smiles zinc_id prot_id files.db2 substance.inchikey net_charge ph_mod_fk substance.mwt substance.logp purchasable reactive features tranche_name CCN(CCC#N)C(=O)CN(C)C[C@H]1C[C@@H](O)C1 ZINC000599672408 361780755 /nfs/dbraw/zinc/78/07/55/361780755.db2.gz OXJYKMCVQODGLI-TXEJJXNPSA-N 0 1 253.346 0.451 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CC[C@@H](N2CC[C@H](O)C2)C1 ZINC000599939396 361836200 /nfs/dbraw/zinc/83/62/00/361836200.db2.gz IHENGTYWMZZDPW-MBNYWOFBSA-N 0 1 265.357 0.594 20 30 CCEDMN N#Cc1cscc1C(=O)N1CC[C@@H](N2CC[C@@H](O)C2)C1 ZINC000599940083 361836322 /nfs/dbraw/zinc/83/63/22/361836322.db2.gz KRXFNYCDUMJUID-VXGBXAGGSA-N 0 1 291.376 0.901 20 30 CCEDMN C[C@@H](NC(=O)NC[C@H]1COCCN1)c1cccc(C#N)c1 ZINC000599918282 361829243 /nfs/dbraw/zinc/82/92/43/361829243.db2.gz IGLLQZGOSGPMMX-RISCZKNCSA-N 0 1 288.351 0.907 20 30 CCEDMN C[C@H](NC(=O)NC[C@H]1COCCN1)c1cccc(C#N)c1 ZINC000599918280 361829343 /nfs/dbraw/zinc/82/93/43/361829343.db2.gz IGLLQZGOSGPMMX-FZMZJTMJSA-N 0 1 288.351 0.907 20 30 CCEDMN CC[C@]1(O)CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347220042 529440647 /nfs/dbraw/zinc/44/06/47/529440647.db2.gz DMRMXDGNBICZEP-ZDUSSCGKSA-N 0 1 251.330 0.396 20 30 CCEDMN N#CCN1CCC(Nc2ncnc3[nH]cnc32)CC1 ZINC000110824759 349763792 /nfs/dbraw/zinc/76/37/92/349763792.db2.gz RMAMVFYCPLPRLR-UHFFFAOYSA-N 0 1 257.301 0.753 20 30 CCEDMN CC1CC(C#N)(C(=O)NCCN2CC[C@H](O)C2)C1 ZINC000600808761 362065352 /nfs/dbraw/zinc/06/53/52/362065352.db2.gz PLJJLLKDBNOQKS-AKJDGMEZSA-N 0 1 251.330 0.109 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)C2(C#N)CC3(CC3)C2)C1 ZINC000601150905 362159393 /nfs/dbraw/zinc/15/93/93/362159393.db2.gz HYOMTTFNBWPMMQ-GFCCVEGCSA-N 0 1 276.384 0.432 20 30 CCEDMN CC[C@H](C)N1CCN(C(=O)[C@@H](C)n2cnc(C#N)n2)CC1 ZINC000456528034 529699269 /nfs/dbraw/zinc/69/92/69/529699269.db2.gz KFLJUNJYIAFENT-NWDGAFQWSA-N 0 1 290.371 0.653 20 30 CCEDMN CN1CC[C@H](N2CCC(Nc3ccncc3C#N)CC2)C1=O ZINC000601176129 362167448 /nfs/dbraw/zinc/16/74/48/362167448.db2.gz GIORHSUXUYSBCK-HNNXBMFYSA-N 0 1 299.378 0.482 20 30 CCEDMN CN1CCN(CCNC(=O)/C=C\c2cccc(C#N)c2)CC1 ZINC000490982506 529771382 /nfs/dbraw/zinc/77/13/82/529771382.db2.gz MXQHAJIHBSWFQF-WAYWQWQTSA-N 0 1 298.390 0.935 20 30 CCEDMN CNC(=O)[C@@]1(C)CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347108501 529912351 /nfs/dbraw/zinc/91/23/51/529912351.db2.gz VSPLEXMUEBVYKI-ZDUSSCGKSA-N 0 1 278.356 0.007 20 30 CCEDMN CN([C@@H]1CN2CCC1CC2)S(=O)(=O)CC1(C#N)CC1 ZINC000601445452 362275879 /nfs/dbraw/zinc/27/58/79/362275879.db2.gz CJHIQENAWFFTBB-GFCCVEGCSA-N 0 1 283.397 0.646 20 30 CCEDMN CN(C)C1(CNS(=O)(=O)CCCCC#N)CC1 ZINC000601449151 362276452 /nfs/dbraw/zinc/27/64/52/362276452.db2.gz UIQKNTKAQQCLNJ-UHFFFAOYSA-N 0 1 259.375 0.694 20 30 CCEDMN N#CC1(C(=O)NC[C@H]2CN3CCN2CCC3)CC2(CC2)C1 ZINC000601564753 362312121 /nfs/dbraw/zinc/31/21/21/362312121.db2.gz CXBCWPCOKDUBFJ-ZDUSSCGKSA-N 0 1 288.395 0.576 20 30 CCEDMN COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)C(C)C#N ZINC000601738427 362373832 /nfs/dbraw/zinc/37/38/32/362373832.db2.gz YYOUVVAGIIYMJC-SBMIAAHKSA-N 0 1 254.286 0.325 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCCCN(C)C2=O)CC1 ZINC000602098705 362486631 /nfs/dbraw/zinc/48/66/31/362486631.db2.gz TVRWNQZFOGLIGC-GFCCVEGCSA-N 0 1 292.383 0.349 20 30 CCEDMN N#CC1(CNC(=O)NCCN2CC[C@H](O)C2)CCCCC1 ZINC000602342868 362592625 /nfs/dbraw/zinc/59/26/25/362592625.db2.gz XKEIKUUNZKUROX-ZDUSSCGKSA-N 0 1 294.399 0.826 20 30 CCEDMN CCC[C@H](NC(=O)c1ccc(C#N)[nH]1)c1nn[nH]n1 ZINC000119623620 349944971 /nfs/dbraw/zinc/94/49/71/349944971.db2.gz CEWZHIZSQIHGGP-QMMMGPOBSA-N 0 1 259.273 0.671 20 30 CCEDMN C[C@@H]1COCC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000617891602 365818375 /nfs/dbraw/zinc/81/83/75/365818375.db2.gz UEXIVXKYBHCRTM-PSASIEDQSA-N 0 1 263.301 0.260 20 30 CCEDMN C#CCN(CC#C)C[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000085824113 179184052 /nfs/dbraw/zinc/18/40/52/179184052.db2.gz XXOCILRRWGVVTN-ZDUSSCGKSA-N 0 1 268.382 0.226 20 30 CCEDMN C[C@@H]1CN(CCN2CCCC2=O)C[C@@H](C)N1CC#N ZINC000602856525 362843247 /nfs/dbraw/zinc/84/32/47/362843247.db2.gz MWSVPYMBSGONPE-CHWSQXEVSA-N 0 1 264.373 0.527 20 30 CCEDMN C[C@H](CC#N)NC[C@@H](O)CN1C(=O)c2ccccc2C1=O ZINC000602862221 362847673 /nfs/dbraw/zinc/84/76/73/362847673.db2.gz JFGIPKKDGJLPCC-GHMZBOCLSA-N 0 1 287.319 0.535 20 30 CCEDMN Cc1ncc(S(=O)(=O)N[C@H](C)CN(C)C)cc1C#N ZINC000312075164 137076536 /nfs/dbraw/zinc/07/65/36/137076536.db2.gz PSRYSCVBILHFCP-SECBINFHSA-N 0 1 282.369 0.490 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)Nc2ccncc2F)o1 ZINC000342489123 137097052 /nfs/dbraw/zinc/09/70/52/137097052.db2.gz JDLWRLNQXYUEAX-UHFFFAOYSA-N 0 1 267.241 0.908 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)c2ccnc(C#N)c2)n[nH]1 ZINC000343354776 137130515 /nfs/dbraw/zinc/13/05/15/137130515.db2.gz VWLNPQNIXDDEEH-SSDOTTSWSA-N 0 1 256.269 0.871 20 30 CCEDMN Cc1nc(CNS(=O)(=O)c2ccc(C#N)o2)no1 ZINC000344507081 137197926 /nfs/dbraw/zinc/19/79/26/137197926.db2.gz CBNHIACMLOKOJG-UHFFFAOYSA-N 0 1 268.254 0.321 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC2(CC1)OCCO2 ZINC000026938642 348095888 /nfs/dbraw/zinc/09/58/88/348095888.db2.gz CAVIGQPDBCLTBH-LLVKDONJSA-N 0 1 297.355 0.226 20 30 CCEDMN N#Cc1cccc(CNCC(=O)N2CCOCC2)c1 ZINC000037749410 348200807 /nfs/dbraw/zinc/20/08/07/348200807.db2.gz DBUAYJJWCDMGSP-UHFFFAOYSA-N 0 1 259.309 0.507 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCC[C@@H]2CCOC2)[nH]1 ZINC000602883674 362862706 /nfs/dbraw/zinc/86/27/06/362862706.db2.gz WYKIGJMKAUERAN-SNVBAGLBSA-N 0 1 277.328 0.652 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H]2CC[N@H+]2C(C)(C)C)[n-]1 ZINC000602884322 362863217 /nfs/dbraw/zinc/86/32/17/362863217.db2.gz BCTVAHHQVMSPSZ-NSHDSACASA-N 0 1 290.371 0.708 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@]1(C)CO ZINC000602888352 362867489 /nfs/dbraw/zinc/86/74/89/362867489.db2.gz SSTJWNGLYSRDPG-NOZJJQNGSA-N 0 1 277.328 0.196 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cc(C(F)F)[nH]n1 ZINC000602899140 362874600 /nfs/dbraw/zinc/87/46/00/362874600.db2.gz PAQWOGLUAJNRJH-ZCFIWIBFSA-N 0 1 256.212 0.712 20 30 CCEDMN N#Cc1ccc(NCC(=O)N2CCc3[nH]nnc3C2)cc1 ZINC000346033813 137265044 /nfs/dbraw/zinc/26/50/44/137265044.db2.gz JTPMIDZZVJHXIF-UHFFFAOYSA-N 0 1 282.307 0.673 20 30 CCEDMN CNS(=O)(=O)C[C@H]1CCCN(CC2(CC#N)CC2)C1 ZINC000602916560 362884864 /nfs/dbraw/zinc/88/48/64/362884864.db2.gz HYIHRJGKTVYVRW-LBPRGKRZSA-N 0 1 285.413 0.941 20 30 CCEDMN CN(CCC(=O)N1CCN(C)CC1)[C@H]1CCC[C@@H]1C#N ZINC000602979302 362919593 /nfs/dbraw/zinc/91/95/93/362919593.db2.gz BUIXSDQMWCPUPG-KGLIPLIRSA-N 0 1 278.400 0.775 20 30 CCEDMN C[C@@H](CNc1nccnc1C#N)N1CCN(C)CC1 ZINC000068901982 348825687 /nfs/dbraw/zinc/82/56/87/348825687.db2.gz CUUQYPDHBIFYQN-NSHDSACASA-N 0 1 260.345 0.396 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCCN(CCCSCC#N)C1 ZINC000072518235 348923191 /nfs/dbraw/zinc/92/31/91/348923191.db2.gz FIUHCDFTAJRFAN-LLVKDONJSA-N 0 1 291.442 0.647 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CCN(C)CC2)nc1 ZINC000079544589 349183030 /nfs/dbraw/zinc/18/30/30/349183030.db2.gz DYHOOEMGKQMYCM-UHFFFAOYSA-N 0 1 258.325 0.196 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)c1ccc(C#N)cc1 ZINC000080527967 349215731 /nfs/dbraw/zinc/21/57/31/349215731.db2.gz TUJMDDNULNTQJT-UHFFFAOYSA-N 0 1 277.309 0.497 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CCOCC1CC1 ZINC000088601477 349369232 /nfs/dbraw/zinc/36/92/32/349369232.db2.gz LCGJAFJOVYZUDB-LLVKDONJSA-N 0 1 283.372 0.745 20 30 CCEDMN C#CCOc1ccccc1CN1CC[C@H](NC(N)=O)C1 ZINC000271253172 191029644 /nfs/dbraw/zinc/02/96/44/191029644.db2.gz FDTZJFMISXNRMH-ZDUSSCGKSA-N 0 1 273.336 0.941 20 30 CCEDMN CNCc1cn([C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C)nn1 ZINC000603178627 362986029 /nfs/dbraw/zinc/98/60/29/362986029.db2.gz XHRDRSLCMGLXFK-GWCFXTLKSA-N 0 1 278.360 0.613 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)n1cc(CNC2CC2)nn1 ZINC000603188975 362991157 /nfs/dbraw/zinc/99/11/57/362991157.db2.gz PHKHYJUFWCIDQR-JTQLQIEISA-N 0 1 276.344 0.463 20 30 CCEDMN CCN(CCC#N)C(=O)Cn1cc(CNC2CC2)nn1 ZINC000603209934 363000763 /nfs/dbraw/zinc/00/07/63/363000763.db2.gz SFBZKDFHMGKQNW-UHFFFAOYSA-N 0 1 276.344 0.292 20 30 CCEDMN CNC(C)(C)c1cn([C@@H](C)C(=O)NC2(C#N)CCC2)nn1 ZINC000603239384 363018328 /nfs/dbraw/zinc/01/83/28/363018328.db2.gz HCZWWCGNSGPWKI-JTQLQIEISA-N 0 1 290.371 0.856 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000183767472 351390653 /nfs/dbraw/zinc/39/06/53/351390653.db2.gz KKYSIOPSUQADBO-ONGXEEELSA-N 0 1 266.345 0.885 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)Cn1cc(CNC2CC2)nn1 ZINC000603267671 363036148 /nfs/dbraw/zinc/03/61/48/363036148.db2.gz QBMVSGFQXFXOIW-GFCCVEGCSA-N 0 1 263.345 0.831 20 30 CCEDMN CC[C@H](NCc1cc(C#N)n(C)c1)C(=O)N1CCOCC1 ZINC000191299621 351753831 /nfs/dbraw/zinc/75/38/31/351753831.db2.gz GUTIIABSOSMMSB-AWEZNQCLSA-N 0 1 290.367 0.624 20 30 CCEDMN CCC[C@@H](NC(=O)c1ccnc(C#N)c1)c1nn[nH]n1 ZINC000193471714 351829386 /nfs/dbraw/zinc/82/93/86/351829386.db2.gz BKCJGVWPTRQXMO-SNVBAGLBSA-N 0 1 271.284 0.738 20 30 CCEDMN CCC[C@H](NC(=O)c1ccnc(C#N)c1)c1nn[nH]n1 ZINC000193471701 351829521 /nfs/dbraw/zinc/82/95/21/351829521.db2.gz BKCJGVWPTRQXMO-JTQLQIEISA-N 0 1 271.284 0.738 20 30 CCEDMN COCCN1CCN(Cc2cnc3ccc(C#N)cn23)CC1 ZINC000122994786 187064351 /nfs/dbraw/zinc/06/43/51/187064351.db2.gz TWQFERDGTMVSOT-UHFFFAOYSA-N 0 1 299.378 0.970 20 30 CCEDMN CNC(=O)[C@@H]1CCCN1C[C@@H](O)c1ccc(C#N)cc1 ZINC000220039501 351970196 /nfs/dbraw/zinc/97/01/96/351970196.db2.gz XFYXEGHABVFAFC-UONOGXRCSA-N 0 1 273.336 0.802 20 30 CCEDMN CN1CCC[C@H]1CNS(=O)(=O)c1ccc(C#N)nc1 ZINC000234313360 352157199 /nfs/dbraw/zinc/15/71/99/352157199.db2.gz NUGZTRNQRWVTFB-NSHDSACASA-N 0 1 280.353 0.326 20 30 CCEDMN N#Cc1cnc(NCCCN2CCC[C@@H]2C(N)=O)cn1 ZINC000274679590 353152478 /nfs/dbraw/zinc/15/24/78/353152478.db2.gz IAUJQVXEDJAIRD-LLVKDONJSA-N 0 1 274.328 0.100 20 30 CCEDMN CCOC(=O)c1cnn(Cc2nnc(CC)[nH]2)c1C#N ZINC000274735669 353157517 /nfs/dbraw/zinc/15/75/17/353157517.db2.gz KAAINYBVMLRSIB-UHFFFAOYSA-N 0 1 274.284 0.660 20 30 CCEDMN C=CCN(CC=C)CCc1cn(CC(=O)OC)nn1 ZINC000156091813 188242525 /nfs/dbraw/zinc/24/25/25/188242525.db2.gz UNWVHNJJOOPJOY-UHFFFAOYSA-N 0 1 264.329 0.668 20 30 CCEDMN C#CC[C@@H](NCC(=O)N1CCNC1=O)c1ccccc1 ZINC000271690992 191279058 /nfs/dbraw/zinc/27/90/58/191279058.db2.gz KHJXNIUDQCVYRQ-CYBMUJFWSA-N 0 1 271.320 0.892 20 30 CCEDMN N#Cc1ncn(CCNC(=O)c2[nH]nc3c2CCCCC3)n1 ZINC000287006154 353622594 /nfs/dbraw/zinc/62/25/94/353622594.db2.gz UUUSFEIRXWNYPJ-UHFFFAOYSA-N 0 1 299.338 0.572 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1cncc(C#N)c1)N(C)C1CC1 ZINC000290009602 353701050 /nfs/dbraw/zinc/70/10/50/353701050.db2.gz ZPFYMFVXRDXJTI-JTQLQIEISA-N 0 1 294.380 0.714 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN(C(=O)c3ccn[nH]3)C2)nc1 ZINC000293733197 353835343 /nfs/dbraw/zinc/83/53/43/353835343.db2.gz NLIGRZPJNZGXLH-LLVKDONJSA-N 0 1 283.291 0.970 20 30 CCEDMN COC(=O)[C@H]1CC[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000618994223 366140633 /nfs/dbraw/zinc/14/06/33/366140633.db2.gz MSMSXXJOUBCOIR-WCBMZHEXSA-N 0 1 291.311 0.177 20 30 CCEDMN Cc1nc2n(n1)C[C@H]([NH+]=C([O-])N[C@@]1(C)CCCOC1)CC2 ZINC000329148551 354253338 /nfs/dbraw/zinc/25/33/38/354253338.db2.gz PUFWZRPENJPZIZ-RISCZKNCSA-N 0 1 293.371 0.974 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N[C@@]1(C)CCCOC1)CC2 ZINC000329148551 354253341 /nfs/dbraw/zinc/25/33/41/354253341.db2.gz PUFWZRPENJPZIZ-RISCZKNCSA-N 0 1 293.371 0.974 20 30 CCEDMN [O-]C(N[C@H]1COC[C@H]1n1ccnn1)=[NH+][C@@H]1CC[C@@H](F)C1 ZINC000329479164 354257729 /nfs/dbraw/zinc/25/77/29/354257729.db2.gz OWEROZLCRMSEDW-CHWFTXMASA-N 0 1 283.307 0.612 20 30 CCEDMN COC1(C)CN(C(=O)N[C@@H]2CCc3nc(C)nn3C2)C1 ZINC000329570718 354258404 /nfs/dbraw/zinc/25/84/04/354258404.db2.gz QKGIWTXRVYBOBM-SNVBAGLBSA-N 0 1 279.344 0.536 20 30 CCEDMN N#CCN1CCC[C@@H](CNC(=O)[C@H]2CCCN2C2CC2)C1 ZINC000333028589 354746695 /nfs/dbraw/zinc/74/66/95/354746695.db2.gz LHAJVKDUBRAGFS-DZGCQCFKSA-N 0 1 290.411 0.965 20 30 CCEDMN CCN(CCOCCO)CC(=O)N[C@](C)(C#N)C(C)C ZINC000336830720 355204754 /nfs/dbraw/zinc/20/47/54/355204754.db2.gz NEHWUKXHMXURRM-CQSZACIVSA-N 0 1 285.388 0.372 20 30 CCEDMN N#Cc1cccc(C2(NCC(=O)N3CCNC3=O)CC2)c1 ZINC000271821723 191347809 /nfs/dbraw/zinc/34/78/09/191347809.db2.gz SGXDKIXOHTZEDB-UHFFFAOYSA-N 0 1 284.319 0.689 20 30 CCEDMN C[C@H](CO)N(C)CC(=O)NCc1cccc(C#N)c1 ZINC000338598902 355375669 /nfs/dbraw/zinc/37/56/69/355375669.db2.gz MYNMZJJTBQYDRA-LLVKDONJSA-N 0 1 261.325 0.487 20 30 CCEDMN Cc1nnc(N(C)Cc2n[nH]c(=O)o2)c(C#N)c1C ZINC000425274772 235280023 /nfs/dbraw/zinc/28/00/23/235280023.db2.gz BAZWIVPEPPBXSD-UHFFFAOYSA-N 0 1 260.257 0.690 20 30 CCEDMN C[C@H]1CCNC(=O)[C@@H]1NCc1cnc2ccc(C#N)cn12 ZINC000342862572 355761981 /nfs/dbraw/zinc/76/19/81/355761981.db2.gz SVZQDCOWWHXFAD-IINYFYTJSA-N 0 1 283.335 0.820 20 30 CCEDMN N#Cc1ccnc(N[C@H]2CCc3[nH]cnc3C2)c1[N+](=O)[O-] ZINC000367907127 283118855 /nfs/dbraw/zinc/11/88/55/283118855.db2.gz OQWUQYNXMOUNDU-VIFPVBQESA-N 0 1 284.279 0.976 20 30 CCEDMN C=CCOCC(=O)Nc1nc(SCCO)n[nH]1 ZINC000343900835 355779087 /nfs/dbraw/zinc/77/90/87/355779087.db2.gz TWXHAQAALNKJNG-UHFFFAOYSA-N 0 1 258.303 0.030 20 30 CCEDMN C[C@@H]1C[C@H](CO)CCN1CC(=O)N(CCC#N)CCC#N ZINC000344147464 355786012 /nfs/dbraw/zinc/78/60/12/355786012.db2.gz DFQPGZZFFOXTIY-ZIAGYGMSSA-N 0 1 292.383 0.735 20 30 CCEDMN CCOC(=O)[C@]1(COC)CCCN(CC#CCOC)C1 ZINC000619623888 366303494 /nfs/dbraw/zinc/30/34/94/366303494.db2.gz XJBLXXYFCWADLB-OAHLLOKOSA-N 0 1 283.368 0.928 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(CCO)CC1 ZINC000609312157 363488907 /nfs/dbraw/zinc/48/89/07/363488907.db2.gz GXXIKHDHYGFVFM-UHFFFAOYSA-N 0 1 267.373 0.843 20 30 CCEDMN Cc1nnc(SCC(=O)N[C@]2(C#N)CCSC2)[nH]1 ZINC000610414602 363556187 /nfs/dbraw/zinc/55/61/87/363556187.db2.gz YMZLMMAHCPEQDT-JTQLQIEISA-N 0 1 283.382 0.721 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N[C@]2(C#N)CCSC2)n1 ZINC000610414602 363556192 /nfs/dbraw/zinc/55/61/92/363556192.db2.gz YMZLMMAHCPEQDT-JTQLQIEISA-N 0 1 283.382 0.721 20 30 CCEDMN N#Cc1cc(C(=O)N2CCc3[nH]nnc3C2)ccn1 ZINC000356211350 356724113 /nfs/dbraw/zinc/72/41/13/356724113.db2.gz UJDBCPGRHJPXCZ-UHFFFAOYSA-N 0 1 254.253 0.270 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC000610560039 363575456 /nfs/dbraw/zinc/57/54/56/363575456.db2.gz RESYIXGNAKZCKH-LBPRGKRZSA-N 0 1 290.371 0.430 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1Cc1cc(C#N)n(C)c1 ZINC000449789460 236051307 /nfs/dbraw/zinc/05/13/07/236051307.db2.gz ZUMYRECBZZVALI-GFCCVEGCSA-N 0 1 262.357 0.395 20 30 CCEDMN C[C@@H]1CN(c2cnccc2C#N)C[C@H](C)N1CCO ZINC000450786526 236111557 /nfs/dbraw/zinc/11/15/57/236111557.db2.gz AESUIMVXSGIPMZ-TXEJJXNPSA-N 0 1 260.341 0.845 20 30 CCEDMN CC(C)(C)n1cc(C#N)c(=NC(=O)[C@H]2COCCO2)[nH]1 ZINC000408282082 358008719 /nfs/dbraw/zinc/00/87/19/358008719.db2.gz TXRQFPSMOQYDSB-SNVBAGLBSA-N 0 1 278.312 0.286 20 30 CCEDMN N#Cc1ccncc1C(=O)NC[C@H]1CCCCN1CCO ZINC000452799962 236262056 /nfs/dbraw/zinc/26/20/56/236262056.db2.gz QSRSVEIKJCMBGX-CYBMUJFWSA-N 0 1 288.351 0.530 20 30 CCEDMN C#CCNC(=O)CCN1C[C@@H]2CCC[C@H]2[C@H]1C(=O)OC ZINC000294585916 199097007 /nfs/dbraw/zinc/09/70/07/199097007.db2.gz MWLGJCQYGQGNLP-SCRDCRAPSA-N 0 1 278.352 0.399 20 30 CCEDMN CCN=c1[nH]cc(C(=O)NC2(C#N)CCN(C)CC2)s1 ZINC000610913055 363630215 /nfs/dbraw/zinc/63/02/15/363630215.db2.gz YTANUSHCRWFWGW-UHFFFAOYSA-N 0 1 293.396 0.715 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC[C@H](O)C(F)(F)F)[nH]1 ZINC000610876599 363624666 /nfs/dbraw/zinc/62/46/66/363624666.db2.gz AOGZQGVAAANJOL-ZETCQYMHSA-N 0 1 291.233 0.148 20 30 CCEDMN Cn1nc(CNCCn2cnc(C#N)n2)c2ccccc21 ZINC000586782547 359288684 /nfs/dbraw/zinc/28/86/84/359288684.db2.gz XCOXVILSHVFHKQ-UHFFFAOYSA-N 0 1 281.323 0.826 20 30 CCEDMN COCC1(NC(=O)N=c2[nH]n(C)cc2C#N)CCC1 ZINC000585797271 359277923 /nfs/dbraw/zinc/27/79/23/359277923.db2.gz NDDOSAGSYIEKHX-UHFFFAOYSA-N 0 1 263.301 0.404 20 30 CCEDMN N#Cc1cc([O-])cc(C(=O)NCCNc2cc[nH+]cc2)c1 ZINC000589168782 359365096 /nfs/dbraw/zinc/36/50/96/359365096.db2.gz BYCUJDSQCZGVPA-UHFFFAOYSA-N 0 1 282.303 0.923 20 30 CCEDMN Cc1cc(CNS(=O)(=O)CC2(C#N)CCC2)n[nH]1 ZINC000589221796 359371420 /nfs/dbraw/zinc/37/14/20/359371420.db2.gz WUOWYRPPXMRTSE-UHFFFAOYSA-N 0 1 268.342 0.831 20 30 CCEDMN CCN1CCN(C(=O)N=c2ccn(CCC#N)[nH]2)C[C@@H]1C ZINC000611095653 363659091 /nfs/dbraw/zinc/65/90/91/363659091.db2.gz ZFXZPAGIIGEDOH-LBPRGKRZSA-N 0 1 290.371 0.777 20 30 CCEDMN N#CC1(CC(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)CC1 ZINC000590223619 359431637 /nfs/dbraw/zinc/43/16/37/359431637.db2.gz WELVMESAZLXCBZ-STQMWFEESA-N 0 1 277.368 0.738 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)n1 ZINC000589455300 359383664 /nfs/dbraw/zinc/38/36/64/359383664.db2.gz LVJOXKLFSPIHOV-SECBINFHSA-N 0 1 267.292 0.964 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCN3CCC2CC3)n1 ZINC000589493185 359387751 /nfs/dbraw/zinc/38/77/51/359387751.db2.gz SBKXDCQUHSMQMH-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN CN(CCc1nccs1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611075653 363656559 /nfs/dbraw/zinc/65/65/59/363656559.db2.gz SQYUDDLPOZPGRF-UHFFFAOYSA-N 0 1 290.352 0.877 20 30 CCEDMN CC1(C)CN(C(=O)CCCC#N)CCN1CCO ZINC000589716416 359399845 /nfs/dbraw/zinc/39/98/45/359399845.db2.gz VONSZFWNYLEGPQ-UHFFFAOYSA-N 0 1 253.346 0.595 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000590737008 359512355 /nfs/dbraw/zinc/51/23/55/359512355.db2.gz IEFGWMLYTQTOTP-DTWKUNHWSA-N 0 1 267.285 0.682 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@H]2CCCN(CCCO)C2)n1 ZINC000590767968 359521186 /nfs/dbraw/zinc/52/11/86/359521186.db2.gz XNPOWYWOBUAVMT-ZDUSSCGKSA-N 0 1 288.351 0.530 20 30 CCEDMN N#Cc1ccc(CN2CCC[C@@](O)(CC(N)=O)C2)c(F)c1 ZINC000591629123 359617194 /nfs/dbraw/zinc/61/71/94/359617194.db2.gz CZXSBFWBOBGERT-OAHLLOKOSA-N 0 1 291.326 0.900 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N1CC[C@@](O)(CC#N)C1 ZINC000592078617 359750241 /nfs/dbraw/zinc/75/02/41/359750241.db2.gz SWHKYDOBITXVOT-LBPRGKRZSA-N 0 1 289.401 0.008 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000591990099 359721477 /nfs/dbraw/zinc/72/14/77/359721477.db2.gz SPEZUYQIABIHDJ-BXUZGUMPSA-N 0 1 289.339 0.847 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1Cc1ccncc1C#N ZINC000592125404 359759558 /nfs/dbraw/zinc/75/95/58/359759558.db2.gz WJNLWESJHVYGEZ-QWHCGFSZSA-N 0 1 274.368 0.840 20 30 CCEDMN CC(C)N1CCC[C@@H](N2CC[C@@](O)(CC#N)C2)C1=O ZINC000592150587 359769018 /nfs/dbraw/zinc/76/90/18/359769018.db2.gz GYXKTNMSZCPVPU-OCCSQVGLSA-N 0 1 265.357 0.736 20 30 CCEDMN N#CC[C@@]1(O)CCN(CCN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000592151651 359770437 /nfs/dbraw/zinc/77/04/37/359770437.db2.gz PAJUOXLJEYEJSS-HZSPNIEDSA-N 0 1 265.357 0.200 20 30 CCEDMN C=CCCOCC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000592405785 359833192 /nfs/dbraw/zinc/83/31/92/359833192.db2.gz KYCMLNKDJSKRHZ-KBPBESRZSA-N 0 1 282.384 0.637 20 30 CCEDMN CCC[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000611162050 363668504 /nfs/dbraw/zinc/66/85/04/363668504.db2.gz OSUUJZJJFYXLTD-LLVKDONJSA-N 0 1 277.328 0.746 20 30 CCEDMN Cc1cc(CN(C)CCCc2[nH]nc(N)c2C#N)nn1C ZINC000611172687 363671075 /nfs/dbraw/zinc/67/10/75/363671075.db2.gz YRDAYZUTWINEJB-UHFFFAOYSA-N 0 1 287.371 0.800 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2Cc3ccccc3O2)[nH]n1C ZINC000592646926 359908352 /nfs/dbraw/zinc/90/83/52/359908352.db2.gz OBRTUQAJDDVHNI-ZDUSSCGKSA-N 0 1 282.303 0.964 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H](C)Cc2cnn(C)c2)[nH]n1C ZINC000592648692 359908890 /nfs/dbraw/zinc/90/88/90/359908890.db2.gz GZROIVQZNMOSRR-SECBINFHSA-N 0 1 286.339 0.573 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2O[C@@H](C)C[C@@H]2C)[nH]n1C ZINC000592649244 359909025 /nfs/dbraw/zinc/90/90/25/359909025.db2.gz LYVIPVOUWSDFSK-DKCNOQQISA-N 0 1 262.313 0.774 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2CCc3cnn(C)c3C2)[nH]n1C ZINC000592648648 359909527 /nfs/dbraw/zinc/90/95/27/359909527.db2.gz DYHJBZVKHGNSGA-JTQLQIEISA-N 0 1 298.350 0.499 20 30 CCEDMN CCC(=O)N1CC[C@@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)C1 ZINC000592654670 359910489 /nfs/dbraw/zinc/91/04/89/359910489.db2.gz SKCCKJQIKBRIIR-SNVBAGLBSA-N 0 1 289.339 0.219 20 30 CCEDMN CCCn1cc(C(=O)N=c2[nH]n(C)c(C)c2C#N)nn1 ZINC000592655208 359910581 /nfs/dbraw/zinc/91/05/81/359910581.db2.gz VWERGORQAFAXNO-UHFFFAOYSA-N 0 1 273.300 0.276 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cnc3n2CCCC3)[nH]n1C ZINC000592655700 359910727 /nfs/dbraw/zinc/91/07/27/359910727.db2.gz WWFJSCDFMFRPRH-UHFFFAOYSA-N 0 1 284.323 0.807 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000592845331 359952628 /nfs/dbraw/zinc/95/26/28/359952628.db2.gz HWWOEHAKVSRIHX-HZMBPMFUSA-N 0 1 274.324 0.707 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN(C[C@@H]3CCC(=O)N3)C2)nc1 ZINC000593030689 360019162 /nfs/dbraw/zinc/01/91/62/360019162.db2.gz OXKWFTQVGVWDOI-QWHCGFSZSA-N 0 1 286.335 0.685 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)[C@H](C)CCO ZINC000593107660 360040897 /nfs/dbraw/zinc/04/08/97/360040897.db2.gz MGISKQWJSBPPLV-NWDGAFQWSA-N 0 1 255.362 0.697 20 30 CCEDMN C[C@H](CCO)N(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000593109647 360042061 /nfs/dbraw/zinc/04/20/61/360042061.db2.gz LHDCWTURIMWKPU-MFKMUULPSA-N 0 1 253.346 0.498 20 30 CCEDMN CC(C)n1cnc(=NC(=O)c2ccc(C#N)cn2)[nH]1 ZINC000593234262 360054882 /nfs/dbraw/zinc/05/48/82/360054882.db2.gz SDLLKIUXLHXIJA-UHFFFAOYSA-N 0 1 256.269 0.800 20 30 CCEDMN CNC(=O)[C@@H](NCc1cnc2c(C#N)cnn2c1)C(C)C ZINC000574083508 334991060 /nfs/dbraw/zinc/99/10/60/334991060.db2.gz DDSZYKOPBPCPBS-LBPRGKRZSA-N 0 1 286.339 0.461 20 30 CCEDMN N#CC1(C(=O)N2CCN(Cc3nnc[nH]3)CC2)CCC1 ZINC000593859184 360178252 /nfs/dbraw/zinc/17/82/52/360178252.db2.gz QQANOELZGCNALP-UHFFFAOYSA-N 0 1 274.328 0.143 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C[C@H](C)O)C1CC1 ZINC000594056785 360243619 /nfs/dbraw/zinc/24/36/19/360243619.db2.gz XZXMJNUXXBUCDX-SMDDNHRTSA-N 0 1 267.373 0.886 20 30 CCEDMN CC(C)(CO)N1CCN(C(=O)CCCCC#N)CC1 ZINC000594336344 360276207 /nfs/dbraw/zinc/27/62/07/360276207.db2.gz SNMKVQSZCXHULZ-UHFFFAOYSA-N 0 1 267.373 0.985 20 30 CCEDMN N#Cc1ccc2c(c1)CN(C(=O)[C@H]1CNCCO1)C2 ZINC000594453050 360315998 /nfs/dbraw/zinc/31/59/98/360315998.db2.gz SJIJRVFCJNUOGU-CYBMUJFWSA-N 0 1 257.293 0.389 20 30 CCEDMN COC[C@@H](CCN[C@@H](C(N)=O)c1ccc(C#N)cc1)OC ZINC000594710381 360364310 /nfs/dbraw/zinc/36/43/10/360364310.db2.gz KECRPGWVTMZPTH-ZIAGYGMSSA-N 0 1 291.351 0.726 20 30 CCEDMN C[C@@H](CC#N)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594751850 360375725 /nfs/dbraw/zinc/37/57/25/360375725.db2.gz DWSGXPSNSVVUKK-ZETCQYMHSA-N 0 1 270.270 0.570 20 30 CCEDMN C=CCn1cc(CN[C@H](C)[C@@H]2C[C@@H]2C(=O)OC)nn1 ZINC000594948866 360425537 /nfs/dbraw/zinc/42/55/37/360425537.db2.gz BUQIHEDWNIFBSY-USWWRNFRSA-N 0 1 264.329 0.751 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N1C[C@H](C)NC[C@H]1C ZINC000595284016 360480121 /nfs/dbraw/zinc/48/01/21/360480121.db2.gz MSDVYCLHCVZLFG-NWDGAFQWSA-N 0 1 299.440 0.621 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)Cc1n[nH]c(=O)o1 ZINC000595546862 360574983 /nfs/dbraw/zinc/57/49/83/360574983.db2.gz JQQKWCIDQRBBTI-VIFPVBQESA-N 0 1 281.316 0.215 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)N1CC[C@@](C)(C#N)C1 ZINC000595552257 360577132 /nfs/dbraw/zinc/57/71/32/360577132.db2.gz RKQOFMJMFPDCTN-ZFWWWQNUSA-N 0 1 293.415 0.567 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H]2CCCC[C@@H]21 ZINC000595560024 360580108 /nfs/dbraw/zinc/58/01/08/360580108.db2.gz BGXGAHRJFCLYSV-QWRGUYRKSA-N 0 1 251.330 0.873 20 30 CCEDMN N#CC[C@@H]1CCCN(CCN2C(=O)CNC2=O)C1 ZINC000595615389 360599593 /nfs/dbraw/zinc/59/95/93/360599593.db2.gz QLOVIWJQZQMPCL-JTQLQIEISA-N 0 1 250.302 0.164 20 30 CCEDMN CCS(=O)(=O)NCCCN1CCC[C@H](CC#N)C1 ZINC000595621048 360600756 /nfs/dbraw/zinc/60/07/56/360600756.db2.gz ROWOCGBJPDUNFQ-GFCCVEGCSA-N 0 1 273.402 0.941 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@](O)(C2CC2)C1 ZINC000595748608 360638065 /nfs/dbraw/zinc/63/80/65/360638065.db2.gz BAYQIFSDSJOYME-AWEZNQCLSA-N 0 1 281.356 0.235 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](C)C(O)[C@H](C)C1 ZINC000595752903 360638874 /nfs/dbraw/zinc/63/88/74/360638874.db2.gz YTRDYXLTXQADEY-VXGBXAGGSA-N 0 1 267.373 0.697 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)[C@@](C)(CO)C1 ZINC000595870485 360686030 /nfs/dbraw/zinc/68/60/30/360686030.db2.gz GPOXFSKSYSKBMX-YRGRVCCFSA-N 0 1 279.384 0.888 20 30 CCEDMN N#Cc1ccc(CC[N@@H+]2CCOC[C@H]2C(=O)[O-])cc1 ZINC000595888967 360690842 /nfs/dbraw/zinc/69/08/42/360690842.db2.gz WNHJZEQTOHUPOD-ZDUSSCGKSA-N 0 1 260.293 0.886 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)[C@@H](N)CC(=O)OC)C1 ZINC000595937328 360714387 /nfs/dbraw/zinc/71/43/87/360714387.db2.gz GQHZGHRWVQRQSQ-MNOVXSKESA-N 0 1 254.330 0.692 20 30 CCEDMN Cc1nc(CN2CCN(c3cc(C#N)cnn3)CC2)n[nH]1 ZINC000596186236 360826331 /nfs/dbraw/zinc/82/63/31/360826331.db2.gz SFJZOCDUQGTPKH-UHFFFAOYSA-N 0 1 284.327 0.097 20 30 CCEDMN Cn1ncc(C#N)c1NCCN1C[C@H]2CC[C@@H](C1)O2 ZINC000596256968 360855149 /nfs/dbraw/zinc/85/51/49/360855149.db2.gz FQBLYFFWMRCUBI-TXEJJXNPSA-N 0 1 261.329 0.567 20 30 CCEDMN CCc1nc(C#N)cc(N2CCN(C[C@H](C)O)C[C@@H]2C)n1 ZINC000596200365 360832324 /nfs/dbraw/zinc/83/23/24/360832324.db2.gz NATYXOCCDSAJFF-RYUDHWBXSA-N 0 1 289.383 0.802 20 30 CCEDMN COCc1nc(CNC(=O)c2cc(C#N)cn2C)n[nH]1 ZINC000596457760 360900570 /nfs/dbraw/zinc/90/05/70/360900570.db2.gz AHAKLKQULPCYSF-UHFFFAOYSA-N 0 1 274.284 0.091 20 30 CCEDMN COCc1nnc(CNC(=O)c2cc(C#N)cn2C)[nH]1 ZINC000596457760 360900571 /nfs/dbraw/zinc/90/05/71/360900571.db2.gz AHAKLKQULPCYSF-UHFFFAOYSA-N 0 1 274.284 0.091 20 30 CCEDMN C=CCCNC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000596718402 360945455 /nfs/dbraw/zinc/94/54/55/360945455.db2.gz JHIUMCANHJODED-LLVKDONJSA-N 0 1 269.345 0.309 20 30 CCEDMN CC[C@@](C)(C#N)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597041905 360999880 /nfs/dbraw/zinc/99/98/80/360999880.db2.gz RCPZIIYNCDCROD-LBPRGKRZSA-N 0 1 297.336 0.462 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)Nc1cccc(C#N)n1 ZINC000596934384 360980622 /nfs/dbraw/zinc/98/06/22/360980622.db2.gz LOXHJUPWVZXSRJ-UHFFFAOYSA-N 0 1 259.313 0.979 20 30 CCEDMN CCN1CCN([C@H]2CCN(C(=O)[C@@H](C)CC#N)C2)CC1 ZINC000597166872 361021119 /nfs/dbraw/zinc/02/11/19/361021119.db2.gz SQMMZRASNUIIBA-KBPBESRZSA-N 0 1 278.400 0.775 20 30 CCEDMN CCC[C@H](NC(=O)CC1(C#N)CCOCC1)c1nn[nH]n1 ZINC000597361572 361070826 /nfs/dbraw/zinc/07/08/26/361070826.db2.gz UOKHJQWZPJQAJH-JTQLQIEISA-N 0 1 292.343 0.868 20 30 CCEDMN C[C@@H](CNC(=O)c1c[nH]c(C#N)c1)N1CCN(C)CC1 ZINC000181275102 189292703 /nfs/dbraw/zinc/29/27/03/189292703.db2.gz GGVXDTZYDQNBIW-NSHDSACASA-N 0 1 275.356 0.252 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)[C@@H]2C[C@@H]2C(=O)N(C)C)[nH]1 ZINC000564216609 291270992 /nfs/dbraw/zinc/27/09/92/291270992.db2.gz WKIJDIZEZLTASI-MNOVXSKESA-N 0 1 289.339 0.420 20 30 CCEDMN CN(CC(=O)NC1CC1)C[C@@H](O)CC(C)(C)C#N ZINC000598587394 361449131 /nfs/dbraw/zinc/44/91/31/361449131.db2.gz PVABBLPWKWPUSV-NSHDSACASA-N 0 1 253.346 0.498 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC(C)(C)CC[C@H]1CO ZINC000598648211 361472117 /nfs/dbraw/zinc/47/21/17/361472117.db2.gz OVXPIBSRBVJFMZ-NSHDSACASA-N 0 1 283.372 0.481 20 30 CCEDMN CCn1cc(CNC[C@@H](O)CC(C)(C)C#N)nn1 ZINC000598706553 361491045 /nfs/dbraw/zinc/49/10/45/361491045.db2.gz SVROZSJECNXNMS-NSHDSACASA-N 0 1 251.334 0.688 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCN2C(=O)N=NC2C1 ZINC000598605672 361456949 /nfs/dbraw/zinc/45/69/49/361456949.db2.gz SVTODPNXYUWZKG-SECBINFHSA-N 0 1 265.317 0.100 20 30 CCEDMN CO[C@@H](C(=O)N=c1[nH]n(C)c(C)c1C#N)C1CCC1 ZINC000598841063 361524757 /nfs/dbraw/zinc/52/47/57/361524757.db2.gz DBVCCFYNNAQDPN-LLVKDONJSA-N 0 1 262.313 0.776 20 30 CCEDMN COC(=O)COCCNc1nc(C#N)c(Cl)s1 ZINC000599159295 361591448 /nfs/dbraw/zinc/59/14/48/361591448.db2.gz PQCYVTWGNXKCIT-UHFFFAOYSA-N 0 1 275.717 0.692 20 30 CCEDMN N#Cc1cccc([N+](=O)[O-])c1NCCN1CC[C@@H](O)C1 ZINC000599175971 361600383 /nfs/dbraw/zinc/60/03/83/361600383.db2.gz LNVMOIVAJRRWRY-LLVKDONJSA-N 0 1 276.296 0.945 20 30 CCEDMN Cc1cc(N2CC(n3cc(C#N)c(=O)[n-]c3=O)C2)nc(C)[nH+]1 ZINC000599128505 361581550 /nfs/dbraw/zinc/58/15/50/361581550.db2.gz MCYLXQZJGCDSQC-UHFFFAOYSA-N 0 1 298.306 0.289 20 30 CCEDMN CCOC(=O)C[C@@H](O)CN1CCC[C@@H](CC#N)C1 ZINC000599468381 361711800 /nfs/dbraw/zinc/71/18/00/361711800.db2.gz FLYHWGABFKAOOA-NWDGAFQWSA-N 0 1 254.330 0.926 20 30 CCEDMN C=CC1CCN(S(=O)(=O)C[C@H]2CNCCO2)CC1 ZINC000631918614 370759217 /nfs/dbraw/zinc/75/92/17/370759217.db2.gz HVWFQBHMGICPGU-GFCCVEGCSA-N 0 1 274.386 0.203 20 30 CCEDMN C=CC[C@H](CO)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000613484449 364264104 /nfs/dbraw/zinc/26/41/04/364264104.db2.gz CLHPHURNHQFTEP-BDAKNGLRSA-N 0 1 250.302 0.278 20 30 CCEDMN C[N@H+]1CC[C@@H](CNC(=O)c2cc(O)cc(C#N)c2)C1 ZINC000614296968 364563731 /nfs/dbraw/zinc/56/37/31/364563731.db2.gz CNEPTXVUIBMNFO-JTQLQIEISA-N 0 1 259.309 0.945 20 30 CCEDMN C[N@@H+]1CC[C@@H](CNC(=O)c2cc([O-])cc(C#N)c2)C1 ZINC000614296968 364563737 /nfs/dbraw/zinc/56/37/37/364563737.db2.gz CNEPTXVUIBMNFO-JTQLQIEISA-N 0 1 259.309 0.945 20 30 CCEDMN C[N@H+]1CC[C@@H](CNC(=O)c2cc([O-])cc(C#N)c2)C1 ZINC000614296968 364563742 /nfs/dbraw/zinc/56/37/42/364563742.db2.gz CNEPTXVUIBMNFO-JTQLQIEISA-N 0 1 259.309 0.945 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCN(C2CCOCC2)C[C@H]1C ZINC000614135151 364511415 /nfs/dbraw/zinc/51/14/15/364511415.db2.gz STCZFPUFFBIOKQ-IUODEOHRSA-N 0 1 282.384 0.635 20 30 CCEDMN CN1CCN(C[C@@H](O)COc2ccc(C#N)cc2F)CC1 ZINC000565063718 291341686 /nfs/dbraw/zinc/34/16/86/291341686.db2.gz YWAWPPQXVPIGBO-CYBMUJFWSA-N 0 1 293.342 0.684 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N=c1cc(-c2nccn2C)[nH][nH]1 ZINC000621925813 366929749 /nfs/dbraw/zinc/92/97/49/366929749.db2.gz ONPYPUVKFKGQLC-GFCCVEGCSA-N 0 1 261.285 0.108 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NCc2ccc(C#N)cc2)C[C@@H]1O ZINC000330657903 203086359 /nfs/dbraw/zinc/08/63/59/203086359.db2.gz OOCIJHLWRDETSV-DOMZBBRYSA-N 0 1 287.363 0.877 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCC(N2CCOCC2)CC1 ZINC000628122339 369185273 /nfs/dbraw/zinc/18/52/73/369185273.db2.gz STIHWVSYNKTMEU-CABCVRRESA-N 0 1 294.395 0.901 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C[C@@H](C)O)[C@H](C)CO ZINC000276218438 193246767 /nfs/dbraw/zinc/24/67/67/193246767.db2.gz QFGPKNQSFIMILV-CHWSQXEVSA-N 0 1 270.373 0.251 20 30 CCEDMN C=C[C@H](CO)NCc1ccc(Cl)c(S(N)(=O)=O)c1 ZINC000631176771 370412071 /nfs/dbraw/zinc/41/20/71/370412071.db2.gz GUWDJMHIJYWGJM-SECBINFHSA-N 0 1 290.772 0.624 20 30 CCEDMN C=CCCS(=O)(=O)N(C)[C@H]1CN2CCC1CC2 ZINC000632478922 371096111 /nfs/dbraw/zinc/09/61/11/371096111.db2.gz UIXNTFVDFXQDII-LBPRGKRZSA-N 0 1 258.387 0.918 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)Cc1nnc[nH]1 ZINC000632840454 371296260 /nfs/dbraw/zinc/29/62/60/371296260.db2.gz CRICMCSHVKTYJC-BXKDBHETSA-N 0 1 250.302 0.445 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)C(C)(C)CN1CCOCC1 ZINC000636005478 373232542 /nfs/dbraw/zinc/23/25/42/373232542.db2.gz IEZNXTSQOPOEGS-CYBMUJFWSA-N 0 1 284.400 0.788 20 30 CCEDMN C=CCn1cc(C(=O)N2CCN(C)[C@@H](C(C)C)C2)nn1 ZINC000636338039 373554860 /nfs/dbraw/zinc/55/48/60/373554860.db2.gz UGEFBAAWOWLEDH-CYBMUJFWSA-N 0 1 277.372 0.876 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)[C@@H](N)CCS(C)(=O)=O ZINC000638875078 375419053 /nfs/dbraw/zinc/41/90/53/375419053.db2.gz NWQFPHUGKUOSMJ-NSHDSACASA-N 0 1 290.429 0.857 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NCc1ccc(S(N)(=O)=O)o1 ZINC000639232489 375652447 /nfs/dbraw/zinc/65/24/47/375652447.db2.gz JPCJUXZIDUOSAE-MNOVXSKESA-N 0 1 286.353 0.750 20 30 CCEDMN C=CCn1cc(CNCC(C)(C)N2CCOCC2)nn1 ZINC000639273351 375686858 /nfs/dbraw/zinc/68/68/58/375686858.db2.gz BGLJIAHJMQEGAE-UHFFFAOYSA-N 0 1 279.388 0.665 20 30 CCEDMN CC(=O)[C@](C)(O)CN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000639699030 375927951 /nfs/dbraw/zinc/92/79/51/375927951.db2.gz RBHNNADYQFCNSP-NQBHXWOUSA-N 0 1 253.346 0.245 20 30 CCEDMN COCC#CCN(CCN1CCOCC1)[C@H]1CCSC1 ZINC000639778571 376006274 /nfs/dbraw/zinc/00/62/74/376006274.db2.gz YUDMTBVLTNXJAS-HNNXBMFYSA-N 0 1 298.452 0.776 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H](OC)C[C@]1(C)CO ZINC000639998408 376174561 /nfs/dbraw/zinc/17/45/61/376174561.db2.gz SNWMZSQYTLEVMJ-UKRRQHHQSA-N 0 1 282.384 0.659 20 30 CCEDMN C=C(C)CN(CC)C(=O)Cn1cc(CNCC)nn1 ZINC000640792117 376543874 /nfs/dbraw/zinc/54/38/74/376543874.db2.gz LTJFYUDNEPMTOT-UHFFFAOYSA-N 0 1 265.361 0.812 20 30 CCEDMN C=C(C)[C@@H](CO)n1cc(CN2CCN(C)CC2)nn1 ZINC000641084943 376756899 /nfs/dbraw/zinc/75/68/99/376756899.db2.gz WQBXHSNWRLBPQR-CYBMUJFWSA-N 0 1 265.361 0.135 20 30 CCEDMN C=C[C@H](CO)NCc1ccccc1OCC(=O)N(C)C ZINC000641773457 377456479 /nfs/dbraw/zinc/45/64/79/377456479.db2.gz HSOLAUQHRUQOPY-CYBMUJFWSA-N 0 1 278.352 0.790 20 30 CCEDMN C[C@@H](O)CC[N@@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC000266553871 190266366 /nfs/dbraw/zinc/26/63/66/190266366.db2.gz UYVFDJAVRXERTG-GFCCVEGCSA-N 0 1 275.352 0.877 20 30 CCEDMN C[C@@H](O)CCN(C)CC(=O)NCc1ccc(C#N)cc1 ZINC000266553871 190266367 /nfs/dbraw/zinc/26/63/67/190266367.db2.gz UYVFDJAVRXERTG-GFCCVEGCSA-N 0 1 275.352 0.877 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@H](COC)[C@@H]1CCCO1 ZINC000278997007 194245213 /nfs/dbraw/zinc/24/52/13/194245213.db2.gz CENXQENKFRCJNU-KBPBESRZSA-N 0 1 282.384 0.971 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](OC)C2)CC1 ZINC000171115288 335055753 /nfs/dbraw/zinc/05/57/53/335055753.db2.gz XYRLBVGZWXWSDD-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN COc1cc(C#N)ccc1OCCN1C[C@@H](O)C[C@H]1CO ZINC000186509162 388838554 /nfs/dbraw/zinc/83/85/54/388838554.db2.gz TXZONAJCZQMLRC-STQMWFEESA-N 0 1 292.335 0.373 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC000289058185 388867227 /nfs/dbraw/zinc/86/72/27/388867227.db2.gz NFECIXYZPWLUOP-WCQYABFASA-N 0 1 279.384 0.620 20 30 CCEDMN C#CCOc1ccc([C@@H](C)NCCS(=O)(=O)NC)cc1 ZINC000187174857 388870543 /nfs/dbraw/zinc/87/05/43/388870543.db2.gz ITSPSADGVLVHBY-GFCCVEGCSA-N 0 1 296.392 0.898 20 30 CCEDMN C[C@@H]1CN(CCOc2ccc(C#N)cc2)CCN1CCO ZINC000187665087 388889814 /nfs/dbraw/zinc/88/98/14/388889814.db2.gz HQUQORPKMYGLNT-CQSZACIVSA-N 0 1 289.379 0.935 20 30 CCEDMN C=C[C@H](CO)NCc1c(C)nn(C)c1N1CCOCC1 ZINC000289761059 388889863 /nfs/dbraw/zinc/88/98/63/388889863.db2.gz IEACMSHTRBQMRJ-GFCCVEGCSA-N 0 1 280.372 0.202 20 30 CCEDMN C[C@@H]1CN(CCOc2cccc(C#N)c2)CCN1CCO ZINC000187690869 388891692 /nfs/dbraw/zinc/89/16/92/388891692.db2.gz QUYBUTNQZKQKIJ-CQSZACIVSA-N 0 1 289.379 0.935 20 30 CCEDMN C=C[C@H](CO)N[C@@H]1CCCc2ccc(S(N)(=O)=O)cc21 ZINC000289904077 388897328 /nfs/dbraw/zinc/89/73/28/388897328.db2.gz UAKPBYGBYMMDTF-BXUZGUMPSA-N 0 1 296.392 0.848 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](COC)O[C@@H](C)C2)CC1 ZINC000188161295 388916423 /nfs/dbraw/zinc/91/64/23/388916423.db2.gz RHEZUFCIKNEKMZ-DZGCQCFKSA-N 0 1 294.395 0.594 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCCC[C@H]1CCO ZINC000049801292 388923828 /nfs/dbraw/zinc/92/38/28/388923828.db2.gz HIYLHYFHIYHZOO-AWEZNQCLSA-N 0 1 292.383 0.879 20 30 CCEDMN CN(C(=O)CN1CC[C@@H](CO)C1)C1(C#N)CCCCC1 ZINC000051887649 388927719 /nfs/dbraw/zinc/92/77/19/388927719.db2.gz SLEBKULZLHIPBQ-CYBMUJFWSA-N 0 1 279.384 0.985 20 30 CCEDMN C=CCC(F)(F)C(=O)NCCN1CCN(C)CC1 ZINC000292848993 388963091 /nfs/dbraw/zinc/96/30/91/388963091.db2.gz RZLCHPWZJIGPEN-UHFFFAOYSA-N 0 1 261.316 0.561 20 30 CCEDMN C[NH+](C)C[C@@](C)(O)CNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188720093 388938464 /nfs/dbraw/zinc/93/84/64/388938464.db2.gz YYKXLEFMHNKOAA-AWEZNQCLSA-N 0 1 277.324 0.306 20 30 CCEDMN CCCCNC(=O)[C@H]1CCCN(CC(=O)NCC#N)C1 ZINC000066497219 388945738 /nfs/dbraw/zinc/94/57/38/388945738.db2.gz LQMRHRKJKRSGHF-LBPRGKRZSA-N 0 1 280.372 0.254 20 30 CCEDMN C#CC[N@@H+](C)CCCNc1nc2[n-]ncc2c(=O)[nH]1 ZINC000292780396 388957036 /nfs/dbraw/zinc/95/70/36/388957036.db2.gz LTHUTCOFUWQZFW-UHFFFAOYSA-N 0 1 260.301 0.426 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2nc(C)cs2)CC1 ZINC000293454742 388982550 /nfs/dbraw/zinc/98/25/50/388982550.db2.gz KVRFSBNRDWAUKN-UHFFFAOYSA-N 0 1 292.408 0.713 20 30 CCEDMN C#CCNC(=O)CCN(CC(=O)OCC)CC(C)C ZINC000293620396 388985369 /nfs/dbraw/zinc/98/53/69/388985369.db2.gz WCNJRKBNECIRFB-UHFFFAOYSA-N 0 1 268.357 0.647 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC(NC(N)=O)CC1 ZINC000079465692 388996901 /nfs/dbraw/zinc/99/69/01/388996901.db2.gz WKMNLBWHJWLXNQ-GFCCVEGCSA-N 0 1 294.399 0.708 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@@H+](C[C@@H](C)O)[C@@H](C)CO ZINC000190876504 389000749 /nfs/dbraw/zinc/00/07/49/389000749.db2.gz LAOOKPACUPGTQI-QWHCGFSZSA-N 0 1 272.389 0.475 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C[C@@H](C)O)[C@@H](C)CO ZINC000190876504 389000751 /nfs/dbraw/zinc/00/07/51/389000751.db2.gz LAOOKPACUPGTQI-QWHCGFSZSA-N 0 1 272.389 0.475 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@H]2C[C@@H](C1)Cn1c(=O)cccc12 ZINC000295672038 389008383 /nfs/dbraw/zinc/00/83/83/389008383.db2.gz RJPCDQJVGLWQJJ-HZSPNIEDSA-N 0 1 299.374 0.405 20 30 CCEDMN COC[C@H]1CN(CCC(=O)N(C)CCC#N)CCO1 ZINC000092797779 389032421 /nfs/dbraw/zinc/03/24/21/389032421.db2.gz AWRAPRGNJIGJGF-GFCCVEGCSA-N 0 1 269.345 0.096 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000092800722 389032441 /nfs/dbraw/zinc/03/24/41/389032441.db2.gz MVNVDRLNUIFFIS-KBPBESRZSA-N 0 1 295.383 0.628 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(CC3CC3)CC2)C1=O ZINC000337146368 389047816 /nfs/dbraw/zinc/04/78/16/389047816.db2.gz YHWODUUQJCJZNU-CQSZACIVSA-N 0 1 263.385 0.801 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(CC3CC3)CC2)C1=O ZINC000337146367 389048332 /nfs/dbraw/zinc/04/83/32/389048332.db2.gz YHWODUUQJCJZNU-AWEZNQCLSA-N 0 1 263.385 0.801 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](O)COC(C)C)C1=O ZINC000337202468 389053033 /nfs/dbraw/zinc/05/30/33/389053033.db2.gz FDLGKMOFLBXNSI-STQMWFEESA-N 0 1 270.373 0.491 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N[C@@H]1CCCN(C)[C@@H]1C ZINC000355305648 389057541 /nfs/dbraw/zinc/05/75/41/389057541.db2.gz ZJPRGODEHKQUIY-VXGBXAGGSA-N 0 1 299.440 0.621 20 30 CCEDMN C=CCN1CC[C@H](N(CC)CCOCCO)C1=O ZINC000337445745 389061994 /nfs/dbraw/zinc/06/19/94/389061994.db2.gz PTDUUQONTSWASO-LBPRGKRZSA-N 0 1 256.346 0.104 20 30 CCEDMN C[C@@H]1CCNC(=O)[C@H]1NCC(=O)NC1(C#N)CCCCC1 ZINC000193653500 389076286 /nfs/dbraw/zinc/07/62/86/389076286.db2.gz VLWJIXVUBJENRZ-YPMHNXCESA-N 0 1 292.383 0.443 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000336927859 389033268 /nfs/dbraw/zinc/03/32/68/389033268.db2.gz XBJOPGCIJSXXDV-LLVKDONJSA-N 0 1 286.401 0.293 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CCOCC ZINC000093315296 389034856 /nfs/dbraw/zinc/03/48/56/389034856.db2.gz NTFDLTTYYNBLHP-SNVBAGLBSA-N 0 1 257.334 0.355 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NCCC1(O)CCC1 ZINC000328902067 389144347 /nfs/dbraw/zinc/14/43/47/389144347.db2.gz ZXAPXWXOXRVBPA-CYBMUJFWSA-N 0 1 298.431 0.431 20 30 CCEDMN C=CCN(C)[C@@H](C)C(=O)N1CCC(C(N)=O)CC1 ZINC000121321808 389147112 /nfs/dbraw/zinc/14/71/12/389147112.db2.gz XCCDXGPTIPAUFA-JTQLQIEISA-N 0 1 253.346 0.217 20 30 CCEDMN Cc1ncc(S(=O)(=O)N2C[C@@H](C)NC[C@H]2C)cc1C#N ZINC000313111435 389111215 /nfs/dbraw/zinc/11/12/15/389111215.db2.gz OHDWSEVTFSRJQB-NXEZZACHSA-N 0 1 294.380 0.633 20 30 CCEDMN C[C@H](CNC(=O)C(C)(C)C#N)N1CCN(C)CC1 ZINC000114384171 389130748 /nfs/dbraw/zinc/13/07/48/389130748.db2.gz OTFNLWQZNWJVOD-LLVKDONJSA-N 0 1 252.362 0.288 20 30 CCEDMN C[C@H](CNC(=O)CN1CCCCC1=O)N1CCN(C)CC1 ZINC000328764860 389137260 /nfs/dbraw/zinc/13/72/60/389137260.db2.gz GOKCDZIVYJNOLR-CYBMUJFWSA-N 0 1 296.415 0.591 20 30 CCEDMN N#C[C@]1(NC(=O)c2cc(O)cc([N+](=O)[O-])c2)CCOC1 ZINC000358437595 389149957 /nfs/dbraw/zinc/14/99/57/389149957.db2.gz FMPNVMFAURUJPE-GFCCVEGCSA-N 0 1 277.236 0.713 20 30 CCEDMN C[C@]1(O)CCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000329641304 389181479 /nfs/dbraw/zinc/18/14/79/389181479.db2.gz XQWWNLBOUKBMOP-RNCFNFMXSA-N 0 1 264.329 0.638 20 30 CCEDMN C[C@]1(O)CCN(C([O-])=[NH+][C@@H]2CCc3nc[nH]c3C2)C1 ZINC000329641304 389181482 /nfs/dbraw/zinc/18/14/82/389181482.db2.gz XQWWNLBOUKBMOP-RNCFNFMXSA-N 0 1 264.329 0.638 20 30 CCEDMN C[C@]1(O)CCN(C([O-])=[NH+][C@@H]2CCc3[nH]cnc3C2)C1 ZINC000329641304 389181484 /nfs/dbraw/zinc/18/14/84/389181484.db2.gz XQWWNLBOUKBMOP-RNCFNFMXSA-N 0 1 264.329 0.638 20 30 CCEDMN O=C(N[C@@H]1CCCS(=O)(=O)C1)c1ccc2[nH]nnc2c1 ZINC000329690061 389188300 /nfs/dbraw/zinc/18/83/00/389188300.db2.gz BKRHMAQNCKMKSP-SECBINFHSA-N 0 1 294.336 0.840 20 30 CCEDMN O=C(NC[C@H]1COCCO1)N1CCn2cncc2C1 ZINC000329701984 389190652 /nfs/dbraw/zinc/19/06/52/389190652.db2.gz HQRFGWJAWIVTCN-NSHDSACASA-N 0 1 266.301 0.028 20 30 CCEDMN O=C(N[C@H]1CCc2nc[nH]c2C1)N1CCC[C@H]1CO ZINC000329738958 389194824 /nfs/dbraw/zinc/19/48/24/389194824.db2.gz NBQRRAMMTVFENR-UWVGGRQHSA-N 0 1 264.329 0.638 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCCO[C@@H](C)C1 ZINC000245402673 389206313 /nfs/dbraw/zinc/20/63/13/389206313.db2.gz IYNICLHWLKOHRJ-WDEREUQCSA-N 0 1 269.345 0.498 20 30 CCEDMN COC(=O)[C@@H]1CCCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000245404885 389206471 /nfs/dbraw/zinc/20/64/71/389206471.db2.gz QXCBZOGPAPOVQH-VXGBXAGGSA-N 0 1 281.356 0.632 20 30 CCEDMN Cc1nnc(SCC(=O)N2CCSC[C@H]2C#N)[nH]1 ZINC000342228165 389201345 /nfs/dbraw/zinc/20/13/45/389201345.db2.gz WHVHTDGXOPCPTF-MRVPVSSYSA-N 0 1 283.382 0.673 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CCSC[C@H]2C#N)n1 ZINC000342228165 389201349 /nfs/dbraw/zinc/20/13/49/389201349.db2.gz WHVHTDGXOPCPTF-MRVPVSSYSA-N 0 1 283.382 0.673 20 30 CCEDMN C[C@H](NC(=O)NCCN1CCCOCC1)[C@H]1CCOC1 ZINC000329804872 389203174 /nfs/dbraw/zinc/20/31/74/389203174.db2.gz MMQLKNNRFFGPQN-STQMWFEESA-N 0 1 285.388 0.637 20 30 CCEDMN N#C[C@@]1(NC(=O)c2cccc3nc[nH]c32)CCOC1 ZINC000358480478 389152728 /nfs/dbraw/zinc/15/27/28/389152728.db2.gz WQSGNQUJPIFXAX-ZDUSSCGKSA-N 0 1 256.265 0.975 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NC[C@@H]2CCCOC2)[C@@H](C)C1 ZINC000329239330 389152979 /nfs/dbraw/zinc/15/29/79/389152979.db2.gz LXBVHFZLAZVLKZ-IHRRRGAJSA-N 0 1 299.415 0.714 20 30 CCEDMN Cc1cc(CNC(=O)N[C@H](C)c2nnc[nH]2)on1 ZINC000329283498 389154133 /nfs/dbraw/zinc/15/41/33/389154133.db2.gz NLHCEIDEDHSJGU-SSDOTTSWSA-N 0 1 250.262 0.866 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2(C)COC2)[C@@H](C)C1 ZINC000329281950 389154649 /nfs/dbraw/zinc/15/46/49/389154649.db2.gz MQJXKDOUEYQDPX-RYUDHWBXSA-N 0 1 285.388 0.324 20 30 CCEDMN [O-]C(N[C@H]1CCc2ncnn2C1)=[NH+][C@@H]1CC[C@H](F)C1 ZINC000329297463 389155114 /nfs/dbraw/zinc/15/51/14/389155114.db2.gz UDJAAOQCMBOXBI-AEJSXWLSSA-N 0 1 267.308 0.987 20 30 CCEDMN O=C(N[C@@H]1CC[C@H](F)C1)N[C@H]1CCc2ncnn2C1 ZINC000329297463 389155117 /nfs/dbraw/zinc/15/51/17/389155117.db2.gz UDJAAOQCMBOXBI-AEJSXWLSSA-N 0 1 267.308 0.987 20 30 CCEDMN CC(C)N1C[C@H](NC(=O)[C@H](C)CN2CCOCC2)CC1=O ZINC000329334166 389157493 /nfs/dbraw/zinc/15/74/93/389157493.db2.gz NWFVJEOOSCVVOV-CHWSQXEVSA-N 0 1 297.399 0.921 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)N[C@@H]2CCCOCC2)[C@H](C)C1 ZINC000329396436 389162197 /nfs/dbraw/zinc/16/21/97/389162197.db2.gz WCGYIQMWSCTKDX-HZSPNIEDSA-N 0 1 299.415 0.856 20 30 CCEDMN C[C@@H](NC(=O)Cn1cnnn1)C1=CC[N@@H+](C)CC1 ZINC000329483405 389166553 /nfs/dbraw/zinc/16/65/53/389166553.db2.gz FFQWEFAYMPWSPA-SECBINFHSA-N 0 1 250.306 0.280 20 30 CCEDMN Cc1nnc2n1C[C@H](C[NH+]=C([O-])N(C)C1CC(O)C1)CC2 ZINC000329562930 389171278 /nfs/dbraw/zinc/17/12/78/389171278.db2.gz JQWKTGQNFVTGGX-UNXYVOJBSA-N 0 1 293.371 0.518 20 30 CCEDMN C[C@H](Cn1cncn1)NC(=O)N[C@@H]1CCO[C@H](C)C1 ZINC000330596065 389244796 /nfs/dbraw/zinc/24/47/96/389244796.db2.gz CNYHPNVRQNIKIJ-GMTAPVOTSA-N 0 1 267.333 0.738 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN[C@H]1C(=O)NCC[C@H]1C ZINC000249546467 389255785 /nfs/dbraw/zinc/25/57/85/389255785.db2.gz KEUHHLRDAUSTCT-MPKXVKKWSA-N 0 1 280.372 0.155 20 30 CCEDMN [O-]C(N[C@@H]1CCC[C@@H]2OCC[C@@H]21)=[NH+]CCn1cncn1 ZINC000329889847 389208780 /nfs/dbraw/zinc/20/87/80/389208780.db2.gz FEKZISSKMUKIJI-UTUOFQBUSA-N 0 1 279.344 0.739 20 30 CCEDMN O=C(NCCn1cncn1)N[C@@H]1CCC[C@@H]2OCC[C@@H]21 ZINC000329889847 389208782 /nfs/dbraw/zinc/20/87/82/389208782.db2.gz FEKZISSKMUKIJI-UTUOFQBUSA-N 0 1 279.344 0.739 20 30 CCEDMN CC#CCCNC(=O)NC[C@@H](C(C)C)N1CC[NH+](C)CC1 ZINC000361290304 389211513 /nfs/dbraw/zinc/21/15/13/389211513.db2.gz WRGMHBWLAORQKX-HNNXBMFYSA-N 0 1 294.443 0.971 20 30 CCEDMN CC#CCCNC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000361290304 389211515 /nfs/dbraw/zinc/21/15/15/389211515.db2.gz WRGMHBWLAORQKX-HNNXBMFYSA-N 0 1 294.443 0.971 20 30 CCEDMN Cc1ccc2[nH]cc(C(=O)N3CC(O)(CC#N)C3)c(=O)c2c1 ZINC000342545765 389220611 /nfs/dbraw/zinc/22/06/11/389220611.db2.gz OHVIKSUPZKFYOK-UHFFFAOYSA-N 0 1 297.314 0.937 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCOC[C@@H](C)C1 ZINC000361942754 389226986 /nfs/dbraw/zinc/22/69/86/389226986.db2.gz IMAJKTDWLQODDQ-NWDGAFQWSA-N 0 1 253.346 0.715 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C[C@@H]1CCC[C@H]1O ZINC000246427968 389228171 /nfs/dbraw/zinc/22/81/71/389228171.db2.gz IKNALOPSOBSFQP-WDEREUQCSA-N 0 1 269.345 0.091 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000330453350 389230884 /nfs/dbraw/zinc/23/08/84/389230884.db2.gz LDAUMBVHZHFWCQ-WFASDCNBSA-N 0 1 284.400 0.617 20 30 CCEDMN CC[C@@H](CO)N(CC(=O)NCC#N)Cc1ccccc1 ZINC000342633344 389232287 /nfs/dbraw/zinc/23/22/87/389232287.db2.gz NBQPTPLPQHRJCM-AWEZNQCLSA-N 0 1 275.352 0.899 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@]1(CC(=O)OC)CCOC1 ZINC000362260707 389233124 /nfs/dbraw/zinc/23/31/24/389233124.db2.gz BCGGIIKLYYZQGO-HNNXBMFYSA-N 0 1 296.367 0.499 20 30 CCEDMN CCN(C[C@@H]1CCCO1)[C@H](C)C(=O)NC(=O)NC ZINC000330537161 389239171 /nfs/dbraw/zinc/23/91/71/389239171.db2.gz QFKJJIIWVILBTB-ZJUUUORDSA-N 0 1 257.334 0.536 20 30 CCEDMN N#CCc1cccc(C(=O)NCCc2nc[nH]n2)c1 ZINC000345748576 389314138 /nfs/dbraw/zinc/31/41/38/389314138.db2.gz ZBEQSNOJDSYMNN-UHFFFAOYSA-N 0 1 255.281 0.843 20 30 CCEDMN C=CCOCC(=O)N1CCN(CC2CCOCC2)CC1 ZINC000345914479 389318070 /nfs/dbraw/zinc/31/80/70/389318070.db2.gz MBCIFXHPAGTDRS-UHFFFAOYSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H](C)[C@](C)(C(=O)OC)C1 ZINC000367071086 389318370 /nfs/dbraw/zinc/31/83/70/389318370.db2.gz IJVRLMQEHVHYCM-QMTHXVAHSA-N 0 1 297.355 0.129 20 30 CCEDMN C[C@H]1C[NH+]=C(NCc2nnc(COc3ccccc3)[nH]2)N1 ZINC000261859486 389277803 /nfs/dbraw/zinc/27/78/03/389277803.db2.gz AJZCKOUCKWJDBZ-JTQLQIEISA-N 0 1 286.339 0.821 20 30 CCEDMN CCCCCCCN1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000152595275 389292271 /nfs/dbraw/zinc/29/22/71/389292271.db2.gz OIXGCHPXAMWUDU-GFCCVEGCSA-N 0 1 267.373 0.599 20 30 CCEDMN C=CCNC(=O)Cc1noc(CCCCc2cn[nH]n2)n1 ZINC000346965710 389342180 /nfs/dbraw/zinc/34/21/80/389342180.db2.gz RZCZXRLKSCIBRB-UHFFFAOYSA-N 0 1 290.327 0.598 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)NCCN1CCN(C)CC1 ZINC000160170605 389382262 /nfs/dbraw/zinc/38/22/62/389382262.db2.gz XSZVJIKXCZFEEG-UHFFFAOYSA-N 0 1 286.379 0.844 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N([C@@H]2CCCc3cn[nH]c32)C1=O ZINC000348597523 389385353 /nfs/dbraw/zinc/38/53/53/389385353.db2.gz GANOZNWUKGLCBB-WDEREUQCSA-N 0 1 290.323 0.910 20 30 CCEDMN CN(CC#N)C(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000347726447 389356854 /nfs/dbraw/zinc/35/68/54/389356854.db2.gz QWUPRVZQVUNWMH-UHFFFAOYSA-N 0 1 273.340 0.429 20 30 CCEDMN C=CCOCCCN1CCC[C@H](S(N)(=O)=O)C1 ZINC000348061564 389364290 /nfs/dbraw/zinc/36/42/90/389364290.db2.gz TWSDHHVJJZXASS-NSHDSACASA-N 0 1 262.375 0.332 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](C(=O)N(C)C)C1 ZINC000411954890 389470765 /nfs/dbraw/zinc/47/07/65/389470765.db2.gz PWWBZEOVGBAOLL-CHWSQXEVSA-N 0 1 294.399 0.405 20 30 CCEDMN C[C@@H](C[NH+]1CCOCC1)C(=O)Nc1nc(C#N)c(C#N)[n-]1 ZINC000273135269 389474971 /nfs/dbraw/zinc/47/49/71/389474971.db2.gz VRRMLSLMMWEIOS-VIFPVBQESA-N 0 1 288.311 0.060 20 30 CCEDMN CC#CCCN1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000274116204 389485433 /nfs/dbraw/zinc/48/54/33/389485433.db2.gz XMNTUZCULJODQO-UHFFFAOYSA-N 0 1 272.352 0.716 20 30 CCEDMN N#Cc1cccc(NCCCN2CCC[C@H]2C(N)=O)n1 ZINC000270065162 389428110 /nfs/dbraw/zinc/42/81/10/389428110.db2.gz QBGOIFZRQJRUIB-LBPRGKRZSA-N 0 1 273.340 0.705 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(C[C@H](C)O)[C@@H](C)C1 ZINC000334090400 389454843 /nfs/dbraw/zinc/45/48/43/389454843.db2.gz NWFIWZULEWJYSD-FQUUOJAGSA-N 0 1 297.399 0.426 20 30 CCEDMN CN(Cc1nnc[nH]1)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000174817031 389530891 /nfs/dbraw/zinc/53/08/91/389530891.db2.gz DCYJOYIVORHHIT-GFCCVEGCSA-N 0 1 287.323 0.548 20 30 CCEDMN C[C@@H]1C[C@@]1(C#N)C(=O)N[C@H](CO)CN1CCCCC1 ZINC000413797473 389559171 /nfs/dbraw/zinc/55/91/71/389559171.db2.gz ISVFDQLJHUULHY-DYEKYZERSA-N 0 1 265.357 0.499 20 30 CCEDMN CN(CC(=O)N[C@@H]1CC[C@H](C#N)C1)c1ncnc2[nH]cnc21 ZINC000424975221 389637476 /nfs/dbraw/zinc/63/74/76/389637476.db2.gz HDTQPDXAOUIRJM-VHSXEESVSA-N 0 1 299.338 0.598 20 30 CCEDMN CN(CC(=O)Nc1ccc(C#N)cc1)Cc1nnc[nH]1 ZINC000177139032 389573049 /nfs/dbraw/zinc/57/30/49/389573049.db2.gz FRLLQCUESYQETR-UHFFFAOYSA-N 0 1 270.296 0.747 20 30 CCEDMN C=CCOCC(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000425320401 389645091 /nfs/dbraw/zinc/64/50/91/389645091.db2.gz LHTSDMWAODXJDA-UHFFFAOYSA-N 0 1 267.285 0.684 20 30 CCEDMN COC(=O)c1cn([C@H]2CCN(CCCC#N)C2)nn1 ZINC000375212627 389649148 /nfs/dbraw/zinc/64/91/48/389649148.db2.gz WQHAKXPPMNOUIQ-JTQLQIEISA-N 0 1 263.301 0.615 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](N3CCCC3=O)C2)C1=O ZINC000282138380 389658333 /nfs/dbraw/zinc/65/83/33/389658333.db2.gz ZGVBPBZHDUKZPU-CHWSQXEVSA-N 0 1 277.368 0.470 20 30 CCEDMN Cc1cccc(NC(=O)C(=O)N2CCNC[C@H]2C)c1C#N ZINC000415341433 389675850 /nfs/dbraw/zinc/67/58/50/389675850.db2.gz GWUWQGSZYGRFAR-LLVKDONJSA-N 0 1 286.335 0.626 20 30 CCEDMN C=CCn1nnnc1SCc1n[nH]c(CC)n1 ZINC000181756423 389693708 /nfs/dbraw/zinc/69/37/08/389693708.db2.gz KWSFVMHQUSGZBL-UHFFFAOYSA-N 0 1 251.319 0.832 20 30 CCEDMN CN(C)C(=O)[C@H]1CC[C@@H](CNCc2ccc(C#N)o2)O1 ZINC000182038597 389698166 /nfs/dbraw/zinc/69/81/66/389698166.db2.gz RRRLLNCQYVWHSH-QWHCGFSZSA-N 0 1 277.324 0.877 20 30 CCEDMN C[C@@](C#N)(NC(=O)CSc1nc(N)cc(N)n1)C1CC1 ZINC000031354137 262376262 /nfs/dbraw/zinc/37/62/62/262376262.db2.gz RKTKYVLLSXHCTI-LBPRGKRZSA-N 0 1 292.368 0.542 20 30 CCEDMN CN(C)C[C@H]1CCCN1S(=O)(=O)N(C)CCC#N ZINC000408333058 389843779 /nfs/dbraw/zinc/84/37/79/389843779.db2.gz ZHUVHPUAAKBBJQ-LLVKDONJSA-N 0 1 274.390 0.103 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC2(CC#N)CC2)[C@H](C)CN1 ZINC000418822866 389884892 /nfs/dbraw/zinc/88/48/92/389884892.db2.gz HXTOWGKWPIGBEZ-GHMZBOCLSA-N 0 1 278.356 0.005 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000444390244 389905679 /nfs/dbraw/zinc/90/56/79/389905679.db2.gz UARFVMDEBVOVOC-QWRGUYRKSA-N 0 1 253.346 0.450 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](O)C(C)(C)C1 ZINC000447869969 389967196 /nfs/dbraw/zinc/96/71/96/389967196.db2.gz AZEHTOUJJXEVCJ-LLVKDONJSA-N 0 1 253.346 0.451 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)CCSc1nnc(C)[nH]1 ZINC000433976498 389922171 /nfs/dbraw/zinc/92/21/71/389922171.db2.gz MLUVUQJFQYJAOC-LLVKDONJSA-N 0 1 283.357 0.640 20 30 CCEDMN C#CCN(C)C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000491306405 390111803 /nfs/dbraw/zinc/11/18/03/390111803.db2.gz MTISONZIRXNGRY-CYBMUJFWSA-N 0 1 267.373 0.618 20 30 CCEDMN COCC#CCN1CCC[C@H](N2CCOCC2)C1 ZINC000648018427 390250339 /nfs/dbraw/zinc/25/03/39/390250339.db2.gz WWKRXZOVDTVFAJ-AWEZNQCLSA-N 0 1 252.358 0.433 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCCc3cn[nH]c32)nn1 ZINC000648830881 390418096 /nfs/dbraw/zinc/41/80/96/390418096.db2.gz DXPJLQMMTYIBAV-JTQLQIEISA-N 0 1 272.312 0.995 20 30 CCEDMN C=CCn1cc(C(=O)NCCN2CCCCC2)nn1 ZINC000648735339 390397857 /nfs/dbraw/zinc/39/78/57/390397857.db2.gz LHEYYPXBJFJZIE-UHFFFAOYSA-N 0 1 263.345 0.680 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000565303383 390502005 /nfs/dbraw/zinc/50/20/05/390502005.db2.gz NJHXWWLSRWPVLQ-OUAUKWLOSA-N 0 1 297.355 0.429 20 30 CCEDMN N#CCC[C@@H](C#N)CNCCNC(=O)c1ccn[nH]1 ZINC000566674224 390637479 /nfs/dbraw/zinc/63/74/79/390637479.db2.gz GEKQYNYNCSOXGW-JTQLQIEISA-N 0 1 260.301 0.173 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@H](C)Cc1cnc[nH]1 ZINC000570825208 390720157 /nfs/dbraw/zinc/72/01/57/390720157.db2.gz SLHHNNDOEPEORC-SECBINFHSA-N 0 1 250.302 0.007 20 30 CCEDMN C[C@H]1CN(CC#CCN2C(=O)c3ccccc3C2=O)C[C@@H]1O ZINC000528431725 390744276 /nfs/dbraw/zinc/74/42/76/390744276.db2.gz QYHSNSOCZPCCEI-WFASDCNBSA-N 0 1 298.342 0.599 20 30 CCEDMN CN(C)c1cccc(CNC[C@@H](O)CN(C)CCC#N)n1 ZINC000578232526 390871958 /nfs/dbraw/zinc/87/19/58/390871958.db2.gz WFHAXPLQQKCFAN-CQSZACIVSA-N 0 1 291.399 0.444 20 30 CCEDMN CCN1CCN(C(=O)Cc2noc(C)c2C#N)[C@@H](C)C1 ZINC000341797081 130035384 /nfs/dbraw/zinc/03/53/84/130035384.db2.gz JVGDMOXWCVKDEK-JTQLQIEISA-N 0 1 276.340 0.950 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000242324439 131080337 /nfs/dbraw/zinc/08/03/37/131080337.db2.gz ZBGLPVGXXXRITE-SNVBAGLBSA-N 0 1 297.380 0.547 20 30 CCEDMN C[C@H](CO)N1CCCN(C(=O)c2ccnc(C#N)c2)CC1 ZINC000357002019 144050095 /nfs/dbraw/zinc/05/00/95/144050095.db2.gz OLHIDEZRIVSSIX-GFCCVEGCSA-N 0 1 288.351 0.482 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)N[C@@H]2CC[C@@H]2C)[nH]1 ZINC000357310009 144081269 /nfs/dbraw/zinc/08/12/69/144081269.db2.gz NLTKMXXBMKYGCV-GXSJLCMTSA-N 0 1 289.339 0.611 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)N[C@@H]2CC[C@H]2C)[nH]1 ZINC000357310015 144081398 /nfs/dbraw/zinc/08/13/98/144081398.db2.gz NLTKMXXBMKYGCV-MWLCHTKSSA-N 0 1 289.339 0.611 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC2(c3nn[nH]n3)CCCC2)c1 ZINC000360101806 397472791 /nfs/dbraw/zinc/47/27/91/397472791.db2.gz NLASDZOWIZJDHU-UHFFFAOYSA-N 0 1 271.284 0.599 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)NCC2(C)CC2)[nH]1 ZINC000359236480 144204796 /nfs/dbraw/zinc/20/47/96/144204796.db2.gz BBFKJZXBBTZLOY-UHFFFAOYSA-N 0 1 289.339 0.612 20 30 CCEDMN C=CCn1c(SCC(=O)c2cn(C)nn2)n[nH]c1=O ZINC000651191167 397849980 /nfs/dbraw/zinc/84/99/80/397849980.db2.gz OZULNTWIXPWOPT-UHFFFAOYSA-N 0 1 280.313 0.273 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cccnc1C ZINC000685900715 545865656 /nfs/dbraw/zinc/86/56/56/545865656.db2.gz VNHUFXUKIBKJAH-UHFFFAOYSA-N 0 1 294.336 0.847 20 30 CCEDMN CCN1CCN(CC(=O)N(C[C@H](C)C#N)C2CC2)CC1 ZINC000338358313 262528732 /nfs/dbraw/zinc/52/87/32/262528732.db2.gz WQCRGAICEKXHES-CYBMUJFWSA-N 0 1 278.400 0.775 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@H](C)Cc1cnc[nH]1 ZINC000654982839 412456479 /nfs/dbraw/zinc/45/64/79/412456479.db2.gz IFMHEJDEWGBIIV-GHMZBOCLSA-N 0 1 251.330 0.889 20 30 CCEDMN C=CCCNC(=O)N1CCC[C@H](C(=O)N2CCNCC2)C1 ZINC000659563394 413657669 /nfs/dbraw/zinc/65/76/69/413657669.db2.gz VHQHXJJHVIOMFP-ZDUSSCGKSA-N 0 1 294.399 0.416 20 30 CCEDMN C=CCCC[C@H](C)NC(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC000659687956 413776450 /nfs/dbraw/zinc/77/64/50/413776450.db2.gz PURUVMOWWAEHCW-QJPTWQEYSA-N 0 1 285.363 0.745 20 30 CCEDMN COCC#CCN1CCC(NC(=O)N2CCOCC2)CC1 ZINC000660859616 414513426 /nfs/dbraw/zinc/51/34/26/414513426.db2.gz OGYYNFDEHXNNKG-UHFFFAOYSA-N 0 1 295.383 0.142 20 30 CCEDMN C=CCC[C@H](O)CN1C[C@H]2[C@@H](C1)[C@H](OC)CS2(=O)=O ZINC000661884677 414682677 /nfs/dbraw/zinc/68/26/77/414682677.db2.gz PCFSKTFJLOFTIJ-RVMXOQNASA-N 0 1 289.397 0.057 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N(C)Cc1cccs1 ZINC000662163506 414694743 /nfs/dbraw/zinc/69/47/43/414694743.db2.gz CWWBSAGGSGAQEO-SNVBAGLBSA-N 0 1 254.355 0.843 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCN1CCc2ccccc21 ZINC000662165356 414694891 /nfs/dbraw/zinc/69/48/91/414694891.db2.gz CXTRXIPVJPPMQI-CQSZACIVSA-N 0 1 289.379 0.302 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCOc1ccc(Cl)cc1 ZINC000662167921 414695135 /nfs/dbraw/zinc/69/51/35/414695135.db2.gz RUIJVEIBNJIEDR-GFCCVEGCSA-N 0 1 298.770 0.972 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCCN(C)CC1 ZINC000665161288 415556105 /nfs/dbraw/zinc/55/61/05/415556105.db2.gz BMUUKYPVECAEPE-CYBMUJFWSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCn1cc(CNC(=O)NCCCc2nc[nH]n2)nn1 ZINC000665183038 415561720 /nfs/dbraw/zinc/56/17/20/415561720.db2.gz TXBHFVSUZGSXHZ-UHFFFAOYSA-N 0 1 290.331 0.014 20 30 CCEDMN Cc1c(CNC(=O)N[C@H](C)c2nnc[nH]2)cnn1C ZINC000329273189 227399563 /nfs/dbraw/zinc/39/95/63/227399563.db2.gz IWEPVKKKUBBJBI-SSDOTTSWSA-N 0 1 263.305 0.611 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@@H](C)c1ncnn1C ZINC000330006729 228014758 /nfs/dbraw/zinc/01/47/58/228014758.db2.gz FJPFHLLSASORKV-MNOVXSKESA-N 0 1 281.360 0.801 20 30 CCEDMN N#CCCCCN1CCN(c2cncc3ncnn32)CC1 ZINC000331516149 228109610 /nfs/dbraw/zinc/10/96/10/228109610.db2.gz JSMZPKOACUZCFM-UHFFFAOYSA-N 0 1 285.355 0.940 20 30 CCEDMN Cc1nccc(NC(=O)NC[C@H]2CN(C)CCN2C)n1 ZINC000330100351 228039450 /nfs/dbraw/zinc/03/94/50/228039450.db2.gz DKMZYSVRFBGZMC-NSHDSACASA-N 0 1 278.360 0.357 20 30 CCEDMN O=C(N[C@@H]1C=C[C@H](CO)C1)N[C@H]1CC[N@H+](C2CC2)C1 ZINC000330106584 228041027 /nfs/dbraw/zinc/04/10/27/228041027.db2.gz LCKLPUUPMWFXDS-TUAOUCFPSA-N 0 1 265.357 0.664 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)[C@@H]1CCCN1C1CC1 ZINC000330270600 228087186 /nfs/dbraw/zinc/08/71/86/228087186.db2.gz ULRARHMMBLMYEE-NEPJUHHUSA-N 0 1 254.330 0.985 20 30 CCEDMN COCCN1CC[C@H](NC(=O)C2(C#N)CCC2)C1 ZINC000331761340 228126691 /nfs/dbraw/zinc/12/66/91/228126691.db2.gz JGVWXOMMURVSLV-NSHDSACASA-N 0 1 251.330 0.517 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NCC1(CCO)CC1)CC2 ZINC000330315376 228138398 /nfs/dbraw/zinc/13/83/98/228138398.db2.gz YSGWJFIKKIPQQV-LLVKDONJSA-N 0 1 293.371 0.568 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+]CC1(CCO)CC1)CC2 ZINC000330315376 228138400 /nfs/dbraw/zinc/13/84/00/228138400.db2.gz YSGWJFIKKIPQQV-LLVKDONJSA-N 0 1 293.371 0.568 20 30 CCEDMN C[C@@H]1COCC[C@@H]1NC(=O)NC[C@H]1CN(C)CCN1C ZINC000330334156 228154659 /nfs/dbraw/zinc/15/46/59/228154659.db2.gz QKEFVDGMPSOZGX-AGIUHOORSA-N 0 1 284.404 0.161 20 30 CCEDMN CN1CCN(CCNc2ccc(C#N)c(N)n2)CC1 ZINC000517756594 420379449 /nfs/dbraw/zinc/37/94/49/420379449.db2.gz GHFAABBQFQAAGD-UHFFFAOYSA-N 0 1 260.345 0.195 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000305078920 544987000 /nfs/dbraw/zinc/98/70/00/544987000.db2.gz LXJSAIYMYBHACA-NEPJUHHUSA-N 0 1 276.402 0.327 20 30 CCEDMN CC(C)CN1CCN(C(=O)NC[C@@H]2COCCO2)CC1 ZINC000328813019 545019236 /nfs/dbraw/zinc/01/92/36/545019236.db2.gz NEXCKPUMUABTFJ-CYBMUJFWSA-N 0 1 285.388 0.589 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)N1C[C@H]3CC[C@@H](C1)O3)CC2 ZINC000329797311 545026425 /nfs/dbraw/zinc/02/64/25/545026425.db2.gz FKQNKYHRXVDTSH-SDDRHHMPSA-N 0 1 291.355 0.679 20 30 CCEDMN C=CCN1CC[C@H](N2CCN([C@@H]3CCC[C@@H]3O)CC2)C1=O ZINC000374163829 260189412 /nfs/dbraw/zinc/18/94/12/260189412.db2.gz VAURDWMSHBSHCA-ILXRZTDVSA-N 0 1 293.411 0.304 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)[C@@H](C)CN1C ZINC000408075329 260214556 /nfs/dbraw/zinc/21/45/56/260214556.db2.gz YVLXAUDHEIBTQF-TYNCELHUSA-N 0 1 278.400 0.819 20 30 CCEDMN N#CCCN(C[C@@H](O)Cn1cc([N+](=O)[O-])cn1)CC1CC1 ZINC000081043761 260329667 /nfs/dbraw/zinc/32/96/67/260329667.db2.gz LQIQODUKPLDBQX-CYBMUJFWSA-N 0 1 293.327 0.778 20 30 CCEDMN C#C[C@H](NC(=O)[C@H](C)CN1CCOCC1)C(C)C ZINC000490629818 261172608 /nfs/dbraw/zinc/17/26/08/261172608.db2.gz NVJZFKKCHLCGDJ-OLZOCXBDSA-N 0 1 252.358 0.729 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]([C@H](C)O)C2)CC1 ZINC000490866477 261230797 /nfs/dbraw/zinc/23/07/97/261230797.db2.gz PJRYJRCTXXJUHV-DZGCQCFKSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCNC(=O)[C@H](C)N[C@@H](CO)c1sccc1C ZINC000491023453 261300717 /nfs/dbraw/zinc/30/07/17/261300717.db2.gz XJKDGVYVFBEIBW-QWRGUYRKSA-N 0 1 266.366 0.817 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CCCOC2)CC1 ZINC000491179656 261362200 /nfs/dbraw/zinc/36/22/00/261362200.db2.gz GWKCKAKSDQBROT-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN CCN(CCC#N)C(=O)CN1[C@@H](C)CC(O)C[C@@H]1C ZINC000191167706 262190093 /nfs/dbraw/zinc/19/00/93/262190093.db2.gz FFNFZUVYEZNVLC-RYUDHWBXSA-N 0 1 267.373 0.982 20 30 CCEDMN C[N@@H+]1CCC[C@H]1C(=O)N1CC[NH+](CCCCC#N)CC1 ZINC000162499424 262332158 /nfs/dbraw/zinc/33/21/58/262332158.db2.gz JWCASZOZSZJBSF-AWEZNQCLSA-N 0 1 278.400 0.919 20 30 CCEDMN C[C@@H](NCC(=O)N[C@](C)(C#N)C1CC1)c1cn[nH]c1 ZINC000273955433 263020717 /nfs/dbraw/zinc/02/07/17/263020717.db2.gz FFQJYKWAQRAOAY-NOZJJQNGSA-N 0 1 261.329 0.869 20 30 CCEDMN CO/N=C/C(=O)Nc1ccc(N2CCN(C)CC2)cn1 ZINC000283692972 263083804 /nfs/dbraw/zinc/08/38/04/263083804.db2.gz HISAIWNKLPGUTL-XNTDXEJSSA-N 0 1 277.328 0.404 20 30 CCEDMN N#CCc1ccc(C(=O)NCCc2nc[nH]n2)cc1 ZINC000340211697 263094859 /nfs/dbraw/zinc/09/48/59/263094859.db2.gz CAJKYGIAJWNZHT-UHFFFAOYSA-N 0 1 255.281 0.843 20 30 CCEDMN CN(CC(=O)OC(C)(C)C)[C@H]1CN(CCCC#N)C[C@@H]1O ZINC000340216556 263096600 /nfs/dbraw/zinc/09/66/00/263096600.db2.gz LIMKPOJXJFOTRR-STQMWFEESA-N 0 1 297.399 0.609 20 30 CCEDMN CO/N=C/C(=O)N1CC(N(C)Cc2ccccc2)C1 ZINC000288198673 263103817 /nfs/dbraw/zinc/10/38/17/263103817.db2.gz CLHWIIHTDXHWRY-OVCLIPMQSA-N 0 1 261.325 0.961 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)c2[nH]nc3ccccc32)CC1 ZINC000288210975 263103840 /nfs/dbraw/zinc/10/38/40/263103840.db2.gz UOIZVASQEZSTME-UHFFFAOYSA-N 0 1 297.318 0.761 20 30 CCEDMN C[C@H](C#N)NC(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000340433946 263144844 /nfs/dbraw/zinc/14/48/44/263144844.db2.gz JQRLAHLCFPUFCH-RKDXNWHRSA-N 0 1 285.307 0.709 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCOCCC#N)C1=O ZINC000294019062 263154718 /nfs/dbraw/zinc/15/47/18/263154718.db2.gz HGZGHRPCQICQSJ-GFCCVEGCSA-N 0 1 251.330 0.635 20 30 CCEDMN CCOC(=O)[C@](C)(O)CN1CCC(C#N)(COC)CC1 ZINC000341996031 263373489 /nfs/dbraw/zinc/37/34/89/263373489.db2.gz RNPSPRBTZAVSJV-CYBMUJFWSA-N 0 1 284.356 0.553 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@H](C)CN1CCOCC1 ZINC000342189175 263396704 /nfs/dbraw/zinc/39/67/04/263396704.db2.gz YYDQVXCSMDJQJA-CHWSQXEVSA-N 0 1 270.373 0.256 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCCn2cccc2)C[C@H]1C ZINC000330839407 264065068 /nfs/dbraw/zinc/06/50/68/264065068.db2.gz JJGHKJCAHGKQLD-KGLIPLIRSA-N 0 1 294.399 0.789 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCN(CC2CC2)CC1 ZINC000052165139 264068360 /nfs/dbraw/zinc/06/83/60/264068360.db2.gz FRAORESNXKCNOI-CYBMUJFWSA-N 0 1 278.400 0.775 20 30 CCEDMN Cc1n[nH]cc1CNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000330959544 264088197 /nfs/dbraw/zinc/08/81/97/264088197.db2.gz AFTAEGIVPXJLPH-UHFFFAOYSA-N 0 1 295.387 0.521 20 30 CCEDMN Cc1cnc(C(=O)NCCN2CC[NH+](C)CC2)c([O-])c1 ZINC000330971466 264092459 /nfs/dbraw/zinc/09/24/59/264092459.db2.gz JZIGYMJLQZDZDF-UHFFFAOYSA-N 0 1 278.356 0.648 20 30 CCEDMN COc1cc(C(=O)NC[C@@H]2CC[N@@H+](C)C2)nn1C ZINC000331042535 264107914 /nfs/dbraw/zinc/10/79/14/264107914.db2.gz DDTGQWKMSFVNJU-VIFPVBQESA-N 0 1 252.318 0.685 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N2CCO[C@@H](C(N)=O)C2)n[nH]1 ZINC000331120265 264130269 /nfs/dbraw/zinc/13/02/69/264130269.db2.gz AQCUKXCIECNRTK-GZMMTYOYSA-N 0 1 295.343 0.799 20 30 CCEDMN C[S@](=O)CCC[NH+]=C([O-])N[C@@H]1CCc2n[nH]cc2C1 ZINC000331145999 264137555 /nfs/dbraw/zinc/13/75/55/264137555.db2.gz ZDFNREXATZZWDY-DGIBIBHMSA-N 0 1 284.385 0.539 20 30 CCEDMN N#CCCCN1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC000331158008 264141812 /nfs/dbraw/zinc/14/18/12/264141812.db2.gz JSSWYIMMKVHODB-SNVBAGLBSA-N 0 1 262.317 0.318 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](C)C[C@@H]1[C@H](C)O ZINC000331276570 264170093 /nfs/dbraw/zinc/17/00/93/264170093.db2.gz FOJGISLBAXDWHZ-SDDRHHMPSA-N 0 1 283.372 0.684 20 30 CCEDMN CCn1nncc1C(=O)N[C@@H](CO)CN1CCCCC1 ZINC000331357876 264208610 /nfs/dbraw/zinc/20/86/10/264208610.db2.gz ULOOTINJSDIIKZ-LLVKDONJSA-N 0 1 281.360 0.449 20 30 CCEDMN CN1CCN(CCNC(=O)Cc2ccc(C#N)cc2)CC1 ZINC000195231466 264271818 /nfs/dbraw/zinc/27/18/18/264271818.db2.gz QICLCLFFLJRONU-UHFFFAOYSA-N 0 1 286.379 0.464 20 30 CCEDMN C=CCC[C@@H](NC(=O)c1ncn[nH]1)C(=O)OCC ZINC000456625290 264286664 /nfs/dbraw/zinc/28/66/64/264286664.db2.gz JQBQPXCTMVHULB-MRVPVSSYSA-N 0 1 252.274 0.432 20 30 CCEDMN C=CCC[C@@H](NC(=O)c1nc[nH]n1)C(=O)OCC ZINC000456625290 264286668 /nfs/dbraw/zinc/28/66/68/264286668.db2.gz JQBQPXCTMVHULB-MRVPVSSYSA-N 0 1 252.274 0.432 20 30 CCEDMN C[C@@H](O)CN1CC[NH+](CCCSCC#N)CC1 ZINC000189385572 264299186 /nfs/dbraw/zinc/29/91/86/264299186.db2.gz SJZWEQBMPRQUQD-GFCCVEGCSA-N 0 1 257.403 0.632 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000189573827 264305858 /nfs/dbraw/zinc/30/58/58/264305858.db2.gz OJAOUQFDNVYXMU-LSDHHAIUSA-N 0 1 297.443 0.798 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](C(=O)OC)[C@@H](C)C2)C1=O ZINC000281217279 264477996 /nfs/dbraw/zinc/47/79/96/264477996.db2.gz DNQAMWQXGROQRD-AVGNSLFASA-N 0 1 280.368 0.904 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(CC(=O)NC)CC2)C1=O ZINC000282049204 264494283 /nfs/dbraw/zinc/49/42/83/264494283.db2.gz RNDVYEFERCZDJE-ZDUSSCGKSA-N 0 1 279.384 0.621 20 30 CCEDMN CC[C@H](NCc1cnc2c(C#N)cnn2c1)C(=O)NC ZINC000519769337 267221713 /nfs/dbraw/zinc/22/17/13/267221713.db2.gz GUOSEZBPORZOOZ-NSHDSACASA-N 0 1 272.312 0.215 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)N1CCNCC1 ZINC000418136448 267369108 /nfs/dbraw/zinc/36/91/08/267369108.db2.gz QOAXYCXMSKXAOR-VXGBXAGGSA-N 0 1 253.346 0.582 20 30 CCEDMN C#CCCN1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000372234298 267531760 /nfs/dbraw/zinc/53/17/60/267531760.db2.gz UJGGYESJGCNNHQ-ZDUSSCGKSA-N 0 1 250.342 0.723 20 30 CCEDMN C#CCCCC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000760534575 581863620 /nfs/dbraw/zinc/86/36/20/581863620.db2.gz IDKYMMGQJLDCCL-UHFFFAOYSA-N 0 1 252.358 0.705 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N[C@H](C)C1(S(C)(=O)=O)CC1 ZINC000348972942 277870569 /nfs/dbraw/zinc/87/05/69/277870569.db2.gz GQVDAAYGIZFIJS-NXEZZACHSA-N 0 1 274.386 0.232 20 30 CCEDMN CCN(CC(=O)N[C@](C)(C#N)C(C)C)C[C@H](C)O ZINC000352213089 279056782 /nfs/dbraw/zinc/05/67/82/279056782.db2.gz SVSIRVGOHPZFOY-WCQYABFASA-N 0 1 255.362 0.744 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)Nc1cnc(C#N)cn1 ZINC000124142812 279313576 /nfs/dbraw/zinc/31/35/76/279313576.db2.gz XDYUMDGXZLGEDP-LLVKDONJSA-N 0 1 260.345 0.396 20 30 CCEDMN Cc1cc(NC(=O)N[C@@H]2CCc3nc[nH]c3C2)nn1C ZINC000329803199 280292066 /nfs/dbraw/zinc/29/20/66/280292066.db2.gz ZNQPBPYUZDBMSN-SECBINFHSA-N 0 1 274.328 0.757 20 30 CCEDMN C[C@@H]1[C@H](C)[S@@](=O)CCN1C(=O)NCCN(C)C1CC1 ZINC000329648634 289028249 /nfs/dbraw/zinc/02/82/49/289028249.db2.gz BQXWRPIFWLESLW-FDMGOTLNSA-N 0 1 287.429 0.836 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN[C@H](CCO)c1ccco1 ZINC000570720929 327614328 /nfs/dbraw/zinc/61/43/28/327614328.db2.gz POFXINOBYNHGTN-QWHCGFSZSA-N 0 1 281.356 0.499 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@@H]2CCOC)CC1 ZINC000366026150 327981348 /nfs/dbraw/zinc/98/13/48/327981348.db2.gz ZRLJGFHGSCYWFD-HNNXBMFYSA-N 0 1 294.395 0.596 20 30 CCEDMN CC1CC(C#N)(C(=O)NCCCN2CCC[C@@H]2C(N)=O)C1 ZINC000531810278 328038854 /nfs/dbraw/zinc/03/88/54/328038854.db2.gz ARMHKQUBEJKUNM-MQYJIDSJSA-N 0 1 292.383 0.382 20 30 CCEDMN CCOC(=O)CO/N=C(/C(=O)N(C)C(C)C)c1cc[nH]n1 ZINC000277757495 328222443 /nfs/dbraw/zinc/22/24/43/328222443.db2.gz CUDDSMNAHGNJFN-FOWTUZBSSA-N 0 1 296.327 0.560 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCO[C@]3(CCOC3)C2)CC1 ZINC000528454649 328222714 /nfs/dbraw/zinc/22/27/14/328222714.db2.gz WTJCQGQFGAZUMN-TZMCWYRMSA-N 0 1 266.341 0.532 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](OCC(C)C)C1 ZINC000119888205 328315537 /nfs/dbraw/zinc/31/55/37/328315537.db2.gz DKPINGLITRWKIB-QWHCGFSZSA-N 0 1 252.358 0.871 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N(C)CC(=O)N1CCNCC1 ZINC000584120477 327043872 /nfs/dbraw/zinc/04/38/72/327043872.db2.gz ALKZHCNALAWXNN-GFCCVEGCSA-N 0 1 267.373 0.479 20 30 CCEDMN CN(CC(=O)NCC1(C#N)CC1)c1ncnc2[nH]cnc21 ZINC000559610018 327255386 /nfs/dbraw/zinc/25/53/86/327255386.db2.gz LJESMBZFFUFNQA-UHFFFAOYSA-N 0 1 285.311 0.209 20 30 CCEDMN C#CCN(CC(=O)NC(=O)NC1CC1)C1CCCC1 ZINC000155755771 327396807 /nfs/dbraw/zinc/39/68/07/327396807.db2.gz XFLONDBHMVERMZ-UHFFFAOYSA-N 0 1 263.341 0.852 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CN2CC(C)(C#N)C2)C[C@@H](C)O1 ZINC000569678108 327534401 /nfs/dbraw/zinc/53/44/01/327534401.db2.gz QLQPLVLJTQHUMU-JHJVBQTASA-N 0 1 267.373 0.302 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC(CC#N)CC2)C[C@@H](C)N1 ZINC000582414526 328984029 /nfs/dbraw/zinc/98/40/29/328984029.db2.gz BCOQAROPGSGXFL-VXGBXAGGSA-N 0 1 292.383 0.347 20 30 CCEDMN CC(C)(C#N)C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000377748374 329027807 /nfs/dbraw/zinc/02/78/07/329027807.db2.gz XYYCWCWZYHYSBI-CYBMUJFWSA-N 0 1 294.399 0.059 20 30 CCEDMN COC(=O)[C@H]1CCCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000171063083 329074316 /nfs/dbraw/zinc/07/43/16/329074316.db2.gz QXCBZOGPAPOVQH-NEPJUHHUSA-N 0 1 281.356 0.632 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@H](OCCO)C1 ZINC000172300783 329084821 /nfs/dbraw/zinc/08/48/21/329084821.db2.gz DEMCDSKKSAHORJ-RYUDHWBXSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C(C)CN(C)[C@H](C)C(=O)N1CCN(C(C)=O)CC1 ZINC000181300873 329230489 /nfs/dbraw/zinc/23/04/89/329230489.db2.gz SUDXJVLCDNIDFG-GFCCVEGCSA-N 0 1 267.373 0.574 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@H](N3CCOCC3)C2)C1=O ZINC000583152626 329323487 /nfs/dbraw/zinc/32/34/87/329323487.db2.gz YXNYMKAUNOTERT-LSDHHAIUSA-N 0 1 293.411 0.570 20 30 CCEDMN C[C@H]1CNCCN1C(=O)C(=O)NCC1CCC(C#N)CC1 ZINC000418890406 329679596 /nfs/dbraw/zinc/67/95/96/329679596.db2.gz JTDDSDWFJJEBAJ-HIFPTAJRSA-N 0 1 292.383 0.253 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCC(=O)Nc1ccccc1 ZINC000572148863 329947626 /nfs/dbraw/zinc/94/76/26/329947626.db2.gz GGIUQDKLWUCOHO-AWEZNQCLSA-N 0 1 290.367 0.421 20 30 CCEDMN CN(C)C(=[NH+]Cc1nc(C(F)(F)F)n[n-]1)N(C)C ZINC000262502472 330018236 /nfs/dbraw/zinc/01/82/36/330018236.db2.gz KUEKGSBQBQPXCB-UHFFFAOYSA-N 0 1 264.255 0.803 20 30 CCEDMN CC(C)(C)c1nc(CNc2ncncc2C#N)n[nH]1 ZINC000580438862 330134804 /nfs/dbraw/zinc/13/48/04/330134804.db2.gz HTZCEQHQJZTIJM-UHFFFAOYSA-N 0 1 257.301 0.798 20 30 CCEDMN CC(C)(CC#N)CNC(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000422274820 330138469 /nfs/dbraw/zinc/13/84/69/330138469.db2.gz ZGJXUSPCTXCNDN-NSHDSACASA-N 0 1 292.383 0.395 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@@H](C)[C@H](O)C1 ZINC000299905895 330144702 /nfs/dbraw/zinc/14/47/02/330144702.db2.gz NCUUFCWQVOBDJX-CHWSQXEVSA-N 0 1 252.358 0.890 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1 ZINC000422990016 330163071 /nfs/dbraw/zinc/16/30/71/330163071.db2.gz BIQMOQMRLOKQQE-VXGBXAGGSA-N 0 1 265.357 0.396 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1 ZINC000423000210 330163675 /nfs/dbraw/zinc/16/36/75/330163675.db2.gz YYFQKNUOLDPXDC-VXGBXAGGSA-N 0 1 267.373 0.620 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000423550897 330211623 /nfs/dbraw/zinc/21/16/23/330211623.db2.gz BEGUHAXAHIFMSR-HZSPNIEDSA-N 0 1 291.395 0.906 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1C[C@@H](C)NC[C@H]1C ZINC000423623220 330213009 /nfs/dbraw/zinc/21/30/09/330213009.db2.gz BOJMFBGJMWLOHT-VXGBXAGGSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCC[C@@H](C)[C@H]2C(=O)[O-])C1=O ZINC000424270520 330333115 /nfs/dbraw/zinc/33/31/15/330333115.db2.gz NRJWNIYUUVWIOK-WOPDTQHZSA-N 0 1 266.341 0.958 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1C(=O)C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000424551038 330374283 /nfs/dbraw/zinc/37/42/83/330374283.db2.gz UVQFMFPKUFRFOH-WHOHXGKFSA-N 0 1 278.356 0.004 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N(C)CC(C)(C)CO ZINC000451258330 331022164 /nfs/dbraw/zinc/02/21/64/331022164.db2.gz WRAIGBAWHTUHPN-LLVKDONJSA-N 0 1 267.373 0.888 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](OCC)[C@H](C)C1 ZINC000451522972 331032424 /nfs/dbraw/zinc/03/24/24/331032424.db2.gz IKUOKPZGTJGURS-VXGBXAGGSA-N 0 1 283.372 0.745 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](OCC)[C@@H](C)C1 ZINC000451522971 331032456 /nfs/dbraw/zinc/03/24/56/331032456.db2.gz IKUOKPZGTJGURS-RYUDHWBXSA-N 0 1 283.372 0.745 20 30 CCEDMN CCO[C@@H]1COC[C@H]1N[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000451944835 331047869 /nfs/dbraw/zinc/04/78/69/331047869.db2.gz QYHBMYJPSCQDSM-BHPKHCPMSA-N 0 1 297.399 0.823 20 30 CCEDMN C=CCCCS(=O)(=O)NC[C@@H](O)CN1CCCCC1 ZINC000489441068 332052531 /nfs/dbraw/zinc/05/25/31/332052531.db2.gz CWJIPIPDYGOTSX-CYBMUJFWSA-N 0 1 290.429 0.719 20 30 CCEDMN C=CCN1C(=O)N=NC1S[C@H]1CCCNC1=O ZINC000489643656 332062551 /nfs/dbraw/zinc/06/25/51/332062551.db2.gz CSHZXHNQKHZSCA-ZETCQYMHSA-N 0 1 254.315 0.540 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCS[C@@H](C)[C@H]2C)CC1 ZINC000490760465 332113050 /nfs/dbraw/zinc/11/30/50/332113050.db2.gz LVIUOUBJLACKQG-KGLIPLIRSA-N 0 1 295.452 0.590 20 30 CCEDMN C#CCN(CC#CC)CCCNS(=O)(=O)CC ZINC000490865574 332121576 /nfs/dbraw/zinc/12/15/76/332121576.db2.gz DXXIMJGKFVVVKG-UHFFFAOYSA-N 0 1 256.371 0.274 20 30 CCEDMN C#CCN1CCC(C(=O)NO[C@@H]2CCOC2)CC1 ZINC000490935585 332130874 /nfs/dbraw/zinc/13/08/74/332130874.db2.gz NUKZXLQBIBWJCO-GFCCVEGCSA-N 0 1 252.314 0.168 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@H](C)C[S@](C)=O)CC1 ZINC000490950844 332132678 /nfs/dbraw/zinc/13/26/78/332132678.db2.gz UAHICGAMNVJSNC-ZMZPIMSZSA-N 0 1 270.398 0.215 20 30 CCEDMN C#CCN(CC#N)C(=O)c1n[nH]c(CCC)c1[N+](=O)[O-] ZINC000491021171 332139935 /nfs/dbraw/zinc/13/99/35/332139935.db2.gz KOOCUTCSANGXTB-UHFFFAOYSA-N 0 1 275.268 0.869 20 30 CCEDMN C#CCNC(=O)CCN(C)CC(=O)NCc1cccs1 ZINC000491065967 332144057 /nfs/dbraw/zinc/14/40/57/332144057.db2.gz AJKYEMGPHXEZIY-UHFFFAOYSA-N 0 1 293.392 0.436 20 30 CCEDMN C#CCN1CCC(C(=O)N=c2[nH][nH]c(C)c2C)CC1 ZINC000491071598 332146634 /nfs/dbraw/zinc/14/66/34/332146634.db2.gz LULCZOXSCKJKSK-UHFFFAOYSA-N 0 1 260.341 0.732 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](c1nccn1C)C1CC1 ZINC000491095869 332148800 /nfs/dbraw/zinc/14/88/00/332148800.db2.gz NBXRYFNMMMSENQ-CYBMUJFWSA-N 0 1 260.341 0.600 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H](C)C(=O)NCC=C)CC1 ZINC000491106409 332150533 /nfs/dbraw/zinc/15/05/33/332150533.db2.gz YGNHDBCMJZLTDA-LBPRGKRZSA-N 0 1 277.368 0.139 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1ccc(CCOC)cc1 ZINC000491381650 332201192 /nfs/dbraw/zinc/20/11/92/332201192.db2.gz GJKWAQBRWLZKJR-GJZGRUSLSA-N 0 1 293.363 0.199 20 30 CCEDMN C#CCNC(=O)CCNCc1cc([N+](=O)[O-])ccc1OC ZINC000491453202 332214499 /nfs/dbraw/zinc/21/44/99/332214499.db2.gz PYZZXACMGJLMHP-UHFFFAOYSA-N 0 1 291.307 0.833 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@H](OC)[C@H](C)C2)C1=O ZINC000491507851 332225819 /nfs/dbraw/zinc/22/58/19/332225819.db2.gz XQUYEYLHLOTJGK-WOPDTQHZSA-N 0 1 264.325 0.104 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NCc1nnc(C2CC2)[nH]1 ZINC000491546509 332235541 /nfs/dbraw/zinc/23/55/41/332235541.db2.gz WESIXZCTANXXOZ-UHFFFAOYSA-N 0 1 290.371 0.436 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000491554284 332236733 /nfs/dbraw/zinc/23/67/33/332236733.db2.gz HXDCZJHTRKPPQR-LSDHHAIUSA-N 0 1 294.443 0.969 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC(C)(OC)CC2)C1=O ZINC000491805563 332359200 /nfs/dbraw/zinc/35/92/00/332359200.db2.gz OJEHSQCKPFUCCA-NSHDSACASA-N 0 1 264.325 0.248 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC000516866562 333084601 /nfs/dbraw/zinc/08/46/01/333084601.db2.gz DZNGOOVWMISMMN-VHSXEESVSA-N 0 1 283.328 0.041 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCc1nc[nH]n1 ZINC000516934416 333086889 /nfs/dbraw/zinc/08/68/89/333086889.db2.gz UDKNLPXIHDGCHW-ZJUUUORDSA-N 0 1 250.302 0.445 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCNC(=O)C(C)(C)C)CC1 ZINC000517343274 333097541 /nfs/dbraw/zinc/09/75/41/333097541.db2.gz GZOROZJRUHMTPY-UHFFFAOYSA-N 0 1 294.399 0.643 20 30 CCEDMN CNC(=O)[C@@H](NCC(=O)NC1(C#N)CCCCC1)C(C)C ZINC000183683182 333121801 /nfs/dbraw/zinc/12/18/01/333121801.db2.gz QDCJHWYKSOWZMI-ZDUSSCGKSA-N 0 1 294.399 0.689 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000228111766 333295113 /nfs/dbraw/zinc/29/51/13/333295113.db2.gz XZQGYJDMFIOKIY-JLNYLFASSA-N 0 1 279.384 0.744 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCNC[C@@H]1C(C)C ZINC000529329901 333482703 /nfs/dbraw/zinc/48/27/03/333482703.db2.gz JMESVJVFRCMBKV-UPJWGTAASA-N 0 1 267.373 0.969 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@@H]1O ZINC000341007103 335165352 /nfs/dbraw/zinc/16/53/52/335165352.db2.gz FGHGFHZFODJEMR-OLZOCXBDSA-N 0 1 278.356 0.345 20 30 CCEDMN COC(=O)[C@@H](C)[C@H](NCC(=O)NCC#N)c1ccccc1 ZINC000079923009 334164283 /nfs/dbraw/zinc/16/42/83/334164283.db2.gz ZBRCKQMRKZMLIA-FZMZJTMJSA-N 0 1 289.335 0.766 20 30 CCEDMN CN1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)CC1(C)C ZINC000550654312 334226271 /nfs/dbraw/zinc/22/62/71/334226271.db2.gz TUSXRXZBWUCTGX-HNNXBMFYSA-N 0 1 278.400 0.821 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H]([C@H](C)O)C1 ZINC000089933080 334354003 /nfs/dbraw/zinc/35/40/03/334354003.db2.gz NTZSUUZKICGCEX-WDEREUQCSA-N 0 1 269.345 0.091 20 30 CCEDMN COC[C@@H]1CN(CCC(=O)N(C)CCC#N)C[C@@H](C)O1 ZINC000252060322 334373558 /nfs/dbraw/zinc/37/35/58/334373558.db2.gz ZTTCZIGWFGURCF-OLZOCXBDSA-N 0 1 283.372 0.484 20 30 CCEDMN COC(=O)[C@@H](C)CN(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000252417683 334379346 /nfs/dbraw/zinc/37/93/46/334379346.db2.gz SZIHSSLIBACDNA-IINYFYTJSA-N 0 1 281.356 0.536 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@H]([C@H](C)O)C1 ZINC000252764945 334391237 /nfs/dbraw/zinc/39/12/37/334391237.db2.gz IGHWYGRETRPKMC-OBJOEFQTSA-N 0 1 267.373 0.744 20 30 CCEDMN COC[C@H](O)CN1CCC(C#N)(c2ccccn2)CC1 ZINC000554564923 334439162 /nfs/dbraw/zinc/43/91/62/334439162.db2.gz FZYZQVCIZQOALH-CYBMUJFWSA-N 0 1 275.352 0.946 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(OC(C)=O)CC1 ZINC000153514139 334610279 /nfs/dbraw/zinc/61/02/79/334610279.db2.gz TWZFLCYFAJERPB-JTQLQIEISA-N 0 1 252.314 0.152 20 30 CCEDMN C[C@H](C[C@H](C)[NH3+])[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000576836722 335254185 /nfs/dbraw/zinc/25/41/85/335254185.db2.gz AHAQBENIOOMKEN-JGVFFNPUSA-N 0 1 257.315 0.555 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNc2ccnc(C#N)n2)C1 ZINC000577004632 335277805 /nfs/dbraw/zinc/27/78/05/335277805.db2.gz LCBCIXWSKJTFSC-LBPRGKRZSA-N 0 1 275.356 0.539 20 30 CCEDMN Cc1nnsc1CNC[C@H](O)CN(C)CCC#N ZINC000577622679 335380633 /nfs/dbraw/zinc/38/06/33/335380633.db2.gz MYEBMVDPDYGJPC-JTQLQIEISA-N 0 1 269.374 0.143 20 30 CCEDMN Cc1noc(C)c1CNC[C@@H](O)CN(C)CCC#N ZINC000578232015 335589170 /nfs/dbraw/zinc/58/91/70/335589170.db2.gz DFJXDAAKDDWDOP-GFCCVEGCSA-N 0 1 266.345 0.587 20 30 CCEDMN COCC(COC)N1CCN([C@@H](C)CCC#N)CC1 ZINC000581195961 336022930 /nfs/dbraw/zinc/02/29/30/336022930.db2.gz SQCQYSICNLKTLX-ZDUSSCGKSA-N 0 1 269.389 0.958 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@@H](CO)[C@H]1C ZINC000385739568 336155553 /nfs/dbraw/zinc/15/55/53/336155553.db2.gz BODXEEHLPJSDHK-MBNYWOFBSA-N 0 1 267.373 0.744 20 30 CCEDMN CC(=O)N1CCC[C@@H](CNC(=O)NCCN2CCC2)C1 ZINC000330025580 526568623 /nfs/dbraw/zinc/56/86/23/526568623.db2.gz KTIUYNZXCZJZIC-ZDUSSCGKSA-N 0 1 282.388 0.454 20 30 CCEDMN N#Cc1cc(NCCCc2nc(=O)[nH][nH]2)ccc1[N+](=O)[O-] ZINC000157744673 526570930 /nfs/dbraw/zinc/57/09/30/526570930.db2.gz PALOXTMOSXBZOF-UHFFFAOYSA-N 0 1 288.267 0.923 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CCCC)CCOC ZINC000109333979 526586112 /nfs/dbraw/zinc/58/61/12/526586112.db2.gz DGUGNTPRRKXUSO-UHFFFAOYSA-N 0 1 271.361 0.747 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC(COC)CC1 ZINC000112355419 526587912 /nfs/dbraw/zinc/58/79/12/526587912.db2.gz JVVGVHQRECBNEE-UHFFFAOYSA-N 0 1 269.345 0.357 20 30 CCEDMN C=CCCCN1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000344582941 527198792 /nfs/dbraw/zinc/19/87/92/527198792.db2.gz GGNSGMDNFJPHBO-OAHLLOKOSA-N 0 1 295.427 0.818 20 30 CCEDMN CC(C)(CO)[C@H](NCC(=O)NCC#N)c1ccccc1 ZINC000352351340 527219417 /nfs/dbraw/zinc/21/94/17/527219417.db2.gz XMDSDJBFBJWIPB-CQSZACIVSA-N 0 1 275.352 0.976 20 30 CCEDMN C=CCCOCCNC(=O)N1CCNC(C)(C)C1 ZINC000417959080 527350969 /nfs/dbraw/zinc/35/09/69/527350969.db2.gz LHTSRKAGISFBJX-UHFFFAOYSA-N 0 1 255.362 0.973 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@H](C(N)=O)C(C)(C)C ZINC000109331413 527615343 /nfs/dbraw/zinc/61/53/43/527615343.db2.gz FXHUMZPEBAJHLZ-GFCCVEGCSA-N 0 1 267.373 0.677 20 30 CCEDMN CCN(CC(=O)N1CCN(C(=O)CC#N)CC1)C1CC1 ZINC000332163861 527898730 /nfs/dbraw/zinc/89/87/30/527898730.db2.gz RPCQVLYMAIFYKT-UHFFFAOYSA-N 0 1 278.356 0.055 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)[C@H]2COCCO2)C1 ZINC000329061107 528015383 /nfs/dbraw/zinc/01/53/83/528015383.db2.gz ZHLPMFMAJYVGHZ-CHWSQXEVSA-N 0 1 286.372 0.715 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N(C)CCC(N)=O ZINC000353193456 528852029 /nfs/dbraw/zinc/85/20/29/528852029.db2.gz NQOLGQWOLGOHPZ-ZWNOBZJWSA-N 0 1 268.361 0.237 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)NCc1cc(C)[nH]n1 ZINC000672537622 545269717 /nfs/dbraw/zinc/26/97/17/545269717.db2.gz WUMZYZNFSHWVQW-UHFFFAOYSA-N 0 1 280.328 0.043 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(CC(=O)N(C)C)CC1 ZINC000675485971 545326872 /nfs/dbraw/zinc/32/68/72/545326872.db2.gz HWZYSTUPDYPCFV-ZDUSSCGKSA-N 0 1 269.389 0.019 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000680494900 545430367 /nfs/dbraw/zinc/43/03/67/545430367.db2.gz HHBINMALNYQKEZ-CYBMUJFWSA-N 0 1 298.431 0.249 20 30 CCEDMN CC#CCCNC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000681542553 545455500 /nfs/dbraw/zinc/45/55/00/545455500.db2.gz OCDMAAMRIVTNDN-KGLIPLIRSA-N 0 1 279.384 0.640 20 30 CCEDMN COc1ccc(C=NNC2=NC[C@@H](C)N2)cc1OC ZINC000779794697 581205668 /nfs/dbraw/zinc/20/56/68/581205668.db2.gz LMFBHROZPHDBJS-SECBINFHSA-N 0 1 262.313 0.975 20 30 CCEDMN C#CCCCS(=O)(=O)NCCCN1CCC(O)CC1 ZINC000791422249 581221190 /nfs/dbraw/zinc/22/11/90/581221190.db2.gz WXZSEFFLVJPDDJ-UHFFFAOYSA-N 0 1 288.413 0.166 20 30 CCEDMN C=CCNC(=O)COC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777079107 581106009 /nfs/dbraw/zinc/10/60/09/581106009.db2.gz DJDOTFXIADBLGT-UHFFFAOYSA-N 0 1 277.324 0.676 20 30 CCEDMN C#CCn1ccc(CN(CCOC)C(=O)[C@H](C)N(C)C)n1 ZINC000790105923 581177197 /nfs/dbraw/zinc/17/71/97/581177197.db2.gz HWPDXENNZRLJRI-ZDUSSCGKSA-N 0 1 292.383 0.441 20 30 CCEDMN NC(=O)CON=C1CCN(CCC(F)(F)F)CC1 ZINC000779781912 581204828 /nfs/dbraw/zinc/20/48/28/581204828.db2.gz CKNYJVHWSNKBOE-UHFFFAOYSA-N 0 1 267.251 0.893 20 30 CCEDMN CC(=O)Nc1ccccc1C=NNC1=NC[C@@H](C)N1 ZINC000779793845 581205666 /nfs/dbraw/zinc/20/56/66/581205666.db2.gz BCMUBKLYODBGIW-SECBINFHSA-N 0 1 259.313 0.916 20 30 CCEDMN C=C(CO)C(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000791309463 581213717 /nfs/dbraw/zinc/21/37/17/581213717.db2.gz PKVNAUGNKLDGQV-RYUDHWBXSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C(CO)C(=O)NCCN1CCc2ccccc2C1 ZINC000791315561 581214196 /nfs/dbraw/zinc/21/41/96/581214196.db2.gz CHXDNUQDYKOGLC-UHFFFAOYSA-N 0 1 260.337 0.709 20 30 CCEDMN C=CCNC(=S)N1CCN(CCO)C(C)(C)C1 ZINC000780225851 581222886 /nfs/dbraw/zinc/22/28/86/581222886.db2.gz XKLPTYFGEMKESY-UHFFFAOYSA-N 0 1 257.403 0.435 20 30 CCEDMN COc1ccc(NN=Cc2c(N)ncnc2OC)nc1 ZINC000780267603 581223612 /nfs/dbraw/zinc/22/36/12/581223612.db2.gz MYHRFJWMMPXQDU-UHFFFAOYSA-N 0 1 274.284 0.917 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000780602809 581235742 /nfs/dbraw/zinc/23/57/42/581235742.db2.gz YLQUVLIMNYGNLF-CYBMUJFWSA-N 0 1 297.399 0.123 20 30 CCEDMN C#CCCNC(=O)C(=O)Nc1cc2cn[nH]c2cc1C ZINC000792231812 581253668 /nfs/dbraw/zinc/25/36/68/581253668.db2.gz NJADEYMZAUIFOG-UHFFFAOYSA-N 0 1 270.292 0.949 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1C[C@H]2C[C@@]2(CO)C1 ZINC000782619614 581314691 /nfs/dbraw/zinc/31/46/91/581314691.db2.gz PTCWFKZKCGRTMY-WZRBSPASSA-N 0 1 251.330 0.061 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)NCCN(C)C(C)C ZINC000783001319 581333241 /nfs/dbraw/zinc/33/32/41/581333241.db2.gz FDQAFSKFVZGLGR-UHFFFAOYSA-N 0 1 291.417 0.227 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000738462353 581333748 /nfs/dbraw/zinc/33/37/48/581333748.db2.gz ZBZLYXKTPFCKSR-AGIUHOORSA-N 0 1 293.367 0.589 20 30 CCEDMN Cc1cc(CNC(=O)N2CCN(C3CC3)[C@@H](C#N)C2)n[nH]1 ZINC000738478866 581349328 /nfs/dbraw/zinc/34/93/28/581349328.db2.gz BMGGWRYPRNGEFQ-ZDUSSCGKSA-N 0 1 288.355 0.600 20 30 CCEDMN N#CC(C(=O)C[C@H]1CCCOC1)C(=O)NC1CC1 ZINC000796636859 581446767 /nfs/dbraw/zinc/44/67/67/581446767.db2.gz RPXWQUHYCOGWIF-KOLCDFICSA-N 0 1 250.298 0.791 20 30 CCEDMN Cc1cc(CC(=O)O[C@H](C)C(=O)NC2(C#N)CCC2)n[nH]1 ZINC000787740541 581476902 /nfs/dbraw/zinc/47/69/02/581476902.db2.gz CMBVHUABHOXDDW-SNVBAGLBSA-N 0 1 290.323 0.755 20 30 CCEDMN C#CCn1cc(CNC(=O)c2[nH]nc3c2CCC3)cn1 ZINC000788211182 581485621 /nfs/dbraw/zinc/48/56/21/581485621.db2.gz CBFQDVOIYOWXAP-UHFFFAOYSA-N 0 1 269.308 0.658 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)CSc1nnc(C)[nH]1 ZINC000765222087 581506830 /nfs/dbraw/zinc/50/68/30/581506830.db2.gz MMWPUHPNCPZMLY-UHFFFAOYSA-N 0 1 298.368 0.783 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)CSc1nc(C)n[nH]1 ZINC000765222087 581506831 /nfs/dbraw/zinc/50/68/31/581506831.db2.gz MMWPUHPNCPZMLY-UHFFFAOYSA-N 0 1 298.368 0.783 20 30 CCEDMN CNC(=O)C1(NCC(=O)N[C@](C)(C#N)C2CC2)CCCC1 ZINC000733999908 581538768 /nfs/dbraw/zinc/53/87/68/581538768.db2.gz UTFMDJIUIGBTKF-CQSZACIVSA-N 0 1 292.383 0.443 20 30 CCEDMN OCc1ccc(C=NNc2ncnc3nc[nH]c32)o1 ZINC000739411051 581548655 /nfs/dbraw/zinc/54/86/55/581548655.db2.gz DIISBFNXZKLBOX-UHFFFAOYSA-N 0 1 258.241 0.884 20 30 CCEDMN N#Cc1cccc(N2CCN(CCC3OCCO3)CC2)n1 ZINC000767848221 581633708 /nfs/dbraw/zinc/63/37/08/581633708.db2.gz BZUNOQRIIQQOML-UHFFFAOYSA-N 0 1 288.351 0.838 20 30 CCEDMN Cc1nc[nH]c1C=NNC(=S)NC[C@@H]1CCCO1 ZINC000755520305 581643097 /nfs/dbraw/zinc/64/30/97/581643097.db2.gz BELFJVAACHSMPF-VIFPVBQESA-N 0 1 267.358 0.695 20 30 CCEDMN N#C[C@@H](C(=O)CC1CCOCC1)C(=O)NC1CC1 ZINC000735399525 581644357 /nfs/dbraw/zinc/64/43/57/581644357.db2.gz MZXBVAVVTGSPAN-NSHDSACASA-N 0 1 250.298 0.791 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1CCC[C@H]([C@H]2CCCN2C(=O)[O-])C1 ZINC000740305606 581663045 /nfs/dbraw/zinc/66/30/45/581663045.db2.gz QLNHKVILKBORPW-NWDGAFQWSA-N 0 1 294.355 0.481 20 30 CCEDMN N#Cc1ccc([C@@H]([NH2+][C@@H]2CCN(C(=O)[O-])C2)C(N)=O)cc1 ZINC000740312308 581664369 /nfs/dbraw/zinc/66/43/69/581664369.db2.gz DLLMZXHYYRZYCJ-VXGBXAGGSA-N 0 1 288.307 0.427 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)NC2CCCC2)CC1 ZINC000735937764 581673412 /nfs/dbraw/zinc/67/34/12/581673412.db2.gz NVTIUAWCMPSHAH-UHFFFAOYSA-N 0 1 292.379 0.934 20 30 CCEDMN C#CCNC(=O)COC(=O)[C@H](CC)N(CC)CC ZINC000741032204 581728198 /nfs/dbraw/zinc/72/81/98/581728198.db2.gz BCTOEXKKFDGKAC-NSHDSACASA-N 0 1 254.330 0.399 20 30 CCEDMN C#CCNC(=O)CNC1(C(=O)N(CC)CC)CCCCC1 ZINC000741791452 581763156 /nfs/dbraw/zinc/76/31/56/581763156.db2.gz FJVKADIBOJNOCL-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN CC(=NNCC(N)=O)c1ccc(N2CCCC2)cc1O ZINC000758899623 581774654 /nfs/dbraw/zinc/77/46/54/581774654.db2.gz ZEDRNANXXGZLMV-UHFFFAOYSA-N 0 1 276.340 0.791 20 30 CCEDMN N#CC1(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)CCCC1 ZINC000731880332 581786677 /nfs/dbraw/zinc/78/66/77/581786677.db2.gz KJMKUGXJVQWVEK-VIFPVBQESA-N 0 1 276.300 0.184 20 30 CCEDMN CNC(=O)[C@@H](C#N)C(=O)c1cn(C)c(=O)c2ccccc12 ZINC000759433699 581798417 /nfs/dbraw/zinc/79/84/17/581798417.db2.gz CBKFWBNMKKYUFU-NSHDSACASA-N 0 1 283.287 0.607 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CN(C)CCN2C)nc1 ZINC000732147062 581806034 /nfs/dbraw/zinc/80/60/34/581806034.db2.gz MCHUWDMLVNIGFQ-ZDUSSCGKSA-N 0 1 272.352 0.039 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cn(CC3(C)COC3)nn2)=NO1 ZINC000760005229 581835454 /nfs/dbraw/zinc/83/54/54/581835454.db2.gz KHFLTXCKKONJKS-MRVPVSSYSA-N 0 1 279.300 0.167 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])CC(=O)NCc1ccc(C#N)cc1 ZINC000737013231 581885633 /nfs/dbraw/zinc/88/56/33/581885633.db2.gz IXPIYULETYXRIW-UHFFFAOYSA-N 0 1 289.335 0.971 20 30 CCEDMN CCC[N@@H+](CC(=O)[O-])CC(=O)NCc1ccc(C#N)cc1 ZINC000737013231 581885638 /nfs/dbraw/zinc/88/56/38/581885638.db2.gz IXPIYULETYXRIW-UHFFFAOYSA-N 0 1 289.335 0.971 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])CC(=O)N[C@@](C)(C#N)C(C)C ZINC000737013263 581885735 /nfs/dbraw/zinc/88/57/35/581885735.db2.gz QOVHAYAXCVBOKY-ZDUSSCGKSA-N 0 1 269.345 0.837 20 30 CCEDMN CCC[N@@H+](CC(=O)[O-])CC(=O)N[C@@](C)(C#N)C(C)C ZINC000737013263 581885736 /nfs/dbraw/zinc/88/57/36/581885736.db2.gz QOVHAYAXCVBOKY-ZDUSSCGKSA-N 0 1 269.345 0.837 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCN(C)[C@H](C)C1 ZINC000761072835 581886086 /nfs/dbraw/zinc/88/60/86/581886086.db2.gz FINWNCKBYQGBMQ-NEPJUHHUSA-N 0 1 252.362 0.383 20 30 CCEDMN Cn1cc(C[C@@H]2CCN(CC(=O)NCCC#N)C2)cn1 ZINC000746676609 581921987 /nfs/dbraw/zinc/92/19/87/581921987.db2.gz LXKVJHMSLBIASG-LBPRGKRZSA-N 0 1 275.356 0.314 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCCOC(C)(C)C2)CC1 ZINC000748817181 581988951 /nfs/dbraw/zinc/98/89/51/581988951.db2.gz MDUFPQWKIHDMJY-UHFFFAOYSA-N 0 1 293.411 0.265 20 30 CCEDMN C#C[C@H](N[C@H]1CCN(C2CCOCC2)C1=O)[C@@H]1CCCO1 ZINC000775804533 582040959 /nfs/dbraw/zinc/04/09/59/582040959.db2.gz ANIVYMROCDQLCX-KKUMJFAQSA-N 0 1 292.379 0.537 20 30 CCEDMN N#Cc1ccc([C@@H](C(N)=O)[NH+]2CCC(C(=O)[O-])CC2)cc1 ZINC000738431420 582049051 /nfs/dbraw/zinc/04/90/51/582049051.db2.gz YVLMGNVBKOUDIW-ZDUSSCGKSA-N 0 1 287.319 0.881 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCCC[C@@H]1[C@@H](C)NC(=O)[O-] ZINC000738496394 582056676 /nfs/dbraw/zinc/05/66/76/582056676.db2.gz XKVPDWWOWILDMF-GHMZBOCLSA-N 0 1 269.345 0.799 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](CNC(=O)[O-])C1 ZINC000738599397 582065122 /nfs/dbraw/zinc/06/51/22/582065122.db2.gz FDQYJHPDGDJIFP-QWRGUYRKSA-N 0 1 282.344 0.336 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)nc(N)n1CCCCCCCO ZINC000822814903 599126702 /nfs/dbraw/zinc/12/67/02/599126702.db2.gz LAMBIGBBFABJAG-UHFFFAOYSA-N 0 1 290.331 0.460 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000054298982 597084975 /nfs/dbraw/zinc/08/49/75/597084975.db2.gz NNWZSCQFGVSHGV-GHMZBOCLSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CCCCS(=O)(=O)Nc1[nH]nc2c1COCC2 ZINC000886479296 612985543 /nfs/dbraw/zinc/98/55/43/612985543.db2.gz HWKRTODWCDYBNX-UHFFFAOYSA-N 0 1 269.326 0.638 20 30 CCEDMN C#CCCCS(=O)(=O)Nc1n[nH]c2c1COCC2 ZINC000886479296 612985544 /nfs/dbraw/zinc/98/55/44/612985544.db2.gz HWKRTODWCDYBNX-UHFFFAOYSA-N 0 1 269.326 0.638 20 30 CCEDMN C#CCCN(CC(F)(F)F)C(=O)CCc1nn[nH]n1 ZINC000867451460 612986147 /nfs/dbraw/zinc/98/61/47/612986147.db2.gz XGUDZYXMLGEFQB-UHFFFAOYSA-N 0 1 275.234 0.547 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CC2OCCCO2)C1 ZINC001007199014 649907265 /nfs/dbraw/zinc/90/72/65/649907265.db2.gz KZSJAQRQZOXXAJ-GFCCVEGCSA-N 0 1 268.357 0.906 20 30 CCEDMN N#Cc1ccc(CNC(=O)C[N@@H+]2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000819100196 597531086 /nfs/dbraw/zinc/53/10/86/597531086.db2.gz BUFKAPQBVCMPKO-CYBMUJFWSA-N 0 1 287.319 0.581 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N[C@H]1CC[N@@H+](CC2CC2)C1 ZINC000819821445 597644931 /nfs/dbraw/zinc/64/49/31/597644931.db2.gz GTLDVQXKGYKDAD-FZMZJTMJSA-N 0 1 279.340 0.591 20 30 CCEDMN C#CCNC(=O)NCc1ccc(N2CCN(C)CC2)cc1 ZINC000883070977 612947055 /nfs/dbraw/zinc/94/70/55/612947055.db2.gz KYLYCVKWZMYFTI-UHFFFAOYSA-N 0 1 286.379 0.871 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(CCOC)CC1 ZINC000859397848 612947715 /nfs/dbraw/zinc/94/77/15/612947715.db2.gz TUANFUBPJQQPJI-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN N#Cc1cccc([C@H](C(=O)[O-])N2C[C@H]3C[NH2+]C[C@@H]3C2)c1 ZINC000833073969 601311566 /nfs/dbraw/zinc/31/15/66/601311566.db2.gz LFUTVCDABCIFCU-MGPQQGTHSA-N 0 1 271.320 0.835 20 30 CCEDMN N#CCNC(=O)C[N@H+]1C[C@H](C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000833066611 601434359 /nfs/dbraw/zinc/43/43/59/601434359.db2.gz CUILAGVRNSHIBH-OLZOCXBDSA-N 0 1 293.367 0.982 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1C[C@H](C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000833066611 601434361 /nfs/dbraw/zinc/43/43/61/601434361.db2.gz CUILAGVRNSHIBH-OLZOCXBDSA-N 0 1 293.367 0.982 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])cc1 ZINC000833070888 602017353 /nfs/dbraw/zinc/01/73/53/602017353.db2.gz BGQNMBGRTJFHPV-FRRDWIJNSA-N 0 1 276.292 0.111 20 30 CCEDMN COc1cc(C=NNC(=N)N)ccc1OC(C)=O ZINC000915051251 617179180 /nfs/dbraw/zinc/17/91/80/617179180.db2.gz ZGMJLCZDRKFQIU-UHFFFAOYSA-N 0 1 250.258 0.437 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000223484319 612949740 /nfs/dbraw/zinc/94/97/40/612949740.db2.gz CRYIHPVVLWRJRW-NWDGAFQWSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000811450178 612951408 /nfs/dbraw/zinc/95/14/08/612951408.db2.gz WXMJPYKTOJYYLY-NHYWBVRUSA-N 0 1 298.358 0.880 20 30 CCEDMN C#CC1(O)CCN(C[C@@H]2[C@H](C(=O)OC)C2(F)F)CC1 ZINC000895384291 612952219 /nfs/dbraw/zinc/95/22/19/612952219.db2.gz IZOQWYMQIDNTTL-NXEZZACHSA-N 0 1 273.279 0.501 20 30 CCEDMN C#CCCNC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000925148939 613047617 /nfs/dbraw/zinc/04/76/17/613047617.db2.gz LSRNJRATSAFGDQ-RYUDHWBXSA-N 0 1 267.398 0.889 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)CSc1nnc(C)[nH]1 ZINC000863786035 613049617 /nfs/dbraw/zinc/04/96/17/613049617.db2.gz RQGPAZZFNSBEOU-SNVBAGLBSA-N 0 1 282.369 0.750 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)CSc1nc(C)n[nH]1 ZINC000863786035 613049618 /nfs/dbraw/zinc/04/96/18/613049618.db2.gz RQGPAZZFNSBEOU-SNVBAGLBSA-N 0 1 282.369 0.750 20 30 CCEDMN N#C[C@H]1CCC[C@@H]1Nc1nccnc1-c1nn[nH]n1 ZINC000822806384 606242364 /nfs/dbraw/zinc/24/23/64/606242364.db2.gz MDDSZKINYZDIBJ-SFYZADRCSA-N 0 1 256.273 0.761 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NC[C@H]1CCN1C ZINC000923488566 613051271 /nfs/dbraw/zinc/05/12/71/613051271.db2.gz SLLIJEUHXZXIOO-VXGBXAGGSA-N 0 1 253.346 0.418 20 30 CCEDMN C#CCCS(=O)(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000808291371 613051592 /nfs/dbraw/zinc/05/15/92/613051592.db2.gz JWBQNCNPAOTWDE-LBPRGKRZSA-N 0 1 256.371 0.508 20 30 CCEDMN C#CCNCC(=O)N(CC)C[C@H]1COc2ccccc2O1 ZINC000105964549 612956382 /nfs/dbraw/zinc/95/63/82/612956382.db2.gz NFYYPIHNTXLHAD-ZDUSSCGKSA-N 0 1 288.347 0.898 20 30 CCEDMN C[C@H](NCC(=O)NCC#N)c1ccc(-c2nn[nH]n2)cc1 ZINC000824564116 608466510 /nfs/dbraw/zinc/46/65/10/608466510.db2.gz RTYMISSRKOYTCF-VIFPVBQESA-N 0 1 285.311 0.157 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CCCOC2)C1 ZINC000972629244 613084639 /nfs/dbraw/zinc/08/46/39/613084639.db2.gz SYPZFGYZBLRSJN-UKRRQHHQSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CC1CCN(CC(=O)NC(=O)NCC(F)(F)F)CC1 ZINC000830305124 612964572 /nfs/dbraw/zinc/96/45/72/612964572.db2.gz GSUFXPVZXOSXRS-UHFFFAOYSA-N 0 1 291.273 0.720 20 30 CCEDMN CC(C)(C)OC(=O)CS(=O)(=O)CCNCCCC#N ZINC000819221150 613969650 /nfs/dbraw/zinc/96/96/50/613969650.db2.gz IULOYDPSQUFUJI-UHFFFAOYSA-N 0 1 290.385 0.636 20 30 CCEDMN C#CCCCCCC(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000913495925 612975997 /nfs/dbraw/zinc/97/59/97/612975997.db2.gz PQWWLJPMOFTTSB-NSHDSACASA-N 0 1 277.328 0.683 20 30 CCEDMN C[C@@H](C#N)OCCNC1(CO)COC(C)(C)OC1 ZINC000812838412 617297640 /nfs/dbraw/zinc/29/76/40/617297640.db2.gz SXAJEJCWSOZWCX-JTQLQIEISA-N 0 1 258.318 0.019 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000913301924 612949287 /nfs/dbraw/zinc/94/92/87/612949287.db2.gz GVKXDWKKWIHZCF-SECBINFHSA-N 0 1 287.275 0.964 20 30 CCEDMN C#CC1(O)CN(C(=O)NCc2n[nH]c(-c3ccccc3)n2)C1 ZINC000893156251 612956049 /nfs/dbraw/zinc/95/60/49/612956049.db2.gz BCBWPQTYXNTQLX-UHFFFAOYSA-N 0 1 297.318 0.361 20 30 CCEDMN C#CC1(O)CN(C(=O)NCc2nc(-c3ccccc3)n[nH]2)C1 ZINC000893156251 612956050 /nfs/dbraw/zinc/95/60/50/612956050.db2.gz BCBWPQTYXNTQLX-UHFFFAOYSA-N 0 1 297.318 0.361 20 30 CCEDMN C#CCC(C)(C)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000896550026 612966014 /nfs/dbraw/zinc/96/60/14/612966014.db2.gz WSKJYHQNNFOFIF-OLZOCXBDSA-N 0 1 265.401 0.786 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1=COCCO1 ZINC000960242628 612971121 /nfs/dbraw/zinc/97/11/21/612971121.db2.gz TYIXGZRSCJCNFL-GDBMZVCRSA-N 0 1 298.342 0.880 20 30 CCEDMN C#CCC1(O)CCN(C[C@@H](C)CS(C)(=O)=O)CC1 ZINC000880480450 612973728 /nfs/dbraw/zinc/97/37/28/612973728.db2.gz UAJUHANDJAWPTF-GFCCVEGCSA-N 0 1 273.398 0.517 20 30 CCEDMN C#CCC1(O)CCN([C@@H]2CCN(C3CCC3)C2=O)CC1 ZINC000880482421 612974250 /nfs/dbraw/zinc/97/42/50/612974250.db2.gz IGCMFWXVSBQDQL-CQSZACIVSA-N 0 1 276.380 0.990 20 30 CCEDMN C#CCCC[N@@H+](C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000823841470 612978448 /nfs/dbraw/zinc/97/84/48/612978448.db2.gz NYWMQQSDNMWNND-UHFFFAOYSA-N 0 1 288.359 0.450 20 30 CCEDMN C#CCCC[N@H+](C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000823841470 612978449 /nfs/dbraw/zinc/97/84/49/612978449.db2.gz NYWMQQSDNMWNND-UHFFFAOYSA-N 0 1 288.359 0.450 20 30 CCEDMN C#CCCC[N@@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000823841470 612978452 /nfs/dbraw/zinc/97/84/52/612978452.db2.gz NYWMQQSDNMWNND-UHFFFAOYSA-N 0 1 288.359 0.450 20 30 CCEDMN C#CCCC[N@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000823841470 612978453 /nfs/dbraw/zinc/97/84/53/612978453.db2.gz NYWMQQSDNMWNND-UHFFFAOYSA-N 0 1 288.359 0.450 20 30 CCEDMN C#CCCCNC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000842178883 612981811 /nfs/dbraw/zinc/98/18/11/612981811.db2.gz VWSNDOSPRWEOJA-QWHCGFSZSA-N 0 1 267.373 0.496 20 30 CCEDMN C#CCOCCN1CCOC[C@H]1C[C@@H]1CCCO1 ZINC000933682063 612984017 /nfs/dbraw/zinc/98/40/17/612984017.db2.gz BIFMLIMISMMVIN-KGLIPLIRSA-N 0 1 253.342 0.906 20 30 CCEDMN C#CCCN(CC(F)(F)F)C(=O)[C@@H](O)c1c[nH]cn1 ZINC000867451518 612986126 /nfs/dbraw/zinc/98/61/26/612986126.db2.gz YASSRCIPBRLTSN-VIFPVBQESA-N 0 1 275.230 0.857 20 30 CCEDMN C#CCOCCNC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000879457920 612988839 /nfs/dbraw/zinc/98/88/39/612988839.db2.gz BMMHGRVLVRGRGI-ZWNOBZJWSA-N 0 1 261.325 0.842 20 30 CCEDMN C#CCOCCONC(=N)[C@@H]1COc2ccccc2O1 ZINC000853677617 612991426 /nfs/dbraw/zinc/99/14/26/612991426.db2.gz RVRJDZYEBMIFGO-ZDUSSCGKSA-N 0 1 276.292 0.975 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000820068336 612994242 /nfs/dbraw/zinc/99/42/42/612994242.db2.gz PJZAQRYGJMQOSI-VIFPVBQESA-N 0 1 279.296 0.520 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H](OC)[C@H]2CCOC2)CC1 ZINC000981692712 613004649 /nfs/dbraw/zinc/00/46/49/613004649.db2.gz GIWUQJNAVCLZPB-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccc(C(N)=O)o2)CC1 ZINC000981587929 613005847 /nfs/dbraw/zinc/00/58/47/613005847.db2.gz RIRMDRJFXGLPGY-UHFFFAOYSA-N 0 1 289.335 0.550 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnn(C)c2)CC1 ZINC000980684540 613006725 /nfs/dbraw/zinc/00/67/25/613006725.db2.gz DAZRRZKYWWXWIZ-UHFFFAOYSA-N 0 1 260.341 0.591 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2cncnc2)C1 ZINC000965936132 613014737 /nfs/dbraw/zinc/01/47/37/613014737.db2.gz MXSXOMVFHFTRHD-OCCSQVGLSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)CCc1c[nH]nn1 ZINC000869499362 613019304 /nfs/dbraw/zinc/01/93/04/613019304.db2.gz ZFDFREMEYWKQLL-JTQLQIEISA-N 0 1 250.302 0.282 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)CCc1cnn[nH]1 ZINC000869499362 613019306 /nfs/dbraw/zinc/01/93/06/613019306.db2.gz ZFDFREMEYWKQLL-JTQLQIEISA-N 0 1 250.302 0.282 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000921523476 613026646 /nfs/dbraw/zinc/02/66/46/613026646.db2.gz YFCOLKPKQRQHSH-WDEREUQCSA-N 0 1 262.313 0.471 20 30 CCEDMN C#CC[C@@H](NC(=O)Cn1cc[nH+]c1C(C)C)C(=O)[O-] ZINC000910050383 613040257 /nfs/dbraw/zinc/04/02/57/613040257.db2.gz JEPLXMOERMOWCY-SNVBAGLBSA-N 0 1 263.297 0.599 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)N[C@@H](C)CN2CCN(C)CC2)C1 ZINC000888191283 613046101 /nfs/dbraw/zinc/04/61/01/613046101.db2.gz ICTDBZMNFUGKER-LSDHHAIUSA-N 0 1 292.427 0.677 20 30 CCEDMN C#CCCNC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833365338 613047179 /nfs/dbraw/zinc/04/71/79/613047179.db2.gz POPJPMMLFJPJSK-LLVKDONJSA-N 0 1 267.329 0.200 20 30 CCEDMN C#CCCNC(=O)N[C@H]1CCC[N@H+]2CCSC[C@@H]12 ZINC000925148939 613047616 /nfs/dbraw/zinc/04/76/16/613047616.db2.gz LSRNJRATSAFGDQ-RYUDHWBXSA-N 0 1 267.398 0.889 20 30 CCEDMN C#CCCOC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000859124836 613049273 /nfs/dbraw/zinc/04/92/73/613049273.db2.gz YFTVZBKOUULDOG-GFCCVEGCSA-N 0 1 268.357 0.923 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)CCc1c[nH]nn1 ZINC000869499363 613049543 /nfs/dbraw/zinc/04/95/43/613049543.db2.gz ZFDFREMEYWKQLL-SNVBAGLBSA-N 0 1 250.302 0.282 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)CCc1cnn[nH]1 ZINC000869499363 613049544 /nfs/dbraw/zinc/04/95/44/613049544.db2.gz ZFDFREMEYWKQLL-SNVBAGLBSA-N 0 1 250.302 0.282 20 30 CCEDMN C#CCCOC(=O)NC1CCN([C@H]2CCN(C)C2=O)CC1 ZINC000867442243 613049907 /nfs/dbraw/zinc/04/99/07/613049907.db2.gz YOAWZBNJERZYPM-ZDUSSCGKSA-N 0 1 293.367 0.431 20 30 CCEDMN C#CC[C@H](CO)NC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000913988124 613054157 /nfs/dbraw/zinc/05/41/57/613054157.db2.gz GEQYGYXRRIZLTJ-MGPQQGTHSA-N 0 1 297.399 0.169 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)NC[C@@H]1CCN1CC ZINC000890062596 613056781 /nfs/dbraw/zinc/05/67/81/613056781.db2.gz XWHJAVRNTYTBMD-NWDGAFQWSA-N 0 1 253.346 0.154 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)CN1CCC(C)CC1 ZINC000799077695 613060494 /nfs/dbraw/zinc/06/04/94/613060494.db2.gz NZYGAGCLDPMHAT-ZDUSSCGKSA-N 0 1 252.358 0.609 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N(C)[C@H]1CCC[N@@H+](C)C1 ZINC000896063349 613061263 /nfs/dbraw/zinc/06/12/63/613061263.db2.gz DZZNIKHNSYHWLZ-OLZOCXBDSA-N 0 1 267.373 0.760 20 30 CCEDMN COc1ccccc1CC(=O)NCC#CCN(C)C ZINC000913515390 617314071 /nfs/dbraw/zinc/31/40/71/617314071.db2.gz VQXQQZCJCLYBDZ-UHFFFAOYSA-N 0 1 260.337 0.919 20 30 CCEDMN C#CCN(C(=O)C1CN([C@@H]2CCOC2)C1)C1CSC1 ZINC000912361581 613065320 /nfs/dbraw/zinc/06/53/20/613065320.db2.gz IQXWPNCTDQWSPI-GFCCVEGCSA-N 0 1 280.393 0.284 20 30 CCEDMN C#CCN(C(=O)C1CN([C@@H]2CCOC2)C1)C(C)C ZINC000928624018 613065353 /nfs/dbraw/zinc/06/53/53/613065353.db2.gz UULCPBDCHPDBCG-CYBMUJFWSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CC[C@H]1C(=O)N(c2nccc(N3CCOCC3)n2)N=C1C ZINC000899351418 613071477 /nfs/dbraw/zinc/07/14/77/613071477.db2.gz JQFQRSMLIISIGA-GFCCVEGCSA-N 0 1 299.334 0.675 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2nc(C)no2)C1 ZINC000972047661 613080795 /nfs/dbraw/zinc/08/07/95/613080795.db2.gz CHOATECBKRBWBM-GFCCVEGCSA-N 0 1 276.340 0.477 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)N2CCCCC2=O)C1 ZINC000980468163 613083391 /nfs/dbraw/zinc/08/33/91/613083391.db2.gz VDIQETTUJDYTBW-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(COC)on2)C1 ZINC000972494177 613085367 /nfs/dbraw/zinc/08/53/67/613085367.db2.gz ABOIASSLZOKQPC-LLVKDONJSA-N 0 1 277.324 0.601 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(OC)n(C)n2)C1 ZINC000971915699 613085581 /nfs/dbraw/zinc/08/55/81/613085581.db2.gz ZXOWYWCAGTVOCF-LLVKDONJSA-N 0 1 276.340 0.208 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(C)nc2COC)C1 ZINC000971818787 613087312 /nfs/dbraw/zinc/08/73/12/613087312.db2.gz RBQPRIWRANFQAJ-GFCCVEGCSA-N 0 1 290.367 0.346 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn(CC)n2)C1 ZINC000971496859 613087690 /nfs/dbraw/zinc/08/76/90/613087690.db2.gz LCPYDIYXQTVFJJ-LLVKDONJSA-N 0 1 261.329 0.078 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nn(C)cc2C)C1 ZINC000971528974 613088536 /nfs/dbraw/zinc/08/85/36/613088536.db2.gz RBZJTDUIOHMQED-GFCCVEGCSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC23CCOCC3)C1 ZINC000980565487 613093068 /nfs/dbraw/zinc/09/30/68/613093068.db2.gz ZMKWOJWASCLKAQ-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(C)ncn2)C1 ZINC000971350530 613093723 /nfs/dbraw/zinc/09/37/23/613093723.db2.gz HLXXMKLIHHVAEM-LBPRGKRZSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(OC)nc2)C1 ZINC000971894744 613095049 /nfs/dbraw/zinc/09/50/49/613095049.db2.gz CIYSFMKWPCEFFA-ZDUSSCGKSA-N 0 1 273.336 0.870 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1cc(C)[nH]n1)C1CCOCC1 ZINC000852129705 613096659 /nfs/dbraw/zinc/09/66/59/613096659.db2.gz APRGWUQJPZXGPX-CYBMUJFWSA-N 0 1 276.340 0.946 20 30 CCEDMN C#CC[NH+](CC#C)C[C@@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000825609140 613099097 /nfs/dbraw/zinc/09/90/97/613099097.db2.gz WLIZZXYQOHUCLC-CQSZACIVSA-N 0 1 287.315 0.693 20 30 CCEDMN C#C[C@@H](NC1CC(S(C)(=O)=O)C1)[C@@H]1CCCO1 ZINC000893676533 613099784 /nfs/dbraw/zinc/09/97/84/613099784.db2.gz MEHQODPFTOVIBA-HCWSGVFWSA-N 0 1 257.355 0.332 20 30 CCEDMN C#CCN(CC(=O)N(C)CC(=O)OCC)C(C)(C)C ZINC000932465130 613101723 /nfs/dbraw/zinc/10/17/23/613101723.db2.gz XEYLKKUMJOAVPZ-UHFFFAOYSA-N 0 1 268.357 0.742 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H](C)N(C)C ZINC000824447002 613104335 /nfs/dbraw/zinc/10/43/35/613104335.db2.gz AWKCLOFWMKDGQI-LLVKDONJSA-N 0 1 268.357 0.740 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000820411131 613116047 /nfs/dbraw/zinc/11/60/47/613116047.db2.gz CZZJBLNXGYVHRA-SDDRHHMPSA-N 0 1 253.346 0.105 20 30 CCEDMN C#C[C@H](C)NC(=O)NC(C)(C)CN1CCN(CC)CC1 ZINC000820399668 613116872 /nfs/dbraw/zinc/11/68/72/613116872.db2.gz IEFPYNZMTJWHKK-ZDUSSCGKSA-N 0 1 280.416 0.723 20 30 CCEDMN C#C[C@H](CCC)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000861296761 613124362 /nfs/dbraw/zinc/12/43/62/613124362.db2.gz FUBQUKKVUUCLRY-LLVKDONJSA-N 0 1 295.364 0.985 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCOC[C@@H]2OC)CC1 ZINC000882356344 613128670 /nfs/dbraw/zinc/12/86/70/613128670.db2.gz DZOMWDKCIADRFS-CABCVRRESA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](C)[C@@H](CO)C2)CC1 ZINC000857361059 613129527 /nfs/dbraw/zinc/12/95/27/613129527.db2.gz BBGYZUNGZUTUKW-DZGCQCFKSA-N 0 1 278.396 0.809 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C\[C@@H]2CCOC2)CC1 ZINC000928650482 613132321 /nfs/dbraw/zinc/13/23/21/613132321.db2.gz CRTZIJJZXRVVHD-DHCBQETCSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CCC(NC(=O)C2=C(C)C(C)(C)OC2=O)CC1 ZINC000928658613 613132457 /nfs/dbraw/zinc/13/24/57/613132457.db2.gz VLNKXVCHSXGQGH-UHFFFAOYSA-N 0 1 290.363 0.852 20 30 CCEDMN C#CCN1CCC(NC(=O)C(F)(F)C2(O)CCC2)CC1 ZINC000928654672 613132520 /nfs/dbraw/zinc/13/25/20/613132520.db2.gz LUBPNVMITXGPJA-UHFFFAOYSA-N 0 1 286.322 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(OC)CCC2)CC1 ZINC000928654063 613132570 /nfs/dbraw/zinc/13/25/70/613132570.db2.gz LVSQXKBJFCWKAK-UHFFFAOYSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCC(=O)NC(C)C)CC1 ZINC000928658692 613132751 /nfs/dbraw/zinc/13/27/51/613132751.db2.gz YVWGJOFLQKCORF-UHFFFAOYSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1CCC(NC(=O)CC2OCCCO2)CC1 ZINC000928652860 613132944 /nfs/dbraw/zinc/13/29/44/613132944.db2.gz PMBAHMFBNVSNSJ-UHFFFAOYSA-N 0 1 266.341 0.353 20 30 CCEDMN C#CCN1CCC(NC(=O)CN(C)C(=O)C2CC2)CC1 ZINC000928654875 613133013 /nfs/dbraw/zinc/13/30/13/613133013.db2.gz GNQDQGPWJXUTFN-UHFFFAOYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H](O)CCC)CC1 ZINC000928655392 613133261 /nfs/dbraw/zinc/13/32/61/613133261.db2.gz VOKIBSPEPDKVCV-ZDUSSCGKSA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)COCc2ccccn2)CC1 ZINC000928650645 613133378 /nfs/dbraw/zinc/13/33/78/613133378.db2.gz FHBPHGHNCHQXJB-UHFFFAOYSA-N 0 1 287.363 0.812 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H]2CC[C@@H](C)O2)CC1 ZINC000922822655 613134254 /nfs/dbraw/zinc/13/42/54/613134254.db2.gz SWFIHCHZNHRHAV-OCCSQVGLSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)Cn2cncn2)CC1 ZINC000915705046 613134858 /nfs/dbraw/zinc/13/48/58/613134858.db2.gz VETHDCCRXPSYIX-GFCCVEGCSA-N 0 1 290.371 0.063 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)C(OC)OC)CC1 ZINC000923646752 613135002 /nfs/dbraw/zinc/13/50/02/613135002.db2.gz RNENQNUUAAJBBU-LLVKDONJSA-N 0 1 283.372 0.391 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCN(CC)C2=O)CC1 ZINC000922383838 613135210 /nfs/dbraw/zinc/13/52/10/613135210.db2.gz OCMIZISWHMJMIY-ZDUSSCGKSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](O)Cc2ccccc2)CC1 ZINC000928653287 613135958 /nfs/dbraw/zinc/13/59/58/613135958.db2.gz HCGCEZPZPVYDRN-INIZCTEOSA-N 0 1 286.375 0.804 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CO[C@@H](C)C2)CC1 ZINC000928652705 613136606 /nfs/dbraw/zinc/13/66/06/613136606.db2.gz JHNBWYPHQVIUCR-NWDGAFQWSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCC[C@@H](C(N)=O)C2)CC1 ZINC000928653015 613136657 /nfs/dbraw/zinc/13/66/57/613136657.db2.gz VKVASJADDLWIFA-OLZOCXBDSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CC[C@@H](C)O2)CC1 ZINC000928648957 613136864 /nfs/dbraw/zinc/13/68/64/613136864.db2.gz FCQJCVSHVIEHSZ-DGCLKSJQSA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(=O)[nH]c2C)CC1 ZINC000928655009 613137050 /nfs/dbraw/zinc/13/70/50/613137050.db2.gz PROXDOCBYJPETI-UHFFFAOYSA-N 0 1 273.336 0.923 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccn(COC)n2)CC1 ZINC000928650331 613137694 /nfs/dbraw/zinc/13/76/94/613137694.db2.gz AGACVRKGOWOKMG-UHFFFAOYSA-N 0 1 276.340 0.314 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccc(C#CCO)c2)CC1 ZINC000928661193 613137801 /nfs/dbraw/zinc/13/78/01/613137801.db2.gz SEIBOVKSKWMPFP-UHFFFAOYSA-N 0 1 296.370 0.858 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nc3nccc(C)n3n2)CC1 ZINC000928659622 613138168 /nfs/dbraw/zinc/13/81/68/613138168.db2.gz UPYMUQSIVRUPOF-UHFFFAOYSA-N 0 1 298.350 0.260 20 30 CCEDMN C#CCN1CCC(c2nc(-c3cc(N)ncn3)no2)CC1 ZINC000820980022 613138904 /nfs/dbraw/zinc/13/89/04/613138904.db2.gz YBGJSJVWMUHHRS-UHFFFAOYSA-N 0 1 284.323 0.921 20 30 CCEDMN C#CCN1CCC(Nc2ncnc(-n3cccn3)c2N)CC1 ZINC000895808175 613139080 /nfs/dbraw/zinc/13/90/80/613139080.db2.gz CARKBEXCXIKXSC-UHFFFAOYSA-N 0 1 297.366 0.754 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@@H]1CCN1CC)[C@@H]1CCCO1 ZINC000883580843 613140630 /nfs/dbraw/zinc/14/06/30/613140630.db2.gz KRJILSUIENUGNV-AVGNSLFASA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3ccc(=O)[nH]c3)C2)C1 ZINC000981899380 613141180 /nfs/dbraw/zinc/14/11/80/613141180.db2.gz GIDQOKBCAJOIQJ-UHFFFAOYSA-N 0 1 299.374 0.887 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3cncn3C)C2)C1 ZINC000982116292 613141415 /nfs/dbraw/zinc/14/14/15/613141415.db2.gz JRACCQFPWAFYLA-UHFFFAOYSA-N 0 1 286.379 0.520 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CC[C@@H]3C(N)=O)C2)C1 ZINC000981929832 613142033 /nfs/dbraw/zinc/14/20/33/613142033.db2.gz CLYPLTUHHWPTQU-QWHCGFSZSA-N 0 1 289.379 0.056 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CCO[C@@H]3C)C2)C1 ZINC000982084167 613142168 /nfs/dbraw/zinc/14/21/68/613142168.db2.gz GBKULAKZPCJQDC-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cc(C)n[nH]3)C2)C1 ZINC000981647883 613143934 /nfs/dbraw/zinc/14/39/34/613143934.db2.gz NCOKVQYNFPRFHF-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ccncn3)C2)C1 ZINC000982073773 613144756 /nfs/dbraw/zinc/14/47/56/613144756.db2.gz DMELHDQCYASDTD-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnc(C)n3C)C2)C1 ZINC000981964590 613144973 /nfs/dbraw/zinc/14/49/73/613144973.db2.gz KOAHZUPHMAXPEC-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3csnn3)C2)C1 ZINC000981920747 613145386 /nfs/dbraw/zinc/14/53/86/613145386.db2.gz TWDDSJAOJAHWOE-UHFFFAOYSA-N 0 1 276.365 0.709 20 30 CCEDMN C#CC[N@H+]1CCCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000981729510 613145605 /nfs/dbraw/zinc/14/56/05/613145605.db2.gz DGQDGACQFWHRHS-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN C#CC[N@@H+]1CCCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC000981729510 613145606 /nfs/dbraw/zinc/14/56/06/613145606.db2.gz DGQDGACQFWHRHS-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC000968998339 613145919 /nfs/dbraw/zinc/14/59/19/613145919.db2.gz SQJOIWHYEPUQLK-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1nonc1C ZINC000969165438 613147740 /nfs/dbraw/zinc/14/77/40/613147740.db2.gz YDKJTEODQWDLQJ-GFCCVEGCSA-N 0 1 276.340 0.986 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)C1CN([C@H]2CCOC2)C1 ZINC000890657350 613153737 /nfs/dbraw/zinc/15/37/37/613153737.db2.gz OVUJAUBBUBXURZ-KBPBESRZSA-N 0 1 262.353 0.721 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000868851884 613162608 /nfs/dbraw/zinc/16/26/08/613162608.db2.gz AFQIAAZVBIXLQE-ZETCQYMHSA-N 0 1 273.214 0.903 20 30 CCEDMN C#C[C@](C)(CC)NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000911385529 613163775 /nfs/dbraw/zinc/16/37/75/613163775.db2.gz WHYNXKWEXABOCK-SMDDNHRTSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2cc3nnc(C)n3cn2)C1 ZINC000884612410 613176523 /nfs/dbraw/zinc/17/65/23/613176523.db2.gz KXWXBDWHLIXTNI-GFCCVEGCSA-N 0 1 270.340 0.942 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H]1COC(=O)c1cc(C(=O)[O-])no1 ZINC000833364000 613177178 /nfs/dbraw/zinc/17/71/78/613177178.db2.gz CMRFSNDKCKPBJL-SECBINFHSA-N 0 1 278.264 0.627 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1cc(C(=O)[O-])no1 ZINC000833364000 613177180 /nfs/dbraw/zinc/17/71/80/613177180.db2.gz CMRFSNDKCKPBJL-SECBINFHSA-N 0 1 278.264 0.627 20 30 CCEDMN C#CCN1CCC[C@H](Nc2cc(NC[C@H](C)O)ncn2)C1 ZINC000884612217 613193657 /nfs/dbraw/zinc/19/36/57/613193657.db2.gz HIHWCWBQRLBIJW-STQMWFEESA-N 0 1 289.383 0.779 20 30 CCEDMN C#Cc1ccc(NC(=O)CCN2CCC[C@H]2C(N)=O)cc1 ZINC000931180372 613194687 /nfs/dbraw/zinc/19/46/87/613194687.db2.gz PPIUVNFUFSJANZ-AWEZNQCLSA-N 0 1 285.347 0.946 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@H]1CC(=O)[O-] ZINC000825619083 613194936 /nfs/dbraw/zinc/19/49/36/613194936.db2.gz JVWVIDCRHXWSOZ-RYUDHWBXSA-N 0 1 264.325 0.550 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NCC(C)(C)CC(=O)[O-] ZINC000910829204 613198486 /nfs/dbraw/zinc/19/84/86/613198486.db2.gz FSZXJGNBQYKNKZ-NSHDSACASA-N 0 1 266.341 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCC(C)(C)CC(=O)[O-] ZINC000910829204 613198488 /nfs/dbraw/zinc/19/84/88/613198488.db2.gz FSZXJGNBQYKNKZ-NSHDSACASA-N 0 1 266.341 0.701 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NCCc1n[n-]c(=S)o1 ZINC000907461918 613199509 /nfs/dbraw/zinc/19/95/09/613199509.db2.gz OSPAFQNPSHLXSA-VIFPVBQESA-N 0 1 280.353 0.115 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCCc1n[n-]c(=S)o1 ZINC000907461918 613199511 /nfs/dbraw/zinc/19/95/11/613199511.db2.gz OSPAFQNPSHLXSA-VIFPVBQESA-N 0 1 280.353 0.115 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2CCNC(C)(C)C2)c1 ZINC000841266955 613201767 /nfs/dbraw/zinc/20/17/67/613201767.db2.gz IVZWHARUGMBQOR-UHFFFAOYSA-N 0 1 285.347 0.817 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2C[C@@H](C)NC[C@H]2C)c1 ZINC000841206816 613202138 /nfs/dbraw/zinc/20/21/38/613202138.db2.gz DYILLTTUVLPMJQ-VXGBXAGGSA-N 0 1 285.347 0.815 20 30 CCEDMN C#Cc1cccnc1N1CCN(C(=O)CN(C)C)CC1 ZINC000853153458 613208428 /nfs/dbraw/zinc/20/84/28/613208428.db2.gz RYYRGTCJZUJEDC-UHFFFAOYSA-N 0 1 272.352 0.273 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H](C)C2CN(CCOC)C2)c1 ZINC000970402133 613220983 /nfs/dbraw/zinc/22/09/83/613220983.db2.gz VGJSFZOYGOQFCV-GFCCVEGCSA-N 0 1 287.363 0.759 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000940672224 613228790 /nfs/dbraw/zinc/22/87/90/613228790.db2.gz HWOIWUWIQKJSSF-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000940855195 613229141 /nfs/dbraw/zinc/22/91/41/613229141.db2.gz AXVYRPBNDBXKDO-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C(C)(C)C1 ZINC000940811722 613230071 /nfs/dbraw/zinc/23/00/71/613230071.db2.gz RUUHTEKGHXUBNG-MJBXVCDLSA-N 0 1 294.395 0.640 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C)n(C)n2)C[C@@H]1C ZINC000947109536 613233037 /nfs/dbraw/zinc/23/30/37/613233037.db2.gz XJJRYHSWONHRAC-QWHCGFSZSA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc3ncccn3n2)C[C@@H]1C ZINC000947836767 613234404 /nfs/dbraw/zinc/23/44/04/613234404.db2.gz JYJJSYWPTURHPL-QWHCGFSZSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)nn2)C[C@H]1C ZINC000947261550 613240127 /nfs/dbraw/zinc/24/01/27/613240127.db2.gz KOPRIDZZFZPFJO-GHMZBOCLSA-N 0 1 261.329 0.031 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CCC)nn2)C[C@@H]1C ZINC000947871639 613240511 /nfs/dbraw/zinc/24/05/11/613240511.db2.gz AGMGANVEJAREFV-QWHCGFSZSA-N 0 1 289.383 0.904 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc(OC)nc2)[C@H](C)C1 ZINC000943951700 613240931 /nfs/dbraw/zinc/24/09/31/613240931.db2.gz WCISYDVDVUTEAI-DGCLKSJQSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3ncccc23)[C@H](C)C1 ZINC000942134219 613241833 /nfs/dbraw/zinc/24/18/33/613241833.db2.gz KDKIYCAWAPRVRJ-TZMCWYRMSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnnc(C)c2)[C@@H](C)C1 ZINC000942000323 613241865 /nfs/dbraw/zinc/24/18/65/613241865.db2.gz HCDAPWNEJPLIQD-SMDDNHRTSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2OC)C[C@@H]1C ZINC000947971036 613242363 /nfs/dbraw/zinc/24/23/63/613242363.db2.gz GWCVMTSJULUCCN-NWDGAFQWSA-N 0 1 290.367 0.645 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cncn2C)C1 ZINC000968533331 613251266 /nfs/dbraw/zinc/25/12/66/613251266.db2.gz IVNHQWIVAIYTKQ-GXTWGEPZSA-N 0 1 274.368 0.422 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)Cc2nnc[nH]2)CC1 ZINC000957131654 613258323 /nfs/dbraw/zinc/25/83/23/613258323.db2.gz OYNQKULNGNHQHS-UHFFFAOYSA-N 0 1 263.345 0.458 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000941093096 613259470 /nfs/dbraw/zinc/25/94/70/613259470.db2.gz JDALGBQDDUUCNV-STQMWFEESA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)ncn2)[C@H](C)C1 ZINC000941962007 613265460 /nfs/dbraw/zinc/26/54/60/613265460.db2.gz BZNNEDFVPMMIAK-YPMHNXCESA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)n(C)n2)C[C@H]1C ZINC000947109535 613265621 /nfs/dbraw/zinc/26/56/21/613265621.db2.gz XJJRYHSWONHRAC-OLZOCXBDSA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2C)C[C@H]1C ZINC000947061253 613275169 /nfs/dbraw/zinc/27/51/69/613275169.db2.gz NOYHHCWRHLVZFA-YPMHNXCESA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3cc[nH]c23)C[C@@H]1C ZINC000947975114 613275712 /nfs/dbraw/zinc/27/57/12/613275712.db2.gz KEUHKKVWZJKKHQ-RYUDHWBXSA-N 0 1 285.351 0.878 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(CCF)c2)[C@H](C)C1 ZINC000942208460 613275882 /nfs/dbraw/zinc/27/58/82/613275882.db2.gz PBVGXCPUDQUMLG-OCCSQVGLSA-N 0 1 292.358 0.926 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2N)C(C)(C)C1 ZINC000940702544 613275909 /nfs/dbraw/zinc/27/59/09/613275909.db2.gz GCTBTLORQVTFQP-LBPRGKRZSA-N 0 1 289.383 0.466 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2N)C[C@H]1C ZINC000947226172 613275931 /nfs/dbraw/zinc/27/59/31/613275931.db2.gz NEBULKRODKDQMR-MNOVXSKESA-N 0 1 275.356 0.218 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnnn2C)C[C@@H]1C ZINC000947266199 613275937 /nfs/dbraw/zinc/27/59/37/613275937.db2.gz QSHWYGQCVPJAEY-QWRGUYRKSA-N 0 1 261.329 0.031 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnnc(C)c2)[C@H](C)C1 ZINC000942000322 613275968 /nfs/dbraw/zinc/27/59/68/613275968.db2.gz HCDAPWNEJPLIQD-RISCZKNCSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2coc(C(N)=O)c2)[C@H](C)C1 ZINC000942523753 613276351 /nfs/dbraw/zinc/27/63/51/613276351.db2.gz VVMMBDGMDAESPO-PWSUYJOCSA-N 0 1 289.335 0.452 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nn(C)cc2C)C[C@H]1C ZINC000947303898 613278510 /nfs/dbraw/zinc/27/85/10/613278510.db2.gz BQTHDJWBHLNJAN-OLZOCXBDSA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CCCCC2)C1 ZINC000957820462 613285570 /nfs/dbraw/zinc/28/55/70/613285570.db2.gz GHUCBZLUEUJFKK-UONOGXRCSA-N 0 1 264.369 0.609 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(F)cccc2F)C1 ZINC000958623269 613285830 /nfs/dbraw/zinc/28/58/30/613285830.db2.gz RALGRSWDZYNNRG-GXFFZTMASA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cn(C(C)C)cn2)C1 ZINC000958282334 613287406 /nfs/dbraw/zinc/28/74/06/613287406.db2.gz ZESOPDPHXLPOAT-GXTWGEPZSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2conc2C2CC2)C1 ZINC000958385101 613288064 /nfs/dbraw/zinc/28/80/64/613288064.db2.gz NMSLTPIQBJJJQM-WCQYABFASA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(F)cc(F)c2)[C@H](O)C1 ZINC000958649247 613289176 /nfs/dbraw/zinc/28/91/76/613289176.db2.gz JOTZCQMDUBVPFW-BXUZGUMPSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccncc2Cl)[C@H](O)C1 ZINC000958429599 613289636 /nfs/dbraw/zinc/28/96/36/613289636.db2.gz KWAHVHGFWAYINK-ZWNOBZJWSA-N 0 1 293.754 0.391 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cn(C(C)C)cn2)[C@H](O)C1 ZINC000958282337 613290076 /nfs/dbraw/zinc/29/00/76/613290076.db2.gz ZESOPDPHXLPOAT-TZMCWYRMSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3cccnn3)C[C@]2(C)C1 ZINC000982668382 613293824 /nfs/dbraw/zinc/29/38/24/613293824.db2.gz WIULGCNZUIZDBK-IYBDPMFKSA-N 0 1 284.363 0.894 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2C=CC=CC=C2)C1 ZINC000958105884 613294058 /nfs/dbraw/zinc/29/40/58/613294058.db2.gz NDZNWGKKSFNRGD-GJZGRUSLSA-N 0 1 272.348 0.327 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc3occc3[nH]2)C1 ZINC000958113306 613294473 /nfs/dbraw/zinc/29/44/73/613294473.db2.gz WUROXKYFBZZSAY-GWCFXTLKSA-N 0 1 287.319 0.417 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccn(C(C)C)n2)C1 ZINC000958447421 613295152 /nfs/dbraw/zinc/29/51/52/613295152.db2.gz DIEPAEXZXVXHPP-JSGCOSHPSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2CC)C1 ZINC000958186879 613296363 /nfs/dbraw/zinc/29/63/63/613296363.db2.gz HYGIKZDCNJDMIM-KBPBESRZSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC2OCCCO2)C(C)(C)C1 ZINC000974505526 613296965 /nfs/dbraw/zinc/29/69/65/613296965.db2.gz HPNPXCWXEDTLKL-LBPRGKRZSA-N 0 1 280.368 0.599 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cnn(CC)c2)C(C)(C)C1 ZINC000977478314 613297937 /nfs/dbraw/zinc/29/79/37/613297937.db2.gz SXRUEKCXXNDUEO-AWEZNQCLSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C(C)(C)C1 ZINC000974671356 613298279 /nfs/dbraw/zinc/29/82/79/613298279.db2.gz CCMFUNRCVQZKCK-BZPMIXESSA-N 0 1 286.379 0.973 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2CC(C)(C)C2)C1 ZINC000958042230 613302007 /nfs/dbraw/zinc/30/20/07/613302007.db2.gz RKUWTTLZPGVACY-OLZOCXBDSA-N 0 1 264.369 0.465 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC000958550603 613302511 /nfs/dbraw/zinc/30/25/11/613302511.db2.gz CTYUHLISYOJQHY-DOMZBBRYSA-N 0 1 287.363 0.548 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c(C)onc2CC)C1 ZINC000958666576 613302568 /nfs/dbraw/zinc/30/25/68/613302568.db2.gz MRMDUAPLINRFGO-YPMHNXCESA-N 0 1 291.351 0.201 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc3c(c2)CCC3)C1 ZINC000958656916 613302929 /nfs/dbraw/zinc/30/29/29/613302929.db2.gz BCAUYLRKDIQTTQ-SJORKVTESA-N 0 1 298.386 0.831 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc3[nH]ccc3c2)C1 ZINC000958363496 613303014 /nfs/dbraw/zinc/30/30/14/613303014.db2.gz BYWCTPMCFCTOTC-ZBFHGGJFSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1nc(C)cc1C ZINC000960917655 613315018 /nfs/dbraw/zinc/31/50/18/613315018.db2.gz VEKYTBDGARSOMZ-NHAGDIPZSA-N 0 1 272.352 0.180 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1COc2ccccc2C1 ZINC000960926555 613315816 /nfs/dbraw/zinc/31/58/16/613315816.db2.gz YHFFKVFCNUORQR-LJIGWXMPSA-N 0 1 296.370 0.917 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)ccn1C ZINC000958660126 613317242 /nfs/dbraw/zinc/31/72/42/613317242.db2.gz SHEVBMGHFLLZOK-ITGUQSILSA-N 0 1 257.337 0.627 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(CC)ncnc1CC ZINC000961799256 613317248 /nfs/dbraw/zinc/31/72/48/613317248.db2.gz RNEKHLFSURXGEN-ATCWAGBWSA-N 0 1 298.390 0.895 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2ccccc2nn1 ZINC000961431939 613318506 /nfs/dbraw/zinc/31/85/06/613318506.db2.gz TWXHUPOBMWEDCF-VIKVFOODSA-N 0 1 292.342 0.923 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2nonc2c1 ZINC000961664503 613319727 /nfs/dbraw/zinc/31/97/27/613319727.db2.gz DXBJFVSHWNEGDB-YABSGUDNSA-N 0 1 282.303 0.516 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc[nH]c(=O)c1 ZINC000958518649 613320162 /nfs/dbraw/zinc/32/01/62/613320162.db2.gz RMLNRHAUOBKFOM-PJXYFTJBSA-N 0 1 257.293 0.080 20 30 CCEDMN C#CCNC(=O)C1CCN(c2nc(C)cc(N)n2)CC1 ZINC000892149053 613323675 /nfs/dbraw/zinc/32/36/75/613323675.db2.gz WJMYYDXKDFRUPV-UHFFFAOYSA-N 0 1 273.340 0.333 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCC[C@H]2CCOC2)CC1 ZINC000827871149 613329604 /nfs/dbraw/zinc/32/96/04/613329604.db2.gz UOHUWGKAZAKGFW-HNNXBMFYSA-N 0 1 293.411 0.170 20 30 CCEDMN C=C(C)CONC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000845596260 613353540 /nfs/dbraw/zinc/35/35/40/613353540.db2.gz SODUCVPNDAMNLQ-LBPRGKRZSA-N 0 1 270.377 0.429 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[C@H]2[C@H]1CCC[N@H+]2CCO ZINC000908008338 613354139 /nfs/dbraw/zinc/35/41/39/613354139.db2.gz ZSTRPZWLGXLAJZ-QWHCGFSZSA-N 0 1 288.413 0.423 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[C@H]2[C@H]1CCCN2CCO ZINC000908008338 613354140 /nfs/dbraw/zinc/35/41/40/613354140.db2.gz ZSTRPZWLGXLAJZ-QWHCGFSZSA-N 0 1 288.413 0.423 20 30 CCEDMN C=C(C)C[C@@H](CO)NS(=O)(=O)c1ccccc1O ZINC000882844794 613355580 /nfs/dbraw/zinc/35/55/80/613355580.db2.gz AOGLVLILBBRZMP-JTQLQIEISA-N 0 1 271.338 0.998 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC000929893561 613360430 /nfs/dbraw/zinc/36/04/30/613360430.db2.gz IGKLPWSBIAONAG-GFCCVEGCSA-N 0 1 276.402 0.279 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H]([N@H+]2CC[C@H](O)C2)C1 ZINC000908200128 613361068 /nfs/dbraw/zinc/36/10/68/613361068.db2.gz HZYVYXFERBKDCT-QPSCCSFWSA-N 0 1 294.395 0.635 20 30 CCEDMN C=C(C)[C@H](CO)n1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881319421 613362632 /nfs/dbraw/zinc/36/26/32/613362632.db2.gz BTLOUHFQSIFKGS-QMMMGPOBSA-N 0 1 278.234 0.566 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N1CCC[C@@H](c2nn[n-]n2)C1 ZINC000901176838 613363654 /nfs/dbraw/zinc/36/36/54/613363654.db2.gz KXVYCZLZXVGEHQ-LLVKDONJSA-N 0 1 278.360 0.414 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)NCc1nc([O-])cc(=O)[nH]1 ZINC000912474099 613365206 /nfs/dbraw/zinc/36/52/06/613365206.db2.gz OEKOKZTYOLGXOF-UHFFFAOYSA-N 0 1 266.301 0.012 20 30 CCEDMN C=C(Cl)CN1CC([C@H](C)NC(=O)c2cnn[nH]2)C1 ZINC000970024059 613372608 /nfs/dbraw/zinc/37/26/08/613372608.db2.gz MFEABSOALYXGDG-QMMMGPOBSA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H]3C[C@H]3C(N)=O)[C@@H]2C1 ZINC000978740502 613381988 /nfs/dbraw/zinc/38/19/88/613381988.db2.gz HDUPBWGXLSRJJN-ROHXPCBUSA-N 0 1 297.786 0.154 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CN2CCOCC2)C1 ZINC000968446114 613384578 /nfs/dbraw/zinc/38/45/78/613384578.db2.gz OMNKAWOMTKUIRH-GFCCVEGCSA-N 0 1 287.791 0.262 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cncn2C)C1 ZINC000969220163 613385988 /nfs/dbraw/zinc/38/59/88/613385988.db2.gz BQTOACJPNKGONB-SNVBAGLBSA-N 0 1 268.748 0.977 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cn(C)c(=O)[nH]2)C[C@H]1C ZINC000939069156 613386408 /nfs/dbraw/zinc/38/64/08/613386408.db2.gz UNXOGANMBZHYTC-PSASIEDQSA-N 0 1 298.774 0.928 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)NCC1(S(=O)(=O)CC)CC1 ZINC000876973749 613387955 /nfs/dbraw/zinc/38/79/55/613387955.db2.gz ONIJFSQFLVASNE-SECBINFHSA-N 0 1 294.804 0.540 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(CC)[C@@H]1CCS(=O)(=O)C1 ZINC000876554228 613389007 /nfs/dbraw/zinc/38/90/07/613389007.db2.gz GQUBPHBRURBJRF-ZJUUUORDSA-N 0 1 294.804 0.492 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@@H](C)CS(=O)(=O)CC ZINC000876664193 613390256 /nfs/dbraw/zinc/39/02/56/613390256.db2.gz PMBYYYQQNIEQHC-IUCAKERBSA-N 0 1 282.793 0.396 20 30 CCEDMN C=CC[C@H](C(=O)OC)N(C)C[C@H]1CCN(C(C)=O)C1 ZINC000878301190 613410521 /nfs/dbraw/zinc/41/05/21/613410521.db2.gz LWCDQIMPEVIJQT-CHWSQXEVSA-N 0 1 268.357 0.904 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)CCOC1CC1 ZINC000899487159 613424634 /nfs/dbraw/zinc/42/46/34/613424634.db2.gz NTSSASRPANRZCO-UHFFFAOYSA-N 0 1 273.314 0.122 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000913493635 613445081 /nfs/dbraw/zinc/44/50/81/613445081.db2.gz DVZWILHESZYCMS-SECBINFHSA-N 0 1 265.317 0.702 20 30 CCEDMN C=C[C@@H](O)C(=O)Nc1cc(S(=O)(=O)CC)ccc1O ZINC000816823086 613453106 /nfs/dbraw/zinc/45/31/06/613453106.db2.gz HVDSVUFRXAQQHP-SNVBAGLBSA-N 0 1 285.321 0.671 20 30 CCEDMN C=C[C@H](C)ONC(=O)N[C@H]1CCN(CCOC)C1 ZINC000845630635 613487869 /nfs/dbraw/zinc/48/78/69/613487869.db2.gz LHRBZRHHQFENNK-QWRGUYRKSA-N 0 1 257.334 0.512 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000852714285 613492829 /nfs/dbraw/zinc/49/28/29/613492829.db2.gz HLFXSUABFWGIMD-DTWKUNHWSA-N 0 1 290.323 0.732 20 30 CCEDMN C=CCCN1CCN(C(=O)Cn2cc(C3CC3)nn2)CC1 ZINC000948745358 613505239 /nfs/dbraw/zinc/50/52/39/613505239.db2.gz FAWKSPHDSNHACZ-UHFFFAOYSA-N 0 1 289.383 0.876 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC000950622856 613505456 /nfs/dbraw/zinc/50/54/56/613505456.db2.gz DZIMNCWTGJIEJG-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCN1CCN(C(=O)c2ccc(=O)n(C)c2)CC1 ZINC000949072677 613510124 /nfs/dbraw/zinc/51/01/24/613510124.db2.gz KCWRZIBZAIEMIT-UHFFFAOYSA-N 0 1 275.352 0.719 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)[C@H](C)OC)C2)C1 ZINC000972283580 613514843 /nfs/dbraw/zinc/51/48/43/613514843.db2.gz DOOLYJXFLPZWBK-DZGCQCFKSA-N 0 1 282.384 0.901 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000970040177 613533359 /nfs/dbraw/zinc/53/33/59/613533359.db2.gz DASOFGXSFCOEAS-GFCCVEGCSA-N 0 1 287.363 0.459 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000969487910 613534259 /nfs/dbraw/zinc/53/42/59/613534259.db2.gz STXHXMONTWWWJO-LLVKDONJSA-N 0 1 273.336 0.861 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC000969915659 613536700 /nfs/dbraw/zinc/53/67/00/613536700.db2.gz FVNHBQSWRPXWHT-WCQYABFASA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cc(=O)n(C)o2)C1 ZINC000969551310 613537714 /nfs/dbraw/zinc/53/77/14/613537714.db2.gz LLYMQCSJTDYAGJ-JTQLQIEISA-N 0 1 277.324 0.052 20 30 CCEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1ncn(C)n1 ZINC000968840074 613546951 /nfs/dbraw/zinc/54/69/51/613546951.db2.gz IIDPYPNSNCZIKT-ZDUSSCGKSA-N 0 1 289.383 0.813 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965959240 613574697 /nfs/dbraw/zinc/57/46/97/613574697.db2.gz SIXBLAOWZXOPTJ-VXGBXAGGSA-N 0 1 291.351 0.442 20 30 CCEDMN C=CCCOCCNC(=O)NC[C@H]1CCN1CC ZINC000883537561 613575098 /nfs/dbraw/zinc/57/50/98/613575098.db2.gz WEGWJUWAVDISKH-GFCCVEGCSA-N 0 1 255.362 0.973 20 30 CCEDMN CC(=O)N1CCc2cc(C(=O)NCC#CCN(C)C)ccc21 ZINC000913515670 613587946 /nfs/dbraw/zinc/58/79/46/613587946.db2.gz HRBIFMSPOVMESM-UHFFFAOYSA-N 0 1 299.374 0.890 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2nonc2C)C1 ZINC000968493791 613590282 /nfs/dbraw/zinc/59/02/82/613590282.db2.gz XKNWWPNSPFXVFA-FZMZJTMJSA-N 0 1 290.367 0.770 20 30 CCEDMN C=CCC[C@H](NC(=O)C1=NC(=O)N(C)C1)C(=O)OCC ZINC000854850453 613590519 /nfs/dbraw/zinc/59/05/19/613590519.db2.gz GNIXMRULKOAPTK-VIFPVBQESA-N 0 1 281.312 0.753 20 30 CCEDMN C=CCN(C(=O)C1CN([C@H]2CCOC2)C1)[C@H](C)COC ZINC000912311855 613604498 /nfs/dbraw/zinc/60/44/98/613604498.db2.gz AOTWSBGGPQKIJM-OCCSQVGLSA-N 0 1 282.384 0.757 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C[C@H]2COC(=O)C2)C(C)(C)C1 ZINC000973038409 613608333 /nfs/dbraw/zinc/60/83/33/613608333.db2.gz NQZYZXCUWAMYPL-CHWSQXEVSA-N 0 1 292.379 0.790 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975032521 613610073 /nfs/dbraw/zinc/61/00/73/613610073.db2.gz VTALOEMFRQTVNU-WBMJQRKESA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ocnc2CC)C1 ZINC000958389330 613613523 /nfs/dbraw/zinc/61/35/23/613613523.db2.gz DUYLAIJYBFKTSN-WCQYABFASA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2occc2Cl)[C@H](O)C1 ZINC000958380218 613616356 /nfs/dbraw/zinc/61/63/56/613616356.db2.gz YHELACCCYBBAKF-ZYHUDNBSSA-N 0 1 296.754 0.979 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccn2CC)C1 ZINC000958683755 613618287 /nfs/dbraw/zinc/61/82/87/613618287.db2.gz HJYBEHFTQUPUFL-ZFWWWQNUSA-N 0 1 289.379 0.554 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC000958338793 613618481 /nfs/dbraw/zinc/61/84/81/613618481.db2.gz HVPNRZDQXOGDPV-QRTUWBSPSA-N 0 1 276.380 0.465 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(F)cc2)C1 ZINC000957815901 613618892 /nfs/dbraw/zinc/61/88/92/613618892.db2.gz CXRNBBMTRWCFMO-ZFWWWQNUSA-N 0 1 290.338 0.872 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccncc2C)C1 ZINC000958057572 613619209 /nfs/dbraw/zinc/61/92/09/613619209.db2.gz WDOBVYLAHIPCCL-ZFWWWQNUSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2c[nH]nc2CC)C1 ZINC000958202355 613625190 /nfs/dbraw/zinc/62/51/90/613625190.db2.gz DEOLOJQOUNLJSP-RISCZKNCSA-N 0 1 290.367 0.018 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1nc(C)cc1C ZINC000960952561 613635094 /nfs/dbraw/zinc/63/50/94/613635094.db2.gz UMNCEUIFILOZJX-FOLVSLTJSA-N 0 1 286.379 0.570 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnn1CCC ZINC000960119248 613641295 /nfs/dbraw/zinc/64/12/95/613641295.db2.gz UMDJFNFNKJBUCN-NHAGDIPZSA-N 0 1 286.379 0.976 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nccn2ccnc12 ZINC000961806554 613642795 /nfs/dbraw/zinc/64/27/95/613642795.db2.gz AIQGDTNNJOJAAH-ITGUQSILSA-N 0 1 295.346 0.413 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncnc2[nH]ccc21 ZINC000960818295 613643350 /nfs/dbraw/zinc/64/33/50/613643350.db2.gz XMOXEOXPAYOWRQ-ITGUQSILSA-N 0 1 295.346 0.593 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CC[C@@](O)(C(=O)OC)C1 ZINC000880560153 613647970 /nfs/dbraw/zinc/64/79/70/613647970.db2.gz LTGOKAHAJXZMFM-DOMZBBRYSA-N 0 1 296.367 0.185 20 30 CCEDMN C=CCN1CC(=O)N(CC(C)(C)N2CCN(C)CC2)C1=O ZINC000925280508 613652210 /nfs/dbraw/zinc/65/22/10/613652210.db2.gz RSVWZARBJTZELX-UHFFFAOYSA-N 0 1 294.399 0.463 20 30 CCEDMN CC(=NNc1nccn1C)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000811649381 613657750 /nfs/dbraw/zinc/65/77/50/613657750.db2.gz KWYYYTOGOGJUKO-UHFFFAOYSA-N 0 1 298.306 0.786 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC000970338207 613662153 /nfs/dbraw/zinc/66/21/53/613662153.db2.gz KCXGREWSTGHTPL-ZYHUDNBSSA-N 0 1 289.383 0.847 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC000970314824 613669187 /nfs/dbraw/zinc/66/91/87/613669187.db2.gz NKDNARVAMUUSFV-CMPLNLGQSA-N 0 1 265.357 0.230 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(OC)ncn2)C1 ZINC000969676482 613671245 /nfs/dbraw/zinc/67/12/45/613671245.db2.gz ASYMTRSJKICILJ-JTQLQIEISA-N 0 1 276.340 0.721 20 30 CCEDMN CC(=O)N1c2ccccc2C[C@H]1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834493959 613684698 /nfs/dbraw/zinc/68/46/98/613684698.db2.gz JGZDFZOSPRSVBN-IPYPFGDCSA-N 0 1 298.346 0.192 20 30 CCEDMN C=CCN1CCCN(C(=O)C2CN(C(C)=O)C2)CC1 ZINC000981043945 613688369 /nfs/dbraw/zinc/68/83/69/613688369.db2.gz PFCGDNNPZFILHP-UHFFFAOYSA-N 0 1 265.357 0.185 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](C)[C@H]2CCC(=O)N2)CC1 ZINC000982003663 613693844 /nfs/dbraw/zinc/69/38/44/613693844.db2.gz ABOFNFKSQCVJGN-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCNC(=O)CC2)CC1 ZINC000981692684 613695000 /nfs/dbraw/zinc/69/50/00/613695000.db2.gz FWUGMXQURBMONP-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN CC(=O)NCCCCCC(=O)C(C#N)C(=O)NC(C)C ZINC000916946760 613720640 /nfs/dbraw/zinc/72/06/40/613720640.db2.gz IREKCIHCEFBJMX-GFCCVEGCSA-N 0 1 281.356 0.916 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CC[C@@H]2CCOC2=O)C1=O ZINC000799177484 613738155 /nfs/dbraw/zinc/73/81/55/613738155.db2.gz QVPZKEAWYKENET-VXGBXAGGSA-N 0 1 266.341 0.658 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H]2CCC3(COC3)O2)C1=O ZINC000930310542 613738671 /nfs/dbraw/zinc/73/86/71/613738671.db2.gz IDNFIWJHJDKONN-QWHCGFSZSA-N 0 1 280.368 0.653 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H]2CCN(C(=O)CC)C2)C1=O ZINC000970907630 613739281 /nfs/dbraw/zinc/73/92/81/613739281.db2.gz MLJDPFIWKUMQTE-QWHCGFSZSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@@H](N(CC2CC2)[C@H](C(N)=O)C2CC2)C1=O ZINC000879487697 613739390 /nfs/dbraw/zinc/73/93/90/613739390.db2.gz ZKXJQGBTGWEREK-KGLIPLIRSA-N 0 1 291.395 0.749 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ncn(C)n2)C1 ZINC000965996139 613770954 /nfs/dbraw/zinc/77/09/54/613770954.db2.gz OQKJWXOIPDWEMF-QWRGUYRKSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C2CC(=O)NC(=O)C2)C1 ZINC000968418754 613771996 /nfs/dbraw/zinc/77/19/96/613771996.db2.gz NSWKEYWBMOHQAF-CMPLNLGQSA-N 0 1 293.367 0.052 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCC(=O)N(C)OC)C1=O ZINC000799176498 613776799 /nfs/dbraw/zinc/77/67/99/613776799.db2.gz WCPZMAXSWKGELT-NSHDSACASA-N 0 1 269.345 0.115 20 30 CCEDMN C=CCN1CC[C@H](N2CCC3(CCNC(=O)O3)CC2)C1=O ZINC000932759740 613778057 /nfs/dbraw/zinc/77/80/57/613778057.db2.gz XVRMBFZTRWXPNS-LBPRGKRZSA-N 0 1 293.367 0.738 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H](C)n2cncn2)C(C)(C)C1 ZINC000974484291 613827707 /nfs/dbraw/zinc/82/77/07/613827707.db2.gz MBHPVWSRHHEAGF-RYUDHWBXSA-N 0 1 277.372 0.852 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974884641 613828485 /nfs/dbraw/zinc/82/84/85/613828485.db2.gz ZAMWSGIODNQWSS-NHYWBVRUSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCNC(=O)CN1CCN[C@@H](c2ccc(OC)cc2)C1 ZINC000879517990 613845687 /nfs/dbraw/zinc/84/56/87/613845687.db2.gz FPZMVGLRYOXVRJ-OAHLLOKOSA-N 0 1 289.379 0.944 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N(C[C@H]2CC[N@@H+]2CC)C1=O ZINC000925095168 613882126 /nfs/dbraw/zinc/88/21/26/613882126.db2.gz GZFAKFNMUDDOLQ-MNOVXSKESA-N 0 1 267.329 0.204 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N(C[C@H]2CCN2CC)C1=O ZINC000925095168 613882127 /nfs/dbraw/zinc/88/21/27/613882127.db2.gz GZFAKFNMUDDOLQ-MNOVXSKESA-N 0 1 267.329 0.204 20 30 CCEDMN C=CCO[N-]C(=O)[C@@H]1CC(=O)N(C[C@H]2CCC[N@H+]2CC)C1 ZINC000837048514 613884357 /nfs/dbraw/zinc/88/43/57/613884357.db2.gz SXTQVTWERMASNX-CHWSQXEVSA-N 0 1 295.383 0.553 20 30 CCEDMN C=CCO[N-]C(=O)[C@@H]1CC(=O)N(C[C@H]2CCC[N@@H+]2CC)C1 ZINC000837048514 613884358 /nfs/dbraw/zinc/88/43/58/613884358.db2.gz SXTQVTWERMASNX-CHWSQXEVSA-N 0 1 295.383 0.553 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@@H](O)c1ccc(C#N)cc1 ZINC000819222898 613885621 /nfs/dbraw/zinc/88/56/21/613885621.db2.gz WIXRBQBDOMNXIG-CQSZACIVSA-N 0 1 294.376 0.782 20 30 CCEDMN C=CCS(=O)(=O)[N-]C(=O)[C@@]1(F)CC[N@H+](C(C)(C)C)C1 ZINC000810644184 613886209 /nfs/dbraw/zinc/88/62/09/613886209.db2.gz UOXYZHNKJHRKMR-GFCCVEGCSA-N 0 1 292.376 0.831 20 30 CCEDMN CC(C)(C)OC(=O)N1CC[C@@H](NCCC#N)[C@H](O)C1 ZINC000872225305 613977510 /nfs/dbraw/zinc/97/75/10/613977510.db2.gz HEJFQHLSVYAMAI-GHMZBOCLSA-N 0 1 269.345 0.860 20 30 CCEDMN CC(C)(C)c1nc(CS(=O)(=O)CCC#N)n[nH]1 ZINC000905266324 614052956 /nfs/dbraw/zinc/05/29/56/614052956.db2.gz DZXLBPJHAKLPDY-UHFFFAOYSA-N 0 1 256.331 0.931 20 30 CCEDMN CC(C)[C@@H](CC[N@@H+](C)CC(=O)NCC#N)NC(=O)[O-] ZINC000824099645 614092944 /nfs/dbraw/zinc/09/29/44/614092944.db2.gz QZICZIDAFLXQNL-SNVBAGLBSA-N 0 1 270.333 0.240 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)C#CC2CC2)C1 ZINC000837318779 614097153 /nfs/dbraw/zinc/09/71/53/614097153.db2.gz YNKBLOQYFHUWNZ-AWEZNQCLSA-N 0 1 264.369 0.873 20 30 CCEDMN CC(C)[C@@H]1OCC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913521889 614177905 /nfs/dbraw/zinc/17/79/05/614177905.db2.gz RKKJFKMSWNCCDH-STQMWFEESA-N 0 1 252.358 0.729 20 30 CCEDMN CC(=O)[C@@H]1C[C@H](C(=O)NCC#CCN(C)C)C1(C)C ZINC000913521079 614236987 /nfs/dbraw/zinc/23/69/87/614236987.db2.gz KDZKXFVZWKNNBX-QWHCGFSZSA-N 0 1 264.369 0.919 20 30 CCEDMN CC(=O)c1cccc(OCC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000843461214 614258053 /nfs/dbraw/zinc/25/80/53/614258053.db2.gz KLUWQLPPTMAIMM-JSGCOSHPSA-N 0 1 287.319 0.496 20 30 CCEDMN CC(C)n1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1Cl ZINC000876801867 614370445 /nfs/dbraw/zinc/37/04/45/614370445.db2.gz JYCUEIZNZINRHC-WCBMZHEXSA-N 0 1 281.747 0.959 20 30 CCEDMN CCCc1cc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)n(C)n1 ZINC000841171021 614476057 /nfs/dbraw/zinc/47/60/57/614476057.db2.gz MQSFKGDPLYGJMD-GXSJLCMTSA-N 0 1 276.344 0.606 20 30 CCEDMN CCCc1noc(CCCC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000834489062 614500279 /nfs/dbraw/zinc/50/02/79/614500279.db2.gz IXTRRVUAQBEEPI-WDEREUQCSA-N 0 1 291.355 0.573 20 30 CCEDMN CC1(C)CCCN(C(=O)[C@H](O)c2c[nH]cn2)[C@@H]1C#N ZINC000867832444 614526940 /nfs/dbraw/zinc/52/69/40/614526940.db2.gz MZBILQZQDDQWND-GHMZBOCLSA-N 0 1 262.313 0.984 20 30 CCEDMN CC1(C)CN(CC#N)C[C@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000974780401 614610837 /nfs/dbraw/zinc/61/08/37/614610837.db2.gz ZLFREFQVNKBEOO-GFCCVEGCSA-N 0 1 287.367 0.862 20 30 CCEDMN CCCN1CCO[C@@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000972298432 614691898 /nfs/dbraw/zinc/69/18/98/614691898.db2.gz SFCNCXMWWWLRMQ-JSGCOSHPSA-N 0 1 265.357 0.859 20 30 CCEDMN CCCNC(=O)CON=C(N)CN1CCCCCC1 ZINC000111178736 614857049 /nfs/dbraw/zinc/85/70/49/614857049.db2.gz YMZAHSNRHQPGCX-UHFFFAOYSA-N 0 1 270.377 0.677 20 30 CCEDMN CCN(CC(=O)N1CCO[C@@](C)(C#N)C1)C1CC1 ZINC000887680559 614929365 /nfs/dbraw/zinc/92/93/65/614929365.db2.gz IUNYCYRLITZKPS-ZDUSSCGKSA-N 0 1 251.330 0.612 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000827112259 615021633 /nfs/dbraw/zinc/02/16/33/615021633.db2.gz ZIQRFFSEPBVSSS-LLVKDONJSA-N 0 1 282.344 0.481 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000827112259 615021635 /nfs/dbraw/zinc/02/16/35/615021635.db2.gz ZIQRFFSEPBVSSS-LLVKDONJSA-N 0 1 282.344 0.481 20 30 CCEDMN CCN(CCC#N)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000896859263 615021680 /nfs/dbraw/zinc/02/16/80/615021680.db2.gz BDXXPWKBJYTTFL-LBPRGKRZSA-N 0 1 251.330 0.469 20 30 CCEDMN CCN(CCC(N)=O)CC(=O)Nc1cccc(C#N)c1 ZINC000932481769 615040396 /nfs/dbraw/zinc/04/03/96/615040396.db2.gz ZATRMSFYSNYZIQ-UHFFFAOYSA-N 0 1 274.324 0.694 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)CCC(=O)[O-] ZINC000818193827 615061524 /nfs/dbraw/zinc/06/15/24/615061524.db2.gz YKXHPVFVYNLQLT-JTQLQIEISA-N 0 1 255.318 0.401 20 30 CCEDMN CCNC(=S)N1CCc2[nH]c(=O)c(C#N)cc2C1 ZINC000865251090 615068848 /nfs/dbraw/zinc/06/88/48/615068848.db2.gz OMBSBJMMUCWUQB-UHFFFAOYSA-N 0 1 262.338 0.911 20 30 CCEDMN CCN1CCC(=NN[C@H]2CCCS(=O)(=O)C2)[C@H](C)C1 ZINC000863130348 615098746 /nfs/dbraw/zinc/09/87/46/615098746.db2.gz MYVOSDLPPBBQDV-NEPJUHHUSA-N 0 1 287.429 0.871 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N[C@@H](C)C1CN(CC#N)C1 ZINC000970927384 615111640 /nfs/dbraw/zinc/11/16/40/615111640.db2.gz DGCIWGOXCTUOSJ-GXTWGEPZSA-N 0 1 278.400 0.821 20 30 CCEDMN CCOC(=O)C(C)(C)CC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834498790 615150101 /nfs/dbraw/zinc/15/01/01/615150101.db2.gz BPKPLVBXSWJFEO-NXEZZACHSA-N 0 1 267.329 0.194 20 30 CCEDMN CCN1CCN(CC(=O)N[C@H](CC#N)C(F)(F)F)CC1 ZINC000928807152 615155494 /nfs/dbraw/zinc/15/54/94/615155494.db2.gz VJGGAUALCQPIIS-SNVBAGLBSA-N 0 1 292.305 0.585 20 30 CCEDMN CCOCCCCCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000870940397 615239779 /nfs/dbraw/zinc/23/97/79/615239779.db2.gz IQCDFNHGZZDGJU-VXGBXAGGSA-N 0 1 253.346 0.811 20 30 CCEDMN CCOC(=O)[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC000870142328 615302599 /nfs/dbraw/zinc/30/25/99/615302599.db2.gz JGIDIXOZJBBVNY-HOSYDEDBSA-N 0 1 292.335 0.953 20 30 CCEDMN CCS(=O)(=O)NC1CCN(CCO[C@H](C)C#N)CC1 ZINC000903010192 615341240 /nfs/dbraw/zinc/34/12/40/615341240.db2.gz PWSDHEKDMSBNKW-LLVKDONJSA-N 0 1 289.401 0.319 20 30 CCEDMN CCS[C@H](C(=O)N[C@@H]1CNC[C@@H]1C#N)C(C)C ZINC000834494509 615363305 /nfs/dbraw/zinc/36/33/05/615363305.db2.gz NQFIBUSUWRZUCU-AXFHLTTASA-N 0 1 255.387 0.992 20 30 CCEDMN CC[N@H+]1C[C@H](C)N(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@H]1C ZINC000820348273 615393584 /nfs/dbraw/zinc/39/35/84/615393584.db2.gz OPDZYOLAZZOBMI-UHIISALHSA-N 0 1 281.356 0.932 20 30 CCEDMN CCO[C@H](CC(=O)N[C@@H]1CNC[C@H]1C#N)C(C)C ZINC000834479548 615478376 /nfs/dbraw/zinc/47/83/76/615478376.db2.gz FUTCPPLDVJBEBN-IJLUTSLNSA-N 0 1 253.346 0.665 20 30 CCEDMN CCS(=O)(=O)CCNCCNc1ncccc1C#N ZINC000818976139 615537638 /nfs/dbraw/zinc/53/76/38/615537638.db2.gz VADVNUDBEVHBQS-UHFFFAOYSA-N 0 1 282.369 0.389 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971512037 615615467 /nfs/dbraw/zinc/61/54/67/615615467.db2.gz WHSLXTBVMITIFW-QWHCGFSZSA-N 0 1 277.368 0.196 20 30 CCEDMN CCc1cc(CNC(=O)[C@H](C)n2cnc(C#N)n2)n[nH]1 ZINC000798964327 615649716 /nfs/dbraw/zinc/64/97/16/615649716.db2.gz LIFJHCPEDCOZCQ-QMMMGPOBSA-N 0 1 273.300 0.313 20 30 CCEDMN CCn1nc(C)c(CC(=O)NCC#CCN(C)C)c1C ZINC000913522727 615651015 /nfs/dbraw/zinc/65/10/15/615651015.db2.gz WKPBMLPUFJRSDD-UHFFFAOYSA-N 0 1 276.384 0.744 20 30 CCEDMN CCc1csc(CC(=O)NCC#CCN(C)C)n1 ZINC000913521944 615717215 /nfs/dbraw/zinc/71/72/15/615717215.db2.gz UHHOQUOHLQQVBF-UHFFFAOYSA-N 0 1 265.382 0.929 20 30 CCEDMN CCc1nc(CC(=O)N[C@@H]2CNC[C@H]2C#N)cs1 ZINC000834494110 615739349 /nfs/dbraw/zinc/73/93/49/615739349.db2.gz KGKYADBBGNPNMD-PSASIEDQSA-N 0 1 264.354 0.476 20 30 CCEDMN CCc1n[nH]c(=O)c(C(=O)N[C@H]2CNC[C@H]2C#N)c1CC ZINC000834479818 615817162 /nfs/dbraw/zinc/81/71/62/615817162.db2.gz ITOIGBXCKXAIJO-KCJUWKMLSA-N 0 1 289.339 0.148 20 30 CCEDMN CC[C@H](O)CNN=Cc1ccnn1-c1ccncc1 ZINC000853346562 615830270 /nfs/dbraw/zinc/83/02/70/615830270.db2.gz FIXUPBIUCRCIPO-ZDUSSCGKSA-N 0 1 259.313 0.962 20 30 CCEDMN CCc1noc(NC(=O)NCC#CCN(C)C)n1 ZINC000931545480 615848445 /nfs/dbraw/zinc/84/84/45/615848445.db2.gz QFVWKJQAGYDNLV-UHFFFAOYSA-N 0 1 251.290 0.319 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C/c1ccc(O)c(O)c1 ZINC000913520066 615856785 /nfs/dbraw/zinc/85/67/85/615856785.db2.gz BEVXAJVNDGWDSP-SOFGYWHQSA-N 0 1 274.320 0.792 20 30 CCEDMN CN(C)CC#CCNC(=O)CCc1cscn1 ZINC000913517522 615857461 /nfs/dbraw/zinc/85/74/61/615857461.db2.gz FCSLHOAKXWIXQO-UHFFFAOYSA-N 0 1 251.355 0.757 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@H]1CSCCS1 ZINC000913519598 615858189 /nfs/dbraw/zinc/85/81/89/615858189.db2.gz GZBFWWIHXNMNQL-NSHDSACASA-N 0 1 272.439 0.906 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)C1CCCCC1 ZINC000913514508 615858418 /nfs/dbraw/zinc/85/84/18/615858418.db2.gz RYEMMXQWIMOCIW-UHFFFAOYSA-N 0 1 279.384 0.364 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnc(OC(F)F)c1 ZINC000913523331 615861234 /nfs/dbraw/zinc/86/12/34/615861234.db2.gz WEYFAYIISLCKQI-UHFFFAOYSA-N 0 1 283.278 0.978 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncn(C(C)(C)C)n1 ZINC000913522816 615861672 /nfs/dbraw/zinc/86/16/72/615861672.db2.gz ZXVAFKXAZHLZFL-UHFFFAOYSA-N 0 1 263.345 0.328 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C)nc1C1CC1 ZINC000913522489 615861676 /nfs/dbraw/zinc/86/16/76/615861676.db2.gz LSDISBFPUVGHCG-UHFFFAOYSA-N 0 1 260.341 0.592 20 30 CCEDMN CCn1c2ccc(C(=O)N[C@@H]3CNC[C@H]3C#N)cc2[nH]c1=O ZINC000852827962 615923681 /nfs/dbraw/zinc/92/36/81/615923681.db2.gz QTZJWQAFKAOKEH-ZYHUDNBSSA-N 0 1 299.334 0.603 20 30 CCEDMN CCn1c2ccc(C(=O)N[C@H]3CNC[C@H]3C#N)cc2[nH]c1=O ZINC000852827961 615923763 /nfs/dbraw/zinc/92/37/63/615923763.db2.gz QTZJWQAFKAOKEH-PWSUYJOCSA-N 0 1 299.334 0.603 20 30 CCEDMN CN(C)c1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc(Cl)n1 ZINC000834488651 616005030 /nfs/dbraw/zinc/00/50/30/616005030.db2.gz FSVWRWLHHUZDBZ-UWVGGRQHSA-N 0 1 293.758 0.642 20 30 CCEDMN CN(C)c1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1F ZINC000834493220 616013104 /nfs/dbraw/zinc/01/31/04/616013104.db2.gz BXDLRMOCSMGYNY-CMPLNLGQSA-N 0 1 276.315 0.733 20 30 CCEDMN CCn1ccc(CN[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000967974488 616032248 /nfs/dbraw/zinc/03/22/48/616032248.db2.gz HBTKHVRRCOIWLE-WCQYABFASA-N 0 1 275.356 0.753 20 30 CCEDMN CN(CC(=O)N[C@@H]1CCN(O)C1=O)CC(C)(C)C ZINC000820133697 616048707 /nfs/dbraw/zinc/04/87/07/616048707.db2.gz ZCZJHTNKDHUYHP-SECBINFHSA-N 0 1 257.334 0.071 20 30 CCEDMN CN(CC(=O)N[C@]1(C#N)CCSC1)C1CCC1 ZINC000863858384 616049410 /nfs/dbraw/zinc/04/94/10/616049410.db2.gz XLMAHCAUIRZWPN-LBPRGKRZSA-N 0 1 253.371 0.986 20 30 CCEDMN CN(CCc1cccc(C#N)c1)C(=O)C[C@@H]1COCCN1 ZINC000877224379 616124420 /nfs/dbraw/zinc/12/44/20/616124420.db2.gz WUIDKUBPISUAKX-OAHLLOKOSA-N 0 1 287.363 0.938 20 30 CCEDMN CN(C[C@H](O)c1cccc(C#N)c1)C[C@H]1COCCO1 ZINC000844372155 616162906 /nfs/dbraw/zinc/16/29/06/616162906.db2.gz VRSHDCJJROMNOZ-GJZGRUSLSA-N 0 1 276.336 0.939 20 30 CCEDMN COC(=O)c1ncoc1CCC(=O)NCC#CCN(C)C ZINC000913523370 616277251 /nfs/dbraw/zinc/27/72/51/616277251.db2.gz XVEJKJVBESIEQX-UHFFFAOYSA-N 0 1 293.323 0.075 20 30 CCEDMN CN1C[C@@H](NC(=O)[C@H]2NCCc3cc(C#N)ccc32)CC1=O ZINC000876629936 616295870 /nfs/dbraw/zinc/29/58/70/616295870.db2.gz XFQHKSWXIXBKJO-WFASDCNBSA-N 0 1 298.346 0.092 20 30 CCEDMN C[N@H+]1C[C@@H](O)C[C@]1(C)C(=O)[N-]Oc1cccc(C#N)c1 ZINC000913667068 616346871 /nfs/dbraw/zinc/34/68/71/616346871.db2.gz UUSDYPFNEMMDKH-SMDDNHRTSA-N 0 1 275.308 0.423 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000908563594 616347504 /nfs/dbraw/zinc/34/75/04/616347504.db2.gz NMRPIKFKNIDNMZ-ZJQBRPOHSA-N 0 1 265.357 0.640 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](C(=O)Nc2cc(C#N)ccc2O)O1 ZINC000860445183 616358844 /nfs/dbraw/zinc/35/88/44/616358844.db2.gz OOBCCIMCHPDTCN-NEPJUHHUSA-N 0 1 290.275 0.923 20 30 CCEDMN COCCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000965851447 616384758 /nfs/dbraw/zinc/38/47/58/616384758.db2.gz KORPXNMNTXZKKI-BXUZGUMPSA-N 0 1 290.367 0.973 20 30 CCEDMN COCCN1CC[C@H](C)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000965851450 616411056 /nfs/dbraw/zinc/41/10/56/616411056.db2.gz KORPXNMNTXZKKI-SMDDNHRTSA-N 0 1 290.367 0.973 20 30 CCEDMN CN1CC[C@H](NS(=O)(=O)Cc2csc(C#N)c2)C1 ZINC000913958742 616416945 /nfs/dbraw/zinc/41/69/45/616416945.db2.gz SRPOYSLDVLPAIM-JTQLQIEISA-N 0 1 285.394 0.743 20 30 CCEDMN CN1C[C@H](NC(=O)[C@H]2NCCc3cc(C#N)ccc32)CC1=O ZINC000876629892 616445426 /nfs/dbraw/zinc/44/54/26/616445426.db2.gz XFQHKSWXIXBKJO-DOMZBBRYSA-N 0 1 298.346 0.092 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCc1ccc(C#N)cn1 ZINC000908213933 616475531 /nfs/dbraw/zinc/47/55/31/616475531.db2.gz FMGFXGPNZDSUHU-OCCSQVGLSA-N 0 1 274.324 0.025 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)[C@H]1CCN1C(C)(C)C ZINC000913737743 616545837 /nfs/dbraw/zinc/54/58/37/616545837.db2.gz YWMBFUSVTAYIHI-LLVKDONJSA-N 0 1 281.356 0.774 20 30 CCEDMN COC(=O)[C@@]1(O)CCN(CCOc2cccc(C#N)c2)C1 ZINC000880562102 616558700 /nfs/dbraw/zinc/55/87/00/616558700.db2.gz STKDYCBURDPZJV-OAHLLOKOSA-N 0 1 290.319 0.547 20 30 CCEDMN COC(=O)c1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834507334 616885939 /nfs/dbraw/zinc/88/59/39/616885939.db2.gz ZUTRFBMXWVIIGN-NEPJUHHUSA-N 0 1 273.292 0.315 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C12CC(C1)C2 ZINC000860462368 616897628 /nfs/dbraw/zinc/89/76/28/616897628.db2.gz UDHRVHHLIIWGTH-QUNCOHTASA-N 0 1 250.298 0.648 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1CCCCO1 ZINC000121927733 616898676 /nfs/dbraw/zinc/89/86/76/616898676.db2.gz LXGQSLLRCFDELV-QWRGUYRKSA-N 0 1 268.313 0.417 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1CC[C@H](OC)C1 ZINC000850751875 616901463 /nfs/dbraw/zinc/90/14/63/616901463.db2.gz IRWWHXYKCALPTQ-GRYCIOLGSA-N 0 1 282.340 0.663 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1O[C@@H](C)C[C@@H]1C ZINC000800385115 616902078 /nfs/dbraw/zinc/90/20/78/616902078.db2.gz RWYQRKZYXOFLLX-MEWQQHAOSA-N 0 1 282.340 0.661 20 30 CCEDMN COCc1cccc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834499679 616903419 /nfs/dbraw/zinc/90/34/19/616903419.db2.gz LDYLUKISUQPLKL-UONOGXRCSA-N 0 1 273.336 0.603 20 30 CCEDMN COC(=O)[C@@H](C)Oc1ccccc1C=NNC1=NCCN1 ZINC000901253020 616950927 /nfs/dbraw/zinc/95/09/27/616950927.db2.gz VANZNYYGPXBNLA-SNVBAGLBSA-N 0 1 290.323 0.510 20 30 CCEDMN COC(=O)[C@@H](C)n1cccc1C=NNc1cnnn1C ZINC000814983746 616952629 /nfs/dbraw/zinc/95/26/29/616952629.db2.gz OAWMUDHDADXPGZ-SECBINFHSA-N 0 1 276.300 0.797 20 30 CCEDMN COC(=O)c1cncc(C(=O)NCC#CCN(C)C)c1 ZINC000913520319 616985228 /nfs/dbraw/zinc/98/52/28/616985228.db2.gz NBOIXPATFCWDDM-UHFFFAOYSA-N 0 1 275.308 0.163 20 30 CCEDMN CO[C@H](C(=O)N[C@@H]1CNC[C@@H]1C#N)c1ccc(F)cc1 ZINC000876803633 616985269 /nfs/dbraw/zinc/98/52/69/616985269.db2.gz XRVILLHKWDNMRD-UHTWSYAYSA-N 0 1 277.299 0.741 20 30 CCEDMN CO[C@H](C(=O)N[C@H]1CNC[C@@H]1C#N)c1ccc(F)cc1 ZINC000876803631 616987399 /nfs/dbraw/zinc/98/73/99/616987399.db2.gz XRVILLHKWDNMRD-DRZSPHRISA-N 0 1 277.299 0.741 20 30 CCEDMN CON=C(C(=O)N[C@H]1CCC[N@@H+](C)C1)c1csc(N)n1 ZINC000874390006 617103221 /nfs/dbraw/zinc/10/32/21/617103221.db2.gz WSORTGZUSQESMM-QMMMGPOBSA-N 0 1 297.384 0.286 20 30 CCEDMN CON=C(C(=O)N[C@H]1CCCN(C)C1)c1csc(N)n1 ZINC000874390006 617103222 /nfs/dbraw/zinc/10/32/22/617103222.db2.gz WSORTGZUSQESMM-QMMMGPOBSA-N 0 1 297.384 0.286 20 30 CCEDMN CON=CC(=O)N1CCC(CCCN2CCOCC2)CC1 ZINC000809754900 617103463 /nfs/dbraw/zinc/10/34/63/617103463.db2.gz CZFMCWYCIFSKNM-UHFFFAOYSA-N 0 1 297.399 0.970 20 30 CCEDMN CO[C@@H](CC(=O)NCC#CCN(C)C)C(F)(F)F ZINC000913523778 617161543 /nfs/dbraw/zinc/16/15/43/617161543.db2.gz LUUMJRUBXLOTMO-VIFPVBQESA-N 0 1 266.263 0.635 20 30 CCEDMN C[C@@H]1CN(C[C@@H]2CCC3(COC3)O2)C[C@H](C)N1CC#N ZINC000930828630 625438708 /nfs/dbraw/zinc/43/87/08/625438708.db2.gz LVTZKFZQUUGCSO-MJBXVCDLSA-N 0 1 279.384 0.853 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2coc(OC)n2)C1 ZINC001023511097 623045571 /nfs/dbraw/zinc/04/55/71/623045571.db2.gz XJHKZZSRQYKNTG-LLVKDONJSA-N 0 1 277.324 0.758 20 30 CCEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1ncn(C)n1 ZINC001024419968 623317733 /nfs/dbraw/zinc/31/77/33/623317733.db2.gz FLXPGVGODGEJJL-LBPRGKRZSA-N 0 1 277.372 0.976 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001024495145 623338791 /nfs/dbraw/zinc/33/87/91/623338791.db2.gz FRALZRCUIJGWSS-ZDUSSCGKSA-N 0 1 288.351 0.790 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cnc[nH]c1=O ZINC001024500579 623340656 /nfs/dbraw/zinc/34/06/56/623340656.db2.gz NQFQPKUIBWVQPO-LBPRGKRZSA-N 0 1 288.351 0.790 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1COCCN1CC ZINC001024516761 623347907 /nfs/dbraw/zinc/34/79/07/623347907.db2.gz NCDSXZRDIKMTFV-GJZGRUSLSA-N 0 1 295.427 0.864 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001024580073 623376793 /nfs/dbraw/zinc/37/67/93/623376793.db2.gz QMTPZZBADIWMMR-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001024652492 623409873 /nfs/dbraw/zinc/40/98/73/623409873.db2.gz NXPQHMSGFFQKIC-MELADBBJSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001024782068 623440758 /nfs/dbraw/zinc/44/07/58/623440758.db2.gz GGKNSLNOGIKJDL-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN O=C(NCC#CCO)c1ccc(CN2CCCCC2)o1 ZINC000935843252 623630236 /nfs/dbraw/zinc/63/02/36/623630236.db2.gz MOQUOSLNZSTTGS-UHFFFAOYSA-N 0 1 276.336 0.991 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001007462348 623645138 /nfs/dbraw/zinc/64/51/38/623645138.db2.gz WIWTXORFHWDKRY-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1cnn[n-]1 ZINC001025247956 623674252 /nfs/dbraw/zinc/67/42/52/623674252.db2.gz SINNCKBGWFKZTP-KGLIPLIRSA-N 0 1 287.367 0.897 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]([C@H](C)NC(=O)c2cnnn2C)C1 ZINC001025406990 623762651 /nfs/dbraw/zinc/76/26/51/623762651.db2.gz DQUMZHIXYXVNKQ-STQMWFEESA-N 0 1 289.383 0.669 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)S(C)(=O)=O)C1 ZINC001019048366 623787445 /nfs/dbraw/zinc/78/74/45/623787445.db2.gz XYCKHBQHMKJZJK-ZJUUUORDSA-N 0 1 294.804 0.363 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[C@H](C)C[C@@H]1C(=O)[O-] ZINC000327953279 623816862 /nfs/dbraw/zinc/81/68/62/623816862.db2.gz AMWIQNHVJMQUIC-QWHCGFSZSA-N 0 1 295.383 0.836 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]([C@@H](C)NC(=O)c2cccnn2)C1 ZINC001025572504 623833757 /nfs/dbraw/zinc/83/37/57/623833757.db2.gz DTEYVELQHOMLJT-OLZOCXBDSA-N 0 1 272.352 0.940 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCO[C@H]1C ZINC001025757378 623879416 /nfs/dbraw/zinc/87/94/16/623879416.db2.gz CKKNIMMBUTUVOI-BTFPBAQTSA-N 0 1 276.380 0.871 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)Cc2[nH]nc3ccccc32)C1 ZINC001021089234 624657980 /nfs/dbraw/zinc/65/79/80/624657980.db2.gz BVSXRNIYGWSNEO-XYPYZODXSA-N 0 1 283.335 0.866 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCC#C[C@@H]1CCCCO1 ZINC000891122306 624731198 /nfs/dbraw/zinc/73/11/98/624731198.db2.gz CDLIHGCWNVGCPS-CABCVRRESA-N 0 1 294.395 0.643 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@H]2CN(CC#N)CC[C@H]21 ZINC001021649123 624802863 /nfs/dbraw/zinc/80/28/63/624802863.db2.gz OZXWXNXQMWPYHQ-NWDGAFQWSA-N 0 1 288.355 0.563 20 30 CCEDMN CCC#C[C@H](C)N[C@H]1C(=O)NCC12CCOCC2 ZINC000933035025 624822141 /nfs/dbraw/zinc/82/21/41/624822141.db2.gz MSYIKFHDCSIFQH-RYUDHWBXSA-N 0 1 250.342 0.673 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2ccc3cncn3c2)C1 ZINC001022436823 624992949 /nfs/dbraw/zinc/99/29/49/624992949.db2.gz RFSBKORMJYITAZ-TXEJJXNPSA-N 0 1 269.308 0.708 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1C[C@@H]1C1CC1 ZINC000176090248 624995775 /nfs/dbraw/zinc/99/57/75/624995775.db2.gz QPNJANZZDXYQNB-OUAUKWLOSA-N 0 1 250.298 0.504 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@@H](N(C)CC#N)C1 ZINC001027518043 625932379 /nfs/dbraw/zinc/93/23/79/625932379.db2.gz OFHWKJGXZLLWRD-SNVBAGLBSA-N 0 1 262.317 0.173 20 30 CCEDMN C[C@H]1C[C@@H]1c1noc(C(=O)NCC#CCN(C)C)n1 ZINC000905150976 634810906 /nfs/dbraw/zinc/81/09/06/634810906.db2.gz FEWODXMMBIKUKI-UWVGGRQHSA-N 0 1 262.313 0.488 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCO1 ZINC001027740734 630887354 /nfs/dbraw/zinc/88/73/54/630887354.db2.gz OANNVFHPDHHPQR-OLZOCXBDSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCO1 ZINC001027740736 630887582 /nfs/dbraw/zinc/88/75/82/630887582.db2.gz OANNVFHPDHHPQR-STQMWFEESA-N 0 1 250.342 0.769 20 30 CCEDMN Cc1nc(CN2CCCN(C(=O)C#CC3CC3)CC2)n[nH]1 ZINC000981125617 631238904 /nfs/dbraw/zinc/23/89/04/631238904.db2.gz HCCSNTOSSFKFMN-UHFFFAOYSA-N 0 1 287.367 0.561 20 30 CCEDMN CN1CC(C(=O)N[C@H]2CCC[C@@H](NCC#N)C2)=NC1=O ZINC000981318746 631267980 /nfs/dbraw/zinc/26/79/80/631267980.db2.gz ISWARRIKCHOVKN-ZJUUUORDSA-N 0 1 277.328 0.280 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnsn2)C1 ZINC001010323112 631275076 /nfs/dbraw/zinc/27/50/76/631275076.db2.gz APGNJQYZRBVXCP-SECBINFHSA-N 0 1 250.327 0.366 20 30 CCEDMN C[C@H](C(N)=O)N1C[C@]2(C)CN(C(=O)[C@@H](C)C#N)C[C@]2(C)C1 ZINC000982233170 631386732 /nfs/dbraw/zinc/38/67/32/631386732.db2.gz JTJVPXZFWZKDIB-IDTSFGKNSA-N 0 1 292.383 0.190 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CCC[C@H](C#N)C1 ZINC000928223148 631704173 /nfs/dbraw/zinc/70/41/73/631704173.db2.gz YBCXWFXUHQIDBY-QWHCGFSZSA-N 0 1 265.357 0.717 20 30 CCEDMN Cc1nnsc1CN[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000968626889 650023954 /nfs/dbraw/zinc/02/39/54/650023954.db2.gz HJUMVUSWAHPAAA-GFCCVEGCSA-N 0 1 290.392 0.950 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001006678965 650047929 /nfs/dbraw/zinc/04/79/29/650047929.db2.gz NQRMZVOAGMDYEC-LLVKDONJSA-N 0 1 276.340 0.953 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC001006710670 650048500 /nfs/dbraw/zinc/04/85/00/650048500.db2.gz FNBMMGXFVFZYOP-CYBMUJFWSA-N 0 1 277.368 0.213 20 30 CCEDMN C[C@@H](Nc1cccc(C#N)n1)[C@H]1CN(C)CCN1C ZINC000895246706 632037609 /nfs/dbraw/zinc/03/76/09/632037609.db2.gz PSZRJBOAVINXSA-DGCLKSJQSA-N 0 1 259.357 0.999 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000896546525 632276577 /nfs/dbraw/zinc/27/65/77/632276577.db2.gz WFSNHRPZSUHFSJ-CHWSQXEVSA-N 0 1 251.374 0.540 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001007096674 650065894 /nfs/dbraw/zinc/06/58/94/650065894.db2.gz FFQFJJOYYQZZBX-RYUDHWBXSA-N 0 1 265.357 0.279 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2COC(=O)N2)C1 ZINC001007224859 650071361 /nfs/dbraw/zinc/07/13/61/650071361.db2.gz DHZQOTOZKUJZFK-GHMZBOCLSA-N 0 1 267.329 0.252 20 30 CCEDMN C=CCN(C)C1CCN(C(=O)C2CS(=O)(=O)C2)CC1 ZINC000985447219 632485812 /nfs/dbraw/zinc/48/58/12/632485812.db2.gz NSKVAEMWMLYETQ-UHFFFAOYSA-N 0 1 286.397 0.140 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)[C@H](C)N2C(=O)CCC2=O)C1 ZINC001007446216 650078377 /nfs/dbraw/zinc/07/83/77/650078377.db2.gz FYNUSPSDKAPJEK-RYUDHWBXSA-N 0 1 293.367 0.291 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)N2C(=O)CCC2=O)C1 ZINC001007446216 650078380 /nfs/dbraw/zinc/07/83/80/650078380.db2.gz FYNUSPSDKAPJEK-RYUDHWBXSA-N 0 1 293.367 0.291 20 30 CCEDMN CN(CC#N)C1CCN(C(=O)[C@H]2CCCCN2C)CC1 ZINC000985501846 632523634 /nfs/dbraw/zinc/52/36/34/632523634.db2.gz MYSKDMRIHUXRSB-CQSZACIVSA-N 0 1 278.400 0.917 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC000986210115 632729500 /nfs/dbraw/zinc/72/95/00/632729500.db2.gz UGOSHZMVMFHHNP-GXFFZTMASA-N 0 1 299.802 0.796 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2coc(C(N)=O)c2)C1 ZINC001008069991 650100862 /nfs/dbraw/zinc/10/08/62/650100862.db2.gz YWWOMHHKRIJEKZ-GFCCVEGCSA-N 0 1 289.335 0.596 20 30 CCEDMN C[C@@H]1[C@H](NCC#N)CCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000987505901 632874884 /nfs/dbraw/zinc/87/48/84/632874884.db2.gz VFCUXAGSUSIGQQ-MWLCHTKSSA-N 0 1 284.323 0.674 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cn2cnnn2)C1 ZINC000987994851 632939731 /nfs/dbraw/zinc/93/97/31/632939731.db2.gz MGGVUBHRWNQRFA-NXEZZACHSA-N 0 1 284.751 0.005 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001008157742 650103232 /nfs/dbraw/zinc/10/32/32/650103232.db2.gz LLOXUGVLIIVUHU-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@@H]1C[N@@H+](Cc2csc(C#N)c2)C[C@H]1CS(N)(=O)=O ZINC000897607816 633212219 /nfs/dbraw/zinc/21/22/19/633212219.db2.gz QYUZDKCIRDTQGX-KOLCDFICSA-N 0 1 299.421 0.976 20 30 CCEDMN C[C@@H]1CN(Cc2csc(C#N)c2)C[C@H]1CS(N)(=O)=O ZINC000897607816 633212220 /nfs/dbraw/zinc/21/22/20/633212220.db2.gz QYUZDKCIRDTQGX-KOLCDFICSA-N 0 1 299.421 0.976 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@H]1CCN1C(C)(C)C ZINC000897962698 633264058 /nfs/dbraw/zinc/26/40/58/633264058.db2.gz LZCJNVKPZWBKQC-VXGBXAGGSA-N 0 1 250.342 0.720 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cnn(C)n2)C1 ZINC000989549897 633326121 /nfs/dbraw/zinc/32/61/21/633326121.db2.gz MOWDWNVPFMRGJW-NXEZZACHSA-N 0 1 283.763 0.760 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cnn(C)n2)C1 ZINC000989549900 633326305 /nfs/dbraw/zinc/32/63/05/633326305.db2.gz MOWDWNVPFMRGJW-ZJUUUORDSA-N 0 1 283.763 0.760 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCN(C(C)=O)C2)CC1 ZINC000989572757 633329017 /nfs/dbraw/zinc/32/90/17/633329017.db2.gz MSAWBTHTNHHCEL-OAHLLOKOSA-N 0 1 293.411 0.965 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001008437856 650114273 /nfs/dbraw/zinc/11/42/73/650114273.db2.gz QSCXJERHMJRVAV-WFASDCNBSA-N 0 1 279.384 0.812 20 30 CCEDMN C=CC[N@H+]1CC[C@]2(NC(=O)[C@@H]3COCCO3)CCC[C@H]12 ZINC000989963575 633392402 /nfs/dbraw/zinc/39/24/02/633392402.db2.gz MROIPIHPABGNJZ-KCQAQPDRSA-N 0 1 280.368 0.701 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnnn3C)CCC[C@@H]12 ZINC000990480129 633540953 /nfs/dbraw/zinc/54/09/53/633540953.db2.gz WQLNULCNURRXGI-OCCSQVGLSA-N 0 1 273.340 0.175 20 30 CCEDMN CC#CCN1CC[C@]2(NC(=O)c3cnnn3C)CCC[C@@H]12 ZINC000990496586 633543584 /nfs/dbraw/zinc/54/35/84/633543584.db2.gz OIPJCMFAOVJUBV-UKRRQHHQSA-N 0 1 287.367 0.565 20 30 CCEDMN C[C@@]1(C#N)CCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000898981123 633704490 /nfs/dbraw/zinc/70/44/90/633704490.db2.gz KUQYQAGVZUYPTK-OCCSQVGLSA-N 0 1 263.341 0.469 20 30 CCEDMN Cc1c(C(=O)N2CCNC(C)(C)C2)cnn1CCC#N ZINC000900938492 634131401 /nfs/dbraw/zinc/13/14/01/634131401.db2.gz ZBOCRHSFYCQGEM-UHFFFAOYSA-N 0 1 275.356 0.929 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001009488222 650145746 /nfs/dbraw/zinc/14/57/46/650145746.db2.gz MGBCGORZQQXAAV-NSHDSACASA-N 0 1 284.323 0.676 20 30 CCEDMN Cc1cc(CCN[C@@H](C(N)=O)c2ccc(C#N)cc2)nn1C ZINC000901562908 634261001 /nfs/dbraw/zinc/26/10/01/634261001.db2.gz KFVJAKQGMCAWPM-OAHLLOKOSA-N 0 1 297.362 0.959 20 30 CCEDMN Cc1nc(S(=O)(=O)N[C@@H](CC#N)C(F)(F)F)c[nH]1 ZINC000901775710 634275933 /nfs/dbraw/zinc/27/59/33/634275933.db2.gz WHKMZPHXUKHMQC-LURJTMIESA-N 0 1 282.247 0.841 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001009645547 650152978 /nfs/dbraw/zinc/15/29/78/650152978.db2.gz XBUMXMJURSGSJJ-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN N#CC1(CNC[C@@H](O)C2(O)CCOCC2)CCC1 ZINC000905287359 634840614 /nfs/dbraw/zinc/84/06/14/634840614.db2.gz CTOUBMRWTGJOSF-LLVKDONJSA-N 0 1 254.330 0.172 20 30 CCEDMN OCC#CCNC[C@@H](O)COc1ccc2cc[nH]c2c1 ZINC000905357172 634847439 /nfs/dbraw/zinc/84/74/39/634847439.db2.gz JQVXSSBMHOROIE-CYBMUJFWSA-N 0 1 274.320 0.493 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2[nH]cnc2C)C1 ZINC001009765578 650159247 /nfs/dbraw/zinc/15/92/47/650159247.db2.gz FSBDSKQAAXTKQK-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN N#CCCCC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907480374 635139792 /nfs/dbraw/zinc/13/97/92/635139792.db2.gz ORDJARNPMUCDCH-QMMMGPOBSA-N 0 1 266.330 0.510 20 30 CCEDMN N#Cc1sccc1C(=O)N1CC[C@@H](N2CC[C@H](O)C2)C1 ZINC000908199143 635312247 /nfs/dbraw/zinc/31/22/47/635312247.db2.gz DVTZFFJYBNBAIO-MNOVXSKESA-N 0 1 291.376 0.901 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CC[C@H](N3CCC3)C2)c1 ZINC000912910053 636696902 /nfs/dbraw/zinc/69/69/02/636696902.db2.gz WYZLTNZUJGEAKG-LBPRGKRZSA-N 0 1 256.309 0.873 20 30 CCEDMN N#Cc1ccncc1C(=O)N1CC[C@H](N2CCC2)C1 ZINC000912909088 636697708 /nfs/dbraw/zinc/69/77/08/636697708.db2.gz XCJIWRHWOJDUON-LBPRGKRZSA-N 0 1 256.309 0.873 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000913436947 636807026 /nfs/dbraw/zinc/80/70/26/636807026.db2.gz IZZOGAKZGXUIRA-CYBMUJFWSA-N 0 1 267.292 0.819 20 30 CCEDMN Cc1cccc2c1O[C@@H](C(=O)NCC#CCN(C)C)C2 ZINC000913522902 636832751 /nfs/dbraw/zinc/83/27/51/636832751.db2.gz DZDXUWCUVVUXMT-CQSZACIVSA-N 0 1 272.348 0.980 20 30 CCEDMN COC(=O)c1ccccc1CC(=O)NCC#CCN(C)C ZINC000913520754 636835475 /nfs/dbraw/zinc/83/54/75/636835475.db2.gz XFGYMMSPGJTZDS-UHFFFAOYSA-N 0 1 288.347 0.697 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cncn3C)CCC[C@@H]12 ZINC000991653076 636969128 /nfs/dbraw/zinc/96/91/28/636969128.db2.gz HFRMFJVEXFRMIJ-HIFRSBDPSA-N 0 1 272.352 0.780 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NCCn1cc[nH+]c1C ZINC000311564773 637323927 /nfs/dbraw/zinc/32/39/27/637323927.db2.gz AOTQVICBANBKER-UHFFFAOYSA-N 0 1 266.301 0.474 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3n[nH]cc3F)CCC[C@@H]12 ZINC000992150563 637324626 /nfs/dbraw/zinc/32/46/26/637324626.db2.gz HZYGODKXEFUWEJ-RISCZKNCSA-N 0 1 276.315 0.909 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)Cc3cnc[nH]3)CCC[C@H]12 ZINC000992279137 637353009 /nfs/dbraw/zinc/35/30/09/637353009.db2.gz XYRNGJIFUBUETM-JSGCOSHPSA-N 0 1 273.340 0.589 20 30 CCEDMN C[C@@H]1CN(C(=O)N[C@H]2CCCN(C)C2)C[C@@H](C)N1CC#N ZINC000914313776 637588608 /nfs/dbraw/zinc/58/86/08/637588608.db2.gz FQVUIZDAKSXEEA-MCIONIFRSA-N 0 1 293.415 0.708 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccncc1Br ZINC000834480127 637681525 /nfs/dbraw/zinc/68/15/25/637681525.db2.gz LIZKDUXXVDMOTP-XVKPBYJWSA-N 0 1 295.140 0.685 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cn2cc(C)cn2)[C@H]1C ZINC000993077302 637686334 /nfs/dbraw/zinc/68/63/34/637686334.db2.gz HQLFFACYKTXTQG-KGLIPLIRSA-N 0 1 274.368 0.794 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cnn(C)c2)[C@H]1C ZINC000993089458 637692200 /nfs/dbraw/zinc/69/22/00/637692200.db2.gz BPWOMPZAUSGOOQ-TZMCWYRMSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cc[nH]n2)[C@H]1C ZINC000993151315 637717286 /nfs/dbraw/zinc/71/72/86/637717286.db2.gz SLJZLXAPRAEYBA-DGCLKSJQSA-N 0 1 260.341 0.555 20 30 CCEDMN Cc1nccc(CN=Nc2ccccc2S(N)(=O)=O)n1 ZINC000814917469 637741347 /nfs/dbraw/zinc/74/13/47/637741347.db2.gz LVNPHPYADIZVBD-UHFFFAOYSA-N 0 1 291.336 0.878 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@@H]1C ZINC000993277626 637763853 /nfs/dbraw/zinc/76/38/53/637763853.db2.gz HJJPKOIGLKTWOQ-RYUDHWBXSA-N 0 1 291.351 0.584 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ncn(C)n2)[C@H]1C ZINC000993305599 637770284 /nfs/dbraw/zinc/77/02/84/637770284.db2.gz ZRSJZKXXALNBHJ-MNOVXSKESA-N 0 1 263.345 0.584 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CN2CCCNC2=O)[C@@H]1C ZINC000993538497 637815117 /nfs/dbraw/zinc/81/51/17/637815117.db2.gz ALAJAMVEORESGF-STQMWFEESA-N 0 1 292.383 0.004 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cn(C)c(=O)cn2)[C@@H]1C ZINC000993613749 637821662 /nfs/dbraw/zinc/82/16/62/637821662.db2.gz PIAFQHFQCRJWMB-NWDGAFQWSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[C@@H]1C ZINC000993695346 637837690 /nfs/dbraw/zinc/83/76/90/637837690.db2.gz ZJEKBSNUPZUVSJ-ODXJTPSBSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@@H]1C ZINC000993774419 637847103 /nfs/dbraw/zinc/84/71/03/637847103.db2.gz MCMXDHIRWJHFBA-QWRGUYRKSA-N 0 1 276.340 0.342 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnn(C)n2)[C@H]1C ZINC000993978468 637858005 /nfs/dbraw/zinc/85/80/05/637858005.db2.gz WYKBDPPFLYLHHL-VXGBXAGGSA-N 0 1 277.372 0.974 20 30 CCEDMN C[C@@H]1CN(C(=O)NC[C@H]2CCN2C)C[C@@H](C)N1CC#N ZINC000925427845 637890528 /nfs/dbraw/zinc/89/05/28/637890528.db2.gz IYFMVWCJSGQWBZ-JHJVBQTASA-N 0 1 279.388 0.318 20 30 CCEDMN C=CCC[N@H+]1CC[C@H](NC(=O)c2nc3ncccn3n2)C1 ZINC001015871465 638639681 /nfs/dbraw/zinc/63/96/81/638639681.db2.gz CEGCFBKJWHKTEF-NSHDSACASA-N 0 1 286.339 0.505 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)Cc2n[nH]c(C)n2)C1 ZINC001014374338 650444526 /nfs/dbraw/zinc/44/45/26/650444526.db2.gz HLNQLYRGZZNWLB-JTQLQIEISA-N 0 1 283.763 0.599 20 30 CCEDMN C#C[C@H](C)NC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000186055694 638796105 /nfs/dbraw/zinc/79/61/05/638796105.db2.gz CPZJLFOMZONEHR-JTQLQIEISA-N 0 1 284.319 0.821 20 30 CCEDMN CC1(C)CN(C(=O)CCc2c[nH]nn2)C[C@H]1NCC#N ZINC000996324188 638815820 /nfs/dbraw/zinc/81/58/20/638815820.db2.gz DAIVVYHECLLJEX-LLVKDONJSA-N 0 1 276.344 0.087 20 30 CCEDMN CC1(C)CN(C(=O)CCc2cnn[nH]2)C[C@H]1NCC#N ZINC000996324188 638815822 /nfs/dbraw/zinc/81/58/22/638815822.db2.gz DAIVVYHECLLJEX-LLVKDONJSA-N 0 1 276.344 0.087 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC(NC(=O)C(C)(C)c2cnc[nH]2)C1 ZINC000996449382 638819468 /nfs/dbraw/zinc/81/94/68/638819468.db2.gz HPBGXRXBNQZZOE-SECBINFHSA-N 0 1 289.339 0.174 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CN2C(=O)CC(C)(C)C2=O)C1 ZINC001014441558 650452154 /nfs/dbraw/zinc/45/21/54/650452154.db2.gz ZECLYYZWZLNRNU-NSHDSACASA-N 0 1 293.367 0.148 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CCC(NCC#N)CC1 ZINC000997189126 638858651 /nfs/dbraw/zinc/85/86/51/638858651.db2.gz XPGOUSZHSBCIIG-UHFFFAOYSA-N 0 1 287.367 0.865 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(CNCc2cnn(C)n2)CC1 ZINC000997616779 638878493 /nfs/dbraw/zinc/87/84/93/638878493.db2.gz FUHPLANIKUZZOW-NSHDSACASA-N 0 1 290.371 0.303 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncc3[nH]cnc32)C1 ZINC001014501392 650456826 /nfs/dbraw/zinc/45/68/26/650456826.db2.gz CANLJADKSLBXIK-SNVBAGLBSA-N 0 1 271.324 0.948 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCC[C@H](NCC#N)C1 ZINC000998724985 638936906 /nfs/dbraw/zinc/93/69/06/638936906.db2.gz VHBZBRYLQHQFPS-NWDGAFQWSA-N 0 1 250.346 0.185 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC001014514052 650457946 /nfs/dbraw/zinc/45/79/46/650457946.db2.gz VQYDQTYZQBOXKA-CYBMUJFWSA-N 0 1 262.357 0.822 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000999232964 638968786 /nfs/dbraw/zinc/96/87/86/638968786.db2.gz NOUHTERYZCSSSG-SNVBAGLBSA-N 0 1 284.323 0.676 20 30 CCEDMN C#CCN1CC[C@@H](n2cc(CNC(=O)C3CC3)nn2)C1 ZINC000999941075 639023351 /nfs/dbraw/zinc/02/33/51/639023351.db2.gz YBZSGYDJRRWKHP-CYBMUJFWSA-N 0 1 273.340 0.184 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC000999947170 639024346 /nfs/dbraw/zinc/02/43/46/639024346.db2.gz HZNFHLWZEHATTH-VXGBXAGGSA-N 0 1 299.802 0.798 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(CC(C)C)C2)C1 ZINC001014615910 650468200 /nfs/dbraw/zinc/46/82/00/650468200.db2.gz JTDPQZVTMQRBSS-ZIAGYGMSSA-N 0 1 293.411 0.867 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014633869 650470193 /nfs/dbraw/zinc/47/01/93/650470193.db2.gz OHQRIIBUANAXOT-KBPBESRZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc(C)nc2OC)C1 ZINC001014638443 650472083 /nfs/dbraw/zinc/47/20/83/650472083.db2.gz GPFSKCVLQGTZNC-GFCCVEGCSA-N 0 1 288.351 0.621 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCn3cncc3C2)CC1 ZINC001000854040 639178151 /nfs/dbraw/zinc/17/81/51/639178151.db2.gz FCHXRGBWJIYCKI-HNNXBMFYSA-N 0 1 298.390 0.827 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)CN2CCCC2)C1 ZINC001000921424 639194788 /nfs/dbraw/zinc/19/47/88/639194788.db2.gz VOFGWBJBSANWCN-LBPRGKRZSA-N 0 1 250.346 0.186 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2CCN(C)C2=O)CC1 ZINC001001067101 639225860 /nfs/dbraw/zinc/22/58/60/639225860.db2.gz UXCJLQFZHFEFIL-CQSZACIVSA-N 0 1 289.379 0.236 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCC1CN(C(=O)C#CC2CC2)C1 ZINC001001206234 639261356 /nfs/dbraw/zinc/26/13/56/639261356.db2.gz DHNGWLRJSQWKBJ-CQSZACIVSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCn1cccc1C(=O)N[C@@H]1CCN(CCO)C1 ZINC001014725445 650482398 /nfs/dbraw/zinc/48/23/98/650482398.db2.gz NXPCITCTNBJAOQ-GFCCVEGCSA-N 0 1 263.341 0.471 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc(OC)nn2)CC1 ZINC001001340397 639284140 /nfs/dbraw/zinc/28/41/40/639284140.db2.gz HAELJPMOJPGDHV-UHFFFAOYSA-N 0 1 286.335 0.480 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn(CC)cn2)CC1 ZINC001001373876 639290341 /nfs/dbraw/zinc/29/03/41/639290341.db2.gz NHZVOVWLHBIGFI-UHFFFAOYSA-N 0 1 272.352 0.898 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCCC(=O)N2C)CC1 ZINC001001417539 639297699 /nfs/dbraw/zinc/29/76/99/639297699.db2.gz YWLAOLHNCVVICD-AWEZNQCLSA-N 0 1 289.379 0.379 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCc3c[nH]nc3C2)CC1 ZINC001001467983 639303983 /nfs/dbraw/zinc/30/39/83/639303983.db2.gz VTUABJVNTBAOBD-CQSZACIVSA-N 0 1 298.390 0.896 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnn2CCOC)C1 ZINC001014860903 650496813 /nfs/dbraw/zinc/49/68/13/650496813.db2.gz VVSRBFFRMXWVEH-LBPRGKRZSA-N 0 1 278.356 0.520 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cc(=O)n(C)o2)CC1 ZINC001002675275 639441189 /nfs/dbraw/zinc/44/11/89/639441189.db2.gz OUSKMMSJYNTQDU-UHFFFAOYSA-N 0 1 277.324 0.196 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC001003180104 639515458 /nfs/dbraw/zinc/51/54/58/639515458.db2.gz AGUFDNNRULHRRW-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cn3cccnc3n2)CC1 ZINC001003243011 639522061 /nfs/dbraw/zinc/52/20/61/639522061.db2.gz PLMWVROWHWNORR-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(N(C)C)ccn2)C1 ZINC001016383784 639523295 /nfs/dbraw/zinc/52/32/95/639523295.db2.gz KOMUQHPQAFAFRA-ZDUSSCGKSA-N 0 1 286.379 0.975 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC001003430918 639544373 /nfs/dbraw/zinc/54/43/73/639544373.db2.gz ZXZDXXDVCFVIEB-STQMWFEESA-N 0 1 277.368 0.102 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@@H]2CCCc3n[nH]nc32)CC1 ZINC001003516774 639556913 /nfs/dbraw/zinc/55/69/13/639556913.db2.gz YWSXTFBKQIGBIQ-LLVKDONJSA-N 0 1 288.355 0.329 20 30 CCEDMN N#CCN1CCC(NC(=O)c2[nH]ncc2F)CC1 ZINC001003575490 639572054 /nfs/dbraw/zinc/57/20/54/639572054.db2.gz FHCGWVYZOJMLRV-UHFFFAOYSA-N 0 1 251.265 0.267 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)CC1 ZINC001003635661 639582923 /nfs/dbraw/zinc/58/29/23/639582923.db2.gz IIHQWAMPFXFDTH-UONOGXRCSA-N 0 1 291.395 0.315 20 30 CCEDMN CCNS(=O)(=O)CCNCc1cccc(C#N)c1 ZINC000068980993 639609619 /nfs/dbraw/zinc/60/96/19/639609619.db2.gz ZJKADDFYMYGUMG-UHFFFAOYSA-N 0 1 267.354 0.587 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(C(C)C)nn2)CC1 ZINC001003861243 639640279 /nfs/dbraw/zinc/64/02/79/639640279.db2.gz UYIQZSUHBXGXNH-UHFFFAOYSA-N 0 1 275.356 0.686 20 30 CCEDMN Cn1cnc(CNc2n[nH]c(N3CCOCC3)c2C#N)c1 ZINC000922361183 639668286 /nfs/dbraw/zinc/66/82/86/639668286.db2.gz CEQOUHGDJCXDQH-UHFFFAOYSA-N 0 1 287.327 0.464 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCCN2C(C)=O)CC1 ZINC001004227382 639731525 /nfs/dbraw/zinc/73/15/25/639731525.db2.gz AOWMNMBXSYACBZ-OAHLLOKOSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(C)c2CC)C1 ZINC001015080419 650526131 /nfs/dbraw/zinc/52/61/31/650526131.db2.gz HBCIDGOWSCQEPD-LLVKDONJSA-N 0 1 262.357 0.973 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2C[C@H](C)CO2)CC1 ZINC001005727243 639955626 /nfs/dbraw/zinc/95/56/26/639955626.db2.gz BJJKYQLCAOCZFI-GXTWGEPZSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCNC2=O)CC1 ZINC001005824220 639978636 /nfs/dbraw/zinc/97/86/36/639978636.db2.gz XDZMUSGZCISLFC-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)NCCCc1nc[nH]n1 ZINC000923145469 640040130 /nfs/dbraw/zinc/04/01/30/640040130.db2.gz ZPJPMGXHBAPDHH-SECBINFHSA-N 0 1 250.306 0.538 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCCCC(=O)N2)CC1 ZINC001005979871 640049742 /nfs/dbraw/zinc/04/97/42/640049742.db2.gz IGLUSBUBAGKMLB-CQSZACIVSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cn(CC)nn2)CC1 ZINC001006201757 640205203 /nfs/dbraw/zinc/20/52/03/640205203.db2.gz OJVBVQMABRTEEO-UHFFFAOYSA-N 0 1 275.356 0.468 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cc2nonc2C)CC1 ZINC001006236726 640211096 /nfs/dbraw/zinc/21/10/96/640211096.db2.gz XBQAIUUKKLDYOC-UHFFFAOYSA-N 0 1 290.367 0.867 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001015366644 650559568 /nfs/dbraw/zinc/55/95/68/650559568.db2.gz SBDBNUVCNCDKPB-BFHYXJOUSA-N 0 1 286.379 0.737 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(-n3cncn3)nc2)C1 ZINC001015460016 650569230 /nfs/dbraw/zinc/56/92/30/650569230.db2.gz ORKSIHKSEOCNGW-CYBMUJFWSA-N 0 1 298.350 0.652 20 30 CCEDMN C[C@H]1CCCN1CCNS(=O)(=O)c1ccc(C#N)o1 ZINC000805072316 640328601 /nfs/dbraw/zinc/32/86/01/640328601.db2.gz INEDACRBGZUMBA-JTQLQIEISA-N 0 1 283.353 0.914 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1C=NN[C@@H](C)CC#N ZINC000755804249 640337071 /nfs/dbraw/zinc/33/70/71/640337071.db2.gz KPXASVXCUVMMJS-NSHDSACASA-N 0 1 290.371 0.791 20 30 CCEDMN C[C@@H](C#N)OCCN1CCC(N(C)S(C)(=O)=O)CC1 ZINC000801931297 640676034 /nfs/dbraw/zinc/67/60/34/640676034.db2.gz WTYCJAIIQSQZHZ-NSHDSACASA-N 0 1 289.401 0.271 20 30 CCEDMN Cc1cc(C(C#N)C(=O)CCn2ccnn2)nc(C)n1 ZINC000802038722 640684623 /nfs/dbraw/zinc/68/46/23/640684623.db2.gz OEJSEXNCKFSTAI-LLVKDONJSA-N 0 1 270.296 0.952 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cn(C)nc2Cl)C1 ZINC001015700482 650611467 /nfs/dbraw/zinc/61/14/67/650611467.db2.gz IHRQHQGNAUFFCV-NSHDSACASA-N 0 1 282.775 0.993 20 30 CCEDMN Cn1nncc1N=NCc1cn(Cc2cccnc2)nn1 ZINC000814982613 641087645 /nfs/dbraw/zinc/08/76/45/641087645.db2.gz HTMGZHFHEHAJAF-UHFFFAOYSA-N 0 1 283.299 0.296 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccc(C(N)=O)s2)C1 ZINC001015838934 650638089 /nfs/dbraw/zinc/63/80/89/650638089.db2.gz XHYUJSZTYYMWPX-SNVBAGLBSA-N 0 1 291.376 0.674 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(CC3CC3)nn2)C1 ZINC001015914590 650655455 /nfs/dbraw/zinc/65/54/55/650655455.db2.gz XVBVHMTWTCKXAO-CYBMUJFWSA-N 0 1 287.367 0.516 20 30 CCEDMN N#Cc1ccc([C@H](O)CNCCS(=O)(=O)C2CC2)cc1 ZINC000819370955 641404323 /nfs/dbraw/zinc/40/43/23/641404323.db2.gz XEVRPFDIJATCQP-CQSZACIVSA-N 0 1 294.376 0.758 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1cccc(C(N)=O)c1 ZINC000826383376 641929755 /nfs/dbraw/zinc/92/97/55/641929755.db2.gz XVZWMZMTSFVMFF-UHFFFAOYSA-N 0 1 294.278 0.082 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@H]2CCc3[nH]cnc3C2)CCN1C1CC1 ZINC000827384677 642003555 /nfs/dbraw/zinc/00/35/55/642003555.db2.gz XMUCSVIAIUXVOH-WCQYABFASA-N 0 1 299.378 0.713 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001016390318 650724165 /nfs/dbraw/zinc/72/41/65/650724165.db2.gz XIOCRVXDYAQKTK-LLVKDONJSA-N 0 1 274.324 0.171 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001016400157 650726643 /nfs/dbraw/zinc/72/66/43/650726643.db2.gz TVLMMZGKYBKVFS-LLVKDONJSA-N 0 1 274.324 0.171 20 30 CCEDMN N#CC[C@@]1(O)CC[N@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000829991049 642215543 /nfs/dbraw/zinc/21/55/43/642215543.db2.gz CBLZQJUBEPMBFS-OAHLLOKOSA-N 0 1 289.335 0.585 20 30 CCEDMN N#CC[C@@]1(O)CC[N@@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000829991049 642215549 /nfs/dbraw/zinc/21/55/49/642215549.db2.gz CBLZQJUBEPMBFS-OAHLLOKOSA-N 0 1 289.335 0.585 20 30 CCEDMN CC#CCCNS(=O)(=O)c1occc1C(=O)OC ZINC000867066249 642239773 /nfs/dbraw/zinc/23/97/73/642239773.db2.gz JHEYJEIKFOYGBD-UHFFFAOYSA-N 0 1 271.294 0.758 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1CSCCS1 ZINC000834479624 642571877 /nfs/dbraw/zinc/57/18/77/642571877.db2.gz GXIGYSVRSSLWSX-VGMNWLOBSA-N 0 1 257.384 0.063 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000834484334 642574029 /nfs/dbraw/zinc/57/40/29/642574029.db2.gz HLDIYMGOTLVVLD-KCJUWKMLSA-N 0 1 278.243 0.575 20 30 CCEDMN Cn1cc(-c2cccc(C(=O)N[C@@H]3CNC[C@@H]3C#N)c2)cn1 ZINC000834489067 642579049 /nfs/dbraw/zinc/57/90/49/642579049.db2.gz JBDFRFTYUZPVKB-DZGCQCFKSA-N 0 1 295.346 0.928 20 30 CCEDMN Cc1nn(C)c(C)c1C[C@H](C)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834489248 642579167 /nfs/dbraw/zinc/57/91/67/642579167.db2.gz LFJRWSOIABOMBB-BHYNMZESSA-N 0 1 289.383 0.443 20 30 CCEDMN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834490109 642579755 /nfs/dbraw/zinc/57/97/55/642579755.db2.gz RYHXNJVONPUUSK-GXSJLCMTSA-N 0 1 274.280 0.745 20 30 CCEDMN Cn1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(-c2cccnc2)n1 ZINC000834494100 642583742 /nfs/dbraw/zinc/58/37/42/642583742.db2.gz KDQIQCVWZZFMGK-DGCLKSJQSA-N 0 1 296.334 0.323 20 30 CCEDMN Cn1c2ccc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc2[nH]c1=O ZINC000834494569 642585171 /nfs/dbraw/zinc/58/51/71/642585171.db2.gz OGNBACOAZYZLGL-GXSJLCMTSA-N 0 1 285.307 0.120 20 30 CCEDMN Cc1nc(C2CC2)oc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834499076 642587395 /nfs/dbraw/zinc/58/73/95/642587395.db2.gz FBHGUVWBLIGBBS-UWVGGRQHSA-N 0 1 260.297 0.702 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1nc2cccnc2s1 ZINC000834499186 642587486 /nfs/dbraw/zinc/58/74/86/642587486.db2.gz FUCSAVFASKLWNB-APPZFPTMSA-N 0 1 273.321 0.533 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnc(C(F)(F)F)nc1 ZINC000834501027 642590554 /nfs/dbraw/zinc/59/05/54/642590554.db2.gz YZYGKSLXTNEPOA-XPUUQOCRSA-N 0 1 285.229 0.337 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccnc2ccncc21 ZINC000834505250 642591568 /nfs/dbraw/zinc/59/15/68/642591568.db2.gz JLPCTVDGGWVZBX-ZANVPECISA-N 0 1 267.292 0.471 20 30 CCEDMN Cc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1[N+](=O)[O-] ZINC000834505756 642591897 /nfs/dbraw/zinc/59/18/97/642591897.db2.gz NPTBRPXJADLZCU-ONGXEEELSA-N 0 1 274.280 0.745 20 30 CCEDMN COc1ccccc1CCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834506716 642592851 /nfs/dbraw/zinc/59/28/51/642592851.db2.gz VKUGDFSEDZLBEQ-CHWSQXEVSA-N 0 1 273.336 0.856 20 30 CCEDMN Cc1ccc(OCC(=O)N[C@@H]2CNC[C@H]2C#N)c(C)c1 ZINC000834506200 642593099 /nfs/dbraw/zinc/59/30/99/642593099.db2.gz QOCKGDYSUJAEEO-CHWSQXEVSA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(F)ccc1F ZINC000834510865 642594778 /nfs/dbraw/zinc/59/47/78/642594778.db2.gz GDZZBKZJFZTSPW-RDDDGLTNSA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(Br)c[nH]1 ZINC000834511557 642597833 /nfs/dbraw/zinc/59/78/33/642597833.db2.gz MGMTXVHBKAWLGO-MUWHJKNJSA-N 0 1 283.129 0.619 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1c[nH]nc1-c1ccccc1 ZINC000834515905 642598399 /nfs/dbraw/zinc/59/83/99/642598399.db2.gz DEFBJUJPVGRYCC-AAEUAGOBSA-N 0 1 281.319 0.918 20 30 CCEDMN Cc1noc(C)c1CCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834516492 642601060 /nfs/dbraw/zinc/60/10/60/642601060.db2.gz IXYWSIOPSKQTNA-PWSUYJOCSA-N 0 1 262.313 0.452 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccn(-c2ccc(F)cc2)n1 ZINC000834521475 642602357 /nfs/dbraw/zinc/60/23/57/642602357.db2.gz JYEFLTYPVSQKKH-IINYFYTJSA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1cc2c(cn1)CCC2 ZINC000841249195 642809701 /nfs/dbraw/zinc/80/97/01/642809701.db2.gz GIMCZBNINIZDNB-VXGBXAGGSA-N 0 1 271.324 0.803 20 30 CCEDMN CO[C@H](C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017420623 650801093 /nfs/dbraw/zinc/80/10/93/650801093.db2.gz AQKDHHATMKHJQQ-LPWJVIDDSA-N 0 1 265.357 0.856 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn[nH]1 ZINC001017429272 650801736 /nfs/dbraw/zinc/80/17/36/650801736.db2.gz DRDNOCDDVYEFOD-BETUJISGSA-N 0 1 258.325 0.261 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CN1CN=NC1=O ZINC001017493685 650806401 /nfs/dbraw/zinc/80/64/01/650806401.db2.gz CDARPDMHGPGNLI-TXEJJXNPSA-N 0 1 291.355 0.235 20 30 CCEDMN N#CC[C@@]1(O)CCN(Cc2cc3c(cc2O)OCO3)C1 ZINC000843425790 643053441 /nfs/dbraw/zinc/05/34/41/643053441.db2.gz VSVGYQJFWJUTQD-CQSZACIVSA-N 0 1 276.292 0.971 20 30 CCEDMN Cc1ccc(/C=C\C(=O)N[C@@H]2CNC[C@@H]2C#N)cn1 ZINC000843459501 643062593 /nfs/dbraw/zinc/06/25/93/643062593.db2.gz FNFUEILIHDWMLO-OEFFGFFESA-N 0 1 256.309 0.631 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)CCCC(=O)N2 ZINC000843459327 643063528 /nfs/dbraw/zinc/06/35/28/643063528.db2.gz DPLPHYAGCQMWKY-GXTWGEPZSA-N 0 1 298.346 0.803 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccccc1Cn1cncn1 ZINC000843460013 643065427 /nfs/dbraw/zinc/06/54/27/643065427.db2.gz KPZQMYOGZUQTIV-TZMCWYRMSA-N 0 1 296.334 0.168 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccnc1-n1cccn1 ZINC000844195163 643179510 /nfs/dbraw/zinc/17/95/10/643179510.db2.gz QTVIAAALZIQTJJ-PWSUYJOCSA-N 0 1 282.307 0.109 20 30 CCEDMN C[C@@H]1CCCc2ncc(C(=O)N[C@@H]3CNC[C@H]3C#N)n21 ZINC000846678115 643441850 /nfs/dbraw/zinc/44/18/50/643441850.db2.gz QZUSPIIBOWZGCD-GMTAPVOTSA-N 0 1 273.340 0.622 20 30 CCEDMN N#CCC(=O)N1CCN(CC2(O)CCCCC2)CC1 ZINC000846957932 643475161 /nfs/dbraw/zinc/47/51/61/643475161.db2.gz XRUHUSVSPMIOBO-UHFFFAOYSA-N 0 1 265.357 0.739 20 30 CCEDMN C[C@@H]1CC[C@H](C)N1CC(=O)N[C@H]1CCCN(O)C1=O ZINC000848136934 643606109 /nfs/dbraw/zinc/60/61/09/643606109.db2.gz UTMWMTCNNYLIBV-AXFHLTTASA-N 0 1 269.345 0.356 20 30 CCEDMN Cn1cc(Br)c(C(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000852876108 644037754 /nfs/dbraw/zinc/03/77/54/644037754.db2.gz VULRPRFHAVPLJG-XPUUQOCRSA-N 0 1 298.144 0.024 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1cc(C2CC2)no1 ZINC000852875875 644038047 /nfs/dbraw/zinc/03/80/47/644038047.db2.gz SAIQHDQWPZCIQK-CABZTGNLSA-N 0 1 260.297 0.322 20 30 CCEDMN COc1ccnc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)c1 ZINC001018632112 650887169 /nfs/dbraw/zinc/88/71/69/650887169.db2.gz MNNYMLVTCYDFPF-RYUDHWBXSA-N 0 1 288.351 0.940 20 30 CCEDMN Cc1nccnc1CN[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001018632908 650887259 /nfs/dbraw/zinc/88/72/59/650887259.db2.gz RCZRNIQCUZQXAV-JQWIXIFHSA-N 0 1 273.340 0.635 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cc(C(F)(F)F)nn2C)N1 ZINC000853330268 644098673 /nfs/dbraw/zinc/09/86/73/644098673.db2.gz LQNSEGANZVMHHH-LURJTMIESA-N 0 1 274.250 0.710 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1n[nH]c(COC)n1)C(C)C ZINC000854068950 644173911 /nfs/dbraw/zinc/17/39/11/644173911.db2.gz OFKAZIZCHJMWOU-SECBINFHSA-N 0 1 265.317 0.408 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1nnc(COC)[nH]1)C(C)C ZINC000854068950 644173913 /nfs/dbraw/zinc/17/39/13/644173913.db2.gz OFKAZIZCHJMWOU-SECBINFHSA-N 0 1 265.317 0.408 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2COCCN2C)C1 ZINC001018744216 650903571 /nfs/dbraw/zinc/90/35/71/650903571.db2.gz UMZNVHXJTIAMFU-NWDGAFQWSA-N 0 1 287.791 0.260 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCCNC2=O)C1 ZINC001018999192 650928815 /nfs/dbraw/zinc/92/88/15/650928815.db2.gz JFAVAEOAYBWMBX-QWRGUYRKSA-N 0 1 285.775 0.456 20 30 CCEDMN N#Cc1ccc(F)c(C(=O)NCC[N@@H+]2CC[C@@H](O)C2)c1 ZINC000863317599 645078261 /nfs/dbraw/zinc/07/82/61/645078261.db2.gz BINQFNOQHJMUOY-LLVKDONJSA-N 0 1 277.299 0.494 20 30 CCEDMN N#Cc1ccc(F)c(C(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000863317599 645078263 /nfs/dbraw/zinc/07/82/63/645078263.db2.gz BINQFNOQHJMUOY-LLVKDONJSA-N 0 1 277.299 0.494 20 30 CCEDMN C[C@@H]([N-]S(=O)(=O)c1ccc(C#N)o1)C1CC[NH2+]CC1 ZINC000866808766 645607293 /nfs/dbraw/zinc/60/72/93/645607293.db2.gz CVHSTXVHSDHVNG-SECBINFHSA-N 0 1 283.353 0.818 20 30 CCEDMN N#C[C@]1(C(=O)N[C@@H]2CCC[N@H+](CCCO)C2)CCCOC1 ZINC000868635649 645797601 /nfs/dbraw/zinc/79/76/01/645797601.db2.gz BAAXJPKEYKDNKC-UKRRQHHQSA-N 0 1 295.383 0.270 20 30 CCEDMN N#C[C@]1(C(=O)N[C@@H]2CCCN(CCCO)C2)CCCOC1 ZINC000868635649 645797606 /nfs/dbraw/zinc/79/76/06/645797606.db2.gz BAAXJPKEYKDNKC-UKRRQHHQSA-N 0 1 295.383 0.270 20 30 CCEDMN Cn1nccc1CNC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000876598252 646630249 /nfs/dbraw/zinc/63/02/49/646630249.db2.gz WSPRXURROCZBSH-HNNXBMFYSA-N 0 1 295.346 0.795 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cn1ccc(C(F)F)n1 ZINC000876801784 646668393 /nfs/dbraw/zinc/66/83/93/646668393.db2.gz IPQBPIAREQWVNZ-APPZFPTMSA-N 0 1 269.255 0.048 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1COc2cc(F)ccc2C1 ZINC000876801811 646668794 /nfs/dbraw/zinc/66/87/94/646668794.db2.gz JAUFNOFNSNPXFM-WZRBSPASSA-N 0 1 289.310 0.605 20 30 CCEDMN Cc1cc(C(F)(F)F)nc(C(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000876801409 646668991 /nfs/dbraw/zinc/66/89/91/646668991.db2.gz BWYXQEMTUWBWQS-JGVFFNPUSA-N 0 1 299.256 0.645 20 30 CCEDMN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000876802149 646669974 /nfs/dbraw/zinc/66/99/74/646669974.db2.gz LZWLNPKTLBHLJX-ZNSALQAWSA-N 0 1 251.330 0.274 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc2nc[nH]c2cc1F ZINC000876802259 646670026 /nfs/dbraw/zinc/67/00/26/646670026.db2.gz NNMJUJHLAMNFRL-MADCSZMMSA-N 0 1 273.271 0.543 20 30 CCEDMN Cc1ccc(-c2nn(C)cc2C(=O)N[C@H]2CNC[C@H]2C#N)o1 ZINC000876802790 646670266 /nfs/dbraw/zinc/67/02/66/646670266.db2.gz RXJZIURWNYGEKW-PWSUYJOCSA-N 0 1 299.334 0.830 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c2nccn2c1 ZINC000876803470 646670476 /nfs/dbraw/zinc/67/04/76/646670476.db2.gz WQZJBLWCSJQQPI-CMPLNLGQSA-N 0 1 269.308 0.484 20 30 CCEDMN C#CCSCCNCc1cc(C(=O)OC)no1 ZINC000877049030 646722124 /nfs/dbraw/zinc/72/21/24/646722124.db2.gz SFGZJEJSOLBTDA-UHFFFAOYSA-N 0 1 254.311 0.917 20 30 CCEDMN Cn1ncnc1CN[C@@H]1Cc2ccc(C#N)cc2C1 ZINC000877766750 646840967 /nfs/dbraw/zinc/84/09/67/646840967.db2.gz KQMPPPIJVXZZHN-CYBMUJFWSA-N 0 1 253.309 0.944 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)CN2CC=C[C@@H]2CO)cc1 ZINC000880483001 647208460 /nfs/dbraw/zinc/20/84/60/647208460.db2.gz YFLIDNBCSXAUCV-ZIAGYGMSSA-N 0 1 274.320 0.531 20 30 CCEDMN N#CCc1ccc(OC[C@H](O)CN2CC=C[C@@H]2CO)cc1 ZINC000880483503 647208641 /nfs/dbraw/zinc/20/86/41/647208641.db2.gz HCTYDTNVCZQRHS-HUUCEWRRSA-N 0 1 288.347 0.725 20 30 CCEDMN N#CCCOCCN1CCC[C@@H]2CCNC(=O)[C@@H]21 ZINC000880524917 647219065 /nfs/dbraw/zinc/21/90/65/647219065.db2.gz WYHDEXTZVVRQJF-VXGBXAGGSA-N 0 1 251.330 0.517 20 30 CCEDMN Cc1nc(C#N)cc(N[C@H](C)CN2CCN(C)CC2)n1 ZINC000881952416 647356575 /nfs/dbraw/zinc/35/65/75/647356575.db2.gz MCBQQRNVOMTZBF-LLVKDONJSA-N 0 1 274.372 0.705 20 30 CCEDMN Cc1nc(C#N)cc(N2CC[C@H](c3nc[nH]n3)C2)n1 ZINC000882478044 647411402 /nfs/dbraw/zinc/41/14/02/647411402.db2.gz ZTCYKEKYKISCNN-VIFPVBQESA-N 0 1 255.285 0.769 20 30 CCEDMN N#CCc1cccc(NC(=O)NC[C@H]2COCCN2)n1 ZINC000883587265 647541566 /nfs/dbraw/zinc/54/15/66/647541566.db2.gz DJQMMHIHAAIHNT-NSHDSACASA-N 0 1 275.312 0.258 20 30 CCEDMN Cc1nc(C)c(CC(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000884249881 647681390 /nfs/dbraw/zinc/68/13/90/647681390.db2.gz SVECTRLPCSHMJW-VHSXEESVSA-N 0 1 264.354 0.530 20 30 CCEDMN C[C@H](CS(C)(=O)=O)NCCNc1ccc(C#N)cn1 ZINC000927162943 648546945 /nfs/dbraw/zinc/54/69/45/648546945.db2.gz HIKAGPBWBMWOPI-SNVBAGLBSA-N 0 1 282.369 0.388 20 30 CCEDMN N#Cc1ccncc1C(=O)N1CCc2nc[nH]c2C1 ZINC000929079451 648699453 /nfs/dbraw/zinc/69/94/53/648699453.db2.gz QDIRNKONAYJKEU-UHFFFAOYSA-N 0 1 253.265 0.875 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2cncc(C#N)c2)CC1 ZINC000929508185 648747679 /nfs/dbraw/zinc/74/76/79/648747679.db2.gz MOCWQAKMJJXHKS-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN C[C@@H](C#N)OCCN1CCCC[C@H]1CS(N)(=O)=O ZINC000931231215 648965850 /nfs/dbraw/zinc/96/58/50/648965850.db2.gz QEQIVDHNHNSQGS-QWRGUYRKSA-N 0 1 275.374 0.058 20 30 CCEDMN Cc1cc(NC(=O)NCC#CCN(C)C)nc(C)n1 ZINC000932102176 649049682 /nfs/dbraw/zinc/04/96/82/649049682.db2.gz ZTEFXJAZXKFNRH-UHFFFAOYSA-N 0 1 261.329 0.780 20 30 CCEDMN NS(=O)(=O)C[C@H]1CCN(CC#Cc2ccccc2)C1 ZINC000932947402 649103588 /nfs/dbraw/zinc/10/35/88/649103588.db2.gz CIUULOJEBXASRK-AWEZNQCLSA-N 0 1 278.377 0.649 20 30 CCEDMN N#Cc1ccc(CN2CC[C@@H](CS(N)(=O)=O)C2)cc1 ZINC000932950525 649104436 /nfs/dbraw/zinc/10/44/36/649104436.db2.gz BWCASNYYGDYZSX-CYBMUJFWSA-N 0 1 279.365 0.669 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(NC(=O)CN2CCCC2)CC1 ZINC000948102037 649363693 /nfs/dbraw/zinc/36/36/93/649363693.db2.gz BSYIVPJAMKDQJS-GFCCVEGCSA-N 0 1 292.383 0.349 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)[C@H]1CCCN(CC#N)CC1 ZINC000948669274 649387380 /nfs/dbraw/zinc/38/73/80/649387380.db2.gz DPTGKWUPJIPMDO-NSHDSACASA-N 0 1 276.344 0.563 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(C)(NC(=O)c2ncn[nH]2)CC1 ZINC000956450640 649706574 /nfs/dbraw/zinc/70/65/74/649706574.db2.gz DXFOOFWDFFPCQJ-VIFPVBQESA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(C)(NC(=O)c2nc[nH]n2)CC1 ZINC000956450640 649706577 /nfs/dbraw/zinc/70/65/77/649706577.db2.gz DXFOOFWDFFPCQJ-VIFPVBQESA-N 0 1 290.327 0.075 20 30 CCEDMN Cc1ncc(C#N)c(N2C[C@@H]3OCCN(C)[C@H]3C2)n1 ZINC001164666200 719386334 /nfs/dbraw/zinc/38/63/34/719386334.db2.gz JWRMHXLWGKURTN-RYUDHWBXSA-N 0 1 259.313 0.176 20 30 CCEDMN Cc1cnc(N2C[C@@H]3[C@H](C2)OCCN3C)c(C=NO)c1 ZINC001164675052 719419695 /nfs/dbraw/zinc/41/96/95/719419695.db2.gz YGFPGPIEXZUTHE-OLZOCXBDSA-N 0 1 276.340 0.717 20 30 CCEDMN Cc1nc(C#N)cnc1N1C[C@H]2OCCN(C)[C@@H]2C1 ZINC001164673713 719423462 /nfs/dbraw/zinc/42/34/62/719423462.db2.gz UAOMKGWTQVUGPK-VXGBXAGGSA-N 0 1 259.313 0.176 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@]2(CCN(C(C)=O)C2)C1 ZINC001277450589 805587867 /nfs/dbraw/zinc/58/78/67/805587867.db2.gz YYUAMCKZGQMMSC-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC1CCN([C@H](C)C(=O)NC)CC1 ZINC001230414677 805601478 /nfs/dbraw/zinc/60/14/78/805601478.db2.gz DXJLRWQJVHNYOM-NEPJUHHUSA-N 0 1 297.399 0.293 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)C2=COCCC2)CC1 ZINC001266257500 790340594 /nfs/dbraw/zinc/34/05/94/790340594.db2.gz JUAPPGIEXOKSOK-UHFFFAOYSA-N 0 1 293.411 0.991 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@@](C)(O)C1CC1 ZINC001266267591 790364450 /nfs/dbraw/zinc/36/44/50/790364450.db2.gz ITRXNQUEAUCBQZ-CQSZACIVSA-N 0 1 252.358 0.609 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCN1CCN(CCCO)CC1 ZINC001266295197 790443717 /nfs/dbraw/zinc/44/37/17/790443717.db2.gz XZQLJUMYBFEWEL-UHFFFAOYSA-N 0 1 297.443 0.705 20 30 CCEDMN C#Cc1cncc(C(=O)NCCN(C)CCn2cncn2)c1 ZINC001266323454 790506582 /nfs/dbraw/zinc/50/65/82/790506582.db2.gz CZRJMGIZZOMGMI-UHFFFAOYSA-N 0 1 298.350 0.016 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCCOCC)C1 ZINC001266361321 790588661 /nfs/dbraw/zinc/58/86/61/790588661.db2.gz KWDJPNRBFNRTHR-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN CC#CCN(CC)CCNC(=O)C[C@H]1CCOC[C@@H]1OC ZINC001266394522 790627947 /nfs/dbraw/zinc/62/79/47/790627947.db2.gz SKDIYVAXIBGONI-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cc(C)c(C(N)=O)cn1 ZINC001266417817 790662394 /nfs/dbraw/zinc/66/23/94/790662394.db2.gz IVUFKQBUYRAYOK-UHFFFAOYSA-N 0 1 288.351 0.174 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@H]1CNC(C)=O ZINC001266443060 790721881 /nfs/dbraw/zinc/72/18/81/790721881.db2.gz KEPCZHXQBIUYDX-AWEZNQCLSA-N 0 1 279.384 0.788 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC[C@H]1CNC(C)=O ZINC001266443060 790721893 /nfs/dbraw/zinc/72/18/93/790721893.db2.gz KEPCZHXQBIUYDX-AWEZNQCLSA-N 0 1 279.384 0.788 20 30 CCEDMN C=CCN(C)C[C@@H]1CCCN(C(=O)CS(C)(=O)=O)C1 ZINC001266452806 790741532 /nfs/dbraw/zinc/74/15/32/790741532.db2.gz RQLSOFFNCLNYNA-LBPRGKRZSA-N 0 1 288.413 0.387 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)Cc1ccn(C)n1 ZINC001266461524 790756361 /nfs/dbraw/zinc/75/63/61/790756361.db2.gz QUCDCRDYOBBCPV-CYBMUJFWSA-N 0 1 260.341 0.176 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CO[C@@H]1CCOC1 ZINC001266465643 790759522 /nfs/dbraw/zinc/75/95/22/790759522.db2.gz ZBMPEDHFXDPTCR-CHWSQXEVSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@H](NCc2ccccn2)C1 ZINC001266527142 790869795 /nfs/dbraw/zinc/86/97/95/790869795.db2.gz HHMVEUTWWQMTSN-ZFWWWQNUSA-N 0 1 287.363 0.810 20 30 CCEDMN C[C@@H](NCCNC(=O)c1cc(C#N)c[nH]1)c1cnccn1 ZINC001125391263 790874813 /nfs/dbraw/zinc/87/48/13/790874813.db2.gz VXHSWHFSAUXJGQ-SNVBAGLBSA-N 0 1 284.323 0.757 20 30 CCEDMN C#CCN(CCNC(=O)c1cc2n(n1)CCCO2)C1CC1 ZINC001266600051 791004520 /nfs/dbraw/zinc/00/45/20/791004520.db2.gz HOVGTOIIPQKXHB-UHFFFAOYSA-N 0 1 288.351 0.493 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCCC1(CNCC#N)CC1 ZINC001167422602 791056417 /nfs/dbraw/zinc/05/64/17/791056417.db2.gz UDAONVUGXGMBTO-GFCCVEGCSA-N 0 1 289.383 0.988 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCO1 ZINC001230676631 805645918 /nfs/dbraw/zinc/64/59/18/805645918.db2.gz RMGKPXQGCFSTLV-ZIAGYGMSSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](CC)OC ZINC001231116037 805733409 /nfs/dbraw/zinc/73/34/09/805733409.db2.gz YECCUZNLNJCWNC-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@@H](CNCC#N)C2CC2)n[nH]1 ZINC001277622178 805903652 /nfs/dbraw/zinc/90/36/52/805903652.db2.gz MOCMJBPOPAXZKW-LBPRGKRZSA-N 0 1 261.329 0.682 20 30 CCEDMN N#Cc1ccc(CCN2CCc3n[nH]c(C(N)=O)c3C2)cc1 ZINC001277642963 805917926 /nfs/dbraw/zinc/91/79/26/805917926.db2.gz FYAFYAUZWISUCP-UHFFFAOYSA-N 0 1 295.346 0.981 20 30 CCEDMN CN(C(=O)CCc1cnc[nH]1)[C@H](CNCC#N)C1CC1 ZINC001277655123 805933038 /nfs/dbraw/zinc/93/30/38/805933038.db2.gz JZDVFIKEZQVSMA-CYBMUJFWSA-N 0 1 275.356 0.692 20 30 CCEDMN C[C@]1(O)COCC[N@@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232674176 805969657 /nfs/dbraw/zinc/96/96/57/805969657.db2.gz SNCVOMOVNUPDDI-CQSZACIVSA-N 0 1 262.309 0.847 20 30 CCEDMN C=CC(C)(C)CC(=O)N(C)CCNCCS(C)(=O)=O ZINC001266712127 791170974 /nfs/dbraw/zinc/17/09/74/791170974.db2.gz OJGNFBJOTXZFTJ-UHFFFAOYSA-N 0 1 290.429 0.681 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@H]1C[C@@H](NCC#N)C1 ZINC001266716735 791176256 /nfs/dbraw/zinc/17/62/56/791176256.db2.gz OKVQOKKOJRFGNJ-MXWKQRLJSA-N 0 1 261.329 0.349 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H]([C@H](C)NC(=O)CCC)C1 ZINC001266893791 791324138 /nfs/dbraw/zinc/32/41/38/791324138.db2.gz WTHJBIKYPLKPSR-KBPBESRZSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc[n+]([O-])cc1 ZINC001266921277 791349183 /nfs/dbraw/zinc/34/91/83/791349183.db2.gz FGFLOELURPUPIU-SNVBAGLBSA-N 0 1 269.732 0.780 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)NCc1cnc(C)o1 ZINC001266931958 791365325 /nfs/dbraw/zinc/36/53/25/791365325.db2.gz DTZNCVFEWPUJEF-QMTHXVAHSA-N 0 1 281.356 0.904 20 30 CCEDMN C[C@H](CNC(=O)c1c[nH]c2ccccc2c1=O)NCC#N ZINC001266950775 791387326 /nfs/dbraw/zinc/38/73/26/791387326.db2.gz MQUMPNNDQORIRM-SNVBAGLBSA-N 0 1 284.319 0.760 20 30 CCEDMN C=CCOCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CCO ZINC001233502971 806070977 /nfs/dbraw/zinc/07/09/77/806070977.db2.gz UXBNYSBWVVFASA-ZDUSSCGKSA-N 0 1 270.373 0.494 20 30 CCEDMN C=CCOCCCC(=O)N(C)C[C@@H]1CCN1CCO ZINC001233502971 806070982 /nfs/dbraw/zinc/07/09/82/806070982.db2.gz UXBNYSBWVVFASA-ZDUSSCGKSA-N 0 1 270.373 0.494 20 30 CCEDMN Cc1nnc(CN[C@H](C)CCNC(=O)CSCC#N)[nH]1 ZINC001267119754 791562354 /nfs/dbraw/zinc/56/23/54/791562354.db2.gz KLXRBXOYYKDUGO-SECBINFHSA-N 0 1 296.400 0.354 20 30 CCEDMN C[C@H](CCNC(=O)C#CC1CC1)NCc1cnn(C)n1 ZINC001267127438 791572903 /nfs/dbraw/zinc/57/29/03/791572903.db2.gz VETDUQTZINESDZ-LLVKDONJSA-N 0 1 275.356 0.213 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(C(F)(F)F)n(C)n1 ZINC001267197729 791660217 /nfs/dbraw/zinc/66/02/17/791660217.db2.gz ROGXBDYCTAYPAM-UHFFFAOYSA-N 0 1 288.273 0.734 20 30 CCEDMN C#CCN(C)CCNC(=O)c1c(CC)c(CC)n[nH]c1=O ZINC001267199873 791664121 /nfs/dbraw/zinc/66/41/21/791664121.db2.gz YBMBIHUKHLBDNF-UHFFFAOYSA-N 0 1 290.367 0.602 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCO[C@@H]3CN(CCC)C[C@@H]32)nc1 ZINC001083252263 791665769 /nfs/dbraw/zinc/66/57/69/791665769.db2.gz FCNYXRHVBMYBIL-JKSUJKDBSA-N 0 1 299.374 0.998 20 30 CCEDMN COCC#CCN(C)CCNC(=O)Cc1ccccc1 ZINC001267203312 791668342 /nfs/dbraw/zinc/66/83/42/791668342.db2.gz SDFICRBYIVIZIC-UHFFFAOYSA-N 0 1 274.364 0.927 20 30 CCEDMN C=CCCN(C)CCNC(=O)C[C@H]1CCCS1(=O)=O ZINC001267209641 791675835 /nfs/dbraw/zinc/67/58/35/791675835.db2.gz HBDHXHAFFPEUNP-GFCCVEGCSA-N 0 1 288.413 0.578 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnn2c1nc(C)cc2C ZINC001267210124 791677751 /nfs/dbraw/zinc/67/77/51/791677751.db2.gz AOFWZIUTYRVOCT-UHFFFAOYSA-N 0 1 285.351 0.641 20 30 CCEDMN CC(C)CCC(=O)NCCCN(C)CC(=O)NCC#N ZINC001233694985 806108121 /nfs/dbraw/zinc/10/81/21/806108121.db2.gz BTQNXSDLEVUMAV-UHFFFAOYSA-N 0 1 282.388 0.500 20 30 CCEDMN C#CCCCCC(=O)N(C)C[C@@H]1CCN1CCO ZINC001233799861 806123600 /nfs/dbraw/zinc/12/36/00/806123600.db2.gz BFCGICAXLYDMIX-ZDUSSCGKSA-N 0 1 252.358 0.705 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCn1ccnn1 ZINC001233907134 806146163 /nfs/dbraw/zinc/14/61/63/806146163.db2.gz OVEDIYKPAXAUCA-CYBMUJFWSA-N 0 1 275.356 0.224 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@H](C)NCc1cc(C)no1 ZINC001277819639 806152472 /nfs/dbraw/zinc/15/24/72/806152472.db2.gz PFMNAZUFYHOPSY-QWHCGFSZSA-N 0 1 293.367 0.958 20 30 CCEDMN Cc1nc(CN(C)CCCNC(=O)CSCC#N)n[nH]1 ZINC001234153922 806192245 /nfs/dbraw/zinc/19/22/45/806192245.db2.gz BOUOJFXFLHMSAZ-UHFFFAOYSA-N 0 1 296.400 0.308 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CO[C@H]1CCOC1 ZINC001234296048 806217173 /nfs/dbraw/zinc/21/71/73/806217173.db2.gz JVPIZZGHCARMLI-KBPBESRZSA-N 0 1 280.368 0.348 20 30 CCEDMN C=CCN1CC[C@H](N(CC)CCNC(=O)[C@H](C)OC)C1=O ZINC001267238575 793223091 /nfs/dbraw/zinc/22/30/91/793223091.db2.gz PFHMAQIXNQITPJ-STQMWFEESA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCCCC(=O)NCCN(CC)[C@H]1CCCN(C)C1=O ZINC001267240104 793227214 /nfs/dbraw/zinc/22/72/14/793227214.db2.gz APMYZSSFPMHRHT-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN NC(=O)c1ccc2nc(=O)[nH]c(O[C@@H]3C=CC(=O)C3)c2c1 ZINC001234515058 806265005 /nfs/dbraw/zinc/26/50/05/806265005.db2.gz CDWJLEQKHNVUGK-SECBINFHSA-N 0 1 285.259 0.711 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COCC2CC2)[C@H](OC)C1 ZINC001211927426 814930955 /nfs/dbraw/zinc/93/09/55/814930955.db2.gz IWMNTEJIFDUMGF-ZIAGYGMSSA-N 0 1 280.368 0.252 20 30 CCEDMN CC(F)(F)C(=O)NC[C@H](CO)NCC#Cc1ccccc1 ZINC001278042249 806628039 /nfs/dbraw/zinc/62/80/39/806628039.db2.gz ZILINPISZUJSOR-CYBMUJFWSA-N 0 1 296.317 0.760 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C=C1CCCCC1 ZINC001278054479 806640841 /nfs/dbraw/zinc/64/08/41/806640841.db2.gz RKXZQAAUDMLBAA-CYBMUJFWSA-N 0 1 250.342 0.577 20 30 CCEDMN C=CCCC[NH2+][C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001278069499 806647876 /nfs/dbraw/zinc/64/78/76/806647876.db2.gz APCWWYKLQKLNGO-NSHDSACASA-N 0 1 279.340 0.434 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@]1(C)CC=CCC1 ZINC001278086700 806654668 /nfs/dbraw/zinc/65/46/68/806654668.db2.gz YMCJPCHGWGAUOL-HIFRSBDPSA-N 0 1 264.369 0.823 20 30 CCEDMN N#CC1(CNC[C@@H](O)C2(O)CCOCC2)CCCC1 ZINC001120515279 798842392 /nfs/dbraw/zinc/84/23/92/798842392.db2.gz WAMSOYIYPLVJFI-GFCCVEGCSA-N 0 1 268.357 0.562 20 30 CCEDMN C=CCOC[C@H]1c2c(ncn2C)CCN1Cc1nnc[nH]1 ZINC001237603889 806698298 /nfs/dbraw/zinc/69/82/98/806698298.db2.gz DVBXXLKZTRJZRJ-LBPRGKRZSA-N 0 1 288.355 0.840 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1c[nH]cn1)Nc1nccnc1C#N ZINC001120712319 798918811 /nfs/dbraw/zinc/91/88/11/798918811.db2.gz LUVJJJYVNIZKCP-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN N#Cc1cccc(N2CCN(Cc3nnc[nH]3)CC2)c1 ZINC001237611565 806700767 /nfs/dbraw/zinc/70/07/67/806700767.db2.gz NSADPIULMFQIGH-UHFFFAOYSA-N 0 1 268.324 0.999 20 30 CCEDMN C#CCN(C(=O)c1nnn(C)c1C)C1CCN(CC#C)CC1 ZINC001278137808 806712799 /nfs/dbraw/zinc/71/27/99/806712799.db2.gz SVJHSKQAEQFSCA-UHFFFAOYSA-N 0 1 299.378 0.297 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1CCCO[C@@H]1C(C)C ZINC001121894641 799086346 /nfs/dbraw/zinc/08/63/46/799086346.db2.gz JNIRJOMPCBVDOW-TZMCWYRMSA-N 0 1 252.358 0.777 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](O)c1c(F)cccc1F ZINC001122034652 799128968 /nfs/dbraw/zinc/12/89/68/799128968.db2.gz MOVIHEMTQVQCPJ-LLVKDONJSA-N 0 1 268.263 0.337 20 30 CCEDMN C#CCNCC(=O)NC1CCN(c2ccccc2C#N)CC1 ZINC001122102305 799143794 /nfs/dbraw/zinc/14/37/94/799143794.db2.gz JBPODWDYPQKYQI-UHFFFAOYSA-N 0 1 296.374 0.866 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@@H]1CN1CCCC1=O ZINC001122111783 799147400 /nfs/dbraw/zinc/14/74/00/799147400.db2.gz IRYIHKUEIMHITD-CYBMUJFWSA-N 0 1 277.368 0.213 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](c2ccn(C)n2)C1 ZINC001122166893 799159499 /nfs/dbraw/zinc/15/94/99/799159499.db2.gz PJBMCYDRFBJDGS-GFCCVEGCSA-N 0 1 260.341 0.349 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)[C@H]1CCCO1 ZINC001123151324 799363772 /nfs/dbraw/zinc/36/37/72/799363772.db2.gz MSQTTYCRKRZKPW-CQSZACIVSA-N 0 1 273.336 0.943 20 30 CCEDMN CCn1ccnc1C(C#N)C(=O)CN(C)C(=O)OC ZINC001123236946 799378327 /nfs/dbraw/zinc/37/83/27/799378327.db2.gz KODSAJSITXRCNN-VIFPVBQESA-N 0 1 264.285 0.777 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C)C(C)C ZINC001123559878 799432840 /nfs/dbraw/zinc/43/28/40/799432840.db2.gz TVYQYVMUPCUXME-RDBSUJKOSA-N 0 1 280.416 0.578 20 30 CCEDMN C#CCN(C(=O)NC[C@H](C)N1CCN(C)CC1)C(C)C ZINC001123787230 799473448 /nfs/dbraw/zinc/47/34/48/799473448.db2.gz FAIMGORSFSVUIS-AWEZNQCLSA-N 0 1 280.416 0.676 20 30 CCEDMN C#CCN(C(=O)N[C@H](C)[C@H]1CN(C)CCN1C)C(C)C ZINC001123795734 799476054 /nfs/dbraw/zinc/47/60/54/799476054.db2.gz SDWYHNJPLPGOMD-ZIAGYGMSSA-N 0 1 280.416 0.674 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1sccc1OC ZINC001278187877 806749984 /nfs/dbraw/zinc/74/99/84/806749984.db2.gz ZQZMMZCVBFLQLC-JTQLQIEISA-N 0 1 282.365 0.460 20 30 CCEDMN C=CCC1(C(=O)NC[C@@H](O)c2cnc[nH]2)CCOCC1 ZINC001123908826 799501199 /nfs/dbraw/zinc/50/11/99/799501199.db2.gz UFHDNCWUIMQUGV-GFCCVEGCSA-N 0 1 279.340 0.932 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc2cnccc21 ZINC001278195102 806757566 /nfs/dbraw/zinc/75/75/66/806757566.db2.gz PMZDPQSVHWVTDJ-ZDUSSCGKSA-N 0 1 283.331 0.548 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCOc1cccc(C)c1 ZINC001278196245 806758265 /nfs/dbraw/zinc/75/82/65/806758265.db2.gz XGPHCYDPJLRPIV-AWEZNQCLSA-N 0 1 290.363 0.464 20 30 CCEDMN CO[C@@H](C)C(=O)NCCNCc1cc(C#N)ccc1F ZINC001124271770 799586291 /nfs/dbraw/zinc/58/62/91/799586291.db2.gz AEVDXBRIHQMXCH-JTQLQIEISA-N 0 1 279.315 0.938 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1C[C@H]1C(=O)OC ZINC001124663598 799623870 /nfs/dbraw/zinc/62/38/70/799623870.db2.gz QSHMFZMLASYFKQ-RKDXNWHRSA-N 0 1 260.721 0.254 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)COc1cc(F)cc(F)c1 ZINC001278201318 806770610 /nfs/dbraw/zinc/77/06/10/806770610.db2.gz DWEBZCKAHJDDIW-LBPRGKRZSA-N 0 1 298.289 0.044 20 30 CCEDMN C#CCN1CC[NH+](Cc2ccc(OCC(=O)[O-])cc2)CC1 ZINC001138926930 799769343 /nfs/dbraw/zinc/76/93/43/799769343.db2.gz VQIFSHLBDUKEDF-UHFFFAOYSA-N 0 1 288.347 0.901 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)CNC(=O)c1cccnc1 ZINC001142107124 800069732 /nfs/dbraw/zinc/06/97/32/800069732.db2.gz YVDFELCNHFPEBU-UHFFFAOYSA-N 0 1 270.252 0.045 20 30 CCEDMN N=C(c1nonc1N)N(O)C(=O)C(=O)c1ccccc1 ZINC001142681927 800146265 /nfs/dbraw/zinc/14/62/65/800146265.db2.gz NFLKDYJYTADCEX-UHFFFAOYSA-N 0 1 275.224 0.078 20 30 CCEDMN CN(C)CC(=O)N[C@H]1CC[C@H](NC(=O)C2(C#N)CC2)CC1 ZINC001143188286 800204867 /nfs/dbraw/zinc/20/48/67/800204867.db2.gz FVXUTXGWFQKGSR-HAQNSBGRSA-N 0 1 292.383 0.395 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)CCC#N ZINC001143206272 800206591 /nfs/dbraw/zinc/20/65/91/800206591.db2.gz GGGYXVMYUNGSKB-UHFFFAOYSA-N 0 1 250.258 0.506 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](C)n2cccn2)C1 ZINC001149225810 800237889 /nfs/dbraw/zinc/23/78/89/800237889.db2.gz AIQHJEGPWSOYSB-KBPBESRZSA-N 0 1 292.383 0.837 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2cc(C)on2)C1 ZINC001149440572 800261592 /nfs/dbraw/zinc/26/15/92/800261592.db2.gz WUNGWPVLVFMCPR-CQSZACIVSA-N 0 1 293.367 0.919 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)Cc2cc(C)on2)C1 ZINC001149440572 800261587 /nfs/dbraw/zinc/26/15/87/800261587.db2.gz WUNGWPVLVFMCPR-CQSZACIVSA-N 0 1 293.367 0.919 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001149763598 800296785 /nfs/dbraw/zinc/29/67/85/800296785.db2.gz LBIVDOZJUVUCOB-OLZOCXBDSA-N 0 1 297.399 0.294 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1nnc2cc[nH]cc1-2 ZINC001152095286 800489005 /nfs/dbraw/zinc/48/90/05/800489005.db2.gz WZFAJJZLEKJTKN-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001153811391 800670124 /nfs/dbraw/zinc/67/01/24/800670124.db2.gz KQQVITNUCGOSFU-UHFFFAOYSA-N 0 1 266.349 0.302 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1nnc(CC)s1 ZINC001155707105 801041314 /nfs/dbraw/zinc/04/13/14/801041314.db2.gz BISYEZFZPRBKDE-UHFFFAOYSA-N 0 1 298.412 0.899 20 30 CCEDMN CC(=O)CC(C)=Nc1ccc(S(N)(=O)=O)nn1 ZINC001155893135 801072125 /nfs/dbraw/zinc/07/21/25/801072125.db2.gz WIRWBZANSQEHEX-WAYWQWQTSA-N 0 1 256.287 0.029 20 30 CCEDMN Cc1ccnc(CNCCCNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001156296446 801147941 /nfs/dbraw/zinc/14/79/41/801147941.db2.gz PGAUJDPJSBHTCN-UHFFFAOYSA-N 0 1 298.350 0.895 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)C1CCN(C(C)=O)CC1 ZINC001156814325 801239745 /nfs/dbraw/zinc/23/97/45/801239745.db2.gz POAGPWVYWXCCTH-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1nccc(C#N)c1F ZINC001156829503 801244078 /nfs/dbraw/zinc/24/40/78/801244078.db2.gz NVHTWUVFJRTJOW-UHFFFAOYSA-N 0 1 258.260 0.525 20 30 CCEDMN CN(C)C(=O)CN(C)C(=O)c1n[nH]c2cc(C#N)ccc21 ZINC001156884054 801252837 /nfs/dbraw/zinc/25/28/37/801252837.db2.gz VSLJIQQLZUTFFT-UHFFFAOYSA-N 0 1 285.307 0.595 20 30 CCEDMN C[C@@H]1C(=O)NCCN1C(=O)c1n[nH]c2cc(C#N)ccc21 ZINC001156883614 801252932 /nfs/dbraw/zinc/25/29/32/801252932.db2.gz PZGHPNGOXVOQOC-MRVPVSSYSA-N 0 1 283.291 0.395 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1cnn(CC)n1 ZINC001157190048 801321291 /nfs/dbraw/zinc/32/12/91/801321291.db2.gz YSVJAGLAYLVALC-AWEZNQCLSA-N 0 1 295.387 0.221 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)NC(C)=O ZINC001157493277 801399879 /nfs/dbraw/zinc/39/98/79/801399879.db2.gz CIFDULHJSTZHPW-UKRRQHHQSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cn1cccn1 ZINC001157534826 801408915 /nfs/dbraw/zinc/40/89/15/801408915.db2.gz PDOCBXATNCJZFR-CQSZACIVSA-N 0 1 274.368 0.829 20 30 CCEDMN C#CCOCCC(=O)NCCCN[C@@H](C)c1ncccn1 ZINC001157846517 801501173 /nfs/dbraw/zinc/50/11/73/801501173.db2.gz RZXQJEZWWCUXBT-ZDUSSCGKSA-N 0 1 290.367 0.673 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1cscn1 ZINC001157881285 801510431 /nfs/dbraw/zinc/51/04/31/801510431.db2.gz ZNHMWSZIZKPDIW-NSHDSACASA-N 0 1 281.381 0.777 20 30 CCEDMN N#Cc1cc(Cl)nc(C(F)(F)n2nnnc2CN)c1 ZINC001158077081 801565025 /nfs/dbraw/zinc/56/50/25/801565025.db2.gz MYARHFVWZDXERF-UHFFFAOYSA-N 0 1 285.645 0.649 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1CC2(C1)CCCO2 ZINC001158236214 801601386 /nfs/dbraw/zinc/60/13/86/801601386.db2.gz YTWNWCNPWJVTDX-AWEZNQCLSA-N 0 1 285.347 0.819 20 30 CCEDMN CN(C1CN(c2ncnc(Cl)c2C#N)C1)[C@H]1CCOC1 ZINC001158725201 801694507 /nfs/dbraw/zinc/69/45/07/801694507.db2.gz GDARYWNRGSGWPG-VIFPVBQESA-N 0 1 293.758 0.911 20 30 CCEDMN COc1ccnc(N2CC(N(C)[C@H]3CCOC3)C2)c1C#N ZINC001158731680 801695689 /nfs/dbraw/zinc/69/56/89/801695689.db2.gz UCIZSNRDRXQVKE-NSHDSACASA-N 0 1 288.351 0.871 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H](C)NC(C)=O)CC1 ZINC001159151266 801764828 /nfs/dbraw/zinc/76/48/28/801764828.db2.gz UQDZOVOFALBFLG-LBPRGKRZSA-N 0 1 277.368 0.283 20 30 CCEDMN COc1nc(-n2[n-]c(=O)c(CC[NH3+])c2C)c(F)cc1C#N ZINC001159299923 801786201 /nfs/dbraw/zinc/78/62/01/801786201.db2.gz GZQSTRUJYDTQGA-UHFFFAOYSA-N 0 1 291.286 0.802 20 30 CCEDMN CC[C@@H](C(N)=O)N1CC=C(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001159595127 801837524 /nfs/dbraw/zinc/83/75/24/801837524.db2.gz VNVQVYOJMCGZCH-AAEUAGOBSA-N 0 1 292.383 0.548 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@H]2[C@H](CCN2C(=O)C(C)C)C1 ZINC001159782453 801868584 /nfs/dbraw/zinc/86/85/84/801868584.db2.gz QXUSAQRYIWZHDC-WWGRRREGSA-N 0 1 291.395 0.442 20 30 CCEDMN C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)N1CCN(CCC#N)CC1 ZINC001160482696 801949122 /nfs/dbraw/zinc/94/91/22/801949122.db2.gz KGQRRZUPAAXFCA-CHWSQXEVSA-N 0 1 296.415 0.575 20 30 CCEDMN N#CCc1nccnc1NCc1nnc2n1CCCNC2 ZINC001163012165 802457113 /nfs/dbraw/zinc/45/71/13/802457113.db2.gz YUDXTNYVLXYBOU-UHFFFAOYSA-N 0 1 284.327 0.240 20 30 CCEDMN C#C[C@H](C)Nc1ncccc1C(=O)N1CCNCC1 ZINC001163160966 802498561 /nfs/dbraw/zinc/49/85/61/802498561.db2.gz LLTRHUVKOZSVOU-NSHDSACASA-N 0 1 258.325 0.561 20 30 CCEDMN CCOC(=O)c1cn(-c2cnc(C#N)c(C#N)n2)nc1CN ZINC001163522989 802567227 /nfs/dbraw/zinc/56/72/27/802567227.db2.gz WXWXZPUWKAABLQ-UHFFFAOYSA-N 0 1 297.278 0.041 20 30 CCEDMN C[C@H](C#N)C(=O)NCCC1(CNCc2nncn2C)CC1 ZINC001163854514 802625620 /nfs/dbraw/zinc/62/56/20/802625620.db2.gz OXWDHBUNSLIELC-LLVKDONJSA-N 0 1 290.371 0.351 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)c2ccnn2C)[C@H]1C ZINC001088575026 814934441 /nfs/dbraw/zinc/93/44/41/814934441.db2.gz COWXMKFHYIKILU-XQQFMLRXSA-N 0 1 274.368 0.736 20 30 CCEDMN CCOCCN1CC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001265277859 809742641 /nfs/dbraw/zinc/74/26/41/809742641.db2.gz VMRMYTUHLMVCOJ-GFCCVEGCSA-N 0 1 276.340 0.727 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCNCc1cn(C)nn1 ZINC001164221510 802690963 /nfs/dbraw/zinc/69/09/63/802690963.db2.gz BWCRNHHDGPKOHH-NEPJUHHUSA-N 0 1 279.388 0.869 20 30 CCEDMN Cc1cc(C#N)nc(NCCNC(=O)C(F)(F)F)n1 ZINC001164237674 802694099 /nfs/dbraw/zinc/69/40/99/802694099.db2.gz FVKJQAIBKHETRS-UHFFFAOYSA-N 0 1 273.218 0.747 20 30 CCEDMN Cc1cnc(C#N)c(NCCNC(=O)C(F)(F)F)n1 ZINC001164245096 802696679 /nfs/dbraw/zinc/69/66/79/802696679.db2.gz RFMAERSAPBKJPJ-UHFFFAOYSA-N 0 1 273.218 0.747 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1c[nH]c(C)n1 ZINC001279672748 809992466 /nfs/dbraw/zinc/99/24/66/809992466.db2.gz MBSGOAJSJGEWGZ-UHFFFAOYSA-N 0 1 286.763 0.495 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCCNCc1nnnn1C ZINC001164323269 802722913 /nfs/dbraw/zinc/72/29/13/802722913.db2.gz DXMPIVGHMZHLPS-LLVKDONJSA-N 0 1 280.376 0.408 20 30 CCEDMN COc1cc(C#N)nc(N2CC(N(C)[C@@H]3CCOC3)C2)c1 ZINC001165205847 802796594 /nfs/dbraw/zinc/79/65/94/802796594.db2.gz UQIHAFCXXVFZGI-GFCCVEGCSA-N 0 1 288.351 0.871 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1cc(C#N)cc(Cl)n1 ZINC001165436089 802840365 /nfs/dbraw/zinc/84/03/65/802840365.db2.gz HBAGMXOHWZTWJQ-SECBINFHSA-N 0 1 280.715 0.558 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)COCCOCC)C1 ZINC001276468833 803086186 /nfs/dbraw/zinc/08/61/86/803086186.db2.gz NIFVNLOHLUPTDG-ZDUSSCGKSA-N 0 1 282.384 0.499 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCC(CNCC#N)CC1 ZINC001205526189 803145375 /nfs/dbraw/zinc/14/53/75/803145375.db2.gz IRNABTDFEOSGSJ-CQSZACIVSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCn2cccn2)C1 ZINC001206023120 803198218 /nfs/dbraw/zinc/19/82/18/803198218.db2.gz XOUJGNGNLHOPFN-CHWSQXEVSA-N 0 1 260.341 0.343 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COC(C)C)C1 ZINC001206449966 803245323 /nfs/dbraw/zinc/24/53/23/803245323.db2.gz RXWQFZYTUVYVHS-CHWSQXEVSA-N 0 1 252.358 0.871 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CO[C@H]2CCOC2)C1 ZINC001206969192 803320703 /nfs/dbraw/zinc/32/07/03/803320703.db2.gz NBWFSVUBPLJEKV-HZSPNIEDSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CNC(=O)C2CCCC2)C1 ZINC001207044338 803341311 /nfs/dbraw/zinc/34/13/11/803341311.db2.gz JNIWVKBUVOQWKG-TZMCWYRMSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001207079532 803348962 /nfs/dbraw/zinc/34/89/62/803348962.db2.gz XVKXNPRPKFOJPN-JHJVBQTASA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COCc2cc(C)on2)C1 ZINC001207677395 803436124 /nfs/dbraw/zinc/43/61/24/803436124.db2.gz CVPYXBGHHMJQDG-BXUZGUMPSA-N 0 1 291.351 0.569 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2nccn2CC)[C@@H](O)C1 ZINC001083435024 814939224 /nfs/dbraw/zinc/93/92/24/814939224.db2.gz YIIWRRKLQZDHBO-NEPJUHHUSA-N 0 1 278.356 0.254 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001208151242 803495043 /nfs/dbraw/zinc/49/50/43/803495043.db2.gz YDDFSKCLPCSUAK-MRVWCRGKSA-N 0 1 290.367 0.177 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2C[C@@H]2C)C1 ZINC001208318088 803505295 /nfs/dbraw/zinc/50/52/95/803505295.db2.gz ITKIPWJILYIGKT-RFQIPJPRSA-N 0 1 264.369 0.729 20 30 CCEDMN Cc1nc(OC2CN(CCC#N)C2)c([N+](=O)[O-])c(=O)[nH]1 ZINC001229377375 814951590 /nfs/dbraw/zinc/95/15/90/814951590.db2.gz BJIWBCBLVVFKOY-UHFFFAOYSA-N 0 1 279.256 0.376 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001213319957 803690797 /nfs/dbraw/zinc/69/07/97/803690797.db2.gz HWGBMLGWVCVZQW-BFHYXJOUSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCn2cccc2)[C@H](OC)C1 ZINC001213620213 803698766 /nfs/dbraw/zinc/69/87/66/803698766.db2.gz KGMTZBIHTGHVBE-HUUCEWRRSA-N 0 1 289.379 0.717 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](NC(=O)Cn2cncn2)[C@H](OC)C1 ZINC001213821697 803704726 /nfs/dbraw/zinc/70/47/26/803704726.db2.gz YVYMQKBPKBCFNR-CHWSQXEVSA-N 0 1 293.371 0.060 20 30 CCEDMN C=CCOCCN1C[C@H]2OCCN(C(=O)C3CC3)[C@H]2C1 ZINC001217161983 803874024 /nfs/dbraw/zinc/87/40/24/803874024.db2.gz YOIPVIHLBJWJSK-UONOGXRCSA-N 0 1 280.368 0.511 20 30 CCEDMN C=CCC[N@@H+]1C[C@H]2OCCN(C(=O)CCOC)[C@H]2C1 ZINC001217185774 803877578 /nfs/dbraw/zinc/87/75/78/803877578.db2.gz URMVOKJPMXKYKN-QWHCGFSZSA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCCC(=O)N1CCO[C@@H]2CN([C@@H](C)COC)C[C@@H]21 ZINC001217510081 803891820 /nfs/dbraw/zinc/89/18/20/803891820.db2.gz BFAKDGFVIOPQMB-MELADBBJSA-N 0 1 282.384 0.899 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)C[C@@H](C)OC)[C@H]2C1 ZINC001217481270 803892416 /nfs/dbraw/zinc/89/24/16/803892416.db2.gz GEYUMDPWVUHLLZ-HZSPNIEDSA-N 0 1 282.384 0.899 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CCC=C)[C@H]2C1 ZINC001217504036 803893131 /nfs/dbraw/zinc/89/31/31/803893131.db2.gz RPUDBEARJLKUBY-UONOGXRCSA-N 0 1 262.353 0.888 20 30 CCEDMN C#CCCCC(=O)N1CCO[C@@H]2CN([C@H](C)COC)C[C@@H]21 ZINC001217762867 803912672 /nfs/dbraw/zinc/91/26/72/803912672.db2.gz FVGZRCNPDSANOK-QLFBSQMISA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCO[C@@H]2CN(CCC=C)C[C@@H]21 ZINC001217877051 803922818 /nfs/dbraw/zinc/92/28/18/803922818.db2.gz VELIJAZIZLZWBA-SOUVJXGZSA-N 0 1 292.379 0.512 20 30 CCEDMN Cn1cnc(NC(=O)[C@@H](N)Cc2ccccc2C#N)n1 ZINC001218505018 803971920 /nfs/dbraw/zinc/97/19/20/803971920.db2.gz XLCZDBRZEBKUBP-NSHDSACASA-N 0 1 270.296 0.195 20 30 CCEDMN CO[C@@H]1CN(CCOC(C)C)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212045623 814985144 /nfs/dbraw/zinc/98/51/44/814985144.db2.gz SEVSCZRMLPWLTA-YNEHKIRRSA-N 0 1 283.372 0.386 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@@H](COC)OC)[C@H]2C1 ZINC001218610394 804033920 /nfs/dbraw/zinc/03/39/20/804033920.db2.gz WDBZZRMVOQEKDT-BFHYXJOUSA-N 0 1 298.383 0.136 20 30 CCEDMN C[S@@](=O)CC[C@H](N)C(=O)Nc1ccsc1C#N ZINC001218623850 804040530 /nfs/dbraw/zinc/04/05/30/804040530.db2.gz CFEQSGJMKXOPKO-BWKAKNAASA-N 0 1 271.367 0.654 20 30 CCEDMN Cn1cc(NC(=O)[C@@H]2Cc3ccccc3CN2)c(C#N)n1 ZINC001218667174 804072273 /nfs/dbraw/zinc/07/22/73/804072273.db2.gz AJBMDWQGAFQKLT-LBPRGKRZSA-N 0 1 281.319 0.945 20 30 CCEDMN N=C(c1ccccc1N)N([O-])C(=O)[C@H]1CC[NH2+]C[C@H]1F ZINC001218967516 804167904 /nfs/dbraw/zinc/16/79/04/804167904.db2.gz CSVYGKVFQVRQMP-WCBMZHEXSA-N 0 1 280.303 0.760 20 30 CCEDMN C#C[C@](C)([NH3+])C(=O)Nc1ccccc1-c1nc[nH]n1 ZINC001218976236 804171161 /nfs/dbraw/zinc/17/11/61/804171161.db2.gz WUHNCGZFSWXAGI-ZDUSSCGKSA-N 0 1 255.281 0.761 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@@H](C)SC)[C@@H](O)C1 ZINC001219246144 804245268 /nfs/dbraw/zinc/24/52/68/804245268.db2.gz JTFTZPNSERIGNY-MXWKQRLJSA-N 0 1 258.387 0.475 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2CC(C)C2)[C@@H](O)C1 ZINC001219438081 804310518 /nfs/dbraw/zinc/31/05/18/804310518.db2.gz JMVCGTYWWAFRHZ-PQAZSJQKSA-N 0 1 282.384 0.396 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@@H](CC)SC)[C@@H](O)C1 ZINC001219473980 804325312 /nfs/dbraw/zinc/32/53/12/804325312.db2.gz IPHNNQRPVICHIL-GRYCIOLGSA-N 0 1 272.414 0.865 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C=C(/C)CC)[C@@H](O)C1 ZINC001219582485 804358398 /nfs/dbraw/zinc/35/83/98/804358398.db2.gz BXODOLSYLDPMIM-CUSVYIHLSA-N 0 1 282.384 0.707 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(CC2CC(F)(F)C2)C[C@@H]1O ZINC001219591900 804362796 /nfs/dbraw/zinc/36/27/96/804362796.db2.gz ZEMWRMBWZYYQSG-OLZOCXBDSA-N 0 1 298.333 0.606 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(C[C@@H]2CCCCO2)C[C@@H]1O ZINC001219594913 804364757 /nfs/dbraw/zinc/36/47/57/804364757.db2.gz ZRLQJGNCGGZJRO-ZNMIVQPWSA-N 0 1 292.379 0.130 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001219716057 804412385 /nfs/dbraw/zinc/41/23/85/804412385.db2.gz YRZGZTVVLGPPBT-NEPJUHHUSA-N 0 1 258.337 0.864 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COCC2CCCC2)[C@@H](O)C1 ZINC001219824796 804429469 /nfs/dbraw/zinc/42/94/69/804429469.db2.gz QVLMAURCVLIIIK-CABCVRRESA-N 0 1 294.395 0.378 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CN(CCCO)C[C@@H]1O ZINC001220201229 804535698 /nfs/dbraw/zinc/53/56/98/804535698.db2.gz JLOACIBACVXYCL-UPJWGTAASA-N 0 1 270.373 0.132 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1C[N@@H+](CCOCC)C[C@@H]1O ZINC001220239768 804544350 /nfs/dbraw/zinc/54/43/50/804544350.db2.gz SONOJAXWEQVDJU-OLZOCXBDSA-N 0 1 270.373 0.541 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001220239768 804544353 /nfs/dbraw/zinc/54/43/53/804544353.db2.gz SONOJAXWEQVDJU-OLZOCXBDSA-N 0 1 270.373 0.541 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@@H]2O)CC1 ZINC001220289854 804564308 /nfs/dbraw/zinc/56/43/08/804564308.db2.gz FKBKVBDXTVJCSB-XQQFMLRXSA-N 0 1 282.384 0.275 20 30 CCEDMN CC1(C)[C@H](O)C[C@H]1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001220766348 804663259 /nfs/dbraw/zinc/66/32/59/804663259.db2.gz QPHPBESVZWVYMF-BFHYXJOUSA-N 0 1 287.363 0.704 20 30 CCEDMN C=C1CC=C(F)C=C1NC(=O)[C@@H]1CCCN1C(=O)CN ZINC001220797399 804668645 /nfs/dbraw/zinc/66/86/45/804668645.db2.gz PGEZXWVLTJEEBT-LBPRGKRZSA-N 0 1 279.315 0.750 20 30 CCEDMN C=CC[N@H+]1CCC[C@H]1CNC(=O)CC[C@H]([NH3+])C(=O)OC ZINC001220868125 804683909 /nfs/dbraw/zinc/68/39/09/804683909.db2.gz BWFTVVBFCDRQMM-RYUDHWBXSA-N 0 1 283.372 0.034 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CC=C(C)C)C[C@@H]1O ZINC001221139959 804735679 /nfs/dbraw/zinc/73/56/79/804735679.db2.gz WDGCXUPTQZQHNM-KGLIPLIRSA-N 0 1 282.384 0.707 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C(=O)NCC3CC3)[C@@H]2C1 ZINC001221902095 804851664 /nfs/dbraw/zinc/85/16/64/804851664.db2.gz JCLRGJPOYHDQKB-ZIAGYGMSSA-N 0 1 291.395 0.621 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)COC[C@@H]3CCCO3)[C@@H]2C1 ZINC001222128930 804874302 /nfs/dbraw/zinc/87/43/02/804874302.db2.gz CDKZVZIWVLYPEH-QLFBSQMISA-N 0 1 294.395 0.901 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)CC2CC2)CC1 ZINC001222236548 804885324 /nfs/dbraw/zinc/88/53/24/804885324.db2.gz HYFSOCAJWWXANS-UHFFFAOYSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)COCC=C)C[C@@H]21 ZINC001222458972 804902135 /nfs/dbraw/zinc/90/21/35/804902135.db2.gz WNINCBYOAWTKGH-KGLIPLIRSA-N 0 1 262.353 0.745 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CCN(CC(=O)N2CCC2)CC1 ZINC001222636850 804921824 /nfs/dbraw/zinc/92/18/24/804921824.db2.gz RRGGAUTXHKRWNS-GFCCVEGCSA-N 0 1 292.383 0.207 20 30 CCEDMN CCOC(=O)c1noc(COC2=C(C)O[C@@H](C)C2=O)n1 ZINC001222959920 804941289 /nfs/dbraw/zinc/94/12/89/804941289.db2.gz HGBUYOAHCIBAPT-LURJTMIESA-N 0 1 282.252 0.982 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC1CCN([C@H](C)C(N)=O)CC1 ZINC001223027409 804947915 /nfs/dbraw/zinc/94/79/15/804947915.db2.gz MXYKSQXLSLRDOD-ABAIWWIYSA-N 0 1 297.399 0.016 20 30 CCEDMN C=CCOCC(COCC=C)OCc1nn[nH]n1 ZINC001223029785 804949554 /nfs/dbraw/zinc/94/95/54/804949554.db2.gz PRWGBQFZYQLENM-UHFFFAOYSA-N 0 1 254.290 0.490 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)COCc1nccn1C ZINC001276867678 804957274 /nfs/dbraw/zinc/95/72/74/804957274.db2.gz SFLQKFXEYIXVLS-ZDUSSCGKSA-N 0 1 290.367 0.151 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCNC2=O)[C@H]1C ZINC001088639123 815044863 /nfs/dbraw/zinc/04/48/63/815044863.db2.gz GCMCMGMVWDABCQ-UPJWGTAASA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCN(C)C2=O)[C@H]1C ZINC001088646882 815047447 /nfs/dbraw/zinc/04/74/47/815047447.db2.gz LNEJUGZKLYUCKE-RDBSUJKOSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)Cc1[nH]nc2ccccc21 ZINC001276981037 805056209 /nfs/dbraw/zinc/05/62/09/805056209.db2.gz YYSHXILVHVHZHV-LBPRGKRZSA-N 0 1 282.347 0.929 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001278381171 807054621 /nfs/dbraw/zinc/05/46/21/807054621.db2.gz HRQSNVUKOONSAX-RISCZKNCSA-N 0 1 264.325 0.153 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCC[C@H]1NCc1nncn1C ZINC001225395916 805125815 /nfs/dbraw/zinc/12/58/15/805125815.db2.gz UXRGOVZGFBAGGP-IJLUTSLNSA-N 0 1 290.371 0.492 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ccccn2)CC1 ZINC001225805441 805163970 /nfs/dbraw/zinc/16/39/70/805163970.db2.gz LWUSMJNDXBQKAB-UHFFFAOYSA-N 0 1 287.363 0.926 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cnccn2)CC1 ZINC001225832008 805167978 /nfs/dbraw/zinc/16/79/78/805167978.db2.gz YOAVKSVHGYZZCN-UHFFFAOYSA-N 0 1 288.351 0.321 20 30 CCEDMN C[N@H+]1[C@@H]2C[C@@H](Oc3[n-]c(=O)ncc3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225886380 805173101 /nfs/dbraw/zinc/17/31/01/805173101.db2.gz XBHAPTDJNBIMGF-TZYSGJHYSA-N 0 1 267.260 0.313 20 30 CCEDMN C[N@@H+]1[C@@H]2C[C@@H](Oc3[n-]c(=O)ncc3F)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225886380 805173106 /nfs/dbraw/zinc/17/31/06/805173106.db2.gz XBHAPTDJNBIMGF-TZYSGJHYSA-N 0 1 267.260 0.313 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(=O)COCC)CC1 ZINC001225937356 805180243 /nfs/dbraw/zinc/18/02/43/805180243.db2.gz LOKMSLXVSOIHIZ-GFCCVEGCSA-N 0 1 297.399 0.294 20 30 CCEDMN CC#CCN1CCC(NC(=O)CN(C)C(C)=O)CC1 ZINC001226162551 805199931 /nfs/dbraw/zinc/19/99/31/805199931.db2.gz XPKKOSFQFJHUIV-UHFFFAOYSA-N 0 1 265.357 0.069 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCc3cncn3C2)[C@H]1C ZINC001088679864 815056474 /nfs/dbraw/zinc/05/64/74/815056474.db2.gz ZMQAQZHKCQDYOW-NFAWXSAZSA-N 0 1 286.379 0.658 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(=O)[C@H](C)OC)CC1 ZINC001226308445 805216534 /nfs/dbraw/zinc/21/65/34/805216534.db2.gz DNEHVCSQYPELOS-RYUDHWBXSA-N 0 1 297.399 0.293 20 30 CCEDMN C=CCCN1CCC(NC(=O)CS(C)(=O)=O)CC1 ZINC001226351776 805223101 /nfs/dbraw/zinc/22/31/01/805223101.db2.gz IBTAWQNZQMEWGQ-UHFFFAOYSA-N 0 1 274.386 0.188 20 30 CCEDMN CCCC[C@H](C(N)=O)N1CCC(NC(=O)[C@H](C)C#N)CC1 ZINC001226641174 805260562 /nfs/dbraw/zinc/26/05/62/805260562.db2.gz WPTNKNHJEDBXLV-DGCLKSJQSA-N 0 1 294.399 0.771 20 30 CCEDMN COCC#CCN1CCC(NC(=O)Cc2cc[nH]n2)CC1 ZINC001226708350 805269977 /nfs/dbraw/zinc/26/99/77/805269977.db2.gz NNZZVSLADWPSRW-UHFFFAOYSA-N 0 1 290.367 0.183 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCCOC(F)F ZINC001227129037 805324571 /nfs/dbraw/zinc/32/45/71/805324571.db2.gz PTSSMQTVERXLHA-UHFFFAOYSA-N 0 1 263.288 0.480 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(S(N)(=O)=O)cc1 ZINC001227200185 805332059 /nfs/dbraw/zinc/33/20/59/805332059.db2.gz UWTYXKWYHRAGJQ-ZETCQYMHSA-N 0 1 283.305 0.932 20 30 CCEDMN CC#CC[NH2+][C@@H]1CCCC[C@H]1NC(=O)c1[n-]nnc1C ZINC001227893006 805400105 /nfs/dbraw/zinc/40/01/05/805400105.db2.gz CTKAGOSGYGYBID-VXGBXAGGSA-N 0 1 275.356 0.767 20 30 CCEDMN C#CC(C#C)Oc1nc(C2CC2)[nH]c(=O)c1[N+](=O)[O-] ZINC001228610162 805460070 /nfs/dbraw/zinc/46/00/70/805460070.db2.gz QAZCOQOHFDGBNK-UHFFFAOYSA-N 0 1 259.221 0.982 20 30 CCEDMN C[C@@H](C[NH+]1CCOCC1)Oc1[n-]c(=O)ccc1C#N ZINC001228898885 805487631 /nfs/dbraw/zinc/48/76/31/805487631.db2.gz OKVJGSJSODVEGJ-JTQLQIEISA-N 0 1 263.297 0.758 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1nc(C)nc2[nH]cnc21 ZINC001229438311 805534628 /nfs/dbraw/zinc/53/46/28/805534628.db2.gz HRQRPPWTWYAKIX-SECBINFHSA-N 0 1 274.280 0.995 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](C)OCCOC)CC1 ZINC001229444557 805536268 /nfs/dbraw/zinc/53/62/68/805536268.db2.gz JZBHNLMQADMFJQ-CYBMUJFWSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCCCC(=O)N1CCC(N(C)CC(N)=O)CC1 ZINC001277391790 805537903 /nfs/dbraw/zinc/53/79/03/805537903.db2.gz OWVLYIADBSAWGJ-UHFFFAOYSA-N 0 1 265.357 0.198 20 30 CCEDMN N#CCC1CN(C(=O)[C@@]23C[C@@H]2CN(Cc2c[nH]cn2)C3)C1 ZINC001278350989 807010368 /nfs/dbraw/zinc/01/03/68/807010368.db2.gz MOINXYICMBDCGZ-IUODEOHRSA-N 0 1 285.351 0.604 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](NCc2cnnn2C)C[C@@H]1C ZINC001278371193 807042807 /nfs/dbraw/zinc/04/28/07/807042807.db2.gz OALYYMQEWYBERZ-STQMWFEESA-N 0 1 289.383 0.554 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H](C)NC(=O)C(C)(C)C)C1 ZINC001278414145 807093604 /nfs/dbraw/zinc/09/36/04/807093604.db2.gz QNEZIWQVECUXGV-BLLLJJGKSA-N 0 1 293.411 0.751 20 30 CCEDMN C[C@@]1(NC(=O)C(N)=O)CCN(Cc2ccc(C#N)cc2)C1 ZINC001278419795 807099325 /nfs/dbraw/zinc/09/93/25/807099325.db2.gz GOCKPRHPUACGGG-OAHLLOKOSA-N 0 1 286.335 0.124 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](NCC2(C#N)CCC2)CN1 ZINC001246094562 807150780 /nfs/dbraw/zinc/15/07/80/807150780.db2.gz ZOMLZAYEOXUMRP-QWRGUYRKSA-N 0 1 251.330 0.563 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H](C)Cc2cnn(C)c2)C1 ZINC001278434361 807176916 /nfs/dbraw/zinc/17/69/16/807176916.db2.gz QBGDFAQWRKSYLU-BBRMVZONSA-N 0 1 288.395 0.813 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](NCc2cc(C#N)co2)CN1 ZINC001246485406 807261693 /nfs/dbraw/zinc/26/16/93/807261693.db2.gz UIJWXWQZPGIXEM-JQWIXIFHSA-N 0 1 263.297 0.534 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](N2CCC[C@H](CC#N)C2)CN1 ZINC001246799871 807387705 /nfs/dbraw/zinc/38/77/05/807387705.db2.gz UUBKVCVYKYISEZ-AGIUHOORSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CCCCNC(=S)NCCN1CCC(O)CC1 ZINC001247771036 807489710 /nfs/dbraw/zinc/48/97/10/807489710.db2.gz DSTUEQRRTTYEDR-UHFFFAOYSA-N 0 1 271.430 0.873 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCc2cncn2C)[C@H]1C ZINC001278526812 807565367 /nfs/dbraw/zinc/56/53/67/807565367.db2.gz MYJOJDJAJGQNQA-UKRRQHHQSA-N 0 1 288.395 0.955 20 30 CCEDMN C=CCN1CCC[C@@H](NC[C@H](O)C(F)(F)F)C1=O ZINC001250993328 807631338 /nfs/dbraw/zinc/63/13/38/807631338.db2.gz SFDUCJIQSOLDRW-BDAKNGLRSA-N 0 1 266.263 0.676 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@H]2[C@@H](C1)C2(F)F ZINC001251708124 807696782 /nfs/dbraw/zinc/69/67/82/807696782.db2.gz KWLQICLQEMAAON-WDEREUQCSA-N 0 1 271.311 0.848 20 30 CCEDMN Cc1ccccc1OC[C@@H](O)CN[C@@H]1C(=O)N(O)C[C@@H]1C ZINC001251739880 807699668 /nfs/dbraw/zinc/69/96/68/807699668.db2.gz OGVMMFCYUWQEQM-OBJOEFQTSA-N 0 1 294.351 0.560 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCc2c(O)cccc2C1 ZINC001251832524 807713747 /nfs/dbraw/zinc/71/37/47/807713747.db2.gz LSYUIYXJDFKRDS-ZDUSSCGKSA-N 0 1 261.321 0.761 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(OC)ccn1 ZINC001251885011 807733609 /nfs/dbraw/zinc/73/36/09/807733609.db2.gz LUIHDWBQANWERJ-LBPRGKRZSA-N 0 1 250.298 0.191 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ccncc1Cl ZINC001251893311 807737878 /nfs/dbraw/zinc/73/78/78/807737878.db2.gz AUFKRHVMBSGRBA-LLVKDONJSA-N 0 1 254.717 0.835 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(F)cnc1Cl ZINC001251896129 807740009 /nfs/dbraw/zinc/74/00/09/807740009.db2.gz BBLZDXSLAAPHJB-NSHDSACASA-N 0 1 272.707 0.974 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cc(CC)nn2C)[C@H]1C ZINC001088761686 815143556 /nfs/dbraw/zinc/14/35/56/815143556.db2.gz XQGGZSALFSVJKR-DOMZBBRYSA-N 0 1 288.395 0.737 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCN2CC(F)(F)C[C@H]2C1 ZINC001252470335 807881874 /nfs/dbraw/zinc/88/18/74/807881874.db2.gz JXSXDXQMQWSRCA-RYUDHWBXSA-N 0 1 276.327 0.575 20 30 CCEDMN CC1(C)CN(C(=O)C(N)=O)C[C@H]1NCC#Cc1ccccc1 ZINC001278668280 807886170 /nfs/dbraw/zinc/88/61/70/807886170.db2.gz XGBPXENZHKJGHF-CQSZACIVSA-N 0 1 299.374 0.350 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@H](CO)c1ccccn1 ZINC001252491678 807892714 /nfs/dbraw/zinc/89/27/14/807892714.db2.gz IAVJYWISGBEAFZ-WCQYABFASA-N 0 1 252.314 0.268 20 30 CCEDMN C=CCOC[C@H](O)CNCC(=O)Cc1ccccc1 ZINC001252492757 807893986 /nfs/dbraw/zinc/89/39/86/807893986.db2.gz JQYZYLXBILJWSD-OAHLLOKOSA-N 0 1 263.337 0.951 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ccnc(OCC2CC2)n1 ZINC001252496611 807894549 /nfs/dbraw/zinc/89/45/49/807894549.db2.gz AULGWDOKUFOLDZ-CQSZACIVSA-N 0 1 293.367 0.919 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@@H](C(N)=O)c1ccccc1 ZINC001252496817 807894963 /nfs/dbraw/zinc/89/49/63/807894963.db2.gz FJUNWEXTQBSZHC-QWHCGFSZSA-N 0 1 264.325 0.366 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@]2(C)CC=CCC2)[C@@H](O)C1 ZINC001083488571 815157833 /nfs/dbraw/zinc/15/78/33/815157833.db2.gz OCPNZOGIXROTJI-YCPHGPKFSA-N 0 1 276.380 0.917 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(c2cc(OC)ncn2)CC1 ZINC001252556906 807909583 /nfs/dbraw/zinc/90/95/83/807909583.db2.gz GRGOZJWXBKRFME-AWEZNQCLSA-N 0 1 278.356 0.544 20 30 CCEDMN C=C[C@@](C)(O)CN1CCC(C#N)(C(=O)OC)CC1 ZINC001252556276 807909623 /nfs/dbraw/zinc/90/96/23/807909623.db2.gz FXZOKTFAULNNLA-GFCCVEGCSA-N 0 1 252.314 0.702 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(CC(=O)N2CCCC2)CC1 ZINC001252554513 807909800 /nfs/dbraw/zinc/90/98/00/807909800.db2.gz IAMCFZLXGNUSML-OAHLLOKOSA-N 0 1 281.400 0.163 20 30 CCEDMN CN1CCC(C#N)(NCC2(O)CCOCC2)CC1 ZINC001252976570 807998545 /nfs/dbraw/zinc/99/85/45/807998545.db2.gz FECKYPYZAGJWNS-UHFFFAOYSA-N 0 1 253.346 0.105 20 30 CCEDMN N#CC1(CNC[C@H](O)COc2ccc(CCO)cc2)CC1 ZINC001253170165 808015997 /nfs/dbraw/zinc/01/59/97/808015997.db2.gz YXPCUUPVMHDWRH-AWEZNQCLSA-N 0 1 290.363 0.854 20 30 CCEDMN C=C[C@H](O)CN1C[C@@H]2[C@H](C1)OCCN2c1ccc(C)nn1 ZINC001253577425 808085007 /nfs/dbraw/zinc/08/50/07/808085007.db2.gz NALZHKMDKJIYSK-MJBXVCDLSA-N 0 1 290.367 0.221 20 30 CCEDMN C=C[C@@H](O)CN1CC2(C1)CCOC[C@@H]2C(=O)OC ZINC001253580921 808087971 /nfs/dbraw/zinc/08/79/71/808087971.db2.gz AVGQUFFJTKZHJI-GHMZBOCLSA-N 0 1 255.314 0.045 20 30 CCEDMN C=C[C@@H](O)CN1CCN(c2cc(OC)ccn2)CC1 ZINC001253586144 808092491 /nfs/dbraw/zinc/09/24/91/808092491.db2.gz HHGBCTACQVEATG-GFCCVEGCSA-N 0 1 263.341 0.759 20 30 CCEDMN CCOC(=O)C[C@H](O)CN1CCC[C@H](C#N)CC1 ZINC001253796773 808144638 /nfs/dbraw/zinc/14/46/38/808144638.db2.gz QFGNLMWTUZLBKI-RYUDHWBXSA-N 0 1 254.330 0.926 20 30 CCEDMN Cc1ccc(OC[C@H](O)CN[C@@H]2C(=O)N(O)C[C@@H]2C)cc1 ZINC001253846094 808157136 /nfs/dbraw/zinc/15/71/36/808157136.db2.gz YHADYYZIHGVUSW-SCRDCRAPSA-N 0 1 294.351 0.560 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cc[nH]c2C)C1 ZINC001278771057 808199524 /nfs/dbraw/zinc/19/95/24/808199524.db2.gz IVLGQJSYXGDJSI-CQSZACIVSA-N 0 1 261.325 0.123 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2ccn(C)c2)C1 ZINC001278771434 808199858 /nfs/dbraw/zinc/19/98/58/808199858.db2.gz OIBSPLOKYSOWQF-HNNXBMFYSA-N 0 1 275.352 0.215 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H]2CC23CCCC3)C1 ZINC001278815611 808281102 /nfs/dbraw/zinc/28/11/02/808281102.db2.gz HFGUBAQQKLXDFP-XJKSGUPXSA-N 0 1 276.380 0.753 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)CCOCC ZINC001278852256 808334242 /nfs/dbraw/zinc/33/42/42/808334242.db2.gz ZIXPCARWLONTCX-CYBMUJFWSA-N 0 1 270.373 0.187 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@H]1CCOC1 ZINC001278873723 808411020 /nfs/dbraw/zinc/41/10/20/808411020.db2.gz NJTIEVRHXUEGQA-ZIAGYGMSSA-N 0 1 282.384 0.187 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)[C@H](CO)CC(C)(C)C ZINC001256585895 808537414 /nfs/dbraw/zinc/53/74/14/808537414.db2.gz XKPXZSQDDBRJNI-ZDUSSCGKSA-N 0 1 283.416 0.990 20 30 CCEDMN C[C@@H](O)[C@H](NC(=O)NCC#CCN(C)C)c1ccccc1 ZINC001256584485 808537845 /nfs/dbraw/zinc/53/78/45/808537845.db2.gz DQKWHWJZGZAIGD-HIFRSBDPSA-N 0 1 289.379 0.973 20 30 CCEDMN CC[C@@H]1COCCN1C(=O)NCC#CCN(C)C ZINC001256584421 808538143 /nfs/dbraw/zinc/53/81/43/808538143.db2.gz DFGABMYPIGHKLQ-GFCCVEGCSA-N 0 1 253.346 0.372 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@@H](O)C1 ZINC001083532895 815228453 /nfs/dbraw/zinc/22/84/53/815228453.db2.gz NUACOPMBWLDGPV-ZJUUUORDSA-N 0 1 298.774 0.586 20 30 CCEDMN N#CCNC[C@H]1CCC[C@@H]1NC(=O)CCc1nc[nH]n1 ZINC001272252055 815232387 /nfs/dbraw/zinc/23/23/87/815232387.db2.gz IHZWNSWZGJXADD-MNOVXSKESA-N 0 1 276.344 0.135 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCc3cncnc3)[C@@H]2C1 ZINC001076042807 815235231 /nfs/dbraw/zinc/23/52/31/815235231.db2.gz VBQHFRKVDLQQBB-JKSUJKDBSA-N 0 1 298.390 0.965 20 30 CCEDMN N#Cc1ncc(Br)cc1NS(N)(=O)=O ZINC001260074193 808840704 /nfs/dbraw/zinc/84/07/04/808840704.db2.gz CVUWDCVGIHKEGG-UHFFFAOYSA-N 0 1 277.103 0.331 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@H]3CCC(=O)N3C)[C@@H]2C1 ZINC001076122119 815247157 /nfs/dbraw/zinc/24/71/57/815247157.db2.gz LPIYCZZRTJZWJK-BFHYXJOUSA-N 0 1 289.379 0.163 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@@]3(F)CCOC3)[C@@H]2C1 ZINC001076171693 815255509 /nfs/dbraw/zinc/25/55/09/815255509.db2.gz MXJVDWJKOVPVSN-OUCADQQQSA-N 0 1 268.332 0.834 20 30 CCEDMN C=CCO[C@H]1CCN(S(=O)(=O)c2ncc[nH]2)C1 ZINC001261854861 809112109 /nfs/dbraw/zinc/11/21/09/809112109.db2.gz YARRLUSVQVXRDL-VIFPVBQESA-N 0 1 257.315 0.375 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@@H]1C)C(C)C ZINC001262281717 809266104 /nfs/dbraw/zinc/26/61/04/809266104.db2.gz ADYIEDXRGZHENY-JSGCOSHPSA-N 0 1 291.395 0.456 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)NCc2n[nH]c(C)n2)C1 ZINC001262378046 809296542 /nfs/dbraw/zinc/29/65/42/809296542.db2.gz PRNPWBWUJMFPBO-SNVBAGLBSA-N 0 1 265.317 0.600 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N1CCNC[C@H]1CCOC ZINC001262542968 809332717 /nfs/dbraw/zinc/33/27/17/809332717.db2.gz NITCKWHLJCSBFY-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN COC1CCN(CCNc2nnccc2C#N)CC1 ZINC001262685856 809395105 /nfs/dbraw/zinc/39/51/05/809395105.db2.gz QCPIYABOZSOQFI-UHFFFAOYSA-N 0 1 261.329 0.871 20 30 CCEDMN C#CCNCC(=O)N1CCC(c2nc(C)no2)CC1 ZINC001263077076 809434805 /nfs/dbraw/zinc/43/48/05/809434805.db2.gz SSLJRWCOOOYBJA-UHFFFAOYSA-N 0 1 262.313 0.307 20 30 CCEDMN C#CCNCC(=O)NCC(=O)OC1CCCCC1 ZINC001263089341 809438438 /nfs/dbraw/zinc/43/84/38/809438438.db2.gz GPSRYHXXYKWOAS-UHFFFAOYSA-N 0 1 252.314 0.201 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H]1c1cn(C)nc1C ZINC001263103198 809444373 /nfs/dbraw/zinc/44/43/73/809444373.db2.gz RWRDJPJGBYIOSS-CYBMUJFWSA-N 0 1 260.341 0.615 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)c2ccnc(CN)c2)CCO1 ZINC001263241671 809492223 /nfs/dbraw/zinc/49/22/23/809492223.db2.gz QNPXVUSUPSRKDT-ZDUSSCGKSA-N 0 1 260.297 0.295 20 30 CCEDMN N#CC(C(=O)c1ccc(C(N)=O)nc1)c1ccncn1 ZINC001263380407 809514948 /nfs/dbraw/zinc/51/49/48/809514948.db2.gz PTNQHZUASDGVLL-SECBINFHSA-N 0 1 267.248 0.461 20 30 CCEDMN C=C[C@@H](C)NC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001263830602 809582140 /nfs/dbraw/zinc/58/21/40/809582140.db2.gz QHBLWOROFRRBAM-VXGBXAGGSA-N 0 1 254.378 0.496 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CC)N2CCCC2=O)[C@H]1C ZINC001264174068 809635831 /nfs/dbraw/zinc/63/58/31/809635831.db2.gz QJYXGMGSRCLTEP-HZSPNIEDSA-N 0 1 291.395 0.600 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cn1cncn1 ZINC001264378740 809647412 /nfs/dbraw/zinc/64/74/12/809647412.db2.gz QDILHEFZFNHNRK-LBPRGKRZSA-N 0 1 263.345 0.387 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C2CC(C)C2)C1 ZINC001264629667 809667255 /nfs/dbraw/zinc/66/72/55/809667255.db2.gz BFXIGJKKQYTCMR-YMAMQOFZSA-N 0 1 278.396 0.951 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)NC(=O)CC)[C@H]1C ZINC001264703374 809673032 /nfs/dbraw/zinc/67/30/32/809673032.db2.gz YTAXDEIHCDDVPY-UPJWGTAASA-N 0 1 279.384 0.503 20 30 CCEDMN CN(CCCNC(=O)C1(C)CC=CC1)CC(=O)NCC#N ZINC001265032413 809696872 /nfs/dbraw/zinc/69/68/72/809696872.db2.gz UZAPUHPTZFUVJS-UHFFFAOYSA-N 0 1 292.383 0.421 20 30 CCEDMN C[C@@H](NCC#N)[C@@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001265091256 809706317 /nfs/dbraw/zinc/70/63/17/809706317.db2.gz DFFJFIHCJPGJQL-MNOVXSKESA-N 0 1 276.344 0.230 20 30 CCEDMN C#CCNC(=O)CN(CC)CCCNC(=O)/C(C)=C/C ZINC001265107324 809709772 /nfs/dbraw/zinc/70/97/72/809709772.db2.gz HLNKSVYRJHCVAY-AWNIVKPZSA-N 0 1 279.384 0.530 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H]2CCO[C@H]2C)CC1 ZINC001265264841 809734930 /nfs/dbraw/zinc/73/49/30/809734930.db2.gz FZSMZJLCXBNABE-KBPBESRZSA-N 0 1 281.400 0.331 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)CCCCc2cn[nH]n2)C1 ZINC001265294924 809749088 /nfs/dbraw/zinc/74/90/88/809749088.db2.gz MHPCXEOBLJWCEM-GFCCVEGCSA-N 0 1 276.344 0.232 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)CCCn1cncn1 ZINC001265655258 809820620 /nfs/dbraw/zinc/82/06/20/809820620.db2.gz DISXDRYXAIDILE-AWEZNQCLSA-N 0 1 289.383 0.662 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN(C)[C@@H](C)C(=O)N(C)C ZINC001265815292 809861164 /nfs/dbraw/zinc/86/11/64/809861164.db2.gz VCCYCACJUQYALY-QWRGUYRKSA-N 0 1 268.361 0.061 20 30 CCEDMN C[C@@H](CNC(=O)C(N)=O)NCC#Cc1ccccc1Cl ZINC001265818404 809862964 /nfs/dbraw/zinc/86/29/64/809862964.db2.gz KJBZECWSEYKEGQ-JTQLQIEISA-N 0 1 293.754 0.271 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)c3cnn(C)c3)[C@@H]2C1 ZINC001076657381 815332130 /nfs/dbraw/zinc/33/21/30/815332130.db2.gz AXXGEGGUWPKEFS-KCQAQPDRSA-N 0 1 286.379 0.690 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CC2CCOCC2)C1 ZINC001076820217 815386757 /nfs/dbraw/zinc/38/67/57/815386757.db2.gz SEMFLGSDEKSNFE-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2CC(F)(F)C2)C1 ZINC001076858091 815393773 /nfs/dbraw/zinc/39/37/73/815393773.db2.gz GVFTUYODGJXHQP-GHMZBOCLSA-N 0 1 274.311 0.769 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)n2cccc2)C1 ZINC001076894481 815406694 /nfs/dbraw/zinc/40/66/94/815406694.db2.gz GQFOKRFEOOUFGX-MGPQQGTHSA-N 0 1 275.352 0.234 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2cocn2)C1 ZINC001267289369 811102664 /nfs/dbraw/zinc/10/26/64/811102664.db2.gz ZMPSBFDPSNGFAJ-GFCCVEGCSA-N 0 1 277.324 0.376 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc[nH]c2CC)C1 ZINC001076957357 815423134 /nfs/dbraw/zinc/42/31/34/815423134.db2.gz WIAVLAPKBSDNJN-ZIAGYGMSSA-N 0 1 275.352 0.375 20 30 CCEDMN C#CCN(CCC)CCNC(=O)c1cn(C)c(C)cc1=O ZINC001267315669 811142310 /nfs/dbraw/zinc/14/23/10/811142310.db2.gz RAJGTSNYRBZVPS-UHFFFAOYSA-N 0 1 289.379 0.769 20 30 CCEDMN C=CCOc1ccc(-n2c(C)ncc(CN)c2=N)nc1C#N ZINC001167673028 811151100 /nfs/dbraw/zinc/15/11/00/811151100.db2.gz GOKCJMMKRPLRTA-UHFFFAOYSA-N 0 1 296.334 0.950 20 30 CCEDMN CN(CCNCc1ccccc1C#N)C(=O)Cn1cncn1 ZINC001267465213 811341901 /nfs/dbraw/zinc/34/19/01/811341901.db2.gz FJRDQSVYKQBBCN-UHFFFAOYSA-N 0 1 298.350 0.398 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001267489099 811370224 /nfs/dbraw/zinc/37/02/24/811370224.db2.gz UJCCOEQXFYVBFJ-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN CC1(C)[C@H](NC(=O)c2cnn[nH]2)[C@H]2CCCN(CC#N)[C@H]21 ZINC001087294667 811379788 /nfs/dbraw/zinc/37/97/88/811379788.db2.gz WSYRRMUIQNFMGW-YUSALJHKSA-N 0 1 288.355 0.547 20 30 CCEDMN C#CCN[C@@H](CNC(=O)C(C)(C)C(N)=O)c1ccccc1 ZINC001267518989 811396448 /nfs/dbraw/zinc/39/64/48/811396448.db2.gz BDNSYNLOZASYLN-ZDUSSCGKSA-N 0 1 287.363 0.578 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@@H](C)n1cncn1)c1ccccc1 ZINC001267521358 811399938 /nfs/dbraw/zinc/39/99/38/811399938.db2.gz SNHTVPOQMMOGER-UKRRQHHQSA-N 0 1 297.362 0.919 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001267529914 811410723 /nfs/dbraw/zinc/41/07/23/811410723.db2.gz JFMJEBWBASQFCW-MJBXVCDLSA-N 0 1 296.390 0.865 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2COC(=O)N2)C1 ZINC001267539138 811419129 /nfs/dbraw/zinc/41/91/29/811419129.db2.gz DXGLLKFEKBPZFJ-RYUDHWBXSA-N 0 1 281.356 0.594 20 30 CCEDMN C#CCN1CC[C@H](N(CCC)C(=O)CCOC)C1 ZINC001267540649 811421758 /nfs/dbraw/zinc/42/17/58/811421758.db2.gz WPHOFNNWVPJUSL-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN Cc1nc(N2CC[C@H](NC(=O)c3cnn[nH]3)C2)ccc1C#N ZINC001058599764 811422471 /nfs/dbraw/zinc/42/24/71/811422471.db2.gz ZSCDDWPCMHBIIX-NSHDSACASA-N 0 1 297.322 0.389 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnn2c1CCC2 ZINC001038317223 811422659 /nfs/dbraw/zinc/42/26/59/811422659.db2.gz AUWACAPPVLQADS-GFCCVEGCSA-N 0 1 272.352 0.657 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001077112547 815447801 /nfs/dbraw/zinc/44/78/01/815447801.db2.gz ZORSMZLWEUTIAE-ZIAGYGMSSA-N 0 1 292.383 0.008 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)CCCOC)C1 ZINC001149272772 811600781 /nfs/dbraw/zinc/60/07/81/811600781.db2.gz NYKZVKFHFYCYLW-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN CCN(CCNC(=O)c1cnn[nH]1)c1ncccc1C#N ZINC001100762823 811631218 /nfs/dbraw/zinc/63/12/18/811631218.db2.gz RCYWJIAPXUPSID-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H]2C[C@H]1CN2CCC[C@@H](C)O ZINC001267706606 811670030 /nfs/dbraw/zinc/67/00/30/811670030.db2.gz FBDSABIJBYLJGA-RDBSUJKOSA-N 0 1 282.384 0.635 20 30 CCEDMN C=CCn1cccc1C(=O)NC[C@@H]1CCN1C[C@@H](C)O ZINC001038346405 811673344 /nfs/dbraw/zinc/67/33/44/811673344.db2.gz WTLSYOJSAYHSOU-OLZOCXBDSA-N 0 1 277.368 0.859 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001038364736 811767881 /nfs/dbraw/zinc/76/78/81/811767881.db2.gz YPEFQGLCZUYSHA-XQQFMLRXSA-N 0 1 268.357 0.557 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)CCOC)C1 ZINC001267779715 811781169 /nfs/dbraw/zinc/78/11/69/811781169.db2.gz GVWRTYMMDSRSOF-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)C[C@H]2CCNC2=O)C1 ZINC001267785539 811785427 /nfs/dbraw/zinc/78/54/27/811785427.db2.gz FZZRVDUUXNPFDE-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)C[C@H]2CCNC2=O)C1 ZINC001267785539 811785431 /nfs/dbraw/zinc/78/54/31/811785431.db2.gz FZZRVDUUXNPFDE-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H](C)CCNC(=O)c1cnn[nH]1 ZINC001077298499 815475579 /nfs/dbraw/zinc/47/55/79/815475579.db2.gz HREXAWKFAVYORZ-VHSXEESVSA-N 0 1 295.343 0.020 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@](C)(CNCC#N)C1CC1 ZINC001267859683 811840276 /nfs/dbraw/zinc/84/02/76/811840276.db2.gz OLGDZEDUPSQBFN-DZGCQCFKSA-N 0 1 278.400 0.869 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)[C@H](F)CC)C2)nn1 ZINC001105300937 811898554 /nfs/dbraw/zinc/89/85/54/811898554.db2.gz FLERPDLRBDAUJU-CYBMUJFWSA-N 0 1 293.346 0.522 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)cn2C)C1 ZINC001077349089 815485061 /nfs/dbraw/zinc/48/50/61/815485061.db2.gz ROHDZFPCLCUAJU-DGCLKSJQSA-N 0 1 295.770 0.477 20 30 CCEDMN C[C@@H](CNCC#N)CNC(=O)c1ccn(-c2ccncc2)n1 ZINC001267991362 811929776 /nfs/dbraw/zinc/92/97/76/811929776.db2.gz AHXZQMOYZJRBBM-LBPRGKRZSA-N 0 1 298.350 0.746 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001077372434 815487300 /nfs/dbraw/zinc/48/73/00/815487300.db2.gz HNTUQQFSNPLQPS-MGPQQGTHSA-N 0 1 294.395 0.376 20 30 CCEDMN CCc1nocc1CNCCNC(=O)c1c[nH]c(C#N)c1 ZINC001125988415 811968883 /nfs/dbraw/zinc/96/88/83/811968883.db2.gz VGHCPGUPTBAATM-UHFFFAOYSA-N 0 1 287.323 0.956 20 30 CCEDMN CN(CCN(C)c1cncc(C#N)n1)C(=O)c1ccn[nH]1 ZINC001105338929 812005004 /nfs/dbraw/zinc/00/50/04/812005004.db2.gz IAKWTCPSVZKCJA-UHFFFAOYSA-N 0 1 285.311 0.280 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C)c(C)n2)C1 ZINC001077391684 815490704 /nfs/dbraw/zinc/49/07/04/815490704.db2.gz SNTIHDBVIGLPBH-HUUCEWRRSA-N 0 1 287.363 0.497 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cc3ccon3)[C@@H]2C1 ZINC001075634646 812059553 /nfs/dbraw/zinc/05/95/53/812059553.db2.gz YGQPYMHQISYPQF-GXTWGEPZSA-N 0 1 273.336 0.773 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnc(N(C)C)cn1 ZINC001027881502 812113916 /nfs/dbraw/zinc/11/39/16/812113916.db2.gz QVXYPAFTFWPBSZ-LBPRGKRZSA-N 0 1 289.383 0.923 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001027917108 812139739 /nfs/dbraw/zinc/13/97/39/812139739.db2.gz APJWKEOJRNTYRD-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001027917108 812139747 /nfs/dbraw/zinc/13/97/47/812139747.db2.gz APJWKEOJRNTYRD-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H](C)CNCc1nccn1C ZINC001268056076 812151190 /nfs/dbraw/zinc/15/11/90/812151190.db2.gz ZRYRTHCXSHUYGT-GHMZBOCLSA-N 0 1 263.345 0.516 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnc2n1CCC2 ZINC001027940677 812153899 /nfs/dbraw/zinc/15/38/99/812153899.db2.gz QOLKWHRYNCZWMA-GFCCVEGCSA-N 0 1 272.352 0.657 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)[C@H](C)CNCc1cnnn1C ZINC001268059170 812163134 /nfs/dbraw/zinc/16/31/34/812163134.db2.gz LJKLDOFMXUINBQ-RISCZKNCSA-N 0 1 295.387 0.079 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnc2n[nH]cc2c1 ZINC001027960848 812168691 /nfs/dbraw/zinc/16/86/91/812168691.db2.gz FKBDSJTWNOWSHE-CYBMUJFWSA-N 0 1 283.335 0.785 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001027973802 812180435 /nfs/dbraw/zinc/18/04/35/812180435.db2.gz AYFCTBYGNOIMKE-CQSZACIVSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001027974245 812183567 /nfs/dbraw/zinc/18/35/67/812183567.db2.gz FFEBVIZHNOFWFA-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCn2nccc21 ZINC001027978217 812187404 /nfs/dbraw/zinc/18/74/04/812187404.db2.gz IEVSTHNAWAHKDB-UONOGXRCSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001027977514 812187532 /nfs/dbraw/zinc/18/75/32/812187532.db2.gz TUZIYLCJNXXVON-ZIAGYGMSSA-N 0 1 286.379 0.659 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)o1 ZINC001028014620 812216510 /nfs/dbraw/zinc/21/65/10/812216510.db2.gz KFAAEIGSUDUYPU-SNVBAGLBSA-N 0 1 277.324 0.759 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)o1 ZINC001028014620 812216517 /nfs/dbraw/zinc/21/65/17/812216517.db2.gz KFAAEIGSUDUYPU-SNVBAGLBSA-N 0 1 277.324 0.759 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccn2nnnc2c1 ZINC001028072924 812248589 /nfs/dbraw/zinc/24/85/89/812248589.db2.gz LKTAUKQOFSNBMV-GFCCVEGCSA-N 0 1 286.339 0.505 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCCC(=O)NCC)C1 ZINC001268113954 812273579 /nfs/dbraw/zinc/27/35/79/812273579.db2.gz GUHAFPNRJWKOSY-CQSZACIVSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCc2n[nH]nc2C1 ZINC001038916784 812340202 /nfs/dbraw/zinc/34/02/02/812340202.db2.gz IODLHKFUPHWDNR-RYUDHWBXSA-N 0 1 287.367 0.123 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2C[C@@H](C)OC)nc1 ZINC001038932830 812366197 /nfs/dbraw/zinc/36/61/97/812366197.db2.gz SRDLJPIRALRUTJ-TZMCWYRMSA-N 0 1 287.363 0.902 20 30 CCEDMN C=CC[N@H+]1CCC[C@H]1CNC(=O)c1nc2ncccn2n1 ZINC001028210498 812373499 /nfs/dbraw/zinc/37/34/99/812373499.db2.gz RBNCVYZRGRYGQB-NSHDSACASA-N 0 1 286.339 0.505 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1nc2ncccn2n1 ZINC001028210498 812373508 /nfs/dbraw/zinc/37/35/08/812373508.db2.gz RBNCVYZRGRYGQB-NSHDSACASA-N 0 1 286.339 0.505 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)C(C)(C)CNC(C)=O ZINC001268252350 812419167 /nfs/dbraw/zinc/41/91/67/812419167.db2.gz AGFDFSGSIBYXDH-UHFFFAOYSA-N 0 1 267.373 0.172 20 30 CCEDMN Cc1nc(CN2C[C@@H]3CCN(C(=O)[C@H](C)C#N)[C@@H]3C2)n[nH]1 ZINC001075616318 812420401 /nfs/dbraw/zinc/42/04/01/812420401.db2.gz OVPPCBFHJCKZRP-ADEWGFFLSA-N 0 1 288.355 0.306 20 30 CCEDMN C#CCCCC(=O)NCCNCc1cc(C)ncn1 ZINC001126339095 812453943 /nfs/dbraw/zinc/45/39/43/812453943.db2.gz QBSDBGIKSXYXOG-UHFFFAOYSA-N 0 1 260.341 0.794 20 30 CCEDMN Cc1cc(CNC[C@@H](O)CNC(=O)C#CC(C)(C)C)on1 ZINC001268303695 812466608 /nfs/dbraw/zinc/46/66/08/812466608.db2.gz CTMRQISMEVVPHQ-GFCCVEGCSA-N 0 1 293.367 0.599 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H](O)CNCc2ocnc2C)C1 ZINC001268323279 812486551 /nfs/dbraw/zinc/48/65/51/812486551.db2.gz MGYPQRQCTKZQTB-LBPRGKRZSA-N 0 1 293.367 0.906 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CC3CCOCC3)[C@@H]2C1 ZINC001075630681 812557077 /nfs/dbraw/zinc/55/70/77/812557077.db2.gz VLYUSMODJJGKBO-LSDHHAIUSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001028292172 812590744 /nfs/dbraw/zinc/59/07/44/812590744.db2.gz MARTYCKTGIPLBA-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001028339801 812630482 /nfs/dbraw/zinc/63/04/82/812630482.db2.gz CMIIKXVDAJQFKR-LSDHHAIUSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cc(C)no1 ZINC001126360150 812630515 /nfs/dbraw/zinc/63/05/15/812630515.db2.gz JMQFRICEFWKTBS-ZDUSSCGKSA-N 0 1 267.329 0.516 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cccc(OC)n1 ZINC001126360351 812631684 /nfs/dbraw/zinc/63/16/84/812631684.db2.gz LSSVQPYQXLBQKM-OAHLLOKOSA-N 0 1 293.367 0.623 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2CCC2)[C@H](OC)C1 ZINC001211750212 812638339 /nfs/dbraw/zinc/63/83/39/812638339.db2.gz MEXRHVILZJDFOI-ZIAGYGMSSA-N 0 1 282.384 0.805 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CCN(CCF)C2)c[nH]1 ZINC001028488676 812756422 /nfs/dbraw/zinc/75/64/22/812756422.db2.gz DFFDCVAJWWADAP-JTQLQIEISA-N 0 1 264.304 0.908 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C)c(F)c2)[C@@H](O)C1 ZINC001083619198 815555400 /nfs/dbraw/zinc/55/54/00/815555400.db2.gz GUIAGYHOKIMYRH-CABCVRRESA-N 0 1 290.338 0.932 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1CC[C@@H]1C(N)=O ZINC001268571709 812847045 /nfs/dbraw/zinc/84/70/45/812847045.db2.gz DXMHPHJIYVOPAR-MMJBKMRYSA-N 0 1 285.775 0.512 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)c1conc1C ZINC001268583806 812866615 /nfs/dbraw/zinc/86/66/15/812866615.db2.gz DGTTVYWBEWOFQZ-PLNGDYQASA-N 0 1 292.339 0.161 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CC[C@@H]3CCOC3)[C@@H]2C1 ZINC001075669712 812917026 /nfs/dbraw/zinc/91/70/26/812917026.db2.gz FEHOSLOTHHLUDI-QLFBSQMISA-N 0 1 276.380 0.969 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H]2CCOC2)[C@@H](O)C1 ZINC001083380005 812923282 /nfs/dbraw/zinc/92/32/82/812923282.db2.gz HUEMPYJGCVSMHI-UPJWGTAASA-N 0 1 268.357 0.150 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc[nH]c2C)[C@@H](O)C1 ZINC001083396455 812937391 /nfs/dbraw/zinc/93/73/91/812937391.db2.gz PESVCPTYGCURLO-OLZOCXBDSA-N 0 1 261.325 0.121 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2CC(F)(F)C2)[C@@H](O)C1 ZINC001083397420 812937934 /nfs/dbraw/zinc/93/79/34/812937934.db2.gz GVFTUYODGJXHQP-MNOVXSKESA-N 0 1 274.311 0.769 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001028616769 812978167 /nfs/dbraw/zinc/97/81/67/812978167.db2.gz ZNQLMNAYESNWDH-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C[C@H](CN(C)Cc1ccc(F)c(C#N)c1)NC(=O)C(N)=O ZINC001268746400 813060968 /nfs/dbraw/zinc/06/09/68/813060968.db2.gz MXFPFUTWXQHLNR-SECBINFHSA-N 0 1 292.314 0.119 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@H]1CCN(CC#N)C1 ZINC001028635709 813068864 /nfs/dbraw/zinc/06/88/64/813068864.db2.gz FCPMONSLKFWXEJ-CHWSQXEVSA-N 0 1 264.373 0.432 20 30 CCEDMN C#CC(=O)N1CC2(CCN2C(=O)c2cccc3[nH]cnc32)C1 ZINC001268942148 813151991 /nfs/dbraw/zinc/15/19/91/813151991.db2.gz LGSWPKMLOYIWAU-UHFFFAOYSA-N 0 1 294.314 0.623 20 30 CCEDMN N#CC1(C(=O)N2Cc3n[nH]c(C(=O)N4CC=CC4)c3C2)CC1 ZINC001269023127 813180203 /nfs/dbraw/zinc/18/02/03/813180203.db2.gz FPTNGZJJZRFMLY-UHFFFAOYSA-N 0 1 297.318 0.568 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1n[nH]c(C(C)C)n1 ZINC001127045424 815599526 /nfs/dbraw/zinc/59/95/26/815599526.db2.gz AMVRBHJIZHWWQY-NSHDSACASA-N 0 1 293.371 0.172 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(C(=O)CN(C)CC)C2)C1=O ZINC001269092828 813211791 /nfs/dbraw/zinc/21/17/91/813211791.db2.gz JJCMJIWZSOCNKU-HNNXBMFYSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2C(=O)CN(C)CC)C1=O ZINC001269093476 813213064 /nfs/dbraw/zinc/21/30/64/813213064.db2.gz ZAHIIYBFHBAEAN-HNNXBMFYSA-N 0 1 279.384 0.718 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN([C@@H]2CCCN(C)C2=O)C1 ZINC001269122490 813227749 /nfs/dbraw/zinc/22/77/49/813227749.db2.gz YHRJWSQPBSYOKJ-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(C(=O)c1ccn[nH]1)CC2 ZINC001269131578 813230812 /nfs/dbraw/zinc/23/08/12/813230812.db2.gz GMBOVBQWGRTXAJ-NSHDSACASA-N 0 1 286.335 0.401 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)C1CCOCC1 ZINC001269189041 813254715 /nfs/dbraw/zinc/25/47/15/813254715.db2.gz NOIFZMSXAAAQKR-AWEZNQCLSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN1Cc1n[nH]c(C)n1 ZINC001269206260 813266492 /nfs/dbraw/zinc/26/64/92/813266492.db2.gz UCMGVUVGURYCJM-NSHDSACASA-N 0 1 263.345 0.770 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H](C)OCC#C)C1 ZINC001269325137 813327240 /nfs/dbraw/zinc/32/72/40/813327240.db2.gz VURQHYVFOXRWNE-LSDHHAIUSA-N 0 1 276.380 0.971 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](CC)NCc1cnnn1C ZINC001269396448 813355566 /nfs/dbraw/zinc/35/55/66/813355566.db2.gz FDFMVBPFTWNSOU-BXUZGUMPSA-N 0 1 295.387 0.127 20 30 CCEDMN C#CCCCCC(=O)NCC1(N[C@@H](C)C(=O)NC)CC1 ZINC001269401428 813357934 /nfs/dbraw/zinc/35/79/34/813357934.db2.gz CSQMMRQKCYKZKQ-LBPRGKRZSA-N 0 1 279.384 0.553 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H]1CCCCN1C)NCC#N ZINC001269454193 813376898 /nfs/dbraw/zinc/37/68/98/813376898.db2.gz LPAOSMOESYRYCU-NEPJUHHUSA-N 0 1 252.362 0.479 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CC3OCCCO3)[C@@H]2C1 ZINC001075726017 813620142 /nfs/dbraw/zinc/62/01/42/813620142.db2.gz HISPPBCTYUMUPT-UONOGXRCSA-N 0 1 292.379 0.696 20 30 CCEDMN COCC#CCN1CCC(C)(NC(=O)[C@@H]2CCOC2)CC1 ZINC001270212675 813680013 /nfs/dbraw/zinc/68/00/13/813680013.db2.gz FBDLHPRTHGQWDA-CQSZACIVSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CC(C)(C)O)[C@@H]2C1 ZINC001075743171 813691643 /nfs/dbraw/zinc/69/16/43/813691643.db2.gz GXJCMEYNDLJLRQ-QWHCGFSZSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cn2ccccc2=O)C1 ZINC001270659302 813876968 /nfs/dbraw/zinc/87/69/68/813876968.db2.gz SKLJCCFGSZKCPW-OAHLLOKOSA-N 0 1 273.336 0.062 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)n2cccc2)[C@@H](O)C1 ZINC001083409498 813954401 /nfs/dbraw/zinc/95/44/01/813954401.db2.gz XNRHRCQFUCIYRV-MCIONIFRSA-N 0 1 277.368 0.787 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)NC(C)=O)CC2 ZINC001270833850 813963436 /nfs/dbraw/zinc/96/34/36/813963436.db2.gz LVGCXMDVFNPEMQ-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C(C)(C)NC(C)=O)CC2 ZINC001270863882 814008792 /nfs/dbraw/zinc/00/87/92/814008792.db2.gz MAVVMTMHVVBSMR-UHFFFAOYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C1CCC(C(N)=O)CC1 ZINC001038438042 814021287 /nfs/dbraw/zinc/02/12/87/814021287.db2.gz XQSKBENAKKWCGB-RUXDESIVSA-N 0 1 291.395 0.492 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)[C@@H]2CCCN2C)CCN1CC#N ZINC001087519273 814050478 /nfs/dbraw/zinc/05/04/78/814050478.db2.gz HWDJBPBRDUKRGN-XQQFMLRXSA-N 0 1 264.373 0.573 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001029359646 814096296 /nfs/dbraw/zinc/09/62/96/814096296.db2.gz FKZBPGGVOCLAML-MJBXVCDLSA-N 0 1 276.384 0.669 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@H]2CCNC2=O)C1 ZINC001270966023 814102954 /nfs/dbraw/zinc/10/29/54/814102954.db2.gz UJPVMTZEQMYKBJ-CJNGLKHVSA-N 0 1 291.395 0.364 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](CCNC(=O)c2cnn[nH]2)C1 ZINC001059317747 814117911 /nfs/dbraw/zinc/11/79/11/814117911.db2.gz NHCFRJICNNKROL-NSHDSACASA-N 0 1 291.355 0.739 20 30 CCEDMN CC#CC(=O)N1Cc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001271094549 814148699 /nfs/dbraw/zinc/14/86/99/814148699.db2.gz CKTPAXHKWQFAPV-UHFFFAOYSA-N 0 1 270.292 0.287 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc3nccn3c2)[C@H]1CC ZINC001087658142 814181901 /nfs/dbraw/zinc/18/19/01/814181901.db2.gz NSQTYYHOWFCLAL-UONOGXRCSA-N 0 1 297.362 0.945 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2C)[C@H]1CC ZINC001087690558 814189096 /nfs/dbraw/zinc/18/90/96/814189096.db2.gz HVZYIEFIQPMRKO-MJBXVCDLSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[C@H]1CC ZINC001087691685 814189194 /nfs/dbraw/zinc/18/91/94/814189194.db2.gz ZKQAWKAICVBCPS-MEBFFEOJSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)CC2CCOCC2)C1 ZINC001271133375 814191745 /nfs/dbraw/zinc/19/17/45/814191745.db2.gz ZMIAQSFQMUQLRG-MRXNPFEDSA-N 0 1 294.395 0.379 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2C[C@H]2C(N)=O)[C@H]1CC ZINC001087728686 814199958 /nfs/dbraw/zinc/19/99/58/814199958.db2.gz LGVPSBJIQQLCBN-MROQNXINSA-N 0 1 277.368 0.100 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@H]3CC[C@@H](C2)N3C[C@H](C)O)c1 ZINC001029466417 814278002 /nfs/dbraw/zinc/27/80/02/814278002.db2.gz AOLKHPMBTWPWNI-VBNZEHGJSA-N 0 1 299.374 0.733 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)C[C@@H]2C1 ZINC001088000673 814307564 /nfs/dbraw/zinc/30/75/64/814307564.db2.gz VOYJPBHYCZUDAT-XJFOESAGSA-N 0 1 299.378 0.817 20 30 CCEDMN CO[C@@H]1CN(C(C)C)C[C@H]1NC(=O)CSCC#N ZINC001211889005 814321665 /nfs/dbraw/zinc/32/16/65/814321665.db2.gz YRXGCMKXACNBQH-GHMZBOCLSA-N 0 1 271.386 0.467 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H](C)Cc2ccco2)C1 ZINC001271201462 814330662 /nfs/dbraw/zinc/33/06/62/814330662.db2.gz SJGHYAKWRXRDAB-CJNGLKHVSA-N 0 1 290.363 0.644 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccc3nccn3c2)C1 ZINC001271209843 814335604 /nfs/dbraw/zinc/33/56/04/814335604.db2.gz PAJQIHPPEJRMIA-MRXNPFEDSA-N 0 1 298.346 0.134 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)C[C@H]2CCOC2)C1 ZINC001271262508 814355948 /nfs/dbraw/zinc/35/59/48/814355948.db2.gz KCFVFHVFDWUGML-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN CC(C)C(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(CC(=O)NCC#N)C2 ZINC001271400348 814405353 /nfs/dbraw/zinc/40/53/53/814405353.db2.gz POWKKYICZNUCTD-AVGNSLFASA-N 0 1 292.383 0.251 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccoc2)[C@@H](O)C1 ZINC001083424560 814525571 /nfs/dbraw/zinc/52/55/71/814525571.db2.gz XRSQDDGXKGOYQB-OLZOCXBDSA-N 0 1 262.309 0.007 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ncnc2[nH]ccc21 ZINC001038464208 814613961 /nfs/dbraw/zinc/61/39/61/814613961.db2.gz BNMCXYMIQFTRAX-LLVKDONJSA-N 0 1 283.335 0.737 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@H](O)C(C)C ZINC001126631770 814699125 /nfs/dbraw/zinc/69/91/25/814699125.db2.gz JWYBFYBPJQSHLC-SECBINFHSA-N 0 1 279.178 0.618 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2=COCCO2)[C@H]1C ZINC001088455046 814706621 /nfs/dbraw/zinc/70/66/21/814706621.db2.gz VLGRVNALJCOILX-MNOVXSKESA-N 0 1 252.314 0.640 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N[C@@H](C)c1nnc[nH]1 ZINC001228508245 814717646 /nfs/dbraw/zinc/71/76/46/814717646.db2.gz YWOQXHNBNANMBT-YIZRAAEISA-N 0 1 251.290 0.508 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CNC(=O)c2ccccc21 ZINC001272033265 814765730 /nfs/dbraw/zinc/76/57/30/814765730.db2.gz IREAIRDUPQYYRW-HNNXBMFYSA-N 0 1 299.374 0.537 20 30 CCEDMN C[C@@H](CNC(=O)c1[nH]ncc1F)Nc1ccncc1C#N ZINC001098238273 814846515 /nfs/dbraw/zinc/84/65/15/814846515.db2.gz SXHKSDBCIHXNEG-QMMMGPOBSA-N 0 1 288.286 0.468 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cccnc2)[C@H]1C ZINC001088524571 814853046 /nfs/dbraw/zinc/85/30/46/814853046.db2.gz CHHVEEUIUFAQQH-OCCSQVGLSA-N 0 1 257.337 0.836 20 30 CCEDMN Cn1ccc(CNc2ccnc(CC#N)c2)cc1=O ZINC001168401028 815888270 /nfs/dbraw/zinc/88/82/70/815888270.db2.gz BQKLLHMBMMYQTN-UHFFFAOYSA-N 0 1 254.293 0.880 20 30 CCEDMN C=C(C)CC[NH+]1CC(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001030222569 815906354 /nfs/dbraw/zinc/90/63/54/815906354.db2.gz PPXVRUKQZHBEOL-UHFFFAOYSA-N 0 1 264.329 0.804 20 30 CCEDMN Cc1nc([C@@H](C)N2CC(NC(=O)c3c[nH]c(C#N)c3)C2)n[nH]1 ZINC001030235090 815916242 /nfs/dbraw/zinc/91/62/42/815916242.db2.gz OLIPUGKXEXKVAV-MRVPVSSYSA-N 0 1 299.338 0.488 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccnn1CCOC ZINC001038391458 815966289 /nfs/dbraw/zinc/96/62/89/815966289.db2.gz YZGJPDHJTIJEBI-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN C=CCCN1CC(NC(=O)[C@H]2CCCCN2C)C1 ZINC001030533502 816091134 /nfs/dbraw/zinc/09/11/34/816091134.db2.gz PKLBOMMZYNBDMD-CYBMUJFWSA-N 0 1 251.374 0.847 20 30 CCEDMN CC#CCN1CC(NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001030598605 816136666 /nfs/dbraw/zinc/13/66/66/816136666.db2.gz YUYJUNFPUAGBJE-UHFFFAOYSA-N 0 1 258.325 0.336 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3nncn3c2)[C@H]1C ZINC001088917500 816173547 /nfs/dbraw/zinc/17/35/47/816173547.db2.gz WKYITTDUFURXPO-YPMHNXCESA-N 0 1 283.335 0.555 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCc3nc[nH]c3C2)[C@H]1C ZINC001088964904 816197578 /nfs/dbraw/zinc/19/75/78/816197578.db2.gz STLDAYCIOFHPSP-UPJWGTAASA-N 0 1 286.379 0.727 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)[C@H]1C ZINC001088964904 816197583 /nfs/dbraw/zinc/19/75/83/816197583.db2.gz STLDAYCIOFHPSP-UPJWGTAASA-N 0 1 286.379 0.727 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cncn2C)[C@H]1C ZINC001088981058 816202458 /nfs/dbraw/zinc/20/24/58/816202458.db2.gz ANTNTEFOWAWBNO-YPMHNXCESA-N 0 1 260.341 0.175 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CN(CC)CCO2)[C@H]1C ZINC001089050206 816226538 /nfs/dbraw/zinc/22/65/38/816226538.db2.gz WWAWNEFVUKOADD-ILXRZTDVSA-N 0 1 293.411 0.309 20 30 CCEDMN C=CCN1CC(NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001030859850 816356658 /nfs/dbraw/zinc/35/66/58/816356658.db2.gz YVWIGDXUNVOWCQ-SNVBAGLBSA-N 0 1 261.329 0.211 20 30 CCEDMN C=CCCC(=O)NC[C@@H](O)CN[C@H](C)c1cnc(C)cn1 ZINC001272380388 816376577 /nfs/dbraw/zinc/37/65/77/816376577.db2.gz MMMHYXNLLHOKSK-OLZOCXBDSA-N 0 1 292.383 0.879 20 30 CCEDMN C=C(C)CN1C(=O)COCC12CN(CC1CCOCC1)C2 ZINC001272508397 816523369 /nfs/dbraw/zinc/52/33/69/816523369.db2.gz BGBRHEAEOOKYQU-UHFFFAOYSA-N 0 1 294.395 0.902 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cc1ccn(C)n1 ZINC001234227482 816545558 /nfs/dbraw/zinc/54/55/58/816545558.db2.gz ZGQOVXNFJDFGKO-CQSZACIVSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3cc[nH]n3)C2)C1=O ZINC001272536891 816560010 /nfs/dbraw/zinc/56/00/10/816560010.db2.gz AKAIYGWNXGTWMI-AWEZNQCLSA-N 0 1 258.325 0.467 20 30 CCEDMN C=CCC1(NC(=O)C2CNCCS2(=O)=O)CCCC1 ZINC001122069389 816568002 /nfs/dbraw/zinc/56/80/02/816568002.db2.gz MUCLRIABAAQPCX-NSHDSACASA-N 0 1 286.397 0.378 20 30 CCEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1c[nH]nn1 ZINC001272568897 816589228 /nfs/dbraw/zinc/58/92/28/816589228.db2.gz INDSDTIMOPWNGI-CHWSQXEVSA-N 0 1 275.356 0.946 20 30 CCEDMN C=C(C)CN1C[C@@]2(F)CN(Cc3c[nH]nn3)C[C@@]2(F)C1=O ZINC001272569762 816590113 /nfs/dbraw/zinc/59/01/13/816590113.db2.gz MWCATKCHLKNXDQ-QWHCGFSZSA-N 0 1 297.309 0.455 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)CCOC)C[C@@H](C)O2 ZINC001111533852 816659335 /nfs/dbraw/zinc/65/93/35/816659335.db2.gz OJGKDRKUKHKVPZ-CQSZACIVSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](COC)OC ZINC001099220227 816746352 /nfs/dbraw/zinc/74/63/52/816746352.db2.gz JUGAAUVKPCHOTH-KGLIPLIRSA-N 0 1 296.411 0.888 20 30 CCEDMN CN(CCCNC(=O)CC(F)(F)F)CC(=O)NCC#N ZINC001235067757 816802091 /nfs/dbraw/zinc/80/20/91/816802091.db2.gz JIGLIUYQPOMTRJ-UHFFFAOYSA-N 0 1 294.277 0.017 20 30 CCEDMN C[C@H](CCNc1ccnc(C#N)n1)NC(=O)Cc1cnc[nH]1 ZINC001106597910 816818854 /nfs/dbraw/zinc/81/88/54/816818854.db2.gz BKQFHUFMYZHEIH-SNVBAGLBSA-N 0 1 299.338 0.043 20 30 CCEDMN C[C@H](CCNc1ccc(C#N)nc1)NC(=O)c1cnn[nH]1 ZINC001106638493 816828840 /nfs/dbraw/zinc/82/88/40/816828840.db2.gz OUHBHNMFTGPTQB-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@H](CCNc1ccc(C#N)cn1)NC(=O)c1cnn[nH]1 ZINC001106636872 816831428 /nfs/dbraw/zinc/83/14/28/816831428.db2.gz BVYLEXHMGJWDRU-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN CCN(CCNc1nc(C)cc(C#N)n1)C(=O)c1ccn[nH]1 ZINC001106697702 816853017 /nfs/dbraw/zinc/85/30/17/816853017.db2.gz KCDISPPPTBCOCC-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN C=C(Br)CNCCNC(=O)CSC ZINC001123159396 816874792 /nfs/dbraw/zinc/87/47/92/816874792.db2.gz WCUIULKPHUITOE-UHFFFAOYSA-N 0 1 267.192 0.964 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2conc2C)[C@@H](O)C1 ZINC001083747330 816967394 /nfs/dbraw/zinc/96/73/94/816967394.db2.gz YSEYTKNEHKVLPD-NEPJUHHUSA-N 0 1 265.313 0.334 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2coc(CC)n2)C1 ZINC001031624446 817195687 /nfs/dbraw/zinc/19/56/87/817195687.db2.gz UNVUKFKILNVCGD-UHFFFAOYSA-N 0 1 261.325 0.922 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(OC)no2)C1 ZINC001031625737 817197295 /nfs/dbraw/zinc/19/72/95/817197295.db2.gz VYWWWMAFQJNXJZ-UHFFFAOYSA-N 0 1 251.286 0.531 20 30 CCEDMN CCN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](OC)C1 ZINC001212255826 817253591 /nfs/dbraw/zinc/25/35/91/817253591.db2.gz RBXIBNYZZWSDPY-VXGBXAGGSA-N 0 1 252.358 0.871 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2occc2C)[C@@H](O)C1 ZINC001083763356 817281203 /nfs/dbraw/zinc/28/12/03/817281203.db2.gz GXDMSGWQYJHEEH-OLZOCXBDSA-N 0 1 278.352 0.868 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1COC(=O)N1 ZINC001089637238 817287707 /nfs/dbraw/zinc/28/77/07/817287707.db2.gz KYXYAVMRLWOKDI-NEPJUHHUSA-N 0 1 293.367 0.335 20 30 CCEDMN CC1(C)CC[C@@H](CNC(=O)c2cnn[nH]2)N(CC#N)C1 ZINC001089663841 817298716 /nfs/dbraw/zinc/29/87/16/817298716.db2.gz WMCXSECFTKTWKL-JTQLQIEISA-N 0 1 276.344 0.549 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc(=O)n(C)cn2)C1 ZINC001031740210 817305345 /nfs/dbraw/zinc/30/53/45/817305345.db2.gz TZKUSPKDQOGUBG-UHFFFAOYSA-N 0 1 276.340 0.018 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)c2cc[nH]c2)[C@H](OC)C1 ZINC001212306263 817361925 /nfs/dbraw/zinc/36/19/25/817361925.db2.gz DMXASGZEEYRCFP-ZIAGYGMSSA-N 0 1 293.367 0.646 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2snnc2CC)C1 ZINC001031823076 817396691 /nfs/dbraw/zinc/39/66/91/817396691.db2.gz LWCBYBCZNGROCO-UHFFFAOYSA-N 0 1 278.381 0.785 20 30 CCEDMN C=CCN1CC(CNC(=O)c2nnc3ccccc3n2)C1 ZINC001031851225 817417413 /nfs/dbraw/zinc/41/74/13/817417413.db2.gz MKCBOCYTPYMWKZ-UHFFFAOYSA-N 0 1 283.335 0.872 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCC[C@H](C(N)=O)C2)C1 ZINC001032020884 817556027 /nfs/dbraw/zinc/55/60/27/817556027.db2.gz YEWYVPFSDQDCCG-UONOGXRCSA-N 0 1 293.411 0.902 20 30 CCEDMN C=CCOCC(=O)NCCNCc1c(C)noc1CC ZINC001124767499 817568374 /nfs/dbraw/zinc/56/83/74/817568374.db2.gz HVNRPSUIHDARNC-UHFFFAOYSA-N 0 1 281.356 0.954 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cn(CC3CC3)nn2)C1 ZINC001032079595 817610380 /nfs/dbraw/zinc/61/03/80/817610380.db2.gz JQFYZGBKYYPKKO-UHFFFAOYSA-N 0 1 289.383 0.926 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(CC3CC3)nn2)C1 ZINC001032079878 817610599 /nfs/dbraw/zinc/61/05/99/817610599.db2.gz XMUDMHMEPJDLLG-UHFFFAOYSA-N 0 1 287.367 0.373 20 30 CCEDMN COc1ccnc(CNCCNC(=O)[C@H](C)C#N)c1 ZINC001124890158 817617149 /nfs/dbraw/zinc/61/71/49/817617149.db2.gz XEGQACKRVCBZRJ-SNVBAGLBSA-N 0 1 262.313 0.456 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(C)nc2Cl)C1 ZINC001032120231 817646612 /nfs/dbraw/zinc/64/66/12/817646612.db2.gz RIXIIHIFWYDOEB-UHFFFAOYSA-N 0 1 280.759 0.758 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2nonc2C)C1 ZINC001032224758 817728354 /nfs/dbraw/zinc/72/83/54/817728354.db2.gz OWWVUEKAMJXUMU-UHFFFAOYSA-N 0 1 250.302 0.616 20 30 CCEDMN Cc1nc(NCC=CCNC(=O)c2ncn[nH]2)ccc1C#N ZINC001107289057 817825563 /nfs/dbraw/zinc/82/55/63/817825563.db2.gz QIYCUFKOJSXTPK-IHWYPQMZSA-N 0 1 297.322 0.778 20 30 CCEDMN Cc1nc(NCC=CCNC(=O)c2nc[nH]n2)ccc1C#N ZINC001107289057 817825573 /nfs/dbraw/zinc/82/55/73/817825573.db2.gz QIYCUFKOJSXTPK-IHWYPQMZSA-N 0 1 297.322 0.778 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2Cc3ccccc32)C1 ZINC001077601925 817861265 /nfs/dbraw/zinc/86/12/65/817861265.db2.gz ZNNBTISFGNZCAY-ARFHVFGLSA-N 0 1 284.359 0.511 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN(C(C)C)C[C@H]2O)c1 ZINC001077649885 817915728 /nfs/dbraw/zinc/91/57/28/817915728.db2.gz IXSSQSHFEDIVID-ZIAGYGMSSA-N 0 1 273.336 0.246 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2ccco2)C1 ZINC001077664775 817961761 /nfs/dbraw/zinc/96/17/61/817961761.db2.gz QSUHPKSPZOSWHX-YNEHKIRRSA-N 0 1 276.336 0.568 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2ccco2)C1 ZINC001077664775 817961768 /nfs/dbraw/zinc/96/17/68/817961768.db2.gz QSUHPKSPZOSWHX-YNEHKIRRSA-N 0 1 276.336 0.568 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)Cn2cccn2)C1 ZINC001107737991 817976629 /nfs/dbraw/zinc/97/66/29/817976629.db2.gz MZIITPXLVHAGEQ-HNNXBMFYSA-N 0 1 292.383 0.666 20 30 CCEDMN C[C@H](CNc1ncccc1C#N)NC(=O)CN1CCCC1 ZINC001107768976 817996961 /nfs/dbraw/zinc/99/69/61/817996961.db2.gz RNNFRFQCRJUQHS-GFCCVEGCSA-N 0 1 287.367 0.966 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H](C)OC)C1 ZINC001107782136 818002435 /nfs/dbraw/zinc/00/24/35/818002435.db2.gz PCTQVBIPGAQWNY-GXTWGEPZSA-N 0 1 270.373 0.805 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2[nH]c(C)nc2C)C1 ZINC001077690988 818008990 /nfs/dbraw/zinc/00/89/90/818008990.db2.gz IHAVDDWFFWOOJK-VXGBXAGGSA-N 0 1 278.356 0.378 20 30 CCEDMN C=C(C)CN1CCO[C@@](C)(CNC(=O)[C@H]2CCCN2C)C1 ZINC001107817632 818032650 /nfs/dbraw/zinc/03/26/50/818032650.db2.gz VAUKQFLTVBQIDX-ZBFHGGJFSA-N 0 1 295.427 0.864 20 30 CCEDMN C=C(C)CCC(=O)NCCNCC(=O)Nc1ccon1 ZINC001128413948 818229228 /nfs/dbraw/zinc/22/92/28/818229228.db2.gz QSRRHXVNGVRNCJ-UHFFFAOYSA-N 0 1 280.328 0.675 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CCOCC2)[C@@H](O)C1 ZINC001090012122 818269237 /nfs/dbraw/zinc/26/92/37/818269237.db2.gz FVUAKGIYLDCUDF-OLZOCXBDSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2cccnc2)[C@H](O)C1 ZINC001090016543 818277973 /nfs/dbraw/zinc/27/79/73/818277973.db2.gz LEABURIAILPJEU-ZIAGYGMSSA-N 0 1 275.352 0.823 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(Cc1cncn1C)CC2 ZINC001272996125 818284088 /nfs/dbraw/zinc/28/40/88/818284088.db2.gz BXMHRWXGWYRDHS-CQSZACIVSA-N 0 1 286.379 0.772 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2COCCO2)C1 ZINC001032929624 818370436 /nfs/dbraw/zinc/37/04/36/818370436.db2.gz XDYWWICMAUAWEQ-NEPJUHHUSA-N 0 1 254.330 0.121 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CC2CCOCC2)C1 ZINC001032966403 818393018 /nfs/dbraw/zinc/39/30/18/818393018.db2.gz VCDPPMKMBJLJNL-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001032975320 818400653 /nfs/dbraw/zinc/40/06/53/818400653.db2.gz HKOMIUAOUVTBTI-OLZOCXBDSA-N 0 1 267.373 0.036 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCOC2)C1 ZINC001032979486 818403321 /nfs/dbraw/zinc/40/33/21/818403321.db2.gz DMPRZUKFLJBQKO-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN CN(C(=O)c1ccc(C#N)[nH]1)[C@@H]1CCN(CCO)C1 ZINC001033012009 818422767 /nfs/dbraw/zinc/42/27/67/818422767.db2.gz RNMNLQBLGSJTTR-LLVKDONJSA-N 0 1 262.313 0.025 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C)n2C)[C@@H](O)C1 ZINC001090065312 818443360 /nfs/dbraw/zinc/44/33/60/818443360.db2.gz QAWRPXAUJVTHDR-JSGCOSHPSA-N 0 1 277.368 0.685 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnn(C)c2N)C1 ZINC001033034995 818447333 /nfs/dbraw/zinc/44/73/33/818447333.db2.gz DAOARTFQQHNBJJ-SNVBAGLBSA-N 0 1 263.345 0.335 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2nnc(C)o2)C1 ZINC001033062606 818480103 /nfs/dbraw/zinc/48/01/03/818480103.db2.gz IUWOPCZNDYJDMG-LBPRGKRZSA-N 0 1 276.340 0.477 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnoc2CC)[C@@H](O)C1 ZINC001083825566 818481955 /nfs/dbraw/zinc/48/19/55/818481955.db2.gz ZRSPKZPHQLZRNO-NEPJUHHUSA-N 0 1 279.340 0.588 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cccn2C)[C@@H](O)C1 ZINC001090088409 818488828 /nfs/dbraw/zinc/48/88/28/818488828.db2.gz OEFBNTNTIDDQBT-YPMHNXCESA-N 0 1 263.341 0.376 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccn2C)[C@@H](O)C1 ZINC001090088409 818488831 /nfs/dbraw/zinc/48/88/31/818488831.db2.gz OEFBNTNTIDDQBT-YPMHNXCESA-N 0 1 263.341 0.376 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001033087596 818505829 /nfs/dbraw/zinc/50/58/29/818505829.db2.gz XTDDZEYSWBCEDS-ZIAGYGMSSA-N 0 1 286.379 0.996 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033124627 818552698 /nfs/dbraw/zinc/55/26/98/818552698.db2.gz OXZLFRDLJFRZAR-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cnn(C)c2C)C1 ZINC001033141493 818565346 /nfs/dbraw/zinc/56/53/46/818565346.db2.gz GFRUFDBNKWIBPG-CQSZACIVSA-N 0 1 274.368 0.437 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033158098 818583926 /nfs/dbraw/zinc/58/39/26/818583926.db2.gz HHZJRNRTHKAPCJ-AVGNSLFASA-N 0 1 268.357 0.509 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ncoc2C)[C@H](O)C1 ZINC001090117486 818643801 /nfs/dbraw/zinc/64/38/01/818643801.db2.gz BYGOXNGDUJWGIQ-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001033206166 818648678 /nfs/dbraw/zinc/64/86/78/818648678.db2.gz GPHXQGVYEXLBLL-ZIAGYGMSSA-N 0 1 286.379 0.611 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cccc(C(N)=O)c2)C1 ZINC001033205797 818648741 /nfs/dbraw/zinc/64/87/41/818648741.db2.gz AOROMIPRVJJUNH-OAHLLOKOSA-N 0 1 299.374 0.955 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2COCCN2CC)C1 ZINC001033213243 818655749 /nfs/dbraw/zinc/65/57/49/818655749.db2.gz VVZVJHAJBNRPIT-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C2CCC(C(N)=O)CC2)C1 ZINC001033219151 818658521 /nfs/dbraw/zinc/65/85/21/818658521.db2.gz GSQHENWFTUTYND-JXQTWKCFSA-N 0 1 293.411 0.997 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCN(C(N)=O)C2)C1 ZINC001033250116 818675392 /nfs/dbraw/zinc/67/53/92/818675392.db2.gz WMGKTVJRJNRWIJ-CHWSQXEVSA-N 0 1 294.399 0.496 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001033294382 818696913 /nfs/dbraw/zinc/69/69/13/818696913.db2.gz KXMLWQXUDZHZIB-ZQDZILKHSA-N 0 1 294.395 0.757 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](CNC(=O)c3ncn[nH]3)C2)nc1 ZINC001061635473 818703424 /nfs/dbraw/zinc/70/34/24/818703424.db2.gz VIGPBQRPGJZFLE-LLVKDONJSA-N 0 1 297.322 0.328 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](CNC(=O)c3nc[nH]n3)C2)nc1 ZINC001061635473 818703426 /nfs/dbraw/zinc/70/34/26/818703426.db2.gz VIGPBQRPGJZFLE-LLVKDONJSA-N 0 1 297.322 0.328 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001033337797 818722567 /nfs/dbraw/zinc/72/25/67/818722567.db2.gz QDZQEMYFUCYYOB-NDBYEHHHSA-N 0 1 250.342 0.598 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001033389446 818754521 /nfs/dbraw/zinc/75/45/21/818754521.db2.gz PDMIGRWTLJVQCO-DOMZBBRYSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033386621 818755612 /nfs/dbraw/zinc/75/56/12/818755612.db2.gz AXLZVRHTZMKLQQ-SNVBAGLBSA-N 0 1 264.329 0.458 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2nccn3ccnc23)C1 ZINC001033433202 818769478 /nfs/dbraw/zinc/76/94/78/818769478.db2.gz VYUXQBQTURYVSP-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC001033575991 818834297 /nfs/dbraw/zinc/83/42/97/818834297.db2.gz SZFKPLWRJUTAAD-QWHCGFSZSA-N 0 1 292.383 0.086 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cccn(C)c2=O)C1 ZINC001033588071 818841031 /nfs/dbraw/zinc/84/10/31/818841031.db2.gz CDWKIVJDHAHBRZ-CYBMUJFWSA-N 0 1 287.363 0.555 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001033599644 818847375 /nfs/dbraw/zinc/84/73/75/818847375.db2.gz RIGZKGCICAUWLT-GJZGRUSLSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2[nH]cnc2C)C1 ZINC001033618055 818854105 /nfs/dbraw/zinc/85/41/05/818854105.db2.gz FHHXYSCHIRWGJA-GFCCVEGCSA-N 0 1 260.341 0.427 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cc(C)on2)[C@H](O)C1 ZINC001090142454 818888098 /nfs/dbraw/zinc/88/80/98/818888098.db2.gz VLFZHAPTIPXPGP-UONOGXRCSA-N 0 1 291.351 0.100 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cc(C)[nH]n2)C1 ZINC001033765169 818929173 /nfs/dbraw/zinc/92/91/73/818929173.db2.gz HGBBFEJVYWUHGA-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCOC2)C1 ZINC001033765565 818929261 /nfs/dbraw/zinc/92/92/61/818929261.db2.gz DQJVROPRJIBXJO-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN Cc1cc(OCC(=O)NC2(C#N)CCN(C)CC2)no1 ZINC000701271284 818931330 /nfs/dbraw/zinc/93/13/30/818931330.db2.gz VFSKEWVBRBCCCR-UHFFFAOYSA-N 0 1 278.312 0.466 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2nnn(C)c2C)C1 ZINC001033782642 818933505 /nfs/dbraw/zinc/93/35/05/818933505.db2.gz ICUGANOIQBQJON-GFCCVEGCSA-N 0 1 277.372 0.846 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cc[nH]c(=O)c2)C1 ZINC001033790149 818937427 /nfs/dbraw/zinc/93/74/27/818937427.db2.gz UGOHQWGRGBULTF-CYBMUJFWSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001033950839 819010431 /nfs/dbraw/zinc/01/04/31/819010431.db2.gz YUYKCOKWAXNOIX-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033955622 819012164 /nfs/dbraw/zinc/01/21/64/819012164.db2.gz AWNGPLPXFLISBX-RDBSUJKOSA-N 0 1 291.395 0.444 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001033959857 819012749 /nfs/dbraw/zinc/01/27/49/819012749.db2.gz JDXUCQUPJZTVSF-UPJWGTAASA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCCO2)C1 ZINC001034086685 819063165 /nfs/dbraw/zinc/06/31/65/819063165.db2.gz CZABGXAJOCBVLL-STQMWFEESA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C=C2CCC2)[C@H](O)C1 ZINC001090188097 819066630 /nfs/dbraw/zinc/06/66/30/819066630.db2.gz AOGMUMAHOCJDBG-ZIAGYGMSSA-N 0 1 262.353 0.671 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@@H](C)CC(N)=O)C1 ZINC001108117894 819088822 /nfs/dbraw/zinc/08/88/22/819088822.db2.gz OILPSWYBTDXUHN-SWLSCSKDSA-N 0 1 297.399 0.281 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccn(C)c2)[C@@H](O)C1 ZINC001090195698 819119384 /nfs/dbraw/zinc/11/93/84/819119384.db2.gz XAIMMILKJBPXJZ-OLZOCXBDSA-N 0 1 263.341 0.376 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2n[nH]cc2C)C1 ZINC001034195495 819121792 /nfs/dbraw/zinc/12/17/92/819121792.db2.gz IBIQUXTUGYOIFP-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2cnoc2)C1 ZINC001034359588 819184977 /nfs/dbraw/zinc/18/49/77/819184977.db2.gz FJVGCJKDMRZGMF-ZDUSSCGKSA-N 0 1 261.325 0.821 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001034478652 819215414 /nfs/dbraw/zinc/21/54/14/819215414.db2.gz VXNBYQBBKXHDMQ-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001034478652 819215421 /nfs/dbraw/zinc/21/54/21/819215421.db2.gz VXNBYQBBKXHDMQ-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CSC(C)C)[C@@H](O)C1 ZINC001099677870 819276170 /nfs/dbraw/zinc/27/61/70/819276170.db2.gz CBLUXNYCTSDWOA-RYUDHWBXSA-N 0 1 272.414 0.865 20 30 CCEDMN C=C(Cl)C[N@@H+]1CCC2(C1)CCN(C(=O)C(N)=O)CC2 ZINC001035218029 819418793 /nfs/dbraw/zinc/41/87/93/819418793.db2.gz ASUSDQNBILBCCH-UHFFFAOYSA-N 0 1 285.775 0.539 20 30 CCEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)C(N)=O)CC2 ZINC001035218029 819418794 /nfs/dbraw/zinc/41/87/94/819418794.db2.gz ASUSDQNBILBCCH-UHFFFAOYSA-N 0 1 285.775 0.539 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001035282954 819448182 /nfs/dbraw/zinc/44/81/82/819448182.db2.gz LPEWKIVEJZYJLN-KGLIPLIRSA-N 0 1 282.384 0.949 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1cn(C)cn1)CC2 ZINC001035685858 819602610 /nfs/dbraw/zinc/60/26/10/819602610.db2.gz IBIYZVZHMUJAQZ-UHFFFAOYSA-N 0 1 286.379 0.981 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1COC(=O)N1)CC2 ZINC001035699072 819609162 /nfs/dbraw/zinc/60/91/62/819609162.db2.gz VEAMIGQSLUVSSY-GFCCVEGCSA-N 0 1 291.351 0.043 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCC2(CN(CC#N)C2)CC1 ZINC001035732711 819616202 /nfs/dbraw/zinc/61/62/02/819616202.db2.gz IYOZWYYWPHGTOH-AWEZNQCLSA-N 0 1 290.411 0.919 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@]1(C)CCNC1=O)CC2 ZINC001035795011 819625495 /nfs/dbraw/zinc/62/54/95/819625495.db2.gz OMUUUCIFTIBULD-HNNXBMFYSA-N 0 1 289.379 0.070 20 30 CCEDMN N#CCN1CC2(C1)CCN(C(=O)CCc1c[nH]nn1)CC2 ZINC001035798913 819626290 /nfs/dbraw/zinc/62/62/90/819626290.db2.gz VKBPAMIVGGKQRJ-UHFFFAOYSA-N 0 1 288.355 0.185 20 30 CCEDMN N#CCN1CC2(C1)CCN(C(=O)CCc1cnn[nH]1)CC2 ZINC001035798913 819626294 /nfs/dbraw/zinc/62/62/94/819626294.db2.gz VKBPAMIVGGKQRJ-UHFFFAOYSA-N 0 1 288.355 0.185 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@H]1CCC(=O)N1)CC2 ZINC001035817710 819629717 /nfs/dbraw/zinc/62/97/17/819629717.db2.gz PVXJAGZARNNSGE-CYBMUJFWSA-N 0 1 291.395 0.766 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1cnn(C)n1)CC2 ZINC001035816480 819629815 /nfs/dbraw/zinc/62/98/15/819629815.db2.gz HCYVLJVVGXGLAF-UHFFFAOYSA-N 0 1 275.356 0.539 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccnnc1)CC2 ZINC001035806378 819631426 /nfs/dbraw/zinc/63/14/26/819631426.db2.gz ZKNVIOQFGRGAIV-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1nonc1C)CC2 ZINC001035865024 819639503 /nfs/dbraw/zinc/63/95/03/819639503.db2.gz AXQKPSXKZJMGMS-UHFFFAOYSA-N 0 1 274.324 0.549 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCn2cccn2)[C@H](O)C1 ZINC001099684168 819699619 /nfs/dbraw/zinc/69/96/19/819699619.db2.gz NYYWXVYFCFAMGX-QWHCGFSZSA-N 0 1 278.356 0.011 20 30 CCEDMN Cc1nnc(SCC(=O)NC2=NO[C@@H](C)C2)[nH]1 ZINC000504776577 819731418 /nfs/dbraw/zinc/73/14/18/819731418.db2.gz IMMJBHRPOSOTCX-YFKPBYRVSA-N 0 1 255.303 0.444 20 30 CCEDMN Cc1n[nH]c(SCC(=O)NC2=NO[C@@H](C)C2)n1 ZINC000504776577 819731422 /nfs/dbraw/zinc/73/14/22/819731422.db2.gz IMMJBHRPOSOTCX-YFKPBYRVSA-N 0 1 255.303 0.444 20 30 CCEDMN C#CC1(O)CCN(CC(=O)N2CCCC[C@H]2C)CC1 ZINC000708087368 819756938 /nfs/dbraw/zinc/75/69/38/819756938.db2.gz HVADYXULIXCQNA-CYBMUJFWSA-N 0 1 264.369 0.848 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)CCc3nc[nH]n3)CC[C@H]21 ZINC001036680656 819999342 /nfs/dbraw/zinc/99/93/42/819999342.db2.gz ACVPYBMIIXYGCZ-NWDGAFQWSA-N 0 1 288.355 0.184 20 30 CCEDMN C=CCCC(=O)NCC1(NC(=O)c2cnn[nH]2)CCC1 ZINC001062687115 820019099 /nfs/dbraw/zinc/01/90/99/820019099.db2.gz NIPJIUMDYCRXGT-UHFFFAOYSA-N 0 1 277.328 0.540 20 30 CCEDMN C=CCN1C(=O)COCC12CN(CCC1CC1)C2 ZINC001273164694 820034133 /nfs/dbraw/zinc/03/41/33/820034133.db2.gz YTWLGFYHSDYKFK-UHFFFAOYSA-N 0 1 250.342 0.886 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3ccnc(C)c3)C2)OCC1=O ZINC001273189857 820103759 /nfs/dbraw/zinc/10/37/59/820103759.db2.gz VRWBQPVSMSYWNC-KRWDZBQOSA-N 0 1 299.374 0.827 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@@H]1CCN(c2cnc(C#N)cn2)C1 ZINC001062889665 820120218 /nfs/dbraw/zinc/12/02/18/820120218.db2.gz FRBUAQNHBPSENW-LLVKDONJSA-N 0 1 297.322 0.422 20 30 CCEDMN C=CCCC(=O)NC1(CNC(=O)c2cnn[nH]2)CCC1 ZINC001063582810 820158680 /nfs/dbraw/zinc/15/86/80/820158680.db2.gz ZPPXDTSQRNRJHS-UHFFFAOYSA-N 0 1 277.328 0.540 20 30 CCEDMN C=C(C)CCC(=O)NC1(CNC(=O)c2cnn[nH]2)CCC1 ZINC001063585475 820159044 /nfs/dbraw/zinc/15/90/44/820159044.db2.gz SXSGXUKVORKAKB-UHFFFAOYSA-N 0 1 291.355 0.930 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3n2CCC3)[C@@H](O)C1 ZINC001090334587 820274274 /nfs/dbraw/zinc/27/42/74/820274274.db2.gz ROEYGUFDYZDWQS-YPMHNXCESA-N 0 1 290.367 0.180 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C)nn2C)C1 ZINC001079467327 820402330 /nfs/dbraw/zinc/40/23/30/820402330.db2.gz AZDGNJVTUVUNGQ-DGCLKSJQSA-N 0 1 274.368 0.802 20 30 CCEDMN CCN(C(=O)C#CC(C)C)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001079724301 820459733 /nfs/dbraw/zinc/45/97/33/820459733.db2.gz ICYMYCHXQOEFJD-UHFFFAOYSA-N 0 1 288.351 0.742 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001079834379 820473400 /nfs/dbraw/zinc/47/34/00/820473400.db2.gz BMLUBWLWBPUCTJ-DVOMOZLQSA-N 0 1 298.390 0.651 20 30 CCEDMN C=C(Cl)CN1C[C@@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001080017764 820501830 /nfs/dbraw/zinc/50/18/30/820501830.db2.gz VBLNEGSSYXMYTK-GMSGAONNSA-N 0 1 269.736 0.607 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001080031606 820505226 /nfs/dbraw/zinc/50/52/26/820505226.db2.gz OFXYXTJOTJVQRK-TZMCWYRMSA-N 0 1 287.363 0.459 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cccc3ncnn32)C1 ZINC001080056386 820509478 /nfs/dbraw/zinc/50/94/78/820509478.db2.gz NOZDPQKOHXPFBW-VXGBXAGGSA-N 0 1 285.351 0.965 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001080092475 820515891 /nfs/dbraw/zinc/51/58/91/820515891.db2.gz UBUUQWIHVQRQKL-FRRDWIJNSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001080251119 820536899 /nfs/dbraw/zinc/53/68/99/820536899.db2.gz UPSUGFQQWGJBFJ-JHJVBQTASA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnc(C)n2C)C1 ZINC001080332164 820550587 /nfs/dbraw/zinc/55/05/87/820550587.db2.gz LFPBJKVORYAFEB-ZYHUDNBSSA-N 0 1 260.341 0.412 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC(F)(F)F)[C@H](O)C1 ZINC001099712220 820559963 /nfs/dbraw/zinc/55/99/63/820559963.db2.gz MAPWESUMURYRHX-DTWKUNHWSA-N 0 1 266.263 0.676 20 30 CCEDMN CCN(C(=O)[C@H](C)C#N)C1CN(C(=O)c2cc(C)[nH]n2)C1 ZINC001080411011 820568703 /nfs/dbraw/zinc/56/87/03/820568703.db2.gz BZAAKVAQJNJHIB-SECBINFHSA-N 0 1 289.339 0.551 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC001080848945 820646216 /nfs/dbraw/zinc/64/62/16/820646216.db2.gz FNPJPWPFVUWPLZ-HZSPNIEDSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001080949792 820660182 /nfs/dbraw/zinc/66/01/82/820660182.db2.gz NSRKIIPAMWCHQS-BXKDBHETSA-N 0 1 276.340 0.350 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccnc2)[C@H](OC)C1 ZINC001081282665 820731663 /nfs/dbraw/zinc/73/16/63/820731663.db2.gz FVIKEEGGMFMRTC-ZIAGYGMSSA-N 0 1 273.336 0.534 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)n2cccn2)[C@H](OC)C1 ZINC001081390392 820753127 /nfs/dbraw/zinc/75/31/27/820753127.db2.gz YJNPUQLJORLGHP-MGPQQGTHSA-N 0 1 292.383 0.836 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)c2ncccc2[O-])[C@H](OC)C1 ZINC001081576083 820792611 /nfs/dbraw/zinc/79/26/11/820792611.db2.gz JJVUDSZTIRUYDP-DGCLKSJQSA-N 0 1 291.351 0.792 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccnn2C)[C@H](OC)C1 ZINC001081602301 820803977 /nfs/dbraw/zinc/80/39/77/820803977.db2.gz LTVKGMCDVRSAFP-ZIAGYGMSSA-N 0 1 292.383 0.354 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccc(C)nn2)[C@H](OC)C1 ZINC001081653655 820810393 /nfs/dbraw/zinc/81/03/93/820810393.db2.gz CTXZRCJXKITOMF-ZIAGYGMSSA-N 0 1 290.367 0.790 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(F)CCOCC2)[C@H](OC)C1 ZINC001081780029 820828454 /nfs/dbraw/zinc/82/84/54/820828454.db2.gz MMOMICMQTJHIGW-CHWSQXEVSA-N 0 1 298.358 0.344 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCO[C@H]2CC)[C@H](OC)C1 ZINC001081967179 820871291 /nfs/dbraw/zinc/87/12/91/820871291.db2.gz WWVVDWITSRQOPO-APIJFGDWSA-N 0 1 294.395 0.640 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2cscn2)[C@H](OC)C1 ZINC001082206301 820917865 /nfs/dbraw/zinc/91/78/65/820917865.db2.gz RSHXNTQUZBOPQT-CHWSQXEVSA-N 0 1 293.392 0.524 20 30 CCEDMN Cc1cc(CN[C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)nn1C ZINC001082450582 820970866 /nfs/dbraw/zinc/97/08/66/820970866.db2.gz AONPKKNJYHKCAF-JTNHKYCSSA-N 0 1 289.383 0.825 20 30 CCEDMN Cc1nccnc1CN[C@@H]1CN(C(=O)[C@H](C)C#N)C[C@H]1C ZINC001082451144 820972637 /nfs/dbraw/zinc/97/26/37/820972637.db2.gz QUBGHXXDELJGTF-JTNHKYCSSA-N 0 1 287.367 0.881 20 30 CCEDMN CCn1ccnc1CN[C@@H]1CN(C(=O)[C@@H](C)C#N)C[C@H]1C ZINC001082451542 820972668 /nfs/dbraw/zinc/97/26/68/820972668.db2.gz GLTYWZXLASUXNG-YNEHKIRRSA-N 0 1 289.383 0.999 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@H](CC)OC)[C@H]2C1 ZINC001083021583 821110899 /nfs/dbraw/zinc/11/08/99/821110899.db2.gz UIDONWOVCCFDDG-MELADBBJSA-N 0 1 282.384 0.899 20 30 CCEDMN CC(C)N1C[C@H]2OCCN(C(=O)[C@H](C)C#N)[C@H]2C1 ZINC001083024379 821111899 /nfs/dbraw/zinc/11/18/99/821111899.db2.gz LVTAMWPEBUBKJJ-GRYCIOLGSA-N 0 1 251.330 0.466 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3ccn(C)c3)[C@H]2C1 ZINC001083049869 821127335 /nfs/dbraw/zinc/12/73/35/821127335.db2.gz NGPZGNAFOKYTAX-LSDHHAIUSA-N 0 1 287.363 0.574 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cccn2CC)[C@@H](O)C1 ZINC001084060203 821191221 /nfs/dbraw/zinc/19/12/21/821191221.db2.gz MIZRFSGYYNLJGL-OCCSQVGLSA-N 0 1 277.368 0.859 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)c3cnc(C)[nH]3)[C@@H]2C1 ZINC001084233827 821250327 /nfs/dbraw/zinc/25/03/27/821250327.db2.gz RZBUJHNTGIHWGJ-DGCLKSJQSA-N 0 1 258.325 0.498 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN(CC=C)C[C@@H]1O ZINC001099807437 821322719 /nfs/dbraw/zinc/32/27/19/821322719.db2.gz QAZUMBXIDRUOCC-STQMWFEESA-N 0 1 250.342 0.527 20 30 CCEDMN CC1CCN(CC(=O)N2C[C@H]3CCN(CC#N)C[C@H]32)CC1 ZINC001084737933 821369034 /nfs/dbraw/zinc/36/90/34/821369034.db2.gz IJRAIWRZGHNPIE-HUUCEWRRSA-N 0 1 290.411 0.775 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)[C@H]3CCc4[nH]cnc4C3)[C@@H]2C1 ZINC001084762946 821379643 /nfs/dbraw/zinc/37/96/43/821379643.db2.gz UCVJTJRJNAVHNR-YWPYICTPSA-N 0 1 299.378 0.571 20 30 CCEDMN C#CCSCC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC001119593446 821381248 /nfs/dbraw/zinc/38/12/48/821381248.db2.gz STMRLUUVITYCQT-CYBMUJFWSA-N 0 1 284.425 0.826 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C#CC2CC2)[C@H](O)C1 ZINC001099827591 821386721 /nfs/dbraw/zinc/38/67/21/821386721.db2.gz XPJYLUSXWQQZPX-ZIAGYGMSSA-N 0 1 262.353 0.527 20 30 CCEDMN COCCC[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)[C@H](O)C1 ZINC001099829918 821393183 /nfs/dbraw/zinc/39/31/83/821393183.db2.gz KDXSYOFRQURDRV-UONOGXRCSA-N 0 1 296.411 0.624 20 30 CCEDMN C=CCOCC(=O)NCCN(C)c1ncnc2[nH]cnc21 ZINC001099958663 821646895 /nfs/dbraw/zinc/64/68/95/821646895.db2.gz BLHLZEQNCXWESQ-UHFFFAOYSA-N 0 1 290.327 0.108 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)Nc1ccncc1C#N ZINC001098306369 821660724 /nfs/dbraw/zinc/66/07/24/821660724.db2.gz FEFVPFBEDSEDJC-JTQLQIEISA-N 0 1 284.323 0.258 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)c1ccc(C#N)nc1)C2 ZINC001273325933 821679661 /nfs/dbraw/zinc/67/96/61/821679661.db2.gz RZJOGPVYVIDSEH-MFKMUULPSA-N 0 1 256.309 0.729 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCNC(=O)C1 ZINC001085544051 821778725 /nfs/dbraw/zinc/77/87/25/821778725.db2.gz JZABBUOBUIDRLN-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@H](O)CN1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553668 821784883 /nfs/dbraw/zinc/78/48/83/821784883.db2.gz SUFHDBGWYVIJCE-CMPLNLGQSA-N 0 1 276.340 0.413 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001085597483 821843348 /nfs/dbraw/zinc/84/33/48/821843348.db2.gz YWOPWTDKVWXHFH-OLZOCXBDSA-N 0 1 287.367 0.886 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cccn2nnnc12 ZINC001085616465 821854281 /nfs/dbraw/zinc/85/42/81/821854281.db2.gz NHYQIUQASXMAHB-LBPRGKRZSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cccn2nnnc12 ZINC001085616465 821854291 /nfs/dbraw/zinc/85/42/91/821854291.db2.gz NHYQIUQASXMAHB-LBPRGKRZSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCCN1C ZINC001085674444 821889946 /nfs/dbraw/zinc/88/99/46/821889946.db2.gz WHBIWGVHESQZMX-KBPBESRZSA-N 0 1 263.385 0.637 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1COCCN1CC ZINC001085683406 821898806 /nfs/dbraw/zinc/89/88/06/821898806.db2.gz PRTBZQVAPJTNMG-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2nnnn2c1 ZINC001085720913 821919799 /nfs/dbraw/zinc/91/97/99/821919799.db2.gz HWWHJNIQPGDYAE-CYBMUJFWSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc2nnnn2c1 ZINC001085720913 821919807 /nfs/dbraw/zinc/91/98/07/821919807.db2.gz HWWHJNIQPGDYAE-CYBMUJFWSA-N 0 1 298.350 0.294 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001085769261 821941336 /nfs/dbraw/zinc/94/13/36/821941336.db2.gz FAFNOJFOHBJFTK-MQYQWHSLSA-N 0 1 264.369 0.988 20 30 CCEDMN C=C(C)CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CN(C)C(=O)N1 ZINC001085763836 821943224 /nfs/dbraw/zinc/94/32/24/821943224.db2.gz KZJMIVIOACLPCH-CHWSQXEVSA-N 0 1 294.399 0.509 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cn(CC=C)nn1 ZINC001085861161 821986443 /nfs/dbraw/zinc/98/64/43/821986443.db2.gz QYPONMLAVNPYCU-ZDUSSCGKSA-N 0 1 287.367 0.634 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccncn1 ZINC001085882467 821997898 /nfs/dbraw/zinc/99/78/98/821997898.db2.gz FCNPIOQJEKMLES-LBPRGKRZSA-N 0 1 258.325 0.646 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@H]2CCN2C[C@H](C)O)cn1 ZINC001085932596 822023709 /nfs/dbraw/zinc/02/37/09/822023709.db2.gz CRYILILFVNQHJI-SWLSCSKDSA-N 0 1 287.363 0.590 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H]2CCN2CC#N)CC1 ZINC001085986701 822051684 /nfs/dbraw/zinc/05/16/84/822051684.db2.gz LIDGIEGQBKBXBH-HNNXBMFYSA-N 0 1 288.395 0.388 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(CCO)C[C@H]1O ZINC001100020792 822075561 /nfs/dbraw/zinc/07/55/61/822075561.db2.gz SRPQKFRHIJVFMX-NWDGAFQWSA-N 0 1 270.373 0.132 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001086087159 822107293 /nfs/dbraw/zinc/10/72/93/822107293.db2.gz QZFKVHURANGIPM-TUAOUCFPSA-N 0 1 287.367 0.838 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cn[nH]c2)[C@@H](O)C1 ZINC001100031643 822116853 /nfs/dbraw/zinc/11/68/53/822116853.db2.gz IDHHFXMXFBFAET-STQMWFEESA-N 0 1 278.356 0.080 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1CCCN([C@@H]2CCNC2=O)CC1 ZINC001273418041 822191358 /nfs/dbraw/zinc/19/13/58/822191358.db2.gz MGURZZYDDFPEGP-UONOGXRCSA-N 0 1 289.379 0.259 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COc2ccsc2)[C@H](O)C1 ZINC001100074369 822231378 /nfs/dbraw/zinc/23/13/78/822231378.db2.gz MJTSYVMYWCVKMS-QWHCGFSZSA-N 0 1 296.392 0.864 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1nnc(C)s1 ZINC001155712786 822236389 /nfs/dbraw/zinc/23/63/89/822236389.db2.gz TUVTUGXDKBDXFI-UHFFFAOYSA-N 0 1 284.385 0.645 20 30 CCEDMN C[C@@H](CNc1ncccc1C#N)NC(=O)[C@@H]1CCCN1C ZINC001108312033 822353573 /nfs/dbraw/zinc/35/35/73/822353573.db2.gz XZMJEKAUASBKAT-AAEUAGOBSA-N 0 1 287.367 0.964 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N3CCC3)C[C@H]21 ZINC001114003775 837401235 /nfs/dbraw/zinc/40/12/35/837401235.db2.gz DUELUSVNUXKZKB-JYAVWHMHSA-N 0 1 277.368 0.231 20 30 CCEDMN C=CCOCC(=O)NC1[C@H]2CN(C/C=C/Cl)C[C@@H]12 ZINC001114050990 837416683 /nfs/dbraw/zinc/41/66/83/837416683.db2.gz MMJXQLPUFNUHON-HDDJBEPOSA-N 0 1 270.760 0.988 20 30 CCEDMN Cc1nc(C)c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)o1 ZINC001114068014 837422797 /nfs/dbraw/zinc/42/27/97/837422797.db2.gz ZUESRXCZGWHYBU-HDRXNWCYSA-N 0 1 288.351 0.998 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN[C@@H](C)c1ncccn1 ZINC001157874293 837528068 /nfs/dbraw/zinc/52/80/68/837528068.db2.gz MSSUMKFLPSWHCU-STQMWFEESA-N 0 1 290.367 0.672 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCC(F)F)C[C@@H]1n1ccnn1 ZINC001129934014 837584199 /nfs/dbraw/zinc/58/41/99/837584199.db2.gz KONNXOVKTBAMFA-MNOVXSKESA-N 0 1 297.309 0.298 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1cn[nH]c(=O)c1 ZINC001129992538 837608888 /nfs/dbraw/zinc/60/88/88/837608888.db2.gz JHYBRQNZJSMFJO-UHFFFAOYSA-N 0 1 296.330 0.553 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COCc1nccn1C ZINC001130037409 837670070 /nfs/dbraw/zinc/67/00/70/837670070.db2.gz LTQWGRSLXWNKHG-UHFFFAOYSA-N 0 1 286.763 0.395 20 30 CCEDMN CN1CCN(C(=N)Nc2ncc(Cl)nc2C#N)CC1 ZINC001157915147 837696177 /nfs/dbraw/zinc/69/61/77/837696177.db2.gz RQPNGNYFJSSVMS-UHFFFAOYSA-N 0 1 279.735 0.596 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)CSC)C1 ZINC001130334474 837769839 /nfs/dbraw/zinc/76/98/39/837769839.db2.gz LRZGHHFOMJXCPW-LLVKDONJSA-N 0 1 292.408 0.811 20 30 CCEDMN Cc1nc(CNC(=O)[C@H](C#N)Cc2ccc(O)cc2)n[nH]1 ZINC001183618737 844058992 /nfs/dbraw/zinc/05/89/92/844058992.db2.gz USZXZCQTLLGBIN-NSHDSACASA-N 0 1 285.307 0.817 20 30 CCEDMN CC[N@H+]1CC[C@H](NC(=O)CC#Cc2ccccc2)[C@H](O)C1 ZINC001100122659 835971353 /nfs/dbraw/zinc/97/13/53/835971353.db2.gz VCYPXEQZBUUYDG-JKSUJKDBSA-N 0 1 286.375 1.000 20 30 CCEDMN C[C@@H](CNc1nccnc1C#N)NC(=O)CN1CCCC1 ZINC001108384954 835996434 /nfs/dbraw/zinc/99/64/34/835996434.db2.gz LPIHEZQHMDBBGH-NSHDSACASA-N 0 1 288.355 0.361 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](CNCC#N)[C@@H](C)C2)[nH]1 ZINC001184053236 844147666 /nfs/dbraw/zinc/14/76/66/844147666.db2.gz GBKCYGAURSBMDK-JQWIXIFHSA-N 0 1 275.356 0.930 20 30 CCEDMN C=CCOCc1nn([C@H]2CCN(C(C)=O)C2)c2c1CNC2 ZINC001169547470 836368331 /nfs/dbraw/zinc/36/83/31/836368331.db2.gz BPRSSGRSNGNCQV-LBPRGKRZSA-N 0 1 290.367 0.982 20 30 CCEDMN N#CC[C@@H](O)C[N@H+]1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001169865015 836471014 /nfs/dbraw/zinc/47/10/14/836471014.db2.gz VUAFFKDZSVBHAT-DTWKUNHWSA-N 0 1 252.274 0.229 20 30 CCEDMN COC(=O)n1ncc(C#N)c1Nc1ncnc2[nH]cnc21 ZINC001169961517 836489902 /nfs/dbraw/zinc/48/99/02/836489902.db2.gz QPNNNTXZVXFMSO-UHFFFAOYSA-N 0 1 284.239 0.779 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)COC[C@H]1CCOC1)C2 ZINC001109789384 836729750 /nfs/dbraw/zinc/72/97/50/836729750.db2.gz XKZUPFJDYGTPLO-XGUBFFRZSA-N 0 1 294.395 0.947 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](CNc1ncnc2[nH]cnc21)C1CC1 ZINC001109796443 836733352 /nfs/dbraw/zinc/73/33/52/836733352.db2.gz VOMXNDYINURJGT-SCZZXKLOSA-N 0 1 299.338 0.771 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncc(CC)c2)[C@@H](O)C1 ZINC001090434629 836772864 /nfs/dbraw/zinc/77/28/64/836772864.db2.gz AFUMTSXWQJVILB-GJZGRUSLSA-N 0 1 289.379 0.995 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@H](O)C1 ZINC001090436667 836786936 /nfs/dbraw/zinc/78/69/36/836786936.db2.gz BLUYPMXHIGTEEF-DGCLKSJQSA-N 0 1 279.315 0.342 20 30 CCEDMN C=CCCCN1CCN(C(=O)CN2CCCC2=O)CC1 ZINC001112605367 836815486 /nfs/dbraw/zinc/81/54/86/836815486.db2.gz ZCYYYPRCKVCOKF-UHFFFAOYSA-N 0 1 279.384 0.719 20 30 CCEDMN C=CCCCN1CCN(C(=O)COCCOC)CC1 ZINC001112727436 836889462 /nfs/dbraw/zinc/88/94/62/836889462.db2.gz JACGXBGMAQRWJD-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2COCCN2C)CC1 ZINC001112770285 836901109 /nfs/dbraw/zinc/90/11/09/836901109.db2.gz JLQNGFQQQSFCJP-HUUCEWRRSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)C[C@H]1CCCCN1C(C)=O ZINC001157407686 836997030 /nfs/dbraw/zinc/99/70/30/836997030.db2.gz CEEQYXWSCNLVQA-TZMCWYRMSA-N 0 1 279.384 0.457 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC001113207074 837054340 /nfs/dbraw/zinc/05/43/40/837054340.db2.gz ASDUVDYVUXGKDY-ZDUSSCGKSA-N 0 1 279.384 0.718 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)CC(N)=O)CC1 ZINC001113196897 837058712 /nfs/dbraw/zinc/05/87/12/837058712.db2.gz ZGMXLWZRWFIQDK-GFCCVEGCSA-N 0 1 267.373 0.608 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC001113297389 837082538 /nfs/dbraw/zinc/08/25/38/837082538.db2.gz BMSYVPYGFOJVQF-UHFFFAOYSA-N 0 1 278.356 0.850 20 30 CCEDMN C[C@H](NC(=O)Cc1cnc[nH]1)[C@H](C)Nc1cncc(C#N)n1 ZINC001113313759 837084631 /nfs/dbraw/zinc/08/46/31/837084631.db2.gz MVELXXJYQFOCFA-UWVGGRQHSA-N 0 1 299.338 0.619 20 30 CCEDMN N[C@H]1CN(C(=O)/C=C/C(=O)c2ccccc2)C[C@H]1F ZINC001157530473 837090974 /nfs/dbraw/zinc/09/09/74/837090974.db2.gz GTXKROSHUZXGIK-JIVBQCDMSA-N 0 1 262.284 0.933 20 30 CCEDMN C[C@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1ncccc1C#N ZINC001113357634 837100776 /nfs/dbraw/zinc/10/07/76/837100776.db2.gz UKVWVNGINIRDMH-IUCAKERBSA-N 0 1 285.311 0.690 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)C(C)(C)C)C2 ZINC001110093335 837163437 /nfs/dbraw/zinc/16/34/37/837163437.db2.gz VWCPYZONAOSSQB-AGIUHOORSA-N 0 1 291.395 0.503 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnco2)[C@H](O)C1 ZINC001090461846 837239920 /nfs/dbraw/zinc/23/99/20/837239920.db2.gz DHDUBLQTPLVDNP-NXEZZACHSA-N 0 1 251.286 0.026 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](O)[C@@H]1C ZINC001170325169 837303495 /nfs/dbraw/zinc/30/34/95/837303495.db2.gz WOEYOEFDNPHFEU-WOPDTQHZSA-N 0 1 253.346 0.450 20 30 CCEDMN CC#CC[NH2+]CCNC(=O)c1cnc2ccccc2c1[O-] ZINC001130736664 837907890 /nfs/dbraw/zinc/90/78/90/837907890.db2.gz MFDKVIMWSGLUPJ-UHFFFAOYSA-N 0 1 283.331 0.871 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)COCC=C)C[C@H](C)O2 ZINC001131646484 838165421 /nfs/dbraw/zinc/16/54/21/838165421.db2.gz WCJLWLPGPVOGOI-GOEBONIOSA-N 0 1 292.379 0.514 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCC(=O)NCC)CC[C@H]1C ZINC001131904444 838262134 /nfs/dbraw/zinc/26/21/34/838262134.db2.gz QIGCJIODYFIEFM-OLZOCXBDSA-N 0 1 279.384 0.505 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCn1ccnc1 ZINC001132079938 838313471 /nfs/dbraw/zinc/31/34/71/838313471.db2.gz UBUSFNWJTLTYIT-UHFFFAOYSA-N 0 1 256.737 0.732 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN(C)C(=O)C(C)C)CC[C@H]1C ZINC001132083778 838314200 /nfs/dbraw/zinc/31/42/00/838314200.db2.gz WPQQUCGDMQTZBD-KGLIPLIRSA-N 0 1 293.411 0.703 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)C(N)=O)CC[C@H]1C ZINC001132123633 838322564 /nfs/dbraw/zinc/32/25/64/838322564.db2.gz GVDCPQKUONQJGG-NEPJUHHUSA-N 0 1 279.384 0.490 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCCNC(N)=O)CC[C@@H]1C ZINC001132165490 838332321 /nfs/dbraw/zinc/33/23/21/838332321.db2.gz VWOABILGTRUFPN-QWHCGFSZSA-N 0 1 294.399 0.427 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COc2cnn(C)c2)CC[C@@H]1C ZINC001132246144 838343993 /nfs/dbraw/zinc/34/39/93/838343993.db2.gz QHRKJYCZCFCIFA-STQMWFEESA-N 0 1 290.367 0.401 20 30 CCEDMN C=CC[N@@H+]1C[C@H](NC(=O)COc2cnn(C)c2)CC[C@@H]1C ZINC001132244487 838345147 /nfs/dbraw/zinc/34/51/47/838345147.db2.gz ISASJHWMXSLUPX-QWHCGFSZSA-N 0 1 292.383 0.954 20 30 CCEDMN C=CCN1C[C@H](NC(=O)COc2cnn(C)c2)CC[C@@H]1C ZINC001132244487 838345154 /nfs/dbraw/zinc/34/51/54/838345154.db2.gz ISASJHWMXSLUPX-QWHCGFSZSA-N 0 1 292.383 0.954 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC(=O)NCC2CC2)CC[C@@H]1C ZINC001132417415 838391824 /nfs/dbraw/zinc/39/18/24/838391824.db2.gz XDVRVGDNHYTMAD-GXTWGEPZSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COCCOCC)CC[C@@H]1C ZINC001132517276 838418471 /nfs/dbraw/zinc/41/84/71/838418471.db2.gz AGZNQZZRSPANGM-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCCCC(=O)NCCNCc1ccc(OC)nn1 ZINC001132590940 838444881 /nfs/dbraw/zinc/44/48/81/838444881.db2.gz AUMBNEHVWBQAGR-UHFFFAOYSA-N 0 1 290.367 0.885 20 30 CCEDMN CN1C(=O)CC[C@@H]1C(=O)NCCNCC#Cc1ccccc1 ZINC001132762868 838484980 /nfs/dbraw/zinc/48/49/80/838484980.db2.gz SBSCYSCKJKXXJS-OAHLLOKOSA-N 0 1 299.374 0.365 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCc2nnn(C)c2C1 ZINC001132921734 838518446 /nfs/dbraw/zinc/51/84/46/838518446.db2.gz VSTNNRIQDQZVFH-JTQLQIEISA-N 0 1 297.790 0.378 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)C[C@H](C)NCc1nnnn1C ZINC001133889111 838767514 /nfs/dbraw/zinc/76/75/14/838767514.db2.gz QYXDMWFAKBVQFV-QWRGUYRKSA-N 0 1 280.376 0.549 20 30 CCEDMN C[C@H](C[C@H](C)NCC#N)NC(=O)CCc1cnc[nH]1 ZINC001134544326 839002292 /nfs/dbraw/zinc/00/22/92/839002292.db2.gz FRNDCLVOGACBHL-WDEREUQCSA-N 0 1 263.345 0.739 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCC[C@@H](C(N)=O)C1 ZINC001134614052 839020508 /nfs/dbraw/zinc/02/05/08/839020508.db2.gz SCOKMPAAWIHHBR-GHMZBOCLSA-N 0 1 287.791 0.736 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)c1[nH]nc2c1CCC2)NCC#N ZINC001134830604 839083783 /nfs/dbraw/zinc/08/37/83/839083783.db2.gz PQXZXARWMXCMFV-ZJUUUORDSA-N 0 1 275.356 0.908 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1cnon1 ZINC001135573790 839300830 /nfs/dbraw/zinc/30/08/30/839300830.db2.gz SYCIVLLJAXZKBT-UHFFFAOYSA-N 0 1 270.292 0.441 20 30 CCEDMN CCOC(=O)c1cc(C#N)c(C)nc1N[C@@](N)(CC)CO ZINC001170854160 839420710 /nfs/dbraw/zinc/42/07/10/839420710.db2.gz CIVBXDVLEIISPJ-AWEZNQCLSA-N 0 1 292.339 0.908 20 30 CCEDMN CC[C@@](N)(CO)Nc1nc2ncccc2cc1C#N ZINC001170856457 839435952 /nfs/dbraw/zinc/43/59/52/839435952.db2.gz BWOWEQNPZLFERW-ZDUSSCGKSA-N 0 1 257.297 0.971 20 30 CCEDMN CC[C@](N)(CO)Nc1nc(Cl)nc(C)c1C#N ZINC001159744783 839567232 /nfs/dbraw/zinc/56/72/32/839567232.db2.gz VWSNXVYGKJCPTH-SNVBAGLBSA-N 0 1 255.709 0.779 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2[nH]c(C)nc2C)[C@@H](O)C1 ZINC001090578802 839653528 /nfs/dbraw/zinc/65/35/28/839653528.db2.gz YSPMYPXOJLQOQK-RYUDHWBXSA-N 0 1 278.356 0.378 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnoc2CC)[C@H](O)C1 ZINC001090614966 839678070 /nfs/dbraw/zinc/67/80/70/839678070.db2.gz CXWKBGDBKAHBPY-CHWSQXEVSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc(C)c2)[C@H](O)C1 ZINC001090655646 839706502 /nfs/dbraw/zinc/70/65/02/839706502.db2.gz UBLLABUBXQBHHT-UONOGXRCSA-N 0 1 275.352 0.741 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccnc(C)c2)[C@H](O)C1 ZINC001090666707 839721545 /nfs/dbraw/zinc/72/15/45/839721545.db2.gz YPXLNFMFCQADDS-LSDHHAIUSA-N 0 1 287.363 0.578 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(COC)n2)[C@H](O)C1 ZINC001090687304 839734813 /nfs/dbraw/zinc/73/48/13/839734813.db2.gz VAPLPZVGYIFSTJ-CMPLNLGQSA-N 0 1 295.339 0.172 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2oncc2C)[C@@H](O)C1 ZINC001090691048 839739420 /nfs/dbraw/zinc/73/94/20/839739420.db2.gz XXRNYLRHSMXESC-QWRGUYRKSA-N 0 1 265.313 0.334 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@H]1CCN(CC=C)C[C@H]1O ZINC001090756068 839783513 /nfs/dbraw/zinc/78/35/13/839783513.db2.gz CUSWAUAATVHDQJ-DLBZAZTESA-N 0 1 298.386 0.948 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2[nH]cnc2C)[C@H](O)C1 ZINC001090849809 839853428 /nfs/dbraw/zinc/85/34/28/839853428.db2.gz ONNHQWOGLVRDOO-GHMZBOCLSA-N 0 1 264.329 0.069 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC2(CN(C(=O)CCC)C2)C1 ZINC001146882142 840403317 /nfs/dbraw/zinc/40/33/17/840403317.db2.gz ZHGXQHHIOBFAKB-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)CCCOC)C2)C1 ZINC001147112635 840502506 /nfs/dbraw/zinc/50/25/06/840502506.db2.gz YQLCBBSIUYNVID-UHFFFAOYSA-N 0 1 294.395 0.597 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C1(C(=O)N(C)C)CC1 ZINC001147301426 840539519 /nfs/dbraw/zinc/53/95/19/840539519.db2.gz YBYLFRBGIGDXMV-UHFFFAOYSA-N 0 1 273.764 0.313 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC2(C1)CCN(CCF)C2 ZINC001147530472 840615218 /nfs/dbraw/zinc/61/52/18/840615218.db2.gz NYJDJCXSTPGRBX-LBPRGKRZSA-N 0 1 268.332 0.529 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3(COC)CCC3)C2)C1 ZINC001147911354 840721737 /nfs/dbraw/zinc/72/17/37/840721737.db2.gz TWRYMGHWJDSIII-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@]3(COC)CCOC3)C2)C1 ZINC001147991641 840732478 /nfs/dbraw/zinc/73/24/78/840732478.db2.gz DDOYOKGJOGFNMV-INIZCTEOSA-N 0 1 292.379 0.207 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)OCCOC)C2)C1 ZINC001148378335 840796608 /nfs/dbraw/zinc/79/66/08/840796608.db2.gz KAAVFSKXTBIZQA-ZDUSSCGKSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCCCC(=O)NC)C2)C1 ZINC001148874081 840893786 /nfs/dbraw/zinc/89/37/86/840893786.db2.gz IKSQQIZMMYHWDS-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN C[C@@H](CNC(=O)CCc1cnc[nH]1)Nc1nccnc1C#N ZINC001098344902 840964675 /nfs/dbraw/zinc/96/46/75/840964675.db2.gz YJIUZVRLIOTPSZ-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H]1CN(CC#CC)CCCO1 ZINC001149622622 841009668 /nfs/dbraw/zinc/00/96/68/841009668.db2.gz CHXJYRQLTGBUOK-HOCLYGCPSA-N 0 1 294.395 0.544 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H]1CN(CC#CC)CCCO1 ZINC001149622616 841009788 /nfs/dbraw/zinc/00/97/88/841009788.db2.gz CHXJYRQLTGBUOK-GOEBONIOSA-N 0 1 294.395 0.544 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001092694207 841056786 /nfs/dbraw/zinc/05/67/86/841056786.db2.gz IJMZTIVERCNTFR-FDYHWXHSSA-N 0 1 287.367 0.721 20 30 CCEDMN C=CCCNC(=S)N(C)CCN1CCN(C)CC1 ZINC001247628283 841138007 /nfs/dbraw/zinc/13/80/07/841138007.db2.gz TUMMLGJBFIOTAX-UHFFFAOYSA-N 0 1 270.446 0.616 20 30 CCEDMN C[C@@H](O)C[N@H+]1C[C@@H]2CCN(C(=O)CSCC#N)[C@@H]2C1 ZINC001186917001 844622242 /nfs/dbraw/zinc/62/22/42/844622242.db2.gz FFHFLGMYZJTKBS-GRYCIOLGSA-N 0 1 283.397 0.157 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCNc2nc(C)cc(C#N)n2)c1C ZINC001093535645 841317809 /nfs/dbraw/zinc/31/78/09/841317809.db2.gz HWWKGCVLVVLUEM-UHFFFAOYSA-N 0 1 299.338 0.839 20 30 CCEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC(=O)NCC)C2 ZINC001110388035 844633138 /nfs/dbraw/zinc/63/31/38/844633138.db2.gz SLKZCFZBJLPKKR-MCIONIFRSA-N 0 1 291.395 0.648 20 30 CCEDMN Cc1cc(C#N)nc(NCCNC(=O)Cc2cnc[nH]2)n1 ZINC001094150565 841549582 /nfs/dbraw/zinc/54/95/82/841549582.db2.gz PHERPANBBRAGEB-UHFFFAOYSA-N 0 1 285.311 0.151 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1=COCCO1)C2 ZINC001095127969 842065955 /nfs/dbraw/zinc/06/59/55/842065955.db2.gz NTJHLWBCBZALOB-WOPDTQHZSA-N 0 1 264.325 0.782 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1=COCCO1)C2 ZINC001095127969 842065966 /nfs/dbraw/zinc/06/59/66/842065966.db2.gz NTJHLWBCBZALOB-WOPDTQHZSA-N 0 1 264.325 0.782 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccon1)C2 ZINC001095252889 842131574 /nfs/dbraw/zinc/13/15/74/842131574.db2.gz YCDRTLFDIZTJAZ-RDBSUJKOSA-N 0 1 273.336 0.962 20 30 CCEDMN N#CCNCCCNC(=O)c1[nH]nc2c1CCCCC2 ZINC001176147633 842326944 /nfs/dbraw/zinc/32/69/44/842326944.db2.gz NKCCAYKNOMFWAA-UHFFFAOYSA-N 0 1 275.356 0.912 20 30 CCEDMN C#Cc1cnc(NC(=O)Cc2cnc[nH]2)c(C#C)n1 ZINC001176827476 842413329 /nfs/dbraw/zinc/41/33/29/842413329.db2.gz KSRQGUSHLOJRGV-UHFFFAOYSA-N 0 1 251.249 0.344 20 30 CCEDMN Cc1ccc(C(=NC(=O)Cc2cnc[nH]2)NO)nc1 ZINC001176836799 842423176 /nfs/dbraw/zinc/42/31/76/842423176.db2.gz PSGFNFFIXNQTNU-UHFFFAOYSA-N 0 1 259.269 0.608 20 30 CCEDMN N#CCc1cc(NC(=O)Cc2nn[nH]n2)ccc1F ZINC001176844971 842443433 /nfs/dbraw/zinc/44/34/33/842443433.db2.gz LVEPTWUTBNGGIY-UHFFFAOYSA-N 0 1 260.232 0.586 20 30 CCEDMN N#Cc1cnc(NC(=O)[C@H]2CCc3[nH]cnc3C2)cn1 ZINC001177170974 842507964 /nfs/dbraw/zinc/50/79/64/842507964.db2.gz CPHJFPWHBHJKAW-QMMMGPOBSA-N 0 1 268.280 0.815 20 30 CCEDMN CCOC(=O)CO/N=C(\C(=O)N(CC)CC)c1cc[nH]n1 ZINC001177254757 842534239 /nfs/dbraw/zinc/53/42/39/842534239.db2.gz ZMPAOZOTBUPWCM-VBKFSLOCSA-N 0 1 296.327 0.562 20 30 CCEDMN C=CCCOCC(=O)NCCCN[C@@H](C)c1nnc(C)[nH]1 ZINC001177260866 842538970 /nfs/dbraw/zinc/53/89/70/842538970.db2.gz YGSSCDNOVWCECW-NSHDSACASA-N 0 1 295.387 0.863 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001178320060 842822052 /nfs/dbraw/zinc/82/20/52/842822052.db2.gz CWHHCXUIYIJZRE-QWRGUYRKSA-N 0 1 293.371 0.154 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)COc1ccc(CO)cc1 ZINC001179654319 843029625 /nfs/dbraw/zinc/02/96/25/843029625.db2.gz ACSPMNGULBFQEN-UHFFFAOYSA-N 0 1 272.264 0.791 20 30 CCEDMN COC(=O)[C@](C)(NC(=O)C(C)C#N)C(F)(F)F ZINC001179901774 843078229 /nfs/dbraw/zinc/07/82/29/843078229.db2.gz CCGRPANSVHLYKD-XNCJUZBTSA-N 0 1 252.192 0.756 20 30 CCEDMN Cc1nc(C#N)c(NC(=O)CN2CCN(C(C)C)CC2)o1 ZINC001180343393 843147308 /nfs/dbraw/zinc/14/73/08/843147308.db2.gz VRGBQIPFPOTGDQ-UHFFFAOYSA-N 0 1 291.355 0.819 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnc[nH]2)C[C@@]1(C)CNCC#N ZINC001181679001 843574739 /nfs/dbraw/zinc/57/47/39/843574739.db2.gz AJCDETAHUPWADC-IUODEOHRSA-N 0 1 289.383 0.940 20 30 CCEDMN Cc1nc(CNC(=O)Cc2ccccc2C#N)n[nH]1 ZINC001181805175 843619688 /nfs/dbraw/zinc/61/96/88/843619688.db2.gz FXXAELJOCNXTER-UHFFFAOYSA-N 0 1 255.281 0.844 20 30 CCEDMN N#Cc1cccc(CCC(=O)Nc2cn[nH]c2C(N)=O)c1 ZINC001182337099 843778403 /nfs/dbraw/zinc/77/84/03/843778403.db2.gz PYWRWPZYBWHYAB-UHFFFAOYSA-N 0 1 283.291 0.952 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@H](NCC(=C)Cl)C1 ZINC001182496849 843846355 /nfs/dbraw/zinc/84/63/55/843846355.db2.gz SSSFMDZDEAFOME-VXGBXAGGSA-N 0 1 270.760 0.968 20 30 CCEDMN C=CC[C@@](C)(NC(=O)C1(N(C)C)CC1)C(=O)OC ZINC001182602006 843872677 /nfs/dbraw/zinc/87/26/77/843872677.db2.gz SCSRVTOWIYVXRN-GFCCVEGCSA-N 0 1 254.330 0.705 20 30 CCEDMN N#Cc1ccccc1C1(C(=O)NCc2nn[nH]n2)CC1 ZINC001183292944 844000773 /nfs/dbraw/zinc/00/07/73/844000773.db2.gz FXXLRJKPHNTOCK-UHFFFAOYSA-N 0 1 268.280 0.419 20 30 CCEDMN CCOCCC(=O)N1CC[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001187254411 844688870 /nfs/dbraw/zinc/68/88/70/844688870.db2.gz MFZXJFRKAGXMSH-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1ncc(C(F)(F)F)[nH]1 ZINC001188295343 844856849 /nfs/dbraw/zinc/85/68/49/844856849.db2.gz LYFHEVYJIANZHV-RXMQYKEDSA-N 0 1 290.201 0.614 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cccnc2)C1 ZINC001188642930 844944936 /nfs/dbraw/zinc/94/49/36/844944936.db2.gz SBTNDMCNADFQFP-HNNXBMFYSA-N 0 1 287.363 0.878 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)COCC)C1 ZINC001188763637 844971579 /nfs/dbraw/zinc/97/15/79/844971579.db2.gz DBPCBMKLEVUPDM-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCOC(C)C)C1 ZINC001188984186 845014208 /nfs/dbraw/zinc/01/42/08/845014208.db2.gz WBAJOIKBUSCKSL-ZDUSSCGKSA-N 0 1 252.358 0.967 20 30 CCEDMN CCCOCC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189214907 845091871 /nfs/dbraw/zinc/09/18/71/845091871.db2.gz OGCZDJDMWMNRRN-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCOC2)C1 ZINC001189302594 845099743 /nfs/dbraw/zinc/09/97/43/845099743.db2.gz YXTADJUUNINYKR-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCC(=O)NCC)C1 ZINC001189310690 845104984 /nfs/dbraw/zinc/10/49/84/845104984.db2.gz QKPROWLZSZSVHN-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(=O)N(C)C)C1 ZINC001189363372 845127577 /nfs/dbraw/zinc/12/75/77/845127577.db2.gz MSNZFHFWMVMYBP-QWHCGFSZSA-N 0 1 297.399 0.198 20 30 CCEDMN N#C[C@H](NC(=O)c1ccc(CN2CCCCC2)o1)C(N)=O ZINC001189752152 845199894 /nfs/dbraw/zinc/19/98/94/845199894.db2.gz RZOBHGKXBOQKNB-NSHDSACASA-N 0 1 290.323 0.373 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC2OCCCO2)C1 ZINC001189818998 845216555 /nfs/dbraw/zinc/21/65/55/845216555.db2.gz PFRCPTCNJFXEHC-ZDUSSCGKSA-N 0 1 280.368 0.696 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C2=CCOCC2)C1 ZINC001189799395 845224273 /nfs/dbraw/zinc/22/42/73/845224273.db2.gz WLLSKATWMACLEN-AWEZNQCLSA-N 0 1 262.353 0.889 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001189909406 845262670 /nfs/dbraw/zinc/26/26/70/845262670.db2.gz CKQXYTDPESFGLB-LLVKDONJSA-N 0 1 291.355 0.347 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001189909406 845262679 /nfs/dbraw/zinc/26/26/79/845262679.db2.gz CKQXYTDPESFGLB-LLVKDONJSA-N 0 1 291.355 0.347 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H](OC)C2CC2)C1 ZINC001189909711 845262984 /nfs/dbraw/zinc/26/29/84/845262984.db2.gz GJHSWKVCEDDDOP-HUUCEWRRSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@](C)(O)C=C)C1 ZINC001189916846 845267763 /nfs/dbraw/zinc/26/77/63/845267763.db2.gz APFAFNUTNPPXBK-JSGCOSHPSA-N 0 1 250.342 0.479 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@H](N(C)CC#CC)C1 ZINC001189917066 845268608 /nfs/dbraw/zinc/26/86/08/845268608.db2.gz CHERPWADQOPKLN-ZFWWWQNUSA-N 0 1 264.369 0.870 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)CC(C)(C)O)C1 ZINC001189893522 845279989 /nfs/dbraw/zinc/27/99/89/845279989.db2.gz NEZGCSQPQRMJJO-GFCCVEGCSA-N 0 1 252.358 0.703 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC(C)(C)O)C1 ZINC001189893522 845279994 /nfs/dbraw/zinc/27/99/94/845279994.db2.gz NEZGCSQPQRMJJO-GFCCVEGCSA-N 0 1 252.358 0.703 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CNC(=O)[C@@H](C)CC)[C@@H]2C1 ZINC001190212883 845367539 /nfs/dbraw/zinc/36/75/39/845367539.db2.gz MPUNIBCTGDOJPS-MELADBBJSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001190277973 845381455 /nfs/dbraw/zinc/38/14/55/845381455.db2.gz DAYIOOKILPMBRJ-CHWSQXEVSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001190428465 845403983 /nfs/dbraw/zinc/40/39/83/845403983.db2.gz JBVMNHCMMITQPQ-NSHDSACASA-N 0 1 293.371 0.899 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001190428465 845403987 /nfs/dbraw/zinc/40/39/87/845403987.db2.gz JBVMNHCMMITQPQ-NSHDSACASA-N 0 1 293.371 0.899 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cncc(N(C)C)n1 ZINC001190735601 845482576 /nfs/dbraw/zinc/48/25/76/845482576.db2.gz COUVALNEFNBDHI-LBPRGKRZSA-N 0 1 289.383 0.923 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COCc2cc(C)no2)C1 ZINC001190877867 845531710 /nfs/dbraw/zinc/53/17/10/845531710.db2.gz CKFVVOFGGVLBDD-ZDUSSCGKSA-N 0 1 291.351 0.666 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C(N)=O)o2)C1 ZINC001191248330 845619256 /nfs/dbraw/zinc/61/92/56/845619256.db2.gz PQDRHWCUPUXUSG-LLVKDONJSA-N 0 1 289.335 0.548 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2(COC)CC2)C1 ZINC001191329727 845633864 /nfs/dbraw/zinc/63/38/64/845633864.db2.gz AQAYDCGINNOOJE-LBPRGKRZSA-N 0 1 250.342 0.579 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COCC(F)F)C1 ZINC001191543704 845678111 /nfs/dbraw/zinc/67/81/11/845678111.db2.gz QKWQWBPLKVQOEA-NSHDSACASA-N 0 1 274.311 0.824 20 30 CCEDMN CN1C(=O)C[C@]2(CCCN(Cc3cncc(C#N)c3)C2)C1=O ZINC001273620465 845693623 /nfs/dbraw/zinc/69/36/23/845693623.db2.gz WOMBGUKKBCODEA-INIZCTEOSA-N 0 1 298.346 0.924 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)CCCF)C1 ZINC001191781850 845736456 /nfs/dbraw/zinc/73/64/56/845736456.db2.gz WIMOXHQBVVJGAY-QWHCGFSZSA-N 0 1 299.390 0.960 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(Cc2ccccc2)C[C@H]1O ZINC001191855009 845741507 /nfs/dbraw/zinc/74/15/07/845741507.db2.gz UJZKQLHJLGREMB-MRVWCRGKSA-N 0 1 273.336 0.508 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CCc2cccs2)C[C@H]1O ZINC001191882890 845752047 /nfs/dbraw/zinc/75/20/47/845752047.db2.gz ZETXFTWHABFKCB-CYZMBNFOSA-N 0 1 293.392 0.612 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1O ZINC001192321045 845820344 /nfs/dbraw/zinc/82/03/44/845820344.db2.gz QGVZOVWGWOIQRK-ZIAGYGMSSA-N 0 1 264.369 0.917 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[N@@H+](CCCCCOC)C[C@H]1O ZINC001192518364 845863436 /nfs/dbraw/zinc/86/34/36/845863436.db2.gz RNDVCGLCYRLIGV-HUUCEWRRSA-N 0 1 296.411 0.768 20 30 CCEDMN CN(C1CN(C(=O)c2ccc(C#N)o2)C1)[C@H]1CCOC1 ZINC001192684792 845893752 /nfs/dbraw/zinc/89/37/52/845893752.db2.gz FUVUJTSPYLLCFB-JTQLQIEISA-N 0 1 275.308 0.696 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc2nnsc2c1 ZINC001192982413 845943066 /nfs/dbraw/zinc/94/30/66/845943066.db2.gz PBFHOCARDBIKOV-UHFFFAOYSA-N 0 1 254.296 0.957 20 30 CCEDMN N#CCS(=O)(=O)Nc1cccc2c1CCNC2=O ZINC001192985391 845945390 /nfs/dbraw/zinc/94/53/90/845945390.db2.gz COTNFLHGFSGSDW-UHFFFAOYSA-N 0 1 265.294 0.238 20 30 CCEDMN Cc1cc(NS(=O)(=O)[C@H](C)C#N)n2ncnc2n1 ZINC001193105910 845978248 /nfs/dbraw/zinc/97/82/48/845978248.db2.gz GMJRRTZLCBUVCU-SSDOTTSWSA-N 0 1 266.286 0.087 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccc2c(c1)CN(C)C2=O ZINC001193146572 846004790 /nfs/dbraw/zinc/00/47/90/846004790.db2.gz PHUSADRORGFJNM-MRVPVSSYSA-N 0 1 279.321 0.926 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NCCN1CCCCCC1 ZINC001193159956 846010442 /nfs/dbraw/zinc/01/04/42/846010442.db2.gz UWAQIDRZVWKRLF-LLVKDONJSA-N 0 1 259.375 0.694 20 30 CCEDMN N#Cc1cc(C(=O)N2CCNC[C@@H]2C#N)ccc1O ZINC001193506867 846100963 /nfs/dbraw/zinc/10/09/63/846100963.db2.gz RFLUNXDTYWIJHI-NSHDSACASA-N 0 1 256.265 0.201 20 30 CCEDMN CC1(C)C(=O)NCCN1C(=O)c1ccc(O)c(C#N)c1 ZINC001193504421 846101282 /nfs/dbraw/zinc/10/12/82/846101282.db2.gz RMCSXGPRCSLDKU-UHFFFAOYSA-N 0 1 273.292 0.614 20 30 CCEDMN N#Cc1cc(C(=O)NCCCc2c[nH][nH]c2=O)ccc1O ZINC001193511608 846101756 /nfs/dbraw/zinc/10/17/56/846101756.db2.gz DEBATEMUOAPOIU-SNVBAGLBSA-N 0 1 286.291 0.506 20 30 CCEDMN CCOC(=O)CC(=N)NC(=O)c1ccc(O)c(C#N)c1 ZINC001193512664 846103301 /nfs/dbraw/zinc/10/33/01/846103301.db2.gz REOUHVDOLBXKKJ-UHFFFAOYSA-N 0 1 275.264 0.924 20 30 CCEDMN CSCC[C@H](NC(=O)c1ccc(O)c(C#N)c1)C(N)=O ZINC001193515187 846103585 /nfs/dbraw/zinc/10/35/85/846103585.db2.gz KWJIFNNHKDXPOP-JTQLQIEISA-N 0 1 293.348 0.601 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCCC(=O)NCC)C1 ZINC001193551476 846116657 /nfs/dbraw/zinc/11/66/57/846116657.db2.gz PAJSMEPWCYLWHF-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@H]2COCC[C@@H]2O)c1O ZINC001193658201 846139684 /nfs/dbraw/zinc/13/96/84/846139684.db2.gz XVBOGQPXEKSAAL-QWRGUYRKSA-N 0 1 262.265 0.143 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](CC)CC(F)F)C1 ZINC001193701520 846148546 /nfs/dbraw/zinc/14/85/46/846148546.db2.gz IKQUMLKZVDIWPW-IJLUTSLNSA-N 0 1 288.338 0.852 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)CC(F)F)C1 ZINC001193701521 846148613 /nfs/dbraw/zinc/14/86/13/846148613.db2.gz IKQUMLKZVDIWPW-QJPTWQEYSA-N 0 1 288.338 0.852 20 30 CCEDMN CC#CC[NH+](C)[C@H]1CCN(C(=O)[C@@H]2C[N@H+](CC)CCO2)C1 ZINC001194155594 846224732 /nfs/dbraw/zinc/22/47/32/846224732.db2.gz VXMRUSFKOJSBOK-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)CCOC)C1 ZINC001194146683 846225350 /nfs/dbraw/zinc/22/53/50/846225350.db2.gz USLNVNBGCSFNEF-STQMWFEESA-N 0 1 252.358 0.825 20 30 CCEDMN CCC[C@@H](O)CN1CCC(C#N)(C(=O)OC)CC1 ZINC001194394805 846285675 /nfs/dbraw/zinc/28/56/75/846285675.db2.gz XBDGMMAHQPNFEY-LLVKDONJSA-N 0 1 254.330 0.926 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCOCCOC)C1 ZINC001194420989 846287541 /nfs/dbraw/zinc/28/75/41/846287541.db2.gz XGNFMPWDMBLKOB-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2nonc2C)C1 ZINC001194472042 846300431 /nfs/dbraw/zinc/30/04/31/846300431.db2.gz PZTUGEIUJLUERQ-GFCCVEGCSA-N 0 1 292.339 0.174 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001194554505 846325610 /nfs/dbraw/zinc/32/56/10/846325610.db2.gz AQAMUURILOQRJL-KGLIPLIRSA-N 0 1 293.411 0.690 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2cncnc2)C1 ZINC001194712413 846375954 /nfs/dbraw/zinc/37/59/54/846375954.db2.gz YWCPVJIZTNHVNJ-ZIAGYGMSSA-N 0 1 290.367 0.147 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC(=O)NC)C2 ZINC001110469605 846515832 /nfs/dbraw/zinc/51/58/32/846515832.db2.gz JTTLKKRFNDAGGV-UPJWGTAASA-N 0 1 277.368 0.257 20 30 CCEDMN C=C(C)CNC(=O)[C@@H]1CC12CCN(CC(=O)NCC)CC2 ZINC001273699228 846530302 /nfs/dbraw/zinc/53/03/02/846530302.db2.gz KPAVGKHBGBPQGT-ZDUSSCGKSA-N 0 1 293.411 0.917 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CCS(=O)(=O)C2)c(O)c1 ZINC001195757364 846609774 /nfs/dbraw/zinc/60/97/74/846609774.db2.gz AZDKFFDCITUQLI-VIFPVBQESA-N 0 1 280.305 0.181 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](O)[C@@H](CO)C2)c(O)c1 ZINC001195740840 846618963 /nfs/dbraw/zinc/61/89/63/846618963.db2.gz LUERJOLIEKUJPJ-ZYHUDNBSSA-N 0 1 276.292 0.079 20 30 CCEDMN CN1CCO[C@H]2CN(C(=O)c3ccc(C#N)cc3O)C[C@H]21 ZINC001195741078 846619561 /nfs/dbraw/zinc/61/95/61/846619561.db2.gz NXTAYBDINJXYTP-OCCSQVGLSA-N 0 1 287.319 0.419 20 30 CCEDMN C#CCOCCC(=O)N1CCC[N@H+]([C@H](C)COC)CC1 ZINC001195798054 846628092 /nfs/dbraw/zinc/62/80/92/846628092.db2.gz AFDZRMBXJQZZSN-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001195923400 846649394 /nfs/dbraw/zinc/64/93/94/846649394.db2.gz AFDXOLCUJJOCCM-YRGRVCCFSA-N 0 1 270.373 0.396 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001195924045 846649428 /nfs/dbraw/zinc/64/94/28/846649428.db2.gz QXYCIACLVRJHKV-NFAWXSAZSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC23CC3)C1 ZINC001196401038 846744094 /nfs/dbraw/zinc/74/40/94/846744094.db2.gz WPAAGXGSMOILPM-JHJVBQTASA-N 0 1 280.368 0.150 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC(CC)CC)C1 ZINC001197220455 846883456 /nfs/dbraw/zinc/88/34/56/846883456.db2.gz GDWSXXYYKONFJN-ZIAGYGMSSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCC2(C)CC2)C1 ZINC001197451091 846903716 /nfs/dbraw/zinc/90/37/16/846903716.db2.gz UNBYDDCCBJSWRM-CHWSQXEVSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)COc2ccsc2)C1 ZINC001197833292 846971340 /nfs/dbraw/zinc/97/13/40/846971340.db2.gz FBGWNYZIMHICRN-CHWSQXEVSA-N 0 1 294.376 0.312 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@](C)(O)C=C)C2 ZINC001110500652 847028786 /nfs/dbraw/zinc/02/87/86/847028786.db2.gz IMECTVCCZBAKRT-GUIRCDHDSA-N 0 1 262.353 0.668 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)COc2ccccc2)C1 ZINC001198111530 847050555 /nfs/dbraw/zinc/05/05/55/847050555.db2.gz JGLGGYVDKXYMQO-HUUCEWRRSA-N 0 1 290.363 0.803 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CCCN(CCCO)CC1 ZINC001198343250 847090821 /nfs/dbraw/zinc/09/08/21/847090821.db2.gz HXMUNJABKFUFEK-CYBMUJFWSA-N 0 1 270.373 0.494 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](O)C(C)C)C2 ZINC001110522017 847195161 /nfs/dbraw/zinc/19/51/61/847195161.db2.gz JXYGXVGAIUHEIU-FVCCEPFGSA-N 0 1 252.358 0.911 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncc[nH]1)C2 ZINC001110529894 847277263 /nfs/dbraw/zinc/27/72/63/847277263.db2.gz ZYNDPDKFJKOSKN-UPJWGTAASA-N 0 1 290.367 0.947 20 30 CCEDMN C#CCOC[C@@H](O)CNCC(=O)Nc1ccccc1 ZINC001251890462 847350155 /nfs/dbraw/zinc/35/01/55/847350155.db2.gz BWVWJEUMDLPLDI-ZDUSSCGKSA-N 0 1 262.309 0.225 20 30 CCEDMN N#C[C@@H]1CN(C(=S)NC[C@@H]2CCCO2)CCC1=O ZINC001200017819 847506870 /nfs/dbraw/zinc/50/68/70/847506870.db2.gz FWRAPKYXMJTNGU-ZJUUUORDSA-N 0 1 267.354 0.454 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)CNC(C)=O ZINC001273805685 847538434 /nfs/dbraw/zinc/53/84/34/847538434.db2.gz UPMCHMFWFFBPTQ-ARJAWSKDSA-N 0 1 259.737 0.137 20 30 CCEDMN CC(C)C#CC(=O)N1CCC(NCc2nncn2C)CC1 ZINC001200441734 847628353 /nfs/dbraw/zinc/62/83/53/847628353.db2.gz MBGXEJVXYBCHSY-UHFFFAOYSA-N 0 1 289.383 0.555 20 30 CCEDMN C=C[C@H](O)CN1CCC[C@]12CCN([C@H](C)C(C)=O)C2=O ZINC001273880466 847721607 /nfs/dbraw/zinc/72/16/07/847721607.db2.gz CFNIIZAEDMWLFI-OSAQELSMSA-N 0 1 280.368 0.578 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CO[C@@H]1CCOC1)C2 ZINC001110561189 847748015 /nfs/dbraw/zinc/74/80/15/847748015.db2.gz QFCKJEUZIALXCE-TUVASFSCSA-N 0 1 292.379 0.537 20 30 CCEDMN Cc1nc2ccccn2c(=O)c1C(=O)Nc1nc[nH]c1C#N ZINC001201353248 847773581 /nfs/dbraw/zinc/77/35/81/847773581.db2.gz NFGLTSKOSXSLOW-UHFFFAOYSA-N 0 1 294.274 0.850 20 30 CCEDMN CC(C)[C@H](NC(N)=O)C(=O)Nc1cc(C#N)ccc1O ZINC001153000711 847905882 /nfs/dbraw/zinc/90/58/82/847905882.db2.gz XWRWIVWOUIQCTJ-NSHDSACASA-N 0 1 276.296 0.895 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1cccnc1OC ZINC001153081359 847921569 /nfs/dbraw/zinc/92/15/69/847921569.db2.gz PXVSGHIDDCXZPW-UHFFFAOYSA-N 0 1 293.367 0.889 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cccnc1)C2 ZINC001095315468 847982355 /nfs/dbraw/zinc/98/23/55/847982355.db2.gz UXWHZWRDUGZSOC-ILXRZTDVSA-N 0 1 269.348 0.979 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ccc(OC)nn1 ZINC001252488532 847986572 /nfs/dbraw/zinc/98/65/72/847986572.db2.gz PCSQCECLCANQTA-LLVKDONJSA-N 0 1 253.302 0.138 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cn(CCOC)cn1 ZINC001252495777 847990470 /nfs/dbraw/zinc/99/04/70/847990470.db2.gz RMBCIFQRPCVNMV-ZDUSSCGKSA-N 0 1 269.345 0.183 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccc1[O-])C2 ZINC001095345565 848257696 /nfs/dbraw/zinc/25/76/96/848257696.db2.gz XJJBMXNZCXXKPB-WOPDTQHZSA-N 0 1 271.320 0.756 20 30 CCEDMN CCOCCN1CC[C@]2(CCN(CCC#N)C2)C1=O ZINC001274030380 848309925 /nfs/dbraw/zinc/30/99/25/848309925.db2.gz KXDBKEPAINIXBF-AWEZNQCLSA-N 0 1 265.357 0.861 20 30 CCEDMN CC[C@@H](C(N)=O)N1CC[C@@]2(CCN(CCC#N)C2)C1=O ZINC001274031349 848311708 /nfs/dbraw/zinc/31/17/08/848311708.db2.gz ODDOQQUDCKJTPY-SMDDNHRTSA-N 0 1 278.356 0.088 20 30 CCEDMN C=CCN1C[C@@]2(CCN(CCCC#N)C2)OCC1=O ZINC001274039207 848315238 /nfs/dbraw/zinc/31/52/38/848315238.db2.gz USMIIQNLHCEKES-AWEZNQCLSA-N 0 1 263.341 0.779 20 30 CCEDMN CC(C)(C(=O)NC/C=C/CNCC(=O)NCC#N)C1CC1 ZINC001274300089 848444106 /nfs/dbraw/zinc/44/41/06/848444106.db2.gz ZGBUCKUQIWWOIG-ONEGZZNKSA-N 0 1 292.383 0.324 20 30 CCEDMN C#CCC[C@@H](O)CNCc1cn(C(C)(C)C)nn1 ZINC000717860172 848523696 /nfs/dbraw/zinc/52/36/96/848523696.db2.gz AFFNGLCXMRUCJK-GFCCVEGCSA-N 0 1 250.346 0.897 20 30 CCEDMN C=CCN1CC[C@]2(CCN(c3ncnc4[nH]cnc43)C2)C1=O ZINC001274674242 848538433 /nfs/dbraw/zinc/53/84/33/848538433.db2.gz XAWNNTAAMNKTTD-OAHLLOKOSA-N 0 1 298.350 0.968 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CNCc1snnc1C ZINC001274732618 848553418 /nfs/dbraw/zinc/55/34/18/848553418.db2.gz CSRGLKZNJXHRJR-NOZJJQNGSA-N 0 1 298.412 0.768 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H](C)CNCc1ccc(F)cn1 ZINC001274753710 848560047 /nfs/dbraw/zinc/56/00/47/848560047.db2.gz ZJWFNSMCACNISO-VXGBXAGGSA-N 0 1 293.342 0.853 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)Cc2ccoc2)CC1 ZINC001274903466 848588112 /nfs/dbraw/zinc/58/81/12/848588112.db2.gz GFQSSGOVUICLII-UHFFFAOYSA-N 0 1 290.363 0.789 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H](CC(=C)C)NC(C)=O ZINC001275665844 848786899 /nfs/dbraw/zinc/78/68/99/848786899.db2.gz ONJBOJRSDGSIAV-TZMCWYRMSA-N 0 1 279.384 0.527 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@@H](C)n1cccn1 ZINC001275772452 848816644 /nfs/dbraw/zinc/81/66/44/848816644.db2.gz FURXZTFKYNDULG-UONOGXRCSA-N 0 1 292.383 0.530 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)C1(C)CC1 ZINC001275836965 848840166 /nfs/dbraw/zinc/84/01/66/848840166.db2.gz YPEYHFMGZWEKOO-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(C(N)=O)o1 ZINC001275872215 848853555 /nfs/dbraw/zinc/85/35/55/848853555.db2.gz ABODYXPFIKPMSM-JTQLQIEISA-N 0 1 277.324 0.452 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)N(C)[C@@H]1CCNC1=O ZINC001275896620 848859423 /nfs/dbraw/zinc/85/94/23/848859423.db2.gz CCWYWLWFFZTMFQ-NWDGAFQWSA-N 0 1 281.400 0.914 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@@]1(C)CCOC1 ZINC001275962307 848874102 /nfs/dbraw/zinc/87/41/02/848874102.db2.gz FIICCCIJIPFTGO-HIFRSBDPSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CCCN1CC(CCO)(NC(=O)[C@@H]2CCCCN2C)C1 ZINC001276061783 848912134 /nfs/dbraw/zinc/91/21/34/848912134.db2.gz BCXPFQZMNMNAHQ-AWEZNQCLSA-N 0 1 295.427 0.600 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3n[nH]nc3n1)C2 ZINC001095500064 848957979 /nfs/dbraw/zinc/95/79/79/848957979.db2.gz IRWGLPMDNJSIEA-ICCXJUOJSA-N 0 1 298.350 0.874 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cn(C)nc1C)C2 ZINC001095544246 848984015 /nfs/dbraw/zinc/98/40/15/848984015.db2.gz ACUSDSXOVCAIGG-ILXRZTDVSA-N 0 1 286.379 0.626 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(=O)n(C)cn1)C2 ZINC001095558187 848987497 /nfs/dbraw/zinc/98/74/97/848987497.db2.gz KIFGYKKDLKIGAW-MDZLAQPJSA-N 0 1 288.351 0.301 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCC(N)=O)C2 ZINC001111259351 849135833 /nfs/dbraw/zinc/13/58/33/849135833.db2.gz OWLLWDXEBOQTCU-MCIONIFRSA-N 0 1 291.395 0.777 20 30 CCEDMN CN(C)CC#CCNC(=O)CCC(=O)OC(C)(C)C ZINC000717892483 849202148 /nfs/dbraw/zinc/20/21/48/849202148.db2.gz UEBGBIMBNAAZJN-UHFFFAOYSA-N 0 1 268.357 0.790 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cncc(OC)c1 ZINC001114546143 849237384 /nfs/dbraw/zinc/23/73/84/849237384.db2.gz XCLIYLCBVXVMTG-QLPKVWCKSA-N 0 1 299.374 0.702 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)CC)C[C@H]21 ZINC001114671002 849356687 /nfs/dbraw/zinc/35/66/87/849356687.db2.gz BJNBXZHCGIDZSD-IMRBUKKESA-N 0 1 293.411 0.723 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCN(C)C1=O)C2 ZINC001095889481 849359052 /nfs/dbraw/zinc/35/90/52/849359052.db2.gz WMCONGRRIOUMFQ-NDBYEHHHSA-N 0 1 277.368 0.372 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCN(C)C1=O)C2 ZINC001095889481 849359059 /nfs/dbraw/zinc/35/90/59/849359059.db2.gz WMCONGRRIOUMFQ-NDBYEHHHSA-N 0 1 277.368 0.372 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CCC)OCC ZINC001114719382 849378645 /nfs/dbraw/zinc/37/86/45/849378645.db2.gz FXBLECHAPRWXPZ-RFQIPJPRSA-N 0 1 264.369 0.871 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NC)C[C@H]21 ZINC001114760106 849388042 /nfs/dbraw/zinc/38/80/42/849388042.db2.gz UMFMQGLOWAEPNH-CIQGVGRVSA-N 0 1 279.384 0.524 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C1CN(CC#CC)C1 ZINC001276361267 849451824 /nfs/dbraw/zinc/45/18/24/849451824.db2.gz OFZNAHLHBNPRIF-GFCCVEGCSA-N 0 1 250.342 0.649 20 30 CCEDMN C=C[C@@H](O)CN1CCN(C(=O)OC(C)(C)C)C[C@@H](O)C1 ZINC001253572427 849487316 /nfs/dbraw/zinc/48/73/16/849487316.db2.gz NZSKHEUXZONOEE-NEPJUHHUSA-N 0 1 286.372 0.447 20 30 CCEDMN C=C[C@@H](O)CN1Cc2cn(C)nc2[C@@H](COC)C1 ZINC001253577753 849528378 /nfs/dbraw/zinc/52/83/78/849528378.db2.gz YQNBXFCCCOQTQB-VXGBXAGGSA-N 0 1 251.330 0.513 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001038024459 849646304 /nfs/dbraw/zinc/64/63/04/849646304.db2.gz BQKJDUJSDUUTAS-CYBMUJFWSA-N 0 1 259.309 0.147 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N(CC=C)CC(=O)[O-] ZINC000380942881 849697637 /nfs/dbraw/zinc/69/76/37/849697637.db2.gz RHUFNFGXSACJPQ-UHFFFAOYSA-N 0 1 267.329 0.224 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001038413051 849886323 /nfs/dbraw/zinc/88/63/23/849886323.db2.gz QJTGMKVZKQQNSX-CYBMUJFWSA-N 0 1 275.352 0.766 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001038444602 849901724 /nfs/dbraw/zinc/90/17/24/849901724.db2.gz AACTZCAJYVEDAT-ZDUSSCGKSA-N 0 1 273.336 0.213 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(C(N)=O)o1 ZINC001038488221 849920583 /nfs/dbraw/zinc/92/05/83/849920583.db2.gz CXIGRZWFUAJSDW-JTQLQIEISA-N 0 1 277.324 0.759 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)c1occc1CN(C)C ZINC001038653294 849970970 /nfs/dbraw/zinc/97/09/70/849970970.db2.gz UUGQUCWROVLYAR-ZDUSSCGKSA-N 0 1 275.352 0.779 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)[C@@H]1CCCc2[nH]cnc21 ZINC001038682942 849980626 /nfs/dbraw/zinc/98/06/26/849980626.db2.gz GDWKCAZROZLFRM-GHMZBOCLSA-N 0 1 273.340 0.544 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1c[nH]c(=O)cc1OC ZINC001038713226 849991817 /nfs/dbraw/zinc/99/18/17/849991817.db2.gz REVMEPQWOWOQCY-LLVKDONJSA-N 0 1 289.335 0.623 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2CCN2C[C@@H](C)OC)nn1 ZINC001038790940 850017165 /nfs/dbraw/zinc/01/71/65/850017165.db2.gz NGDJKGIAKLPNKM-NEPJUHHUSA-N 0 1 293.371 0.303 20 30 CCEDMN O=C(NC[C@@H]1CC[N@H+]1CC#Cc1ccccc1)c1nnc[n-]1 ZINC001038824900 850027266 /nfs/dbraw/zinc/02/72/66/850027266.db2.gz DJQQIZCFDHVLRY-AWEZNQCLSA-N 0 1 295.346 0.661 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)NC[C@@H]3CCN3CC#N)c2C1 ZINC001038859943 850053290 /nfs/dbraw/zinc/05/32/90/850053290.db2.gz NFTWNOMYEPEJFE-QWRGUYRKSA-N 0 1 287.367 0.862 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001038976345 850107711 /nfs/dbraw/zinc/10/77/11/850107711.db2.gz OAOYMELQLWYBMN-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@H]1CCN1CC#N ZINC001039047198 850137621 /nfs/dbraw/zinc/13/76/21/850137621.db2.gz CEBNMHGTJNERLZ-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc[nH]n1 ZINC001039352780 850177581 /nfs/dbraw/zinc/17/75/81/850177581.db2.gz GDSVGMXMBCFNDA-NEPJUHHUSA-N 0 1 288.355 0.326 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]c(=O)[nH]c1C ZINC001039390234 850184360 /nfs/dbraw/zinc/18/43/60/850184360.db2.gz CEMLOWNRLOTHCG-NEPJUHHUSA-N 0 1 288.351 0.736 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)N1C ZINC001039417366 850188156 /nfs/dbraw/zinc/18/81/56/850188156.db2.gz AJEPPJPGRZUFGO-RDBSUJKOSA-N 0 1 291.395 0.859 20 30 CCEDMN N#CCN1CCC2(CCN(C(=O)Cc3ccn[nH]3)C2)CC1 ZINC001040649701 850311662 /nfs/dbraw/zinc/31/16/62/850311662.db2.gz BFZZJWGVRJFKHL-UHFFFAOYSA-N 0 1 287.367 0.790 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnn(C)c3)C[C@@H]21 ZINC001041925589 850530449 /nfs/dbraw/zinc/53/04/49/850530449.db2.gz WVNVDWWGPSRRNS-JSGCOSHPSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3nccnc3N)C[C@H]21 ZINC001041986457 850548777 /nfs/dbraw/zinc/54/87/77/850548777.db2.gz QSELTRMTDFJTMU-NWDGAFQWSA-N 0 1 285.351 0.228 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cc3nnc(C)o3)C[C@H]21 ZINC001042046921 850567520 /nfs/dbraw/zinc/56/75/20/850567520.db2.gz RCUNUIDVWDGPCK-QWHCGFSZSA-N 0 1 288.351 0.477 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc4n(n3)CCC4)C[C@@H]21 ZINC001042082156 850575106 /nfs/dbraw/zinc/57/51/06/850575106.db2.gz FLCLVWYSXJATNY-CJNGLKHVSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc4n(n3)CCC4)C[C@H]21 ZINC001042082158 850575349 /nfs/dbraw/zinc/57/53/49/850575349.db2.gz FLCLVWYSXJATNY-XJKSGUPXSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnc4n3CCC4)C[C@@H]21 ZINC001042099818 850575963 /nfs/dbraw/zinc/57/59/63/850575963.db2.gz IXCGTBOXXYDQNM-ZFWWWQNUSA-N 0 1 298.390 0.999 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)CCc3cnc[nH]3)C[C@@H]21 ZINC001042089935 850577987 /nfs/dbraw/zinc/57/79/87/850577987.db2.gz HEKFKVWHVFROON-JSGCOSHPSA-N 0 1 287.367 0.789 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3coc(OC)n3)C[C@@H]21 ZINC001042163979 850587908 /nfs/dbraw/zinc/58/79/08/850587908.db2.gz CQYROJPAHISCBV-AAEUAGOBSA-N 0 1 289.335 0.853 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3coc(OC)n3)C[C@H]21 ZINC001042163981 850588102 /nfs/dbraw/zinc/58/81/02/850588102.db2.gz CQYROJPAHISCBV-WCQYABFASA-N 0 1 289.335 0.853 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3n[nH]cc3F)C[C@@H]21 ZINC001042218255 850598488 /nfs/dbraw/zinc/59/84/88/850598488.db2.gz IRJOSGYGNOFSGO-PWSUYJOCSA-N 0 1 276.315 0.718 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cnn(C)n3)C[C@@H]21 ZINC001042265057 850604860 /nfs/dbraw/zinc/60/48/60/850604860.db2.gz CSNQPRQMJYWUKB-OCCSQVGLSA-N 0 1 287.367 0.375 20 30 CCEDMN CN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CN(CC#N)C1 ZINC001042974498 850790902 /nfs/dbraw/zinc/79/09/02/850790902.db2.gz WMQMDOOHFYBREF-GHMZBOCLSA-N 0 1 259.313 0.179 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1Nc1ccc(C#N)nn1 ZINC001043079256 850809929 /nfs/dbraw/zinc/80/99/29/850809929.db2.gz JMBPEBWGBZEQMZ-BXKDBHETSA-N 0 1 297.322 0.644 20 30 CCEDMN CN(C(=O)c1ccn(-c2ccncc2)n1)C1CN(CC#N)C1 ZINC001043299135 850851701 /nfs/dbraw/zinc/85/17/01/850851701.db2.gz SOYCUKIAXDYJNX-UHFFFAOYSA-N 0 1 296.334 0.547 20 30 CCEDMN C=CCN1CC(N(C)C(=O)C2=NC(=O)N(C)C2)C1 ZINC001043751046 850931282 /nfs/dbraw/zinc/93/12/82/850931282.db2.gz VSFWTUFWOQKUAE-UHFFFAOYSA-N 0 1 250.302 0.068 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001043839808 850947426 /nfs/dbraw/zinc/94/74/26/850947426.db2.gz SBFDVUAENAGOFP-UHFFFAOYSA-N 0 1 268.267 0.737 20 30 CCEDMN C[C@H]1C[C@@H](NCC#N)CCN1C(=O)CCc1nc[nH]n1 ZINC001044533631 851119347 /nfs/dbraw/zinc/11/93/47/851119347.db2.gz AUQDWWAKXYWAIU-QWRGUYRKSA-N 0 1 276.344 0.230 20 30 CCEDMN CCN(CCCNC(=O)c1cnn[nH]1)c1ccc(C#N)cn1 ZINC001095987323 851130746 /nfs/dbraw/zinc/13/07/46/851130746.db2.gz AAPCUFUJIXDYAD-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2nnn(C)c2C)CC1 ZINC001045396749 851249090 /nfs/dbraw/zinc/24/90/90/851249090.db2.gz YXBCUNGZAZUCHE-UHFFFAOYSA-N 0 1 275.356 0.341 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2c(C)ncn2C)CC1 ZINC001045470217 851265652 /nfs/dbraw/zinc/26/56/52/851265652.db2.gz PLNTWVXOUWNDID-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccc(C(N)=O)o2)CC1 ZINC001045497317 851269314 /nfs/dbraw/zinc/26/93/14/851269314.db2.gz BKZFUJVVERYKOU-UHFFFAOYSA-N 0 1 289.335 0.596 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cc3ncccn3n2)CC1 ZINC001045593391 851285254 /nfs/dbraw/zinc/28/52/54/851285254.db2.gz AZZPAISIDYJECL-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cnn(C)c2N)C1 ZINC001046262475 851443215 /nfs/dbraw/zinc/44/32/15/851443215.db2.gz SKWROEIEBXWAQW-ZDUSSCGKSA-N 0 1 263.345 0.383 20 30 CCEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2ncn(C)n2)C1 ZINC001046285693 851450655 /nfs/dbraw/zinc/45/06/55/851450655.db2.gz AGQRVWPXUSFDSX-ZDUSSCGKSA-N 0 1 263.345 0.585 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cnnn2CC)C1 ZINC001046293998 851457259 /nfs/dbraw/zinc/45/72/59/851457259.db2.gz GIGQKJMBPDTIRS-ZDUSSCGKSA-N 0 1 263.345 0.678 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnn3ncccc23)C1 ZINC001046381942 851481806 /nfs/dbraw/zinc/48/18/06/851481806.db2.gz JIZRADIIAOWQJE-INIZCTEOSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001046430289 851499956 /nfs/dbraw/zinc/49/99/56/851499956.db2.gz ZWIGONUHNDHODH-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001046516916 851528738 /nfs/dbraw/zinc/52/87/38/851528738.db2.gz SDNKVYJZCJDKDT-DOMZBBRYSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@](C)(NC(=O)c2cn(C)nc2OC)C1 ZINC001046539540 851541333 /nfs/dbraw/zinc/54/13/33/851541333.db2.gz XZFDNQFADPCMRI-OAHLLOKOSA-N 0 1 290.367 0.646 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cnc(C)n2C)C1 ZINC001046570145 851551388 /nfs/dbraw/zinc/55/13/88/851551388.db2.gz VYIXKJAJIOUIEX-AWEZNQCLSA-N 0 1 260.341 0.556 20 30 CCEDMN C[C@@]1(NC(=O)[C@H]2CCCc3[nH]cnc32)CCN(CC#N)C1 ZINC001046612590 851565586 /nfs/dbraw/zinc/56/55/86/851565586.db2.gz RMVZYNXEIAPBFH-XHDPSFHLSA-N 0 1 287.367 0.934 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001046663928 851577647 /nfs/dbraw/zinc/57/76/47/851577647.db2.gz XQIINUXEJVSWLK-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001046663928 851577661 /nfs/dbraw/zinc/57/76/61/851577661.db2.gz XQIINUXEJVSWLK-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)c2cn(CC)nn2)C1 ZINC001046736328 851602625 /nfs/dbraw/zinc/60/26/25/851602625.db2.gz HJNOSVMHSJDLKN-ZDUSSCGKSA-N 0 1 263.345 0.678 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cn(CC)nn2)C1 ZINC001046736328 851602638 /nfs/dbraw/zinc/60/26/38/851602638.db2.gz HJNOSVMHSJDLKN-ZDUSSCGKSA-N 0 1 263.345 0.678 20 30 CCEDMN C[C@]1(NC(=O)[C@H]2CCc3[nH]cnc3C2)CCN(CC#N)C1 ZINC001046758301 851605982 /nfs/dbraw/zinc/60/59/82/851605982.db2.gz OWAFAERJPDRUJV-NHYWBVRUSA-N 0 1 287.367 0.619 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001046806036 851618583 /nfs/dbraw/zinc/61/85/83/851618583.db2.gz NXPVMVVXDGEIHZ-HOCLYGCPSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cccc(C(=O)NC)c2)C1 ZINC001046846171 851627865 /nfs/dbraw/zinc/62/78/65/851627865.db2.gz RPFNLRIVLFFBJU-KRWDZBQOSA-N 0 1 299.374 0.874 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001046857784 851633029 /nfs/dbraw/zinc/63/30/29/851633029.db2.gz HUFRFVFQRJQOEJ-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN(c2ncnc3[nH]cnc32)C1 ZINC001096149858 851661126 /nfs/dbraw/zinc/66/11/26/851661126.db2.gz FMKYWBUDKZNIBZ-ZJUUUORDSA-N 0 1 299.338 0.598 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)CC2CC2)C1 ZINC001047275711 851691605 /nfs/dbraw/zinc/69/16/05/851691605.db2.gz VXOZBDYZRVFTBM-STQMWFEESA-N 0 1 252.358 0.866 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cc[n+]([O-])cc2)C1 ZINC001047291127 851700352 /nfs/dbraw/zinc/70/03/52/851700352.db2.gz GEFHVBXBLCNRPC-KBPBESRZSA-N 0 1 291.351 0.013 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CCCOC2)C1 ZINC001047322649 851716292 /nfs/dbraw/zinc/71/62/92/851716292.db2.gz LAQDRLAVSCXTFO-IHRRRGAJSA-N 0 1 282.384 0.493 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CC2(F)F)C1 ZINC001047370484 851738924 /nfs/dbraw/zinc/73/89/24/851738924.db2.gz OWBAYMRZHLTHBX-DCAQKATOSA-N 0 1 274.311 0.721 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2n[nH]cc2C)C1 ZINC001047373314 851742180 /nfs/dbraw/zinc/74/21/80/851742180.db2.gz VJXFSDUTRJCRCU-RYUDHWBXSA-N 0 1 278.356 0.411 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2(C)CCC2)C1 ZINC001047489055 851782711 /nfs/dbraw/zinc/78/27/11/851782711.db2.gz HJDIHBUSWJEWEN-STQMWFEESA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001047497181 851788981 /nfs/dbraw/zinc/78/89/81/851788981.db2.gz QQJLUOVORYSFPC-QRTUWBSPSA-N 0 1 276.380 0.559 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]c(C)nc2C)C1 ZINC001047532590 851804618 /nfs/dbraw/zinc/80/46/18/851804618.db2.gz JAIRVDIDHKAACB-STQMWFEESA-N 0 1 290.367 0.167 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C(C)C)[nH]n2)C1 ZINC001047537444 851807605 /nfs/dbraw/zinc/80/76/05/851807605.db2.gz JUUPOFBKELAPRK-KBPBESRZSA-N 0 1 290.367 0.283 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cnoc2CC)C1 ZINC001047549959 851812808 /nfs/dbraw/zinc/81/28/08/851812808.db2.gz HXLOMCKEIHXHGG-STQMWFEESA-N 0 1 291.351 0.377 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN1C(=O)CCC1=O)C2 ZINC001096512011 852238042 /nfs/dbraw/zinc/23/80/42/852238042.db2.gz PCEWUQOLPQYMAB-WOPDTQHZSA-N 0 1 291.351 0.043 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)Cn1cc(C)cn1 ZINC001049360959 852241567 /nfs/dbraw/zinc/24/15/67/852241567.db2.gz QFLRFQLRKKEENC-LSDHHAIUSA-N 0 1 286.379 0.890 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)CN1CN=NC1=O ZINC001049428533 852267616 /nfs/dbraw/zinc/26/76/16/852267616.db2.gz MVNDTNOBDLFWOA-VXGBXAGGSA-N 0 1 291.355 0.235 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(=O)n(C)o1 ZINC001049448202 852282368 /nfs/dbraw/zinc/28/23/68/852282368.db2.gz XYKXEAGOKFRALZ-NEPJUHHUSA-N 0 1 289.335 0.290 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc(=O)n(C)o1 ZINC001049448202 852282374 /nfs/dbraw/zinc/28/23/74/852282374.db2.gz XYKXEAGOKFRALZ-NEPJUHHUSA-N 0 1 289.335 0.290 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnco1 ZINC001049622690 852321433 /nfs/dbraw/zinc/32/14/33/852321433.db2.gz YZLYWUCXZGAGPG-VXGBXAGGSA-N 0 1 259.309 0.987 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCN(C)C1=O ZINC001049651502 852333181 /nfs/dbraw/zinc/33/31/81/852333181.db2.gz IFEVXXYOQFWAKA-MGPQQGTHSA-N 0 1 289.379 0.163 20 30 CCEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1nnn(C)n1 ZINC001049653370 852334189 /nfs/dbraw/zinc/33/41/89/852334189.db2.gz ZYBXHAFYUPOXTG-GHMZBOCLSA-N 0 1 276.344 0.075 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cn(CC=C)nn1 ZINC001049773765 852370164 /nfs/dbraw/zinc/37/01/64/852370164.db2.gz RVRNPFKBFNHMFC-HUUCEWRRSA-N 0 1 299.378 0.776 20 30 CCEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnon1 ZINC001049808598 852377640 /nfs/dbraw/zinc/37/76/40/852377640.db2.gz QVYQPWQLPHCREG-STQMWFEESA-N 0 1 274.324 0.772 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccn(C)n1 ZINC001049894728 852392972 /nfs/dbraw/zinc/39/29/72/852392972.db2.gz HNCKTNHJFJSPIR-UONOGXRCSA-N 0 1 272.352 0.732 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cnn(C)c3C)[C@@H]2C1 ZINC001049973383 852410703 /nfs/dbraw/zinc/41/07/03/852410703.db2.gz CBRHREIRCXYPKU-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cc3cnn(C)c3)[C@@H]2C1 ZINC001049991418 852416605 /nfs/dbraw/zinc/41/66/05/852416605.db2.gz HBEWWJXIRXJTDX-LSDHHAIUSA-N 0 1 286.379 0.519 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnnn1C)C2 ZINC001097024342 852491160 /nfs/dbraw/zinc/49/11/60/852491160.db2.gz GIPMTCXGZNYAOD-MXWKQRLJSA-N 0 1 261.329 0.336 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(=O)n(C)c1)C2 ZINC001097416176 852537130 /nfs/dbraw/zinc/53/71/30/852537130.db2.gz CLJDOGNJCIUPJF-MCIONIFRSA-N 0 1 285.347 0.354 20 30 CCEDMN C[C@H](CNC(=O)[C@H]1CCCN1C)Nc1cncc(C#N)n1 ZINC001097728534 852592763 /nfs/dbraw/zinc/59/27/63/852592763.db2.gz CLUYTNKVPCDXCZ-ZYHUDNBSSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@@H](CNC(=O)CN1CCCC1)Nc1ccc(C#N)nn1 ZINC001097863452 852634528 /nfs/dbraw/zinc/63/45/28/852634528.db2.gz LSRGWQBVZPEBSB-NSHDSACASA-N 0 1 288.355 0.361 20 30 CCEDMN C[C@@H](CNC(=O)CN1CCCC1)Nc1ccncc1C#N ZINC001097864655 852635136 /nfs/dbraw/zinc/63/51/36/852635136.db2.gz UYVJSHAYACHVTA-LBPRGKRZSA-N 0 1 287.367 0.388 20 30 CCEDMN C=CCN1CC2(C1)CN(C(=O)[C@H]1CCCCN1C)CCO2 ZINC001053310929 852726616 /nfs/dbraw/zinc/72/66/16/852726616.db2.gz QUARXYYIXJTXLT-CQSZACIVSA-N 0 1 293.411 0.570 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2COC3(CN(CC#N)C3)C2)c1C ZINC001053760575 852809988 /nfs/dbraw/zinc/80/99/88/852809988.db2.gz IOOQELITIGWFGU-NSHDSACASA-N 0 1 289.339 0.123 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)c1[nH]nc(C)c1C)CO2 ZINC001053761024 852810465 /nfs/dbraw/zinc/81/04/65/852810465.db2.gz SEQIYDGVXXWGBU-LBPRGKRZSA-N 0 1 290.367 0.786 20 30 CCEDMN C#CC[NH2+][C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001054588473 852974794 /nfs/dbraw/zinc/97/47/94/852974794.db2.gz IXKBTBXTMNOHLB-GHMZBOCLSA-N 0 1 259.309 0.471 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cnn(C)c2N)C[C@H]1C ZINC001054592859 852975921 /nfs/dbraw/zinc/97/59/21/852975921.db2.gz BXMHUHAJKUUIIN-LDYMZIIASA-N 0 1 297.790 0.805 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccc3cncn3c2)C[C@H]1NCC#N ZINC001054905353 853035160 /nfs/dbraw/zinc/03/51/60/853035160.db2.gz DITFADHCMOWFFL-BXUZGUMPSA-N 0 1 283.335 0.908 20 30 CCEDMN N#Cc1cncc(NC2CCN(C(=O)c3ccn[nH]3)CC2)n1 ZINC001057277947 853324876 /nfs/dbraw/zinc/32/48/76/853324876.db2.gz ODWSPTJNVNKWRE-UHFFFAOYSA-N 0 1 297.322 0.788 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cn3ccnc3)[C@@H]2C1 ZINC001050213852 853337655 /nfs/dbraw/zinc/33/76/55/853337655.db2.gz BAGPNOSOXQECTG-UONOGXRCSA-N 0 1 272.352 0.439 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CC2(C1)CCN(CC#N)CC2 ZINC001050565782 853397797 /nfs/dbraw/zinc/39/77/97/853397797.db2.gz JJYPEBSKFDGZMI-CQSZACIVSA-N 0 1 290.411 0.919 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCO[C@H](CNCc2ccccn2)C1 ZINC001051495466 853597098 /nfs/dbraw/zinc/59/70/98/853597098.db2.gz VBMUBDWARCCOTG-TZMCWYRMSA-N 0 1 288.351 0.558 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@@H]1CCCN(CC#N)CC1 ZINC001052499105 853758512 /nfs/dbraw/zinc/75/85/12/853758512.db2.gz NWVVIHPKQNKMTI-KGLIPLIRSA-N 0 1 278.400 0.965 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cn(C)ccc2=O)CC1 ZINC001052550769 853765329 /nfs/dbraw/zinc/76/53/29/853765329.db2.gz VPVNEBZUCCIKJY-ZDUSSCGKSA-N 0 1 287.363 0.603 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](NC(=O)c3ncn[nH]3)C2)nc1 ZINC001058422681 853844227 /nfs/dbraw/zinc/84/42/27/853844227.db2.gz KUYZNBLZRYFUMV-SNVBAGLBSA-N 0 1 283.295 0.080 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](NC(=O)c3nc[nH]n3)C2)nc1 ZINC001058422681 853844229 /nfs/dbraw/zinc/84/42/29/853844229.db2.gz KUYZNBLZRYFUMV-SNVBAGLBSA-N 0 1 283.295 0.080 20 30 CCEDMN C[C@@H](CNC(=O)Cc1nnc[nH]1)Nc1ccc(C#N)cn1 ZINC001098056604 853879021 /nfs/dbraw/zinc/87/90/21/853879021.db2.gz SYROKJWXVABQNA-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H](C)C2CC2)C[C@@H]1n1ccnn1 ZINC001070168369 854032346 /nfs/dbraw/zinc/03/23/46/854032346.db2.gz FKVBSTPRRZMSCT-YUTCNCBUSA-N 0 1 287.367 0.299 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C#CC2CC2)C[C@H]1c1cn(C)cn1 ZINC001070492242 854067564 /nfs/dbraw/zinc/06/75/64/854067564.db2.gz UHHXWQOUXCPRIL-GOEBONIOSA-N 0 1 296.374 0.351 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)COC)C[C@H](C)O2 ZINC001071110127 854122600 /nfs/dbraw/zinc/12/26/00/854122600.db2.gz IOKNSLRAJIBNCX-GXTWGEPZSA-N 0 1 268.357 0.511 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@]2(CCN(CC#N)C2)O1 ZINC001071139440 854128125 /nfs/dbraw/zinc/12/81/25/854128125.db2.gz UYWCNDYOGWAQQW-BXUZGUMPSA-N 0 1 289.339 0.239 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@@H](C)O2 ZINC001071167479 854131947 /nfs/dbraw/zinc/13/19/47/854131947.db2.gz ZTKNIBGEJJBRIF-DOMZBBRYSA-N 0 1 290.367 0.901 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)c1cn[nH]n1)C[C@H](C)O2 ZINC001071183765 854133505 /nfs/dbraw/zinc/13/35/05/854133505.db2.gz SOFFCXFIJYMNJW-FZMZJTMJSA-N 0 1 291.355 0.296 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cc[n+]([O-])cc2)CC[C@H]1C ZINC001071328413 854157222 /nfs/dbraw/zinc/15/72/22/854157222.db2.gz XWYWBKTXOBDYKY-HIFRSBDPSA-N 0 1 287.363 0.926 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2cccn2)CC[C@@H]1C ZINC001071354201 854165860 /nfs/dbraw/zinc/16/58/60/854165860.db2.gz IRKCQITYJJXAGH-QWHCGFSZSA-N 0 1 260.341 0.485 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cc[nH]n2)CC[C@@H]1C ZINC001071403729 854177337 /nfs/dbraw/zinc/17/73/37/854177337.db2.gz CJTVTPCVRZIQFP-WCQYABFASA-N 0 1 260.341 0.555 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cn(C)nn2)CC[C@@H]1C ZINC001071467421 854209140 /nfs/dbraw/zinc/20/91/40/854209140.db2.gz RBLGLYIKCYJICA-QWRGUYRKSA-N 0 1 263.345 0.584 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cn(C)nn2)CC[C@H]1C ZINC001071467420 854210041 /nfs/dbraw/zinc/21/00/41/854210041.db2.gz RBLGLYIKCYJICA-MNOVXSKESA-N 0 1 263.345 0.584 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cn2nccn2)CC[C@H]1C ZINC001071537110 854233053 /nfs/dbraw/zinc/23/30/53/854233053.db2.gz TVYQIOJESDLDPC-VXGBXAGGSA-N 0 1 263.345 0.433 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@@H]1C ZINC001071593428 854245034 /nfs/dbraw/zinc/24/50/34/854245034.db2.gz BOIGLADWXXIPQZ-JQWIXIFHSA-N 0 1 274.324 0.398 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2c[nH]cn2)CC[C@H]1C ZINC001071852248 854308869 /nfs/dbraw/zinc/30/88/69/854308869.db2.gz XTXVJJKHBVAPBM-OLZOCXBDSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001071980155 854328988 /nfs/dbraw/zinc/32/89/88/854328988.db2.gz CQGPTDNZJYNXEZ-CMPLNLGQSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)c2nonc2C)CC[C@H]1C ZINC001072116874 854349622 /nfs/dbraw/zinc/34/96/22/854349622.db2.gz SOURIHKFVHBCDT-ZYHUDNBSSA-N 0 1 276.340 0.984 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(C)n[nH]3)C2)C1 ZINC001072520026 854403617 /nfs/dbraw/zinc/40/36/17/854403617.db2.gz UYCQXUIUFROJOG-UHFFFAOYSA-N 0 1 258.325 0.499 20 30 CCEDMN C=CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001072632195 854433053 /nfs/dbraw/zinc/43/30/53/854433053.db2.gz JMFUJKKGNBGRHT-PWSUYJOCSA-N 0 1 291.355 0.738 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cc(OC)no3)C2)C1 ZINC001072648172 854438157 /nfs/dbraw/zinc/43/81/57/854438157.db2.gz TZPLJFJIXBGDEX-UHFFFAOYSA-N 0 1 289.335 0.854 20 30 CCEDMN C#CCC[N@H+]1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001072653736 854439584 /nfs/dbraw/zinc/43/95/84/854439584.db2.gz PZLJOVBKULDNHC-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN C#CCC[N@@H+]1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001072653736 854439588 /nfs/dbraw/zinc/43/95/88/854439588.db2.gz PZLJOVBKULDNHC-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN CNC(=O)[C@H](C)N1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001072721597 854453097 /nfs/dbraw/zinc/45/30/97/854453097.db2.gz ZLEIOXZEFHZWTD-LBPRGKRZSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccnnc3)C2)C1 ZINC001073011071 854514383 /nfs/dbraw/zinc/51/43/83/854514383.db2.gz OFTZTXZMGAPKBM-UHFFFAOYSA-N 0 1 256.309 0.258 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2ccccn2)C1 ZINC001073515618 854573414 /nfs/dbraw/zinc/57/34/14/854573414.db2.gz ZOTGDLDUKABKSN-AWEZNQCLSA-N 0 1 287.363 0.926 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001073550559 854590250 /nfs/dbraw/zinc/59/02/50/854590250.db2.gz OFADAMOQDJHTMA-ZIAGYGMSSA-N 0 1 280.368 0.253 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ocnc2C)C1 ZINC001073566288 854598009 /nfs/dbraw/zinc/59/80/09/854598009.db2.gz OCKXUEDJKMMBDS-LBPRGKRZSA-N 0 1 279.340 0.990 20 30 CCEDMN C#CC[N@H+]1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073582612 854603621 /nfs/dbraw/zinc/60/36/21/854603621.db2.gz OZRQDBJIHCMIIF-LBPRGKRZSA-N 0 1 289.335 0.241 20 30 CCEDMN C#CCN1CCCO[C@@H](CNC(=O)c2ncccc2O)C1 ZINC001073582612 854603624 /nfs/dbraw/zinc/60/36/24/854603624.db2.gz OZRQDBJIHCMIIF-LBPRGKRZSA-N 0 1 289.335 0.241 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001073651955 854624452 /nfs/dbraw/zinc/62/44/52/854624452.db2.gz LKZMYKAMZZFZHC-CHWSQXEVSA-N 0 1 295.383 0.048 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H]2CCO[C@H]2C=C)C1 ZINC001073774429 854643923 /nfs/dbraw/zinc/64/39/23/854643923.db2.gz FPGOKGSPQQIYOJ-KFWWJZLASA-N 0 1 294.395 0.971 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2[nH]cnc2CC)C1 ZINC001073871989 854656973 /nfs/dbraw/zinc/65/69/73/854656973.db2.gz NPUNTZWZJOOMSQ-GFCCVEGCSA-N 0 1 292.383 0.979 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)CN3CCCC3)C[C@@H]21 ZINC001074405216 854726845 /nfs/dbraw/zinc/72/68/45/854726845.db2.gz NZORABFCWQZAPX-LSDHHAIUSA-N 0 1 293.411 0.570 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCCNC2=O)[C@H]1C ZINC001074697420 854767447 /nfs/dbraw/zinc/76/74/47/854767447.db2.gz LJLXCJWFPNHXFO-SRVKXCTJSA-N 0 1 299.802 0.844 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N2)[C@H]1C ZINC001075053791 854809162 /nfs/dbraw/zinc/80/91/62/854809162.db2.gz UOAQHXQWTGPDHI-DCAQKATOSA-N 0 1 285.775 0.597 20 30 CCEDMN Cc1cc(N[C@H](C)CNC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001098404608 854863378 /nfs/dbraw/zinc/86/33/78/854863378.db2.gz JMWYYEBFXINQAA-SECBINFHSA-N 0 1 285.311 0.032 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2CCCO2)C1 ZINC001098719162 854878583 /nfs/dbraw/zinc/87/85/83/854878583.db2.gz STGSXOBLESQXSE-YDHLFZDLSA-N 0 1 262.353 0.769 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cn(C)nc2C)C1 ZINC001098758136 854882902 /nfs/dbraw/zinc/88/29/02/854882902.db2.gz SDQWMQYALISITB-BBRMVZONSA-N 0 1 286.379 0.946 20 30 CCEDMN N#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2[nH]ncc2F)C1 ZINC001099013888 854906169 /nfs/dbraw/zinc/90/61/69/854906169.db2.gz AUWGRKROHRHZHH-ZANVPECISA-N 0 1 277.303 0.657 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)NC(N)=O ZINC001099028241 854907773 /nfs/dbraw/zinc/90/77/73/854907773.db2.gz ADMQLPPJFXXFQV-NWDGAFQWSA-N 0 1 294.399 0.283 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2[nH]cnc2C)C1 ZINC001099186937 854920405 /nfs/dbraw/zinc/92/04/05/854920405.db2.gz ADGXMEYINXODSZ-WFASDCNBSA-N 0 1 272.352 0.936 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2[nH]cnc2C)C1 ZINC001099186937 854920409 /nfs/dbraw/zinc/92/04/09/854920409.db2.gz ADGXMEYINXODSZ-WFASDCNBSA-N 0 1 272.352 0.936 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC(=O)N(C)C ZINC001099264148 854924057 /nfs/dbraw/zinc/92/40/57/854924057.db2.gz OIZMBLUHNBMUKA-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC(=O)N(C)C ZINC001099264147 854924577 /nfs/dbraw/zinc/92/45/77/854924577.db2.gz OIZMBLUHNBMUKA-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C\c2ccco2)[C@@H](O)C1 ZINC001099642890 854955329 /nfs/dbraw/zinc/95/53/29/854955329.db2.gz YATILHUEMNQBCV-BEWKBBBFSA-N 0 1 288.347 0.868 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCOC(C)C)[C@@H](O)C1 ZINC001099693228 854971329 /nfs/dbraw/zinc/97/13/29/854971329.db2.gz NYBPBLIGJWNZNS-OLZOCXBDSA-N 0 1 270.373 0.539 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cocn2)[C@@H](O)C1 ZINC001099720910 854976813 /nfs/dbraw/zinc/97/68/13/854976813.db2.gz LMXURZIURJNQHM-YPMHNXCESA-N 0 1 295.339 0.042 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCC2CC2)[C@H](O)C1 ZINC001099752471 854985290 /nfs/dbraw/zinc/98/52/90/854985290.db2.gz IXABSCKEKRJFRZ-UONOGXRCSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccoc2)[C@H](O)C1 ZINC001099778367 854992210 /nfs/dbraw/zinc/99/22/10/854992210.db2.gz JPENPIZXRQIVQR-ZIAGYGMSSA-N 0 1 278.352 0.950 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)COC(C)(C)C)[C@@H](O)C1 ZINC001099783175 854995619 /nfs/dbraw/zinc/99/56/19/854995619.db2.gz MFAOXZLDTFZBRF-OLZOCXBDSA-N 0 1 282.384 0.376 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)CC2(OC)CCC2)[C@H](O)C1 ZINC001099807053 854999832 /nfs/dbraw/zinc/99/98/32/854999832.db2.gz KBRYVBNRAKBWAA-ZIAGYGMSSA-N 0 1 294.395 0.520 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCN(CCOC(C)C)C[C@H]1O ZINC001099820328 855001019 /nfs/dbraw/zinc/00/10/19/855001019.db2.gz CWACNNPQEYPHGG-HUUCEWRRSA-N 0 1 296.411 0.622 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001099825841 855004657 /nfs/dbraw/zinc/00/46/57/855004657.db2.gz AZDIGKRXGOKMAQ-OLZOCXBDSA-N 0 1 264.369 0.773 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CCN(CC#CC)C[C@H]1O ZINC001099859065 855010052 /nfs/dbraw/zinc/01/00/52/855010052.db2.gz WLKMJNIVLPXNMA-ZIAGYGMSSA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccn(C)n2)[C@@H](O)C1 ZINC001099855745 855010803 /nfs/dbraw/zinc/01/08/03/855010803.db2.gz MLYVNISAAKTUMJ-KGLIPLIRSA-N 0 1 292.383 0.090 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(COCC)CC2)[C@@H](O)C1 ZINC001099898577 855024427 /nfs/dbraw/zinc/02/44/27/855024427.db2.gz DQJFSYGYKPUBTG-KBPBESRZSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CCF)CC2)[C@H](O)C1 ZINC001099931470 855036254 /nfs/dbraw/zinc/03/62/54/855036254.db2.gz RXURBQKOCCYLQY-VXGBXAGGSA-N 0 1 270.348 0.864 20 30 CCEDMN CN(CCNC(=O)c1[nH]ncc1F)c1ncccc1C#N ZINC001100309677 855143299 /nfs/dbraw/zinc/14/32/99/855143299.db2.gz IARVTUXDGMUSGU-UHFFFAOYSA-N 0 1 288.286 0.682 20 30 CCEDMN CN(CCNC(=O)Cc1cnc[nH]1)c1ccc(C#N)nc1 ZINC001100354003 855154554 /nfs/dbraw/zinc/15/45/54/855154554.db2.gz CNRWNJJYCKZRGZ-UHFFFAOYSA-N 0 1 284.323 0.471 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CN(C(C)=O)C[C@]2(C)C1 ZINC001101100150 855263075 /nfs/dbraw/zinc/26/30/75/855263075.db2.gz PMEAPTQIRXVZIL-OCCSQVGLSA-N 0 1 265.357 0.089 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@H]2CN(CCF)C[C@@]2(C)C1 ZINC001101233307 855275551 /nfs/dbraw/zinc/27/55/51/855275551.db2.gz GNEAXOMVDPDYCP-NFAWXSAZSA-N 0 1 282.359 0.775 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H]2CN([C@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001101229562 855275923 /nfs/dbraw/zinc/27/59/23/855275923.db2.gz GBESLSRDHQRHFR-IOASZLSFSA-N 0 1 291.395 0.300 20 30 CCEDMN CCc1cc(C(=O)N(C)CCNc2cnc(C#N)cn2)n[nH]1 ZINC001101508335 855300136 /nfs/dbraw/zinc/30/01/36/855300136.db2.gz FTVQSRPXXLUCMI-UHFFFAOYSA-N 0 1 299.338 0.818 20 30 CCEDMN Cc1cc(C(=O)N(C)CCNc2ccncc2C#N)n[nH]1 ZINC001101522447 855305459 /nfs/dbraw/zinc/30/54/59/855305459.db2.gz BWRXEYLEUFZPJA-UHFFFAOYSA-N 0 1 284.323 0.591 20 30 CCEDMN CN(CCNc1ncccc1C#N)C(=O)Cc1ccn[nH]1 ZINC001101535253 855308769 /nfs/dbraw/zinc/30/87/69/855308769.db2.gz ZJCWGNLCFDUAKP-UHFFFAOYSA-N 0 1 284.323 0.789 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)CC2CC2)[C@H](C)C1 ZINC001101672554 855339328 /nfs/dbraw/zinc/33/93/28/855339328.db2.gz RONQUGSPTPOUQR-TZMCWYRMSA-N 0 1 291.395 0.220 20 30 CCEDMN CC[C@@H](CNC(=O)Cc1cnc[nH]1)Nc1cnc(C#N)cn1 ZINC001103334541 855512956 /nfs/dbraw/zinc/51/29/56/855512956.db2.gz FVOJEFRGWPEHFX-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN N#Cc1cnc(NC2(CNC(=O)c3cnn[nH]3)CCC2)cn1 ZINC001111926172 855604987 /nfs/dbraw/zinc/60/49/87/855604987.db2.gz BBYVTUPVCKTFIV-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCCC(N)=O ZINC001115101503 855641448 /nfs/dbraw/zinc/64/14/48/855641448.db2.gz VYTVYJIIQLCRLY-JYAVWHMHSA-N 0 1 277.368 0.102 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CNC(=O)C(C)(C)C ZINC001115232002 855653515 /nfs/dbraw/zinc/65/35/15/855653515.db2.gz PLDGEMOZYCJSGH-IMRBUKKESA-N 0 1 291.395 0.218 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1ccn[nH]1)Nc1cnc(C#N)cn1 ZINC001115656701 855686012 /nfs/dbraw/zinc/68/60/12/855686012.db2.gz PMSXOECJDJLZON-VIFPVBQESA-N 0 1 285.311 0.644 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1ccn[nH]1)Nc1nccnc1C#N ZINC001115667512 855689324 /nfs/dbraw/zinc/68/93/24/855689324.db2.gz JMWPXWKINJAAIY-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C#CCOCCN(C)[C@H]1CCCN(C(C)C)C1=O ZINC001116396621 855758758 /nfs/dbraw/zinc/75/87/58/855758758.db2.gz IMTVUHVRBFBLRY-ZDUSSCGKSA-N 0 1 252.358 0.967 20 30 CCEDMN C=C[C@@H](COC)NC(=O)CCSc1nnc(C)[nH]1 ZINC001116649024 855805666 /nfs/dbraw/zinc/80/56/66/855805666.db2.gz KLWYKJZUOUMINQ-VIFPVBQESA-N 0 1 270.358 0.913 20 30 CCEDMN Cc1cc(C=NNc2ncnc3nc[nH]c32)ncn1 ZINC001117697615 856050282 /nfs/dbraw/zinc/05/02/82/856050282.db2.gz IDMXNTQQSVXICZ-UHFFFAOYSA-N 0 1 254.257 0.897 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC001117973445 856123657 /nfs/dbraw/zinc/12/36/57/856123657.db2.gz XBNVZGQOTQPNLU-QWHCGFSZSA-N 0 1 281.400 0.914 20 30 CCEDMN CCC[C@@H](NC(=O)[C@@H]([NH3+])CCCC#N)c1nn[n-]n1 ZINC001118123509 856169240 /nfs/dbraw/zinc/16/92/40/856169240.db2.gz OYDLWVPCWQDNJJ-DTWKUNHWSA-N 0 1 265.321 0.178 20 30 CCEDMN C=CCn1cc(C(=O)Nc2nc(C(C)C)n[nH]2)nn1 ZINC001118218906 856209677 /nfs/dbraw/zinc/20/96/77/856209677.db2.gz NWZCVKDHRKGQPD-UHFFFAOYSA-N 0 1 261.289 0.958 20 30 CCEDMN C=CCn1cc(C(=O)Nc2n[nH]c(C(C)C)n2)nn1 ZINC001118218906 856209691 /nfs/dbraw/zinc/20/96/91/856209691.db2.gz NWZCVKDHRKGQPD-UHFFFAOYSA-N 0 1 261.289 0.958 20 30 CCEDMN C=CCN1CC(=O)N(Cc2n[nH]c([C@H]3CCCO3)n2)C1=O ZINC001118368187 856277193 /nfs/dbraw/zinc/27/71/93/856277193.db2.gz IQRWSOUBLPRRGJ-SECBINFHSA-N 0 1 291.311 0.606 20 30 CCEDMN C[C@@H](Cc1c[nH]cn1)C(=O)NC1(C#N)CCN(C)CC1 ZINC001118372873 856278555 /nfs/dbraw/zinc/27/85/55/856278555.db2.gz AOEIKOQERPIAQV-NSHDSACASA-N 0 1 275.356 0.692 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CCC[C@@H](C(=O)[O-])C2)C1=O ZINC001119093583 856555595 /nfs/dbraw/zinc/55/55/95/856555595.db2.gz UOEXRTGBEWXDNI-MNOVXSKESA-N 0 1 252.314 0.570 20 30 CCEDMN C=CC[C@H](NC(=O)NC[C@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001119577600 856751602 /nfs/dbraw/zinc/75/16/02/856751602.db2.gz QSDOWNSKTANPLB-RYUDHWBXSA-N 0 1 299.371 0.283 20 30 CCEDMN N#Cc1csc(CNCC[C@@H]2CNC(=O)C2)n1 ZINC001119615162 856779202 /nfs/dbraw/zinc/77/92/02/856779202.db2.gz QMOGKQCEDGZVQJ-QMMMGPOBSA-N 0 1 250.327 0.631 20 30 CCEDMN Cn1cc(C(=O)[O-])cc1C=NN1CC[NH+](C)CC1 ZINC001120341538 857039919 /nfs/dbraw/zinc/03/99/19/857039919.db2.gz FLGCZTYVSVQSFO-UHFFFAOYSA-N 0 1 250.302 0.305 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C[C@@H](C)Nc1ncccc1C#N ZINC001120401021 857057632 /nfs/dbraw/zinc/05/76/32/857057632.db2.gz ZSIULGADMFBVQY-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN C=CCN1CC[C@@H](NC2(CNC(=O)/C(C)=C\C)CC2)C1=O ZINC001323421038 912337464 /nfs/dbraw/zinc/33/74/64/912337464.db2.gz AZKHIKOEYPLAPY-QWGHSUKYSA-N 0 1 291.395 0.978 20 30 CCEDMN CC#CCNC1(CNC(=O)C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001323481196 912366457 /nfs/dbraw/zinc/36/64/57/912366457.db2.gz HPSURFDXSXVTIR-GFCCVEGCSA-N 0 1 298.408 0.073 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CNC(=O)OC)C(C)(C)C1 ZINC001328068505 914954095 /nfs/dbraw/zinc/95/40/95/914954095.db2.gz QZYPOYCPSCVFLN-NSHDSACASA-N 0 1 281.356 0.192 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN(CC(=O)N(C)C)CC1 ZINC001393601832 912672641 /nfs/dbraw/zinc/67/26/41/912672641.db2.gz OUTBJLDEUZTMSG-VXGBXAGGSA-N 0 1 280.372 0.205 20 30 CCEDMN C#CCN1CCC(C(=O)N2Cc3c[nH]nc3C2)CC1 ZINC001324339640 912786993 /nfs/dbraw/zinc/78/69/93/912786993.db2.gz BBSQONDEQHULQY-UHFFFAOYSA-N 0 1 258.325 0.597 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)N2CCC(C#N)CC2)n[nH]1 ZINC001324519361 912885384 /nfs/dbraw/zinc/88/53/84/912885384.db2.gz HTIYMHCVSQUZMA-UHFFFAOYSA-N 0 1 275.312 0.097 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)COC2CCCC2)C1 ZINC001325070296 913183920 /nfs/dbraw/zinc/18/39/20/913183920.db2.gz XENLWMFYLHKCEW-INIZCTEOSA-N 0 1 294.395 0.522 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)Cc2ccoc2)C1 ZINC001325083036 913199347 /nfs/dbraw/zinc/19/93/47/913199347.db2.gz QJBUSUQKWIHZKW-AWEZNQCLSA-N 0 1 262.309 0.008 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@](C)(C=C)CC)C1 ZINC001325126141 913232839 /nfs/dbraw/zinc/23/28/39/913232839.db2.gz MASBUHNOIMPPKR-CABCVRRESA-N 0 1 264.369 0.775 20 30 CCEDMN C#CCN(CC1CC1)[C@H](C)C(=O)N1CCN(CC)CC1 ZINC001325182558 913262086 /nfs/dbraw/zinc/26/20/86/913262086.db2.gz WLTQXJKTXYPWSL-CQSZACIVSA-N 0 1 277.412 0.884 20 30 CCEDMN C#CCN(CC1CC1)[C@@H](C)C(=O)NC(=O)NC(C)C ZINC001325512031 913445279 /nfs/dbraw/zinc/44/52/79/913445279.db2.gz HTPVNFURMFBPGI-NSHDSACASA-N 0 1 265.357 0.954 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC001325627553 913500554 /nfs/dbraw/zinc/50/05/54/913500554.db2.gz NMOINSRUTRRLEI-RYUDHWBXSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc(NC(C)=O)cn1 ZINC001266280558 891415326 /nfs/dbraw/zinc/41/53/26/891415326.db2.gz KAMHMULFFMNWKE-UHFFFAOYSA-N 0 1 274.324 0.335 20 30 CCEDMN C#CCCCC(=O)NCCNC(=O)c1[nH]ncc1F ZINC001282988465 891598841 /nfs/dbraw/zinc/59/88/41/891598841.db2.gz QBQSVQVHRVESGN-UHFFFAOYSA-N 0 1 266.276 0.198 20 30 CCEDMN Cc1ocnc1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001325783614 913574940 /nfs/dbraw/zinc/57/49/40/913574940.db2.gz DKRNTKKJBWEZJK-UHFFFAOYSA-N 0 1 291.355 0.290 20 30 CCEDMN C=CCOCCN1CCC[C@@](CO)(NC(=O)CC)C1 ZINC001325817024 913585927 /nfs/dbraw/zinc/58/59/27/913585927.db2.gz DOHMHBAZIYDXAT-CQSZACIVSA-N 0 1 270.373 0.542 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@H](C)C#N ZINC001283363609 891756950 /nfs/dbraw/zinc/75/69/50/891756950.db2.gz OMDNBMPUAPAHAV-GFCCVEGCSA-N 0 1 251.330 0.234 20 30 CCEDMN CC[C@H]1C[C@H](C(=O)NCCN(C)CC#CCOC)CCO1 ZINC001480933296 891871673 /nfs/dbraw/zinc/87/16/73/891871673.db2.gz CJMWWQHRKLKGPK-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1nc2ncc(C)cn2n1 ZINC001480982243 892007371 /nfs/dbraw/zinc/00/73/71/892007371.db2.gz OBOSJRXJAFLLCO-UHFFFAOYSA-N 0 1 286.339 0.118 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)CCCF ZINC001481054247 892116786 /nfs/dbraw/zinc/11/67/86/892116786.db2.gz XRUCMDOLKKXMDE-CYBMUJFWSA-N 0 1 270.348 0.967 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001481052088 892117586 /nfs/dbraw/zinc/11/75/86/892117586.db2.gz JWIFQFCJDHLYMK-SWLSCSKDSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCc1cn(C)nc1C ZINC001481057948 892120986 /nfs/dbraw/zinc/12/09/86/892120986.db2.gz CFEQORWGBFXRSN-HNNXBMFYSA-N 0 1 288.395 0.875 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)C2CCC2)C1 ZINC001481073927 892133400 /nfs/dbraw/zinc/13/34/00/892133400.db2.gz WSIIRMWOZILTTK-OLZOCXBDSA-N 0 1 293.411 0.915 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@H]1CCO[C@@H]1C)C1CC1 ZINC001481191232 892288725 /nfs/dbraw/zinc/28/87/25/892288725.db2.gz AGVOGIRPQMCDJH-HIFRSBDPSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H](CCC)NC(N)=O)C1CC1 ZINC001481195354 892293060 /nfs/dbraw/zinc/29/30/60/892293060.db2.gz AKYJSFBKRHFSSV-GFCCVEGCSA-N 0 1 280.372 0.037 20 30 CCEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@@H](C)O ZINC001267711839 892350110 /nfs/dbraw/zinc/35/01/10/892350110.db2.gz GVYISZCMKRIUMF-RDBSUJKOSA-N 0 1 264.369 0.846 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C(C)(C)[C@H]1CCCCO1 ZINC001283760668 892373998 /nfs/dbraw/zinc/37/39/98/892373998.db2.gz XQBYMGVCNSCHAM-ZIAGYGMSSA-N 0 1 296.411 0.672 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H](NCc2ccn(C)n2)C[C@H]1C ZINC001283966718 892520006 /nfs/dbraw/zinc/52/00/06/892520006.db2.gz VCJHBKKYADYAMW-OCCSQVGLSA-N 0 1 292.383 0.702 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CCNC2=O)C1 ZINC001481404796 892617174 /nfs/dbraw/zinc/61/71/74/892617174.db2.gz SQRFECFOAYTEHG-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](CN(C)CC(=O)NCC)C1 ZINC001481480181 892726175 /nfs/dbraw/zinc/72/61/75/892726175.db2.gz RENMIPCZVCCWPW-ZDUSSCGKSA-N 0 1 281.400 0.869 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CN(C)CC(=O)NC2CC2)C1 ZINC001481480765 892728016 /nfs/dbraw/zinc/72/80/16/892728016.db2.gz YIZJAGMIJZSNCU-NWDGAFQWSA-N 0 1 292.383 0.205 20 30 CCEDMN C=CCN1C[C@@H]2C[C@H]1CN2C(=O)CCCCc1cn[nH]n1 ZINC001481675324 892991437 /nfs/dbraw/zinc/99/14/37/892991437.db2.gz KDNGEJASQPPMKG-KBPBESRZSA-N 0 1 289.383 0.989 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@H]1CCN(CCN2CCCC2=O)C1 ZINC001481719119 893081992 /nfs/dbraw/zinc/08/19/92/893081992.db2.gz FYTRSKRLYIKGAO-STQMWFEESA-N 0 1 292.383 0.301 20 30 CCEDMN C=CCCC(=O)N(C)[C@@H]1CCN([C@H]2CCCNC2=O)C1 ZINC001481721439 893092958 /nfs/dbraw/zinc/09/29/58/893092958.db2.gz SDADSRFPNCBOIM-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CCC(=O)NCCC)C1 ZINC001481747544 893111482 /nfs/dbraw/zinc/11/14/82/893111482.db2.gz QSKQCPPVCFLQCC-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001481861875 893227012 /nfs/dbraw/zinc/22/70/12/893227012.db2.gz QTANBMRCPYGDMF-JTQLQIEISA-N 0 1 298.774 0.964 20 30 CCEDMN C=C(Cl)CNC[C@]1(NC(=O)C(N)=O)CCCC[C@H]1C ZINC001481963276 893290780 /nfs/dbraw/zinc/29/07/80/893290780.db2.gz VBUNJPXZQAPLON-NOZJJQNGSA-N 0 1 287.791 0.879 20 30 CCEDMN C#CC[NH2+]CC[C@@H]1CCCN(C(=O)Cc2nnc[n-]2)C1 ZINC001481987783 893297891 /nfs/dbraw/zinc/29/78/91/893297891.db2.gz KXXDFQAAECLPML-LBPRGKRZSA-N 0 1 275.356 0.199 20 30 CCEDMN CCn1ncc(CNC[C@@H](C)CNC(=O)[C@@H](C)C#N)n1 ZINC001482086074 893376995 /nfs/dbraw/zinc/37/69/95/893376995.db2.gz WGJDFLQZICHVAL-MNOVXSKESA-N 0 1 278.360 0.300 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)CN[C@@H](C)c1nnnn1C ZINC001482106016 893398556 /nfs/dbraw/zinc/39/85/56/893398556.db2.gz SKISHPLYRCRHNU-RYUDHWBXSA-N 0 1 294.403 0.969 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CN(CC2CCC2)CCO1 ZINC001482185152 893474790 /nfs/dbraw/zinc/47/47/90/893474790.db2.gz QPHHNESLXYCHFX-WCQYABFASA-N 0 1 265.357 0.763 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C1CN(CC[C@H]2CCOC2)C1 ZINC001269787748 893645176 /nfs/dbraw/zinc/64/51/76/893645176.db2.gz SFUVOQKGJKAZNH-KBPBESRZSA-N 0 1 294.395 0.594 20 30 CCEDMN CN(CC(=O)N(C)[C@@H]1CCC[C@H]1C#N)[C@@H]1CCC[C@H]1O ZINC001363551874 893767765 /nfs/dbraw/zinc/76/77/65/893767765.db2.gz XUVGJKVNWGKHRU-REWJHTLYSA-N 0 1 279.384 0.982 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@H]2COC(=O)C2)C1 ZINC001042617453 894307678 /nfs/dbraw/zinc/30/76/78/894307678.db2.gz JASWNCZQXVBXJG-LLVKDONJSA-N 0 1 266.341 0.658 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](C)[C@@H]1CCCO1 ZINC001482627880 894424403 /nfs/dbraw/zinc/42/44/03/894424403.db2.gz VRPRKFQYYFPYLJ-OLZOCXBDSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(C2CC2)n[nH]1 ZINC001482630656 894434160 /nfs/dbraw/zinc/43/41/60/894434160.db2.gz WPXYDYJUBJHHEZ-UHFFFAOYSA-N 0 1 260.341 0.924 20 30 CCEDMN Cc1csc(CNC[C@H](O)CNC(=O)[C@H](C)C#N)n1 ZINC001482716433 894512153 /nfs/dbraw/zinc/51/21/53/894512153.db2.gz OELZYOMMOAHXNN-SCZZXKLOSA-N 0 1 282.369 0.178 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CCNCc1nncn1C ZINC001482828749 894633165 /nfs/dbraw/zinc/63/31/65/894633165.db2.gz SCYHXVOAGLWUTI-BXUZGUMPSA-N 0 1 295.387 0.127 20 30 CCEDMN C[C@H](CCNCc1cnn(C)n1)NC(=O)C#CC1CC1 ZINC001482830864 894638421 /nfs/dbraw/zinc/63/84/21/894638421.db2.gz BAVNEPSICYDVII-LLVKDONJSA-N 0 1 275.356 0.213 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](C)CCNCc1nnnn1C ZINC001482868183 894659266 /nfs/dbraw/zinc/65/92/66/894659266.db2.gz QHUSUPPUMGKOPZ-FZMZJTMJSA-N 0 1 294.403 0.797 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)c1ccc(C(N)=O)[nH]1 ZINC001482949983 894728490 /nfs/dbraw/zinc/72/84/90/894728490.db2.gz ADJAHXYBFNZFGK-UHFFFAOYSA-N 0 1 298.774 0.918 20 30 CCEDMN N#CCNC(=O)CNC/C=C\CNC(=O)C1CCCC1 ZINC001482976697 894747959 /nfs/dbraw/zinc/74/79/59/894747959.db2.gz STUXBZGFPKSEFD-ARJAWSKDSA-N 0 1 278.356 0.078 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)c1ccsn1 ZINC001483024522 894809164 /nfs/dbraw/zinc/80/91/64/894809164.db2.gz PSQATGLPDKPUIM-ONEGZZNKSA-N 0 1 294.380 0.321 20 30 CCEDMN CC(C)[C@@H]1C[C@H]1C(=O)NC/C=C/CNCC(=O)NCC#N ZINC001483077870 894880776 /nfs/dbraw/zinc/88/07/76/894880776.db2.gz WLRHOFDLFFWYNU-HORCIZIBSA-N 0 1 292.383 0.180 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H](C)CNCc1oc(C)nc1C ZINC001483127755 894972239 /nfs/dbraw/zinc/97/22/39/894972239.db2.gz IYIXCCPPIKODRD-ZYHUDNBSSA-N 0 1 293.367 0.924 20 30 CCEDMN C[C@H](CNCC#N)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001483129013 894979166 /nfs/dbraw/zinc/97/91/66/894979166.db2.gz OLFPHVFTUVGIEE-NXEZZACHSA-N 0 1 261.329 0.447 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ccn[nH]1 ZINC001396698184 913930944 /nfs/dbraw/zinc/93/09/44/913930944.db2.gz OEUVMQIHBNOZBA-SPFNVWMYSA-N 0 1 287.323 0.431 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@H]2[C@H](CCN2CC(N)=O)C1 ZINC001496860508 895352305 /nfs/dbraw/zinc/35/23/05/895352305.db2.gz VEEACPNUQWOATQ-ZIAGYGMSSA-N 0 1 291.395 0.588 20 30 CCEDMN COCCC(=O)NC[C@@H](CO)NCc1ccc(C#N)s1 ZINC001507163937 895437312 /nfs/dbraw/zinc/43/73/12/895437312.db2.gz AAEXIEWCBLSXOE-JTQLQIEISA-N 0 1 297.380 0.223 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccn2nnnc2c1 ZINC001483370502 895511481 /nfs/dbraw/zinc/51/14/81/895511481.db2.gz OXGHGKZAUUSYQW-LLVKDONJSA-N 0 1 286.339 0.198 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)CCCNCc1nnc(C)[nH]1 ZINC001483462660 895586748 /nfs/dbraw/zinc/58/67/48/895586748.db2.gz FEKGDEAOYGXPGS-AWEZNQCLSA-N 0 1 295.387 0.378 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H](C)n1cccn1 ZINC001483632303 895753350 /nfs/dbraw/zinc/75/33/50/895753350.db2.gz MCHQWTJSVDICJN-STQMWFEESA-N 0 1 260.341 0.658 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H](C)n1cncn1 ZINC001483641726 895780648 /nfs/dbraw/zinc/78/06/48/895780648.db2.gz HWBLHFIJQGJRKY-NEPJUHHUSA-N 0 1 261.329 0.053 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)CCCCc1cn[nH]n1 ZINC001483668751 895825605 /nfs/dbraw/zinc/82/56/05/895825605.db2.gz ZJAVNXOPPRCLJK-CQSZACIVSA-N 0 1 289.383 0.731 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)Cn1nccc1C ZINC001483684104 895849124 /nfs/dbraw/zinc/84/91/24/895849124.db2.gz RIZZJPWTYZUGTE-ZDUSSCGKSA-N 0 1 262.357 0.958 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)[C@H]2CC=CCC2)CC1 ZINC001483714059 895896751 /nfs/dbraw/zinc/89/67/51/895896751.db2.gz NJIRBLOEBXKDLW-LBPRGKRZSA-N 0 1 290.367 0.221 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2c[nH]c(=O)n2C)CC1 ZINC001483758777 896016297 /nfs/dbraw/zinc/01/62/97/896016297.db2.gz MZBHOXJFSREEGD-UHFFFAOYSA-N 0 1 284.747 0.730 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CN(C[C@@H]2CCOC2)C1 ZINC001483857335 896080374 /nfs/dbraw/zinc/08/03/74/896080374.db2.gz URTHLEZBTSFGAO-QWRGUYRKSA-N 0 1 251.330 0.325 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CN(C[C@H]2CCOC2)C1 ZINC001483857336 896080938 /nfs/dbraw/zinc/08/09/38/896080938.db2.gz URTHLEZBTSFGAO-WDEREUQCSA-N 0 1 251.330 0.325 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H](O)C(C)C)CC1 ZINC001483965244 896137643 /nfs/dbraw/zinc/13/76/43/896137643.db2.gz BTYFYNKGVASDHG-GFCCVEGCSA-N 0 1 252.358 0.607 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)COCCOC)C1 ZINC001484037990 896165755 /nfs/dbraw/zinc/16/57/55/896165755.db2.gz RMTKSJQVIMNYHO-CQSZACIVSA-N 0 1 268.357 0.253 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001484047983 896174304 /nfs/dbraw/zinc/17/43/04/896174304.db2.gz RSDOOXHWXMUSQO-OAHLLOKOSA-N 0 1 287.367 0.369 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@](C)(NC(=O)[C@@H](COC)OC)C1 ZINC001484056422 896180601 /nfs/dbraw/zinc/18/06/01/896180601.db2.gz BFXBVPWQCRPAEK-TZMCWYRMSA-N 0 1 270.373 0.805 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@]1(C)CCCN(CC#N)C1 ZINC001484166543 896217835 /nfs/dbraw/zinc/21/78/35/896217835.db2.gz JKJZCTHSHWFTOJ-HIFRSBDPSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](O)(CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001484224262 896253835 /nfs/dbraw/zinc/25/38/35/896253835.db2.gz GLJODCVTCPZENU-QGZVFWFLSA-N 0 1 299.374 0.759 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001484224262 896253850 /nfs/dbraw/zinc/25/38/50/896253850.db2.gz GLJODCVTCPZENU-QGZVFWFLSA-N 0 1 299.374 0.759 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccnn2C(C)C)C1 ZINC001484220176 896259849 /nfs/dbraw/zinc/25/98/49/896259849.db2.gz PHEJCJSIVXUMLL-OAHLLOKOSA-N 0 1 290.367 0.264 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)C2CC2)C1 ZINC001484253476 896287485 /nfs/dbraw/zinc/28/74/85/896287485.db2.gz HJWWLQNETOYDJO-NSHDSACASA-N 0 1 252.358 0.914 20 30 CCEDMN C[C@H](NCC#N)[C@@H]1CCN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001484344791 896351826 /nfs/dbraw/zinc/35/18/26/896351826.db2.gz ANWQTXVOSBHZMB-VHSXEESVSA-N 0 1 277.328 0.089 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)c2cc(F)c[nH]2)CC1 ZINC001484420211 896386397 /nfs/dbraw/zinc/38/63/97/896386397.db2.gz WMHOCIZJZSCXGE-UHFFFAOYSA-N 0 1 293.346 0.461 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)c2cnccn2)C1 ZINC001484426859 896392545 /nfs/dbraw/zinc/39/25/45/896392545.db2.gz WHPHDYUMABLKSE-OAHLLOKOSA-N 0 1 288.351 0.057 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@H]1CCNC1=O)CC2 ZINC001484576912 896479855 /nfs/dbraw/zinc/47/98/55/896479855.db2.gz OCRDEEVRWMXGHN-ZDUSSCGKSA-N 0 1 291.395 0.766 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCC[C@H](NC(=O)CC(C)C)CC1 ZINC001484703510 896550854 /nfs/dbraw/zinc/55/08/54/896550854.db2.gz HKYWEAAKAIIOJB-AWEZNQCLSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](NC(=O)CC(C)C)CC1 ZINC001484703510 896550867 /nfs/dbraw/zinc/55/08/67/896550867.db2.gz HKYWEAAKAIIOJB-AWEZNQCLSA-N 0 1 293.411 0.753 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001485014627 896720960 /nfs/dbraw/zinc/72/09/60/896720960.db2.gz ZRSKXPKNRNBEGE-CHWSQXEVSA-N 0 1 264.369 0.609 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2ncccc2F)CC1 ZINC001485025729 896723239 /nfs/dbraw/zinc/72/32/39/896723239.db2.gz KVCFFIZDTDIHSJ-UHFFFAOYSA-N 0 1 293.342 0.963 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cn(CC)cn2)CC1 ZINC001485028233 896723612 /nfs/dbraw/zinc/72/36/12/896723612.db2.gz MKAFCNMHQUWZIJ-UHFFFAOYSA-N 0 1 292.383 0.646 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H]1CN(C)[C@@H]1CCNC1=O ZINC001485050352 896736762 /nfs/dbraw/zinc/73/67/62/896736762.db2.gz MPFGHYYBRFCRHO-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](COC)OC ZINC001485069700 896748736 /nfs/dbraw/zinc/74/87/36/896748736.db2.gz RBIXRRHGCXXTRD-UONOGXRCSA-N 0 1 282.384 0.594 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001485153371 896817719 /nfs/dbraw/zinc/81/77/19/896817719.db2.gz QXHOOFBCAIGRGM-MNOVXSKESA-N 0 1 295.387 0.448 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](C)[C@@H]1CCN(C)C1=O ZINC001485296517 896923618 /nfs/dbraw/zinc/92/36/18/896923618.db2.gz BXMLTOVSJXFUEV-CHWSQXEVSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)N(C)[C@@H]1CCN(C)C1=O ZINC001485296517 896923626 /nfs/dbraw/zinc/92/36/26/896923626.db2.gz BXMLTOVSJXFUEV-CHWSQXEVSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCCCCC(=O)NC[C@H](C)N(C)[C@H]1CCN(C)C1=O ZINC001485295317 896924502 /nfs/dbraw/zinc/92/45/02/896924502.db2.gz JTVPRAWQQKCTBQ-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccc(-n2cnnc2)cc1 ZINC001485364731 896991417 /nfs/dbraw/zinc/99/14/17/896991417.db2.gz LICUPFUTLBQCFH-CYBMUJFWSA-N 0 1 297.362 0.951 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CCC(=O)NC1CCCC1 ZINC001485366196 896996263 /nfs/dbraw/zinc/99/62/63/896996263.db2.gz RYTZTHZPDOPQAH-ZDUSSCGKSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccc2c(c1)C(=O)NC2=O ZINC001485378748 897007934 /nfs/dbraw/zinc/00/79/34/897007934.db2.gz YZCDWHFGTGUXMT-SNVBAGLBSA-N 0 1 299.330 0.254 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)C1CCN(C(C)=O)CC1 ZINC001485381974 897008023 /nfs/dbraw/zinc/00/80/23/897008023.db2.gz HPMIVJQODMJBEC-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1csc(COC)n1 ZINC001485394172 897019710 /nfs/dbraw/zinc/01/97/10/897019710.db2.gz FTAAEBOMAWXCRY-JTQLQIEISA-N 0 1 281.381 0.973 20 30 CCEDMN CC(C)[C@H](CCN(C)C(=O)Cc1ccn[nH]1)NCC#N ZINC001485432272 897051728 /nfs/dbraw/zinc/05/17/28/897051728.db2.gz RTWNWSUPERANIV-ZDUSSCGKSA-N 0 1 277.372 0.938 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@@H]1CC12CC2 ZINC001485775831 897230066 /nfs/dbraw/zinc/23/00/66/897230066.db2.gz DUIWDZSWXZMOLG-ZDUSSCGKSA-N 0 1 264.369 0.874 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc3cc[nH]c32)C1 ZINC001077710426 897324706 /nfs/dbraw/zinc/32/47/06/897324706.db2.gz INUOSNAXIQANHP-HUUCEWRRSA-N 0 1 297.358 0.966 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H](O)C(C)C)C1 ZINC001107917287 897497144 /nfs/dbraw/zinc/49/71/44/897497144.db2.gz XNTGPQQJTXCHQZ-UKRRQHHQSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(C)c(=O)c1 ZINC001032482326 897630042 /nfs/dbraw/zinc/63/00/42/897630042.db2.gz XGSRUKJCUJSARH-KBPBESRZSA-N 0 1 285.347 0.307 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(C)c(=O)c1 ZINC001032482296 897633376 /nfs/dbraw/zinc/63/33/76/897633376.db2.gz WJAQLVWUBDUFOG-KBPBESRZSA-N 0 1 287.363 0.860 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(OC)cn1 ZINC001032587737 897784463 /nfs/dbraw/zinc/78/44/63/897784463.db2.gz ROIDJLGTYKLEHR-GJZGRUSLSA-N 0 1 299.374 0.941 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)F)[nH]n1 ZINC001032621344 897837037 /nfs/dbraw/zinc/83/70/37/897837037.db2.gz XBPHEPSCZHNSDZ-IUCAKERBSA-N 0 1 280.278 0.879 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@@H](C)Nc2nccnc2C#N)n[nH]1 ZINC001115622176 897871726 /nfs/dbraw/zinc/87/17/26/897871726.db2.gz JAPRLXFTCIHKFX-SNVBAGLBSA-N 0 1 299.338 0.952 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cn1cc(Cl)cn1 ZINC001032654954 897901647 /nfs/dbraw/zinc/90/16/47/897901647.db2.gz NHIXVIXXSBFFIF-STQMWFEESA-N 0 1 292.770 0.845 20 30 CCEDMN C#CCNCc1cccc(N2CC[C@@H](NC(C)=O)C2)n1 ZINC001128082560 897963965 /nfs/dbraw/zinc/96/39/65/897963965.db2.gz BAPJDKSYVIMASB-CQSZACIVSA-N 0 1 272.352 0.519 20 30 CCEDMN N#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccn[nH]1 ZINC001049344321 897972575 /nfs/dbraw/zinc/97/25/75/897972575.db2.gz CTPSCWGTDUAOKU-RYUDHWBXSA-N 0 1 259.313 0.612 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccco2)C1 ZINC001077875161 898000783 /nfs/dbraw/zinc/00/07/83/898000783.db2.gz FIMYGUPUAYKOSL-CHWSQXEVSA-N 0 1 262.309 0.007 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3cccnc3C)C2)OCC1=O ZINC001272828329 898022966 /nfs/dbraw/zinc/02/29/66/898022966.db2.gz NSAISWFVDKAGQU-QGZVFWFLSA-N 0 1 299.374 0.827 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1C[C@@H]2C[C@H]1CN2CCCO ZINC001032754139 898091968 /nfs/dbraw/zinc/09/19/68/898091968.db2.gz YGQFUEPFVXCDEF-IRXDYDNUSA-N 0 1 298.386 0.878 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCC(=O)N1C ZINC001032759078 898115770 /nfs/dbraw/zinc/11/57/70/898115770.db2.gz XGAUMVMWJHNIKM-IHRRRGAJSA-N 0 1 289.379 0.306 20 30 CCEDMN CN1C[C@@]2(CCN(Cc3ccc(C#N)cc3)C2)OCC1=O ZINC001272912587 898156987 /nfs/dbraw/zinc/15/69/87/898156987.db2.gz YJNFTIFJYVCBFN-MRXNPFEDSA-N 0 1 285.347 0.991 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CC=C(C)C)C[C@H]2O)cn1 ZINC001078024119 898188038 /nfs/dbraw/zinc/18/80/38/898188038.db2.gz YMVSPIOTDUZDOM-HZPDHXFCSA-N 0 1 299.374 0.804 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCCF)C[C@H]2O)nc1 ZINC001078029989 898191430 /nfs/dbraw/zinc/19/14/30/898191430.db2.gz BTJBYTIFJGCQID-ZIAGYGMSSA-N 0 1 291.326 0.197 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2c[nH]c3ncccc23)C1 ZINC001078198608 898290673 /nfs/dbraw/zinc/29/06/73/898290673.db2.gz VDSYWZQEVSAILA-ZIAGYGMSSA-N 0 1 298.346 0.361 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2nonc2C)C1 ZINC001078277499 898320918 /nfs/dbraw/zinc/32/09/18/898320918.db2.gz BIXKKAHZATXEFR-GHMZBOCLSA-N 0 1 280.328 0.119 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)Cc1nnc[nH]1 ZINC001078598030 898423584 /nfs/dbraw/zinc/42/35/84/898423584.db2.gz QYPDYVIRFFIBLD-JTQLQIEISA-N 0 1 293.371 0.570 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(OCC)nc1 ZINC001486002045 898562809 /nfs/dbraw/zinc/56/28/09/898562809.db2.gz LTIIHEWTOZHNIZ-CYBMUJFWSA-N 0 1 291.351 0.184 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CNC(=O)c1cccc2nc[nH]c21 ZINC001397557051 914266542 /nfs/dbraw/zinc/26/65/42/914266542.db2.gz YCWQAFSDUMNLRH-NXEZZACHSA-N 0 1 299.334 0.957 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)Cc1ccccc1 ZINC001486009591 898606064 /nfs/dbraw/zinc/60/60/64/898606064.db2.gz UUDHVOAHWVGMNA-CQSZACIVSA-N 0 1 260.337 0.319 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc2c(c1)COC2 ZINC001486045839 898610530 /nfs/dbraw/zinc/61/05/30/898610530.db2.gz ZMWBCDDVVPBWIZ-HNNXBMFYSA-N 0 1 288.347 0.420 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCc1c[nH]c2ccccc12 ZINC001486058601 898644990 /nfs/dbraw/zinc/64/49/90/898644990.db2.gz HYHMNAZXDGQGBX-AWEZNQCLSA-N 0 1 299.374 0.800 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ncccc1OC(C)C ZINC001486056531 898645322 /nfs/dbraw/zinc/64/53/22/898645322.db2.gz AVUIURMRKYRWAU-GFCCVEGCSA-N 0 1 291.351 0.182 20 30 CCEDMN C#CCNC(=O)CN1CC[C@]2(NC(=O)CCC)CCC[C@H]12 ZINC001486134725 898679212 /nfs/dbraw/zinc/67/92/12/898679212.db2.gz GQXSLNGDPHMCHE-XJKSGUPXSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCCC(=O)N[C@H](C)C1CCN(CC(N)=O)CC1 ZINC001486435566 898875440 /nfs/dbraw/zinc/87/54/40/898875440.db2.gz GRWNBZNYXQUIOT-LLVKDONJSA-N 0 1 267.373 0.655 20 30 CCEDMN C=CCCCCCN1CC(N(C)C(=O)CNC(=O)NC)C1 ZINC001323933632 899548461 /nfs/dbraw/zinc/54/84/61/899548461.db2.gz KTYMCOSLEVMMJS-UHFFFAOYSA-N 0 1 296.415 0.804 20 30 CCEDMN Cc1nc([C@H](C)N2CCN(C(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001415110279 899681108 /nfs/dbraw/zinc/68/11/08/899681108.db2.gz JWFJAJWIGUWIBX-ZJUUUORDSA-N 0 1 276.344 0.478 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@@H]1CC12CC2 ZINC001411095375 899681333 /nfs/dbraw/zinc/68/13/33/899681333.db2.gz IHHWVVIFBKJCRF-MNOVXSKESA-N 0 1 272.776 0.948 20 30 CCEDMN C=CCOCCN1CC[C@H](N(C)C(=O)c2cnn(C)n2)C1 ZINC001494854604 899888321 /nfs/dbraw/zinc/88/83/21/899888321.db2.gz YFRWEZYPWMAAIO-LBPRGKRZSA-N 0 1 293.371 0.164 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001191911366 900020798 /nfs/dbraw/zinc/02/07/98/900020798.db2.gz FLGIBTZOVXGRQV-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(=O)NC)C1 ZINC001191868755 900021460 /nfs/dbraw/zinc/02/14/60/900021460.db2.gz ZGQIXMKJXYABNY-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN CCN(C)c1ncc(NS(=O)(=O)[C@@H](C)C#N)cn1 ZINC001193110651 900033267 /nfs/dbraw/zinc/03/32/67/900033267.db2.gz AGIRNUKFWIKTAD-QMMMGPOBSA-N 0 1 269.330 0.586 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CN(C)C(=O)Cc1c[nH]cn1 ZINC001487921088 900153240 /nfs/dbraw/zinc/15/32/40/900153240.db2.gz OGQQKECWKVEUIE-GFCCVEGCSA-N 0 1 290.367 0.719 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)c2ccn[nH]2)C1 ZINC001488169421 900240499 /nfs/dbraw/zinc/24/04/99/900240499.db2.gz MQCUNYKWZHVNQQ-NWDGAFQWSA-N 0 1 288.351 0.932 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCC(=O)N1 ZINC001488672563 900336861 /nfs/dbraw/zinc/33/68/61/900336861.db2.gz PAFPUFHGSXNFPV-RYUDHWBXSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CC[C@@H](NCc2ncnn2C)C1 ZINC001488902714 900407052 /nfs/dbraw/zinc/40/70/52/900407052.db2.gz UCARNSSYZNXTPA-SDDRHHMPSA-N 0 1 290.371 0.349 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)CCCF)C1 ZINC001489164538 900445497 /nfs/dbraw/zinc/44/54/97/900445497.db2.gz TXRQTODWQDLDLY-CYBMUJFWSA-N 0 1 270.348 0.655 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CCCN(CC=C)C1 ZINC001490436868 900629918 /nfs/dbraw/zinc/62/99/18/900629918.db2.gz MUTQMKKJPMGUKB-CHWSQXEVSA-N 0 1 250.342 0.791 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCN1CCN(C[C@@H](C)O)CC1 ZINC001490499519 900640290 /nfs/dbraw/zinc/64/02/90/900640290.db2.gz AROMMLUJEXCGGW-HUUCEWRRSA-N 0 1 297.443 0.703 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ncoc2C)C1 ZINC001490524393 900660577 /nfs/dbraw/zinc/66/05/77/900660577.db2.gz FIMWKJMZWKFYBZ-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN CC#CC[N@H+](C)CCCN(C)C(=O)[C@H]1C[NH+](CC)CCO1 ZINC001327291902 914464852 /nfs/dbraw/zinc/46/48/52/914464852.db2.gz UXHATSPPWOTKRV-OAHLLOKOSA-N 0 1 295.427 0.511 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)Cc2c[nH]cn2)C1 ZINC001490565239 900668355 /nfs/dbraw/zinc/66/83/55/900668355.db2.gz FZKHAIFOUZNCKH-STQMWFEESA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@@H]1CCCN(C(=O)CCC)C1 ZINC001490746487 900716801 /nfs/dbraw/zinc/71/68/01/900716801.db2.gz CQWMYMWMLMRXLG-AWEZNQCLSA-N 0 1 293.411 0.706 20 30 CCEDMN CN(CC#N)C[C@H]1CCCN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001490772628 900724720 /nfs/dbraw/zinc/72/47/20/900724720.db2.gz PLGQQYDILLXHRF-LLVKDONJSA-N 0 1 291.355 0.433 20 30 CCEDMN N#CC1(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)CC2(CC2)C1 ZINC001411778637 901248433 /nfs/dbraw/zinc/24/84/33/901248433.db2.gz POIUXEYXIPCWLE-VIFPVBQESA-N 0 1 288.311 0.184 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCNC(=O)[C@@H]1CCCCN1C ZINC001415539498 901271929 /nfs/dbraw/zinc/27/19/29/901271929.db2.gz OEXGECHMORHMPC-RYUDHWBXSA-N 0 1 280.372 0.205 20 30 CCEDMN C[C@@H]1CC[N@@H+](CC(=O)N2CCN(C3CC3)[C@H](C#N)C2)C1 ZINC001412454867 901734129 /nfs/dbraw/zinc/73/41/29/901734129.db2.gz NKPYWCDHALUZAS-TZMCWYRMSA-N 0 1 276.384 0.527 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](N[C@H](C)c2nnc(C)[nH]2)C1 ZINC001490877751 902220390 /nfs/dbraw/zinc/22/03/90/902220390.db2.gz XQGXZLPBSCNSCT-YUSALJHKSA-N 0 1 293.371 0.614 20 30 CCEDMN CCc1ncc(CNS(=O)(=O)CC(C)(C)C#N)[nH]1 ZINC001413460787 902963554 /nfs/dbraw/zinc/96/35/54/902963554.db2.gz OKMVXWPUGLDHRF-UHFFFAOYSA-N 0 1 270.358 0.941 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@]1(C)CNC(=O)C1 ZINC001049608370 903030486 /nfs/dbraw/zinc/03/04/86/903030486.db2.gz OWVOBKWORSGIIX-ZENOOKHLSA-N 0 1 289.379 0.211 20 30 CCEDMN O=C(CCc1c[nH]nn1)N1CCC2(CC1)CC(=O)C=CO2 ZINC001413716831 903209199 /nfs/dbraw/zinc/20/91/99/903209199.db2.gz SAFXNFBHHGXUAF-UHFFFAOYSA-N 0 1 290.323 0.602 20 30 CCEDMN O=C(CCc1cnn[nH]1)N1CCC2(CC1)CC(=O)C=CO2 ZINC001413716831 903209212 /nfs/dbraw/zinc/20/92/12/903209212.db2.gz SAFXNFBHHGXUAF-UHFFFAOYSA-N 0 1 290.323 0.602 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1C[C@@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC001491017360 903293773 /nfs/dbraw/zinc/29/37/73/903293773.db2.gz IRDNDZBFMYLUAW-BETUJISGSA-N 0 1 299.378 0.832 20 30 CCEDMN COCC#CCN1CCC[C@@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001491098532 903360406 /nfs/dbraw/zinc/36/04/06/903360406.db2.gz OYCVQTIWWHGQCE-GJZGRUSLSA-N 0 1 294.395 0.501 20 30 CCEDMN O=C(C#CC1CC1)NC[C@H]1CCCN([C@H]2CCNC2=O)C1 ZINC001491105802 903367392 /nfs/dbraw/zinc/36/73/92/903367392.db2.gz XINNTNZEOYVHDV-KGLIPLIRSA-N 0 1 289.379 0.117 20 30 CCEDMN CCN(C)C(=O)CN1CC[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001493184728 903555410 /nfs/dbraw/zinc/55/54/10/903555410.db2.gz QQZDKPSFZDCSJA-RYUDHWBXSA-N 0 1 280.372 0.062 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)NCc1ccn(CC)n1 ZINC001491441213 903595732 /nfs/dbraw/zinc/59/57/32/903595732.db2.gz KDZRUMWPKVJGIX-DOMZBBRYSA-N 0 1 294.399 0.824 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)NCc1snnc1C ZINC001491441428 903595771 /nfs/dbraw/zinc/59/57/71/903595771.db2.gz MTMRAPOXUKDPBY-NOZJJQNGSA-N 0 1 298.412 0.768 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC001398613341 914745454 /nfs/dbraw/zinc/74/54/54/914745454.db2.gz RWYVUURULGIVJX-IEBDPFPHSA-N 0 1 288.355 0.579 20 30 CCEDMN C#CCN(C(=O)NCc1n[nH]c(COC)n1)C1CC1 ZINC001327775297 914757071 /nfs/dbraw/zinc/75/70/71/914757071.db2.gz SNVZVBLZIFEIJQ-UHFFFAOYSA-N 0 1 263.301 0.258 20 30 CCEDMN C#CCN(C(=O)NCc1nnc(COC)[nH]1)C1CC1 ZINC001327775297 914757090 /nfs/dbraw/zinc/75/70/90/914757090.db2.gz SNVZVBLZIFEIJQ-UHFFFAOYSA-N 0 1 263.301 0.258 20 30 CCEDMN CN1[C@@H]2C[C@@H](Oc3ccn4ncc(C#N)c4n3)[C@H]1CC(=O)C2 ZINC001230966348 903933040 /nfs/dbraw/zinc/93/30/40/903933040.db2.gz QIVNPWOBALCHAS-CYZMBNFOSA-N 0 1 297.318 0.784 20 30 CCEDMN C=C(C)CCC(=O)NCC[C@H](C)NCc1nnnn1C ZINC001281716818 904337633 /nfs/dbraw/zinc/33/76/33/904337633.db2.gz HLLBTNBLDWMWSN-NSHDSACASA-N 0 1 280.376 0.551 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)CC[C@H]1CCOC1 ZINC001282374306 904462602 /nfs/dbraw/zinc/46/26/02/904462602.db2.gz XVHOZPMULPXSDZ-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cn2cccnc2n1 ZINC001282425226 904473154 /nfs/dbraw/zinc/47/31/54/904473154.db2.gz PYCBCPFWQAVFDI-LBPRGKRZSA-N 0 1 285.351 0.803 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](CC)NC(C)=O ZINC001282462778 904483515 /nfs/dbraw/zinc/48/35/15/904483515.db2.gz ZCFSOXDEEGQNQO-WCQYABFASA-N 0 1 267.373 0.361 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)Cc1ncc[nH]1 ZINC001282479653 904486464 /nfs/dbraw/zinc/48/64/64/904486464.db2.gz ZBIBJGROJAICHI-LBPRGKRZSA-N 0 1 278.356 0.039 20 30 CCEDMN C=CCCN(C)[C@@H](C)CNC(=O)[C@H](C)CS(C)(=O)=O ZINC001282495401 904492030 /nfs/dbraw/zinc/49/20/30/904492030.db2.gz FMJGVBMGGSKCEU-NEPJUHHUSA-N 0 1 290.429 0.680 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N[C@H](C)C1CN(CC#N)C1 ZINC001282716790 904530397 /nfs/dbraw/zinc/53/03/97/904530397.db2.gz MYYMORKETOOBNU-YPMHNXCESA-N 0 1 284.379 0.626 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N[C@@H](C)C1CN(CC#N)C1 ZINC001282716781 904530474 /nfs/dbraw/zinc/53/04/74/904530474.db2.gz MYYMORKETOOBNU-AAEUAGOBSA-N 0 1 284.379 0.626 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCC(=O)NCC)C(C)(C)C1 ZINC001282831904 904546405 /nfs/dbraw/zinc/54/64/05/904546405.db2.gz GHHAOZWKVAPVOS-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCCCNC(=O)[C@@H](C)C#N ZINC001283266440 904787468 /nfs/dbraw/zinc/78/74/68/904787468.db2.gz ZIFFYSBSEIXVJP-MNOVXSKESA-N 0 1 291.355 0.370 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@@H](C)NCc1nccn1C ZINC001283608816 904928272 /nfs/dbraw/zinc/92/82/72/904928272.db2.gz VQBJTAGILVHWPP-CHWSQXEVSA-N 0 1 292.383 0.395 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc(Cl)c1C ZINC001283759358 904997128 /nfs/dbraw/zinc/99/71/28/904997128.db2.gz GTNPPSKULYAGNU-LLVKDONJSA-N 0 1 280.755 0.962 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@@H](CO)N[C@H](C)C(=O)N(C)C ZINC001283760169 905003838 /nfs/dbraw/zinc/00/38/38/905003838.db2.gz TZSRHPURQOUVQS-NEPJUHHUSA-N 0 1 299.415 0.132 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1(CF)CCC1 ZINC001283803040 905023895 /nfs/dbraw/zinc/02/38/95/905023895.db2.gz DHWOXXQHGALJGI-NSHDSACASA-N 0 1 256.321 0.216 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(C)cc1OC ZINC001283827003 905041635 /nfs/dbraw/zinc/04/16/35/905041635.db2.gz ZISSRROTNFTISG-LBPRGKRZSA-N 0 1 276.336 0.317 20 30 CCEDMN C=C[C@H](C(=O)NC[C@H](CO)NCCF)c1ccccc1 ZINC001283832724 905049077 /nfs/dbraw/zinc/04/90/77/905049077.db2.gz XDUZNFKDHLRRPZ-KGLIPLIRSA-N 0 1 280.343 0.992 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)NC(=O)[C@@H]1CCCCN1C ZINC001284227623 905208256 /nfs/dbraw/zinc/20/82/56/905208256.db2.gz MWEGAFXPVGLWKA-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCCCC(=O)NC[C@H](C)NC(=O)Cc1n[nH]c(C)n1 ZINC001284317937 905248279 /nfs/dbraw/zinc/24/82/79/905248279.db2.gz UAXBVLBDTNUXLQ-JTQLQIEISA-N 0 1 293.371 0.633 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC1CN(C(=O)c2ccn[nH]2)C1 ZINC001284888971 905463525 /nfs/dbraw/zinc/46/35/25/905463525.db2.gz XHKUTQIBJIIYCB-JTQLQIEISA-N 0 1 292.339 0.332 20 30 CCEDMN CC#CCCCC(=O)N1CC(NC(=O)CN2CCCC2)C1 ZINC001284905591 905484949 /nfs/dbraw/zinc/48/49/49/905484949.db2.gz DNCBRLFZBYBUHA-UHFFFAOYSA-N 0 1 291.395 0.603 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H](C)C#N)NCC(=O)Nc1ccon1 ZINC001378239446 905524337 /nfs/dbraw/zinc/52/43/37/905524337.db2.gz BYABWZHUWKSPLT-UWVGGRQHSA-N 0 1 293.327 0.257 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H](C)N(C)C(=O)c1ccn[nH]1 ZINC001285724674 905740309 /nfs/dbraw/zinc/74/03/09/905740309.db2.gz ZAIHMFHFGLWTEI-LLVKDONJSA-N 0 1 294.355 0.579 20 30 CCEDMN C=CCCOCC(=O)NC[C@H](C)N(C)C(=O)c1ccn[nH]1 ZINC001285724675 905740635 /nfs/dbraw/zinc/74/06/35/905740635.db2.gz ZAIHMFHFGLWTEI-NSHDSACASA-N 0 1 294.355 0.579 20 30 CCEDMN CN(C(=O)c1cnc(CN)nc1)[C@H]1CCC[C@H]1C#N ZINC001332992607 905874950 /nfs/dbraw/zinc/87/49/50/905874950.db2.gz IFYBDPJDWHUYJE-ONGXEEELSA-N 0 1 259.313 0.700 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H](C)NC(C)=O)CC1 ZINC001287636987 905939918 /nfs/dbraw/zinc/93/99/18/905939918.db2.gz ISFJDDYRJWPJEX-LBPRGKRZSA-N 0 1 279.384 0.315 20 30 CCEDMN C=CCCCC(=O)NC[C@@H](O)CN(C)C(=O)c1ccn[nH]1 ZINC001288065139 906005570 /nfs/dbraw/zinc/00/55/70/906005570.db2.gz UCHPHCTVAXYOJO-LLVKDONJSA-N 0 1 294.355 0.315 20 30 CCEDMN C=C(Br)CNC[C@H](O)CNC(=O)[C@H](C)C#N ZINC001379192117 906053235 /nfs/dbraw/zinc/05/32/35/906053235.db2.gz VVTRRBIERHNDEW-APPZFPTMSA-N 0 1 290.161 0.121 20 30 CCEDMN C#CCCCC(=O)NCCNC(=O)[C@@H]1CCCN1C ZINC001292583195 906287226 /nfs/dbraw/zinc/28/72/26/906287226.db2.gz AQEACNHCRWUCRF-LBPRGKRZSA-N 0 1 265.357 0.117 20 30 CCEDMN O=C(CC#Cc1ccccc1)NCCNC(=O)c1ncn[nH]1 ZINC001292822114 906345475 /nfs/dbraw/zinc/34/54/75/906345475.db2.gz YLZKHROWXLZKMR-UHFFFAOYSA-N 0 1 297.318 0.093 20 30 CCEDMN O=C(CC#Cc1ccccc1)NCCNC(=O)c1nc[nH]n1 ZINC001292822114 906345489 /nfs/dbraw/zinc/34/54/89/906345489.db2.gz YLZKHROWXLZKMR-UHFFFAOYSA-N 0 1 297.318 0.093 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cncc(OC)n1 ZINC001379563687 906350819 /nfs/dbraw/zinc/35/08/19/906350819.db2.gz NJUPCDIHBSTOPD-VIFPVBQESA-N 0 1 284.747 0.946 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCCC(N)=O)C1 ZINC001379590943 906364706 /nfs/dbraw/zinc/36/47/06/906364706.db2.gz VDYWYOSBDAMQGW-QWRGUYRKSA-N 0 1 287.791 0.974 20 30 CCEDMN C[C@H](O)CCCN1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001379961440 906558656 /nfs/dbraw/zinc/55/86/56/906558656.db2.gz GHEBEYNCDBVRFY-SMDDNHRTSA-N 0 1 290.367 0.851 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001295178003 906690789 /nfs/dbraw/zinc/69/07/89/906690789.db2.gz ULKOVIDLPMEWDK-FRRDWIJNSA-N 0 1 279.384 0.810 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)CNC(=O)c2ncn[nH]2)cc1 ZINC001295607362 906766770 /nfs/dbraw/zinc/76/67/70/906766770.db2.gz RBZJNWKQFLOQLE-JTQLQIEISA-N 0 1 297.318 0.334 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)CNC(=O)c2nc[nH]n2)cc1 ZINC001295607362 906766785 /nfs/dbraw/zinc/76/67/85/906766785.db2.gz RBZJNWKQFLOQLE-JTQLQIEISA-N 0 1 297.318 0.334 20 30 CCEDMN C=C(CO)C(=O)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC001295931123 906823352 /nfs/dbraw/zinc/82/33/52/906823352.db2.gz ZFYRSHUTGWCKQT-NSHDSACASA-N 0 1 258.281 0.559 20 30 CCEDMN CNS(=O)(=O)c1cc(NC(=O)CC#N)ccc1O ZINC001297651939 907097845 /nfs/dbraw/zinc/09/78/45/907097845.db2.gz JFSBKPYGJNQBBF-UHFFFAOYSA-N 0 1 269.282 0.152 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001298895151 907351533 /nfs/dbraw/zinc/35/15/33/907351533.db2.gz IFGGPGRAWKPNDQ-NSHDSACASA-N 0 1 278.356 0.929 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](C)CC(N)=O ZINC001382126760 907560546 /nfs/dbraw/zinc/56/05/46/907560546.db2.gz WLYCFGOMFWIPOA-WPRPVWTQSA-N 0 1 275.780 0.687 20 30 CCEDMN COCC#CCN(C)[C@H]1CCCN(C(=O)COC(C)C)C1 ZINC001491909187 907635584 /nfs/dbraw/zinc/63/55/84/907635584.db2.gz FBYFTMUJMJQIPW-HNNXBMFYSA-N 0 1 296.411 0.984 20 30 CCEDMN COCC#CCN(C)CCNC(=O)COC(C)(C)C ZINC001492231251 907785930 /nfs/dbraw/zinc/78/59/30/907785930.db2.gz PMGJDJAQXFYOBN-UHFFFAOYSA-N 0 1 270.373 0.499 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cccc2n[nH]nc21 ZINC001492260962 907815610 /nfs/dbraw/zinc/81/56/10/907815610.db2.gz GZIVNOGZIKFMAF-UHFFFAOYSA-N 0 1 257.297 0.253 20 30 CCEDMN CC#CCCCC(=O)NCCN(C)CCn1cncn1 ZINC001492379228 907883951 /nfs/dbraw/zinc/88/39/51/907883951.db2.gz ZDBONCBFMPJHIF-UHFFFAOYSA-N 0 1 277.372 0.520 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N(C)CCCNC(=O)c1cnn[nH]1 ZINC001492423502 907914247 /nfs/dbraw/zinc/91/42/47/907914247.db2.gz XJKOWPKFKIESPN-UHFFFAOYSA-N 0 1 293.371 0.985 20 30 CCEDMN C#CCN(C(=O)C1=C[S@](=O)CCC1)C1CCN(C)CC1 ZINC001303999975 908134171 /nfs/dbraw/zinc/13/41/71/908134171.db2.gz AKQCIBJKTCSNOJ-HXUWFJFHSA-N 0 1 294.420 0.969 20 30 CCEDMN COC(=O)CCCONC(=O)Cc1noc(C)c1C#N ZINC001304129162 908149241 /nfs/dbraw/zinc/14/92/41/908149241.db2.gz FDQJDXKZSKQLCI-UHFFFAOYSA-N 0 1 281.268 0.398 20 30 CCEDMN Cc1nc(CNC(=O)Cc2ccc(C#N)nc2)n[nH]1 ZINC001304627818 908179801 /nfs/dbraw/zinc/17/98/01/908179801.db2.gz JHTFKOKZHJRGFX-UHFFFAOYSA-N 0 1 256.269 0.239 20 30 CCEDMN C=CCC[C@@H](O)CN(CCC(F)(F)F)C[C@H](O)CO ZINC001307565444 908319300 /nfs/dbraw/zinc/31/93/00/908319300.db2.gz NCGYNUXLBHSSPK-MNOVXSKESA-N 0 1 285.306 0.921 20 30 CCEDMN C#CCN(C(=O)Nc1nn[nH]c1C(=O)NC)C(C)C ZINC001311173376 908539442 /nfs/dbraw/zinc/53/94/42/908539442.db2.gz UJDXABXMOOINCM-UHFFFAOYSA-N 0 1 264.289 0.040 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1COc2ccccc2C1 ZINC001311489345 908552732 /nfs/dbraw/zinc/55/27/32/908552732.db2.gz ZWAOOKNPAPUYLA-AWEZNQCLSA-N 0 1 287.363 0.854 20 30 CCEDMN CO[C@@H](CNC(=O)NCC#CCN(C)C)C(C)C ZINC001312097613 908583384 /nfs/dbraw/zinc/58/33/84/908583384.db2.gz DBZUUWAAMZFVSG-LBPRGKRZSA-N 0 1 255.362 0.522 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)C(C)(C)C)C1 ZINC001317532827 908607056 /nfs/dbraw/zinc/60/70/56/908607056.db2.gz OXGPWFZGXBFEBH-LBPRGKRZSA-N 0 1 279.384 0.220 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCc1n[nH]c(CC)n1 ZINC001312756472 908628505 /nfs/dbraw/zinc/62/85/05/908628505.db2.gz HKZLEHCPFRFXOL-MRVPVSSYSA-N 0 1 253.306 0.103 20 30 CCEDMN C#C[C@@H]1CCCN(c2nnc(-c3c[nH]nn3)n2C)C1 ZINC001338309176 921427451 /nfs/dbraw/zinc/42/74/51/921427451.db2.gz FBZZHNIFNUHZQX-SECBINFHSA-N 0 1 257.301 0.450 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1CCCC12OCCO2 ZINC001313188835 908655452 /nfs/dbraw/zinc/65/54/52/908655452.db2.gz GOBYNOHVHBAZMV-GFCCVEGCSA-N 0 1 281.356 0.146 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](C)NC(=O)c1cccc2nc[nH]c21 ZINC001386460651 908754441 /nfs/dbraw/zinc/75/44/41/908754441.db2.gz YDQBSLZXDTVPJA-UWVGGRQHSA-N 0 1 299.334 0.957 20 30 CCEDMN C/C=C(/C)C(=O)NCCCN(C)CC(=O)NCC#N ZINC001316819712 908787859 /nfs/dbraw/zinc/78/78/59/908787859.db2.gz XDDPIWDWZPTFIC-WCIBSUBMSA-N 0 1 266.345 0.030 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C2(COCC)CC2)CC1 ZINC001316964967 908876676 /nfs/dbraw/zinc/87/66/76/908876676.db2.gz MBGNYQCJGYIKCU-UHFFFAOYSA-N 0 1 295.427 0.723 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)Cc2ccc[nH]2)CC1 ZINC001316967636 908883637 /nfs/dbraw/zinc/88/36/37/908883637.db2.gz HURZVJZYNLIZGP-UHFFFAOYSA-N 0 1 288.395 0.314 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](C)NCc1ocnc1C ZINC001317236142 909074225 /nfs/dbraw/zinc/07/42/25/909074225.db2.gz QYDYRGHHHDWDIW-HZMBPMFUSA-N 0 1 281.356 0.904 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN([C@@H](C)C(N)=O)C1 ZINC001317521390 909293416 /nfs/dbraw/zinc/29/34/16/909293416.db2.gz GDKQNTOCFZVHFQ-WDEREUQCSA-N 0 1 253.346 0.265 20 30 CCEDMN C=C(C)CN(CCC)CCNC(=O)[C@@H](C)S(C)(=O)=O ZINC001317541486 909303362 /nfs/dbraw/zinc/30/33/62/909303362.db2.gz XCRBFVPGFHXNBV-GFCCVEGCSA-N 0 1 290.429 0.824 20 30 CCEDMN CCCN(CCNC(=O)C#CC1CC1)[C@@H]1CCCNC1=O ZINC001317542442 909312041 /nfs/dbraw/zinc/31/20/41/909312041.db2.gz YAJKUPLGBSRZLI-CQSZACIVSA-N 0 1 291.395 0.507 20 30 CCEDMN N#CCC[C@H](C#N)CNCCN1C[C@H]2CC[C@@H](C1)O2 ZINC001338504968 921491281 /nfs/dbraw/zinc/49/12/81/921491281.db2.gz NBPSPNUXPIXSNA-MCIONIFRSA-N 0 1 262.357 0.883 20 30 CCEDMN N#Cc1ccc(F)c(CNC(=O)CCc2nn[nH]n2)c1 ZINC001417651703 921496445 /nfs/dbraw/zinc/49/64/45/921496445.db2.gz CLVWNVXYTVQPOU-UHFFFAOYSA-N 0 1 274.259 0.459 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)C2=COCCC2)CC1 ZINC001317816416 909509530 /nfs/dbraw/zinc/50/95/30/909509530.db2.gz DZEVYXWMCAUWHE-UHFFFAOYSA-N 0 1 293.411 0.943 20 30 CCEDMN C#CCCCC(=O)NCC1CN(CCn2cccn2)C1 ZINC001318118076 909631595 /nfs/dbraw/zinc/63/15/95/909631595.db2.gz OXDAPPGTBDMMOX-UHFFFAOYSA-N 0 1 274.368 0.735 20 30 CCEDMN C[C@H](O)CN1CC(CNC(=O)C#CC(C)(C)C)C1 ZINC001318119590 909632030 /nfs/dbraw/zinc/63/20/30/909632030.db2.gz WIBCUWFUBHFHTL-NSHDSACASA-N 0 1 252.358 0.465 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@H]2CCOC[C@H]2C)C1 ZINC001318150430 909644249 /nfs/dbraw/zinc/64/42/49/909644249.db2.gz OQMLLDYBIHUHII-HIFRSBDPSA-N 0 1 296.411 0.910 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN([C@H](C)c2n[nH]c(C)n2)C1 ZINC001318319235 909706048 /nfs/dbraw/zinc/70/60/48/909706048.db2.gz HTBCBRSMLQTBGS-ZYHUDNBSSA-N 0 1 293.371 0.567 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC[C@]2(C)CCC(=O)N2)C1 ZINC001318376360 909729613 /nfs/dbraw/zinc/72/96/13/909729613.db2.gz GALUARLQPPFQGV-IUODEOHRSA-N 0 1 279.384 0.812 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCN(C)CC1)n1ccnc1 ZINC001318433286 909752510 /nfs/dbraw/zinc/75/25/10/909752510.db2.gz GSDQAPXKVOHQAH-LLVKDONJSA-N 0 1 261.329 0.548 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](CC)NC(C)=O)C1 ZINC001318428365 909753725 /nfs/dbraw/zinc/75/37/25/909753725.db2.gz JGOGBJLNCITAJT-OLZOCXBDSA-N 0 1 267.373 0.620 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001318473269 909774425 /nfs/dbraw/zinc/77/44/25/909774425.db2.gz LRCRQYQQACAIIF-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(Cl)CNCc1ccc(CNC(=O)C(N)=O)cc1 ZINC001319000664 909951195 /nfs/dbraw/zinc/95/11/95/909951195.db2.gz TYSALGHFGIOFRV-UHFFFAOYSA-N 0 1 281.743 0.630 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1CN(CCC(=C)C)CCO1 ZINC001319312075 910091544 /nfs/dbraw/zinc/09/15/44/910091544.db2.gz YUQAXPOWDQZHDN-CABCVRRESA-N 0 1 294.395 0.808 20 30 CCEDMN C=CCO[C@@H]1CCN([C@H](C)C(=O)NC(=O)NC(C)C)C1 ZINC001320037827 910428082 /nfs/dbraw/zinc/42/80/82/910428082.db2.gz JFLONHVGGXMNJA-VXGBXAGGSA-N 0 1 283.372 0.886 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](C)OC[C@@H]1CCCO1 ZINC001320091664 910455407 /nfs/dbraw/zinc/45/54/07/910455407.db2.gz YOXCYHKZPVDQPW-KGLIPLIRSA-N 0 1 282.384 0.594 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccc(F)nc1 ZINC001320205697 910519200 /nfs/dbraw/zinc/51/92/00/910519200.db2.gz VJCZYUPALLWRDG-SNVBAGLBSA-N 0 1 287.722 0.654 20 30 CCEDMN Cc1noc(C)c1CNC[C@@H](O)CNC(=O)C#CC(C)C ZINC001320219634 910537892 /nfs/dbraw/zinc/53/78/92/910537892.db2.gz ZDTMRUDHSQPICJ-CYBMUJFWSA-N 0 1 293.367 0.518 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](O)CNCc1cc(C)no1 ZINC001320262933 910563676 /nfs/dbraw/zinc/56/36/76/910563676.db2.gz KJUXKUMJMYUAJL-ZDUSSCGKSA-N 0 1 293.367 0.743 20 30 CCEDMN C=C[C@H](COC)NC(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC001320604854 910759603 /nfs/dbraw/zinc/75/96/03/910759603.db2.gz KRGXEZLCVBNCBV-RKDXNWHRSA-N 0 1 267.333 0.928 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)c1nccnc1N ZINC001320701792 910811932 /nfs/dbraw/zinc/81/19/32/910811932.db2.gz CMGBGNNMLYLMHX-UHFFFAOYSA-N 0 1 283.763 0.863 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)C[C@H]1C=CCC1 ZINC001320966285 910962599 /nfs/dbraw/zinc/96/25/99/910962599.db2.gz PCYVYTNZIBNTNK-GJBLVYBDSA-N 0 1 289.379 0.354 20 30 CCEDMN Cc1ncc(CNC/C=C/CNC(=O)[C@H](C)C#N)cn1 ZINC001320996367 910995195 /nfs/dbraw/zinc/99/51/95/910995195.db2.gz DBKNRAMFSGOIGO-PGLGOXFNSA-N 0 1 273.340 0.707 20 30 CCEDMN Cc1nocc1CNC/C=C/CNC(=O)[C@H](C)C#N ZINC001320997421 910995377 /nfs/dbraw/zinc/99/53/77/910995377.db2.gz IXEGTUVRXLNBGO-HMDXOVGESA-N 0 1 262.313 0.905 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ncn(C)n1 ZINC001321053687 911050141 /nfs/dbraw/zinc/05/01/41/911050141.db2.gz IWZVCEYXHBNXRH-ARJAWSKDSA-N 0 1 269.736 0.443 20 30 CCEDMN CC[C@@H](C)NC(=O)CNC/C=C\CNC(=O)C#CC1CC1 ZINC001321052854 911050456 /nfs/dbraw/zinc/05/04/56/911050456.db2.gz UXCSMGVXZDZVFJ-DSYXLKISSA-N 0 1 291.395 0.577 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN(CCc2ccnn2C)C1 ZINC001391375498 911084276 /nfs/dbraw/zinc/08/42/76/911084276.db2.gz VWBUUZKBDOSWCA-CHWSQXEVSA-N 0 1 289.383 0.703 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)C1(C2CC2)CC1 ZINC001321107276 911088452 /nfs/dbraw/zinc/08/84/52/911088452.db2.gz MMIWYPDDJQANII-ONEGZZNKSA-N 0 1 289.379 0.188 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2C[C@@]23CCOC3)CC1 ZINC001321140815 911114478 /nfs/dbraw/zinc/11/44/78/911114478.db2.gz SLZSBYAUSQJMLO-WCQYABFASA-N 0 1 263.341 0.517 20 30 CCEDMN Cc1noc(CCCN2CC(CNC(=O)[C@H](C)C#N)C2)n1 ZINC001391473229 911162765 /nfs/dbraw/zinc/16/27/65/911162765.db2.gz KIZKWERGWKSEFX-SNVBAGLBSA-N 0 1 291.355 0.518 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CN3CCC2CC3)nn1 ZINC001339139574 921662589 /nfs/dbraw/zinc/66/25/89/921662589.db2.gz FGFBVEBJJJMDNI-LLVKDONJSA-N 0 1 261.329 0.288 20 30 CCEDMN C#CCN(CC#C)c1nnc(-c2[nH]cnc2C)n1C ZINC001339206437 921680530 /nfs/dbraw/zinc/68/05/30/921680530.db2.gz BINHYQITSLZNRR-UHFFFAOYSA-N 0 1 254.297 0.586 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)Cn1cc(C)cn1 ZINC001322120986 911667662 /nfs/dbraw/zinc/66/76/62/911667662.db2.gz JHPZKHXTBUGVGE-CQSZACIVSA-N 0 1 292.383 0.278 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1c(Cl)cnn1C ZINC001322160630 911689945 /nfs/dbraw/zinc/68/99/45/911689945.db2.gz NXJQUWAPFJYNME-VIFPVBQESA-N 0 1 268.748 0.757 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCc1ccncn1 ZINC001322168456 911693026 /nfs/dbraw/zinc/69/30/26/911693026.db2.gz JRZZSEKMWNHCRS-LBPRGKRZSA-N 0 1 260.341 0.479 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)COc1cccnc1 ZINC001322260707 911732514 /nfs/dbraw/zinc/73/25/14/911732514.db2.gz CTOKLWQKXMKRCT-ZDUSSCGKSA-N 0 1 275.352 0.920 20 30 CCEDMN N#Cc1ccccc1CNC[C@H](O)CNC(=O)C1CCC1 ZINC001392341363 911735715 /nfs/dbraw/zinc/73/57/15/911735715.db2.gz LOHXQDNFHKJKQL-HNNXBMFYSA-N 0 1 287.363 0.925 20 30 CCEDMN CC(C)N(C)C(=O)CCNC(O)=C1N=CC=CC1=O ZINC001322374293 911781028 /nfs/dbraw/zinc/78/10/28/911781028.db2.gz KFEMCHCMDODIPL-SEYXRHQNSA-N 0 1 265.313 0.770 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1conc1C1CC1 ZINC001392405010 911790797 /nfs/dbraw/zinc/79/07/97/911790797.db2.gz SRNOCNOQSSSYMV-JTQLQIEISA-N 0 1 299.758 0.985 20 30 CCEDMN C=C[C@@H](COC)NC(=O)C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC001323076145 912103667 /nfs/dbraw/zinc/10/36/67/912103667.db2.gz DXHCEUROHXZGLB-KOLCDFICSA-N 0 1 294.355 0.083 20 30 CCEDMN N#CCC[C@@H](C#N)CNCCC1(O)CCOCC1 ZINC001348950511 924849788 /nfs/dbraw/zinc/84/97/88/924849788.db2.gz DPYWISBRZUYQGS-LBPRGKRZSA-N 0 1 251.330 0.951 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C#CC(C)C)CC1 ZINC001328529634 915258305 /nfs/dbraw/zinc/25/83/05/915258305.db2.gz KCAUFYUBMYVHDD-UHFFFAOYSA-N 0 1 264.369 0.775 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2c[nH]nc2C)CC1 ZINC001328543775 915262632 /nfs/dbraw/zinc/26/26/32/915262632.db2.gz YNNBKRBJBPYVSG-UHFFFAOYSA-N 0 1 290.367 0.298 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1CC(CNCc2ccon2)C1 ZINC001328852860 915477224 /nfs/dbraw/zinc/47/72/24/915477224.db2.gz UTSAYHSWKPVXGU-HGMXIMQMSA-N 0 1 293.367 0.986 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)[C@@H](C)NCc1ccn(C)n1 ZINC001328993918 915575323 /nfs/dbraw/zinc/57/53/23/915575323.db2.gz ADYSNVMUXKPDAA-NEPJUHHUSA-N 0 1 280.372 0.606 20 30 CCEDMN C[C@@H](CN(C)C(=O)CCCCC#N)c1nn[nH]n1 ZINC001329053650 915627606 /nfs/dbraw/zinc/62/76/06/915627606.db2.gz FLBLQUXWZBJQIP-VIFPVBQESA-N 0 1 250.306 0.846 20 30 CCEDMN CC(C)c1nc(CN2CC[C@H](NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001400606467 915830261 /nfs/dbraw/zinc/83/02/61/915830261.db2.gz SQLBRIQHJGRBIV-MNOVXSKESA-N 0 1 290.371 0.778 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)Cn1nc(C)cc1C ZINC001329488864 915959904 /nfs/dbraw/zinc/95/99/04/915959904.db2.gz PFGINQQYRNQGOV-ZDUSSCGKSA-N 0 1 262.357 0.570 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)Cn1cc(Cl)cn1 ZINC001329561370 916009143 /nfs/dbraw/zinc/00/91/43/916009143.db2.gz RKTFPBCJJXQHSC-SNVBAGLBSA-N 0 1 268.748 0.606 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001329623635 916071873 /nfs/dbraw/zinc/07/18/73/916071873.db2.gz LZBZGFUTHCYKLQ-QWHCGFSZSA-N 0 1 289.383 0.300 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1noc2c1COCC2 ZINC001329624760 916076478 /nfs/dbraw/zinc/07/64/78/916076478.db2.gz TUGFTLSVBAHBJE-JTQLQIEISA-N 0 1 277.324 0.431 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1n[nH]nc1C ZINC001401238396 916138851 /nfs/dbraw/zinc/13/88/51/916138851.db2.gz XWJJCLBKDGWMJX-MRVPVSSYSA-N 0 1 271.752 0.916 20 30 CCEDMN C=C(Br)CNC[C@H](O)CNC(=O)CCC ZINC001401486038 916272522 /nfs/dbraw/zinc/27/25/22/916272522.db2.gz OIQUULLMAGLXIH-VIFPVBQESA-N 0 1 279.178 0.762 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cncnc1C ZINC001401504614 916293990 /nfs/dbraw/zinc/29/39/90/916293990.db2.gz ZFUZEUXJCRVNEP-JTQLQIEISA-N 0 1 284.747 0.218 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@]2(CC)CCNC2=O)C(C)(C)C1 ZINC001330209583 916479096 /nfs/dbraw/zinc/47/90/96/916479096.db2.gz KAJLMQUYGJDIRM-WBMJQRKESA-N 0 1 293.411 0.915 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(=O)n(C)c1 ZINC001401693624 916485560 /nfs/dbraw/zinc/48/55/60/916485560.db2.gz QRLAYQKZYUCYEI-JTQLQIEISA-N 0 1 283.759 0.846 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2[C@@H](CNC(=O)CCC)[C@@H]2C1 ZINC001330260719 916513381 /nfs/dbraw/zinc/51/33/81/916513381.db2.gz DDEKADZZXBZPJP-XYYAHUGASA-N 0 1 279.384 0.383 20 30 CCEDMN CN(Cc1nnc[nH]1)C[C@H](O)c1cccc(C#N)c1 ZINC001330565302 916702346 /nfs/dbraw/zinc/70/23/46/916702346.db2.gz JPIVVMIRPAUCQS-LBPRGKRZSA-N 0 1 257.297 0.842 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cn(C)nn1 ZINC001402054577 916780849 /nfs/dbraw/zinc/78/08/49/916780849.db2.gz FNZRUJPMRQYYFZ-JTQLQIEISA-N 0 1 283.763 0.762 20 30 CCEDMN CNC(=O)CN1CCC(C)(CNC(=O)[C@@H](C)C#N)CC1 ZINC001402189869 916860089 /nfs/dbraw/zinc/86/00/89/916860089.db2.gz MQUFBGVYBYNBGM-NSHDSACASA-N 0 1 280.372 0.110 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)CC1 ZINC001330852984 916920117 /nfs/dbraw/zinc/92/01/17/916920117.db2.gz HNPAIBIPGYMGAN-GHMZBOCLSA-N 0 1 273.340 0.617 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](NC(=O)CCS(C)(=O)=O)C1 ZINC001402520728 917074558 /nfs/dbraw/zinc/07/45/58/917074558.db2.gz ZGGPDYSUKMDHGL-MGCOHNPYSA-N 0 1 294.804 0.410 20 30 CCEDMN CCN(C)C(=O)CN1CCC[C@H](NC(=O)[C@H](C)C#N)CC1 ZINC001402610324 917125037 /nfs/dbraw/zinc/12/50/37/917125037.db2.gz VCTOLNAHOLXSGJ-OLZOCXBDSA-N 0 1 294.399 0.595 20 30 CCEDMN Cc1nc(CN(C)CCCN(C)C(=O)[C@H](C)C#N)n[nH]1 ZINC001402643571 917148243 /nfs/dbraw/zinc/14/82/43/917148243.db2.gz ZLASAAOJOGAWKP-SNVBAGLBSA-N 0 1 278.360 0.553 20 30 CCEDMN Cc1nc(CN2CCC[C@@H](CNC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001402660984 917159828 /nfs/dbraw/zinc/15/98/28/917159828.db2.gz FWFIMRXYBGFDQE-JQWIXIFHSA-N 0 1 290.371 0.601 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC001331620465 917455411 /nfs/dbraw/zinc/45/54/11/917455411.db2.gz KIUYUTVYNFNMHW-ZIAGYGMSSA-N 0 1 280.368 0.511 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cn1ccccc1=O ZINC001403140040 917519821 /nfs/dbraw/zinc/51/98/21/917519821.db2.gz PBINRFRBYNULFV-NSHDSACASA-N 0 1 283.759 0.695 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc2cc(F)ccc2[nH]1 ZINC001331760186 917582856 /nfs/dbraw/zinc/58/28/56/917582856.db2.gz ZCMKTOOVEAYSCL-GFCCVEGCSA-N 0 1 289.310 0.621 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cnc(C2CC2)nc1 ZINC001331802388 917618372 /nfs/dbraw/zinc/61/83/72/917618372.db2.gz BOCFFWDRDDJRQF-CYBMUJFWSA-N 0 1 288.351 0.058 20 30 CCEDMN N#CCCOCCN1C[C@@H]2C[C@H]1CN2CCOCCC#N ZINC001331803719 917621651 /nfs/dbraw/zinc/62/16/51/917621651.db2.gz VRXCQGHRIHABAK-GJZGRUSLSA-N 0 1 292.383 0.605 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1c(C)cc(C)cc1C ZINC001331818499 917637765 /nfs/dbraw/zinc/63/77/65/917637765.db2.gz HEQVYTRRBNDUSX-AWEZNQCLSA-N 0 1 274.364 0.925 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(Cl)cn1CC ZINC001331836252 917650739 /nfs/dbraw/zinc/65/07/39/917650739.db2.gz QINQXOLQIYLSAG-NSHDSACASA-N 0 1 283.759 0.475 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cnc(C(C)(C)C)nc1 ZINC001331843995 917658585 /nfs/dbraw/zinc/65/85/85/917658585.db2.gz ZRTYCVTUGNGQLR-LBPRGKRZSA-N 0 1 290.367 0.088 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCc2cnn(C)n2)[C@H]1C ZINC001332205315 917956282 /nfs/dbraw/zinc/95/62/82/917956282.db2.gz JIWRMMSJYFEDEL-TZMCWYRMSA-N 0 1 289.383 0.350 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC(=O)OC)CC(C)C)CC1 ZINC001332253948 917994338 /nfs/dbraw/zinc/99/43/38/917994338.db2.gz MKZAAMJXGIZYAB-UHFFFAOYSA-N 0 1 294.395 0.989 20 30 CCEDMN CS(=O)(=O)N1CC(CN2CCC(C#N)CC2)C1 ZINC001332613848 918311570 /nfs/dbraw/zinc/31/15/70/918311570.db2.gz RBWOBAFNFXDNAR-UHFFFAOYSA-N 0 1 257.359 0.113 20 30 CCEDMN CCC(CC)C(=O)N(C)C[C@H](O)CN(C)CC#CCOC ZINC001332620318 918320985 /nfs/dbraw/zinc/32/09/85/918320985.db2.gz QUSKPHWLMNEZRG-OAHLLOKOSA-N 0 1 298.427 0.824 20 30 CCEDMN C=C(C)C[N@@H+](C)C[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001332633376 918335592 /nfs/dbraw/zinc/33/55/92/918335592.db2.gz MPDMTVAJUMDPTH-LBPRGKRZSA-N 0 1 293.367 0.728 20 30 CCEDMN C=C(C)C[N@H+](C)C[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001332633376 918335609 /nfs/dbraw/zinc/33/56/09/918335609.db2.gz MPDMTVAJUMDPTH-LBPRGKRZSA-N 0 1 293.367 0.728 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C1(CC)CC1 ZINC001332661973 918356624 /nfs/dbraw/zinc/35/66/24/918356624.db2.gz BMMJGNYLIKJCBP-CYBMUJFWSA-N 0 1 266.385 0.951 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H](C)C#N)NCc1snnc1C ZINC001405216452 918673673 /nfs/dbraw/zinc/67/36/73/918673673.db2.gz QTPUFVZVHJIKLV-SCZZXKLOSA-N 0 1 281.385 0.991 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)CN2CCCC2=O)CC1 ZINC001333282737 918770024 /nfs/dbraw/zinc/77/00/24/918770024.db2.gz CMBJYEFQTMXAIW-UHFFFAOYSA-N 0 1 289.379 0.380 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)[C@@]2(C)CCNC2=O)CC1 ZINC001333400449 918869978 /nfs/dbraw/zinc/86/99/78/918869978.db2.gz MNTDJTPGBXIYID-INIZCTEOSA-N 0 1 289.379 0.284 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@]2(C)CCNC2=O)CC1 ZINC001333400449 918869989 /nfs/dbraw/zinc/86/99/89/918869989.db2.gz MNTDJTPGBXIYID-INIZCTEOSA-N 0 1 289.379 0.284 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)COC(C)(C)C ZINC001406223856 919122599 /nfs/dbraw/zinc/12/25/99/919122599.db2.gz VWNCJBKZVBPYTF-JTQLQIEISA-N 0 1 278.780 0.621 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1CCS(=O)(=O)C1 ZINC001406377503 919224379 /nfs/dbraw/zinc/22/43/79/919224379.db2.gz WVILLNPRGMJVNS-NXEZZACHSA-N 0 1 294.804 0.268 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](Cc2cn(C)nc2C(=O)[O-])C1 ZINC001334006259 919313846 /nfs/dbraw/zinc/31/38/46/919313846.db2.gz VQYXVYNVWPMJFS-LLVKDONJSA-N 0 1 265.313 0.895 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NCC(=O)NCC(C)(C)C)CC1 ZINC001406907242 919529783 /nfs/dbraw/zinc/52/97/83/919529783.db2.gz HCQWRUNKHWDYER-LLVKDONJSA-N 0 1 294.399 0.547 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C[C@H]2CCNC2=O)CC1 ZINC001406949303 919547922 /nfs/dbraw/zinc/54/79/22/919547922.db2.gz VELIAQTZDJKOHP-SNVBAGLBSA-N 0 1 285.775 0.504 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCN(CC2CC2)CC1 ZINC001334453496 919596974 /nfs/dbraw/zinc/59/69/74/919596974.db2.gz GZPYGHZEJILNQC-UHFFFAOYSA-N 0 1 262.361 0.781 20 30 CCEDMN CNC(=O)[C@H](C)N1CCC(C)(NC(=O)[C@H](C)C#N)CC1 ZINC001407120175 919624332 /nfs/dbraw/zinc/62/43/32/919624332.db2.gz SUCYUPZYAAYRCX-MNOVXSKESA-N 0 1 280.372 0.251 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NCC1(C(=O)[O-])CC1 ZINC001334981517 919881221 /nfs/dbraw/zinc/88/12/21/919881221.db2.gz XFERQZXADVONPH-JTQLQIEISA-N 0 1 250.298 0.065 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCC1(C(=O)[O-])CC1 ZINC001334981517 919881235 /nfs/dbraw/zinc/88/12/35/919881235.db2.gz XFERQZXADVONPH-JTQLQIEISA-N 0 1 250.298 0.065 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(Cc2n[nH]c([C@H]3CCCO3)n2)C1=O ZINC001335244971 920055848 /nfs/dbraw/zinc/05/58/48/920055848.db2.gz FZEHQRFCICXXTM-DTWKUNHWSA-N 0 1 291.311 0.653 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001408376143 920172621 /nfs/dbraw/zinc/17/26/21/920172621.db2.gz ZPNRAIWKECQHPW-KOLCDFICSA-N 0 1 289.339 0.456 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CNC(=O)CN2CCCC2)C1 ZINC001408409251 920178648 /nfs/dbraw/zinc/17/86/48/920178648.db2.gz WTFWRHWRSDEDBR-STQMWFEESA-N 0 1 292.383 0.207 20 30 CCEDMN C#CCN1CCC(Nc2ccc(C(N)=O)cn2)CC1 ZINC001335552965 920272683 /nfs/dbraw/zinc/27/26/83/920272683.db2.gz ZMHHLLBXWAYWIX-UHFFFAOYSA-N 0 1 258.325 0.690 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2nn(C)cc2C#N)CC1 ZINC001335965394 920532886 /nfs/dbraw/zinc/53/28/86/920532886.db2.gz FIRAZARYMZPUQC-UHFFFAOYSA-N 0 1 286.339 0.511 20 30 CCEDMN Cc1nc([C@H](C)N2CCN(C(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001415110272 920598454 /nfs/dbraw/zinc/59/84/54/920598454.db2.gz JWFJAJWIGUWIBX-UWVGGRQHSA-N 0 1 276.344 0.478 20 30 CCEDMN N#CC1(CNC(=O)CN2CCCC2)CCOCC1 ZINC001336296214 920703235 /nfs/dbraw/zinc/70/32/35/920703235.db2.gz BYRDRFAGQQBLIX-UHFFFAOYSA-N 0 1 251.330 0.519 20 30 CCEDMN C#CCNCC(=O)NCCc1sc(C)nc1C ZINC001340092087 921951274 /nfs/dbraw/zinc/95/12/74/921951274.db2.gz YWPZBPFXQFKZAS-UHFFFAOYSA-N 0 1 251.355 0.641 20 30 CCEDMN COCc1nc(CNC(=O)c2ccc(C#N)cc2F)n[nH]1 ZINC001418366832 922059225 /nfs/dbraw/zinc/05/92/25/922059225.db2.gz FVACYMRKTBVNDS-UHFFFAOYSA-N 0 1 289.270 0.892 20 30 CCEDMN COCc1nnc(CNC(=O)c2ccc(C#N)cc2F)[nH]1 ZINC001418366832 922059241 /nfs/dbraw/zinc/05/92/41/922059241.db2.gz FVACYMRKTBVNDS-UHFFFAOYSA-N 0 1 289.270 0.892 20 30 CCEDMN C=CC(C)(C)C(=O)N(CC)CCCNC(=O)c1cnn[nH]1 ZINC001492978344 922151162 /nfs/dbraw/zinc/15/11/62/922151162.db2.gz SKBCFIMOFPGHCQ-UHFFFAOYSA-N 0 1 293.371 0.985 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(Cl)c(C(=O)OC)n1 ZINC001340726031 922185582 /nfs/dbraw/zinc/18/55/82/922185582.db2.gz XXUHZMWYASEZRN-UHFFFAOYSA-N 0 1 295.726 0.361 20 30 CCEDMN C#CCNCC(=O)N1CCc2nnn(C3CC(C)C3)c2C1 ZINC001340894104 922261036 /nfs/dbraw/zinc/26/10/36/922261036.db2.gz FYQOTUNAIOHUPF-UHFFFAOYSA-N 0 1 287.367 0.357 20 30 CCEDMN C#CCNCC(=O)N(C)[C@H](COC)Cc1ccccc1 ZINC001341062657 922332257 /nfs/dbraw/zinc/33/22/57/922332257.db2.gz QGYTXSNAJGSWKP-HNNXBMFYSA-N 0 1 274.364 0.925 20 30 CCEDMN N#Cc1csc(CNC(=O)C[C@@H]2COCCN2)n1 ZINC001341358171 922440137 /nfs/dbraw/zinc/44/01/37/922440137.db2.gz DHKMENOQPJKFTJ-MRVPVSSYSA-N 0 1 266.326 0.009 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC001418863213 922458951 /nfs/dbraw/zinc/45/89/51/922458951.db2.gz KALAFTYUTUBBIL-LBPRGKRZSA-N 0 1 298.306 0.594 20 30 CCEDMN C#CCNCC(=O)N1C[C@@H](C)N(CC(F)(F)F)[C@@H](C)C1 ZINC001341518302 922529156 /nfs/dbraw/zinc/52/91/56/922529156.db2.gz VULDMGQCOXGFIS-PHIMTYICSA-N 0 1 291.317 0.693 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(OCCO)CC2)CC1 ZINC001341682327 922622741 /nfs/dbraw/zinc/62/27/41/922622741.db2.gz BWFRYRUBPUVZEV-UHFFFAOYSA-N 0 1 294.395 0.332 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCN(CCc2cnn(C)c2)C1 ZINC001419075515 922623937 /nfs/dbraw/zinc/62/39/37/922623937.db2.gz OLEGXDWQTGAGDL-DGCLKSJQSA-N 0 1 275.356 0.313 20 30 CCEDMN C#CCNCC(=O)NCCc1nc2ccccc2n1C ZINC001341700973 922634135 /nfs/dbraw/zinc/63/41/35/922634135.db2.gz MCGQUFJQHBXLKP-UHFFFAOYSA-N 0 1 270.336 0.455 20 30 CCEDMN CC(C)c1nnsc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC001341748574 922654099 /nfs/dbraw/zinc/65/40/99/922654099.db2.gz QNFBWVQKZZUQNB-SFYZADRCSA-N 0 1 265.342 0.503 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)CNC(=O)C1CC1)C1CC1 ZINC001419232090 922721100 /nfs/dbraw/zinc/72/11/00/922721100.db2.gz GBSOTLAPTBXHCM-LBPRGKRZSA-N 0 1 299.802 0.750 20 30 CCEDMN CCO[C@@H]1[C@H](C)[C@@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC001341948630 922733648 /nfs/dbraw/zinc/73/36/48/922733648.db2.gz VOWJZVZKFDCVCI-UGKPPGOTSA-N 0 1 250.298 0.645 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)C[C@H]1CCC(=O)N1)C1CC1 ZINC001419300458 922757427 /nfs/dbraw/zinc/75/74/27/922757427.db2.gz XABPNUMQRGAHQQ-VXGBXAGGSA-N 0 1 299.802 0.892 20 30 CCEDMN C#CCNCC(=O)NC1([C@H]2CCCCO2)CCC1 ZINC001342317873 922908446 /nfs/dbraw/zinc/90/84/46/922908446.db2.gz GVWNEPXNYPTXTD-GFCCVEGCSA-N 0 1 250.342 0.817 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC001342463483 922971177 /nfs/dbraw/zinc/97/11/77/922971177.db2.gz YACPXJCVOPWGPM-YNEHKIRRSA-N 0 1 285.388 0.284 20 30 CCEDMN C=CCN(CCOC)c1nnc(-c2c[nH]nn2)n1CC ZINC001342595607 923017378 /nfs/dbraw/zinc/01/73/78/923017378.db2.gz LFMWVHFGSKDFAW-UHFFFAOYSA-N 0 1 277.332 0.722 20 30 CCEDMN C[C@@H](CNC(=O)c1cn(C)nn1)NCc1ccccc1C#N ZINC001419748768 923069968 /nfs/dbraw/zinc/06/99/68/923069968.db2.gz MJMSQPZRWYSEPP-NSHDSACASA-N 0 1 298.350 0.595 20 30 CCEDMN C#CCN(CC#C)c1nnc(-c2c[nH]nn2)n1CC(C)C ZINC001342960663 923146992 /nfs/dbraw/zinc/14/69/92/923146992.db2.gz YNPIVZCXKXWCOM-UHFFFAOYSA-N 0 1 283.339 0.792 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2cccnc2n1 ZINC001343469291 923332943 /nfs/dbraw/zinc/33/29/43/923332943.db2.gz WJIMODUGTIBPNJ-PWSUYJOCSA-N 0 1 267.292 0.471 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2[nH]ccc2n1 ZINC001343467721 923333367 /nfs/dbraw/zinc/33/33/67/923333367.db2.gz OAYDSFOVRLLQIQ-UFBFGSQYSA-N 0 1 255.281 0.404 20 30 CCEDMN C#CCNCC(=O)NCc1cccc2c1OCCCO2 ZINC001343524521 923362042 /nfs/dbraw/zinc/36/20/42/923362042.db2.gz CTEGJXTZKNJMNW-UHFFFAOYSA-N 0 1 274.320 0.687 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc2c([nH]1)CCC2 ZINC001420189748 923392433 /nfs/dbraw/zinc/39/24/33/923392433.db2.gz ISFBXSFKFZPPDE-NSHDSACASA-N 0 1 297.786 0.936 20 30 CCEDMN C=C(C)Cn1c(C2=NO[C@@H](CO)C2)nnc1N(C)C1CC1 ZINC001343748555 923459968 /nfs/dbraw/zinc/45/99/68/923459968.db2.gz NYKWHORLQLVWDT-LLVKDONJSA-N 0 1 291.355 0.938 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)[C@]1(C)CCC(=O)NC1 ZINC001343854311 923485364 /nfs/dbraw/zinc/48/53/64/923485364.db2.gz XYFCPWZVGLNUOP-TVQRCGJNSA-N 0 1 260.297 0.513 20 30 CCEDMN C#CCNCC(=O)NCCNc1ccc2ccccc2n1 ZINC001343865857 923488151 /nfs/dbraw/zinc/48/81/51/923488151.db2.gz FLVFXYSDMBOVKU-UHFFFAOYSA-N 0 1 282.347 0.986 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H]1CCCN1C(N)=O ZINC001420522534 923743536 /nfs/dbraw/zinc/74/35/36/923743536.db2.gz NUJAHIVLOJEQGM-UWVGGRQHSA-N 0 1 288.779 0.376 20 30 CCEDMN C#CCN1CCC(OC(=O)C[C@H]2COC(=O)C2)CC1 ZINC001345674005 923946497 /nfs/dbraw/zinc/94/64/97/923946497.db2.gz ZMTQMFKKIAIQRH-NSHDSACASA-N 0 1 265.309 0.580 20 30 CCEDMN C=C[C@H](C)ONC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001345952493 924022725 /nfs/dbraw/zinc/02/27/25/924022725.db2.gz HLYHGQZMLLWWQX-RYUDHWBXSA-N 0 1 270.377 0.428 20 30 CCEDMN N#Cc1ccnnc1NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001421165171 924188134 /nfs/dbraw/zinc/18/81/34/924188134.db2.gz FXEWLKMFVQOZDL-VIFPVBQESA-N 0 1 271.284 0.930 20 30 CCEDMN CCNC(=O)CN1CCC[C@@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001421278251 924249155 /nfs/dbraw/zinc/24/91/55/924249155.db2.gz BZUHPVCAHPKBHB-WFASDCNBSA-N 0 1 294.399 0.500 20 30 CCEDMN COCC#CC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC001347311281 924420094 /nfs/dbraw/zinc/42/00/94/924420094.db2.gz RMXPYPDFPBAZFZ-BETUJISGSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCN1CCC(OC(=O)CCCC(=O)NCC)CC1 ZINC001347401668 924439491 /nfs/dbraw/zinc/43/94/91/924439491.db2.gz YHJBGWJUMHGVQC-UHFFFAOYSA-N 0 1 280.368 0.934 20 30 CCEDMN C[C@@H](Nc1nnccc1C#N)[C@@H]1C[N@@H+](C)CCN1C ZINC001348311272 924647048 /nfs/dbraw/zinc/64/70/48/924647048.db2.gz HVWPEALUMNWLMY-PWSUYJOCSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@@H](Nc1nnccc1C#N)[C@@H]1CN(C)CCN1C ZINC001348311272 924647056 /nfs/dbraw/zinc/64/70/56/924647056.db2.gz HVWPEALUMNWLMY-PWSUYJOCSA-N 0 1 260.345 0.394 20 30 CCEDMN C#Cc1ccc(NC(=O)[C@@H]2CN3CCN2C[C@H]3C)cc1 ZINC001349586955 924994809 /nfs/dbraw/zinc/99/48/09/924994809.db2.gz AYLSAIOJRRNWHY-DOMZBBRYSA-N 0 1 269.348 0.995 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cnn3cccnc23)CC1 ZINC001349770204 925050975 /nfs/dbraw/zinc/05/09/75/925050975.db2.gz HNEJBWCDZUTCMP-UHFFFAOYSA-N 0 1 284.319 0.984 20 30 CCEDMN C=C1CCN(C(=O)NC[C@H](C)N2CCN(C)CC2)CC1 ZINC001349868495 925080841 /nfs/dbraw/zinc/08/08/41/925080841.db2.gz ZPUFMHCXOYZOCN-AWEZNQCLSA-N 0 1 280.416 0.984 20 30 CCEDMN C=CCOCCCC(=O)NC[C@H](O)c1cnc[nH]1 ZINC001350418902 925195131 /nfs/dbraw/zinc/19/51/31/925195131.db2.gz OOQDBTBTJMFDEK-NSHDSACASA-N 0 1 253.302 0.542 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)NC1(C#N)CCSCC1 ZINC001351700340 925458497 /nfs/dbraw/zinc/45/84/97/925458497.db2.gz REOSRGOBQPJFAP-RYUDHWBXSA-N 0 1 294.424 0.280 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C)CCCCCO ZINC001351778994 925467972 /nfs/dbraw/zinc/46/79/72/925467972.db2.gz YGSWEOGPESRVSD-UHFFFAOYSA-N 0 1 291.359 0.848 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CCCCC)C(=O)OC ZINC001353393758 925820500 /nfs/dbraw/zinc/82/05/00/925820500.db2.gz NPLCWXJTHHZZBV-NSHDSACASA-N 0 1 254.330 0.447 20 30 CCEDMN C=CCOC[C@H](NC(=O)N[C@H]1CC[N@@H+](C)[C@@H](C)C1)C(=O)[O-] ZINC001354041535 925993976 /nfs/dbraw/zinc/99/39/76/925993976.db2.gz PPLWIRBWYUWLPO-SRVKXCTJSA-N 0 1 299.371 0.424 20 30 CCEDMN C[C@H](CNC(=O)c1[nH]ncc1F)NC(=O)CSCC#N ZINC001354705100 926158482 /nfs/dbraw/zinc/15/84/82/926158482.db2.gz AZYOIWYDMQOPJN-SSDOTTSWSA-N 0 1 299.331 0.040 20 30 CCEDMN N#Cc1cccc(-c2n[nH]c(NC(=O)[C@H]3CCC(=O)O3)n2)c1 ZINC001413871697 926229444 /nfs/dbraw/zinc/22/94/44/926229444.db2.gz SGGXZNSMJFDMOB-SNVBAGLBSA-N 0 1 297.274 0.987 20 30 CCEDMN C=CCn1c(C[C@@H](C)O)nnc1N1CCN(C)C(C)(C)C1 ZINC001355968806 926330966 /nfs/dbraw/zinc/33/09/66/926330966.db2.gz QEWRXWIVGUBYHU-GFCCVEGCSA-N 0 1 293.415 0.918 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CC[C@H](OCC)C1 ZINC001355997561 926333989 /nfs/dbraw/zinc/33/39/89/926333989.db2.gz OTMWHUUDMOSGPD-JTQLQIEISA-N 0 1 289.343 0.864 20 30 CCEDMN O=C(C#CC1CC1)NCCN(C(=O)c1ccn[nH]1)C1CC1 ZINC001356612212 926412099 /nfs/dbraw/zinc/41/20/99/926412099.db2.gz ZIOAKPXOEAYNMZ-UHFFFAOYSA-N 0 1 286.335 0.544 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CC(NC(=O)c2ncn[nH]2)C1 ZINC001356783880 926437402 /nfs/dbraw/zinc/43/74/02/926437402.db2.gz WTUGAHCZPDFAEZ-UHFFFAOYSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CC(NC(=O)c2nc[nH]n2)C1 ZINC001356783880 926437405 /nfs/dbraw/zinc/43/74/05/926437405.db2.gz WTUGAHCZPDFAEZ-UHFFFAOYSA-N 0 1 277.328 0.348 20 30 CCEDMN Cc1cnc(Cl)cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC001357446236 926534288 /nfs/dbraw/zinc/53/42/88/926534288.db2.gz QGMGXPKPGSWFBO-PSASIEDQSA-N 0 1 264.716 0.885 20 30 CCEDMN C#CCNCC(=O)N(C)CCCc1nccn1CCC ZINC001357570183 926562580 /nfs/dbraw/zinc/56/25/80/926562580.db2.gz GMWFIQFBPNHLRC-UHFFFAOYSA-N 0 1 276.384 0.907 20 30 CCEDMN C#CCCCC(=O)N(C)C1CN(C(=O)Cc2c[nH]cn2)C1 ZINC001358727735 926805413 /nfs/dbraw/zinc/80/54/13/926805413.db2.gz VAWYHPPSECNTJQ-UHFFFAOYSA-N 0 1 288.351 0.425 20 30 CCEDMN C[C@@H](CNC(=O)CN1CCCC1)CNC(=O)[C@H](C)C#N ZINC001416463203 926893265 /nfs/dbraw/zinc/89/32/65/926893265.db2.gz DIFBADYROWVEOR-NWDGAFQWSA-N 0 1 280.372 0.110 20 30 CCEDMN Cn1ncnc1C1(O)CCN([C@H]2CC[C@@H](C#N)C2)CC1 ZINC001414041026 926895168 /nfs/dbraw/zinc/89/51/68/926895168.db2.gz VAJQPMQFZRMSTJ-NEPJUHHUSA-N 0 1 275.356 0.791 20 30 CCEDMN C[C@H](CNC(=O)CN1CCCC1)CNC(=O)[C@@H](C)C#N ZINC001416463197 926895425 /nfs/dbraw/zinc/89/54/25/926895425.db2.gz DIFBADYROWVEOR-NEPJUHHUSA-N 0 1 280.372 0.110 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)[C@@H](C)C(=O)N(C)C ZINC001421841289 926938238 /nfs/dbraw/zinc/93/82/38/926938238.db2.gz OTVXZMURVIQADL-NEPJUHHUSA-N 0 1 282.388 0.403 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cn(CC)cn1 ZINC001422402560 927266661 /nfs/dbraw/zinc/26/66/61/927266661.db2.gz RNXBJNFYHGQCKA-SNVBAGLBSA-N 0 1 286.763 0.336 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](C)NC(=O)CC ZINC001422494682 927317994 /nfs/dbraw/zinc/31/79/94/927317994.db2.gz FHVSVWSDMBCAAU-NXEZZACHSA-N 0 1 275.780 0.748 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@H]3COCCO3)[nH]c2c1 ZINC001361831319 927367318 /nfs/dbraw/zinc/36/73/18/927367318.db2.gz XXRZLURLPKEAIE-LLVKDONJSA-N 0 1 272.264 0.788 20 30 CCEDMN COC(=O)[C@H](CO)NC(=O)C(C#N)CCc1ccccc1 ZINC001361893830 927427367 /nfs/dbraw/zinc/42/73/67/927427367.db2.gz ZEWSBNCPEBKOOA-OLZOCXBDSA-N 0 1 290.319 0.409 20 30 CCEDMN Cc1nccnc1CN1C[C@@H]2[C@@H](CNC(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001422800801 927522571 /nfs/dbraw/zinc/52/25/71/927522571.db2.gz HWJMLFHSIGFMQB-DOERSZECSA-N 0 1 299.378 0.739 20 30 CCEDMN Cc1cc(C#N)cc(C)c1CC(=O)NCc1nn[nH]n1 ZINC001362057668 927568102 /nfs/dbraw/zinc/56/81/02/927568102.db2.gz FSPKNUKYVTZRQM-UHFFFAOYSA-N 0 1 270.296 0.547 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(C)CCN([C@H]2CCCNC2=O)CC1 ZINC001423504423 927899228 /nfs/dbraw/zinc/89/92/28/927899228.db2.gz KDLXFUAFDDJKAP-NEPJUHHUSA-N 0 1 292.383 0.395 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](CN[C@H](C)c2nncn2C)C1 ZINC001425393800 928538736 /nfs/dbraw/zinc/53/87/36/928538736.db2.gz NYMRVIBZCOZPBG-DDHJBXDOSA-N 0 1 290.371 0.520 20 30 CCEDMN CC[C@@H](F)CN[C@H](CO)CNC(=O)c1cc(C#N)c[nH]1 ZINC001425739447 928650308 /nfs/dbraw/zinc/65/03/08/928650308.db2.gz QYRJEMBOLIYAHT-MNOVXSKESA-N 0 1 282.319 0.315 20 30 CCEDMN COc1cc(CNC2CC(N(C)C(=O)[C@@H](C)C#N)C2)on1 ZINC001426239511 928798020 /nfs/dbraw/zinc/79/80/20/928798020.db2.gz VLCAEFXVSNHGSJ-WHXUTIOJSA-N 0 1 292.339 0.922 20 30 CCEDMN N#Cc1ccc(NCC(=O)N[C@H](CO)Cc2cnc[nH]2)cc1 ZINC001363283871 929073029 /nfs/dbraw/zinc/07/30/29/929073029.db2.gz UBHXASJUINPANK-AWEZNQCLSA-N 0 1 299.334 0.413 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2CCCCN2C)C1 ZINC001428237077 929202740 /nfs/dbraw/zinc/20/27/40/929202740.db2.gz NYQPNTACRWFGNS-CYDGBPFRSA-N 0 1 292.383 0.394 20 30 CCEDMN Cn1c(CNC(=O)c2ccccc2CC#N)n[nH]c1=O ZINC001363636177 929435895 /nfs/dbraw/zinc/43/58/95/929435895.db2.gz YBGSCSUDPMWZFR-UHFFFAOYSA-N 0 1 271.280 0.517 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cnn(C)c1N ZINC001445362568 930116962 /nfs/dbraw/zinc/11/69/62/930116962.db2.gz KIGVESNCLXXICP-MRVPVSSYSA-N 0 1 271.752 0.463 20 30 CCEDMN CC(C)N1CC[C@@H](NC2(CNC(=O)[C@@H](C)C#N)CC2)C1=O ZINC001446154563 930354348 /nfs/dbraw/zinc/35/43/48/930354348.db2.gz AWAPGTLYRILACE-NWDGAFQWSA-N 0 1 292.383 0.394 20 30 CCEDMN CS(=O)(=O)N1CC(C(=O)Nc2cc(C#N)ccc2O)C1 ZINC001447066045 930557663 /nfs/dbraw/zinc/55/76/63/930557663.db2.gz VSRJGEKPOCQFME-UHFFFAOYSA-N 0 1 295.320 0.094 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCn1cnccc1=O ZINC001374830292 930683565 /nfs/dbraw/zinc/68/35/65/930683565.db2.gz VRFNPBBVQCVYIF-LLVKDONJSA-N 0 1 298.774 0.480 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CNC(=O)OC ZINC001447826205 930725757 /nfs/dbraw/zinc/72/57/57/930725757.db2.gz BPMKEYAGAIIOFB-BDAKNGLRSA-N 0 1 277.752 0.578 20 30 CCEDMN Cc1nc([C@@H](C)NC2(CNC(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001375403958 930879882 /nfs/dbraw/zinc/87/98/82/930879882.db2.gz PBVAOHQJXPHMPU-RKDXNWHRSA-N 0 1 276.344 0.572 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CO[C@H]2CCOC2)CC1 ZINC001375423312 930884234 /nfs/dbraw/zinc/88/42/34/930884234.db2.gz ZRIZVDFHTCXTBS-NSHDSACASA-N 0 1 288.775 0.783 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@H](NCc2ccn(C)n2)C1 ZINC001375523081 930906769 /nfs/dbraw/zinc/90/67/69/930906769.db2.gz KHCRSJRNJFNIQQ-NQBHXWOUSA-N 0 1 275.356 0.564 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](CO)NCc1cccc(F)c1 ZINC001448828589 930999930 /nfs/dbraw/zinc/99/99/30/930999930.db2.gz PYYVMGFOGDESFM-ZWNOBZJWSA-N 0 1 279.315 0.552 20 30 CCEDMN Cc1cc(C(=O)N(C)CCN(C)C(=O)[C@H](C)C#N)n[nH]1 ZINC001376183423 931082974 /nfs/dbraw/zinc/08/29/74/931082974.db2.gz BSFKQSRJBVGWSF-SECBINFHSA-N 0 1 277.328 0.408 20 30 CCEDMN CCCC[C@H](CNC(=O)[C@H](C)C#N)NC(=O)c1cnn[nH]1 ZINC001450249988 931250507 /nfs/dbraw/zinc/25/05/07/931250507.db2.gz LPSCVHKOAAXQQM-NXEZZACHSA-N 0 1 292.343 0.369 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@@H](C)NC(=O)[C@@H](C)C#N)n[nH]1 ZINC001450952789 931363438 /nfs/dbraw/zinc/36/34/38/931363438.db2.gz CYWFDZBOYCPTFG-WCBMZHEXSA-N 0 1 277.328 0.455 20 30 CCEDMN C=CC[N@@H+](CCc1ccco1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001602722814 971389859 /nfs/dbraw/zinc/38/98/59/971389859.db2.gz RXDQPXVOYJDMSD-CYBMUJFWSA-N 0 1 292.335 0.996 20 30 CCEDMN C=CC[N@H+](CCc1ccco1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001602722814 971389863 /nfs/dbraw/zinc/38/98/63/971389863.db2.gz RXDQPXVOYJDMSD-CYBMUJFWSA-N 0 1 292.335 0.996 20 30 CCEDMN C=CCn1cc(C[NH+]2CCC(C(=O)[O-])CC2)nn1 ZINC001602731226 971413890 /nfs/dbraw/zinc/41/38/90/971413890.db2.gz ZPLZARKQLRHGRD-UHFFFAOYSA-N 0 1 250.302 0.761 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@@H](C(=O)[O-])C(C)(C)C ZINC000327994994 951120991 /nfs/dbraw/zinc/12/09/91/951120991.db2.gz MFPHLHQXZMKXFT-NSHDSACASA-N 0 1 268.357 0.805 20 30 CCEDMN N#CCCCC(=O)OCC[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC001594606654 956186647 /nfs/dbraw/zinc/18/66/47/956186647.db2.gz YVTSQPAAJHALIM-SNVBAGLBSA-N 0 1 254.286 0.772 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H](C(=O)[O-])C2CC2)CC1 ZINC001588390871 958014938 /nfs/dbraw/zinc/01/49/38/958014938.db2.gz PNXLIAOIVJPMIA-GFCCVEGCSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CCC[N@@H+]1CCC ZINC001588399740 958076469 /nfs/dbraw/zinc/07/64/69/958076469.db2.gz OLIGMGDRGLACRI-NSHDSACASA-N 0 1 252.314 0.407 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCC[N@@H+]1CCC[C@@H]1C ZINC001588408274 958106821 /nfs/dbraw/zinc/10/68/21/958106821.db2.gz FIOYMQADRSDACB-NSHDSACASA-N 0 1 267.329 0.200 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)[C@@]2(C(=O)[O-])CC2(C)C)C1 ZINC001588430157 958253049 /nfs/dbraw/zinc/25/30/49/958253049.db2.gz ISVSEWAZWKLERQ-IAQYHMDHSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)[C@@]2(C(=O)[O-])CC2(C)C)C1 ZINC001588430157 958253057 /nfs/dbraw/zinc/25/30/57/958253057.db2.gz ISVSEWAZWKLERQ-IAQYHMDHSA-N 0 1 278.352 0.701 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1cncc(C#N)c1)[C@@H](C)C(=O)[O-] ZINC001588514981 958788748 /nfs/dbraw/zinc/78/87/48/958788748.db2.gz QTHQYXQNXSCQLA-JTQLQIEISA-N 0 1 290.323 0.364 20 30 CCEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@@H+]2C[C@@H]3C(=O)OC[C@@H]3C2)cc1 ZINC001571030108 960477456 /nfs/dbraw/zinc/47/74/56/960477456.db2.gz QASJYGHPDMIUDB-RWMBFGLXSA-N 0 1 286.287 0.789 20 30 CCEDMN N#Cc1csc(C[NH2+]CCOCCOCC(=O)[O-])n1 ZINC001574493656 961720416 /nfs/dbraw/zinc/72/04/16/961720416.db2.gz OXQKOGVPMNHHFF-UHFFFAOYSA-N 0 1 285.325 0.222 20 30 CCEDMN C[C@@H](CC#N)C(=O)OC[C@@H]1CCC[N@H+]1CC(=O)[O-] ZINC001588988002 962771060 /nfs/dbraw/zinc/77/10/60/962771060.db2.gz PDVBRWTUBQFJJG-UWVGGRQHSA-N 0 1 254.286 0.628 20 30 CCEDMN C=C(C)CC[NH+]1CC2(C1)C[C@@H](NC(=O)CNC(=O)[O-])CO2 ZINC001573324565 962786966 /nfs/dbraw/zinc/78/69/66/962786966.db2.gz UZICIIRKHYFSPN-LLVKDONJSA-N 0 1 297.355 0.180 20 30 CCEDMN C=C(C)CC[NH+]1CC2(C1)C[C@H](NC(=O)CNC(=O)[O-])CO2 ZINC001573324566 962787751 /nfs/dbraw/zinc/78/77/51/962787751.db2.gz UZICIIRKHYFSPN-NSHDSACASA-N 0 1 297.355 0.180 20 30 CCEDMN C=C(Cl)C[N@@H+](C)[C@H]1CCN(C(=O)CNC(=O)[O-])C1 ZINC001573338785 962881025 /nfs/dbraw/zinc/88/10/25/962881025.db2.gz IPGYIEAJQXQAHJ-VIFPVBQESA-N 0 1 275.736 0.539 20 30 CCEDMN C=C(Cl)C[N@H+](C)[C@H]1CCN(C(=O)CNC(=O)[O-])C1 ZINC001573338785 962881035 /nfs/dbraw/zinc/88/10/35/962881035.db2.gz IPGYIEAJQXQAHJ-VIFPVBQESA-N 0 1 275.736 0.539 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](NC(=O)CNC(=O)[O-])C[C@H]1C ZINC001573369727 963101625 /nfs/dbraw/zinc/10/16/25/963101625.db2.gz YPOGUNJDNSNVSZ-VXGBXAGGSA-N 0 1 299.371 0.426 20 30 CCEDMN C#CCCCCC(=O)O[C@H]1C[C@H](C(=O)[O-])[N@H+](C)C1 ZINC001588440774 964045382 /nfs/dbraw/zinc/04/53/82/964045382.db2.gz QALAIYAHZGTTFE-WDEREUQCSA-N 0 1 253.298 0.881 20 30 CCEDMN C#CCCCCC(=O)O[C@H]1C[C@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588440774 964045398 /nfs/dbraw/zinc/04/53/98/964045398.db2.gz QALAIYAHZGTTFE-WDEREUQCSA-N 0 1 253.298 0.881 20 30 CCEDMN C[N@@H+]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC1(C)C ZINC001604221399 972715383 /nfs/dbraw/zinc/71/53/83/972715383.db2.gz QPRKTHOGLVFRJG-CYBMUJFWSA-N 0 1 267.329 0.544 20 30 CCEDMN C[N@H+]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC1(C)C ZINC001604221399 972715395 /nfs/dbraw/zinc/71/53/95/972715395.db2.gz QPRKTHOGLVFRJG-CYBMUJFWSA-N 0 1 267.329 0.544 20 30 CCEDMN N#CCC1(C[N@@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)CC1 ZINC001605581637 973859351 /nfs/dbraw/zinc/85/93/51/973859351.db2.gz FWHYBURLDOGRJF-GWCFXTLKSA-N 0 1 250.298 0.713 20 30 CCEDMN CC[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)[C@H](C)C(=O)[O-] ZINC001603724762 974163327 /nfs/dbraw/zinc/16/33/27/974163327.db2.gz WZQOKPXGVVXYBM-NOZJJQNGSA-N 0 1 267.329 0.590 20 30 CCEDMN CC[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)[C@H](C)C(=O)[O-] ZINC001603724762 974163329 /nfs/dbraw/zinc/16/33/29/974163329.db2.gz WZQOKPXGVVXYBM-NOZJJQNGSA-N 0 1 267.329 0.590 20 30 CCEDMN CC[C@@H](CC#N)[NH2+][C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001595030078 979163626 /nfs/dbraw/zinc/16/36/26/979163626.db2.gz LBTOZMBZWCLTIE-WDEREUQCSA-N 0 1 267.329 0.734 20 30 CCEDMN C#CC[C@H]1CC[N@H+](CC(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC001588434786 983472412 /nfs/dbraw/zinc/47/24/12/983472412.db2.gz SWBOPFZACPKIRE-LBPRGKRZSA-N 0 1 278.352 0.655 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1c(C)coc1CC(=O)[O-] ZINC001588463621 983491715 /nfs/dbraw/zinc/49/17/15/983491715.db2.gz FXRNEPVAUDBPSV-UHFFFAOYSA-N 0 1 292.335 0.900 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N2CC[C@H](C(=O)[O-])[C@H]2C)C1 ZINC001588477370 983515843 /nfs/dbraw/zinc/51/58/43/983515843.db2.gz ZCQBJQRCOXXHSX-AGIUHOORSA-N 0 1 293.367 0.589 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N2CC[C@H](C(=O)[O-])[C@H]2C)C1 ZINC001588477370 983515846 /nfs/dbraw/zinc/51/58/46/983515846.db2.gz ZCQBJQRCOXXHSX-AGIUHOORSA-N 0 1 293.367 0.589 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)[O-] ZINC001588479334 983518706 /nfs/dbraw/zinc/51/87/06/983518706.db2.gz JQCWFWOPYGWARK-DMDPSCGWSA-N 0 1 264.325 0.311 20 30 CCEDMN C#CCOc1ccc(C[N@H+]2C[C@@H](O)C[C@H](C(=O)[O-])C2)cc1 ZINC001588497502 983539124 /nfs/dbraw/zinc/53/91/24/983539124.db2.gz KDHFEVVZIKZWOS-KBPBESRZSA-N 0 1 289.331 0.966 20 30 CCEDMN CC[N@H+](CC(=O)[O-])C[C@@H](O)COc1ccccc1C#N ZINC001596225235 983616941 /nfs/dbraw/zinc/61/69/41/983616941.db2.gz VOOYVMNFNFNKLP-GFCCVEGCSA-N 0 1 278.308 0.704 20 30 CCEDMN C=C(C)C[N@@H+](CC)CCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001588563172 983644594 /nfs/dbraw/zinc/64/45/94/983644594.db2.gz NDOWKGVRTUITBR-WDEREUQCSA-N 0 1 254.330 0.721 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N[C@@H]1CCC[N@H+](CCOC)C1 ZINC001588623227 983726826 /nfs/dbraw/zinc/72/68/26/983726826.db2.gz MOVSUPNQCVSRMN-GFCCVEGCSA-N 0 1 284.356 0.634 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CC[C@@](CO)(C(F)(F)F)C1 ZINC001588662462 983789293 /nfs/dbraw/zinc/78/92/93/983789293.db2.gz AATZGZOGJKWALU-VXNVDRBHSA-N 0 1 253.220 0.872 20 30 CCEDMN C=C[C@@H](C(=O)[O-])N1CCC([N@H+]2CC[C@H](O)C2)CC1 ZINC001588664157 983798880 /nfs/dbraw/zinc/79/88/80/983798880.db2.gz UHIZMYZXIGSRDS-RYUDHWBXSA-N 0 1 254.330 0.157 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC001588664344 983799372 /nfs/dbraw/zinc/79/93/72/983799372.db2.gz UMXYXRMUDABPHX-KOLCDFICSA-N 0 1 294.311 0.341 20 30 CCEDMN C=C[C@@H](C(=O)[O-])N1CCN(c2[nH+]ccn2C)CC1 ZINC001588668941 983808488 /nfs/dbraw/zinc/80/84/88/983808488.db2.gz DMESTDBZOGEJHB-JTQLQIEISA-N 0 1 250.302 0.181 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[N@@H+](CCC(=O)[O-])[C@H](C)C1 ZINC001588684393 983858846 /nfs/dbraw/zinc/85/88/46/983858846.db2.gz PWAUMBRLWBRMEZ-BXUZGUMPSA-N 0 1 284.356 0.321 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](n2ccnn2)C1 ZINC001588728365 983982080 /nfs/dbraw/zinc/98/20/80/983982080.db2.gz CVIVTZDFWHZPKT-MNOVXSKESA-N 0 1 250.302 0.944 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001588729303 983987904 /nfs/dbraw/zinc/98/79/04/983987904.db2.gz PIGSDFUYBDVBHB-MNOVXSKESA-N 0 1 267.329 0.552 20 30 CCEDMN C=CC[C@H](C(=O)OC)[N@H+]1CC[C@](OC)(C(=O)[O-])C1 ZINC001588731864 984012655 /nfs/dbraw/zinc/01/26/55/984012655.db2.gz PCMINNUVAYWZMD-BXKDBHETSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCCn1cc(C[N@H+]2CC[C@](OC)(C(=O)[O-])C2)nn1 ZINC001588820085 984281585 /nfs/dbraw/zinc/28/15/85/984281585.db2.gz PSQDEBDQMBIOIY-CYBMUJFWSA-N 0 1 280.328 0.530 20 30 CCEDMN C=CCCn1cc(C[N@@H+]2CC[C@](OC)(C(=O)[O-])C2)nn1 ZINC001588820085 984281587 /nfs/dbraw/zinc/28/15/87/984281587.db2.gz PSQDEBDQMBIOIY-CYBMUJFWSA-N 0 1 280.328 0.530 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@H](C(=O)[O-])[C@@H]2CCC[C@@H]21 ZINC001588839239 984345458 /nfs/dbraw/zinc/34/54/58/984345458.db2.gz PVSDZZLAKNTTSB-SRVKXCTJSA-N 0 1 266.341 0.864 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@](F)(C(=O)[O-])C2)C1=O ZINC001588840112 984352121 /nfs/dbraw/zinc/35/21/21/984352121.db2.gz ZSXKGFUXYWNHEM-JOYOIKCWSA-N 0 1 256.277 0.272 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@](F)(C(=O)[O-])C2)C1=O ZINC001588840112 984352124 /nfs/dbraw/zinc/35/21/24/984352124.db2.gz ZSXKGFUXYWNHEM-JOYOIKCWSA-N 0 1 256.277 0.272 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H]1CC[N@H+]1C(C)(C)C)C(=O)[O-] ZINC001588855666 984394351 /nfs/dbraw/zinc/39/43/51/984394351.db2.gz NNHDLISIZFSYJD-MNOVXSKESA-N 0 1 284.356 0.631 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H]1CC[N@@H+]1C(C)(C)C)C(=O)[O-] ZINC001588855666 984394357 /nfs/dbraw/zinc/39/43/57/984394357.db2.gz NNHDLISIZFSYJD-MNOVXSKESA-N 0 1 284.356 0.631 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001588869210 984438768 /nfs/dbraw/zinc/43/87/68/984438768.db2.gz ZYGNUXUGMAKCNA-LBPRGKRZSA-N 0 1 254.286 0.494 20 30 CCEDMN CCO[C@@H]1C[C@]([NH2+]CC(=O)NCCC#N)(C(=O)[O-])C1(C)C ZINC001596833736 985470971 /nfs/dbraw/zinc/47/09/71/985470971.db2.gz PXHBCGNEQOFEKZ-YGRLFVJLSA-N 0 1 297.355 0.264 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1c1ccc(C#N)cn1 ZINC001594527714 986087061 /nfs/dbraw/zinc/08/70/61/986087061.db2.gz JNCLBUCYWCXLRO-LLVKDONJSA-N 0 1 274.324 0.938 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2cc(C(=O)[O-])ccc2O)N1 ZINC001594585289 986476385 /nfs/dbraw/zinc/47/63/85/986476385.db2.gz XWSJHLJKWQOLDZ-ZETCQYMHSA-N 0 1 262.269 0.362 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC001589389091 986500959 /nfs/dbraw/zinc/50/09/59/986500959.db2.gz VHPGGXGVLMGUCX-MFKMUULPSA-N 0 1 279.340 0.734 20 30 CCEDMN CC(C)(C#N)CC[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001589554863 987304109 /nfs/dbraw/zinc/30/41/09/987304109.db2.gz RPQLQCOBBJKXDO-JTQLQIEISA-N 0 1 267.329 0.591 20 30 CCEDMN C[N@@H+](Cc1cc(C#N)cs1)C[C@@](C)(O)C(=O)[O-] ZINC001598507991 992532592 /nfs/dbraw/zinc/53/25/92/992532592.db2.gz YMWQMOUKPIOKNX-LLVKDONJSA-N 0 1 254.311 0.887 20 30 CCEDMN C[N@H+]1CCC[C@@H]1CNC(=O)N1CCC(C#N)(C(=O)[O-])CC1 ZINC001598602896 993589589 /nfs/dbraw/zinc/58/95/89/993589589.db2.gz UMXULIDXPOLTJH-LLVKDONJSA-N 0 1 294.355 0.481 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2ccc(C#N)cc2)C[C@@H](C(=O)[O-])C1 ZINC001598611180 993776697 /nfs/dbraw/zinc/77/66/97/993776697.db2.gz OVRCNACANZSTFD-ZDUSSCGKSA-N 0 1 287.319 0.647 20 30 CCEDMN C[N@H+]1CCN(C(=O)N[C@@H]2CC[C@H](C#N)C2)C[C@@H](C(=O)[O-])C1 ZINC001598611852 993791912 /nfs/dbraw/zinc/79/19/12/993791912.db2.gz ZVJAWYBFJPKQST-SDDRHHMPSA-N 0 1 294.355 0.336 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2csc(C#N)c2)C[C@@H](C(=O)[O-])C1 ZINC001598613142 993822666 /nfs/dbraw/zinc/82/26/66/993822666.db2.gz KOYVOOQOKBEPAO-JTQLQIEISA-N 0 1 293.348 0.708 20 30 CCEDMN COC(=O)[C@@H]1C[N@H+]([C@@H]2CC[C@H](C#N)C2)C[C@@H]1C(=O)[O-] ZINC001598818479 996297285 /nfs/dbraw/zinc/29/72/85/996297285.db2.gz KFEWKLYXTLIDKH-ZRUFSTJUSA-N 0 1 266.297 0.484 20 30 CCEDMN COC[C@@](C)([NH2+]CC(=O)N[C@@](C)(C#N)C(C)C)C(=O)[O-] ZINC001599154338 998344359 /nfs/dbraw/zinc/34/43/59/998344359.db2.gz KWIZFQRXENKZCT-QWHCGFSZSA-N 0 1 285.344 0.120 20 30 CCEDMN CC[C@H](CC#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599760247 361807499 /nfs/dbraw/zinc/80/74/99/361807499.db2.gz MPQUWLPJAJRABD-SNVBAGLBSA-N 0 1 296.352 0.875 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)NC1CCN(CC#N)CC1 ZINC000599907262 361826712 /nfs/dbraw/zinc/82/67/12/361826712.db2.gz DWVCDXGLANYEHH-AWEZNQCLSA-N 0 1 293.415 0.614 20 30 CCEDMN CC[C@@H]1CN(CC)CCN1C(=O)[C@H](C)n1cnc(C#N)n1 ZINC000456394009 529387815 /nfs/dbraw/zinc/38/78/15/529387815.db2.gz YGXYBHYNPSSGRH-NWDGAFQWSA-N 0 1 290.371 0.653 20 30 CCEDMN CC[C@H](C#N)NC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000344804953 529586561 /nfs/dbraw/zinc/58/65/61/529586561.db2.gz NPXFSKXXCYCPSO-GFCCVEGCSA-N 0 1 287.367 0.865 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)C(=O)N(C)C[C@@H](C)C#N ZINC000600799316 362061781 /nfs/dbraw/zinc/06/17/81/362061781.db2.gz ZETWRFAAUOKKDL-RYUDHWBXSA-N 0 1 280.372 0.061 20 30 CCEDMN N#Cc1cnccc1NCc1n[nH]c(C2CCOCC2)n1 ZINC000601221878 362181462 /nfs/dbraw/zinc/18/14/62/362181462.db2.gz BXMGGCSKVNWQCE-UHFFFAOYSA-N 0 1 284.323 0.999 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CC(O)(CC#N)C1 ZINC000331896650 529798392 /nfs/dbraw/zinc/79/83/92/529798392.db2.gz DUDNAQLVSWPCPS-UHFFFAOYSA-N 0 1 260.297 0.248 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)CC1(O)CCC1 ZINC000353485182 529826348 /nfs/dbraw/zinc/82/63/48/529826348.db2.gz SXGNZGCTJBWCOF-UHFFFAOYSA-N 0 1 278.356 0.489 20 30 CCEDMN C=CCC[C@@H](NC(=O)CCc1c[nH]nn1)C(=O)OCC ZINC000601623668 362329865 /nfs/dbraw/zinc/32/98/65/362329865.db2.gz ZPWSNGXRNGMUOQ-LLVKDONJSA-N 0 1 280.328 0.751 20 30 CCEDMN C=CCC[C@@H](NC(=O)CCc1cnn[nH]1)C(=O)OCC ZINC000601623668 362329870 /nfs/dbraw/zinc/32/98/70/362329870.db2.gz ZPWSNGXRNGMUOQ-LLVKDONJSA-N 0 1 280.328 0.751 20 30 CCEDMN CN(CCc1ccccc1)CC(=O)N1CCO[C@H](C#N)C1 ZINC000602099204 362486441 /nfs/dbraw/zinc/48/64/41/362486441.db2.gz WEHXFLUDORMRQE-OAHLLOKOSA-N 0 1 287.363 0.912 20 30 CCEDMN COC[C@@H](C)NCCS(=O)(=O)c1cccc(C#N)c1 ZINC000160620636 290731427 /nfs/dbraw/zinc/73/14/27/290731427.db2.gz GIYVGTGOZCLCAV-LLVKDONJSA-N 0 1 282.365 0.956 20 30 CCEDMN CN1CCN(CCNC(=O)c2ccc3nncn3c2)CC1 ZINC000329107682 530071754 /nfs/dbraw/zinc/07/17/54/530071754.db2.gz PFUUXPBFMFCCGE-UHFFFAOYSA-N 0 1 288.355 0.281 20 30 CCEDMN C=CCSCCNC(=O)NCCN1CC[C@H](O)C1 ZINC000602244951 362567488 /nfs/dbraw/zinc/56/74/88/362567488.db2.gz HPEKGYXBEDYGRI-NSHDSACASA-N 0 1 273.402 0.271 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2ncc3n2CCCC3)[nH]1 ZINC000602279065 362574082 /nfs/dbraw/zinc/57/40/82/362574082.db2.gz BHEODEMEEJSJBA-UHFFFAOYSA-N 0 1 270.296 0.499 20 30 CCEDMN N#Cc1ccc(CNC(=O)NCCN2CC[C@H](O)C2)cc1 ZINC000602227495 362563773 /nfs/dbraw/zinc/56/37/73/362563773.db2.gz NMXOOKZLKQUTNQ-AWEZNQCLSA-N 0 1 288.351 0.424 20 30 CCEDMN COc1cncc(C(=O)N=c2[nH]n(C)c(C)c2C#N)c1C ZINC000602350013 362594289 /nfs/dbraw/zinc/59/42/89/362594289.db2.gz XWYJHBJITKSJOZ-UHFFFAOYSA-N 0 1 285.307 0.986 20 30 CCEDMN N#Cc1ccc(CC(=O)N2C[C@H]3CCC[C@@H](C2)N3)cn1 ZINC000602488737 362641713 /nfs/dbraw/zinc/64/17/13/362641713.db2.gz ZDGPGTLXTAEJHT-OKILXGFUSA-N 0 1 270.336 0.849 20 30 CCEDMN N#Cc1ccc(OCC(=O)NC[C@H]2COCCN2)cc1 ZINC000602551515 362675272 /nfs/dbraw/zinc/67/52/72/362675272.db2.gz OVYPJMXVVBNZQG-LBPRGKRZSA-N 0 1 275.308 0.042 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1Cc1cccc(C#N)n1 ZINC000602686958 362748734 /nfs/dbraw/zinc/74/87/34/362748734.db2.gz SNVMCQULNUWLTK-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN C[C@H](CCC#N)CN1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000602711941 362763259 /nfs/dbraw/zinc/76/32/59/362763259.db2.gz SUPRSMVZKPJWDC-VXGBXAGGSA-N 0 1 273.402 0.892 20 30 CCEDMN C=CCCn1cc(C(=O)N(C)CC2CN(C)C2)nn1 ZINC000618188797 365918978 /nfs/dbraw/zinc/91/89/78/365918978.db2.gz LQLVFYIHGFGMNE-UHFFFAOYSA-N 0 1 263.345 0.488 20 30 CCEDMN C[C@@H]1[C@H](C)NCCN1S(=O)(=O)c1cccc(C#N)c1 ZINC000262798877 137053745 /nfs/dbraw/zinc/05/37/45/137053745.db2.gz UUMAZPQKOQBITG-WDEREUQCSA-N 0 1 279.365 0.929 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@H]3OCC[C@@H]3C2)[nH]1 ZINC000602884702 362863459 /nfs/dbraw/zinc/86/34/59/362863459.db2.gz CDPFSWURWJGPDV-KOLCDFICSA-N 0 1 275.312 0.356 20 30 CCEDMN CCN(C(=O)N=c1[n-]n(C)cc1C#N)[C@H]1CC[N@@H+](C)C1 ZINC000602886049 362865240 /nfs/dbraw/zinc/86/52/40/362865240.db2.gz IQWCGRYXFPAOSK-NSHDSACASA-N 0 1 276.344 0.272 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@H]3COC[C@H]3C2)[nH]1 ZINC000602885516 362865336 /nfs/dbraw/zinc/86/53/36/362865336.db2.gz FVRXXUWVCRJJLP-GXSJLCMTSA-N 0 1 275.312 0.214 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC(SC)CC1 ZINC000602918308 362886569 /nfs/dbraw/zinc/88/65/69/362886569.db2.gz BGLOSIUFDLWVKI-UHFFFAOYSA-N 0 1 271.386 0.826 20 30 CCEDMN COC(=O)CNC(=O)CCN(C)[C@H]1CCC[C@@H]1C#N ZINC000602976005 362918911 /nfs/dbraw/zinc/91/89/11/362918911.db2.gz JQWAYYLLPPNYAM-MNOVXSKESA-N 0 1 267.329 0.290 20 30 CCEDMN CN(CCC(=O)N1CCN(C)CC1)[C@H]1CCC[C@H]1C#N ZINC000602979301 362920024 /nfs/dbraw/zinc/92/00/24/362920024.db2.gz BUIXSDQMWCPUPG-KBPBESRZSA-N 0 1 278.400 0.775 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H](CCO)C1 ZINC000051916705 348500202 /nfs/dbraw/zinc/50/02/02/348500202.db2.gz NDPCYPVYSNKBSY-NSHDSACASA-N 0 1 269.345 0.093 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2ccn(C)n2)CC1 ZINC000273476160 192166893 /nfs/dbraw/zinc/16/68/93/192166893.db2.gz JHBTUVJACLHHBT-UHFFFAOYSA-N 0 1 261.325 0.809 20 30 CCEDMN C[C@H](CNc1nccnc1C#N)N1CCN(C)CC1 ZINC000068901987 348825731 /nfs/dbraw/zinc/82/57/31/348825731.db2.gz CUUQYPDHBIFYQN-LLVKDONJSA-N 0 1 260.345 0.396 20 30 CCEDMN COC1CCN(CCNC(=O)C(C)(C)C#N)CC1 ZINC000079680944 349186794 /nfs/dbraw/zinc/18/67/94/349186794.db2.gz NJTOBWIRVCVAIS-UHFFFAOYSA-N 0 1 253.346 0.763 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)Cc1cccc(C#N)c1 ZINC000080528155 349215669 /nfs/dbraw/zinc/21/56/69/349215669.db2.gz LLXUWKYFEHEKRT-UHFFFAOYSA-N 0 1 291.336 0.638 20 30 CCEDMN CC1(C)CNCCN1S(=O)(=O)c1ccc(C#N)cc1 ZINC000088139500 349357452 /nfs/dbraw/zinc/35/74/52/349357452.db2.gz ZHTXCQVUGWQYAZ-UHFFFAOYSA-N 0 1 279.365 0.931 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H](CO)CN2CCCC2)s1 ZINC000603051415 362946681 /nfs/dbraw/zinc/94/66/81/362946681.db2.gz CSPALGALQVATFV-SNVBAGLBSA-N 0 1 279.365 0.806 20 30 CCEDMN N#Cc1ccc(CNC[C@H]2CS(=O)(=O)CCO2)s1 ZINC000538421509 290881947 /nfs/dbraw/zinc/88/19/47/290881947.db2.gz LZCXKPNPKIFFCI-VIFPVBQESA-N 0 1 286.378 0.523 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)C[C@H](O)C(F)(F)F ZINC000121832961 350058303 /nfs/dbraw/zinc/05/83/03/350058303.db2.gz RNSLYQUNQQUNHL-VIFPVBQESA-N 0 1 291.277 0.651 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@H](OCC)C1 ZINC000122587983 350066735 /nfs/dbraw/zinc/06/67/35/350066735.db2.gz CHTZREXJWDUDMD-RYUDHWBXSA-N 0 1 283.372 0.888 20 30 CCEDMN C[C@@H]1C[C@H](C(=O)NC2(C#N)CCN(C)CC2)CO1 ZINC000132463647 350308307 /nfs/dbraw/zinc/30/83/07/350308307.db2.gz HUQHYONLKHQPLJ-MNOVXSKESA-N 0 1 251.330 0.516 20 30 CCEDMN COC[C@@](C)(CCO)NCC(=O)Nc1sccc1C#N ZINC000132539601 350310334 /nfs/dbraw/zinc/31/03/34/350310334.db2.gz WOZVSIQHMOUWJB-CYBMUJFWSA-N 0 1 297.380 0.935 20 30 CCEDMN C[C@H](C(=O)N1C[C@@H](C)N(CC#N)[C@H](C)C1)N(C)C ZINC000603089906 362957335 /nfs/dbraw/zinc/95/73/35/362957335.db2.gz FQYAJDIOHDGCEX-IJLUTSLNSA-N 0 1 252.362 0.381 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)CC(=O)N1CCCC1 ZINC000153250750 350555977 /nfs/dbraw/zinc/55/59/77/350555977.db2.gz XJYGGVSVEJPXKF-UHFFFAOYSA-N 0 1 290.371 0.350 20 30 CCEDMN Cc1cc(NC(=O)N2CC(N(C)C)C2)ncc1C#N ZINC000603121734 362969633 /nfs/dbraw/zinc/96/96/33/362969633.db2.gz RUBHBMLJSURQET-UHFFFAOYSA-N 0 1 259.313 0.461 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@H](CCC(N)=O)C1 ZINC000170735672 350855154 /nfs/dbraw/zinc/85/51/54/350855154.db2.gz PLGUVMCKLSOTJY-CHWSQXEVSA-N 0 1 294.399 0.724 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC[C@H](CCC(N)=O)C1 ZINC000170735672 350855157 /nfs/dbraw/zinc/85/51/57/350855157.db2.gz PLGUVMCKLSOTJY-CHWSQXEVSA-N 0 1 294.399 0.724 20 30 CCEDMN CNC(C)(C)c1cn([C@H](C)C(=O)NC2(C#N)CCC2)nn1 ZINC000603239388 363018728 /nfs/dbraw/zinc/01/87/28/363018728.db2.gz HCZWWCGNSGPWKI-SNVBAGLBSA-N 0 1 290.371 0.856 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1ccc(C#N)s1 ZINC000230344230 352112600 /nfs/dbraw/zinc/11/26/00/352112600.db2.gz KUFQRBIDMFVYAC-QMMMGPOBSA-N 0 1 273.383 0.848 20 30 CCEDMN N#Cc1nccnc1N1CCN(C[C@@H]2CCOC2)CC1 ZINC000267325443 352760016 /nfs/dbraw/zinc/76/00/16/352760016.db2.gz AXZZFHOOGKJHLB-LBPRGKRZSA-N 0 1 273.340 0.507 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)NCCN(CC)CC ZINC000272823749 353097956 /nfs/dbraw/zinc/09/79/56/353097956.db2.gz ITNMSCGOULSQNV-UHFFFAOYSA-N 0 1 291.417 0.228 20 30 CCEDMN CC[C@H]1CN(c2nc(C)cc(C#N)n2)CCN1C[C@H](C)O ZINC000281080926 353389907 /nfs/dbraw/zinc/38/99/07/353389907.db2.gz BUYSQUHULXTSAG-JSGCOSHPSA-N 0 1 289.383 0.938 20 30 CCEDMN C[C@H](O)CN(C)CC(=O)NCc1ccc(C#N)cc1 ZINC000291287905 353777664 /nfs/dbraw/zinc/77/76/64/353777664.db2.gz QVPZZJBJRDHRNU-NSHDSACASA-N 0 1 261.325 0.487 20 30 CCEDMN N#Cc1ccc(OCCN2CCOC[C@@H](O)C2)cc1 ZINC000295731741 353915397 /nfs/dbraw/zinc/91/53/97/353915397.db2.gz VZQILNRCHLKHKW-ZDUSSCGKSA-N 0 1 262.309 0.630 20 30 CCEDMN C=CCNC(=O)CNCc1cc(C(=O)OCC)c(C)[nH]1 ZINC000295510487 353899884 /nfs/dbraw/zinc/89/98/84/353899884.db2.gz UUAMISZWKDBSDY-UHFFFAOYSA-N 0 1 279.340 0.892 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H]1CCC[C@H]1O ZINC000305371914 354145574 /nfs/dbraw/zinc/14/55/74/354145574.db2.gz XUMJBOXQPWVWDA-NJZAAPMLSA-N 0 1 279.384 0.888 20 30 CCEDMN CC1(C#N)CCN(S(=O)(=O)c2ncc[nH]2)CC1 ZINC000310302702 354189350 /nfs/dbraw/zinc/18/93/50/354189350.db2.gz URTGKCVPPABBTJ-UHFFFAOYSA-N 0 1 254.315 0.724 20 30 CCEDMN CCC(C#N)(CC)C(=O)NCCN1CCC(O)CC1 ZINC000322240925 354229027 /nfs/dbraw/zinc/22/90/27/354229027.db2.gz ODIXZZAIQWBUOC-UHFFFAOYSA-N 0 1 267.373 0.889 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)CC1(C#N)CCCC1 ZINC000344891139 295886256 /nfs/dbraw/zinc/88/62/56/295886256.db2.gz UQFNCNHLIYWMBL-NSHDSACASA-N 0 1 273.402 0.940 20 30 CCEDMN Cc1cccc(CCNC(=O)N=c2[nH]n(C)cc2C#N)n1 ZINC000619320073 366236064 /nfs/dbraw/zinc/23/60/64/366236064.db2.gz FMXMBRWKRMLUCN-UHFFFAOYSA-N 0 1 284.323 0.781 20 30 CCEDMN N#Cc1cc(F)c(C(=O)NCc2nnc[nH]2)c(F)c1 ZINC000336814321 355189593 /nfs/dbraw/zinc/18/95/93/355189593.db2.gz FIMXXXXUWMXRPZ-UHFFFAOYSA-N 0 1 263.207 0.885 20 30 CCEDMN C[C@H]1OCC[C@]12CN(CCOCCC#N)CCO2 ZINC000339141943 355493602 /nfs/dbraw/zinc/49/36/02/355493602.db2.gz VQAAMTPQEFLUCK-OLZOCXBDSA-N 0 1 254.330 0.796 20 30 CCEDMN CN(CCC(=O)Nc1ccccc1C#N)[C@H]1CCNC1=O ZINC000340223107 355529419 /nfs/dbraw/zinc/52/94/19/355529419.db2.gz SSIXPUHZDGPXAM-ZDUSSCGKSA-N 0 1 286.335 0.707 20 30 CCEDMN Cc1cc(N[C@H](C)CN2CCN(C)CC2)c(C#N)cn1 ZINC000425219446 235274431 /nfs/dbraw/zinc/27/44/31/235274431.db2.gz AFKPCGKZSGEYSW-CYBMUJFWSA-N 0 1 273.384 0.731 20 30 CCEDMN Cc1cc(NC[C@H](C)N2CCN(C)CC2)c(C#N)cn1 ZINC000425224677 235274791 /nfs/dbraw/zinc/27/47/91/235274791.db2.gz LDYSKZBHSJOMPX-ZDUSSCGKSA-N 0 1 273.384 0.731 20 30 CCEDMN C[C@@H](NCc1cnc2ccc(C#N)cn12)C(=O)N(C)C ZINC000342849938 355759710 /nfs/dbraw/zinc/75/97/10/355759710.db2.gz VUXJHGOKWSPYMQ-SNVBAGLBSA-N 0 1 271.324 0.772 20 30 CCEDMN CCOC(=O)CCN(C)[C@H](C)C(=O)N(C)CCC#N ZINC000343414737 355770217 /nfs/dbraw/zinc/77/02/17/355770217.db2.gz BLQMMSRRKQOEMS-LLVKDONJSA-N 0 1 269.345 0.632 20 30 CCEDMN CN(Cc1nnc[nH]1)c1nccc(C#N)c1[N+](=O)[O-] ZINC000348357067 355918214 /nfs/dbraw/zinc/91/82/14/355918214.db2.gz ZJTLYIYVUXQDOT-UHFFFAOYSA-N 0 1 259.229 0.616 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)CC1(C#N)CCC1 ZINC000349867739 355993854 /nfs/dbraw/zinc/99/38/54/355993854.db2.gz BDTBJYXBUQRKFV-SNVBAGLBSA-N 0 1 259.375 0.550 20 30 CCEDMN N#Cc1ccc(N2CCC(NC(=O)c3cnn[nH]3)CC2)nc1 ZINC000608967576 363468950 /nfs/dbraw/zinc/46/89/50/363468950.db2.gz BPSWTPHXUJXVKY-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CNCC(F)(F)F)CC1 ZINC000609584302 363507662 /nfs/dbraw/zinc/50/76/62/363507662.db2.gz ZWCPQSOECKYQAE-UHFFFAOYSA-N 0 1 278.278 0.242 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)NCc1cc(C)[nH]n1 ZINC000619710340 366330840 /nfs/dbraw/zinc/33/08/40/366330840.db2.gz RBSJDQPSKROMEL-VIFPVBQESA-N 0 1 285.373 0.534 20 30 CCEDMN Cc1[nH]ncc1CCCNC(=O)c1cnn(C)c1C#N ZINC000359445319 356822859 /nfs/dbraw/zinc/82/28/59/356822859.db2.gz FYHJNHPOMZHSJU-UHFFFAOYSA-N 0 1 272.312 0.686 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2cc3c(cn2)OCCC3)[nH]1 ZINC000360044995 356939624 /nfs/dbraw/zinc/93/96/24/356939624.db2.gz NRXXUEIQVYQWLM-UHFFFAOYSA-N 0 1 283.291 0.686 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2cccc(C#N)c2)[nH]1 ZINC000610560683 363575535 /nfs/dbraw/zinc/57/55/35/363575535.db2.gz HWZZHMPRWOIISV-UHFFFAOYSA-N 0 1 280.291 0.907 20 30 CCEDMN CCOC(=O)CCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610564600 363578670 /nfs/dbraw/zinc/57/86/70/363578670.db2.gz JPLKHJKGAGVCSG-UHFFFAOYSA-N 0 1 279.300 0.178 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H]2CCC[C@@H](O)C2)[nH]1 ZINC000610564304 363579172 /nfs/dbraw/zinc/57/91/72/363579172.db2.gz HEGWPQDNLSPYHJ-MWLCHTKSSA-N 0 1 277.328 0.386 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000365987169 357182816 /nfs/dbraw/zinc/18/28/16/357182816.db2.gz RKKODEGCLOCZKK-CYBMUJFWSA-N 0 1 297.318 0.324 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000610579391 363581787 /nfs/dbraw/zinc/58/17/87/363581787.db2.gz KGZRMNQOUFDXJQ-UHFFFAOYSA-N 0 1 285.311 0.609 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)NC1(c2nn[nH]n2)CCCC1 ZINC000610579391 363581792 /nfs/dbraw/zinc/58/17/92/363581792.db2.gz KGZRMNQOUFDXJQ-UHFFFAOYSA-N 0 1 285.311 0.609 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)c[nH]1 ZINC000376161306 357439326 /nfs/dbraw/zinc/43/93/26/357439326.db2.gz HLZPWLIAQNWPKG-KGLIPLIRSA-N 0 1 288.351 0.558 20 30 CCEDMN N#CC1(C(=O)NC[C@@H]2CN3CCN2CCC3)CCCCC1 ZINC000394732059 357757705 /nfs/dbraw/zinc/75/77/05/357757705.db2.gz BPYFTKYCVSMOSS-CQSZACIVSA-N 0 1 290.411 0.967 20 30 CCEDMN C[C@](C#N)(NC(=O)CN(CCCO)C1CC1)C1CC1 ZINC000404305709 357820282 /nfs/dbraw/zinc/82/02/82/357820282.db2.gz PTPNUYABSQAOOU-CQSZACIVSA-N 0 1 265.357 0.642 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CCCCN2CCO)ccn1 ZINC000452379460 236239603 /nfs/dbraw/zinc/23/96/03/236239603.db2.gz QYZGSMJGEQNZQU-CQSZACIVSA-N 0 1 288.351 0.530 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC2(CC#N)CC2)[C@@H](C)CN1CCO ZINC000419525734 358172373 /nfs/dbraw/zinc/17/23/73/358172373.db2.gz UFEHRSORFGNXNB-OLZOCXBDSA-N 0 1 294.399 0.777 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](CO)[C@H]1C ZINC000488749527 358289950 /nfs/dbraw/zinc/28/99/50/358289950.db2.gz JCNZNWGWEWUKEV-JHJVBQTASA-N 0 1 267.373 0.697 20 30 CCEDMN C[C@@H](O)CCN1CCN(c2cnccc2C#N)CC1 ZINC000562704088 291164135 /nfs/dbraw/zinc/16/41/35/291164135.db2.gz IPIRBKQWBXYITL-GFCCVEGCSA-N 0 1 260.341 0.846 20 30 CCEDMN COC(=O)CNC(=O)[C@H](C)N1CCC(CCC#N)CC1 ZINC000572280747 358928525 /nfs/dbraw/zinc/92/85/25/358928525.db2.gz MRILCKQNTUNPHO-NSHDSACASA-N 0 1 281.356 0.680 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2ccc(C#N)o2)[C@@H]1C ZINC000575196515 359041857 /nfs/dbraw/zinc/04/18/57/359041857.db2.gz OBRRXFZJMASOAJ-RKDXNWHRSA-N 0 1 269.326 0.522 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CCCC2CCOCC2)c1=O ZINC000573801645 359000755 /nfs/dbraw/zinc/00/07/55/359000755.db2.gz HCJNGDGIUHEZKY-UHFFFAOYSA-N 0 1 263.297 0.615 20 30 CCEDMN CC1CC(C#N)(C(=O)NC[C@H]2CCCC[N@H+]2CCO)C1 ZINC000584762260 359201578 /nfs/dbraw/zinc/20/15/78/359201578.db2.gz YVOYMALQDLBFJB-JVWICGRDSA-N 0 1 279.384 0.889 20 30 CCEDMN CC1CC(C#N)(C(=O)NC[C@H]2CCCCN2CCO)C1 ZINC000584762260 359201582 /nfs/dbraw/zinc/20/15/82/359201582.db2.gz YVOYMALQDLBFJB-JVWICGRDSA-N 0 1 279.384 0.889 20 30 CCEDMN Cc1cc(CNC(=O)N=c2[nH]n(C)cc2C#N)n(C)n1 ZINC000585797208 359277984 /nfs/dbraw/zinc/27/79/84/359277984.db2.gz FCVFSXTWBKKFLK-UHFFFAOYSA-N 0 1 273.300 0.077 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@H]2[C@H]2CCCO2)[nH]1 ZINC000585797457 359278040 /nfs/dbraw/zinc/27/80/40/359278040.db2.gz YMHRHOYHIIDTGF-NWDGAFQWSA-N 0 1 289.339 0.889 20 30 CCEDMN C[N@@H+]1CC[C@](O)(CNC(=O)c2cc([O-])cc(C#N)c2)C1 ZINC000589206249 359368818 /nfs/dbraw/zinc/36/88/18/359368818.db2.gz QCEIAAKGPFEFEZ-AWEZNQCLSA-N 0 1 275.308 0.060 20 30 CCEDMN C[N@H+]1CC[C@](O)(CNC(=O)c2cc([O-])cc(C#N)c2)C1 ZINC000589206249 359368821 /nfs/dbraw/zinc/36/88/21/359368821.db2.gz QCEIAAKGPFEFEZ-AWEZNQCLSA-N 0 1 275.308 0.060 20 30 CCEDMN CN1C[C@H]2[C@@H](CCN2S(=O)(=O)CCCC#N)C1 ZINC000589930096 359410713 /nfs/dbraw/zinc/41/07/13/359410713.db2.gz SFCRRKNQRQJBQO-QWRGUYRKSA-N 0 1 257.359 0.256 20 30 CCEDMN CCN1CCN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@@H]1C ZINC000611091937 363659328 /nfs/dbraw/zinc/65/93/28/363659328.db2.gz DBDKAKRIVNDAGV-SWLSCSKDSA-N 0 1 278.400 0.821 20 30 CCEDMN CN(C[C@@H]1CCC[C@@H]1O)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611112068 363660500 /nfs/dbraw/zinc/66/05/00/363660500.db2.gz RZYHEUXVTUEEDE-ONGXEEELSA-N 0 1 277.328 0.338 20 30 CCEDMN CN1CCC[C@H](NC(=O)C(=O)Nc2ccc(C#N)cn2)C1 ZINC000590219100 359429902 /nfs/dbraw/zinc/42/99/02/359429902.db2.gz PKPWGOPAAGZMNR-NSHDSACASA-N 0 1 287.323 0.102 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)n1 ZINC000589460939 359384779 /nfs/dbraw/zinc/38/47/79/359384779.db2.gz IENINQJKTXDNSS-VIFPVBQESA-N 0 1 283.295 0.486 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)n1 ZINC000589460936 359385075 /nfs/dbraw/zinc/38/50/75/359385075.db2.gz IENINQJKTXDNSS-SECBINFHSA-N 0 1 283.295 0.486 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)CC2(C#N)CC2)[C@@H](C)C1 ZINC000590332269 359440905 /nfs/dbraw/zinc/44/09/05/359440905.db2.gz BBRXTFTYIHBPSI-RYUDHWBXSA-N 0 1 265.357 0.594 20 30 CCEDMN C[C@@H](C(=O)N1CC[C@H](Oc2ccc(C#N)cn2)C1)N(C)C ZINC000590416238 359455373 /nfs/dbraw/zinc/45/53/73/359455373.db2.gz IRJQTZILOMXYRZ-AAEUAGOBSA-N 0 1 288.351 0.883 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C)C(C)(C)C1 ZINC000590601340 359496144 /nfs/dbraw/zinc/49/61/44/359496144.db2.gz RUQWEKKCEPNMTJ-UHFFFAOYSA-N 0 1 266.389 0.775 20 30 CCEDMN C=CCCOCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611140982 363664349 /nfs/dbraw/zinc/66/43/49/363664349.db2.gz RXRYCMDGHNJUFD-UHFFFAOYSA-N 0 1 263.301 0.428 20 30 CCEDMN N#CC[C@]1(O)CCN(c2nc(N)nc3c2CCCC3)C1 ZINC000591973832 359715370 /nfs/dbraw/zinc/71/53/70/359715370.db2.gz JKMQTFIAZISCFR-AWEZNQCLSA-N 0 1 273.340 0.623 20 30 CCEDMN C[C@H]1CN(Cc2ccncc2C#N)CCN1CCO ZINC000592107208 359757548 /nfs/dbraw/zinc/75/75/48/359757548.db2.gz GHOSQXLRXFRTEZ-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](O)CN(Cc2cccc(C#N)c2)C1 ZINC000592196928 359787088 /nfs/dbraw/zinc/78/70/88/359787088.db2.gz ZQDZEWKRNKXDNP-ZIAGYGMSSA-N 0 1 274.320 0.914 20 30 CCEDMN COC(=O)[C@@H]1CN([C@H](C)C(=O)NC2(C#N)CCC2)C[C@H]1C ZINC000592128435 359761264 /nfs/dbraw/zinc/76/12/64/359761264.db2.gz TYIVPZNUHDCSKM-IJLUTSLNSA-N 0 1 293.367 0.678 20 30 CCEDMN COC(=O)[C@@H]1CN([C@@H](C)C(=O)NC2(C#N)CCC2)C[C@H]1C ZINC000592128434 359761870 /nfs/dbraw/zinc/76/18/70/359761870.db2.gz TYIVPZNUHDCSKM-GRYCIOLGSA-N 0 1 293.367 0.678 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H](O)COc2ccc(F)cc2)C1 ZINC000592144884 359764283 /nfs/dbraw/zinc/76/42/83/359764283.db2.gz KABCHSFSQNQKQH-HIFRSBDPSA-N 0 1 294.326 0.916 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@@H](O)COc2ccccc2)C1 ZINC000592144785 359764589 /nfs/dbraw/zinc/76/45/89/359764589.db2.gz INJLGQYYBNPBAE-UKRRQHHQSA-N 0 1 276.336 0.777 20 30 CCEDMN N#CC[C@]1(O)CCN(Cc2ccc(C(N)=O)cc2)C1 ZINC000592148288 359767141 /nfs/dbraw/zinc/76/71/41/359767141.db2.gz XLUDFCKKCQOKTH-AWEZNQCLSA-N 0 1 259.309 0.636 20 30 CCEDMN N#CC[C@@]1(O)CCN(CCO[C@H]2CCCCO2)C1 ZINC000592150146 359769672 /nfs/dbraw/zinc/76/96/72/359769672.db2.gz AFDPFORGNRJRQS-QWHCGFSZSA-N 0 1 254.330 0.880 20 30 CCEDMN CC(C)(C)c1nc(CN2CC[C@](O)(CC#N)C2)n[nH]1 ZINC000592150162 359769694 /nfs/dbraw/zinc/76/96/94/359769694.db2.gz BIIYJAXPGYGLKD-CYBMUJFWSA-N 0 1 263.345 0.953 20 30 CCEDMN CN1CCC[C@@H](NC(=O)C(=O)Nc2cccc(C#N)n2)C1 ZINC000592285491 359811421 /nfs/dbraw/zinc/81/14/21/359811421.db2.gz ZKAAAQQATIQNRO-LLVKDONJSA-N 0 1 287.323 0.102 20 30 CCEDMN C=CCOCC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000592405212 359832827 /nfs/dbraw/zinc/83/28/27/359832827.db2.gz DFRJSXLNBANRNF-STQMWFEESA-N 0 1 268.357 0.247 20 30 CCEDMN CCN(C)C(=O)[C@H](C)NCc1cnc2c(C#N)cnn2c1 ZINC000592509788 359867013 /nfs/dbraw/zinc/86/70/13/359867013.db2.gz ZMHUEPOMEQWBEE-JTQLQIEISA-N 0 1 286.339 0.557 20 30 CCEDMN CN(Cc1cnc2c(C#N)cnn2c1)C[C@@H](O)C1CC1 ZINC000592512835 359868346 /nfs/dbraw/zinc/86/83/46/359868346.db2.gz PKCLMYFZVWOHIM-CYBMUJFWSA-N 0 1 271.324 0.804 20 30 CCEDMN COCc1nc(C(=O)N=c2[nH]n(C)c(C)c2C#N)cs1 ZINC000592645916 359908147 /nfs/dbraw/zinc/90/81/47/359908147.db2.gz JWGVLGHLAQFPOJ-UHFFFAOYSA-N 0 1 291.336 0.877 20 30 CCEDMN C[C@@H](CCO)N(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000593109645 360042258 /nfs/dbraw/zinc/04/22/58/360042258.db2.gz LHDCWTURIMWKPU-GXFFZTMASA-N 0 1 253.346 0.498 20 30 CCEDMN CN(CCNS(=O)(=O)c1ccsc1C#N)C1CC1 ZINC000593539207 360108952 /nfs/dbraw/zinc/10/89/52/360108952.db2.gz YQGJLWBHZPCPNT-UHFFFAOYSA-N 0 1 285.394 0.992 20 30 CCEDMN C[C@H]1CN(C(=O)COc2ccc(C#N)cc2)CCN1 ZINC000593902656 360201522 /nfs/dbraw/zinc/20/15/22/360201522.db2.gz DSDACBWEVRYBAP-NSHDSACASA-N 0 1 259.309 0.757 20 30 CCEDMN N#CC1(CC(=O)N2CCN(Cc3nnc[nH]3)CC2)CC1 ZINC000593857342 360177605 /nfs/dbraw/zinc/17/76/05/360177605.db2.gz XUXDVVNKGQFPGF-UHFFFAOYSA-N 0 1 274.328 0.143 20 30 CCEDMN COC(=O)[C@@H]1CNCCCN1C(=O)c1cccc(C#N)c1 ZINC000593907362 360204651 /nfs/dbraw/zinc/20/46/51/360204651.db2.gz NOOUPMVRAQDDLY-ZDUSSCGKSA-N 0 1 287.319 0.535 20 30 CCEDMN N#CC1(NC(=O)CN2CCCCC[C@@H]2CO)CCC1 ZINC000594017199 360235431 /nfs/dbraw/zinc/23/54/31/360235431.db2.gz UUWBZDHYFRLZEN-GFCCVEGCSA-N 0 1 265.357 0.786 20 30 CCEDMN COCC#CCN1CCN(CCC(=O)OC)C[C@H]1C ZINC000611407242 363714212 /nfs/dbraw/zinc/71/42/12/363714212.db2.gz NAIDSCVWXYBPFP-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN Cn1ccc(-c2cc(C(=O)N3CC(O)(CC#N)C3)n[nH]2)c1 ZINC000594344584 360277718 /nfs/dbraw/zinc/27/77/18/360277718.db2.gz IBKYJFJLYPRAEC-UHFFFAOYSA-N 0 1 285.307 0.516 20 30 CCEDMN N#Cc1ccc(NCc2n[nH]c([C@H]3CCCO3)n2)nc1 ZINC000594589775 360336748 /nfs/dbraw/zinc/33/67/48/360336748.db2.gz OJKYSZZRDVIMPY-SNVBAGLBSA-N 0 1 270.296 0.957 20 30 CCEDMN C[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@@H]1CCCCO1 ZINC000611481074 363720437 /nfs/dbraw/zinc/72/04/37/363720437.db2.gz VZXOUPMFXQWHCT-ONGXEEELSA-N 0 1 277.328 0.793 20 30 CCEDMN Cc1nc(CN2CCN(Cc3ccnc(C#N)c3)CC2)n[nH]1 ZINC000611431393 363718132 /nfs/dbraw/zinc/71/81/32/363718132.db2.gz YENSCDBNGAJRKM-UHFFFAOYSA-N 0 1 297.366 0.698 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)c2ccc(C#N)o2)CCN1 ZINC000595107363 360437185 /nfs/dbraw/zinc/43/71/85/360437185.db2.gz BSECURUSOAQJED-LLVKDONJSA-N 0 1 283.353 0.770 20 30 CCEDMN C[C@@H]1OCCN(C(=O)N=c2[nH]n(C)cc2C#N)[C@H]1C ZINC000611519416 363731792 /nfs/dbraw/zinc/73/17/92/363731792.db2.gz BDOOLRJFDHKIOM-IUCAKERBSA-N 0 1 263.301 0.355 20 30 CCEDMN CC(C)[C@H]1C[N@@H+](C)CCN1C(=O)N=c1[n-]n(C)cc1C#N ZINC000611532636 363735048 /nfs/dbraw/zinc/73/50/48/363735048.db2.gz NOHSSLZLDUTXQU-GFCCVEGCSA-N 0 1 290.371 0.518 20 30 CCEDMN CCN1CCN(C(=O)Cc2noc(C)c2C#N)C[C@@H]1C ZINC000611528970 363735111 /nfs/dbraw/zinc/73/51/11/363735111.db2.gz KXTLGIJPEHMUQC-JTQLQIEISA-N 0 1 276.340 0.950 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC[C@@H]2CCCCO2)[nH]1 ZINC000611557453 363738248 /nfs/dbraw/zinc/73/82/48/363738248.db2.gz GKHIWSCCMNKZMQ-NSHDSACASA-N 0 1 277.328 0.794 20 30 CCEDMN N#Cc1cccc(NC(=O)C(=O)N2CC[C@@H](F)[C@H](N)C2)c1 ZINC000595242484 360454628 /nfs/dbraw/zinc/45/46/28/360454628.db2.gz RXWAZUNNNMBYOM-VXGBXAGGSA-N 0 1 290.298 0.394 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(CCc2c[nH]nn2)CC1 ZINC000595398484 360519295 /nfs/dbraw/zinc/51/92/95/360519295.db2.gz QJIDKQQRHUADGK-ZDUSSCGKSA-N 0 1 262.361 0.513 20 30 CCEDMN CCc1nc([C@H](C)N2CCN(C(=O)CC#N)CC2)n[nH]1 ZINC000595510494 360555797 /nfs/dbraw/zinc/55/57/97/360555797.db2.gz CWYPHIQUDMFSOK-JTQLQIEISA-N 0 1 276.344 0.486 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)Cc1cnc[nH]1 ZINC000595595418 360592793 /nfs/dbraw/zinc/59/27/93/360592793.db2.gz HJAYCXCFWGOQLK-NSHDSACASA-N 0 1 263.345 0.850 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC1(C#N)CCCCC1 ZINC000595864107 360684758 /nfs/dbraw/zinc/68/47/58/360684758.db2.gz QGNIJTNONXUQDD-LLVKDONJSA-N 0 1 251.330 0.466 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H]2C[C@@H]2C1 ZINC000595807028 360662139 /nfs/dbraw/zinc/66/21/39/360662139.db2.gz GYJRKTKIANIVPM-HBNTYKKESA-N 0 1 251.330 0.729 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H]2COC[C@@H]2C1 ZINC000595833907 360672992 /nfs/dbraw/zinc/67/29/92/360672992.db2.gz SUHYGHOPEWZDPS-UPJWGTAASA-N 0 1 277.368 0.906 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N1CCCc2[nH]ncc2C1 ZINC000596001902 360773405 /nfs/dbraw/zinc/77/34/05/360773405.db2.gz ZOEFUGOHFUZHJO-UHFFFAOYSA-N 0 1 283.357 0.248 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N1CCCc2[nH]ncc2C1 ZINC000596009562 360774367 /nfs/dbraw/zinc/77/43/67/360774367.db2.gz VWJBVOSOBHCDGI-JTQLQIEISA-N 0 1 297.384 0.494 20 30 CCEDMN CC(=O)N1CC(NCc2cnc3ccc(C#N)cn23)C1 ZINC000563637649 291244843 /nfs/dbraw/zinc/24/48/43/291244843.db2.gz OCHLRUOCVYRWLH-UHFFFAOYSA-N 0 1 269.308 0.526 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000597541482 361133028 /nfs/dbraw/zinc/13/30/28/361133028.db2.gz KBBODISGXGOPLQ-NEPJUHHUSA-N 0 1 267.373 0.840 20 30 CCEDMN CCN(CC)Cc1ccc(C(=O)N[C@H](C#N)CO)o1 ZINC000597747776 361232927 /nfs/dbraw/zinc/23/29/27/361232927.db2.gz SNKMEBLEVNMDRA-SNVBAGLBSA-N 0 1 265.313 0.736 20 30 CCEDMN CC(C#N)C(=O)N1CCOC[C@@H]1C(=O)OC(C)(C)C ZINC000597834948 361262941 /nfs/dbraw/zinc/26/29/41/361262941.db2.gz RZMFXKRWWPXMIU-NXEZZACHSA-N 0 1 268.313 0.715 20 30 CCEDMN CC[C@@H]1CN(C(=O)c2c[nH]c(C#N)c2)CCN1C[C@@H](C)O ZINC000181317814 189293889 /nfs/dbraw/zinc/29/38/89/189293889.db2.gz BVAQHJWKHMIJFA-BXUZGUMPSA-N 0 1 290.367 0.804 20 30 CCEDMN CC(C#N)C(=O)N[C@]1(C(=O)OC(C)(C)C)CCOC1 ZINC000598155969 361345401 /nfs/dbraw/zinc/34/54/01/361345401.db2.gz IIRZWYWXZVGUIO-TVQRCGJNSA-N 0 1 268.313 0.763 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)CC1(C#N)CCOCC1 ZINC000598161271 361346448 /nfs/dbraw/zinc/34/64/48/361346448.db2.gz PHYLHVCVJWHSFH-UHFFFAOYSA-N 0 1 265.357 0.717 20 30 CCEDMN C[C@H](C#N)N(C(=O)[C@H]1CN2CCN1CCC2)C1CC1 ZINC000598134496 361341396 /nfs/dbraw/zinc/34/13/96/361341396.db2.gz WVXPQUZUKIKJEN-DGCLKSJQSA-N 0 1 262.357 0.279 20 30 CCEDMN Cc1nnc(SCC(=O)N2CC[C@](C)(C#N)C2)[nH]1 ZINC000598409027 361405957 /nfs/dbraw/zinc/40/59/57/361405957.db2.gz OOGLLGXMXHZILO-LLVKDONJSA-N 0 1 265.342 0.967 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CC[C@](C)(C#N)C2)n1 ZINC000598409027 361405963 /nfs/dbraw/zinc/40/59/63/361405963.db2.gz OOGLLGXMXHZILO-LLVKDONJSA-N 0 1 265.342 0.967 20 30 CCEDMN CC(C)(C#N)C[C@H](O)C[N@H+]1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000598617446 361459666 /nfs/dbraw/zinc/45/96/66/361459666.db2.gz RNLRWUQVCVJEGU-MNOVXSKESA-N 0 1 293.371 0.990 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(C[C@H](O)CC(C)(C)C#N)C1 ZINC000598619541 361461701 /nfs/dbraw/zinc/46/17/01/361461701.db2.gz SEZHVTAKJABBBH-UTUOFQBUSA-N 0 1 293.371 0.867 20 30 CCEDMN CN(C)S(=O)(=O)N1CC(N[C@H]2CCC[C@@H](C#N)C2)C1 ZINC000599090087 361570984 /nfs/dbraw/zinc/57/09/84/361570984.db2.gz IMXWUGYTTFAFSY-MNOVXSKESA-N 0 1 286.401 0.149 20 30 CCEDMN CN(C)S(=O)(=O)N1CC(N[C@H]2CCC[C@H](C#N)C2)C1 ZINC000599090088 361571093 /nfs/dbraw/zinc/57/10/93/361571093.db2.gz IMXWUGYTTFAFSY-QWRGUYRKSA-N 0 1 286.401 0.149 20 30 CCEDMN N#Cc1cccc(CS(=O)(=O)NCC(F)(F)CO)c1 ZINC000184302688 189382510 /nfs/dbraw/zinc/38/25/10/189382510.db2.gz LJGLYDKYOFZIBI-UHFFFAOYSA-N 0 1 290.291 0.605 20 30 CCEDMN C=CCCCCNS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599281736 361632161 /nfs/dbraw/zinc/63/21/61/361632161.db2.gz KCRNSGLSFFTKJE-UHFFFAOYSA-N 0 1 288.329 0.963 20 30 CCEDMN COC(=O)[C@@H]1CC[N@@H+](C[C@H](O)CC2(C#N)CCOCC2)C1 ZINC000599264806 361625019 /nfs/dbraw/zinc/62/50/19/361625019.db2.gz OBTJZZKBHNLPRO-CHWSQXEVSA-N 0 1 296.367 0.553 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@]2(C)CCO[C@H]2C2CC2)[nH]1 ZINC000612313732 363883042 /nfs/dbraw/zinc/88/30/42/363883042.db2.gz XVUUOAQBBSWDNH-FZMZJTMJSA-N 0 1 289.339 0.793 20 30 CCEDMN COCC#CCN1CCC(n2cc(C(=O)OC)nn2)CC1 ZINC000612744460 364003969 /nfs/dbraw/zinc/00/39/69/364003969.db2.gz OXRJUDXZIQDIAW-UHFFFAOYSA-N 0 1 292.339 0.351 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H](CO)c2ccsc2)[nH]1 ZINC000613772640 364367377 /nfs/dbraw/zinc/36/73/77/364367377.db2.gz FKMOVCYASLLVTI-SNVBAGLBSA-N 0 1 291.336 0.630 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCN(C2CCOCC2)C[C@H]1C ZINC000614133563 364511599 /nfs/dbraw/zinc/51/15/99/364511599.db2.gz NDOCPQLYMPCMAO-YPMHNXCESA-N 0 1 268.357 0.245 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2coc(C3CC3)n2)[nH]1 ZINC000614416140 364599343 /nfs/dbraw/zinc/59/93/43/364599343.db2.gz WINPNGGHTSEXNF-UHFFFAOYSA-N 0 1 257.253 0.831 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000614444590 364607672 /nfs/dbraw/zinc/60/76/72/364607672.db2.gz AFBNTNSTFLRKAB-QWHCGFSZSA-N 0 1 268.357 0.247 20 30 CCEDMN CC1(C)CN(c2cnccc2C#N)CCN1CCO ZINC000614829329 364739547 /nfs/dbraw/zinc/73/95/47/364739547.db2.gz SENQLGOBACSZOH-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN C=C[C@H](O)C(=O)N1CC(N(C)Cc2ccccc2)C1 ZINC000614660144 364695025 /nfs/dbraw/zinc/69/50/25/364695025.db2.gz GSLUIQGUZFHKNA-AWEZNQCLSA-N 0 1 260.337 0.876 20 30 CCEDMN CC[C@@]1(O)CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000614688604 364699020 /nfs/dbraw/zinc/69/90/20/364699020.db2.gz SBCZAGMTSSUNAS-GFCCVEGCSA-N 0 1 263.301 0.092 20 30 CCEDMN C=CC(C)(C)CNC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000615832493 365100356 /nfs/dbraw/zinc/10/03/56/365100356.db2.gz ZARVLZAJRRPWCW-UHFFFAOYSA-N 0 1 298.364 0.982 20 30 CCEDMN Cc1nn(C)c(N2CCN(C[C@H](C)CO)CC2)c1C#N ZINC000615972825 365147303 /nfs/dbraw/zinc/14/73/03/365147303.db2.gz SDXSXZRYPYEPTO-NSHDSACASA-N 0 1 277.372 0.351 20 30 CCEDMN N#Cc1c(-n2cccn2)cccc1N1CCN(CCO)CC1 ZINC000566478263 291415857 /nfs/dbraw/zinc/41/58/57/291415857.db2.gz NBQXWZRNNHYRKW-UHFFFAOYSA-N 0 1 297.362 0.858 20 30 CCEDMN C=CCOCCN1CCN(Cc2cn[nH]c2)CC1 ZINC000625471073 368212384 /nfs/dbraw/zinc/21/23/84/368212384.db2.gz JCVPOGFNXWELDT-UHFFFAOYSA-N 0 1 250.346 0.730 20 30 CCEDMN C=CC[C@H]1CCN(CC(=O)N[C@H](C)C(=O)N(C)C)C1 ZINC000625703703 368299248 /nfs/dbraw/zinc/29/92/48/368299248.db2.gz ONXLBCWHZDGATJ-NEPJUHHUSA-N 0 1 267.373 0.477 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C)[C@H]1C[C@@H](C(N)=O)C1 ZINC000626037004 368404970 /nfs/dbraw/zinc/40/49/70/368404970.db2.gz WIOPWSGPSYTYKU-TXEJJXNPSA-N 0 1 267.373 0.607 20 30 CCEDMN C=CCN1CC[C@H](N2CCCC[C@H]2CNC(C)=O)C1=O ZINC000626158929 368464433 /nfs/dbraw/zinc/46/44/33/368464433.db2.gz DEGYGEXTULKCRS-KBPBESRZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1 ZINC000627434295 369000233 /nfs/dbraw/zinc/00/02/33/369000233.db2.gz CEDJSVVYFXEZRR-RYUDHWBXSA-N 0 1 281.400 0.914 20 30 CCEDMN C[C@@H]1CN(C)CCN1CC(=O)NCc1cccc(C#N)c1 ZINC000330668437 203096925 /nfs/dbraw/zinc/09/69/25/203096925.db2.gz JUHLDILKFQFIGH-CYBMUJFWSA-N 0 1 286.379 0.810 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N(C)Cc1nnc[nH]1 ZINC000276238576 193252693 /nfs/dbraw/zinc/25/26/93/193252693.db2.gz NYGVMVKWYQMKSD-GFCCVEGCSA-N 0 1 252.318 0.992 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC000631229261 370428843 /nfs/dbraw/zinc/42/88/43/370428843.db2.gz HUXBJWBYBGXWKU-LBPRGKRZSA-N 0 1 251.374 0.705 20 30 CCEDMN C[C@H](C(=O)NC[C@H]1CN(C)CCN1C)n1cccn1 ZINC000329219090 227360423 /nfs/dbraw/zinc/36/04/23/227360423.db2.gz AQYKLWDSMDBUMG-NEPJUHHUSA-N 0 1 265.361 0.646 20 30 CCEDMN C=CCn1cc(Cn2ccc(CNCCOC)n2)nn1 ZINC000656445655 412798575 /nfs/dbraw/zinc/79/85/75/412798575.db2.gz RMQXZILQIZULSD-UHFFFAOYSA-N 0 1 276.344 0.445 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CC(=O)NC)C1 ZINC001207889472 803463297 /nfs/dbraw/zinc/46/32/97/803463297.db2.gz LUQQLVKBRSCXOK-SNVBAGLBSA-N 0 1 273.764 0.456 20 30 CCEDMN C#CCOCCC(=O)N(C)CCNCc1conc1C ZINC001266696849 791151714 /nfs/dbraw/zinc/15/17/14/791151714.db2.gz UPJMQPVWMOHOEP-UHFFFAOYSA-N 0 1 279.340 0.571 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](O)C[C@@](C)(O)C2CC2)C1=O ZINC000639624198 375867884 /nfs/dbraw/zinc/86/78/84/375867884.db2.gz YFNKYUFYQSSSAR-OFQRWUPVSA-N 0 1 296.411 0.617 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](O)C[C@](C)(O)C2CC2)C1=O ZINC000639624195 375868327 /nfs/dbraw/zinc/86/83/27/375868327.db2.gz YFNKYUFYQSSSAR-DZKIICNBSA-N 0 1 296.411 0.617 20 30 CCEDMN C[C@@]1(CO)C[C@H](O)CN1CC#Cc1ccc(F)cc1 ZINC000639851806 376072507 /nfs/dbraw/zinc/07/25/07/376072507.db2.gz BMJVZCALEUVZMK-GJZGRUSLSA-N 0 1 263.312 0.995 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N[C@@H](C)c1ccc2c(c1)CC(=O)N2C ZINC000264020947 190089508 /nfs/dbraw/zinc/08/95/08/190089508.db2.gz WJWSTCLQUZPSRO-NWDGAFQWSA-N 0 1 299.374 0.994 20 30 CCEDMN C=C(C)CN(CC)C(=O)Cn1cc(CNC)nn1 ZINC000640795644 376547949 /nfs/dbraw/zinc/54/79/49/376547949.db2.gz XMMJOUBZNRFECC-UHFFFAOYSA-N 0 1 251.334 0.422 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)n1cc([C@@H](N)CO)nn1 ZINC000640924140 376618556 /nfs/dbraw/zinc/61/85/56/376618556.db2.gz RLUJPTBMMYQRTJ-MNOVXSKESA-N 0 1 281.360 0.256 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000264464018 190111424 /nfs/dbraw/zinc/11/14/24/190111424.db2.gz FGPLWHRWLJZQNV-OLZOCXBDSA-N 0 1 297.399 0.424 20 30 CCEDMN C=CCCn1cc(Cn2cc([C@@H](C)NC)nn2)nn1 ZINC000641182478 376854256 /nfs/dbraw/zinc/85/42/56/376854256.db2.gz PXHQWUPXWNRGEA-SNVBAGLBSA-N 0 1 261.333 0.774 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](C(=O)NC)C2)CC1 ZINC000264790701 190135152 /nfs/dbraw/zinc/13/51/52/190135152.db2.gz RTLXLIFQTFPARG-CQSZACIVSA-N 0 1 291.395 0.316 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1 ZINC000641668319 377376766 /nfs/dbraw/zinc/37/67/66/377376766.db2.gz ZUDPSJMKDCYIEU-OAHLLOKOSA-N 0 1 295.427 0.865 20 30 CCEDMN C#CCCNC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000265014805 190151908 /nfs/dbraw/zinc/15/19/08/190151908.db2.gz XLRADEYKCLMVOM-UHFFFAOYSA-N 0 1 284.319 0.822 20 30 CCEDMN CCN(CCO)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000265516440 190187648 /nfs/dbraw/zinc/18/76/48/190187648.db2.gz RZXZCNCXWZZKQH-CQSZACIVSA-N 0 1 273.336 0.978 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](C)CC)[C@@H](O)C1 ZINC001219529715 804338238 /nfs/dbraw/zinc/33/82/38/804338238.db2.gz WNOSEFRIKTXRIX-UPJWGTAASA-N 0 1 252.358 0.607 20 30 CCEDMN C=CCCCC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000267165210 190308346 /nfs/dbraw/zinc/30/83/46/190308346.db2.gz LCWMCLLTDMCYJM-LBPRGKRZSA-N 0 1 267.373 0.799 20 30 CCEDMN C=CCCn1cc(CNC[C@](C)(O)COC)nn1 ZINC000657372444 412979057 /nfs/dbraw/zinc/97/90/57/412979057.db2.gz SRIGZTHXKFBIKV-LBPRGKRZSA-N 0 1 254.334 0.341 20 30 CCEDMN CCN(C)[C@@H](C(=O)NCC#CCO)c1ccccc1 ZINC000282901620 388759444 /nfs/dbraw/zinc/75/94/44/388759444.db2.gz LTUSMBRDWJBHCA-CQSZACIVSA-N 0 1 260.337 0.791 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCN(C)[C@@H](Cc2ccccc2)C1 ZINC000284795550 388783542 /nfs/dbraw/zinc/78/35/42/388783542.db2.gz OZWCQLBBSLIDMV-RDJZCZTQSA-N 0 1 299.418 0.983 20 30 CCEDMN CC(C)(CC(N)=O)NCC(=O)Nc1sccc1C#N ZINC000186236908 388828824 /nfs/dbraw/zinc/82/88/24/388828824.db2.gz OTCBCADKIARRAW-UHFFFAOYSA-N 0 1 280.353 0.802 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC000288641246 388864414 /nfs/dbraw/zinc/86/44/14/388864414.db2.gz SVVWRFPADKCGQE-RYUDHWBXSA-N 0 1 279.384 0.810 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@](CO)(CCC)C1 ZINC000288922071 388866572 /nfs/dbraw/zinc/86/65/72/388866572.db2.gz VDSVHHZYOIFCJX-WFASDCNBSA-N 0 1 297.399 0.871 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)c1cc(C#N)c[nH]1 ZINC000186747541 388849044 /nfs/dbraw/zinc/84/90/44/388849044.db2.gz PERJCFQHPPZTOW-LLVKDONJSA-N 0 1 290.367 0.804 20 30 CCEDMN COCC[N@H+](C)CCNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188422572 388926898 /nfs/dbraw/zinc/92/68/98/388926898.db2.gz SOGXARQNDQYEMS-UHFFFAOYSA-N 0 1 277.324 0.572 20 30 CCEDMN COCC[N@@H+](C)CCNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188422572 388926901 /nfs/dbraw/zinc/92/69/01/388926901.db2.gz SOGXARQNDQYEMS-UHFFFAOYSA-N 0 1 277.324 0.572 20 30 CCEDMN C=CCn1c(SCc2n[nH]c(C)n2)n[nH]c1=O ZINC000291770413 388935377 /nfs/dbraw/zinc/93/53/77/388935377.db2.gz VPVAMJUHQXJCPS-UHFFFAOYSA-N 0 1 252.303 0.476 20 30 CCEDMN CC(C)CCN(CCC#N)CCC(=O)NC(N)=O ZINC000072691364 388968545 /nfs/dbraw/zinc/96/85/45/388968545.db2.gz YVWGEERWFCHHOZ-UHFFFAOYSA-N 0 1 254.334 0.833 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N(CCN(C)C)C(C)C ZINC000336893791 389028984 /nfs/dbraw/zinc/02/89/84/389028984.db2.gz PSOFPMMSNDMXFB-UHFFFAOYSA-N 0 1 290.433 0.739 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)N[C@H]1CCN(C)C1 ZINC000336919292 389032195 /nfs/dbraw/zinc/03/21/95/389032195.db2.gz PXQCYOZZSSNOPF-MNOVXSKESA-N 0 1 274.390 0.006 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)CCCOC(C)C ZINC000354224420 389017021 /nfs/dbraw/zinc/01/70/21/389017021.db2.gz RQPDBLKXXBGDEL-UHFFFAOYSA-N 0 1 271.361 0.745 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](O)COC(C)C)C1=O ZINC000337202466 389053263 /nfs/dbraw/zinc/05/32/63/389053263.db2.gz FDLGKMOFLBXNSI-OLZOCXBDSA-N 0 1 270.373 0.491 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(CC(C)(C)O)[C@@H](C)C2)C1=O ZINC000337205512 389054989 /nfs/dbraw/zinc/05/49/89/389054989.db2.gz KTIALQSSKOWEHK-UONOGXRCSA-N 0 1 295.427 0.550 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(CC(C)(C)O)C[C@@H]2C)C1=O ZINC000337217035 389056070 /nfs/dbraw/zinc/05/60/70/389056070.db2.gz ZVTWEVGZYLTPQP-KBPBESRZSA-N 0 1 295.427 0.550 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@@H](OC)[C@@H](OC)C2)C1=O ZINC000337228315 389056741 /nfs/dbraw/zinc/05/67/41/389056741.db2.gz GYQOCPHXAXKRKE-UTUOFQBUSA-N 0 1 254.330 0.119 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(CO)(OC)CC2)C1=O ZINC000337226264 389057400 /nfs/dbraw/zinc/05/74/00/389057400.db2.gz FROZOSAEYAFZPA-LBPRGKRZSA-N 0 1 268.357 0.247 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCN2C[C@@H](C)O[C@H](C)C2)C1=O ZINC000337228646 389057453 /nfs/dbraw/zinc/05/74/53/389057453.db2.gz HGNVCIRWJPTLSN-KFWWJZLASA-N 0 1 295.427 0.814 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)CCOCCO)C1=O ZINC000337445742 389061904 /nfs/dbraw/zinc/06/19/04/389061904.db2.gz PTDUUQONTSWASO-GFCCVEGCSA-N 0 1 256.346 0.104 20 30 CCEDMN CC(C)N(CCN(C)C)S(=O)(=O)N(C)[C@@H](C)CC#N ZINC000337777423 389068277 /nfs/dbraw/zinc/06/82/77/389068277.db2.gz QWYCHVDXDCWJFM-LBPRGKRZSA-N 0 1 290.433 0.737 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](CNC(=O)CCC)C1 ZINC000101913085 389073385 /nfs/dbraw/zinc/07/33/85/389073385.db2.gz SODUSLLZEUVJNV-KBPBESRZSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@H]1C(=O)NCC[C@@H]1C ZINC000193563845 389073383 /nfs/dbraw/zinc/07/33/83/389073383.db2.gz QNVCPYLKHBDJKZ-WCQYABFASA-N 0 1 265.357 0.301 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000102187559 389074388 /nfs/dbraw/zinc/07/43/88/389074388.db2.gz HQEZYCPLSDOXNX-SECBINFHSA-N 0 1 270.292 0.431 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N1CCN(C)CC[C@@H]1C ZINC000337084145 389042112 /nfs/dbraw/zinc/04/21/12/389042112.db2.gz CHZMASOIGFOMDQ-RYUDHWBXSA-N 0 1 288.417 0.349 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@@]1(CO)Cc1ccccc1 ZINC000355659302 389108228 /nfs/dbraw/zinc/10/82/28/389108228.db2.gz MMZDPOAUKFQBPP-INIZCTEOSA-N 0 1 287.363 0.696 20 30 CCEDMN C=CCOCCN1CCC(O)(C(=O)OCC)CC1 ZINC000339012388 389121324 /nfs/dbraw/zinc/12/13/24/389121324.db2.gz FPBLLQBIUFZKFB-UHFFFAOYSA-N 0 1 257.330 0.579 20 30 CCEDMN C=CCOCCN1CC[C@H](S(=O)(=O)N2CCCC2)C1 ZINC000339021341 389122126 /nfs/dbraw/zinc/12/21/26/389122126.db2.gz JBCZJNBSIMMXMF-ZDUSSCGKSA-N 0 1 288.413 0.689 20 30 CCEDMN C[C@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@H](C)N1C ZINC000339612246 389135601 /nfs/dbraw/zinc/13/56/01/389135601.db2.gz BBQNGWIGPKQBKQ-KBPBESRZSA-N 0 1 291.399 0.667 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)NC[C@@H]2CCCN2C)c1 ZINC000118107012 389136374 /nfs/dbraw/zinc/13/63/74/389136374.db2.gz AVGGRTANHSPDGE-AWEZNQCLSA-N 0 1 285.347 0.817 20 30 CCEDMN CCn1cc(NC(=O)N2CCOC[C@H]2c2nnc[nH]2)cn1 ZINC000328840799 389140739 /nfs/dbraw/zinc/14/07/39/389140739.db2.gz PBEHQCJOLXXOHJ-JTQLQIEISA-N 0 1 291.315 0.640 20 30 CCEDMN O=C(CN1C[C@@H]2CC[C@H](O)[C@H]2C1)NCC(=O)N1CCCC1 ZINC000329663661 389183990 /nfs/dbraw/zinc/18/39/90/389183990.db2.gz PZZAMVSXBIBWAX-AVGNSLFASA-N 0 1 295.383 0.268 20 30 CCEDMN O=C(NCC1=CCCOC1)N1CCn2cncc2C1 ZINC000329722740 389192024 /nfs/dbraw/zinc/19/20/24/389192024.db2.gz FGVWOXZWMXRZSS-UHFFFAOYSA-N 0 1 262.313 0.959 20 30 CCEDMN O=C(NCCn1cncn1)N1CCO[C@]2(CCCOC2)C1 ZINC000329238407 227373303 /nfs/dbraw/zinc/37/33/03/227373303.db2.gz PQWYPKAVOAYHGP-CYBMUJFWSA-N 0 1 295.343 0.074 20 30 CCEDMN CNC(=O)C1(C(=O)N2CCO[C@@H]3CCN(C)C[C@@H]32)CC1 ZINC000329208443 389153055 /nfs/dbraw/zinc/15/30/55/389153055.db2.gz XZFAUHKEEJJUPB-WDEREUQCSA-N 0 1 281.356 0.284 20 30 CCEDMN C[C@H](NC(=O)NCC1CCOCC1)c1nnc[nH]1 ZINC000329295242 389155582 /nfs/dbraw/zinc/15/55/82/389155582.db2.gz SIFDMNOJWBIYCH-QMMMGPOBSA-N 0 1 253.306 0.796 20 30 CCEDMN CO[C@H]1C[C@@H](N(C)C(=O)N[C@H]2CCc3nc(C)nn3C2)C1 ZINC000329312341 389155901 /nfs/dbraw/zinc/15/59/01/389155901.db2.gz ANAYTFJXHYOJOP-SDDRHHMPSA-N 0 1 293.371 0.925 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCC1(C)COC1 ZINC000329327193 389157485 /nfs/dbraw/zinc/15/74/85/389157485.db2.gz JVLVYRLJYHTUHW-LLVKDONJSA-N 0 1 256.346 0.948 20 30 CCEDMN C[C@H](NC(=O)Cn1cnnn1)C1=CC[N@@H+](C)CC1 ZINC000329483406 389166562 /nfs/dbraw/zinc/16/65/62/389166562.db2.gz FFQWEFAYMPWSPA-VIFPVBQESA-N 0 1 250.306 0.280 20 30 CCEDMN Cc1ccc(NC(=O)NC[C@@H]2CN(C)CCN2C)nc1 ZINC000329592243 389173295 /nfs/dbraw/zinc/17/32/95/389173295.db2.gz ZDVNYJQHIMWLJH-GFCCVEGCSA-N 0 1 277.372 0.962 20 30 CCEDMN O=C(CN1CCn2c(=O)[nH]nc2C1)NC1CCCC1 ZINC000329618828 389177227 /nfs/dbraw/zinc/17/72/27/389177227.db2.gz GHKFKDISYHXIQL-UHFFFAOYSA-N 0 1 265.317 0.698 20 30 CCEDMN N#CCC(=O)N[C@H]1CN(Cc2ccccc2)CC[C@H]1CO ZINC000248566634 389246795 /nfs/dbraw/zinc/24/67/95/389246795.db2.gz QBRRBLNFECMTHA-GJZGRUSLSA-N 0 1 287.363 0.899 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@H]1CCCN(CC)C1 ZINC000343090428 389257597 /nfs/dbraw/zinc/25/75/97/389257597.db2.gz FAZCONXWRVQCGQ-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN CCC[C@H](NC(=O)c1cc(C#N)cn1C)c1nn[nH]n1 ZINC000136664499 389268058 /nfs/dbraw/zinc/26/80/58/389268058.db2.gz QFACZPIDDRFVIQ-VIFPVBQESA-N 0 1 273.300 0.681 20 30 CCEDMN Cn1ccnc1C[NH+]=C([O-])N[C@@H]1CCc2cn[nH]c2C1 ZINC000329855775 389206952 /nfs/dbraw/zinc/20/69/52/389206952.db2.gz XQBUBXHXQARWDH-SNVBAGLBSA-N 0 1 274.328 0.704 20 30 CCEDMN C=CCOC[C@@H](NCc1cn[nH]c1C)C(=O)OC ZINC000361109002 389208389 /nfs/dbraw/zinc/20/83/89/389208389.db2.gz DESAOOALTJYDRW-LLVKDONJSA-N 0 1 253.302 0.552 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000245538317 389212228 /nfs/dbraw/zinc/21/22/28/389212228.db2.gz MVNVDRLNUIFFIS-ZIAGYGMSSA-N 0 1 295.383 0.628 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CC(=O)N[C@H]2CCCC[C@H]21 ZINC000245538872 389212251 /nfs/dbraw/zinc/21/22/51/389212251.db2.gz NJGUERWJORBAQL-QWHCGFSZSA-N 0 1 292.383 0.492 20 30 CCEDMN CN(Cc1nccs1)C(=O)NC[C@H]1C[N@@H+](C)CCN1C ZINC000329963810 389214792 /nfs/dbraw/zinc/21/47/92/389214792.db2.gz SUGIGPLYZPSISG-NSHDSACASA-N 0 1 297.428 0.735 20 30 CCEDMN CN(Cc1nccs1)C(=O)NC[C@H]1CN(C)CCN1C ZINC000329963810 389214794 /nfs/dbraw/zinc/21/47/94/389214794.db2.gz SUGIGPLYZPSISG-NSHDSACASA-N 0 1 297.428 0.735 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)N[C@H](C)c1nnc[nH]1 ZINC000330379725 389223195 /nfs/dbraw/zinc/22/31/95/389223195.db2.gz TWICLDIGAKOLCR-DTWKUNHWSA-N 0 1 286.361 0.182 20 30 CCEDMN COC[C@H](C)NC(=O)NC(=O)CN1CC[C@@H](C)C1 ZINC000330421208 389227483 /nfs/dbraw/zinc/22/74/83/389227483.db2.gz QDRQOLOPGQDDTF-ZJUUUORDSA-N 0 1 257.334 0.393 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@H](C)C(=O)NC(=O)NC(C)C)C1 ZINC000330442572 389230504 /nfs/dbraw/zinc/23/05/04/389230504.db2.gz VRGIYIMYYZAOBC-NXEZZACHSA-N 0 1 285.344 0.308 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@](C)(CC)C(N)=O ZINC000133175766 389232719 /nfs/dbraw/zinc/23/27/19/389232719.db2.gz VVLYIENSCUTLJX-GXFFZTMASA-N 0 1 268.361 0.238 20 30 CCEDMN CNC(=O)NCC(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000330540165 389239296 /nfs/dbraw/zinc/23/92/96/389239296.db2.gz ROSRCKOSSSFCKO-UHFFFAOYSA-N 0 1 265.317 0.249 20 30 CCEDMN COC(=O)C1(O)CCN(CCC(C)(C)C#N)CC1 ZINC000345942079 389318382 /nfs/dbraw/zinc/31/83/82/389318382.db2.gz VJVIQKDTXLKCFN-UHFFFAOYSA-N 0 1 254.330 0.926 20 30 CCEDMN C=C(C)COCCNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000151500962 389277643 /nfs/dbraw/zinc/27/76/43/389277643.db2.gz YKZHMISBKOAXND-UHFFFAOYSA-N 0 1 299.415 0.677 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)[C@H]2COCCO2)[nH]1 ZINC000331051317 389297610 /nfs/dbraw/zinc/29/76/10/389297610.db2.gz ILBMJHSZZIXERX-SNVBAGLBSA-N 0 1 264.285 0.111 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@H]2CC[N@H+](C)C[C@H]21 ZINC000334234301 389462194 /nfs/dbraw/zinc/46/21/94/389462194.db2.gz PSFCFWOFSLDTGF-VXGBXAGGSA-N 0 1 258.387 0.918 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@H]2CCN(C)C[C@H]21 ZINC000334234301 389462197 /nfs/dbraw/zinc/46/21/97/389462197.db2.gz PSFCFWOFSLDTGF-VXGBXAGGSA-N 0 1 258.387 0.918 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](C(=O)N(C)C)C1 ZINC000411954895 389470698 /nfs/dbraw/zinc/47/06/98/389470698.db2.gz PWWBZEOVGBAOLL-OLZOCXBDSA-N 0 1 294.399 0.405 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](O)C[C@H](C)C1 ZINC000412031186 389472926 /nfs/dbraw/zinc/47/29/26/389472926.db2.gz GDCAWZPCWMLWNX-NWDGAFQWSA-N 0 1 253.346 0.451 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1 ZINC000335018651 389528562 /nfs/dbraw/zinc/52/85/62/389528562.db2.gz IKBROUAQDLMIPF-QWRGUYRKSA-N 0 1 253.346 0.230 20 30 CCEDMN C#CCN(CCc1cn(CC(=O)OC)nn1)C1CC1 ZINC000274193214 389496868 /nfs/dbraw/zinc/49/68/68/389496868.db2.gz QLEIPGKWWUVAFQ-UHFFFAOYSA-N 0 1 262.313 0.091 20 30 CCEDMN C=CCN1CC[C@H](N(C)Cc2n[nH]c(CC)n2)C1=O ZINC000281226525 389611004 /nfs/dbraw/zinc/61/10/04/389611004.db2.gz FCPCKUYJWAOSER-JTQLQIEISA-N 0 1 263.345 0.586 20 30 CCEDMN CCN1CCCN(S(=O)(=O)N(C)C[C@@H](C)C#N)CC1 ZINC000374448925 389580352 /nfs/dbraw/zinc/58/03/52/389580352.db2.gz LAHMCVGWPZHLMZ-LBPRGKRZSA-N 0 1 288.417 0.350 20 30 CCEDMN Cc1cc(OC[C@@H](O)CN2CCN(C)CC2)ccc1C#N ZINC000414124157 389600616 /nfs/dbraw/zinc/60/06/16/389600616.db2.gz KFBGHHHQDKRQLM-HNNXBMFYSA-N 0 1 289.379 0.854 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCN(C2CCOCC2)CC1 ZINC000182791268 389716690 /nfs/dbraw/zinc/71/66/90/389716690.db2.gz BDXISOLMSPVQQT-LBPRGKRZSA-N 0 1 280.372 0.652 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](C(=O)OC)C2)C1=O ZINC000282132182 389658529 /nfs/dbraw/zinc/65/85/29/389658529.db2.gz YWASHBWEUCRSET-WDEREUQCSA-N 0 1 252.314 0.268 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(=O)N(C)[C@@H](C)C2)CC1 ZINC000282373128 389661440 /nfs/dbraw/zinc/66/14/40/389661440.db2.gz NCKZELQNDFJOJT-LBPRGKRZSA-N 0 1 277.368 0.021 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)C(=O)Nc1cccc(CC#N)c1 ZINC000415345529 389675950 /nfs/dbraw/zinc/67/59/50/389675950.db2.gz PZBGELFYEAOIBH-LLVKDONJSA-N 0 1 286.335 0.511 20 30 CCEDMN N#Cc1ccc2ncc(CNCC(=O)N3CCOCC3)n2c1 ZINC000181033215 389679477 /nfs/dbraw/zinc/67/94/77/389679477.db2.gz MIYMBWYWTSTIIT-UHFFFAOYSA-N 0 1 299.334 0.154 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000415418188 389682335 /nfs/dbraw/zinc/68/23/35/389682335.db2.gz REDXPDBAAXWULZ-JTQLQIEISA-N 0 1 286.335 0.626 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(CC#N)c2)CCN1 ZINC000415426328 389682929 /nfs/dbraw/zinc/68/29/29/389682929.db2.gz DQGJYCIASYJPMH-LLVKDONJSA-N 0 1 286.335 0.511 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1ccc(F)cc1O ZINC000181656743 389690831 /nfs/dbraw/zinc/69/08/31/389690831.db2.gz RNIRIAIPBAITMG-UHFFFAOYSA-N 0 1 252.245 0.563 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(c3nnc[nH]3)CC2)C1=O ZINC000375608160 389690984 /nfs/dbraw/zinc/69/09/84/389690984.db2.gz QGZMHRPZVJJFKO-GFCCVEGCSA-N 0 1 275.356 0.771 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(CC(=O)N(C)C)CC1 ZINC000182209962 389703187 /nfs/dbraw/zinc/70/31/87/389703187.db2.gz JBBVXIGCTOWJIN-GFCCVEGCSA-N 0 1 279.384 0.315 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2ccc(C#N)s2)[C@H]1C ZINC000379504224 389762008 /nfs/dbraw/zinc/76/20/08/389762008.db2.gz ZERFUMMBNBNWQU-BDAKNGLRSA-N 0 1 285.394 0.991 20 30 CCEDMN Cc1nnc(CNS(=O)(=O)c2cccnc2C#N)s1 ZINC000392156695 389773933 /nfs/dbraw/zinc/77/39/33/389773933.db2.gz OQDZUVPLERBNMJ-UHFFFAOYSA-N 0 1 295.349 0.592 20 30 CCEDMN COCC(C)(C)N(C)CC(=O)NC1(C#N)CCC1 ZINC000429226591 389745822 /nfs/dbraw/zinc/74/58/22/389745822.db2.gz LHGSHAJKJUUXRX-UHFFFAOYSA-N 0 1 253.346 0.906 20 30 CCEDMN N#Cc1cccc2c1CN(C(=O)C[C@H]1COCCN1)C2 ZINC000405062833 389812625 /nfs/dbraw/zinc/81/26/25/389812625.db2.gz TVFINQRNBDPQDB-ZDUSSCGKSA-N 0 1 271.320 0.779 20 30 CCEDMN CCn1cc(-c2[nH]ncc2C(=O)N[C@@H](C)C#N)cn1 ZINC000432115953 389872911 /nfs/dbraw/zinc/87/29/11/389872911.db2.gz OHWPPRZTNOPUNO-QMMMGPOBSA-N 0 1 258.285 0.935 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)CC1(C#N)CCCC1 ZINC000352860984 389838630 /nfs/dbraw/zinc/83/86/30/389838630.db2.gz DMPSOJDUWNDGPS-UHFFFAOYSA-N 0 1 273.402 0.894 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000418995448 389886179 /nfs/dbraw/zinc/88/61/79/389886179.db2.gz PXPRRKUZGNVKES-BETUJISGSA-N 0 1 295.383 0.048 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](C)N(CCO)C[C@H]1C ZINC000419325031 389890932 /nfs/dbraw/zinc/89/09/32/389890932.db2.gz KLBDVVJGMUTCQL-HUUCEWRRSA-N 0 1 295.427 0.574 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000444545187 389908442 /nfs/dbraw/zinc/90/84/42/389908442.db2.gz IBYUACIECGLVAL-MNOVXSKESA-N 0 1 253.346 0.450 20 30 CCEDMN CC#CCCNC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000436431327 389976361 /nfs/dbraw/zinc/97/63/61/389976361.db2.gz PESMURZJUUSGPZ-ZDUSSCGKSA-N 0 1 281.400 0.886 20 30 CCEDMN COCC[N@H+]1CC[C@H](NC(=O)c2cc(C)c(C#N)cn2)C1 ZINC000457547229 390046160 /nfs/dbraw/zinc/04/61/60/390046160.db2.gz HUQZTEVKQDTGNI-ZDUSSCGKSA-N 0 1 288.351 0.712 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cc(C)c(C#N)cn2)C1 ZINC000457547229 390046162 /nfs/dbraw/zinc/04/61/62/390046162.db2.gz HUQZTEVKQDTGNI-ZDUSSCGKSA-N 0 1 288.351 0.712 20 30 CCEDMN C[C@@H](CNC(=O)c1ccc(C#N)s1)N1CCN(C)CC1 ZINC000487029607 390083439 /nfs/dbraw/zinc/08/34/39/390083439.db2.gz HMOFHZMRHUQALL-NSHDSACASA-N 0 1 292.408 0.985 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC([C@H]3CCCO3)CC2)C1=O ZINC000491327964 390111980 /nfs/dbraw/zinc/11/19/80/390111980.db2.gz ZHRFDVAKHPCFHH-ZIAGYGMSSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCn1ccc(CN(CC)CC[C@@H]2CCNC2=O)n1 ZINC000491484385 390114129 /nfs/dbraw/zinc/11/41/29/390114129.db2.gz IRUIOKNZPRIMDL-ZDUSSCGKSA-N 0 1 274.368 0.864 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC(C)(C)C#N)C[C@H](C)N1CCO ZINC000458665357 390061450 /nfs/dbraw/zinc/06/14/50/390061450.db2.gz VUNVPRCKCQLTIM-TXEJJXNPSA-N 0 1 282.388 0.633 20 30 CCEDMN C[C@@]1(O)CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000579007937 390134236 /nfs/dbraw/zinc/13/42/36/390134236.db2.gz HYCNTXVZZKWWFU-CYBMUJFWSA-N 0 1 257.297 0.558 20 30 CCEDMN CCn1cc(-c2[nH]ncc2C(=O)N(C)CC#N)cn1 ZINC000494849338 390203228 /nfs/dbraw/zinc/20/32/28/390203228.db2.gz FFNXTPBMGBMMFH-UHFFFAOYSA-N 0 1 258.285 0.889 20 30 CCEDMN C=CCn1cc(C(=O)N2CCCN(CCOC)CC2)nn1 ZINC000648751229 390401159 /nfs/dbraw/zinc/40/11/59/390401159.db2.gz YTPGSNSIXACZKG-UHFFFAOYSA-N 0 1 293.371 0.258 20 30 CCEDMN C=CCn1cc(C(=O)N(C)[C@@H]2CN3CCC2CC3)nn1 ZINC000648779543 390407704 /nfs/dbraw/zinc/40/77/04/390407704.db2.gz VMEUKYKFNGJMGS-CYBMUJFWSA-N 0 1 275.356 0.630 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@@H]1COC(=O)N1 ZINC000497078135 390414026 /nfs/dbraw/zinc/41/40/26/390414026.db2.gz PINKNYZZKBPKSQ-LURJTMIESA-N 0 1 256.287 0.370 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1Nc1nc(N)nc2c1CCCC2 ZINC000645253169 390397082 /nfs/dbraw/zinc/39/70/82/390397082.db2.gz DMHKUSAEYHETES-NEPJUHHUSA-N 0 1 260.341 0.945 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1[nH]nc2c1CCCC2 ZINC000649190723 390518271 /nfs/dbraw/zinc/51/82/71/390518271.db2.gz CHKJJQVLBLIBSY-SNVBAGLBSA-N 0 1 261.325 0.793 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CN2CC(C)(C#N)C2)C[C@H](C)O1 ZINC000569678106 390716300 /nfs/dbraw/zinc/71/63/00/390716300.db2.gz QLQPLVLJTQHUMU-FRRDWIJNSA-N 0 1 267.373 0.302 20 30 CCEDMN C[C@H]1C[C@@H](O)CN1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528430786 390743211 /nfs/dbraw/zinc/74/32/11/390743211.db2.gz UUPDHKBLJSQMFD-QWHCGFSZSA-N 0 1 298.342 0.741 20 30 CCEDMN CNC(=O)[C@@H]1CCCN(Cc2ccc(C#N)cn2)C1 ZINC000577918315 390853026 /nfs/dbraw/zinc/85/30/26/390853026.db2.gz OQYMKHNAVVBYQU-GFCCVEGCSA-N 0 1 258.325 0.911 20 30 CCEDMN CO[C@H]1C[C@@H](N(C)C(=O)N[C@@H]2CCc3ncnn3C2)C1 ZINC000329308019 533288547 /nfs/dbraw/zinc/28/85/47/533288547.db2.gz ZJXPESYAXRIHNT-MXWKQRLJSA-N 0 1 279.344 0.616 20 30 CCEDMN C[C@@H](NCC(=O)NCC#N)c1ccc2n[nH]cc2c1 ZINC000353321212 533348740 /nfs/dbraw/zinc/34/87/40/533348740.db2.gz AYCOSMLFRHEVOM-SECBINFHSA-N 0 1 257.297 0.853 20 30 CCEDMN CCN1CCN(C(=O)CNc2ccc(C#N)cn2)[C@@H](C)C1 ZINC000341781285 130042561 /nfs/dbraw/zinc/04/25/61/130042561.db2.gz VXEKDWGJXHCILH-LBPRGKRZSA-N 0 1 287.367 0.340 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1ccnc(C#N)c1 ZINC000356524652 144010916 /nfs/dbraw/zinc/01/09/16/144010916.db2.gz ARSPDQLVTRLXEX-LBPRGKRZSA-N 0 1 299.290 0.190 20 30 CCEDMN N#Cc1ccc(C(=O)NC2(c3nnc[nH]3)CCC2)cn1 ZINC000357022937 144051831 /nfs/dbraw/zinc/05/18/31/144051831.db2.gz HJAPYIPTDVUJAH-UHFFFAOYSA-N 0 1 268.280 0.881 20 30 CCEDMN C#CCOc1ccccc1CNCCNS(C)(=O)=O ZINC000020203680 171068753 /nfs/dbraw/zinc/06/87/53/171068753.db2.gz LDAIQVDCJWSNNL-UHFFFAOYSA-N 0 1 282.365 0.337 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NCC(=O)N1CCNCC1 ZINC000653045778 412199703 /nfs/dbraw/zinc/19/97/03/412199703.db2.gz LHCPALPPRKWQMN-NSHDSACASA-N 0 1 253.346 0.137 20 30 CCEDMN CCc1nn(C)c(Cl)c1CNCCn1cnc(C#N)n1 ZINC000285481475 196298168 /nfs/dbraw/zinc/29/81/68/196298168.db2.gz LJHQBAWQLXVCGN-UHFFFAOYSA-N 0 1 293.762 0.889 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N(C)Cc1ccc(OC)c(F)c1 ZINC000662163525 414694757 /nfs/dbraw/zinc/69/47/57/414694757.db2.gz FNRVLESXCKGJES-GFCCVEGCSA-N 0 1 296.342 0.929 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+](CC)[C@@H](C)C(=O)[O-] ZINC000662218588 414697575 /nfs/dbraw/zinc/69/75/75/414697575.db2.gz YJQGRRIXCSKAIC-NSHDSACASA-N 0 1 254.330 0.982 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+](CC)[C@@H](C)C(=O)[O-] ZINC000662218588 414697576 /nfs/dbraw/zinc/69/75/76/414697576.db2.gz YJQGRRIXCSKAIC-NSHDSACASA-N 0 1 254.330 0.982 20 30 CCEDMN CN(C)[C@H]1CCN(S(=O)(=O)c2cccc(C#N)c2)C1 ZINC000071140123 176038645 /nfs/dbraw/zinc/03/86/45/176038645.db2.gz SUDVKTUPBMWDFC-LBPRGKRZSA-N 0 1 279.365 0.883 20 30 CCEDMN O=C(NCCn1cccn1)N1CCO[C@@]2(CCCOC2)C1 ZINC000329250821 227382255 /nfs/dbraw/zinc/38/22/55/227382255.db2.gz XVKRDVNSRZWRCI-AWEZNQCLSA-N 0 1 294.355 0.679 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@H](CC(N)=O)C2)CCC1 ZINC000347041976 226024514 /nfs/dbraw/zinc/02/45/14/226024514.db2.gz ZXDGDUXCZTZPAY-LLVKDONJSA-N 0 1 278.356 0.136 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@H](C)C(=O)N(C)CCC#N)[C@@H]1C ZINC000347219426 226091870 /nfs/dbraw/zinc/09/18/70/226091870.db2.gz SJKORLROBFLPDQ-IJLUTSLNSA-N 0 1 281.356 0.630 20 30 CCEDMN CN(C(=O)CSCC#N)[C@H]1CN2CCC1CC2 ZINC000347954715 226388358 /nfs/dbraw/zinc/38/83/58/226388358.db2.gz SPVFIHVTGSUJRS-NSHDSACASA-N 0 1 253.371 0.796 20 30 CCEDMN N#CCCn1ccc(=NC(=O)[C@@H]2CCc3[nH]nnc3C2)[nH]1 ZINC000329037216 227267831 /nfs/dbraw/zinc/26/78/31/227267831.db2.gz ROLQPVLHHNOIQI-SECBINFHSA-N 0 1 285.311 0.080 20 30 CCEDMN N#CCCn1ccc(=NC(=O)[C@@H]2CCc3nn[nH]c3C2)[nH]1 ZINC000329037216 227267836 /nfs/dbraw/zinc/26/78/36/227267836.db2.gz ROLQPVLHHNOIQI-SECBINFHSA-N 0 1 285.311 0.080 20 30 CCEDMN O=C(NCCn1cncn1)N1CCO[C@H](C2CC2)C1 ZINC000330153319 228051394 /nfs/dbraw/zinc/05/13/94/228051394.db2.gz XCYFVXDHDXWBQJ-NSHDSACASA-N 0 1 265.317 0.303 20 30 CCEDMN O=C(CNC(=O)[C@@H]1CCCN1C1CC1)N1CCOCC1 ZINC000330217016 228069182 /nfs/dbraw/zinc/06/91/82/228069182.db2.gz IDPUVAXATAJMDL-LBPRGKRZSA-N 0 1 281.356 0.429 20 30 CCEDMN Cc1c([C@H]2OCC[C@@H]2NC(=O)c2cnn[nH]2)cnn1C ZINC000330274462 228088896 /nfs/dbraw/zinc/08/88/96/228088896.db2.gz KDKKFSDZRCPPPK-GXSJLCMTSA-N 0 1 276.300 0.681 20 30 CCEDMN Cc1nccc(NC(=O)NCCN2CCCOCC2)n1 ZINC000330278388 228089831 /nfs/dbraw/zinc/08/98/31/228089831.db2.gz PPKULYOTWBWQJT-UHFFFAOYSA-N 0 1 279.344 0.833 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N(CCO)C1CC1 ZINC000330281278 228091478 /nfs/dbraw/zinc/09/14/78/228091478.db2.gz UJQASDNAZWYWHZ-SNVBAGLBSA-N 0 1 264.329 0.569 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@@H]1CCOC[C@@H]1C ZINC000330318441 228140260 /nfs/dbraw/zinc/14/02/60/228140260.db2.gz CKINJZVIONIFKF-BFHYXJOUSA-N 0 1 298.431 0.551 20 30 CCEDMN O=C(NCc1n[nH]c(=O)[n-]1)[C@@H]1CCC[N@H+]1C1CC1 ZINC000330330050 228148593 /nfs/dbraw/zinc/14/85/93/228148593.db2.gz WTKWFKUQAWQEHT-QMMMGPOBSA-N 0 1 251.290 0.594 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)N2CC[C@H](c3nc[nH]n3)C2)C1 ZINC000333396668 228199798 /nfs/dbraw/zinc/19/97/98/228199798.db2.gz NDCIGHBOWDUKII-GARJFASQSA-N 0 1 274.328 0.996 20 30 CCEDMN C=C(C)CN(C)CC(=O)N(C)Cc1cnn(C)c1 ZINC000181304354 544757902 /nfs/dbraw/zinc/75/79/02/544757902.db2.gz UFLFIPRCDYQZPU-UHFFFAOYSA-N 0 1 250.346 0.886 20 30 CCEDMN C[C@H](NC(=O)NC[C@H]1CN(C)CCN1C)c1cnn(C)c1 ZINC000329797856 545026347 /nfs/dbraw/zinc/02/63/47/545026347.db2.gz FYOXWJVTRKJJGZ-AAEUAGOBSA-N 0 1 294.403 0.231 20 30 CCEDMN CN(CC(=O)N1CCOC[C@@H]1C#N)[C@@H]1CCSC1 ZINC000408030337 260185091 /nfs/dbraw/zinc/18/50/91/260185091.db2.gz GDGIECGKUNKTGI-MNOVXSKESA-N 0 1 269.370 0.175 20 30 CCEDMN C=CCN1CC[C@H](N2CCN([C@H]3CCC[C@H]3O)CC2)C1=O ZINC000374163835 260189345 /nfs/dbraw/zinc/18/93/45/260189345.db2.gz VAURDWMSHBSHCA-SOUVJXGZSA-N 0 1 293.411 0.304 20 30 CCEDMN Cc1nnc(SCC(=O)N(C)[C@H](C)CC#N)[nH]1 ZINC000081029828 260328936 /nfs/dbraw/zinc/32/89/36/260328936.db2.gz ZODCWCXEBQSWJZ-SSDOTTSWSA-N 0 1 253.331 0.966 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N(C)[C@H](C)CC#N)n1 ZINC000081029828 260328938 /nfs/dbraw/zinc/32/89/38/260328938.db2.gz ZODCWCXEBQSWJZ-SSDOTTSWSA-N 0 1 253.331 0.966 20 30 CCEDMN CC(C)C[C@H](CO)N(C)CC(=O)N(CCC#N)CCC#N ZINC000360497122 261070789 /nfs/dbraw/zinc/07/07/89/261070789.db2.gz XKANNLRJUOUCGO-CQSZACIVSA-N 0 1 294.399 0.981 20 30 CCEDMN C#C[C@@H](NC(=O)[C@@H](C)CN1CCOCC1)C(C)C ZINC000490629819 261172499 /nfs/dbraw/zinc/17/24/99/261172499.db2.gz NVJZFKKCHLCGDJ-QWHCGFSZSA-N 0 1 252.358 0.729 20 30 CCEDMN C#CCNC(=O)C1CCN(CCn2cc(Cl)cn2)CC1 ZINC000491267375 261395176 /nfs/dbraw/zinc/39/51/76/261395176.db2.gz SNHBJIZCJPXZJO-UHFFFAOYSA-N 0 1 294.786 0.998 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@@H](C)CC[S@](C)=O)CC1 ZINC000491461078 261608300 /nfs/dbraw/zinc/60/83/00/261608300.db2.gz CCUAUURZHBHRIX-BUXKBTBVSA-N 0 1 284.425 0.605 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](OC)C(C)(C)C1 ZINC000491788389 262054576 /nfs/dbraw/zinc/05/45/76/262054576.db2.gz XDBKTYNEFHMTJR-RYUDHWBXSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NC[C@H]1CCC[C@@H](O)C1 ZINC000491818338 262094757 /nfs/dbraw/zinc/09/47/57/262094757.db2.gz XTTMXXWSAYSKJW-UONOGXRCSA-N 0 1 281.400 0.792 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@@H](OC(=O)N(C)C)C1 ZINC000497307869 262138190 /nfs/dbraw/zinc/13/81/90/262138190.db2.gz XFLFGIBXVGHHKD-GHMZBOCLSA-N 0 1 255.318 0.688 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)CC1(CO)CC1 ZINC000186765224 262323329 /nfs/dbraw/zinc/32/33/29/262323329.db2.gz ZNZHRAZXRBXHPN-UHFFFAOYSA-N 0 1 278.356 0.347 20 30 CCEDMN CC(C)(C#N)CCN1CCC[C@@H]1CS(N)(=O)=O ZINC000336715010 262383420 /nfs/dbraw/zinc/38/34/20/262383420.db2.gz SAYHXOAGZAWDSX-SNVBAGLBSA-N 0 1 259.375 0.679 20 30 CCEDMN CNS(=O)(=O)CCN[C@@H](C)c1ccc(OCC#N)cc1 ZINC000187180876 262391065 /nfs/dbraw/zinc/39/10/65/262391065.db2.gz FRVZLHIZNZINPQ-NSHDSACASA-N 0 1 297.380 0.789 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC(OCCO)CC1 ZINC000339778596 262672915 /nfs/dbraw/zinc/67/29/15/262672915.db2.gz DUFZDZQXNPVIFT-ZDUSSCGKSA-N 0 1 297.399 0.468 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCC#N)CCN1Cc1ccccc1 ZINC000411978182 262711872 /nfs/dbraw/zinc/71/18/72/262711872.db2.gz AGYIPKANSMWNRK-CQSZACIVSA-N 0 1 286.379 0.832 20 30 CCEDMN C[C@H](NCC(=O)N[C@@](C)(C#N)C1CC1)c1cnn(C)c1 ZINC000273405566 263016406 /nfs/dbraw/zinc/01/64/06/263016406.db2.gz RVOBANNGGYFQFI-HZMBPMFUSA-N 0 1 275.356 0.879 20 30 CCEDMN C=C[C@H](C)NC(=O)NC1CC([N@@H+](CC)CC(=O)[O-])C1 ZINC000414628036 263016768 /nfs/dbraw/zinc/01/67/68/263016768.db2.gz RVIKQJHKBYLAGR-WHXUTIOJSA-N 0 1 269.345 0.798 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H](COC)CC1 ZINC000452094219 263036305 /nfs/dbraw/zinc/03/63/05/263036305.db2.gz VEIPZXGLBVONBP-LBPRGKRZSA-N 0 1 283.372 0.747 20 30 CCEDMN C#CCN(CC#N)C(=O)CSc1n[nH]c(=S)s1 ZINC000282007801 263073663 /nfs/dbraw/zinc/07/36/63/263073663.db2.gz OCWCXTAUZHXIAY-UHFFFAOYSA-N 0 1 284.391 0.904 20 30 CCEDMN CO/N=C/C(=O)NCc1ccnc(N2CCN(C)CC2)c1 ZINC000283833230 263084515 /nfs/dbraw/zinc/08/45/15/263084515.db2.gz ZSRUPUPHDXIAKK-GZTJUZNOSA-N 0 1 291.355 0.082 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1ccc2cncn2c1 ZINC000284208503 263086047 /nfs/dbraw/zinc/08/60/47/263086047.db2.gz CMITYCIWHVXDJB-GFCCVEGCSA-N 0 1 256.265 0.699 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCN(CC)CC1 ZINC000043562503 263296331 /nfs/dbraw/zinc/29/63/31/263296331.db2.gz GKOWCSOBVBSRPL-LLVKDONJSA-N 0 1 268.361 0.024 20 30 CCEDMN CNC(=O)CCN(C)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000340690179 263296685 /nfs/dbraw/zinc/29/66/85/263296685.db2.gz JTWXIPLVLSEVFR-FZMZJTMJSA-N 0 1 282.388 0.497 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N(CC)C[C@@H](C)C#N)C[C@@H]1O ZINC000341058500 263320073 /nfs/dbraw/zinc/32/00/73/263320073.db2.gz VQUBKOOOWZJRML-XQQFMLRXSA-N 0 1 267.373 0.697 20 30 CCEDMN N#CCNC(=O)CN1CCC2(CCOCC2)CC1 ZINC000341975003 263368633 /nfs/dbraw/zinc/36/86/33/263368633.db2.gz IQFDTKCZVQJGRF-UHFFFAOYSA-N 0 1 251.330 0.519 20 30 CCEDMN N#CCNC(=O)CNC1(c2ccc3c(c2)OCO3)CC1 ZINC000342188117 263396687 /nfs/dbraw/zinc/39/66/87/263396687.db2.gz CDHSVMCBHRDALU-UHFFFAOYSA-N 0 1 273.292 0.634 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NC[C@@H]1N(C)CCCC1(C)C ZINC000416475307 263722353 /nfs/dbraw/zinc/72/23/53/263722353.db2.gz JFOFUXVHISCGOT-MNOVXSKESA-N 0 1 273.402 0.938 20 30 CCEDMN COc1ccc(C(=O)NC[C@@H]2CN(C)CCN2C)cn1 ZINC000330680429 264002758 /nfs/dbraw/zinc/00/27/58/264002758.db2.gz NVUGZZMRKGEUPK-GFCCVEGCSA-N 0 1 278.356 0.641 20 30 CCEDMN C=CCNC(=O)CNC(=O)CN1[C@H](C)CC[C@H]1C ZINC000330697750 264005348 /nfs/dbraw/zinc/00/53/48/264005348.db2.gz KWFKVUOCRDUFSY-GHMZBOCLSA-N 0 1 253.346 0.278 20 30 CCEDMN CCN1CCC[C@@H](NC(=O)c2cnc(COC)nc2N)C1 ZINC000330725344 264025683 /nfs/dbraw/zinc/02/56/83/264025683.db2.gz JTBNZRJMTDSAIS-SNVBAGLBSA-N 0 1 293.371 0.824 20 30 CCEDMN C[C@H](O)[C@@H]1CCN(C([O-])=[NH+]CCn2ccnc2)C1 ZINC000330803059 264042072 /nfs/dbraw/zinc/04/20/72/264042072.db2.gz JSUIFBFVHMHSRW-WDEREUQCSA-N 0 1 252.318 0.500 20 30 CCEDMN Cc1n[n-]c(=NC(=O)NCc2c[nH+]c(N(C)C)n2C)s1 ZINC000330813391 264044955 /nfs/dbraw/zinc/04/49/55/264044955.db2.gz PUHSKHSURUXYGD-UHFFFAOYSA-N 0 1 295.372 0.594 20 30 CCEDMN NC(=O)[C@@H]1CCC[C@H](NC([O-])=[NH+]CCn2cncn2)C1 ZINC000330874536 264060267 /nfs/dbraw/zinc/06/02/67/264060267.db2.gz DMWAGHRLZRQLMO-ZJUUUORDSA-N 0 1 280.332 0.876 20 30 CCEDMN NC(=O)[C@@H]1CCC[C@H](NC(=O)NCCn2cncn2)C1 ZINC000330874536 264060268 /nfs/dbraw/zinc/06/02/68/264060268.db2.gz DMWAGHRLZRQLMO-ZJUUUORDSA-N 0 1 280.332 0.876 20 30 CCEDMN CC[C@H](CC#N)NC(=O)[C@H](C)CN1CCOCC1 ZINC000330883221 264062311 /nfs/dbraw/zinc/06/23/11/264062311.db2.gz OUILHCNOFXGNDQ-VXGBXAGGSA-N 0 1 253.346 0.763 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCCn2cccc2)C[C@@H]1C ZINC000330839406 264064868 /nfs/dbraw/zinc/06/48/68/264064868.db2.gz JJGHKJCAHGKQLD-KBPBESRZSA-N 0 1 294.399 0.789 20 30 CCEDMN CCN(CC(C)(C)O)C(=O)NC[C@H]1CN(C)CCN1C ZINC000330935891 264081248 /nfs/dbraw/zinc/08/12/48/264081248.db2.gz PMTRERZZSXKING-LBPRGKRZSA-N 0 1 286.420 0.239 20 30 CCEDMN CNC(=O)N[C@H]1CCN(Cc2ccc(CO)cc2)C1 ZINC000330938030 264082182 /nfs/dbraw/zinc/08/21/82/264082182.db2.gz BHNTWSMUPRTTJZ-ZDUSSCGKSA-N 0 1 263.341 0.887 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N2CC[C@H](CO)C2)n[nH]1 ZINC000331122353 264131016 /nfs/dbraw/zinc/13/10/16/264131016.db2.gz BSSQNJRUDRDUAU-ONGXEEELSA-N 0 1 266.345 0.877 20 30 CCEDMN O=C(NCCn1cc([N+](=O)[O-])cn1)NC1CCOCC1 ZINC000331141555 264136592 /nfs/dbraw/zinc/13/65/92/264136592.db2.gz MHABJLOKCUXHMJ-UHFFFAOYSA-N 0 1 283.288 0.474 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC[C@H](C2N=NC(=O)O2)C1 ZINC000331244853 264178929 /nfs/dbraw/zinc/17/89/29/264178929.db2.gz QXJRRVSONMLFRS-ZANVPECISA-N 0 1 281.312 0.808 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000331244853 264178930 /nfs/dbraw/zinc/17/89/30/264178930.db2.gz QXJRRVSONMLFRS-ZANVPECISA-N 0 1 281.312 0.808 20 30 CCEDMN Cc1ncc(C(=O)NC[C@H]2CCCCN2CCO)[nH]1 ZINC000331340651 264195057 /nfs/dbraw/zinc/19/50/57/264195057.db2.gz SFPKKUIMWRNTCW-LLVKDONJSA-N 0 1 266.345 0.870 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](C(C)(C)C)[C@H](O)C1 ZINC000334042934 264234675 /nfs/dbraw/zinc/23/46/75/264234675.db2.gz USPZHNOPPUTJFZ-VXGBXAGGSA-N 0 1 297.399 0.727 20 30 CCEDMN C=CCn1cc(CNC(=O)CN(CC)C2CC2)nn1 ZINC000424906737 264374149 /nfs/dbraw/zinc/37/41/49/264374149.db2.gz GLXWNPBWAYCBNE-UHFFFAOYSA-N 0 1 263.345 0.565 20 30 CCEDMN C#CCCN1CCN(Cc2ccc(OC)nn2)CC1 ZINC000283225616 264514762 /nfs/dbraw/zinc/51/47/62/264514762.db2.gz TUXHQRYKRJIVDH-UHFFFAOYSA-N 0 1 260.341 0.626 20 30 CCEDMN CCN1C[C@@H](C)N(C(=O)Cn2cnc(C#N)n2)[C@H](C)C1 ZINC000518998214 265289557 /nfs/dbraw/zinc/28/95/57/265289557.db2.gz IQKANBUDNIVBEB-GHMZBOCLSA-N 0 1 276.344 0.091 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000356855588 266192614 /nfs/dbraw/zinc/19/26/14/266192614.db2.gz NIXOCZHARGFCID-QMMMGPOBSA-N 0 1 252.226 0.577 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@]1(N(C)C)CCSC1 ZINC000357558764 266325440 /nfs/dbraw/zinc/32/54/40/266325440.db2.gz CRWSFGJBSNLVLA-LLVKDONJSA-N 0 1 278.443 0.919 20 30 CCEDMN C=CCN(C(=O)N[C@@H](C)CN1CCN(C)CC1)C1CC1 ZINC000357586052 266330853 /nfs/dbraw/zinc/33/08/53/266330853.db2.gz CCTASXAOZFDWKU-ZDUSSCGKSA-N 0 1 280.416 0.982 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@]1(CC(=O)OC)CCOC1 ZINC000362269363 266952758 /nfs/dbraw/zinc/95/27/58/266952758.db2.gz IDUDHZZAFKOOEW-HNNXBMFYSA-N 0 1 298.383 0.723 20 30 CCEDMN C=C[C@H](C)NC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000362678208 267034197 /nfs/dbraw/zinc/03/41/97/267034197.db2.gz BDYYHUVWJGXTIV-JTQLQIEISA-N 0 1 251.334 0.563 20 30 CCEDMN C=CC[C@@H](C)NC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000116971429 267195063 /nfs/dbraw/zinc/19/50/63/267195063.db2.gz WRVGDLOKCWKLHA-OLZOCXBDSA-N 0 1 268.405 0.886 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCOCC2(CCC2)C1 ZINC000368735221 267200705 /nfs/dbraw/zinc/20/07/05/267200705.db2.gz YTKDONBTOQRANP-GFCCVEGCSA-N 0 1 250.342 0.627 20 30 CCEDMN CN1CC2(C1)CCN(C(=O)Cc1ccc(C#N)nc1)C2 ZINC000529176586 267332184 /nfs/dbraw/zinc/33/21/84/267332184.db2.gz XSRWKIKSDNPLIN-UHFFFAOYSA-N 0 1 270.336 0.660 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N1CCCN(C)CC1 ZINC000376756763 268012358 /nfs/dbraw/zinc/01/23/58/268012358.db2.gz DTLNNWRIMWOLGC-LLVKDONJSA-N 0 1 274.390 0.103 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC2(CCC2)C1 ZINC000123266121 268019624 /nfs/dbraw/zinc/01/96/24/268019624.db2.gz XLNVPLSSUIVRRR-UHFFFAOYSA-N 0 1 251.330 0.874 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@@](CO)(OC)C1 ZINC000401713334 545089934 /nfs/dbraw/zinc/08/99/34/545089934.db2.gz JLGUOJJIMNWAJQ-OAHLLOKOSA-N 0 1 284.400 0.884 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H]3CC[C@@H](C2)O3)CC1 ZINC000365572998 327939805 /nfs/dbraw/zinc/93/98/05/327939805.db2.gz PQTVMAFJDPKRRY-OKILXGFUSA-N 0 1 262.353 0.721 20 30 CCEDMN CC#CCCNC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000413460585 328146450 /nfs/dbraw/zinc/14/64/50/328146450.db2.gz OVKSSYINJUJOGH-GFCCVEGCSA-N 0 1 281.356 0.146 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000425293906 328398483 /nfs/dbraw/zinc/39/84/83/328398483.db2.gz CNNYVNXJCMVKJY-VIFPVBQESA-N 0 1 267.285 0.684 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC(=O)OC)C(C)C)CC1 ZINC000122468378 328396763 /nfs/dbraw/zinc/39/67/63/328396763.db2.gz CZYICQLVHZEKDO-UHFFFAOYSA-N 0 1 280.368 0.742 20 30 CCEDMN C[C@@H](O)C1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000304018450 328413406 /nfs/dbraw/zinc/41/34/06/328413406.db2.gz CYRVOTJHPMSFES-NWDGAFQWSA-N 0 1 267.373 0.840 20 30 CCEDMN N#CCC[C@@H](C#N)CNCCNC(=O)c1cccnc1 ZINC000558506713 327099780 /nfs/dbraw/zinc/09/97/80/327099780.db2.gz JMRYNONYYDSOHZ-LBPRGKRZSA-N 0 1 271.324 0.845 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N(C)Cc1cnc[nH]1 ZINC000569379953 327170063 /nfs/dbraw/zinc/17/00/63/327170063.db2.gz XFLDSHTVMQHBLB-SECBINFHSA-N 0 1 271.346 0.320 20 30 CCEDMN C[C@@H](CNS(=O)(=O)CC1(C#N)CC1)[N@@H+](C)C1CC1 ZINC000165177470 327180412 /nfs/dbraw/zinc/18/04/12/327180412.db2.gz OWPUFVSKSOSFFO-JTQLQIEISA-N 0 1 271.386 0.692 20 30 CCEDMN C[C@@H](CNS(=O)(=O)CC1(C#N)CC1)N(C)C1CC1 ZINC000165177470 327180413 /nfs/dbraw/zinc/18/04/13/327180413.db2.gz OWPUFVSKSOSFFO-JTQLQIEISA-N 0 1 271.386 0.692 20 30 CCEDMN N#Cc1ccccc1OCCN[C@]1(C(N)=O)CCOC1 ZINC000577526885 327264166 /nfs/dbraw/zinc/26/41/66/327264166.db2.gz NBCLOWDHNKYBGW-CQSZACIVSA-N 0 1 275.308 0.171 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCC1CCN(CC#N)CC1 ZINC000408247679 327346813 /nfs/dbraw/zinc/34/68/13/327346813.db2.gz WTJNTUDMZXZNAW-CYBMUJFWSA-N 0 1 264.373 0.432 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@H]2CCCC[C@@H]2C[NH3+])o1 ZINC000579259399 327361054 /nfs/dbraw/zinc/36/10/54/327361054.db2.gz TYOLRNBBWGPGIN-KOLCDFICSA-N 0 1 283.353 0.947 20 30 CCEDMN C=CC[C@@H](CO)NCCS(=O)(=O)c1ccccc1 ZINC000570682866 327611046 /nfs/dbraw/zinc/61/10/46/327611046.db2.gz CABAXKKYFQJQHF-LBPRGKRZSA-N 0 1 269.366 0.987 20 30 CCEDMN CNC(=O)[C@H]1CN(CC(C)(C)CCC#N)CCO1 ZINC000182439137 329239387 /nfs/dbraw/zinc/23/93/87/329239387.db2.gz OXLFKHIZPJKKBP-LLVKDONJSA-N 0 1 253.346 0.763 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@H](N3CCOCC3)C2)C1=O ZINC000583152624 329323461 /nfs/dbraw/zinc/32/34/61/329323461.db2.gz YXNYMKAUNOTERT-GJZGRUSLSA-N 0 1 293.411 0.570 20 30 CCEDMN C=CCN(CCOC)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000188709332 329370821 /nfs/dbraw/zinc/37/08/21/329370821.db2.gz BPZLEMXRZOKEDF-CQSZACIVSA-N 0 1 298.431 0.466 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000262693393 330027701 /nfs/dbraw/zinc/02/77/01/330027701.db2.gz WOBTXHYYHOXDIC-VHSXEESVSA-N 0 1 283.328 0.183 20 30 CCEDMN C=CCCCC(=O)N1CCO[C@H](C[N@H+](C)CC(=O)[O-])C1 ZINC000262884381 330036138 /nfs/dbraw/zinc/03/61/38/330036138.db2.gz FZSXKZFWNDARPK-GFCCVEGCSA-N 0 1 284.356 0.587 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CCN[C@H](C)C1 ZINC000423362859 330194068 /nfs/dbraw/zinc/19/40/68/330194068.db2.gz UARBDQXSCVUJLZ-LLVKDONJSA-N 0 1 253.346 0.231 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1 ZINC000423366564 330194612 /nfs/dbraw/zinc/19/46/12/330194612.db2.gz XWZUDKOJVIRCDY-RYUDHWBXSA-N 0 1 267.373 0.620 20 30 CCEDMN CNC(=O)[C@H](C)CN(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000081527045 330212597 /nfs/dbraw/zinc/21/25/97/330212597.db2.gz HHEPJKBCRJMZHP-YGRLFVJLSA-N 0 1 280.372 0.109 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1C[C@H](C)NC[C@H]1C ZINC000423623842 330213051 /nfs/dbraw/zinc/21/30/51/330213051.db2.gz AQIGVPPWSHSXEH-WDEREUQCSA-N 0 1 253.346 0.230 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CCNC[C@@H]1CCC ZINC000423718546 330214608 /nfs/dbraw/zinc/21/46/08/330214608.db2.gz LIWKKDDHAKYEJF-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)N(C)C[C@@H](C)C#N ZINC000423738609 330214859 /nfs/dbraw/zinc/21/48/59/330214859.db2.gz HJEKRGIKQIQECW-RYUDHWBXSA-N 0 1 280.372 0.205 20 30 CCEDMN CC(C)N(CCC(=O)N(C)CCC#N)C1COC1 ZINC000451121907 331016495 /nfs/dbraw/zinc/01/64/95/331016495.db2.gz VAECIZKHDWZVOX-UHFFFAOYSA-N 0 1 253.346 0.858 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NC[C@H]1CCCC[N@H+]1CCO ZINC000452368144 331063329 /nfs/dbraw/zinc/06/33/29/331063329.db2.gz CFJISKWIHGFJRM-TZMCWYRMSA-N 0 1 267.373 0.889 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NC[C@H]1CCCCN1CCO ZINC000452368144 331063330 /nfs/dbraw/zinc/06/33/30/331063330.db2.gz CFJISKWIHGFJRM-TZMCWYRMSA-N 0 1 267.373 0.889 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)NCCN1CCCOCC1 ZINC000458855326 331261777 /nfs/dbraw/zinc/26/17/77/331261777.db2.gz ZIGBWUKHHMINAV-ZDUSSCGKSA-N 0 1 285.388 0.335 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(OC)CC2)CC1 ZINC000490575960 332093192 /nfs/dbraw/zinc/09/31/92/332093192.db2.gz ZYZWUDMIKCCPAK-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(CCNS(C)(=O)=O)C1CCCCC1 ZINC000490593508 332093819 /nfs/dbraw/zinc/09/38/19/332093819.db2.gz AMPODPQOPVRVSG-UHFFFAOYSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)c1cnn2cccnc12 ZINC000490615220 332095464 /nfs/dbraw/zinc/09/54/64/332095464.db2.gz DTTRTBDYHFDILM-LBPRGKRZSA-N 0 1 284.319 0.984 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCCCO)CC1 ZINC000490742986 332112423 /nfs/dbraw/zinc/11/24/23/332112423.db2.gz ZPQNLOAJYMHDAB-UHFFFAOYSA-N 0 1 252.358 0.563 20 30 CCEDMN C#CCN1CCN(C(=O)CN(CCCC)CCOC)CC1 ZINC000490780322 332115219 /nfs/dbraw/zinc/11/52/19/332115219.db2.gz JZIFKVIJIRZFQR-UHFFFAOYSA-N 0 1 295.427 0.512 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC000490877111 332124316 /nfs/dbraw/zinc/12/43/16/332124316.db2.gz WMUVAJDJUVWRAR-KBPBESRZSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H]2CCN(C(C)C)C2=O)CC1 ZINC000491066997 332144393 /nfs/dbraw/zinc/14/43/93/332144393.db2.gz FTGQYUKZMPVWDS-CQSZACIVSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H](C)C(=O)NCCC)CC1 ZINC000491069776 332145566 /nfs/dbraw/zinc/14/55/66/332145566.db2.gz XZFGBHZCYCZYFU-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)c2ncccn2)CC1 ZINC000491304138 332185806 /nfs/dbraw/zinc/18/58/06/332185806.db2.gz DHDALWVJYXOBLC-UHFFFAOYSA-N 0 1 258.325 0.785 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000491505865 332225045 /nfs/dbraw/zinc/22/50/45/332225045.db2.gz NLPIMULFRIVILO-GXFFZTMASA-N 0 1 276.340 0.693 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H](C)C(C)(C)O)CC1 ZINC000491545549 332235019 /nfs/dbraw/zinc/23/50/19/332235019.db2.gz QXGGFKUSHSUSME-GFCCVEGCSA-N 0 1 266.385 0.949 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCO[C@@H](CF)C1 ZINC000491706408 332299340 /nfs/dbraw/zinc/29/93/40/332299340.db2.gz KFRHYRQBHKDYSH-LBPRGKRZSA-N 0 1 271.336 0.321 20 30 CCEDMN C#CCN(C(=O)C(=O)N1CCNC(C)(C)C1)C1CCCC1 ZINC000491744401 332322367 /nfs/dbraw/zinc/32/23/67/332322367.db2.gz LYHULFNZKCPUMB-UHFFFAOYSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN(C(=O)NCC(=O)N1CCNCC1)C1CCCC1 ZINC000491745353 332323359 /nfs/dbraw/zinc/32/33/59/332323359.db2.gz OVHSFXJHJMNILR-UHFFFAOYSA-N 0 1 292.383 0.006 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000491746919 332324261 /nfs/dbraw/zinc/32/42/61/332324261.db2.gz VMPZKUBBQGIRGQ-CHWSQXEVSA-N 0 1 295.383 0.694 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)Cc1cc(C)nn1C ZINC000491747727 332325007 /nfs/dbraw/zinc/32/50/07/332325007.db2.gz QIQJQSYDSKKYBX-ZDUSSCGKSA-N 0 1 275.352 0.912 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC(C)=C(C)C2)CC1 ZINC000491779484 332344317 /nfs/dbraw/zinc/34/43/17/332344317.db2.gz ZSFWTNLUJXDNLC-UHFFFAOYSA-N 0 1 275.396 0.806 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000491780323 332344857 /nfs/dbraw/zinc/34/48/57/332344857.db2.gz KGULGDNJIFOULW-CHWSQXEVSA-N 0 1 266.389 0.285 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)C2CC(OCC)C2)CC1 ZINC000491811393 332363800 /nfs/dbraw/zinc/36/38/00/332363800.db2.gz CSOYLGSZAJCWEN-UHFFFAOYSA-N 0 1 293.411 0.263 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](c3nc[nH]n3)C2)nc1N ZINC000575762353 335113274 /nfs/dbraw/zinc/11/32/74/335113274.db2.gz QYVKUGFLKAJBRF-SECBINFHSA-N 0 1 255.285 0.647 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(OCCC(=O)NC)CC1 ZINC000494924743 332491865 /nfs/dbraw/zinc/49/18/65/332491865.db2.gz OXWMRUFRMTYMHO-GFCCVEGCSA-N 0 1 297.399 0.294 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cc([N+](=O)[O-])c[nH]2)CC1 ZINC000524686419 333371405 /nfs/dbraw/zinc/37/14/05/333371405.db2.gz TWFHAFKGLQZQMW-UHFFFAOYSA-N 0 1 277.284 0.641 20 30 CCEDMN CCS(=O)(=O)NCCCN(CCC#N)CC1CC1 ZINC000133349019 334039120 /nfs/dbraw/zinc/03/91/20/334039120.db2.gz IFUMZTIJNXFWRY-UHFFFAOYSA-N 0 1 273.402 0.941 20 30 CCEDMN COC(=O)CCCC(=O)NC1(C#N)CCN(C)CC1 ZINC000547959750 334131695 /nfs/dbraw/zinc/13/16/95/334131695.db2.gz ROOUVXJRTZUFAH-UHFFFAOYSA-N 0 1 267.329 0.434 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@@H]1COC[C@H]1OC ZINC000576277070 335181206 /nfs/dbraw/zinc/18/12/06/335181206.db2.gz MDZKLCAYIZDZQH-JHJVBQTASA-N 0 1 270.373 0.803 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@H](c2nccn2C)C1 ZINC000550645164 334225965 /nfs/dbraw/zinc/22/59/65/334225965.db2.gz WCFICECNZOJNEN-OLZOCXBDSA-N 0 1 289.383 0.970 20 30 CCEDMN C=CCn1cc(CNC(=O)N2CCNC(C)(C)C2)nn1 ZINC000566673193 334659843 /nfs/dbraw/zinc/65/98/43/334659843.db2.gz ATDMNWDVSLZNHB-UHFFFAOYSA-N 0 1 278.360 0.358 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CC[C@@H](CO)[C@@H](O)C1 ZINC000577418902 335350784 /nfs/dbraw/zinc/35/07/84/335350784.db2.gz MEXXHRRAATVOOO-IHRRRGAJSA-N 0 1 282.384 0.251 20 30 CCEDMN CNS(=O)(=O)C1CCN([C@@H](C)CCC#N)CC1 ZINC000577497462 335359628 /nfs/dbraw/zinc/35/96/28/335359628.db2.gz ZNFIAKIKPKXUAS-JTQLQIEISA-N 0 1 259.375 0.692 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN[C@H](c1nccn1C)C1CC1 ZINC000577743065 335395482 /nfs/dbraw/zinc/39/54/82/335395482.db2.gz DKLSWUBXQWPESP-KGLIPLIRSA-N 0 1 291.399 0.667 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)NCCCCN1CCOCC1 ZINC000448713602 534676203 /nfs/dbraw/zinc/67/62/03/534676203.db2.gz KVHHWGMVESGSCO-UHFFFAOYSA-N 0 1 290.367 0.739 20 30 CCEDMN CNC(=O)[C@H]1CCC[C@H]1NCc1ccncc1C#N ZINC000592114782 545102762 /nfs/dbraw/zinc/10/27/62/545102762.db2.gz YGKLSYOQTTWWDE-QWHCGFSZSA-N 0 1 258.325 0.958 20 30 CCEDMN Nc1ncncc1CNC(=O)[C@@H]1CCCN1C1CC1 ZINC000330244834 534837624 /nfs/dbraw/zinc/83/76/24/534837624.db2.gz XKGBTOXWRCHVKW-NSHDSACASA-N 0 1 261.329 0.972 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000665816588 545108008 /nfs/dbraw/zinc/10/80/08/545108008.db2.gz BYNQLZVUTKIMSL-MBNYWOFBSA-N 0 1 270.373 0.539 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H](COC)C1 ZINC000112990706 526590426 /nfs/dbraw/zinc/59/04/26/526590426.db2.gz OTLDOEPSNCZKIM-LLVKDONJSA-N 0 1 269.345 0.357 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NCCCCN1CCOCC1 ZINC000347718342 527090456 /nfs/dbraw/zinc/09/04/56/527090456.db2.gz KRXBTBNZKCLUQA-ZDUSSCGKSA-N 0 1 285.388 0.335 20 30 CCEDMN C=CC[C@H](CO)CNCc1cn(C)nc1C(=O)OCC ZINC000351984745 527186967 /nfs/dbraw/zinc/18/69/67/527186967.db2.gz ZJLXJBIDMKHRAC-NSHDSACASA-N 0 1 281.356 0.871 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@H]1CCCN(C)[C@H]1C ZINC000347756080 527304543 /nfs/dbraw/zinc/30/45/43/527304543.db2.gz FPCQNEFATAXWBQ-RYUDHWBXSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCN1C(=O)N=NC1S[C@H](C)C(=O)NCC(C)=O ZINC000344721097 527333438 /nfs/dbraw/zinc/33/34/38/527333438.db2.gz CFPDPWHJQUTBNF-MRVPVSSYSA-N 0 1 284.341 0.356 20 30 CCEDMN C=C[C@H](CO)NC(=O)C(=O)Nc1cc2cn[nH]c2cc1C ZINC000354658199 527580140 /nfs/dbraw/zinc/58/01/40/527580140.db2.gz SAVOLCIMZPUHPE-SNVBAGLBSA-N 0 1 288.307 0.473 20 30 CCEDMN C=C[C@H](O)C(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000668970929 545196490 /nfs/dbraw/zinc/19/64/90/545196490.db2.gz UAYKSGFHWYKKOV-LBPRGKRZSA-N 0 1 277.324 0.333 20 30 CCEDMN CCC[C@H](NC(=O)c1ccc(C#N)n1C)c1nn[nH]n1 ZINC000450121948 528203124 /nfs/dbraw/zinc/20/31/24/528203124.db2.gz LSKNISSXEYRFHE-VIFPVBQESA-N 0 1 273.300 0.681 20 30 CCEDMN CCC[C@H](O)CN1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000450820931 528248032 /nfs/dbraw/zinc/24/80/32/528248032.db2.gz KWDDBNYQZRZSSY-ZDUSSCGKSA-N 0 1 291.399 0.883 20 30 CCEDMN CCOCCN(CC)CC(=O)NC1(C#N)CCC1 ZINC000347040654 528724044 /nfs/dbraw/zinc/72/40/44/528724044.db2.gz AXUOVJIMJYHVBQ-UHFFFAOYSA-N 0 1 253.346 0.907 20 30 CCEDMN C=CCNC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000675608083 545329182 /nfs/dbraw/zinc/32/91/82/545329182.db2.gz RPEDXJOQDCMGPV-UHFFFAOYSA-N 0 1 271.280 0.508 20 30 CCEDMN CNS(=O)(=O)c1cccc([C@H](C)NCC#CCOC)c1 ZINC000678764590 545397086 /nfs/dbraw/zinc/39/70/86/545397086.db2.gz YYIRHSVCCAVSQF-LBPRGKRZSA-N 0 1 296.392 0.895 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NCc1cc(C)[nH]n1 ZINC000678780727 545397361 /nfs/dbraw/zinc/39/73/61/545397361.db2.gz ZJUFKQONJRRURR-SNVBAGLBSA-N 0 1 252.318 0.702 20 30 CCEDMN C=CCOCCNC(=O)CCSc1nnc(C)[nH]1 ZINC000679648079 545413859 /nfs/dbraw/zinc/41/38/59/545413859.db2.gz GNOLRPBCBPXYTO-UHFFFAOYSA-N 0 1 270.358 0.914 20 30 CCEDMN C=CCCOCC(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000681353669 545451435 /nfs/dbraw/zinc/45/14/35/545451435.db2.gz RSAOYBWTXPSXLS-VIFPVBQESA-N 0 1 253.306 0.354 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000681991916 545468817 /nfs/dbraw/zinc/46/88/17/545468817.db2.gz OOVUWSNUIGXMCI-ZDUSSCGKSA-N 0 1 299.415 0.676 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC000791422124 581221185 /nfs/dbraw/zinc/22/11/85/581221185.db2.gz JTGJNDMMZKEKOP-NWDGAFQWSA-N 0 1 270.398 0.944 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000739404858 581132107 /nfs/dbraw/zinc/13/21/07/581132107.db2.gz SAVGPSNILGYQSH-QWRGUYRKSA-N 0 1 282.344 0.336 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000739404858 581132108 /nfs/dbraw/zinc/13/21/08/581132108.db2.gz SAVGPSNILGYQSH-QWRGUYRKSA-N 0 1 282.344 0.336 20 30 CCEDMN N#Cc1cnn(-c2ccc(C(=O)Nc3c[nH]nn3)cc2)c1N ZINC000789926472 581164211 /nfs/dbraw/zinc/16/42/11/581164211.db2.gz IZMBGVXLOLYQLO-UHFFFAOYSA-N 0 1 294.278 0.697 20 30 CCEDMN C#C[C@H](NC(=O)CN(C)[C@@H]1CCSC1)[C@H]1CCCO1 ZINC000775342872 581176543 /nfs/dbraw/zinc/17/65/43/581176543.db2.gz WUVJVNKPDVRDAB-FRRDWIJNSA-N 0 1 282.409 0.721 20 30 CCEDMN COc1cc(C=NNC2=NC[C@@H](C)N2)cc([N+](=O)[O-])c1O ZINC000779798365 581206113 /nfs/dbraw/zinc/20/61/13/581206113.db2.gz OICDWBRSXIUKLE-SSDOTTSWSA-N 0 1 293.283 0.580 20 30 CCEDMN CCc1nn(C)c(CC)c1C=NNC1=NC[C@H](C)N1 ZINC000779798827 581206432 /nfs/dbraw/zinc/20/64/32/581206432.db2.gz QHKONFROXREITP-VIFPVBQESA-N 0 1 262.361 0.816 20 30 CCEDMN C=C(CO)C(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000791302259 581213354 /nfs/dbraw/zinc/21/33/54/581213354.db2.gz USRUVRPOBYVKHY-AWEZNQCLSA-N 0 1 278.352 0.963 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1ccc(OC)nc1 ZINC000780547625 581231768 /nfs/dbraw/zinc/23/17/68/581231768.db2.gz WAHJFXLIDITLCV-UHFFFAOYSA-N 0 1 270.354 0.781 20 30 CCEDMN COc1cncc(C(=O)[C@H](C#N)C(=O)Nc2ccccn2)n1 ZINC000791785094 581234079 /nfs/dbraw/zinc/23/40/79/581234079.db2.gz ZNYRLVBWSQEQBM-VIFPVBQESA-N 0 1 297.274 0.841 20 30 CCEDMN COc1cncc(C(=O)C(C#N)C(=O)Nc2ccccn2)n1 ZINC000791785094 581234081 /nfs/dbraw/zinc/23/40/81/581234081.db2.gz ZNYRLVBWSQEQBM-VIFPVBQESA-N 0 1 297.274 0.841 20 30 CCEDMN CN1CCC[C@@H](NC(=O)[C@H](O)c2ccc(C#N)cc2)C1 ZINC000780931055 581249949 /nfs/dbraw/zinc/24/99/49/581249949.db2.gz GTWMCFOOUXWXDJ-ZIAGYGMSSA-N 0 1 273.336 0.802 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)NCc2c[nH]nn2)cc1 ZINC000780961441 581252716 /nfs/dbraw/zinc/25/27/16/581252716.db2.gz IBMWCDFJAQHWAF-LLVKDONJSA-N 0 1 257.253 0.026 20 30 CCEDMN C#CCNC(=O)COC(=O)c1ccc2cncn2c1 ZINC000792551688 581265900 /nfs/dbraw/zinc/26/59/00/581265900.db2.gz ZAMAHTHEUAWOCQ-UHFFFAOYSA-N 0 1 257.249 0.241 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)Nc1cc(C(=O)OCC)[nH]n1 ZINC000781430538 581268360 /nfs/dbraw/zinc/26/83/60/581268360.db2.gz KRHLINFPIIOESK-NSHDSACASA-N 0 1 290.323 0.623 20 30 CCEDMN C=CCC[N@@H+]1CCC[C@@H](C(=O)NCCNC(=O)[O-])C1 ZINC000738493074 581360945 /nfs/dbraw/zinc/36/09/45/581360945.db2.gz JSSRLISBLWVUKM-LLVKDONJSA-N 0 1 269.345 0.658 20 30 CCEDMN Cc1nn(-c2ccncc2)cc1C=NNC1=NC[C@H](C)N1 ZINC000783862143 581365918 /nfs/dbraw/zinc/36/59/18/581365918.db2.gz LXSGUQHNQRTBGE-JTQLQIEISA-N 0 1 283.339 0.847 20 30 CCEDMN N#CCn1cc(NS(=O)(=O)c2ccoc2)cn1 ZINC000795304433 581403720 /nfs/dbraw/zinc/40/37/20/581403720.db2.gz HQLLUMXWZUEDIH-UHFFFAOYSA-N 0 1 252.255 0.800 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)c1cccc2[nH]ncc21 ZINC000785587738 581413128 /nfs/dbraw/zinc/41/31/28/581413128.db2.gz PKDWMCXNBRAENA-VIFPVBQESA-N 0 1 271.276 0.858 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@@H]1CCCOCC1 ZINC000786306043 581436440 /nfs/dbraw/zinc/43/64/40/581436440.db2.gz JMMHDCADYCTRFL-KOLCDFICSA-N 0 1 250.298 0.791 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC(C)(C)C#N ZINC000786297120 581436482 /nfs/dbraw/zinc/43/64/82/581436482.db2.gz OADGRNOKFLZPSN-JTQLQIEISA-N 0 1 265.313 0.788 20 30 CCEDMN Cn1cc(C[C@H](O)C(=O)Nc2cc(C#N)ccc2O)cn1 ZINC000787156133 581458688 /nfs/dbraw/zinc/45/86/88/581458688.db2.gz WJUJXXCTCHMDRC-ZDUSSCGKSA-N 0 1 286.291 0.539 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NC1(C#N)CCC1)[C@@H]1CCCO1 ZINC000787305626 581466756 /nfs/dbraw/zinc/46/67/56/581466756.db2.gz TYGZDIJRANSRDB-AVGNSLFASA-N 0 1 275.352 0.708 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@H]2CCCN2C2CC2)CCN1C1CC1 ZINC000787348816 581468435 /nfs/dbraw/zinc/46/84/35/581468435.db2.gz SLUHARSJRBGDGL-LSDHHAIUSA-N 0 1 288.395 0.812 20 30 CCEDMN Cc1cc(CC(=O)O[C@@H](C)C(=O)NC2(C#N)CCC2)n[nH]1 ZINC000787740540 581476926 /nfs/dbraw/zinc/47/69/26/581476926.db2.gz CMBVHUABHOXDDW-JTQLQIEISA-N 0 1 290.323 0.755 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCC[C@@H]2C(=O)[O-])cc1 ZINC000739128424 581508948 /nfs/dbraw/zinc/50/89/48/581508948.db2.gz DCMACJOYDSNEJD-GXTWGEPZSA-N 0 1 290.319 0.847 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2ccc(-n3ccnc3)nn2)NO1 ZINC000765384275 581510441 /nfs/dbraw/zinc/51/04/41/581510441.db2.gz OAGCJFFIAGVMLU-QMMMGPOBSA-N 0 1 272.268 0.514 20 30 CCEDMN COC(=O)c1cc(C=NNc2ncnc3nc[nH]c32)c[nH]1 ZINC000753164163 581530429 /nfs/dbraw/zinc/53/04/29/581530429.db2.gz YGSPVFKLIZCTLZ-UHFFFAOYSA-N 0 1 285.267 0.914 20 30 CCEDMN C#CCOc1ccc(C(=O)NCc2c[nH]nn2)cc1 ZINC000734328883 581555546 /nfs/dbraw/zinc/55/55/46/581555546.db2.gz WFGXWLIVPPQIDD-UHFFFAOYSA-N 0 1 256.265 0.747 20 30 CCEDMN COc1ncnc(N)c1C=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000753934342 581565778 /nfs/dbraw/zinc/56/57/78/581565778.db2.gz IPYAPQHKSBFWHA-AOOOYVTPSA-N 0 1 289.343 0.261 20 30 CCEDMN CCCn1cc(C=Nn2c(=O)c(C)n[nH]c2=S)cn1 ZINC000734977268 581599478 /nfs/dbraw/zinc/59/94/78/581599478.db2.gz LHLICNBBBZORNK-UHFFFAOYSA-N 0 1 278.341 0.724 20 30 CCEDMN CCOCCOCC(=O)C(C#N)C(=O)NC1CC1 ZINC000729889862 581619047 /nfs/dbraw/zinc/61/90/47/581619047.db2.gz UNPAAXBJRMHBNY-SNVBAGLBSA-N 0 1 254.286 0.027 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CCCn1ccccc1=O ZINC000735205499 581630563 /nfs/dbraw/zinc/63/05/63/581630563.db2.gz CSRQLXWNTIIBFI-LBPRGKRZSA-N 0 1 289.335 0.862 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000735416927 581648057 /nfs/dbraw/zinc/64/80/57/581648057.db2.gz UJBAYDLTZNWIKV-VHSXEESVSA-N 0 1 281.316 0.081 20 30 CCEDMN Cc1cc(CC(=O)OCC(=O)N[C@@](C)(C#N)C(C)C)n[nH]1 ZINC000756702767 581675642 /nfs/dbraw/zinc/67/56/42/581675642.db2.gz XSDMNNVQUMKNFF-AWEZNQCLSA-N 0 1 292.339 0.858 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC(C(=O)[O-])CC1 ZINC000736079975 581687067 /nfs/dbraw/zinc/68/70/67/581687067.db2.gz PZNNKYYTHRDBMY-LBPRGKRZSA-N 0 1 264.325 0.407 20 30 CCEDMN N#Cc1cnn(C(=O)c2cnc3[nH]cccc2-3)c1N ZINC000741280041 581743471 /nfs/dbraw/zinc/74/34/71/581743471.db2.gz UVFHOYCCGZHGMF-UHFFFAOYSA-N 0 1 252.237 0.902 20 30 CCEDMN COC(=O)NCCCC(=O)C(C#N)C(=O)NC1CCCC1 ZINC000730922212 581746245 /nfs/dbraw/zinc/74/62/45/581746245.db2.gz NBZSMECARKUHQH-NSHDSACASA-N 0 1 295.339 0.890 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](C)C(=O)N(C)C)CC1 ZINC000772109946 581843089 /nfs/dbraw/zinc/84/30/89/581843089.db2.gz PBHIXVSMEHONLF-LLVKDONJSA-N 0 1 266.341 0.352 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](C)C(=O)OCC)CC1 ZINC000772112931 581843674 /nfs/dbraw/zinc/84/36/74/581843674.db2.gz CJMCDCDEXIFVCB-NSHDSACASA-N 0 1 267.325 0.826 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)[C@H](CC)N(CC)CC ZINC000745095947 581865845 /nfs/dbraw/zinc/86/58/45/581865845.db2.gz SCYVNGWRMIWFMN-RYUDHWBXSA-N 0 1 268.357 0.788 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000772482814 581869297 /nfs/dbraw/zinc/86/92/97/581869297.db2.gz GGVTWWRHEZTQBX-LBPRGKRZSA-N 0 1 298.350 0.846 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@@H]3[C@@H](O)CCCN3C2=O)CC1 ZINC000761249419 581899361 /nfs/dbraw/zinc/89/93/61/581899361.db2.gz JHOFIYDMGSCTLU-STQMWFEESA-N 0 1 293.367 0.424 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CNC1(C(N)=O)CCCC1 ZINC000746472833 581915477 /nfs/dbraw/zinc/91/54/77/581915477.db2.gz PGBYLFYNQMTDPS-UHFFFAOYSA-N 0 1 291.355 0.030 20 30 CCEDMN O=C(C#Cc1ccc2c(c1)OCO2)NCc1c[nH]nn1 ZINC000762231824 581936376 /nfs/dbraw/zinc/93/63/76/581936376.db2.gz GDMBNBUFXUUBEK-UHFFFAOYSA-N 0 1 270.248 0.201 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000773700579 581938693 /nfs/dbraw/zinc/93/86/93/581938693.db2.gz KEBRWZJOOVYSOZ-KBPBESRZSA-N 0 1 264.369 0.874 20 30 CCEDMN CON=CC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000773734427 581939788 /nfs/dbraw/zinc/93/97/88/581939788.db2.gz CDVCGTIFZJCKPR-PHIMTYICSA-N 0 1 257.334 0.234 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@]2(CCCOC2)C1 ZINC000747532023 581944944 /nfs/dbraw/zinc/94/49/44/581944944.db2.gz HCUFJKVTCFKVTG-CQSZACIVSA-N 0 1 250.342 0.628 20 30 CCEDMN C=CCS(=O)(=O)[N-]C(=O)C[N@@H+](C)[C@H]1CCSC1 ZINC000774248141 581966749 /nfs/dbraw/zinc/96/67/49/581966749.db2.gz BGQNLAWWSQFTEI-VIFPVBQESA-N 0 1 278.399 0.056 20 30 CCEDMN C=CCS(=O)(=O)[N-]C(=O)C[N@H+](C)[C@H]1CCSC1 ZINC000774248141 581966751 /nfs/dbraw/zinc/96/67/51/581966751.db2.gz BGQNLAWWSQFTEI-VIFPVBQESA-N 0 1 278.399 0.056 20 30 CCEDMN C=C(Br)CN1CCn2c(n[nH]c2=O)C1 ZINC000763152746 581987944 /nfs/dbraw/zinc/98/79/44/581987944.db2.gz NXUPREHJPRDFPC-UHFFFAOYSA-N 0 1 259.107 0.708 20 30 CCEDMN C#C[C@H](NC(=O)CCCCc1cn[nH]n1)[C@H]1CCCO1 ZINC000775341591 582019611 /nfs/dbraw/zinc/01/96/11/582019611.db2.gz RFHPZDOVQWQQLH-QWHCGFSZSA-N 0 1 276.340 0.815 20 30 CCEDMN Cc1nn2c([nH]c3ccccc3c2=O)c1C=NN[C@H](C)CO ZINC000749820180 582023761 /nfs/dbraw/zinc/02/37/61/582023761.db2.gz BNKQZVYNTRBSHC-SECBINFHSA-N 0 1 299.334 0.789 20 30 CCEDMN C#C[C@@H](NCCn1nc2ccccn2c1=O)[C@@H]1CCCO1 ZINC000775800105 582040184 /nfs/dbraw/zinc/04/01/84/582040184.db2.gz QUVXJCNIHMKRRK-OLZOCXBDSA-N 0 1 286.335 0.266 20 30 CCEDMN C#C[C@@H](NCC1(CS(C)(=O)=O)CC1)[C@H]1CCCO1 ZINC000775807285 582040700 /nfs/dbraw/zinc/04/07/00/582040700.db2.gz QRLHSCPGPAJCDO-VXGBXAGGSA-N 0 1 271.382 0.582 20 30 CCEDMN C#CCNC(=O)CN1CCOCC2(CCCC2)C1 ZINC000775850100 582042662 /nfs/dbraw/zinc/04/26/62/582042662.db2.gz SUAXZVWJCAAUIC-UHFFFAOYSA-N 0 1 250.342 0.628 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCC[C@H]1CNC(=O)[O-] ZINC000738459856 582053172 /nfs/dbraw/zinc/05/31/72/582053172.db2.gz GLMFQKGHCMCXJX-AVGNSLFASA-N 0 1 293.367 0.637 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CCC[C@H]1CNC(=O)[O-] ZINC000738459856 582053174 /nfs/dbraw/zinc/05/31/74/582053174.db2.gz GLMFQKGHCMCXJX-AVGNSLFASA-N 0 1 293.367 0.637 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@H]1CCCN(C(=O)[O-])C1 ZINC000738462282 582053218 /nfs/dbraw/zinc/05/32/18/582053218.db2.gz YBNOBUYAAVKVOV-STQMWFEESA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@H]1CCCN(C(=O)[O-])C1 ZINC000738462282 582053219 /nfs/dbraw/zinc/05/32/19/582053219.db2.gz YBNOBUYAAVKVOV-STQMWFEESA-N 0 1 293.367 0.590 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](Cc2ccncc2)C1 ZINC000764998509 582081248 /nfs/dbraw/zinc/08/12/48/582081248.db2.gz DZNAWYZTYMUTMM-CQSZACIVSA-N 0 1 257.337 0.695 20 30 CCEDMN C[C@@H](CNC(=O)[O-])[N@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000738941787 582092180 /nfs/dbraw/zinc/09/21/80/582092180.db2.gz UTTOJIYYQQNPFF-ZANVPECISA-N 0 1 282.344 0.383 20 30 CCEDMN C[C@@H](CNC(=O)[O-])[N@@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000738941787 582092183 /nfs/dbraw/zinc/09/21/83/582092183.db2.gz UTTOJIYYQQNPFF-ZANVPECISA-N 0 1 282.344 0.383 20 30 CCEDMN COC(=O)[C@@H](CC(F)F)NS(=O)(=O)CC(C)(C)C#N ZINC000914306110 616956579 /nfs/dbraw/zinc/95/65/79/616956579.db2.gz ZTSZFNCVDRFHFQ-SSDOTTSWSA-N 0 1 298.311 0.652 20 30 CCEDMN C#CCCCS(=O)(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC000819912216 612983828 /nfs/dbraw/zinc/98/38/28/612983828.db2.gz QIKCSZMHYLSMIO-JTQLQIEISA-N 0 1 268.342 0.337 20 30 CCEDMN C#CCOCCN1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000851936805 612984292 /nfs/dbraw/zinc/98/42/92/612984292.db2.gz AAILAEXKKLCBJE-GFCCVEGCSA-N 0 1 251.330 0.172 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000817727795 597083217 /nfs/dbraw/zinc/08/32/17/597083217.db2.gz JWGUESKWPBXKLX-NWDGAFQWSA-N 0 1 281.356 0.934 20 30 CCEDMN C[C@@H]1CCCC[N@H+]1CCNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820235004 597635004 /nfs/dbraw/zinc/63/50/04/597635004.db2.gz GJQDNUMWATWMPZ-RISCZKNCSA-N 0 1 281.356 0.982 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000928083558 613002699 /nfs/dbraw/zinc/00/26/99/613002699.db2.gz UJSFBYGXEYZCPX-UPJWGTAASA-N 0 1 282.409 0.721 20 30 CCEDMN C#CC(=O)NCc1ccc(N2CCN(C)CC2)cc1 ZINC000918884919 612945613 /nfs/dbraw/zinc/94/56/13/612945613.db2.gz YYJBXXXCXFQXMN-UHFFFAOYSA-N 0 1 257.337 0.688 20 30 CCEDMN C#CCSCC(=O)N1CCCN(CCOC)CC1 ZINC000896625865 613009530 /nfs/dbraw/zinc/00/95/30/613009530.db2.gz IFSNMPIKYISGRD-UHFFFAOYSA-N 0 1 270.398 0.534 20 30 CCEDMN C#CCSCC(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000897640108 613009884 /nfs/dbraw/zinc/00/98/84/613009884.db2.gz IUYWROXSAACETD-VIFPVBQESA-N 0 1 281.337 0.848 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C1CCC(NCC#N)CC1 ZINC000963778505 649913705 /nfs/dbraw/zinc/91/37/05/649913705.db2.gz KZNKZGHTTXALMP-UHFFFAOYSA-N 0 1 276.344 0.610 20 30 CCEDMN C#CCSCC(=O)NCCCCN1CC[NH+](C)CC1 ZINC000895710184 613011753 /nfs/dbraw/zinc/01/17/53/613011753.db2.gz HIPYBMOZCIWTIY-UHFFFAOYSA-N 0 1 283.441 0.497 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](NC(=O)c2cnn[nH]2)CC1 ZINC000965010428 649927560 /nfs/dbraw/zinc/92/75/60/649927560.db2.gz DOTREPSXSVHPLZ-UWVGGRQHSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@H]1CCN(CCO)C[C@@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000965886793 649939704 /nfs/dbraw/zinc/93/97/04/649939704.db2.gz OCGZLNPTLWBTOO-GWCFXTLKSA-N 0 1 276.340 0.319 20 30 CCEDMN C#CC1(O)CCN([C@@H]2CCN(C(C)(C)C)C2=O)CC1 ZINC000931151750 612952897 /nfs/dbraw/zinc/95/28/97/612952897.db2.gz RZQBRRIJKWBLQY-GFCCVEGCSA-N 0 1 264.369 0.846 20 30 CCEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](CO)C2)c1 ZINC000833074328 604768239 /nfs/dbraw/zinc/76/82/39/604768239.db2.gz VQMGXDOEUTWPMI-DGCLKSJQSA-N 0 1 260.293 0.998 20 30 CCEDMN C#CCCNC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000859379286 613047902 /nfs/dbraw/zinc/04/79/02/613047902.db2.gz ZPXGLFZVONYDGD-UHFFFAOYSA-N 0 1 267.310 0.206 20 30 CCEDMN C#CC1(O)CN(C(=O)NCCCc2cn[nH]c2C)C1 ZINC000891754366 612954779 /nfs/dbraw/zinc/95/47/79/612954779.db2.gz FYACYUMRMYNMPE-UHFFFAOYSA-N 0 1 262.313 0.040 20 30 CCEDMN C#CCN(C)CCCNC(=O)N1CCCC(=O)[C@@H]1C ZINC000922631347 613073499 /nfs/dbraw/zinc/07/34/99/613073499.db2.gz VHGKYNUWIUCVIX-LBPRGKRZSA-N 0 1 265.357 0.705 20 30 CCEDMN C#CC1(O)CN(C(=O)N[C@H](C)C2=CC[N@@H+](C)CC2)C1 ZINC000894105101 612956633 /nfs/dbraw/zinc/95/66/33/612956633.db2.gz MANDRRDSGDXKRK-LLVKDONJSA-N 0 1 263.341 0.026 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnns2)C1 ZINC000971144345 613087876 /nfs/dbraw/zinc/08/78/76/613087876.db2.gz HJRRXQSNZZSTRC-SECBINFHSA-N 0 1 250.327 0.318 20 30 CCEDMN C#CCN(CC#C)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000859153406 613099114 /nfs/dbraw/zinc/09/91/14/613099114.db2.gz SJYWIFHDFUWVKQ-AWEZNQCLSA-N 0 1 260.337 0.626 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(C)(C)C(N)=O)C1 ZINC000968987043 613384427 /nfs/dbraw/zinc/38/44/27/613384427.db2.gz VFRLQHPOUXXXRK-SECBINFHSA-N 0 1 273.764 0.441 20 30 CCEDMN COc1cc(OC)cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834512077 617211161 /nfs/dbraw/zinc/21/11/61/617211161.db2.gz RNAUZJANVBWNRW-GWCFXTLKSA-N 0 1 275.308 0.545 20 30 CCEDMN CC(C)(C)[S@@](C)(=O)=NS(=O)(=O)NCCC#N ZINC000872480104 614044830 /nfs/dbraw/zinc/04/48/30/614044830.db2.gz RDPKVQVNTHNEBS-OAHLLOKOSA-N 0 1 267.376 0.631 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000824057993 614180374 /nfs/dbraw/zinc/18/03/74/614180374.db2.gz UOTKMPZCAOLUCX-SMDDNHRTSA-N 0 1 296.371 0.773 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000824057993 614180379 /nfs/dbraw/zinc/18/03/79/614180379.db2.gz UOTKMPZCAOLUCX-SMDDNHRTSA-N 0 1 296.371 0.773 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC)C[C@H]1CC ZINC000836947271 612978119 /nfs/dbraw/zinc/97/81/19/612978119.db2.gz HAPGXXZESMEDND-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1[N+](=O)[O-] ZINC000834510835 617232845 /nfs/dbraw/zinc/23/28/45/617232845.db2.gz FUWHRWDTHIXCIC-NXEZZACHSA-N 0 1 290.279 0.445 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1OC ZINC000834512540 617235024 /nfs/dbraw/zinc/23/50/24/617235024.db2.gz YLVODDFBKIGVEJ-QWRGUYRKSA-N 0 1 275.308 0.545 20 30 CCEDMN COc1ccc(COCC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000843464218 617262331 /nfs/dbraw/zinc/26/23/31/617262331.db2.gz ZWWBRRNTSVNBNL-JSGCOSHPSA-N 0 1 289.335 0.440 20 30 CCEDMN COc1ccc(NC(=O)N[C@H]2CNC[C@H]2C#N)c(OC)c1 ZINC000841011177 617270145 /nfs/dbraw/zinc/27/01/45/617270145.db2.gz LXVGJEULBUEZSY-SKDRFNHKSA-N 0 1 290.323 0.937 20 30 CCEDMN COc1ccc(OC)c(CC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834512090 617274965 /nfs/dbraw/zinc/27/49/65/617274965.db2.gz RRABVMWJVHLNNU-DGCLKSJQSA-N 0 1 289.335 0.474 20 30 CCEDMN COc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1F ZINC000834485397 617293656 /nfs/dbraw/zinc/29/36/56/617293656.db2.gz WCVPDBJMKZQDMR-SCZZXKLOSA-N 0 1 263.272 0.676 20 30 CCEDMN COc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1OC ZINC000834511129 617293832 /nfs/dbraw/zinc/29/38/32/617293832.db2.gz INBHXFMHXZLTCN-KOLCDFICSA-N 0 1 275.308 0.545 20 30 CCEDMN C[C@@H](C#N)OCCN[C@@](C)(C(N)=O)c1ccccc1 ZINC000801931281 617297593 /nfs/dbraw/zinc/29/75/93/617297593.db2.gz WKFMZEXZYSWPAF-SMDDNHRTSA-N 0 1 261.325 0.905 20 30 CCEDMN COc1cccc(C[C@@H](O)C(=O)NCC#CCN(C)C)c1 ZINC000913521424 617301490 /nfs/dbraw/zinc/30/14/90/617301490.db2.gz YTJBTNBMUXZBIT-OAHLLOKOSA-N 0 1 290.363 0.280 20 30 CCEDMN COc1cccc(NCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000846677471 617304616 /nfs/dbraw/zinc/30/46/16/617304616.db2.gz MYJXJEVASOXPMZ-GXFFZTMASA-N 0 1 274.324 0.335 20 30 CCEDMN C#CC1(O)CN(C(=O)N[C@H]2C[C@H](C)[N@H+](C3CC3)C2)C1 ZINC000893538569 612956871 /nfs/dbraw/zinc/95/68/71/612956871.db2.gz YYFRVLOXWQAFOS-QWRGUYRKSA-N 0 1 263.341 0.001 20 30 CCEDMN C#CC1(O)CN(C(=O)c2ccc(O)c(Cl)c2)C1 ZINC000890519590 612959194 /nfs/dbraw/zinc/95/91/94/612959194.db2.gz AGFGPNRWLVLJRC-UHFFFAOYSA-N 0 1 251.669 0.866 20 30 CCEDMN C#CC1CCN(CC(=O)NCCC(=O)OC)CC1 ZINC000830300813 612964551 /nfs/dbraw/zinc/96/45/51/612964551.db2.gz BKJVQAWBDAYYAK-UHFFFAOYSA-N 0 1 252.314 0.011 20 30 CCEDMN C#CC1CCN([C@@H](C)C(=O)N(C)CC(=O)NC2CC2)CC1 ZINC000830326372 612965138 /nfs/dbraw/zinc/96/51/38/612965138.db2.gz MKJOCEWOJLOERP-LBPRGKRZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCCCCC(=O)N1CCN(C)CCN(C)CC1 ZINC000888989078 612975406 /nfs/dbraw/zinc/97/54/06/612975406.db2.gz ZETAXULOPOUSRE-UHFFFAOYSA-N 0 1 265.401 0.886 20 30 CCEDMN C#CCCCCNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000896966528 612977149 /nfs/dbraw/zinc/97/71/49/612977149.db2.gz QQBYAQLWXCJSBX-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CCOC)[C@@H](CCC)C1 ZINC000128627554 612978175 /nfs/dbraw/zinc/97/81/75/612978175.db2.gz MDLKDMIXJRTSLA-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCOCCNC(=O)CN(C)CCc1ccccc1 ZINC000821880860 612985334 /nfs/dbraw/zinc/98/53/34/612985334.db2.gz DUJOPLAFLPZRKC-UHFFFAOYSA-N 0 1 274.364 0.927 20 30 CCEDMN C#CCCN(CCOC)C(=O)NCc1n[nH]c(CC)n1 ZINC000854094691 612987614 /nfs/dbraw/zinc/98/76/14/612987614.db2.gz APQFBFLNCYCZOB-UHFFFAOYSA-N 0 1 279.344 0.548 20 30 CCEDMN COc1cccc([C@H](OC)C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000852827289 617310102 /nfs/dbraw/zinc/31/01/02/617310102.db2.gz FWVHYVXMPKEOGI-BNOWGMLFSA-N 0 1 289.335 0.610 20 30 CCEDMN C#CCCN(CCOC)CCn1cc([N+](=O)[O-])ccc1=O ZINC000852750724 612989096 /nfs/dbraw/zinc/98/90/96/612989096.db2.gz CYKASRRFADUJRZ-UHFFFAOYSA-N 0 1 293.323 0.728 20 30 CCEDMN C#CCONC(=O)[C@H]1CCS(=O)(=O)c2ccccc21 ZINC000812781607 612993115 /nfs/dbraw/zinc/99/31/15/612993115.db2.gz BQHYNFHCCXAZMU-NSHDSACASA-N 0 1 279.317 0.629 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(C)C[C@@H]1C(C)C ZINC000825981015 612994829 /nfs/dbraw/zinc/99/48/29/612994829.db2.gz NYQUNJZTJNGSAJ-QWHCGFSZSA-N 0 1 252.358 0.823 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1C[C@@H](C)N(CC)[C@@H](C)C1 ZINC000880611086 612995481 /nfs/dbraw/zinc/99/54/81/612995481.db2.gz IWGOTUMNJNXDMF-XQQFMLRXSA-N 0 1 252.358 0.966 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000913437825 612997477 /nfs/dbraw/zinc/99/74/77/612997477.db2.gz OMVHBGAAKUFUHY-CMPLNLGQSA-N 0 1 285.307 0.444 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc(OC)ncn2)CC1 ZINC000981176227 613005934 /nfs/dbraw/zinc/00/59/34/613005934.db2.gz QGDVUUNZJFTMKD-UHFFFAOYSA-N 0 1 288.351 0.656 20 30 CCEDMN C#CCOc1ccc(NC(=O)C(=O)N2CCNCC2)cc1 ZINC000841146742 613006553 /nfs/dbraw/zinc/00/65/53/613006553.db2.gz VMGQPOMNXXSFES-UHFFFAOYSA-N 0 1 287.319 0.069 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2nccn3ccnc23)CC1 ZINC000981981466 613006870 /nfs/dbraw/zinc/00/68/70/613006870.db2.gz IKMIOFHDGQHYIA-UHFFFAOYSA-N 0 1 297.362 0.901 20 30 CCEDMN C#CCOc1ncccc1C(=O)N1CC[C@H](N(C)CC#C)C1 ZINC000972757827 613008585 /nfs/dbraw/zinc/00/85/85/613008585.db2.gz VTRYRYWQADBIQZ-AWEZNQCLSA-N 0 1 297.358 0.873 20 30 CCEDMN C#CCSCCNC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000842181355 613016377 /nfs/dbraw/zinc/01/63/77/613016377.db2.gz WXBNSLVAIQYVIP-CHWSQXEVSA-N 0 1 299.440 0.449 20 30 CCEDMN C#CC[C@@H](CCOC)NCc1cn(C[C@@H]2CCCO2)nn1 ZINC000865646605 613022789 /nfs/dbraw/zinc/02/27/89/613022789.db2.gz WUSUFCVKRISKRF-ZFWWWQNUSA-N 0 1 292.383 0.975 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2cnon2)C1 ZINC000968444041 613023515 /nfs/dbraw/zinc/02/35/15/613023515.db2.gz FABGGNWRRGJFAM-JQWIXIFHSA-N 0 1 262.313 0.533 20 30 CCEDMN C#CC[C@@H](NC(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C)C(=O)[O-] ZINC000910050923 613039840 /nfs/dbraw/zinc/03/98/40/613039840.db2.gz ACJUABHHVQKDAX-OUAUKWLOSA-N 0 1 252.314 0.452 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H](C)Cc1cnc[nH]1)C(=O)OC ZINC000848220769 613040522 /nfs/dbraw/zinc/04/05/22/613040522.db2.gz MOOWUSRMQZCMRE-GXSJLCMTSA-N 0 1 263.297 0.269 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1CCC[N@@H+]1CCC)C(=O)[O-] ZINC000910048242 613040725 /nfs/dbraw/zinc/04/07/25/613040725.db2.gz HJGAEJHPOUZXFJ-MNOVXSKESA-N 0 1 252.314 0.454 20 30 CCEDMN C#CC[C@@H]1CCN(CC(=O)NCC(=O)OC(C)(C)C)C1 ZINC000886364088 613046785 /nfs/dbraw/zinc/04/67/85/613046785.db2.gz OIEVCKIODPVGLU-GFCCVEGCSA-N 0 1 280.368 0.790 20 30 CCEDMN C#CCCNC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000925148937 613047606 /nfs/dbraw/zinc/04/76/06/613047606.db2.gz LSRNJRATSAFGDQ-NEPJUHHUSA-N 0 1 267.398 0.889 20 30 CCEDMN C#CCCOC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000859122195 613049162 /nfs/dbraw/zinc/04/91/62/613049162.db2.gz DJSBUEGOYPAQQD-LBPRGKRZSA-N 0 1 268.357 0.923 20 30 CCEDMN C#CCCS(=O)(=O)N(C)CCN1CCCCC1 ZINC000846039897 613051179 /nfs/dbraw/zinc/05/11/79/613051179.db2.gz VEDPLNSTIJMJPJ-UHFFFAOYSA-N 0 1 258.387 0.757 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)Cc1n[nH]c2c1CCCC2 ZINC000799079237 613056143 /nfs/dbraw/zinc/05/61/43/613056143.db2.gz LQMQYFFPDOPXIZ-LLVKDONJSA-N 0 1 275.352 0.722 20 30 CCEDMN C#CC[C@H](COC)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000863803848 613060958 /nfs/dbraw/zinc/06/09/58/613060958.db2.gz WDVBKEYIZJHBSH-VXGBXAGGSA-N 0 1 270.398 0.578 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N(C)[C@H]1CC[N@H+](C2CC2)C1 ZINC000875921585 613061354 /nfs/dbraw/zinc/06/13/54/613061354.db2.gz IUSDKCIZDLVSLY-OCCSQVGLSA-N 0 1 279.384 0.903 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N[C@H](C)c1nnc[nH]1 ZINC000875925949 613064792 /nfs/dbraw/zinc/06/47/92/613064792.db2.gz ZVUVZHURPDUTHW-RKDXNWHRSA-N 0 1 251.290 0.203 20 30 CCEDMN C#CCN(C(=O)C(=O)N(C)CC1CN(C)C1)C1CCCC1 ZINC000850659518 613064827 /nfs/dbraw/zinc/06/48/27/613064827.db2.gz NKQFWAIGIUFTRH-UHFFFAOYSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H](c1ccccc1)[NH+](C)C)C(=O)[O-] ZINC000910051799 613068818 /nfs/dbraw/zinc/06/88/18/613068818.db2.gz HSDAJBAGRTXUEN-QWHCGFSZSA-N 0 1 274.320 0.882 20 30 CCEDMN C#CCN(C(=O)c1ccc(C=O)[nH]1)C1CCN(C)CC1 ZINC000886580109 613070048 /nfs/dbraw/zinc/07/00/48/613070048.db2.gz WAKLTWBQFRUWFY-UHFFFAOYSA-N 0 1 273.336 0.997 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cc(C)n[nH]2)C1 ZINC000971320180 613081302 /nfs/dbraw/zinc/08/13/02/613081302.db2.gz BRKQIQVMEFKXAY-CYBMUJFWSA-N 0 1 260.341 0.427 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C(N)=O)cc2)C1 ZINC000980366212 613085955 /nfs/dbraw/zinc/08/59/55/613085955.db2.gz IPKPJWSYHFYZIT-CQSZACIVSA-N 0 1 285.347 0.565 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn3c2CCC3)C1 ZINC000971645980 613087811 /nfs/dbraw/zinc/08/78/11/613087811.db2.gz ALZWZIBEANXKLA-GFCCVEGCSA-N 0 1 272.352 0.609 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cncnc2C)C1 ZINC000971143722 613087993 /nfs/dbraw/zinc/08/79/93/613087993.db2.gz XBJCJTYLOMFATB-GFCCVEGCSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nnc3ccccc3n2)C1 ZINC000971953224 613088392 /nfs/dbraw/zinc/08/83/92/613088392.db2.gz QNXJNYZJEPLYLD-GFCCVEGCSA-N 0 1 295.346 0.804 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nn(CC)nc2C)C1 ZINC000980552346 613088490 /nfs/dbraw/zinc/08/84/90/613088490.db2.gz AZQBYDBOANZPOI-GFCCVEGCSA-N 0 1 275.356 0.386 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2CCOCC2)C1 ZINC000970954588 613089226 /nfs/dbraw/zinc/08/92/26/613089226.db2.gz OLQQKMQYTRDXRP-ZDUSSCGKSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CC2CCOCC2)C1 ZINC000971237574 613089383 /nfs/dbraw/zinc/08/93/83/613089383.db2.gz YMWULONBAJRFSZ-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC000971539051 613092112 /nfs/dbraw/zinc/09/21/12/613092112.db2.gz JDLOQPXIHLMRDP-QWHCGFSZSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC000971893773 613093099 /nfs/dbraw/zinc/09/30/99/613093099.db2.gz SNQRXNXSQMURDB-GJZGRUSLSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(N(C)C)nn2)C1 ZINC000972748413 613094796 /nfs/dbraw/zinc/09/47/96/613094796.db2.gz LVCWJFLEPMYELF-LBPRGKRZSA-N 0 1 287.367 0.322 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccn(CC)n2)C1 ZINC000972486520 613095935 /nfs/dbraw/zinc/09/59/35/613095935.db2.gz UAKYWNNUPDQFJU-LBPRGKRZSA-N 0 1 260.341 0.683 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2csc(=O)[nH]2)C1 ZINC000972140346 613096710 /nfs/dbraw/zinc/09/67/10/613096710.db2.gz YROILOZDAIWZBE-VIFPVBQESA-N 0 1 265.338 0.628 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2n[nH]c(C)c2C)C1 ZINC000971233194 613096782 /nfs/dbraw/zinc/09/67/82/613096782.db2.gz KWEJDOZUFYTQEE-LBPRGKRZSA-N 0 1 260.341 0.806 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1n[nH]c(C)n1)[C@@H]1CCCO1 ZINC000864261540 613096840 /nfs/dbraw/zinc/09/68/40/613096840.db2.gz OMGHFKDGJYFADG-ZJUUUORDSA-N 0 1 263.301 0.093 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)N(C)CC ZINC000922024244 613098174 /nfs/dbraw/zinc/09/81/74/613098174.db2.gz NXBBFBAKROLUIX-UHFFFAOYSA-N 0 1 273.402 0.212 20 30 CCEDMN C#C[C@@H]1CCCN(CC2(O)CCS(=O)(=O)CC2)C1 ZINC000847024790 613105837 /nfs/dbraw/zinc/10/58/37/613105837.db2.gz LFMOOCQHIUKZAG-GFCCVEGCSA-N 0 1 271.382 0.271 20 30 CCEDMN C#CCN(CCS(=O)(=O)NC)C1CCCCC1 ZINC000856721915 613107594 /nfs/dbraw/zinc/10/75/94/613107594.db2.gz LRWMFWYECCHFBG-UHFFFAOYSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCN([C@H](C)C(=O)NC(=O)NCC)C(C)(C)C ZINC000932465654 613109614 /nfs/dbraw/zinc/10/96/14/613109614.db2.gz KMJHSUWJTFZAQF-SNVBAGLBSA-N 0 1 253.346 0.954 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)NCc1cc(N(C)C)ccn1 ZINC000924672990 613109904 /nfs/dbraw/zinc/10/99/04/613109904.db2.gz SFYXHFGBYKGLSL-CYBMUJFWSA-N 0 1 288.351 0.691 20 30 CCEDMN C#C[C@@](C)(CC)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000911385528 613112406 /nfs/dbraw/zinc/11/24/06/613112406.db2.gz WHYNXKWEXABOCK-RISCZKNCSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CCN1CC([C@@H](C)NC(=O)c2ncccc2O)C1 ZINC000969546700 613122140 /nfs/dbraw/zinc/12/21/40/613122140.db2.gz PTBYJLPWXPDFJU-SNVBAGLBSA-N 0 1 259.309 0.471 20 30 CCEDMN C#C[C@H](CCC)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897553514 613122439 /nfs/dbraw/zinc/12/24/39/613122439.db2.gz QAJZRQFUKLZBKX-OLZOCXBDSA-N 0 1 250.342 0.625 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000820666036 613123208 /nfs/dbraw/zinc/12/32/08/613123208.db2.gz FNHSINOGEHVWNG-MGPQQGTHSA-N 0 1 281.400 0.885 20 30 CCEDMN C#CCN1CC([C@H](C)NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000970709945 613123334 /nfs/dbraw/zinc/12/33/34/613123334.db2.gz FLDGHCCGXJABMJ-NWDGAFQWSA-N 0 1 286.379 0.584 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C2(COC)CC2)CC1 ZINC000855340661 613128371 /nfs/dbraw/zinc/12/83/71/613128371.db2.gz OYMLAMYGYSFNCQ-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(CCC#N)CC2)CC1 ZINC000827485679 613129228 /nfs/dbraw/zinc/12/92/28/613129228.db2.gz WRDOGFAWTOTVNX-UHFFFAOYSA-N 0 1 288.395 0.389 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](C(=O)OCC)C2)CC1 ZINC000884747173 613129570 /nfs/dbraw/zinc/12/95/70/613129570.db2.gz KBSZDOYDFHTSDA-AWEZNQCLSA-N 0 1 292.379 0.743 20 30 CCEDMN C#CCN1CCC(C(=O)NCC(=O)c2cnn(C)c2)CC1 ZINC000832583288 613129953 /nfs/dbraw/zinc/12/99/53/613129953.db2.gz GULNQIRMCZMOMA-UHFFFAOYSA-N 0 1 288.351 0.064 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](O)CC[C@H]2C)CC1 ZINC000844123826 613130065 /nfs/dbraw/zinc/13/00/65/613130065.db2.gz PIGGTRFRUDDESP-TZMCWYRMSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2cnsn2)CC1 ZINC000848719751 613132106 /nfs/dbraw/zinc/13/21/06/613132106.db2.gz NDFBTOQVLDXEFA-UHFFFAOYSA-N 0 1 265.338 0.927 20 30 CCEDMN C#CCN1CCC(NC(=O)C(C)(C)OC(C)=O)CC1 ZINC000928652753 613132582 /nfs/dbraw/zinc/13/25/82/613132582.db2.gz KUWJWAMWXDLPSK-UHFFFAOYSA-N 0 1 266.341 0.542 20 30 CCEDMN C#C[C@H](NC(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1)[C@@H]1CCCO1 ZINC000911577420 613133566 /nfs/dbraw/zinc/13/35/66/613133566.db2.gz BNJZEAGCFAKYLF-AVGNSLFASA-N 0 1 294.351 0.080 20 30 CCEDMN C#CCN1CCC(NC(=O)NC(COC)COC)CC1 ZINC000922726834 613133975 /nfs/dbraw/zinc/13/39/75/613133975.db2.gz ZOXLFHZWGUQHFD-UHFFFAOYSA-N 0 1 283.372 0.045 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@]2(C)CCOC2)CC1 ZINC000918491143 613134257 /nfs/dbraw/zinc/13/42/57/613134257.db2.gz UAADLLXHUKQOCX-HNNXBMFYSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@]2(C)CCC(=O)N2)CC1 ZINC000922813916 613134370 /nfs/dbraw/zinc/13/43/70/613134370.db2.gz YSEWGTOEAAUUHZ-OAHLLOKOSA-N 0 1 292.383 0.052 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H]2CCO[C@H]2C=C)CC1 ZINC000922952899 613134378 /nfs/dbraw/zinc/13/43/78/613134378.db2.gz JYPTXJQVDLQNRW-ZFWWWQNUSA-N 0 1 291.395 0.974 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cc(C)nn2C)CC1 ZINC000922386866 613134421 /nfs/dbraw/zinc/13/44/21/613134421.db2.gz JSKRNCFFSDQTPA-UHFFFAOYSA-N 0 1 289.383 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H]2CC[C@H](C)O2)CC1 ZINC000922822654 613134493 /nfs/dbraw/zinc/13/44/93/613134493.db2.gz SWFIHCHZNHRHAV-JSGCOSHPSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCCOCC2)CC1 ZINC000921823429 613134932 /nfs/dbraw/zinc/13/49/32/613134932.db2.gz WTGVBBIEFPSJBM-CYBMUJFWSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CCN1CCC(NC(=O)OC[C@H]2CCCO2)CC1 ZINC000921437877 613135344 /nfs/dbraw/zinc/13/53/44/613135344.db2.gz JEMHZCXTUGNRKA-CYBMUJFWSA-N 0 1 266.341 0.989 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCc3n[nH]cc3C2)CC1 ZINC000928654684 613135726 /nfs/dbraw/zinc/13/57/26/613135726.db2.gz MGVSXSFDKMPCRA-GFCCVEGCSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)OC[C@@H]2CCCO2)CC1 ZINC000928656443 613135751 /nfs/dbraw/zinc/13/57/51/613135751.db2.gz ABYVFYDQUVIJPQ-HIFRSBDPSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@](C)(O)CCC)CC1 ZINC000928654493 613136751 /nfs/dbraw/zinc/13/67/51/613136751.db2.gz DUEMAZFWRPEFHJ-CQSZACIVSA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](OC)C(C)C)CC1 ZINC000928654376 613136870 /nfs/dbraw/zinc/13/68/70/613136870.db2.gz YYJKUPRRCHXMKQ-CYBMUJFWSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(C)nc(N)nc2C)CC1 ZINC000928653939 613137185 /nfs/dbraw/zinc/13/71/85/613137185.db2.gz GYNMRWXRXYSNAZ-UHFFFAOYSA-N 0 1 287.367 0.503 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C(=O)OC)on2)CC1 ZINC000928653711 613137259 /nfs/dbraw/zinc/13/72/59/613137259.db2.gz XUZUBIGAONHPHP-UHFFFAOYSA-N 0 1 291.307 0.289 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn(CCC#N)c2C)CC1 ZINC000928657609 613137478 /nfs/dbraw/zinc/13/74/78/613137478.db2.gz PSMFCBWVOPDDSN-UHFFFAOYSA-N 0 1 299.378 0.933 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(C)nc2COC)CC1 ZINC000928650609 613137768 /nfs/dbraw/zinc/13/77/68/613137768.db2.gz DPKWZYFHXOVBRR-UHFFFAOYSA-N 0 1 290.367 0.394 20 30 CCEDMN C#CCN1CCC(NC(=S)NCCCOCC)CC1 ZINC000905656238 613138229 /nfs/dbraw/zinc/13/82/29/613138229.db2.gz JBYQCRZTNUTHBW-UHFFFAOYSA-N 0 1 283.441 0.975 20 30 CCEDMN C#CCN1CCC(Nc2nccn(CC)c2=O)CC1 ZINC000895807801 613138888 /nfs/dbraw/zinc/13/88/88/613138888.db2.gz CBKMRNAIKPNOCF-UHFFFAOYSA-N 0 1 260.341 0.773 20 30 CCEDMN C#CCN1CCC(Nc2nccn3cnnc23)CC1 ZINC000895808924 613138892 /nfs/dbraw/zinc/13/88/92/613138892.db2.gz NDUWFUVDUNEZIP-UHFFFAOYSA-N 0 1 256.313 0.634 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3cncnc3)C2)C1 ZINC000981736885 613141500 /nfs/dbraw/zinc/14/15/00/613141500.db2.gz LHUFBRPDPXMXBZ-UHFFFAOYSA-N 0 1 284.363 0.577 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3C[C@@]34CCOC4)C2)C1 ZINC000982107103 613142538 /nfs/dbraw/zinc/14/25/38/613142538.db2.gz FGFLXYLQBPSEDF-WMLDXEAASA-N 0 1 288.391 0.971 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CC[C@@H]3C(N)=O)C2)C1 ZINC000981929833 613143704 /nfs/dbraw/zinc/14/37/04/613143704.db2.gz CLYPLTUHHWPTQU-STQMWFEESA-N 0 1 289.379 0.056 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cc(C)ncn3)C2)C1 ZINC000981719745 613143958 /nfs/dbraw/zinc/14/39/58/613143958.db2.gz GDVMCNZADOBYIR-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ccc(C)nn3)C2)C1 ZINC000981763796 613144184 /nfs/dbraw/zinc/14/41/84/613144184.db2.gz LNNCFXGVVGFGEU-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3coc(OC)n3)C2)C1 ZINC000981918901 613144674 /nfs/dbraw/zinc/14/46/74/613144674.db2.gz KEZZLJWLSFZMSY-UHFFFAOYSA-N 0 1 289.335 0.854 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnco3)C2)C1 ZINC000981924068 613144820 /nfs/dbraw/zinc/14/48/20/613144820.db2.gz UQOORUJSGOXWJO-UHFFFAOYSA-N 0 1 259.309 0.846 20 30 CCEDMN C#C[C@H](NC(=O)[C@H]1CCCc2n[nH]nc21)[C@@H]1CCCO1 ZINC000832417529 613147543 /nfs/dbraw/zinc/14/75/43/613147543.db2.gz HLPFMMBNCJKXJK-NHCYSSNCSA-N 0 1 274.324 0.522 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000968990629 613148136 /nfs/dbraw/zinc/14/81/36/613148136.db2.gz JLYQVEFNBSMTDA-BYNSBNAKSA-N 0 1 276.380 0.873 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)N[C@@H](C)CN2CCN(C)CC2)C1 ZINC000847309975 613157428 /nfs/dbraw/zinc/15/74/28/613157428.db2.gz GZYOYIIRGLRBQO-GJZGRUSLSA-N 0 1 292.427 0.677 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N2CCC3(CC2)OCCO3)C1 ZINC000847029011 613158952 /nfs/dbraw/zinc/15/89/52/613158952.db2.gz JYLBHIGCLRZDCS-AWEZNQCLSA-N 0 1 292.379 0.697 20 30 CCEDMN C#C[C@](C)(CC)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000854701598 613163781 /nfs/dbraw/zinc/16/37/81/613163781.db2.gz SVSLVIAJOWSRLN-CQSZACIVSA-N 0 1 286.339 0.707 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@H](N3CCC3)C2)cn1 ZINC000912917328 613174115 /nfs/dbraw/zinc/17/41/15/613174115.db2.gz GYQYOIWDMFOOGS-AWEZNQCLSA-N 0 1 255.321 0.983 20 30 CCEDMN C#CCN1CCC[C@@H](NCc2cn(CCC)nn2)C1 ZINC000886209673 613175526 /nfs/dbraw/zinc/17/55/26/613175526.db2.gz RSPKFIYPYYPOTG-CYBMUJFWSA-N 0 1 261.373 0.875 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2nc3c(cnn3C)c(N)n2)C1 ZINC000884611802 613176347 /nfs/dbraw/zinc/17/63/47/613176347.db2.gz VEGFDROEHPUZMU-SNVBAGLBSA-N 0 1 285.355 0.455 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H](OC)[C@@H]1CCOC1 ZINC000850826304 613176573 /nfs/dbraw/zinc/17/65/73/613176573.db2.gz LWHBCUCNJMJCHW-MCIONIFRSA-N 0 1 281.352 0.679 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)[C@@H]2CN(C)CCN2C)cn1 ZINC000896558705 613183354 /nfs/dbraw/zinc/18/33/54/613183354.db2.gz BDUQQZFFYLOBIR-WFASDCNBSA-N 0 1 286.379 0.427 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCO)CC[C@H]2C)nc1 ZINC000968563041 613186954 /nfs/dbraw/zinc/18/69/54/613186954.db2.gz ZZAIRUCOEBXQLU-IUODEOHRSA-N 0 1 287.363 0.495 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CCC=C)C(=O)[O-] ZINC000909716156 613201993 /nfs/dbraw/zinc/20/19/93/613201993.db2.gz QBBRIWRSDTURBW-NEPJUHHUSA-N 0 1 264.325 0.620 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CCC=C)C(=O)[O-] ZINC000909716156 613201994 /nfs/dbraw/zinc/20/19/94/613201994.db2.gz QBBRIWRSDTURBW-NEPJUHHUSA-N 0 1 264.325 0.620 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)Nc1nc(C(=O)OCC)c[nH]1 ZINC000846651331 613203555 /nfs/dbraw/zinc/20/35/55/613203555.db2.gz RPFGNDGDNXOEJD-NSHDSACASA-N 0 1 290.323 0.623 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC000967332387 613214119 /nfs/dbraw/zinc/21/41/19/613214119.db2.gz DQYIDXMGMQLMDU-DOMZBBRYSA-N 0 1 288.395 0.731 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@@H](C)N2CCN(C)CC2)c1 ZINC000798171384 613216946 /nfs/dbraw/zinc/21/69/46/613216946.db2.gz YUARICPNXJVORN-CYBMUJFWSA-N 0 1 286.379 0.429 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cn2ccc(C)n2)C1 ZINC000968340338 613219058 /nfs/dbraw/zinc/21/90/58/613219058.db2.gz NRFFBYCIYCWQGM-TZMCWYRMSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cn2nccc2C)C1 ZINC000968368958 613219189 /nfs/dbraw/zinc/21/91/89/613219189.db2.gz BLONMNCGBWCJPP-TZMCWYRMSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000965845146 613220711 /nfs/dbraw/zinc/22/07/11/613220711.db2.gz JOAQMBVKUQLRJO-DGCLKSJQSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn(C)n2)[C@@H](C)C1 ZINC000944916657 613238286 /nfs/dbraw/zinc/23/82/86/613238286.db2.gz AMKOGXNRXMRQFX-NWDGAFQWSA-N 0 1 260.341 0.494 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cccnn2)C[C@@H]1C ZINC000947840303 613238312 /nfs/dbraw/zinc/23/83/12/613238312.db2.gz CXNZKRMSQNHJGT-NWDGAFQWSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnc3n[nH]nc32)C[C@@H]1C ZINC000947531848 613239091 /nfs/dbraw/zinc/23/90/91/613239091.db2.gz FNRRCGBSTZBJTK-WDEREUQCSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccncn2)C[C@H]1C ZINC000947825628 613239464 /nfs/dbraw/zinc/23/94/64/613239464.db2.gz UHWLMHXYHHDUEX-VXGBXAGGSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)nn2)C[C@@H]1C ZINC000947261553 613240058 /nfs/dbraw/zinc/24/00/58/613240058.db2.gz KOPRIDZZFZPFJO-WDEREUQCSA-N 0 1 261.329 0.031 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)cn2)[C@H](C)C1 ZINC000941949270 613240063 /nfs/dbraw/zinc/24/00/63/613240063.db2.gz DWVJQZITCQFWAK-VXGBXAGGSA-N 0 1 260.341 0.494 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cncnc2C)[C@H](C)C1 ZINC000941858186 613241670 /nfs/dbraw/zinc/24/16/70/613241670.db2.gz PKFVHWYZVOZZTB-BXUZGUMPSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3cc[nH]c23)C[C@H]1C ZINC000947975115 613242303 /nfs/dbraw/zinc/24/23/03/613242303.db2.gz KEUHKKVWZJKKHQ-VXGBXAGGSA-N 0 1 285.351 0.878 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nn(C)cc2C)C[C@@H]1C ZINC000947303899 613244884 /nfs/dbraw/zinc/24/48/84/613244884.db2.gz BQTHDJWBHLNJAN-QWHCGFSZSA-N 0 1 274.368 0.944 20 30 CCEDMN C/C(=C/c1cccnc1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000848419486 613245631 /nfs/dbraw/zinc/24/56/31/613245631.db2.gz VKQLFKJLHAODHK-LTYVFUKFSA-N 0 1 256.309 0.713 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000967005584 613253862 /nfs/dbraw/zinc/25/38/62/613253862.db2.gz FWIZVBPOVIZBJF-GXTWGEPZSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2cccn2)C(C)(C)C1 ZINC000940574464 613261788 /nfs/dbraw/zinc/26/17/88/613261788.db2.gz UAJFZQONLDJTCC-ZDUSSCGKSA-N 0 1 274.368 0.733 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)no2)[C@@H](C)C1 ZINC000941971716 613267058 /nfs/dbraw/zinc/26/70/58/613267058.db2.gz SAEXYQHRCQRUQA-QWRGUYRKSA-N 0 1 277.324 0.757 20 30 CCEDMN C#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](C)C1 ZINC000941960344 613277163 /nfs/dbraw/zinc/27/71/63/613277163.db2.gz VUTFAGJGWGGWMJ-KOLCDFICSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nn(C)cc2C)C[C@@H]1C ZINC000947303900 613278712 /nfs/dbraw/zinc/27/87/12/613278712.db2.gz BQTHDJWBHLNJAN-STQMWFEESA-N 0 1 274.368 0.944 20 30 CCEDMN C=C(C)CCNC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000911407297 613281609 /nfs/dbraw/zinc/28/16/09/613281609.db2.gz ARCSPNBJPQVCMX-NSHDSACASA-N 0 1 254.330 0.865 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccc(=O)[nH]c2)C(C)(C)C1 ZINC000977589161 613283400 /nfs/dbraw/zinc/28/34/00/613283400.db2.gz UMKIPRXZTHSGDE-GFCCVEGCSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(C)cccc2C)C1 ZINC000958419186 613285524 /nfs/dbraw/zinc/28/55/24/613285524.db2.gz YWLLJOBVSLJXCC-LSDHHAIUSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC000957979655 613285550 /nfs/dbraw/zinc/28/55/50/613285550.db2.gz OYGRXUIWNLTHFN-QWHCGFSZSA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccccc2O)C1 ZINC000957885327 613286714 /nfs/dbraw/zinc/28/67/14/613286714.db2.gz CQFKFYZHMYGCCN-SMDDNHRTSA-N 0 1 274.320 0.048 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc(C)c2C)C1 ZINC000958639960 613286968 /nfs/dbraw/zinc/28/69/68/613286968.db2.gz MYCMYDTYSXGZHB-GOEBONIOSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC000958403966 613287720 /nfs/dbraw/zinc/28/77/20/613287720.db2.gz LDNWNDDQJWMKHF-SMDDNHRTSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2occc2Cl)C1 ZINC000958380358 613287945 /nfs/dbraw/zinc/28/79/45/613287945.db2.gz YUGMBCWJXKVRDF-GXSJLCMTSA-N 0 1 282.727 0.589 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2coc(C3CC3)n2)C1 ZINC000958458955 613288017 /nfs/dbraw/zinc/28/80/17/613288017.db2.gz MCWMEXFIDJYGKT-WCQYABFASA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3ccncn3)C[C@]2(C)C1 ZINC000982655612 613293622 /nfs/dbraw/zinc/29/36/22/613293622.db2.gz HTGRBNYLZKOGLM-IYBDPMFKSA-N 0 1 284.363 0.894 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC000958698531 613294116 /nfs/dbraw/zinc/29/41/16/613294116.db2.gz VKGRTXKKHGSNBA-FZMZJTMJSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C23CCC(CC2)CC3)C1 ZINC000958717794 613294304 /nfs/dbraw/zinc/29/43/04/613294304.db2.gz RHDJSDXQWMIPCZ-GWUWNPHMSA-N 0 1 290.407 0.999 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC000958155536 613295095 /nfs/dbraw/zinc/29/50/95/613295095.db2.gz CVLMSZARBQVVKG-JSGCOSHPSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cn(C(C)C)cn2)C1 ZINC000958282335 613295553 /nfs/dbraw/zinc/29/55/53/613295553.db2.gz ZESOPDPHXLPOAT-JSGCOSHPSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2coc(CCC)n2)C1 ZINC000958583467 613296259 /nfs/dbraw/zinc/29/62/59/613296259.db2.gz HQLXMMJDPIQKSE-AAEUAGOBSA-N 0 1 291.351 0.283 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974924618 613297315 /nfs/dbraw/zinc/29/73/15/613297315.db2.gz PRPZPDXHMMXUKU-STQMWFEESA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ncc[nH]2)C(C)(C)C1 ZINC000977398570 613297923 /nfs/dbraw/zinc/29/79/23/613297923.db2.gz ZEIAVUOKOYQMLZ-NSHDSACASA-N 0 1 260.341 0.412 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2CC3(CC3)C2)C1 ZINC000958373844 613301629 /nfs/dbraw/zinc/30/16/29/613301629.db2.gz IQNCRIJOVBCYPB-OLZOCXBDSA-N 0 1 262.353 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c(C)[nH]nc2Cl)C1 ZINC000958126468 613302356 /nfs/dbraw/zinc/30/23/56/613302356.db2.gz PJENFDXLBZEJBZ-ZJUUUORDSA-N 0 1 296.758 0.027 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc3ccccn3c2)C1 ZINC000958448251 613302724 /nfs/dbraw/zinc/30/27/24/613302724.db2.gz NBAKHLGUTJGKSJ-ZBFHGGJFSA-N 0 1 297.358 0.595 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(F)cc2)C1 ZINC000957811702 613302845 /nfs/dbraw/zinc/30/28/45/613302845.db2.gz JKMMYGXTUZCLLM-OCCSQVGLSA-N 0 1 276.311 0.481 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(CF)cc2)C1 ZINC000958735583 613302966 /nfs/dbraw/zinc/30/29/66/613302966.db2.gz DGLMPEULOVCHSC-CABCVRRESA-N 0 1 290.338 0.812 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc3cnccc3o2)C1 ZINC000958218622 613302984 /nfs/dbraw/zinc/30/29/84/613302984.db2.gz CYNVQGQMPDOOPG-OLZOCXBDSA-N 0 1 299.330 0.484 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccsc2)C1 ZINC000957837705 613303349 /nfs/dbraw/zinc/30/33/49/613303349.db2.gz CTXXTSKMQVPBGR-NEPJUHHUSA-N 0 1 264.350 0.404 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2csc(Cl)n2)C1 ZINC000958565844 613304208 /nfs/dbraw/zinc/30/42/08/613304208.db2.gz ATRNBBMNOSXXBJ-SCZZXKLOSA-N 0 1 299.783 0.452 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC000980819958 613310048 /nfs/dbraw/zinc/31/00/48/613310048.db2.gz AXXARRCLAHIUNV-CHWSQXEVSA-N 0 1 280.368 0.906 20 30 CCEDMN C#CCN1C[C@@H]2[C@@H](CNC(=O)c3c[nH]c(C)cc3=O)[C@@H]2C1 ZINC000978933598 613310188 /nfs/dbraw/zinc/31/01/88/613310188.db2.gz NZZICDHWRATYFQ-DEZZGGKRSA-N 0 1 285.347 0.224 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC000981276093 613310921 /nfs/dbraw/zinc/31/09/21/613310921.db2.gz HRBWQKHSEHBTPO-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1occc1C ZINC000961704594 613314808 /nfs/dbraw/zinc/31/48/08/613314808.db2.gz RNWCKYJSQHCQQL-JYAVWHMHSA-N 0 1 258.321 0.810 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cnn2c1CCCC2 ZINC000960392500 613314838 /nfs/dbraw/zinc/31/48/38/613314838.db2.gz LHQZQXYTWGJJKR-HALDLXJZSA-N 0 1 298.390 0.442 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C1CCOCC1 ZINC000963141483 613316464 /nfs/dbraw/zinc/31/64/64/613316464.db2.gz PQSCBRFDTXJMNP-RZFFKMDDSA-N 0 1 276.380 0.729 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@H]1C(F)F ZINC000958709870 613316941 /nfs/dbraw/zinc/31/69/41/613316941.db2.gz PUAHNKDCKIUDGT-LADJIXMOSA-N 0 1 254.280 0.567 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1OCCc2ccccc21 ZINC000961428701 613317407 /nfs/dbraw/zinc/31/74/07/613317407.db2.gz FTXMTTLVGCAKAC-HZMVEIRTSA-N 0 1 296.370 0.980 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CC)[nH]c(=O)c1 ZINC000961174707 613318317 /nfs/dbraw/zinc/31/83/17/613318317.db2.gz XGQVOSKRDACOCU-NHAGDIPZSA-N 0 1 285.347 0.643 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC000960449686 613318688 /nfs/dbraw/zinc/31/86/88/613318688.db2.gz FKYIXKSUHBPUBO-YABSGUDNSA-N 0 1 298.346 0.353 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(OC)nc1 ZINC000960932705 613319293 /nfs/dbraw/zinc/31/92/93/613319293.db2.gz RAWADIQWKZIUNK-IMRBUKKESA-N 0 1 271.320 0.383 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(CCC=C)nn1 ZINC000961991126 613320982 /nfs/dbraw/zinc/32/09/82/613320982.db2.gz UETFLQIIUWUHCQ-IMRBUKKESA-N 0 1 285.351 0.147 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2c(c1)ncn2C ZINC000961462662 613321414 /nfs/dbraw/zinc/32/14/14/613321414.db2.gz WMKWNTPDFCWWKX-IMRBUKKESA-N 0 1 295.346 0.262 20 30 CCEDMN C#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000958637415 613321873 /nfs/dbraw/zinc/32/18/73/613321873.db2.gz IKKXAKGMEFZQLZ-IAZYJMLFSA-N 0 1 257.293 0.080 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000958637415 613321874 /nfs/dbraw/zinc/32/18/74/613321874.db2.gz IKKXAKGMEFZQLZ-IAZYJMLFSA-N 0 1 257.293 0.080 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1csc2cncn21 ZINC000961929639 613321996 /nfs/dbraw/zinc/32/19/96/613321996.db2.gz HAFCYGVVAWQNGC-IWIIMEHWSA-N 0 1 286.360 0.689 20 30 CCEDMN C#CCNC(=O)C1CCN(CCN(C#N)CC)CC1 ZINC000878018987 613323290 /nfs/dbraw/zinc/32/32/90/613323290.db2.gz PFQHWZJNXIUGJY-UHFFFAOYSA-N 0 1 262.357 0.251 20 30 CCEDMN C#CCNC(=O)CN(C)[C@@H]1C[C@H](OC)C12CCC2 ZINC000832204404 613327484 /nfs/dbraw/zinc/32/74/84/613327484.db2.gz LLWFKSWOKKPEDT-NEPJUHHUSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C(=O)OC)[C@H]2CCC[C@H]21 ZINC000859949428 613330098 /nfs/dbraw/zinc/33/00/98/613330098.db2.gz PUDWWTYYMSHPRH-FRRDWIJNSA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCNC(=O)CN[C@H](c1cccnc1)C(C)(C)CO ZINC000852092371 613331027 /nfs/dbraw/zinc/33/10/27/613331027.db2.gz PXILKIWXGYFZMK-CQSZACIVSA-N 0 1 275.352 0.480 20 30 CCEDMN C=C(C)COCCNC(=O)[C@]1(C)C[C@H](O)CN1C ZINC000901082805 613352120 /nfs/dbraw/zinc/35/21/20/613352120.db2.gz YZGUNKZGZXWLOJ-AAEUAGOBSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C(C)CONC(=O)NC[C@H]1CN(C)CCN1C ZINC000845598214 613353475 /nfs/dbraw/zinc/35/34/75/613353475.db2.gz ZQJPZWYGEZYORS-NSHDSACASA-N 0 1 256.350 0.039 20 30 CCEDMN C=C(C)CONC(=O)N[C@H]1CCN(CCOC)C1 ZINC000845599725 613353729 /nfs/dbraw/zinc/35/37/29/613353729.db2.gz QQQVQNKMTZPJOJ-NSHDSACASA-N 0 1 257.334 0.514 20 30 CCEDMN C=C(C)C[C@H](NC(=O)NCCn1cc[nH+]c1C)C(=O)[O-] ZINC000908914690 613358745 /nfs/dbraw/zinc/35/87/45/613358745.db2.gz XNFKKGYXTWREMQ-NSHDSACASA-N 0 1 280.328 0.910 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@@H]1CC[N@H+](CCOC)C1)C(=O)[O-] ZINC000908945707 613358793 /nfs/dbraw/zinc/35/87/93/613358793.db2.gz FGYSZQDSABLRKZ-NEPJUHHUSA-N 0 1 299.371 0.426 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC000908891400 613358868 /nfs/dbraw/zinc/35/88/68/613358868.db2.gz XTEHNOFBLJXIBH-PWSUYJOCSA-N 0 1 281.356 0.942 20 30 CCEDMN C=C(C)C[C@H](NC(=O)c1[nH]nc2c1CNCC2)C(=O)OC ZINC000877195726 613359156 /nfs/dbraw/zinc/35/91/56/613359156.db2.gz PCHSDTZWMGFJKS-NSHDSACASA-N 0 1 292.339 0.293 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NC[C@@H]1C[C@H](O)CN1C ZINC000924990958 613362998 /nfs/dbraw/zinc/36/29/98/613362998.db2.gz LNSKSTKHMSYFSL-WUHRBBMRSA-N 0 1 268.357 0.149 20 30 CCEDMN C=C(Cl)CNS(=O)(=O)CCCN1CCN(C)CC1 ZINC000866813155 613383971 /nfs/dbraw/zinc/38/39/71/613383971.db2.gz NJQMOLCWHFCBRH-UHFFFAOYSA-N 0 1 295.836 0.296 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC000970436995 613384431 /nfs/dbraw/zinc/38/44/31/613384431.db2.gz GVWOXEBVOIDYQX-ZYHUDNBSSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCn2cncn2)C1 ZINC000967156970 613384572 /nfs/dbraw/zinc/38/45/72/613384572.db2.gz YYJJFLKCWHJJFA-LLVKDONJSA-N 0 1 283.763 0.611 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2COCCO2)C1 ZINC000967283584 613385296 /nfs/dbraw/zinc/38/52/96/613385296.db2.gz FJSBUCNIPJOBBY-MNOVXSKESA-N 0 1 274.748 0.345 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(C)[C@@H](C)CS(C)(=O)=O ZINC000876661763 613387191 /nfs/dbraw/zinc/38/71/91/613387191.db2.gz HDWWGPHFURVZOD-DTWKUNHWSA-N 0 1 282.793 0.348 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N1CCS(=O)(=O)[C@@H](C)C1 ZINC000876670987 613387567 /nfs/dbraw/zinc/38/75/67/613387567.db2.gz SMUKGRZEWINWCP-DTWKUNHWSA-N 0 1 280.777 0.102 20 30 CCEDMN C=C(Cl)C[C@H]1NC(=O)N(Cc2n[nH]c(CC)n2)C1=O ZINC000865309898 613391077 /nfs/dbraw/zinc/39/10/77/613391077.db2.gz PEHPQJIEYQEDFN-SSDOTTSWSA-N 0 1 283.719 0.930 20 30 CCEDMN C=CCSCCNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000895958328 613395432 /nfs/dbraw/zinc/39/54/32/613395432.db2.gz NWGRHIYXZLNNKD-GFCCVEGCSA-N 0 1 270.398 0.743 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935374535 613403904 /nfs/dbraw/zinc/40/39/04/613403904.db2.gz BWVIZTCYCRDTFL-NSHDSACASA-N 0 1 281.356 0.428 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCN(CCF)C[C@@H]2C)nn1 ZINC000943480983 613431194 /nfs/dbraw/zinc/43/11/94/613431194.db2.gz HQLJSVDWFXNQTM-RYUDHWBXSA-N 0 1 295.362 0.874 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000950985780 613437295 /nfs/dbraw/zinc/43/72/95/613437295.db2.gz CWOYSLGDAHFPON-SECBINFHSA-N 0 1 277.328 0.348 20 30 CCEDMN C=C[C@@H](O)C(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829236253 613449257 /nfs/dbraw/zinc/44/92/57/613449257.db2.gz SUWHFFCFHYZDCS-GFCCVEGCSA-N 0 1 268.357 0.104 20 30 CCEDMN C=CCC(F)(F)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000896537665 613455607 /nfs/dbraw/zinc/45/56/07/613455607.db2.gz AEDUWALSDUPNLQ-GHMZBOCLSA-N 0 1 275.343 0.948 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CNC[C@@H]2C#N)CCOCC1 ZINC000834484626 613456471 /nfs/dbraw/zinc/45/64/71/613456471.db2.gz LBIBQTHQIOLDSM-NWDGAFQWSA-N 0 1 263.341 0.587 20 30 CCEDMN C=CCC1(O)CCN(C(=O)NC[C@@H]2COCCN2)CC1 ZINC000892287879 613458372 /nfs/dbraw/zinc/45/83/72/613458372.db2.gz XUAUOSPQCGGATF-GFCCVEGCSA-N 0 1 283.372 0.087 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NC[C@@H]1CCN1C ZINC000922732486 613460832 /nfs/dbraw/zinc/46/08/32/613460832.db2.gz ZKRKJZRYLAFNKZ-TUAOUCFPSA-N 0 1 253.346 0.723 20 30 CCEDMN C=CCC1(O)CCN([C@H]2CCC(=O)NC2=O)CC1 ZINC000886776408 613460823 /nfs/dbraw/zinc/46/08/23/613460823.db2.gz FNNYIQYGPIUHPL-JTQLQIEISA-N 0 1 252.314 0.195 20 30 CCEDMN C=CCCC(=O)N1CC[N@@H+](CCC(=O)[O-])[C@@H](C)C1 ZINC000833373557 613462646 /nfs/dbraw/zinc/46/26/46/613462646.db2.gz SBXUINRTRRGHBI-NSHDSACASA-N 0 1 254.330 0.960 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(C)CCN(C)CC1 ZINC000888982070 613463088 /nfs/dbraw/zinc/46/30/88/613463088.db2.gz DGCKFTQDRVWJOT-KGLIPLIRSA-N 0 1 281.400 0.283 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)NCc1cc(N(C)C)ccn1 ZINC000931614473 613476324 /nfs/dbraw/zinc/47/63/24/613476324.db2.gz VDAIWNBDLVWMIK-UHFFFAOYSA-N 0 1 290.367 0.798 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC000935785495 613489205 /nfs/dbraw/zinc/48/92/05/613489205.db2.gz SLAYDCWFVLVLTO-SNVBAGLBSA-N 0 1 279.344 0.612 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CN(C)CCN1C ZINC000932526988 613489511 /nfs/dbraw/zinc/48/95/11/613489511.db2.gz NSUYCWSKAOQEBE-CHWSQXEVSA-N 0 1 253.390 0.951 20 30 CCEDMN C=CCCN1CCN(C(=O)Cn2ccc(C)cc2=O)CC1 ZINC000951007618 613505241 /nfs/dbraw/zinc/50/52/41/613505241.db2.gz NUZPESKOXDEBET-UHFFFAOYSA-N 0 1 289.379 0.877 20 30 CCEDMN C=CCCN1CCN(C(=O)Cn2ccc(C)n2)CC1 ZINC000950570389 613505267 /nfs/dbraw/zinc/50/52/67/613505267.db2.gz JPSKIKGGLQKZEM-UHFFFAOYSA-N 0 1 262.357 0.912 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CCCOC2)C1 ZINC000969391388 613536059 /nfs/dbraw/zinc/53/60/59/613536059.db2.gz JRIDGNIABAMREW-QWHCGFSZSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2c(C)ncn2C)C1 ZINC000969895858 613537745 /nfs/dbraw/zinc/53/77/45/613537745.db2.gz COHFNVWMQSIHAK-NSHDSACASA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1COCCO1 ZINC000968765314 613545558 /nfs/dbraw/zinc/54/55/58/613545558.db2.gz YXFVEIKYPYXDQX-GJZGRUSLSA-N 0 1 294.395 0.786 20 30 CCEDMN CC#CCN1CCN(C(=O)[C@@H](C)CN2CCOCC2)CC1 ZINC000957667758 613564124 /nfs/dbraw/zinc/56/41/24/613564124.db2.gz QOSGXPGBOXYRIO-HNNXBMFYSA-N 0 1 293.411 0.122 20 30 CCEDMN C=CCC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc(C)c1[O-] ZINC000958588439 613568238 /nfs/dbraw/zinc/56/82/38/613568238.db2.gz ZGLPNCPADZHHKL-URLYPYJESA-N 0 1 276.340 0.660 20 30 CCEDMN C=CCC[C@@H](CO)NCc1cn(C[C@H]2CCCO2)nn1 ZINC000865593045 613584430 /nfs/dbraw/zinc/58/44/30/613584430.db2.gz GQMIUNJOYJDWCK-GXTWGEPZSA-N 0 1 280.372 0.874 20 30 CCEDMN C=CCC[C@H](O)CN1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000933729527 613590932 /nfs/dbraw/zinc/59/09/32/613590932.db2.gz WPWMRQRHYOTASU-TUAOUCFPSA-N 0 1 259.371 0.290 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833373608 613591037 /nfs/dbraw/zinc/59/10/37/613591037.db2.gz UKBALTZDGODTAC-OLZOCXBDSA-N 0 1 270.373 0.794 20 30 CCEDMN C=CCC[C@H](O)CN1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC000833373608 613591038 /nfs/dbraw/zinc/59/10/38/613591038.db2.gz UKBALTZDGODTAC-OLZOCXBDSA-N 0 1 270.373 0.794 20 30 CCEDMN C=CCC[C@H](O)CN1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833373608 613591039 /nfs/dbraw/zinc/59/10/39/613591039.db2.gz UKBALTZDGODTAC-OLZOCXBDSA-N 0 1 270.373 0.794 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@H](C(=O)OC)C[C@@H]1C(=O)[O-] ZINC000833372997 613591050 /nfs/dbraw/zinc/59/10/50/613591050.db2.gz CSAIZWJJODFYDV-SDDRHHMPSA-N 0 1 285.340 0.652 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967724754 613591992 /nfs/dbraw/zinc/59/19/92/613591992.db2.gz RHZYKSZVSBTAES-RYUDHWBXSA-N 0 1 290.367 0.589 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](C)C1 ZINC000941963123 613606155 /nfs/dbraw/zinc/60/61/55/613606155.db2.gz JQNWDJIDNLBCBN-PWSUYJOCSA-N 0 1 290.367 0.887 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H](C)n2cncn2)C(C)(C)C1 ZINC000974485464 613609992 /nfs/dbraw/zinc/60/99/92/613609992.db2.gz QTKHSCYPRPETNK-QWHCGFSZSA-N 0 1 289.383 0.689 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC000957979182 613612787 /nfs/dbraw/zinc/61/27/87/613612787.db2.gz LTOGJUOCIAKLHH-UONOGXRCSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC000958338794 613612830 /nfs/dbraw/zinc/61/28/30/613612830.db2.gz HVPNRZDQXOGDPV-VYDRJRHOSA-N 0 1 276.380 0.465 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccoc2CC)C1 ZINC000958025403 613613512 /nfs/dbraw/zinc/61/35/12/613613512.db2.gz RZTFKVMWAGXTPE-GXTWGEPZSA-N 0 1 290.363 0.888 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2onc(C)c2C)[C@H](O)C1 ZINC000958166844 613616296 /nfs/dbraw/zinc/61/62/96/613616296.db2.gz BTUSFNUQYJIJKD-CHWSQXEVSA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)C2CC=CC2)C1 ZINC000957930098 613618585 /nfs/dbraw/zinc/61/85/85/613618585.db2.gz FCFGFTGZBRMYGI-KBPBESRZSA-N 0 1 262.353 0.385 20 30 CCEDMN C=CCN(C)[C@@H]1CCN(C(=O)c2cc(=O)c(OC)co2)C1 ZINC000971946865 613618626 /nfs/dbraw/zinc/61/86/26/613618626.db2.gz OVGYSMBOHVLTPT-LLVKDONJSA-N 0 1 292.335 0.981 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2[nH]ccc2C)C1 ZINC000958030651 613618783 /nfs/dbraw/zinc/61/87/83/613618783.db2.gz KJIJNBQXHOKACD-STQMWFEESA-N 0 1 275.352 0.369 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2n[nH]c(C)c2C)C1 ZINC000957935459 613619425 /nfs/dbraw/zinc/61/94/25/613619425.db2.gz MSZKWQGBEXIZML-STQMWFEESA-N 0 1 290.367 0.072 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2cnn(C)c2)C(C)(C)C1 ZINC000972857792 613621111 /nfs/dbraw/zinc/62/11/11/613621111.db2.gz OCWDORAADIHAPX-AWEZNQCLSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc[nH]c2)C1 ZINC000958080455 613624745 /nfs/dbraw/zinc/62/47/45/613624745.db2.gz BNCNYMDHGGRXLR-OLZOCXBDSA-N 0 1 261.325 0.061 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(Cl)c[nH]2)C1 ZINC000957869969 613625048 /nfs/dbraw/zinc/62/50/48/613625048.db2.gz YNWRVMUMGPZHFP-MFKMUULPSA-N 0 1 295.770 0.714 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)C2CCCC2)C1 ZINC000957807971 613625055 /nfs/dbraw/zinc/62/50/55/613625055.db2.gz MOOQWISYFVWABP-KGLIPLIRSA-N 0 1 264.369 0.609 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccco2)C1 ZINC000957830701 613626082 /nfs/dbraw/zinc/62/60/82/613626082.db2.gz PJTZUVODGVOZER-NEPJUHHUSA-N 0 1 262.309 0.325 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCn2nccc21 ZINC000960654709 613636528 /nfs/dbraw/zinc/63/65/28/613636528.db2.gz LPVLRLVOFUHIJA-NYTXWWLZSA-N 0 1 298.390 0.830 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)nn(C)c1C ZINC000961406969 613638293 /nfs/dbraw/zinc/63/82/93/613638293.db2.gz SLVKMTJWKDZJMP-NHAGDIPZSA-N 0 1 286.379 0.720 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2n[nH]nc2n1 ZINC000960203664 613640443 /nfs/dbraw/zinc/64/04/43/613640443.db2.gz QULUMPZSQXQWGJ-IWIIMEHWSA-N 0 1 296.334 0.036 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccncn1 ZINC000962103322 613641378 /nfs/dbraw/zinc/64/13/78/613641378.db2.gz UBMJIBCKXGWYLO-PJXYFTJBSA-N 0 1 256.309 0.160 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnnc1 ZINC000961864180 613641576 /nfs/dbraw/zinc/64/15/76/613641576.db2.gz DNPCFPSQBCYZLV-ITGUQSILSA-N 0 1 256.309 0.160 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)C[N@H+](C)[C@H]1CCSC1 ZINC000909516965 613642009 /nfs/dbraw/zinc/64/20/09/613642009.db2.gz VKZDQXXGTNTOJF-JTQLQIEISA-N 0 1 272.370 0.523 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2n1CCCC2 ZINC000961566775 613642482 /nfs/dbraw/zinc/64/24/82/613642482.db2.gz KMIFAIVSSABRJU-VIKVFOODSA-N 0 1 298.390 0.903 20 30 CCEDMN C=CCN1CC(N2CCN(C(=O)c3cnc(C)[nH]3)CC2)C1 ZINC000941345138 613661458 /nfs/dbraw/zinc/66/14/58/613661458.db2.gz OBYWIYSRZZVHRK-UHFFFAOYSA-N 0 1 289.383 0.346 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC000969770657 613661931 /nfs/dbraw/zinc/66/19/31/613661931.db2.gz IPJMYTCGJWASDW-CHWSQXEVSA-N 0 1 293.411 0.866 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC000970805653 613662351 /nfs/dbraw/zinc/66/23/51/613662351.db2.gz FEIMRPWQMZHKAN-DGCLKSJQSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnn3ccncc23)C1 ZINC000969850470 613667229 /nfs/dbraw/zinc/66/72/29/613667229.db2.gz BFGNOZXRNVYFBH-LLVKDONJSA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(=O)n(C)cn2)C1 ZINC000969875427 613670779 /nfs/dbraw/zinc/67/07/79/613670779.db2.gz CFVAIAPVBBBRHQ-JTQLQIEISA-N 0 1 276.340 0.016 20 30 CCEDMN C=CCN1CCC(NC(=O)[C@@H]2[C@@H]3CCC(=O)[C@@H]32)CC1 ZINC000906593973 613675612 /nfs/dbraw/zinc/67/56/12/613675612.db2.gz WARXTWKJDNKODD-MRVWCRGKSA-N 0 1 262.353 0.978 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC000981744585 613692377 /nfs/dbraw/zinc/69/23/77/613692377.db2.gz DFBRDSKCSVRLIM-VXGBXAGGSA-N 0 1 265.357 0.218 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)CN2CCOCC2)C1 ZINC000965921761 613728195 /nfs/dbraw/zinc/72/81/95/613728195.db2.gz OHPCJHKJXDHXQK-KGLIPLIRSA-N 0 1 281.400 0.331 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2nccnc2N)C1 ZINC000965698288 613732325 /nfs/dbraw/zinc/73/23/25/613732325.db2.gz YUFKBNLHUBARCP-MNOVXSKESA-N 0 1 275.356 0.685 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H]2CCC(=O)O2)C1=O ZINC000852006752 613738596 /nfs/dbraw/zinc/73/85/96/613738596.db2.gz CWISBDVNUFIRED-WDEREUQCSA-N 0 1 252.314 0.411 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](C(=O)OCC)C2)C1=O ZINC000932639869 613739598 /nfs/dbraw/zinc/73/95/98/613739598.db2.gz SJXHQWISROSORS-NWDGAFQWSA-N 0 1 266.341 0.658 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)CN2CCCC2=O)C1 ZINC000964961423 613772036 /nfs/dbraw/zinc/77/20/36/613772036.db2.gz IXQBMNFJQVCQPH-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](N(CC2CC2)[C@H](C(N)=O)C2CC2)C1=O ZINC000879487696 613777657 /nfs/dbraw/zinc/77/76/57/613777657.db2.gz ZKXJQGBTGWEREK-KBPBESRZSA-N 0 1 291.395 0.749 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H]2CCN(C(=O)C3CC3)C2)C1=O ZINC000970932309 613778004 /nfs/dbraw/zinc/77/80/04/613778004.db2.gz OVPUGGVCMCIYFH-KBPBESRZSA-N 0 1 291.395 0.716 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](C(=O)OCC)C2)C1=O ZINC000932639868 613778464 /nfs/dbraw/zinc/77/84/64/613778464.db2.gz SJXHQWISROSORS-NEPJUHHUSA-N 0 1 266.341 0.658 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000130216955 613845821 /nfs/dbraw/zinc/84/58/21/613845821.db2.gz ZLEOIJMOCBJJDN-BETUJISGSA-N 0 1 269.389 0.330 20 30 CCEDMN C=CCNC(=O)CNC1(c2cccc(-c3nn[nH]n3)c2)CC1 ZINC000823919290 613846916 /nfs/dbraw/zinc/84/69/16/613846916.db2.gz BLFVVOJRMXGERH-UHFFFAOYSA-N 0 1 298.350 0.748 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823507354 613853371 /nfs/dbraw/zinc/85/33/71/613853371.db2.gz WCQUICGIEAIWPS-NXEZZACHSA-N 0 1 255.318 0.361 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823507354 613853372 /nfs/dbraw/zinc/85/33/72/613853372.db2.gz WCQUICGIEAIWPS-NXEZZACHSA-N 0 1 255.318 0.361 20 30 CCEDMN C=CCOC(=O)[C@@H](N)CC(=O)N1CCNC[C@H]1CCC ZINC000884246256 613877583 /nfs/dbraw/zinc/87/75/83/613877583.db2.gz MYHCOMTUDDVKGH-NEPJUHHUSA-N 0 1 283.372 0.034 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000928094326 613879348 /nfs/dbraw/zinc/87/93/48/613879348.db2.gz KOHPOYDSBVLLNZ-NEPJUHHUSA-N 0 1 270.398 0.885 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@@H]1CCCn2nccc21 ZINC000850459597 613885816 /nfs/dbraw/zinc/88/58/16/613885816.db2.gz AJNJZPRJZYEQGL-LLVKDONJSA-N 0 1 269.370 0.908 20 30 CCEDMN CC(C)(C)OCCCN1CCN(CC(=O)NCC#N)CC1 ZINC000880412300 614010703 /nfs/dbraw/zinc/01/07/03/614010703.db2.gz UMDLZDAHLIQJRK-UHFFFAOYSA-N 0 1 296.415 0.449 20 30 CCEDMN CC(C)Oc1cncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834479912 614058166 /nfs/dbraw/zinc/05/81/66/614058166.db2.gz JJHUDBRTZBOIQG-WCQYABFASA-N 0 1 274.324 0.710 20 30 CCEDMN CC(C)CCn1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)nn1 ZINC000834490582 614063047 /nfs/dbraw/zinc/06/30/47/614063047.db2.gz VXLDWJAXJUNASE-WDEREUQCSA-N 0 1 276.344 0.166 20 30 CCEDMN CC(C)S(=O)(=O)NN=C1CCN(CC(C)(C)O)CC1 ZINC000814219268 614069421 /nfs/dbraw/zinc/06/94/21/614069421.db2.gz KOUCPIKGFSVXKP-UHFFFAOYSA-N 0 1 291.417 0.537 20 30 CCEDMN CC(=O)N[C@H](CCC(C)C)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000852827321 614115923 /nfs/dbraw/zinc/11/59/23/614115923.db2.gz GLSMWRUTXCBZRF-YNEHKIRRSA-N 0 1 280.372 0.155 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC000817733688 614180806 /nfs/dbraw/zinc/18/08/06/614180806.db2.gz SKYKCFROSVLFHI-UHIISALHSA-N 0 1 281.356 0.836 20 30 CCEDMN CC(C)(O)CNN=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000863137669 614194207 /nfs/dbraw/zinc/19/42/07/614194207.db2.gz HPGHCOMVANKXCN-UHFFFAOYSA-N 0 1 253.258 0.995 20 30 CCEDMN CC(C)Cn1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000884250219 614241357 /nfs/dbraw/zinc/24/13/57/614241357.db2.gz ZSWXIWFEUYONDV-CMPLNLGQSA-N 0 1 261.329 0.380 20 30 CCEDMN CC(C)(C#N)C(=O)N1CC[C@H]2[C@H]1CCCN2CCO ZINC000889773178 614284660 /nfs/dbraw/zinc/28/46/60/614284660.db2.gz GPBGMJJDMLQMKO-NWDGAFQWSA-N 0 1 265.357 0.594 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000900810631 614328363 /nfs/dbraw/zinc/32/83/63/614328363.db2.gz HIGQTNCLGBTGPV-CHWSQXEVSA-N 0 1 251.374 0.396 20 30 CCEDMN CC(C)c1ncncc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000843462519 614329709 /nfs/dbraw/zinc/32/97/09/614329709.db2.gz QQIDJRCQKMHJIE-ONGXEEELSA-N 0 1 259.313 0.441 20 30 CCEDMN CC(C)c1nnc(C(=O)NCC#CCN(C)C)s1 ZINC000905151001 614332643 /nfs/dbraw/zinc/33/26/43/614332643.db2.gz FIRKTAFJQODYAZ-UHFFFAOYSA-N 0 1 266.370 0.956 20 30 CCEDMN CCCc1noc(CCCC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000834489059 614500337 /nfs/dbraw/zinc/50/03/37/614500337.db2.gz IXTRRVUAQBEEPI-GHMZBOCLSA-N 0 1 291.355 0.573 20 30 CCEDMN CCCn1cc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)nn1 ZINC000872359574 614524943 /nfs/dbraw/zinc/52/49/43/614524943.db2.gz JFGBRUNILUCAKE-TXEJJXNPSA-N 0 1 275.360 0.882 20 30 CCEDMN CCC(=O)N1CCCC[C@@H]1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834488845 614534842 /nfs/dbraw/zinc/53/48/42/614534842.db2.gz HCEHARRURINAIH-IJLUTSLNSA-N 0 1 278.356 0.005 20 30 CCEDMN CCN(C(=O)[C@@H]1CCCc2[nH]ncc21)C1CN(CC#N)C1 ZINC000950089872 614625063 /nfs/dbraw/zinc/62/50/63/614625063.db2.gz PFDOYZPZYKUJDL-GFCCVEGCSA-N 0 1 287.367 0.886 20 30 CCEDMN CCCC(=O)N1CCC[C@@H]1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000843463191 614760072 /nfs/dbraw/zinc/76/00/72/614760072.db2.gz VJRABGHDOYXLCM-GRYCIOLGSA-N 0 1 278.356 0.005 20 30 CCEDMN CCN(CC(=O)NC)C[C@@H](O)CC1(C#N)CCC1 ZINC000885963993 614935744 /nfs/dbraw/zinc/93/57/44/614935744.db2.gz UWXKTEUAYSBEAC-NSHDSACASA-N 0 1 253.346 0.499 20 30 CCEDMN CCN(CCC#N)C(=O)CN(CCOC)C1CCOCC1 ZINC000879708457 615021326 /nfs/dbraw/zinc/02/13/26/615021326.db2.gz VGPHERUVLABDTJ-UHFFFAOYSA-N 0 1 297.399 0.876 20 30 CCEDMN CCNC(=O)CN(CC)C[C@H](O)CC1(C#N)CCC1 ZINC000885940516 615046540 /nfs/dbraw/zinc/04/65/40/615046540.db2.gz LIFUZVCGBWDFDA-GFCCVEGCSA-N 0 1 267.373 0.889 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000829642395 615064581 /nfs/dbraw/zinc/06/45/81/615064581.db2.gz LZGYHXQXVZODMF-GRYCIOLGSA-N 0 1 281.356 0.647 20 30 CCEDMN CCN1CCN(CC(=O)NCc2nc(C#N)cs2)CC1 ZINC000887982087 615155346 /nfs/dbraw/zinc/15/53/46/615155346.db2.gz SRLMLQLXYGHVBU-UHFFFAOYSA-N 0 1 293.396 0.268 20 30 CCEDMN CCN1CCO[C@@]2(CCN(C(=O)c3cc(C#N)c[nH]3)C2)C1 ZINC000972321327 615179404 /nfs/dbraw/zinc/17/94/04/615179404.db2.gz VHSQSIPQKIRQKL-HNNXBMFYSA-N 0 1 288.351 0.823 20 30 CCEDMN CCOC(=O)N(C)CCCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000884249659 615223478 /nfs/dbraw/zinc/22/34/78/615223478.db2.gz PFEANPRONQQIHO-WDEREUQCSA-N 0 1 282.344 0.083 20 30 CCEDMN CCOC(=O)N1CCC(C(=O)NCC#CCN(C)C)CC1 ZINC000913515007 615224632 /nfs/dbraw/zinc/22/46/32/615224632.db2.gz DVTJUFGDGWYFEK-UHFFFAOYSA-N 0 1 295.383 0.536 20 30 CCEDMN CC[C@@H](C#N)C(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000846632216 615366012 /nfs/dbraw/zinc/36/60/12/615366012.db2.gz KHQNZIIJQDGQEA-GXSJLCMTSA-N 0 1 270.296 0.955 20 30 CCEDMN CCOc1ccccc1OCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000843460550 615518379 /nfs/dbraw/zinc/51/83/79/615518379.db2.gz HTQLLHRIXRAPSF-VXGBXAGGSA-N 0 1 289.335 0.692 20 30 CCEDMN CC[C@H](C(=O)NCC#CCN(C)C)N1CCCC1=O ZINC000913519041 615605727 /nfs/dbraw/zinc/60/57/27/615605727.db2.gz KSYBZEPEUDROGD-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN CCc1cnc(NC(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000841130993 615709081 /nfs/dbraw/zinc/70/90/81/615709081.db2.gz VDKPTAOIOAGHCH-APPZFPTMSA-N 0 1 265.342 0.939 20 30 CCEDMN CCc1nc(C#N)cc(N[C@H](C)[C@H]2C[N@@H+](C)CCN2C)n1 ZINC000895245250 615724526 /nfs/dbraw/zinc/72/45/26/615724526.db2.gz DZSUFVSQDSSJJE-DGCLKSJQSA-N 0 1 288.399 0.957 20 30 CCEDMN CCc1nc(C)c(C(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000834494084 615734150 /nfs/dbraw/zinc/73/41/50/615734150.db2.gz JYWSQLORMZKWLR-RKDXNWHRSA-N 0 1 264.354 0.855 20 30 CCEDMN CCc1nc(C)c(CC(=O)N[C@H]2CNC[C@H]2C#N)c(C)n1 ZINC000884249761 615736130 /nfs/dbraw/zinc/73/61/30/615736130.db2.gz QXDDGKGLQZBXDI-YPMHNXCESA-N 0 1 287.367 0.426 20 30 CCEDMN CCc1nc(COC(=O)c2cc(C#N)ccn2)n[nH]1 ZINC000803849523 615749574 /nfs/dbraw/zinc/74/95/74/615749574.db2.gz KBIIYKNHNBCINV-UHFFFAOYSA-N 0 1 257.253 0.991 20 30 CCEDMN CN(C)CC#CCNC(=O)CN1C(=O)CCc2ccccc21 ZINC000913518756 615857764 /nfs/dbraw/zinc/85/77/64/615857764.db2.gz ZRFYFDMHLPSRKT-UHFFFAOYSA-N 0 1 299.374 0.647 20 30 CCEDMN CN(C)CC#CCNC(=O)CCc1nc(C(C)(C)C)no1 ZINC000913517195 615857933 /nfs/dbraw/zinc/85/79/33/615857933.db2.gz WVTKFBVGKKUNFR-UHFFFAOYSA-N 0 1 292.383 0.981 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000913514475 615858264 /nfs/dbraw/zinc/85/82/64/615858264.db2.gz RDLGERIMDGDKLF-UHFFFAOYSA-N 0 1 275.308 0.819 20 30 CCEDMN CN(C)CC#CCNC(=O)CN1CCc2ccccc2C1=O ZINC000913522080 615858389 /nfs/dbraw/zinc/85/83/89/615858389.db2.gz YTQYEOOIRJEJJW-UHFFFAOYSA-N 0 1 299.374 0.366 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)OC(C)(C)C ZINC000913517579 615858488 /nfs/dbraw/zinc/85/84/88/615858488.db2.gz HDPHGHSAURCNBS-UHFFFAOYSA-N 0 1 269.345 0.192 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CS[C@@]2(C)CCC(=O)N12 ZINC000913516283 615859562 /nfs/dbraw/zinc/85/95/62/615859562.db2.gz JMZYYXJBPCVQER-FZMZJTMJSA-N 0 1 295.408 0.122 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000913523846 615859587 /nfs/dbraw/zinc/85/95/87/615859587.db2.gz OPXMFBTYBHMPKM-MGPQQGTHSA-N 0 1 291.395 0.363 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC000913521737 615859788 /nfs/dbraw/zinc/85/97/88/615859788.db2.gz LANDNVKNPDJNQS-GFCCVEGCSA-N 0 1 274.368 0.206 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(F)c(C=O)c1 ZINC000913525061 615860572 /nfs/dbraw/zinc/86/05/72/615860572.db2.gz ITGILCBAUYECDV-UHFFFAOYSA-N 0 1 262.284 0.933 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnc2ccnn21 ZINC000913524883 615861321 /nfs/dbraw/zinc/86/13/21/615861321.db2.gz AKJWDFUHXXDDRA-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN C[NH+](C)CC#CCNC(=O)c1ccc2n[n-]c(=S)n2c1 ZINC000913521487 615861571 /nfs/dbraw/zinc/86/15/71/615861571.db2.gz AXPPWHUIRKLHOS-UHFFFAOYSA-N 0 1 289.364 0.313 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C)nc1-c1ccco1 ZINC000913524632 615862144 /nfs/dbraw/zinc/86/21/44/615862144.db2.gz VYKJPPPQPSUGIT-UHFFFAOYSA-N 0 1 286.335 0.975 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc2c(cnn2C)c1 ZINC000913519143 615862167 /nfs/dbraw/zinc/86/21/67/615862167.db2.gz PFTRFRQSTVHRAT-UHFFFAOYSA-N 0 1 271.324 0.263 20 30 CCEDMN CN(C)CC#CCNC(=O)c1sccc1-n1ccnn1 ZINC000913523226 615862464 /nfs/dbraw/zinc/86/24/64/615862464.db2.gz QTAFSCCUAXWEOK-UHFFFAOYSA-N 0 1 289.364 0.624 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896540032 615942059 /nfs/dbraw/zinc/94/20/59/615942059.db2.gz COWTWMYXXYFAGO-OUCADQQQSA-N 0 1 266.389 0.677 20 30 CCEDMN CCn1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(C2CC2)n1 ZINC000870940124 615959361 /nfs/dbraw/zinc/95/93/61/615959361.db2.gz GAMBEXWDBVGTSC-JQWIXIFHSA-N 0 1 273.340 0.622 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN1CC[C@H](O)[C@H](CO)C1 ZINC000877592028 616011659 /nfs/dbraw/zinc/01/16/59/616011659.db2.gz KJMAPLPAIUGENV-HOCLYGCPSA-N 0 1 289.379 0.799 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN[C@@H]1CCS(=O)(=O)C1 ZINC000865404695 616011795 /nfs/dbraw/zinc/01/17/95/616011795.db2.gz RTWZVSAMVNENAI-CYBMUJFWSA-N 0 1 293.392 0.901 20 30 CCEDMN CN(C)c1ncc(CN=Nc2nccn(C)c2=O)s1 ZINC000755754955 616035440 /nfs/dbraw/zinc/03/54/40/616035440.db2.gz HZEBYBFXUJKZMH-UHFFFAOYSA-N 0 1 278.341 0.749 20 30 CCEDMN CN(C)c1nc(=NN=Cc2cnn(C)c2N)cc[nH]1 ZINC000814855375 616037098 /nfs/dbraw/zinc/03/70/98/616037098.db2.gz MKQYNXCQSQPQKY-UHFFFAOYSA-N 0 1 260.305 0.304 20 30 CCEDMN CN(CCCOc1ccc(C#N)cc1)CCS(N)(=O)=O ZINC000880082848 616076267 /nfs/dbraw/zinc/07/62/67/616076267.db2.gz PZFVZYZUJBGTHD-UHFFFAOYSA-N 0 1 297.380 0.547 20 30 CCEDMN CN(CCN1CCNC1=O)C[C@@H](O)c1cccc(C#N)c1 ZINC000844371380 616087734 /nfs/dbraw/zinc/08/77/34/616087734.db2.gz NZPDFAYDDFQRCT-CQSZACIVSA-N 0 1 288.351 0.549 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)NCCNc1ncccc1C#N ZINC000853481642 616093279 /nfs/dbraw/zinc/09/32/79/616093279.db2.gz JEYZLDKNLGXRJJ-UHFFFAOYSA-N 0 1 299.338 0.930 20 30 CCEDMN CN(C[C@@H](O)c1cccc(C#N)c1)C[C@@H]1COCCO1 ZINC000844372156 616133187 /nfs/dbraw/zinc/13/31/87/616133187.db2.gz VRSHDCJJROMNOZ-HUUCEWRRSA-N 0 1 276.336 0.939 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@H]1CCN1C(=O)C#CC1CC1 ZINC000949140330 616211057 /nfs/dbraw/zinc/21/10/57/616211057.db2.gz ZONLGACTYZNYOG-KGLIPLIRSA-N 0 1 289.379 0.211 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@H]1CCCN(CC#N)C1 ZINC000896628913 616211197 /nfs/dbraw/zinc/21/11/97/616211197.db2.gz CFYHFCOCJHRSDI-OLZOCXBDSA-N 0 1 264.373 0.432 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1CN(CC#N)CC1(C)C ZINC000972858020 616212280 /nfs/dbraw/zinc/21/22/80/616212280.db2.gz SCSBETJURBGCJR-NWDGAFQWSA-N 0 1 264.373 0.431 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)Nc2ccc(C#N)cn2)C1 ZINC000889225081 616238329 /nfs/dbraw/zinc/23/83/29/616238329.db2.gz GWTFIPOPIPQZSC-GFCCVEGCSA-N 0 1 288.355 0.321 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)N1CCO[C@@](C)(C#N)C1 ZINC000896619656 616291627 /nfs/dbraw/zinc/29/16/27/616291627.db2.gz NRKZSRUCCGLANP-PWSUYJOCSA-N 0 1 252.318 0.015 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCC#Cc1ccccc1 ZINC000900058927 616317634 /nfs/dbraw/zinc/31/76/34/616317634.db2.gz XEWITLVUOUARIJ-HOCLYGCPSA-N 0 1 272.348 0.609 20 30 CCEDMN COC(=O)C1(C#N)CCN(C[C@@H](OC)[C@@H]2CCOC2)CC1 ZINC000852136967 616345344 /nfs/dbraw/zinc/34/53/44/616345344.db2.gz QWHIHHVJHKPZSK-CHWSQXEVSA-N 0 1 296.367 0.817 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3ccc(C#N)cn3)[C@@H]2C1 ZINC000907196243 616391247 /nfs/dbraw/zinc/39/12/47/616391247.db2.gz GHWDSLAFJQHPRD-VXGBXAGGSA-N 0 1 292.364 0.278 20 30 CCEDMN CN1CC[C@H]1CNC(=O)N[C@H](CC#N)C(F)(F)F ZINC000932890003 616428621 /nfs/dbraw/zinc/42/86/21/616428621.db2.gz XBTOOEWFZPHMQO-JGVFFNPUSA-N 0 1 264.251 0.834 20 30 CCEDMN COC(=O)C1=NO[C@]2(CCN(CCO[C@H](C)C#N)C2)C1 ZINC000879490697 616439959 /nfs/dbraw/zinc/43/99/59/616439959.db2.gz UUVGSNYLZVTWFG-ZWNOBZJWSA-N 0 1 281.312 0.309 20 30 CCEDMN COC(=O)C1CCC(C(=O)NCC#CCN(C)C)CC1 ZINC000913520211 616461566 /nfs/dbraw/zinc/46/15/66/616461566.db2.gz IIDKYIAWKOOSSE-UHFFFAOYSA-N 0 1 280.368 0.647 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000911289308 616479704 /nfs/dbraw/zinc/47/97/04/616479704.db2.gz XHVZHZBLYDPQHZ-WGBDABJCSA-N 0 1 251.330 0.250 20 30 CCEDMN CO[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC000850760121 616699968 /nfs/dbraw/zinc/69/99/68/616699968.db2.gz VXBQTBSJRXFRQZ-JMJZKYOTSA-N 0 1 250.298 0.789 20 30 CCEDMN COCCn1cc(C=NNc2nnc(C)n2C)cn1 ZINC000853433102 616732408 /nfs/dbraw/zinc/73/24/08/616732408.db2.gz WQOIDPJQMKPKJL-UHFFFAOYSA-N 0 1 263.305 0.412 20 30 CCEDMN CO[C@@H]1CN(C)[C@](C)(COC(=O)CSCC#N)C1 ZINC000861340544 616771156 /nfs/dbraw/zinc/77/11/56/616771156.db2.gz JMTVIBQTNKBDNP-JQWIXIFHSA-N 0 1 272.370 0.896 20 30 CCEDMN CNC(=O)C(C#N)C(=O)[C@H]1CC[C@H](C(=O)OC)CC1 ZINC000797943786 616777172 /nfs/dbraw/zinc/77/71/72/616777172.db2.gz WTXMGBRBOHVTNR-GUBZILKMSA-N 0 1 266.297 0.421 20 30 CCEDMN COCCC1(C(=O)N[C@@H]2CNC[C@H]2C#N)CCCC1 ZINC000834479476 616840210 /nfs/dbraw/zinc/84/02/10/616840210.db2.gz DSVMSZNGWQTNCB-VXGBXAGGSA-N 0 1 265.357 0.811 20 30 CCEDMN COC[C@]1(CO)CCN(Cc2cncc(C#N)c2)C1 ZINC000930658647 616876352 /nfs/dbraw/zinc/87/63/52/616876352.db2.gz RAPPMFCSPNOAMS-CQSZACIVSA-N 0 1 261.325 0.784 20 30 CCEDMN COCCCNC(=S)NN=C1C[N@H+]2C[C@@H]1CCC2 ZINC000863067619 616905156 /nfs/dbraw/zinc/90/51/56/616905156.db2.gz FCUIFJPMAXUJAA-JTQLQIEISA-N 0 1 270.402 0.569 20 30 CCEDMN COCCCNC(=S)NN=C1CN2C[C@@H]1CCC2 ZINC000863067619 616905160 /nfs/dbraw/zinc/90/51/60/616905160.db2.gz FCUIFJPMAXUJAA-JTQLQIEISA-N 0 1 270.402 0.569 20 30 CCEDMN COC(=O)Nc1ccccc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000843460972 616936752 /nfs/dbraw/zinc/93/67/52/616936752.db2.gz JGQGXQYIIOZSBT-BXKDBHETSA-N 0 1 288.307 0.706 20 30 CCEDMN COC(=O)[C@@H](C)NC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000876598887 616947247 /nfs/dbraw/zinc/94/72/47/616947247.db2.gz SXGKRVSBPWEDPW-NOZJJQNGSA-N 0 1 287.319 0.423 20 30 CCEDMN CO[C@H](C(=O)N[C@H]1CNC[C@@H]1C#N)C1CCCC1 ZINC000852827347 616987478 /nfs/dbraw/zinc/98/74/78/616987478.db2.gz GZSBBVHJKVSTAH-SRVKXCTJSA-N 0 1 251.330 0.419 20 30 CCEDMN COC(=O)c1csc(NC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000841145028 617020186 /nfs/dbraw/zinc/02/01/86/617020186.db2.gz HJCBCDNFPNFTNO-BDAKNGLRSA-N 0 1 294.336 0.768 20 30 CCEDMN Cn1cc(CN[C@H]2C[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)nn1 ZINC001020085294 625287544 /nfs/dbraw/zinc/28/75/44/625287544.db2.gz MUZIDKDMYUOKIJ-XYPYZODXSA-N 0 1 299.338 0.065 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CC[C@H](C)O1 ZINC001026006272 625320154 /nfs/dbraw/zinc/32/01/54/625320154.db2.gz RTKKJQWDLIHRPA-GGAZOKNXSA-N 0 1 262.353 0.624 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@@]12CCOC2 ZINC001026137363 625341098 /nfs/dbraw/zinc/34/10/98/625341098.db2.gz ZEEKMCNCSVKKSQ-GGAZOKNXSA-N 0 1 260.337 0.093 20 30 CCEDMN C[C@@H](c1ccccc1)[C@H](NC[C@H](O)CC#N)C(N)=O ZINC000930541465 625355233 /nfs/dbraw/zinc/35/52/33/625355233.db2.gz NETHKQILOJZBGB-UHTWSYAYSA-N 0 1 261.325 0.508 20 30 CCEDMN C#CC[NH2+][C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@H]12 ZINC001026248114 625372940 /nfs/dbraw/zinc/37/29/40/625372940.db2.gz LGLNYLJWWNHRSH-FRRDWIJNSA-N 0 1 285.347 0.861 20 30 CCEDMN C[C@H](C(=O)Nc1cc(C#N)ccc1O)S(C)(=O)=O ZINC000176823037 623083380 /nfs/dbraw/zinc/08/33/80/623083380.db2.gz MWDYKRCDXFALJB-SSDOTTSWSA-N 0 1 268.294 0.635 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)[C@H](C(=O)OC)C2)CC1 ZINC000280012955 623166614 /nfs/dbraw/zinc/16/66/14/623166614.db2.gz SVCMYNXKHNUHAM-TZMCWYRMSA-N 0 1 292.379 0.599 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@H](CNCc2ncccn2)C1 ZINC001026835473 625633122 /nfs/dbraw/zinc/63/31/22/625633122.db2.gz XXNJUPVHFJCPOC-CQSZACIVSA-N 0 1 284.363 0.828 20 30 CCEDMN C#CCC[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1C[NH+](C)CCO1 ZINC001024376951 623302104 /nfs/dbraw/zinc/30/21/04/623302104.db2.gz FXXZKQVPKNLLMH-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1COCCN1C ZINC001024403283 623312236 /nfs/dbraw/zinc/31/22/36/623312236.db2.gz YTXSATHBGNUXJC-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001024417256 623317792 /nfs/dbraw/zinc/31/77/92/623317792.db2.gz SDJRZANMXJDXHZ-LBPRGKRZSA-N 0 1 291.351 0.586 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1COCCN1CC ZINC001024517445 623347415 /nfs/dbraw/zinc/34/74/15/623347415.db2.gz WCHOOJXBRZHQIX-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001024599924 623390835 /nfs/dbraw/zinc/39/08/35/623390835.db2.gz RWTBIYBLHLXXMR-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1CC(=O)NC(=O)C1 ZINC001024705699 623419389 /nfs/dbraw/zinc/41/93/89/623419389.db2.gz JOOTZAXWKYHDMV-GFCCVEGCSA-N 0 1 293.367 0.196 20 30 CCEDMN CCn1nncc1CN[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001018631557 623699446 /nfs/dbraw/zinc/69/94/46/623699446.db2.gz GNSPRGFNSVMCNF-QWRGUYRKSA-N 0 1 276.344 0.148 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCC[C@@H]1OC ZINC001025823082 623889718 /nfs/dbraw/zinc/88/97/18/623889718.db2.gz OIQAUIRMSAGBJC-PDWCTOEPSA-N 0 1 262.353 0.481 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NC(=O)Cc2cnc[nH]2)[C@@H]1C ZINC001020538333 624505148 /nfs/dbraw/zinc/50/51/48/624505148.db2.gz DWYCZFAXDWSFLF-SCVCMEIPSA-N 0 1 289.339 0.217 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)NCc1nc(CSC)n[nH]1 ZINC000891088272 624717869 /nfs/dbraw/zinc/71/78/69/624717869.db2.gz ULVBBCADFJFEKW-VIFPVBQESA-N 0 1 295.368 0.211 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)NCc1nnc(CSC)[nH]1 ZINC000891088272 624717871 /nfs/dbraw/zinc/71/78/71/624717871.db2.gz ULVBBCADFJFEKW-VIFPVBQESA-N 0 1 295.368 0.211 20 30 CCEDMN CC(C)(C#N)CCCN1CCN2[C@@H](CNS2(=O)=O)C1 ZINC000933106438 624833189 /nfs/dbraw/zinc/83/31/89/624833189.db2.gz LGNCTZSFWOZQFE-NSHDSACASA-N 0 1 286.401 0.151 20 30 CCEDMN Cn1cnnc1CN[C@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001021984553 624858901 /nfs/dbraw/zinc/85/89/01/624858901.db2.gz XTOZSJZJDGXOKC-PHIMTYICSA-N 0 1 299.338 0.065 20 30 CCEDMN N#Cc1ccccc1OC[C@@H](O)CN1CC[C@@H]1CO ZINC000934010230 625001780 /nfs/dbraw/zinc/00/17/80/625001780.db2.gz BFNRNCZQPHGSIP-OLZOCXBDSA-N 0 1 262.309 0.365 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnn(C)c2)C1 ZINC001006698443 625811109 /nfs/dbraw/zinc/81/11/09/625811109.db2.gz MLCIALFJJYWXFM-CYBMUJFWSA-N 0 1 260.341 0.638 20 30 CCEDMN C=C(C)CN(C)[C@@H]1CCCN(C(=O)CS(C)(=O)=O)C1 ZINC001027317965 625824404 /nfs/dbraw/zinc/82/44/04/625824404.db2.gz GSFHDXHYXKDTKL-GFCCVEGCSA-N 0 1 288.413 0.530 20 30 CCEDMN CO[C@H](C)c1nsc(NC(=O)NCC#CCN(C)C)n1 ZINC000931473218 625843865 /nfs/dbraw/zinc/84/38/65/625843865.db2.gz ZHJGKLZWHNIPSL-SECBINFHSA-N 0 1 297.384 0.932 20 30 CCEDMN C[C@H](C(N)=O)N(C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027402006 625870303 /nfs/dbraw/zinc/87/03/03/625870303.db2.gz KPKVTTGIKLJASX-DGCLKSJQSA-N 0 1 277.368 0.196 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@@H](Nc2ncccn2)C1 ZINC000903024369 634527823 /nfs/dbraw/zinc/52/78/23/634527823.db2.gz UXVBNIYMUYNNDE-NWDGAFQWSA-N 0 1 261.329 0.891 20 30 CCEDMN C[C@H]1CN(S(=O)(=O)c2ccsc2C#N)[C@@H](C)CN1 ZINC000903490217 634587087 /nfs/dbraw/zinc/58/70/87/634587087.db2.gz NEOOTHRKWIKSKL-IUCAKERBSA-N 0 1 285.394 0.991 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]C2(C3CC[NH2+]CC3)CC2)o1 ZINC000903558026 634613354 /nfs/dbraw/zinc/61/33/54/634613354.db2.gz MROPDQFYIMWFJB-UHFFFAOYSA-N 0 1 295.364 0.962 20 30 CCEDMN N#Cc1ccc(CNC[C@@H](O)C2(O)CCOCC2)c(F)c1 ZINC000905093910 634801139 /nfs/dbraw/zinc/80/11/39/634801139.db2.gz NVRMIJJQFBSJQO-CQSZACIVSA-N 0 1 294.326 0.689 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@H]2CNC(C)=O)C1=O ZINC001027710826 630865441 /nfs/dbraw/zinc/86/54/41/630865441.db2.gz BTXPQGZWFWYVRL-CHWSQXEVSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@@H]1COCCO1 ZINC001027758303 630897393 /nfs/dbraw/zinc/89/73/93/630897393.db2.gz VHOZDJQHVLAERZ-RYUDHWBXSA-N 0 1 254.330 0.168 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnn(C)c1C ZINC001027756972 630897685 /nfs/dbraw/zinc/89/76/85/630897685.db2.gz AOYGXFQBMAPWAB-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnn(CC)c1 ZINC001027799175 630929753 /nfs/dbraw/zinc/92/97/53/630929753.db2.gz XJBOQNRDZFJGBH-ZDUSSCGKSA-N 0 1 260.341 0.730 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC000968231468 650009184 /nfs/dbraw/zinc/00/91/84/650009184.db2.gz HYCVBYOKDJXBMD-UWVGGRQHSA-N 0 1 277.328 0.089 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CCO1 ZINC000894954577 631886694 /nfs/dbraw/zinc/88/66/94/631886694.db2.gz MRYDNNLPPIJRNV-QMTHXVAHSA-N 0 1 289.339 0.591 20 30 CCEDMN Cc1cc(N[C@H](C)[C@@H]2C[N@@H+](C)CCN2C)c(C#N)cn1 ZINC000895249834 632033347 /nfs/dbraw/zinc/03/33/47/632033347.db2.gz WFGNFMMRKCOEPI-DOMZBBRYSA-N 0 1 273.384 0.730 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)cn1)[C@@H]1CN(C)CC[N@@H+]1C ZINC000895246999 632038500 /nfs/dbraw/zinc/03/85/00/632038500.db2.gz FUCGLADQYLTQSO-YPMHNXCESA-N 0 1 259.357 0.999 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)cn1)[C@@H]1CN(C)CCN1C ZINC000895246999 632038510 /nfs/dbraw/zinc/03/85/10/632038510.db2.gz FUCGLADQYLTQSO-YPMHNXCESA-N 0 1 259.357 0.999 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2nccnc2N)C1 ZINC001006947269 650058448 /nfs/dbraw/zinc/05/84/48/650058448.db2.gz XIKGPSSLCPCLJC-LLVKDONJSA-N 0 1 273.340 0.276 20 30 CCEDMN C[C@H](NC(=O)c1cccc(C#N)n1)[C@H]1CN(C)CCN1C ZINC000896542074 632273869 /nfs/dbraw/zinc/27/38/69/632273869.db2.gz NQUJVMMALASNQT-SMDDNHRTSA-N 0 1 287.367 0.317 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896541330 632274253 /nfs/dbraw/zinc/27/42/53/632274253.db2.gz JGFPXBZRBAFBSP-HZMBPMFUSA-N 0 1 290.367 0.824 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CCCN(CCO)C2)[nH]1 ZINC001007153653 650068175 /nfs/dbraw/zinc/06/81/75/650068175.db2.gz XKHDFJKQFAHLPS-NSHDSACASA-N 0 1 262.313 0.073 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ncn(C)n2)C1 ZINC001007259543 650071918 /nfs/dbraw/zinc/07/19/18/650071918.db2.gz FDZUFEHHKFPDOD-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)c2cncc3nc[nH]c32)C1 ZINC001007382421 650076756 /nfs/dbraw/zinc/07/67/56/650076756.db2.gz NCZMVUKVKNUYJK-JTQLQIEISA-N 0 1 284.323 0.676 20 30 CCEDMN C=C(Cl)CN(C)C1CCN(C(=O)C(N)=O)CC1 ZINC000985617346 632595838 /nfs/dbraw/zinc/59/58/38/632595838.db2.gz HVOPMJAGVSDCIR-UHFFFAOYSA-N 0 1 259.737 0.147 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001007525725 650081878 /nfs/dbraw/zinc/08/18/78/650081878.db2.gz XRXSEDIICDWVKF-MJBXVCDLSA-N 0 1 280.368 0.394 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N[C@@H](CC#N)C(F)(F)F ZINC000928812133 632615956 /nfs/dbraw/zinc/61/59/56/632615956.db2.gz NFVDCCQVGZXPJE-UWVGGRQHSA-N 0 1 293.289 0.915 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001007549461 650083369 /nfs/dbraw/zinc/08/33/69/650083369.db2.gz ZBSRDIPHBMPWSX-LBPRGKRZSA-N 0 1 274.324 0.400 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001007670178 650087797 /nfs/dbraw/zinc/08/77/97/650087797.db2.gz QBZUAIZDRJDJQT-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnn(C)c2N)[C@H]1C ZINC000986180260 632726717 /nfs/dbraw/zinc/72/67/17/632726717.db2.gz PBYDGYLUKCIRHO-GXSJLCMTSA-N 0 1 297.790 0.947 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(=O)n(C)o2)[C@@H]1C ZINC000986214572 632730095 /nfs/dbraw/zinc/73/00/95/632730095.db2.gz FQXOMUFIRDLMHQ-ZJUUUORDSA-N 0 1 299.758 0.923 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnnn2C)[C@@H]1C ZINC000986241431 632735106 /nfs/dbraw/zinc/73/51/06/632735106.db2.gz IXAMCKHKCZQWIX-NXEZZACHSA-N 0 1 283.763 0.760 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001007879223 650096204 /nfs/dbraw/zinc/09/62/04/650096204.db2.gz YKSGMOJKGHYDSZ-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCn2cncn2)C1 ZINC000988147711 632954193 /nfs/dbraw/zinc/95/41/93/632954193.db2.gz RTLOPSAOTDHFSE-VXGBXAGGSA-N 0 1 297.790 1.000 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001008164972 650103733 /nfs/dbraw/zinc/10/37/33/650103733.db2.gz VRFZFOQGZWSYJZ-RYUDHWBXSA-N 0 1 280.372 0.167 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cn(C)nc2OC)C1 ZINC001008165477 650103864 /nfs/dbraw/zinc/10/38/64/650103864.db2.gz XAUDWWZKOTXMFN-GFCCVEGCSA-N 0 1 290.367 0.646 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cnnn2C)C1 ZINC000988762471 633104736 /nfs/dbraw/zinc/10/47/36/633104736.db2.gz FZQKHXZIHHBCTL-UWVGGRQHSA-N 0 1 283.763 0.760 20 30 CCEDMN N#CCC[C@H](C#N)CN[C@H](CO)C[C@H]1CCCO1 ZINC000905474068 634864717 /nfs/dbraw/zinc/86/47/17/634864717.db2.gz OFNNACGRFVJKND-FRRDWIJNSA-N 0 1 251.330 0.950 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCCC(=O)N2C)CC1 ZINC000989582789 633333170 /nfs/dbraw/zinc/33/31/70/633333170.db2.gz RGMGDEACONIMLK-CYBMUJFWSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCN1CCCN(C(=O)CCc2cn(C)nn2)CC1 ZINC000989802108 633354438 /nfs/dbraw/zinc/35/44/38/633354438.db2.gz FKFJWPPGPXOIMJ-UHFFFAOYSA-N 0 1 277.372 0.468 20 30 CCEDMN C#CC[N@H+]1CC[C@]2(NC(=O)[C@H]3COCCO3)CCC[C@@H]12 ZINC000989964288 633393460 /nfs/dbraw/zinc/39/34/60/633393460.db2.gz YIKXWSHYTXWPSQ-UMVBOHGHSA-N 0 1 278.352 0.148 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3COCCO3)CCC[C@@H]12 ZINC000989964288 633393465 /nfs/dbraw/zinc/39/34/65/633393465.db2.gz YIKXWSHYTXWPSQ-UMVBOHGHSA-N 0 1 278.352 0.148 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC001014514528 633467035 /nfs/dbraw/zinc/46/70/35/633467035.db2.gz XAMYLBMUTYEBEO-CQSZACIVSA-N 0 1 274.368 0.659 20 30 CCEDMN C=C(C)CCN1CC[C@@H](NC(=O)C2CS(=O)(=O)C2)C1 ZINC001014538409 633523546 /nfs/dbraw/zinc/52/35/46/633523546.db2.gz DVQHPABPMOAVGH-GFCCVEGCSA-N 0 1 286.397 0.188 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@]2(C)CCNC2=O)C1 ZINC001008515365 650117859 /nfs/dbraw/zinc/11/78/59/650117859.db2.gz DRNXRZLDRYYBIM-IUODEOHRSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2nc(C)c[nH]2)C1 ZINC001008812091 650127864 /nfs/dbraw/zinc/12/78/64/650127864.db2.gz WMPAUKNWHNELOD-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001008840085 650129281 /nfs/dbraw/zinc/12/92/81/650129281.db2.gz LMHKEMBTIMDVNS-NEPJUHHUSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnn(C)n2)C1 ZINC001008851546 650129461 /nfs/dbraw/zinc/12/94/61/650129461.db2.gz XFTCJLMKRXMBFG-NSHDSACASA-N 0 1 261.329 0.033 20 30 CCEDMN N#CCN1CCN(C(=O)c2c[nH]cc3ncnc2-3)CC1 ZINC000900776814 634085635 /nfs/dbraw/zinc/08/56/35/634085635.db2.gz LZMUQCJYEDWJLR-UHFFFAOYSA-N 0 1 270.296 0.239 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001008995719 650135312 /nfs/dbraw/zinc/13/53/12/650135312.db2.gz SBLZJMRELBUSBG-LLVKDONJSA-N 0 1 276.340 0.494 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cn2c(C)csc2=O)C1 ZINC001009473867 650145978 /nfs/dbraw/zinc/14/59/78/650145978.db2.gz BTWBSISBTSMPQD-LBPRGKRZSA-N 0 1 295.408 0.985 20 30 CCEDMN Cc1cc(C(=O)N2CC(NC(=O)c3c[nH]c(C#N)c3)C2)n[nH]1 ZINC000990921283 634287926 /nfs/dbraw/zinc/28/79/26/634287926.db2.gz URWGNZBGEKWDPB-UHFFFAOYSA-N 0 1 298.306 0.172 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cccnc2C(N)=O)C1 ZINC001009607400 650151493 /nfs/dbraw/zinc/15/14/93/650151493.db2.gz COWRXZIZXAELAW-LLVKDONJSA-N 0 1 288.351 0.561 20 30 CCEDMN CC(C)CN(CCC#N)C[C@H](O)C[C@@]1(O)CCOC1 ZINC000905882383 634924517 /nfs/dbraw/zinc/92/45/17/634924517.db2.gz GTZMWUHVXPFIJD-KGLIPLIRSA-N 0 1 270.373 0.760 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2[nH]cnc2C)C1 ZINC001009765588 650159295 /nfs/dbraw/zinc/15/92/95/650159295.db2.gz FSBDSKQAAXTKQK-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1ccc(C#N)cn1 ZINC000907185712 635088601 /nfs/dbraw/zinc/08/86/01/635088601.db2.gz DGNSFJZWPHVIBP-VIFPVBQESA-N 0 1 268.342 0.182 20 30 CCEDMN O=C(NC1(c2nnc[nH]2)CCC1)c1cccc(C#CCO)c1 ZINC000907647882 635183087 /nfs/dbraw/zinc/18/30/87/635183087.db2.gz NBCLJDOFLIPDAX-UHFFFAOYSA-N 0 1 296.330 0.958 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1c1cccc(C#N)n1 ZINC000910457928 635774259 /nfs/dbraw/zinc/77/42/59/635774259.db2.gz YXPDONIXSQYQFM-NSHDSACASA-N 0 1 274.324 0.938 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010640360 650245982 /nfs/dbraw/zinc/24/59/82/650245982.db2.gz POCDONOMGAMIJN-JTQLQIEISA-N 0 1 262.313 0.251 20 30 CCEDMN Cc1nc(CN2CC[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)n[nH]1 ZINC001010697898 650254783 /nfs/dbraw/zinc/25/47/83/650254783.db2.gz MMWTUOQYLCCSLP-LLVKDONJSA-N 0 1 299.338 0.317 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC(NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000991368971 636493685 /nfs/dbraw/zinc/49/36/85/636493685.db2.gz BBICCSFHQWCILI-MRVPVSSYSA-N 0 1 298.306 0.058 20 30 CCEDMN N#Cc1cc(NCc2nnc([C@H]3CCOC3)[nH]2)ccn1 ZINC000912274893 636546228 /nfs/dbraw/zinc/54/62/28/636546228.db2.gz GQVZMXAJAGMGLP-VIFPVBQESA-N 0 1 270.296 0.609 20 30 CCEDMN N#Cc1cc(NCc2n[nH]c([C@H]3CCOC3)n2)ccn1 ZINC000912274893 636546232 /nfs/dbraw/zinc/54/62/32/636546232.db2.gz GQVZMXAJAGMGLP-VIFPVBQESA-N 0 1 270.296 0.609 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2nnc(C)o2)C1 ZINC001010877356 650276197 /nfs/dbraw/zinc/27/61/97/650276197.db2.gz OSHDWDMDYLXCIZ-SNVBAGLBSA-N 0 1 250.302 0.297 20 30 CCEDMN C[C@H](NC(=O)c1ccco1)C(=O)NCC#CCN(C)C ZINC000913514977 636833426 /nfs/dbraw/zinc/83/34/26/636833426.db2.gz CXUVSORKWLZARQ-NSHDSACASA-N 0 1 277.324 0.079 20 30 CCEDMN Cc1nc(C(=O)NCC#CCN(C)C)nn1-c1ccccc1 ZINC000913518145 636834832 /nfs/dbraw/zinc/83/48/32/636834832.db2.gz GCLNUACAPQBEBU-UHFFFAOYSA-N 0 1 297.362 0.871 20 30 CCEDMN Cc1c(C(=O)NCC#CCN(C)C)cnn1CCC#N ZINC000913517566 636835138 /nfs/dbraw/zinc/83/51/38/636835138.db2.gz GUWKOQKJFUWSGB-UHFFFAOYSA-N 0 1 273.340 0.400 20 30 CCEDMN C[C@@H](OC[C@H]1CCCO1)C(=O)NCC#CCN(C)C ZINC000913517620 636835145 /nfs/dbraw/zinc/83/51/45/636835145.db2.gz JDOINEAJTQKMOU-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN CO[C@H]1CCCC[C@H]1C(=O)NCC#CCN(C)C ZINC000913522669 636835956 /nfs/dbraw/zinc/83/59/56/636835956.db2.gz TYGFBCRLISECKB-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)c3cnn[nH]3)CCC[C@@H]12 ZINC000991565039 636904065 /nfs/dbraw/zinc/90/40/65/636904065.db2.gz HHPHOQBLRSNKIM-PWSUYJOCSA-N 0 1 260.301 0.055 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3[nH]nnc3C)CCC[C@@H]12 ZINC000992102358 637305329 /nfs/dbraw/zinc/30/53/29/637305329.db2.gz ZWYMCZBRTOYVEY-BXUZGUMPSA-N 0 1 273.340 0.473 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ncccn3)CCC[C@@H]12 ZINC000992352900 637372548 /nfs/dbraw/zinc/37/25/48/637372548.db2.gz UOGMTNVROGIKOF-IUODEOHRSA-N 0 1 270.336 0.837 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000914172108 637545750 /nfs/dbraw/zinc/54/57/50/637545750.db2.gz YBVREWSJSOVZCH-GHMZBOCLSA-N 0 1 290.371 0.846 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1C ZINC000993008141 637661913 /nfs/dbraw/zinc/66/19/13/637661913.db2.gz AEBDMYAXZOZFDR-VXGBXAGGSA-N 0 1 288.351 0.788 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccncc1Br ZINC000834480124 637681782 /nfs/dbraw/zinc/68/17/82/637681782.db2.gz LIZKDUXXVDMOTP-GMSGAONNSA-N 0 1 295.140 0.685 20 30 CCEDMN C[C@H](C#N)OC(=O)C1CC[C@H](C(F)(F)F)NC1=O ZINC000914673005 637699166 /nfs/dbraw/zinc/69/91/66/637699166.db2.gz FJNOIYMFTIIGNP-FSDSQADBSA-N 0 1 264.203 0.899 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@@H]1C ZINC000993281036 637763311 /nfs/dbraw/zinc/76/33/11/637763311.db2.gz NMGOYSFFNIZYPJ-QWRGUYRKSA-N 0 1 279.340 0.747 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cn[nH]c(=O)c2)[C@H]1C ZINC000993454523 637809709 /nfs/dbraw/zinc/80/97/09/637809709.db2.gz AFIBZOUFBIJASC-ZYHUDNBSSA-N 0 1 274.324 0.398 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2COCCN2C)C1 ZINC001007156195 637841382 /nfs/dbraw/zinc/84/13/82/637841382.db2.gz NEDHNQFOKGTBQV-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(=O)n(C)n2)[C@H]1C ZINC000994354747 637921097 /nfs/dbraw/zinc/92/10/97/637921097.db2.gz VLFGSSZKYBUJDE-NEPJUHHUSA-N 0 1 290.367 0.549 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001015772809 637995983 /nfs/dbraw/zinc/99/59/83/637995983.db2.gz DPWRGMLSJJZESC-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@H]1CN(C(=O)CN2CCCC2)C[C@H]1NCC#N ZINC000939753971 638401143 /nfs/dbraw/zinc/40/11/43/638401143.db2.gz KKFCKSHZRBAOOD-NWDGAFQWSA-N 0 1 250.346 0.042 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2CCN(CC#N)CC2(C)C)=NC1=O ZINC000941002739 638507638 /nfs/dbraw/zinc/50/76/38/638507638.db2.gz MCLYCFAKLNGGTQ-LLVKDONJSA-N 0 1 291.355 0.480 20 30 CCEDMN COC[C@@H](C)N1CC(N2CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000941348608 638528508 /nfs/dbraw/zinc/52/85/08/638528508.db2.gz QGYCZZJMRDNQQL-CHWSQXEVSA-N 0 1 294.399 0.009 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(N3CCOCC3)CCC2)C1 ZINC001015918390 638802726 /nfs/dbraw/zinc/80/27/26/638802726.db2.gz XQHCXIVJKXMQPJ-CQSZACIVSA-N 0 1 293.411 0.618 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1C[C@H](NCC#N)C(C)(C)C1 ZINC000995976178 638806343 /nfs/dbraw/zinc/80/63/43/638806343.db2.gz KRIOKGFKQCTMJZ-OLZOCXBDSA-N 0 1 278.400 0.821 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c(OC)cc[nH]c2=O)C1 ZINC001016007438 638876995 /nfs/dbraw/zinc/87/69/95/638876995.db2.gz VOVDVXRWNZIZKC-NSHDSACASA-N 0 1 289.335 0.623 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C(=O)NC)nc2)C1 ZINC001016010669 638877402 /nfs/dbraw/zinc/87/74/02/638877402.db2.gz CZQPBVKUZMVCJK-GFCCVEGCSA-N 0 1 288.351 0.431 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)COC)CC[C@@H]1C(F)(F)F ZINC000998212304 638898857 /nfs/dbraw/zinc/89/88/57/638898857.db2.gz TVZHUNCTTNBUQN-VHSXEESVSA-N 0 1 278.274 0.635 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC(NC(=O)CN2CCC(C)CC2)C1 ZINC000998354994 638911560 /nfs/dbraw/zinc/91/15/60/638911560.db2.gz OQCFCXUQSJWEPJ-LBPRGKRZSA-N 0 1 292.383 0.205 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2nnn(C(C)(C)C)n2)C1 ZINC001014498757 650456686 /nfs/dbraw/zinc/45/66/86/650456686.db2.gz ULSXVLIJLZJHGF-NSHDSACASA-N 0 1 290.371 0.256 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@H]2CCNC2=O)C1 ZINC000999339236 638974318 /nfs/dbraw/zinc/97/43/18/638974318.db2.gz FGDVTHYIIXGEGU-VXGBXAGGSA-N 0 1 299.802 0.846 20 30 CCEDMN CC#CCN1CC[C@@H](n2cc(CNC(=O)C3CC3)nn2)C1 ZINC000999951056 639025379 /nfs/dbraw/zinc/02/53/79/639025379.db2.gz OZFZRVVPYFFOAC-CQSZACIVSA-N 0 1 287.367 0.574 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@@H]2COCCO2)CC1 ZINC001000458917 639091022 /nfs/dbraw/zinc/09/10/22/639091022.db2.gz OEMCIVFLLRISGT-ZDUSSCGKSA-N 0 1 266.341 0.336 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001000462174 639091859 /nfs/dbraw/zinc/09/18/59/639091859.db2.gz XDLLWOMGZWGQOD-VXGBXAGGSA-N 0 1 299.802 0.988 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ncnc3[nH]ccc32)CC1 ZINC001000909545 639190264 /nfs/dbraw/zinc/19/02/64/639190264.db2.gz UGCPLJHBCLILQK-UHFFFAOYSA-N 0 1 295.346 0.905 20 30 CCEDMN C=CCn1cccc1C(=O)N[C@H]1CCN(CCO)C1 ZINC001014725446 650482191 /nfs/dbraw/zinc/48/21/91/650482191.db2.gz NXPCITCTNBJAOQ-LBPRGKRZSA-N 0 1 263.341 0.471 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(CNC(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001001798474 639340374 /nfs/dbraw/zinc/34/03/74/639340374.db2.gz DSIRJSCZVDXCDE-GHMZBOCLSA-N 0 1 295.339 0.438 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccc3nc[nH]c3n2)C1 ZINC001014893852 650500438 /nfs/dbraw/zinc/50/04/38/650500438.db2.gz LNZZQUDZYQCODM-LLVKDONJSA-N 0 1 283.335 0.785 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@@H]2CCC(=O)N2C)CC1 ZINC001003419139 639540858 /nfs/dbraw/zinc/54/08/58/639540858.db2.gz BOAREEPTJAHJNH-AWEZNQCLSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001014969422 650508720 /nfs/dbraw/zinc/50/87/20/650508720.db2.gz UVPYKMISAJUDCY-ZDUSSCGKSA-N 0 1 273.336 0.776 20 30 CCEDMN C=CCCN1CC[C@H](NC(=O)CC2CS(=O)(=O)C2)C1 ZINC001015009174 650514435 /nfs/dbraw/zinc/51/44/35/650514435.db2.gz NNHASGZITGFIFS-LBPRGKRZSA-N 0 1 286.397 0.188 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn3cc[nH]c23)CC1 ZINC001004045320 639669232 /nfs/dbraw/zinc/66/92/32/639669232.db2.gz OQGGDVLAEZVPGM-UHFFFAOYSA-N 0 1 271.324 0.490 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(CN(C)C)on2)C1 ZINC001015029045 650516700 /nfs/dbraw/zinc/51/67/00/650516700.db2.gz UKIKUYFPKJBEQT-GFCCVEGCSA-N 0 1 290.367 0.564 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001015078990 650526372 /nfs/dbraw/zinc/52/63/72/650526372.db2.gz VRNKDIAYNSYDIM-LLVKDONJSA-N 0 1 296.330 0.856 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000186957636 639869674 /nfs/dbraw/zinc/86/96/74/639869674.db2.gz OSQBKBWWTHVHLQ-ZDUSSCGKSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCCOC2)CC1 ZINC001005173784 639883802 /nfs/dbraw/zinc/88/38/02/639883802.db2.gz GUHRPABEDULWJZ-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001015156866 650533099 /nfs/dbraw/zinc/53/30/99/650533099.db2.gz VBZTZOPSEHOTLO-LSDHHAIUSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)C[C@H]2COC(=O)C2)CC1 ZINC001005252681 639893696 /nfs/dbraw/zinc/89/36/96/639893696.db2.gz SCASERHEPBQDQU-CYBMUJFWSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2nnc(C)[nH]2)CC1 ZINC001005366980 639904726 /nfs/dbraw/zinc/90/47/26/639904726.db2.gz NYFNCKJHQSLDNQ-UHFFFAOYSA-N 0 1 275.356 0.212 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CO[C@@H](C)C2)CC1 ZINC001005610130 639944681 /nfs/dbraw/zinc/94/46/81/639944681.db2.gz FUXNGEYBFCDRRJ-STQMWFEESA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@]2(C)CCNC2=O)CC1 ZINC001005988447 640057489 /nfs/dbraw/zinc/05/74/89/640057489.db2.gz VVNYSULDUAAUOD-INIZCTEOSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@H](C[N@@H+](C)C[C@H](O)COc1ccccc1C#N)C(=O)[O-] ZINC000923247503 640060453 /nfs/dbraw/zinc/06/04/53/640060453.db2.gz GNNDRCRRBQSGEH-YPMHNXCESA-N 0 1 292.335 0.950 20 30 CCEDMN C[C@H](C[N@@H+](C)C[C@H](O)COc1ccc(C#N)cc1)C(=O)[O-] ZINC000923250474 640060556 /nfs/dbraw/zinc/06/05/56/640060556.db2.gz ZGJLYYFEHYBPGX-YPMHNXCESA-N 0 1 292.335 0.950 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2C[C@@]23CCOC3)CC1 ZINC001006257694 640213609 /nfs/dbraw/zinc/21/36/09/640213609.db2.gz IAWBXEKYHMDWIS-GOEBONIOSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2coc(C(N)=O)c2)C1 ZINC001015389234 650561486 /nfs/dbraw/zinc/56/14/86/650561486.db2.gz XCFJRNYKIDMTSO-LLVKDONJSA-N 0 1 275.308 0.206 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001015405286 650563744 /nfs/dbraw/zinc/56/37/44/650563744.db2.gz VFUUDSNSQPAGCO-VXGBXAGGSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2ccc3c(c2)CCO3)C1 ZINC001015428159 650565975 /nfs/dbraw/zinc/56/59/75/650565975.db2.gz MOFVCLFNULWFES-OAHLLOKOSA-N 0 1 284.359 0.988 20 30 CCEDMN N#CC(C(=O)COC[C@H]1CCCO1)C(=O)NC1CCCC1 ZINC000113654064 650566316 /nfs/dbraw/zinc/56/63/16/650566316.db2.gz HDDLLHPBSHIJRY-OLZOCXBDSA-N 0 1 294.351 0.950 20 30 CCEDMN C[C@H](C#N)OCCN1CCN(CCO[C@@H](C)C#N)C[C@@H]1C ZINC000801931811 640676774 /nfs/dbraw/zinc/67/67/74/640676774.db2.gz AZFWAUUNGAIBGS-SOUVJXGZSA-N 0 1 294.399 0.850 20 30 CCEDMN COc1cccc2c1n[nH]c2C(=O)OCC(=O)NCC#N ZINC000808676260 640873566 /nfs/dbraw/zinc/87/35/66/640873566.db2.gz JNOPZZQMYVHMTA-UHFFFAOYSA-N 0 1 288.263 0.368 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2ccnc(OC)c2)C1 ZINC001015712611 650614415 /nfs/dbraw/zinc/61/44/15/650614415.db2.gz HWTUFYYGUAFUMK-CYBMUJFWSA-N 0 1 273.336 0.456 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000815519774 641114765 /nfs/dbraw/zinc/11/47/65/641114765.db2.gz HOQLIDUCXKTRIN-SECBINFHSA-N 0 1 265.317 0.702 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)N[C@H]3CCN(CC#N)C3)c2C1 ZINC001015926778 650658572 /nfs/dbraw/zinc/65/85/72/650658572.db2.gz XHBAOGMHHDIQKC-QWRGUYRKSA-N 0 1 287.367 0.862 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)N[C@@H]1CCN(O)C1=O ZINC000820148420 641473531 /nfs/dbraw/zinc/47/35/31/641473531.db2.gz FORGCGAFOVMCHW-MRVPVSSYSA-N 0 1 266.301 0.561 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001015987868 650669416 /nfs/dbraw/zinc/66/94/16/650669416.db2.gz OSYJPRVQDJHINV-WCQYABFASA-N 0 1 274.368 0.891 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccnc3nc(C)nn32)C1 ZINC001015987179 650669648 /nfs/dbraw/zinc/66/96/48/650669648.db2.gz ZFEKUHYODOEHOU-GFCCVEGCSA-N 0 1 298.350 0.260 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001016365496 650722218 /nfs/dbraw/zinc/72/22/18/650722218.db2.gz VKLDSFDLDLGXKP-LLVKDONJSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CC[C@@H]1CCCN(CC(=O)N[C@H](C)C(=O)N(C)C)C1 ZINC000829778423 642191153 /nfs/dbraw/zinc/19/11/53/642191153.db2.gz BXSLRQCDRJCTPI-CHWSQXEVSA-N 0 1 279.384 0.315 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001016442102 650732261 /nfs/dbraw/zinc/73/22/61/650732261.db2.gz BITVHSARNCZWCC-AAEUAGOBSA-N 0 1 262.357 0.900 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@@H]2COC(=O)N[C@H]2C1 ZINC000830907063 642300742 /nfs/dbraw/zinc/30/07/42/642300742.db2.gz XLEQXZNZMDZHMO-AXFHLTTASA-N 0 1 253.302 0.345 20 30 CCEDMN CC(C)(C#N)CNS(=O)(=O)N=S(C)(C)=O ZINC000867081328 642532172 /nfs/dbraw/zinc/53/21/72/642532172.db2.gz XOOCDTSXSSLTNZ-UHFFFAOYSA-N 0 1 253.349 0.098 20 30 CCEDMN Cc1onc(-c2ccccn2)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834480618 642573583 /nfs/dbraw/zinc/57/35/83/642573583.db2.gz SYQITXFCHZZJBM-CMPLNLGQSA-N 0 1 297.318 0.886 20 30 CCEDMN Cn1nc(CC(=O)N[C@H]2CNC[C@H]2C#N)c2ccccc21 ZINC000834484159 642574214 /nfs/dbraw/zinc/57/42/14/642574214.db2.gz FJWKNBIWTSNGDW-MFKMUULPSA-N 0 1 283.335 0.344 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccc(-n2cnnc2)cc1 ZINC000834484911 642576585 /nfs/dbraw/zinc/57/65/85/642576585.db2.gz PAFFHQYIHHLHKE-OCCSQVGLSA-N 0 1 296.334 0.038 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CSCc1ccccn1 ZINC000834488834 642577815 /nfs/dbraw/zinc/57/78/15/642577815.db2.gz HBMKGLWXWAHJSR-PWSUYJOCSA-N 0 1 276.365 0.543 20 30 CCEDMN Cn1nc(C(C)(C)C)cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834490905 642581049 /nfs/dbraw/zinc/58/10/49/642581049.db2.gz YHUNYPRDDLYCAU-NXEZZACHSA-N 0 1 275.356 0.559 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CNC(=O)CCC1CCCC1 ZINC000834494925 642585559 /nfs/dbraw/zinc/58/55/59/642585559.db2.gz QYQRLCVKRWYRLU-QWHCGFSZSA-N 0 1 292.383 0.301 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cn1ccc(=O)c2ccccc21 ZINC000834495284 642585585 /nfs/dbraw/zinc/58/55/85/642585585.db2.gz TXCOUDHEFYSGAY-AAEUAGOBSA-N 0 1 296.330 0.229 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1coc(C2CCOCC2)n1 ZINC000834500555 642589402 /nfs/dbraw/zinc/58/94/02/642589402.db2.gz UNUAECBTAXBJEG-WDEREUQCSA-N 0 1 290.323 0.410 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(-n2ccnc2)ccn1 ZINC000834500567 642589445 /nfs/dbraw/zinc/58/94/45/642589445.db2.gz URDOZKCCJXILJD-ZWNOBZJWSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1OCCc2ccccc21 ZINC000834504364 642589832 /nfs/dbraw/zinc/58/98/32/642589832.db2.gz ALNHBQRSKLOOHW-KWCYVHTRSA-N 0 1 271.320 0.528 20 30 CCEDMN Cc1csc(CCC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000834500637 642590254 /nfs/dbraw/zinc/59/02/54/642590254.db2.gz VMAFTPADGSMHRD-UWVGGRQHSA-N 0 1 264.354 0.612 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(NC(=O)C2CC2)cc1 ZINC000834505563 642591606 /nfs/dbraw/zinc/59/16/06/642591606.db2.gz MGPKEHLKEIFDMN-GXTWGEPZSA-N 0 1 298.346 0.876 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000834505380 642591991 /nfs/dbraw/zinc/59/19/91/642591991.db2.gz KFQXYGDUUDQYPL-SCZZXKLOSA-N 0 1 275.268 0.018 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(=O)[nH]c2ccccc21 ZINC000834512278 642596599 /nfs/dbraw/zinc/59/65/99/642596599.db2.gz UKWDQHYXTDPTNQ-ZANVPECISA-N 0 1 282.303 0.782 20 30 CCEDMN CC(=O)Nc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834512009 642597783 /nfs/dbraw/zinc/59/77/83/642597783.db2.gz QXEHYJGOMWVPPG-DGCLKSJQSA-N 0 1 272.308 0.486 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1cnn(-c2ccccc2)c1 ZINC000834515911 642598213 /nfs/dbraw/zinc/59/82/13/642598213.db2.gz DEZIYNVKLBDUEW-UKRRQHHQSA-N 0 1 295.346 0.643 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCc1ccccc1O ZINC000834512605 642599073 /nfs/dbraw/zinc/59/90/73/642599073.db2.gz ZEVQGAKPZUQCOW-RYUDHWBXSA-N 0 1 259.309 0.553 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000834517826 642601880 /nfs/dbraw/zinc/60/18/80/642601880.db2.gz ZOUCRRDTZLYEOS-YRGRVCCFSA-N 0 1 298.346 0.267 20 30 CCEDMN Cc1cc(C)nc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000841189741 642806599 /nfs/dbraw/zinc/80/65/99/642806599.db2.gz JTLJPDYJJKKDAS-QWRGUYRKSA-N 0 1 259.313 0.932 20 30 CCEDMN C#CC[C@H]1CCCN(CN2C[C@H](C(=O)OC)CC2=O)C1 ZINC000842628748 642920311 /nfs/dbraw/zinc/92/03/11/642920311.db2.gz FNMULJYWIBSLMD-QWHCGFSZSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCOC1 ZINC001017402479 650799796 /nfs/dbraw/zinc/79/97/96/650799796.db2.gz WUIOTWFTCQFBAT-MELADBBJSA-N 0 1 262.353 0.721 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CN1CCOCC1 ZINC001017482005 650804752 /nfs/dbraw/zinc/80/47/52/650804752.db2.gz DRVLNLWLDHKFGR-GASCZTMLSA-N 0 1 293.411 0.570 20 30 CCEDMN O=[N+]([O-])c1cccc([C@@H](O)CNCC#CCO)c1 ZINC000843080449 642988808 /nfs/dbraw/zinc/98/88/08/642988808.db2.gz VVNRHVFCLYSBDR-LBPRGKRZSA-N 0 1 250.254 0.214 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCC(=O)NC1CCCC1 ZINC000843458921 643062807 /nfs/dbraw/zinc/06/28/07/643062807.db2.gz ABWAAZAKMUYJMC-ZYHUDNBSSA-N 0 1 278.356 0.053 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H](O)C1CCCCC1 ZINC000843460009 643065200 /nfs/dbraw/zinc/06/52/00/643065200.db2.gz KOTMZADZDUEUIN-WOPDTQHZSA-N 0 1 251.330 0.155 20 30 CCEDMN C[C@@H]1CCn2ncc(C(=O)N[C@@H]3CNC[C@@H]3C#N)c2C1 ZINC000843460056 643065456 /nfs/dbraw/zinc/06/54/56/643065456.db2.gz LEOLIYCXVLEGKS-JFGNBEQYSA-N 0 1 273.340 0.307 20 30 CCEDMN Cc1ccc(NC(=O)C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000843462310 643066680 /nfs/dbraw/zinc/06/66/80/643066680.db2.gz PKELCPPKEMDNDE-CMPLNLGQSA-N 0 1 272.308 0.161 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1c(F)cc(F)cc1F ZINC000843463998 643068374 /nfs/dbraw/zinc/06/83/74/643068374.db2.gz YSFXLPBKWCEFDJ-WKEGUHRASA-N 0 1 269.226 0.945 20 30 CCEDMN N#Cc1ccc(CC(=O)COC(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000845174438 643287096 /nfs/dbraw/zinc/28/70/96/643287096.db2.gz IMNHXRIIXCMZDB-CHWSQXEVSA-N 0 1 288.259 0.878 20 30 CCEDMN N#Cc1ccccc1/C=C/C(=O)N1CC(N2CC[C@@H](O)C2)C1 ZINC000846511849 643412785 /nfs/dbraw/zinc/41/27/85/643412785.db2.gz ANYFUWANOGHTRI-SQRWCISFSA-N 0 1 297.358 0.849 20 30 CCEDMN C#C[C@@](C)(CC)NCC(=O)N(C)CC(=O)NCCC ZINC000852098428 643927198 /nfs/dbraw/zinc/92/71/98/643927198.db2.gz MRWKDCXXLUXKHV-AWEZNQCLSA-N 0 1 267.373 0.363 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc(Cn2ccnn2)c1 ZINC000852827794 644013389 /nfs/dbraw/zinc/01/33/89/644013389.db2.gz PRJTZJQNCMVXLI-UONOGXRCSA-N 0 1 296.334 0.168 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc(Cn2ccnn2)c1 ZINC000852827793 644013704 /nfs/dbraw/zinc/01/37/04/644013704.db2.gz PRJTZJQNCMVXLI-KGLIPLIRSA-N 0 1 296.334 0.168 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC001018622594 650885495 /nfs/dbraw/zinc/88/54/95/650885495.db2.gz TXFULAHCGJJECY-VHSXEESVSA-N 0 1 271.748 0.208 20 30 CCEDMN COc1nccc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001018631461 650886644 /nfs/dbraw/zinc/88/66/44/650886644.db2.gz FXNKATVCBUEUIL-JQWIXIFHSA-N 0 1 289.339 0.335 20 30 CCEDMN COc1cncc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001018631753 650887305 /nfs/dbraw/zinc/88/73/05/650887305.db2.gz JVUSRWOTCRGDCP-MNOVXSKESA-N 0 1 289.339 0.335 20 30 CCEDMN N#CCCNC(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000854027617 644171453 /nfs/dbraw/zinc/17/14/53/644171453.db2.gz NAXBQSXSUOOMLJ-CYBMUJFWSA-N 0 1 280.372 0.796 20 30 CCEDMN N#Cc1ccc(CNC(=O)[C@@H]2CCCc3n[nH]nc32)nc1 ZINC000854474695 644210524 /nfs/dbraw/zinc/21/05/24/644210524.db2.gz GIMJHPUJQNUBLW-LLVKDONJSA-N 0 1 282.307 0.808 20 30 CCEDMN C#CCCN(CCOC)C(=O)c1n[nH]c(CC)c1[N+](=O)[O-] ZINC000856387764 644414653 /nfs/dbraw/zinc/41/46/53/644414653.db2.gz VLQAMKOPRALXOE-UHFFFAOYSA-N 0 1 294.311 0.992 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@H]2CC[C@@H](C1)S2 ZINC000859540531 644708569 /nfs/dbraw/zinc/70/85/69/644708569.db2.gz XZLFTXIRFMIPHD-MNOVXSKESA-N 0 1 253.371 0.986 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2coc(C(N)=O)c2)C1 ZINC001019188365 650945218 /nfs/dbraw/zinc/94/52/18/650945218.db2.gz MTCIPDBALTZWTL-JTQLQIEISA-N 0 1 297.742 0.935 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001019393674 650968584 /nfs/dbraw/zinc/96/85/84/650968584.db2.gz MUXXUDQOMXKFGV-VIFPVBQESA-N 0 1 296.758 0.670 20 30 CCEDMN C[C@H]1C[N@H+](Cc2ccccc2)CCC1N=NC1=NCC(=O)[N-]1 ZINC000863174762 645065737 /nfs/dbraw/zinc/06/57/37/645065737.db2.gz BKLAIXRLZXBBRH-LBPRGKRZSA-N 0 1 299.378 0.960 20 30 CCEDMN C[C@H]1C[N@@H+](Cc2ccccc2)CCC1N=NC1=NCC(=O)[N-]1 ZINC000863174762 645065745 /nfs/dbraw/zinc/06/57/45/645065745.db2.gz BKLAIXRLZXBBRH-LBPRGKRZSA-N 0 1 299.378 0.960 20 30 CCEDMN C[C@H]1CCN(Cc2ccccc2)CC1N=NC1=NCC(=O)N1 ZINC000863175682 645066954 /nfs/dbraw/zinc/06/69/54/645066954.db2.gz OKEOIUNOIASMHM-LBPRGKRZSA-N 0 1 299.378 0.960 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001019569930 650989512 /nfs/dbraw/zinc/98/95/12/650989512.db2.gz DKEJKTQRXAZUSH-JTQLQIEISA-N 0 1 296.758 0.670 20 30 CCEDMN N#Cc1cnn(CC(=O)CCNC(=O)C(F)(F)F)c1 ZINC000865366017 645360722 /nfs/dbraw/zinc/36/07/22/645360722.db2.gz FKPJDDRRZGESNX-UHFFFAOYSA-N 0 1 274.202 0.392 20 30 CCEDMN N#C[C@@]1(C(=O)NC[C@@H]2CCC[N@@H+]2CCCO)CCCOC1 ZINC000868629581 645796614 /nfs/dbraw/zinc/79/66/14/645796614.db2.gz QMSYGCXZRNSCNR-ZFWWWQNUSA-N 0 1 295.383 0.270 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1C=NN1CCC1 ZINC000872397848 646256563 /nfs/dbraw/zinc/25/65/63/646256563.db2.gz XZOTVWOUZCLKIC-UHFFFAOYSA-N 0 1 263.345 0.605 20 30 CCEDMN C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)NCCC#N)C1 ZINC000875540602 646537488 /nfs/dbraw/zinc/53/74/88/646537488.db2.gz CICRGJGHRVEBNH-SNVBAGLBSA-N 0 1 292.261 0.753 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc2c([nH]1)CCCC2 ZINC000876801576 646669164 /nfs/dbraw/zinc/66/91/64/646669164.db2.gz FOZVLQFKROFGSY-MFKMUULPSA-N 0 1 258.325 0.735 20 30 CCEDMN Cc1ccc2n[nH]cc2c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000876801662 646669207 /nfs/dbraw/zinc/66/92/07/646669207.db2.gz HGHHLFPSGAFVOS-SKDRFNHKSA-N 0 1 269.308 0.713 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(Cn2ccnn2)cc1 ZINC000876801750 646669445 /nfs/dbraw/zinc/66/94/45/646669445.db2.gz IFEMWCXTWKULCV-ZIAGYGMSSA-N 0 1 296.334 0.168 20 30 CCEDMN Cc1ccc2nc(C(=O)N[C@H]3CNC[C@H]3C#N)cn2c1 ZINC000876802237 646669940 /nfs/dbraw/zinc/66/99/40/646669940.db2.gz NFSVHSYDVKORHB-MNOVXSKESA-N 0 1 269.308 0.484 20 30 CCEDMN C[C@]1(C(=O)N[C@@H]2Cc3ccc(C#N)cc3C2)CNCCO1 ZINC000877265916 646773251 /nfs/dbraw/zinc/77/32/51/646773251.db2.gz LMWOLGKKRPYIDR-GDBMZVCRSA-N 0 1 285.347 0.520 20 30 CCEDMN N#Cc1cnc(Cl)c(CN[C@@H]2CCOC[C@H]2O)c1 ZINC000877657188 646832594 /nfs/dbraw/zinc/83/25/94/646832594.db2.gz AQQYUQBDJXYXJZ-GHMZBOCLSA-N 0 1 267.716 0.846 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCO[C@H](C#N)C1 ZINC000878752837 646974067 /nfs/dbraw/zinc/97/40/67/646974067.db2.gz UBWSEEBUVHPZTF-BREBYQMCSA-N 0 1 274.324 0.827 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H](O)CC2(O)CCCC2)C1 ZINC000878761433 646974978 /nfs/dbraw/zinc/97/49/78/646974978.db2.gz LHPJGQPJZIWVLZ-OCCSQVGLSA-N 0 1 268.357 0.393 20 30 CCEDMN C[C@@H](NCC(=O)NC1(C#N)CCC1)c1ccnn1C ZINC000879687541 647093067 /nfs/dbraw/zinc/09/30/67/647093067.db2.gz SVPRNNFVBZBVCS-SNVBAGLBSA-N 0 1 261.329 0.633 20 30 CCEDMN CC(C)(C#N)CCN(CC(N)=O)C1CCOCC1 ZINC000880394503 647191222 /nfs/dbraw/zinc/19/12/22/647191222.db2.gz FYHJQEKBKOMCTE-UHFFFAOYSA-N 0 1 253.346 0.893 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN([C@@H]3CCC[C@@H]3O)CC2)n1 ZINC000881914741 647351966 /nfs/dbraw/zinc/35/19/66/647351966.db2.gz BIQFLSKYBYGOTN-KGLIPLIRSA-N 0 1 287.367 0.692 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN(CCCCO)CC2)n1 ZINC000882013315 647363553 /nfs/dbraw/zinc/36/35/53/647363553.db2.gz YWDYFTUBNJUYLK-UHFFFAOYSA-N 0 1 275.356 0.551 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C[C@H]1CCC2(CCC2)CO1 ZINC000884249462 647680864 /nfs/dbraw/zinc/68/08/64/647680864.db2.gz MFXXNFMTKOYLJC-JHJVBQTASA-N 0 1 277.368 0.954 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnc2cc[nH]c2c1 ZINC000884250919 647681913 /nfs/dbraw/zinc/68/19/13/647681913.db2.gz ROWGJQLWCXZACX-CABZTGNLSA-N 0 1 255.281 0.404 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCCC[C@@H]2C(N)=O)CCC1 ZINC000885947068 647887193 /nfs/dbraw/zinc/88/71/93/647887193.db2.gz ZHZWYMHPBXFBNX-VXGBXAGGSA-N 0 1 265.357 0.771 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCSC[C@@H]2CO)CCC1 ZINC000886099151 647901565 /nfs/dbraw/zinc/90/15/65/647901565.db2.gz VTVNMWZRJZUWMF-NWDGAFQWSA-N 0 1 270.398 0.841 20 30 CCEDMN Cc1nnc(SCC(=O)N2CCO[C@](C)(C#N)C2)[nH]1 ZINC000887676647 648138884 /nfs/dbraw/zinc/13/88/84/648138884.db2.gz HWXFVQZNCKTWGQ-LLVKDONJSA-N 0 1 281.341 0.346 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CCO[C@](C)(C#N)C2)n1 ZINC000887676647 648138887 /nfs/dbraw/zinc/13/88/87/648138887.db2.gz HWXFVQZNCKTWGQ-LLVKDONJSA-N 0 1 281.341 0.346 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@@H]3[C@H]2CCCN3CCO)co1 ZINC000889742855 648239217 /nfs/dbraw/zinc/23/92/17/648239217.db2.gz KNPFGOXHVHAQIY-ZIAGYGMSSA-N 0 1 289.335 0.822 20 30 CCEDMN N#CC1(C(=O)N2CC[C@@H]3[C@H]2CCCN3CCO)CCC1 ZINC000889768386 648248445 /nfs/dbraw/zinc/24/84/45/648248445.db2.gz MZRPMFQSWDSBAJ-CHWSQXEVSA-N 0 1 277.368 0.738 20 30 CCEDMN N#CC[C@@H](O)CN1CCC[C@H](N2CCCCC2=O)C1 ZINC000929629196 648770439 /nfs/dbraw/zinc/77/04/39/648770439.db2.gz NYJWCASDCAWEMT-QWHCGFSZSA-N 0 1 265.357 0.738 20 30 CCEDMN COC[C@@H](C)n1ccc(NC(=O)NCC#CCN(C)C)n1 ZINC000930993333 648932437 /nfs/dbraw/zinc/93/24/37/648932437.db2.gz YYJKADRZUWCREB-GFCCVEGCSA-N 0 1 293.371 0.777 20 30 CCEDMN Cn1[nH]c(=O)c2c1CN(CCOCCC#N)CC2 ZINC000931193922 648961602 /nfs/dbraw/zinc/96/16/02/648961602.db2.gz SMGBKOPJVVTDIT-UHFFFAOYSA-N 0 1 250.302 0.414 20 30 CCEDMN Cc1[nH]sc(=NC(=O)NN2CCCC2=O)c1C#N ZINC000931343930 648980478 /nfs/dbraw/zinc/98/04/78/648980478.db2.gz SPVBEHPEOOKPHO-UHFFFAOYSA-N 0 1 265.298 0.982 20 30 CCEDMN N#Cc1ccsc1NC(=O)NCCN1CC[C@H](O)C1 ZINC000931833925 649031098 /nfs/dbraw/zinc/03/10/98/649031098.db2.gz GKYYLKYXHZYYNZ-JTQLQIEISA-N 0 1 280.353 0.808 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@@H](C2OCCO2)C1)C1CC1 ZINC000932007930 649040957 /nfs/dbraw/zinc/04/09/57/649040957.db2.gz NLIFUGPSJPEYLB-IAQYHMDHSA-N 0 1 293.367 0.490 20 30 CCEDMN Cc1cc(NC(=O)NCC#CCN(C)C)ncc1C#N ZINC000932157865 649053882 /nfs/dbraw/zinc/05/38/82/649053882.db2.gz UUSIHCSTABGHMN-UHFFFAOYSA-N 0 1 271.324 0.948 20 30 CCEDMN N#Cc1cccc(CN2CC[C@@H](CS(N)(=O)=O)C2)c1 ZINC000932949853 649105002 /nfs/dbraw/zinc/10/50/02/649105002.db2.gz WYUJSHKMRNPUDZ-CYBMUJFWSA-N 0 1 279.365 0.669 20 30 CCEDMN C#Cc1cccc(NC(=O)CN(C)C(=O)c2[nH]nnc2C)c1 ZINC000188681953 649356806 /nfs/dbraw/zinc/35/68/06/649356806.db2.gz CKEXGEGYMFAIMR-UHFFFAOYSA-N 0 1 297.318 0.805 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(N(C)C(=O)c2ccn[nH]2)CC1 ZINC000953776248 649631010 /nfs/dbraw/zinc/63/10/10/649631010.db2.gz DHYVSQCUGCDESO-SNVBAGLBSA-N 0 1 289.339 0.632 20 30 CCEDMN N#Cc1ccc(C[N@H+]2C[C@@H](CNC(=O)C3CC3)[C@H](O)C2)cc1 ZINC000957783428 649758432 /nfs/dbraw/zinc/75/84/32/649758432.db2.gz HCVOKPXMIMMWAA-HZPDHXFCSA-N 0 1 299.374 0.877 20 30 CCEDMN N#Cc1ccc(CN2C[C@@H](CNC(=O)C3CC3)[C@H](O)C2)cc1 ZINC000957783428 649758436 /nfs/dbraw/zinc/75/84/36/649758436.db2.gz HCVOKPXMIMMWAA-HZPDHXFCSA-N 0 1 299.374 0.877 20 30 CCEDMN CN1CCO[C@H]2CN(c3nc(C#N)ccc3[N+](=O)[O-])C[C@H]21 ZINC001164664745 719392507 /nfs/dbraw/zinc/39/25/07/719392507.db2.gz COXCQBFILPPYFG-NEPJUHHUSA-N 0 1 289.295 0.381 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC1CCN([C@@H](CC)C(N)=O)CC1 ZINC001230415546 805601119 /nfs/dbraw/zinc/60/11/19/805601119.db2.gz QSPRUGHCQUIBRJ-YPMHNXCESA-N 0 1 297.399 0.422 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CC(C)(F)F)[C@H]2C1 ZINC001083185349 790355808 /nfs/dbraw/zinc/35/58/08/790355808.db2.gz OAORAUNXJHSWQL-NWDGAFQWSA-N 0 1 286.322 0.967 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H]1CCCCO1 ZINC001266267815 790363221 /nfs/dbraw/zinc/36/32/21/790363221.db2.gz PWCSJVVNUPJILD-CYBMUJFWSA-N 0 1 268.357 0.253 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C[C@@](C)(O)C2CC2)CC1 ZINC001266283781 790400988 /nfs/dbraw/zinc/40/09/88/790400988.db2.gz WLRUHNDTZOPMCG-MRXNPFEDSA-N 0 1 295.427 0.457 20 30 CCEDMN COCC#CCN(C)CCNC(=O)Cc1cncc(C)c1 ZINC001266304215 790472484 /nfs/dbraw/zinc/47/24/84/790472484.db2.gz NDMPIPQBDFLDFR-UHFFFAOYSA-N 0 1 289.379 0.630 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@]2(CCN([C@H](C)C(N)=O)C2)C1 ZINC001041539200 790501213 /nfs/dbraw/zinc/50/12/13/790501213.db2.gz DVZREHSWUUYURU-MLGOLLRUSA-N 0 1 293.411 0.997 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[N@@H+](C)C2CCC2)C1 ZINC001266322660 790504839 /nfs/dbraw/zinc/50/48/39/790504839.db2.gz LGHKGCXCZHDLNA-CYBMUJFWSA-N 0 1 263.385 0.685 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc(-c2cnn(C)c2)o1 ZINC001266348237 790560282 /nfs/dbraw/zinc/56/02/82/790560282.db2.gz ZHYAAXGQDBMLNH-UHFFFAOYSA-N 0 1 286.335 0.975 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCNC(=O)CC(C)C)C1 ZINC001266363373 790592756 /nfs/dbraw/zinc/59/27/56/790592756.db2.gz HYGDLOLZSVPSSW-AWEZNQCLSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCCCCC(=O)NCCN(CC)[C@H]1CCNC1=O ZINC001266386213 790620218 /nfs/dbraw/zinc/62/02/18/790620218.db2.gz WDSACAAYBGUYFK-ZDUSSCGKSA-N 0 1 279.384 0.507 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CNC(=O)N2)CC1 ZINC001266390291 790623591 /nfs/dbraw/zinc/62/35/91/790623591.db2.gz RIWLDPLKBWNTFS-ZDUSSCGKSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cc(Br)n[nH]1 ZINC001266394196 790625781 /nfs/dbraw/zinc/62/57/81/790625781.db2.gz KNZWSZDETQPMRR-UHFFFAOYSA-N 0 1 299.172 0.857 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC001266453054 790742191 /nfs/dbraw/zinc/74/21/91/790742191.db2.gz WWSVRLFVZYMLRW-LBPRGKRZSA-N 0 1 275.356 0.646 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)C(C)(C)CNC(C)=O ZINC001266486911 790777709 /nfs/dbraw/zinc/77/77/09/790777709.db2.gz RZAQTQOACLPXAE-CYBMUJFWSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)C(C)(C)CNC(C)=O ZINC001266486913 790778477 /nfs/dbraw/zinc/77/84/77/790778477.db2.gz RZAQTQOACLPXAE-ZDUSSCGKSA-N 0 1 281.400 0.915 20 30 CCEDMN Cc1nc([C@@H](C)NCCNC(=O)c2cc(C#N)c[nH]2)n[nH]1 ZINC001125362718 790799665 /nfs/dbraw/zinc/79/96/65/790799665.db2.gz ZUNSLHAWDJITCT-MRVPVSSYSA-N 0 1 287.327 0.394 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H]1CCN(CC(=O)N2CCC2)C1 ZINC001266511988 790835219 /nfs/dbraw/zinc/83/52/19/790835219.db2.gz VSUPCPNIJRDICP-AWEZNQCLSA-N 0 1 291.395 0.316 20 30 CCEDMN C[C@@H](CNC(=O)c1cnn[nH]1)CNc1ccc(C#N)cn1 ZINC001104268965 790831246 /nfs/dbraw/zinc/83/12/46/790831246.db2.gz WSXJRIYDYFRHMU-SECBINFHSA-N 0 1 285.311 0.549 20 30 CCEDMN C=C1O[C@@H](CC)C(=O)C1OCCN1CCOCC1 ZINC001210225681 790833408 /nfs/dbraw/zinc/83/34/08/790833408.db2.gz YUFRRRDLPAYCHL-NSHDSACASA-N 0 1 255.314 0.945 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCCNCc2cncc(F)c2)c1 ZINC001125391016 790873699 /nfs/dbraw/zinc/87/36/99/790873699.db2.gz NXXVDHHXIFOJHT-UHFFFAOYSA-N 0 1 287.298 0.940 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)CCc1nnc[nH]1 ZINC001125419523 790951990 /nfs/dbraw/zinc/95/19/90/790951990.db2.gz IPFHQADSCXCWSK-UHFFFAOYSA-N 0 1 298.350 0.515 20 30 CCEDMN C#CCN(CCNC(=O)c1cccc(C(=O)NC)c1)C1CC1 ZINC001266619315 791043959 /nfs/dbraw/zinc/04/39/59/791043959.db2.gz IFFSPXISTATNAL-UHFFFAOYSA-N 0 1 299.374 0.874 20 30 CCEDMN C#CCN(CCNC(=O)[C@](C)(NC(C)=O)C(C)C)C1CC1 ZINC001266622328 791046324 /nfs/dbraw/zinc/04/63/24/791046324.db2.gz ZBVKJKTXACYYBQ-MRXNPFEDSA-N 0 1 293.411 0.751 20 30 CCEDMN Cc1ncc(CNCCN(C)C(=O)CSCC#N)o1 ZINC001266644791 791077017 /nfs/dbraw/zinc/07/70/17/791077017.db2.gz QLTGITFIQCVWJR-UHFFFAOYSA-N 0 1 282.369 0.788 20 30 CCEDMN O=S1(=O)NC(=NCc2c[nH]nn2)c2ccccc21 ZINC000398336650 791118250 /nfs/dbraw/zinc/11/82/50/791118250.db2.gz SSFGWVYQGWRWTA-UHFFFAOYSA-N 0 1 263.282 0.043 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](C)NC(C)=O ZINC001230697727 805650666 /nfs/dbraw/zinc/65/06/66/805650666.db2.gz LJZDRBWHDCGHAU-DGCLKSJQSA-N 0 1 265.357 0.067 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN(CCO)Cc1cccnc1 ZINC001230713406 805655908 /nfs/dbraw/zinc/65/59/08/805655908.db2.gz BWPIVJJQGNPSDN-CYBMUJFWSA-N 0 1 290.367 0.542 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(=O)C(C)(C)F)C1=O ZINC001231138405 805737156 /nfs/dbraw/zinc/73/71/56/805737156.db2.gz HITXLRFPGQEQDI-GFCCVEGCSA-N 0 1 299.390 0.960 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C(C)(C)F ZINC001231167050 805743382 /nfs/dbraw/zinc/74/33/82/805743382.db2.gz KMSFMSMOWJITJI-NSHDSACASA-N 0 1 285.363 0.311 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C(C)(C)F ZINC001231167045 805743551 /nfs/dbraw/zinc/74/35/51/805743551.db2.gz KMSFMSMOWJITJI-LLVKDONJSA-N 0 1 285.363 0.311 20 30 CCEDMN Cc1nc(CN2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)n[nH]1 ZINC001231294186 805771018 /nfs/dbraw/zinc/77/10/18/805771018.db2.gz VVKRLLQEDKNYHU-ONGXEEELSA-N 0 1 276.344 0.306 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)c2ccc(=O)n(C)n2)C1 ZINC001277691480 805968019 /nfs/dbraw/zinc/96/80/19/805968019.db2.gz HAADZUMPDDIYDM-UHFFFAOYSA-N 0 1 290.367 0.503 20 30 CCEDMN C[N@@H+](CC(=O)N1CCOCC1)Cc1cc(C#N)ccc1[O-] ZINC001232673424 805967721 /nfs/dbraw/zinc/96/77/21/805967721.db2.gz FWYWVJNIGWXTMO-UHFFFAOYSA-N 0 1 289.335 0.554 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@@H+]2CC[C@@H](CO)[C@@H](O)C2)c1 ZINC001232673459 805968243 /nfs/dbraw/zinc/96/82/43/805968243.db2.gz HAJWSJWYWDPTRN-FZMZJTMJSA-N 0 1 262.309 0.439 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@]3(CCNC3=O)C2)c1 ZINC001232674681 805969488 /nfs/dbraw/zinc/96/94/88/805969488.db2.gz CMCKTFMFSPGJQV-OAHLLOKOSA-N 0 1 271.320 0.976 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@@]3(CCNC3=O)C2)c1 ZINC001232674679 805969703 /nfs/dbraw/zinc/96/97/03/805969703.db2.gz CMCKTFMFSPGJQV-HNNXBMFYSA-N 0 1 271.320 0.976 20 30 CCEDMN C[C@@]1(O)COCC[N@@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232674175 805970100 /nfs/dbraw/zinc/97/01/00/805970100.db2.gz SNCVOMOVNUPDDI-AWEZNQCLSA-N 0 1 262.309 0.847 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCn1cccn1 ZINC001266831161 791276036 /nfs/dbraw/zinc/27/60/36/791276036.db2.gz ATBSBEGOHRKUGB-CQSZACIVSA-N 0 1 274.368 0.877 20 30 CCEDMN C=C(C)CCN1C[C@H]2OCCN(C(=O)c3cnon3)[C@H]2C1 ZINC001083228205 791342033 /nfs/dbraw/zinc/34/20/33/791342033.db2.gz QEHTXLIZPAKKAT-QWHCGFSZSA-N 0 1 292.339 0.561 20 30 CCEDMN C[C@@H](CNC(=O)c1c[nH]c2ccccc2c1=O)NCC#N ZINC001266950771 791387181 /nfs/dbraw/zinc/38/71/81/791387181.db2.gz MQUMPNNDQORIRM-JTQLQIEISA-N 0 1 284.319 0.760 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001266964398 791403191 /nfs/dbraw/zinc/40/31/91/791403191.db2.gz NJVVFEAFWGZPGT-UKKRHICBSA-N 0 1 258.749 0.726 20 30 CCEDMN CCO[C@H](C)C(=O)N1CCC[C@H](N(C)CC#CCOC)C1 ZINC001267102262 791540397 /nfs/dbraw/zinc/54/03/97/791540397.db2.gz ZHHQOIOILHHJEL-CABCVRRESA-N 0 1 296.411 0.984 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC[C@H](C)NCc1cnn(C)n1 ZINC001267124793 791569337 /nfs/dbraw/zinc/56/93/37/791569337.db2.gz KVFIAKZFPMQOKU-FZMZJTMJSA-N 0 1 295.387 0.127 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCO[C@@H]3CN(CC)C[C@@H]32)nc1 ZINC001083251685 791654614 /nfs/dbraw/zinc/65/46/14/791654614.db2.gz CLKIJMLPWCTYQN-LSDHHAIUSA-N 0 1 285.347 0.608 20 30 CCEDMN C#CCN(C)CCNC(=O)c1[nH]ncc1C(F)(F)F ZINC001267199183 791661309 /nfs/dbraw/zinc/66/13/09/791661309.db2.gz KXDHQIIJUQVUOX-UHFFFAOYSA-N 0 1 274.246 0.723 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](C)NC(=O)c1ccoc1 ZINC001267198302 791661702 /nfs/dbraw/zinc/66/17/02/791661702.db2.gz KRELNEXOQQDMOO-LBPRGKRZSA-N 0 1 291.351 0.469 20 30 CCEDMN CN(CC#N)CCNC(=O)CN(C)CCc1ccccc1 ZINC001267217951 791688309 /nfs/dbraw/zinc/68/83/09/791688309.db2.gz UKRLOXJIFNNGEK-UHFFFAOYSA-N 0 1 288.395 0.732 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC001267224350 791700328 /nfs/dbraw/zinc/70/03/28/791700328.db2.gz CMMOGVHXOACWRW-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)n1cncn1 ZINC001233751730 806114912 /nfs/dbraw/zinc/11/49/12/806114912.db2.gz PQAQBOLJUKHJJV-QWHCGFSZSA-N 0 1 277.372 0.948 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)n1cncn1 ZINC001233751729 806115013 /nfs/dbraw/zinc/11/50/13/806115013.db2.gz PQAQBOLJUKHJJV-OLZOCXBDSA-N 0 1 277.372 0.948 20 30 CCEDMN C#CCCCCC(=O)N(C)C[C@H]1CCN1CCOCCO ZINC001233760235 806116080 /nfs/dbraw/zinc/11/60/80/806116080.db2.gz ACHJOMZQONTHHB-OAHLLOKOSA-N 0 1 296.411 0.722 20 30 CCEDMN C=C1O[C@@H](CC)C(=O)C1Oc1c(C(=O)OC)nc[nH]c1=O ZINC001233757477 806116476 /nfs/dbraw/zinc/11/64/76/806116476.db2.gz DAOPGZIKUUICQD-ZETCQYMHSA-N 0 1 294.263 0.957 20 30 CCEDMN Cc1ccoc1C(=O)NCCC[N@H+](C)CC(=O)NCC#N ZINC001233947492 806153687 /nfs/dbraw/zinc/15/36/87/806153687.db2.gz QINCOCBAPYZBNC-UHFFFAOYSA-N 0 1 292.339 0.280 20 30 CCEDMN Cc1ccoc1C(=O)NCCCN(C)CC(=O)NCC#N ZINC001233947492 806153691 /nfs/dbraw/zinc/15/36/91/806153691.db2.gz QINCOCBAPYZBNC-UHFFFAOYSA-N 0 1 292.339 0.280 20 30 CCEDMN CCC(CC)C(=O)NCCCN(C)CC(=O)NCC#N ZINC001234181263 806197930 /nfs/dbraw/zinc/19/79/30/806197930.db2.gz RJDXWYQQZKUFMH-UHFFFAOYSA-N 0 1 282.388 0.500 20 30 CCEDMN C#CC[C@H](C)Oc1c(C(=O)OC)n[nH]c1C(=O)OC ZINC001234188066 806199620 /nfs/dbraw/zinc/19/96/20/806199620.db2.gz CLGWPMFNFQHONU-ZETCQYMHSA-N 0 1 266.253 0.774 20 30 CCEDMN C=C[C@H](Oc1c(C(=O)OC)n[nH]c1C(=O)OC)C(=O)OC ZINC001234188051 806199796 /nfs/dbraw/zinc/19/97/96/806199796.db2.gz CACOBNVFWIGRME-LURJTMIESA-N 0 1 298.251 0.089 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CCN1CCOC(C)C ZINC001234265119 806213295 /nfs/dbraw/zinc/21/32/95/806213295.db2.gz HISZULYAULQSJH-CABCVRRESA-N 0 1 296.411 0.982 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@@H](C)SC ZINC001234311681 806222342 /nfs/dbraw/zinc/22/23/42/806222342.db2.gz OXZVTYUCDWECJV-NWDGAFQWSA-N 0 1 299.440 0.314 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cnnn3C)C[C@@H]21 ZINC001042039682 793220395 /nfs/dbraw/zinc/22/03/95/793220395.db2.gz GTQPEUAPSDBWEN-JSGCOSHPSA-N 0 1 287.367 0.375 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1nc(C)oc1C ZINC001267253414 793246313 /nfs/dbraw/zinc/24/63/13/793246313.db2.gz LDPCGGFXMIXPCX-UHFFFAOYSA-N 0 1 293.367 0.993 20 30 CCEDMN N#Cc1ccc2nc(=O)[nH]c(O[C@@H]3COC(=O)C3)c2c1 ZINC001234530992 806267311 /nfs/dbraw/zinc/26/73/11/806267311.db2.gz QINOHIZKKDRWRG-QMMMGPOBSA-N 0 1 271.232 0.901 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C(C)(C)C(N)=O ZINC001277873208 806293118 /nfs/dbraw/zinc/29/31/18/806293118.db2.gz FXOLEVDEUCPDSE-SNVBAGLBSA-N 0 1 287.791 0.831 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cn[nH]n1 ZINC001277892494 806304553 /nfs/dbraw/zinc/30/45/53/806304553.db2.gz RYCLWISQGRCSOT-SECBINFHSA-N 0 1 269.736 0.751 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)CCc1cnc[nH]1 ZINC001235122059 806372758 /nfs/dbraw/zinc/37/27/58/806372758.db2.gz GJCDGXJZCNLDEQ-CYBMUJFWSA-N 0 1 260.341 0.508 20 30 CCEDMN CC#CCN1CC[C@@H]1CN(C)C(=O)CCc1cnc[nH]1 ZINC001235143693 806377571 /nfs/dbraw/zinc/37/75/71/806377571.db2.gz UUVBRPTUQLUNSA-CQSZACIVSA-N 0 1 274.368 0.898 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCN(C)[C@H]1CCNC1=O ZINC001235247695 806394872 /nfs/dbraw/zinc/39/48/72/806394872.db2.gz ZHZVOCWSTHOOKQ-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1cn(C)nc1C ZINC001235419988 806421724 /nfs/dbraw/zinc/42/17/24/806421724.db2.gz AGSWRMBVKJUNDK-AWEZNQCLSA-N 0 1 276.384 0.990 20 30 CCEDMN C[C@@H](O)CN1CC2(CCN2Cc2cc(C#N)n(C)c2)C1 ZINC001277959666 806483182 /nfs/dbraw/zinc/48/31/82/806483182.db2.gz BDLFPCUPGUKYIJ-GFCCVEGCSA-N 0 1 274.368 0.538 20 30 CCEDMN CC1(C)O[C@@H]2O[C@@H](CN3CCC(C)(C#N)CC3)[C@@H](O)[C@@H]2O1 ZINC001235950376 806519693 /nfs/dbraw/zinc/51/96/93/806519693.db2.gz CVPJKMQNPFSKII-RNJOBUHISA-N 0 1 296.367 0.849 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC001278079512 806652235 /nfs/dbraw/zinc/65/22/35/806652235.db2.gz JMQZMUNEHMDEGC-OLZOCXBDSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@]1(C)CC=CCC1 ZINC001278086703 806655419 /nfs/dbraw/zinc/65/54/19/806655419.db2.gz YMCJPCHGWGAUOL-ZFWWWQNUSA-N 0 1 264.369 0.823 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C[C@@H](C)c1c(C)n[nH]c1C ZINC001278105246 806675219 /nfs/dbraw/zinc/67/52/19/806675219.db2.gz HIKAPGYQSUSVLF-MFKMUULPSA-N 0 1 292.383 0.220 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CN3CCC2CC3)cn1 ZINC001120772995 798933414 /nfs/dbraw/zinc/93/34/14/798933414.db2.gz ANANKEVHLJJMAJ-CYBMUJFWSA-N 0 1 256.309 0.777 20 30 CCEDMN C=CCn1c(C2=NO[C@H](CO)C2)nnc1N1CC=CCC1 ZINC001121656580 799063867 /nfs/dbraw/zinc/06/38/67/799063867.db2.gz AWFMWSYTIOTDRT-NSHDSACASA-N 0 1 289.339 0.716 20 30 CCEDMN C#CCNCC(=O)NCCCOc1cccc(OC)c1 ZINC001121881869 799082385 /nfs/dbraw/zinc/08/23/85/799082385.db2.gz AOYZHPYSYYIKOG-UHFFFAOYSA-N 0 1 276.336 0.803 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1[C@H]2CCCO[C@@H]2C1(C)C ZINC001121887328 799083195 /nfs/dbraw/zinc/08/31/95/799083195.db2.gz KVFWIEQLJDNEHE-RTXFEEFZSA-N 0 1 250.342 0.529 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(C(F)F)ncn1 ZINC001121931045 799098967 /nfs/dbraw/zinc/09/89/67/799098967.db2.gz BRSBHVPOPZKVTN-IMTBSYHQSA-N 0 1 267.239 0.256 20 30 CCEDMN C#CCNCC(=O)NCCNC(=O)c1c(F)cccc1F ZINC001121955673 799106990 /nfs/dbraw/zinc/10/69/90/799106990.db2.gz WLRAZFRVKBPZIZ-UHFFFAOYSA-N 0 1 295.289 0.034 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC1(C)COC1 ZINC001122442963 799236335 /nfs/dbraw/zinc/23/63/35/799236335.db2.gz YJHDRRWGRPRIFC-SNVBAGLBSA-N 0 1 268.313 0.275 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC001122453143 799237975 /nfs/dbraw/zinc/23/79/75/799237975.db2.gz VULUEQVPLAOASP-ZRUFSTJUSA-N 0 1 262.309 0.835 20 30 CCEDMN C[C@H](C(=O)OCc1ccc2[nH]nnc2c1)n1cnc(C#N)n1 ZINC001122506135 799253490 /nfs/dbraw/zinc/25/34/90/799253490.db2.gz HPIXHTAKIHFZHT-MRVPVSSYSA-N 0 1 297.278 0.725 20 30 CCEDMN C[C@H](C(=O)OCc1ccc2nn[nH]c2c1)n1cnc(C#N)n1 ZINC001122506135 799253492 /nfs/dbraw/zinc/25/34/92/799253492.db2.gz HPIXHTAKIHFZHT-MRVPVSSYSA-N 0 1 297.278 0.725 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](CC)Cc1ccccc1 ZINC001278165077 806736118 /nfs/dbraw/zinc/73/61/18/806736118.db2.gz SUVWBTFTWXKSKX-JKSUJKDBSA-N 0 1 288.391 0.955 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1ccc(=O)[nH]n1 ZINC001123089351 799351809 /nfs/dbraw/zinc/35/18/09/799351809.db2.gz CUCNKZBYYIDMLP-UHFFFAOYSA-N 0 1 297.318 0.573 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(N(C)C)cc1 ZINC001278186557 806747921 /nfs/dbraw/zinc/74/79/21/806747921.db2.gz GYWDDVJESZEFAP-AWEZNQCLSA-N 0 1 289.379 0.456 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001123494058 799420188 /nfs/dbraw/zinc/42/01/88/799420188.db2.gz FPSVRLJSPRGWKB-CHWSQXEVSA-N 0 1 251.374 0.799 20 30 CCEDMN C#CC1(O)CCN(C(=O)NCc2cc(C)[nH]n2)CC1 ZINC001123506351 799422904 /nfs/dbraw/zinc/42/29/04/799422904.db2.gz YFERMECTUXKIOQ-UHFFFAOYSA-N 0 1 262.313 0.388 20 30 CCEDMN C#CCN(C(=O)NC[C@@H](C)N1CCN(C)CC1)C(C)C ZINC001123787232 799473688 /nfs/dbraw/zinc/47/36/88/799473688.db2.gz FAIMGORSFSVUIS-CQSZACIVSA-N 0 1 280.416 0.676 20 30 CCEDMN N#Cc1ccc(F)c(CNCCNC(=O)c2cn[nH]c2)c1 ZINC001124056002 799547414 /nfs/dbraw/zinc/54/74/14/799547414.db2.gz WHGQCDWZVRUEQE-UHFFFAOYSA-N 0 1 287.298 0.940 20 30 CCEDMN N#Cc1ccc(CN2CCC3(CC2)CNC(=O)CO3)cn1 ZINC001140545872 799922353 /nfs/dbraw/zinc/92/23/53/799922353.db2.gz NTDRYZYIYMGMBN-UHFFFAOYSA-N 0 1 286.335 0.434 20 30 CCEDMN Cc1nc(NC(=O)N[C@H]2CNC[C@@H]2C#N)sc1C ZINC000841015338 800065814 /nfs/dbraw/zinc/06/58/14/800065814.db2.gz CZFUNOVJIZUSJC-IUCAKERBSA-N 0 1 265.342 0.993 20 30 CCEDMN Cc1noc(NC(=O)CCN2CCN(C)CC2)c1C#N ZINC001143223964 800209412 /nfs/dbraw/zinc/20/94/12/800209412.db2.gz NLUXDEWVTPRIKL-UHFFFAOYSA-N 0 1 277.328 0.431 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCn2ccnc2)C1 ZINC001276405168 800228391 /nfs/dbraw/zinc/22/83/91/800228391.db2.gz JAPNQUKNVSDDSS-CYBMUJFWSA-N 0 1 274.368 0.733 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H](C)COC)C1 ZINC001149391249 800253995 /nfs/dbraw/zinc/25/39/95/800253995.db2.gz IHYSUGTVNLSMMN-UONOGXRCSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001149405729 800256748 /nfs/dbraw/zinc/25/67/48/800256748.db2.gz DIIYHHQZHAVEKY-STQMWFEESA-N 0 1 263.341 0.376 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CCCC(=O)NC)C1 ZINC001149573929 800278079 /nfs/dbraw/zinc/27/80/79/800278079.db2.gz SBYNFXBJFBIVIB-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)CC2(O)CCC2)C1 ZINC001149674031 800289821 /nfs/dbraw/zinc/28/98/21/800289821.db2.gz RUBMVWUVTZDDDO-AWEZNQCLSA-N 0 1 294.395 0.522 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@]2(F)CCOC2)C1 ZINC001150172861 800321636 /nfs/dbraw/zinc/32/16/36/800321636.db2.gz YKWOVGGFRBNYQR-GXTWGEPZSA-N 0 1 286.347 0.508 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)[C@H](C)COC)CC1 ZINC001151021141 800386367 /nfs/dbraw/zinc/38/63/67/800386367.db2.gz MVMIMIQWJBUZEV-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN CC(C)C[C@H](NC(=O)c1ccn2cncc2c1)C(=O)NO ZINC001151909902 800469675 /nfs/dbraw/zinc/46/96/75/800469675.db2.gz NMJANPFCTFVZKN-LBPRGKRZSA-N 0 1 290.323 0.984 20 30 CCEDMN C[C@@H](NCCCNC(=O)CSCC#N)c1nncn1C ZINC001154814384 800850704 /nfs/dbraw/zinc/85/07/04/800850704.db2.gz HBRSPRWTHKGTAR-SNVBAGLBSA-N 0 1 296.400 0.229 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@@H](O)c2cnc[nH]2)c1 ZINC001155084061 800905675 /nfs/dbraw/zinc/90/56/75/800905675.db2.gz RSFBWRWCHLHOOW-GFCCVEGCSA-N 0 1 256.265 0.249 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1cn(CC2CC2)nn1 ZINC001155836325 801061625 /nfs/dbraw/zinc/06/16/25/801061625.db2.gz MAWJIJDIEIQQHZ-NSHDSACASA-N 0 1 290.371 0.444 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN[C@H](C)c1nncn1C ZINC001155841091 801063559 /nfs/dbraw/zinc/06/35/59/801063559.db2.gz CDVAWOGDRGAKBC-NXEZZACHSA-N 0 1 264.333 0.132 20 30 CCEDMN Cc1nc(C#N)cnc1NCCNC(=O)C(F)(F)F ZINC001156203295 801129472 /nfs/dbraw/zinc/12/94/72/801129472.db2.gz NBBBMOGTMUFHEK-UHFFFAOYSA-N 0 1 273.218 0.747 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)NC[C@H]1CC[N@@H+]1C ZINC001156238243 801136616 /nfs/dbraw/zinc/13/66/16/801136616.db2.gz RWYIWCNBXWARMU-SNVBAGLBSA-N 0 1 260.297 0.401 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1nccc(F)c1C#N ZINC001156829939 801245314 /nfs/dbraw/zinc/24/53/14/801245314.db2.gz ZPVSQKABLUPWLN-UHFFFAOYSA-N 0 1 258.260 0.525 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1cnn(C)n1 ZINC001157164415 801315820 /nfs/dbraw/zinc/31/58/20/801315820.db2.gz LONYGHZVIYPXOL-UHFFFAOYSA-N 0 1 263.345 0.215 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1nccc(C)n1 ZINC001157189782 801320766 /nfs/dbraw/zinc/32/07/66/801320766.db2.gz RADBAYQMWQQCRF-HNNXBMFYSA-N 0 1 292.383 0.708 20 30 CCEDMN COCC#CCN(C)C[C@H]1CCCCN1C(C)=O ZINC001157400841 801369366 /nfs/dbraw/zinc/36/93/66/801369366.db2.gz JYWRZYZUJLZLFE-CQSZACIVSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CNC(C)=O ZINC001157459216 801389903 /nfs/dbraw/zinc/38/99/03/801389903.db2.gz UTGWQMMSQGVFFJ-CYBMUJFWSA-N 0 1 265.357 0.069 20 30 CCEDMN CCn1c(C)nnc1CNCCCNC(=O)C#CC1CC1 ZINC001157704951 801459231 /nfs/dbraw/zinc/45/92/31/801459231.db2.gz FBTLCLWXLHDYSX-UHFFFAOYSA-N 0 1 289.383 0.616 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1cscn1 ZINC001157846613 801500961 /nfs/dbraw/zinc/50/09/61/801500961.db2.gz WABVGJPLRCVFDM-UHFFFAOYSA-N 0 1 281.381 0.779 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1nncs1 ZINC001157874493 801507404 /nfs/dbraw/zinc/50/74/04/801507404.db2.gz QFGUJNVYRBLDKD-SNVBAGLBSA-N 0 1 282.369 0.172 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1coc(C)n1 ZINC001157874515 801507686 /nfs/dbraw/zinc/50/76/86/801507686.db2.gz QXXCWGGBIGYWPI-LLVKDONJSA-N 0 1 279.340 0.617 20 30 CCEDMN N#Cc1cc2c(nc1-n1nnnc1CN)CCCC2 ZINC001158077935 801567275 /nfs/dbraw/zinc/56/72/75/801567275.db2.gz ZGUGIXGLUPYHST-UHFFFAOYSA-N 0 1 255.285 0.266 20 30 CCEDMN C=CCC[C@@H](NC(=O)c1cnncc1O)C(=O)OCC ZINC001158287377 801614277 /nfs/dbraw/zinc/61/42/77/801614277.db2.gz BAADBVANCKEONY-SNVBAGLBSA-N 0 1 279.296 0.810 20 30 CCEDMN CN(C1CN(c2cnc(C#N)cn2)C1)[C@H]1CCOC1 ZINC001158725339 801695577 /nfs/dbraw/zinc/69/55/77/801695577.db2.gz HEDWPKVUYOYLHT-NSHDSACASA-N 0 1 259.313 0.258 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCOCCOC ZINC001158949374 801726955 /nfs/dbraw/zinc/72/69/55/801726955.db2.gz MLQZJTTVLHKBPV-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN COCC#CCN1CC=C(CCNC(C)=O)CC1 ZINC001159003500 801735877 /nfs/dbraw/zinc/73/58/77/801735877.db2.gz JSEMTEFKJDQQBH-UHFFFAOYSA-N 0 1 250.342 0.795 20 30 CCEDMN N#Cc1cc(C#N)c(NC[C@H]2COCCN2)nc1Cl ZINC001159043504 801747958 /nfs/dbraw/zinc/74/79/58/801747958.db2.gz TYOCNSROEYXAMP-JTQLQIEISA-N 0 1 277.715 0.879 20 30 CCEDMN CC[C@](N)(CO)Nc1nc(C#N)c(C#N)nc1Cl ZINC001159744310 801860582 /nfs/dbraw/zinc/86/05/82/801860582.db2.gz PNGZSGSYJCOQLZ-SNVBAGLBSA-N 0 1 266.692 0.343 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CC(=O)NCC)CC1 ZINC001159891788 801888240 /nfs/dbraw/zinc/88/82/40/801888240.db2.gz URSWLCKIGMNJFZ-UHFFFAOYSA-N 0 1 277.368 0.284 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CCS(C)(=O)=O)CC1 ZINC001160508832 801953954 /nfs/dbraw/zinc/95/39/54/801953954.db2.gz VQMUPULVUQXKGW-UHFFFAOYSA-N 0 1 298.408 0.193 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@](C)(C(=O)OC)C1 ZINC001160636064 801983992 /nfs/dbraw/zinc/98/39/92/801983992.db2.gz OQZUIZXFFSGPSI-STQMWFEESA-N 0 1 252.314 0.139 20 30 CCEDMN CCCCN(CC#N)C(=O)[C@@H]1CCCN1C(=O)CN ZINC001160728735 802011725 /nfs/dbraw/zinc/01/17/25/802011725.db2.gz FQJLKPUDLUGHBC-NSHDSACASA-N 0 1 266.345 0.088 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1CCO[C@@H](C#N)C1 ZINC001160877703 802039337 /nfs/dbraw/zinc/03/93/37/802039337.db2.gz BBPIBZGAKDYKLC-KBPBESRZSA-N 0 1 284.319 0.179 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)[C@@H](N)Cc1cccc(O)c1 ZINC001160932849 802050158 /nfs/dbraw/zinc/05/01/58/802050158.db2.gz GHBFMEIDKPOBLA-YPMHNXCESA-N 0 1 275.308 0.013 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H](C#N)Cc2ccc(O)cc2)CCN1 ZINC001161016980 802061285 /nfs/dbraw/zinc/06/12/85/802061285.db2.gz DWQLECGITHMKLF-YPMHNXCESA-N 0 1 273.336 0.895 20 30 CCEDMN C#CC[C@H](NC(=O)c1cn[nH]c1-c1ccccn1)C(=O)OC ZINC001161364501 802139157 /nfs/dbraw/zinc/13/91/57/802139157.db2.gz DOVZRHSBASBMAE-LBPRGKRZSA-N 0 1 298.302 0.766 20 30 CCEDMN C#C[C@](C)(N)C(=O)N(C1CCC1)C1CCOCC1 ZINC001161460612 802158692 /nfs/dbraw/zinc/15/86/92/802158692.db2.gz LZPDKNNPXHZCGA-AWEZNQCLSA-N 0 1 250.342 0.897 20 30 CCEDMN C#C[C@](C)(N)C(=O)N(C)Cc1cn2ccc(C)cc2n1 ZINC001161531792 802170922 /nfs/dbraw/zinc/17/09/22/802170922.db2.gz JWVVOYURFCVKNZ-HNNXBMFYSA-N 0 1 270.336 0.952 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cnon2)CC1 ZINC001161543685 802173912 /nfs/dbraw/zinc/17/39/12/802173912.db2.gz KZLMRDCYMYDSAH-UHFFFAOYSA-N 0 1 260.297 0.455 20 30 CCEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)NCCCNCC#N ZINC001162674345 802385865 /nfs/dbraw/zinc/38/58/65/802385865.db2.gz PZTKTPSNVFFVNL-UHFFFAOYSA-N 0 1 289.261 0.970 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CC(C)(C)C=C ZINC001278859651 808348319 /nfs/dbraw/zinc/34/83/19/808348319.db2.gz FTLUHFWINAAKKZ-CYBMUJFWSA-N 0 1 266.385 0.973 20 30 CCEDMN Cc1ccnc(C#N)c1NCc1nnc2n1CCCNC2 ZINC001163028050 802464097 /nfs/dbraw/zinc/46/40/97/802464097.db2.gz VMRIMVNIRQOQSN-UHFFFAOYSA-N 0 1 283.339 0.959 20 30 CCEDMN CCOC(=O)c1cn(-c2cnc(C#N)c(C)n2)nc1CN ZINC001163525582 802567556 /nfs/dbraw/zinc/56/75/56/802567556.db2.gz XGNITKDIFVYDIL-UHFFFAOYSA-N 0 1 286.295 0.478 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCC1(CNCc2cn(C)nn2)CC1 ZINC001163859706 802625718 /nfs/dbraw/zinc/62/57/18/802625718.db2.gz JZGANDOMPPDJTQ-NSHDSACASA-N 0 1 290.371 0.351 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCN(CCOCC2CC2)C1 ZINC001265276319 809742022 /nfs/dbraw/zinc/74/20/22/809742022.db2.gz BNZMGSUWUJUPIJ-YPMHNXCESA-N 0 1 265.357 0.763 20 30 CCEDMN Cc1ncc(C#N)c(N2CC(N(C)[C@@H]3CCOC3)C2)n1 ZINC001165203778 802795348 /nfs/dbraw/zinc/79/53/48/802795348.db2.gz GCNHNYIIONFJMG-GFCCVEGCSA-N 0 1 273.340 0.566 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1cc(CC#N)ccn1 ZINC001165438716 802842854 /nfs/dbraw/zinc/84/28/54/802842854.db2.gz KWCBVNUHPLZLFS-LLVKDONJSA-N 0 1 260.297 0.099 20 30 CCEDMN COCc1nn(-c2nccnc2C#N)c2c1CNC2 ZINC001165632558 802857419 /nfs/dbraw/zinc/85/74/19/802857419.db2.gz SBWFPNXRFNITAA-UHFFFAOYSA-N 0 1 256.269 0.284 20 30 CCEDMN COCc1nn(-c2cc(C#N)nc(C)n2)c2c1CNC2 ZINC001165634935 802858755 /nfs/dbraw/zinc/85/87/55/802858755.db2.gz XTLIMTIITVVNJB-UHFFFAOYSA-N 0 1 270.296 0.592 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H](C)[C@H](NC(C)=O)C1 ZINC001205701243 803159220 /nfs/dbraw/zinc/15/92/20/803159220.db2.gz QPXSLPPEFUMRCY-JFGNBEQYSA-N 0 1 253.346 0.134 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CCCO)C[C@H]1C ZINC001206429225 803241600 /nfs/dbraw/zinc/24/16/00/803241600.db2.gz TZHGLBPHGFYYDX-HZSPNIEDSA-N 0 1 284.400 0.787 20 30 CCEDMN COCC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001206698731 803279454 /nfs/dbraw/zinc/27/94/54/803279454.db2.gz LKVPMMDPZXFAPK-TZMCWYRMSA-N 0 1 290.367 0.039 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001206698731 803279459 /nfs/dbraw/zinc/27/94/59/803279459.db2.gz LKVPMMDPZXFAPK-TZMCWYRMSA-N 0 1 290.367 0.039 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN([C@H](C)C(=O)NC)C[C@H]1C ZINC001206885819 803298493 /nfs/dbraw/zinc/29/84/93/803298493.db2.gz HFRHSEHOBKARRZ-MGPQQGTHSA-N 0 1 293.411 0.751 20 30 CCEDMN COCC(=O)NC1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC001207106322 803354126 /nfs/dbraw/zinc/35/41/26/803354126.db2.gz JMTLGLJUICOJST-UHFFFAOYSA-N 0 1 290.367 0.624 20 30 CCEDMN Cn1cc(CN2CC(N3CCN(C)CC3)C2)cc1C#N ZINC001207110840 803354760 /nfs/dbraw/zinc/35/47/60/803354760.db2.gz VCKQRFNJOKIBOI-UHFFFAOYSA-N 0 1 273.384 0.328 20 30 CCEDMN Cn1cc(CN2CCC3(CC2)CNC(=O)CO3)cc1C#N ZINC001207109801 803355909 /nfs/dbraw/zinc/35/59/09/803355909.db2.gz TYXBEXQHCYTPRZ-UHFFFAOYSA-N 0 1 288.351 0.378 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COCc2ccnn2C)C1 ZINC001207681596 803436252 /nfs/dbraw/zinc/43/62/52/803436252.db2.gz ZDIPADBLIHMEQC-TZMCWYRMSA-N 0 1 290.367 0.006 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CNC(=O)CC)C1 ZINC001207684903 803437884 /nfs/dbraw/zinc/43/78/84/803437884.db2.gz VFUNZZYYCZWFLP-LLVKDONJSA-N 0 1 287.791 0.846 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)COCCOC)C1 ZINC001207695021 803438466 /nfs/dbraw/zinc/43/84/66/803438466.db2.gz ZZSXLBLLLFMIGJ-GFCCVEGCSA-N 0 1 290.791 0.983 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CC[C@H](N2CCCC2)C1 ZINC000088485817 803479512 /nfs/dbraw/zinc/47/95/12/803479512.db2.gz WSGVTPAIMISXQL-MNOVXSKESA-N 0 1 257.359 0.398 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(COC)CCOC2)C1 ZINC001208190302 803496765 /nfs/dbraw/zinc/49/67/65/803496765.db2.gz XODYHRCQOUHTKS-NFAWXSAZSA-N 0 1 280.368 0.109 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H](C)n2ccnc2)C1 ZINC001208985870 803562470 /nfs/dbraw/zinc/56/24/70/803562470.db2.gz ZSKXDLNXBPUDKP-HZSPNIEDSA-N 0 1 274.368 0.904 20 30 CCEDMN C=CCCCCN1CC(N2CCN(C)C(=O)C2)C1 ZINC001209204790 803580372 /nfs/dbraw/zinc/58/03/72/803580372.db2.gz JQVCHQUJEIDZLY-UHFFFAOYSA-N 0 1 251.374 0.801 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C2(C(=O)NC)CCC2)C1 ZINC001210468773 803651316 /nfs/dbraw/zinc/65/13/16/803651316.db2.gz RIQZBJVASCRLKL-VXGBXAGGSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2cnon2)[C@H](OC)C1 ZINC001213600559 803697540 /nfs/dbraw/zinc/69/75/40/803697540.db2.gz VEZAWYWUJUYREB-VXGBXAGGSA-N 0 1 280.328 0.465 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)CCCOC)[C@H](OC)C1 ZINC001213685746 803699447 /nfs/dbraw/zinc/69/94/47/803699447.db2.gz HFTYRZHTKKAXEQ-RBSFLKMASA-N 0 1 296.411 0.888 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(=O)NCC)C[C@H]1C ZINC001214480264 803744404 /nfs/dbraw/zinc/74/44/04/803744404.db2.gz QROGRXRNXXZYQN-MWLCHTKSSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)NC(N)=O)C[C@H]1C ZINC001214508685 803745829 /nfs/dbraw/zinc/74/58/29/803745829.db2.gz XYEYUEATJBONRR-FKTZTGRPSA-N 0 1 288.779 0.232 20 30 CCEDMN C=CCCC[N@@H+]1C[C@H]2OCCN(C(=O)CCOC)[C@H]2C1 ZINC001217185896 803876651 /nfs/dbraw/zinc/87/66/51/803876651.db2.gz YTYADGVEVATNCG-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)COC)[C@H](OC)C1 ZINC001212015938 814978802 /nfs/dbraw/zinc/97/88/02/814978802.db2.gz UAJUHSKWNZVNEX-JHJVBQTASA-N 0 1 270.373 0.660 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1OC ZINC001212022478 814980227 /nfs/dbraw/zinc/98/02/27/814980227.db2.gz SVWGXOMDZHXNNG-YNEHKIRRSA-N 0 1 270.373 0.803 20 30 CCEDMN CC#CCCCC(=O)N1CCO[C@@H]2CN(C[C@H](C)O)C[C@@H]21 ZINC001218503784 803971336 /nfs/dbraw/zinc/97/13/36/803971336.db2.gz NUXVCZJECKETNY-SOUVJXGZSA-N 0 1 294.395 0.472 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1cc[nH]n1 ZINC001218506577 803973826 /nfs/dbraw/zinc/97/38/26/803973826.db2.gz WTJOFOIIYXOBGN-NSHDSACASA-N 0 1 255.281 0.790 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1OC ZINC001212032062 814981866 /nfs/dbraw/zinc/98/18/66/814981866.db2.gz HVUNQGDVCWRJQA-JHJVBQTASA-N 0 1 286.372 0.039 20 30 CCEDMN N#Cc1sccc1NC(=O)[C@@H]1CCCN1C(=O)CN ZINC001218625146 804040614 /nfs/dbraw/zinc/04/06/14/804040614.db2.gz UFFRPACRMRNIMK-VIFPVBQESA-N 0 1 278.337 0.508 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1cn[nH]c(=O)c1 ZINC001218651473 804060520 /nfs/dbraw/zinc/06/05/20/804060520.db2.gz ALFPDAQLLZOJBA-LBPRGKRZSA-N 0 1 283.291 0.562 20 30 CCEDMN C#Cc1cnc(NC(=O)[C@@H]2C[C@@H](F)CCN2)c(C#C)n1 ZINC001218657094 804067370 /nfs/dbraw/zinc/06/73/70/804067370.db2.gz ABFZPUSECPCPSD-CABZTGNLSA-N 0 1 272.283 0.468 20 30 CCEDMN CC(=O)OC[C@H]([NH3+])C(=O)Nc1cc(C#N)c(F)cc1[O-] ZINC001219067898 804189291 /nfs/dbraw/zinc/18/92/91/804189291.db2.gz CQAMWUIQYMBLFJ-VIFPVBQESA-N 0 1 281.243 0.232 20 30 CCEDMN C=CCOCC(=O)NCC[C@H](C)NC(=O)[C@@H]1CCCN1C ZINC001075917628 814998938 /nfs/dbraw/zinc/99/89/38/814998938.db2.gz BPSUCECSECSBBV-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2ccoc2)[C@@H](O)C1 ZINC001219451504 804322053 /nfs/dbraw/zinc/32/20/53/804322053.db2.gz CKPUNXHROXGENO-KGLIPLIRSA-N 0 1 278.352 0.950 20 30 CCEDMN C=C(Cc1ccccc1)C(=O)NC[C@]1(O)CCNC[C@@H]1F ZINC001219616788 804376572 /nfs/dbraw/zinc/37/65/72/804376572.db2.gz DIHSQPBRWBKILC-GOEBONIOSA-N 0 1 292.354 0.964 20 30 CCEDMN N#Cc1ccccc1CC(=O)NC[C@]1(O)CCNC[C@@H]1F ZINC001219619957 804377846 /nfs/dbraw/zinc/37/78/46/804377846.db2.gz SHQHAJFZCOIHLM-DZGCQCFKSA-N 0 1 291.326 0.279 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2n[nH]c(C)n2)C[C@@H]1O ZINC001219718650 804412807 /nfs/dbraw/zinc/41/28/07/804412807.db2.gz MHACPFVQFJNOSQ-NEPJUHHUSA-N 0 1 293.371 0.131 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2ccn(C)n2)[C@@H](O)C1 ZINC001219724200 804414092 /nfs/dbraw/zinc/41/40/92/804414092.db2.gz BHNDHSRARIBZIZ-KGLIPLIRSA-N 0 1 292.383 0.090 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(COC)CCCC2)[C@@H](O)C1 ZINC001219759310 804418140 /nfs/dbraw/zinc/41/81/40/804418140.db2.gz AOQDFVKKOMUVQW-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2csnn2)[C@@H](O)C1 ZINC001220085739 804496250 /nfs/dbraw/zinc/49/62/50/804496250.db2.gz LNGLGTDSOQADFN-KOLCDFICSA-N 0 1 282.369 0.279 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C/C=C(/C)C=C)[C@@H](O)C1 ZINC001220172270 804525339 /nfs/dbraw/zinc/52/53/39/804525339.db2.gz OKXXSODFWJEATH-KERCULKWSA-N 0 1 294.395 0.873 20 30 CCEDMN Cc1ncc(CNC(=O)[C@@H](N)Cc2ccccc2C#N)cn1 ZINC001220175733 804526634 /nfs/dbraw/zinc/52/66/34/804526634.db2.gz ABLSCPFJRNBGMB-HNNXBMFYSA-N 0 1 295.346 0.843 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001220182747 804531013 /nfs/dbraw/zinc/53/10/13/804531013.db2.gz NUTXINLRBVEALX-KGLIPLIRSA-N 0 1 282.384 0.378 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001220203938 804535793 /nfs/dbraw/zinc/53/57/93/804535793.db2.gz VJEYXHCBPCOGDE-MJBXVCDLSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CN(CCCO)C[C@@H]1O ZINC001220201230 804536447 /nfs/dbraw/zinc/53/64/47/804536447.db2.gz JLOACIBACVXYCL-XQQFMLRXSA-N 0 1 270.373 0.132 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(CCOCC)C[C@@H]2O)CC1 ZINC001220292081 804564182 /nfs/dbraw/zinc/56/41/82/804564182.db2.gz NDZATSZJIIGLLI-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2(CF)CC2)[C@@H](O)C1 ZINC001220339651 804578169 /nfs/dbraw/zinc/57/81/69/804578169.db2.gz HCCIDNVLOZFDJE-NEPJUHHUSA-N 0 1 286.347 0.100 20 30 CCEDMN C#CCN(C(=O)Nc1nn[nH]c1C(=O)NC1CC1)C(C)C ZINC001220383363 804589614 /nfs/dbraw/zinc/58/96/14/804589614.db2.gz MNLNIYDMOIJEDV-UHFFFAOYSA-N 0 1 290.327 0.572 20 30 CCEDMN CO[C@@H](C)CNC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001220392349 804593304 /nfs/dbraw/zinc/59/33/04/804593304.db2.gz BENKIBNRENGFKR-GWCFXTLKSA-N 0 1 261.325 0.579 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCC(F)(F)F)[C@@H](O)C1 ZINC001220415066 804598446 /nfs/dbraw/zinc/59/84/46/804598446.db2.gz UOXBNZSLFBNRHR-MNOVXSKESA-N 0 1 292.301 0.904 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@@H]1O ZINC001220435963 804603299 /nfs/dbraw/zinc/60/32/99/804603299.db2.gz NWTDMRPTKYQWSQ-MCIONIFRSA-N 0 1 298.427 0.911 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)NCC(C)(C)S ZINC001220486905 804613735 /nfs/dbraw/zinc/61/37/35/804613735.db2.gz HFVDILGAJJYAJR-VIFPVBQESA-N 0 1 275.418 0.301 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H](Cc1ccccn1)C(=O)OCC ZINC001220567785 804625316 /nfs/dbraw/zinc/62/53/16/804625316.db2.gz MJKACGCQBAANEA-WFASDCNBSA-N 0 1 289.335 0.023 20 30 CCEDMN C[C@H]1COCC[C@@H]1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001220564878 804625409 /nfs/dbraw/zinc/62/54/09/804625409.db2.gz GWVVUEKDHCEEKF-CQDKDKBSSA-N 0 1 287.363 0.969 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2nccs2)[C@@H](O)C1 ZINC001221014243 804720265 /nfs/dbraw/zinc/72/02/65/804720265.db2.gz ISQGTGOJZZRZAV-NEPJUHHUSA-N 0 1 293.392 0.260 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H]2CN(C(=O)COC)[C@@H]2C1 ZINC001221205208 804745539 /nfs/dbraw/zinc/74/55/39/804745539.db2.gz YXXZNWRGGOXURE-CHWSQXEVSA-N 0 1 268.357 0.368 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)COC)[C@@H]2C1 ZINC001221205208 804745543 /nfs/dbraw/zinc/74/55/43/804745543.db2.gz YXXZNWRGGOXURE-CHWSQXEVSA-N 0 1 268.357 0.368 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC[C@@H]1COc2ccccc2O1 ZINC001221296074 804769491 /nfs/dbraw/zinc/76/94/91/804769491.db2.gz XNXCVLSYSWIYTF-ABAIWWIYSA-N 0 1 274.320 0.683 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@@H]1COc2cc(OC)ccc2C1 ZINC001221320665 804775536 /nfs/dbraw/zinc/77/55/36/804775536.db2.gz DTTPPPSNVXRBNE-BZNIZROVSA-N 0 1 288.347 0.713 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CCN(CCOCCO)C[C@H]21 ZINC001221429294 804813807 /nfs/dbraw/zinc/81/38/07/804813807.db2.gz QYBJLJHTNXHPAE-ZIAGYGMSSA-N 0 1 282.384 0.494 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)c2cn[nH]c2)CC1 ZINC001222440225 804902156 /nfs/dbraw/zinc/90/21/56/804902156.db2.gz PEZCKRQRRZGMIG-UHFFFAOYSA-N 0 1 290.367 0.501 20 30 CCEDMN COCc1nc(COC2=C(C)O[C@@H](C)C2=O)no1 ZINC001222463994 804902961 /nfs/dbraw/zinc/90/29/61/804902961.db2.gz ZMLSJLRGBDVWBF-LURJTMIESA-N 0 1 254.242 0.952 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)c2cocn2)CC1 ZINC001222612596 804920941 /nfs/dbraw/zinc/92/09/41/804920941.db2.gz HCVGLHDLFMYVPY-UHFFFAOYSA-N 0 1 291.351 0.766 20 30 CCEDMN C#CCCCC(=O)NCC1CCN([C@@H](C)C(=O)NC)CC1 ZINC001223012588 804946078 /nfs/dbraw/zinc/94/60/78/804946078.db2.gz JRIRPHZBXMNECN-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCC(CNC(=O)C#CC2CC2)CC1 ZINC001223135388 804956113 /nfs/dbraw/zinc/95/61/13/804956113.db2.gz XPXPVNIUHCHXES-GFCCVEGCSA-N 0 1 291.395 0.363 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](CNCc2ncnn2C)C1 ZINC001276948553 805010377 /nfs/dbraw/zinc/01/03/77/805010377.db2.gz YONSZENMMSRFJX-HAQNSBGRSA-N 0 1 277.372 0.766 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@H]2CCCCN2C)CCN1CC#N ZINC001088666548 815052576 /nfs/dbraw/zinc/05/25/76/815052576.db2.gz KKKIBXYMIRVZEW-FRRDWIJNSA-N 0 1 264.373 0.573 20 30 CCEDMN COC(=O)c1cc(=O)[nH]c(O[C@H]2C=CC(=O)C2)n1 ZINC001225729870 805155763 /nfs/dbraw/zinc/15/57/63/805155763.db2.gz DQPNTWOZJXWNDM-ZETCQYMHSA-N 0 1 250.210 0.245 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCn3cncc3C2)[C@H]1C ZINC001088674226 815054246 /nfs/dbraw/zinc/05/42/46/815054246.db2.gz DAECHPKKBBRYBN-NFAWXSAZSA-N 0 1 286.379 0.658 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C(C)(C)C(=C)C)CC1 ZINC001277132415 805239343 /nfs/dbraw/zinc/23/93/43/805239343.db2.gz AQULFHGBZQWORD-UHFFFAOYSA-N 0 1 291.395 0.577 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001277139999 805251553 /nfs/dbraw/zinc/25/15/53/805251553.db2.gz GDXSSRQCWRSQQL-GRYCIOLGSA-N 0 1 277.368 0.019 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCN([C@@H]2CCCN(C)C2=O)CC1 ZINC001226625086 805256554 /nfs/dbraw/zinc/25/65/54/805256554.db2.gz HMCFMWNFJODXMV-DGCLKSJQSA-N 0 1 292.383 0.347 20 30 CCEDMN Cc1nc([C@H](C)N2CCC(NC(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001226641377 805259161 /nfs/dbraw/zinc/25/91/61/805259161.db2.gz ZKTIJUOZTWWMDB-UWVGGRQHSA-N 0 1 290.371 0.914 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCN([C@@H](C)C(=O)N(C)C)CC1 ZINC001226640932 805260653 /nfs/dbraw/zinc/26/06/53/805260653.db2.gz RMTVDYGTKSKYQG-MNOVXSKESA-N 0 1 280.372 0.203 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@@H]2CC[C@@H](F)C2)CC1 ZINC001277150301 805271548 /nfs/dbraw/zinc/27/15/48/805271548.db2.gz OORAPOXOYMADLA-VXGBXAGGSA-N 0 1 297.374 0.665 20 30 CCEDMN CN(CCN(C)c1ccc(C#N)nc1)C(=O)Cc1c[nH]cn1 ZINC001105576223 815064485 /nfs/dbraw/zinc/06/44/85/815064485.db2.gz TZSLZMFANDNPLB-UHFFFAOYSA-N 0 1 298.350 0.814 20 30 CCEDMN C#CCCCC(=O)NC1CCN([C@H](CC)C(N)=O)CC1 ZINC001227108112 805323451 /nfs/dbraw/zinc/32/34/51/805323451.db2.gz LTUROWLGLGWVGJ-CYBMUJFWSA-N 0 1 279.384 0.634 20 30 CCEDMN C=CCC(C)(C)C(=O)NCC1(NCC(=O)NC(N)=O)CC1 ZINC001277182741 805323710 /nfs/dbraw/zinc/32/37/10/805323710.db2.gz BCYAYVSPFZEKKC-UHFFFAOYSA-N 0 1 296.371 0.022 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@]2(CC)CCNC2=O)CC1 ZINC001227884656 805400233 /nfs/dbraw/zinc/40/02/33/805400233.db2.gz HJJQQLDYFUUGRI-INIZCTEOSA-N 0 1 291.395 0.507 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C(C)(C)COC)CC1 ZINC001228294303 805431576 /nfs/dbraw/zinc/43/15/76/805431576.db2.gz UNPDOSMKPHXLSF-UHFFFAOYSA-N 0 1 296.411 0.889 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2C[C@H](C)CO2)CC1 ZINC001228360050 805439412 /nfs/dbraw/zinc/43/94/12/805439412.db2.gz FHTLEENMYNFNSM-DZGCQCFKSA-N 0 1 294.395 0.642 20 30 CCEDMN CC1=C(Oc2nc(C)[nH]c(=O)c2[N+](=O)[O-])C(=O)[C@@H](C)O1 ZINC001229378082 805526328 /nfs/dbraw/zinc/52/63/28/805526328.db2.gz FOMKEXMRCSIZAB-SCSAIBSYSA-N 0 1 281.224 0.997 20 30 CCEDMN Cc1nc2[nH]cnc2c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)N3C)n1 ZINC001229438676 805534875 /nfs/dbraw/zinc/53/48/75/805534875.db2.gz SGQCYRMVNBZCEG-ADAFDVPTSA-N 0 1 287.323 0.653 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)Cn2cncc2C)C1 ZINC001278411331 807088059 /nfs/dbraw/zinc/08/80/59/807088059.db2.gz UAVPYGIYAAJSHH-OAHLLOKOSA-N 0 1 274.368 0.795 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC000989745553 815089921 /nfs/dbraw/zinc/08/99/21/815089921.db2.gz RXWHMWQQVXYTBC-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001042724721 815093101 /nfs/dbraw/zinc/09/31/01/815093101.db2.gz AQNCNVGMYXIEAN-CQSZACIVSA-N 0 1 286.379 0.996 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2[nH]nc3c2CCC3)CCN1CC#N ZINC001088697706 815097896 /nfs/dbraw/zinc/09/78/96/815097896.db2.gz FBELWLGNTOLYQB-KOLCDFICSA-N 0 1 273.340 0.615 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](NCc2cc(C#N)cs2)CN1 ZINC001246502050 807268046 /nfs/dbraw/zinc/26/80/46/807268046.db2.gz FQNQFFOLPHTFBG-ONGXEEELSA-N 0 1 265.338 0.613 20 30 CCEDMN N#Cc1ccn2ncc(CN3CCN(CCCO)CC3)c2c1 ZINC001249086739 807540690 /nfs/dbraw/zinc/54/06/90/807540690.db2.gz OPZLVPQINKWMJR-UHFFFAOYSA-N 0 1 299.378 0.706 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC001251787228 807705770 /nfs/dbraw/zinc/70/57/70/807705770.db2.gz YTZPBUFZUNACFX-VIFPVBQESA-N 0 1 279.344 0.286 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(c2ccc(C#N)cc2)CC1 ZINC001251847720 807718239 /nfs/dbraw/zinc/71/82/39/807718239.db2.gz LJIBSYFRYFGUMN-KRWDZBQOSA-N 0 1 299.374 0.691 20 30 CCEDMN C#CCOC[C@H](O)CN[C@H]1Cc2ccccc2NC1=O ZINC001251884512 807733285 /nfs/dbraw/zinc/73/32/85/807733285.db2.gz AFYQUFBBJBTURT-OCCSQVGLSA-N 0 1 274.320 0.150 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cccnc1OC ZINC001251884637 807734117 /nfs/dbraw/zinc/73/41/17/807734117.db2.gz CZQIELZPTLBDEU-LBPRGKRZSA-N 0 1 250.298 0.191 20 30 CCEDMN C#CCOC[C@@H](O)CNCC(=O)c1c[nH]c2ccccc21 ZINC001251888592 807735382 /nfs/dbraw/zinc/73/53/82/807735382.db2.gz WROHPLUDTNFGMQ-LBPRGKRZSA-N 0 1 286.331 0.951 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cccc(OC)n1 ZINC001251893732 807738615 /nfs/dbraw/zinc/73/86/15/807738615.db2.gz IKKRZDHWJGJYSY-GFCCVEGCSA-N 0 1 250.298 0.191 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cn(C2CCC2)cn1 ZINC001251899801 807741610 /nfs/dbraw/zinc/74/16/10/807741610.db2.gz BXEIUCJZMVNAJL-AWEZNQCLSA-N 0 1 263.341 0.708 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ccnc(OCC2CC2)n1 ZINC001251900426 807742089 /nfs/dbraw/zinc/74/20/89/807742089.db2.gz QMTZJVZIVWBRIG-CQSZACIVSA-N 0 1 291.351 0.366 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ccnc(OCC2CC2)n1 ZINC001251900425 807742679 /nfs/dbraw/zinc/74/26/79/807742679.db2.gz QMTZJVZIVWBRIG-AWEZNQCLSA-N 0 1 291.351 0.366 20 30 CCEDMN CCOC(=O)C1(C#N)CCN(CC(C)(C)O)CC1 ZINC001252355429 807812792 /nfs/dbraw/zinc/81/27/92/807812792.db2.gz IERZPHCQUNGMKN-UHFFFAOYSA-N 0 1 254.330 0.926 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(C(N)=O)c2)[C@H]1C ZINC001088768811 815149380 /nfs/dbraw/zinc/14/93/80/815149380.db2.gz FKECXVZLRVXXMR-KOLCDFICSA-N 0 1 277.324 0.757 20 30 CCEDMN C=CCOC[C@H](O)CNc1ncnc2[nH]c(C)nc21 ZINC001252458243 807872706 /nfs/dbraw/zinc/87/27/06/807872706.db2.gz GUHJJGUNZSYINP-SECBINFHSA-N 0 1 263.301 0.637 20 30 CCEDMN C=CCOC[C@H](O)CN1CCC[C@H]1C(=O)NCC ZINC001252472326 807882555 /nfs/dbraw/zinc/88/25/55/807882555.db2.gz QYAWYOIKXXZQSG-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cc(C(=O)OC)ccn1 ZINC001252483960 807888472 /nfs/dbraw/zinc/88/84/72/807888472.db2.gz XZYCUGHHGVWDOS-CYBMUJFWSA-N 0 1 280.324 0.521 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cc(OC)ccn1 ZINC001252482729 807888508 /nfs/dbraw/zinc/88/85/08/807888508.db2.gz NXOQUGXRAKNZQS-LBPRGKRZSA-N 0 1 252.314 0.743 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@](C)(CNC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001278668573 807888786 /nfs/dbraw/zinc/88/87/86/807888786.db2.gz PDHTUMKGUQYDFH-CJNGLKHVSA-N 0 1 293.411 0.917 20 30 CCEDMN C=C[C@@](C)(O)CNCc1[nH]cnc1C(=O)OCC ZINC001252569408 807911695 /nfs/dbraw/zinc/91/16/95/807911695.db2.gz RQAMXLPWLGBMNM-GFCCVEGCSA-N 0 1 253.302 0.613 20 30 CCEDMN C=C[C@@](C)(O)CNCC(=O)c1ccc(O)c(O)c1 ZINC001252576249 807913357 /nfs/dbraw/zinc/91/33/57/807913357.db2.gz IGYCCKRWDSMJBL-CYBMUJFWSA-N 0 1 251.282 0.807 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2cncnc2)CC1 ZINC001252601633 807917597 /nfs/dbraw/zinc/91/75/97/807917597.db2.gz ANVQNQCSIUHKRZ-AWEZNQCLSA-N 0 1 262.357 0.926 20 30 CCEDMN C=CCC[C@H](O)CN1Cc2ccnn2CC[C@@H]1CO ZINC001252602300 807917834 /nfs/dbraw/zinc/91/78/34/807917834.db2.gz OPJSOLNYAMCDIH-KGLIPLIRSA-N 0 1 265.357 0.777 20 30 CCEDMN C=CCC[C@H](O)CN1CCCC(O)=C1C(=O)OCC ZINC001252599845 807917848 /nfs/dbraw/zinc/91/78/48/807917848.db2.gz LMXUQBJQNJOSBY-WCQYABFASA-N 0 1 269.341 0.910 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2ccncn2)CC1 ZINC001252603550 807918899 /nfs/dbraw/zinc/91/88/99/807918899.db2.gz ZWKCYUXHTORPPR-CYBMUJFWSA-N 0 1 262.357 0.926 20 30 CCEDMN C=CCC[C@H](O)CNC1(C(=O)OC)CCN(C)CC1 ZINC001252620789 807921884 /nfs/dbraw/zinc/92/18/84/807921884.db2.gz OTYGADFSCAWODK-LBPRGKRZSA-N 0 1 270.373 0.541 20 30 CCEDMN C[C@H]1CCN(CC(=O)NCC2(NCC#N)CCOCC2)C1 ZINC001278722481 808066003 /nfs/dbraw/zinc/06/60/03/808066003.db2.gz FGZPFGIOJDYUHI-ZDUSSCGKSA-N 0 1 294.399 0.107 20 30 CCEDMN C=C[C@H](O)CN1CCN(c2ncc(OC)cn2)CC1 ZINC001253587704 808093064 /nfs/dbraw/zinc/09/30/64/808093064.db2.gz OAVXTPALGSBCGD-NSHDSACASA-N 0 1 264.329 0.154 20 30 CCEDMN Cc1cnc([C@@H](C)NCCNC(=O)C#CC2CC2)cn1 ZINC001126881303 815180509 /nfs/dbraw/zinc/18/05/09/815180509.db2.gz OHLNZLDEDGTERL-GFCCVEGCSA-N 0 1 272.352 0.965 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cccs2)C1 ZINC001278752263 808165146 /nfs/dbraw/zinc/16/51/46/808165146.db2.gz BRCAZQDPAHJTNU-ZDUSSCGKSA-N 0 1 264.350 0.548 20 30 CCEDMN N=C(N[C@H]1CCS(=O)(=O)C1)c1ccc(Cl)cn1 ZINC001254318164 808204016 /nfs/dbraw/zinc/20/40/16/808204016.db2.gz VDSUHHWNOLOQFI-QMMMGPOBSA-N 0 1 273.745 0.627 20 30 CCEDMN CC(C)(C)OC(=O)C[C@H](N[C@@H]1CC[C@@H](C#N)C1)C(N)=O ZINC001254658091 808270422 /nfs/dbraw/zinc/27/04/22/808270422.db2.gz UBBDFHUGSAEBHA-MXWKQRLJSA-N 0 1 281.356 0.854 20 30 CCEDMN COC(=O)[C@@H]1CN([C@H]2CC[C@@H](C#N)C2)CCCO1 ZINC001254675351 808272576 /nfs/dbraw/zinc/27/25/76/808272576.db2.gz FEXOQVJZGSWVLJ-WOPDTQHZSA-N 0 1 252.314 0.943 20 30 CCEDMN COC(=O)C1(O)CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC001254676660 808273395 /nfs/dbraw/zinc/27/33/95/808273395.db2.gz MWEGIWGZJIEWCN-QWRGUYRKSA-N 0 1 252.314 0.679 20 30 CCEDMN COC(=O)[C@H](CS)N[C@@H]1CC2CCN(CC2)C1 ZINC001254838207 808283869 /nfs/dbraw/zinc/28/38/69/808283869.db2.gz ZMPJTBCLXHTXOB-MNOVXSKESA-N 0 1 258.387 0.532 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@]1(C)CCCOC1 ZINC001278877987 808418540 /nfs/dbraw/zinc/41/85/40/808418540.db2.gz FWYVLZHJBPIJQK-HIFRSBDPSA-N 0 1 282.384 0.187 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)Cc1cccc(F)c1 ZINC001278882473 808424544 /nfs/dbraw/zinc/42/45/44/808424544.db2.gz IGGIGEIOPRUIFH-OAHLLOKOSA-N 0 1 292.354 0.753 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@](O)(C2CC2)C1 ZINC001256096260 808471211 /nfs/dbraw/zinc/47/12/11/808471211.db2.gz OTXOGLARYKDYSZ-IAQYHMDHSA-N 0 1 277.368 0.784 20 30 CCEDMN CC[C@H](CC#N)N[C@@H](C)CC(=O)N1CCOCC1 ZINC001256322785 808495352 /nfs/dbraw/zinc/49/53/52/808495352.db2.gz BPFVDPRJCSAZMC-NWDGAFQWSA-N 0 1 253.346 0.906 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H](CO)CC1CCC1 ZINC001256585547 808537089 /nfs/dbraw/zinc/53/70/89/808537089.db2.gz RXCYMTDNDOXXCQ-CYBMUJFWSA-N 0 1 267.373 0.402 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCOC[C@@H]1[C@@H]1CCCO1 ZINC001256585913 808538359 /nfs/dbraw/zinc/53/83/59/808538359.db2.gz YFQORPDRJLABHN-KGLIPLIRSA-N 0 1 295.383 0.141 20 30 CCEDMN [NH3+]CCC[N@@H+](C[C@@H](S)OP(=O)([O-])[O-])C1CCCC1 ZINC001257349445 808611280 /nfs/dbraw/zinc/61/12/80/808611280.db2.gz NWKCSQSEWHMWLR-SNVBAGLBSA-N 0 1 298.345 0.945 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)NCc1cc(C)[nH]n1 ZINC001258318477 808671592 /nfs/dbraw/zinc/67/15/92/808671592.db2.gz QKIQUIDOYFZUSS-JTQLQIEISA-N 0 1 264.329 0.805 20 30 CCEDMN N#CCNC[C@@H]1CCC[C@@H]1NC(=O)CCc1nc[nH]n1 ZINC001272252058 815231919 /nfs/dbraw/zinc/23/19/19/815231919.db2.gz IHZWNSWZGJXADD-QWRGUYRKSA-N 0 1 276.344 0.135 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@@H]2CN(C(=O)CC)C[C@]2(C)C1 ZINC001101098502 815241199 /nfs/dbraw/zinc/24/11/99/815241199.db2.gz BEROPHDVLNUFIS-ZENOOKHLSA-N 0 1 291.395 0.315 20 30 CCEDMN O=C1C=C2CN(S(=O)(=O)c3cn[nH]c3)CCC2S1 ZINC001260955766 808889193 /nfs/dbraw/zinc/88/91/93/808889193.db2.gz PHLJDGPZSGOFKY-SECBINFHSA-N 0 1 285.350 0.373 20 30 CCEDMN COC1(C=Nn2c(=O)c(C)n[nH]c2=S)CCC1 ZINC001261128550 808910719 /nfs/dbraw/zinc/91/07/19/808910719.db2.gz VKAIJQLLBJLZJC-UHFFFAOYSA-N 0 1 254.315 0.638 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001261521169 809006580 /nfs/dbraw/zinc/00/65/80/809006580.db2.gz PRWNTODZSYIYNG-RYUDHWBXSA-N 0 1 294.355 0.940 20 30 CCEDMN Cn1cnc2cccc(C(=O)N[C@H]3CNC[C@@H]3C#N)c21 ZINC001263105716 809447993 /nfs/dbraw/zinc/44/79/93/809447993.db2.gz WHIYNQLCLKBELK-CABZTGNLSA-N 0 1 269.308 0.415 20 30 CCEDMN C#CCNCC(=O)NCC1([C@H](O)c2ccccc2)CC1 ZINC001263123678 809453220 /nfs/dbraw/zinc/45/32/20/809453220.db2.gz VAWKCVNHQCVJRY-OAHLLOKOSA-N 0 1 272.348 0.839 20 30 CCEDMN C#CCNCC(=O)N1Cc2ncccc2N2CCC[C@H]2C1 ZINC001263253677 809496556 /nfs/dbraw/zinc/49/65/56/809496556.db2.gz TVUOLWRSJZQZCP-ZDUSSCGKSA-N 0 1 284.363 0.615 20 30 CCEDMN COC(=O)[C@H]1C[C@@H](C(=O)C(C#N)C(=O)NC(C)C)C1 ZINC001263308118 809506653 /nfs/dbraw/zinc/50/66/53/809506653.db2.gz VPXYMPKXKGUTLT-AEJSXWLSSA-N 0 1 266.297 0.419 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCc4[nH]cnc4C3)[C@@H]2C1 ZINC001076439873 815299474 /nfs/dbraw/zinc/29/94/74/815299474.db2.gz KRTKKIIJORHEJS-TYNCELHUSA-N 0 1 299.378 0.571 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCCN1C(=O)c1cnnn1C ZINC001264182201 809636724 /nfs/dbraw/zinc/63/67/24/809636724.db2.gz JEMZQLQQNAFBHN-LBPRGKRZSA-N 0 1 275.356 0.375 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cnnn1C ZINC001264182201 809636728 /nfs/dbraw/zinc/63/67/28/809636728.db2.gz JEMZQLQQNAFBHN-LBPRGKRZSA-N 0 1 275.356 0.375 20 30 CCEDMN CCO[C@@H]1CN(C(=O)CN2CCCC2)C[C@H]1CNCC#N ZINC001264538678 809662351 /nfs/dbraw/zinc/66/23/51/809662351.db2.gz GBQKVRCGQCKUHI-ZIAGYGMSSA-N 0 1 294.399 0.059 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)[C@@H](F)CC)n1 ZINC001264582991 809663976 /nfs/dbraw/zinc/66/39/76/809663976.db2.gz SMXHSPUCBRAWRP-JTQLQIEISA-N 0 1 280.303 0.269 20 30 CCEDMN C=CCN(C)CCCNC(=O)C1(S(C)(=O)=O)CCC1 ZINC001265054951 809701579 /nfs/dbraw/zinc/70/15/79/809701579.db2.gz VCDMJFACFWIISB-UHFFFAOYSA-N 0 1 288.413 0.578 20 30 CCEDMN C#CCNC(=O)CN(CC)CCCNC(=O)/C(C)=C\C ZINC001265107325 809709586 /nfs/dbraw/zinc/70/95/86/809709586.db2.gz HLNKSVYRJHCVAY-MLPAPPSSSA-N 0 1 279.384 0.530 20 30 CCEDMN CC#CC[N@@H+](CCCNC(=O)CCc1nnc[nH]1)C1CC1 ZINC001265158080 809718238 /nfs/dbraw/zinc/71/82/38/809718238.db2.gz YHLWZWBRHIDPSP-UHFFFAOYSA-N 0 1 289.383 0.731 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CC2(O)CCC2)CC1 ZINC001265192170 809721823 /nfs/dbraw/zinc/72/18/23/809721823.db2.gz RKLXXVGJVYOONC-UHFFFAOYSA-N 0 1 264.369 0.848 20 30 CCEDMN N#CCSCC(=O)N[C@@H]1CCCN(CCCO)C1 ZINC001265203491 809722375 /nfs/dbraw/zinc/72/23/75/809722375.db2.gz KWZIKNJVGHEBGX-LLVKDONJSA-N 0 1 271.386 0.206 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H](C)NC(=O)CC)CC1 ZINC001265192037 809722429 /nfs/dbraw/zinc/72/24/29/809722429.db2.gz MYMOIPXBPVWSHE-LBPRGKRZSA-N 0 1 279.384 0.457 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)c2cnnn2C)C1 ZINC001265212754 809725156 /nfs/dbraw/zinc/72/51/56/809725156.db2.gz FMLXDWPGAWWPCH-LBPRGKRZSA-N 0 1 293.371 0.212 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CCN2C(=O)CCC2=O)C1 ZINC001265218829 809726703 /nfs/dbraw/zinc/72/67/03/809726703.db2.gz MRTRZGOKPTUBJA-LBPRGKRZSA-N 0 1 293.367 0.292 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)CCC)CC1 ZINC001265236996 809729262 /nfs/dbraw/zinc/72/92/62/809729262.db2.gz KHRRHJLPSCUISE-UHFFFAOYSA-N 0 1 251.374 0.544 20 30 CCEDMN CC(C)(C)C#CC(=O)NCCCN1CCN(CCO)CC1 ZINC001265243417 809730888 /nfs/dbraw/zinc/73/08/88/809730888.db2.gz RDUULABBLOMSCU-UHFFFAOYSA-N 0 1 295.427 0.152 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2ccc(C#N)[nH]2)CC1 ZINC001265257937 809733929 /nfs/dbraw/zinc/73/39/29/809733929.db2.gz SXQMFYLQGMUALT-UHFFFAOYSA-N 0 1 287.367 0.420 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C[C@H](C)COC)CC1 ZINC001265265155 809734325 /nfs/dbraw/zinc/73/43/25/809734325.db2.gz RCZGMVVOHGABRC-AWEZNQCLSA-N 0 1 283.416 0.579 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCNC(=O)C(C)(C)C)C1 ZINC001265296815 809749981 /nfs/dbraw/zinc/74/99/81/809749981.db2.gz DSKBYYCDAWHQHR-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)NC(N)=O ZINC001265407162 809772978 /nfs/dbraw/zinc/77/29/78/809772978.db2.gz RZLASUMBMNECJD-UPJWGTAASA-N 0 1 294.399 0.685 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2nccnc2C)C1 ZINC001265422652 809775881 /nfs/dbraw/zinc/77/58/81/809775881.db2.gz VBAFFHIOYCXSNN-ZDUSSCGKSA-N 0 1 290.367 0.678 20 30 CCEDMN CCO[C@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001265710556 809828982 /nfs/dbraw/zinc/82/89/82/809828982.db2.gz CJGGXMLECRLZHW-MRLBHPIUSA-N 0 1 292.379 0.108 20 30 CCEDMN C[C@@H](CNC(=O)CSCC#N)NCc1ccns1 ZINC001265755471 809840176 /nfs/dbraw/zinc/84/01/76/809840176.db2.gz YDCYCLJAGMHFFT-VIFPVBQESA-N 0 1 284.410 0.994 20 30 CCEDMN CCc1nocc1CN[C@@H](C)CNC(=O)[C@H](C)C#N ZINC001265758718 809842925 /nfs/dbraw/zinc/84/29/25/809842925.db2.gz ITXIRAPUCPXDNY-ZJUUUORDSA-N 0 1 264.329 0.991 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H](C)NCc1ccccn1 ZINC001265774596 809848859 /nfs/dbraw/zinc/84/88/59/809848859.db2.gz HFSSBRYWSWJCMM-QWHCGFSZSA-N 0 1 275.352 0.714 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@@H](F)C(C)C ZINC001265906971 809891161 /nfs/dbraw/zinc/89/11/61/809891161.db2.gz WBFZONCRQNWKKH-OLZOCXBDSA-N 0 1 299.390 0.557 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@H](CNCC#N)C2)n[nH]1 ZINC001265958163 809905366 /nfs/dbraw/zinc/90/53/66/809905366.db2.gz MPKLOWOJDLVZHC-SNVBAGLBSA-N 0 1 261.329 0.547 20 30 CCEDMN CCOCCN1CCC[C@@](CO)(NC(=O)[C@H](C)C#N)C1 ZINC001279471933 809983212 /nfs/dbraw/zinc/98/32/12/809983212.db2.gz UIXYZBUVRBYIJV-TZMCWYRMSA-N 0 1 283.372 0.126 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H](C)n2cncn2)CC1 ZINC001279536449 809986246 /nfs/dbraw/zinc/98/62/46/809986246.db2.gz PPHOBBHZPLDVSZ-ZDUSSCGKSA-N 0 1 287.367 0.611 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2CCCCC2)C1 ZINC001076694151 815348080 /nfs/dbraw/zinc/34/80/80/815348080.db2.gz MHHPTPNVQYDCNU-ZIAGYGMSSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc(C)c2)C1 ZINC001076706479 815350178 /nfs/dbraw/zinc/35/01/78/815350178.db2.gz JOAUCGYUNIIFJF-HUUCEWRRSA-N 0 1 272.348 0.793 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cnc(C)cn2)C1 ZINC001076703832 815351427 /nfs/dbraw/zinc/35/14/27/815351427.db2.gz JTLNMGHBBTUONW-CHWSQXEVSA-N 0 1 276.340 0.136 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)on2)C1 ZINC001076719560 815353694 /nfs/dbraw/zinc/35/36/94/815353694.db2.gz COYURBYZLAIBJJ-VXGBXAGGSA-N 0 1 265.313 0.334 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2[nH]ccc2C)C1 ZINC001076945898 815419119 /nfs/dbraw/zinc/41/91/19/815419119.db2.gz QHOFQIFYHGLMHI-VXGBXAGGSA-N 0 1 263.341 0.674 20 30 CCEDMN Cc1nc(C)c(CNCCN(C)C(=O)[C@H](C)C#N)o1 ZINC001267355593 811205302 /nfs/dbraw/zinc/20/53/02/811205302.db2.gz WRVZYCDCZFDAEZ-SECBINFHSA-N 0 1 264.329 0.999 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc(F)c2C)C1 ZINC001077035689 815435795 /nfs/dbraw/zinc/43/57/95/815435795.db2.gz JNUUCTPQZZTTHR-HUUCEWRRSA-N 0 1 290.338 0.932 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3c[nH]nc3C)C[C@H]21 ZINC001042172977 811346375 /nfs/dbraw/zinc/34/63/75/811346375.db2.gz KSWVZGYUCGTYES-TZMCWYRMSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@@H]1CCCNC1=O)c1ccccc1 ZINC001267520911 811399242 /nfs/dbraw/zinc/39/92/42/811399242.db2.gz OOEDOODZJFKLQE-CABCVRRESA-N 0 1 299.374 0.593 20 30 CCEDMN CC#CCN[C@@H](CNC(=O)c1cnn(C)n1)c1ccccc1 ZINC001267522781 811401861 /nfs/dbraw/zinc/40/18/61/811401861.db2.gz LOQADSHITIYMBE-AWEZNQCLSA-N 0 1 297.362 0.899 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](NC(=O)c3cnn[nH]3)C2)cn1 ZINC001058599034 811422351 /nfs/dbraw/zinc/42/23/51/811422351.db2.gz FCAMIIFBDKUYQO-SNVBAGLBSA-N 0 1 283.295 0.080 20 30 CCEDMN N#Cc1cnccc1N1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001058599652 811423324 /nfs/dbraw/zinc/42/33/24/811423324.db2.gz WNGZKEJPONGDDI-SNVBAGLBSA-N 0 1 283.295 0.080 20 30 CCEDMN C[C@H](CNc1ncnc2[nH]cnc21)N(C)C(=O)[C@@H](C)C#N ZINC001104525345 811440232 /nfs/dbraw/zinc/44/02/32/811440232.db2.gz VHCLSXNVECLOCW-DTWKUNHWSA-N 0 1 287.327 0.723 20 30 CCEDMN C[C@@H]1C[C@H]1C(=O)NCC[C@H]1CCN(CC(=O)NCC#N)C1 ZINC001267561403 811448357 /nfs/dbraw/zinc/44/83/57/811448357.db2.gz FYFAUNRXXFNKSX-FRRDWIJNSA-N 0 1 292.383 0.110 20 30 CCEDMN CN(CCN1CCN(CCO)CC1)C(=O)C#CC(C)(C)C ZINC001267571871 811461730 /nfs/dbraw/zinc/46/17/30/811461730.db2.gz ATCBKWFIXCUABJ-UHFFFAOYSA-N 0 1 295.427 0.104 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CN(C)C(C)=O)C1 ZINC001207430528 811517877 /nfs/dbraw/zinc/51/78/77/811517877.db2.gz LCLPUEDDERXEJL-GFCCVEGCSA-N 0 1 287.791 0.798 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CCC[N@H+](C[C@H](O)COC)C1 ZINC001267616549 811572172 /nfs/dbraw/zinc/57/21/72/811572172.db2.gz RIICKPWJXOOXRS-OLZOCXBDSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@@H]2CCCO2)C1 ZINC001267620600 811577787 /nfs/dbraw/zinc/57/77/87/811577787.db2.gz BWHKUWWEKLGUNT-KBPBESRZSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCCCC(=O)N1CCO[C@@H]2C[N@H+](CCCO)C[C@@H]21 ZINC001218557949 811584178 /nfs/dbraw/zinc/58/41/78/811584178.db2.gz NUFSPNUXVDGLRD-UONOGXRCSA-N 0 1 282.384 0.637 20 30 CCEDMN C=CCCCC(=O)N1CCO[C@@H]2CN(CCCO)C[C@@H]21 ZINC001218557949 811584186 /nfs/dbraw/zinc/58/41/86/811584186.db2.gz NUFSPNUXVDGLRD-UONOGXRCSA-N 0 1 282.384 0.637 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@H](C)NC(N)=O)C1 ZINC001267646337 811613205 /nfs/dbraw/zinc/61/32/05/811613205.db2.gz ANCQATBJPRTGFX-JTQLQIEISA-N 0 1 268.361 0.200 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001267649566 811617057 /nfs/dbraw/zinc/61/70/57/811617057.db2.gz ROXCGQHVUHMUMG-WCQYABFASA-N 0 1 279.384 0.810 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001267653585 811619970 /nfs/dbraw/zinc/61/99/70/811619970.db2.gz WFOYREIPEWESFA-ZDUSSCGKSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCN1CC(CNC(=O)CNC(=O)N2CCCC2)C1 ZINC001267681174 811646122 /nfs/dbraw/zinc/64/61/22/811646122.db2.gz KJVOCFXIXAWDAL-UHFFFAOYSA-N 0 1 280.372 0.026 20 30 CCEDMN CCc1n[nH]c(C(=O)NC[C@@H]2CCN2CC#N)c1Cl ZINC001038340824 811653338 /nfs/dbraw/zinc/65/33/38/811653338.db2.gz JEIOMOCEPLXCSM-QMMMGPOBSA-N 0 1 281.747 0.953 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2ccnn2C)C1 ZINC001267743003 811726587 /nfs/dbraw/zinc/72/65/87/811726587.db2.gz HXFJXOOTTRNVRV-CYBMUJFWSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnon3)C[C@@H]21 ZINC001042278411 811765406 /nfs/dbraw/zinc/76/54/06/811765406.db2.gz UNAQDOZEXBIALS-JQWIXIFHSA-N 0 1 260.297 0.239 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CCn2cnnn2)C1 ZINC001267791838 811789214 /nfs/dbraw/zinc/78/92/14/811789214.db2.gz XGMGBHHFHVMRKP-CYBMUJFWSA-N 0 1 290.371 0.009 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N1C[C@@H](C)[C@H](CCNCC#N)C1 ZINC001105064254 811826125 /nfs/dbraw/zinc/82/61/25/811826125.db2.gz FWFNAKPLEZWTIU-ZIAGYGMSSA-N 0 1 280.416 0.924 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1ncn[nH]1)Nc1ccncc1C#N ZINC001105212010 811863878 /nfs/dbraw/zinc/86/38/78/811863878.db2.gz UNWWROYJEVTEEK-LBPRGKRZSA-N 0 1 299.338 0.360 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1nc[nH]n1)Nc1ccncc1C#N ZINC001105212010 811863886 /nfs/dbraw/zinc/86/38/86/811863886.db2.gz UNWWROYJEVTEEK-LBPRGKRZSA-N 0 1 299.338 0.360 20 30 CCEDMN CCNCc1cn(C2CN(C(=O)C#CC(C)C)C2)nn1 ZINC001105233386 811868934 /nfs/dbraw/zinc/86/89/34/811868934.db2.gz WTAUYXNEWWOJPQ-UHFFFAOYSA-N 0 1 275.356 0.430 20 30 CCEDMN C[C@@H](CNCC#N)CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001267985288 811924782 /nfs/dbraw/zinc/92/47/82/811924782.db2.gz DLPDRPWDIAFINX-QWRGUYRKSA-N 0 1 275.356 0.695 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CNCc2cn(C)nn2)C1 ZINC001026992931 811951605 /nfs/dbraw/zinc/95/16/05/811951605.db2.gz WEEOKDCOCKUMQC-LBPRGKRZSA-N 0 1 291.399 0.965 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CCN(CC(=O)N(C)C)CC1 ZINC001222641349 811953812 /nfs/dbraw/zinc/95/38/12/811953812.db2.gz JTYHCRUCDOOWSX-NSHDSACASA-N 0 1 280.372 0.062 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1C[N@@H+](CCCC)CCO1 ZINC001268021026 811968658 /nfs/dbraw/zinc/96/86/58/811968658.db2.gz APLJBZCKXAIRBC-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1CN(CCCC)CCO1 ZINC001268021026 811968663 /nfs/dbraw/zinc/96/86/63/811968663.db2.gz APLJBZCKXAIRBC-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@@H]2CCCN(C(C)=O)C2)C1=O ZINC001027257206 811983904 /nfs/dbraw/zinc/98/39/04/811983904.db2.gz RDUVEKCKFHUYKJ-KGLIPLIRSA-N 0 1 279.384 0.716 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)CCOC)CC2 ZINC001268042088 812072135 /nfs/dbraw/zinc/07/21/35/812072135.db2.gz KCYTUGVGSFNKBT-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cnn(CC)n1 ZINC001027869780 812107964 /nfs/dbraw/zinc/10/79/64/812107964.db2.gz MUCXFAONZCCURY-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001027882566 812114846 /nfs/dbraw/zinc/11/48/46/812114846.db2.gz ROMGKLZOEAKLQU-NWDGAFQWSA-N 0 1 287.367 0.934 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001027952687 812162380 /nfs/dbraw/zinc/16/23/80/812162380.db2.gz CGPGQRKOORDWTL-NSHDSACASA-N 0 1 276.340 0.641 20 30 CCEDMN C[C@H](CNCC#N)N(C)C(=O)c1c[nH]cc2ncnc1-2 ZINC001268062751 812171409 /nfs/dbraw/zinc/17/14/09/812171409.db2.gz DHZBDRJDEMESEI-SECBINFHSA-N 0 1 272.312 0.532 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@H]1CCCN1CC#N ZINC001027972189 812180383 /nfs/dbraw/zinc/18/03/83/812180383.db2.gz RLWBCXRLEHUREZ-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](Nc3ccnc(C#N)n3)C2)[nH]1 ZINC001058848295 812187774 /nfs/dbraw/zinc/18/77/74/812187774.db2.gz BXABPDBRHBKCNP-JTQLQIEISA-N 0 1 297.322 0.128 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1cccc2nc[nH]c21 ZINC001038841914 812210228 /nfs/dbraw/zinc/21/02/28/812210228.db2.gz DOGJULXXKZCYSB-SNVBAGLBSA-N 0 1 269.308 0.891 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC001028007749 812211387 /nfs/dbraw/zinc/21/13/87/812211387.db2.gz PPUNUWJEFFQSTK-CABCVRRESA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnn2cccnc12 ZINC001028065278 812241057 /nfs/dbraw/zinc/24/10/57/812241057.db2.gz CDBSXAGTDVOZOD-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN COc1ccc(CC(=O)N2CC3(CN(C)C3)C2)c(C#N)c1 ZINC001272263613 815517400 /nfs/dbraw/zinc/51/74/00/815517400.db2.gz OJYNMEIRYUSGEI-UHFFFAOYSA-N 0 1 285.347 0.883 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001028139814 812306175 /nfs/dbraw/zinc/30/61/75/812306175.db2.gz UIVIDCXDKGOACX-LLVKDONJSA-N 0 1 288.351 0.331 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C3=COCCC3)[C@@H]2C1 ZINC001075601365 812308307 /nfs/dbraw/zinc/30/83/07/812308307.db2.gz HDUXXLZWZGYMDG-GXTWGEPZSA-N 0 1 260.337 0.847 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1OCC[C@H]1C ZINC001028142173 812309235 /nfs/dbraw/zinc/30/92/35/812309235.db2.gz FELLCPDYVQJSMH-UPJWGTAASA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1nccn2ccnc12 ZINC001028145730 812313553 /nfs/dbraw/zinc/31/35/53/812313553.db2.gz WXIAQBXCIRUXGR-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2CCCO)nc1 ZINC001038934068 812367971 /nfs/dbraw/zinc/36/79/71/812367971.db2.gz ZXPJLYZOQCVBFU-ZDUSSCGKSA-N 0 1 273.336 0.249 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)Cc1cncs1 ZINC001268241082 812405883 /nfs/dbraw/zinc/40/58/83/812405883.db2.gz IFPNTUMQIQFCHZ-UHFFFAOYSA-N 0 1 251.355 0.709 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001028248668 812491724 /nfs/dbraw/zinc/49/17/24/812491724.db2.gz AXZKVAJTYIKKAD-OLZOCXBDSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001028248668 812491729 /nfs/dbraw/zinc/49/17/29/812491729.db2.gz AXZKVAJTYIKKAD-OLZOCXBDSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CCNCc1nncn1C ZINC001268358970 812519973 /nfs/dbraw/zinc/51/99/73/812519973.db2.gz ZGBZRUDUWIHBSC-LBPRGKRZSA-N 0 1 277.372 0.603 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CCN[C@H](C)c1nnnn1C ZINC001268362143 812520966 /nfs/dbraw/zinc/52/09/66/812520966.db2.gz CHJUALZMIKEOIO-VXGBXAGGSA-N 0 1 292.387 0.415 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c(OC)cc[nH]c1=O ZINC001028267103 812533146 /nfs/dbraw/zinc/53/31/46/812533146.db2.gz FUNYSMRGBJSICS-NSHDSACASA-N 0 1 289.335 0.623 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3nccnc3N)[C@@H]2C1 ZINC001075628100 812549372 /nfs/dbraw/zinc/54/93/72/812549372.db2.gz FTLKIHREKYBHFP-NWDGAFQWSA-N 0 1 285.351 0.228 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1nccnc1C ZINC001127023345 815559190 /nfs/dbraw/zinc/55/91/90/815559190.db2.gz JWDMTTQUFPBREQ-UHFFFAOYSA-N 0 1 276.340 0.031 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)c1cc(C)co1 ZINC001268579951 812862728 /nfs/dbraw/zinc/86/27/28/812862728.db2.gz JBWMIJZQADCAGB-SNAWJCMRSA-N 0 1 291.351 0.766 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)[C@@H]1CC[C@@H](F)C1 ZINC001268582973 812866154 /nfs/dbraw/zinc/86/61/54/812866154.db2.gz RKROOJGVSYOYIO-CDJBXZAQSA-N 0 1 295.358 0.136 20 30 CCEDMN C=CCCCC(=O)NC/C=C\CN[C@H]1CCNC1=O ZINC001268583194 812868679 /nfs/dbraw/zinc/86/86/79/812868679.db2.gz DSAFOAUSLDXQCD-FJOGCWAESA-N 0 1 265.357 0.493 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccon2)[C@@H](O)C1 ZINC001083353958 812904608 /nfs/dbraw/zinc/90/46/08/812904608.db2.gz DAMWKODFWICTGI-MNOVXSKESA-N 0 1 251.286 0.026 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC2CCC2)[C@@H](O)C1 ZINC001083383980 812926255 /nfs/dbraw/zinc/92/62/55/812926255.db2.gz GQBSRMSDKIAXCN-OLZOCXBDSA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cccnc1OC ZINC001127027078 815564401 /nfs/dbraw/zinc/56/44/01/815564401.db2.gz HJYRWIFYSVBEIO-UHFFFAOYSA-N 0 1 291.351 0.336 20 30 CCEDMN C=CCOCC[NH2+]C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001268684293 813007921 /nfs/dbraw/zinc/00/79/21/813007921.db2.gz MDTZWXSXISWCHT-NSHDSACASA-N 0 1 279.340 0.698 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H](C)CN(C)CC#CC ZINC001268730631 813045018 /nfs/dbraw/zinc/04/50/18/813045018.db2.gz VZNXSHZKRUHFNS-GXTWGEPZSA-N 0 1 252.358 0.773 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](C)NC(=O)CCCF)C1=O ZINC001268742477 813054355 /nfs/dbraw/zinc/05/43/55/813054355.db2.gz DWTRDRAZIUDHQH-OLZOCXBDSA-N 0 1 299.390 0.960 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1nccn2ccnc12 ZINC001268747578 813059810 /nfs/dbraw/zinc/05/98/10/813059810.db2.gz SHSOHZSNXMOBMW-LLVKDONJSA-N 0 1 271.324 0.413 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001028641119 813097097 /nfs/dbraw/zinc/09/70/97/813097097.db2.gz BSRYIYROGTXKHM-KBPBESRZSA-N 0 1 286.379 0.517 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)C2=COCCO2)C1 ZINC001269104885 813218356 /nfs/dbraw/zinc/21/83/56/813218356.db2.gz YAIBOGDVYMRVSB-GFCCVEGCSA-N 0 1 282.340 0.268 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCN[C@H](C)c1cnccn1 ZINC001127046648 815602616 /nfs/dbraw/zinc/60/26/16/815602616.db2.gz UEUMMVKILDRRSM-VXGBXAGGSA-N 0 1 276.340 0.282 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCN[C@@H](C)c1cnccn1 ZINC001127046647 815602758 /nfs/dbraw/zinc/60/27/58/815602758.db2.gz UEUMMVKILDRRSM-RYUDHWBXSA-N 0 1 276.340 0.282 20 30 CCEDMN COCCCN1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001269224745 813275587 /nfs/dbraw/zinc/27/55/87/813275587.db2.gz YNZNISWAWQRRKJ-ZDUSSCGKSA-N 0 1 276.340 0.727 20 30 CCEDMN C=C(C)CCC(=O)NCC1(N[C@@H](C)C(=O)NC(N)=O)CC1 ZINC001269420357 813365933 /nfs/dbraw/zinc/36/59/33/813365933.db2.gz VRIYRZRTMWTQQC-JTQLQIEISA-N 0 1 296.371 0.165 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN(CC(N)=O)C2)cc1 ZINC001028730879 813393619 /nfs/dbraw/zinc/39/36/19/813393619.db2.gz SXIXQHAYISPFPK-CYBMUJFWSA-N 0 1 285.347 0.205 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@@H](C)n2cncn2)C1 ZINC001269773368 813504048 /nfs/dbraw/zinc/50/40/48/813504048.db2.gz USZJBWUOTZQMPT-GFCCVEGCSA-N 0 1 277.372 0.948 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@](C)(O)C3CC3)[C@@H]2C1 ZINC001075703653 813514782 /nfs/dbraw/zinc/51/47/82/813514782.db2.gz KGNIRAFAJQBJTG-JGGQBBKZSA-N 0 1 276.380 0.703 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)CNC(=O)CC)CC1 ZINC001270213542 813680040 /nfs/dbraw/zinc/68/00/40/813680040.db2.gz WIGJMILNEFISSJ-UHFFFAOYSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cc2nnc(C)o2)C1 ZINC001270581900 813824405 /nfs/dbraw/zinc/82/44/05/813824405.db2.gz BMKLSBPNZJJOIW-ZDUSSCGKSA-N 0 1 262.313 0.134 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H]1CN(CCC2CC2)CCO1 ZINC001270638347 813864194 /nfs/dbraw/zinc/86/41/94/813864194.db2.gz LHWKLRBEVZHMMX-HOCLYGCPSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2nccnc2N)[C@H]1CC ZINC001087524990 814063584 /nfs/dbraw/zinc/06/35/84/814063584.db2.gz ZAZXHQSGJNDQMB-NWDGAFQWSA-N 0 1 287.367 0.665 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)[C@H](C)n2cncn2)[C@H]1CC ZINC001087548041 814135290 /nfs/dbraw/zinc/13/52/90/814135290.db2.gz ZKKKJYRPTLBWFO-MELADBBJSA-N 0 1 289.383 0.832 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)n2cncn2)[C@H]1CC ZINC001087548041 814135295 /nfs/dbraw/zinc/13/52/95/814135295.db2.gz ZKKKJYRPTLBWFO-MELADBBJSA-N 0 1 289.383 0.832 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2nnc(C)o2)[C@H]1CC ZINC001087563252 814164158 /nfs/dbraw/zinc/16/41/58/814164158.db2.gz MVVICYLAGXEOBC-NWDGAFQWSA-N 0 1 276.340 0.523 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)NC2)[C@H]1CC ZINC001087599210 814172332 /nfs/dbraw/zinc/17/23/32/814172332.db2.gz RVKRSPDJGPWUQD-MELADBBJSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[C@H]1CC ZINC001087692740 814190487 /nfs/dbraw/zinc/19/04/87/814190487.db2.gz CPGVHZXPGOLYSR-XPABHHOTSA-N 0 1 276.380 0.871 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@@H](C)CCC)C1 ZINC001271133259 814192159 /nfs/dbraw/zinc/19/21/59/814192159.db2.gz XOGMQAIQDWURTE-ZFWWWQNUSA-N 0 1 266.385 0.999 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)Cn2ccc(C)n2)[C@H]1CC ZINC001087730940 814200004 /nfs/dbraw/zinc/20/00/04/814200004.db2.gz LUJAUSDEHRINPX-UONOGXRCSA-N 0 1 274.368 0.794 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2ccc(C)n2)[C@H]1CC ZINC001087730940 814200007 /nfs/dbraw/zinc/20/00/07/814200007.db2.gz LUJAUSDEHRINPX-UONOGXRCSA-N 0 1 274.368 0.794 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CC=C)nn2)[C@H]1CC ZINC001087754302 814204983 /nfs/dbraw/zinc/20/49/83/814204983.db2.gz ZASYCWYSJLPHHQ-GXTWGEPZSA-N 0 1 287.367 0.680 20 30 CCEDMN C=CCSCC(=O)N1Cc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001271153345 814217977 /nfs/dbraw/zinc/21/79/77/814217977.db2.gz QZQQKNCZUHEKRZ-UHFFFAOYSA-N 0 1 294.380 0.873 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)c3ccn[nH]3)C[C@@H]2C1 ZINC001087893691 814259665 /nfs/dbraw/zinc/25/96/65/814259665.db2.gz RYKPYJSQSGRBHT-MNOVXSKESA-N 0 1 259.313 0.327 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@]1(O)CCN([C@@H](CC)C(N)=O)C1 ZINC001271175472 814314795 /nfs/dbraw/zinc/31/47/95/814314795.db2.gz PCKITXKRNQNXPM-XHDPSFHLSA-N 0 1 297.399 0.016 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CN(C)Cc2ccnn2C)C1 ZINC001029679255 814536841 /nfs/dbraw/zinc/53/68/41/814536841.db2.gz YNZIRAIYJAZLNP-OLZOCXBDSA-N 0 1 289.383 0.860 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1ccoc1 ZINC001271962504 814653698 /nfs/dbraw/zinc/65/36/98/814653698.db2.gz MVVJBDMOQFZEEZ-UHFFFAOYSA-N 0 1 264.325 0.933 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)c2[nH]cnc2C)C1 ZINC001271997329 814671599 /nfs/dbraw/zinc/67/15/99/814671599.db2.gz WWBNOQIIGZQCEH-OAHLLOKOSA-N 0 1 292.383 0.851 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1c(C)ccn1C ZINC001271998230 814673865 /nfs/dbraw/zinc/67/38/65/814673865.db2.gz NGNKYNTWHSTHSN-UHFFFAOYSA-N 0 1 291.395 0.987 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)c3ncn[nH]3)C2)cn1 ZINC001059692472 814718997 /nfs/dbraw/zinc/71/89/97/814718997.db2.gz TUNOWXBLHLTUOE-PHIMTYICSA-N 0 1 283.295 0.444 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)c3nc[nH]n3)C2)cn1 ZINC001059692472 814718998 /nfs/dbraw/zinc/71/89/98/814718998.db2.gz TUNOWXBLHLTUOE-PHIMTYICSA-N 0 1 283.295 0.444 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCNC(=O)C2)[C@H]1C ZINC001088520967 814848907 /nfs/dbraw/zinc/84/89/07/814848907.db2.gz QFBACJGISLJSJE-AGIUHOORSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1n[nH]c2c1CCC2 ZINC001272054772 814887655 /nfs/dbraw/zinc/88/76/55/814887655.db2.gz CMEJNZUURIREJI-UHFFFAOYSA-N 0 1 260.341 0.535 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)[C@@H](O)C1 ZINC001083661827 815630424 /nfs/dbraw/zinc/63/04/24/815630424.db2.gz OEOGYLDHISIRBY-LJIZCISZSA-N 0 1 290.407 0.997 20 30 CCEDMN N#Cc1ccc(C(=O)NC2CN(CC3CCOCC3)C2)[nH]1 ZINC001030224260 815909401 /nfs/dbraw/zinc/90/94/01/815909401.db2.gz KELWEYPFQVRZMX-UHFFFAOYSA-N 0 1 288.351 0.727 20 30 CCEDMN N#Cc1cc(C(=O)NC2CN(CC3CCOCC3)C2)c[nH]1 ZINC001030235391 815915821 /nfs/dbraw/zinc/91/58/21/815915821.db2.gz WEJBFYOOXZZZMY-UHFFFAOYSA-N 0 1 288.351 0.727 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)COCCOC ZINC001098982141 816049254 /nfs/dbraw/zinc/04/92/54/816049254.db2.gz IUKBWUBYNVHPEC-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@H]1C ZINC001088861766 816095081 /nfs/dbraw/zinc/09/50/81/816095081.db2.gz ZPSBPXAPEXBIGO-MNOVXSKESA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2nnnc2C(C)C)[C@H]1C ZINC001088908427 816169722 /nfs/dbraw/zinc/16/97/22/816169722.db2.gz XWCGYBZJEXXWSC-NEPJUHHUSA-N 0 1 290.371 0.009 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C[C@H]2CCC(=O)N2)[C@H]1C ZINC001088916719 816173199 /nfs/dbraw/zinc/17/31/99/816173199.db2.gz DTNJDZDWAVVIBB-UPJWGTAASA-N 0 1 277.368 0.257 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)N2)[C@H]1C ZINC001088916719 816173202 /nfs/dbraw/zinc/17/32/02/816173202.db2.gz DTNJDZDWAVVIBB-UPJWGTAASA-N 0 1 277.368 0.257 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(OC)nc2)[C@H]1C ZINC001088956533 816193087 /nfs/dbraw/zinc/19/30/87/816193087.db2.gz VOUOGQZRYGWFNK-PWSUYJOCSA-N 0 1 276.340 0.864 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)C(C)(C)c2cnc[nH]2)CCN1CC#N ZINC001088981670 816202002 /nfs/dbraw/zinc/20/20/02/816202002.db2.gz HFPDUCKJQTVJFF-MNOVXSKESA-N 0 1 275.356 0.790 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCN2C(N)=O)[C@H]1C ZINC001089076656 816237325 /nfs/dbraw/zinc/23/73/25/816237325.db2.gz PJMATDRUQVBFRL-WOPDTQHZSA-N 0 1 280.372 0.295 20 30 CCEDMN C#CCCCC(=O)N(C)[C@@H](C)CNC(=O)[C@H]1CCCN1C ZINC001280524736 816289069 /nfs/dbraw/zinc/28/90/69/816289069.db2.gz LOHHOUVMRAVALY-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001030859369 816355383 /nfs/dbraw/zinc/35/53/83/816355383.db2.gz GOZZGHNXDUUZMR-LLVKDONJSA-N 0 1 275.356 0.601 20 30 CCEDMN C#CCCCCC(=O)N(C)C[C@@H]1CCN1C[C@@H](O)COC ZINC001233762875 816405704 /nfs/dbraw/zinc/40/57/04/816405704.db2.gz WJWHFZHXMYXHSW-LSDHHAIUSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc3c[nH]nc32)[C@@H](O)C1 ZINC001083689973 816413442 /nfs/dbraw/zinc/41/34/42/816413442.db2.gz VPNHJOMPIUEPRW-KGLIPLIRSA-N 0 1 298.346 0.361 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1C[C@@H](O)COC ZINC001233946364 816450857 /nfs/dbraw/zinc/45/08/57/816450857.db2.gz AAYKAFGGKPOLFJ-ZIAGYGMSSA-N 0 1 282.384 0.330 20 30 CCEDMN CN1C[C@@H](C(=O)Nc2cc(C#N)ccc2O)CC1=O ZINC000176394665 816467095 /nfs/dbraw/zinc/46/70/95/816467095.db2.gz FYDCBQRXZRFXIF-VIFPVBQESA-N 0 1 259.265 0.681 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)C2CC2)[C@@H](O)C1 ZINC001083714620 816609714 /nfs/dbraw/zinc/60/97/14/816609714.db2.gz ZMFLAWGANBBRQV-OLZOCXBDSA-N 0 1 264.369 0.607 20 30 CCEDMN C=CC[N@H+]1CC[C@](C)(NC(=O)[C@@H]2C[NH+](C(C)C)CCO2)C1 ZINC001046567805 816636842 /nfs/dbraw/zinc/63/68/42/816636842.db2.gz LEAXNSFPOSIRTI-HOCLYGCPSA-N 0 1 295.427 0.862 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001031216228 816825681 /nfs/dbraw/zinc/82/56/81/816825681.db2.gz OWGKIUFMAFTEOG-LLVKDONJSA-N 0 1 274.368 0.891 20 30 CCEDMN CC(=O)N[C@H](C)C(=O)NCCNCC#Cc1ccccc1 ZINC001123072184 816849705 /nfs/dbraw/zinc/84/97/05/816849705.db2.gz LVGDTUYGBRPSJY-CYBMUJFWSA-N 0 1 287.363 0.269 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001046825639 816933729 /nfs/dbraw/zinc/93/37/29/816933729.db2.gz ZVDNMERDFBOBIY-MRXNPFEDSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ncoc2CC)C1 ZINC001031592087 817170809 /nfs/dbraw/zinc/17/08/09/817170809.db2.gz XAWNKYMXNLDODX-UHFFFAOYSA-N 0 1 261.325 0.922 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(Cc1cnn(C)c1)CC2 ZINC001272675241 817267014 /nfs/dbraw/zinc/26/70/14/817267014.db2.gz IJTHPLALKZZPKE-AWEZNQCLSA-N 0 1 286.379 0.772 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000180158084 817322585 /nfs/dbraw/zinc/32/25/85/817322585.db2.gz UJTKAAYIGDHUAW-CQSZACIVSA-N 0 1 265.401 0.932 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001031784291 817365053 /nfs/dbraw/zinc/36/50/53/817365053.db2.gz OQQNPTXHTQKIDY-ZDUSSCGKSA-N 0 1 274.368 0.680 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@H](C)OC ZINC001124273447 817373193 /nfs/dbraw/zinc/37/31/93/817373193.db2.gz NZXAPXUVNCWHFZ-QMMMGPOBSA-N 0 1 265.151 0.636 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001031859020 817421797 /nfs/dbraw/zinc/42/17/97/817421797.db2.gz LIEQFRGWVTXMLD-BJJPWKGXSA-N 0 1 262.353 0.644 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2n[nH]cc2F)C1 ZINC001031954688 817492328 /nfs/dbraw/zinc/49/23/28/817492328.db2.gz GTIYLNAQNZOLLD-UHFFFAOYSA-N 0 1 250.277 0.234 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2C[C@@]23CCOC3)C1 ZINC001032116449 817642980 /nfs/dbraw/zinc/64/29/80/817642980.db2.gz RSYZLWBBNFAQTD-TZMCWYRMSA-N 0 1 250.342 0.647 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1nnc[nH]1 ZINC001089888039 817668113 /nfs/dbraw/zinc/66/81/13/817668113.db2.gz CDMHVEGMKCLKJO-FQUUOJAGSA-N 0 1 299.378 0.149 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1nnc[n-]1 ZINC001089888039 817668121 /nfs/dbraw/zinc/66/81/21/817668121.db2.gz CDMHVEGMKCLKJO-FQUUOJAGSA-N 0 1 299.378 0.149 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc3c(cn2)nc[nH]c3=O)C1 ZINC001032157970 817669660 /nfs/dbraw/zinc/66/96/60/817669660.db2.gz IINPNEUFTWPYLP-UHFFFAOYSA-N 0 1 299.334 0.578 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001032209162 817704631 /nfs/dbraw/zinc/70/46/31/817704631.db2.gz KVIXJXLUGIXGJF-CYBMUJFWSA-N 0 1 279.384 0.479 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001032224098 817728727 /nfs/dbraw/zinc/72/87/27/817728727.db2.gz RCORWCRLIBLOPP-UHFFFAOYSA-N 0 1 288.351 0.418 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1ccn[nH]1)Nc1cncc(C#N)n1 ZINC001115667411 817789011 /nfs/dbraw/zinc/78/90/11/817789011.db2.gz GWHGLDCXNOUTHU-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN Cc1nc(NCC=CCNC(=O)c2ncn[nH]2)ccc1C#N ZINC001107289059 817824236 /nfs/dbraw/zinc/82/42/36/817824236.db2.gz QIYCUFKOJSXTPK-NSCUHMNNSA-N 0 1 297.322 0.778 20 30 CCEDMN Cc1nc(NCC=CCNC(=O)c2nc[nH]n2)ccc1C#N ZINC001107289059 817824242 /nfs/dbraw/zinc/82/42/42/817824242.db2.gz QIYCUFKOJSXTPK-NSCUHMNNSA-N 0 1 297.322 0.778 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)c2ncn[nH]2)nc1 ZINC001107288916 817824765 /nfs/dbraw/zinc/82/47/65/817824765.db2.gz OOPBZFSTGGOFPN-OWOJBTEDSA-N 0 1 283.295 0.469 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)c2nc[nH]n2)nc1 ZINC001107288916 817824769 /nfs/dbraw/zinc/82/47/69/817824769.db2.gz OOPBZFSTGGOFPN-OWOJBTEDSA-N 0 1 283.295 0.469 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CCNCc1cn(C)nn1 ZINC001272747498 817829083 /nfs/dbraw/zinc/82/90/83/817829083.db2.gz MXRNGCRUPBQPCS-BXUZGUMPSA-N 0 1 295.387 0.127 20 30 CCEDMN C[C@H](CNc1cnc(C#N)cn1)NC(=O)[C@H]1CCCN1C ZINC001107644714 817911149 /nfs/dbraw/zinc/91/11/49/817911149.db2.gz HEVUHURWZBUMDA-ZYHUDNBSSA-N 0 1 288.355 0.359 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001077654089 817935449 /nfs/dbraw/zinc/93/54/49/817935449.db2.gz CQMCRRZSLKADCZ-CHWSQXEVSA-N 0 1 291.351 0.447 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2cn[nH]c2)C1 ZINC001107766938 817993650 /nfs/dbraw/zinc/99/36/50/817993650.db2.gz CVTDNFUVPUENEE-AWEZNQCLSA-N 0 1 278.356 0.807 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2COCCN2CC)C1 ZINC001043175416 818052629 /nfs/dbraw/zinc/05/26/29/818052629.db2.gz BMFGVKZZROEBEI-HNNXBMFYSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cc(C)nn1C ZINC001038089425 818247752 /nfs/dbraw/zinc/24/77/52/818247752.db2.gz LSBPOGIXSRQWES-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCC2(CCN(Cc3cncn3C)CC2)C1=O ZINC001272996678 818284537 /nfs/dbraw/zinc/28/45/37/818284537.db2.gz LXOLJGBPPZYPGG-UHFFFAOYSA-N 0 1 286.379 0.868 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCOC2)C1 ZINC001032953105 818388774 /nfs/dbraw/zinc/38/87/74/818388774.db2.gz WTEOCUYECBQVEJ-STQMWFEESA-N 0 1 250.342 0.579 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2nccnc2N)C1 ZINC001032964692 818392954 /nfs/dbraw/zinc/39/29/54/818392954.db2.gz KCLWAZPLLRPVKD-LLVKDONJSA-N 0 1 275.356 0.781 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CC2CCOCC2)C1 ZINC001032966401 818394269 /nfs/dbraw/zinc/39/42/69/818394269.db2.gz VCDPPMKMBJLJNL-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2cc[nH]n2)C1 ZINC001032970741 818397879 /nfs/dbraw/zinc/39/78/79/818397879.db2.gz STPBSSKETRZFFX-ZDUSSCGKSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)CCCCN2Cc1cnc[nH]1 ZINC001273024194 818462656 /nfs/dbraw/zinc/46/26/56/818462656.db2.gz ORIWPRUNVVXIGO-HNNXBMFYSA-N 0 1 272.352 1.000 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncnc2C)[C@@H](O)C1 ZINC001090096858 818506844 /nfs/dbraw/zinc/50/68/44/818506844.db2.gz VJZWKKRQJIDXLG-STQMWFEESA-N 0 1 276.340 0.136 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc3ccccn3c2)[C@@H](O)C1 ZINC001083830392 818521297 /nfs/dbraw/zinc/52/12/97/818521297.db2.gz MUJGHWVJGMDMQY-CVEARBPZSA-N 0 1 297.358 0.738 20 30 CCEDMN C#CCN1CC2(CN(C[C@@H]3C[C@H]4C=C[C@@H]3C4)C2)OCC1=O ZINC001273050767 818535221 /nfs/dbraw/zinc/53/52/21/818535221.db2.gz XGELSYCNPVHBHF-ZNMIVQPWSA-N 0 1 286.375 0.745 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033121964 818548336 /nfs/dbraw/zinc/54/83/36/818548336.db2.gz DIIMHPNSUIYLNH-VXGBXAGGSA-N 0 1 265.357 0.183 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033121964 818548343 /nfs/dbraw/zinc/54/83/43/818548343.db2.gz DIIMHPNSUIYLNH-VXGBXAGGSA-N 0 1 265.357 0.183 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCCNCc1n[nH]c(C)n1)OCC ZINC001128540154 818615145 /nfs/dbraw/zinc/61/51/45/818615145.db2.gz QYHZADYFNXCXRD-LBPRGKRZSA-N 0 1 295.387 0.690 20 30 CCEDMN CN(C(=O)[C@H]1CCCCN1C)[C@H]1CCN(CC#N)C1 ZINC001033199799 818639464 /nfs/dbraw/zinc/63/94/64/818639464.db2.gz YRJXHQZYPVCVDX-QWHCGFSZSA-N 0 1 264.373 0.527 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccnc3n[nH]nc32)C1 ZINC001033252181 818674791 /nfs/dbraw/zinc/67/47/91/818674791.db2.gz BZXWWXKCDGXTFB-JTQLQIEISA-N 0 1 286.339 0.685 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cocn2)[C@H](O)C1 ZINC001090130537 818691960 /nfs/dbraw/zinc/69/19/60/818691960.db2.gz VBGODHUQXRVAAX-MWLCHTKSSA-N 0 1 251.286 0.026 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001033286885 818693616 /nfs/dbraw/zinc/69/36/16/818693616.db2.gz JPJUBSADFBGLMH-BFHYXJOUSA-N 0 1 286.379 0.690 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001033298572 818697536 /nfs/dbraw/zinc/69/75/36/818697536.db2.gz JUKXSRJIDUKYCA-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCn1cc(C(=O)N(C)[C@@H]2CCN(CC=C)C2)nn1 ZINC001033482720 818795078 /nfs/dbraw/zinc/79/50/78/818795078.db2.gz BSHJXHQJQAXBQA-GFCCVEGCSA-N 0 1 275.356 0.796 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C(C)(C)N2CCOCC2)C1 ZINC001033508747 818798290 /nfs/dbraw/zinc/79/82/90/818798290.db2.gz OJHADLGUCGQWET-CQSZACIVSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001033572665 818835240 /nfs/dbraw/zinc/83/52/40/818835240.db2.gz XUBMYHJMBRSAFX-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)cc2)C1 ZINC001033649408 818872859 /nfs/dbraw/zinc/87/28/59/818872859.db2.gz ALTPABIPFRROKF-HNNXBMFYSA-N 0 1 299.374 0.955 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](N(CC)C(C)=O)C2)C1=O ZINC001033716898 818901849 /nfs/dbraw/zinc/90/18/49/818901849.db2.gz COXWIFHBXPUWLU-KGLIPLIRSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2nccnc2N)C1 ZINC001033780702 818933602 /nfs/dbraw/zinc/93/36/02/818933602.db2.gz KHJPGWAFQQPGOI-LLVKDONJSA-N 0 1 275.356 0.781 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033791269 818941054 /nfs/dbraw/zinc/94/10/54/818941054.db2.gz ISIIRUGMEHSKBE-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033791269 818941058 /nfs/dbraw/zinc/94/10/58/818941058.db2.gz ISIIRUGMEHSKBE-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033791268 818941243 /nfs/dbraw/zinc/94/12/43/818941243.db2.gz ISIIRUGMEHSKBE-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033791268 818941246 /nfs/dbraw/zinc/94/12/46/818941246.db2.gz ISIIRUGMEHSKBE-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033849155 818966056 /nfs/dbraw/zinc/96/60/56/818966056.db2.gz RTFUBHBDKLZKEA-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033849206 818966423 /nfs/dbraw/zinc/96/64/23/818966423.db2.gz UAJLLTXFYGNICI-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033865394 818967319 /nfs/dbraw/zinc/96/73/19/818967319.db2.gz ZTNUCNJCMOTSOS-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cnc[nH]c2=O)C1 ZINC001033872525 818974739 /nfs/dbraw/zinc/97/47/39/818974739.db2.gz SGJPIKRSWQBVJG-NSHDSACASA-N 0 1 274.324 0.352 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033879878 818977443 /nfs/dbraw/zinc/97/74/43/818977443.db2.gz HMWFEBQMZBYDRO-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033921445 818997146 /nfs/dbraw/zinc/99/71/46/818997146.db2.gz FLVLRZCOLWJIJE-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001034091088 819066816 /nfs/dbraw/zinc/06/68/16/819066816.db2.gz KUYPJEOEXCBSSC-GFCCVEGCSA-N 0 1 288.351 0.790 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncc(F)c2)[C@@H](O)C1 ZINC001090191185 819088464 /nfs/dbraw/zinc/08/84/64/819088464.db2.gz GKHMOXJSEOJDJY-STQMWFEESA-N 0 1 279.315 0.572 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2COC(=O)N2)C1 ZINC001034191731 819113877 /nfs/dbraw/zinc/11/38/77/819113877.db2.gz RCBKSZPLIKNEND-NWDGAFQWSA-N 0 1 279.340 0.089 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2ncn(C)n2)C1 ZINC001034192548 819115323 /nfs/dbraw/zinc/11/53/23/819115323.db2.gz PAZVHTPMGZIOCX-LBPRGKRZSA-N 0 1 275.356 0.423 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C2=CCOCC2)C1 ZINC001034179325 819116922 /nfs/dbraw/zinc/11/69/22/819116922.db2.gz ZORZNUDQZAHZCW-AWEZNQCLSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001034242274 819136698 /nfs/dbraw/zinc/13/66/98/819136698.db2.gz DKVFNDICRAOFRV-CYBMUJFWSA-N 0 1 289.383 0.824 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001034261203 819141827 /nfs/dbraw/zinc/14/18/27/819141827.db2.gz LTDHYTTZQLSTRG-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN C[N@H+]1CC[C@@](O)(CNC(=O)C#Cc2ccccc2)C1 ZINC000702556190 819143284 /nfs/dbraw/zinc/14/32/84/819143284.db2.gz MZCJFYCDFGLMCV-OAHLLOKOSA-N 0 1 258.321 0.221 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001034294121 819158474 /nfs/dbraw/zinc/15/84/74/819158474.db2.gz RDFXQDTZJPGEJZ-CQSZACIVSA-N 0 1 287.363 0.603 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccoc2)[C@H](O)C1 ZINC001090206328 819162579 /nfs/dbraw/zinc/16/25/79/819162579.db2.gz NIXUAMJZYYQBDR-UONOGXRCSA-N 0 1 276.336 0.397 20 30 CCEDMN C=CC[N@H+]1CCCC[C@H](NC(=O)[C@@H]2CCNC2=O)C1 ZINC001034340519 819172965 /nfs/dbraw/zinc/17/29/65/819172965.db2.gz PZQIRHJAUHBGEV-NWDGAFQWSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001034341549 819176112 /nfs/dbraw/zinc/17/61/12/819176112.db2.gz GJMYQCNLDNRGMI-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CCNC2=O)C1 ZINC001034344659 819177312 /nfs/dbraw/zinc/17/73/12/819177312.db2.gz KELIEBBYVHUJPD-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001034352283 819180013 /nfs/dbraw/zinc/18/00/13/819180013.db2.gz XAZYFIFKFVEVEA-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001034404778 819197984 /nfs/dbraw/zinc/19/79/84/819197984.db2.gz LXNWICCCYJKRMU-RDBSUJKOSA-N 0 1 291.395 0.492 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccoc2)[C@H](O)C1 ZINC001090214122 819200114 /nfs/dbraw/zinc/20/01/14/819200114.db2.gz VBCQEYRFNFBZBN-QWHCGFSZSA-N 0 1 264.325 0.560 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccnn2C)[C@H](O)C1 ZINC001090229160 819279019 /nfs/dbraw/zinc/27/90/19/819279019.db2.gz VATWTYYKTNMJLR-ZIAGYGMSSA-N 0 1 292.383 0.090 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C)nn2)[C@@H](O)C1 ZINC001090257696 819321253 /nfs/dbraw/zinc/32/12/53/819321253.db2.gz KEAKUTXZMZSCAQ-OCCSQVGLSA-N 0 1 290.367 0.526 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CC)C[C@@H]1n1ccnn1 ZINC001129032372 819369600 /nfs/dbraw/zinc/36/96/00/819369600.db2.gz OKNVESGKPNUJAX-OLZOCXBDSA-N 0 1 277.372 0.996 20 30 CCEDMN C=C(Cl)CN1CCC2(C1)CCN(C(=O)CC(N)=O)CC2 ZINC001035171634 819410941 /nfs/dbraw/zinc/41/09/41/819410941.db2.gz OZPHKNOIKLJLEQ-UHFFFAOYSA-N 0 1 299.802 0.929 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2CN(CC3CC3)CCO2)[nH]1 ZINC001035342725 819482480 /nfs/dbraw/zinc/48/24/80/819482480.db2.gz MFWRPYBGUCDIRJ-CYBMUJFWSA-N 0 1 288.351 0.727 20 30 CCEDMN C=C(C)CN1CCO[C@@H](CNC(=O)[C@H]2CCCCN2C)C1 ZINC001035414598 819518222 /nfs/dbraw/zinc/51/82/22/819518222.db2.gz RXQPOKKDGCSXLU-LSDHHAIUSA-N 0 1 295.427 0.864 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(CC)C[C@@H]1n1ccnn1 ZINC001129189378 819546798 /nfs/dbraw/zinc/54/67/98/819546798.db2.gz NMNWKVWXKOLBDG-KGLIPLIRSA-N 0 1 289.383 0.833 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C1CCOCC1)CC2 ZINC001035633927 819585381 /nfs/dbraw/zinc/58/53/81/819585381.db2.gz IZAOCCKQIKFMMK-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1COCCO1)CC2 ZINC001035658646 819594471 /nfs/dbraw/zinc/59/44/71/819594471.db2.gz QLPSNSCNANRMES-ZDUSSCGKSA-N 0 1 280.368 0.512 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCOC1)CC2 ZINC001035664633 819597172 /nfs/dbraw/zinc/59/71/72/819597172.db2.gz ZLQQLZWKVWLLKM-CYBMUJFWSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1nnn(C)c1C)CC2 ZINC001035675290 819600989 /nfs/dbraw/zinc/60/09/89/819600989.db2.gz TYMLMXGNLJVZQG-UHFFFAOYSA-N 0 1 287.367 0.295 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCNC(=O)C1)CC2 ZINC001035686316 819603487 /nfs/dbraw/zinc/60/34/87/819603487.db2.gz ABWPXBDHNCRJJI-CYBMUJFWSA-N 0 1 289.379 0.070 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](C)OC)CC2 ZINC001035665338 819606707 /nfs/dbraw/zinc/60/67/07/819606707.db2.gz ILHNJXOJAUGDSA-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CC1OCCCO1)CC2 ZINC001035694733 819607890 /nfs/dbraw/zinc/60/78/90/819607890.db2.gz YGAQHQUBDXKKEB-UHFFFAOYSA-N 0 1 292.379 0.697 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CC(=O)N(C)C1)CC2 ZINC001035717806 819608801 /nfs/dbraw/zinc/60/88/01/819608801.db2.gz UMZNUPONDOINIV-CYBMUJFWSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@H](C)CO1)CC2 ZINC001035758254 819619636 /nfs/dbraw/zinc/61/96/36/819619636.db2.gz SEGOQMDTDIFWCI-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1c[nH]c(=O)n1C)CC2 ZINC001035768272 819620793 /nfs/dbraw/zinc/62/07/93/819620793.db2.gz GTVQYZAYONURGW-UHFFFAOYSA-N 0 1 290.367 0.850 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@@H]1CCOC[C@@H]1OC ZINC001129208172 819651798 /nfs/dbraw/zinc/65/17/98/819651798.db2.gz QDQKULUXQMUOMB-RYUDHWBXSA-N 0 1 290.791 0.886 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](NCC#N)[C@H](C)C2)n[nH]1 ZINC001035947293 819659625 /nfs/dbraw/zinc/65/96/25/819659625.db2.gz LXNCYWRZNSNCLE-MWLCHTKSSA-N 0 1 261.329 0.682 20 30 CCEDMN C=C(Br)CNC(=O)[C@@]1(COC)CNCCO1 ZINC000710838846 819846748 /nfs/dbraw/zinc/84/67/48/819846748.db2.gz LWPIQCIWTDLFEI-JTQLQIEISA-N 0 1 293.161 0.016 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccnc(C)c2)[C@@H](O)C1 ZINC001083875896 820007865 /nfs/dbraw/zinc/00/78/65/820007865.db2.gz GFOZKLGVGIOATK-KGLIPLIRSA-N 0 1 273.336 0.188 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(Cl)cnn2C)[C@@H](O)C1 ZINC001090270444 820026596 /nfs/dbraw/zinc/02/65/96/820026596.db2.gz XRKHKDBUDYRICH-QWRGUYRKSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCCC(=O)NC1(CNC(=O)[C@@H]2CC2[N+](=O)[O-])CCC1 ZINC001062989698 820128485 /nfs/dbraw/zinc/12/84/85/820128485.db2.gz NUOICYGLUSXMFJ-GHMZBOCLSA-N 0 1 295.339 0.773 20 30 CCEDMN N#Cc1nccc(NC[C@H]2CCCN2C(=O)c2ccn[nH]2)n1 ZINC001063427532 820149631 /nfs/dbraw/zinc/14/96/31/820149631.db2.gz CBCUSAADYFNMTK-SNVBAGLBSA-N 0 1 297.322 0.210 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2coc(COC)n2)[C@@H](O)C1 ZINC001083901218 820208221 /nfs/dbraw/zinc/20/82/21/820208221.db2.gz VNMLGMSMWMCAAH-PWSUYJOCSA-N 0 1 295.339 0.172 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2onc(C)c2C)[C@@H](O)C1 ZINC001090348405 820287901 /nfs/dbraw/zinc/28/79/01/820287901.db2.gz CNCVPNHBDLSKAW-RYUDHWBXSA-N 0 1 279.340 0.642 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CC2CCOCC2)C1 ZINC001079508324 820408361 /nfs/dbraw/zinc/40/83/61/820408361.db2.gz ZPOVDNIWBMNDMC-TZMCWYRMSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001079577629 820428506 /nfs/dbraw/zinc/42/85/06/820428506.db2.gz KALVZVLQZOPXAG-PSASIEDQSA-N 0 1 264.329 0.660 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnn2CC)C1 ZINC001079615851 820440034 /nfs/dbraw/zinc/44/00/34/820440034.db2.gz PYAWFTGDZWTPGG-VXGBXAGGSA-N 0 1 260.341 0.586 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cccn3nnnc23)C1 ZINC001079763415 820463524 /nfs/dbraw/zinc/46/35/24/820463524.db2.gz KQQHZACTCIGNGJ-DGCLKSJQSA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c(C3CC3)cnn2C)C1 ZINC001079827476 820473566 /nfs/dbraw/zinc/47/35/66/820473566.db2.gz CVSDJZBHZISRMN-BXUZGUMPSA-N 0 1 286.379 0.981 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC001079808957 820474384 /nfs/dbraw/zinc/47/43/84/820474384.db2.gz KQPKLPOIPVSCID-BXKDBHETSA-N 0 1 284.323 0.036 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001079808957 820474389 /nfs/dbraw/zinc/47/43/89/820474389.db2.gz KQPKLPOIPVSCID-BXKDBHETSA-N 0 1 284.323 0.036 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2coc(C(N)=O)c2)C1 ZINC001080190717 820526161 /nfs/dbraw/zinc/52/61/61/820526161.db2.gz CKPSYQWXBXBFSM-MWLCHTKSSA-N 0 1 277.324 0.615 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001080242158 820531953 /nfs/dbraw/zinc/53/19/53/820531953.db2.gz LLRSZOVHWHLSBY-BXKDBHETSA-N 0 1 288.351 0.482 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3cccnc23)C1 ZINC001080242086 820533432 /nfs/dbraw/zinc/53/34/32/820533432.db2.gz HIVADHMGSJGFPB-DGCLKSJQSA-N 0 1 283.335 0.413 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)nn(C)c2C)C1 ZINC001080224865 820535279 /nfs/dbraw/zinc/53/52/79/820535279.db2.gz WTDCHZVIJPEODE-ZWNOBZJWSA-N 0 1 274.368 0.720 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001080282216 820546158 /nfs/dbraw/zinc/54/61/58/820546158.db2.gz UQEOGKHLSQFANO-UPJWGTAASA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(C)n2C)C1 ZINC001080331138 820549806 /nfs/dbraw/zinc/54/98/06/820549806.db2.gz SWZQSEBVPOIATK-DGCLKSJQSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnc(C)n2C)C1 ZINC001080331138 820549811 /nfs/dbraw/zinc/54/98/11/820549811.db2.gz SWZQSEBVPOIATK-DGCLKSJQSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001080324906 820549965 /nfs/dbraw/zinc/54/99/65/820549965.db2.gz JELOULBMKPVBLC-WZRBSPASSA-N 0 1 256.321 0.738 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)c2cncnc2)C1 ZINC001080320599 820553812 /nfs/dbraw/zinc/55/38/12/820553812.db2.gz GHSXUBYVUFEBBH-YRGRVCCFSA-N 0 1 272.352 0.650 20 30 CCEDMN CCN(C(=O)[C@H](C)C#N)C1CN(C(=O)Cc2ccn[nH]2)C1 ZINC001080411678 820570208 /nfs/dbraw/zinc/57/02/08/820570208.db2.gz QXAZUECZNMJFMK-SNVBAGLBSA-N 0 1 289.339 0.171 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001080496901 820581695 /nfs/dbraw/zinc/58/16/95/820581695.db2.gz NDKZMRVKLRGDTB-QMTHXVAHSA-N 0 1 272.352 0.970 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C3CC3)n[nH]2)C1 ZINC001080496901 820581699 /nfs/dbraw/zinc/58/16/99/820581699.db2.gz NDKZMRVKLRGDTB-QMTHXVAHSA-N 0 1 272.352 0.970 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnn(C)c2C)[C@H](OC)C1 ZINC001081360020 820746412 /nfs/dbraw/zinc/74/64/12/820746412.db2.gz XKWOBSSHIKGTNG-ZIAGYGMSSA-N 0 1 292.383 0.734 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCCN2C)[C@H](OC)C1 ZINC001081434859 820751895 /nfs/dbraw/zinc/75/18/95/820751895.db2.gz CSXKSHYGUMWATF-JHJVBQTASA-N 0 1 267.373 0.082 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2CCOCC2)[C@H](OC)C1 ZINC001081459805 820766400 /nfs/dbraw/zinc/76/64/00/820766400.db2.gz RVIBFXHQTMDKDQ-HUUCEWRRSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](NC(=O)c2ncccc2[O-])[C@H](OC)C1 ZINC001081578449 820792365 /nfs/dbraw/zinc/79/23/65/820792365.db2.gz DUUCKFMTKLPCBW-ZYHUDNBSSA-N 0 1 277.324 0.402 20 30 CCEDMN C=CC[N@H+]1C[C@@H](NC(=O)c2ncccc2[O-])[C@H](OC)C1 ZINC001081578449 820792370 /nfs/dbraw/zinc/79/23/70/820792370.db2.gz DUUCKFMTKLPCBW-ZYHUDNBSSA-N 0 1 277.324 0.402 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)C(=O)N1CCC(C#N)CC1 ZINC001118904733 820991378 /nfs/dbraw/zinc/99/13/78/820991378.db2.gz KYWWXHUPVJUAHO-ZDUSSCGKSA-N 0 1 292.383 0.205 20 30 CCEDMN CC(C)C#CC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001118981696 821012044 /nfs/dbraw/zinc/01/20/44/821012044.db2.gz APCJTMJPHABJNU-UHFFFAOYSA-N 0 1 276.340 0.974 20 30 CCEDMN C=CCN1C[C@H]2OCCN(C(=O)[C@@H]3CCCN3C)[C@H]2C1 ZINC001083023708 821112920 /nfs/dbraw/zinc/11/29/20/821112920.db2.gz MIGUPPAWNBYZFP-MELADBBJSA-N 0 1 279.384 0.178 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C[C@H]3CCOC3)[C@H]2C1 ZINC001083031362 821114977 /nfs/dbraw/zinc/11/49/77/821114977.db2.gz BANKIGYWDYVXOZ-QLFBSQMISA-N 0 1 292.379 0.348 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H]3CC3(F)F)[C@H]2C1 ZINC001083071472 821118656 /nfs/dbraw/zinc/11/86/56/821118656.db2.gz QZVWBZGZKWZZSR-GRYCIOLGSA-N 0 1 284.306 0.577 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C3CC(F)(F)C3)[C@H]2C1 ZINC001083044922 821126081 /nfs/dbraw/zinc/12/60/81/821126081.db2.gz GUGSZWAQHUSOLP-QWHCGFSZSA-N 0 1 298.333 0.967 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cn(CC)cn2)[C@@H](O)C1 ZINC001083961352 821173254 /nfs/dbraw/zinc/17/32/54/821173254.db2.gz NYMFFSAULZBFEW-YPMHNXCESA-N 0 1 278.356 0.254 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2)[C@@H](O)C1 ZINC001083972789 821174969 /nfs/dbraw/zinc/17/49/69/821174969.db2.gz KPCHOTIOPKNZRT-HZMVEIRTSA-N 0 1 298.386 0.975 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2c(C)n[nH]c2C)[C@@H](O)C1 ZINC001084049560 821187601 /nfs/dbraw/zinc/18/76/01/821187601.db2.gz OWXBYZJEGKDYAU-KGLIPLIRSA-N 0 1 292.383 0.306 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc[n+]([O-])cc3)[C@@H]2C1 ZINC001084170281 821222382 /nfs/dbraw/zinc/22/23/82/821222382.db2.gz RTZXUXHVODEYNY-ZIAGYGMSSA-N 0 1 273.336 0.652 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)Cc3ccn[nH]3)[C@@H]2C1 ZINC001084247662 821238094 /nfs/dbraw/zinc/23/80/94/821238094.db2.gz SWXDXQVDXKQQHE-ZYHUDNBSSA-N 0 1 259.313 0.008 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCOC3)[C@@H]2C1 ZINC001084245926 821239920 /nfs/dbraw/zinc/23/99/20/821239920.db2.gz FFMCJBGABKRAQC-RBSFLKMASA-N 0 1 276.380 0.969 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CCN(CCn3cccn3)C[C@H]21 ZINC001084232848 821249051 /nfs/dbraw/zinc/24/90/51/821249051.db2.gz JWMRIOBALRACRD-BFHYXJOUSA-N 0 1 287.367 0.575 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3cncnc3)[C@@H]2C1 ZINC001084310818 821265870 /nfs/dbraw/zinc/26/58/70/821265870.db2.gz LNLWAKIAVPLXIZ-HUUCEWRRSA-N 0 1 284.363 0.575 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCN(C)C3=O)[C@@H]2C1 ZINC001084589908 821323039 /nfs/dbraw/zinc/32/30/39/821323039.db2.gz UDWSXSGBSMIKIB-JHJVBQTASA-N 0 1 277.368 0.183 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)[C@]3(F)CCOC3)[C@@H]2C1 ZINC001084605903 821326177 /nfs/dbraw/zinc/32/61/77/821326177.db2.gz DLBWNTKPDHQYAR-NFAWXSAZSA-N 0 1 280.343 0.671 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc(C(N)=O)c[nH]3)[C@@H]2C1 ZINC001084743059 821374200 /nfs/dbraw/zinc/37/42/00/821374200.db2.gz SZZFNANEJDPIPV-ZWNOBZJWSA-N 0 1 288.351 0.446 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cccn(C)c3=O)[C@@H]2C1 ZINC001084782821 821392953 /nfs/dbraw/zinc/39/29/53/821392953.db2.gz MBFSSWNADCCLPH-TZMCWYRMSA-N 0 1 287.363 0.718 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)[C@H]3COCCO3)C[C@@H]21 ZINC001084895012 821424044 /nfs/dbraw/zinc/42/40/44/821424044.db2.gz FUACLNUVYYNJBY-QLFBSQMISA-N 0 1 292.379 0.348 20 30 CCEDMN C#CCN1CC[C@]2(CC[N@@H+](Cc3ccnnc3)C2)C1=O ZINC001273271153 821529205 /nfs/dbraw/zinc/52/92/05/821529205.db2.gz JLWDSXCBUNSLMJ-HNNXBMFYSA-N 0 1 270.336 0.534 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)CC)[C@@H](O)C1 ZINC001099984005 821778206 /nfs/dbraw/zinc/77/82/06/821778206.db2.gz URLPRZMZXCIZAL-OLZOCXBDSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(C)ncn1 ZINC001085551008 821785874 /nfs/dbraw/zinc/78/58/74/821785874.db2.gz LRFVFXIQHOBIPT-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnc2n[nH]nc2c1 ZINC001085644206 821868032 /nfs/dbraw/zinc/86/80/32/821868032.db2.gz ILNJATMTNLCZSK-LBPRGKRZSA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCNC1=O ZINC001085652969 821873718 /nfs/dbraw/zinc/87/37/18/821873718.db2.gz JWHHWPARYHMLHH-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001085650064 821880645 /nfs/dbraw/zinc/88/06/45/821880645.db2.gz GVHGJKHGJIJRBC-QJPTWQEYSA-N 0 1 273.340 0.569 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](NC(=O)c2cnn[nH]2)CC1 ZINC001066300522 821921432 /nfs/dbraw/zinc/92/14/32/821921432.db2.gz HRYJIKAXERFJOF-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)C[C@H]2CCN2CCCO)c1 ZINC001085810005 821961306 /nfs/dbraw/zinc/96/13/06/821961306.db2.gz SGEQXHMPQAYHDQ-OAHLLOKOSA-N 0 1 287.363 0.592 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(OC)nn1 ZINC001085909562 822012088 /nfs/dbraw/zinc/01/20/88/822012088.db2.gz MGSCHRWWSNJNIY-LBPRGKRZSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(=O)[nH]c1 ZINC001085957546 822035865 /nfs/dbraw/zinc/03/58/65/822035865.db2.gz DRNRGSMVQLCKCB-CYBMUJFWSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccn(C)n1 ZINC001085972226 822045053 /nfs/dbraw/zinc/04/50/53/822045053.db2.gz VCLWYWWZILFVLE-GFCCVEGCSA-N 0 1 260.341 0.590 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCC(=O)N(C)C1 ZINC001085987825 822054415 /nfs/dbraw/zinc/05/44/15/822054415.db2.gz NNHPLPOTCPTHKU-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](NC(=O)C=C(C)C)CC1 ZINC001273385849 822065056 /nfs/dbraw/zinc/06/50/56/822065056.db2.gz YFKRUZIRKLLTDB-CQSZACIVSA-N 0 1 291.395 0.673 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2cc(C)c(C#N)cn2)CC1 ZINC001155154705 822069028 /nfs/dbraw/zinc/06/90/28/822069028.db2.gz YQIAICPVZYWBHO-CQSZACIVSA-N 0 1 288.351 0.978 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(CCO)C[C@@H]1O ZINC001100020791 822075800 /nfs/dbraw/zinc/07/58/00/822075800.db2.gz SRPQKFRHIJVFMX-NEPJUHHUSA-N 0 1 270.373 0.132 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1CCCN([C@H]2CCNC2=O)CC1 ZINC001273418039 822190976 /nfs/dbraw/zinc/19/09/76/822190976.db2.gz MGURZZYDDFPEGP-KBPBESRZSA-N 0 1 289.379 0.259 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCn2cccn2)[C@H](O)C1 ZINC001100117645 822324184 /nfs/dbraw/zinc/32/41/84/822324184.db2.gz MIAATCAESYKSBW-UONOGXRCSA-N 0 1 292.383 0.401 20 30 CCEDMN C[C@@H](CNC(=O)c1cnn[nH]1)N(C)c1ccc(C#N)cn1 ZINC001113969796 837387294 /nfs/dbraw/zinc/38/72/94/837387294.db2.gz BENVVLVZIVQEAF-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN C[C@H](CNC(=O)c1cnn[nH]1)N(C)c1ccncc1C#N ZINC001113970465 837388130 /nfs/dbraw/zinc/38/81/30/837388130.db2.gz QWPWUPLHUOZTFE-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NCCC)C[C@H]21 ZINC001114002594 837399846 /nfs/dbraw/zinc/39/98/46/837399846.db2.gz NGUUGIZZMWQYFJ-CXTNEJHOSA-N 0 1 293.411 0.914 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc(C)c1 ZINC001114093570 837434370 /nfs/dbraw/zinc/43/43/70/837434370.db2.gz RGWHRRPLJWDVMI-ZSHCYNCHSA-N 0 1 299.374 0.700 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(C)c1 ZINC001114136258 837450094 /nfs/dbraw/zinc/45/00/94/837450094.db2.gz FRJSIAJWPYZXSY-FICVDOATSA-N 0 1 287.363 0.335 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OC)C1CC1 ZINC001114209078 837475881 /nfs/dbraw/zinc/47/58/81/837475881.db2.gz KKWSHRDJRSANBK-CBBWQLFWSA-N 0 1 292.379 0.108 20 30 CCEDMN C#CCNC(=O)CCN1CCCC[C@H]1CS(C)(=O)=O ZINC001170443161 837485016 /nfs/dbraw/zinc/48/50/16/837485016.db2.gz MWWYPIUGLKJMCM-LBPRGKRZSA-N 0 1 286.397 0.025 20 30 CCEDMN Cc1oncc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC1CC1 ZINC001114254069 837487783 /nfs/dbraw/zinc/48/77/83/837487783.db2.gz YXEQNJPMEKTOKY-FOLVSLTJSA-N 0 1 285.347 0.943 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOCC1CC1 ZINC001114275160 837495030 /nfs/dbraw/zinc/49/50/30/837495030.db2.gz AGUYZWWLWPGERQ-FOLVSLTJSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C(C)(C)F)C[C@@H]1n1ccnn1 ZINC001129525299 837526870 /nfs/dbraw/zinc/52/68/70/837526870.db2.gz IUAUEUNDVRPILI-NEPJUHHUSA-N 0 1 293.346 0.391 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)[C@H](C)CC)C[C@@H]1n1ccnn1 ZINC001129589775 837534129 /nfs/dbraw/zinc/53/41/29/837534129.db2.gz XMISDSUDENHTJJ-MCIONIFRSA-N 0 1 289.383 0.689 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@]1(CC)CCNC1=O ZINC001129865142 837569445 /nfs/dbraw/zinc/56/94/45/837569445.db2.gz DWINKBYHUFNMTD-LBPRGKRZSA-N 0 1 273.764 0.361 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)CCCF)C[C@@H]1n1ccnn1 ZINC001129961556 837595157 /nfs/dbraw/zinc/59/51/57/837595157.db2.gz SBUZFAOJIVWXPA-OLZOCXBDSA-N 0 1 293.346 0.393 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCC2N=NC(=O)N2C1 ZINC001130442968 837796730 /nfs/dbraw/zinc/79/67/30/837796730.db2.gz SEWGDEWVYZHISP-SECBINFHSA-N 0 1 299.762 0.004 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C)CCC2)[C@@H](O)C1 ZINC001090484629 837797454 /nfs/dbraw/zinc/79/74/54/837797454.db2.gz BSXJSMULBWQLTN-OLZOCXBDSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cnn(C)c2)[C@@H](O)C1 ZINC001100124904 835993060 /nfs/dbraw/zinc/99/30/60/835993060.db2.gz OTIQRWQRFBCAPX-KBPBESRZSA-N 0 1 292.383 0.090 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)Cn2ccnc2)C1 ZINC001108439497 836110456 /nfs/dbraw/zinc/11/04/56/836110456.db2.gz LZQGPJLFWKOLMF-OAHLLOKOSA-N 0 1 292.383 0.666 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)CCCC(N)=O)C1 ZINC001108436591 836113877 /nfs/dbraw/zinc/11/38/77/836113877.db2.gz VLMPMNUTUSPZOB-OAHLLOKOSA-N 0 1 297.399 0.425 20 30 CCEDMN N#Cc1cnc2cc[nH]c(N3CCNC[C@@H]3C#N)c1-2 ZINC001156328029 836319609 /nfs/dbraw/zinc/31/96/09/836319609.db2.gz YMDLGSTWQNKRFY-JTQLQIEISA-N 0 1 252.281 0.736 20 30 CCEDMN C[C@@H](CNc1ccncc1C#N)NC(=O)c1[nH]ncc1F ZINC001108673663 836507341 /nfs/dbraw/zinc/50/73/41/836507341.db2.gz UDLBPENYIPOFCM-QMMMGPOBSA-N 0 1 288.286 0.468 20 30 CCEDMN C[C@H](CN(C)c1ncccc1C#N)NC(=O)Cc1nnc[nH]1 ZINC001109081552 836612366 /nfs/dbraw/zinc/61/23/66/836612366.db2.gz WWUBASPBKSXJKZ-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](O)C(C)C)C2 ZINC001109232276 836640451 /nfs/dbraw/zinc/64/04/51/836640451.db2.gz JXYGXVGAIUHEIU-MROQNXINSA-N 0 1 252.358 0.911 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CO[C@H]1CCOC1)C2 ZINC001109274102 836650625 /nfs/dbraw/zinc/65/06/25/836650625.db2.gz FCADIRUPFYPULB-RFGFWPKPSA-N 0 1 280.368 0.699 20 30 CCEDMN C[C@H](CN(C)c1ccc(C#N)nc1)NC(=O)c1cnn[nH]1 ZINC001109318351 836657058 /nfs/dbraw/zinc/65/70/58/836657058.db2.gz CGSWXTANXGIBEJ-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN C=CCN(C)CCN(CC)C(=O)CC1C(=O)N=CNC1=O ZINC001156672730 836666983 /nfs/dbraw/zinc/66/69/83/836666983.db2.gz WNZMMHFIJTYECB-UHFFFAOYSA-N 0 1 294.355 0.397 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1c[nH]cn1)C2 ZINC001109384781 836668780 /nfs/dbraw/zinc/66/87/80/836668780.db2.gz NIUYTBLMAZTITL-RDBSUJKOSA-N 0 1 272.352 0.697 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cc(C)nn2C)[C@H](O)C1 ZINC001090427996 836724683 /nfs/dbraw/zinc/72/46/83/836724683.db2.gz QZUZVVACRFCWQR-UONOGXRCSA-N 0 1 292.383 0.008 20 30 CCEDMN N#Cc1cccnc1NC[C@@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001109884599 836742937 /nfs/dbraw/zinc/74/29/37/836742937.db2.gz CJHFWDCZPXFZBB-LLVKDONJSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cccnc1NC[C@@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001109884599 836742943 /nfs/dbraw/zinc/74/29/43/836742943.db2.gz CJHFWDCZPXFZBB-LLVKDONJSA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1CC[N@H+](CCCF)C[C@H]1O ZINC001100138069 836769311 /nfs/dbraw/zinc/76/93/11/836769311.db2.gz FIJDVNBVWSLTIM-QWHCGFSZSA-N 0 1 288.363 0.490 20 30 CCEDMN C=CCOCCN1CCN(C(=O)c2cc(CC)[nH]n2)CC1 ZINC001112624098 836829167 /nfs/dbraw/zinc/82/91/67/836829167.db2.gz ODFWBJPTSIDVQP-UHFFFAOYSA-N 0 1 292.383 0.933 20 30 CCEDMN C=CCCN1CCN(C(=O)CNC(=O)C2CC2)CC1 ZINC001112649469 836845305 /nfs/dbraw/zinc/84/53/05/836845305.db2.gz FBFQHYPGNJWPSV-UHFFFAOYSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2CCC(=O)N2)CC1 ZINC001112695969 836873050 /nfs/dbraw/zinc/87/30/50/836873050.db2.gz IAFLFNPXRWSMRF-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCN(CCCC(C)C)CC1 ZINC001112777993 836911387 /nfs/dbraw/zinc/91/13/87/836911387.db2.gz WCISERPXPRTFBJ-UHFFFAOYSA-N 0 1 293.411 0.706 20 30 CCEDMN C=CCCCCCN1CCN(C(=O)[C@H](C)NC(N)=O)CC1 ZINC001112798156 836916290 /nfs/dbraw/zinc/91/62/90/836916290.db2.gz QTXRHMKTTTYSNE-ZDUSSCGKSA-N 0 1 296.415 0.934 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC[C@@H]2CCCO2)CC1 ZINC001112844059 836940687 /nfs/dbraw/zinc/94/06/87/836940687.db2.gz UNALFDYJTIPQTR-HNNXBMFYSA-N 0 1 294.395 0.740 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1nccnc1C#N ZINC001113115234 837030115 /nfs/dbraw/zinc/03/01/15/837030115.db2.gz XZWSVLYVWGXETE-JGVFFNPUSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1nccnc1C#N ZINC001113115234 837030118 /nfs/dbraw/zinc/03/01/18/837030118.db2.gz XZWSVLYVWGXETE-JGVFFNPUSA-N 0 1 286.299 0.085 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc[nH]n1 ZINC001157568913 837139175 /nfs/dbraw/zinc/13/91/75/837139175.db2.gz YLXINDHGSNKGIK-GFCCVEGCSA-N 0 1 260.341 0.969 20 30 CCEDMN C=CCCCN1CCN(C(=O)Cc2nnc[nH]2)CC1 ZINC001113518796 837145302 /nfs/dbraw/zinc/14/53/02/837145302.db2.gz YDURPZNBOGVFBD-UHFFFAOYSA-N 0 1 263.345 0.458 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2coc(OC)n2)[C@H](O)C1 ZINC001090457108 837181374 /nfs/dbraw/zinc/18/13/74/837181374.db2.gz YVSBQKACERTBEB-CMPLNLGQSA-N 0 1 295.339 0.424 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)C ZINC001113873822 837346956 /nfs/dbraw/zinc/34/69/56/837346956.db2.gz OZTQQEIUGMTZED-WUHRBBMRSA-N 0 1 279.384 0.380 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CSC(C)C ZINC001113892681 837359360 /nfs/dbraw/zinc/35/93/60/837359360.db2.gz BHDDEYFEPHLUTD-NHAGDIPZSA-N 0 1 296.436 0.824 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)nn(C)c2C)[C@H](O)C1 ZINC001090472193 837375426 /nfs/dbraw/zinc/37/54/26/837375426.db2.gz KDKYWFAISQMKRF-QWHCGFSZSA-N 0 1 292.383 0.388 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCSCC ZINC001113942297 837377556 /nfs/dbraw/zinc/37/75/56/837377556.db2.gz LOYWBBHMXJVBQE-PJXYFTJBSA-N 0 1 252.383 0.809 20 30 CCEDMN C#CCN1CCOC[C@@H]1c1nc(CNC(=O)CCC)n[nH]1 ZINC001130822442 837931380 /nfs/dbraw/zinc/93/13/80/837931380.db2.gz JAOAZYDAKSRPLJ-LLVKDONJSA-N 0 1 291.355 0.228 20 30 CCEDMN C#CCN1CCOC[C@@H]1c1nnc(CNC(=O)CCC)[nH]1 ZINC001130822442 837931387 /nfs/dbraw/zinc/93/13/87/837931387.db2.gz JAOAZYDAKSRPLJ-LLVKDONJSA-N 0 1 291.355 0.228 20 30 CCEDMN N#C[C@H](Nc1nc(Cl)cc2[nH]cnc21)C(N)=O ZINC001170531511 837972813 /nfs/dbraw/zinc/97/28/13/837972813.db2.gz PELRUAHSUPASQY-YFKPBYRVSA-N 0 1 250.649 0.401 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2ccn(C)n2)CC[C@H]1C ZINC001132076695 838312881 /nfs/dbraw/zinc/31/28/81/838312881.db2.gz CIMAHHVAHQGIQZ-UKRRQHHQSA-N 0 1 288.395 0.955 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1c[nH]nc1C ZINC001132138879 838325581 /nfs/dbraw/zinc/32/55/81/838325581.db2.gz NLELCZJNAAWITL-UHFFFAOYSA-N 0 1 287.161 0.946 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C(C)(C)C(N)=O)CC[C@H]1C ZINC001132121019 838321201 /nfs/dbraw/zinc/32/12/01/838321201.db2.gz YMPYYPMLBMOQMG-GHMZBOCLSA-N 0 1 265.357 0.100 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2nccn2C)CC[C@H]1C ZINC001132195376 838330998 /nfs/dbraw/zinc/33/09/98/838330998.db2.gz NYBRTYBQHHLKPH-KGLIPLIRSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCC[NH2+]CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001132205381 838338563 /nfs/dbraw/zinc/33/85/63/838338563.db2.gz AABSDSZQGXWUEX-UHFFFAOYSA-N 0 1 290.367 0.957 20 30 CCEDMN CC#CC[NH2+]CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001132205478 838338969 /nfs/dbraw/zinc/33/89/69/838338969.db2.gz FTVMUIOKQTWANG-UHFFFAOYSA-N 0 1 290.367 0.957 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2csc(=O)[nH]2)[C@H](O)C1 ZINC001090504320 838351581 /nfs/dbraw/zinc/35/15/81/838351581.db2.gz OKFGURLNQFWSDS-GXSJLCMTSA-N 0 1 295.364 0.037 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2(C(=O)NC)CC2)CC[C@H]1C ZINC001132349282 838369964 /nfs/dbraw/zinc/36/99/64/838369964.db2.gz ZCVSGSYBLJGWTD-NEPJUHHUSA-N 0 1 277.368 0.115 20 30 CCEDMN Cn1c(C(=O)NCCNCc2ccccc2C#N)c[nH]c1=O ZINC001132367034 838373117 /nfs/dbraw/zinc/37/31/17/838373117.db2.gz JRHUUIYKCMFRSQ-UHFFFAOYSA-N 0 1 299.334 0.517 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2(C(=O)N(C)C)CC2)CC[C@@H]1C ZINC001132417157 838390976 /nfs/dbraw/zinc/39/09/76/838390976.db2.gz SDRCSAUKQQVQPI-QWHCGFSZSA-N 0 1 291.395 0.457 20 30 CCEDMN CN1CC[C@@H](C(=O)NCCNCC#Cc2ccccc2)C1=O ZINC001132609003 838451897 /nfs/dbraw/zinc/45/18/97/838451897.db2.gz ODEZIEJFOXXNEM-HNNXBMFYSA-N 0 1 299.374 0.222 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCNCCS(C)(=O)=O ZINC001132667812 838466094 /nfs/dbraw/zinc/46/60/94/838466094.db2.gz UBMIPZUMNNYCIK-LLVKDONJSA-N 0 1 276.402 0.339 20 30 CCEDMN C[C@H]1CCN(C(=O)C2=NC(=O)N(C)C2)C[C@H]1CNCC#N ZINC001133087184 838552614 /nfs/dbraw/zinc/55/26/14/838552614.db2.gz LFULVTBDTKYTMS-WDEREUQCSA-N 0 1 291.355 0.337 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](COC)OC ZINC001133512666 838657071 /nfs/dbraw/zinc/65/70/71/838657071.db2.gz GEGLBJRBERHCTE-VIFPVBQESA-N 0 1 250.726 0.106 20 30 CCEDMN COC[C@H](OC)C(=O)NCCNCC#Cc1ccccc1 ZINC001133517779 838660011 /nfs/dbraw/zinc/66/00/11/838660011.db2.gz TXDXEGRUKQAGJF-HNNXBMFYSA-N 0 1 290.363 0.405 20 30 CCEDMN C=CCCC(=O)N[C@H](C)C[C@@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001133888881 838767643 /nfs/dbraw/zinc/76/76/43/838767643.db2.gz NVNCAUVBAPNIEJ-GHMZBOCLSA-N 0 1 295.387 0.448 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@H](C)NCc1cn(C)nn1 ZINC001133910016 838775787 /nfs/dbraw/zinc/77/57/87/838775787.db2.gz UUDICTSNFZIJRV-RYUDHWBXSA-N 0 1 295.387 0.391 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)Nc2ccc(O)c(C#N)c2)C1 ZINC001185255605 844379746 /nfs/dbraw/zinc/37/97/46/844379746.db2.gz OZOCFLYXLQJGIW-LBPRGKRZSA-N 0 1 274.324 0.448 20 30 CCEDMN C[C@H](NC(=O)[C@H]1CN(C)CCN1C)c1cccc(C#N)c1 ZINC001185262829 844386661 /nfs/dbraw/zinc/38/66/61/844386661.db2.gz QLDFYWBYCFAZMF-SWLSCSKDSA-N 0 1 286.379 0.981 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)NCc2ccc(C#N)c(F)c2)C1 ZINC001185266975 844390433 /nfs/dbraw/zinc/39/04/33/844390433.db2.gz KEIHLXXGPJJSBK-AWEZNQCLSA-N 0 1 290.342 0.559 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCCNCC(=C)Cl ZINC001134811983 839078209 /nfs/dbraw/zinc/07/82/09/839078209.db2.gz DMHDUKDWAFRYBD-LBPRGKRZSA-N 0 1 287.791 0.916 20 30 CCEDMN CN(C)C(=O)CC(=O)NCCNCC#Cc1ccccc1 ZINC001134941662 839123949 /nfs/dbraw/zinc/12/39/49/839123949.db2.gz MGJYMPDDGDSUFO-UHFFFAOYSA-N 0 1 287.363 0.222 20 30 CCEDMN C=CCCn1cc(C(=O)NCCNCC(=C)Cl)nn1 ZINC001135072216 839151853 /nfs/dbraw/zinc/15/18/53/839151853.db2.gz SEAIKMYWPCRKCB-UHFFFAOYSA-N 0 1 283.763 0.926 20 30 CCEDMN CC[C@](N)(CO)Nc1cc(C#N)cc(OC)c1OC(C)=O ZINC001170865809 839469608 /nfs/dbraw/zinc/46/96/08/839469608.db2.gz QIBYWTRESSVOSU-CQSZACIVSA-N 0 1 293.323 0.961 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ocnc2CC)[C@H](O)C1 ZINC001090561705 839644218 /nfs/dbraw/zinc/64/42/18/839644218.db2.gz CSCHDJVGSOGIDB-NWDGAFQWSA-N 0 1 279.340 0.588 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cccnc2C)[C@@H](O)C1 ZINC001090572298 839650548 /nfs/dbraw/zinc/65/05/48/839650548.db2.gz FRMDVTLSSCJVPN-CABCVRRESA-N 0 1 289.379 0.670 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nonc2C)[C@H](O)C1 ZINC001090844791 839847740 /nfs/dbraw/zinc/84/77/40/839847740.db2.gz UASPFQRINJRMMN-WDEREUQCSA-N 0 1 280.328 0.119 20 30 CCEDMN O=C(CCS)N1CCN(C2CCOCC2)CC1 ZINC001143978604 839910573 /nfs/dbraw/zinc/91/05/73/839910573.db2.gz GZAPNFQUHMTOKG-UHFFFAOYSA-N 0 1 258.387 0.630 20 30 CCEDMN CC(C)N1CCO[C@@H](C(=O)NCC2CC(NCC#N)C2)C1 ZINC001091201580 840131897 /nfs/dbraw/zinc/13/18/97/840131897.db2.gz YWVNSMPJHYFDCX-JXQTWKCFSA-N 0 1 294.399 0.104 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001091445173 840229496 /nfs/dbraw/zinc/22/94/96/840229496.db2.gz PRKJOYRLEXDQJT-GUBZILKMSA-N 0 1 299.338 0.819 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCCNCc1n[nH]c(C)n1 ZINC001149117473 840252736 /nfs/dbraw/zinc/25/27/36/840252736.db2.gz JKOLFIDFAWHZJP-UHFFFAOYSA-N 0 1 297.362 0.543 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CN(C(=O)CC)C2)C1 ZINC001146861877 840384261 /nfs/dbraw/zinc/38/42/61/840384261.db2.gz ZEHIDRCSKHBDBW-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@H]3C[C@@H]3C)C2)C1 ZINC001146934631 840418905 /nfs/dbraw/zinc/41/89/05/840418905.db2.gz WVOMFQPPGVXCFX-KBPBESRZSA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COCC3CC3)C2)C1 ZINC001147044373 840455975 /nfs/dbraw/zinc/45/59/75/840455975.db2.gz TUCOLCQDRCKYHF-UHFFFAOYSA-N 0 1 262.353 0.581 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@@H](C)OC)C2)C1 ZINC001147090620 840496970 /nfs/dbraw/zinc/49/69/70/840496970.db2.gz NENYJYKRRNCKTP-CYBMUJFWSA-N 0 1 280.368 0.205 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)COC(C)C)C2)C1 ZINC001147154211 840506514 /nfs/dbraw/zinc/50/65/14/840506514.db2.gz ZTZOIVNWNJZAEJ-UHFFFAOYSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CC[C@H](C)OC)C2)C1 ZINC001147392267 840576989 /nfs/dbraw/zinc/57/69/89/840576989.db2.gz LIMNKVCFOUZBMS-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN N#C[C@H](NC(=O)CCc1nn[nH]n1)C1CCCCC1 ZINC001148335193 840786254 /nfs/dbraw/zinc/78/62/54/840786254.db2.gz UMMQIESLQHYEIA-JTQLQIEISA-N 0 1 262.317 0.721 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cc(O)c(O)c(O)c1 ZINC001148580571 840850635 /nfs/dbraw/zinc/85/06/35/840850635.db2.gz HWUVJEMOWVSCDN-UHFFFAOYSA-N 0 1 260.209 0.650 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CNC(=O)C(C)(C)C)C2)C1 ZINC001148763207 840888624 /nfs/dbraw/zinc/88/86/24/840888624.db2.gz WPCWUHHTYFVQCF-UHFFFAOYSA-N 0 1 291.395 0.316 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)C3CC3)[C@@H]2C1 ZINC001186651106 844585969 /nfs/dbraw/zinc/58/59/69/844585969.db2.gz BEXARIMUGCZFEO-UONOGXRCSA-N 0 1 262.353 0.579 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1Nc1ccc2c(n1)NCCO2 ZINC001171369116 840966817 /nfs/dbraw/zinc/96/68/17/840966817.db2.gz PQWHGXCPDCJJCR-XVKPBYJWSA-N 0 1 264.285 0.534 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(COC)CC2)[C@@H](O)C1 ZINC001099916289 841052168 /nfs/dbraw/zinc/05/21/68/841052168.db2.gz LMCGSTMOWBSQJQ-NEPJUHHUSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCCC(=O)N1CCN(c2ncnc3[nH]cnc32)CC1 ZINC001093142476 841156517 /nfs/dbraw/zinc/15/65/17/841156517.db2.gz NZKGHHDHMAXMPP-UHFFFAOYSA-N 0 1 286.339 0.968 20 30 CCEDMN CC(C)C#CC(=O)NCCNc1ncnc2[nH]cnc21 ZINC001093594892 841366319 /nfs/dbraw/zinc/36/63/19/841366319.db2.gz ZMNACNAJAJKGKO-UHFFFAOYSA-N 0 1 272.312 0.492 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCCNc1cnc(C#N)cn1 ZINC001094339932 841609156 /nfs/dbraw/zinc/60/91/56/841609156.db2.gz GNGWTDMCOVIYOD-GFCCVEGCSA-N 0 1 288.355 0.361 20 30 CCEDMN N#Cc1cccnc1NCCCNC(=O)CCc1nc[nH]n1 ZINC001094363650 841621594 /nfs/dbraw/zinc/62/15/94/841621594.db2.gz VSKKOUNSXCZFSI-UHFFFAOYSA-N 0 1 299.338 0.622 20 30 CCEDMN N#CCN1CC[C@@]2(CNC(=O)c3ncn[nH]3)CCC[C@@H]12 ZINC001094538210 841661529 /nfs/dbraw/zinc/66/15/29/841661529.db2.gz RONDEEJCJZPSJU-ZWNOBZJWSA-N 0 1 274.328 0.303 20 30 CCEDMN N#CCN1CC[C@@]2(CNC(=O)c3nc[nH]n3)CCC[C@@H]12 ZINC001094538210 841661531 /nfs/dbraw/zinc/66/15/31/841661531.db2.gz RONDEEJCJZPSJU-ZWNOBZJWSA-N 0 1 274.328 0.303 20 30 CCEDMN Cc1cc(C#N)nc(NCCCNC(=O)c2cnn[nH]2)n1 ZINC001094881362 841864773 /nfs/dbraw/zinc/86/47/73/841864773.db2.gz HHFUTCHDUUCPMW-UHFFFAOYSA-N 0 1 286.299 0.007 20 30 CCEDMN CN1CCC(C#N)(N[C@@H]2CCN3C(=O)CC[C@H]3C2)CC1 ZINC001172177811 841885623 /nfs/dbraw/zinc/88/56/23/841885623.db2.gz ZEWDAVGCODFFPY-OLZOCXBDSA-N 0 1 276.384 0.717 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2nc(C)no2)[C@H](O)C1 ZINC001099940392 841929552 /nfs/dbraw/zinc/92/95/52/841929552.db2.gz UZCYXPFHQZYRJO-VXGBXAGGSA-N 0 1 294.355 0.048 20 30 CCEDMN CNC(=O)N[C@H]1CCCN(CCO[C@H](C)C#N)C1 ZINC001176122268 842332002 /nfs/dbraw/zinc/33/20/02/842332002.db2.gz ULHXLHVOEVCCJP-MNOVXSKESA-N 0 1 254.334 0.308 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)NC1(C#N)CCC1 ZINC001176335467 842352230 /nfs/dbraw/zinc/35/22/30/842352230.db2.gz VXRGNKJKFYIBND-LLVKDONJSA-N 0 1 275.312 0.019 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)Cc1cnc[nH]1 ZINC001176833658 842418827 /nfs/dbraw/zinc/41/88/27/842418827.db2.gz PQNFZABCMRNISD-UHFFFAOYSA-N 0 1 250.302 0.151 20 30 CCEDMN C=C[C@H]1C[C@]1(NC(=O)Cc1cnc[nH]1)C(=O)OCC ZINC001176837001 842423144 /nfs/dbraw/zinc/42/31/44/842423144.db2.gz VTQWDMFPJCXBIP-TVQRCGJNSA-N 0 1 263.297 0.576 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)Cc1cncc(Cl)n1 ZINC001177226065 842528063 /nfs/dbraw/zinc/52/80/63/842528063.db2.gz GZNRSHWBTIVWTG-UHFFFAOYSA-N 0 1 262.660 0.906 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1nncs1 ZINC001177272944 842541594 /nfs/dbraw/zinc/54/15/94/842541594.db2.gz CBRWFNLILQBIDI-SNVBAGLBSA-N 0 1 284.385 0.725 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1cn(CC)nn1 ZINC001177273628 842542997 /nfs/dbraw/zinc/54/29/97/842542997.db2.gz UORUHMRNSVGZAR-LBPRGKRZSA-N 0 1 295.387 0.485 20 30 CCEDMN C[C@@H]1C(=O)NCCN1C(=O)C(C#N)Cc1cccs1 ZINC001177910386 842707178 /nfs/dbraw/zinc/70/71/78/842707178.db2.gz ZYYXELYLCOUNJS-ZJUUUORDSA-N 0 1 277.349 0.777 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H]1CCC(=O)N1 ZINC001177915807 842707658 /nfs/dbraw/zinc/70/76/58/842707658.db2.gz CCRABOVSZPOCNR-UWVGGRQHSA-N 0 1 277.349 0.825 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001177917696 842710377 /nfs/dbraw/zinc/71/03/77/842710377.db2.gz WHQABQDWQKHXIB-VHSXEESVSA-N 0 1 298.389 0.734 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCCCCNCc1nnnn1C ZINC001178049479 842737051 /nfs/dbraw/zinc/73/70/51/842737051.db2.gz CAMFRUQMHGNKOA-LLVKDONJSA-N 0 1 293.375 0.136 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCCCCNCc1ncnn1C ZINC001178053338 842738206 /nfs/dbraw/zinc/73/82/06/842738206.db2.gz QGPSBMWJGFLYAO-GFCCVEGCSA-N 0 1 292.387 0.741 20 30 CCEDMN COC(=O)[C@H](CCc1cn(C)cn1)NC(=O)C(C)C#N ZINC001179902718 843087212 /nfs/dbraw/zinc/08/72/12/843087212.db2.gz RQAGBTCAVWQNQS-KOLCDFICSA-N 0 1 278.312 0.170 20 30 CCEDMN C=CCNC(=O)CNCc1ccccc1C(=O)N(C)C ZINC001180332027 843154376 /nfs/dbraw/zinc/15/43/76/843154376.db2.gz NGADJCIAEHFQJH-UHFFFAOYSA-N 0 1 275.352 0.780 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(C)=O)C1 ZINC001180707142 843267280 /nfs/dbraw/zinc/26/72/80/843267280.db2.gz CDDWWABJCXEEJU-SNVBAGLBSA-N 0 1 259.737 0.066 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)[C@@H]2CCOC2)CC1 ZINC001151021626 843440321 /nfs/dbraw/zinc/44/03/21/843440321.db2.gz ZAUWMMWSDSBQGL-CQSZACIVSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N[C@H](C)c2cnccn2)C1 ZINC001181617426 843556866 /nfs/dbraw/zinc/55/68/66/843556866.db2.gz CPAADHWFNRHZSN-CHWSQXEVSA-N 0 1 290.367 0.931 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCN(C)C(=O)C(F)F ZINC001273474238 843756443 /nfs/dbraw/zinc/75/64/43/843756443.db2.gz FWJSBXDFTUJSSJ-JTQLQIEISA-N 0 1 291.342 0.723 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCNC(=O)NC)C1 ZINC001182329345 843772784 /nfs/dbraw/zinc/77/27/84/843772784.db2.gz GQCIODNOCVMHFL-SNVBAGLBSA-N 0 1 288.779 0.249 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](NCc2ccn(C)n2)C1 ZINC001182389065 843799939 /nfs/dbraw/zinc/79/99/39/843799939.db2.gz PCEUBQUXOUZISU-CQSZACIVSA-N 0 1 274.368 0.770 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@@H]1NC(=O)c2ccccc21 ZINC001182416937 843819023 /nfs/dbraw/zinc/81/90/23/843819023.db2.gz MANIVVSFTWHEOD-SNVBAGLBSA-N 0 1 267.248 0.705 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2c[nH]nn2)C[C@@]1(C)CNCC#N ZINC001182652332 843891965 /nfs/dbraw/zinc/89/19/65/843891965.db2.gz UFFYXFQXAFPXCV-BXUZGUMPSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnn[nH]2)C[C@@]1(C)CNCC#N ZINC001182652332 843891977 /nfs/dbraw/zinc/89/19/77/843891977.db2.gz UFFYXFQXAFPXCV-BXUZGUMPSA-N 0 1 290.371 0.335 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)[C@@H]2CCN2C(C)C)CC1 ZINC001182851904 843935871 /nfs/dbraw/zinc/93/58/71/843935871.db2.gz MOYRGFBVWMZXIE-LBPRGKRZSA-N 0 1 293.367 0.774 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@H]2CN(CC=C)C[C@H]21 ZINC001187881977 844804746 /nfs/dbraw/zinc/80/47/46/844804746.db2.gz IQOPJQKZKVEACO-MELADBBJSA-N 0 1 262.353 0.743 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1CC[C@H]2CN(CC#N)C[C@H]21 ZINC001188107701 844831980 /nfs/dbraw/zinc/83/19/80/844831980.db2.gz WPXJTKTWCKLAKL-MELADBBJSA-N 0 1 296.390 0.723 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ncoc2C)C1 ZINC001189152177 845065797 /nfs/dbraw/zinc/06/57/97/845065797.db2.gz SXPDWMBCTXDPSO-ZDUSSCGKSA-N 0 1 291.351 0.779 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCC(=O)NCC)C1 ZINC001189310688 845106171 /nfs/dbraw/zinc/10/61/71/845106171.db2.gz QKPROWLZSZSVHN-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cc(-c2ccncc2)[nH]n1 ZINC001189323231 845108356 /nfs/dbraw/zinc/10/83/56/845108356.db2.gz OZJXIBULNSJIDD-NSHDSACASA-N 0 1 283.291 0.836 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](N(C)[C@H]2CCCNC2=O)C1 ZINC001189381208 845120291 /nfs/dbraw/zinc/12/02/91/845120291.db2.gz VMJYGLYATBQOQB-STQMWFEESA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COCc3ccncc3)[C@@H]2C1 ZINC001189537985 845168240 /nfs/dbraw/zinc/16/82/40/845168240.db2.gz NPXCDHRQBFXRBE-JKSUJKDBSA-N 0 1 299.374 0.764 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189785787 845208586 /nfs/dbraw/zinc/20/85/86/845208586.db2.gz BKSNTCIDTFYCNX-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189918946 845268016 /nfs/dbraw/zinc/26/80/16/845268016.db2.gz XCAIEUUTWFLTRF-ZBFHGGJFSA-N 0 1 294.395 0.496 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCn2ccnn2)C1 ZINC001190622803 845437216 /nfs/dbraw/zinc/43/72/16/845437216.db2.gz QVZNIGYRASSXJP-CYBMUJFWSA-N 0 1 275.356 0.224 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCNC2=O)C1 ZINC001190789283 845500948 /nfs/dbraw/zinc/50/09/48/845500948.db2.gz PACLOOBOCPKJPM-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001190974455 845556682 /nfs/dbraw/zinc/55/66/82/845556682.db2.gz ZCBHJCWFIJZANT-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)CCCC(C)=O)C1 ZINC001191027543 845566374 /nfs/dbraw/zinc/56/63/74/845566374.db2.gz JORJUHFISWMSEA-ZIAGYGMSSA-N 0 1 282.384 0.873 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CCCC)C1 ZINC001191084039 845591751 /nfs/dbraw/zinc/59/17/51/845591751.db2.gz XHDUOCGRCHSJDD-CHWSQXEVSA-N 0 1 270.373 0.541 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C[C@H]2CCCO2)C1 ZINC001191216965 845608563 /nfs/dbraw/zinc/60/85/63/845608563.db2.gz GKPRMOMUWYIVBY-MGPQQGTHSA-N 0 1 282.384 0.683 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C(C)(C)COC)C1 ZINC001191359247 845639805 /nfs/dbraw/zinc/63/98/05/845639805.db2.gz FAKPSGZTXYCPQL-AWEZNQCLSA-N 0 1 296.411 0.842 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)COC2CCCC2)C1 ZINC001191369115 845640355 /nfs/dbraw/zinc/64/03/55/845640355.db2.gz DKUDHFMQOIGICA-ZIAGYGMSSA-N 0 1 282.384 0.683 20 30 CCEDMN CC#CC[N@H+](C)[C@H]1CCN(C(=O)C2(COC)CC2)C1 ZINC001191368726 845641381 /nfs/dbraw/zinc/64/13/81/845641381.db2.gz SABRRAKLAUXDNZ-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C2(COC)CC2)C1 ZINC001191368726 845641385 /nfs/dbraw/zinc/64/13/85/845641385.db2.gz SABRRAKLAUXDNZ-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2c[nH]nc2C)C1 ZINC001191641178 845705368 /nfs/dbraw/zinc/70/53/68/845705368.db2.gz OVADYQBZDKWWGV-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCc2cnn(C)c2)C[C@H]1O ZINC001191645966 845708030 /nfs/dbraw/zinc/70/80/30/845708030.db2.gz STQCWWIACXVBJW-ZIAGYGMSSA-N 0 1 292.383 0.090 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1O ZINC001191724585 845716753 /nfs/dbraw/zinc/71/67/53/845716753.db2.gz MIBIYDSCHGBNDC-IJLUTSLNSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)[C@@H](C)C(=O)NC)C1 ZINC001191727475 845719303 /nfs/dbraw/zinc/71/93/03/845719303.db2.gz NEBFFUPBIJOUSK-NWDGAFQWSA-N 0 1 281.400 0.866 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2cocn2)C1 ZINC001191744647 845726735 /nfs/dbraw/zinc/72/67/35/845726735.db2.gz HVYMPJCQQIHNBN-ZYHUDNBSSA-N 0 1 265.313 0.416 20 30 CCEDMN C#CCCCCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001191755861 845732164 /nfs/dbraw/zinc/73/21/64/845732164.db2.gz ZMQCWMSVNYCZAJ-UONOGXRCSA-N 0 1 293.411 0.977 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CSC)C1 ZINC001191815411 845733641 /nfs/dbraw/zinc/73/36/41/845733641.db2.gz WJUACBZYFJRAIF-IJLUTSLNSA-N 0 1 270.398 0.170 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)CCCF)C1 ZINC001191781927 845735304 /nfs/dbraw/zinc/73/53/04/845735304.db2.gz YHGSGLPERNRLPP-CHWSQXEVSA-N 0 1 297.374 0.407 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001191860193 845742744 /nfs/dbraw/zinc/74/27/44/845742744.db2.gz YDBSYMPJFWKMNF-QWHCGFSZSA-N 0 1 279.384 0.587 20 30 CCEDMN C=CCOCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCC)C1 ZINC001191841965 845748889 /nfs/dbraw/zinc/74/88/89/845748889.db2.gz AUEKYBLNXHUUDK-BFHYXJOUSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCC)C1 ZINC001191841965 845748893 /nfs/dbraw/zinc/74/88/93/845748893.db2.gz AUEKYBLNXHUUDK-BFHYXJOUSA-N 0 1 284.400 0.787 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H](OC)C(C)C)C1 ZINC001192163283 845794451 /nfs/dbraw/zinc/79/44/51/845794451.db2.gz UTWFQVMAQIWEOR-LSDHHAIUSA-N 0 1 296.411 0.840 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)c2ccn(C)c2)C1 ZINC001192178206 845802976 /nfs/dbraw/zinc/80/29/76/845802976.db2.gz IFXIAFMBCDXSTG-ZIAGYGMSSA-N 0 1 293.367 0.003 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@H](C)SC)C1 ZINC001192313291 845818011 /nfs/dbraw/zinc/81/80/11/845818011.db2.gz QRKBYEGDDSHLPJ-QJPTWQEYSA-N 0 1 270.398 0.313 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001192306685 845817818 /nfs/dbraw/zinc/81/78/18/845817818.db2.gz NWVIGNDQRIHJNX-FMKPAKJESA-N 0 1 296.411 0.665 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)SC)C1 ZINC001192313291 845818019 /nfs/dbraw/zinc/81/80/19/845818019.db2.gz QRKBYEGDDSHLPJ-QJPTWQEYSA-N 0 1 270.398 0.313 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@H](C)SC)C1 ZINC001192312900 845819266 /nfs/dbraw/zinc/81/92/66/845819266.db2.gz JGRVFCBPLPLBDN-QJPTWQEYSA-N 0 1 272.414 0.865 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)SC)C1 ZINC001192312900 845819267 /nfs/dbraw/zinc/81/92/67/845819267.db2.gz JGRVFCBPLPLBDN-QJPTWQEYSA-N 0 1 272.414 0.865 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cn2ccc(C)n2)C1 ZINC001192406128 845848851 /nfs/dbraw/zinc/84/88/51/845848851.db2.gz BKKNVVSTZUDOPO-AWEZNQCLSA-N 0 1 274.368 0.748 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)Cc2ccnn2C)C1 ZINC001192493416 845855813 /nfs/dbraw/zinc/85/58/13/845855813.db2.gz AYWRYWIVVYPAOA-ZIAGYGMSSA-N 0 1 292.383 0.090 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ccnnc2)C1 ZINC001192514396 845861609 /nfs/dbraw/zinc/86/16/09/845861609.db2.gz YXVOCFIXPIWNQG-CQSZACIVSA-N 0 1 288.351 0.273 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001192801497 845910862 /nfs/dbraw/zinc/91/08/62/845910862.db2.gz QKJRDFPNESGRSV-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN COC(=O)[C@@H](NS(=O)(=O)[C@@H](C)C#N)c1ccccn1 ZINC001193168865 846011426 /nfs/dbraw/zinc/01/14/26/846011426.db2.gz MWSXCLZQEHCYAK-WPRPVWTQSA-N 0 1 283.309 0.127 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cccc(OCCO)c1O ZINC001193322679 846052455 /nfs/dbraw/zinc/05/24/55/846052455.db2.gz QJMCSDAYJBHGCC-UHFFFAOYSA-N 0 1 288.263 0.610 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCOC2CCOCC2)C1 ZINC001193383043 846067387 /nfs/dbraw/zinc/06/73/87/846067387.db2.gz OGWZLBSLESUJHM-AWEZNQCLSA-N 0 1 294.395 0.738 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cccn(C)c2=O)C1 ZINC001193531694 846094782 /nfs/dbraw/zinc/09/47/82/846094782.db2.gz CRHZESQHJWYLRF-CYBMUJFWSA-N 0 1 287.363 0.555 20 30 CCEDMN C[C@@H]1C(=O)NCCN1C(=O)c1ccc(O)c(C#N)c1 ZINC001193504541 846101419 /nfs/dbraw/zinc/10/14/19/846101419.db2.gz TYHLBEBZZLDOAD-MRVPVSSYSA-N 0 1 259.265 0.224 20 30 CCEDMN CN1C[C@@H](NC(=O)c2cccc(C#N)c2O)CCC1=O ZINC001193658241 846139509 /nfs/dbraw/zinc/13/95/09/846139509.db2.gz ZQXPQNMQNJUXOE-JTQLQIEISA-N 0 1 273.292 0.614 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1cccc(C#N)c1O ZINC001193659360 846139982 /nfs/dbraw/zinc/13/99/82/846139982.db2.gz LTLFJDFEABPUGK-MWLCHTKSSA-N 0 1 274.276 0.803 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCCCNC(C)=O)C1 ZINC001193877030 846165014 /nfs/dbraw/zinc/16/50/14/846165014.db2.gz AGMAYBHJKIPREO-OAHLLOKOSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCc2nc(C)no2)C1 ZINC001194017426 846197793 /nfs/dbraw/zinc/19/77/93/846197793.db2.gz OQXKATFBVHMKOX-CYBMUJFWSA-N 0 1 290.367 0.867 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COC[C@H]2CCCO2)C1 ZINC001194501491 846313278 /nfs/dbraw/zinc/31/32/78/846313278.db2.gz AKUNBVSMKIJICG-ZIAGYGMSSA-N 0 1 280.368 0.348 20 30 CCEDMN CC#CC[N@H+](C)[C@@H]1CCN(C(=O)c2nn(CC)nc2C)C1 ZINC001194511262 846314956 /nfs/dbraw/zinc/31/49/56/846314956.db2.gz LCCJJLOOZFVXMF-CYBMUJFWSA-N 0 1 289.383 0.776 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2nn(CC)nc2C)C1 ZINC001194511262 846314962 /nfs/dbraw/zinc/31/49/62/846314962.db2.gz LCCJJLOOZFVXMF-CYBMUJFWSA-N 0 1 289.383 0.776 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001194523482 846316453 /nfs/dbraw/zinc/31/64/53/846316453.db2.gz WIWRDPGYBGWIHB-STQMWFEESA-N 0 1 279.384 0.300 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@@H]2CCCO2)CC1 ZINC001194733628 846364079 /nfs/dbraw/zinc/36/40/79/846364079.db2.gz WZMLADQYUDEPSH-AWEZNQCLSA-N 0 1 282.384 0.902 20 30 CCEDMN Cn1ncc(NS(=O)(=O)C2CCC(=O)CC2)c1C#N ZINC001194763735 846389614 /nfs/dbraw/zinc/38/96/14/846389614.db2.gz HBZBDFNFOOZPJL-UHFFFAOYSA-N 0 1 282.325 0.545 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCC(F)F)C1 ZINC001194759774 846390635 /nfs/dbraw/zinc/39/06/35/846390635.db2.gz FCJQYMBVEUDZNG-NXEZZACHSA-N 0 1 260.284 0.216 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCOC2CCC2)C[C@H]1O ZINC001195312760 846502138 /nfs/dbraw/zinc/50/21/38/846502138.db2.gz WNIAWMKVBQXQRU-ZIAGYGMSSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@H](CC)OC)C[C@H]1O ZINC001195312292 846502797 /nfs/dbraw/zinc/50/27/97/846502797.db2.gz LGCBARMQHSMBPS-YNEHKIRRSA-N 0 1 284.400 0.785 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001195531664 846569332 /nfs/dbraw/zinc/56/93/32/846569332.db2.gz HCMWKPSCKLIWDD-YNEHKIRRSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](C=C)CC)C1 ZINC001195567027 846583511 /nfs/dbraw/zinc/58/35/11/846583511.db2.gz HDAKGEQUTNYTNQ-RBSFLKMASA-N 0 1 296.411 0.953 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCCN(CCOCC)CC1 ZINC001195691256 846600540 /nfs/dbraw/zinc/60/05/40/846600540.db2.gz HBKIWYKDJNDRJA-OAHLLOKOSA-N 0 1 284.400 0.884 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001195726205 846603201 /nfs/dbraw/zinc/60/32/01/846603201.db2.gz SZVQIAOZTZNYAK-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2cccnn2)c(O)c1 ZINC001195765050 846613856 /nfs/dbraw/zinc/61/38/56/846613856.db2.gz WDJOFYKLUKEWIO-UHFFFAOYSA-N 0 1 254.249 0.984 20 30 CCEDMN CC(=O)NC[C@H](C)CNC(=O)c1ccc(C#N)cc1O ZINC001195767860 846615440 /nfs/dbraw/zinc/61/54/40/846615440.db2.gz AYSWAZXXIBEBJO-VIFPVBQESA-N 0 1 275.308 0.766 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@H]1O ZINC001196474866 846753125 /nfs/dbraw/zinc/75/31/25/846753125.db2.gz NWTDMRPTKYQWSQ-MGPQQGTHSA-N 0 1 298.427 0.911 20 30 CCEDMN CS(=O)(=O)c1ccc(C(=O)Nc2nc[nH]c2C#N)nc1 ZINC001196700872 846787933 /nfs/dbraw/zinc/78/79/33/846787933.db2.gz KGZWUVUUTXDTFM-UHFFFAOYSA-N 0 1 291.292 0.332 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCC[N@H+](C[C@H](O)COC)CC2)C1 ZINC001197093064 846837493 /nfs/dbraw/zinc/83/74/93/846837493.db2.gz RPEVROMGJPSWSS-AWEZNQCLSA-N 0 1 296.411 0.884 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCCN(C[C@H](O)COC)CC2)C1 ZINC001197093064 846837500 /nfs/dbraw/zinc/83/75/00/846837500.db2.gz RPEVROMGJPSWSS-AWEZNQCLSA-N 0 1 296.411 0.884 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@H](COC)OC)CC1 ZINC001197118216 846845452 /nfs/dbraw/zinc/84/54/52/846845452.db2.gz GYNOSIUWLOLONE-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN Cn1ncc(C#N)c1NS(=O)(=O)Cc1cccnc1 ZINC001197421660 846909002 /nfs/dbraw/zinc/90/90/02/846909002.db2.gz KRUYASDWXLRLKW-UHFFFAOYSA-N 0 1 277.309 0.629 20 30 CCEDMN C[C@H](CCC#N)N1CCC(O)(c2ncnn2C)CC1 ZINC001197460002 846924987 /nfs/dbraw/zinc/92/49/87/846924987.db2.gz UEMBQYYSTHVQDG-LLVKDONJSA-N 0 1 263.345 0.791 20 30 CCEDMN CCC[NH+](C)CC(=O)N1CCC[N@@H+](CC#CCOC)CC1 ZINC001197913615 847002471 /nfs/dbraw/zinc/00/24/71/847002471.db2.gz BAOPVQYQOGCYMW-UHFFFAOYSA-N 0 1 295.427 0.512 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)N(CC)Cc1cnn(C)c1 ZINC001152370811 847006670 /nfs/dbraw/zinc/00/66/70/847006670.db2.gz PNOPCZWRJJBCCK-CYBMUJFWSA-N 0 1 294.399 0.949 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)COCCCCCC)C1 ZINC001198142509 847061740 /nfs/dbraw/zinc/06/17/40/847061740.db2.gz HXOLQZYVYWDJBD-HUUCEWRRSA-N 0 1 296.411 0.768 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccncc1N1CCOCC1 ZINC001198198970 847064522 /nfs/dbraw/zinc/06/45/22/847064522.db2.gz BDFORKQYLCRISX-UHFFFAOYSA-N 0 1 298.306 0.765 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cncn1-c1ccncc1 ZINC001198550144 847131703 /nfs/dbraw/zinc/13/17/03/847131703.db2.gz ZHLCRDVHRSKPEY-LBPRGKRZSA-N 0 1 283.291 0.632 20 30 CCEDMN C#CCOC[C@H](O)CN1CCCN(C(=O)CC(C)C)CC1 ZINC001251854672 847208092 /nfs/dbraw/zinc/20/80/92/847208092.db2.gz JIQLFJGBYHCCIY-OAHLLOKOSA-N 0 1 296.411 0.578 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1C[N@H+](CC2CC2)C[C@H]1O ZINC001199292063 847284851 /nfs/dbraw/zinc/28/48/51/847284851.db2.gz ARXPUOBZOIYYKM-CHWSQXEVSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCCOC(C)C)C1 ZINC001199415698 847314798 /nfs/dbraw/zinc/31/47/98/847314798.db2.gz XCBNEFSEGZCFFY-ZIAGYGMSSA-N 0 1 284.400 0.929 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1nccn1CCOC ZINC001199410987 847328663 /nfs/dbraw/zinc/32/86/63/847328663.db2.gz SSLBJEQFPREIGD-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C=C(Cl)C[NH2+]C[C@H]1CN(C(=O)c2ccn[nH]2)CCCO1 ZINC001199912703 847479689 /nfs/dbraw/zinc/47/96/89/847479689.db2.gz HBSLBHWNXRBBDX-NSHDSACASA-N 0 1 298.774 0.983 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2cc[nH]n2)CCCO1 ZINC001199912703 847479697 /nfs/dbraw/zinc/47/96/97/847479697.db2.gz HBSLBHWNXRBBDX-NSHDSACASA-N 0 1 298.774 0.983 20 30 CCEDMN N#CCNC(=O)CNC/C=C\CNC(=O)c1ccccc1 ZINC001273815560 847564609 /nfs/dbraw/zinc/56/46/09/847564609.db2.gz SNHMBOJEMYNOQP-PLNGDYQASA-N 0 1 286.335 0.202 20 30 CCEDMN C[N@H+]1CCCC[C@H]1C(=O)N1CCCO[C@H](CNCC#N)C1 ZINC001200805924 847693567 /nfs/dbraw/zinc/69/35/67/847693567.db2.gz NKMNRAHIKDJIES-KGLIPLIRSA-N 0 1 294.399 0.201 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCCO[C@H](CNCC#N)C1 ZINC001200805924 847693573 /nfs/dbraw/zinc/69/35/73/847693573.db2.gz NKMNRAHIKDJIES-KGLIPLIRSA-N 0 1 294.399 0.201 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)/C(C)=C\CC ZINC001273879974 847722047 /nfs/dbraw/zinc/72/20/47/847722047.db2.gz UPVVRUQLEZFXAV-DGOUITMESA-N 0 1 277.368 0.354 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccn(C)n1)C2 ZINC001110611743 847920283 /nfs/dbraw/zinc/92/02/83/847920283.db2.gz UAHPVUYQHLBDIQ-KFWWJZLASA-N 0 1 286.379 0.707 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@]1(C)CCN(c2ncnc3[nH]cnc32)C1 ZINC001110736456 847991278 /nfs/dbraw/zinc/99/12/78/847991278.db2.gz ZFOOQMYLPMTLFJ-XPTSAGLGSA-N 0 1 299.338 0.598 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(O)(CC=C)CC1 ZINC000717425310 848261904 /nfs/dbraw/zinc/26/19/04/848261904.db2.gz TZHOBLAJKHXNRT-GFCCVEGCSA-N 0 1 250.342 0.527 20 30 CCEDMN N#CCCN1CC[C@]2(CCN(C[C@H]3CCC(=O)N3)C2=O)C1 ZINC001274032811 848310856 /nfs/dbraw/zinc/31/08/56/848310856.db2.gz WLLIORKEWFLEQA-DOMZBBRYSA-N 0 1 290.367 0.103 20 30 CCEDMN C=C(C)CNC(=O)[C@@H]1CC12CCN(CC(=O)NC)CC2 ZINC001274074461 848340632 /nfs/dbraw/zinc/34/06/32/848340632.db2.gz VGMNJQGDWXCBHA-LBPRGKRZSA-N 0 1 279.384 0.527 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@@H]1CCNC1=O ZINC001274090004 848347129 /nfs/dbraw/zinc/34/71/29/848347129.db2.gz UFRTYDXLSSXHFI-VUDBWIFFSA-N 0 1 285.775 0.527 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)C1(C)CC=CC1 ZINC001274331829 848451877 /nfs/dbraw/zinc/45/18/77/848451877.db2.gz OMKLPIMVGYIZNC-SREVYHEPSA-N 0 1 289.379 0.354 20 30 CCEDMN C=CCC(C)(C)C(=O)NC/C=C/CN[C@H]1CCNC1=O ZINC001274395544 848469036 /nfs/dbraw/zinc/46/90/36/848469036.db2.gz XAZZBFSXULGRBR-FYJFLYSWSA-N 0 1 279.384 0.739 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)[C@@H](C)COC)CC2)C1 ZINC001274467894 848485114 /nfs/dbraw/zinc/48/51/14/848485114.db2.gz LDFNUCMNWMATSA-AWEZNQCLSA-N 0 1 295.427 0.673 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(c3ncnc4[nH]cnc43)C2)C1=O ZINC001274674241 848537840 /nfs/dbraw/zinc/53/78/40/848537840.db2.gz XAWNNTAAMNKTTD-HNNXBMFYSA-N 0 1 298.350 0.968 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC[C@H](C)NCc1nncn1C ZINC001274707241 848548136 /nfs/dbraw/zinc/54/81/36/848548136.db2.gz ZFBULXAVUNQXIR-FZMZJTMJSA-N 0 1 295.387 0.127 20 30 CCEDMN CC#CCCCC(=O)NCC[C@H](C)NCc1cnn(C)n1 ZINC001274772163 848564719 /nfs/dbraw/zinc/56/47/19/848564719.db2.gz NVJRTYBXWHSDRQ-ZDUSSCGKSA-N 0 1 291.399 0.993 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc[n+]([O-])cc1 ZINC001275064125 848625710 /nfs/dbraw/zinc/62/57/10/848625710.db2.gz FHZSDWTUKSZYCA-JTQLQIEISA-N 0 1 269.732 0.780 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1coc(C(N)=O)c1 ZINC001275129513 848644663 /nfs/dbraw/zinc/64/46/63/848644663.db2.gz WPEBXDADLUYTSG-LBPRGKRZSA-N 0 1 289.335 0.548 20 30 CCEDMN CCn1nncc1CN[C@@H](C)[C@@H](C)NC(=O)C#CC1CC1 ZINC001275394361 848709347 /nfs/dbraw/zinc/70/93/47/848709347.db2.gz LBRGBARASGQHJI-NWDGAFQWSA-N 0 1 289.383 0.694 20 30 CCEDMN CCn1ccnc1C(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001275544796 848757710 /nfs/dbraw/zinc/75/77/10/848757710.db2.gz PVEHQXAMHUHVBQ-ZDUSSCGKSA-N 0 1 292.383 0.603 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cn2c(n1)COCC2 ZINC001275592244 848770438 /nfs/dbraw/zinc/77/04/38/848770438.db2.gz HLFRDCBZZOXSEM-LBPRGKRZSA-N 0 1 290.367 0.487 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H](C)Oc1cccnc1 ZINC001275648596 848783297 /nfs/dbraw/zinc/78/32/97/848783297.db2.gz MHHCTPSESSYYJF-CHWSQXEVSA-N 0 1 275.352 0.919 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccn(C(F)F)n1 ZINC001275846151 848844328 /nfs/dbraw/zinc/84/43/28/848844328.db2.gz VKTAULUSAVFWIQ-VIFPVBQESA-N 0 1 270.283 0.962 20 30 CCEDMN CC#CC[N@@H+](C)[C@H](C)CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001275865361 848850805 /nfs/dbraw/zinc/85/08/05/848850805.db2.gz JATNOCQJWCWOKB-ZIAGYGMSSA-N 0 1 288.395 0.905 20 30 CCEDMN CN(CCCNC(=O)Cc1nnc[nH]1)c1ncccc1C#N ZINC001095636622 849003692 /nfs/dbraw/zinc/00/36/92/849003692.db2.gz CSLKDKJBJNYRDK-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(=O)n1C)C2 ZINC001095869581 849205075 /nfs/dbraw/zinc/20/50/75/849205075.db2.gz JEOHKJUOZPXNBR-VWYCJHECSA-N 0 1 276.340 0.647 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccon1 ZINC001114570621 849248064 /nfs/dbraw/zinc/24/80/64/849248064.db2.gz IMZTXJQTXYPYGR-IMRBUKKESA-N 0 1 259.309 0.287 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccon1 ZINC001114573302 849252014 /nfs/dbraw/zinc/25/20/14/849252014.db2.gz IAIGNERNYAOHAB-NHAGDIPZSA-N 0 1 273.336 0.677 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H](C(C)(C)O)C1 ZINC000719636376 849320799 /nfs/dbraw/zinc/32/07/99/849320799.db2.gz FSAGXCMNPGRNRW-LLVKDONJSA-N 0 1 283.372 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CCC)OC ZINC001114668936 849355261 /nfs/dbraw/zinc/35/52/61/849355261.db2.gz LXGXJBFVDVLINT-QNWHQSFQSA-N 0 1 250.342 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COc1cccnc1 ZINC001114977913 849446984 /nfs/dbraw/zinc/44/69/84/849446984.db2.gz KTFKESIRRXOQBN-NHAGDIPZSA-N 0 1 271.320 0.140 20 30 CCEDMN C=C[C@H](O)CN1CCN(C(=O)OC(C)(C)C)C[C@@H](O)C1 ZINC001253572440 849489694 /nfs/dbraw/zinc/48/96/94/849489694.db2.gz NZSKHEUXZONOEE-RYUDHWBXSA-N 0 1 286.372 0.447 20 30 CCEDMN Cc1cc(C(=O)N(C)CCCN(C)C(=O)[C@H](C)C#N)n[nH]1 ZINC001066945055 849684812 /nfs/dbraw/zinc/68/48/12/849684812.db2.gz XAAKIZMKQVYPIT-SNVBAGLBSA-N 0 1 291.355 0.798 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCCN(C)C(=O)[C@@H](C)C#N)[nH]1 ZINC001067005529 849700527 /nfs/dbraw/zinc/70/05/27/849700527.db2.gz HUTLCNCUIFTPPQ-JTQLQIEISA-N 0 1 291.355 0.798 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ncccc1OC)C2 ZINC001095924024 849715173 /nfs/dbraw/zinc/71/51/73/849715173.db2.gz NXJPQLUJJLIOED-IPYPFGDCSA-N 0 1 299.374 0.987 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C1CN(CCn2cncn2)C1 ZINC001276377063 849796302 /nfs/dbraw/zinc/79/63/02/849796302.db2.gz RQZBGOYGQLFUFN-CYBMUJFWSA-N 0 1 289.383 0.374 20 30 CCEDMN CO[C@H](C)CN1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038172020 849806676 /nfs/dbraw/zinc/80/66/76/849806676.db2.gz DTXFOXXSVFLPJX-ZYHUDNBSSA-N 0 1 276.340 0.725 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001038311611 849845104 /nfs/dbraw/zinc/84/51/04/849845104.db2.gz PSLUZGNSVNGXDL-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001038444702 849901916 /nfs/dbraw/zinc/90/19/16/849901916.db2.gz FDYUWLGAXYYESA-LBPRGKRZSA-N 0 1 295.342 0.965 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001038539154 849933769 /nfs/dbraw/zinc/93/37/69/849933769.db2.gz SGWMOTXJRGZWNZ-MGPQQGTHSA-N 0 1 286.379 0.737 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001038584761 849953695 /nfs/dbraw/zinc/95/36/95/849953695.db2.gz ARZZTFOFBMYASQ-CYBMUJFWSA-N 0 1 296.334 0.799 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001038701331 849986127 /nfs/dbraw/zinc/98/61/27/849986127.db2.gz WKUJEZPIDMLZSO-FRRDWIJNSA-N 0 1 279.384 0.477 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1[nH]c(C)nc1C ZINC001038706360 849989697 /nfs/dbraw/zinc/98/96/97/849989697.db2.gz UXRGUDHJUQHAHL-LBPRGKRZSA-N 0 1 260.341 0.854 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnn2cc(C)cnc12 ZINC001038758356 850006650 /nfs/dbraw/zinc/00/66/50/850006650.db2.gz RSGUJMFBTFNLCX-CYBMUJFWSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001038915004 850080775 /nfs/dbraw/zinc/08/07/75/850080775.db2.gz SCTZHXRONXUBHF-OCCSQVGLSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1cnn2ccc(C)nc12 ZINC001038943791 850096093 /nfs/dbraw/zinc/09/60/93/850096093.db2.gz VZYJYILPTZUEDK-CYBMUJFWSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnn2ccc(C)nc12 ZINC001038943791 850096102 /nfs/dbraw/zinc/09/61/02/850096102.db2.gz VZYJYILPTZUEDK-CYBMUJFWSA-N 0 1 297.362 0.865 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001038971428 850106106 /nfs/dbraw/zinc/10/61/06/850106106.db2.gz PFDSXTQWSHGTMJ-KBPBESRZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCCN2C(=O)C(N)=O)C1 ZINC001039224095 850164717 /nfs/dbraw/zinc/16/47/17/850164717.db2.gz CXZGRPMIISEWND-NEPJUHHUSA-N 0 1 299.802 0.927 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCNC1=O ZINC001039383653 850182557 /nfs/dbraw/zinc/18/25/57/850182557.db2.gz HLAVVEWLBLVONL-MJBXVCDLSA-N 0 1 289.379 0.211 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(C)n1 ZINC001039419850 850187763 /nfs/dbraw/zinc/18/77/63/850187763.db2.gz PYLMYLFUAMYYMI-MNOVXSKESA-N 0 1 276.344 0.075 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cc[n+]([O-])cc3)C[C@@H]21 ZINC001041921116 850530461 /nfs/dbraw/zinc/53/04/61/850530461.db2.gz RTEFSKDTFMVZJC-HOCLYGCPSA-N 0 1 299.374 0.880 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(C)nn3)C[C@H]21 ZINC001042057750 850570926 /nfs/dbraw/zinc/57/09/26/850570926.db2.gz MIVLVRMFQNAMKN-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001042057121 850572679 /nfs/dbraw/zinc/57/26/79/850572679.db2.gz GMORUTGWUSEMSO-LSDHHAIUSA-N 0 1 256.349 0.956 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnn4c3CCC4)C[C@@H]21 ZINC001042084739 850575084 /nfs/dbraw/zinc/57/50/84/850575084.db2.gz VSBXNECVCHCVPS-CJNGLKHVSA-N 0 1 298.390 0.999 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cn[nH]n3)C[C@H]21 ZINC001042131767 850583050 /nfs/dbraw/zinc/58/30/50/850583050.db2.gz WUTQRBUXAPFJMR-WCQYABFASA-N 0 1 273.340 0.364 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnn4cc[nH]c34)C[C@@H]21 ZINC001042325759 850615891 /nfs/dbraw/zinc/61/58/91/850615891.db2.gz IMSPZMASPGLUII-OCCSQVGLSA-N 0 1 297.362 0.832 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC001043387215 850864541 /nfs/dbraw/zinc/86/45/41/850864541.db2.gz WLIMDDHKNKRJHZ-ABAIWWIYSA-N 0 1 286.379 0.851 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001044135999 851021286 /nfs/dbraw/zinc/02/12/86/851021286.db2.gz KIFSGZUZJLFKAM-UHFFFAOYSA-N 0 1 295.346 0.861 20 30 CCEDMN C=C(C)CN1CC(N(C)C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001044180950 851034637 /nfs/dbraw/zinc/03/46/37/851034637.db2.gz NKUYRUJAMLIQAX-LLVKDONJSA-N 0 1 289.383 0.628 20 30 CCEDMN C=C(C)CN1CC(N(C)C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001044180950 851034646 /nfs/dbraw/zinc/03/46/46/851034646.db2.gz NKUYRUJAMLIQAX-LLVKDONJSA-N 0 1 289.383 0.628 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001044371545 851088668 /nfs/dbraw/zinc/08/86/68/851088668.db2.gz RRCLOXVAKCDUTF-ZDUSSCGKSA-N 0 1 294.399 0.638 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](NCC#N)C[C@@H]2C)n[nH]1 ZINC001044498346 851113122 /nfs/dbraw/zinc/11/31/22/851113122.db2.gz NQIGRYSQHLTWQC-WDEREUQCSA-N 0 1 261.329 0.824 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cn(C)cn2)CC1 ZINC001045406857 851252144 /nfs/dbraw/zinc/25/21/44/851252144.db2.gz KTHZCSPUGKHOQB-UHFFFAOYSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnn3ccncc23)CC1 ZINC001045463509 851262526 /nfs/dbraw/zinc/26/25/26/851262526.db2.gz YQWSNEGZBJKLJS-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2[nH]nnc2C)CC1 ZINC001045519892 851270709 /nfs/dbraw/zinc/27/07/09/851270709.db2.gz NWCBQJRWNZIRSK-UHFFFAOYSA-N 0 1 261.329 0.331 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2c[nH]nc2C)CC1 ZINC001045517639 851271549 /nfs/dbraw/zinc/27/15/49/851271549.db2.gz IJPASXAAIKOTHN-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccc(OC)nn2)CC1 ZINC001045611130 851288613 /nfs/dbraw/zinc/28/86/13/851288613.db2.gz DMYONKOQXAYXNE-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001046119094 851384861 /nfs/dbraw/zinc/38/48/61/851384861.db2.gz VJAUEGZOFUDDCE-CQSZACIVSA-N 0 1 261.325 0.700 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCCO2)C1 ZINC001046235582 851432929 /nfs/dbraw/zinc/43/29/29/851432929.db2.gz ICPRRTHRQDMWHE-GXTWGEPZSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC001046363537 851477722 /nfs/dbraw/zinc/47/77/22/851477722.db2.gz CKHWDDAXXZOMLW-OAHLLOKOSA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001046363537 851477726 /nfs/dbraw/zinc/47/77/26/851477726.db2.gz CKHWDDAXXZOMLW-OAHLLOKOSA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001046430287 851499809 /nfs/dbraw/zinc/49/98/09/851499809.db2.gz ZWIGONUHNDHODH-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(Cl)CN1CC[C@@](C)(NC(=O)c2cnn[nH]2)C1 ZINC001046438993 851503257 /nfs/dbraw/zinc/50/32/57/851503257.db2.gz JFWPMQDLJMSHLH-LLVKDONJSA-N 0 1 269.736 0.751 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccnc(OC)n2)C1 ZINC001046476817 851521089 /nfs/dbraw/zinc/52/10/89/851521089.db2.gz IACJQCJPEFSNQZ-HNNXBMFYSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001046663927 851576742 /nfs/dbraw/zinc/57/67/42/851576742.db2.gz XQIINUXEJVSWLK-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001046663927 851576747 /nfs/dbraw/zinc/57/67/47/851576747.db2.gz XQIINUXEJVSWLK-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001046784498 851614579 /nfs/dbraw/zinc/61/45/79/851614579.db2.gz ULFICNAXBRLROC-GOEBONIOSA-N 0 1 291.395 0.601 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(CC#N)C3)[nH]n1 ZINC001047048963 851661556 /nfs/dbraw/zinc/66/15/56/851661556.db2.gz CVMVBQCSHSCUEZ-SCRDCRAPSA-N 0 1 287.367 0.753 20 30 CCEDMN CN(C(=O)c1c[nH]c(C#N)c1)[C@H]1CN(CCCF)C[C@@H]1O ZINC001047354042 851732957 /nfs/dbraw/zinc/73/29/57/851732957.db2.gz SOZAZESEPQMCFG-STQMWFEESA-N 0 1 294.330 0.363 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]ccc2C)C1 ZINC001047367783 851740111 /nfs/dbraw/zinc/74/01/11/851740111.db2.gz PEWDTNIFVODEKB-STQMWFEESA-N 0 1 275.352 0.464 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CN(CC)C[C@@H]1O ZINC001047533191 851805307 /nfs/dbraw/zinc/80/53/07/851805307.db2.gz ARCNTUGFOFGXSJ-VOAKCMCISA-N 0 1 268.357 0.101 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2c(C)noc2C)C1 ZINC001047623366 851837637 /nfs/dbraw/zinc/83/76/37/851837637.db2.gz HXUPZQNNCYJROI-KBPBESRZSA-N 0 1 293.367 0.523 20 30 CCEDMN Cc1cnc(CN2C[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@@H]3C2)cn1 ZINC001048723871 852033050 /nfs/dbraw/zinc/03/30/50/852033050.db2.gz AQFPGZJHGYCHOP-BNOWGMLFSA-N 0 1 299.378 0.835 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[N@@H+](CCF)C[C@@H]2C1 ZINC001049068386 852155409 /nfs/dbraw/zinc/15/54/09/852155409.db2.gz ROTLXILGEQSPBZ-RQJABVFESA-N 0 1 282.359 0.937 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CN(CCF)C[C@H]2C1 ZINC001049068386 852155411 /nfs/dbraw/zinc/15/54/11/852155411.db2.gz ROTLXILGEQSPBZ-RQJABVFESA-N 0 1 282.359 0.937 20 30 CCEDMN N#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1ccn[nH]1 ZINC001049388310 852254442 /nfs/dbraw/zinc/25/44/42/852254442.db2.gz SLNIRGPRZNFEHT-STQMWFEESA-N 0 1 273.340 0.541 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1cncnc1 ZINC001049442583 852275983 /nfs/dbraw/zinc/27/59/83/852275983.db2.gz GNYCDGWSGQMTJT-LSDHHAIUSA-N 0 1 284.363 0.718 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnn(CC)n1 ZINC001049456591 852284164 /nfs/dbraw/zinc/28/41/64/852284164.db2.gz MZHYJXGGCATCQP-ZIAGYGMSSA-N 0 1 287.367 0.610 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cn(C)nn1 ZINC001049461950 852286773 /nfs/dbraw/zinc/28/67/73/852286773.db2.gz MDSVELSJWAUFRF-CHWSQXEVSA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001049505712 852296670 /nfs/dbraw/zinc/29/66/70/852296670.db2.gz RQCXZRXXWSTUNQ-HZSPNIEDSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@H]1OCCO[C@H]1C ZINC001049534668 852304603 /nfs/dbraw/zinc/30/46/03/852304603.db2.gz FIJHPFGNRMBDEP-AJNGGQMLSA-N 0 1 292.379 0.489 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C(C)(C)C(N)=O ZINC001049534167 852305521 /nfs/dbraw/zinc/30/55/21/852305521.db2.gz ZBSHHPPBKIZENZ-NWDGAFQWSA-N 0 1 277.368 0.196 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)Cc1cnoc1 ZINC001049665173 852338915 /nfs/dbraw/zinc/33/89/15/852338915.db2.gz UICDIYWFAIOCCV-KGLIPLIRSA-N 0 1 273.336 0.916 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnon1 ZINC001049802097 852378918 /nfs/dbraw/zinc/37/89/18/852378918.db2.gz LSYSMSZOCQVWLN-NEPJUHHUSA-N 0 1 260.297 0.382 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3c[nH]c(C)n3)[C@@H]2C1 ZINC001049999106 852419119 /nfs/dbraw/zinc/41/91/19/852419119.db2.gz TXLCMBWQBMWGFF-GXTWGEPZSA-N 0 1 272.352 0.888 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)n1cncn1)C2 ZINC001096976654 852475050 /nfs/dbraw/zinc/47/50/50/852475050.db2.gz MHESSTAFGVSPCQ-LOWDOPEQSA-N 0 1 275.356 0.747 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CN(C(C)=O)C1)C2 ZINC001097010146 852488104 /nfs/dbraw/zinc/48/81/04/852488104.db2.gz LCWZLPYELVHAQB-MCIONIFRSA-N 0 1 277.368 0.372 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnnn1CC)C2 ZINC001097041620 852492642 /nfs/dbraw/zinc/49/26/42/852492642.db2.gz RHRFGHDPHRSKMC-UPJWGTAASA-N 0 1 287.367 0.656 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(C)nc1OC)C2 ZINC001097637397 852551901 /nfs/dbraw/zinc/55/19/01/852551901.db2.gz WPKBAMJOVYFZMH-RTXFEEFZSA-N 0 1 290.367 0.950 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCc3n[nH]nc31)C2 ZINC001097738011 852596865 /nfs/dbraw/zinc/59/68/65/852596865.db2.gz XPGMJZSMRYYYPM-OXHZDVMGSA-N 0 1 299.378 0.579 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)C(N)=O)CC1 ZINC001052672049 852636220 /nfs/dbraw/zinc/63/62/20/852636220.db2.gz RYKBOUNBIZDJQW-VIFPVBQESA-N 0 1 259.737 0.195 20 30 CCEDMN C#CCCN1CC2(C1)CN(C(=O)c1cc(C)[nH]n1)CCO2 ZINC001053174515 852702279 /nfs/dbraw/zinc/70/22/79/852702279.db2.gz KNEXPQBYMBJFHX-UHFFFAOYSA-N 0 1 288.351 0.268 20 30 CCEDMN C=C(C)CN1CC2(C1)CC[C@H](CNC(=O)c1ncn[nH]1)O2 ZINC001053681522 852776766 /nfs/dbraw/zinc/77/67/66/852776766.db2.gz MBQMYOJMSZQGLL-LLVKDONJSA-N 0 1 291.355 0.344 20 30 CCEDMN C=C(C)CN1CC2(C1)CC[C@H](CNC(=O)c1nc[nH]n1)O2 ZINC001053681522 852776771 /nfs/dbraw/zinc/77/67/71/852776771.db2.gz MBQMYOJMSZQGLL-LLVKDONJSA-N 0 1 291.355 0.344 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)[C@@H]1CCCCN1C)CO2 ZINC001053848389 852834872 /nfs/dbraw/zinc/83/48/72/852834872.db2.gz YWVZSMPJVYPDTK-KBPBESRZSA-N 0 1 293.411 0.616 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(=O)[nH]n2)C[C@H]1C ZINC001054358296 852934985 /nfs/dbraw/zinc/93/49/85/852934985.db2.gz CBJYUFMUOSUWFW-LDYMZIIASA-N 0 1 296.758 0.985 20 30 CCEDMN C[C@@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)C[C@@H]1NCC#N ZINC001054697374 852999107 /nfs/dbraw/zinc/99/91/07/852999107.db2.gz YGAIFZRPWRMZLH-SKDRFNHKSA-N 0 1 284.323 0.532 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn3cncc3c2)C[C@H]1NCC#N ZINC001054862625 853026100 /nfs/dbraw/zinc/02/61/00/853026100.db2.gz HNQMXWVFYDUTNQ-BXUZGUMPSA-N 0 1 283.335 0.908 20 30 CCEDMN Cc1nsc(N[C@@H](C)CNC(=O)c2ncn[nH]2)c1C#N ZINC001097995413 853083227 /nfs/dbraw/zinc/08/32/27/853083227.db2.gz RQKKNGUFUUVDSP-LURJTMIESA-N 0 1 291.340 0.672 20 30 CCEDMN Cc1nsc(N[C@@H](C)CNC(=O)c2nc[nH]n2)c1C#N ZINC001097995413 853083230 /nfs/dbraw/zinc/08/32/30/853083230.db2.gz RQKKNGUFUUVDSP-LURJTMIESA-N 0 1 291.340 0.672 20 30 CCEDMN CCC(=O)NC1CCN(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001055630082 853091337 /nfs/dbraw/zinc/09/13/37/853091337.db2.gz RVEQZAWERWJZOM-NSHDSACASA-N 0 1 280.372 0.253 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ncc[nH]3)[C@@H]2C1 ZINC001050042471 853300697 /nfs/dbraw/zinc/30/06/97/853300697.db2.gz UVBFTKVDVJXHBF-NWDGAFQWSA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3OCCO[C@H]3C)[C@@H]2C1 ZINC001050067315 853308276 /nfs/dbraw/zinc/30/82/76/853308276.db2.gz SACUGHVVNYXTDM-XQLPTFJDSA-N 0 1 292.379 0.346 20 30 CCEDMN Cc1cc(C(=O)N2CC3(C2)CCN(CC#N)CC3)n[nH]1 ZINC001050448516 853375404 /nfs/dbraw/zinc/37/54/04/853375404.db2.gz HMCPSHWIKODNKL-UHFFFAOYSA-N 0 1 273.340 0.780 20 30 CCEDMN C#CCCN1CCOC[C@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001050853865 853464375 /nfs/dbraw/zinc/46/43/75/853464375.db2.gz MRJFITMRSOAVPG-CYBMUJFWSA-N 0 1 290.367 0.480 20 30 CCEDMN C#CCN1CCOC[C@@H]1CNC(=O)c1ccn2cncc2c1 ZINC001051003905 853504729 /nfs/dbraw/zinc/50/47/29/853504729.db2.gz SGASKHRHLZWXRU-HNNXBMFYSA-N 0 1 298.346 0.398 20 30 CCEDMN N#CCN1CCc2c(CNC(=O)c3cnn[nH]3)cccc2C1 ZINC001051292378 853559422 /nfs/dbraw/zinc/55/94/22/853559422.db2.gz VSHRZTFRKNNSOL-UHFFFAOYSA-N 0 1 296.334 0.616 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)[C@H](F)CC)C2)CC1 ZINC001052063813 853679275 /nfs/dbraw/zinc/67/92/75/853679275.db2.gz XZVGPWPJBMQNDF-LSDHHAIUSA-N 0 1 295.402 0.976 20 30 CCEDMN N#Cc1cnccc1N1CCC(NC(=O)c2ncn[nH]2)CC1 ZINC001057889372 853790318 /nfs/dbraw/zinc/79/03/18/853790318.db2.gz MGGRSLATSYVAMP-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cnccc1N1CCC(NC(=O)c2nc[nH]n2)CC1 ZINC001057889372 853790322 /nfs/dbraw/zinc/79/03/22/853790322.db2.gz MGGRSLATSYVAMP-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1ccc(NCC2CC(NC(=O)c3ncn[nH]3)C2)cn1 ZINC001067859951 853862699 /nfs/dbraw/zinc/86/26/99/853862699.db2.gz CJQGBSLJWIBTHG-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(NCC2CC(NC(=O)c3nc[nH]n3)C2)cn1 ZINC001067859951 853862701 /nfs/dbraw/zinc/86/27/01/853862701.db2.gz CJQGBSLJWIBTHG-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN C[C@@H]1C[C@@H](Nc2cnc(C#N)cn2)CN1C(=O)c1ccn[nH]1 ZINC001069015999 853939379 /nfs/dbraw/zinc/93/93/79/853939379.db2.gz AFFLNUVMIQYNLK-NXEZZACHSA-N 0 1 297.322 0.786 20 30 CCEDMN C[C@@H]1C[C@H](Nc2nccnc2C#N)CN1C(=O)c1ccn[nH]1 ZINC001069018047 853939567 /nfs/dbraw/zinc/93/95/67/853939567.db2.gz NBAMXKZYENSPKG-ZJUUUORDSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H]2CC23CC3)C[C@@H]1n1ccnn1 ZINC001070283189 854039130 /nfs/dbraw/zinc/03/91/30/854039130.db2.gz BLAXTOSVQSBJEU-UPJWGTAASA-N 0 1 285.351 0.053 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)c2ccn[nH]2)C1 ZINC001070398116 854057384 /nfs/dbraw/zinc/05/73/84/854057384.db2.gz MYQGBIOCTFLZOI-LLVKDONJSA-N 0 1 298.350 0.485 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C(C)=C\C)C[C@H]1c1cn(C)cn1 ZINC001070480372 854065211 /nfs/dbraw/zinc/06/52/11/854065211.db2.gz FPKWZAVLJVHUMN-VDKWFOBBSA-N 0 1 286.379 0.904 20 30 CCEDMN C#CCN1C[C@H](OC)C[C@H]1Cn1ccc(NC(C)=O)n1 ZINC001070600190 854079925 /nfs/dbraw/zinc/07/99/25/854079925.db2.gz HYCZNMFIZUTQPC-QWHCGFSZSA-N 0 1 276.340 0.564 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCN(C(=O)[C@H]2CCCN2C)C1 ZINC001071074004 854118418 /nfs/dbraw/zinc/11/84/18/854118418.db2.gz KNOHUUBDCJUYNL-YNEHKIRRSA-N 0 1 292.383 0.347 20 30 CCEDMN CC#CCN1CC[C@@]2(C1)CN(C(=O)COC)C[C@@H](C)O2 ZINC001071113344 854122135 /nfs/dbraw/zinc/12/21/35/854122135.db2.gz JWQDTONDJHQFLV-UKRRQHHQSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@H]1C ZINC001071326307 854158422 /nfs/dbraw/zinc/15/84/22/854158422.db2.gz DURRGVXYJVGSFL-MNOVXSKESA-N 0 1 274.324 0.398 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)Cc2cnn(C)c2)CC[C@H]1C ZINC001071383707 854172070 /nfs/dbraw/zinc/17/20/70/854172070.db2.gz LSTBUTRKVLVKBL-TZMCWYRMSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cnn(C)c2)CC[C@H]1C ZINC001071383707 854172075 /nfs/dbraw/zinc/17/20/75/854172075.db2.gz LSTBUTRKVLVKBL-TZMCWYRMSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cnn(C)c2C)CC[C@@H]1C ZINC001071557957 854237410 /nfs/dbraw/zinc/23/74/10/854237410.db2.gz XOHXSLAKTVXSGZ-SWLSCSKDSA-N 0 1 288.395 0.873 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cc(=O)n(C)cn2)CC[C@H]1C ZINC001071579471 854240887 /nfs/dbraw/zinc/24/08/87/854240887.db2.gz FIFKNKSBBXGMMY-NEPJUHHUSA-N 0 1 290.367 0.549 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@H]1C ZINC001071587609 854242499 /nfs/dbraw/zinc/24/24/99/854242499.db2.gz DIXOGIJUWMRPDE-YPMHNXCESA-N 0 1 288.351 0.788 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C ZINC001071652628 854257925 /nfs/dbraw/zinc/25/79/25/854257925.db2.gz XGKQUYLFHMWSBF-DGCLKSJQSA-N 0 1 288.351 0.646 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cn(C)c(=O)cn2)CC[C@@H]1C ZINC001071694396 854268067 /nfs/dbraw/zinc/26/80/67/854268067.db2.gz GPHVOJOKGHYPMY-NWDGAFQWSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@@H]1C ZINC001071738522 854277148 /nfs/dbraw/zinc/27/71/48/854277148.db2.gz DKKYKTWZFWCJTN-WDEREUQCSA-N 0 1 276.340 0.342 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@@H]1C ZINC001071742637 854278022 /nfs/dbraw/zinc/27/80/22/854278022.db2.gz ROUNHVVROHWVDU-RYUDHWBXSA-N 0 1 290.367 0.732 20 30 CCEDMN C[C@@H]1CC[C@@H](NC(=O)C2=NC(=O)N(C)C2)CN1CC#N ZINC001071803015 854297955 /nfs/dbraw/zinc/29/79/55/854297955.db2.gz FQKOZBSHVVAPIP-NXEZZACHSA-N 0 1 277.328 0.232 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2nonc2C)CC[C@H]1C ZINC001071950214 854325475 /nfs/dbraw/zinc/32/54/75/854325475.db2.gz GKALMIIAWBVXSL-ZYHUDNBSSA-N 0 1 276.340 0.523 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@@H]1C ZINC001072076992 854343440 /nfs/dbraw/zinc/34/34/40/854343440.db2.gz YVICMQTYKBJDQG-JQWIXIFHSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2nonc2C)CC[C@H]1C ZINC001072116873 854350355 /nfs/dbraw/zinc/35/03/55/854350355.db2.gz SOURIHKFVHBCDT-PWSUYJOCSA-N 0 1 276.340 0.984 20 30 CCEDMN C=CC[N@H+]1CCC2(CN(C(=O)CCn3ccnn3)C2)C1 ZINC001072666666 854441082 /nfs/dbraw/zinc/44/10/82/854441082.db2.gz AGUBQBQUTONPCQ-UHFFFAOYSA-N 0 1 275.356 0.389 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@]3(C)CCNC(=O)C3)C2)C1 ZINC001072851057 854480280 /nfs/dbraw/zinc/48/02/80/854480280.db2.gz IVGGFWZUADEGAO-OAHLLOKOSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCc4nccn4C3)C2)C1 ZINC001072860937 854482030 /nfs/dbraw/zinc/48/20/30/854482030.db2.gz OJIGOXKCAPOSAC-CQSZACIVSA-N 0 1 298.390 0.613 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3n[nH]cc3F)C2)C1 ZINC001072960125 854501573 /nfs/dbraw/zinc/50/15/73/854501573.db2.gz TZFIYCFPBHZEGE-UHFFFAOYSA-N 0 1 276.315 0.720 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cccc(OC)n3)C2)C1 ZINC001073098767 854529764 /nfs/dbraw/zinc/52/97/64/854529764.db2.gz QDCHNRHTEDQSNQ-UHFFFAOYSA-N 0 1 285.347 0.871 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccnc(C)n3)C2)C1 ZINC001073439268 854559405 /nfs/dbraw/zinc/55/94/05/854559405.db2.gz SDQFLEAUFRBNBH-UHFFFAOYSA-N 0 1 270.336 0.566 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCc2ccnn2C)C1 ZINC001276388166 854562664 /nfs/dbraw/zinc/56/26/64/854562664.db2.gz DNVJINYMPWDZLN-ZDUSSCGKSA-N 0 1 288.395 0.813 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cc[nH]c(=O)c2)C1 ZINC001073570518 854599687 /nfs/dbraw/zinc/59/96/87/854599687.db2.gz VIPAESMXSFXCCZ-ZDUSSCGKSA-N 0 1 291.351 0.794 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H]2CCCCO2)C1 ZINC001073575510 854600033 /nfs/dbraw/zinc/60/00/33/854600033.db2.gz HFNYPWADSDGAOU-HUUCEWRRSA-N 0 1 294.395 0.786 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cc(OC)no2)C1 ZINC001073578122 854602376 /nfs/dbraw/zinc/60/23/76/854602376.db2.gz DKDDQCVVELCDCR-LLVKDONJSA-N 0 1 295.339 0.690 20 30 CCEDMN C=C(C)CN1CCCO[C@H](CNC(=O)c2cn(C)nn2)C1 ZINC001073587238 854606310 /nfs/dbraw/zinc/60/63/10/854606310.db2.gz KJHCIKVNYORPRN-GFCCVEGCSA-N 0 1 293.371 0.212 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C2CCC(O)CC2)C1 ZINC001073587306 854606719 /nfs/dbraw/zinc/60/67/19/854606719.db2.gz MUURIIXKDLVQQF-NRXISQOPSA-N 0 1 296.411 0.931 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2cncn2C)C1 ZINC001073681287 854631339 /nfs/dbraw/zinc/63/13/39/854631339.db2.gz JGFNJIBSHHOSKZ-CYBMUJFWSA-N 0 1 290.367 0.264 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001073778687 854644068 /nfs/dbraw/zinc/64/40/68/854644068.db2.gz GVZZPPFBXULHMX-QLFBSQMISA-N 0 1 294.395 0.784 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccnnc2)C1 ZINC001073791099 854644826 /nfs/dbraw/zinc/64/48/26/854644826.db2.gz BDXXTYHSOJUYKC-CYBMUJFWSA-N 0 1 276.340 0.483 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001075025703 854806690 /nfs/dbraw/zinc/80/66/90/854806690.db2.gz JIFNNDUMTIDEKH-SRVKXCTJSA-N 0 1 287.367 0.617 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(=O)n(C)n1)C2 ZINC001098332991 854856655 /nfs/dbraw/zinc/85/66/55/854856655.db2.gz BVNPCAHRDFYEHF-RTXFEEFZSA-N 0 1 288.351 0.301 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(=O)[nH]c1)C2 ZINC001098322084 854857358 /nfs/dbraw/zinc/85/73/58/854857358.db2.gz BCOGDHHBHXIHIE-UPJWGTAASA-N 0 1 271.320 0.756 20 30 CCEDMN Cc1nsc(N[C@H](C)CNC(=O)c2cnn[nH]2)c1C#N ZINC001098404686 854862879 /nfs/dbraw/zinc/86/28/79/854862879.db2.gz KNPOLZHKVPQUGX-ZCFIWIBFSA-N 0 1 291.340 0.672 20 30 CCEDMN C#CCCNCc1cn([C@@H]2CCN(C(=O)C(C)C)C2)nn1 ZINC001098615427 854873652 /nfs/dbraw/zinc/87/36/52/854873652.db2.gz RXHCTIMQMIPUKS-CQSZACIVSA-N 0 1 289.383 0.820 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ncn(C)n2)C1 ZINC001098824428 854890669 /nfs/dbraw/zinc/89/06/69/854890669.db2.gz ODGJMYHZOPIIGU-FZMZJTMJSA-N 0 1 273.340 0.033 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnn3cc[nH]c23)C1 ZINC001099136858 854916944 /nfs/dbraw/zinc/91/69/44/854916944.db2.gz SHZREMHPIKJMIR-LRDDRELGSA-N 0 1 297.362 0.880 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(CC)CC)[C@H](O)C1 ZINC001099695415 854971281 /nfs/dbraw/zinc/97/12/81/854971281.db2.gz HOZFTTYIFRNOKL-UONOGXRCSA-N 0 1 266.385 0.997 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C(\C)C2CC2)[C@H](O)C1 ZINC001099710051 854973441 /nfs/dbraw/zinc/97/34/41/854973441.db2.gz RTVSBPMIDQNTOQ-LNKCYATRSA-N 0 1 276.380 0.917 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC(F)(F)F)[C@@H](O)C1 ZINC001099723388 854977940 /nfs/dbraw/zinc/97/79/40/854977940.db2.gz HCYGUPFMDJHGGP-ZJUUUORDSA-N 0 1 278.274 0.514 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C\C2CC2)[C@H](O)C1 ZINC001099769217 854990595 /nfs/dbraw/zinc/99/05/95/854990595.db2.gz OWDQCRFMXWPRSI-LAYDCRAFSA-N 0 1 262.353 0.527 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001099825843 855003665 /nfs/dbraw/zinc/00/36/65/855003665.db2.gz AZDIGKRXGOKMAQ-STQMWFEESA-N 0 1 264.369 0.773 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCC(F)F)[C@@H](O)C1 ZINC001099934980 855037288 /nfs/dbraw/zinc/03/72/88/855037288.db2.gz HEIIBQZUBYDTBV-UWVGGRQHSA-N 0 1 278.299 0.006 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(CCF)CC2)[C@H](O)C1 ZINC001099932996 855037609 /nfs/dbraw/zinc/03/76/09/855037609.db2.gz HRTFRADKERSGHL-CHWSQXEVSA-N 0 1 282.359 0.701 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(CCO)C[C@H]1O ZINC001099942004 855041231 /nfs/dbraw/zinc/04/12/31/855041231.db2.gz KYPBHUKVDWYKSJ-NWDGAFQWSA-N 0 1 270.373 0.132 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001099989697 855063361 /nfs/dbraw/zinc/06/33/61/855063361.db2.gz UEKHBXWQDSBDOT-NEPJUHHUSA-N 0 1 252.358 0.914 20 30 CCEDMN Cc1nc(CC(=O)NCCN(C)c2ccncc2C#N)n[nH]1 ZINC001100063198 855088709 /nfs/dbraw/zinc/08/87/09/855088709.db2.gz VQYOEMNLXRFJHV-UHFFFAOYSA-N 0 1 299.338 0.175 20 30 CCEDMN CN(CCNc1ncc(C#N)cc1F)C(=O)c1ccn[nH]1 ZINC001101510145 855301788 /nfs/dbraw/zinc/30/17/88/855301788.db2.gz UWBFJLUIVKQUBJ-UHFFFAOYSA-N 0 1 288.286 1.000 20 30 CCEDMN CN(CCNc1ccc(C#N)nc1)C(=O)Cc1ncn[nH]1 ZINC001101772091 855364090 /nfs/dbraw/zinc/36/40/90/855364090.db2.gz RRHZIKNMTWNSKO-UHFFFAOYSA-N 0 1 285.311 0.184 20 30 CCEDMN CCCNC(=O)CN1C[C@@H](CNC(=O)[C@H](C)C#N)[C@H](C)C1 ZINC001101824203 855372987 /nfs/dbraw/zinc/37/29/87/855372987.db2.gz ZDZBWNIDQQWFNF-JHJVBQTASA-N 0 1 294.399 0.356 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C(=O)C(C)(C)C)[C@H](C)C1 ZINC001101824992 855373300 /nfs/dbraw/zinc/37/33/00/855373300.db2.gz KVGIJQYDAGUATQ-VXGBXAGGSA-N 0 1 264.369 0.919 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)CCc1cnc[nH]1 ZINC001102030752 855409777 /nfs/dbraw/zinc/40/97/77/855409777.db2.gz BMCBOAMRQTXRPV-VXGBXAGGSA-N 0 1 275.356 0.550 20 30 CCEDMN CC[C@H](CNC(=O)c1cnn[nH]1)Nc1cnc(C#N)cn1 ZINC001103370997 855514502 /nfs/dbraw/zinc/51/45/02/855514502.db2.gz FXHIISBRLBQPFN-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C#CCOCCC(=O)N[C@]12CCC[C@H]1CN(CCF)C2 ZINC001111746416 855592278 /nfs/dbraw/zinc/59/22/78/855592278.db2.gz VKZGDWLALBTPCA-ZFWWWQNUSA-N 0 1 282.359 0.967 20 30 CCEDMN N#Cc1cncc(NC2(CNC(=O)c3cnn[nH]3)CCC2)n1 ZINC001111926185 855605436 /nfs/dbraw/zinc/60/54/36/855605436.db2.gz BLRVGSQGHITAGO-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1ccn[nH]1)Nc1ccncc1C#N ZINC001115656474 855686384 /nfs/dbraw/zinc/68/63/84/855686384.db2.gz KRVAHDBQBRGYPP-JTQLQIEISA-N 0 1 284.323 0.671 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCc3n[nH]cc3C2)CC1 ZINC001116388157 855757590 /nfs/dbraw/zinc/75/75/90/855757590.db2.gz ZVAJLLNMSDGDJL-NSHDSACASA-N 0 1 287.367 0.619 20 30 CCEDMN C#CCOCCN(C)[C@@H]1CCCN(C(C)C)C1=O ZINC001116396620 855759094 /nfs/dbraw/zinc/75/90/94/855759094.db2.gz IMTVUHVRBFBLRY-CYBMUJFWSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCCCCNC(=O)C(=O)NCC[N@H+](C)C1CC1 ZINC001117100853 855913110 /nfs/dbraw/zinc/91/31/10/855913110.db2.gz RXVOUHMXNNQNKI-UHFFFAOYSA-N 0 1 265.357 0.117 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)N2CCC[C@@](C)(C#N)C2)n[nH]1 ZINC001117347327 855950642 /nfs/dbraw/zinc/95/06/42/855950642.db2.gz MUWNMNRUHKOHSK-AWEZNQCLSA-N 0 1 289.339 0.487 20 30 CCEDMN CN1CCC(C#N)(NC(=O)COCc2cccnc2)CC1 ZINC001117665472 856040008 /nfs/dbraw/zinc/04/00/08/856040008.db2.gz FOEPVSSQMVITKU-UHFFFAOYSA-N 0 1 288.351 0.702 20 30 CCEDMN COCc1cc(CNC2CCN(CC#N)CC2)no1 ZINC001119288953 856613093 /nfs/dbraw/zinc/61/30/93/856613093.db2.gz KBRJMIBNSPRFKW-UHFFFAOYSA-N 0 1 264.329 0.899 20 30 CCEDMN CC(C)(C)N1CC[C@@H]1C(=O)N1CC(O)(CC#N)C1 ZINC001119464242 856693593 /nfs/dbraw/zinc/69/35/93/856693593.db2.gz PNYUUPOVNZDJHK-SNVBAGLBSA-N 0 1 251.330 0.346 20 30 CCEDMN N#CC1(CCCOCc2nn[nH]n2)CCOCC1 ZINC001119539948 856727624 /nfs/dbraw/zinc/72/76/24/856727624.db2.gz KPFBQFMKWIUPSQ-UHFFFAOYSA-N 0 1 251.290 0.817 20 30 CCEDMN CC(C)(O)C[C@@H](O)CNCC1(C#N)CCOCC1 ZINC001120477836 857084989 /nfs/dbraw/zinc/08/49/89/857084989.db2.gz PBQJSVKCDITQDN-LLVKDONJSA-N 0 1 256.346 0.418 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001323264251 912230352 /nfs/dbraw/zinc/23/03/52/912230352.db2.gz WQCSETMQKPDDTD-QWHCGFSZSA-N 0 1 295.383 0.048 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001323264814 912231368 /nfs/dbraw/zinc/23/13/68/912231368.db2.gz XQARZLGHBKOLKR-LLVKDONJSA-N 0 1 294.355 0.430 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001393050205 912355891 /nfs/dbraw/zinc/35/58/91/912355891.db2.gz WVIFPIAMWGYNJO-NEPJUHHUSA-N 0 1 299.802 0.798 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C1CN(C[C@@H]2CCCOC2)C1 ZINC001323921192 912581901 /nfs/dbraw/zinc/58/19/01/912581901.db2.gz OBDWPUYEKXEJJT-KGLIPLIRSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C1CN(C[C@H]2CCCOC2)C1 ZINC001323921194 912582901 /nfs/dbraw/zinc/58/29/01/912582901.db2.gz OBDWPUYEKXEJJT-ZIAGYGMSSA-N 0 1 294.395 0.594 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2ccccn2)C1 ZINC001325053776 913172251 /nfs/dbraw/zinc/17/22/51/913172251.db2.gz KHUPJXCJJPUGSH-OAHLLOKOSA-N 0 1 273.336 0.272 20 30 CCEDMN COCC#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)C2CC2)C1 ZINC001325085990 913200889 /nfs/dbraw/zinc/20/08/89/913200889.db2.gz NQQRLZQYPKKERJ-XJKSGUPXSA-N 0 1 294.395 0.235 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CC(C)(C)COC)C1 ZINC001325114017 913223659 /nfs/dbraw/zinc/22/36/59/913223659.db2.gz JVZQMTOSBIIMTH-OAHLLOKOSA-N 0 1 282.384 0.235 20 30 CCEDMN C=C[C@@H](COC)NC(=O)[C@H](C)CN1CCOCC1 ZINC001325653310 913509075 /nfs/dbraw/zinc/50/90/75/913509075.db2.gz ATFLNRVCAYRHAG-NEPJUHHUSA-N 0 1 256.346 0.272 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C[C@H]2CNC(=O)C2)CC1 ZINC001347103517 891538476 /nfs/dbraw/zinc/53/84/76/891538476.db2.gz AAFVVLZZUBIEIE-OLZOCXBDSA-N 0 1 264.373 0.288 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)[C@H]2CN3CCN2C[C@@H]3C)C1 ZINC001347303700 891559554 /nfs/dbraw/zinc/55/95/54/891559554.db2.gz ABJMUZORPMHYMP-BFHYXJOUSA-N 0 1 261.369 0.247 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)C(C)(F)F)C1 ZINC001325816885 913584420 /nfs/dbraw/zinc/58/44/20/913584420.db2.gz CPKFLNXDBSODJM-ZDUSSCGKSA-N 0 1 274.311 0.608 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N1CCC[C@H](C#N)C1 ZINC001349385518 891736403 /nfs/dbraw/zinc/73/64/03/891736403.db2.gz ZTNMICLNYOKCCH-UPJWGTAASA-N 0 1 262.357 0.137 20 30 CCEDMN C#CCN(C)CCNC(=O)c1csc2cncn21 ZINC001480918976 891804814 /nfs/dbraw/zinc/80/48/14/891804814.db2.gz HTEVFSBBSSOISL-UHFFFAOYSA-N 0 1 262.338 0.691 20 30 CCEDMN CC#CCN(C)CCNC(=O)COc1cccnc1 ZINC001480925466 891836706 /nfs/dbraw/zinc/83/67/06/891836706.db2.gz HKYNCPJRFFDVHL-UHFFFAOYSA-N 0 1 261.325 0.532 20 30 CCEDMN CCN(CCNC(=O)CSCC#N)Cc1n[nH]c(C)n1 ZINC001480962859 891985792 /nfs/dbraw/zinc/98/57/92/891985792.db2.gz HYEONHIDUVEEEE-UHFFFAOYSA-N 0 1 296.400 0.308 20 30 CCEDMN CCN(CCNC(=O)C#CC1CC1)[C@H]1CCN(CC)C1=O ZINC001480974131 891997669 /nfs/dbraw/zinc/99/76/69/891997669.db2.gz GCLBNGRHOBFNIR-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN CCOCC(=O)N1CCC[C@H]2[C@@H]1CCN2CC#CCOC ZINC001325871872 913618217 /nfs/dbraw/zinc/61/82/17/913618217.db2.gz AIWIYKITVLWSML-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CO[C@@H](C)C1 ZINC001480984205 892017541 /nfs/dbraw/zinc/01/75/41/892017541.db2.gz DHWROJFXKVEDJE-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)C(=O)c1cccc2c[nH]nc21 ZINC001408674325 892157379 /nfs/dbraw/zinc/15/73/79/892157379.db2.gz WDCCGLSLSWIIIR-JTQLQIEISA-N 0 1 299.334 0.911 20 30 CCEDMN C=CCCC(=O)NC[C@H](CO)N[C@H](C)c1n[nH]c(C)n1 ZINC001283744250 892332034 /nfs/dbraw/zinc/33/20/34/892332034.db2.gz FARRPKRCPVVKTP-MWLCHTKSSA-N 0 1 281.360 0.207 20 30 CCEDMN C=CCN(C)C[C@H]1CCN(C(=O)[C@@H](C)S(C)(=O)=O)C1 ZINC001481491342 892745325 /nfs/dbraw/zinc/74/53/25/892745325.db2.gz NRSKRKWVLGIWSL-VXGBXAGGSA-N 0 1 288.413 0.386 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CC[C@@H]2CCCO2)C1 ZINC001481698224 893028422 /nfs/dbraw/zinc/02/84/22/893028422.db2.gz RGYZENUQDUGQCG-OLZOCXBDSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CC[C@H](C)OC)C1 ZINC001481721263 893092358 /nfs/dbraw/zinc/09/23/58/893092358.db2.gz HDTXMGCVJZPPKA-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)C(=O)NCC2CC2)C1 ZINC001481743242 893108430 /nfs/dbraw/zinc/10/84/30/893108430.db2.gz MIXIQHIFSOZOSL-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](CCNCc2cnon2)C1 ZINC001481971031 893294743 /nfs/dbraw/zinc/29/47/43/893294743.db2.gz BXRQMFWDECTOJR-NWDGAFQWSA-N 0 1 291.355 0.948 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)CN[C@H](C)c1nnnn1C ZINC001482106017 893397409 /nfs/dbraw/zinc/39/74/09/893397409.db2.gz SKISHPLYRCRHNU-VXGBXAGGSA-N 0 1 294.403 0.969 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)CNCc1cnon1 ZINC001482143153 893434203 /nfs/dbraw/zinc/43/42/03/893434203.db2.gz ONEBEUHFLQBQFI-RTXFEEFZSA-N 0 1 294.355 0.503 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H]1CN(CCC(=C)C)CCO1 ZINC001482191711 893485556 /nfs/dbraw/zinc/48/55/56/893485556.db2.gz GTRHRZIYBRVMSE-OAHLLOKOSA-N 0 1 294.395 0.810 20 30 CCEDMN N#CC1(CNC[C@@H](O)COC2CCOCC2)CC1 ZINC001326159264 913781935 /nfs/dbraw/zinc/78/19/35/913781935.db2.gz IBUACCAIOKZOHO-LLVKDONJSA-N 0 1 254.330 0.436 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)[C@H]1CC1(C)C ZINC001284492950 893577808 /nfs/dbraw/zinc/57/78/08/893577808.db2.gz QUQJGVLOAPHVIM-UONOGXRCSA-N 0 1 296.411 0.433 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CCNCc2nncn2C)C1 ZINC001326223530 913833190 /nfs/dbraw/zinc/83/31/90/913833190.db2.gz IKRVVUYTKQUSPP-RYUDHWBXSA-N 0 1 290.371 0.303 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)COc1cccnc1 ZINC001482636623 894432422 /nfs/dbraw/zinc/43/24/22/894432422.db2.gz YMNUOHLAPKMSMU-UHFFFAOYSA-N 0 1 261.325 0.484 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001482652260 894453193 /nfs/dbraw/zinc/45/31/93/894453193.db2.gz UWJCXOADNATWCE-GFCCVEGCSA-N 0 1 274.368 0.538 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1(C)CCOCC1 ZINC001482777352 894595310 /nfs/dbraw/zinc/59/53/10/894595310.db2.gz AIJUTTJYAROSTA-LLVKDONJSA-N 0 1 290.791 0.622 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C/CNCC(=O)NC ZINC001483044269 894830983 /nfs/dbraw/zinc/83/09/83/894830983.db2.gz OJJHCAQYSZTHKT-VOTSOKGWSA-N 0 1 253.346 0.207 20 30 CCEDMN CC(C)[C@@H]1C[C@@H]1C(=O)NC/C=C/CNCC(=O)NCC#N ZINC001483077869 894882521 /nfs/dbraw/zinc/88/25/21/894882521.db2.gz WLRHOFDLFFWYNU-GFSGRHGLSA-N 0 1 292.383 0.180 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@]2(C)CNC(=O)C2)[C@H]1C ZINC001088765863 894906378 /nfs/dbraw/zinc/90/63/78/894906378.db2.gz RUPFVRJZAOWGTA-SUNKGSAMSA-N 0 1 265.357 0.278 20 30 CCEDMN COc1nscc1CNC[C@@H](C)NC(=O)[C@@H](C)C#N ZINC001483117221 894940023 /nfs/dbraw/zinc/94/00/23/894940023.db2.gz XKHGFLHWHZOWOE-DTWKUNHWSA-N 0 1 282.369 0.906 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H]1CCCC(=O)N1 ZINC001483146468 895028093 /nfs/dbraw/zinc/02/80/93/895028093.db2.gz AGIVLQLRJPZODW-MNOVXSKESA-N 0 1 287.791 0.892 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@]1(C)CCNC1=O ZINC001483165168 895049863 /nfs/dbraw/zinc/04/98/63/895049863.db2.gz DQXCIDNFGQMBKE-SKDRFNHKSA-N 0 1 273.764 0.359 20 30 CCEDMN C#CCN(CC#C)C(=O)c1ccn(-c2ccncc2)n1 ZINC001326415189 913943919 /nfs/dbraw/zinc/94/39/19/913943919.db2.gz JWNYATDEFUHQDT-UHFFFAOYSA-N 0 1 264.288 0.976 20 30 CCEDMN C[C@@H](CN(C)C(=O)C#CC1CC1)NCc1cnns1 ZINC001507073571 895368532 /nfs/dbraw/zinc/36/85/32/895368532.db2.gz AWPJSYVGVDAOKM-JTQLQIEISA-N 0 1 278.381 0.888 20 30 CCEDMN C[C@@H](CNCc1ccccc1C#N)NC(=O)c1cnon1 ZINC001483276663 895408189 /nfs/dbraw/zinc/40/81/89/895408189.db2.gz WORZJUYVCQTUHL-JTQLQIEISA-N 0 1 285.307 0.849 20 30 CCEDMN C[C@@H](CNCC#N)NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001483280749 895412425 /nfs/dbraw/zinc/41/24/25/895412425.db2.gz ZLYSHPFNIVCVPV-JTQLQIEISA-N 0 1 284.323 0.703 20 30 CCEDMN C=CCCN(C)C[C@@H](C)NC(=O)C(C)(C)S(C)(=O)=O ZINC001483391535 895535184 /nfs/dbraw/zinc/53/51/84/895535184.db2.gz VBWHWQCNFZXFGM-LLVKDONJSA-N 0 1 290.429 0.822 20 30 CCEDMN CC#CC[N@@H+](C)C[C@@H](C)NC(=O)[C@@H](CC(C)C)NC(C)=O ZINC001483408224 895544915 /nfs/dbraw/zinc/54/49/15/895544915.db2.gz HBBNGIDZCKGDGP-UKRRQHHQSA-N 0 1 295.427 0.997 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](CC(C)C)NC(C)=O ZINC001483408224 895544920 /nfs/dbraw/zinc/54/49/20/895544920.db2.gz HBBNGIDZCKGDGP-UKRRQHHQSA-N 0 1 295.427 0.997 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCNC(=O)CC(C)C ZINC001483427287 895559447 /nfs/dbraw/zinc/55/94/47/895559447.db2.gz JQJXDTLUNRZJCO-CYBMUJFWSA-N 0 1 281.400 0.609 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)NC[C@H]1CCN1CC#N ZINC001483653050 895801476 /nfs/dbraw/zinc/80/14/76/895801476.db2.gz LIUZUBASACXVPY-VXGBXAGGSA-N 0 1 270.352 0.380 20 30 CCEDMN CCC(=O)NCC1(NCC(=O)N(CC)CCC#N)CC1 ZINC001483707495 895886257 /nfs/dbraw/zinc/88/62/57/895886257.db2.gz LWBHIUNLVBDMJP-UHFFFAOYSA-N 0 1 280.372 0.397 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1(NCC(=O)N2CCC2)CC1 ZINC001483758995 896016805 /nfs/dbraw/zinc/01/68/05/896016805.db2.gz TXSCYTBJKWVKEV-UHFFFAOYSA-N 0 1 279.384 0.669 20 30 CCEDMN CCC1(C(=O)NCC2(NCC(=O)NCC#N)CC2)CC1 ZINC001483764913 896029134 /nfs/dbraw/zinc/02/91/34/896029134.db2.gz HEFDERGHKUJNPM-UHFFFAOYSA-N 0 1 278.356 0.055 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C(C)(C)CC)CC1 ZINC001483765730 896030747 /nfs/dbraw/zinc/03/07/47/896030747.db2.gz SLHXXSSZOGFLKX-UHFFFAOYSA-N 0 1 281.400 0.963 20 30 CCEDMN C=CCCC(=O)N1CCC(N(CCOC)CC(N)=O)CC1 ZINC001483819028 896062310 /nfs/dbraw/zinc/06/23/10/896062310.db2.gz ZHIIYJXRVDUOKH-UHFFFAOYSA-N 0 1 297.399 0.377 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@](C)(NC(C)=O)C1 ZINC001484026442 896157035 /nfs/dbraw/zinc/15/70/35/896157035.db2.gz UOELDYRIOQYGEA-GWCFXTLKSA-N 0 1 253.346 0.278 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cccnc2C)C1 ZINC001484233414 896267754 /nfs/dbraw/zinc/26/77/54/896267754.db2.gz CUNPYMPFITWTGC-OAHLLOKOSA-N 0 1 273.336 0.190 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N2CCN(CCCO)CC2)C1 ZINC001484702062 896546437 /nfs/dbraw/zinc/54/64/37/896546437.db2.gz RTWKAPFYYCNTTA-HNNXBMFYSA-N 0 1 295.427 0.554 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)[C@H]1C[C@@H]1C ZINC001484755260 896590170 /nfs/dbraw/zinc/59/01/70/896590170.db2.gz QJXMDVGMTZWGKZ-STQMWFEESA-N 0 1 279.384 0.172 20 30 CCEDMN C=CCOCC(=O)N1C[C@H](C)[C@H](NCc2ccn(C)n2)C1 ZINC001484882548 896651201 /nfs/dbraw/zinc/65/12/01/896651201.db2.gz NUXKMFDRKAOCJJ-GXTWGEPZSA-N 0 1 292.383 0.559 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)CCn2ccnn2)C[C@@H]1C ZINC001484973040 896690887 /nfs/dbraw/zinc/69/08/87/896690887.db2.gz PVBHNXSZXCIPQJ-UONOGXRCSA-N 0 1 289.383 0.661 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](CC)NC(C)=O ZINC001485069396 896745338 /nfs/dbraw/zinc/74/53/38/896745338.db2.gz MJMGWHCKDNOPLE-GJZGRUSLSA-N 0 1 293.411 0.847 20 30 CCEDMN C[C@@H](NCC#Cc1ccc(F)cc1)[C@@H](C)NC(=O)C(N)=O ZINC001485191352 896852657 /nfs/dbraw/zinc/85/26/57/896852657.db2.gz RSNVHZYJPVQECM-GHMZBOCLSA-N 0 1 291.326 0.145 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CC(C)(C)CNCC#N ZINC001485257862 896899241 /nfs/dbraw/zinc/89/92/41/896899241.db2.gz UQYZDYRGFNTYTF-UHFFFAOYSA-N 0 1 264.333 0.325 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001485369120 896999944 /nfs/dbraw/zinc/99/99/44/896999944.db2.gz IEXCOTXJNWPZDJ-OLZOCXBDSA-N 0 1 279.384 0.315 20 30 CCEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)CC(N)=O)C1 ZINC001107831482 897337297 /nfs/dbraw/zinc/33/72/97/897337297.db2.gz CMQPXEZTEVASQD-AWEZNQCLSA-N 0 1 283.372 0.035 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccn(C)n1 ZINC001032399576 897448902 /nfs/dbraw/zinc/44/89/02/897448902.db2.gz XVMCXXKBFHYHAU-KBPBESRZSA-N 0 1 272.352 0.271 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2ncn(C)n2)C1 ZINC001107919946 897500527 /nfs/dbraw/zinc/50/05/27/897500527.db2.gz JPTHREPIMUUCMA-CQSZACIVSA-N 0 1 293.371 0.212 20 30 CCEDMN C#CCOCCC(=O)NC[C@@]1(C)CN(CC2CC2)CCO1 ZINC001107940168 897526112 /nfs/dbraw/zinc/52/61/12/897526112.db2.gz PIPZOLGZPMVMBQ-INIZCTEOSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC(=O)N(CC)C1 ZINC001032433486 897571301 /nfs/dbraw/zinc/57/13/01/897571301.db2.gz OGJYIQLTQLZNGB-IHRRRGAJSA-N 0 1 289.379 0.163 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001107958000 897607018 /nfs/dbraw/zinc/60/70/18/897607018.db2.gz RTQMMJYMUVAVCK-BPUTZDHNSA-N 0 1 294.395 0.806 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2nnnn2c1 ZINC001032517710 897687086 /nfs/dbraw/zinc/68/70/86/897687086.db2.gz DNNOMFCNGUQAPU-STQMWFEESA-N 0 1 298.350 0.599 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@H]2OC)CCC1 ZINC001212393134 897824254 /nfs/dbraw/zinc/82/42/54/897824254.db2.gz DYVMUMBHPRJVJC-MGPQQGTHSA-N 0 1 294.395 0.376 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CCNC(=O)c1ncn[nH]1 ZINC001077830715 897879804 /nfs/dbraw/zinc/87/98/04/897879804.db2.gz WJUQCIPVAHAMKE-JTQLQIEISA-N 0 1 277.328 0.233 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CCNC(=O)c1nc[nH]n1 ZINC001077830715 897879816 /nfs/dbraw/zinc/87/98/16/897879816.db2.gz WJUQCIPVAHAMKE-JTQLQIEISA-N 0 1 277.328 0.233 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCC(=O)N1 ZINC001032678895 897923131 /nfs/dbraw/zinc/92/31/31/897923131.db2.gz TVOQBSMCTALFFQ-AGIUHOORSA-N 0 1 277.368 0.516 20 30 CCEDMN C=CCN1C[C@@]2(CCN(CC(OC)OC)C2)OCC1=O ZINC001272861404 898088966 /nfs/dbraw/zinc/08/89/66/898088966.db2.gz MTZLTPMGFRYELV-AWEZNQCLSA-N 0 1 284.356 0.095 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CNC(=O)c1[nH]nc2c1CCC2 ZINC001397490191 914232794 /nfs/dbraw/zinc/23/27/94/914232794.db2.gz WLSAOYQCQGHDJP-RKDXNWHRSA-N 0 1 289.339 0.293 20 30 CCEDMN C#CCN1CCO[C@@](C)(CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001108030818 898246416 /nfs/dbraw/zinc/24/64/16/898246416.db2.gz POCUVWBXWTVBBO-HOCLYGCPSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CN(CC)CCO1 ZINC001032792669 898264304 /nfs/dbraw/zinc/26/43/04/898264304.db2.gz JMLVJTAPDWKGTB-SOUVJXGZSA-N 0 1 291.395 0.016 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2cccn2CC)C1 ZINC001078182249 898296093 /nfs/dbraw/zinc/29/60/93/898296093.db2.gz MIZRFSGYYNLJGL-TZMCWYRMSA-N 0 1 277.368 0.859 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC3CCC2CC3)C1 ZINC001078237590 898307649 /nfs/dbraw/zinc/30/76/49/898307649.db2.gz GJAVTWSXQWZHIA-KDQUWOQTSA-N 0 1 290.407 0.997 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1c[nH]c(=O)cn1 ZINC001485915724 898501845 /nfs/dbraw/zinc/50/18/45/898501845.db2.gz CKJHUDLYSIKNFU-VIFPVBQESA-N 0 1 284.747 0.573 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ncoc1-c1ccccc1 ZINC001486001489 898564586 /nfs/dbraw/zinc/56/45/86/898564586.db2.gz GNVZVEJDBGECJI-ZDUSSCGKSA-N 0 1 299.330 0.655 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)Cc1ccc(C)cc1 ZINC001486014272 898590958 /nfs/dbraw/zinc/59/09/58/898590958.db2.gz GBKDEGTXECNYGS-HNNXBMFYSA-N 0 1 274.364 0.628 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1CCCC12CC2 ZINC001486008647 898605032 /nfs/dbraw/zinc/60/50/32/898605032.db2.gz QBPSSIIXVQUOIX-RYUDHWBXSA-N 0 1 250.342 0.267 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1(CC)CCC1 ZINC001486028345 898617502 /nfs/dbraw/zinc/61/75/02/898617502.db2.gz SEGCKECDXKLBFL-GFCCVEGCSA-N 0 1 252.358 0.657 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1c[nH]c2ccc(C)cc12 ZINC001486066155 898632766 /nfs/dbraw/zinc/63/27/66/898632766.db2.gz MXFKVVKYVGYLPI-GFCCVEGCSA-N 0 1 285.347 0.790 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc2oc(C)nc21 ZINC001486065061 898633288 /nfs/dbraw/zinc/63/32/88/898633288.db2.gz FATDSXYKIHENJG-LLVKDONJSA-N 0 1 287.319 0.450 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(CC(C)C)c[nH]1 ZINC001486052048 898638927 /nfs/dbraw/zinc/63/89/27/898638927.db2.gz BFDHVJLPNGONKU-CQSZACIVSA-N 0 1 291.395 0.917 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccnc(OC(C)C)c1 ZINC001486049557 898639415 /nfs/dbraw/zinc/63/94/15/898639415.db2.gz FRARWDZKBHBDLF-CYBMUJFWSA-N 0 1 291.351 0.182 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@]12CCC[C@@H]1N(CC(=O)N(C)C)CC2 ZINC001486136927 898679332 /nfs/dbraw/zinc/67/93/32/898679332.db2.gz DQQLYGZTWYHOPO-XUJVJEKNSA-N 0 1 292.383 0.347 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCCC(=O)NC)[C@H]1C ZINC001486171546 898695356 /nfs/dbraw/zinc/69/53/56/898695356.db2.gz VBFHCWSWUGHXDZ-CHWSQXEVSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CCCN(CC#C)[C@H]1C ZINC001486174256 898696562 /nfs/dbraw/zinc/69/65/62/898696562.db2.gz SSAQYEIIDOPRPJ-UONOGXRCSA-N 0 1 262.353 0.629 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1C[C@H](N[C@@H](C)c2nncn2C)C1 ZINC001397568617 914278496 /nfs/dbraw/zinc/27/84/96/914278496.db2.gz LFQJHPRGYADQAF-KXNHARMFSA-N 0 1 290.371 0.520 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccc(C)o1 ZINC001486323382 898783597 /nfs/dbraw/zinc/78/35/97/898783597.db2.gz CGZFNFXNWBCVDP-LBPRGKRZSA-N 0 1 264.325 0.586 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)/C=C\c1ccc[nH]1 ZINC001486331192 898796186 /nfs/dbraw/zinc/79/61/86/898796186.db2.gz VDQRIBUYDBWKEO-WHLNPGIBSA-N 0 1 289.379 0.802 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H]1C[C@H]1C1CC1 ZINC001486350711 898810723 /nfs/dbraw/zinc/81/07/23/898810723.db2.gz LEESGQJKFUFAMT-SOUVJXGZSA-N 0 1 278.396 0.807 20 30 CCEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CCNC(=O)C1)C(C)C ZINC001486366941 898833432 /nfs/dbraw/zinc/83/34/32/898833432.db2.gz MCKKKCRUQIFGPT-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H](C)C1CCN(CC(N)=O)CC1 ZINC001486446134 898876731 /nfs/dbraw/zinc/87/67/31/898876731.db2.gz ZFAIPWDZXILRBZ-XHDPSFHLSA-N 0 1 297.399 0.016 20 30 CCEDMN CCO[C@H](C)C(=O)NCC1=CCN(CC#CCOC)CC1 ZINC001486503189 898910270 /nfs/dbraw/zinc/91/02/70/898910270.db2.gz VGWNPCXGEJKBSA-CQSZACIVSA-N 0 1 294.395 0.810 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H]1CN(C(=O)c2cc[nH]n2)CCO1 ZINC001410158336 899247453 /nfs/dbraw/zinc/24/74/53/899247453.db2.gz PJTTXRWPTWMNPV-PWSUYJOCSA-N 0 1 298.774 0.981 20 30 CCEDMN Cc1nccnc1CN[C@H](C)CN(C)C(=O)[C@H](C)C#N ZINC001410336730 899345416 /nfs/dbraw/zinc/34/54/16/899345416.db2.gz DAUUSEITZQUWRR-GHMZBOCLSA-N 0 1 275.356 0.881 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CNC(=O)OC)[C@@H]1C ZINC001410808910 899584423 /nfs/dbraw/zinc/58/44/23/899584423.db2.gz WNYVRODGZRTEKR-ZJUUUORDSA-N 0 1 289.763 0.674 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](NC(=O)/C(C)=C/C)CC1 ZINC001327113598 914384381 /nfs/dbraw/zinc/38/43/81/914384381.db2.gz MTXJVZAUASZNGQ-GUVYXZIWSA-N 0 1 291.395 0.673 20 30 CCEDMN CCC[N@@H+](C)CC(=O)NC1CC(CNC(=O)[C@@H](C)C#N)C1 ZINC001411326116 899905419 /nfs/dbraw/zinc/90/54/19/899905419.db2.gz GQZFXKFAJKOONB-HIFPTAJRSA-N 0 1 294.399 0.499 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccncn2)C1 ZINC001192933473 900026646 /nfs/dbraw/zinc/02/66/46/900026646.db2.gz IXDDVHSHPPYTFA-LBPRGKRZSA-N 0 1 258.325 0.646 20 30 CCEDMN C=CCCC(=O)N(C)[C@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001487640414 900091194 /nfs/dbraw/zinc/09/11/94/900091194.db2.gz MYXJNXWPALHWHL-GFCCVEGCSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)c2ccn[nH]2)C1 ZINC001488169417 900240831 /nfs/dbraw/zinc/24/08/31/900240831.db2.gz MQCUNYKWZHVNQQ-NEPJUHHUSA-N 0 1 288.351 0.932 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001489142250 900438764 /nfs/dbraw/zinc/43/87/64/900438764.db2.gz BRQGBZNRHDZGFE-NXEZZACHSA-N 0 1 292.343 0.369 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001489142250 900438769 /nfs/dbraw/zinc/43/87/69/900438769.db2.gz BRQGBZNRHDZGFE-NXEZZACHSA-N 0 1 292.343 0.369 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H](C)C(C)C ZINC001490253818 900581177 /nfs/dbraw/zinc/58/11/77/900581177.db2.gz ISDHSJCEVGVRJS-CYBMUJFWSA-N 0 1 281.400 0.466 20 30 CCEDMN C#CC[N@H+](CCCNC(=O)c1cnc[nH]c1=O)C1CC1 ZINC001490376824 900612923 /nfs/dbraw/zinc/61/29/23/900612923.db2.gz QACIEZIVWLJXTB-UHFFFAOYSA-N 0 1 274.324 0.400 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@H]1CCCN(CCO)C1 ZINC001490450736 900624141 /nfs/dbraw/zinc/62/41/41/900624141.db2.gz YJDFSEDQXFDKTR-KBPBESRZSA-N 0 1 297.399 0.030 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN1CCN(CCCF)CC1 ZINC001490486100 900637073 /nfs/dbraw/zinc/63/70/73/900637073.db2.gz NWSLFTKMSZTKSB-GFCCVEGCSA-N 0 1 270.352 0.239 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H]2CO[C@@H](C)C2)CC1 ZINC001490494963 900639173 /nfs/dbraw/zinc/63/91/73/900639173.db2.gz PLQBBTIXVNVQHB-KBPBESRZSA-N 0 1 281.400 0.331 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@H]2C[C@H](C)CO2)CC1 ZINC001490499036 900639377 /nfs/dbraw/zinc/63/93/77/900639377.db2.gz BMHUUZPPLRTFKH-GJZGRUSLSA-N 0 1 295.427 0.721 20 30 CCEDMN CN(CC#N)C[C@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001490772732 900724535 /nfs/dbraw/zinc/72/45/35/900724535.db2.gz RDPSRIFPRNKXGT-GFCCVEGCSA-N 0 1 290.371 0.431 20 30 CCEDMN CN(CC#N)C[C@H]1CCCN(C(=O)CCc2cnn[nH]2)C1 ZINC001490772732 900724545 /nfs/dbraw/zinc/72/45/45/900724545.db2.gz RDPSRIFPRNKXGT-GFCCVEGCSA-N 0 1 290.371 0.431 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001294737861 900870838 /nfs/dbraw/zinc/87/08/38/900870838.db2.gz MEIZUIWHSHYVCB-NSHDSACASA-N 0 1 272.308 0.154 20 30 CCEDMN C=CCCCC(=O)N1CC(N(C)C(=O)c2[nH]nnc2C)C1 ZINC001299389272 900967562 /nfs/dbraw/zinc/96/75/62/900967562.db2.gz QKSGTSMYBUCJKM-UHFFFAOYSA-N 0 1 291.355 0.752 20 30 CCEDMN C=C(C)CCN(C)C[C@@H](C)NC(=O)CS(C)(=O)=O ZINC001322120367 900999229 /nfs/dbraw/zinc/99/92/29/900999229.db2.gz IFLURKWJVUOFAJ-LLVKDONJSA-N 0 1 276.402 0.434 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CC[C@H]1CCOC1 ZINC001275527647 901114743 /nfs/dbraw/zinc/11/47/43/901114743.db2.gz IQYYYZGRZFYUQT-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN COCc1nc(CNC(=O)C2(C#N)CC3(CC3)C2)n[nH]1 ZINC001412324051 901642237 /nfs/dbraw/zinc/64/22/37/901642237.db2.gz BSPMVQQKBDBOBQ-UHFFFAOYSA-N 0 1 275.312 0.651 20 30 CCEDMN COCc1nnc(CNC(=O)C2(C#N)CC3(CC3)C2)[nH]1 ZINC001412324051 901642243 /nfs/dbraw/zinc/64/22/43/901642243.db2.gz BSPMVQQKBDBOBQ-UHFFFAOYSA-N 0 1 275.312 0.651 20 30 CCEDMN CN(C)C(=O)[C@@H]1CC12CN(C(=O)c1cccc(C#N)c1O)C2 ZINC001276216011 901974226 /nfs/dbraw/zinc/97/42/26/901974226.db2.gz VMTLGSJSFWGIAW-LBPRGKRZSA-N 0 1 299.330 0.814 20 30 CCEDMN COC(=O)[C@@H]1Cc2ccc(O)cc2CN1C(=O)C(C)C#N ZINC001412798512 902133800 /nfs/dbraw/zinc/13/38/00/902133800.db2.gz NOBQVPGHFVXJRI-RNCFNFMXSA-N 0 1 288.303 0.978 20 30 CCEDMN CO[C@@H](C)C[N@@H+](C)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001412980698 902423528 /nfs/dbraw/zinc/42/35/28/902423528.db2.gz FLFKJUDOCUMCJE-VIFPVBQESA-N 0 1 275.312 0.773 20 30 CCEDMN COC[C@H]1CCC[N@H+]1Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001413044660 902522670 /nfs/dbraw/zinc/52/26/70/902522670.db2.gz HTMGFYOZCGHEQL-GFCCVEGCSA-N 0 1 287.323 0.917 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](C)NC(C)=O ZINC001491389997 903530627 /nfs/dbraw/zinc/53/06/27/903530627.db2.gz WAVITPHCLJQKSS-IUCAKERBSA-N 0 1 261.753 0.358 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCN(Cc2cncn2C)C1 ZINC001493184694 903552148 /nfs/dbraw/zinc/55/21/48/903552148.db2.gz QQGMTNMLIFIAGO-ZDUSSCGKSA-N 0 1 292.383 0.561 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)Cn2cc(C)cn2)C1 ZINC001493185172 903579578 /nfs/dbraw/zinc/57/95/78/903579578.db2.gz ARFQOOKJDHOZFY-CQSZACIVSA-N 0 1 274.368 0.653 20 30 CCEDMN Cc1oncc1CN[C@@H](C)CNC(=O)C#CC1CC1 ZINC001491446364 903594775 /nfs/dbraw/zinc/59/47/75/903594775.db2.gz BCKXBDQNFVVBAF-JTQLQIEISA-N 0 1 261.325 0.991 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)NCc1snnc1C ZINC001491441430 903596058 /nfs/dbraw/zinc/59/60/58/903596058.db2.gz MTMRAPOXUKDPBY-RNCFNFMXSA-N 0 1 298.412 0.768 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NCc1n[nH]c(COC)n1 ZINC001327746459 914740172 /nfs/dbraw/zinc/74/01/72/914740172.db2.gz JEEIQYJVFBJEDR-QMMMGPOBSA-N 0 1 251.290 0.114 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NCc1nnc(COC)[nH]1 ZINC001327746459 914740178 /nfs/dbraw/zinc/74/01/78/914740178.db2.gz JEEIQYJVFBJEDR-QMMMGPOBSA-N 0 1 251.290 0.114 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001330684823 903906178 /nfs/dbraw/zinc/90/61/78/903906178.db2.gz YOBWQJMZFQCTOQ-TVQRCGJNSA-N 0 1 262.313 0.559 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H]1CCC1(C)C ZINC001331773090 904015134 /nfs/dbraw/zinc/01/51/34/904015134.db2.gz TWFLDUKYQXOHIF-RYUDHWBXSA-N 0 1 252.358 0.513 20 30 CCEDMN C=CCN(CCNC(=O)C1=COCCO1)CCOC ZINC001279040794 904042856 /nfs/dbraw/zinc/04/28/56/904042856.db2.gz MCZFRVHUGWHVLJ-UHFFFAOYSA-N 0 1 270.329 0.125 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@](C)(NC(=O)c2ncn[nH]2)C1 ZINC001299566202 904054736 /nfs/dbraw/zinc/05/47/36/904054736.db2.gz YOEJFOXBJNVCCE-CQSZACIVSA-N 0 1 289.339 0.329 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@](C)(NC(=O)c2nc[nH]n2)C1 ZINC001299566202 904054749 /nfs/dbraw/zinc/05/47/49/904054749.db2.gz YOEJFOXBJNVCCE-CQSZACIVSA-N 0 1 289.339 0.329 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1nnc[nH]1 ZINC001316604752 904125438 /nfs/dbraw/zinc/12/54/38/904125438.db2.gz FHRHKCSNJCIBTD-NSHDSACASA-N 0 1 263.345 0.456 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)C1CN(C(=O)Cc2ncn[nH]2)C1 ZINC001280617426 904127851 /nfs/dbraw/zinc/12/78/51/904127851.db2.gz OEPMQOXVNWOKSR-JTQLQIEISA-N 0 1 291.355 0.277 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCCN([C@@H]2CCNC2=O)CC1 ZINC001280707482 904142766 /nfs/dbraw/zinc/14/27/66/904142766.db2.gz HBUIDQFZCOJPIU-QWHCGFSZSA-N 0 1 279.384 0.812 20 30 CCEDMN C#CCCCC(=O)NCCC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001280885235 904165256 /nfs/dbraw/zinc/16/52/56/904165256.db2.gz ZARRESHOGFZTBP-LLVKDONJSA-N 0 1 291.355 0.623 20 30 CCEDMN C#CCCCC(=O)NCCC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001280885235 904165263 /nfs/dbraw/zinc/16/52/63/904165263.db2.gz ZARRESHOGFZTBP-LLVKDONJSA-N 0 1 291.355 0.623 20 30 CCEDMN C=CCCCC(=O)N(C)C[C@H](C)NC(=O)c1cnn[nH]1 ZINC001281045658 904204815 /nfs/dbraw/zinc/20/48/15/904204815.db2.gz WDYNBCOXGSHTBJ-JTQLQIEISA-N 0 1 279.344 0.738 20 30 CCEDMN C#CCC[N@H+]1CCOC2(CCN(C(=O)COCC)CC2)C1 ZINC001281783413 904345517 /nfs/dbraw/zinc/34/55/17/904345517.db2.gz GQCJMWJLCGRXFN-UHFFFAOYSA-N 0 1 294.395 0.740 20 30 CCEDMN C#CCCN1CCOC2(CCN(C(=O)COCC)CC2)C1 ZINC001281783413 904345531 /nfs/dbraw/zinc/34/55/31/904345531.db2.gz GQCJMWJLCGRXFN-UHFFFAOYSA-N 0 1 294.395 0.740 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cnc(C)cn1 ZINC001281919037 904369693 /nfs/dbraw/zinc/36/96/93/904369693.db2.gz HDLCLIZIVZGKCY-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCCN(C(=O)CC)C1 ZINC001282389310 904464531 /nfs/dbraw/zinc/46/45/31/904464531.db2.gz NKAZZNKFDFGNPE-UONOGXRCSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)N(C)[C@@H](CC)C(N)=O ZINC001282379022 904465017 /nfs/dbraw/zinc/46/50/17/904465017.db2.gz YMYCZNGEHNTWFT-RYUDHWBXSA-N 0 1 267.373 0.490 20 30 CCEDMN C=CCOCC(=O)NC1(CCO)CN(CC2CCCC2)C1 ZINC001282508463 904496424 /nfs/dbraw/zinc/49/64/24/904496424.db2.gz APTLIFHGGKJANO-UHFFFAOYSA-N 0 1 296.411 0.932 20 30 CCEDMN C=CCOCCN1CC([C@H](C)NC(=O)c2n[nH]cc2C)C1 ZINC001282706627 904526376 /nfs/dbraw/zinc/52/63/76/904526376.db2.gz ONMFMRWYEVCWFA-LBPRGKRZSA-N 0 1 292.383 0.971 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CCCC[N@@H+]1CCC)C(=O)[O-] ZINC001332621875 904529817 /nfs/dbraw/zinc/52/98/17/904529817.db2.gz IRLXSECFLBYQKD-VXGBXAGGSA-N 0 1 266.341 0.844 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H](C)OCCOCC)C1 ZINC001282742220 904535902 /nfs/dbraw/zinc/53/59/02/904535902.db2.gz YEMYWEHIIQMHDX-KGLIPLIRSA-N 0 1 296.411 0.888 20 30 CCEDMN CN(C(=O)C1=NC(=O)N(C)C1)[C@@H](CNCC#N)C1CC1 ZINC001283531782 904897006 /nfs/dbraw/zinc/89/70/06/904897006.db2.gz HRPPXOMKKAFZIT-NSHDSACASA-N 0 1 277.328 0.089 20 30 CCEDMN CCN(CCCNC(=O)CN1CCCC1)C(=O)[C@@H](C)C#N ZINC001283719531 904972165 /nfs/dbraw/zinc/97/21/65/904972165.db2.gz XLXPLCQDHCOOTE-ZDUSSCGKSA-N 0 1 294.399 0.597 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)C1=CCCCCC1 ZINC001283744769 904989590 /nfs/dbraw/zinc/98/95/90/904989590.db2.gz NNZSSIDRTOOAFH-HNNXBMFYSA-N 0 1 294.395 0.593 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1cccc(CC)c1 ZINC001283772139 905012741 /nfs/dbraw/zinc/01/27/41/905012741.db2.gz VMTKLZRHGLYGJE-HNNXBMFYSA-N 0 1 274.364 0.491 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(F)ccc1C ZINC001283782916 905013850 /nfs/dbraw/zinc/01/38/50/905013850.db2.gz DZUSNKKGRZFUMQ-LBPRGKRZSA-N 0 1 264.300 0.448 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1csc(Cl)c1 ZINC001283812484 905032311 /nfs/dbraw/zinc/03/23/11/905032311.db2.gz ITYYMMGNWAQQAQ-SECBINFHSA-N 0 1 272.757 0.715 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1sc(C)cc1OC ZINC001283814600 905033764 /nfs/dbraw/zinc/03/37/64/905033764.db2.gz YMNCICKBRBIPLI-LLVKDONJSA-N 0 1 296.392 0.769 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1c[nH]c(C(N)=O)c1 ZINC001377913934 905242769 /nfs/dbraw/zinc/24/27/69/905242769.db2.gz QIPRGUOWELSCFD-IUCAKERBSA-N 0 1 298.774 0.963 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H](C)CSC ZINC001284481754 905310811 /nfs/dbraw/zinc/31/08/11/905310811.db2.gz HXYUJTIBSIUJBQ-VXGBXAGGSA-N 0 1 272.414 0.370 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@@H]1CCCC(=O)N1C)C(C)C ZINC001284558547 905369242 /nfs/dbraw/zinc/36/92/42/905369242.db2.gz NXGSCKQIRYLBNW-AWEZNQCLSA-N 0 1 293.411 0.799 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)[C@H](C)NC(=O)CN1CCCC1 ZINC001285322478 905595843 /nfs/dbraw/zinc/59/58/43/905595843.db2.gz QNOSTYMWKWDMNI-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001285739385 905749168 /nfs/dbraw/zinc/74/91/68/905749168.db2.gz QSJLBRMGOJDQCH-VIFPVBQESA-N 0 1 265.317 0.396 20 30 CCEDMN Cc1nnsc1CNC[C@@H](C)N(C)C(=O)[C@@H](C)C#N ZINC001378754015 905772031 /nfs/dbraw/zinc/77/20/31/905772031.db2.gz LRCFWGREAIWTKT-DTWKUNHWSA-N 0 1 281.385 0.943 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](O)CN1CC1CCC(C#N)CC1 ZINC001333011423 905878909 /nfs/dbraw/zinc/87/89/09/905878909.db2.gz XCJNFLIRBOWTEV-TYUFSLCMSA-N 0 1 266.341 0.925 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)CCn3cnnn3)[nH]c2c1 ZINC001287397474 905911926 /nfs/dbraw/zinc/91/19/26/905911926.db2.gz URJIGJCPSSOLCQ-UHFFFAOYSA-N 0 1 282.267 0.450 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCN(C)CCN1CCCC1=O ZINC001379033874 905939889 /nfs/dbraw/zinc/93/98/89/905939889.db2.gz QAYXYTHZSVCBBW-GFCCVEGCSA-N 0 1 280.372 0.159 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)[C@@H]1C ZINC001287876023 905984723 /nfs/dbraw/zinc/98/47/23/905984723.db2.gz MTHZHVUZBJBSQR-QWRGUYRKSA-N 0 1 289.339 0.183 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)[C@@H]1C ZINC001287876023 905984737 /nfs/dbraw/zinc/98/47/37/905984737.db2.gz MTHZHVUZBJBSQR-QWRGUYRKSA-N 0 1 289.339 0.183 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001379223796 906075708 /nfs/dbraw/zinc/07/57/08/906075708.db2.gz QOIVTBAYKGINBG-AEJSXWLSSA-N 0 1 273.764 0.297 20 30 CCEDMN N#Cc1cnnc(N2CCC(c3nc[nH]n3)CC2)c1 ZINC001333733289 906091792 /nfs/dbraw/zinc/09/17/92/906091792.db2.gz RJXHTOZQBDDIAG-UHFFFAOYSA-N 0 1 255.285 0.850 20 30 CCEDMN C=CCCCC(=O)NCCCNC(=O)C1=NC(=O)N(C)C1 ZINC001293491611 906480939 /nfs/dbraw/zinc/48/09/39/906480939.db2.gz JJZFUEDABIZSJW-UHFFFAOYSA-N 0 1 294.355 0.718 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cncn1C ZINC001379798064 906484056 /nfs/dbraw/zinc/48/40/56/906484056.db2.gz DALLURIXUAHILJ-JTQLQIEISA-N 0 1 286.763 0.195 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)C(=O)Cc2c[nH]cn2)C1 ZINC001293640478 906501947 /nfs/dbraw/zinc/50/19/47/906501947.db2.gz JPTWAKFPTDALJQ-CYBMUJFWSA-N 0 1 290.367 0.978 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CN(Cc2n[nH]c(C3CC3)n2)C1 ZINC001380222138 906679935 /nfs/dbraw/zinc/67/99/35/906679935.db2.gz PRSUSJDOCUPHIU-VIFPVBQESA-N 0 1 288.355 0.484 20 30 CCEDMN C[C@H](CCNC(=O)C#CC(C)(C)C)NC(=O)c1cnn[nH]1 ZINC001295851963 906814111 /nfs/dbraw/zinc/81/41/11/906814111.db2.gz HDLXZHLIZZTPHH-SNVBAGLBSA-N 0 1 291.355 0.479 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC001296062920 906858371 /nfs/dbraw/zinc/85/83/71/906858371.db2.gz BLPMQKYVIKZUJA-BBBLOLIVSA-N 0 1 265.317 0.353 20 30 CCEDMN C=CCO[C@H](C)C(=O)N(C)CCNC(=O)c1[nH]ncc1F ZINC001296226311 906880991 /nfs/dbraw/zinc/88/09/91/906880991.db2.gz QQFAEDRXLZSJMW-SECBINFHSA-N 0 1 298.318 0.328 20 30 CCEDMN CCc1nc([C@@H](C)N2CCN(C(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001381081796 907068579 /nfs/dbraw/zinc/06/85/79/907068579.db2.gz MTAVJEMXOXPPSZ-GHMZBOCLSA-N 0 1 290.371 0.732 20 30 CCEDMN C=CCCC(=O)NC[C@H](O)CNC(=O)[C@H]1CCCC[N@@H+]1C ZINC001298156698 907166319 /nfs/dbraw/zinc/16/63/19/907166319.db2.gz FKSJKLBQNFHEOF-QWHCGFSZSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCCC(=O)NC[C@H](O)CNC(=O)[C@H]1CCCCN1C ZINC001298156698 907166333 /nfs/dbraw/zinc/16/63/33/907166333.db2.gz FKSJKLBQNFHEOF-QWHCGFSZSA-N 0 1 297.399 0.030 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)NC(=O)[C@H]1CCCN1C ZINC001298749545 907315636 /nfs/dbraw/zinc/31/56/36/907315636.db2.gz CUTADDQLWVRATN-QWHCGFSZSA-N 0 1 279.384 0.361 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN1C(=O)c1[nH]nnc1C ZINC001298994970 907366025 /nfs/dbraw/zinc/36/60/25/907366025.db2.gz XTYFGYPNHRPDBE-JTQLQIEISA-N 0 1 277.328 0.410 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H]1CNC(=O)C1(C(=O)NC)CC1 ZINC001317175817 907406283 /nfs/dbraw/zinc/40/62/83/907406283.db2.gz GSNSELWATUCDBE-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)C1(C(=O)NC)CC1 ZINC001317175817 907406294 /nfs/dbraw/zinc/40/62/94/907406294.db2.gz GSNSELWATUCDBE-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1COCCO1 ZINC001382082587 907540131 /nfs/dbraw/zinc/54/01/31/907540131.db2.gz ZHNALOYHUCKGCD-MNOVXSKESA-N 0 1 276.764 0.591 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@H]1CCC(=O)N1 ZINC001382136438 907565085 /nfs/dbraw/zinc/56/50/85/907565085.db2.gz ZXGDHRIAGICRCG-GHMZBOCLSA-N 0 1 287.791 0.844 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@@H](N(C)[C@H]2CCNC2=O)C1 ZINC001491924739 907641906 /nfs/dbraw/zinc/64/19/06/907641906.db2.gz IQXNHDBGPDPYQQ-KGLIPLIRSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCCC(N)=O)[C@H]1C ZINC001382303942 907674035 /nfs/dbraw/zinc/67/40/35/907674035.db2.gz IMMZJVUHNFDJGP-WDEREUQCSA-N 0 1 287.791 0.974 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](NC(=O)Cc2nnc[nH]2)C[C@@H]1C ZINC001338053904 921351425 /nfs/dbraw/zinc/35/14/25/921351425.db2.gz XGLOBPXJXKMMPA-QWRGUYRKSA-N 0 1 291.355 0.419 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1csnc1C ZINC001492229998 907782622 /nfs/dbraw/zinc/78/26/22/907782622.db2.gz AIWBMEAMKMWPGS-UHFFFAOYSA-N 0 1 281.381 0.763 20 30 CCEDMN C#CCCCC(=O)NCCCN(C)C(=O)c1ccn[nH]1 ZINC001492333952 907854318 /nfs/dbraw/zinc/85/43/18/907854318.db2.gz XGYJHWJUFKBUDV-UHFFFAOYSA-N 0 1 276.340 0.792 20 30 CCEDMN CCn1cc(Cl)c(C(=O)NCC#CCN(C)C)n1 ZINC001302294791 908014095 /nfs/dbraw/zinc/01/40/95/908014095.db2.gz AMWROLLRFZSGLJ-UHFFFAOYSA-N 0 1 268.748 0.851 20 30 CCEDMN C=CCOc1cccnc1C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001303584744 908102029 /nfs/dbraw/zinc/10/20/29/908102029.db2.gz ZCCANXVSKIMJGW-NSHDSACASA-N 0 1 288.307 0.833 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](CNCc2cnn(C)n2)C1 ZINC001317320909 908109813 /nfs/dbraw/zinc/10/98/13/908109813.db2.gz QWRGWVWZAGVFAC-ZDUSSCGKSA-N 0 1 289.383 0.413 20 30 CCEDMN C[C@@H]1CCN(C(=O)Cc2ccn[nH]2)[C@H](CNCC#N)C1 ZINC001316696737 908492675 /nfs/dbraw/zinc/49/26/75/908492675.db2.gz KVSTVXLTHGFIJH-YPMHNXCESA-N 0 1 275.356 0.692 20 30 CCEDMN C=C(Cl)CNC(=O)Nc1nn[nH]c1C(=O)NC ZINC001311173598 908539957 /nfs/dbraw/zinc/53/99/57/908539957.db2.gz XJIBNEBCUKDITA-UHFFFAOYSA-N 0 1 258.669 0.038 20 30 CCEDMN CO[C@H]1CC[C@H](NC(=O)NCC#CCN(C)C)C1 ZINC001312503887 908606047 /nfs/dbraw/zinc/60/60/47/908606047.db2.gz HJMARACMSXQPQE-RYUDHWBXSA-N 0 1 253.346 0.418 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1cccc2c1OCC2 ZINC001313337712 908661912 /nfs/dbraw/zinc/66/19/12/908661912.db2.gz QLQSZHUDVLXZLO-UHFFFAOYSA-N 0 1 287.363 0.986 20 30 CCEDMN C=CC[N@H+](C)C[C@@H]1CCCCN1C(=O)CN1CCOCC1 ZINC001316803631 908776314 /nfs/dbraw/zinc/77/63/14/908776314.db2.gz SBBVKICNYAWZPG-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@H]1CCNC1=O ZINC001316805284 908777760 /nfs/dbraw/zinc/77/77/60/908777760.db2.gz UMAPERSCMQGOFE-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CCNC1=O ZINC001316805284 908777762 /nfs/dbraw/zinc/77/77/62/908777762.db2.gz UMAPERSCMQGOFE-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C1(CC)CC1 ZINC001316846854 908811055 /nfs/dbraw/zinc/81/10/55/908811055.db2.gz PUIWIKIXBOBTDW-UHFFFAOYSA-N 0 1 279.384 0.364 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2cc(C)no2)CC1 ZINC001316961540 908864818 /nfs/dbraw/zinc/86/48/18/908864818.db2.gz AGKHGKNLIOTZBV-UHFFFAOYSA-N 0 1 290.367 0.354 20 30 CCEDMN C[C@H](CNC(=O)[C@H]1C[C@H]1C(N)=O)NCC#Cc1ccccc1 ZINC001317262657 909099484 /nfs/dbraw/zinc/09/94/84/909099484.db2.gz DSZYEIZBCWKQLB-YUELXQCFSA-N 0 1 299.374 0.254 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC[C@@H](C)NCc1ccon1 ZINC001317391792 909169680 /nfs/dbraw/zinc/16/96/80/909169680.db2.gz YORNVEQUBAORPS-RISCZKNCSA-N 0 1 281.356 0.986 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C[C@H]1CCCCO1 ZINC001317441628 909206117 /nfs/dbraw/zinc/20/61/17/909206117.db2.gz ADLCFNVFMHQBKM-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCN(C)CCc1ccns1 ZINC001317447978 909218758 /nfs/dbraw/zinc/21/87/58/909218758.db2.gz KUPFDGBQWSLRLV-GFCCVEGCSA-N 0 1 295.408 0.772 20 30 CCEDMN C[N@H+](CC#Cc1ccccc1)CCNC(=O)c1cnn[n-]1 ZINC001317452525 909226738 /nfs/dbraw/zinc/22/67/38/909226738.db2.gz FCVQVRNPEYWUCX-UHFFFAOYSA-N 0 1 283.335 0.518 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccn(CC(F)(F)F)n1 ZINC001317453367 909227996 /nfs/dbraw/zinc/22/79/96/909227996.db2.gz QECPULFRVWMPNX-UHFFFAOYSA-N 0 1 288.273 0.740 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1C[C@@]12CCOC2 ZINC001317465004 909246130 /nfs/dbraw/zinc/24/61/30/909246130.db2.gz FTNKDVLJJVYSKZ-TZMCWYRMSA-N 0 1 250.342 0.484 20 30 CCEDMN C=C(C)CCN(CC)CCNC(=O)CS(C)(=O)=O ZINC001317477903 909250176 /nfs/dbraw/zinc/25/01/76/909250176.db2.gz YTNLNUDPSSSMSD-UHFFFAOYSA-N 0 1 276.402 0.435 20 30 CCEDMN C=CCOCCN1CCC[C@@H]1CNC(=O)c1cnn(C)n1 ZINC001317510485 909281673 /nfs/dbraw/zinc/28/16/73/909281673.db2.gz MXLLNIJOCCKBSD-GFCCVEGCSA-N 0 1 293.371 0.212 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)c2ccc[nH]2)C1 ZINC001317519264 909284372 /nfs/dbraw/zinc/28/43/72/909284372.db2.gz WGSDAQPQQBLKFC-GFCCVEGCSA-N 0 1 290.367 0.369 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN([C@@H](C)C(N)=O)C1 ZINC001317530405 909297348 /nfs/dbraw/zinc/29/73/48/909297348.db2.gz WXVFQACGELCOQL-RYUDHWBXSA-N 0 1 281.400 0.901 20 30 CCEDMN C=CCOCC(=O)N(C)CCNCc1ccccn1 ZINC001317574721 909364651 /nfs/dbraw/zinc/36/46/51/909364651.db2.gz VWQSZBXMVJNSNC-UHFFFAOYSA-N 0 1 263.341 0.832 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001317929947 909544207 /nfs/dbraw/zinc/54/42/07/909544207.db2.gz BIQHMZAOLJYEIS-LZWOXQAQSA-N 0 1 294.395 0.806 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)CNC(=O)NC)C1 ZINC001318032536 909591209 /nfs/dbraw/zinc/59/12/09/909591209.db2.gz PMHKWCVVPGCVPU-SNVBAGLBSA-N 0 1 268.361 0.071 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001318141060 909640714 /nfs/dbraw/zinc/64/07/14/909640714.db2.gz NZKKHSIIXUJCSI-NWDGAFQWSA-N 0 1 279.384 0.668 20 30 CCEDMN C#CCN(CC#CC)c1nnc(-c2[nH]cnc2C)n1C ZINC001338579444 921514075 /nfs/dbraw/zinc/51/40/75/921514075.db2.gz KZXPPAXQJKRUDK-UHFFFAOYSA-N 0 1 268.324 0.977 20 30 CCEDMN C=CCCOCCNC(=O)N1CCNC[C@@H]1COC ZINC001338592416 921518973 /nfs/dbraw/zinc/51/89/73/921518973.db2.gz YSADJOVQDYKACG-GFCCVEGCSA-N 0 1 271.361 0.209 20 30 CCEDMN CCNC(=O)CN1CCC2(C[C@@H]2NC(=O)[C@@H](C)C#N)CC1 ZINC001389529642 909955773 /nfs/dbraw/zinc/95/57/73/909955773.db2.gz RASBAJLNESFCQM-RYUDHWBXSA-N 0 1 292.383 0.253 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H](C)NC(C)=O)C1CC1 ZINC001389555406 909967000 /nfs/dbraw/zinc/96/70/00/909967000.db2.gz QJYWNDIIOFSSBT-BXKDBHETSA-N 0 1 287.791 0.748 20 30 CCEDMN C#CCCCCC(=O)N(C)[C@@H](C)CNCc1cnnn1C ZINC001319447570 910154988 /nfs/dbraw/zinc/15/49/88/910154988.db2.gz ALVOXUYDCCVYTB-ZDUSSCGKSA-N 0 1 291.399 0.945 20 30 CCEDMN N#CCCn1ccc(NC(=O)NCCN2CC=CC2)n1 ZINC001319699256 910264139 /nfs/dbraw/zinc/26/41/39/910264139.db2.gz BCEVEMRWARQGLJ-UHFFFAOYSA-N 0 1 274.328 0.790 20 30 CCEDMN C[C@@H]1CCCN(C(=O)Cc2ccn[nH]2)[C@H]1CNCC#N ZINC001319837893 910314694 /nfs/dbraw/zinc/31/46/94/910314694.db2.gz QJCNCPQZEVJESO-YPMHNXCESA-N 0 1 275.356 0.692 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1CCCS1(=O)=O ZINC001390525818 910493866 /nfs/dbraw/zinc/49/38/66/910493866.db2.gz BTDRUQDMWOACAC-ZJUUUORDSA-N 0 1 294.804 0.410 20 30 CCEDMN C#CCNC(=S)Nc1ccc(N2CCN(C)CC2)nc1 ZINC001320230709 910544795 /nfs/dbraw/zinc/54/47/95/910544795.db2.gz WSVRRCKPFHVNBK-UHFFFAOYSA-N 0 1 289.408 0.753 20 30 CCEDMN C=CCO[C@H]1CCN([C@@H](C)C(=O)NC(=O)NC)C1 ZINC001320322743 910610653 /nfs/dbraw/zinc/61/06/53/910610653.db2.gz YKBRKBWOESAMSG-UWVGGRQHSA-N 0 1 255.318 0.107 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCN(CC(=O)N2CCCC2)C1 ZINC001391090839 910869989 /nfs/dbraw/zinc/86/99/89/910869989.db2.gz UKTVPBPOXQAMFO-OLZOCXBDSA-N 0 1 292.383 0.207 20 30 CCEDMN Cn1cc(CNC/C=C\CNC(=O)c2c[nH]c(C#N)c2)cn1 ZINC001321031482 911029207 /nfs/dbraw/zinc/02/92/07/911029207.db2.gz ZMIUDRMVDWYTMK-IHWYPQMZSA-N 0 1 298.350 0.696 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1CCCNC1=O ZINC001321106938 911088536 /nfs/dbraw/zinc/08/85/36/911088536.db2.gz KRIPEXSBRHLUMA-QUCGXOGASA-N 0 1 285.775 0.527 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2C[C@@]23CCOC3)CC1 ZINC001321140814 911114527 /nfs/dbraw/zinc/11/45/27/911114527.db2.gz SLZSBYAUSQJMLO-DGCLKSJQSA-N 0 1 263.341 0.517 20 30 CCEDMN C#Cc1ccc(C(=O)NC/C=C\CNCC(=O)NC)cc1 ZINC001321191829 911151471 /nfs/dbraw/zinc/15/14/71/911151471.db2.gz IPESGBASCILLSR-PLNGDYQASA-N 0 1 285.347 0.290 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CCN(C(C)C)CC1 ZINC001321256248 911192610 /nfs/dbraw/zinc/19/26/10/911192610.db2.gz HCIIUHSKERLFLK-LBPRGKRZSA-N 0 1 255.362 0.923 20 30 CCEDMN C[C@@H](C#N)OCCN1CCc2nn(C)c(=O)cc2C1 ZINC001321298576 911212687 /nfs/dbraw/zinc/21/26/87/911212687.db2.gz GUEDFGXGVJNXGF-JTQLQIEISA-N 0 1 262.313 0.067 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H](C)n1cncn1 ZINC001322144628 911677875 /nfs/dbraw/zinc/67/78/75/911677875.db2.gz MUIJMDAFFGQYMD-RYUDHWBXSA-N 0 1 263.345 0.299 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCCN(C(=O)CC)C1 ZINC001322158064 911682782 /nfs/dbraw/zinc/68/27/82/911682782.db2.gz AQQFMIJQHYDOFI-UONOGXRCSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnn2ncccc12 ZINC001322178228 911697510 /nfs/dbraw/zinc/69/75/10/911697510.db2.gz XMHJEKSZYDRUBW-LLVKDONJSA-N 0 1 271.324 0.413 20 30 CCEDMN C[C@H](CN(C)CC#N)NC(=O)c1ccn(-c2ccncc2)n1 ZINC001322206069 911708995 /nfs/dbraw/zinc/70/89/95/911708995.db2.gz NSYYSVYAJWSGBD-GFCCVEGCSA-N 0 1 298.350 0.841 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)CN(C)[C@H]1CCN(C)C1=O ZINC001322222511 911711446 /nfs/dbraw/zinc/71/14/46/911711446.db2.gz GIXRQYVCYVIDNF-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN Cc1nnc(SCCC(=O)NC2(C#N)CCOCC2)[nH]1 ZINC001322232693 911718886 /nfs/dbraw/zinc/71/88/86/911718886.db2.gz ATOHXZNXHUVYMZ-UHFFFAOYSA-N 0 1 295.368 0.784 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCC(=O)NC1CCCC1 ZINC001322268618 911737288 /nfs/dbraw/zinc/73/72/88/911737288.db2.gz FAMHYMKBCSWHSG-ZDUSSCGKSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1cnc(C)o1 ZINC001392372946 911765772 /nfs/dbraw/zinc/76/57/72/911765772.db2.gz ITLJFCCIZCKTJN-JTQLQIEISA-N 0 1 287.747 0.345 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1cnn(C)c1 ZINC001392550567 911926609 /nfs/dbraw/zinc/92/66/09/911926609.db2.gz QHBCAZDQILXNMT-JTQLQIEISA-N 0 1 270.764 0.809 20 30 CCEDMN C=C(Cl)CN(C)C[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001392706849 912104731 /nfs/dbraw/zinc/10/47/31/912104731.db2.gz BKIUVRDQUMIZHH-VIFPVBQESA-N 0 1 271.752 0.536 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1CCN1CCCOC ZINC001323228721 912201560 /nfs/dbraw/zinc/20/15/60/912201560.db2.gz YOSAXOQTMJBBAH-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cn2c(n1)COCC2 ZINC001398946428 914967254 /nfs/dbraw/zinc/96/72/54/914967254.db2.gz GMDHQQVLFOFMQO-JTQLQIEISA-N 0 1 298.774 0.874 20 30 CCEDMN C=C[C@@H](COC)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001328666798 915348194 /nfs/dbraw/zinc/34/81/94/915348194.db2.gz PKJBWCXNWFLCLU-IUCAKERBSA-N 0 1 250.302 0.542 20 30 CCEDMN C=CCOCC(=O)NC1CC(CNCc2nnc(C)[nH]2)C1 ZINC001328834831 915457183 /nfs/dbraw/zinc/45/71/83/915457183.db2.gz GOKGHHMCLIOGAH-UHFFFAOYSA-N 0 1 293.371 0.300 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CN(CCc2ccnn2C)C1 ZINC001400463951 915754646 /nfs/dbraw/zinc/75/46/46/915754646.db2.gz GGVGYKJCUSOSHO-LLVKDONJSA-N 0 1 275.356 0.170 20 30 CCEDMN CCn1cc(CCN2CC[C@H](NC(=O)[C@@H](C)C#N)C2)cn1 ZINC001400604076 915824722 /nfs/dbraw/zinc/82/47/22/915824722.db2.gz FNWPFYNVRHOYQX-JSGCOSHPSA-N 0 1 289.383 0.796 20 30 CCEDMN CCN1CCN(c2nnc([C@H]3C[C@H]3C#N)n2C)CC1 ZINC001339703361 921804536 /nfs/dbraw/zinc/80/45/36/921804536.db2.gz WPCVRRQZFXEEFJ-QWRGUYRKSA-N 0 1 260.345 0.584 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)COCc1cc(C)on1 ZINC001329469847 915944842 /nfs/dbraw/zinc/94/48/42/915944842.db2.gz GXMSEAVMTBGQRL-GFCCVEGCSA-N 0 1 293.367 0.959 20 30 CCEDMN CN(C)C[C@@](C)(C#N)NC(=O)c1ccn(-c2ccncc2)n1 ZINC001329597790 916053998 /nfs/dbraw/zinc/05/39/98/916053998.db2.gz PAQBJBMQEKSYCJ-OAHLLOKOSA-N 0 1 298.350 0.841 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCc2nncn2C1 ZINC001329623636 916072262 /nfs/dbraw/zinc/07/22/62/916072262.db2.gz LZBZGFUTHCYKLQ-STQMWFEESA-N 0 1 289.383 0.300 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)C(=O)N1CCC[C@@](C)(C#N)C1 ZINC001329677974 916119065 /nfs/dbraw/zinc/11/90/65/916119065.db2.gz YNRPWQSPWZDDHL-AWEZNQCLSA-N 0 1 289.339 0.520 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CC[C@@](O)(CC#N)C1 ZINC001329925501 916285154 /nfs/dbraw/zinc/28/51/54/916285154.db2.gz SKSMXYVQRPFHGE-MFKMUULPSA-N 0 1 262.313 0.465 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CC[C@](O)(CC#N)C1 ZINC001329925500 916285603 /nfs/dbraw/zinc/28/56/03/916285603.db2.gz SKSMXYVQRPFHGE-GXFFZTMASA-N 0 1 262.313 0.465 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ocnc1C1CC1 ZINC001401566236 916330011 /nfs/dbraw/zinc/33/00/11/916330011.db2.gz ZIPJIXBXYJOIBX-JTQLQIEISA-N 0 1 299.758 0.985 20 30 CCEDMN CC#CCN(C)C(=O)NCc1n[nH]c(COC)n1 ZINC001330141118 916431573 /nfs/dbraw/zinc/43/15/73/916431573.db2.gz BWLAXOBKRXKXSK-UHFFFAOYSA-N 0 1 251.290 0.116 20 30 CCEDMN CC#CCN(C)C(=O)NCc1nnc(COC)[nH]1 ZINC001330141118 916431580 /nfs/dbraw/zinc/43/15/80/916431580.db2.gz BWLAXOBKRXKXSK-UHFFFAOYSA-N 0 1 251.290 0.116 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1c[nH]c(C(N)=O)c1 ZINC001401663608 916444155 /nfs/dbraw/zinc/44/41/55/916444155.db2.gz BNMZCPNPTZMYLQ-MRVPVSSYSA-N 0 1 284.747 0.574 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N2C[C@@H](C)N[C@@H](C)C2)C1 ZINC001330200027 916472914 /nfs/dbraw/zinc/47/29/14/916472914.db2.gz DXLWPHSIHANPPZ-FRRDWIJNSA-N 0 1 279.384 0.620 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2ccncn2)C(C)(C)C1 ZINC001330204832 916477247 /nfs/dbraw/zinc/47/72/47/916477247.db2.gz WPHSPCKTZSCYGK-CQSZACIVSA-N 0 1 286.379 0.869 20 30 CCEDMN CC#CCN1C[C@@H]2[C@@H](CNC(=O)C(=O)C(C)(C)C)[C@@H]2C1 ZINC001330278991 916522933 /nfs/dbraw/zinc/52/29/33/916522933.db2.gz JTGONFKRRJIPRF-XYYAHUGASA-N 0 1 276.380 0.919 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)CNC(C)=O)C1CC1 ZINC001401830876 916619839 /nfs/dbraw/zinc/61/98/39/916619839.db2.gz ZKGIIDYKGFUNIH-LLVKDONJSA-N 0 1 273.764 0.359 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC001330518764 916676155 /nfs/dbraw/zinc/67/61/55/916676155.db2.gz GBQCKRYHXAYVOI-GMTAPVOTSA-N 0 1 271.336 0.355 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC001401973222 916738810 /nfs/dbraw/zinc/73/88/10/916738810.db2.gz YSLHDRMRMRUZLY-MNOVXSKESA-N 0 1 299.802 0.892 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cn(C)nn1 ZINC001402054581 916777924 /nfs/dbraw/zinc/77/79/24/916777924.db2.gz FNZRUJPMRQYYFZ-SNVBAGLBSA-N 0 1 283.763 0.762 20 30 CCEDMN CC(C)[C@@H](CCNC(=O)CN1CCCC1)NCC#N ZINC001331225838 917196917 /nfs/dbraw/zinc/19/69/17/917196917.db2.gz MVGDIBMLUWLFBS-CYBMUJFWSA-N 0 1 266.389 0.726 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)Cc2nnc(C)o2)CC1 ZINC001331246022 917216019 /nfs/dbraw/zinc/21/60/19/917216019.db2.gz OQAJFGYMWURPEZ-UHFFFAOYSA-N 0 1 276.340 0.682 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)N1CCNC[C@H]1C(C)C ZINC001331581907 917432543 /nfs/dbraw/zinc/43/25/43/917432543.db2.gz GCNKITLHJDZJBK-ZDUSSCGKSA-N 0 1 297.399 0.152 20 30 CCEDMN C#CCN(CC1CC1)C(=O)NC[C@H]1COCCN1 ZINC001331730034 917554642 /nfs/dbraw/zinc/55/46/42/917554642.db2.gz IFSNEIRNRXJCJZ-LBPRGKRZSA-N 0 1 251.330 0.030 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc2ccncc21 ZINC001331807032 917625464 /nfs/dbraw/zinc/62/54/64/917625464.db2.gz YRQHNCJXMQMEHO-CYBMUJFWSA-N 0 1 283.331 0.548 20 30 CCEDMN C=CC[C@H](NC(=O)[C@H]1CC[N@@H+](C)[C@@H](C)C1)C(=O)[O-] ZINC001331818942 917637663 /nfs/dbraw/zinc/63/76/63/917637663.db2.gz PQLGGINGJLXCQN-DCAQKATOSA-N 0 1 254.330 0.862 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1c[nH]c2ncccc12 ZINC001331836344 917651827 /nfs/dbraw/zinc/65/18/27/917651827.db2.gz RCEUWFPGSRJPIF-LLVKDONJSA-N 0 1 286.335 0.267 20 30 CCEDMN C#CCN(C)CCCNCc1ccc(S(C)(=O)=O)o1 ZINC001331933552 917729424 /nfs/dbraw/zinc/72/94/24/917729424.db2.gz QCLGBHJILUIWBC-UHFFFAOYSA-N 0 1 284.381 0.728 20 30 CCEDMN C#CCN(CC1CC1)C(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001332209914 917959544 /nfs/dbraw/zinc/95/95/44/917959544.db2.gz WWZDFTNWCWNSRM-CYBMUJFWSA-N 0 1 278.352 0.655 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](O)CN(C)C/C=C/Cl ZINC001403899974 918100618 /nfs/dbraw/zinc/10/06/18/918100618.db2.gz DBZDBUNUJNSPPF-ZJRFNNFUSA-N 0 1 273.764 0.650 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](O)CN(C)Cc1cccnc1 ZINC001403895756 918096835 /nfs/dbraw/zinc/09/68/35/918096835.db2.gz MYEWLZWYRSYSCB-OCCSQVGLSA-N 0 1 290.367 0.492 20 30 CCEDMN CCCN(CCNC(=O)c1ccc(C#N)[nH]1)[C@H](C)C(N)=O ZINC001404119526 918211500 /nfs/dbraw/zinc/21/15/00/918211500.db2.gz FNHDYSGTHAJMRG-SNVBAGLBSA-N 0 1 291.355 0.202 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCN(C)C(C)(C)C1 ZINC001332488971 918211449 /nfs/dbraw/zinc/21/14/49/918211449.db2.gz YMYUXHPFIMFUSL-UHFFFAOYSA-N 0 1 250.350 0.780 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@@H]1CCOC1 ZINC001332619129 918320805 /nfs/dbraw/zinc/32/08/05/918320805.db2.gz NJTIEVRHXUEGQA-UONOGXRCSA-N 0 1 282.384 0.187 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@H]1CCCOC1 ZINC001332619363 918322852 /nfs/dbraw/zinc/32/28/52/918322852.db2.gz OEGQKOIUEBHCME-KBPBESRZSA-N 0 1 282.384 0.187 20 30 CCEDMN N#CCCN1CCN(C[C@@H]2C[C@@H]3COC[C@H]3O2)CC1 ZINC001332827782 918461386 /nfs/dbraw/zinc/46/13/86/918461386.db2.gz BNFKTLVKSHBOOE-HZSPNIEDSA-N 0 1 265.357 0.322 20 30 CCEDMN C=CCN(CCNC(=O)[C@H]1CCCC(=O)N1C)CCOC ZINC001332927263 918536247 /nfs/dbraw/zinc/53/62/47/918536247.db2.gz DXLQGHLCOQSVDJ-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@H]1C[C@@H](C)[N@H+](C2CC2)C1)C(=O)[O-] ZINC001333318016 918798925 /nfs/dbraw/zinc/79/89/25/918798925.db2.gz OBSFSWHXAZJDOZ-SCVCMEIPSA-N 0 1 281.356 0.940 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccn(C)c(=O)c1 ZINC001406375546 919224452 /nfs/dbraw/zinc/22/44/52/919224452.db2.gz BYIXDRZMDLUNMF-SNVBAGLBSA-N 0 1 283.759 0.846 20 30 CCEDMN CN1CCN(C2CN(C(=O)C#CC(C)(C)C)C2)CC1 ZINC001334140840 919389606 /nfs/dbraw/zinc/38/96/06/919389606.db2.gz UGLJHPIDRXCPPU-UHFFFAOYSA-N 0 1 263.385 0.494 20 30 CCEDMN COCC#CC(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC001334231736 919445444 /nfs/dbraw/zinc/44/54/44/919445444.db2.gz WJENZIMZTCIZFW-UHFFFAOYSA-N 0 1 259.269 0.399 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cnn(C)n2)CCC1 ZINC001407813493 919904777 /nfs/dbraw/zinc/90/47/77/919904777.db2.gz WRDMYXAMFDOYJD-UHFFFAOYSA-N 0 1 283.763 0.810 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1c[nH]c2cnccc12 ZINC001335075439 919937438 /nfs/dbraw/zinc/93/74/38/919937438.db2.gz LPPDFPSNNCTLBV-UHFFFAOYSA-N 0 1 270.336 0.787 20 30 CCEDMN Cc1nn(C)cc1CCC(=O)NCC#CCN(C)C ZINC001335076660 919938412 /nfs/dbraw/zinc/93/84/12/919938412.db2.gz XQIUVAUBTRZILE-UHFFFAOYSA-N 0 1 262.357 0.342 20 30 CCEDMN N#CCC[C@@H](C#N)CNC[C@@]1(OCCO)CCOC1 ZINC001335452936 920204591 /nfs/dbraw/zinc/20/45/91/920204591.db2.gz RBMQRUJUHXWHLT-STQMWFEESA-N 0 1 267.329 0.188 20 30 CCEDMN Cc1nc([C@@H](C)N2CCN(C(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001415110275 920597917 /nfs/dbraw/zinc/59/79/17/920597917.db2.gz JWFJAJWIGUWIBX-VHSXEESVSA-N 0 1 276.344 0.478 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCCNC(=O)Cc1cnc[nH]1 ZINC001336321712 920718693 /nfs/dbraw/zinc/71/86/93/920718693.db2.gz YLNIZGCHCFHORW-QWRGUYRKSA-N 0 1 291.355 0.513 20 30 CCEDMN C[C@H](Nc1[n-]c(=O)n(C)c(=O)c1C#N)C1=CC[N@@H+](C)CC1 ZINC001336571476 920845968 /nfs/dbraw/zinc/84/59/68/920845968.db2.gz YYZAVYSSCRQGMT-VIFPVBQESA-N 0 1 289.339 0.008 20 30 CCEDMN C#CCNCC(=O)NCCCS(=O)(=O)c1ccccc1 ZINC001339877000 921863311 /nfs/dbraw/zinc/86/33/11/921863311.db2.gz XHHBROHNOFEVJN-UHFFFAOYSA-N 0 1 294.376 0.189 20 30 CCEDMN Cc1cc(NC(=O)CN[C@H](C)CNC(=O)[C@@H](C)C#N)on1 ZINC001418217359 921927032 /nfs/dbraw/zinc/92/70/32/921927032.db2.gz WBYYXWDLECCBEI-WCBMZHEXSA-N 0 1 293.327 0.176 20 30 CCEDMN Cc1cc(NC(=O)CN[C@@H](C)CNC(=O)[C@H](C)C#N)no1 ZINC001418216201 921929145 /nfs/dbraw/zinc/92/91/45/921929145.db2.gz SDCSWNGXFHEAPS-BDAKNGLRSA-N 0 1 293.327 0.176 20 30 CCEDMN C#CCNCC(=O)N(CCOC)Cc1cccnc1 ZINC001340197468 922004265 /nfs/dbraw/zinc/00/42/65/922004265.db2.gz ZTWOIFKZJFSGCM-UHFFFAOYSA-N 0 1 261.325 0.279 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnn(C2CCC2)c1 ZINC001340267344 922033688 /nfs/dbraw/zinc/03/36/88/922033688.db2.gz RXCVHDGJNUEIMA-SKDRFNHKSA-N 0 1 259.313 0.449 20 30 CCEDMN N#Cc1ccc(C2(C(=O)NCc3n[nH]c(CO)n3)CC2)cc1 ZINC001418529315 922226923 /nfs/dbraw/zinc/22/69/23/922226923.db2.gz NMATYSDGSTWUCO-UHFFFAOYSA-N 0 1 297.318 0.517 20 30 CCEDMN N#Cc1ccc(C2(C(=O)NCc3nnc(CO)[nH]3)CC2)cc1 ZINC001418529315 922226937 /nfs/dbraw/zinc/22/69/37/922226937.db2.gz NMATYSDGSTWUCO-UHFFFAOYSA-N 0 1 297.318 0.517 20 30 CCEDMN CCCn1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1C ZINC001340906844 922268230 /nfs/dbraw/zinc/26/82/30/922268230.db2.gz FHGJGDAHCLKTBP-ZYHUDNBSSA-N 0 1 261.329 0.443 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCOc2c(OC)cccc21 ZINC001341268956 922403805 /nfs/dbraw/zinc/40/38/05/922403805.db2.gz CPTMFGNGXZPILH-LBPRGKRZSA-N 0 1 274.320 0.858 20 30 CCEDMN C#CCNCC(=O)N1CCCN(CC(F)(F)F)CC1 ZINC001341643329 922601601 /nfs/dbraw/zinc/60/16/01/922601601.db2.gz BTUMWSKJOBXVGU-UHFFFAOYSA-N 0 1 277.290 0.306 20 30 CCEDMN CC(C)c1nnsc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC001341748575 922654351 /nfs/dbraw/zinc/65/43/51/922654351.db2.gz QNFBWVQKZZUQNB-YUMQZZPRSA-N 0 1 265.342 0.503 20 30 CCEDMN N#CC[C@@H](O)CN1CCC2(CC1)CC(=O)C=CO2 ZINC001342231005 922870731 /nfs/dbraw/zinc/87/07/31/922870731.db2.gz AFEFQBMGJAJNNH-GFCCVEGCSA-N 0 1 250.298 0.599 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)[C@@H](C)CN2CCOCC2)C1 ZINC001342278745 922891801 /nfs/dbraw/zinc/89/18/01/922891801.db2.gz KOYMOIWAFDIEHD-UONOGXRCSA-N 0 1 282.384 0.758 20 30 CCEDMN C#CCNCC(=O)N[C@H]([C@H]1CCOC1)C(F)(F)F ZINC001342696714 923057558 /nfs/dbraw/zinc/05/75/58/923057558.db2.gz GCWHJBSVIQLOCN-WCBMZHEXSA-N 0 1 264.247 0.293 20 30 CCEDMN C#CCNCC(=O)N[C@@H]([C@H]1CCOC1)C(F)(F)F ZINC001342696716 923058234 /nfs/dbraw/zinc/05/82/34/923058234.db2.gz GCWHJBSVIQLOCN-WPRPVWTQSA-N 0 1 264.247 0.293 20 30 CCEDMN CCn1nc(C)c(CN[C@H](C)CCNC(=O)[C@H](C)C#N)n1 ZINC001420068003 923282576 /nfs/dbraw/zinc/28/25/76/923282576.db2.gz NLFUMBRNNCZFKP-GHMZBOCLSA-N 0 1 292.387 0.751 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cccnc1OC ZINC001420153222 923351653 /nfs/dbraw/zinc/35/16/53/923351653.db2.gz ZSPROFZDJIUVFB-JTQLQIEISA-N 0 1 299.758 0.523 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)CC2(C#N)CC2)C1 ZINC001343917277 923503013 /nfs/dbraw/zinc/50/30/13/923503013.db2.gz STPWEUOIVVKLQZ-LLVKDONJSA-N 0 1 250.346 0.042 20 30 CCEDMN CCN(CCNC(=O)[C@H](C)C#N)[C@H]1CCCN(C)C1=O ZINC001420373346 923591558 /nfs/dbraw/zinc/59/15/58/923591558.db2.gz ZKNSVMYNMXEHAG-NEPJUHHUSA-N 0 1 280.372 0.205 20 30 CCEDMN Cc1nccnc1CN1CC[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001420514557 923729954 /nfs/dbraw/zinc/72/99/54/923729954.db2.gz JDJIPKMZWLSCLI-WCQYABFASA-N 0 1 287.367 0.883 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)Cn1cncn1)C1CC1 ZINC001420783410 923929897 /nfs/dbraw/zinc/92/98/97/923929897.db2.gz HCGWDHRPGPVMLK-NSHDSACASA-N 0 1 283.763 0.515 20 30 CCEDMN CC#CCCNC(=O)N[C@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC001345858181 923995874 /nfs/dbraw/zinc/99/58/74/923995874.db2.gz SAAQQTRUYVPRLP-OLZOCXBDSA-N 0 1 266.389 0.333 20 30 CCEDMN CC(C)[C@H](C)NC(=O)CNC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001420920198 924023101 /nfs/dbraw/zinc/02/31/01/924023101.db2.gz KMRGRXCJVUMJKH-NEPJUHHUSA-N 0 1 294.399 0.545 20 30 CCEDMN CC(C)[C@H](C)NC(=O)CNC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001420920203 924025013 /nfs/dbraw/zinc/02/50/13/924025013.db2.gz KMRGRXCJVUMJKH-RYUDHWBXSA-N 0 1 294.399 0.545 20 30 CCEDMN N#C[C@H](C(=O)CCc1nc[nH]n1)C(=O)NC1CCCC1 ZINC001346038740 924053901 /nfs/dbraw/zinc/05/39/01/924053901.db2.gz FXXIKJXFNYOVHM-SNVBAGLBSA-N 0 1 275.312 0.505 20 30 CCEDMN CCC1(CC(=O)C(C#N)C(=O)NC2CC2)COC1 ZINC001346240409 924128199 /nfs/dbraw/zinc/12/81/99/924128199.db2.gz TXRUSHXUTPVWNF-JTQLQIEISA-N 0 1 250.298 0.791 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001346284886 924144484 /nfs/dbraw/zinc/14/44/84/924144484.db2.gz YYHGBWDATBDXMW-JHJVBQTASA-N 0 1 264.373 0.383 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N[C@H]1CCCN(C)C1 ZINC001346402699 924190692 /nfs/dbraw/zinc/19/06/92/924190692.db2.gz GKTAFTAKSAAJPF-NEPJUHHUSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001347198458 924394806 /nfs/dbraw/zinc/39/48/06/924394806.db2.gz OPBKMKPIRRGVAY-SECBINFHSA-N 0 1 251.290 0.108 20 30 CCEDMN C#CCCCC(=O)NCCCN1CCN(CC#C)CC1 ZINC001479918604 924834790 /nfs/dbraw/zinc/83/47/90/924834790.db2.gz MZYPAGUPCHMRLW-UHFFFAOYSA-N 0 1 275.396 0.547 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001349770089 925050357 /nfs/dbraw/zinc/05/03/57/925050357.db2.gz CBQKNLCAECXUCA-NSHDSACASA-N 0 1 264.325 0.153 20 30 CCEDMN C#CCN1CCC(OC(=O)c2nnn(C(C)(C)C)n2)CC1 ZINC001349770178 925051073 /nfs/dbraw/zinc/05/10/73/925051073.db2.gz GUVNLCXMGAJBQM-UHFFFAOYSA-N 0 1 291.355 0.683 20 30 CCEDMN CC(=NNCCN1CCCCC1)[C@H]1COCCO1 ZINC001349824415 925071475 /nfs/dbraw/zinc/07/14/75/925071475.db2.gz RSMNNBWBZZITHH-CYBMUJFWSA-N 0 1 255.362 0.853 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](CC=C)C(=O)OC)CC1 ZINC001349959695 925105475 /nfs/dbraw/zinc/10/54/75/925105475.db2.gz BOCGEAIBUKMQON-ZDUSSCGKSA-N 0 1 279.336 0.993 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@H]1CC[N@@H+](CC2CC2)C1)C(=O)[O-] ZINC001350758788 925271795 /nfs/dbraw/zinc/27/17/95/925271795.db2.gz KXWJOZZZZGPSOI-RYUDHWBXSA-N 0 1 281.356 0.799 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)N(C)Cc2cnc[nH]2)C1 ZINC001351446296 925419906 /nfs/dbraw/zinc/41/99/06/925419906.db2.gz WZKPGSLFWIATGX-NSHDSACASA-N 0 1 276.340 0.793 20 30 CCEDMN C#CCONC(=O)C1(CNC(=O)OC(C)(C)C)CC(O)C1 ZINC001351793103 925473163 /nfs/dbraw/zinc/47/31/63/925473163.db2.gz ADFVYFSJMGVIQX-UHFFFAOYSA-N 0 1 298.339 0.333 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN(C)C(=O)Cc1ccn[nH]1 ZINC001351874420 925488558 /nfs/dbraw/zinc/48/85/58/925488558.db2.gz QYZLWUZOASEPJQ-JTQLQIEISA-N 0 1 277.328 0.077 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@H](C)NC(N)=O ZINC001480459686 925776711 /nfs/dbraw/zinc/77/67/11/925776711.db2.gz MWFJDNHKEQSZAE-DTWKUNHWSA-N 0 1 276.768 0.280 20 30 CCEDMN C#CCNCC(=O)NCCOc1ccc(C(=O)OC)cc1 ZINC001353311005 925791121 /nfs/dbraw/zinc/79/11/21/925791121.db2.gz BHIUGAROGIABQL-UHFFFAOYSA-N 0 1 290.319 0.191 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)C[C@@H](O)c1ccccc1 ZINC001353394522 925820802 /nfs/dbraw/zinc/82/08/02/925820802.db2.gz TVASEERTNKFCRU-TZMCWYRMSA-N 0 1 260.337 0.838 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC[C@@H](C)[S@](C)=O)CC1 ZINC001353446956 925836899 /nfs/dbraw/zinc/83/68/99/925836899.db2.gz ATXOQFZLPYAWPW-ODXCJYRJSA-N 0 1 299.440 0.540 20 30 CCEDMN C=CCOC[C@H](NC(=O)N[C@@H]1CC[N@@H+](C)[C@@H](C)C1)C(=O)[O-] ZINC001354041536 925991749 /nfs/dbraw/zinc/99/17/49/925991749.db2.gz PPLWIRBWYUWLPO-TUAOUCFPSA-N 0 1 299.371 0.424 20 30 CCEDMN C=C(Cl)CNC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001354040964 925992110 /nfs/dbraw/zinc/99/21/10/925992110.db2.gz ZNYPCPKDYIOUNJ-VIFPVBQESA-N 0 1 260.721 0.652 20 30 CCEDMN C=C(Cl)CNC(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001354040964 925992116 /nfs/dbraw/zinc/99/21/16/925992116.db2.gz ZNYPCPKDYIOUNJ-VIFPVBQESA-N 0 1 260.721 0.652 20 30 CCEDMN COCC#CC(=O)N1CCN(C)[C@@H](C(C)(C)C)C1 ZINC001354080227 926008733 /nfs/dbraw/zinc/00/87/33/926008733.db2.gz HJUMWLZIRWSSSC-GFCCVEGCSA-N 0 1 252.358 0.825 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001354086488 926011143 /nfs/dbraw/zinc/01/11/43/926011143.db2.gz PUXDOCKEGOBNRQ-HMUNZLOLSA-N 0 1 293.323 0.191 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001354344774 926091648 /nfs/dbraw/zinc/09/16/48/926091648.db2.gz PAVANSNQFBQGMH-SNVBAGLBSA-N 0 1 277.328 0.233 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001354344774 926091654 /nfs/dbraw/zinc/09/16/54/926091654.db2.gz PAVANSNQFBQGMH-SNVBAGLBSA-N 0 1 277.328 0.233 20 30 CCEDMN C=C[C@](C)(O)c1cn(Cc2[nH]nc3c2COCC3)nn1 ZINC001355414965 926256475 /nfs/dbraw/zinc/25/64/75/926256475.db2.gz NZXJMEHCVJCOGH-ZDUSSCGKSA-N 0 1 275.312 0.516 20 30 CCEDMN C=C(C)CCC(=O)N(C)CCNC(=O)Cc1n[nH]c(C)n1 ZINC001355591828 926288995 /nfs/dbraw/zinc/28/89/95/926288995.db2.gz IMBHHKVJTBVMNQ-UHFFFAOYSA-N 0 1 293.371 0.587 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@H]([C@H]2CCOC2)C1 ZINC001355650735 926296681 /nfs/dbraw/zinc/29/66/81/926296681.db2.gz IWDUFBPZXIVLEW-KBPBESRZSA-N 0 1 264.369 0.874 20 30 CCEDMN C=CCCOCC(=O)NCCN(C)C(=O)c1cnc(C)[nH]1 ZINC001355692585 926303767 /nfs/dbraw/zinc/30/37/67/926303767.db2.gz YSBSCLRSACIHNS-UHFFFAOYSA-N 0 1 294.355 0.499 20 30 CCEDMN CC#CCCCC(=O)NCCN(C)C(=O)Cc1c[nH]cn1 ZINC001355831105 926317147 /nfs/dbraw/zinc/31/71/47/926317147.db2.gz ICVCFBVCAUIFQB-UHFFFAOYSA-N 0 1 290.367 0.720 20 30 CCEDMN Cc1cnc(COCC(=O)C(C#N)C(=O)NC2CC2)o1 ZINC001356088118 926342099 /nfs/dbraw/zinc/34/20/99/926342099.db2.gz PRHLDTPJLBUAAD-SNVBAGLBSA-N 0 1 277.280 0.487 20 30 CCEDMN CCO[C@@H]1[C@H](C)[C@@H]1C(=O)C(C#N)C(=O)NC(C)C ZINC001356276004 926364422 /nfs/dbraw/zinc/36/44/22/926364422.db2.gz PLJACVHXUBZBEG-DTHBNOIPSA-N 0 1 252.314 0.891 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CC(NC(=O)c2[nH]ncc2F)C1 ZINC001356791072 926441629 /nfs/dbraw/zinc/44/16/29/926441629.db2.gz RQOAEZKMLKZNBC-UHFFFAOYSA-N 0 1 292.314 0.539 20 30 CCEDMN C#CCNCC(=O)N1CCc2c(cnn2-c2ccccc2)C1 ZINC001357313057 926509947 /nfs/dbraw/zinc/50/99/47/926509947.db2.gz BSPOPKHFQUELSG-UHFFFAOYSA-N 0 1 294.358 0.980 20 30 CCEDMN C#CCNCC(=O)NCC(C)(C)[C@@H]1CCCCO1 ZINC001357776706 926595535 /nfs/dbraw/zinc/59/55/35/926595535.db2.gz NSEVLECGJFLDDU-LBPRGKRZSA-N 0 1 252.358 0.921 20 30 CCEDMN N#Cc1cc(N2CC(c3[nH]nc4c3COCC4)C2)ncn1 ZINC001421315603 926669601 /nfs/dbraw/zinc/66/96/01/926669601.db2.gz JYMCVCMJDMRDOK-UHFFFAOYSA-N 0 1 282.307 0.748 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001358319494 926673128 /nfs/dbraw/zinc/67/31/28/926673128.db2.gz YBRUIBDVPJFYMC-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001358319494 926673137 /nfs/dbraw/zinc/67/31/37/926673137.db2.gz YBRUIBDVPJFYMC-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001421392491 926723792 /nfs/dbraw/zinc/72/37/92/926723792.db2.gz MRISWNREUWFTGC-MNOVXSKESA-N 0 1 287.791 0.750 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2cc(C)c(C#N)cn2)C1 ZINC001413898101 926746069 /nfs/dbraw/zinc/74/60/69/926746069.db2.gz YPLIOJLNZJPFEX-CYBMUJFWSA-N 0 1 288.351 0.803 20 30 CCEDMN C=CCCC(=O)NC1(CNC(=O)CN2CCCC2)CC1 ZINC001358663725 926783466 /nfs/dbraw/zinc/78/34/66/926783466.db2.gz QWTJWUPKWZAROW-UHFFFAOYSA-N 0 1 279.384 0.813 20 30 CCEDMN Cc1nc([C@@H](C)N2C[C@H]3CS(=O)(=O)C[C@@]3(C#N)C2)n[nH]1 ZINC001414056577 926903824 /nfs/dbraw/zinc/90/38/24/926903824.db2.gz IHUIJGWYSXHMFN-UBHAPETDSA-N 0 1 295.368 0.044 20 30 CCEDMN C[C@H](C(=O)NC1CC1)N(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001421844577 926938707 /nfs/dbraw/zinc/93/87/07/926938707.db2.gz YIRAOVRDLIMUIT-NWDGAFQWSA-N 0 1 294.399 0.593 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H](C)CNCc1cc2n(n1)CCC2 ZINC001421874609 926964079 /nfs/dbraw/zinc/96/40/79/926964079.db2.gz RIIBKOXFLAXYPN-VXGBXAGGSA-N 0 1 289.383 0.925 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CNC(=O)CC)C[C@H]1C ZINC001422050466 927051854 /nfs/dbraw/zinc/05/18/54/927051854.db2.gz AUVOBWYTCGFCES-KOLCDFICSA-N 0 1 287.791 0.702 20 30 CCEDMN N#CCc1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC001361440225 927061667 /nfs/dbraw/zinc/06/16/67/927061667.db2.gz YXVIDSQFXDNBTM-UHFFFAOYSA-N 0 1 270.252 0.222 20 30 CCEDMN CN(C(=O)[C@@](C)(C#N)CC(=O)OC(C)(C)C)c1nn[nH]n1 ZINC001361667515 927233402 /nfs/dbraw/zinc/23/34/02/927233402.db2.gz RQVUVUNRKHSQCH-GFCCVEGCSA-N 0 1 294.315 0.424 20 30 CCEDMN N#Cc1ccc(CNC(=O)CCCc2nn[nH]n2)c(F)c1 ZINC001362055843 927563428 /nfs/dbraw/zinc/56/34/28/927563428.db2.gz DGMPRWLXSPKAPO-UHFFFAOYSA-N 0 1 288.286 0.850 20 30 CCEDMN N#Cc1ccc(CNC(=O)CCc2nn[n-]n2)c(F)c1 ZINC001362137447 927652167 /nfs/dbraw/zinc/65/21/67/927652167.db2.gz KYSXXPCNJNIBEP-UHFFFAOYSA-N 0 1 274.259 0.459 20 30 CCEDMN N#Cc1ccc(CNC(=O)CCc2nn[nH]n2)c(F)c1 ZINC001362137447 927652177 /nfs/dbraw/zinc/65/21/77/927652177.db2.gz KYSXXPCNJNIBEP-UHFFFAOYSA-N 0 1 274.259 0.459 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)Cc1nnc(C)[nH]1 ZINC001423451069 927866644 /nfs/dbraw/zinc/86/66/44/927866644.db2.gz HUSHAHVIOWVKJI-NSHDSACASA-N 0 1 297.790 0.989 20 30 CCEDMN CCOC(=O)c1cc(NC(=O)[C@]2(C#N)CCCOC2)n[nH]1 ZINC001362453410 928037582 /nfs/dbraw/zinc/03/75/82/928037582.db2.gz TVUAGPCFUFJDEM-ZDUSSCGKSA-N 0 1 292.295 0.845 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)co1 ZINC001362563801 928182075 /nfs/dbraw/zinc/18/20/75/928182075.db2.gz SPKIWTDUQHCZNP-QMMMGPOBSA-N 0 1 272.268 0.684 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)C(=O)Cc1c[nH]cn1 ZINC001362601589 928232420 /nfs/dbraw/zinc/23/24/20/928232420.db2.gz NNUKBUKWPJHQTE-UHFFFAOYSA-N 0 1 287.327 0.220 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1CN(C)C(=O)N1 ZINC001425607378 928605728 /nfs/dbraw/zinc/60/57/28/928605728.db2.gz ZRRKUVNRCVCQPN-NXEZZACHSA-N 0 1 288.779 0.199 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)c2cc(C)[nH]n2)CC1 ZINC001362913777 928645445 /nfs/dbraw/zinc/64/54/45/928645445.db2.gz JJTCVYMRSMIEPK-UHFFFAOYSA-N 0 1 276.296 0.637 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](CNC(=O)[C@@H]2CCCN2C)C1 ZINC001426848309 928930673 /nfs/dbraw/zinc/93/06/73/928930673.db2.gz VFJLYUSVSNBXGF-RVMXOQNASA-N 0 1 292.383 0.251 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CCNC(=O)C3)[nH]c2c1 ZINC001363168193 928939699 /nfs/dbraw/zinc/93/96/99/928939699.db2.gz MFXMKGSGTDJRPP-SECBINFHSA-N 0 1 283.291 0.899 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)[C@H](C)C#N)CCN1C(=O)c1ccn[nH]1 ZINC001426980206 928950561 /nfs/dbraw/zinc/95/05/61/928950561.db2.gz XBBNXLKCQVIIDY-JFGNBEQYSA-N 0 1 289.339 0.679 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CC[C@@H](c2nn[n-]n2)C1 ZINC001363215963 928983004 /nfs/dbraw/zinc/98/30/04/928983004.db2.gz FJFVJSCKHOAKPQ-MRVPVSSYSA-N 0 1 272.268 0.603 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001363215963 928983010 /nfs/dbraw/zinc/98/30/10/928983010.db2.gz FJFVJSCKHOAKPQ-MRVPVSSYSA-N 0 1 272.268 0.603 20 30 CCEDMN C[C@@H]1CN(Cc2cc(C#N)ccn2)[C@H](C)CN1CCO ZINC001363267820 929050741 /nfs/dbraw/zinc/05/07/41/929050741.db2.gz SZXRQVMNLDISLT-CHWSQXEVSA-N 0 1 274.368 0.840 20 30 CCEDMN N#CCc1ccccc1C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001363586480 929391081 /nfs/dbraw/zinc/39/10/81/929391081.db2.gz NEQGNXRZHNRDKF-ZDUSSCGKSA-N 0 1 270.292 0.939 20 30 CCEDMN CC[N@H+]1CCCC[C@@H]1C(=O)Nc1nc(C#N)c(C#N)[n-]1 ZINC001363707462 929507632 /nfs/dbraw/zinc/50/76/32/929507632.db2.gz YLONXUBEEUMPTB-LLVKDONJSA-N 0 1 272.312 0.966 20 30 CCEDMN COCC(COC)N1CCN([C@H]2CC[C@@H](C#N)C2)CC1 ZINC001363796060 929583530 /nfs/dbraw/zinc/58/35/30/929583530.db2.gz AIFSIMNQTLAJEM-KGLIPLIRSA-N 0 1 281.400 0.958 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C(N)=O)ccn1 ZINC001445667899 930204008 /nfs/dbraw/zinc/20/40/08/930204008.db2.gz VJUXRENODTXJGZ-VIFPVBQESA-N 0 1 296.758 0.641 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](CNCc1cnns1)C1CC1 ZINC001445930612 930290470 /nfs/dbraw/zinc/29/04/70/930290470.db2.gz DCAVVLQPQIUAFV-LDYMZIIASA-N 0 1 279.369 0.682 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CCC(=O)NC1 ZINC001373768460 930339197 /nfs/dbraw/zinc/33/91/97/930339197.db2.gz DDWVGCHWXDBPMH-QWRGUYRKSA-N 0 1 287.791 0.750 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)Cc2nnc(C)o2)CC1 ZINC001446158447 930355523 /nfs/dbraw/zinc/35/55/23/930355523.db2.gz GHTJJKGXVXMOBK-UHFFFAOYSA-N 0 1 284.747 0.911 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CC[C@H](NCc2cn(C)nn2)CC1 ZINC001373913974 930370820 /nfs/dbraw/zinc/37/08/20/930370820.db2.gz CEFFFWQGDPWXRO-IJLUTSLNSA-N 0 1 290.371 0.492 20 30 CCEDMN C=C(Cl)CN1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC001374066629 930414857 /nfs/dbraw/zinc/41/48/57/930414857.db2.gz XDSMXTBIQBKQTR-UHFFFAOYSA-N 0 1 283.763 0.634 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](C)NC(C)=O ZINC001374194936 930442679 /nfs/dbraw/zinc/44/26/79/930442679.db2.gz LERLVRCTGCBRCP-VHSXEESVSA-N 0 1 275.780 0.700 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1c(C)noc1C ZINC001374583790 930572379 /nfs/dbraw/zinc/57/23/79/930572379.db2.gz RUTUZJCSEOWGMA-SNVBAGLBSA-N 0 1 287.747 0.724 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](O)CNCc1ccccc1C#N ZINC001374587080 930575651 /nfs/dbraw/zinc/57/56/51/930575651.db2.gz BGDUUAZGUWGLLC-RISCZKNCSA-N 0 1 286.335 0.285 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](O)CNCc1ccccc1C#N ZINC001374587078 930576585 /nfs/dbraw/zinc/57/65/85/930576585.db2.gz BGDUUAZGUWGLLC-BXUZGUMPSA-N 0 1 286.335 0.285 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1nccnc1C(N)=O ZINC001374972656 930739494 /nfs/dbraw/zinc/73/94/94/930739494.db2.gz MDCRSRGEKZYNBB-QMMMGPOBSA-N 0 1 297.746 0.036 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CS(=O)(=O)CCC ZINC001374978356 930744206 /nfs/dbraw/zinc/74/42/06/930744206.db2.gz HMVLVWIZCFYEHC-JTQLQIEISA-N 0 1 296.820 0.658 20 30 CCEDMN Cc1nn(C)cc1CN(C)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001447996672 930777739 /nfs/dbraw/zinc/77/77/39/930777739.db2.gz SITUFWNZXKGUIX-GHMZBOCLSA-N 0 1 277.372 0.825 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@@H](CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001375485434 930897957 /nfs/dbraw/zinc/89/79/57/930897957.db2.gz VFYXXCLXWKXBNL-IEBDPFPHSA-N 0 1 276.344 0.257 20 30 CCEDMN Cc1nn(C)cc1CN1CC[C@@](C)(NC(=O)[C@H](C)C#N)C1 ZINC001375817211 930981991 /nfs/dbraw/zinc/98/19/91/930981991.db2.gz AVIKLQVHRJFNJN-IAQYHMDHSA-N 0 1 289.383 0.969 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cnc[nH]c1=O ZINC001376756450 931261462 /nfs/dbraw/zinc/26/14/62/931261462.db2.gz XHHIDLMCWPWLJX-QMMMGPOBSA-N 0 1 270.720 0.643 20 30 CCEDMN N#Cc1ncn(-c2ccccc2NC(=O)Cc2nc[nH]n2)n1 ZINC001450841023 931346646 /nfs/dbraw/zinc/34/66/46/931346646.db2.gz MKQBEPKFDLPBJO-UHFFFAOYSA-N 0 1 294.278 0.438 20 30 CCEDMN N#CC1(NC(=O)C[N@@H+]2CCCC[C@H]2CC(=O)[O-])CCC1 ZINC001601056876 970924675 /nfs/dbraw/zinc/92/46/75/970924675.db2.gz COUZNMUNKQCURI-NSHDSACASA-N 0 1 279.340 0.878 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@@]1(C(=O)[O-])CC1(C)C ZINC001602679841 971262357 /nfs/dbraw/zinc/26/23/57/971262357.db2.gz WXTMIUODIZYSNL-CQSZACIVSA-N 0 1 266.341 0.559 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](Cc2c(C(=O)[O-])cnn2C)C1 ZINC001602723272 971395245 /nfs/dbraw/zinc/39/52/45/971395245.db2.gz YBYAFQTXPQXGHK-SNVBAGLBSA-N 0 1 265.313 0.895 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000306035794 950591297 /nfs/dbraw/zinc/59/12/97/950591297.db2.gz MFNPMKAMPXCGRL-DCAQKATOSA-N 0 1 254.330 0.720 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1C[C@@H](C)C[C@H](C(=O)[O-])C1 ZINC000398941059 951623774 /nfs/dbraw/zinc/62/37/74/951623774.db2.gz VYWPGEJBWDVMCR-UWVGGRQHSA-N 0 1 283.328 0.041 20 30 CCEDMN C[C@H](C[N@@H+](C)C[C@@H](O)CC1(C#N)CCOCC1)C(=O)[O-] ZINC001589032414 955525127 /nfs/dbraw/zinc/52/51/27/955525127.db2.gz AJBQIASZWNLPBJ-NEPJUHHUSA-N 0 1 284.356 0.710 20 30 CCEDMN C[C@H](C[N@@H+](C)[C@H](C)C(=O)NC1(C#N)CCC1)C(=O)[O-] ZINC001589032870 955530552 /nfs/dbraw/zinc/53/05/52/955530552.db2.gz QRMULJYXMVCOOL-NXEZZACHSA-N 0 1 267.329 0.590 20 30 CCEDMN N#C[C@@H]1CC[C@H]([NH2+]C2CCN(CC(=O)[O-])CC2)C1 ZINC001594606081 956177349 /nfs/dbraw/zinc/17/73/49/956177349.db2.gz QAMFJLVLHYOMNP-PWSUYJOCSA-N 0 1 251.330 0.817 20 30 CCEDMN C[C@@H](C[N@@H+](C)CC(=O)NCc1ccc(C#N)cc1)C(=O)[O-] ZINC001603156002 972257235 /nfs/dbraw/zinc/25/72/35/972257235.db2.gz RBRQGMBHSGNLPD-NSHDSACASA-N 0 1 289.335 0.827 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H](C(=O)[O-])C2CC2)CC1 ZINC001588390872 958015906 /nfs/dbraw/zinc/01/59/06/958015906.db2.gz PNXLIAOIVJPMIA-LBPRGKRZSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)[C@H]1CC[C@H]1C(=O)[O-] ZINC001588430110 958253787 /nfs/dbraw/zinc/25/37/87/958253787.db2.gz IECGFAHHCAUIAG-TUAOUCFPSA-N 0 1 265.309 0.738 20 30 CCEDMN C=C[C@H]1CCCC[N@@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC001588451799 958415603 /nfs/dbraw/zinc/41/56/03/958415603.db2.gz BBENGPCOSIZBPO-QWRGUYRKSA-N 0 1 252.314 0.712 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001573317815 958456118 /nfs/dbraw/zinc/45/61/18/958456118.db2.gz GKHCITXFOZXKEO-CHWSQXEVSA-N 0 1 296.367 0.328 20 30 CCEDMN N#CC1(C[C@H](O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)CC1 ZINC001574480088 961658548 /nfs/dbraw/zinc/65/85/48/961658548.db2.gz BIDGTRWAKXIFEX-MNOVXSKESA-N 0 1 252.314 0.838 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CCN(c2[nH]cc[nH+]2)CC1 ZINC001573332953 962846530 /nfs/dbraw/zinc/84/65/30/962846530.db2.gz UPQNFUYANVPGFC-UHFFFAOYSA-N 0 1 278.312 0.479 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC001609696225 970455135 /nfs/dbraw/zinc/45/51/35/970455135.db2.gz CYCABMYUBMVHFX-UWVGGRQHSA-N 0 1 265.313 0.344 20 30 CCEDMN CC(C)OC[C@@H](C(=O)[O-])[N@H+](C)CCO[C@H](C)C#N ZINC001591712817 975611981 /nfs/dbraw/zinc/61/19/81/975611981.db2.gz YNNSTORPXOQEBB-MNOVXSKESA-N 0 1 258.318 0.725 20 30 CCEDMN CC(C)OC[C@@H](C(=O)[O-])[N@@H+](C)CCO[C@H](C)C#N ZINC001591712817 975611984 /nfs/dbraw/zinc/61/19/84/975611984.db2.gz YNNSTORPXOQEBB-MNOVXSKESA-N 0 1 258.318 0.725 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC001594777718 981770008 /nfs/dbraw/zinc/77/00/08/981770008.db2.gz DBZSYPSIVDOWRY-GFCCVEGCSA-N 0 1 253.302 0.155 20 30 CCEDMN C#CC[N@@H+](CC1CC1)[C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001588468178 983500393 /nfs/dbraw/zinc/50/03/93/983500393.db2.gz QKQWJKADVQWEKF-CYBMUJFWSA-N 0 1 278.352 0.797 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)C12CC(C(=O)[O-])(C1)C2 ZINC001588477022 983514133 /nfs/dbraw/zinc/51/41/33/983514133.db2.gz JGNUWRLEGPPPPA-VCANKDNSSA-N 0 1 277.320 0.882 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N2CC[C@H](C(=O)[O-])[C@@H]2C)C1 ZINC001588477380 983515883 /nfs/dbraw/zinc/51/58/83/983515883.db2.gz ZCQBJQRCOXXHSX-AVGNSLFASA-N 0 1 293.367 0.589 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N2CC[C@H](C(=O)[O-])[C@@H]2C)C1 ZINC001588477380 983515884 /nfs/dbraw/zinc/51/58/84/983515884.db2.gz ZCQBJQRCOXXHSX-AVGNSLFASA-N 0 1 293.367 0.589 20 30 CCEDMN C#CCOCCN1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC001588491129 983535415 /nfs/dbraw/zinc/53/54/15/983535415.db2.gz DQRRTZRCPBWUEN-LBPRGKRZSA-N 0 1 254.330 0.117 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NCCn1cc[nH+]c1CC ZINC001588629906 983740324 /nfs/dbraw/zinc/74/03/24/983740324.db2.gz MQJXSPSBKRGHBC-UHFFFAOYSA-N 0 1 265.313 0.983 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@@H+]1CCN(c2cnccn2)[C@H](C)C1 ZINC001588670129 983818489 /nfs/dbraw/zinc/81/84/89/983818489.db2.gz WTIZQEKWDFETRR-MNOVXSKESA-N 0 1 262.313 0.626 20 30 CCEDMN C=C[C@@H](C(=O)[O-])n1cc(C[N@H+]2CCC[C@H]2CO)nn1 ZINC001588672491 983825490 /nfs/dbraw/zinc/82/54/90/983825490.db2.gz SXPLBYNAHSHKRJ-QWRGUYRKSA-N 0 1 266.301 0.047 20 30 CCEDMN C=C[C@@H](C(=O)[O-])n1cc(C[N@@H+]2CCC[C@H]2CO)nn1 ZINC001588672491 983825498 /nfs/dbraw/zinc/82/54/98/983825498.db2.gz SXPLBYNAHSHKRJ-QWRGUYRKSA-N 0 1 266.301 0.047 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC001588683992 983854268 /nfs/dbraw/zinc/85/42/68/983854268.db2.gz ILPXBJNDRRATLP-RISCZKNCSA-N 0 1 284.356 0.321 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](CS(=O)(=O)NC)C1 ZINC001588731098 984005487 /nfs/dbraw/zinc/00/54/87/984005487.db2.gz ZCRRYWYVDGCXII-GHMZBOCLSA-N 0 1 290.385 0.277 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1C[C@H](OC)C[C@@]1(C)C(=O)[O-] ZINC001588825492 984300592 /nfs/dbraw/zinc/30/05/92/984300592.db2.gz RGNSBAHJAINPLN-DOMZBBRYSA-N 0 1 296.367 0.751 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1C[C@H](OC)C[C@@]1(C)C(=O)[O-] ZINC001588825492 984300598 /nfs/dbraw/zinc/30/05/98/984300598.db2.gz RGNSBAHJAINPLN-DOMZBBRYSA-N 0 1 296.367 0.751 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC[N@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588916803 984563529 /nfs/dbraw/zinc/56/35/29/984563529.db2.gz FXJBAIJAZBTPDL-UTUOFQBUSA-N 0 1 282.340 0.052 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1c1cc(C#N)cnn1 ZINC001594527359 986073678 /nfs/dbraw/zinc/07/36/78/986073678.db2.gz DQKJWIRAQFYDQX-JTQLQIEISA-N 0 1 275.312 0.333 20 30 CCEDMN CC(C)C[N@@H+](CCC(=O)[O-])CC(=O)NCCC#N ZINC001591331873 992307880 /nfs/dbraw/zinc/30/78/80/992307880.db2.gz YOMWFXIYXCBHHV-UHFFFAOYSA-N 0 1 255.318 0.449 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)c2cccc(C#N)n2)C[C@@H]1C(=O)[O-] ZINC001598573114 993230920 /nfs/dbraw/zinc/23/09/20/993230920.db2.gz HHQMCTHRGKKCBH-MWLCHTKSSA-N 0 1 275.264 0.267 20 30 CCEDMN C[N@H+]1CCN(C(=O)NCC2(CC#N)CC2)C[C@@H](C(=O)[O-])C1 ZINC001598605763 993652466 /nfs/dbraw/zinc/65/24/66/993652466.db2.gz CROFNCXFJMWDSH-NSHDSACASA-N 0 1 294.355 0.338 20 30 CCEDMN C[N@H+]1CCN(Cc2cccc(C#N)n2)C[C@@H](C(=O)[O-])C1 ZINC001598620024 993988147 /nfs/dbraw/zinc/98/81/47/993988147.db2.gz UWQUTIZCVGTYJS-NSHDSACASA-N 0 1 274.324 0.401 20 30 CCEDMN C[C@H](O)C[N@H+](CCO)Cc1cccc(C(=O)[O-])c1C#N ZINC001593459310 995373142 /nfs/dbraw/zinc/37/31/42/995373142.db2.gz OYBVQCUDOUUOGK-JTQLQIEISA-N 0 1 278.308 0.432 20 30 CCEDMN C[C@H](O)C[N@@H+](CCO)Cc1cccc(C(=O)[O-])c1C#N ZINC001593459310 995373151 /nfs/dbraw/zinc/37/31/51/995373151.db2.gz OYBVQCUDOUUOGK-JTQLQIEISA-N 0 1 278.308 0.432 20 30 CCEDMN CO[C@@]1(C(=O)[O-])CC[N@H+](C[C@H](C#N)CCC#N)C1 ZINC001599076974 996894491 /nfs/dbraw/zinc/89/44/91/996894491.db2.gz CGUFGLQRMLOOIS-JQWIXIFHSA-N 0 1 251.286 0.605 20 30 CCEDMN CO[C@@]1(C(=O)[O-])CC[N@@H+](C[C@H](C#N)CCC#N)C1 ZINC001599076974 996894505 /nfs/dbraw/zinc/89/45/05/996894505.db2.gz CGUFGLQRMLOOIS-JQWIXIFHSA-N 0 1 251.286 0.605 20 30 CCEDMN COCC[N@H+]1CC[C@H](Nc2ccc(C(=O)[O-])nc2C#N)C1 ZINC001599242938 998079771 /nfs/dbraw/zinc/07/97/71/998079771.db2.gz ATTMFDIBNPCISY-JTQLQIEISA-N 0 1 290.323 0.784 20 30 CCEDMN COC[C@@](C)([NH2+]CC(=O)N[C@](C)(C#N)C(C)C)C(=O)[O-] ZINC001599154335 998343582 /nfs/dbraw/zinc/34/35/82/998343582.db2.gz KWIZFQRXENKZCT-CHWSQXEVSA-N 0 1 285.344 0.120 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1C[C@](C)(CO)[C@](C)(CO)C1 ZINC000599622029 361761388 /nfs/dbraw/zinc/76/13/88/361761388.db2.gz ALVLRABYIQCHIZ-YUELXQCFSA-N 0 1 297.399 0.060 20 30 CCEDMN N#Cc1csc(C(=O)NN2CCCNC2=O)c1 ZINC000273127782 192050646 /nfs/dbraw/zinc/05/06/46/192050646.db2.gz UMMTYWLCWOZZQV-UHFFFAOYSA-N 0 1 250.283 0.680 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1n[nH]cc1C(F)(F)F ZINC000601203769 362176894 /nfs/dbraw/zinc/17/68/94/362176894.db2.gz PIHSSIWEPSXLEK-UHFFFAOYSA-N 0 1 290.201 0.567 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000601246563 362189073 /nfs/dbraw/zinc/18/90/73/362189073.db2.gz UTWOOFATLJJUGO-ZCFIWIBFSA-N 0 1 274.202 0.793 20 30 CCEDMN CN(CC(=O)N[C@@H](C#N)C1CC1)[C@H]1CCSC1 ZINC000331428192 529836208 /nfs/dbraw/zinc/83/62/08/529836208.db2.gz FJNASWZFWGDQLI-QWRGUYRKSA-N 0 1 253.371 0.842 20 30 CCEDMN N#CC1CN(S(=O)(=O)c2cc(O)cc(F)c2)C1 ZINC000601420707 362265235 /nfs/dbraw/zinc/26/52/35/362265235.db2.gz FOZWMJICDMTDHH-UHFFFAOYSA-N 0 1 256.258 0.675 20 30 CCEDMN COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)C(C)C#N ZINC000601738426 362374271 /nfs/dbraw/zinc/37/42/71/362374271.db2.gz YYOUVVAGIIYMJC-PTRXPTGYSA-N 0 1 254.286 0.325 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@H](C)CN1CCOCC1)C(=O)OC ZINC000601787115 362394249 /nfs/dbraw/zinc/39/42/49/362394249.db2.gz JYWMKZFOEBSESH-CHWSQXEVSA-N 0 1 298.383 0.579 20 30 CCEDMN CC(=O)N[C@H]1C(C)=NN(Cc2ccc(C#N)cc2)C1=O ZINC000601944492 362432572 /nfs/dbraw/zinc/43/25/72/362432572.db2.gz HROVAHBZLMUTMZ-ZDUSSCGKSA-N 0 1 270.292 0.781 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CCCc1nn[nH]n1 ZINC000602068948 362471803 /nfs/dbraw/zinc/47/18/03/362471803.db2.gz CDPMCOQVLWVFHY-SECBINFHSA-N 0 1 250.306 0.531 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C(=O)OC ZINC000602140021 362519299 /nfs/dbraw/zinc/51/92/99/362519299.db2.gz BOYYFLSCIZCLSL-FOGDFJRCSA-N 0 1 293.323 0.374 20 30 CCEDMN CC#CCN(C)CCN(C)c1ccc(S(N)(=O)=O)cc1 ZINC000295138581 199367341 /nfs/dbraw/zinc/36/73/41/199367341.db2.gz BWEKFAVQYQBHRK-UHFFFAOYSA-N 0 1 295.408 0.725 20 30 CCEDMN CS(=O)(=O)N1CCC[C@H](NCc2cccc(C#N)n2)C1 ZINC000602535519 362664650 /nfs/dbraw/zinc/66/46/50/362664650.db2.gz MTTBRCRQOFCKKM-ZDUSSCGKSA-N 0 1 294.380 0.467 20 30 CCEDMN C[C@@H](CCS(C)(=O)=O)NCc1cccc(C#N)n1 ZINC000602567089 362683809 /nfs/dbraw/zinc/68/38/09/362683809.db2.gz HMBLDHYEKXDSIM-JTQLQIEISA-N 0 1 267.354 0.866 20 30 CCEDMN CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)Nc1cccc(C#N)c1 ZINC000339228361 215028163 /nfs/dbraw/zinc/02/81/63/215028163.db2.gz MVKZHGSEYMEDQP-AWEZNQCLSA-N 0 1 297.318 0.967 20 30 CCEDMN CC(=O)NCCCN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602853723 362840631 /nfs/dbraw/zinc/84/06/31/362840631.db2.gz LCBPCXDCTZWWBU-VXGBXAGGSA-N 0 1 252.362 0.431 20 30 CCEDMN N#C[C@H]1CC[C@H](N2CCN(Cc3nnc[nH]3)CC2)C1 ZINC000602864787 362849328 /nfs/dbraw/zinc/84/93/28/362849328.db2.gz JOKHUCBUXBHGRM-RYUDHWBXSA-N 0 1 260.345 0.615 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccnc(C#N)c2)[C@H](C)C1 ZINC000343021630 137110361 /nfs/dbraw/zinc/11/03/61/137110361.db2.gz ARNIBHZUOTYBKT-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN Cc1csc2c1[nH]cnc2=NCCn1cnc(C#N)n1 ZINC000343513107 137139834 /nfs/dbraw/zinc/13/98/34/137139834.db2.gz AJMTURCVCZDERC-UHFFFAOYSA-N 0 1 285.336 0.997 20 30 CCEDMN N#Cc1cccc(CN[C@@H]2COC[C@H]2n2ccnn2)c1 ZINC000344456085 137195837 /nfs/dbraw/zinc/19/58/37/137195837.db2.gz NXQPDJHUYLFANO-ZIAGYGMSSA-N 0 1 269.308 0.879 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](C(=O)OCC)C1 ZINC000029326008 348111451 /nfs/dbraw/zinc/11/14/51/348111451.db2.gz FRMPLQUQIVJIMC-NWDGAFQWSA-N 0 1 266.341 0.399 20 30 CCEDMN COc1ccc(C#N)cc1CN1C[C@@H](O)C[C@]1(C)CO ZINC000602896559 362870810 /nfs/dbraw/zinc/87/08/10/362870810.db2.gz DYTNNJGWVZEEIV-DZGCQCFKSA-N 0 1 276.336 0.884 20 30 CCEDMN COC[C@@](C)(CO)NCC(=O)N[C@@](C)(C#N)C(C)C ZINC000602932145 362893591 /nfs/dbraw/zinc/89/35/91/362893591.db2.gz MYFRIIOTBGXQDB-OLZOCXBDSA-N 0 1 271.361 0.028 20 30 CCEDMN CO[C@H]1CN(Cc2ccnc(C#N)c2)[C@](C)(CO)C1 ZINC000602964022 362910722 /nfs/dbraw/zinc/91/07/22/362910722.db2.gz LXKCQJVAMXAVSH-KGLIPLIRSA-N 0 1 261.325 0.925 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N[C@@H](C)c1cnn(C)c1 ZINC000273392352 192136165 /nfs/dbraw/zinc/13/61/65/192136165.db2.gz PCPQLOXPCCEUSL-VHSXEESVSA-N 0 1 279.344 0.471 20 30 CCEDMN CCN(C)CCNS(=O)(=O)Cc1ccc(C#N)cc1 ZINC000054134237 348542939 /nfs/dbraw/zinc/54/29/39/348542939.db2.gz NYHNTPJHENCVST-UHFFFAOYSA-N 0 1 281.381 0.929 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)Cc2cnn(C)c2)CC1 ZINC000057153574 348603237 /nfs/dbraw/zinc/60/32/37/348603237.db2.gz ZZZPQLLPAPSMOY-UHFFFAOYSA-N 0 1 274.368 0.724 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](O)[C@@H]2CCCC[C@H]21 ZINC000602998075 362929228 /nfs/dbraw/zinc/92/92/28/362929228.db2.gz WWJJGONLFISTJJ-UPJWGTAASA-N 0 1 295.383 0.624 20 30 CCEDMN CN(CCNS(=O)(=O)c1ccc(C#N)cc1)C1CC1 ZINC000058938341 348635423 /nfs/dbraw/zinc/63/54/23/348635423.db2.gz AIGWDFFAGCCUKX-UHFFFAOYSA-N 0 1 279.365 0.931 20 30 CCEDMN CCN(CCC(=O)OC)CC(=O)N(CC)C[C@@H](C)C#N ZINC000064569910 348702738 /nfs/dbraw/zinc/70/27/38/348702738.db2.gz CYEOBATZGZYMRN-LBPRGKRZSA-N 0 1 283.372 0.880 20 30 CCEDMN N#Cc1cccnc1N1CCN(CCCCO)CC1 ZINC000077360610 349107385 /nfs/dbraw/zinc/10/73/85/349107385.db2.gz PZZOYHONJQMUNR-UHFFFAOYSA-N 0 1 260.341 0.848 20 30 CCEDMN CCCCNC(=O)[C@H]1CC[C@H](C)N(CC(=O)NCC#N)C1 ZINC000076669271 349089729 /nfs/dbraw/zinc/08/97/29/349089729.db2.gz XDDBSBKQDIXFOE-STQMWFEESA-N 0 1 294.399 0.643 20 30 CCEDMN Cc1cc(C(=O)N2CCN(c3ncccc3C#N)CC2)n[nH]1 ZINC000077323954 349106339 /nfs/dbraw/zinc/10/63/39/349106339.db2.gz QRNUNZLDRKEIKH-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000080528320 349215829 /nfs/dbraw/zinc/21/58/29/349215829.db2.gz OCEPGLVCLDVEOI-UHFFFAOYSA-N 0 1 295.299 0.636 20 30 CCEDMN C[C@H](Oc1ccccc1C#N)C(=O)NCCc1nc[nH]n1 ZINC000080586005 349221221 /nfs/dbraw/zinc/22/12/21/349221221.db2.gz BYKPYUQCIKJHAA-JTQLQIEISA-N 0 1 285.307 0.803 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCSC(C)(C)C2)CC1 ZINC000083415617 349305462 /nfs/dbraw/zinc/30/54/62/349305462.db2.gz USUUPVQPKLLRRR-UHFFFAOYSA-N 0 1 295.452 0.591 20 30 CCEDMN N#Cc1cc([O-])cc(C(=O)N[C@@H](CO)C[NH+]2CCCC2)c1 ZINC000603051420 362946896 /nfs/dbraw/zinc/94/68/96/362946896.db2.gz CWKUNZSFRCYVFB-CYBMUJFWSA-N 0 1 289.335 0.450 20 30 CCEDMN C[C@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H](C)N1CC#N ZINC000603102461 362964243 /nfs/dbraw/zinc/96/42/43/362964243.db2.gz UPUFHBZQXIXWBX-QWRGUYRKSA-N 0 1 261.329 0.397 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2nc[nH]n2)C[C@H](C)N1CC#N ZINC000603102661 362964450 /nfs/dbraw/zinc/96/44/50/362964450.db2.gz XSWWGSQKIIKLRQ-PHIMTYICSA-N 0 1 276.344 0.182 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN(Cc3c[nH]nn3)C2)nc1 ZINC000295155963 199377178 /nfs/dbraw/zinc/37/71/78/199377178.db2.gz CMQFSVNSHMZPCZ-GFCCVEGCSA-N 0 1 270.296 0.725 20 30 CCEDMN CN[C@@H](C)c1cn(CC(=O)NC2(C#N)CCCCC2)nn1 ZINC000603173298 362982784 /nfs/dbraw/zinc/98/27/84/362982784.db2.gz AWVXZPKXCVTJDC-NSHDSACASA-N 0 1 290.371 0.901 20 30 CCEDMN CNC(=O)CCN(C)[C@H](C)C(=O)Nc1ccccc1C#N ZINC000170792082 350862221 /nfs/dbraw/zinc/86/22/21/350862221.db2.gz RVGSCXSIEDYVHX-LLVKDONJSA-N 0 1 288.351 0.953 20 30 CCEDMN CC(C)NS(=O)(=O)CCNCc1ccc(C#N)cc1 ZINC000171767551 350934094 /nfs/dbraw/zinc/93/40/94/350934094.db2.gz GVDYBOSPIVVMCA-UHFFFAOYSA-N 0 1 281.381 0.976 20 30 CCEDMN CN[C@@H](C)c1cn(CC(=O)N[C@](C)(C#N)C2CC2)nn1 ZINC000603183698 362988287 /nfs/dbraw/zinc/98/82/87/362988287.db2.gz SLSJPOGCYFXMMP-TVQRCGJNSA-N 0 1 276.344 0.367 20 30 CCEDMN CN[C@H](C)c1cn([C@H](C)C(=O)N(C)CCC#N)nn1 ZINC000603189043 362990848 /nfs/dbraw/zinc/99/08/48/362990848.db2.gz PUYZBTUUFFFPHG-NXEZZACHSA-N 0 1 264.333 0.492 20 30 CCEDMN N#Cc1cccc(NC(=O)CS(=O)(=O)c2ncc[nH]2)c1 ZINC000172879606 351014660 /nfs/dbraw/zinc/01/46/60/351014660.db2.gz GBVNMSGHFBYXJY-UHFFFAOYSA-N 0 1 290.304 0.694 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@H](O)[C@H](C)C1 ZINC000186865570 351519452 /nfs/dbraw/zinc/51/94/52/351519452.db2.gz LHJZKLIAIHJGMB-WOPDTQHZSA-N 0 1 253.346 0.450 20 30 CCEDMN C=CCOCCCNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000618525263 366038508 /nfs/dbraw/zinc/03/85/08/366038508.db2.gz FYTJWRHAQOIUQZ-JTQLQIEISA-N 0 1 264.329 0.934 20 30 CCEDMN CO[C@@H](C)CCC(=O)NC1(C#N)CCN(C)CC1 ZINC000227972869 352063287 /nfs/dbraw/zinc/06/32/87/352063287.db2.gz HNKNOWPTZRFGKO-NSHDSACASA-N 0 1 253.346 0.906 20 30 CCEDMN Cc1cccc(NC(=O)C(=O)N2CCNCC2)c1C#N ZINC000235928864 352189974 /nfs/dbraw/zinc/18/99/74/352189974.db2.gz OIVBERKVNADEKI-UHFFFAOYSA-N 0 1 272.308 0.237 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(c3ncccc3C#N)CC2)[nH]1 ZINC000264621214 352596930 /nfs/dbraw/zinc/59/69/30/352596930.db2.gz BLMMGOFRRQXVKK-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(C(C)C)C(=O)C2)CC1 ZINC000272434823 353064194 /nfs/dbraw/zinc/06/41/94/353064194.db2.gz BDYJETQWBSSZMJ-UHFFFAOYSA-N 0 1 291.395 0.411 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)nc2)[C@H](C)CN1CCO ZINC000418994898 234281939 /nfs/dbraw/zinc/28/19/39/234281939.db2.gz CEPFZDCVDQGWSQ-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1c(C)nn(C)c1C ZINC000272865253 353101818 /nfs/dbraw/zinc/10/18/18/353101818.db2.gz RHAAEZYTLRTECB-UHFFFAOYSA-N 0 1 271.386 0.727 20 30 CCEDMN C[C@H]1CN(c2nccc(C#N)n2)[C@@H](C)CN1CCO ZINC000419006575 234285884 /nfs/dbraw/zinc/28/58/84/234285884.db2.gz SQHUIBQCOFSGMM-QWRGUYRKSA-N 0 1 261.329 0.240 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000274348431 353121829 /nfs/dbraw/zinc/12/18/29/353121829.db2.gz FSWMQHQPUURFNL-LBPRGKRZSA-N 0 1 277.324 0.804 20 30 CCEDMN N#Cc1cncc(S(=O)(=O)N2CCN3CCC[C@@H]3C2)c1 ZINC000289936585 353695370 /nfs/dbraw/zinc/69/53/70/353695370.db2.gz VCNLNBLOHQRPCC-GFCCVEGCSA-N 0 1 292.364 0.422 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NCCc1nccnc1C ZINC000296742366 353957745 /nfs/dbraw/zinc/95/77/45/353957745.db2.gz DOPAGAXIJPWECH-UHFFFAOYSA-N 0 1 289.383 0.582 20 30 CCEDMN Cc1cc(NC[C@@H]2CN(C)CCN2C)cc(C#N)n1 ZINC000308277921 354183342 /nfs/dbraw/zinc/18/33/42/354183342.db2.gz LRDSIHBTWHVJIU-CQSZACIVSA-N 0 1 259.357 0.919 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N1CC(F)C1)CC2 ZINC000329306191 354255128 /nfs/dbraw/zinc/25/51/28/354255128.db2.gz BBPWIPQRYLKWFH-SECBINFHSA-N 0 1 253.281 0.469 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@H]2NCCc3ccccc32)CC1 ZINC000317085328 354226423 /nfs/dbraw/zinc/22/64/23/354226423.db2.gz AKVXOYCENMTXGG-INIZCTEOSA-N 0 1 298.390 0.931 20 30 CCEDMN CC[N@@H+](CC(=O)[O-])C1CC(NC(=O)[C@H](C)OC)C1 ZINC000328621651 354240364 /nfs/dbraw/zinc/24/03/64/354240364.db2.gz APOLVKOXNMRXDE-IDKOKCKLSA-N 0 1 258.318 0.915 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)N[C@@H]2CC[S@](=O)C2)CN1C1CC1 ZINC000330029217 354287302 /nfs/dbraw/zinc/28/73/02/354287302.db2.gz PSDSTYATFSEZNZ-LMPWWPNBSA-N 0 1 285.413 0.636 20 30 CCEDMN CN1CC[C@H]2OCCN(C(=O)c3cnccc3C#N)[C@H]2C1 ZINC000332206775 354629424 /nfs/dbraw/zinc/62/94/24/354629424.db2.gz HPSUIVIVWXMQHC-UONOGXRCSA-N 0 1 286.335 0.498 20 30 CCEDMN CO[C@]1(C)CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000619320126 366235538 /nfs/dbraw/zinc/23/55/38/366235538.db2.gz MBIOMJUUJBKREG-CYBMUJFWSA-N 0 1 277.328 0.746 20 30 CCEDMN CO[C@@]1(C)CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000619320127 366235961 /nfs/dbraw/zinc/23/59/61/366235961.db2.gz MBIOMJUUJBKREG-ZDUSSCGKSA-N 0 1 277.328 0.746 20 30 CCEDMN CCN(CCNS(=O)(=O)N(C)[C@H](C)CC#N)C1CC1 ZINC000337852984 355307499 /nfs/dbraw/zinc/30/74/99/355307499.db2.gz YJSXDFBTEGYYQH-LLVKDONJSA-N 0 1 288.417 0.539 20 30 CCEDMN C[C@H]1OCC[C@@]12CN(CCOCCC#N)CCO2 ZINC000339141942 355493780 /nfs/dbraw/zinc/49/37/80/355493780.db2.gz VQAAMTPQEFLUCK-CHWSQXEVSA-N 0 1 254.330 0.796 20 30 CCEDMN C[C@@H]1CCNC(=O)[C@@H]1NCc1cnc2ccc(C#N)cn12 ZINC000342862573 355761449 /nfs/dbraw/zinc/76/14/49/355761449.db2.gz SVZQDCOWWHXFAD-QMTHXVAHSA-N 0 1 283.335 0.820 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000344205605 355789112 /nfs/dbraw/zinc/78/91/12/355789112.db2.gz QGTWSIDHVOSCTE-HIFRSBDPSA-N 0 1 284.400 0.787 20 30 CCEDMN Cc1[nH+]cc2n1CC[C@@H](C(=O)Nc1nc(C#N)c(C#N)[n-]1)C2 ZINC000352609958 356029206 /nfs/dbraw/zinc/02/92/06/356029206.db2.gz MLVVGSXAZYHEBM-SECBINFHSA-N 0 1 295.306 0.859 20 30 CCEDMN CCOC(=O)c1cnn(Cc2n[nH]c(C3CC3)n2)c1C#N ZINC000353414642 356217040 /nfs/dbraw/zinc/21/70/40/356217040.db2.gz UEFXOTUAFGMLLQ-UHFFFAOYSA-N 0 1 286.295 0.975 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2cnccc2C#N)n1 ZINC000362796700 138074824 /nfs/dbraw/zinc/07/48/24/138074824.db2.gz WIPPUBRXCYWNRS-UHFFFAOYSA-N 0 1 256.269 0.352 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C(C)(C)CO)CC1 ZINC000610480936 363563593 /nfs/dbraw/zinc/56/35/93/363563593.db2.gz AZNPMCJQLGBNSD-UHFFFAOYSA-N 0 1 296.415 0.137 20 30 CCEDMN N#Cc1ccc(OCC(=O)N2CCc3[nH]nnc3C2)cc1 ZINC000359761816 356887357 /nfs/dbraw/zinc/88/73/57/356887357.db2.gz KXWSBOQRFKWMGE-UHFFFAOYSA-N 0 1 283.291 0.640 20 30 CCEDMN CC(C)[N@@H+](C)CCNC(=O)N=c1[n-]n(C)cc1C#N ZINC000610562709 363577514 /nfs/dbraw/zinc/57/75/14/363577514.db2.gz CRYQRYCNKVBLHR-UHFFFAOYSA-N 0 1 264.333 0.175 20 30 CCEDMN CCc1cc(CNC(=O)N=c2[nH]n(C)cc2C#N)on1 ZINC000610562968 363577702 /nfs/dbraw/zinc/57/77/02/363577702.db2.gz MQYNUHVXKXASNJ-UHFFFAOYSA-N 0 1 274.284 0.586 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H](CO)Cc2ccccc2)[nH]1 ZINC000610527765 363573030 /nfs/dbraw/zinc/57/30/30/363573030.db2.gz GIKRTWDNPJLKHY-CYBMUJFWSA-N 0 1 299.334 0.439 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2cccc3c2OCO3)[nH]1 ZINC000610564996 363579813 /nfs/dbraw/zinc/57/98/13/363579813.db2.gz XXWYPCYGDHEYTB-UHFFFAOYSA-N 0 1 299.290 0.764 20 30 CCEDMN CO[C@@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)C1(C)C ZINC000610652610 363590773 /nfs/dbraw/zinc/59/07/73/363590773.db2.gz ZHPPRIMRBDUTKU-VHSXEESVSA-N 0 1 277.328 0.649 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H]2CCCS2)[nH]1 ZINC000610698549 363600655 /nfs/dbraw/zinc/60/06/55/363600655.db2.gz SESHZMKBINBOHX-VIFPVBQESA-N 0 1 265.342 0.731 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H](c3n[nH]c(=O)o3)C2)nn1 ZINC000585701975 359264348 /nfs/dbraw/zinc/26/43/48/359264348.db2.gz LMDXTUWTVWIDSM-MRVPVSSYSA-N 0 1 272.268 0.821 20 30 CCEDMN C[C@H](CNC(=O)c1cccc(C#N)n1)N1CCN(C)CC1 ZINC000589426897 359381040 /nfs/dbraw/zinc/38/10/40/359381040.db2.gz DQHHVULRPYRAAY-GFCCVEGCSA-N 0 1 287.367 0.319 20 30 CCEDMN CN(C)c1cccnc1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000589332578 359378815 /nfs/dbraw/zinc/37/88/15/359378815.db2.gz LOGGYLRKTWJAEB-UHFFFAOYSA-N 0 1 299.338 0.496 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2cnc3n2CCCC3)[nH]1 ZINC000611008405 363647640 /nfs/dbraw/zinc/64/76/40/363647640.db2.gz KJVHOXIQFXGOAJ-UHFFFAOYSA-N 0 1 270.296 0.499 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)CCCC#N)[C@@H]2C1 ZINC000589930084 359410301 /nfs/dbraw/zinc/41/03/01/359410301.db2.gz SFCRRKNQRQJBQO-GHMZBOCLSA-N 0 1 257.359 0.256 20 30 CCEDMN CN1C[C@@H]2CCN(S(=O)(=O)CCCCC#N)[C@@H]2C1 ZINC000589928961 359410957 /nfs/dbraw/zinc/41/09/57/359410957.db2.gz ISHRALLSXHVKFI-NWDGAFQWSA-N 0 1 271.386 0.646 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)N=c1[nH]n(C)cc1C#N ZINC000590121552 359425340 /nfs/dbraw/zinc/42/53/40/359425340.db2.gz MQLGLRVBTPSMRU-UHFFFAOYSA-N 0 1 260.301 0.727 20 30 CCEDMN CS(=O)(=O)N[C@@H]1CCCN([C@@H]2CC[C@@H](C#N)C2)C1 ZINC000590157828 359428053 /nfs/dbraw/zinc/42/80/53/359428053.db2.gz UTUZRMNLWJQGJC-IJLUTSLNSA-N 0 1 271.386 0.692 20 30 CCEDMN CCn1nc2c(cc1=O)CN(CCOCCC#N)CC2 ZINC000590160791 359428586 /nfs/dbraw/zinc/42/85/86/359428586.db2.gz DRVUHNPECJHFGM-UHFFFAOYSA-N 0 1 276.340 0.552 20 30 CCEDMN N#CC1(CC(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)CC1 ZINC000590223607 359431559 /nfs/dbraw/zinc/43/15/59/359431559.db2.gz WELVMESAZLXCBZ-CHWSQXEVSA-N 0 1 277.368 0.738 20 30 CCEDMN CC[C@@](C)(CNC(=O)N=c1[nH]n(C)cc1C#N)OC ZINC000590819508 359527076 /nfs/dbraw/zinc/52/70/76/359527076.db2.gz LSTMKICINKIYAR-LBPRGKRZSA-N 0 1 265.317 0.650 20 30 CCEDMN N#C[C@]1(F)CCN(C(=O)NCCN2CCCOCC2)C1 ZINC000590822147 359527354 /nfs/dbraw/zinc/52/73/54/359527354.db2.gz AMQZWZVFDCUYQI-CYBMUJFWSA-N 0 1 284.335 0.356 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@H](CO)CN2CCCCC2)n1 ZINC000591219342 359570402 /nfs/dbraw/zinc/57/04/02/359570402.db2.gz YMJMXOQBBLTPIV-ZDUSSCGKSA-N 0 1 288.351 0.530 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NC[C@@H](O)c1ccc(C)o1 ZINC000297074132 200077914 /nfs/dbraw/zinc/07/79/14/200077914.db2.gz YXUAJYXLEJODDE-CYBMUJFWSA-N 0 1 293.367 0.876 20 30 CCEDMN C=CCCOCCNC(=O)NCc1n[nH]c(CC)n1 ZINC000297227948 200120505 /nfs/dbraw/zinc/12/05/05/200120505.db2.gz HXUPSSSZWBJJPI-UHFFFAOYSA-N 0 1 267.333 0.759 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2ccc(C#N)cc2)[C@@H]1C ZINC000262748159 291194641 /nfs/dbraw/zinc/19/46/41/291194641.db2.gz FHJKXSZNQLSEDV-GHMZBOCLSA-N 0 1 279.365 0.929 20 30 CCEDMN N#CC[C@]1(O)CCN(CCN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000592151670 359770646 /nfs/dbraw/zinc/77/06/46/359770646.db2.gz PAJUOXLJEYEJSS-MJBXVCDLSA-N 0 1 265.357 0.200 20 30 CCEDMN COC(=O)[C@H]1C[C@H](O)CN(Cc2ccc(C#N)cc2)C1 ZINC000592193579 359783711 /nfs/dbraw/zinc/78/37/11/359783711.db2.gz BZZJGUYQZJMHDX-KBPBESRZSA-N 0 1 274.320 0.914 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC[C@H](C(N)=O)C3)cnc12 ZINC000592496371 359859135 /nfs/dbraw/zinc/85/91/35/359859135.db2.gz IYMJXOWMYQHRNU-NSHDSACASA-N 0 1 284.323 0.298 20 30 CCEDMN CCc1nnc([C@H](C)NC(=O)N=c2[nH]n(C)cc2C#N)[nH]1 ZINC000611195591 363678911 /nfs/dbraw/zinc/67/89/11/363678911.db2.gz OFVCQSNPCDTKAZ-ZETCQYMHSA-N 0 1 288.315 0.277 20 30 CCEDMN CC[C@@H](C(=O)N=c1[nH]n(C)c(C)c1C#N)N1CCCC1=O ZINC000592645836 359908247 /nfs/dbraw/zinc/90/82/47/359908247.db2.gz HCHAGEQWAFNPRA-NSHDSACASA-N 0 1 289.339 0.362 20 30 CCEDMN C[C@@H]1C[C@@H](CNC(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000611303355 363705072 /nfs/dbraw/zinc/70/50/72/363705072.db2.gz XUIRMNIIPUXGBN-ZJUUUORDSA-N 0 1 277.328 0.650 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC(C2(O)CC2)CC1 ZINC000593051041 360021654 /nfs/dbraw/zinc/02/16/54/360021654.db2.gz SDGGCZFADABYBC-GFCCVEGCSA-N 0 1 279.384 0.984 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000593576939 360115536 /nfs/dbraw/zinc/11/55/36/360115536.db2.gz VNTSYYHIIAMUIX-CYBMUJFWSA-N 0 1 295.383 0.222 20 30 CCEDMN CC[C@H](C#N)C(=O)Nc1ccc(O)c(S(=O)(=O)NC)c1 ZINC000593894557 360197151 /nfs/dbraw/zinc/19/71/51/360197151.db2.gz QJJZPWVLPNXGTB-MRVPVSSYSA-N 0 1 297.336 0.789 20 30 CCEDMN N#CCCCC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000593855246 360176978 /nfs/dbraw/zinc/17/69/78/360176978.db2.gz IPXLXVRHYQWASY-UHFFFAOYSA-N 0 1 262.317 0.143 20 30 CCEDMN C[C@@H](O)CN(CC(=O)N(CCC#N)CCC#N)C1CC1 ZINC000594055670 360243705 /nfs/dbraw/zinc/24/37/05/360243705.db2.gz NQJWHOJXXFLGAR-GFCCVEGCSA-N 0 1 278.356 0.488 20 30 CCEDMN CO[C@@H]1CCC[C@@H]1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611388938 363712756 /nfs/dbraw/zinc/71/27/56/363712756.db2.gz XLGMKEKFAGTYKD-MWLCHTKSSA-N 0 1 277.328 0.650 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@H]2CCC[NH2+]CC2)o1 ZINC000595107085 360436482 /nfs/dbraw/zinc/43/64/82/360436482.db2.gz DWLBAPSLFFBCFC-VIFPVBQESA-N 0 1 269.326 0.572 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]C[C@@H]2C[C@H](F)C[NH2+]2)o1 ZINC000595111027 360438008 /nfs/dbraw/zinc/43/80/08/360438008.db2.gz FOKZNPLPXNLYOT-YUMQZZPRSA-N 0 1 273.289 0.130 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@@H]2CCC[C@@H]([NH3+])C2)o1 ZINC000595108655 360438204 /nfs/dbraw/zinc/43/82/04/360438204.db2.gz NRJROARRNHTBED-RKDXNWHRSA-N 0 1 269.326 0.699 20 30 CCEDMN N#Cc1ccc(CN2CCN(Cc3nnc[nH]3)CC2)cc1 ZINC000595287330 360481365 /nfs/dbraw/zinc/48/13/65/360481365.db2.gz PWKQKCXBDVCIEQ-UHFFFAOYSA-N 0 1 282.351 0.994 20 30 CCEDMN N#Cc1ccc(CCN2CCC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000595422045 360526862 /nfs/dbraw/zinc/52/68/62/360526862.db2.gz NBFVCWWWPVEPJQ-CQSZACIVSA-N 0 1 293.392 0.854 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@H]1CCO[C@H]1C(=O)OC ZINC000595669959 360615730 /nfs/dbraw/zinc/61/57/30/360615730.db2.gz RJXFLDCLKMEORP-RWMBFGLXSA-N 0 1 296.367 0.496 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCC[C@@H]1[C@@H](C)O ZINC000595769766 360647173 /nfs/dbraw/zinc/64/71/73/360647173.db2.gz LQMQMGBCPCRQGW-YRGRVCCFSA-N 0 1 267.373 0.886 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)NC(=O)NC(C)(C)C ZINC000595864172 360684472 /nfs/dbraw/zinc/68/44/72/360684472.db2.gz VHZXCMZRVHQVMU-RKDXNWHRSA-N 0 1 257.334 0.136 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N(C)C1(C#N)CCCCC1 ZINC000595864167 360684631 /nfs/dbraw/zinc/68/46/31/360684631.db2.gz VHWNCRCHDCFEJX-GFCCVEGCSA-N 0 1 265.357 0.808 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CC1=CCSC1 ZINC000595895241 360695391 /nfs/dbraw/zinc/69/53/91/360695391.db2.gz GNUHXUVCICLZQA-SNVBAGLBSA-N 0 1 283.397 0.992 20 30 CCEDMN CC(C)CN1CCO[C@H](CNc2cc(C#N)cnn2)C1 ZINC000596059973 360784041 /nfs/dbraw/zinc/78/40/41/360784041.db2.gz WPVNYMOVNXKMMJ-CYBMUJFWSA-N 0 1 275.356 0.539 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)Nc1c(C#N)cnn1C ZINC000596124194 360803586 /nfs/dbraw/zinc/80/35/86/360803586.db2.gz SAUQLAHKCHLWRK-NSHDSACASA-N 0 1 262.361 0.340 20 30 CCEDMN CCc1nc(C#N)cc(NCCN2CCCOCC2)n1 ZINC000596202747 360832965 /nfs/dbraw/zinc/83/29/65/360832965.db2.gz HVVLIIBDZVWKEQ-UHFFFAOYSA-N 0 1 275.356 0.467 20 30 CCEDMN CCc1nc(C#N)cc(N2C[C@@H](C)N(CCO)[C@@H](C)C2)n1 ZINC000596257285 360855666 /nfs/dbraw/zinc/85/56/66/360855666.db2.gz IKHJDRQLHFDXDU-TXEJJXNPSA-N 0 1 289.383 0.802 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)C[C@@H]1N[C@@H]1CCC[C@@H](C#N)C1 ZINC000597192728 361028013 /nfs/dbraw/zinc/02/80/13/361028013.db2.gz TTWBKVMDRVXNGV-WISYIIOYSA-N 0 1 272.370 0.470 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000597677525 361188443 /nfs/dbraw/zinc/18/84/43/361188443.db2.gz FXIGJWYZCSJGQD-NWDGAFQWSA-N 0 1 265.357 0.858 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CC(CC#N)C1 ZINC000598030115 361317871 /nfs/dbraw/zinc/31/78/71/361317871.db2.gz LRZQQQVLIYZKKR-LLVKDONJSA-N 0 1 251.330 0.327 20 30 CCEDMN Cc1nc(CN(C)C(=O)N=c2[nH]n(C)cc2C#N)no1 ZINC000611596418 363748859 /nfs/dbraw/zinc/74/88/59/363748859.db2.gz LBYJUYPURFSELG-UHFFFAOYSA-N 0 1 275.272 0.069 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCN(c2ncccn2)CC1 ZINC000598584602 361448876 /nfs/dbraw/zinc/44/88/76/361448876.db2.gz PEZYCWOASDJSGM-ZDUSSCGKSA-N 0 1 289.383 0.899 20 30 CCEDMN CN(CC(=O)NC1CC1)C[C@H](O)CC(C)(C)C#N ZINC000598587393 361448404 /nfs/dbraw/zinc/44/84/04/361448404.db2.gz PVABBLPWKWPUSV-LLVKDONJSA-N 0 1 253.346 0.498 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1C[C@@H]2C[C@H]1C[S@@]2=O ZINC000598624278 361464763 /nfs/dbraw/zinc/46/47/63/361464763.db2.gz YZWDHLGVDBOYTA-YBIKONCISA-N 0 1 256.371 0.492 20 30 CCEDMN CN(C[C@H](O)CC(C)(C)C#N)[C@H]1CCN(C)C1=O ZINC000598592744 361453385 /nfs/dbraw/zinc/45/33/85/361453385.db2.gz MJCFBDOHJAXGLW-MNOVXSKESA-N 0 1 253.346 0.450 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCN2CCOC[C@H]2C1 ZINC000598606000 361457661 /nfs/dbraw/zinc/45/76/61/361457661.db2.gz YGHWCICCQBYKQC-OLZOCXBDSA-N 0 1 267.373 0.304 20 30 CCEDMN CCN1CCN(CC(C)(C)NC(=O)[C@H](C)C#N)CC1 ZINC000598742936 361506168 /nfs/dbraw/zinc/50/61/68/361506168.db2.gz OOJBGNZQVVFQMS-GFCCVEGCSA-N 0 1 266.389 0.678 20 30 CCEDMN CCCn1nccc1C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000598846055 361525826 /nfs/dbraw/zinc/52/58/26/361525826.db2.gz SXHVGCZLKSZMNP-UHFFFAOYSA-N 0 1 272.312 0.881 20 30 CCEDMN COC(=O)[C@@H]1CC[N@@H+](C[C@@H](O)CC2(C#N)CC2)C1 ZINC000599265252 361625120 /nfs/dbraw/zinc/62/51/20/361625120.db2.gz PDCLPUOMYMFUTB-MNOVXSKESA-N 0 1 252.314 0.536 20 30 CCEDMN C=CCCCNS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599293615 361638094 /nfs/dbraw/zinc/63/80/94/361638094.db2.gz UXGMDNFXOFBXGF-UHFFFAOYSA-N 0 1 274.298 0.706 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccnc(C#N)n2)[C@@H](C)C1 ZINC000564479064 291282679 /nfs/dbraw/zinc/28/26/79/291282679.db2.gz KWWMSMSFPDGNGS-QWRGUYRKSA-N 0 1 261.329 0.240 20 30 CCEDMN N#Cc1ccc(CN2C[C@@H](CO)[C@H](CO)C2)c([N+](=O)[O-])c1 ZINC000599530521 361727440 /nfs/dbraw/zinc/72/74/40/361727440.db2.gz ZKGKVUNWLUBDNC-STQMWFEESA-N 0 1 291.307 0.499 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@]2(C)CCO[C@@H]2C2CC2)[nH]1 ZINC000612313733 363883194 /nfs/dbraw/zinc/88/31/94/363883194.db2.gz XVUUOAQBBSWDNH-RISCZKNCSA-N 0 1 289.339 0.793 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC000612829072 364019733 /nfs/dbraw/zinc/01/97/33/364019733.db2.gz MTVOXOJCYIXUNS-CMPLNLGQSA-N 0 1 280.328 0.249 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)CN(C)C)CC1 ZINC000613245533 364177889 /nfs/dbraw/zinc/17/78/89/364177889.db2.gz FJMATFRIDGQPPJ-GFCCVEGCSA-N 0 1 252.362 0.240 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000614389794 364589897 /nfs/dbraw/zinc/58/98/97/364589897.db2.gz POERYQWFLVDZMB-PELKAZGASA-N 0 1 267.285 0.418 20 30 CCEDMN CC[C@@]1(CO)CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000614688609 364698175 /nfs/dbraw/zinc/69/81/75/364698175.db2.gz UFIRIRIEZYHMTJ-CQSZACIVSA-N 0 1 291.355 0.730 20 30 CCEDMN C[C@@H](NC(=O)c1cc(O)cc(C#N)c1)c1nnc[nH]1 ZINC000614720514 364711128 /nfs/dbraw/zinc/71/11/28/364711128.db2.gz YMBDDUHVSSYQDX-SSDOTTSWSA-N 0 1 257.253 0.873 20 30 CCEDMN CC(C)(C)CN(CCO)CC(=O)NC1(C#N)CCC1 ZINC000615224921 364889916 /nfs/dbraw/zinc/88/99/16/364889916.db2.gz RIAWADOXCQEXIH-UHFFFAOYSA-N 0 1 267.373 0.889 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)C[C@H](O)C1CC1 ZINC000615227727 364890245 /nfs/dbraw/zinc/89/02/45/364890245.db2.gz IYSJIASVOBZZSN-NSHDSACASA-N 0 1 251.330 0.252 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@@]3(CCOC3)C2)CCC1 ZINC000615227820 364889393 /nfs/dbraw/zinc/88/93/93/364889393.db2.gz UQKPRIDULLGILV-CYBMUJFWSA-N 0 1 263.341 0.661 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N(C)C[C@@H](O)C1CC1 ZINC000615788946 365088733 /nfs/dbraw/zinc/08/87/33/365088733.db2.gz WCQVOQBVEKLSFD-CMPLNLGQSA-N 0 1 265.357 0.640 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N(C)C[C@@H](O)C1CC1 ZINC000615788949 365088991 /nfs/dbraw/zinc/08/89/91/365088991.db2.gz WCQVOQBVEKLSFD-ZYHUDNBSSA-N 0 1 265.357 0.640 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CC[C@@](F)(C#N)C1 ZINC000615825908 365098260 /nfs/dbraw/zinc/09/82/60/365098260.db2.gz OPQOETGPBZCLBY-DGCLKSJQSA-N 0 1 269.320 0.419 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000616505411 365347546 /nfs/dbraw/zinc/34/75/46/365347546.db2.gz MAPRIRRSYALJGW-ZJUUUORDSA-N 0 1 262.313 0.115 20 30 CCEDMN C[C@@H]1C[NH2+]CC[C@H]1[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000565247322 291366439 /nfs/dbraw/zinc/36/64/39/291366439.db2.gz UYQFPOXGXUQHMR-PSASIEDQSA-N 0 1 269.326 0.428 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC000623600695 367601250 /nfs/dbraw/zinc/60/12/50/367601250.db2.gz YCTHTCOTPQIOGS-UONOGXRCSA-N 0 1 280.416 0.840 20 30 CCEDMN C=CC[C@@H]1CCN(CC(=O)NCC(=O)NC(C)(C)C)C1 ZINC000625704044 368299511 /nfs/dbraw/zinc/29/95/11/368299511.db2.gz NBNIHPIRGSYIDP-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2ccc(C#N)c(C)n2)C1 ZINC000330660616 203089368 /nfs/dbraw/zinc/08/93/68/203089368.db2.gz YULAVCIEWJPALF-CYBMUJFWSA-N 0 1 288.351 0.712 20 30 CCEDMN CN(CCN1CCCC1)S(=O)(=O)c1ccc(C#N)o1 ZINC000330670566 203099266 /nfs/dbraw/zinc/09/92/66/203099266.db2.gz MABOTUIXRNWIEO-UHFFFAOYSA-N 0 1 283.353 0.868 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CCNC[C@H]1C(C)C ZINC000629815670 369784838 /nfs/dbraw/zinc/78/48/38/369784838.db2.gz RYJQEAMXTFHSBK-ZDUSSCGKSA-N 0 1 281.400 0.867 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCC[C@@H](CO)C1 ZINC000249241925 261248632 /nfs/dbraw/zinc/24/86/32/261248632.db2.gz QUCNEVSXFBYFML-WDEREUQCSA-N 0 1 269.345 0.091 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@@H](CO)C1 ZINC000249241925 261248634 /nfs/dbraw/zinc/24/86/34/261248634.db2.gz QUCNEVSXFBYFML-WDEREUQCSA-N 0 1 269.345 0.091 20 30 CCEDMN O=C(CCCc1nn[nH]n1)NCC#Cc1ccccc1 ZINC000635174686 372403845 /nfs/dbraw/zinc/40/38/45/372403845.db2.gz RZACPURNTHTNRV-UHFFFAOYSA-N 0 1 269.308 0.690 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)CCCc1nn[nH]n1 ZINC000635890054 373059890 /nfs/dbraw/zinc/05/98/90/373059890.db2.gz PYZXRNIGNRYDIS-ZWNOBZJWSA-N 0 1 279.344 0.620 20 30 CCEDMN COCC#CCN(CCN1CCOCC1)[C@@H]1CCSC1 ZINC000639778575 376007128 /nfs/dbraw/zinc/00/71/28/376007128.db2.gz YUDMTBVLTNXJAS-OAHLLOKOSA-N 0 1 298.452 0.776 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2nnc(C)n2C)CC1 ZINC000639977974 376167334 /nfs/dbraw/zinc/16/73/34/376167334.db2.gz WDXFQGALAHZQEZ-ZDUSSCGKSA-N 0 1 279.388 0.573 20 30 CCEDMN C=CCNC(=O)[C@H](C)n1cc([C@@H](N)[C@@H]2CCCO2)nn1 ZINC000640883329 376596411 /nfs/dbraw/zinc/59/64/11/376596411.db2.gz GGAOEJXATGJITB-ZMLRMANQSA-N 0 1 279.344 0.320 20 30 CCEDMN C=CCN(C(=O)/C=C/CN(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000264616648 190123117 /nfs/dbraw/zinc/12/31/17/190123117.db2.gz JRYJGQWZGCMSIN-BTDICHCPSA-N 0 1 286.397 0.306 20 30 CCEDMN N#Cc1nccnc1NCCCN1CCC[C@@H]1C(N)=O ZINC000264662128 190126415 /nfs/dbraw/zinc/12/64/15/190126415.db2.gz YWNSLEJYLHXVCF-LLVKDONJSA-N 0 1 274.328 0.100 20 30 CCEDMN C=CC[C@@H](CO)NS(=O)(=O)c1ccccc1O ZINC000656959869 412868600 /nfs/dbraw/zinc/86/86/00/412868600.db2.gz QJBQKXLOLBJYOH-VIFPVBQESA-N 0 1 257.311 0.608 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(C[C@@H]2C(=O)OC)CC1 ZINC000265028969 190152847 /nfs/dbraw/zinc/15/28/47/190152847.db2.gz ICYKTJZWHZBAQN-NWDGAFQWSA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCCN(C)CC(=O)N1CCC[C@H](C(=O)OCC)C1 ZINC000265068909 190155964 /nfs/dbraw/zinc/15/59/64/190155964.db2.gz STVWSLXDACDORO-ZDUSSCGKSA-N 0 1 280.368 0.743 20 30 CCEDMN C=CCC[C@@H](CO)NS(=O)(=O)c1ccccc1O ZINC000657006652 412873259 /nfs/dbraw/zinc/87/32/59/412873259.db2.gz VDIBDQNBEDIWGB-JTQLQIEISA-N 0 1 271.338 0.998 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)c2ccn[nH]2)n1 ZINC000279136412 194300140 /nfs/dbraw/zinc/30/01/40/194300140.db2.gz NVWSGOOGXQIAHN-UHFFFAOYSA-N 0 1 257.297 0.902 20 30 CCEDMN C#CCCN1CCN(Cc2ncnn2CCC)CC1 ZINC000279137345 194300603 /nfs/dbraw/zinc/30/06/03/194300603.db2.gz XJLHEYFFLUEWBU-UHFFFAOYSA-N 0 1 261.373 0.829 20 30 CCEDMN C=CCCn1cc(CNCC2(CO)CCOCC2)nn1 ZINC000657412084 412985566 /nfs/dbraw/zinc/98/55/66/412985566.db2.gz AKNXWAXUEJAWEK-UHFFFAOYSA-N 0 1 280.372 0.733 20 30 CCEDMN C=CCCCNC(=O)C(=O)NCC[N@@H+]1CCC[C@@H](C)C1 ZINC000283882498 388771097 /nfs/dbraw/zinc/77/10/97/388771097.db2.gz DYLABDOOWGXMQI-CYBMUJFWSA-N 0 1 281.400 0.917 20 30 CCEDMN N#CCC(=O)N[C@@H]1CN(Cc2ccccc2)CC[C@@H]1CO ZINC000184990039 388781351 /nfs/dbraw/zinc/78/13/51/388781351.db2.gz QBRRBLNFECMTHA-HUUCEWRRSA-N 0 1 287.363 0.899 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1CC[C@H]2CCN(C)C[C@@H]21 ZINC000288665661 388864477 /nfs/dbraw/zinc/86/44/77/388864477.db2.gz VQAARTRWURJDSE-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN CN1CCC(NS(=O)(=O)c2cncc(C#N)c2)CC1 ZINC000289684615 388881849 /nfs/dbraw/zinc/88/18/49/388881849.db2.gz GPOMWVDDOBRNHG-UHFFFAOYSA-N 0 1 280.353 0.326 20 30 CCEDMN C=C[C@@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000290624806 388908657 /nfs/dbraw/zinc/90/86/57/388908657.db2.gz OWXGBNIUCUQMAE-LURJTMIESA-N 0 1 268.235 0.107 20 30 CCEDMN O=C(Nc1nc(-c2ccccn2)n[nH]1)[C@H]1COCCO1 ZINC000049424488 388919802 /nfs/dbraw/zinc/91/98/02/388919802.db2.gz MISJWHIUBCZVAK-SECBINFHSA-N 0 1 275.268 0.870 20 30 CCEDMN C#CCNC(=O)NCc1cccnc1N1CCN(C)CC1 ZINC000066891431 388946732 /nfs/dbraw/zinc/94/67/32/388946732.db2.gz UCGXMWRXUGCLKS-UHFFFAOYSA-N 0 1 287.367 0.266 20 30 CCEDMN C#CCN(C)CCN(C)c1ccc(S(N)(=O)=O)cc1 ZINC000295254833 388997475 /nfs/dbraw/zinc/99/74/75/388997475.db2.gz QRTUTOZZJRYCEQ-UHFFFAOYSA-N 0 1 281.381 0.335 20 30 CCEDMN CCN(CCC#N)C(=O)CN1[C@H](C)CC(O)C[C@H]1C ZINC000191167723 389008250 /nfs/dbraw/zinc/00/82/50/389008250.db2.gz FFNFZUVYEZNVLC-VXGBXAGGSA-N 0 1 267.373 0.982 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(C[C@H]3CCOC3)CC2)C1=O ZINC000337168414 389050367 /nfs/dbraw/zinc/05/03/67/389050367.db2.gz DBUYBQSLWFJDGK-HUUCEWRRSA-N 0 1 293.411 0.427 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(C3CCOCC3)CC2)C1=O ZINC000337198264 389053574 /nfs/dbraw/zinc/05/35/74/389053574.db2.gz YAPVXUIHCYNTQX-OAHLLOKOSA-N 0 1 293.411 0.570 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1CCN(C)CC[C@H]1C ZINC000355290668 389054359 /nfs/dbraw/zinc/05/43/59/389054359.db2.gz NUPNOWYBBCEPNB-GFCCVEGCSA-N 0 1 299.440 0.574 20 30 CCEDMN C=CCN1CC[C@@H](N(CCO)CCCOC)C1=O ZINC000337207569 389055147 /nfs/dbraw/zinc/05/51/47/389055147.db2.gz OSWVMSSZPWOTLD-GFCCVEGCSA-N 0 1 256.346 0.104 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCN2CCOC[C@@H]2C)C1=O ZINC000337224689 389057210 /nfs/dbraw/zinc/05/72/10/389057210.db2.gz NREOFEHPQCNLHG-UONOGXRCSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N[C@H]1CCCN(C)[C@@H]1C ZINC000355305645 389057530 /nfs/dbraw/zinc/05/75/30/389057530.db2.gz ZJPRGODEHKQUIY-NEPJUHHUSA-N 0 1 299.440 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCN2CCO[C@@H](C)C2)C1=O ZINC000337447786 389061825 /nfs/dbraw/zinc/06/18/25/389061825.db2.gz CXJFJZILEXUDEV-UONOGXRCSA-N 0 1 281.400 0.426 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NCCN1CCCC1 ZINC000337878282 389070672 /nfs/dbraw/zinc/07/06/72/389070672.db2.gz WESVLAOCPAJHAW-NSHDSACASA-N 0 1 274.390 0.151 20 30 CCEDMN Cc1ccc(NCCCc2nc(=O)[nH][nH]2)nc1C#N ZINC000302611385 389075159 /nfs/dbraw/zinc/07/51/59/389075159.db2.gz DZZLUJDUCABCMK-UHFFFAOYSA-N 0 1 258.285 0.718 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C[C@H]1CCC[C@@H]1O ZINC000305295444 389086122 /nfs/dbraw/zinc/08/61/22/389086122.db2.gz GHWQMEJLOBFZCR-OLZOCXBDSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(CCNS(=O)(=O)N(C)C[C@@H](C)C#N)C1CC1 ZINC000337085016 389042231 /nfs/dbraw/zinc/04/22/31/389042231.db2.gz DAYXCQXPWQULCM-NSHDSACASA-N 0 1 288.417 0.397 20 30 CCEDMN N#CCCCCNC(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000355135148 389043621 /nfs/dbraw/zinc/04/36/21/389043621.db2.gz NZWSXNKZRFPIBM-LLVKDONJSA-N 0 1 278.356 0.149 20 30 CCEDMN C[C@H]1CN(CCC(=O)N(C)CCC#N)[C@@H](C)CO1 ZINC000223209474 389143157 /nfs/dbraw/zinc/14/31/57/389143157.db2.gz JZQUUVLZXNIUQA-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN N#CC1(C(=O)Nc2nc(SCCO)n[nH]2)CCC1 ZINC000120742100 389144471 /nfs/dbraw/zinc/14/44/71/389144471.db2.gz NYHNYFYIKXMAMW-UHFFFAOYSA-N 0 1 267.314 0.521 20 30 CCEDMN CN(CC(=O)N1CCN(CC#N)CC1)[C@@H]1CCSC1 ZINC000328976933 389148015 /nfs/dbraw/zinc/14/80/15/389148015.db2.gz DCOUWMKMKPUOCH-GFCCVEGCSA-N 0 1 282.413 0.091 20 30 CCEDMN CC#CCNC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000121522172 389148682 /nfs/dbraw/zinc/14/86/82/389148682.db2.gz LEQJKWMGAIHZSR-UHFFFAOYSA-N 0 1 272.352 0.587 20 30 CCEDMN CCOC(=O)c1c[nH]c(NC(=O)CSCC#N)n1 ZINC000339169405 389126459 /nfs/dbraw/zinc/12/64/59/389126459.db2.gz AMSNIBOYFSCOHT-UHFFFAOYSA-N 0 1 268.298 0.782 20 30 CCEDMN C[C@H]1CN(CCOCCC#N)C[C@@]2(CCOC2)O1 ZINC000339144806 389126947 /nfs/dbraw/zinc/12/69/47/389126947.db2.gz MTDXRBNOCMOJDX-QWHCGFSZSA-N 0 1 254.330 0.796 20 30 CCEDMN O=C(NCCN1CCC1)NC1CCN(C(=O)C2CC2)CC1 ZINC000328649621 389130156 /nfs/dbraw/zinc/13/01/56/389130156.db2.gz ITSMUOQYJDSARH-UHFFFAOYSA-N 0 1 294.399 0.597 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000328786918 389138259 /nfs/dbraw/zinc/13/82/59/389138259.db2.gz JHRTZBOBRRHZNJ-UTUOFQBUSA-N 0 1 280.328 0.285 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCN(CCC(C)(C)C#N)C1 ZINC000119847968 389141346 /nfs/dbraw/zinc/14/13/46/389141346.db2.gz SAJXXTMCXGLOLS-SNVBAGLBSA-N 0 1 259.375 0.550 20 30 CCEDMN C=CC[C@H](CC)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000359674209 389181263 /nfs/dbraw/zinc/18/12/63/389181263.db2.gz AHPNBSWRSVUQHR-STQMWFEESA-N 0 1 268.405 0.886 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(CC(=O)OCC)CC1 ZINC000130400750 389205618 /nfs/dbraw/zinc/20/56/18/389205618.db2.gz PKHOVHRTUDERDV-GFCCVEGCSA-N 0 1 280.368 0.790 20 30 CCEDMN Cc1cc(C(=O)NC[C@@H]2CN(C)CCN2C)cnn1 ZINC000329852845 389205882 /nfs/dbraw/zinc/20/58/82/389205882.db2.gz VBXAPBPQCDSKQD-GFCCVEGCSA-N 0 1 263.345 0.335 20 30 CCEDMN COC(=O)[C@@H]1CCCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000245404884 389205927 /nfs/dbraw/zinc/20/59/27/389205927.db2.gz QXCBZOGPAPOVQH-NWDGAFQWSA-N 0 1 281.356 0.632 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)c1ccc2[nH]nnc2c1 ZINC000342172468 389199515 /nfs/dbraw/zinc/19/95/15/389199515.db2.gz AXHBIUDOGHQJRX-VIFPVBQESA-N 0 1 260.297 0.872 20 30 CCEDMN C[C@H]1C[C@H](NS(=O)(=O)CCCC#N)CCN1C ZINC000360654735 389201314 /nfs/dbraw/zinc/20/13/14/389201314.db2.gz VXXWDSFEWYCWCS-WDEREUQCSA-N 0 1 259.375 0.692 20 30 CCEDMN CC1=CCN(CCNC(=O)N2CCO[C@@H](C#N)C2)CC1 ZINC000358672837 389158048 /nfs/dbraw/zinc/15/80/48/389158048.db2.gz WYOVTPUQPARVLP-ZDUSSCGKSA-N 0 1 278.356 0.572 20 30 CCEDMN CCN1C[C@H](C(=O)NC[C@H]2CN(C)CCN2C)CC1=O ZINC000329368205 389159541 /nfs/dbraw/zinc/15/95/41/389159541.db2.gz LGEQQGKGSMFLBQ-NEPJUHHUSA-N 0 1 282.388 0.057 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCC2(O)CCCCC2)C1 ZINC000329386247 389161494 /nfs/dbraw/zinc/16/14/94/389161494.db2.gz SJLGZGRLDSFSSM-ZDUSSCGKSA-N 0 1 298.431 0.431 20 30 CCEDMN C[C@H](NC(=O)NC[C@@H]1CN(C)CCN1C)[C@@H]1CCCOC1 ZINC000329475140 389165621 /nfs/dbraw/zinc/16/56/21/389165621.db2.gz BBXNIGIGBJBHFF-BFHYXJOUSA-N 0 1 298.431 0.551 20 30 CCEDMN Cn1nc(C(=O)NC[C@@H]2CN(C)CCN2C)cc1C1CC1 ZINC000329504437 389167169 /nfs/dbraw/zinc/16/71/69/389167169.db2.gz ABGXEDLJGKSUIB-GFCCVEGCSA-N 0 1 291.399 0.848 20 30 CCEDMN CN(CC(=O)NC1(CO)CCOCC1)[C@H]1CCSC1 ZINC000329593410 389173036 /nfs/dbraw/zinc/17/30/36/389173036.db2.gz UQSHULVKQBMWOA-NSHDSACASA-N 0 1 288.413 0.922 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000359473094 389174568 /nfs/dbraw/zinc/17/45/68/389174568.db2.gz DVKQLHFKUWLBJL-CYBMUJFWSA-N 0 1 268.357 0.370 20 30 CCEDMN C=C[C@@H](C)NC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000128164755 389176252 /nfs/dbraw/zinc/17/62/52/389176252.db2.gz VUYSPQOQNUWISR-NEPJUHHUSA-N 0 1 254.378 0.496 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC2(O)CCCC2)CC1 ZINC000330569662 389241856 /nfs/dbraw/zinc/24/18/56/389241856.db2.gz DTJLECHXTRRMOD-UHFFFAOYSA-N 0 1 281.400 0.211 20 30 CCEDMN CNC(=O)N[C@H]1CCN(CCc2cnn(C)c2)C1 ZINC000330575670 389242624 /nfs/dbraw/zinc/24/26/24/389242624.db2.gz HRJPQEOOWNBTRJ-NSHDSACASA-N 0 1 251.334 0.170 20 30 CCEDMN C[C@@H]1C[C@@H]([NH+]=C([O-])NCCn2cccn2)CCO1 ZINC000330612641 389246504 /nfs/dbraw/zinc/24/65/04/389246504.db2.gz XTPWBCLHLQAXRU-MNOVXSKESA-N 0 1 252.318 0.954 20 30 CCEDMN C[C@@H]1C[C@@H](NC([O-])=[NH+]CCn2cccn2)CCO1 ZINC000330612641 389246506 /nfs/dbraw/zinc/24/65/06/389246506.db2.gz XTPWBCLHLQAXRU-MNOVXSKESA-N 0 1 252.318 0.954 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N(C)Cc1nnc[nH]1 ZINC000329891578 389208604 /nfs/dbraw/zinc/20/86/04/389208604.db2.gz IBTIZOBTAONORE-MWLCHTKSSA-N 0 1 250.302 0.744 20 30 CCEDMN Cn1cc(N2CC[C@H](N[C@H]3CC[C@@H](C#N)C3)C2=O)cn1 ZINC000329899605 389209863 /nfs/dbraw/zinc/20/98/63/389209863.db2.gz FPMGRYVTHBFVFL-MDZLAQPJSA-N 0 1 273.340 0.807 20 30 CCEDMN C[C@H](Cn1ccnc1)[NH+]=C([O-])N1C[C@@H]2[C@H](C1)OCCN2C ZINC000329929611 389212609 /nfs/dbraw/zinc/21/26/09/389212609.db2.gz YXFMRKYNUDCSAZ-UPJWGTAASA-N 0 1 293.371 0.200 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N(C)C[C@H](C)O ZINC000361515341 389218355 /nfs/dbraw/zinc/21/83/55/389218355.db2.gz XIOOXQYJTSCJHE-GMXVVIOVSA-N 0 1 255.362 0.742 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@H](OCCOC)C1 ZINC000245835932 389219332 /nfs/dbraw/zinc/21/93/32/389219332.db2.gz HCWUCNBBTJVRLB-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C=C[C@H](C)NC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000362378527 389234730 /nfs/dbraw/zinc/23/47/30/389234730.db2.gz GIYSHFCIWVLXNG-WDEREUQCSA-N 0 1 268.361 0.200 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@H]2CCCc3[nH]ncc32)CCO1 ZINC000342659002 389236972 /nfs/dbraw/zinc/23/69/72/389236972.db2.gz LLBABNVIHDVOBH-UWVGGRQHSA-N 0 1 260.297 0.581 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N1CCO[C@@H](C#N)C1 ZINC000247371112 389238904 /nfs/dbraw/zinc/23/89/04/389238904.db2.gz USVYWFJJDMCYDG-NWDGAFQWSA-N 0 1 251.330 0.612 20 30 CCEDMN C[C@@H](O)[C@@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000346961879 389342894 /nfs/dbraw/zinc/34/28/94/389342894.db2.gz LKHVEXACUQJQCO-GHMZBOCLSA-N 0 1 251.330 0.252 20 30 CCEDMN COC(=O)[C@H](C)CN(C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000345778816 389315092 /nfs/dbraw/zinc/31/50/92/389315092.db2.gz GGPOVLKEQVKKDW-MNOVXSKESA-N 0 1 281.356 0.678 20 30 CCEDMN C#CCN(CC(=O)NCCC(=O)OC)C1CCCC1 ZINC000155816865 389321427 /nfs/dbraw/zinc/32/14/27/389321427.db2.gz IEIQMVKDKLYRCS-UHFFFAOYSA-N 0 1 266.341 0.544 20 30 CCEDMN CNC(=O)N[C@H]1CCN(CCOCC(F)F)C1 ZINC000330978205 389269963 /nfs/dbraw/zinc/26/99/63/389269963.db2.gz UQYQJIGBLZBCMT-QMMMGPOBSA-N 0 1 251.277 0.476 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@]2(CCOC2)C1 ZINC000153395597 389299843 /nfs/dbraw/zinc/29/98/43/389299843.db2.gz UCMKMWDFZPQGTK-ZDUSSCGKSA-N 0 1 267.329 0.111 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(OC(C)=O)CC1 ZINC000153514250 389302485 /nfs/dbraw/zinc/30/24/85/389302485.db2.gz TWZFLCYFAJERPB-SNVBAGLBSA-N 0 1 252.314 0.152 20 30 CCEDMN C[C@@H](CO)CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000159729038 389373116 /nfs/dbraw/zinc/37/31/16/389373116.db2.gz OPVJNECPLYCFLH-SSDOTTSWSA-N 0 1 290.291 0.743 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cc(O)cc([N+](=O)[O-])c2)CCO1 ZINC000269296116 389412712 /nfs/dbraw/zinc/41/27/12/389412712.db2.gz RPMWIXUXLVWMOJ-LLVKDONJSA-N 0 1 277.236 0.665 20 30 CCEDMN C#CCCCNC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000373110547 389464172 /nfs/dbraw/zinc/46/41/72/389464172.db2.gz DHJFOHWESOBVEM-UONOGXRCSA-N 0 1 279.384 0.640 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@](O)(C2CC2)C1 ZINC000373239509 389467831 /nfs/dbraw/zinc/46/78/31/389467831.db2.gz ATRPUZTXHALLDL-IUODEOHRSA-N 0 1 279.384 0.841 20 30 CCEDMN N#Cc1cccc(F)c1CC(=O)NCc1nnc[nH]1 ZINC000350073291 389484146 /nfs/dbraw/zinc/48/41/46/389484146.db2.gz IQKJPKHLNWFSCX-UHFFFAOYSA-N 0 1 259.244 0.674 20 30 CCEDMN Cn1cc([C@H](CO)NCCOc2ccccc2C#N)cn1 ZINC000274152764 389491339 /nfs/dbraw/zinc/49/13/39/389491339.db2.gz YLTRWVUFCCFPSS-AWEZNQCLSA-N 0 1 286.335 0.994 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)CSc1nnc(C)[nH]1 ZINC000269634596 389423978 /nfs/dbraw/zinc/42/39/78/389423978.db2.gz WXNQXKVDTISWKK-SNVBAGLBSA-N 0 1 269.330 0.250 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)CSc1nc(C)n[nH]1 ZINC000269634596 389423982 /nfs/dbraw/zinc/42/39/82/389423982.db2.gz WXNQXKVDTISWKK-SNVBAGLBSA-N 0 1 269.330 0.250 20 30 CCEDMN CC(C)[N@@H+](C)C[C@@H](C)NS(=O)(=O)CC1(C#N)CC1 ZINC000349778838 389433255 /nfs/dbraw/zinc/43/32/55/389433255.db2.gz SZDVDOCFJIPQPU-LLVKDONJSA-N 0 1 273.402 0.938 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1C[C@@H](C)NC[C@@H]1C ZINC000335055689 389534874 /nfs/dbraw/zinc/53/48/74/389534874.db2.gz UTTCNXHQNYYZAL-MNOVXSKESA-N 0 1 253.346 0.230 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000281325748 389614420 /nfs/dbraw/zinc/61/44/20/389614420.db2.gz LOJFXXLQDKPXSJ-MXWKQRLJSA-N 0 1 267.329 0.107 20 30 CCEDMN C#C[C@H](NC(=O)NCCCN1CCC[C@@H]1C(N)=O)C(C)C ZINC000281548466 389619454 /nfs/dbraw/zinc/61/94/54/389619454.db2.gz YVIZPICBPATURE-QWHCGFSZSA-N 0 1 294.399 0.283 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000424966003 389636593 /nfs/dbraw/zinc/63/65/93/389636593.db2.gz LAMNXMZETIARGP-RWMBFGLXSA-N 0 1 265.357 0.763 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C(C)(C)COC)CC2)C1=O ZINC000425509796 389651532 /nfs/dbraw/zinc/65/15/32/389651532.db2.gz ULKASAQSIFQSNH-AWEZNQCLSA-N 0 1 295.427 0.816 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@H](n3cncn3)C2)C1=O ZINC000282099034 389657244 /nfs/dbraw/zinc/65/72/44/389657244.db2.gz VVNZUIBAFZPPKO-QWHCGFSZSA-N 0 1 275.356 0.702 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](N3CCCC3=O)C2)C1=O ZINC000282138394 389658509 /nfs/dbraw/zinc/65/85/09/389658509.db2.gz ZGVBPBZHDUKZPU-STQMWFEESA-N 0 1 277.368 0.470 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NC[C@H]1CN(C)CCN1C ZINC000426315766 389662205 /nfs/dbraw/zinc/66/22/05/389662205.db2.gz YCVJCEXUAROLPF-BFHYXJOUSA-N 0 1 281.400 0.330 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)C(=O)Nc1ccc(C#N)cc1 ZINC000415343334 389676261 /nfs/dbraw/zinc/67/62/61/389676261.db2.gz LCXASMMYZMVUHA-SNVBAGLBSA-N 0 1 272.308 0.317 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(C#N)c2)[C@@H](C)CN1 ZINC000415559724 389692226 /nfs/dbraw/zinc/69/22/26/389692226.db2.gz KTLDVGMSGPKPGW-MNOVXSKESA-N 0 1 286.335 0.706 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@H](C)c1nnc[nH]1 ZINC000441327597 389830501 /nfs/dbraw/zinc/83/05/01/389830501.db2.gz GNXZRUDLRNRAIC-SECBINFHSA-N 0 1 298.306 0.801 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@@H](C)c1nnc[nH]1 ZINC000441327599 389830663 /nfs/dbraw/zinc/83/06/63/389830663.db2.gz GNXZRUDLRNRAIC-VIFPVBQESA-N 0 1 298.306 0.801 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1CC[C@](C)(O)C1 ZINC000408346151 389844764 /nfs/dbraw/zinc/84/47/64/389844764.db2.gz IMWYIMVNVBVZET-XBFCOCLRSA-N 0 1 267.373 0.886 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1C[C@H](C)NC[C@H]1C ZINC000419158434 389888301 /nfs/dbraw/zinc/88/83/01/389888301.db2.gz XPSBBSPWEQLOMB-WDEREUQCSA-N 0 1 285.413 0.231 20 30 CCEDMN C=CCCS(=O)(=O)N[C@@H]1CCN(CCOC)C1 ZINC000444171021 389894047 /nfs/dbraw/zinc/89/40/47/389894047.db2.gz WKRPZTLAELFUCZ-LLVKDONJSA-N 0 1 262.375 0.203 20 30 CCEDMN CN(C[C@@H](O)Cn1cc([N+](=O)[O-])cn1)CC(C)(C)C#N ZINC000433324685 389908893 /nfs/dbraw/zinc/90/88/93/389908893.db2.gz FLXIHUAAHORIGM-LLVKDONJSA-N 0 1 281.316 0.634 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000435423554 389956852 /nfs/dbraw/zinc/95/68/52/389956852.db2.gz VBJGWIIDVMVHCH-UHFFFAOYSA-N 0 1 299.400 0.137 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN(CCO)C1CCOCC1)C1CC1 ZINC000444777096 389918309 /nfs/dbraw/zinc/91/83/09/389918309.db2.gz OGTKZGJYCCBOER-HNNXBMFYSA-N 0 1 295.383 0.268 20 30 CCEDMN CC(C)(NC(=O)c1cc(C#N)cs1)c1nn[nH]n1 ZINC000438669817 390009757 /nfs/dbraw/zinc/00/97/57/390009757.db2.gz BGVYDLVVAYPCJW-UHFFFAOYSA-N 0 1 262.298 0.798 20 30 CCEDMN C=CCNC(=O)CN(CCO)[C@@H]1CCCSC1 ZINC000439008260 390013144 /nfs/dbraw/zinc/01/31/44/390013144.db2.gz VGWZBNCQSGVTPO-LLVKDONJSA-N 0 1 258.387 0.479 20 30 CCEDMN C#CCNC(=O)CN1CCN([C@@H]2C=CCCC2)CC1 ZINC000491637904 390115313 /nfs/dbraw/zinc/11/53/13/390115313.db2.gz YRUXNQZMFBLSEW-CQSZACIVSA-N 0 1 261.369 0.462 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H]3OCC[C@@H]3C2)CC1 ZINC000491748770 390116440 /nfs/dbraw/zinc/11/64/40/390116440.db2.gz YOSJDWITVKSDDA-CABCVRRESA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCCCS(=O)(=O)N1CC[C@@H]2CN(C)C[C@H]21 ZINC000644298214 390186459 /nfs/dbraw/zinc/18/64/59/390186459.db2.gz FIPRBDZZJKLEAK-VXGBXAGGSA-N 0 1 258.387 0.918 20 30 CCEDMN CNC(=O)[C@@]1(C)CCN(Cc2ccncc2C#N)C1 ZINC000592121238 390362930 /nfs/dbraw/zinc/36/29/30/390362930.db2.gz QYUMBUPWHTZXOZ-AWEZNQCLSA-N 0 1 258.325 0.911 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000645375540 390417469 /nfs/dbraw/zinc/41/74/69/390417469.db2.gz NJTAQIVDYZHKAS-NWDGAFQWSA-N 0 1 276.340 0.861 20 30 CCEDMN C=CCn1cc(C(=O)NCc2n[nH]c(CC)n2)nn1 ZINC000648917056 390443204 /nfs/dbraw/zinc/44/32/04/390443204.db2.gz XSTCEAALOPYKKN-UHFFFAOYSA-N 0 1 261.289 0.075 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC(CC#N)CC2)[C@@H](C)CN1 ZINC000562961691 390491338 /nfs/dbraw/zinc/49/13/38/390491338.db2.gz PNZNPZJUMVBORM-NEPJUHHUSA-N 0 1 292.383 0.347 20 30 CCEDMN COCCN1CCCN(c2ncncc2C#N)CC1 ZINC000566617779 390633217 /nfs/dbraw/zinc/63/32/17/390633217.db2.gz UACYVCUFRLPLDN-UHFFFAOYSA-N 0 1 261.329 0.507 20 30 CCEDMN C[C@H]1CCN(CCNS(=O)(=O)c2ccc(C#N)nc2)C1 ZINC000524790933 390655366 /nfs/dbraw/zinc/65/53/66/390655366.db2.gz SZTIMZDJKAUGRE-NSHDSACASA-N 0 1 294.380 0.573 20 30 CCEDMN CCS(=O)(=O)NCCN1CCC(CCC#N)CC1 ZINC000568387325 390713898 /nfs/dbraw/zinc/71/38/98/390713898.db2.gz ZDOZNHWUGRVZSJ-UHFFFAOYSA-N 0 1 273.402 0.941 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)[C@@H](C)CN1CCOCC1 ZINC000570162303 390717497 /nfs/dbraw/zinc/71/74/97/390717497.db2.gz YBGPNNZMPXEIAR-XQQFMLRXSA-N 0 1 268.357 0.414 20 30 CCEDMN CC(C)[C@H]1CNCCN1S(=O)(=O)c1cccnc1C#N ZINC000528050679 390729826 /nfs/dbraw/zinc/72/98/26/390729826.db2.gz ZEPSNMAJIFEKHI-GFCCVEGCSA-N 0 1 294.380 0.572 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCN(CC2CC2)CC1 ZINC000527299757 390701061 /nfs/dbraw/zinc/70/10/61/390701061.db2.gz TZZFIKPLJFNNAN-CYBMUJFWSA-N 0 1 290.411 0.965 20 30 CCEDMN CN1CCC[C@@H]1CNC(=O)C(=O)Nc1cccc(C#N)n1 ZINC000572840840 390805920 /nfs/dbraw/zinc/80/59/20/390805920.db2.gz ZFMZUQAYQDTFHP-LLVKDONJSA-N 0 1 287.323 0.102 20 30 CCEDMN COc1cc(C#N)ccc1NC(=O)NC[C@@H]1COCCN1 ZINC000528688805 390768945 /nfs/dbraw/zinc/76/89/45/390768945.db2.gz LZNGFISLUCZZBH-LLVKDONJSA-N 0 1 290.323 0.677 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1Cc1ccc(C#N)cn1 ZINC000572494283 390786029 /nfs/dbraw/zinc/78/60/29/390786029.db2.gz PZMALGXNICSBLL-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN C[C@H]1C[C@H](O)CN1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528430789 390743383 /nfs/dbraw/zinc/74/33/83/390743383.db2.gz UUPDHKBLJSQMFD-STQMWFEESA-N 0 1 298.342 0.741 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCN2CCCC2=O)C1=O ZINC000532209943 390878824 /nfs/dbraw/zinc/87/88/24/390878824.db2.gz SSHBZDJTXDKUIJ-CYBMUJFWSA-N 0 1 279.384 0.718 20 30 CCEDMN N#Cc1ccc(NCC(=O)N[C@@H]2CCc3nc[nH]c3C2)nc1 ZINC000578509369 390888256 /nfs/dbraw/zinc/88/82/56/390888256.db2.gz DQLRJTVLPIBSIX-LLVKDONJSA-N 0 1 296.334 0.184 20 30 CCEDMN CO[C@@H]1CC[C@H](NC(=O)NCCN2CCCOCC2)C1 ZINC000329978865 533433523 /nfs/dbraw/zinc/43/35/23/533433523.db2.gz IJLVOSFXSMQQIT-QWHCGFSZSA-N 0 1 285.388 0.780 20 30 CCEDMN CCN(CC)c1n[nH]c(NS(C)(=O)=O)c1C#N ZINC000548697764 286059147 /nfs/dbraw/zinc/05/91/47/286059147.db2.gz LPOHKMZJQQFHBU-UHFFFAOYSA-N 0 1 257.319 0.499 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1S(=O)(=O)c1ccc(C#N)cc1 ZINC000086468961 297114168 /nfs/dbraw/zinc/11/41/68/297114168.db2.gz LEIDWIYQAXYTJE-WDEREUQCSA-N 0 1 279.365 0.929 20 30 CCEDMN C=CCCn1cc(CN2CCN(CCO)C[C@@H]2C)nn1 ZINC000653756018 412341725 /nfs/dbraw/zinc/34/17/25/412341725.db2.gz PGKYZENIIPICRB-ZDUSSCGKSA-N 0 1 279.388 0.353 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)NCC(=O)N2CCNCC2)C1 ZINC000659508529 413569770 /nfs/dbraw/zinc/56/97/70/413569770.db2.gz RLLKVCFIOQGYCZ-ZDUSSCGKSA-N 0 1 294.399 0.416 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](OC)[C@@H]2CCOC2)C1=O ZINC000660463327 414340570 /nfs/dbraw/zinc/34/05/70/414340570.db2.gz ARDIXJGVZDWKEX-HZSPNIEDSA-N 0 1 282.384 0.757 20 30 CCEDMN C=CCCNC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000660863450 414514335 /nfs/dbraw/zinc/51/43/35/414514335.db2.gz HGVYTVCAFYQOBH-AWEZNQCLSA-N 0 1 296.415 0.268 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])CC(=O)N(CCC#N)CCC#N ZINC000038022096 174002384 /nfs/dbraw/zinc/00/23/84/174002384.db2.gz ARBUMWJYTIORFG-UHFFFAOYSA-N 0 1 280.328 0.439 20 30 CCEDMN CCC[N@@H+](CC(=O)[O-])CC(=O)N(CCC#N)CCC#N ZINC000038022096 174002385 /nfs/dbraw/zinc/00/23/85/174002385.db2.gz ARBUMWJYTIORFG-UHFFFAOYSA-N 0 1 280.328 0.439 20 30 CCEDMN CN(CC(=O)N1CCNCC1)c1ccc(C#N)cc1 ZINC000038031634 174026579 /nfs/dbraw/zinc/02/65/79/174026579.db2.gz YATOUIGNKSQDKY-UHFFFAOYSA-N 0 1 258.325 0.426 20 30 CCEDMN C=C(C)CCN1C[C@H]2[C@@H](C1)[C@H](OC)CS2(=O)=O ZINC000661883086 414682039 /nfs/dbraw/zinc/68/20/39/414682039.db2.gz CPJYRMUAPORIPJ-TUAOUCFPSA-N 0 1 259.371 0.696 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1ccc(OC)cc1 ZINC000662168108 414695122 /nfs/dbraw/zinc/69/51/22/414695122.db2.gz YEXMYWQZKYMMIV-GFCCVEGCSA-N 0 1 264.325 0.448 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)CC(C)(C)O ZINC000078727897 177377796 /nfs/dbraw/zinc/37/77/96/177377796.db2.gz IRGLLZLVPUIFHJ-CYBMUJFWSA-N 0 1 253.346 0.498 20 30 CCEDMN CO[C@@H]1COC[C@H]1NCC(=O)N(C)C1(C#N)CCCCC1 ZINC000575178491 335076103 /nfs/dbraw/zinc/07/61/03/335076103.db2.gz CDQURDPZKICDGO-CHWSQXEVSA-N 0 1 295.383 0.675 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NC[C@@H]1COCCO1 ZINC000329343118 227456138 /nfs/dbraw/zinc/45/61/38/227456138.db2.gz SWPDVYSJNPQSPH-VXGBXAGGSA-N 0 1 272.345 0.327 20 30 CCEDMN O=C(CN1CCn2c(=O)[nH]nc2C1)NC(C1CC1)C1CC1 ZINC000329988656 228008009 /nfs/dbraw/zinc/00/80/09/228008009.db2.gz HJEZYCRTXWSMIE-UHFFFAOYSA-N 0 1 291.355 0.944 20 30 CCEDMN COCC1=CCN(C(=O)NCCn2cncn2)CC1 ZINC000330067785 228032082 /nfs/dbraw/zinc/03/20/82/228032082.db2.gz FVMDLJRLEAUTKD-UHFFFAOYSA-N 0 1 265.317 0.471 20 30 CCEDMN N#CC1(C(=O)N2CCN(C[C@H]3CCOC3)CC2)CCC1 ZINC000330184773 228058757 /nfs/dbraw/zinc/05/87/57/228058757.db2.gz ISODMWPLFCTFES-CYBMUJFWSA-N 0 1 277.368 0.861 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)c1csc(=NC2CC2)[nH]1 ZINC000330273818 228089103 /nfs/dbraw/zinc/08/91/03/228089103.db2.gz ZTIFFMDPBKOIQX-SECBINFHSA-N 0 1 283.353 0.859 20 30 CCEDMN COC[C@H]1CCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000352228335 228201448 /nfs/dbraw/zinc/20/14/48/228201448.db2.gz XJOBSZVNVINKMI-ZDUSSCGKSA-N 0 1 278.356 0.611 20 30 CCEDMN COC[C@H](C)NCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000147731429 544646797 /nfs/dbraw/zinc/64/67/97/544646797.db2.gz ACGNUDHTEALQHH-NSHDSACASA-N 0 1 282.365 0.956 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)NCC2(O)CCC2)C1 ZINC000329836137 545027359 /nfs/dbraw/zinc/02/73/59/545027359.db2.gz LROGEQITZLGHLJ-ZDUSSCGKSA-N 0 1 299.415 0.762 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)NCC2(O)CCC2)C1 ZINC000329836132 545027380 /nfs/dbraw/zinc/02/73/80/545027380.db2.gz LROGEQITZLGHLJ-CYBMUJFWSA-N 0 1 299.415 0.762 20 30 CCEDMN N#CC1(CCCN2CCN3C(=O)NC[C@@H]3C2)CCOCC1 ZINC000407955459 260042124 /nfs/dbraw/zinc/04/21/24/260042124.db2.gz IHGAMTMRIVYXPW-CYBMUJFWSA-N 0 1 292.383 0.796 20 30 CCEDMN C=CCN1CC[C@H](N2CCN([C@H]3CCC[C@@H]3O)CC2)C1=O ZINC000374163833 260189353 /nfs/dbraw/zinc/18/93/53/260189353.db2.gz VAURDWMSHBSHCA-KKUMJFAQSA-N 0 1 293.411 0.304 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)[C@@H](C)CN1C ZINC000408075330 260214673 /nfs/dbraw/zinc/21/46/73/260214673.db2.gz YVLXAUDHEIBTQF-XUJVJEKNSA-N 0 1 278.400 0.819 20 30 CCEDMN CCCN(CC#N)C(=O)CSc1nnc(C)[nH]1 ZINC000081002007 260329168 /nfs/dbraw/zinc/32/91/68/260329168.db2.gz QYUPSPQRRYEPFZ-UHFFFAOYSA-N 0 1 253.331 0.967 20 30 CCEDMN CCCN(CC#N)C(=O)CSc1nc(C)n[nH]1 ZINC000081002007 260329169 /nfs/dbraw/zinc/32/91/69/260329169.db2.gz QYUPSPQRRYEPFZ-UHFFFAOYSA-N 0 1 253.331 0.967 20 30 CCEDMN N#CC1(CNC[C@@H](O)Cn2cc([N+](=O)[O-])cn2)CCC1 ZINC000518365101 260384499 /nfs/dbraw/zinc/38/44/99/260384499.db2.gz BWTBZUCNRULEOK-LLVKDONJSA-N 0 1 279.300 0.436 20 30 CCEDMN CC[C@](C)(NCC(=O)N[C@@](C)(C#N)C1CC1)C(N)=O ZINC000177137656 261334777 /nfs/dbraw/zinc/33/47/77/261334777.db2.gz DEBWSOVYKWQRFN-STQMWFEESA-N 0 1 266.345 0.038 20 30 CCEDMN C[C@H](CNC(=O)N1CCO[C@@H](C#N)C1)[N@@H+](C)C1CC1 ZINC000106339305 261369621 /nfs/dbraw/zinc/36/96/21/261369621.db2.gz KAKKLDVEKDKBNV-PWSUYJOCSA-N 0 1 266.345 0.403 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CCNS(C)(=O)=O ZINC000159398181 262352628 /nfs/dbraw/zinc/35/26/28/262352628.db2.gz PNWIYBFGHXIARP-GFCCVEGCSA-N 0 1 258.387 0.804 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000339335249 262629237 /nfs/dbraw/zinc/62/92/37/262629237.db2.gz HXVHQEBJDYMEEG-ZIAGYGMSSA-N 0 1 283.416 0.720 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000339729815 262662777 /nfs/dbraw/zinc/66/27/77/262662777.db2.gz NUWZJRPMTHYMIS-ZDUSSCGKSA-N 0 1 297.399 0.104 20 30 CCEDMN N#CCCCS(=O)(=O)N1CCCc2[nH]ncc21 ZINC000279512323 263055809 /nfs/dbraw/zinc/05/58/09/263055809.db2.gz RRZICFVJMYMCPZ-UHFFFAOYSA-N 0 1 254.315 0.796 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)NCc1cn[nH]c1C ZINC000340545282 263194202 /nfs/dbraw/zinc/19/42/02/263194202.db2.gz JIWRXTYESIBQKA-UHFFFAOYSA-N 0 1 250.302 0.369 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](O)C[C@H]1C ZINC000340920488 263315381 /nfs/dbraw/zinc/31/53/81/263315381.db2.gz XAYSEGBCNRDYRP-UTUOFQBUSA-N 0 1 253.346 0.450 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N(CC)C[C@@H](C)C#N)C[C@H]1O ZINC000341058501 263320012 /nfs/dbraw/zinc/32/00/12/263320012.db2.gz VQUBKOOOWZJRML-YNEHKIRRSA-N 0 1 267.373 0.697 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N[C@@H]1CCCN(C)[C@H]1C ZINC000342005641 263376170 /nfs/dbraw/zinc/37/61/70/263376170.db2.gz OJFIVKLHXHVRNV-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN CCNC(=O)C[C@@H]1CCCCN1CC(=O)NCC#N ZINC000342010263 263377110 /nfs/dbraw/zinc/37/71/10/263377110.db2.gz CPOXARGVTDACDQ-NSHDSACASA-N 0 1 266.345 0.007 20 30 CCEDMN COCC1(C#N)CCN(CCN2CCO[C@@H](C)C2)CC1 ZINC000341989426 263381230 /nfs/dbraw/zinc/38/12/30/263381230.db2.gz JZTVODUPGXFTPH-AWEZNQCLSA-N 0 1 281.400 0.959 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC000330775053 264034393 /nfs/dbraw/zinc/03/43/93/264034393.db2.gz YOFSBQKJUQIWEB-LLVKDONJSA-N 0 1 293.371 0.568 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1cncnc1 ZINC000330847310 264067343 /nfs/dbraw/zinc/06/73/43/264067343.db2.gz CPAIMCBCPWUAPR-NSHDSACASA-N 0 1 263.345 0.417 20 30 CCEDMN Cc1nc([C@H]2CN(C(=O)CCCC#N)CCO2)n[nH]1 ZINC000330907606 264074647 /nfs/dbraw/zinc/07/46/47/264074647.db2.gz WITLMJLPMNBURK-SNVBAGLBSA-N 0 1 263.301 0.707 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000330909224 264075450 /nfs/dbraw/zinc/07/54/50/264075450.db2.gz OUQOGGVXGWWBHE-HOCLYGCPSA-N 0 1 296.411 0.884 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000330942293 264083613 /nfs/dbraw/zinc/08/36/13/264083613.db2.gz DPTSLSUDTFRWQP-TZMCWYRMSA-N 0 1 269.389 0.066 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)CCCCc1cn[nH]n1 ZINC000330946225 264085145 /nfs/dbraw/zinc/08/51/45/264085145.db2.gz GUYDRJSUQJOOHL-LLVKDONJSA-N 0 1 263.301 0.268 20 30 CCEDMN CCOC(=O)[C@](C)(O)CN1CCC(C)(C#N)CC1 ZINC000331078800 264116029 /nfs/dbraw/zinc/11/60/29/264116029.db2.gz XVTIRUJEOAXMBE-CYBMUJFWSA-N 0 1 254.330 0.926 20 30 CCEDMN CC1=CC[N@@H+](CCNC(=O)N[C@@H](C)C(=O)N(C)C)CC1 ZINC000331114317 264128136 /nfs/dbraw/zinc/12/81/36/264128136.db2.gz KCUJOZSNAXVZGC-LBPRGKRZSA-N 0 1 282.388 0.619 20 30 CCEDMN CC1=CCN(CCNC(=O)N[C@@H](C)C(=O)N(C)C)CC1 ZINC000331114317 264128138 /nfs/dbraw/zinc/12/81/38/264128138.db2.gz KCUJOZSNAXVZGC-LBPRGKRZSA-N 0 1 282.388 0.619 20 30 CCEDMN C[C@H](C[NH+]=C([O-])N1CCO[C@@H](CO)C1)Cn1cccn1 ZINC000331167372 264144998 /nfs/dbraw/zinc/14/49/98/264144998.db2.gz HDKKQWCMJXQHCR-VXGBXAGGSA-N 0 1 282.344 0.126 20 30 CCEDMN C[C@H](O)CCN(C)C(=O)N[C@H]1CCc2ncnn2C1 ZINC000331180505 264155338 /nfs/dbraw/zinc/15/53/38/264155338.db2.gz QZGWGAUXMSNIRX-UWVGGRQHSA-N 0 1 267.333 0.210 20 30 CCEDMN COCc1nc2n(n1)C[C@H]([NH+]=C([O-])NCCF)CC2 ZINC000331243348 264177630 /nfs/dbraw/zinc/17/76/30/264177630.db2.gz KTRBCOGMFGUPOS-MRVPVSSYSA-N 0 1 271.296 0.212 20 30 CCEDMN CC(C)OCCCNC(=O)N1CCOC[C@@H]1c1nnc[nH]1 ZINC000331249431 264180198 /nfs/dbraw/zinc/18/01/98/264180198.db2.gz XUTDKXWLGJZJQH-LLVKDONJSA-N 0 1 297.359 0.907 20 30 CCEDMN C#CCSCCNC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000163235645 264350298 /nfs/dbraw/zinc/35/02/98/264350298.db2.gz PAOKETWZLAQDLB-UHFFFAOYSA-N 0 1 254.271 0.414 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000290275474 264759669 /nfs/dbraw/zinc/75/96/69/264759669.db2.gz PXBILECJGJTXPH-OAHLLOKOSA-N 0 1 299.418 0.963 20 30 CCEDMN N#CC1(NC(=O)CN2C[C@@H]3CC[C@H](O)[C@H]3C2)CCC1 ZINC000525886644 265036430 /nfs/dbraw/zinc/03/64/30/265036430.db2.gz KJPYYBWINYLIAW-SRVKXCTJSA-N 0 1 263.341 0.252 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cncc(F)c1 ZINC000357107789 266250037 /nfs/dbraw/zinc/25/00/37/266250037.db2.gz UAXPXOJWZYPMIC-UHFFFAOYSA-N 0 1 298.299 0.678 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCOCC2(CCC2)C1 ZINC000368735222 267200577 /nfs/dbraw/zinc/20/05/77/267200577.db2.gz YTKDONBTOQRANP-LBPRGKRZSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(n2cccn2)CC1 ZINC000369116099 267223044 /nfs/dbraw/zinc/22/30/44/267223044.db2.gz IYZIDEXBVNCFGV-LBPRGKRZSA-N 0 1 260.341 0.658 20 30 CCEDMN N#CC1(c2ccccn2)CCN(CCOCCO)CC1 ZINC000369206587 267226580 /nfs/dbraw/zinc/22/65/80/267226580.db2.gz NBMSNNRSCGCGDF-UHFFFAOYSA-N 0 1 275.352 0.948 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCCN(CCCCC#N)C1 ZINC000369287305 267231981 /nfs/dbraw/zinc/23/19/81/267231981.db2.gz GBOLTCXIQQINPO-LLVKDONJSA-N 0 1 259.375 0.694 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCC[N@@H+](CCCCC#N)C1 ZINC000369287305 267231978 /nfs/dbraw/zinc/23/19/78/267231978.db2.gz GBOLTCXIQQINPO-LLVKDONJSA-N 0 1 259.375 0.694 20 30 CCEDMN Cc1nc(C(=O)N2CCOC[C@H]2c2nnc[nH]2)ccc1C#N ZINC000284572253 279066535 /nfs/dbraw/zinc/06/65/35/279066535.db2.gz YVYOBDFJOCJSCR-LBPRGKRZSA-N 0 1 298.306 0.594 20 30 CCEDMN C=CC[C@H](CO)NS(=O)(=O)CCN(CC)CC ZINC000352177235 328744857 /nfs/dbraw/zinc/74/48/57/328744857.db2.gz ZPNKQHGCCFCSDJ-LLVKDONJSA-N 0 1 264.391 0.185 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC2(CC2)CC1 ZINC000378326181 329046065 /nfs/dbraw/zinc/04/60/65/329046065.db2.gz LALKWODCQRXMOD-UHFFFAOYSA-N 0 1 251.330 0.874 20 30 CCEDMN CC[C@H](CC#N)NC[C@@H](O)COC1CCOCC1 ZINC000571175224 328090837 /nfs/dbraw/zinc/09/08/37/328090837.db2.gz AYMAWROBFFZKSS-VXGBXAGGSA-N 0 1 256.346 0.825 20 30 CCEDMN CCNC(=O)CN1CCN(CCCCC#N)CC1 ZINC000160960067 328097788 /nfs/dbraw/zinc/09/77/88/328097788.db2.gz ANNRPULWAQQPPC-UHFFFAOYSA-N 0 1 252.362 0.434 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@](C)(C(=O)OC)C1 ZINC000177422359 329184166 /nfs/dbraw/zinc/18/41/66/329184166.db2.gz BGGBJUJPIXRFFD-AWEZNQCLSA-N 0 1 281.356 0.634 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCCCO2)CC1 ZINC000050963370 328453024 /nfs/dbraw/zinc/45/30/24/328453024.db2.gz YTBSILYBLMZFRW-NSHDSACASA-N 0 1 251.330 0.660 20 30 CCEDMN COC[C@H](C)OC[C@@H](O)CNCC1(C#N)CCC1 ZINC000566118901 327140962 /nfs/dbraw/zinc/14/09/62/327140962.db2.gz JYKFIAOBWGJZFR-RYUDHWBXSA-N 0 1 256.346 0.682 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@@H]2CCCC[C@H]2C[NH3+])o1 ZINC000579259398 327361056 /nfs/dbraw/zinc/36/10/56/327361056.db2.gz TYOLRNBBWGPGIN-GXSJLCMTSA-N 0 1 283.353 0.947 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@@H](OC)C[C@H]1C(=O)[O-] ZINC000579614475 327396540 /nfs/dbraw/zinc/39/65/40/327396540.db2.gz DWILTLYYKXBNQB-TUAOUCFPSA-N 0 1 257.330 0.878 20 30 CCEDMN N#CCC(=O)N1CCN(C[C@@H]2CCCC[C@H]2O)CC1 ZINC000563969504 328842237 /nfs/dbraw/zinc/84/22/37/328842237.db2.gz XFTXEEHMPXQKCL-QWHCGFSZSA-N 0 1 265.357 0.595 20 30 CCEDMN C#CCCS(=O)(=O)N1CCCN(C)C[C@H]1CC ZINC000377889488 329033675 /nfs/dbraw/zinc/03/36/75/329033675.db2.gz OVVWRTAGRICIGG-GFCCVEGCSA-N 0 1 258.387 0.756 20 30 CCEDMN CO[C@@H](C)[C@@H](C)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000582541404 329109053 /nfs/dbraw/zinc/10/90/53/329109053.db2.gz HCTKYWYPEOXWSR-MNOVXSKESA-N 0 1 297.380 0.740 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@H](COC)C2)CC1 ZINC000172620774 329137803 /nfs/dbraw/zinc/13/78/03/329137803.db2.gz SMVXXDCNCYNAGM-AWEZNQCLSA-N 0 1 280.368 0.205 20 30 CCEDMN N#CCSCCC[N@H+]1CCN2C(=O)NC[C@@H]2C1 ZINC000175889821 329170835 /nfs/dbraw/zinc/17/08/35/329170835.db2.gz HHGOQKKNBXLXDB-SNVBAGLBSA-N 0 1 254.359 0.343 20 30 CCEDMN N#CCSCCCN1CCN2C(=O)NC[C@@H]2C1 ZINC000175889821 329170837 /nfs/dbraw/zinc/17/08/37/329170837.db2.gz HHGOQKKNBXLXDB-SNVBAGLBSA-N 0 1 254.359 0.343 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@H](CO)C1 ZINC000177667545 329188702 /nfs/dbraw/zinc/18/87/02/329188702.db2.gz UUYAZULFCQDOBW-STQMWFEESA-N 0 1 267.373 0.699 20 30 CCEDMN Cc1ncsc1CCn1c(=O)[nH]cc(C#N)c1=O ZINC000582845325 329273345 /nfs/dbraw/zinc/27/33/45/329273345.db2.gz PBWZEWYJPSXPAP-UHFFFAOYSA-N 0 1 262.294 0.416 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCC[C@]12CCOC2=O ZINC000186645863 329347046 /nfs/dbraw/zinc/34/70/46/329347046.db2.gz YEPWABRTUFDGJX-CQSZACIVSA-N 0 1 279.340 0.530 20 30 CCEDMN C=CCN1CCC(NC(=O)N[C@H]2CC[S@@](=O)C2)CC1 ZINC000332946046 330120039 /nfs/dbraw/zinc/12/00/39/330120039.db2.gz OVBGLMOHNLLYIK-HXPMCKFVSA-N 0 1 285.413 0.457 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000423003597 330164868 /nfs/dbraw/zinc/16/48/68/330164868.db2.gz ICEUHXZRIFDRGX-BETUJISGSA-N 0 1 277.368 0.540 20 30 CCEDMN CC(C)C[C@@H](CN(C)C)NS(=O)(=O)[C@@H](C)C#N ZINC000088477128 330376587 /nfs/dbraw/zinc/37/65/87/330376587.db2.gz JVJGRJLJIVSPLL-QWRGUYRKSA-N 0 1 261.391 0.794 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N(C)CC(C)(C)CO ZINC000451249615 331021854 /nfs/dbraw/zinc/02/18/54/331021854.db2.gz VQQKEAREGVXBDF-LLVKDONJSA-N 0 1 255.362 0.697 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](O)[C@@H]1C ZINC000451458048 331030244 /nfs/dbraw/zinc/03/02/44/331030244.db2.gz MQDJMJFNRRBKOP-RYUDHWBXSA-N 0 1 253.346 0.594 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NC[C@H](C)N(C)C ZINC000451940331 331047724 /nfs/dbraw/zinc/04/77/24/331047724.db2.gz XQVBIDSKSUDRHO-UWVGGRQHSA-N 0 1 262.379 0.005 20 30 CCEDMN C=C(C)CCNC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000454490786 331147266 /nfs/dbraw/zinc/14/72/66/331147266.db2.gz XFLPHRQQDBDMEW-GFCCVEGCSA-N 0 1 282.388 0.592 20 30 CCEDMN C=C(C)COCCNC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000458570423 331253258 /nfs/dbraw/zinc/25/32/58/331253258.db2.gz NPEPKAKTQFICLZ-OKILXGFUSA-N 0 1 299.415 0.676 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N1CC[C@@H](N2CC=CC2)C1 ZINC000459815740 331301929 /nfs/dbraw/zinc/30/19/29/331301929.db2.gz GJOYXKNWFOIYDJ-CQSZACIVSA-N 0 1 289.379 0.660 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC000490619067 332096533 /nfs/dbraw/zinc/09/65/33/332096533.db2.gz ZQZFVNSCLWILMP-CABCVRRESA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC000490619067 332096534 /nfs/dbraw/zinc/09/65/34/332096534.db2.gz ZQZFVNSCLWILMP-CABCVRRESA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)C[C@@H]1COC(=O)C1 ZINC000490893879 332126433 /nfs/dbraw/zinc/12/64/33/332126433.db2.gz XUAOUGRXCBZZRS-NEPJUHHUSA-N 0 1 265.309 0.580 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)C1(C(=O)OCC)CC1 ZINC000490917434 332128933 /nfs/dbraw/zinc/12/89/33/332128933.db2.gz XSOQIIJPECLSFZ-LBPRGKRZSA-N 0 1 279.336 0.971 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C(C)(C)C(=O)OC)CC1 ZINC000491072719 332147020 /nfs/dbraw/zinc/14/70/20/332147020.db2.gz VFEIBDLRNULNSP-UHFFFAOYSA-N 0 1 280.368 0.742 20 30 CCEDMN C#CCNC(=O)CCN1CCN(CC(F)F)[C@H](CC)C1 ZINC000491167174 332160426 /nfs/dbraw/zinc/16/04/26/332160426.db2.gz WSRPNBXHMDAPJO-GFCCVEGCSA-N 0 1 287.354 0.787 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)NCCCc1cn[nH]c1C ZINC000491297669 332184686 /nfs/dbraw/zinc/18/46/86/332184686.db2.gz ROXZTEKZIFCFKJ-ZDUSSCGKSA-N 0 1 276.340 0.694 20 30 CCEDMN C#CCN(CC#N)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000491307508 332186971 /nfs/dbraw/zinc/18/69/71/332186971.db2.gz QKEBQLKBICBJBZ-UHFFFAOYSA-N 0 1 288.332 0.467 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1cc(C)ccc1Cl ZINC000491438431 332211237 /nfs/dbraw/zinc/21/12/37/332211237.db2.gz CIPAYFWXASQODQ-NWDGAFQWSA-N 0 1 283.755 0.972 20 30 CCEDMN C#CCNC(=O)C1CCN(C(=O)[C@@H](C)N2CC(C)C2)CC1 ZINC000491542822 332233558 /nfs/dbraw/zinc/23/35/58/332233558.db2.gz CIXYUYZJWUDIHB-CYBMUJFWSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCNC(=O)CCNCc1ccc([C@@H](C)O)c(C)n1 ZINC000491635265 332256381 /nfs/dbraw/zinc/25/63/81/332256381.db2.gz WAQFONCPIRBWIY-GFCCVEGCSA-N 0 1 275.352 0.672 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2c(C)nnn2CC)CC1 ZINC000491651331 332264918 /nfs/dbraw/zinc/26/49/18/332264918.db2.gz GGSNHINKVPYTHZ-UHFFFAOYSA-N 0 1 290.367 0.995 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)CN1CCN(C)CC1)C(C)(C)C ZINC000491662151 332271727 /nfs/dbraw/zinc/27/17/27/332271727.db2.gz BPVQVUSJLLWQCW-KBPBESRZSA-N 0 1 294.443 0.969 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CCCOCC1)C(C)C ZINC000491745110 332323051 /nfs/dbraw/zinc/32/30/51/332323051.db2.gz MZAYBEATDGCXCO-ZDUSSCGKSA-N 0 1 267.373 0.666 20 30 CCEDMN C#CCN1C(=O)C[C@@H](NCc2ccc(F)cc2)C1=O ZINC000491760215 332331348 /nfs/dbraw/zinc/33/13/48/332331348.db2.gz PYLFKZLAUMNIEX-GFCCVEGCSA-N 0 1 260.268 0.676 20 30 CCEDMN C#C[C@H](CC)NC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000491800536 332355112 /nfs/dbraw/zinc/35/51/12/332355112.db2.gz AFCMVQYAGQASRG-CQSZACIVSA-N 0 1 286.379 0.975 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000491819199 332369041 /nfs/dbraw/zinc/36/90/41/332369041.db2.gz NCZYBAGVHPZPHK-JTQLQIEISA-N 0 1 256.265 0.432 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)Cc1nnc[nH]1 ZINC000177135994 333053803 /nfs/dbraw/zinc/05/38/03/333053803.db2.gz UIJWNESHSOGXJW-SNVBAGLBSA-N 0 1 264.333 0.245 20 30 CCEDMN C[C@@H]1C[NH+](C[C@H](O)CNCC2(C#N)CCC2)C[C@@H](C)O1 ZINC000517126499 333093176 /nfs/dbraw/zinc/09/31/76/333093176.db2.gz GQKHWJNRDSXZIA-MGPQQGTHSA-N 0 1 281.400 0.740 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CNCC2(C#N)CCC2)C[C@@H](C)O1 ZINC000517126499 333093177 /nfs/dbraw/zinc/09/31/77/333093177.db2.gz GQKHWJNRDSXZIA-MGPQQGTHSA-N 0 1 281.400 0.740 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cccc(C(N)=O)c2)CC1 ZINC000517343284 333097447 /nfs/dbraw/zinc/09/74/47/333097447.db2.gz HYACHQMIVPNGER-UHFFFAOYSA-N 0 1 286.335 0.503 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCOC[C@@H]2CCCO2)CC1 ZINC000520991136 333109685 /nfs/dbraw/zinc/10/96/85/333109685.db2.gz JEBZFKSGVVJUKE-ZDUSSCGKSA-N 0 1 295.383 0.676 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)NCC#CCO ZINC000521270900 333116828 /nfs/dbraw/zinc/11/68/28/333116828.db2.gz XETCFZAZEXYLLG-UHFFFAOYSA-N 0 1 252.339 0.535 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NC[C@H]1COCCN1 ZINC000529330220 333482710 /nfs/dbraw/zinc/48/27/10/333482710.db2.gz KNJGXMILTMOOQS-TUAOUCFPSA-N 0 1 269.345 0.008 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)NC[C@@H]1COCCN1 ZINC000529374898 333483805 /nfs/dbraw/zinc/48/38/05/333483805.db2.gz FGNHVCXGXXNANF-NEPJUHHUSA-N 0 1 253.346 0.725 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000529909785 333513704 /nfs/dbraw/zinc/51/37/04/333513704.db2.gz XZUZTAQTKSYKPA-LBPRGKRZSA-N 0 1 268.357 0.247 20 30 CCEDMN COCCN(CCCSCC#N)[C@H](C)C(N)=O ZINC000074990465 334021651 /nfs/dbraw/zinc/02/16/51/334021651.db2.gz JFTWZRLXVUEENV-SNVBAGLBSA-N 0 1 259.375 0.455 20 30 CCEDMN COC(=O)c1ncsc1S(=O)(=O)NC(C)(C)C#N ZINC000547952552 334131162 /nfs/dbraw/zinc/13/11/62/334131162.db2.gz JTRNBVLQYKECPI-UHFFFAOYSA-N 0 1 289.338 0.510 20 30 CCEDMN C#CCNC(=O)[C@@H](C)ON=C(N)CN1CCCC[C@H]1C ZINC000246979045 334273130 /nfs/dbraw/zinc/27/31/30/334273130.db2.gz JOITVTDQHAYAQG-VXGBXAGGSA-N 0 1 280.372 0.288 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000252885500 334398749 /nfs/dbraw/zinc/39/87/49/334398749.db2.gz XZQGYJDMFIOKIY-ABHRYQDASA-N 0 1 279.384 0.744 20 30 CCEDMN CN1CCN(CC(=O)NC2(C#N)CCC2)CC1(C)C ZINC000576408779 335200407 /nfs/dbraw/zinc/20/04/07/335200407.db2.gz OAJRSBDIVGXNFD-UHFFFAOYSA-N 0 1 264.373 0.575 20 30 CCEDMN OCC(CO)NCC#Cc1ccc(C(F)(F)F)cc1 ZINC000578079743 335482725 /nfs/dbraw/zinc/48/27/25/335482725.db2.gz BMUDGIRHODRKGH-UHFFFAOYSA-N 0 1 273.254 1.000 20 30 CCEDMN N#Cc1ccc(NS(=O)(=O)CCOCC2CC2)nc1 ZINC000581661529 336119661 /nfs/dbraw/zinc/11/96/61/336119661.db2.gz NJEHDZVRUYNJPC-UHFFFAOYSA-N 0 1 281.337 0.543 20 30 CCEDMN CC[C@@H](CO)NS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000157931801 522572293 /nfs/dbraw/zinc/57/22/93/522572293.db2.gz PXXAIFWNSCJMGZ-QMMMGPOBSA-N 0 1 290.291 0.886 20 30 CCEDMN O=C(NC[C@]1(O)CCOC1)[C@H]1CCCN1C1CC1 ZINC000329084852 534755536 /nfs/dbraw/zinc/75/55/36/534755536.db2.gz UDAMRRALTJCWIL-DGCLKSJQSA-N 0 1 254.330 0.721 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@H]1CCCN(C)[C@H]1C ZINC000347765946 526480452 /nfs/dbraw/zinc/48/04/52/526480452.db2.gz DELUQOJIQIXQIA-RYUDHWBXSA-N 0 1 267.373 0.620 20 30 CCEDMN C=C(C)CN1CCC(NC(=O)C(=O)c2cnn(C)c2)CC1 ZINC000106035501 526580633 /nfs/dbraw/zinc/58/06/33/526580633.db2.gz VBQKTEPZRJIQJA-UHFFFAOYSA-N 0 1 290.367 0.760 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](N2CCOCC2)[C@@H]1C ZINC000351937221 526634233 /nfs/dbraw/zinc/63/42/33/526634233.db2.gz NUYYRBXQKIVVFX-IHRRRGAJSA-N 0 1 281.400 0.472 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCSC[C@H](C(=O)OC)C1 ZINC000331914502 526634337 /nfs/dbraw/zinc/63/43/37/526634337.db2.gz GFXRZBMBFIEBLQ-WDEREUQCSA-N 0 1 286.397 0.515 20 30 CCEDMN Cc1nnc(NC(=O)CNC(=O)c2ccc(C#N)cc2)[nH]1 ZINC000156724916 526976009 /nfs/dbraw/zinc/97/60/09/526976009.db2.gz OUMSWHQJLYNLCC-UHFFFAOYSA-N 0 1 284.279 0.353 20 30 CCEDMN Cc1n[nH]c(NC(=O)CNC(=O)c2ccc(C#N)cc2)n1 ZINC000156724916 526976015 /nfs/dbraw/zinc/97/60/15/526976015.db2.gz OUMSWHQJLYNLCC-UHFFFAOYSA-N 0 1 284.279 0.353 20 30 CCEDMN C=CCOCCCNC(=O)N1CCC[N@H+](C)CC1 ZINC000666524497 545125045 /nfs/dbraw/zinc/12/50/45/545125045.db2.gz AKKMHLMYMKWSHS-UHFFFAOYSA-N 0 1 255.362 0.926 20 30 CCEDMN C#CCOCCC(=O)N1CCCN(CCOC)CC1 ZINC000366868284 527063858 /nfs/dbraw/zinc/06/38/58/527063858.db2.gz OJESMJVJWCYKHR-UHFFFAOYSA-N 0 1 268.357 0.207 20 30 CCEDMN C=CC[C@@H](CO)CNCc1cn(C)nc1C(=O)OCC ZINC000351984744 527079332 /nfs/dbraw/zinc/07/93/32/527079332.db2.gz ZJLXJBIDMKHRAC-LLVKDONJSA-N 0 1 281.356 0.871 20 30 CCEDMN CC(C)C[C@@H](CO)N(C)CC(=O)NC1(C#N)CCC1 ZINC000347241863 528337515 /nfs/dbraw/zinc/33/75/15/528337515.db2.gz XJZCUCCKIZFLLY-LBPRGKRZSA-N 0 1 267.373 0.888 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1ccc(C)cn1 ZINC000671194572 545243816 /nfs/dbraw/zinc/24/38/16/545243816.db2.gz LXZTYUMSDXCVKU-UHFFFAOYSA-N 0 1 294.336 0.847 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@H]1CCC[C@H]1O ZINC000352696654 528688919 /nfs/dbraw/zinc/68/89/19/528688919.db2.gz JQRVSLRHNPVMQL-FRRDWIJNSA-N 0 1 267.373 0.840 20 30 CCEDMN C=CCN(C)C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000681655146 545458970 /nfs/dbraw/zinc/45/89/70/545458970.db2.gz SYBWJELJXHLPBA-CQSZACIVSA-N 0 1 296.415 0.220 20 30 CCEDMN CC(=O)Nc1ccccc1C=NNC1=NC[C@H](C)N1 ZINC000779793846 581205426 /nfs/dbraw/zinc/20/54/26/581205426.db2.gz BCMUBKLYODBGIW-VIFPVBQESA-N 0 1 259.313 0.916 20 30 CCEDMN Nc1ncc(CN=Nc2nc3ccccn3n2)cn1 ZINC000790197200 581179780 /nfs/dbraw/zinc/17/97/80/581179780.db2.gz MLBJLVDDLFVBSF-UHFFFAOYSA-N 0 1 254.257 0.548 20 30 CCEDMN COC(=O)[C@H](C)n1cccc1C=NNC1=NC[C@@H](C)N1 ZINC000779794245 581205463 /nfs/dbraw/zinc/20/54/63/581205463.db2.gz FKMYDHGACSYLKL-ZJUUUORDSA-N 0 1 277.328 0.493 20 30 CCEDMN C[C@@H]1CN=C(NN=C(CO)c2ccc(F)cc2F)N1 ZINC000779793269 581205548 /nfs/dbraw/zinc/20/55/48/581205548.db2.gz ZKLFFWCWYZSWQT-SSDOTTSWSA-N 0 1 268.267 0.599 20 30 CCEDMN C=CCNC(=S)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000780208691 581222587 /nfs/dbraw/zinc/22/25/87/581222587.db2.gz RGRGCKJQJPMNGI-NSHDSACASA-N 0 1 271.430 0.824 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC1(OC)CCC1 ZINC000791768107 581233282 /nfs/dbraw/zinc/23/32/82/581233282.db2.gz DCLQFAGWTBFHQY-NSHDSACASA-N 0 1 282.340 0.807 20 30 CCEDMN Cc1ncc(CO)c(CN=Nc2nccn(C)c2=O)c1O ZINC000748079402 581265273 /nfs/dbraw/zinc/26/52/73/581265273.db2.gz NQQRNLBRHZOLEN-UHFFFAOYSA-N 0 1 289.295 0.128 20 30 CCEDMN Nc1ncc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)cn1 ZINC000781597013 581272696 /nfs/dbraw/zinc/27/26/96/581272696.db2.gz PSNXZVSELAIPAE-AOOOYVTPSA-N 0 1 259.317 0.253 20 30 CCEDMN CCNc1nc(N=NCc2nccn2C)nc(NCC)n1 ZINC000727253408 581313696 /nfs/dbraw/zinc/31/36/96/581313696.db2.gz BGHMDBYLUQHLNN-UHFFFAOYSA-N 0 1 289.347 0.915 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CCCOCC1)[C@@H]1CCCO1 ZINC000782990029 581332321 /nfs/dbraw/zinc/33/23/21/581332321.db2.gz DCUBWAURHPXMAM-KBPBESRZSA-N 0 1 295.383 0.189 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)[C@@H](C)NC(=O)[O-] ZINC000738459763 581333399 /nfs/dbraw/zinc/33/33/99/581333399.db2.gz BWISCARFBALNKG-ZJUUUORDSA-N 0 1 254.286 0.283 20 30 CCEDMN C=CCNC(=O)C[N@H+](CCC)[C@H]1CCN(C(=O)[O-])C1 ZINC000738496005 581362377 /nfs/dbraw/zinc/36/23/77/581362377.db2.gz TVLIIEPTSZNBBV-NSHDSACASA-N 0 1 269.345 0.753 20 30 CCEDMN C#CCOCCNC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000784177492 581375590 /nfs/dbraw/zinc/37/55/90/581375590.db2.gz MUUOXAKVLGFYDZ-ZIAGYGMSSA-N 0 1 297.399 0.435 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)OCCc1c[nH]nn1 ZINC000786424501 581440386 /nfs/dbraw/zinc/44/03/86/581440386.db2.gz LGVFVIHJNRJZAW-GHMZBOCLSA-N 0 1 251.286 0.872 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)OCCc1cnn[nH]1 ZINC000786424501 581440388 /nfs/dbraw/zinc/44/03/88/581440388.db2.gz LGVFVIHJNRJZAW-GHMZBOCLSA-N 0 1 251.286 0.872 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@H](OC)C2)CC1 ZINC000787944823 581482652 /nfs/dbraw/zinc/48/26/52/581482652.db2.gz REQXLEZZSJPIPD-ZDUSSCGKSA-N 0 1 266.341 0.163 20 30 CCEDMN Cc1c(C(=O)NC2=NO[C@H](C)C2)cccc1S(N)(=O)=O ZINC000765366970 581510118 /nfs/dbraw/zinc/51/01/18/581510118.db2.gz IQNSGJYMEPCJQI-SSDOTTSWSA-N 0 1 297.336 0.495 20 30 CCEDMN S=C(NC[C@@H]1CCCO1)NN=C1CCN2CCC[C@H]12 ZINC000765555186 581524835 /nfs/dbraw/zinc/52/48/35/581524835.db2.gz IALHERVFYWLQAE-CMPLNLGQSA-N 0 1 282.413 0.854 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(C(C)C)CC1 ZINC000753379811 581538077 /nfs/dbraw/zinc/53/80/77/581538077.db2.gz UXJAVYFQZJBDEN-UHFFFAOYSA-N 0 1 253.346 0.372 20 30 CCEDMN N#Cc1cccnc1N1CCN(C[C@@H](O)C2CC2)CC1 ZINC000734142681 581544728 /nfs/dbraw/zinc/54/47/28/581544728.db2.gz XMNJHINRCMGZJI-CQSZACIVSA-N 0 1 272.352 0.846 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N[C@H]2CCN(C3CC3)C2)c1 ZINC000740757344 581552819 /nfs/dbraw/zinc/55/28/19/581552819.db2.gz AIWYSARUGNFMTI-AWEZNQCLSA-N 0 1 297.358 0.959 20 30 CCEDMN C#CCNC(=S)N1CCN(CCOC)[C@@H](CCC)C1 ZINC000766700612 581577221 /nfs/dbraw/zinc/57/72/21/581577221.db2.gz RDHIMKHDHLQHMB-ZDUSSCGKSA-N 0 1 283.441 0.927 20 30 CCEDMN C[N@@H+](CCCNC(=O)[O-])CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000739681543 581592095 /nfs/dbraw/zinc/59/20/95/581592095.db2.gz GHSMHQZNUZKMGI-ZDUSSCGKSA-N 0 1 282.344 0.384 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](CCC)c2nn[nH]n2)nc1 ZINC000735390224 581642563 /nfs/dbraw/zinc/64/25/63/581642563.db2.gz TXNDKXNTGXDYOS-JTQLQIEISA-N 0 1 270.296 0.847 20 30 CCEDMN C#CCCNC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000768723525 581653191 /nfs/dbraw/zinc/65/31/91/581653191.db2.gz YKTVHFXVIKPWPQ-UHFFFAOYSA-N 0 1 283.291 0.345 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)COC(=O)Cc1cc(C)[nH]n1 ZINC000756701968 581675218 /nfs/dbraw/zinc/67/52/18/581675218.db2.gz IZPLGWVOBBXWJY-JTQLQIEISA-N 0 1 292.339 0.812 20 30 CCEDMN Cc1nc(CN=Nc2ccc(C(N)=O)nn2)c2ccccn12 ZINC000756845620 581680700 /nfs/dbraw/zinc/68/07/00/581680700.db2.gz YRXXAYCMWMXPOB-UHFFFAOYSA-N 0 1 295.306 0.978 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)CCCN(C)C(=O)[O-])CC1 ZINC000736077418 581695368 /nfs/dbraw/zinc/69/53/68/581695368.db2.gz UKPSSFSFCDOCGO-UHFFFAOYSA-N 0 1 281.356 0.544 20 30 CCEDMN C#CC[N@H+](CCC(=O)NCC(=O)[O-])Cc1ccc(F)cc1 ZINC000736077338 581695413 /nfs/dbraw/zinc/69/54/13/581695413.db2.gz QMSHZROBSVEIQI-UHFFFAOYSA-N 0 1 292.310 0.852 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1C=NNC1CC1 ZINC000758133174 581723242 /nfs/dbraw/zinc/72/32/42/581723242.db2.gz MDALSXADEMVOJX-UHFFFAOYSA-N 0 1 263.345 0.651 20 30 CCEDMN O=C1CNC(=O)N1N=Cc1cccc(Cl)c1O ZINC000770618733 581756689 /nfs/dbraw/zinc/75/66/89/581756689.db2.gz VNPYTIDDLUXELD-UHFFFAOYSA-N 0 1 253.645 0.931 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCCNc1ncccc1C#N ZINC000731871461 581785994 /nfs/dbraw/zinc/78/59/94/581785994.db2.gz LTMPMXYGESXPNB-CYBMUJFWSA-N 0 1 287.367 0.966 20 30 CCEDMN CN(Cc1ccc(OCC#N)cc1)[C@H]1CCNC1=O ZINC000759425948 581798046 /nfs/dbraw/zinc/79/80/46/581798046.db2.gz YOBNQTOALRPUOH-ZDUSSCGKSA-N 0 1 259.309 0.909 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1CC12CCC2 ZINC000759835720 581826217 /nfs/dbraw/zinc/82/62/17/581826217.db2.gz UIEJAEFUNWPNMP-UWVGGRQHSA-N 0 1 250.298 0.648 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](CC)C(=O)OC)CC1 ZINC000772110898 581843527 /nfs/dbraw/zinc/84/35/27/581843527.db2.gz WXTUZLJMFQRYTM-GFCCVEGCSA-N 0 1 267.325 0.826 20 30 CCEDMN C#CCOCCN(C)[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000761115575 581889561 /nfs/dbraw/zinc/88/95/61/581889561.db2.gz USPFWUARYLXMMO-SNVBAGLBSA-N 0 1 278.274 0.731 20 30 CCEDMN CC(=NNc1ccccc1S(N)(=O)=O)c1cnn(C)c1 ZINC000773123210 581909495 /nfs/dbraw/zinc/90/94/95/581909495.db2.gz PBWIBUBSNFMBQO-UHFFFAOYSA-N 0 1 293.352 0.904 20 30 CCEDMN C[NH+]1CCN(N=Cc2ccc([N+](=O)[O-])c([O-])c2)CC1 ZINC000748132729 581963569 /nfs/dbraw/zinc/96/35/69/581963569.db2.gz ZIFYVYCVANXSQU-UHFFFAOYSA-N 0 1 264.285 0.882 20 30 CCEDMN COCCS(=O)(=O)CC(=O)Nc1cc(C#N)ccc1O ZINC000748412116 581973976 /nfs/dbraw/zinc/97/39/76/581973976.db2.gz JNFIZQMPZXFODP-UHFFFAOYSA-N 0 1 298.320 0.264 20 30 CCEDMN CCC[C@@H]1CN(C(=O)C=NOC)CCN1CCOC ZINC000774458439 581974845 /nfs/dbraw/zinc/97/48/45/581974845.db2.gz GRQWRXXPYGVOQV-GFCCVEGCSA-N 0 1 271.361 0.578 20 30 CCEDMN Cc1nc[nH]c1C=NNCC(C)(C)S(C)(=O)=O ZINC000774487507 581975630 /nfs/dbraw/zinc/97/56/30/581975630.db2.gz HOFGTSPHFKVPQE-UHFFFAOYSA-N 0 1 258.347 0.465 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)CN2CC[C@H]3C[C@H]32)CC1 ZINC000774739648 581993469 /nfs/dbraw/zinc/99/34/69/581993469.db2.gz VMUVTPLCCRDOQW-ZNMIVQPWSA-N 0 1 290.411 0.773 20 30 CCEDMN Cc1cc(CNC[C@H]2CC(C(N)=O)=NO2)ccc1C#N ZINC000775097720 582011485 /nfs/dbraw/zinc/01/14/85/582011485.db2.gz LLZGUMVQOZPEBH-GFCCVEGCSA-N 0 1 272.308 0.587 20 30 CCEDMN C#CCCN1CCN(C[C@H]2CC3(CC3)C(=O)O2)CC1 ZINC000763737327 582013112 /nfs/dbraw/zinc/01/31/12/582013112.db2.gz KMDBTKPJGIHJAD-CYBMUJFWSA-N 0 1 262.353 0.723 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NCC(C)C)[C@@H]1CCCO1 ZINC000775789172 582038115 /nfs/dbraw/zinc/03/81/15/582038115.db2.gz BFWIBYDVIXKHFH-AVGNSLFASA-N 0 1 252.358 0.918 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC(=O)NC1CC1)[C@@H]1CCCO1 ZINC000775786654 582038344 /nfs/dbraw/zinc/03/83/44/582038344.db2.gz ZEUNKJZODRWDAJ-USWWRNFRSA-N 0 1 279.340 0.134 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)N1CCN(CC)CC1)[C@@H]1CCCO1 ZINC000775793470 582038672 /nfs/dbraw/zinc/03/86/72/582038672.db2.gz DFKJUJMMAXTPEH-ILXRZTDVSA-N 0 1 293.411 0.309 20 30 CCEDMN C#CCNC(=O)C[N@H+](CCC)[C@@H]1CCN(C(=O)[O-])C1 ZINC000738462167 582053324 /nfs/dbraw/zinc/05/33/24/582053324.db2.gz XIULYKMNKZQIKA-LLVKDONJSA-N 0 1 267.329 0.200 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(CC2CC2)CC1)[C@@H]1CCCO1 ZINC000776225067 582061061 /nfs/dbraw/zinc/06/10/61/582061061.db2.gz OWVKYJDBFYAIDG-GJZGRUSLSA-N 0 1 291.395 0.904 20 30 CCEDMN N#Cc1cccc(CNC(=O)C[N@@H+]2CC[C@@H](C(=O)[O-])C2)c1 ZINC000819106477 597530416 /nfs/dbraw/zinc/53/04/16/597530416.db2.gz UAUGVESHHLFJPC-CYBMUJFWSA-N 0 1 287.319 0.581 20 30 CCEDMN C#CCCN(CCOC)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000853767326 612988153 /nfs/dbraw/zinc/98/81/53/612988153.db2.gz NLCGESNDPJUWDM-AWEZNQCLSA-N 0 1 297.399 0.388 20 30 CCEDMN C#CCCCN[C@H](C(N)=O)c1ccc(-c2nn[nH]n2)cc1 ZINC000819693399 599383829 /nfs/dbraw/zinc/38/38/29/599383829.db2.gz RINALAYWZJQLGN-LBPRGKRZSA-N 0 1 284.323 0.396 20 30 CCEDMN C#CCSCC(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000907349791 613008855 /nfs/dbraw/zinc/00/88/55/613008855.db2.gz JCXQDZGENWDIPK-QMMMGPOBSA-N 0 1 253.331 0.128 20 30 CCEDMN C#CCSCC(=O)N1CCC(N2CCOCC2)CC1 ZINC000898798545 613009224 /nfs/dbraw/zinc/00/92/24/613009224.db2.gz GAACQDSGGBRSEB-UHFFFAOYSA-N 0 1 282.409 0.676 20 30 CCEDMN C#CCSCC(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000896889039 613009659 /nfs/dbraw/zinc/00/96/59/613009659.db2.gz ASTIIFOIRDOBCM-UHFFFAOYSA-N 0 1 264.354 0.877 20 30 CCEDMN C#CCCN1CCN(Cc2cc3n(n2)CCC3)CC1 ZINC000844308052 613011338 /nfs/dbraw/zinc/01/13/38/613011338.db2.gz AIQBWZFGADBYAM-UHFFFAOYSA-N 0 1 258.369 0.970 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC001007203245 649914504 /nfs/dbraw/zinc/91/45/04/649914504.db2.gz IQFBMZSYMVFHKS-AWEZNQCLSA-N 0 1 279.384 0.621 20 30 CCEDMN C[C@@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)C[C@@H]1C(=O)[O-] ZINC000111255283 601513663 /nfs/dbraw/zinc/51/36/63/601513663.db2.gz LUECGAQPYXOWBQ-NEPJUHHUSA-N 0 1 292.339 0.295 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](NC(=O)c2cnn[nH]2)CC1 ZINC000965010430 649927623 /nfs/dbraw/zinc/92/76/23/649927623.db2.gz DOTREPSXSVHPLZ-ZJUUUORDSA-N 0 1 290.327 0.075 20 30 CCEDMN C#CCSCCNC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000842181367 613016627 /nfs/dbraw/zinc/01/66/27/613016627.db2.gz WXBNSLVAIQYVIP-STQMWFEESA-N 0 1 299.440 0.449 20 30 CCEDMN COc1cc(C=NNC(=N)N)cc(OC)c1OC(C)=O ZINC000915051161 617179230 /nfs/dbraw/zinc/17/92/30/617179230.db2.gz WGWJTMLTJZBXIM-UHFFFAOYSA-N 0 1 280.284 0.446 20 30 CCEDMN COc1cc(CCC(=O)NCC#CCN(C)C)on1 ZINC000913523808 617182280 /nfs/dbraw/zinc/18/22/80/617182280.db2.gz NGZMNFLTUQZBJR-UHFFFAOYSA-N 0 1 265.313 0.297 20 30 CCEDMN N#Cc1ccc([C@@H](O)C[N@H+]2CC[C@@H](NC(=O)[O-])C2)cc1 ZINC000831123039 604379913 /nfs/dbraw/zinc/37/99/13/604379913.db2.gz ZJQZGPBOMQYCTF-OLZOCXBDSA-N 0 1 275.308 0.934 20 30 CCEDMN C#CCSCC[NH2+]C1CCN(CC(=O)[O-])CC1 ZINC000833368108 605226612 /nfs/dbraw/zinc/22/66/12/605226612.db2.gz HATWITWTYLPDFG-UHFFFAOYSA-N 0 1 256.371 0.491 20 30 CCEDMN C#CCNC(=S)N[C@H]1CCC[N@H+]2CCSC[C@@H]12 ZINC000905634487 612953964 /nfs/dbraw/zinc/95/39/64/612953964.db2.gz RDDBOJLPCAYGRZ-QWRGUYRKSA-N 0 1 269.439 0.664 20 30 CCEDMN C#CCNC(=S)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000905634487 612953965 /nfs/dbraw/zinc/95/39/65/612953965.db2.gz RDDBOJLPCAYGRZ-QWRGUYRKSA-N 0 1 269.439 0.664 20 30 CCEDMN Cn1cc(CCC[NH2+][C@H]2CN(C(=O)[O-])C[C@@H]2C#N)cn1 ZINC000833946606 605684637 /nfs/dbraw/zinc/68/46/37/605684637.db2.gz IVYKMZCTBNNESQ-RYUDHWBXSA-N 0 1 277.328 0.444 20 30 CCEDMN C#CCCOC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000858952787 613050767 /nfs/dbraw/zinc/05/07/67/613050767.db2.gz NCDKWWYIMAEZPO-GFCCVEGCSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CC[C@H](COC)N[C@@H](Cc1ccc(F)cc1)C(N)=O ZINC000863389846 613066746 /nfs/dbraw/zinc/06/67/46/613066746.db2.gz DMFXYAYCIHWCCY-KGLIPLIRSA-N 0 1 278.327 0.850 20 30 CCEDMN C#CCNCC(=O)NCCc1cc(C)ccc1OC ZINC000912116845 612956244 /nfs/dbraw/zinc/95/62/44/612956244.db2.gz OFFZPAOUYGOKOO-UHFFFAOYSA-N 0 1 260.337 0.885 20 30 CCEDMN C#CCNCC(=O)N(CCCC)[C@H]1CCS(=O)(=O)C1 ZINC000105964405 612956408 /nfs/dbraw/zinc/95/64/08/612956408.db2.gz GTORJRCAENLMKD-LBPRGKRZSA-N 0 1 286.397 0.025 20 30 CCEDMN C#CCNCC(=O)NC[C@H](O)c1ccccc1F ZINC000912367787 612956729 /nfs/dbraw/zinc/95/67/29/612956729.db2.gz GPIRIMHXKQULBC-LBPRGKRZSA-N 0 1 250.273 0.198 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](CO)Cc1ccccc1 ZINC000912404251 612956841 /nfs/dbraw/zinc/95/68/41/612956841.db2.gz MGRZAPVGKBMEIB-AWEZNQCLSA-N 0 1 260.337 0.177 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC000971904017 613081111 /nfs/dbraw/zinc/08/11/11/613081111.db2.gz NUECXCUBBRMOJX-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC2=CCOCC2)C1 ZINC000972251899 613080379 /nfs/dbraw/zinc/08/03/79/613080379.db2.gz VCNNEZQJXCSTHO-CQSZACIVSA-N 0 1 262.353 0.889 20 30 CCEDMN C#CC[NH2+][C@@H]1CCC[C@@H](NC(=O)c2[n-]nnc2C)C1 ZINC000981263309 612957591 /nfs/dbraw/zinc/95/75/91/612957591.db2.gz NYVVVKSHAIHKPZ-GHMZBOCLSA-N 0 1 261.329 0.377 20 30 CCEDMN COc1cc(NC(=O)N[C@@H]2CNC[C@H]2C#N)ccn1 ZINC000841238539 617204423 /nfs/dbraw/zinc/20/44/23/617204423.db2.gz OCNVZAHGVNQHJH-PSASIEDQSA-N 0 1 261.285 0.323 20 30 CCEDMN C=CCONC(=O)[C@@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F ZINC000823421057 613884331 /nfs/dbraw/zinc/88/43/31/613884331.db2.gz KCZMHEOWPYJKPE-RQJHMYQMSA-N 0 1 287.259 0.443 20 30 CCEDMN C#CCC1(O)CCN(C[C@@H]2[C@@H](C(=O)OC)C2(F)F)CC1 ZINC000895670466 612973629 /nfs/dbraw/zinc/97/36/29/612973629.db2.gz REHGYHXEWXHKNY-MNOVXSKESA-N 0 1 287.306 0.891 20 30 CCEDMN C#CCC1(O)CCN(Cc2cnn(CCOC)c2)CC1 ZINC000895669916 612973910 /nfs/dbraw/zinc/97/39/10/612973910.db2.gz LJHAYSFWHKUDHX-UHFFFAOYSA-N 0 1 277.368 0.880 20 30 CCEDMN C#CCCCC(=O)N1CCN(C)CCN(C)CC1 ZINC000888984879 612974836 /nfs/dbraw/zinc/97/48/36/612974836.db2.gz BVUYNLHJLLWUSJ-UHFFFAOYSA-N 0 1 251.374 0.496 20 30 CCEDMN C#CCCCCCC(=O)NCc1n[nH]c(=O)n1C ZINC000880649864 612976285 /nfs/dbraw/zinc/97/62/85/612976285.db2.gz DVPYYRZCMJVJFS-UHFFFAOYSA-N 0 1 250.302 0.721 20 30 CCEDMN C#CCOCCC(=O)N1[C@H](C)CN(C)[C@@H](C)[C@@H]1C ZINC000844641650 612979114 /nfs/dbraw/zinc/97/91/14/612979114.db2.gz DWHPVWXMAAWVAB-AGIUHOORSA-N 0 1 252.358 0.966 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(OC)c1 ZINC000834510330 617232960 /nfs/dbraw/zinc/23/29/60/617232960.db2.gz AHBWIYNDSJYPFN-JOYOIKCWSA-N 0 1 275.308 0.545 20 30 CCEDMN CSCCNC(=S)NN=C(C)c1c[nH]c(N)n1 ZINC000901208387 617236862 /nfs/dbraw/zinc/23/68/62/617236862.db2.gz QEYMIMASYNXJHC-UHFFFAOYSA-N 0 1 272.403 0.543 20 30 CCEDMN COc1ccc(CCC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834505713 617245146 /nfs/dbraw/zinc/24/51/46/617245146.db2.gz NCFVIMDCRAFPQH-OCCSQVGLSA-N 0 1 273.336 0.856 20 30 CCEDMN COc1ccc(OC)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834512212 617275089 /nfs/dbraw/zinc/27/50/89/617275089.db2.gz SWLZTAFISAMENU-CABZTGNLSA-N 0 1 275.308 0.545 20 30 CCEDMN COc1ccc([N+](=O)[O-])c(C(=O)NCC#CCN(C)C)c1 ZINC000913517645 617282324 /nfs/dbraw/zinc/28/23/24/617282324.db2.gz KADBMSHJXOBGIA-UHFFFAOYSA-N 0 1 291.307 0.898 20 30 CCEDMN COc1ccc(O[C@H](C)C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834517325 617277063 /nfs/dbraw/zinc/27/70/63/617277063.db2.gz UNIWYKCCQAEUKE-GYSYKLTISA-N 0 1 289.335 0.690 20 30 CCEDMN CSc1[n-]c(=O)c(C(=O)NC[C@@H]2CC[N@H+]2C)cc1C#N ZINC000928567467 617283583 /nfs/dbraw/zinc/28/35/83/617283583.db2.gz OOWRUVKWRRXXTK-VIFPVBQESA-N 0 1 292.364 0.815 20 30 CCEDMN C#CCNC(=O)[C@H](C)N[C@@H](C(C)C)[C@H](O)C(F)(F)F ZINC000809560965 612950496 /nfs/dbraw/zinc/95/04/96/612950496.db2.gz UIMSGFIXDFRSJW-GUBZILKMSA-N 0 1 280.290 0.662 20 30 CCEDMN C#CC1(O)CCN(C(=O)CN(C)[C@@H]2CCSC2)CC1 ZINC000899117629 612950725 /nfs/dbraw/zinc/95/07/25/612950725.db2.gz HJHUFMFJVNFTDK-GFCCVEGCSA-N 0 1 282.409 0.410 20 30 CCEDMN C#CCNCC(=O)N(CC)Cc1nc2ccccc2c(=O)[nH]1 ZINC000911992192 612956441 /nfs/dbraw/zinc/95/64/41/612956441.db2.gz UAOOHTRSGUJZBB-UHFFFAOYSA-N 0 1 298.346 0.907 20 30 CCEDMN C#CC1(O)CN(C(=O)c2n[nH]c(C)c2C(F)(F)F)C1 ZINC000890527477 612960208 /nfs/dbraw/zinc/96/02/08/612960208.db2.gz GPNUHRZMGFHKEB-UHFFFAOYSA-N 0 1 273.214 0.557 20 30 CCEDMN C#CCC1(N[C@H]2CS(=O)(=O)C[C@H]2OC)CCC1 ZINC000933295224 612971275 /nfs/dbraw/zinc/97/12/75/612971275.db2.gz RVDHHZWEZFJYRQ-WDEREUQCSA-N 0 1 257.355 0.334 20 30 CCEDMN C#CCC1(O)CCN(C(=O)NC[C@@H]2CCN2C)CC1 ZINC000925433815 612972049 /nfs/dbraw/zinc/97/20/49/612972049.db2.gz XKPXMQYZFAGJPG-LBPRGKRZSA-N 0 1 265.357 0.250 20 30 CCEDMN C#CCC1(O)CCN(C(=O)NC[C@H]2CCN2CC)CC1 ZINC000890055834 612972065 /nfs/dbraw/zinc/97/20/65/612972065.db2.gz HWOVISVOTOUAIL-CYBMUJFWSA-N 0 1 279.384 0.640 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H]1CC[N@H+](CCC)C1 ZINC000828391510 612980867 /nfs/dbraw/zinc/98/08/67/612980867.db2.gz RNFNKVIBBVGSEY-LBPRGKRZSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCCCS(=O)(=O)N(C)Cc1cn[nH]c1C ZINC000918689617 612982812 /nfs/dbraw/zinc/98/28/12/612982812.db2.gz USFYFYXYHSFOAP-UHFFFAOYSA-N 0 1 255.343 0.893 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000831321076 612999436 /nfs/dbraw/zinc/99/94/36/612999436.db2.gz JLCHVYQWQCBKOX-CABCVRRESA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000831368228 612999584 /nfs/dbraw/zinc/99/95/84/612999584.db2.gz JLCHVYQWQCBKOX-HUUCEWRRSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000826701517 612999975 /nfs/dbraw/zinc/99/99/75/612999975.db2.gz YPGBBZWKXLKOIG-KGLIPLIRSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cn3cccnc3n2)CC1 ZINC000981542566 613006196 /nfs/dbraw/zinc/00/61/96/613006196.db2.gz QTGVJEVLLLJLQT-UHFFFAOYSA-N 0 1 297.362 0.901 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccnnc2C)CC1 ZINC000981555198 613006590 /nfs/dbraw/zinc/00/65/90/613006590.db2.gz GWEANFNEVXEBKO-UHFFFAOYSA-N 0 1 272.352 0.956 20 30 CCEDMN C#CC[C@@H](COC)NCc1cc2c(cn1)OCCO2 ZINC000877662095 613038176 /nfs/dbraw/zinc/03/81/76/613038176.db2.gz MTJYAJAURRIUJJ-NSHDSACASA-N 0 1 262.309 0.981 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)c2nonc2C)C(C)(C)C1 ZINC000977672685 613039604 /nfs/dbraw/zinc/03/96/04/613039604.db2.gz YZMBSFMFAWFEDV-NSHDSACASA-N 0 1 276.340 0.842 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000912986032 613045166 /nfs/dbraw/zinc/04/51/66/613045166.db2.gz QMOULEQPWAPENL-TZMCWYRMSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCCOC(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000859095378 613048491 /nfs/dbraw/zinc/04/84/91/613048491.db2.gz QIBHINZWRDMUOT-UHFFFAOYSA-N 0 1 296.367 0.305 20 30 CCEDMN C#CCCS(=O)(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000808291366 613051203 /nfs/dbraw/zinc/05/12/03/613051203.db2.gz JWBQNCNPAOTWDE-GFCCVEGCSA-N 0 1 256.371 0.508 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000875916290 613051694 /nfs/dbraw/zinc/05/16/94/613051694.db2.gz FIUSJIIYQUHBEL-MWLCHTKSSA-N 0 1 279.344 0.902 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)NCc2n[nH]c(CC)n2)C1 ZINC000889111415 613073646 /nfs/dbraw/zinc/07/36/46/613073646.db2.gz MYLVQBUMKKLYCD-JTQLQIEISA-N 0 1 261.329 0.922 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000834552614 613079546 /nfs/dbraw/zinc/07/95/46/613079546.db2.gz QOVGCNVTSAUWNT-MRVPVSSYSA-N 0 1 282.321 0.133 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC000980515848 613081335 /nfs/dbraw/zinc/08/13/35/613081335.db2.gz QBDPMIVQLLBTMD-OAHLLOKOSA-N 0 1 299.374 0.494 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2ccc(OC)cn2)C1 ZINC000972167104 613082049 /nfs/dbraw/zinc/08/20/49/613082049.db2.gz BWSFBWCIGQMNEP-CQSZACIVSA-N 0 1 287.363 0.799 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC000971808677 613082809 /nfs/dbraw/zinc/08/28/09/613082809.db2.gz LQKIMXGLAUECDE-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)c2ccnn2C)C1 ZINC000971555432 613083452 /nfs/dbraw/zinc/08/34/52/613083452.db2.gz FSEYSOHCMTWWQI-CHWSQXEVSA-N 0 1 274.368 0.690 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC000972016399 613083720 /nfs/dbraw/zinc/08/37/20/613083720.db2.gz KRDSJCATWBXIKD-ZIAGYGMSSA-N 0 1 290.367 0.274 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C)nn2)C1 ZINC000971522504 613085950 /nfs/dbraw/zinc/08/59/50/613085950.db2.gz MZTSRWCWDTWGJG-GFCCVEGCSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc3c(c2)nnn3C)C1 ZINC000971786582 613086380 /nfs/dbraw/zinc/08/63/80/613086380.db2.gz BKZRISHNLZEGSE-CYBMUJFWSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cncc3ncn(C)c32)C1 ZINC000971714962 613087673 /nfs/dbraw/zinc/08/76/73/613087673.db2.gz BHLHLWBWMDYYQE-GFCCVEGCSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2coc(C(N)=O)c2)C1 ZINC000972005937 613087891 /nfs/dbraw/zinc/08/78/91/613087891.db2.gz PDBQMBDFSQTYIC-LLVKDONJSA-N 0 1 275.308 0.158 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(=O)Nc2cc(C)on2)C1 ZINC000980378510 613088811 /nfs/dbraw/zinc/08/88/11/613088811.db2.gz YBBPPYILBGJYQO-NSHDSACASA-N 0 1 290.323 0.087 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC000971670438 613090510 /nfs/dbraw/zinc/09/05/10/613090510.db2.gz ILMKLKIAUOMXAA-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)Cc2cnn(C)c2)C1 ZINC000972637277 613091496 /nfs/dbraw/zinc/09/14/96/613091496.db2.gz JUOBULMNATVJSD-ZFWWWQNUSA-N 0 1 288.395 0.765 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC000972161989 613091554 /nfs/dbraw/zinc/09/15/54/613091554.db2.gz HMPCZBKOWVVYMV-KBPBESRZSA-N 0 1 291.395 0.552 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC000972717494 613092920 /nfs/dbraw/zinc/09/29/20/613092920.db2.gz HETZQXCOSONLHI-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c(Cl)cnn2C)C1 ZINC000971544273 613093366 /nfs/dbraw/zinc/09/33/66/613093366.db2.gz ZLFKIWNKVKRVPJ-JTQLQIEISA-N 0 1 280.759 0.853 20 30 CCEDMN C#C[C@@H](NC(=O)NC[C@H]1CCN1C)C1CCOCC1 ZINC000923326532 613096668 /nfs/dbraw/zinc/09/66/68/613096668.db2.gz LMNVXQGBOVPWJV-CHWSQXEVSA-N 0 1 265.357 0.418 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ncc3n2CCCC3)C1 ZINC000980445007 613097297 /nfs/dbraw/zinc/09/72/97/613097297.db2.gz DTAMXACYDCVYIS-AWEZNQCLSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN(CC#CC)C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000828825795 613100241 /nfs/dbraw/zinc/10/02/41/613100241.db2.gz XGAXNEKPAVIBRD-LBPRGKRZSA-N 0 1 255.321 1.000 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)NCCN1CCCOCC1 ZINC000895047000 613101661 /nfs/dbraw/zinc/10/16/61/613101661.db2.gz PGRUEVZGDLTESW-CQSZACIVSA-N 0 1 279.384 0.906 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)N[C@H](C)CN2CCN(C)CC2)C1 ZINC000847309977 613104883 /nfs/dbraw/zinc/10/48/83/613104883.db2.gz GZYOYIIRGLRBQO-HUUCEWRRSA-N 0 1 292.427 0.677 20 30 CCEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)NC(=O)NC(C)C)C1 ZINC000847024340 613106932 /nfs/dbraw/zinc/10/69/32/613106932.db2.gz QHGPJLMQVUSTFI-VXGBXAGGSA-N 0 1 265.357 0.954 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@@H](c3ccco3)C2)C1=O ZINC000821771482 613109891 /nfs/dbraw/zinc/10/98/91/613109891.db2.gz ATJPMBNLQNKJGK-NEPJUHHUSA-N 0 1 272.304 0.830 20 30 CCEDMN C#C[C@@](C)(CC)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000908530110 613112354 /nfs/dbraw/zinc/11/23/54/613112354.db2.gz UOEGLZGNTVOWFJ-OCCSQVGLSA-N 0 1 250.342 0.625 20 30 CCEDMN C#C[C@H](C)NC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000923216400 613118167 /nfs/dbraw/zinc/11/81/67/613118167.db2.gz XWVXBZBYNOOGJT-SRVKXCTJSA-N 0 1 267.398 0.887 20 30 CCEDMN C#C[C@H](C)NS(=O)(=O)c1occc1C(=O)OC ZINC000867198107 613118917 /nfs/dbraw/zinc/11/89/17/613118917.db2.gz FFXIBIWUPSWCIE-ZETCQYMHSA-N 0 1 257.267 0.366 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(N3CCCC3=O)C2)CC1 ZINC000888760796 613128727 /nfs/dbraw/zinc/12/87/27/613128727.db2.gz JAAOJWNJVSEJIN-UHFFFAOYSA-N 0 1 289.379 0.165 20 30 CCEDMN C#C[C@H](NC(=O)C(C)(C)c1cnc[nH]1)[C@@H]1CCCO1 ZINC000857182442 613132207 /nfs/dbraw/zinc/13/22/07/613132207.db2.gz WUUCVUOFROQQBZ-QWRGUYRKSA-N 0 1 261.325 0.984 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C(/C)COC)CC1 ZINC000928649839 613132245 /nfs/dbraw/zinc/13/22/45/613132245.db2.gz SPVFVNDGIFBYRT-BENRWUELSA-N 0 1 250.342 0.793 20 30 CCEDMN C#C[C@H](NC(=O)C1CN([C@H]2CCOC2)C1)[C@H]1CCCO1 ZINC000897434523 613132682 /nfs/dbraw/zinc/13/26/82/613132682.db2.gz VMMGFHGOBFSFBW-MELADBBJSA-N 0 1 278.352 0.004 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ocnc2C)CC1 ZINC000923790804 613134314 /nfs/dbraw/zinc/13/43/14/613134314.db2.gz UFKSFAXWMSWOHF-UHFFFAOYSA-N 0 1 276.340 0.880 20 30 CCEDMN C#CCN1CCC(NC(=O)NOCC2CC2)CC1 ZINC000922602362 613134536 /nfs/dbraw/zinc/13/45/36/613134536.db2.gz CUGSZXACKCEGEG-UHFFFAOYSA-N 0 1 251.330 0.725 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2C[C@H]3CC[C@@H]2O3)CC1 ZINC000923068702 613135068 /nfs/dbraw/zinc/13/50/68/613135068.db2.gz ACUJJCWIFCTWIR-MCIONIFRSA-N 0 1 277.368 0.703 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cc(OC)ncn2)CC1 ZINC000930536381 613135367 /nfs/dbraw/zinc/13/53/67/613135367.db2.gz QJLMHYWITNJYFZ-UHFFFAOYSA-N 0 1 289.339 0.704 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCc3nn[nH]c32)CC1 ZINC000928650435 613135700 /nfs/dbraw/zinc/13/57/00/613135700.db2.gz BXOIBVKYLZZZJY-GFCCVEGCSA-N 0 1 287.367 0.438 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(CCO)C(C)(C)C1)C(C)C ZINC000838598441 613136147 /nfs/dbraw/zinc/13/61/47/613136147.db2.gz RQVSYPSDNDOSDK-ZDUSSCGKSA-N 0 1 281.400 0.742 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@H]2OCC)CC1 ZINC000928657412 613136297 /nfs/dbraw/zinc/13/62/97/613136297.db2.gz HXZTVHPKANPLJU-CHWSQXEVSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)c2ccnn2C)CC1 ZINC000928649469 613136474 /nfs/dbraw/zinc/13/64/74/613136474.db2.gz MVKOMGVUHHGKBR-GFCCVEGCSA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](OC)[C@H]2CCOC2)CC1 ZINC000928648892 613136612 /nfs/dbraw/zinc/13/66/12/613136612.db2.gz UNKQXZZTERWUBW-GXTWGEPZSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C#N)c[nH]2)CC1 ZINC000902938340 613137166 /nfs/dbraw/zinc/13/71/66/613137166.db2.gz BFJDMIIPTVHNIY-UHFFFAOYSA-N 0 1 256.309 0.714 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C)cc(=O)[nH]2)CC1 ZINC000928651652 613137266 /nfs/dbraw/zinc/13/72/66/613137266.db2.gz YSGYPPUVFWULJF-UHFFFAOYSA-N 0 1 273.336 0.923 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c[nH]c(=S)[nH]2)CC1 ZINC000928652759 613137543 /nfs/dbraw/zinc/13/75/43/613137543.db2.gz LGHDUJDGNMEVNY-UHFFFAOYSA-N 0 1 264.354 0.526 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn(CC)n2)CC1 ZINC000928651237 613137740 /nfs/dbraw/zinc/13/77/40/613137740.db2.gz RKCWOXAZXKFGQW-UHFFFAOYSA-N 0 1 261.329 0.125 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn3c(n2)CCC3)CC1 ZINC000928649707 613137835 /nfs/dbraw/zinc/13/78/35/613137835.db2.gz QHHBCIQHHJPUKA-UHFFFAOYSA-N 0 1 272.352 0.657 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nnn(C(C)(C)C)n2)CC1 ZINC000902944656 613137997 /nfs/dbraw/zinc/13/79/97/613137997.db2.gz IMNTWXPILINZBI-UHFFFAOYSA-N 0 1 290.371 0.256 20 30 CCEDMN C#CCN1CCC(NC(=S)N[C@H](C)COC)CC1 ZINC000905656969 613138037 /nfs/dbraw/zinc/13/80/37/613138037.db2.gz VMRVVLZHKUQJEJ-LLVKDONJSA-N 0 1 269.414 0.583 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H]3CCOC3)C2)C1 ZINC000981683740 613141264 /nfs/dbraw/zinc/14/12/64/613141264.db2.gz ZADBKSBQGOEBAD-AWEZNQCLSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CC[C@H]3C(N)=O)C2)C1 ZINC000981929829 613142099 /nfs/dbraw/zinc/14/20/99/613142099.db2.gz CLYPLTUHHWPTQU-CHWSQXEVSA-N 0 1 289.379 0.056 20 30 CCEDMN C#C[C@H](NC(=O)[C@@]1(C)C[C@H](O)CN1C)c1ccccc1 ZINC000911500445 613146847 /nfs/dbraw/zinc/14/68/47/613146847.db2.gz KFSIZSSOBBVLGS-OFQRWUPVSA-N 0 1 272.348 0.932 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1COC(=O)N1 ZINC000968847030 613149055 /nfs/dbraw/zinc/14/90/55/613149055.db2.gz SLVPDXGJQKBCAO-NWDGAFQWSA-N 0 1 279.340 0.089 20 30 CCEDMN C#C[C@H](NC1CC(S(C)(=O)=O)C1)[C@@H]1CCCO1 ZINC000893676536 613149981 /nfs/dbraw/zinc/14/99/81/613149981.db2.gz MEHQODPFTOVIBA-QQFIATSDSA-N 0 1 257.355 0.332 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)N[C@@H]2CCN(CCOC)C2)C1 ZINC000847322604 613158032 /nfs/dbraw/zinc/15/80/32/613158032.db2.gz YHOKPWSSDSBJPA-UONOGXRCSA-N 0 1 279.384 0.762 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N2CCC(C(=O)OC)CC2)C1 ZINC000847026155 613158733 /nfs/dbraw/zinc/15/87/33/613158733.db2.gz BJMLPBFBXBWCIT-ZDUSSCGKSA-N 0 1 292.379 0.743 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC000923556742 613173673 /nfs/dbraw/zinc/17/36/73/613173673.db2.gz IDBSUNVRIACNSY-QWHCGFSZSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CCC[C@@H](N[C@H](c2nnc[nH]2)c2cccnc2)C1 ZINC000886662691 613176373 /nfs/dbraw/zinc/17/63/73/613176373.db2.gz KEOABYVRGXYVTI-CABCVRRESA-N 0 1 296.378 0.976 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](N(C)C(=O)c2n[nH]nc2C)CC1 ZINC000948670272 613178890 /nfs/dbraw/zinc/17/88/90/613178890.db2.gz OISBRMFQCAIXOD-LBPRGKRZSA-N 0 1 275.356 0.673 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)[C@@H]2CN(C)CCN2C)nc1 ZINC000896561084 613188427 /nfs/dbraw/zinc/18/84/27/613188427.db2.gz VZQXCMTUZMJCBZ-DOMZBBRYSA-N 0 1 286.379 0.427 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CN(CCO)CC[C@@H]2C)cn1 ZINC000968560191 613192055 /nfs/dbraw/zinc/19/20/55/613192055.db2.gz BAATYGZRSMHGAV-WFASDCNBSA-N 0 1 287.363 0.495 20 30 CCEDMN C#CCN1CCC[C@H](Nc2ncnc3nc[nH]c32)C1 ZINC000884613495 613193672 /nfs/dbraw/zinc/19/36/72/613193672.db2.gz NWWJHEHVFXRAKR-JTQLQIEISA-N 0 1 256.313 0.862 20 30 CCEDMN C#CCN1CCC[C@H](Nc2cc(=O)[nH]c(COC)n2)C1 ZINC000895199552 613193777 /nfs/dbraw/zinc/19/37/77/613193777.db2.gz BGRDWHLIKHILSD-NSHDSACASA-N 0 1 276.340 0.838 20 30 CCEDMN C#Cc1ccc(CN[C@@H](C)C(=O)N[C@@H](C)C(=O)OC)cc1 ZINC000865686022 613194325 /nfs/dbraw/zinc/19/43/25/613194325.db2.gz BFFFBYQDIDASLO-RYUDHWBXSA-N 0 1 288.347 0.824 20 30 CCEDMN C#Cc1ccc(CNC[C@@H]2CC(C(N)=O)=NO2)cc1 ZINC000809425895 613194508 /nfs/dbraw/zinc/19/45/08/613194508.db2.gz YJZJTGWSGKVOKZ-LBPRGKRZSA-N 0 1 257.293 0.388 20 30 CCEDMN C#Cc1ccc(CNC(=O)[C@@]2(C)C[C@@H](O)CN2C)cc1 ZINC000908530805 613194570 /nfs/dbraw/zinc/19/45/70/613194570.db2.gz OBGBRIONSIRMEC-GDBMZVCRSA-N 0 1 272.348 0.739 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N2C[C@H](C)N[C@@H](C)C2)c1 ZINC000842033183 613199161 /nfs/dbraw/zinc/19/91/61/613199161.db2.gz PBKZMTCIQKMTEA-STQMWFEESA-N 0 1 299.374 0.493 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC000817668575 613202003 /nfs/dbraw/zinc/20/20/03/613202003.db2.gz MBNOWCHMEJBPAW-NEPJUHHUSA-N 0 1 266.341 0.700 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC000817668575 613202004 /nfs/dbraw/zinc/20/20/04/613202004.db2.gz MBNOWCHMEJBPAW-NEPJUHHUSA-N 0 1 266.341 0.700 20 30 CCEDMN C#Cc1cccc(NC[C@H](O)CN2CCN(C)CC2)c1 ZINC000819405512 613204561 /nfs/dbraw/zinc/20/45/61/613204561.db2.gz TZTHJMYLWKZWSY-INIZCTEOSA-N 0 1 273.380 0.688 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC[C@@H](CF)C2)CC1 ZINC000880234958 613205193 /nfs/dbraw/zinc/20/51/93/613205193.db2.gz WPTDNYQLANJTBX-AWEZNQCLSA-N 0 1 281.375 0.445 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)CC2OCCCO2)C1 ZINC000965946440 613218008 /nfs/dbraw/zinc/21/80/08/613218008.db2.gz NCAZBNGOTNDRCS-CHWSQXEVSA-N 0 1 280.368 0.599 20 30 CCEDMN c1nonc1CN=Nc1nccc(N2CCOCC2)n1 ZINC000841629049 613223903 /nfs/dbraw/zinc/22/39/03/613223903.db2.gz NQRXYBIXABXBAG-UHFFFAOYSA-N 0 1 275.272 0.142 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nccnc2N)C(C)(C)C1 ZINC000940634106 613244242 /nfs/dbraw/zinc/24/42/42/613244242.db2.gz GYNYNYJHANYFJG-LLVKDONJSA-N 0 1 287.367 0.522 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CNC(=O)N2)C1 ZINC000951585060 613245703 /nfs/dbraw/zinc/24/57/03/613245703.db2.gz ZYLCGZNLAQVYQH-GFCCVEGCSA-N 0 1 280.372 0.167 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cncn2C)C1 ZINC000968533332 613247243 /nfs/dbraw/zinc/24/72/43/613247243.db2.gz IVNHQWIVAIYTKQ-JSGCOSHPSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC000965755145 613251397 /nfs/dbraw/zinc/25/13/97/613251397.db2.gz CIOFPQCYDIPAQG-WCQYABFASA-N 0 1 260.341 0.412 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000967940299 613252541 /nfs/dbraw/zinc/25/25/41/613252541.db2.gz ADPABXCBDKCORC-CMPLNLGQSA-N 0 1 288.351 0.564 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC000950528026 613260624 /nfs/dbraw/zinc/26/06/24/613260624.db2.gz RHMJAPCAPHGXII-NEPJUHHUSA-N 0 1 265.357 0.218 20 30 CCEDMN C/C(=C\c1ccccn1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000848417450 613263914 /nfs/dbraw/zinc/26/39/14/613263914.db2.gz AAWHAWBZYHSOPJ-AMLRMPNFSA-N 0 1 256.309 0.713 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc3n(n2)CCC3)[C@H](C)C1 ZINC000942107772 613266967 /nfs/dbraw/zinc/26/69/67/613266967.db2.gz BEAKKOTWCFBOLT-OCCSQVGLSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)ncn2)C[C@@H]1C ZINC000947318333 613267244 /nfs/dbraw/zinc/26/72/44/613267244.db2.gz HPXVWRXOYSKROO-RYUDHWBXSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)cn2)C(C)(C)C1 ZINC000940676481 613273506 /nfs/dbraw/zinc/27/35/06/613273506.db2.gz MPVRNHJOTDFWJK-ZDUSSCGKSA-N 0 1 274.368 0.884 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nnn(C)c2C)C[C@@H]1C ZINC000947158373 613278361 /nfs/dbraw/zinc/27/83/61/613278361.db2.gz WBGBOYXRXXMQQI-JQWIXIFHSA-N 0 1 275.356 0.339 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974951537 613282091 /nfs/dbraw/zinc/28/20/91/613282091.db2.gz ZJAHFKVEZKCTNT-VXGBXAGGSA-N 0 1 277.368 0.067 20 30 CCEDMN C=C(C)C[N@H+](C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000819723074 613282710 /nfs/dbraw/zinc/28/27/10/613282710.db2.gz XDGIBHCYJSIZRV-UHFFFAOYSA-N 0 1 276.348 0.613 20 30 CCEDMN C=C(C)C[N@@H+](C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000819723074 613282713 /nfs/dbraw/zinc/28/27/13/613282713.db2.gz XDGIBHCYJSIZRV-UHFFFAOYSA-N 0 1 276.348 0.613 20 30 CCEDMN C=C(C)C[N@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000819723074 613282714 /nfs/dbraw/zinc/28/27/14/613282714.db2.gz XDGIBHCYJSIZRV-UHFFFAOYSA-N 0 1 276.348 0.613 20 30 CCEDMN C=C(C)C[N@@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000819723074 613282716 /nfs/dbraw/zinc/28/27/16/613282716.db2.gz XDGIBHCYJSIZRV-UHFFFAOYSA-N 0 1 276.348 0.613 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc3ncccc3o2)C1 ZINC000958277117 613286151 /nfs/dbraw/zinc/28/61/51/613286151.db2.gz NLVMPKZSZUXJFC-WCQYABFASA-N 0 1 299.330 0.484 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc3cnccc3o2)C1 ZINC000958218623 613286254 /nfs/dbraw/zinc/28/62/54/613286254.db2.gz CYNVQGQMPDOOPG-QWHCGFSZSA-N 0 1 299.330 0.484 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cnoc2C(C)C)C1 ZINC000958559698 613287169 /nfs/dbraw/zinc/28/71/69/613287169.db2.gz RVUILACRSVHCHM-WCQYABFASA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cnc(CC)s2)C1 ZINC000958731209 613287193 /nfs/dbraw/zinc/28/71/93/613287193.db2.gz BKLDLWRGBRXYBC-WDEREUQCSA-N 0 1 293.392 0.361 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C)nc2)[C@H](O)C1 ZINC000957855448 613288915 /nfs/dbraw/zinc/28/89/15/613288915.db2.gz NRMGURBECZCUSH-ZIAGYGMSSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc[nH]c2CC)[C@H](O)C1 ZINC000958045765 613289133 /nfs/dbraw/zinc/28/91/33/613289133.db2.gz BIFAEJOQVNQFKZ-BXUZGUMPSA-N 0 1 275.352 0.233 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc3cc[nH]c3c2)[C@H](O)C1 ZINC000958438797 613289486 /nfs/dbraw/zinc/28/94/86/613289486.db2.gz NHTXEZBTBMLLTP-GDBMZVCRSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2occc2Cl)[C@H](O)C1 ZINC000958380362 613290737 /nfs/dbraw/zinc/29/07/37/613290737.db2.gz YUGMBCWJXKVRDF-MWLCHTKSSA-N 0 1 282.727 0.589 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3cnnn3C)C[C@]2(C)C1 ZINC000982272347 613294112 /nfs/dbraw/zinc/29/41/12/613294112.db2.gz HWLXCQGXXBGNCF-GASCZTMLSA-N 0 1 287.367 0.232 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2CCC3(CC3)CC2)C1 ZINC000958272309 613294262 /nfs/dbraw/zinc/29/42/62/613294262.db2.gz LNLJFKYVMDHJTN-GJZGRUSLSA-N 0 1 290.407 0.999 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC000958516517 613295100 /nfs/dbraw/zinc/29/51/00/613295100.db2.gz SWSCBLQYQMOHRF-FZMZJTMJSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(F)cc2F)C1 ZINC000958635720 613295187 /nfs/dbraw/zinc/29/51/87/613295187.db2.gz PIPTWBQRIZDNTE-HZMBPMFUSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cnc(C)s2)C1 ZINC000957922370 613295547 /nfs/dbraw/zinc/29/55/47/613295547.db2.gz IOFIZFRZZPCICC-QWRGUYRKSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C(C)(C)C1 ZINC000975040913 613298919 /nfs/dbraw/zinc/29/89/19/613298919.db2.gz VKUOLOIJBOXZKZ-XQQFMLRXSA-N 0 1 291.395 0.171 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2CCCC2)C1 ZINC000957807039 613302237 /nfs/dbraw/zinc/30/22/37/613302237.db2.gz AQESLYBRGHQPQD-OLZOCXBDSA-N 0 1 250.342 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)cc(C)c2)C1 ZINC000958625558 613302442 /nfs/dbraw/zinc/30/24/42/613302442.db2.gz KVCMZCZHIRLXHH-CVEARBPZSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C)c(F)c2)C1 ZINC000958235212 613303182 /nfs/dbraw/zinc/30/31/82/613303182.db2.gz HKRAVRRKZDEPFS-HIFRSBDPSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3[nH]nnc3C)CC[C@@H]2C1 ZINC000946707184 613306004 /nfs/dbraw/zinc/30/60/04/613306004.db2.gz JITLZGGQFTUAOM-BETUJISGSA-N 0 1 287.367 0.530 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3[nH]nnc3C)CC[C@@H]2C1 ZINC000946707184 613306005 /nfs/dbraw/zinc/30/60/05/613306005.db2.gz JITLZGGQFTUAOM-BETUJISGSA-N 0 1 287.367 0.530 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(F)CCOCC1 ZINC000960213179 613312859 /nfs/dbraw/zinc/31/28/59/613312859.db2.gz GZNFMAQOTJFBPS-GDNZZTSVSA-N 0 1 266.316 0.185 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cc(C)on1 ZINC000958401613 613314440 /nfs/dbraw/zinc/31/44/40/613314440.db2.gz PIGUDDRAOVXCJT-IMRBUKKESA-N 0 1 259.309 0.205 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1ncc2cccnc21 ZINC000961192922 613314730 /nfs/dbraw/zinc/31/47/30/613314730.db2.gz VKJAKYCMPQKQRH-NHAGDIPZSA-N 0 1 295.346 0.111 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)N1CCCCC1=O ZINC000962758960 613315103 /nfs/dbraw/zinc/31/51/03/613315103.db2.gz YVZPFADNBJHSJA-CXTNEJHOSA-N 0 1 289.379 0.067 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@H]1CC ZINC000961300995 613315316 /nfs/dbraw/zinc/31/53/16/613315316.db2.gz JAQZPQYJNAGNPV-DGTMBMJNSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCc2ncncc2C1 ZINC000960231175 613315479 /nfs/dbraw/zinc/31/54/79/613315479.db2.gz AWFXPMDVSRQQIJ-UYHMYPTGSA-N 0 1 296.374 0.261 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(Cl)n(C)n1 ZINC000960958357 613318347 /nfs/dbraw/zinc/31/83/47/613318347.db2.gz BJWYFJPETCXEFJ-GDGBQDQQSA-N 0 1 278.743 0.367 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(C(=O)NC)c1 ZINC000962611593 613319770 /nfs/dbraw/zinc/31/97/70/613319770.db2.gz BCQAUYIVHYKIBH-FICVDOATSA-N 0 1 297.358 0.339 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(C)n1 ZINC000958241807 613319908 /nfs/dbraw/zinc/31/99/08/613319908.db2.gz YSWHRNHYBXBTOV-IMRBUKKESA-N 0 1 255.321 0.683 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(=O)cc1C ZINC000961724204 613320998 /nfs/dbraw/zinc/32/09/98/613320998.db2.gz BUJUHTHGHWQRRH-IMRBUKKESA-N 0 1 271.320 0.389 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H]2CCN(CC)C2=O)CC1 ZINC000851740625 613323466 /nfs/dbraw/zinc/32/34/66/613323466.db2.gz USGMHMMRUPTCOL-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2ocnc2C)CC1 ZINC000893973965 613323694 /nfs/dbraw/zinc/32/36/94/613323694.db2.gz PEKVQZVLYXZLLM-UHFFFAOYSA-N 0 1 261.325 0.944 20 30 CCEDMN C#CCNC(=O)CCN1CCOC[C@H]1CC1CCOCC1 ZINC000934632352 613324635 /nfs/dbraw/zinc/32/46/35/613324635.db2.gz BHIAIUTZPFPNBT-OAHLLOKOSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCNC(=O)CN(C)CCc1ccc2c(c1)OCO2 ZINC000887097191 613327846 /nfs/dbraw/zinc/32/78/46/613327846.db2.gz JKTWLFCDIOOHMR-UHFFFAOYSA-N 0 1 274.320 0.639 20 30 CCEDMN C#CCNC(=O)CN(CC)[C@H]1CCN(CC(F)(F)F)C1 ZINC000878946656 613328127 /nfs/dbraw/zinc/32/81/27/613328127.db2.gz MXYMSLITPVQNJX-NSHDSACASA-N 0 1 291.317 0.694 20 30 CCEDMN C#CCNC(=O)CN1CCN[C@@H](c2cccc(OC)c2)C1 ZINC000879527595 613329940 /nfs/dbraw/zinc/32/99/40/613329940.db2.gz TXIVCSHBCNZELA-OAHLLOKOSA-N 0 1 287.363 0.391 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](c2ccccc2)[C@H](CO)C1 ZINC000852521091 613330288 /nfs/dbraw/zinc/33/02/88/613330288.db2.gz STYPJHVSEBYNHV-JKSUJKDBSA-N 0 1 286.375 0.834 20 30 CCEDMN C#CCNC(=O)CN[C@H](c1ccc(OC)nc1)C1CC1 ZINC000860203894 613330935 /nfs/dbraw/zinc/33/09/35/613330935.db2.gz GCYVQRXYCJZOJQ-HNNXBMFYSA-N 0 1 273.336 0.880 20 30 CCEDMN C#CCNC(=O)COC(=O)c1cc(-c2ccc(C)o2)n[nH]1 ZINC000919327734 613332685 /nfs/dbraw/zinc/33/26/85/613332685.db2.gz JKUHJYKNVYFVTM-UHFFFAOYSA-N 0 1 287.275 0.884 20 30 CCEDMN C=C(C)CNC(=S)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833369286 613346704 /nfs/dbraw/zinc/34/67/04/613346704.db2.gz OYJCCIJQWNBUQB-NSHDSACASA-N 0 1 285.413 0.918 20 30 CCEDMN C=C(C)COCCNC(=O)NC[C@@H]1CCN1CC ZINC000883516936 613352077 /nfs/dbraw/zinc/35/20/77/613352077.db2.gz LAASGVHKBOUMHH-LBPRGKRZSA-N 0 1 255.362 0.973 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[C@@H]2[C@H]1CCC[N@H+]2CCO ZINC000908008336 613354047 /nfs/dbraw/zinc/35/40/47/613354047.db2.gz ZSTRPZWLGXLAJZ-CHWSQXEVSA-N 0 1 288.413 0.423 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[C@@H]2[C@H]1CCCN2CCO ZINC000908008336 613354048 /nfs/dbraw/zinc/35/40/48/613354048.db2.gz ZSTRPZWLGXLAJZ-CHWSQXEVSA-N 0 1 288.413 0.423 20 30 CCEDMN C=C(C)C[C@@H](CO)NCc1cn(C[C@@H]2CCOC2)nn1 ZINC000883399356 613355546 /nfs/dbraw/zinc/35/55/46/613355546.db2.gz KGXDRGQFYDSPPR-STQMWFEESA-N 0 1 280.372 0.731 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)C(C)(C)CN1CCOCC1 ZINC000922754544 613357133 /nfs/dbraw/zinc/35/71/33/613357133.db2.gz LLNBUMXGVCGYJQ-CYBMUJFWSA-N 0 1 284.400 0.788 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NC[C@@H]1CCN1C ZINC000928550161 613359415 /nfs/dbraw/zinc/35/94/15/613359415.db2.gz CXYDVKAPWKPREY-RYUDHWBXSA-N 0 1 253.346 0.278 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCN1CCCOCC1 ZINC000907617712 613361276 /nfs/dbraw/zinc/36/12/76/613361276.db2.gz QFSJHPBGMLCOEW-KBPBESRZSA-N 0 1 282.384 0.806 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC000969166359 613384934 /nfs/dbraw/zinc/38/49/34/613384934.db2.gz ANSIISKRUMJWFU-GHMZBOCLSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CN(C)CCO2)C1 ZINC000968301398 613384956 /nfs/dbraw/zinc/38/49/56/613384956.db2.gz IOHSHQHSDWNELR-NEPJUHHUSA-N 0 1 287.791 0.260 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnnn2CC)C1 ZINC000968586122 613386032 /nfs/dbraw/zinc/38/60/32/613386032.db2.gz FCMIIAABMMSVPE-SNVBAGLBSA-N 0 1 283.763 0.855 20 30 CCEDMN C=CC[C@@H](NC(=O)C(=O)N1CCNCC1)c1ccncc1 ZINC000842039650 613404895 /nfs/dbraw/zinc/40/48/95/613404895.db2.gz QHHSMZRYJAXVBL-CYBMUJFWSA-N 0 1 288.351 0.247 20 30 CCEDMN C=C1CCN(C(=O)NCc2n[nH]c(=O)n2C)CC1 ZINC000896197381 613414409 /nfs/dbraw/zinc/41/44/09/613414409.db2.gz CSHSYOWFBTYZFZ-UHFFFAOYSA-N 0 1 251.290 0.382 20 30 CCEDMN C=CC[C@H](CO)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935374534 613417169 /nfs/dbraw/zinc/41/71/69/613417169.db2.gz BWVIZTCYCRDTFL-LLVKDONJSA-N 0 1 281.356 0.428 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)CC=CCl ZINC000905251098 613424497 /nfs/dbraw/zinc/42/44/97/613424497.db2.gz IDETUFGEMUAPIE-ONEGZZNKSA-N 0 1 263.706 0.696 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H](C)C2CN(CCOC)C2)nn1 ZINC000970544107 613430454 /nfs/dbraw/zinc/43/04/54/613430454.db2.gz HDYJLRODQAWXNO-LLVKDONJSA-N 0 1 293.371 0.161 20 30 CCEDMN C=CCn1cc(C(=O)OC[C@@]2(C)C[C@@H](OC)CN2C)nn1 ZINC000861357149 613432484 /nfs/dbraw/zinc/43/24/84/613432484.db2.gz QTZVHMMWWVHPDQ-BXUZGUMPSA-N 0 1 294.355 0.730 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC000972079513 613434728 /nfs/dbraw/zinc/43/47/28/613434728.db2.gz ODACXVCKHKVXBZ-WDEREUQCSA-N 0 1 267.373 0.605 20 30 CCEDMN C=CCn1cc(CNC[C@@H](O)CCC2(O)CCC2)nn1 ZINC000905290121 613435743 /nfs/dbraw/zinc/43/57/43/613435743.db2.gz KQURVPLHWQXSMV-ZDUSSCGKSA-N 0 1 280.372 0.610 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCN1CCN(C)CC1 ZINC000929580645 613448694 /nfs/dbraw/zinc/44/86/94/613448694.db2.gz DHDRFJKKHMERKV-ZDUSSCGKSA-N 0 1 253.390 0.952 20 30 CCEDMN C=CCCC[C@H](NC(=O)c1ncn[nH]1)C(=O)OC ZINC000928315809 613474913 /nfs/dbraw/zinc/47/49/13/613474913.db2.gz DZSWBZGPAHBGIC-QMMMGPOBSA-N 0 1 252.274 0.432 20 30 CCEDMN C=CCCC[C@H](NC(=O)c1nc[nH]n1)C(=O)OC ZINC000928315809 613474916 /nfs/dbraw/zinc/47/49/16/613474916.db2.gz DZSWBZGPAHBGIC-QMMMGPOBSA-N 0 1 252.274 0.432 20 30 CCEDMN C=C[C@H](C)NC(=O)NCCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000823491324 613483005 /nfs/dbraw/zinc/48/30/05/613483005.db2.gz UXMMUCOTHILYET-NSHDSACASA-N 0 1 284.360 0.546 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N(C)Cc1ccc(N(C)C)cc1 ZINC000852714695 613492465 /nfs/dbraw/zinc/49/24/65/613492465.db2.gz ZITUOXCQWLVGJJ-CQSZACIVSA-N 0 1 291.395 0.848 20 30 CCEDMN C=C[C@H](O)C(=O)Nc1ccc(C[NH+]2CCN(C)CC2)cc1 ZINC000821901495 613497767 /nfs/dbraw/zinc/49/77/67/613497767.db2.gz GDUKQORDBIJJIL-HNNXBMFYSA-N 0 1 289.379 0.919 20 30 CCEDMN C=C[C@H](O)C(=O)Nc1ccc(CN2CCN(C)CC2)cc1 ZINC000821901495 613497769 /nfs/dbraw/zinc/49/77/69/613497769.db2.gz GDUKQORDBIJJIL-HNNXBMFYSA-N 0 1 289.379 0.919 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@H]2CCCC(=O)N2)CC1 ZINC000949204788 613504468 /nfs/dbraw/zinc/50/44/68/613504468.db2.gz APDCYOWKQIUNAG-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC000957396202 613505764 /nfs/dbraw/zinc/50/57/64/613505764.db2.gz YANPOMOZYIIHSM-AWEZNQCLSA-N 0 1 279.384 0.718 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000900812837 613506143 /nfs/dbraw/zinc/50/61/43/613506143.db2.gz XFZJVRNEZJMLCH-IIAWOOMASA-N 0 1 297.443 0.966 20 30 CCEDMN C=C[C@](C)(O)c1cn(CCNC(=O)C(F)(F)F)nn1 ZINC000849141457 613510606 /nfs/dbraw/zinc/51/06/06/613510606.db2.gz XEUQPXBUYLBABG-VIFPVBQESA-N 0 1 278.234 0.350 20 30 CCEDMN C=CCCN1CCN(C(=O)c2ccnc3n[nH]nc32)CC1 ZINC000949232436 613511345 /nfs/dbraw/zinc/51/13/45/613511345.db2.gz XKAGGGCKZUZJSZ-UHFFFAOYSA-N 0 1 286.339 0.687 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)c3nc[nH]n3)C2)C1 ZINC000972599074 613514443 /nfs/dbraw/zinc/51/44/43/613514443.db2.gz NIWPMYLCKKUPAK-CQSZACIVSA-N 0 1 291.355 0.298 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)c3cn[nH]n3)C2)C1 ZINC000972448332 613514565 /nfs/dbraw/zinc/51/45/65/613514565.db2.gz XQOAUTFTWCQRRK-CQSZACIVSA-N 0 1 291.355 0.298 20 30 CCEDMN CC#CCN1CC(N(CC)C(=O)C2=NC(=O)N(C)C2)C1 ZINC000951619387 613527898 /nfs/dbraw/zinc/52/78/98/613527898.db2.gz HLSCGXVJKHDUPE-UHFFFAOYSA-N 0 1 276.340 0.295 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC000969989230 613530829 /nfs/dbraw/zinc/53/08/29/613530829.db2.gz NRMPBQKQSDSPJP-CHWSQXEVSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000969254971 613538081 /nfs/dbraw/zinc/53/80/81/613538081.db2.gz WKCUOARKCWGBIL-LBPRGKRZSA-N 0 1 273.336 0.393 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cnc(C)[nH]2)C1 ZINC000969402139 613539568 /nfs/dbraw/zinc/53/95/68/613539568.db2.gz MWIMWEPQKJMHDQ-JTQLQIEISA-N 0 1 260.341 0.792 20 30 CCEDMN C=CCCNS(=O)(=O)c1occc1C(=O)OC ZINC000867505020 613569941 /nfs/dbraw/zinc/56/99/41/613569941.db2.gz NPASCNJJMZVBEF-UHFFFAOYSA-N 0 1 259.283 0.921 20 30 CCEDMN C=CCC[C@@H](O)C[N@@H+](C)CCN1CCN(C(=O)[O-])CC1 ZINC000823496327 613585876 /nfs/dbraw/zinc/58/58/76/613585876.db2.gz HYVVHQCBUUYWHR-CYBMUJFWSA-N 0 1 285.388 0.541 20 30 CCEDMN C=CCC[C@@H]1NC(=O)N(CCN2CCSCC2)C1=O ZINC000925286256 613587556 /nfs/dbraw/zinc/58/75/56/613587556.db2.gz NRQIHJKMPPPVMP-NSHDSACASA-N 0 1 283.397 0.922 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@@H]1CC[N@H+](CCOC)C1)C(=O)[O-] ZINC000908946263 613590094 /nfs/dbraw/zinc/59/00/94/613590094.db2.gz KDSBJCAQODIZBQ-NEPJUHHUSA-N 0 1 299.371 0.426 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965555237 613593801 /nfs/dbraw/zinc/59/38/01/613593801.db2.gz GARZPJUEKLCRBV-DZGCQCFKSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966892032 613594607 /nfs/dbraw/zinc/59/46/07/613594607.db2.gz JFCMZZDRIDUDLP-WCQYABFASA-N 0 1 288.351 0.646 20 30 CCEDMN C=CCN(C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1)[C@H](C)COC ZINC000909954998 613604439 /nfs/dbraw/zinc/60/44/39/613604439.db2.gz PSWGBCMXYYHEKX-OLZOCXBDSA-N 0 1 298.383 0.833 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnon2)C(C)(C)C1 ZINC000941112679 613606341 /nfs/dbraw/zinc/60/63/41/613606341.db2.gz GKNOJTIPYRXUBT-LBPRGKRZSA-N 0 1 276.340 0.923 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ncn(C)n2)C(C)(C)C1 ZINC000940721275 613606793 /nfs/dbraw/zinc/60/67/93/613606793.db2.gz NTNJRCJYNCGPQW-LBPRGKRZSA-N 0 1 289.383 0.669 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2cnn(C)c2)C(C)(C)C1 ZINC000972857793 613609182 /nfs/dbraw/zinc/60/91/82/613609182.db2.gz OCWDORAADIHAPX-CQSZACIVSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973029013 613610046 /nfs/dbraw/zinc/61/00/46/613610046.db2.gz VNBOUYRONSAIPP-QWHCGFSZSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2COCCN2C)C(C)(C)C1 ZINC000974484948 613610067 /nfs/dbraw/zinc/61/00/67/613610067.db2.gz ORCQIXTXYMIZIL-ZIAGYGMSSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccccc2)C1 ZINC000957825674 613612805 /nfs/dbraw/zinc/61/28/05/613612805.db2.gz IXTXLYHZOATJLL-LSDHHAIUSA-N 0 1 272.348 0.732 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2nccs2)C1 ZINC000958520154 613613843 /nfs/dbraw/zinc/61/38/43/613613843.db2.gz UPGZUTUTKAAVED-WDEREUQCSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cncs2)C1 ZINC000957909691 613613948 /nfs/dbraw/zinc/61/39/48/613613948.db2.gz DSEBJZNRPLYQAX-WDEREUQCSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccsn2)C1 ZINC000958174664 613614287 /nfs/dbraw/zinc/61/42/87/613614287.db2.gz GMYJRAQLMMDWMN-CMPLNLGQSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccccc2)C1 ZINC000957825672 613618623 /nfs/dbraw/zinc/61/86/23/613618623.db2.gz IXTXLYHZOATJLL-GJZGRUSLSA-N 0 1 272.348 0.732 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C[C@@H]2CCNC2=O)C(C)(C)C1 ZINC000974667457 613620379 /nfs/dbraw/zinc/62/03/79/613620379.db2.gz WFGQNEOPRSHQOM-STQMWFEESA-N 0 1 291.395 0.363 20 30 CCEDMN C=CC[N@H+](C)[C@@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC000971362407 613624761 /nfs/dbraw/zinc/62/47/61/613624761.db2.gz XSAUHWMFQNDXCF-SNVBAGLBSA-N 0 1 264.329 0.756 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccoc2C)C1 ZINC000957851128 613626112 /nfs/dbraw/zinc/62/61/12/613626112.db2.gz GFWBOXUXWXXJTN-OCCSQVGLSA-N 0 1 276.336 0.634 20 30 CCEDMN C=CCN(C)[C@H]1CCN(C(=O)c2cc(=O)c(OC)co2)C1 ZINC000971946867 613634602 /nfs/dbraw/zinc/63/46/02/613634602.db2.gz OVGYSMBOHVLTPT-NSHDSACASA-N 0 1 292.335 0.981 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)c1ccnn1C ZINC000959937414 613635223 /nfs/dbraw/zinc/63/52/23/613635223.db2.gz ZPGODJSFKCHHIS-RMRHIDDWSA-N 0 1 286.379 0.593 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1F ZINC000962153534 613642942 /nfs/dbraw/zinc/64/29/42/613642942.db2.gz HFGDLNBHUKCSQV-PJXYFTJBSA-N 0 1 273.311 0.904 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nonc1C ZINC000963063692 613643089 /nfs/dbraw/zinc/64/30/89/613643089.db2.gz YSYGKBZGJJLRQW-IAZYJMLFSA-N 0 1 260.297 0.061 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@H]1C[C@H](C)[N@H+](C2CC2)C1 ZINC000909143368 613643096 /nfs/dbraw/zinc/64/30/96/613643096.db2.gz VRNJPPBXDVRMOB-QWRGUYRKSA-N 0 1 281.356 0.894 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@@H]1C(=O)NCC1(C)C ZINC000931664166 613648138 /nfs/dbraw/zinc/64/81/38/613648138.db2.gz PZCXAPFGFVSJCI-VXGBXAGGSA-N 0 1 279.384 0.690 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC(CO)(CO)CC1 ZINC000879968386 613648449 /nfs/dbraw/zinc/64/84/49/613648449.db2.gz NUQRDTOZUJFWNX-AWEZNQCLSA-N 0 1 296.411 0.642 20 30 CCEDMN CC#CC[C@@H](CO)NCc1c2c(nn1C)CCOC2 ZINC000922217697 613650131 /nfs/dbraw/zinc/65/01/31/613650131.db2.gz VOZNELWFHUDSET-NSHDSACASA-N 0 1 263.341 0.357 20 30 CCEDMN CC(=NNC(=S)N[C@H](C)C1CC1)c1c[nH]c(N)n1 ZINC000901197535 613653800 /nfs/dbraw/zinc/65/38/00/613653800.db2.gz AMWSQLGVAUTPGK-ZCFIWIBFSA-N 0 1 266.374 0.979 20 30 CCEDMN CC(N=Nc1nccc(N2CCOCC2)n1)c1cnc[nH]1 ZINC000853318520 613657595 /nfs/dbraw/zinc/65/75/95/613657595.db2.gz PWDOFGYXEACGCA-UHFFFAOYSA-N 0 1 287.327 0.872 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(CN(C)C)on2)C1 ZINC000970013404 613664613 /nfs/dbraw/zinc/66/46/13/613664613.db2.gz SYVBCFVNQLBIKC-LLVKDONJSA-N 0 1 292.383 0.972 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnn(C)c2N)C1 ZINC000969541628 613672992 /nfs/dbraw/zinc/67/29/92/613672992.db2.gz YGTQNCJNQUWXKF-VIFPVBQESA-N 0 1 263.345 0.238 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2nccn3ccnc23)C1 ZINC000970444436 613673892 /nfs/dbraw/zinc/67/38/92/613673892.db2.gz HPSYVWNXWXUJGY-NSHDSACASA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CCCN(C(=O)CCn2cncn2)CC1 ZINC000980721017 613689296 /nfs/dbraw/zinc/68/92/96/613689296.db2.gz NNYMDJZZSMVCFE-UHFFFAOYSA-N 0 1 263.345 0.389 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2cnn(C)c2C)CC1 ZINC000981302348 613690652 /nfs/dbraw/zinc/69/06/52/613690652.db2.gz JGAMZCMNJZUFMI-UHFFFAOYSA-N 0 1 276.384 0.991 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC000981744584 613694476 /nfs/dbraw/zinc/69/44/76/613694476.db2.gz DFBRDSKCSVRLIM-RYUDHWBXSA-N 0 1 265.357 0.218 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C2CC(=O)NC(=O)C2)C1 ZINC000968418756 613727718 /nfs/dbraw/zinc/72/77/18/613727718.db2.gz NSWKEYWBMOHQAF-PWSUYJOCSA-N 0 1 293.367 0.052 20 30 CCEDMN C=CCN1CC[C@H](N(CC2CC2)[C@@H](C(N)=O)C2CC2)C1=O ZINC000879487698 613777962 /nfs/dbraw/zinc/77/79/62/613777962.db2.gz ZKXJQGBTGWEREK-UONOGXRCSA-N 0 1 291.395 0.749 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000972862909 613808308 /nfs/dbraw/zinc/80/83/08/613808308.db2.gz QQAQKKKFNVUMIC-GHMZBOCLSA-N 0 1 265.357 0.278 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2ccc(=O)n(C)n2)C(C)(C)C1 ZINC000977572867 613810278 /nfs/dbraw/zinc/81/02/78/613810278.db2.gz YNZDSVQYGWRSGJ-GFCCVEGCSA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cn(C)c(=O)cn2)C(C)(C)C1 ZINC000974823310 613811915 /nfs/dbraw/zinc/81/19/15/613811915.db2.gz DKBIQODVCXPAPF-GFCCVEGCSA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C(C)(C)C1 ZINC000977386518 613828403 /nfs/dbraw/zinc/82/84/03/613828403.db2.gz JDRQEXVJLQXYPE-LBPRGKRZSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(O)(CC=C)CC1 ZINC000886761623 613851743 /nfs/dbraw/zinc/85/17/43/613851743.db2.gz HXQHVWHUNRNLGG-LBPRGKRZSA-N 0 1 295.383 0.790 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N[C@@H]1C(=O)NCC1(CC)CC ZINC000933293500 613853365 /nfs/dbraw/zinc/85/33/65/613853365.db2.gz OPNWJBGBZPWZOT-GHMZBOCLSA-N 0 1 267.373 0.572 20 30 CCEDMN C=CCOCCCC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833375552 613879682 /nfs/dbraw/zinc/87/96/82/613879682.db2.gz DXOZMPVBPHBTFT-ZDUSSCGKSA-N 0 1 298.383 0.977 20 30 CCEDMN C=CCOCCNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872470533 613881245 /nfs/dbraw/zinc/88/12/45/613881245.db2.gz HBGOTAZIEBSFDR-QGZVFWFLSA-N 0 1 298.430 0.920 20 30 CCEDMN C=CCOC[C@H](NC(=O)C[N@H+](C)CC(C)(C)C)C(=O)[O-] ZINC000909770294 613882362 /nfs/dbraw/zinc/88/23/62/613882362.db2.gz DXZDUZKSDLWNEX-NSHDSACASA-N 0 1 286.372 0.736 20 30 CCEDMN C=CCOC[C@H](N[C@H]1CC[N@@H+]2CCC[C@H]2C1)C(=O)[O-] ZINC000901810203 613882759 /nfs/dbraw/zinc/88/27/59/613882759.db2.gz KBTQUOFGZKGBPS-AVGNSLFASA-N 0 1 268.357 0.859 20 30 CCEDMN C=CCOC[C@H](N[C@H]1CC[N@H+]2CCC[C@H]2C1)C(=O)[O-] ZINC000901810203 613882760 /nfs/dbraw/zinc/88/27/60/613882760.db2.gz KBTQUOFGZKGBPS-AVGNSLFASA-N 0 1 268.357 0.859 20 30 CCEDMN CC(=O)NCc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834510563 613944017 /nfs/dbraw/zinc/94/40/17/613944017.db2.gz CTWXHKJTKKNXPO-KBPBESRZSA-N 0 1 286.335 0.164 20 30 CCEDMN CC(C)(C)c1nc(C(=O)N[C@H]2CNC[C@@H]2C#N)co1 ZINC000876801967 614053884 /nfs/dbraw/zinc/05/38/84/614053884.db2.gz KXXGFMRBWLWQJO-IUCAKERBSA-N 0 1 262.313 0.813 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@@H](C2OCCO2)C1 ZINC000932009464 614180175 /nfs/dbraw/zinc/18/01/75/614180175.db2.gz ZLYVLQXRDVHVMR-IUODEOHRSA-N 0 1 295.383 0.736 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000817853163 614285836 /nfs/dbraw/zinc/28/58/36/614285836.db2.gz AIOJPSKVPYESAD-MFKMUULPSA-N 0 1 267.329 0.447 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@H]1C(=O)NCC1(C)C ZINC000931666368 614286190 /nfs/dbraw/zinc/28/61/90/614286190.db2.gz DAMFLTOHTFQRHS-FZMZJTMJSA-N 0 1 280.372 0.155 20 30 CCEDMN CC(C)c1cc(C(=O)NCC#CCN(C)C)n(C)n1 ZINC000913518820 614301127 /nfs/dbraw/zinc/30/11/27/614301127.db2.gz BYNIFCKCDNJVBM-UHFFFAOYSA-N 0 1 262.357 0.838 20 30 CCEDMN CC(C)(C(=O)N[C@@H]1CNC[C@@H]1C#N)[C@H]1CCCCO1 ZINC000876801603 614301840 /nfs/dbraw/zinc/30/18/40/614301840.db2.gz GEXORPXGXWZVAR-QJPTWQEYSA-N 0 1 265.357 0.809 20 30 CCEDMN CC(C)n1cc(CN=Nc2nccn(C)c2=O)nn1 ZINC000807975180 614355407 /nfs/dbraw/zinc/35/54/07/614355407.db2.gz JBVHIBRFXJTZKB-UHFFFAOYSA-N 0 1 261.289 0.399 20 30 CCEDMN CCCc1cc(C(=O)N2CC[C@@H](NCC#N)C2)n[nH]1 ZINC000969533688 614466747 /nfs/dbraw/zinc/46/67/47/614466747.db2.gz JCKKNNPGLFAWDT-LLVKDONJSA-N 0 1 261.329 0.690 20 30 CCEDMN CCC1(CC)CNC(=O)[C@@H]1N[C@@H](C)C(=O)N(C)CCC#N ZINC000933293239 614684704 /nfs/dbraw/zinc/68/47/04/614684704.db2.gz MASSCZLYNDAXNO-RYUDHWBXSA-N 0 1 294.399 0.641 20 30 CCEDMN CCN(C(=O)c1ccn[nH]1)[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000942679986 614723889 /nfs/dbraw/zinc/72/38/89/614723889.db2.gz RGBYKXZSQQCLAJ-MNOVXSKESA-N 0 1 289.339 0.632 20 30 CCEDMN CC1(C)CO[C@H](CCC(=O)N[C@H]2CNC[C@@H]2C#N)C1 ZINC000884250897 614733728 /nfs/dbraw/zinc/73/37/28/614733728.db2.gz RAAPXPOJYRQSRI-TUAOUCFPSA-N 0 1 265.357 0.809 20 30 CCEDMN CCN(CC)[C@H](CNC(=O)NCC#CCO)c1ccco1 ZINC000923783697 615012288 /nfs/dbraw/zinc/01/22/88/615012288.db2.gz JJKWITODRXFWNV-CYBMUJFWSA-N 0 1 293.367 0.957 20 30 CCEDMN CCC[C@H](C(=O)Nc1cc(C#N)ccc1O)S(N)(=O)=O ZINC000831272672 615035842 /nfs/dbraw/zinc/03/58/42/615035842.db2.gz ZMEJMKRYEXGHKJ-LLVKDONJSA-N 0 1 297.336 0.660 20 30 CCEDMN CCN(CCC(N)=O)CC(=O)NC1(C#N)CCCCC1 ZINC000932481038 615040206 /nfs/dbraw/zinc/04/02/06/615040206.db2.gz HAMOYEKBBUEQIW-UHFFFAOYSA-N 0 1 280.372 0.526 20 30 CCEDMN CC[N@H+]1CCCC[C@H]1CNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820348427 615116390 /nfs/dbraw/zinc/11/63/90/615116390.db2.gz VAMXVEASUCYPFA-FZMZJTMJSA-N 0 1 281.356 0.982 20 30 CCEDMN CC[N@@H+]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@@H]1C ZINC000820353943 615153175 /nfs/dbraw/zinc/15/31/75/615153175.db2.gz IWRNCYBRTDUGSL-GWCFXTLKSA-N 0 1 267.329 0.544 20 30 CCEDMN CC[N@H+]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@@H]1C ZINC000820353943 615153176 /nfs/dbraw/zinc/15/31/76/615153176.db2.gz IWRNCYBRTDUGSL-GWCFXTLKSA-N 0 1 267.329 0.544 20 30 CCEDMN CCOC(=O)C1(C(=O)NCC#CCN(C)C)CCCC1 ZINC000913523809 615153912 /nfs/dbraw/zinc/15/39/12/615153912.db2.gz NIQZHXYYTIDKJQ-UHFFFAOYSA-N 0 1 280.368 0.791 20 30 CCEDMN CCOC(=O)CON=C(C(=O)N1CC=CC1)c1cc[nH]n1 ZINC000910011671 615200498 /nfs/dbraw/zinc/20/04/98/615200498.db2.gz KJDBUJSOANKPNE-UHFFFAOYSA-N 0 1 292.295 0.092 20 30 CCEDMN CCOCCOCCCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000852827185 615270166 /nfs/dbraw/zinc/27/01/66/615270166.db2.gz CLXVUEGSFHUREK-RYUDHWBXSA-N 0 1 269.345 0.047 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC(C)C)[C@@H]1CCOC1 ZINC000870142955 615476792 /nfs/dbraw/zinc/47/67/92/615476792.db2.gz OZTCDUDNECOEKO-WZRBSPASSA-N 0 1 282.340 0.661 20 30 CCEDMN CCO[C@H](CC)C(=O)C(C#N)C(=O)NCCCOC ZINC000920106899 615479473 /nfs/dbraw/zinc/47/94/73/615479473.db2.gz HZZDFVOYEZPBNB-WDEREUQCSA-N 0 1 270.329 0.663 20 30 CCEDMN CC[C@@H](NC(=O)OC(C)(C)C)C(=O)NCC#CCN(C)C ZINC000913520888 615529519 /nfs/dbraw/zinc/52/95/19/615529519.db2.gz BZXIRBUWINHDLU-GFCCVEGCSA-N 0 1 297.399 0.971 20 30 CCEDMN CCc1csc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000841132167 615717740 /nfs/dbraw/zinc/71/77/40/615717740.db2.gz UYXJFSVOPCCHOP-IONNQARKSA-N 0 1 265.342 0.939 20 30 CCEDMN CCn1ncn(NC(=O)c2cc(C#N)oc2C)c1=O ZINC000854628949 615732818 /nfs/dbraw/zinc/73/28/18/615732818.db2.gz WWFVNANCNSOKQA-UHFFFAOYSA-N 0 1 261.241 0.222 20 30 CCEDMN CCc1nc(CC(=O)N[C@H]2CNC[C@@H]2C#N)cs1 ZINC000834494113 615739158 /nfs/dbraw/zinc/73/91/58/615739158.db2.gz KGKYADBBGNPNMD-WPRPVWTQSA-N 0 1 264.354 0.476 20 30 CCEDMN CCc1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000834495061 615771886 /nfs/dbraw/zinc/77/18/86/615771886.db2.gz ROSGKHDKBWKJMN-HTQZYQBOSA-N 0 1 250.327 0.547 20 30 CCEDMN CCc1ncsc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834483843 615791118 /nfs/dbraw/zinc/79/11/18/615791118.db2.gz AGZHTAMZUOXRKR-VXNVDRBHSA-N 0 1 250.327 0.547 20 30 CCEDMN CN(C)CC#CCNC(=O)CC1(O)CCCCC1 ZINC000913519248 615857453 /nfs/dbraw/zinc/85/74/53/615857453.db2.gz TXLBKJBPRFPWOM-UHFFFAOYSA-N 0 1 252.358 0.753 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@H](O)CC(C)(C)C ZINC000913522376 615858459 /nfs/dbraw/zinc/85/84/59/615858459.db2.gz GSCCWBFKJJSOJN-LBPRGKRZSA-N 0 1 254.374 0.855 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1cc2ccccc2n1 ZINC000913518418 615858899 /nfs/dbraw/zinc/85/88/99/615858899.db2.gz OBOIMYMBKQLEMI-UHFFFAOYSA-N 0 1 270.336 0.718 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc(-n2nccn2)nc1 ZINC000929759421 615859111 /nfs/dbraw/zinc/85/91/11/615859111.db2.gz NKIYHGBZAFNSFJ-UHFFFAOYSA-N 0 1 299.338 0.349 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC000913523225 615859559 /nfs/dbraw/zinc/85/95/59/615859559.db2.gz QSHNWELOTUOGLH-QWHCGFSZSA-N 0 1 260.341 0.205 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@](C)(O)Cc1ccccc1 ZINC000913518904 615859616 /nfs/dbraw/zinc/85/96/16/615859616.db2.gz FXCTYKJZLLLEKZ-INIZCTEOSA-N 0 1 274.364 0.661 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@]12C[C@H]1COC2(C)C ZINC000913524058 615859962 /nfs/dbraw/zinc/85/99/62/615859962.db2.gz YAZCRPZTKQYWPB-FZMZJTMJSA-N 0 1 250.342 0.483 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CC12CCOCC2 ZINC000913518934 615860134 /nfs/dbraw/zinc/86/01/34/615860134.db2.gz HGFOQYKWMALUDQ-GFCCVEGCSA-N 0 1 250.342 0.484 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CN(C)C(=O)c2ccccc21 ZINC000913521596 615860182 /nfs/dbraw/zinc/86/01/82/615860182.db2.gz FYBPTHKKDZCVTQ-HNNXBMFYSA-N 0 1 299.374 0.537 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c(Cl)cnn1C ZINC000913524162 615860210 /nfs/dbraw/zinc/86/02/10/615860210.db2.gz CDFRBJNSCHAXGL-UHFFFAOYSA-N 0 1 254.721 0.368 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2c1OCCO2 ZINC000913517950 615861240 /nfs/dbraw/zinc/86/12/40/615861240.db2.gz XFTXWHFVAXQOMZ-UHFFFAOYSA-N 0 1 274.320 0.753 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2c[nH]nc21 ZINC000913516183 615861315 /nfs/dbraw/zinc/86/13/15/615861315.db2.gz FHUQSCKLLYTQMH-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnc(-n2cccn2)c1 ZINC000913519012 615861581 /nfs/dbraw/zinc/86/15/81/615861581.db2.gz JTOXJJKIPVTZEM-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CN(C)CC#CCNC(=O)c1sccc1-n1cnnn1 ZINC000913516844 615862344 /nfs/dbraw/zinc/86/23/44/615862344.db2.gz IVIYAZMFBHQATQ-UHFFFAOYSA-N 0 1 290.352 0.019 20 30 CCEDMN CN(C(=O)C1=NC(=O)N(C)C1)[C@@H]1CCCN(CC#N)CC1 ZINC000948746886 615921025 /nfs/dbraw/zinc/92/10/25/615921025.db2.gz KKQVNYUDWDVIOR-LLVKDONJSA-N 0 1 291.355 0.576 20 30 CCEDMN C[NH+](C)C[C@](C)(CN=NC1=NCC(=O)[N-]1)c1ccccc1 ZINC000863176884 615950658 /nfs/dbraw/zinc/95/06/58/615950658.db2.gz FUAQLHVPQZPAOC-HNNXBMFYSA-N 0 1 287.367 0.567 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000971539325 616041444 /nfs/dbraw/zinc/04/14/44/616041444.db2.gz LMJMQOSFFNQOPL-RYUDHWBXSA-N 0 1 287.367 0.886 20 30 CCEDMN C[N@@H+](CC(=O)NCCC#N)C1CCC(NC(=O)[O-])CC1 ZINC000828108442 616046940 /nfs/dbraw/zinc/04/69/40/616046940.db2.gz RHDZLJWAKCDDGP-UHFFFAOYSA-N 0 1 282.344 0.527 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])CC(=O)NCc1cccc(C#N)c1 ZINC000737991289 616069011 /nfs/dbraw/zinc/06/90/11/616069011.db2.gz BORBLVOZBMYRLP-UHFFFAOYSA-N 0 1 275.308 0.581 20 30 CCEDMN CN(CCNS(C)(=O)=O)CCc1cccc(C#N)c1 ZINC000879434085 616096640 /nfs/dbraw/zinc/09/66/40/616096640.db2.gz MXOITRYAXMAZQU-UHFFFAOYSA-N 0 1 281.381 0.582 20 30 CCEDMN CN(CCn1cnnn1)C[C@@H](O)c1cccc(C#N)c1 ZINC000878802968 616129214 /nfs/dbraw/zinc/12/92/14/616129214.db2.gz VMKWCBJKWHKJMR-CYBMUJFWSA-N 0 1 272.312 0.210 20 30 CCEDMN CN(Cc1cc(C#N)cs1)C[C@H](O)C[C@]1(O)CCOC1 ZINC000933771199 616164648 /nfs/dbraw/zinc/16/46/48/616164648.db2.gz WLSVTQQSSHHFBW-TZMCWYRMSA-N 0 1 296.392 0.954 20 30 CCEDMN CN(Cc1cc(C#N)cs1)C[C@H](O)C[C@@]1(O)CCOC1 ZINC000933771197 616164654 /nfs/dbraw/zinc/16/46/54/616164654.db2.gz WLSVTQQSSHHFBW-OCCSQVGLSA-N 0 1 296.392 0.954 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000937755564 616194808 /nfs/dbraw/zinc/19/48/08/616194808.db2.gz GSEFPMOLYYTTQU-UONOGXRCSA-N 0 1 289.379 0.211 20 30 CCEDMN C[N@H+]1CCC[C@@H]1CNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820619971 616196267 /nfs/dbraw/zinc/19/62/67/616196267.db2.gz CNYLPONVBUYSGX-BXKDBHETSA-N 0 1 253.302 0.201 20 30 CCEDMN CN1CCC[C@H]2[C@@H]1CCN2S(=O)(=O)CCCC#N ZINC000872662319 616216392 /nfs/dbraw/zinc/21/63/92/616216392.db2.gz DFUVTHFTSFNDHO-RYUDHWBXSA-N 0 1 271.386 0.788 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)N1CCN(C)CCN(C)CC1 ZINC000888989547 616236071 /nfs/dbraw/zinc/23/60/71/616236071.db2.gz QGBLUJGUADGQEC-UHFFFAOYSA-N 0 1 289.383 0.216 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CCC[C@H](C#N)C2)C1 ZINC000917960237 616238059 /nfs/dbraw/zinc/23/80/59/616238059.db2.gz OSOBYIWXVTXGMV-CHWSQXEVSA-N 0 1 279.388 0.177 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN[C@H]1CC(=O)N(C)C1 ZINC000865441116 616298055 /nfs/dbraw/zinc/29/80/55/616298055.db2.gz PMUPGELXQWZFNB-ZDUSSCGKSA-N 0 1 272.352 0.945 20 30 CCEDMN COC(=O)[C@@H]1CC[N@H+](CC2(C#N)CC2)[C@@H](C(=O)[O-])C1 ZINC000833706030 616305727 /nfs/dbraw/zinc/30/57/27/616305727.db2.gz FGZSSHKXZPGWSP-NXEZZACHSA-N 0 1 266.297 0.628 20 30 CCEDMN COC(=O)[C@@H]1CC[N@@H+](CC2(C#N)CC2)[C@@H](C(=O)[O-])C1 ZINC000833706030 616305732 /nfs/dbraw/zinc/30/57/32/616305732.db2.gz FGZSSHKXZPGWSP-NXEZZACHSA-N 0 1 266.297 0.628 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC000837406034 616387511 /nfs/dbraw/zinc/38/75/11/616387511.db2.gz YJPSULJMSLKOKC-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN COC(=O)[C@@H]1CSCCN(C[C@H](O)CC#N)C1 ZINC000930738906 616409505 /nfs/dbraw/zinc/40/95/05/616409505.db2.gz YIWNWTRSKZWBKE-VHSXEESVSA-N 0 1 258.343 0.099 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N1CCC[C@](C)(C#N)C1 ZINC000907335813 616470964 /nfs/dbraw/zinc/47/09/64/616470964.db2.gz OHJJNWHQGNKMIM-BNOWGMLFSA-N 0 1 265.357 0.594 20 30 CCEDMN COCC#CCN1CCC([C@@H]2COC(=O)N2)CC1 ZINC000932777292 616527480 /nfs/dbraw/zinc/52/74/80/616527480.db2.gz RYIODDOJSIQEQG-LBPRGKRZSA-N 0 1 252.314 0.457 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)CN(C)[C@@H]1CCSC1 ZINC000871343104 616544430 /nfs/dbraw/zinc/54/44/30/616544430.db2.gz ZDDXVFRKUXKNES-LLVKDONJSA-N 0 1 299.396 0.339 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000825374003 616545565 /nfs/dbraw/zinc/54/55/65/616545565.db2.gz ARVBCYIMLJBCRG-NXEZZACHSA-N 0 1 276.296 0.428 20 30 CCEDMN CNC(=O)CCNCc1cc(C#N)cnc1Cl ZINC000876987214 616584280 /nfs/dbraw/zinc/58/42/80/616584280.db2.gz TZCCPXXZAVWEAJ-UHFFFAOYSA-N 0 1 252.705 0.832 20 30 CCEDMN CO[C@@H]1CC[C@@H]1N(C)[C@@H](C)C(=O)N(C)CCC#N ZINC000934126092 616695829 /nfs/dbraw/zinc/69/58/29/616695829.db2.gz INUGWPHKRHBTJP-SDDRHHMPSA-N 0 1 253.346 0.856 20 30 CCEDMN COC(=O)[C@]12CCC[C@H]1N(CC(=O)NCC#N)CC2 ZINC000880180957 616799402 /nfs/dbraw/zinc/79/94/02/616799402.db2.gz LWCXPOUWQWHDEV-MFKMUULPSA-N 0 1 265.313 0.044 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC1(C#N)CC1 ZINC000815904693 616901186 /nfs/dbraw/zinc/90/11/86/616901186.db2.gz NILGOAZTOLLGJW-SNVBAGLBSA-N 0 1 263.297 0.542 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H](CC(C)C)OC ZINC000842286275 616902089 /nfs/dbraw/zinc/90/20/89/616902089.db2.gz XGLASKHDORVCFV-NEPJUHHUSA-N 0 1 284.356 0.909 20 30 CCEDMN COC(=O)Nc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834485494 616935988 /nfs/dbraw/zinc/93/59/88/616935988.db2.gz XRPAOLDUDOQLOV-JQWIXIFHSA-N 0 1 288.307 0.706 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC1CCCC1)[C@@H]1CCOC1 ZINC000850768089 617006461 /nfs/dbraw/zinc/00/64/61/617006461.db2.gz LXIBJUVHHBNRAY-OSMZGAPFSA-N 0 1 294.351 0.806 20 30 CCEDMN CNS(=O)(=O)CCNCc1cc(C#N)ccc1N(C)C ZINC000865538297 617021095 /nfs/dbraw/zinc/02/10/95/617021095.db2.gz KNRYFZUFYVYIER-UHFFFAOYSA-N 0 1 296.396 0.263 20 30 CCEDMN CNc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc(Cl)n1 ZINC000834490878 617056015 /nfs/dbraw/zinc/05/60/15/617056015.db2.gz XURXEDCPGYYRSL-DTWKUNHWSA-N 0 1 279.731 0.618 20 30 CCEDMN CON=CC(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000816524877 617103691 /nfs/dbraw/zinc/10/36/91/617103691.db2.gz VGSNFONYUQNCNH-UHFFFAOYSA-N 0 1 254.246 0.113 20 30 CCEDMN COc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)ccc1F ZINC000834480568 617167426 /nfs/dbraw/zinc/16/74/26/617167426.db2.gz SDXMMDXPGUUFKT-ONGXEEELSA-N 0 1 263.272 0.676 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC001025908173 625301567 /nfs/dbraw/zinc/30/15/67/625301567.db2.gz SGQFXTURUQYVEL-FWTMIXAASA-N 0 1 299.378 0.646 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)[C@@H]1CCCO1 ZINC001026024935 625322800 /nfs/dbraw/zinc/32/28/00/625322800.db2.gz PZEQFJXGZFHUSE-MRLBHPIUSA-N 0 1 276.380 0.871 20 30 CCEDMN Cc1cc(C(=O)N2C[C@@H]3CC[C@H](NCC#N)[C@H]3C2)n[nH]1 ZINC001026212464 625357954 /nfs/dbraw/zinc/35/79/54/625357954.db2.gz VEDWQSICIQNDBK-SRVKXCTJSA-N 0 1 273.340 0.682 20 30 CCEDMN C#CC[NH2+][C@@H]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]12 ZINC001026248115 625373151 /nfs/dbraw/zinc/37/31/51/625373151.db2.gz LGLNYLJWWNHRSH-JHJVBQTASA-N 0 1 285.347 0.861 20 30 CCEDMN CC(C)CN1CCN(C(=O)NCc2cnnn2C)CC1 ZINC000329309116 625391619 /nfs/dbraw/zinc/39/16/19/625391619.db2.gz AGPVSEMZGKYRKW-UHFFFAOYSA-N 0 1 280.376 0.503 20 30 CCEDMN N#CCNC1CCC(NC(=O)CN2CCCC2)CC1 ZINC001026606867 625480898 /nfs/dbraw/zinc/48/08/98/625480898.db2.gz ZOIHWDBIYCWOCJ-UHFFFAOYSA-N 0 1 264.373 0.623 20 30 CCEDMN Cc1cnc(CNC[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)nc1 ZINC001026732013 625577533 /nfs/dbraw/zinc/57/75/33/625577533.db2.gz FKFXCCIMENWDAJ-OLZOCXBDSA-N 0 1 287.367 0.883 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(CCCO)CC2)c1 ZINC000892164737 622626867 /nfs/dbraw/zinc/62/68/67/622626867.db2.gz KAZYSONSKASJAC-UHFFFAOYSA-N 0 1 260.341 0.766 20 30 CCEDMN C=C(Br)CNC[C@@H](O)Cn1cccn1 ZINC000168256767 623022137 /nfs/dbraw/zinc/02/21/37/623022137.db2.gz LZRCAFBLTUZOBV-SECBINFHSA-N 0 1 260.135 0.742 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2nonc2C)C1 ZINC001023773568 623138206 /nfs/dbraw/zinc/13/82/06/623138206.db2.gz UMRRSAQQIUWTAY-NSHDSACASA-N 0 1 262.313 0.453 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001024310417 623281370 /nfs/dbraw/zinc/28/13/70/623281370.db2.gz MFUSOENGGPFRBU-LLVKDONJSA-N 0 1 274.324 0.400 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1COCCO1 ZINC001024340047 623290344 /nfs/dbraw/zinc/29/03/44/623290344.db2.gz TTWVIJRTEBZTOV-OLZOCXBDSA-N 0 1 268.357 0.559 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1COC(=O)N1 ZINC001024414773 623315628 /nfs/dbraw/zinc/31/56/28/623315628.db2.gz JUHHSFLKMILGAT-NWDGAFQWSA-N 0 1 279.340 0.089 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1COC(=O)N1 ZINC001024414775 623316338 /nfs/dbraw/zinc/31/63/38/623316338.db2.gz JUHHSFLKMILGAT-VXGBXAGGSA-N 0 1 279.340 0.089 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001024416774 623317111 /nfs/dbraw/zinc/31/71/11/623317111.db2.gz MPYYZNNIMBRNNH-NSHDSACASA-N 0 1 277.324 0.196 20 30 CCEDMN C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024520127 623343649 /nfs/dbraw/zinc/34/36/49/623343649.db2.gz DGGRETPIYCOOOU-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN N#CCN1CCCC[C@@H]1CNC(=O)c1[nH]ncc1F ZINC001024637696 623406538 /nfs/dbraw/zinc/40/65/38/623406538.db2.gz OIFQIHPFPBVVAK-SECBINFHSA-N 0 1 265.292 0.657 20 30 CCEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cnn(C)n1 ZINC001024705861 623419295 /nfs/dbraw/zinc/41/92/95/623419295.db2.gz OAWKYVOBVARJPQ-GFCCVEGCSA-N 0 1 277.372 0.976 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cn(C)nc2C)C1 ZINC001006938096 623598058 /nfs/dbraw/zinc/59/80/58/623598058.db2.gz KBFYDLHODHMDFF-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1cnn[n-]1 ZINC001025247955 623673656 /nfs/dbraw/zinc/67/36/56/623673656.db2.gz SINNCKBGWFKZTP-KBPBESRZSA-N 0 1 287.367 0.897 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NCc2ccn(C)n2)C1 ZINC001018633676 623700943 /nfs/dbraw/zinc/70/09/43/623700943.db2.gz ZCCYEYGLLUZLNX-PWSUYJOCSA-N 0 1 261.329 0.270 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H]([C@H](C)NC(=O)c2cn[nH]n2)C1 ZINC001025467153 623808638 /nfs/dbraw/zinc/80/86/38/623808638.db2.gz YEQBOBFQXAWSKZ-NWDGAFQWSA-N 0 1 275.356 0.658 20 30 CCEDMN C#CCC[N@H+]1CCC[C@@H]([C@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001025467153 623808644 /nfs/dbraw/zinc/80/86/44/623808644.db2.gz YEQBOBFQXAWSKZ-NWDGAFQWSA-N 0 1 275.356 0.658 20 30 CCEDMN C[C@@H](NC(=O)c1[nH]ncc1F)[C@H]1CCCN(CC#N)C1 ZINC001025521859 623822927 /nfs/dbraw/zinc/82/29/27/623822927.db2.gz DDLLMQQHZFFLBY-ZJUUUORDSA-N 0 1 279.319 0.903 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCO[C@@H]1C ZINC001025757384 623879168 /nfs/dbraw/zinc/87/91/68/623879168.db2.gz CKKNIMMBUTUVOI-ZSAUSMIDSA-N 0 1 276.380 0.871 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CNCc2nnnn2C)C1 ZINC001026994350 625685224 /nfs/dbraw/zinc/68/52/24/625685224.db2.gz ROFWJNGFLMDXDB-NSHDSACASA-N 0 1 292.387 0.360 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@H](NCc3nncn3C)C2)C1 ZINC001020562556 624524924 /nfs/dbraw/zinc/52/49/24/624524924.db2.gz CZXQJRBUFQQUAT-HAQNSBGRSA-N 0 1 289.383 0.908 20 30 CCEDMN C[C@H](O)CN1CCOC2(CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000949320623 624640139 /nfs/dbraw/zinc/64/01/39/624640139.db2.gz QSEJLXWUSXNTSS-STQMWFEESA-N 0 1 295.383 0.220 20 30 CCEDMN N#Cc1ccc(CNCC[C@@H]2CNCCS2(=O)=O)o1 ZINC000903969910 634699105 /nfs/dbraw/zinc/69/91/05/634699105.db2.gz MZHHUIMYNDMNBQ-GFCCVEGCSA-N 0 1 283.353 0.018 20 30 CCEDMN C[C@H]1C[C@H]1c1noc(C(=O)NCC#CCN(C)C)n1 ZINC000905150977 634811154 /nfs/dbraw/zinc/81/11/54/634811154.db2.gz FEWODXMMBIKUKI-VHSXEESVSA-N 0 1 262.313 0.488 20 30 CCEDMN CN(CC#N)[C@H]1CCCN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001027556879 630751071 /nfs/dbraw/zinc/75/10/71/630751071.db2.gz IIQDVNRABOTQLQ-JTQLQIEISA-N 0 1 277.328 0.186 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)[C@H]3CCCc4[nH]cnc43)[C@@H]2C1 ZINC000978716104 630839386 /nfs/dbraw/zinc/83/93/86/630839386.db2.gz WLOXNLGEGKBELU-ZDEQEGDKSA-N 0 1 299.378 0.647 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001027742898 630890870 /nfs/dbraw/zinc/89/08/70/630890870.db2.gz YHSSDQZVCIUHGD-ZDUSSCGKSA-N 0 1 259.309 0.147 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCN(CCc2cnn(C)c2)CC1 ZINC000980838149 631066954 /nfs/dbraw/zinc/06/69/54/631066954.db2.gz HRUAXPZIHWTDPZ-ZDUSSCGKSA-N 0 1 289.383 0.657 20 30 CCEDMN Cc1nc([C@H](C)N2CCCN(C(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC000980849367 631068133 /nfs/dbraw/zinc/06/81/33/631068133.db2.gz JACCHVDNKPFWRW-QWRGUYRKSA-N 0 1 290.371 0.868 20 30 CCEDMN Cc1nocc1CN[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000967944349 650006015 /nfs/dbraw/zinc/00/60/15/650006015.db2.gz PZPOLEOTWNNZNH-BXKDBHETSA-N 0 1 262.313 0.833 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCN(C)CCN(C)CC1 ZINC000894108914 631526907 /nfs/dbraw/zinc/52/69/07/631526907.db2.gz YDRKUFFBLZWFCK-LBPRGKRZSA-N 0 1 267.377 0.035 20 30 CCEDMN CCN(CCC(=O)Nc1cccc(C#N)c1)CC(=O)NC ZINC000049024159 631877335 /nfs/dbraw/zinc/87/73/35/631877335.db2.gz CTDWDAXNDZGRQE-UHFFFAOYSA-N 0 1 288.351 0.955 20 30 CCEDMN O=C1[C@H](N[C@H]2CCC3(C[C@@H]2F)OCCO3)CCCN1O ZINC000895198279 632021445 /nfs/dbraw/zinc/02/14/45/632021445.db2.gz ZUSKOUGPMBXCNB-GARJFASQSA-N 0 1 288.319 0.590 20 30 CCEDMN C[C@H](Nc1cc(C#N)cnn1)[C@@H]1CN(C)CCN1C ZINC000895246393 632033602 /nfs/dbraw/zinc/03/36/02/632033602.db2.gz NYOHBTARLPXJGQ-JQWIXIFHSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@@H](NC(=O)c1c[nH]c(C#N)c1)[C@H]1CN(C)CCN1C ZINC000896547945 632276226 /nfs/dbraw/zinc/27/62/26/632276226.db2.gz GINLFQVFPOCYAT-ZWNOBZJWSA-N 0 1 275.356 0.250 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(N(C)Cc2cnnn2C)CC1 ZINC000985337960 632441240 /nfs/dbraw/zinc/44/12/40/632441240.db2.gz FECWRARTCPLVPD-NSHDSACASA-N 0 1 290.371 0.398 20 30 CCEDMN N#CC[C@H](NC(=O)CCc1c[nH]nn1)C(F)(F)F ZINC000928802499 632600870 /nfs/dbraw/zinc/60/08/70/632600870.db2.gz HTCABAMBHNPNSM-ZETCQYMHSA-N 0 1 261.207 0.698 20 30 CCEDMN N#CC[C@H](NC(=O)CCc1cnn[nH]1)C(F)(F)F ZINC000928802499 632600883 /nfs/dbraw/zinc/60/08/83/632600883.db2.gz HTCABAMBHNPNSM-ZETCQYMHSA-N 0 1 261.207 0.698 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCCNC2=O)C1 ZINC001007531644 650081664 /nfs/dbraw/zinc/08/16/64/650081664.db2.gz JJFXFAKAOMLYHD-NWDGAFQWSA-N 0 1 265.357 0.279 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCn2cncn2)[C@@H]1C ZINC000986003076 632690960 /nfs/dbraw/zinc/69/09/60/632690960.db2.gz NWIFMYPMUBZACS-NEPJUHHUSA-N 0 1 297.790 1.000 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnn(C)c2N)[C@@H]1C ZINC000986180264 632726500 /nfs/dbraw/zinc/72/65/00/632726500.db2.gz PBYDGYLUKCIRHO-MWLCHTKSSA-N 0 1 297.790 0.947 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2csnn2)C1 ZINC001008089098 650100903 /nfs/dbraw/zinc/10/09/03/650100903.db2.gz NYJPEANPIKAKFZ-SNVBAGLBSA-N 0 1 264.354 0.756 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC001008331910 650110169 /nfs/dbraw/zinc/11/01/69/650110169.db2.gz HIMCLHXJMAISJK-LLVKDONJSA-N 0 1 276.340 0.540 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1nc2c(s1)CCC2 ZINC000841017639 633199923 /nfs/dbraw/zinc/19/99/23/633199923.db2.gz GYTJNWYOMCXUKU-IONNQARKSA-N 0 1 277.353 0.865 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C[C@@H](C)N1CC#N ZINC000898132513 633278878 /nfs/dbraw/zinc/27/88/78/633278878.db2.gz JXKUQTCPYVFCRT-FDYHWXHSSA-N 0 1 287.367 0.958 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)NC(C)(C)c1c[nH]nn1 ZINC000898145931 633279923 /nfs/dbraw/zinc/27/99/23/633279923.db2.gz RNFUJQNUXGIOIT-UHFFFAOYSA-N 0 1 258.285 0.680 20 30 CCEDMN Cc1nc(CNC(=O)N(C)CC(C)(C)C#N)n[nH]1 ZINC000898355509 633310895 /nfs/dbraw/zinc/31/08/95/633310895.db2.gz BBZHORCMLUPRPI-UHFFFAOYSA-N 0 1 250.306 0.804 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCn2cnnn2)C1 ZINC000989600023 633334324 /nfs/dbraw/zinc/33/43/24/633334324.db2.gz DQXKFIAVWQWTCC-QWRGUYRKSA-N 0 1 298.778 0.395 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cn(C)c(=O)n2C)CC1 ZINC000989752725 633351554 /nfs/dbraw/zinc/35/15/54/633351554.db2.gz TXPDXSDBIQTHSE-UHFFFAOYSA-N 0 1 278.356 0.058 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](NCC#N)C[C@H]2C)CC1 ZINC000989828696 633364539 /nfs/dbraw/zinc/36/45/39/633364539.db2.gz HTCAGMCQPPXWEF-HIFRSBDPSA-N 0 1 288.395 0.434 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(NCc2cn(C)nn2)CC1 ZINC000990262725 633498559 /nfs/dbraw/zinc/49/85/59/633498559.db2.gz UTQUILQWXAIKFW-JTQLQIEISA-N 0 1 276.344 0.055 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(N[C@@H](C)c2nncn2C)CC1 ZINC000990313502 633509402 /nfs/dbraw/zinc/50/94/02/633509402.db2.gz FIBZVPOGGXEVOQ-QWRGUYRKSA-N 0 1 290.371 0.616 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnnn3C)CCC[C@H]12 ZINC000990480127 633541161 /nfs/dbraw/zinc/54/11/61/633541161.db2.gz WQLNULCNURRXGI-JSGCOSHPSA-N 0 1 273.340 0.175 20 30 CCEDMN CC#CCN1CC[C@@]2(NC(=O)c3cn(C)nn3)CCC[C@H]12 ZINC000990510972 633546803 /nfs/dbraw/zinc/54/68/03/633546803.db2.gz AEVBUXHPNMRYJH-ZFWWWQNUSA-N 0 1 287.367 0.565 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001008869214 650130623 /nfs/dbraw/zinc/13/06/23/650130623.db2.gz QEYRHMLQQOXFTK-AWEZNQCLSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001009244277 650140599 /nfs/dbraw/zinc/14/05/99/650140599.db2.gz GHTBGQSOFZDQLM-LBPRGKRZSA-N 0 1 289.383 0.923 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001009358158 650143256 /nfs/dbraw/zinc/14/32/56/650143256.db2.gz CVEPRDIJGPZVNO-KBPBESRZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccn(C)n2)C1 ZINC001009536910 650148251 /nfs/dbraw/zinc/14/82/51/650148251.db2.gz LWXYKVBXRZESQC-GFCCVEGCSA-N 0 1 260.341 0.638 20 30 CCEDMN Cc1cc(C(=O)N2CC(NC(=O)c3ccc(C#N)[nH]3)C2)n[nH]1 ZINC000990889566 634259521 /nfs/dbraw/zinc/25/95/21/634259521.db2.gz NUPBRLCYQVQCMT-UHFFFAOYSA-N 0 1 298.306 0.172 20 30 CCEDMN COc1nn(C)cc1CNC[C@H](O)CC1(C#N)CC1 ZINC000905675453 634894414 /nfs/dbraw/zinc/89/44/14/634894414.db2.gz PIALLKVSVTZRFI-LLVKDONJSA-N 0 1 264.329 0.573 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1ccsc1C#N ZINC000906600730 635012668 /nfs/dbraw/zinc/01/26/68/635012668.db2.gz AYFSIFHFSZJBGX-NSHDSACASA-N 0 1 292.408 0.985 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C2=COCCO2)C1 ZINC001009993178 650176278 /nfs/dbraw/zinc/17/62/78/650176278.db2.gz HSAGHWHWOAEJSV-NSHDSACASA-N 0 1 252.314 0.641 20 30 CCEDMN N#Cc1sccc1C(=O)N[C@@H](CO)CN1CCCC1 ZINC000908203482 635315462 /nfs/dbraw/zinc/31/54/62/635315462.db2.gz DSGARIFNLACEMP-SNVBAGLBSA-N 0 1 279.365 0.806 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H]2CCCCO2)C1 ZINC001010529092 650237373 /nfs/dbraw/zinc/23/73/73/650237373.db2.gz NBRMFWJJADOVHL-OLZOCXBDSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCCCO2)C1 ZINC001010529096 650237491 /nfs/dbraw/zinc/23/74/91/650237491.db2.gz NBRMFWJJADOVHL-QWHCGFSZSA-N 0 1 250.342 0.769 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC001010718175 650256856 /nfs/dbraw/zinc/25/68/56/650256856.db2.gz NHHJRMGQZJYYHY-JTQLQIEISA-N 0 1 263.345 0.383 20 30 CCEDMN O=C(C#CC1CC1)N1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC001010842238 650271243 /nfs/dbraw/zinc/27/12/43/650271243.db2.gz KLALPZRHWKNBNO-UHFFFAOYSA-N 0 1 286.335 0.036 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@H](N3CCC3)C2)ccn1 ZINC000912910035 636698304 /nfs/dbraw/zinc/69/83/04/636698304.db2.gz WTQLFBCCWVMGJZ-ZDUSSCGKSA-N 0 1 256.309 0.873 20 30 CCEDMN Cc1nnc2ccc(C(=O)NCC#CCN(C)C)cn12 ZINC000913517495 636834867 /nfs/dbraw/zinc/83/48/67/636834867.db2.gz CZSXJIYFTCLOAP-UHFFFAOYSA-N 0 1 271.324 0.333 20 30 CCEDMN C[C@@H](NC(=O)C1CCCC1)C(=O)NCC#CCN(C)C ZINC000913517126 636835174 /nfs/dbraw/zinc/83/51/74/636835174.db2.gz UEHQRBDBPBNLPV-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C[C@H](C(=O)NCC#CCN(C)C)n1nnnc1C(C)(C)C ZINC000913520559 636835717 /nfs/dbraw/zinc/83/57/17/636835717.db2.gz SFDJWVZTCSDRBA-LLVKDONJSA-N 0 1 292.387 0.213 20 30 CCEDMN C=CCN1CC[C@]2(NC(=O)c3cnon3)CCC[C@@H]12 ZINC000992355666 637374233 /nfs/dbraw/zinc/37/42/33/637374233.db2.gz AVBDMXDBHUBBRA-DGCLKSJQSA-N 0 1 262.313 0.982 20 30 CCEDMN C[C@H]1C[C@@H](NS(=O)(=O)CC(C)(C)C#N)CCN1C ZINC000914184539 637549695 /nfs/dbraw/zinc/54/96/95/637549695.db2.gz DOEKIRNMPKIHDS-QWRGUYRKSA-N 0 1 273.402 0.938 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2nnn(C)c2C)[C@@H]1C ZINC000993180305 637727701 /nfs/dbraw/zinc/72/77/01/637727701.db2.gz GURLXCVGVMNNTE-JQWIXIFHSA-N 0 1 277.372 0.892 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnn(C)c2N)[C@@H]1C ZINC000993217601 637748529 /nfs/dbraw/zinc/74/85/29/637748529.db2.gz AXNMSMDEHCVYAW-JQWIXIFHSA-N 0 1 277.372 0.771 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ncn(C)n2)[C@H]1C ZINC000993258662 637759362 /nfs/dbraw/zinc/75/93/62/637759362.db2.gz CREGMXJRGSFWCI-NEPJUHHUSA-N 0 1 277.372 0.974 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cn2nccn2)[C@@H]1C ZINC000993393999 637800174 /nfs/dbraw/zinc/80/01/74/637800174.db2.gz MIVSISAYDBPTOY-STQMWFEESA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cn[nH]c(=O)c2)[C@@H]1C ZINC000993454515 637809911 /nfs/dbraw/zinc/80/99/11/637809911.db2.gz AFIBZOUFBIJASC-CMPLNLGQSA-N 0 1 274.324 0.398 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cnc[nH]c2=O)[C@H]1C ZINC000993462025 637810815 /nfs/dbraw/zinc/81/08/15/637810815.db2.gz AENQCWPTWGZEPS-ZYHUDNBSSA-N 0 1 274.324 0.398 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cc(C)nn2C)[C@H]1C ZINC000993587096 637822381 /nfs/dbraw/zinc/82/23/81/637822381.db2.gz BVXMHNPSNOBKOL-HIFRSBDPSA-N 0 1 288.395 0.873 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cnoc2)[C@@H]1C ZINC000993722439 637835353 /nfs/dbraw/zinc/83/53/53/637835353.db2.gz XRCMHWUAQLPBKY-WCQYABFASA-N 0 1 261.325 0.819 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2nonc2C)[C@@H]1C ZINC000994130875 637870667 /nfs/dbraw/zinc/87/06/67/637870667.db2.gz XWAJBRNQEJUWPF-QWHCGFSZSA-N 0 1 290.367 0.913 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@@H]1C ZINC000994430886 637938300 /nfs/dbraw/zinc/93/83/00/637938300.db2.gz FFCXKIOBVIPTES-JQWIXIFHSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@@H]1C ZINC000994430886 637938310 /nfs/dbraw/zinc/93/83/10/637938310.db2.gz FFCXKIOBVIPTES-JQWIXIFHSA-N 0 1 290.367 0.882 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CCN(CC#N)C[C@H]2C)n[nH]1 ZINC000942031411 638605835 /nfs/dbraw/zinc/60/58/35/638605835.db2.gz FYQJKXHFRNUMAL-KOLCDFICSA-N 0 1 276.344 0.006 20 30 CCEDMN C[C@H]1CN(CC#Cc2ccccc2)CC[C@@H]1NC(=O)C(N)=O ZINC000942590779 638673231 /nfs/dbraw/zinc/67/32/31/638673231.db2.gz AFFBARZPXCZRKS-ZFWWWQNUSA-N 0 1 299.374 0.350 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC000942605902 638678911 /nfs/dbraw/zinc/67/89/11/638678911.db2.gz RDFBHZLCHSQCTN-VHSXEESVSA-N 0 1 277.328 0.089 20 30 CCEDMN C#CCC(C)(C)C(=O)NCCN1CCN(C)CC1 ZINC000184945199 638732996 /nfs/dbraw/zinc/73/29/96/638732996.db2.gz NTELEKKUNAXXHT-UHFFFAOYSA-N 0 1 251.374 0.400 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](NC(=O)Cc2n[nH]c(C)n2)C1 ZINC001014374340 650444459 /nfs/dbraw/zinc/44/44/59/650444459.db2.gz HLNQLYRGZZNWLB-SNVBAGLBSA-N 0 1 283.763 0.599 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H]2CCNC2=O)CC1(C)C ZINC000996132673 638810254 /nfs/dbraw/zinc/81/02/54/638810254.db2.gz FVOPGIWLVNFRQV-QWRGUYRKSA-N 0 1 299.802 0.702 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1C[C@@H](NCC#N)C(C)(C)C1 ZINC000996399225 638817627 /nfs/dbraw/zinc/81/76/27/638817627.db2.gz QXDFJJBUKOWMHW-DGCLKSJQSA-N 0 1 289.383 0.938 20 30 CCEDMN N#CCNC1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000996523671 638821769 /nfs/dbraw/zinc/82/17/69/638821769.db2.gz KMHNQWBKDWGBAU-GFCCVEGCSA-N 0 1 287.367 0.934 20 30 CCEDMN C=CCN[C@H]1CN(C(=O)C(N)=O)CC[C@@H]1C(F)(F)F ZINC000998287214 638902920 /nfs/dbraw/zinc/90/29/20/638902920.db2.gz NOHOGMXNXGHRFZ-YUMQZZPRSA-N 0 1 279.262 0.027 20 30 CCEDMN Cc1cc(CN[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)nn1C ZINC000998746218 638938542 /nfs/dbraw/zinc/93/85/42/638938542.db2.gz LKWFIBINXWLZNE-WCQYABFASA-N 0 1 289.383 0.969 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCC[C@@H](NCC#N)C1 ZINC000999507854 638983622 /nfs/dbraw/zinc/98/36/22/638983622.db2.gz FLBKNLNWFWBVLT-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CC[NH+]1CCC(C2(NC(=O)c3[n-]nnc3C)CC2)CC1 ZINC001000228954 639057333 /nfs/dbraw/zinc/05/73/33/639057333.db2.gz ZEKMSQLRNMESAQ-UHFFFAOYSA-N 0 1 287.367 0.721 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001000688383 639152961 /nfs/dbraw/zinc/15/29/61/639152961.db2.gz PCPZSMWKXMKLFX-MNOVXSKESA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001000688383 639152964 /nfs/dbraw/zinc/15/29/64/639152964.db2.gz PCPZSMWKXMKLFX-MNOVXSKESA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN1CCC(CNC(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001001398029 639288817 /nfs/dbraw/zinc/28/88/17/639288817.db2.gz YNRLBFYCVMSGMH-GHMZBOCLSA-N 0 1 266.301 0.003 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc(N(C)C)nn2)CC1 ZINC001001377215 639290764 /nfs/dbraw/zinc/29/07/64/639290764.db2.gz SLXVVAJSXZRJRD-UHFFFAOYSA-N 0 1 299.378 0.538 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC001001478056 639310633 /nfs/dbraw/zinc/31/06/33/639310633.db2.gz XDPWQCKDQQIUBD-CQSZACIVSA-N 0 1 289.379 0.236 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001016280628 639346149 /nfs/dbraw/zinc/34/61/49/639346149.db2.gz NFJNWMYSXAQAHA-GFCCVEGCSA-N 0 1 274.324 0.171 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001014863297 650496689 /nfs/dbraw/zinc/49/66/89/650496689.db2.gz MHDWUXUQRBHYED-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCC(NC(=O)CN2CCCCC2=O)CC1 ZINC001003240709 639521804 /nfs/dbraw/zinc/52/18/04/639521804.db2.gz OFIJSEUOBCGSOR-UHFFFAOYSA-N 0 1 291.395 0.603 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CC(=O)N[C@@H](CC)C2)CC1 ZINC001003365547 639535243 /nfs/dbraw/zinc/53/52/43/639535243.db2.gz BETVMWQSLMDGOL-STQMWFEESA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC001003430915 639544312 /nfs/dbraw/zinc/54/43/12/639544312.db2.gz ZXZDXXDVCFVIEB-CHWSQXEVSA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C(N)=O)co2)CC1 ZINC001003603260 639575921 /nfs/dbraw/zinc/57/59/21/639575921.db2.gz MJTXVASVNVEFQB-UHFFFAOYSA-N 0 1 275.308 0.206 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cc(C(N)=O)co2)CC1 ZINC001003605995 639578719 /nfs/dbraw/zinc/57/87/19/639578719.db2.gz ZITYMCFIGMKUQZ-UHFFFAOYSA-N 0 1 289.335 0.596 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@@H]2CCC(=O)N2)CC1 ZINC001003785492 639616020 /nfs/dbraw/zinc/61/60/20/639616020.db2.gz RYNFBDWLODRYQO-ZDUSSCGKSA-N 0 1 277.368 0.259 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cn(CC)nn2)CC1 ZINC001003864588 639638195 /nfs/dbraw/zinc/63/81/95/639638195.db2.gz LXOSAWGAGDGQHI-UHFFFAOYSA-N 0 1 275.356 0.516 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001015015723 650515088 /nfs/dbraw/zinc/51/50/88/650515088.db2.gz UMQPETSEXBLCEG-KBPBESRZSA-N 0 1 286.379 0.659 20 30 CCEDMN Cn1cc(CNc2n[nH]c(N3CCOCC3)c2C#N)cn1 ZINC000922364430 639668294 /nfs/dbraw/zinc/66/82/94/639668294.db2.gz SKSOHZZAYQAEAZ-UHFFFAOYSA-N 0 1 287.327 0.464 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc3ncnn32)C1 ZINC001015134606 650531365 /nfs/dbraw/zinc/53/13/65/650531365.db2.gz ZOJLMSJSGYDLIF-LLVKDONJSA-N 0 1 271.324 0.719 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cnn(C)c2)CC1 ZINC001005094042 639875820 /nfs/dbraw/zinc/87/58/20/639875820.db2.gz ZHWRDEIBQUASSP-UHFFFAOYSA-N 0 1 274.368 0.980 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2ccon2)CC1 ZINC001005182701 639886919 /nfs/dbraw/zinc/88/69/19/639886919.db2.gz GELQYHSSPMEWLU-UHFFFAOYSA-N 0 1 261.325 0.773 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC001005478078 639911594 /nfs/dbraw/zinc/91/15/94/639911594.db2.gz VOQBSLKEJDHICO-ZDUSSCGKSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCCC(=O)N2)CC1 ZINC001005550716 639929767 /nfs/dbraw/zinc/92/97/67/639929767.db2.gz XXOJPARKZUAVIY-CYBMUJFWSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC001015185211 650536272 /nfs/dbraw/zinc/53/62/72/650536272.db2.gz IIFHEZXXFCELDP-AWEZNQCLSA-N 0 1 274.368 0.714 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC001005643766 639946295 /nfs/dbraw/zinc/94/62/95/639946295.db2.gz NUCSLZOIWTVJMZ-STQMWFEESA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2coc(C(N)=O)c2)CC1 ZINC001005771237 639961421 /nfs/dbraw/zinc/96/14/21/639961421.db2.gz XBFAPVHJTFFWPR-UHFFFAOYSA-N 0 1 289.335 0.548 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc3nnnn3c2)C1 ZINC001015258068 650544583 /nfs/dbraw/zinc/54/45/83/650544583.db2.gz VALZAXFIWOLQRC-LLVKDONJSA-N 0 1 272.312 0.114 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2ccc3cncn3c2)C1 ZINC001015274557 650548170 /nfs/dbraw/zinc/54/81/70/650548170.db2.gz QUVPUUMZLUKNQS-GFCCVEGCSA-N 0 1 269.308 0.662 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@@H+](C)C[C@@H](O)COc1ccccc1C#N ZINC000923223976 640055762 /nfs/dbraw/zinc/05/57/62/640055762.db2.gz AONOFSQDZZYCLP-CMPLNLGQSA-N 0 1 278.308 0.703 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnc(OC)nc2)CC1 ZINC001006237301 640211007 /nfs/dbraw/zinc/21/10/07/640211007.db2.gz CYDQDEIBZMETBY-UHFFFAOYSA-N 0 1 288.351 0.655 20 30 CCEDMN N#CC(C(=O)COCc1ccccn1)C(=O)NC1CC1 ZINC000805856507 640437317 /nfs/dbraw/zinc/43/73/17/640437317.db2.gz WVWSGUPTWLQLLX-LBPRGKRZSA-N 0 1 273.292 0.586 20 30 CCEDMN C[C@@H]1C[C@H](C)[C@@H](C(=O)C(C#N)C(=O)NC2CC2)O1 ZINC000800384506 640610868 /nfs/dbraw/zinc/61/08/68/640610868.db2.gz DMNHBXTVFPBNNT-PUJIEXKFSA-N 0 1 250.298 0.787 20 30 CCEDMN CSC1(C=Nn2c(=O)c(C)n[nH]c2=S)CC1 ZINC000800805763 640621583 /nfs/dbraw/zinc/62/15/83/640621583.db2.gz BMDFLTSKWRIYEU-UHFFFAOYSA-N 0 1 256.356 0.965 20 30 CCEDMN N=C(N)NN=C1C(=O)Nc2cccc(C(F)(F)F)c21 ZINC000800810728 640621750 /nfs/dbraw/zinc/62/17/50/640621750.db2.gz YTCAMPZHWFJDFZ-UHFFFAOYSA-N 0 1 271.202 0.845 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)N[C@@H]1CCN(CC#N)C1 ZINC001015627182 650597013 /nfs/dbraw/zinc/59/70/13/650597013.db2.gz XWACCJMPTNYIAJ-GFCCVEGCSA-N 0 1 276.340 0.669 20 30 CCEDMN C[C@H](C#N)OCCN1CCN(CCO[C@@H](C)C#N)[C@H](C)C1 ZINC000801929953 640676129 /nfs/dbraw/zinc/67/61/29/640676129.db2.gz AZFWAUUNGAIBGS-KFWWJZLASA-N 0 1 294.399 0.850 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@H](NC(=O)NC2CC2)C1 ZINC000801940466 640678696 /nfs/dbraw/zinc/67/86/96/640678696.db2.gz AXFGNXFRGBYUBM-PWSUYJOCSA-N 0 1 266.345 0.451 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001015695957 650610851 /nfs/dbraw/zinc/61/08/51/650610851.db2.gz SAXZBKFWYVTQQR-RISCZKNCSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCCS(=O)(=O)NCCCN1CCCO[C@H](C)C1 ZINC000808309168 640859493 /nfs/dbraw/zinc/85/94/93/640859493.db2.gz IFDZOKYGUSQKET-CYBMUJFWSA-N 0 1 288.413 0.430 20 30 CCEDMN CN(C)c1ncc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)n1C ZINC000811646262 640982635 /nfs/dbraw/zinc/98/26/35/640982635.db2.gz SCGZSRFDXWMTAA-VXGBXAGGSA-N 0 1 289.387 0.680 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001015783353 650629711 /nfs/dbraw/zinc/62/97/11/650629711.db2.gz OCRULHMQTUWAPO-JTQLQIEISA-N 0 1 297.318 0.663 20 30 CCEDMN Cn1ncc(CNCCn2cnc(C#N)n2)c1Cl ZINC000815139611 641095917 /nfs/dbraw/zinc/09/59/17/641095917.db2.gz AGVYNWAYNOOYBE-UHFFFAOYSA-N 0 1 265.708 0.326 20 30 CCEDMN C#CCOc1ccc(C(=O)Nc2n[nH]c3ncnn23)cc1 ZINC000816656216 641184728 /nfs/dbraw/zinc/18/47/28/641184728.db2.gz JRLLIUDTHYSRSU-UHFFFAOYSA-N 0 1 282.263 0.717 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)c1n[nH]c2ccccc21 ZINC000816757594 641213251 /nfs/dbraw/zinc/21/32/51/641213251.db2.gz WPPHAZDDHOZMKB-SNVBAGLBSA-N 0 1 274.280 0.673 20 30 CCEDMN N#CCNC(=O)CNC[C@@H](O)c1ccc(F)cc1 ZINC000819310910 641399747 /nfs/dbraw/zinc/39/97/47/641399747.db2.gz JFJQSKDNFUQLBN-LLVKDONJSA-N 0 1 251.261 0.088 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2nonc2C)C1 ZINC001015924386 650657425 /nfs/dbraw/zinc/65/74/25/650657425.db2.gz KHWMPHGCOCUUGK-SNVBAGLBSA-N 0 1 250.302 0.297 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cccc(OC)n2)C1 ZINC001015932637 650658415 /nfs/dbraw/zinc/65/84/15/650658415.db2.gz QGUQOTBKMWKUJF-LBPRGKRZSA-N 0 1 273.336 0.918 20 30 CCEDMN C[C@H](CC(=O)C(C#N)C(=O)NC1CC1)n1cccn1 ZINC000819945673 641442386 /nfs/dbraw/zinc/44/23/86/641442386.db2.gz WTNHYHFGXUQYDT-MWLCHTKSSA-N 0 1 260.297 0.822 20 30 CCEDMN C[C@@H]1CCC[N@H+]1CCNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820238732 641486310 /nfs/dbraw/zinc/48/63/10/641486310.db2.gz UNNJEGVMTMBVJJ-ZWNOBZJWSA-N 0 1 267.329 0.591 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001016287940 650712662 /nfs/dbraw/zinc/71/26/62/650712662.db2.gz QHDFUGYYISMTFI-CYBMUJFWSA-N 0 1 288.351 0.561 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001016304064 650715172 /nfs/dbraw/zinc/71/51/72/650715172.db2.gz DWPVCQBNILSLAN-AAEUAGOBSA-N 0 1 274.368 0.891 20 30 CCEDMN N#Cc1cnccc1CN1CCN(CCCCO)CC1 ZINC000828075763 642063416 /nfs/dbraw/zinc/06/34/16/642063416.db2.gz JLNWFAYLMFSVKN-UHFFFAOYSA-N 0 1 274.368 0.843 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001016330257 650718426 /nfs/dbraw/zinc/71/84/26/650718426.db2.gz FSJQSKLCDXUGSW-NEPJUHHUSA-N 0 1 275.356 0.217 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2Cc3ccc(C#N)cc3C2)n[nH]1 ZINC000829486856 642167331 /nfs/dbraw/zinc/16/73/31/642167331.db2.gz ZYMMBIUMYIPHKE-CYBMUJFWSA-N 0 1 281.319 0.811 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2nn(CC)nc2C)C1 ZINC001016423532 650729959 /nfs/dbraw/zinc/72/99/59/650729959.db2.gz HYWNQOKXCCPJFN-GFCCVEGCSA-N 0 1 275.356 0.434 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000834484336 642575157 /nfs/dbraw/zinc/57/51/57/642575157.db2.gz HLDIYMGOTLVVLD-LDYMZIIASA-N 0 1 278.243 0.575 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(-n2ccnn2)cc1 ZINC000834484572 642575735 /nfs/dbraw/zinc/57/57/35/642575735.db2.gz KNWGYOUAZSACRC-AAEUAGOBSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1csc([C@H]2CCCO2)n1 ZINC000834485028 642576142 /nfs/dbraw/zinc/57/61/42/642576142.db2.gz QYGHEYHSYDSPKJ-FXPVBKGRSA-N 0 1 292.364 0.836 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)ccc1-n1cncn1 ZINC000834489155 642579409 /nfs/dbraw/zinc/57/94/09/642579409.db2.gz KCCPFKJYONODRO-CHWSQXEVSA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H](c1ccccc1)n1cccn1 ZINC000834494435 642583197 /nfs/dbraw/zinc/58/31/97/642583197.db2.gz MXLXJDWLJJCKSV-RBSFLKMASA-N 0 1 295.346 0.700 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(Br)cn1 ZINC000834494199 642583754 /nfs/dbraw/zinc/58/37/54/642583754.db2.gz LQNOXTYNMFNTAU-GMSGAONNSA-N 0 1 295.140 0.685 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1csc(C2CC2)n1 ZINC000834493796 642583943 /nfs/dbraw/zinc/58/39/43/642583943.db2.gz HEPKJDXZGAHDQL-RKDXNWHRSA-N 0 1 262.338 0.862 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(Br)cn1 ZINC000834494200 642584220 /nfs/dbraw/zinc/58/42/20/642584220.db2.gz LQNOXTYNMFNTAU-OIBJUYFYSA-N 0 1 295.140 0.685 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1noc2c1CCCC2 ZINC000834494918 642585281 /nfs/dbraw/zinc/58/52/81/642585281.db2.gz QXWMAVPQEGVARP-WPRPVWTQSA-N 0 1 260.297 0.395 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)COC2 ZINC000834495655 642586709 /nfs/dbraw/zinc/58/67/09/642586709.db2.gz XJMDWLOKCOIZME-QWHCGFSZSA-N 0 1 257.293 0.558 20 30 CCEDMN Cc1nc2ccccc2n1CCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834499011 642587219 /nfs/dbraw/zinc/58/72/19/642587219.db2.gz DHBIYIMETJNCSR-GXTWGEPZSA-N 0 1 297.362 0.963 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COc1cccc(Cl)c1 ZINC000834506293 642593056 /nfs/dbraw/zinc/59/30/56/642593056.db2.gz RTEWUGWHZZVGSQ-CABZTGNLSA-N 0 1 279.727 0.947 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000834506634 642593461 /nfs/dbraw/zinc/59/34/61/642593461.db2.gz UOKXPTXXDRVGSC-GXSJLCMTSA-N 0 1 260.253 0.436 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(Br)o1 ZINC000834512323 642596518 /nfs/dbraw/zinc/59/65/18/642596518.db2.gz UWRJNQYKVBOMDX-BQBZGAKWSA-N 0 1 284.113 0.883 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1c[nH]nc1-c1ccccc1 ZINC000834515907 642599153 /nfs/dbraw/zinc/59/91/53/642599153.db2.gz DEFBJUJPVGRYCC-WCQYABFASA-N 0 1 281.319 0.918 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000834517627 642600809 /nfs/dbraw/zinc/60/08/09/642600809.db2.gz XSSJQFYTJJBZLH-KWQFWETISA-N 0 1 271.280 0.511 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1c(F)cccc1F ZINC000834516465 642601021 /nfs/dbraw/zinc/60/10/21/642601021.db2.gz ITHMLCCFQPFHAM-OIBJUYFYSA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccn(-c2ccccc2)n1 ZINC000834521429 642602184 /nfs/dbraw/zinc/60/21/84/642602184.db2.gz IOEZDXVQCRQDDX-BXUZGUMPSA-N 0 1 281.319 0.714 20 30 CCEDMN COc1cccnc1NC(=O)N[C@H]1CNC[C@H]1C#N ZINC000841182621 642807072 /nfs/dbraw/zinc/80/70/72/642807072.db2.gz JYOMLYUEHKGOIY-BDAKNGLRSA-N 0 1 261.285 0.323 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1cc2c(cn1)CCC2 ZINC000841249189 642809022 /nfs/dbraw/zinc/80/90/22/642809022.db2.gz GIMCZBNINIZDNB-NWDGAFQWSA-N 0 1 271.324 0.803 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CN(C)CCO1 ZINC001017440379 650802339 /nfs/dbraw/zinc/80/23/39/650802339.db2.gz FYXAXUJBSUVORK-QLFBSQMISA-N 0 1 293.411 0.568 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CC1(O)CCC1 ZINC001017538688 650809915 /nfs/dbraw/zinc/80/99/15/650809915.db2.gz PHRJBGBSUZWPCN-OKILXGFUSA-N 0 1 276.380 0.990 20 30 CCEDMN COc1ccc(OCCC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000843464023 643068040 /nfs/dbraw/zinc/06/80/40/643068040.db2.gz ZFBKPADZMGKLNM-BXUZGUMPSA-N 0 1 289.335 0.692 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001017633614 650814869 /nfs/dbraw/zinc/81/48/69/650814869.db2.gz FRFGXSAJTIIAEC-XJFOESAGSA-N 0 1 284.363 0.822 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)C2(S(N)(=O)=O)CC2)c1 ZINC000845888759 643359022 /nfs/dbraw/zinc/35/90/22/643359022.db2.gz XPWWXGBXDVNXRQ-UHFFFAOYSA-N 0 1 281.293 0.023 20 30 CCEDMN C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000846676630 643441888 /nfs/dbraw/zinc/44/18/88/643441888.db2.gz GCZYCBAXERXOBT-SUHUHFCYSA-N 0 1 284.319 0.553 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCn2cncn2)C1 ZINC001018516958 650872862 /nfs/dbraw/zinc/87/28/62/650872862.db2.gz YYJJFLKCWHJJFA-NSHDSACASA-N 0 1 283.763 0.611 20 30 CCEDMN C#C[C@](C)(CC)NCC(=O)N(CC)CC(=O)NC(C)C ZINC000852098643 643927895 /nfs/dbraw/zinc/92/78/95/643927895.db2.gz RUECUMYCQYPKCV-OAHLLOKOSA-N 0 1 281.400 0.751 20 30 CCEDMN COc1ccc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)nn1 ZINC001018631363 650886778 /nfs/dbraw/zinc/88/67/78/650886778.db2.gz CZZAYHVIJFZRSE-JQWIXIFHSA-N 0 1 289.339 0.335 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2nccnc2N)C1 ZINC001018642939 650888669 /nfs/dbraw/zinc/88/86/69/650888669.db2.gz IHAJRGOVSAFYLV-VIFPVBQESA-N 0 1 281.747 0.615 20 30 CCEDMN C[C@@H]1CCCCN1CC(N)=NOCc1nnnn1C1CC1 ZINC000111179051 644092148 /nfs/dbraw/zinc/09/21/48/644092148.db2.gz FOOAIHOFZDDFMJ-SNVBAGLBSA-N 0 1 293.375 0.671 20 30 CCEDMN NC(=O)CON=Cc1ccc(N2CCC(O)CC2)cc1 ZINC000853328061 644098983 /nfs/dbraw/zinc/09/89/83/644098983.db2.gz LGSYAYLHFACDLW-UHFFFAOYSA-N 0 1 277.324 0.484 20 30 CCEDMN Cc1nnc(NN=Cc2cnc(Cl)n2C)n1C ZINC000853430609 644105128 /nfs/dbraw/zinc/10/51/28/644105128.db2.gz DSVPUZSTUVLSTF-UHFFFAOYSA-N 0 1 253.697 0.956 20 30 CCEDMN N#C[C@H](CO)NC(=O)C(F)(F)c1ccc(F)cc1 ZINC000854872635 644268825 /nfs/dbraw/zinc/26/88/25/644268825.db2.gz MICALIGPOVMSDI-SECBINFHSA-N 0 1 258.199 0.918 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ncn(C)n2)C1 ZINC001018810313 650910661 /nfs/dbraw/zinc/91/06/61/650910661.db2.gz WFZIKHSKIQFQBM-VIFPVBQESA-N 0 1 269.736 0.372 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(OC)n(C)n2)C1 ZINC001019123943 650940045 /nfs/dbraw/zinc/94/00/45/650940045.db2.gz XWPLQRQGDKHMHG-JTQLQIEISA-N 0 1 298.774 0.985 20 30 CCEDMN O=C(C#Cc1ccccc1)NCCN1CC[C@H](O)C1 ZINC000863831375 645133329 /nfs/dbraw/zinc/13/33/29/645133329.db2.gz JNNDCTVNFARLGX-AWEZNQCLSA-N 0 1 258.321 0.221 20 30 CCEDMN N#Cc1cc(N2CC(CNC(=O)C(F)(F)F)C2)ncn1 ZINC000866293836 645515652 /nfs/dbraw/zinc/51/56/52/645515652.db2.gz DNZIZMGTHCDCJJ-UHFFFAOYSA-N 0 1 285.229 0.463 20 30 CCEDMN C[C@H](O)C[C@@H]1CCCCN1CC(=O)NCCC#N ZINC000123616336 646141381 /nfs/dbraw/zinc/14/13/81/646141381.db2.gz QVACUVUBUPLTOA-RYUDHWBXSA-N 0 1 253.346 0.642 20 30 CCEDMN Cc1nnc(N=NC2CCC[N@H+]3CCSC[C@@H]23)[n-]c1=O ZINC000872331444 646248598 /nfs/dbraw/zinc/24/85/98/646248598.db2.gz IWNXGMCWMHJVCM-JTQLQIEISA-N 0 1 294.384 0.865 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC000876801800 646669181 /nfs/dbraw/zinc/66/91/81/646669181.db2.gz IUACNHNDWAHEIR-GXTWGEPZSA-N 0 1 281.319 0.918 20 30 CCEDMN Cc1ccc2nc(C(=O)N[C@@H]3CNC[C@H]3C#N)cn2c1 ZINC000876802236 646670691 /nfs/dbraw/zinc/67/06/91/646670691.db2.gz NFSVHSYDVKORHB-GHMZBOCLSA-N 0 1 269.308 0.484 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC000876803641 646671675 /nfs/dbraw/zinc/67/16/75/646671675.db2.gz XSRKTEIXCZRDBL-XQHKEYJVSA-N 0 1 263.341 0.277 20 30 CCEDMN C[C@@H]1CN(CC[C@H]2CCOC2=O)C[C@H](C)N1CC#N ZINC000878774132 646977793 /nfs/dbraw/zinc/97/77/93/646977793.db2.gz OVDCVFMCBYIRRR-XQQFMLRXSA-N 0 1 265.357 0.858 20 30 CCEDMN C#CCN(C[C@H](O)C[C@](C)(O)C1CC1)C1CSC1 ZINC000878909265 646997605 /nfs/dbraw/zinc/99/76/05/646997605.db2.gz PUJQEROZWGHRFL-KGLIPLIRSA-N 0 1 269.410 0.949 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CC[C@@](O)(CC#N)C1 ZINC000880412705 647197933 /nfs/dbraw/zinc/19/79/33/647197933.db2.gz BEYOTRPGUZGCDA-YVLXSGLVSA-N 0 1 288.351 0.953 20 30 CCEDMN N#CCc1ccc(OC[C@H](O)CN2CC=C[C@H]2CO)cc1 ZINC000880483504 647208502 /nfs/dbraw/zinc/20/85/02/647208502.db2.gz HCTYDTNVCZQRHS-LSDHHAIUSA-N 0 1 288.347 0.725 20 30 CCEDMN Cc1nc(C(C)C)ncc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000884250171 647680707 /nfs/dbraw/zinc/68/07/07/647680707.db2.gz YODKOTRGPNRXEX-ZYHUDNBSSA-N 0 1 273.340 0.750 20 30 CCEDMN Cc1ccc(C2=NO[C@H](C(=O)N[C@H]3CNC[C@H]3C#N)C2)cc1 ZINC000884249939 647681313 /nfs/dbraw/zinc/68/13/13/647681313.db2.gz UOTBZCDCAKPZIF-SNPRPXQTSA-N 0 1 298.346 0.716 20 30 CCEDMN C[C@@H]1CCc2n[nH]cc2[C@@H]1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000884250256 647681376 /nfs/dbraw/zinc/68/13/76/647681376.db2.gz AKNBZKOXUFIRMT-OTMMVIPVSA-N 0 1 273.340 0.303 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@H]1CCC2(CCC2)CO1 ZINC000884249463 647681471 /nfs/dbraw/zinc/68/14/71/647681471.db2.gz MFXXNFMTKOYLJC-UPJWGTAASA-N 0 1 277.368 0.954 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN3C(=O)CC[C@@H]3C2)CCC1 ZINC000886099534 647901655 /nfs/dbraw/zinc/90/16/55/647901655.db2.gz ZEQRPIHNWKEDNF-OLZOCXBDSA-N 0 1 277.368 0.738 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCO[C@@]3(CCOC3)C2)CCC1 ZINC000886138035 647905910 /nfs/dbraw/zinc/90/59/10/647905910.db2.gz AFQJHVUYCXUSBY-HIFRSBDPSA-N 0 1 280.368 0.923 20 30 CCEDMN Cc1nnc(SCCC(=O)N2CCO[C@](C)(C#N)C2)[nH]1 ZINC000887676513 648139086 /nfs/dbraw/zinc/13/90/86/648139086.db2.gz FPNJTGISAMFFCX-GFCCVEGCSA-N 0 1 295.368 0.736 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCc1nc(C#N)cs1 ZINC000887980529 648178981 /nfs/dbraw/zinc/17/89/81/648178981.db2.gz OFFFMNJTILMDIF-JTQLQIEISA-N 0 1 294.380 0.599 20 30 CCEDMN N#CCSCC(=O)N1CC[C@@H]2[C@H]1CCCN2CCO ZINC000889777474 648252077 /nfs/dbraw/zinc/25/20/77/648252077.db2.gz ZIYURYCTYIXRDQ-VXGBXAGGSA-N 0 1 283.397 0.301 20 30 CCEDMN CS(=O)(=O)N1CC(CN(CCC#N)CC2CC2)C1 ZINC000929774740 648790115 /nfs/dbraw/zinc/79/01/15/648790115.db2.gz DJQAQQKTBHPORY-UHFFFAOYSA-N 0 1 271.386 0.503 20 30 CCEDMN CS(=O)(=O)N1CC(C[N@@H+](CCC#N)CC2CC2)C1 ZINC000929774740 648790111 /nfs/dbraw/zinc/79/01/11/648790111.db2.gz DJQAQQKTBHPORY-UHFFFAOYSA-N 0 1 271.386 0.503 20 30 CCEDMN C[C@@H]1CN(CCO[C@H]2CCOC2)C[C@@H](C)N1CC#N ZINC000930829046 648911000 /nfs/dbraw/zinc/91/10/00/648911000.db2.gz PODZEFBRJVQEKV-MCIONIFRSA-N 0 1 267.373 0.710 20 30 CCEDMN CO[C@@H]1CC[C@@H]1N(C)CC(=O)NC1(C#N)CCC1 ZINC000934110120 649157257 /nfs/dbraw/zinc/15/72/57/649157257.db2.gz DGHBGEOIOFRKIL-WDEREUQCSA-N 0 1 251.330 0.658 20 30 CCEDMN C[C@@](Cn1cccn1)(NCC1(CC#N)CC1)C(N)=O ZINC000934218723 649161272 /nfs/dbraw/zinc/16/12/72/649161272.db2.gz CRKZRFHZYUJEGP-LBPRGKRZSA-N 0 1 261.329 0.411 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC2(CC1)CN(C[C@H](C)O)CCO2 ZINC000949320619 649418628 /nfs/dbraw/zinc/41/86/28/649418628.db2.gz QSEJLXWUSXNTSS-OLZOCXBDSA-N 0 1 295.383 0.220 20 30 CCEDMN CNS(=O)(=O)CCNCc1ccc(OC)c(C#N)c1 ZINC000190314702 649442990 /nfs/dbraw/zinc/44/29/90/649442990.db2.gz QZPPTYRYXSMLIE-UHFFFAOYSA-N 0 1 283.353 0.206 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000192106873 649498667 /nfs/dbraw/zinc/49/86/67/649498667.db2.gz RQAQLDWCQUFSHA-CYBMUJFWSA-N 0 1 251.374 0.542 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2nonc2C)CC1 ZINC001006481185 649562100 /nfs/dbraw/zinc/56/21/00/649562100.db2.gz OHBNFSKNGPIYQZ-UHFFFAOYSA-N 0 1 276.340 0.938 20 30 CCEDMN Cc1cnc(N2C[C@H]3OCCN(C)[C@@H]3C2)c(C#N)c1 ZINC001164667921 719374407 /nfs/dbraw/zinc/37/44/07/719374407.db2.gz LMESGHVLCDCDEM-CHWSQXEVSA-N 0 1 258.325 0.781 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncc(F)cc3C#N)C[C@@H]21 ZINC001164668545 719377989 /nfs/dbraw/zinc/37/79/89/719377989.db2.gz OKAWSJUYGFWQAE-NWDGAFQWSA-N 0 1 262.288 0.612 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nc(Cl)cnc3C#N)C[C@@H]21 ZINC001164671409 719379921 /nfs/dbraw/zinc/37/99/21/719379921.db2.gz XFZOJPVEUHIIRI-VHSXEESVSA-N 0 1 279.731 0.521 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncc(C#N)cc3C#N)C[C@@H]21 ZINC001164671914 719382790 /nfs/dbraw/zinc/38/27/90/719382790.db2.gz ZTXYIMDYWJYHHX-STQMWFEESA-N 0 1 269.308 0.344 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nc4c(cc3C#N)CCC4)C[C@H]21 ZINC000329903621 719439234 /nfs/dbraw/zinc/43/92/34/719439234.db2.gz IKUHSVLRCUUGLJ-HUUCEWRRSA-N 0 1 284.363 0.961 20 30 CCEDMN Cc1nc(C#N)cc(N2C[C@H]3OCCN(C)[C@@H]3C2)n1 ZINC001164667744 719482218 /nfs/dbraw/zinc/48/22/18/719482218.db2.gz MOIWGAYOLXABCS-VXGBXAGGSA-N 0 1 259.313 0.176 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)Nc2cc(C)on2)CC1 ZINC001230262537 805590071 /nfs/dbraw/zinc/59/00/71/805590071.db2.gz FMBJZWYIGXZRIA-UHFFFAOYSA-N 0 1 290.323 0.135 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CCOC(C)C ZINC001266258820 790344333 /nfs/dbraw/zinc/34/43/33/790344333.db2.gz YCFWUEZNLILCHR-UHFFFAOYSA-N 0 1 270.373 0.499 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)C[C@@H]2CCOC2)CC1 ZINC001266259412 790344176 /nfs/dbraw/zinc/34/41/76/790344176.db2.gz CAEFMAXTCCDAML-HNNXBMFYSA-N 0 1 295.427 0.723 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cc(OC)ncn1 ZINC001266274816 790380790 /nfs/dbraw/zinc/38/07/90/790380790.db2.gz CDOKWZDMRVWSTR-UHFFFAOYSA-N 0 1 262.313 0.170 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](CC#CC)C1 ZINC001266317525 790496191 /nfs/dbraw/zinc/49/61/91/790496191.db2.gz RHAAITWJPBJRFE-GXTWGEPZSA-N 0 1 250.342 0.527 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H]1CCN(CC#CC)C1 ZINC001266317525 790496197 /nfs/dbraw/zinc/49/61/97/790496197.db2.gz RHAAITWJPBJRFE-GXTWGEPZSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)CO[C@@H]2CCOC2)C1 ZINC001266319972 790499267 /nfs/dbraw/zinc/49/92/67/790499267.db2.gz WKPYNENLJAVCQF-UONOGXRCSA-N 0 1 298.383 0.185 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CCN(CCOCC=C)C1 ZINC001266320113 790499601 /nfs/dbraw/zinc/49/96/01/790499601.db2.gz ZCROATGJMXVVHZ-ZIAGYGMSSA-N 0 1 280.368 0.418 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCCCO1 ZINC001038171446 790506078 /nfs/dbraw/zinc/50/60/78/790506078.db2.gz NTPDRECZWJVVQN-QWHCGFSZSA-N 0 1 250.342 0.769 20 30 CCEDMN C[C@H](C(N)=O)N1CCC2(C[C@H]2NC(=O)C#CC2CC2)CC1 ZINC001266371387 790605171 /nfs/dbraw/zinc/60/51/71/790605171.db2.gz XGBHMTOPYOSBNJ-DGCLKSJQSA-N 0 1 289.379 0.244 20 30 CCEDMN C#CCCCCC(=O)NCCN(CC)[C@@H]1CCNC1=O ZINC001266386212 790620470 /nfs/dbraw/zinc/62/04/70/790620470.db2.gz WDSACAAYBGUYFK-CYBMUJFWSA-N 0 1 279.384 0.507 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1c(C)nn(C)c1C ZINC001266405791 790647972 /nfs/dbraw/zinc/64/79/72/790647972.db2.gz GUXJQZIVUBCIAH-UHFFFAOYSA-N 0 1 262.357 0.722 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cnc2cccnn21 ZINC001266421435 790668274 /nfs/dbraw/zinc/66/82/74/790668274.db2.gz WKMXBUBTSVAFRZ-UHFFFAOYSA-N 0 1 285.351 0.804 20 30 CCEDMN CC#CC[N@@H+](CCC)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001266557825 790925223 /nfs/dbraw/zinc/92/52/23/790925223.db2.gz AKLCFGRJBULTNR-UHFFFAOYSA-N 0 1 278.356 0.889 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)[C@@H]1C[C@H](C)CO1 ZINC001266566408 790939647 /nfs/dbraw/zinc/93/96/47/790939647.db2.gz CDKWIYHMQWPREI-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H]1C[C@H]1c1c[nH]cn1)C1CC1 ZINC001266596329 791000910 /nfs/dbraw/zinc/00/09/10/791000910.db2.gz ATLVIHAWAMXLJU-CHWSQXEVSA-N 0 1 272.352 0.727 20 30 CCEDMN Cn1cc(CN2CCC3(CC2)COCC(=O)N3)cc1C#N ZINC001207104734 791031066 /nfs/dbraw/zinc/03/10/66/791031066.db2.gz XITSRHMQNCTBPK-UHFFFAOYSA-N 0 1 288.351 0.378 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@@H](C)NC(C)=O ZINC001230699449 805652202 /nfs/dbraw/zinc/65/22/02/805652202.db2.gz ZHJKUGOOVPIUMS-TZMCWYRMSA-N 0 1 297.399 0.246 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1c(C)noc1C ZINC001277468691 805687581 /nfs/dbraw/zinc/68/75/81/805687581.db2.gz FOWNEXHKKLXPBL-UHFFFAOYSA-N 0 1 293.367 0.993 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CNC(=O)OC ZINC001230915983 805690089 /nfs/dbraw/zinc/69/00/89/805690089.db2.gz KEQFTDQCSQPACP-NSHDSACASA-N 0 1 269.345 0.451 20 30 CCEDMN N#CCCN1CC(Oc2nc(Cl)nc3[nH]ncc32)C1 ZINC001231046766 805714959 /nfs/dbraw/zinc/71/49/59/805714959.db2.gz DJLMAELYFFHISS-UHFFFAOYSA-N 0 1 278.703 0.983 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CNC(=O)CC ZINC001231225358 805755767 /nfs/dbraw/zinc/75/57/67/805755767.db2.gz CCWOEUOHIHAQGN-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN CNC(=O)N1CC[NH+](Cc2cc(C#N)ccc2[O-])CC1 ZINC001232677440 805968793 /nfs/dbraw/zinc/96/87/93/805968793.db2.gz DCMNJZPNBSTVSK-UHFFFAOYSA-N 0 1 274.324 0.721 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@H]3CNC(=O)[C@H]3C2)c1 ZINC001232679075 805969555 /nfs/dbraw/zinc/96/95/55/805969555.db2.gz ZNJOBHCUTSQVKN-AAEUAGOBSA-N 0 1 271.320 0.832 20 30 CCEDMN CS(=O)(=O)[C@@H]1CC[N@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232679251 805970141 /nfs/dbraw/zinc/97/01/41/805970141.db2.gz HQGNNZFNOAJHHT-GFCCVEGCSA-N 0 1 280.349 0.883 20 30 CCEDMN CS(=O)(=O)[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232679251 805970143 /nfs/dbraw/zinc/97/01/43/805970143.db2.gz HQGNNZFNOAJHHT-GFCCVEGCSA-N 0 1 280.349 0.883 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@@H+]2C[C@@H]3COC[C@H](C2)C3=O)c1 ZINC001232680752 805970480 /nfs/dbraw/zinc/97/04/80/805970480.db2.gz HEOOISDFAOGVPE-BETUJISGSA-N 0 1 272.304 0.911 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@H+]2C[C@@H]3COC[C@H](C2)C3=O)c1 ZINC001232680752 805970486 /nfs/dbraw/zinc/97/04/86/805970486.db2.gz HEOOISDFAOGVPE-BETUJISGSA-N 0 1 272.304 0.911 20 30 CCEDMN N#Cc1ccc(O)c(CN2C[C@@H]3COC[C@H](C2)C3=O)c1 ZINC001232680752 805970492 /nfs/dbraw/zinc/97/04/92/805970492.db2.gz HEOOISDFAOGVPE-BETUJISGSA-N 0 1 272.304 0.911 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCc1nc(C)no1 ZINC001266848871 791286084 /nfs/dbraw/zinc/28/60/84/791286084.db2.gz HJTSVBOUGDFSTG-CYBMUJFWSA-N 0 1 290.367 0.915 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H](COC)OC ZINC001266856077 791294159 /nfs/dbraw/zinc/29/41/59/791294159.db2.gz JRFYRBNVVLMGFH-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCOCCC(=O)NC[C@H](C)N[C@@H](C)c1cnccn1 ZINC001266937211 791372874 /nfs/dbraw/zinc/37/28/74/791372874.db2.gz DSOCYQANDVLYDG-STQMWFEESA-N 0 1 290.367 0.672 20 30 CCEDMN CCn1nncc1CN[C@H](C)CNC(=O)C#CC1CC1 ZINC001266938526 791374614 /nfs/dbraw/zinc/37/46/14/791374614.db2.gz YYVSCOYLWCQFOO-LLVKDONJSA-N 0 1 275.356 0.306 20 30 CCEDMN C=CCn1ccc2c1nc[nH+]c2N[C@@H]1CO[N-]C1=O ZINC001167511003 791497674 /nfs/dbraw/zinc/49/76/74/791497674.db2.gz LYLNGLWDEIBBRG-SECBINFHSA-N 0 1 259.269 0.459 20 30 CCEDMN C=CCOCCCC(=O)N(C)C[C@H]1CC[N@H+]1CCO ZINC001233502964 806071033 /nfs/dbraw/zinc/07/10/33/806071033.db2.gz UXBNYSBWVVFASA-CYBMUJFWSA-N 0 1 270.373 0.494 20 30 CCEDMN C=CCOCCCC(=O)N(C)C[C@H]1CCN1CCO ZINC001233502964 806071039 /nfs/dbraw/zinc/07/10/39/806071039.db2.gz UXBNYSBWVVFASA-CYBMUJFWSA-N 0 1 270.373 0.494 20 30 CCEDMN Cc1nc(CN2CC[C@@H]2CNC(=O)c2cc(C#N)c[nH]2)n[nH]1 ZINC001038140062 791572405 /nfs/dbraw/zinc/57/24/05/791572405.db2.gz MXYWGSUTXXGQMR-LLVKDONJSA-N 0 1 299.338 0.317 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)N[C@H]3C[C@@H](NCC#N)C3)c2C1 ZINC001022919650 791678114 /nfs/dbraw/zinc/67/81/14/791678114.db2.gz OPYNAXABKNQZGU-MXWKQRLJSA-N 0 1 287.367 0.908 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)c1cn(C)cn1 ZINC001233612854 806092371 /nfs/dbraw/zinc/09/23/71/806092371.db2.gz ZLEBOEXOMJLZMX-ZDUSSCGKSA-N 0 1 292.383 0.769 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@@H](C)NCc1cc(C)no1 ZINC001277819637 806152972 /nfs/dbraw/zinc/15/29/72/806152972.db2.gz PFMNAZUFYHOPSY-CHWSQXEVSA-N 0 1 293.367 0.958 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cc1nnc(C)o1 ZINC001234098952 806182303 /nfs/dbraw/zinc/18/23/03/806182303.db2.gz HWYOKPDKKPFZTE-LBPRGKRZSA-N 0 1 276.340 0.477 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H](C)CC ZINC001234115914 806185226 /nfs/dbraw/zinc/18/52/26/806185226.db2.gz NNYHDDKVQCJZFH-GFCCVEGCSA-N 0 1 269.389 0.773 20 30 CCEDMN CO[C@H](C)CN1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178683 806197616 /nfs/dbraw/zinc/19/76/16/806197616.db2.gz NGVBLRKYSMWCGP-OCCSQVGLSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C(CC)CC ZINC001234180266 806197983 /nfs/dbraw/zinc/19/79/83/806197983.db2.gz BUNYHIUOAALTSA-UHFFFAOYSA-N 0 1 281.400 0.610 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@H](C)SC ZINC001234311678 806222254 /nfs/dbraw/zinc/22/22/54/806222254.db2.gz OXZVTYUCDWECJV-NEPJUHHUSA-N 0 1 299.440 0.314 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](CC1CCC1)NC(C)=O ZINC001267248630 793240526 /nfs/dbraw/zinc/24/05/26/793240526.db2.gz DRQBMMOOUCUXPI-OAHLLOKOSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C(C)(C)C(N)=O ZINC001277873206 806292473 /nfs/dbraw/zinc/29/24/73/806292473.db2.gz FXOLEVDEUCPDSE-JTQLQIEISA-N 0 1 287.791 0.831 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cn[nH]n1 ZINC001277892495 806304128 /nfs/dbraw/zinc/30/41/28/806304128.db2.gz RYCLWISQGRCSOT-VIFPVBQESA-N 0 1 269.736 0.751 20 30 CCEDMN C=CCCC(=O)NCCCN(C)[C@H]1CCNC1=O ZINC001235436677 806421501 /nfs/dbraw/zinc/42/15/01/806421501.db2.gz POXQYBIUQWPMNH-NSHDSACASA-N 0 1 253.346 0.279 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)CC(=O)N(CC)CC ZINC001235699638 806494059 /nfs/dbraw/zinc/49/40/59/806494059.db2.gz YRGOGJAGIJRVEI-UHFFFAOYSA-N 0 1 299.415 0.496 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)CC(=O)N(CC)CC ZINC001235699638 806494062 /nfs/dbraw/zinc/49/40/62/806494062.db2.gz YRGOGJAGIJRVEI-UHFFFAOYSA-N 0 1 299.415 0.496 20 30 CCEDMN COC(=O)[C@@H]1C[NH2+]CCN1Cc1ccc(C#N)cc1[O-] ZINC001236056407 806539455 /nfs/dbraw/zinc/53/94/55/806539455.db2.gz HEIHTUFDXORCRF-LBPRGKRZSA-N 0 1 275.308 0.211 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(Cl)c[nH]1 ZINC001278047250 806636652 /nfs/dbraw/zinc/63/66/52/806636652.db2.gz BAAKNPAKTWLLTR-JTQLQIEISA-N 0 1 269.732 0.372 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1(COC)CCCC1 ZINC001278092808 806659755 /nfs/dbraw/zinc/65/97/55/806659755.db2.gz CMBRPEBXYIZXEE-ZDUSSCGKSA-N 0 1 282.384 0.283 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)COC[C@H]2CCCO2)CC1 ZINC001278094441 806661235 /nfs/dbraw/zinc/66/12/35/806661235.db2.gz JEOLJYSIVNZUAR-CQSZACIVSA-N 0 1 294.395 0.786 20 30 CCEDMN C[C@@H]1c2ncc(CC(=O)NC3(C#N)CCC3)n2CCN1C ZINC001278098325 806667095 /nfs/dbraw/zinc/66/70/95/806667095.db2.gz RKXXQIGPMIZNTQ-LLVKDONJSA-N 0 1 287.367 0.994 20 30 CCEDMN N#Cc1cc(CN2CCC3(CC2)CC(=O)NC(=O)C3)ccn1 ZINC001237465696 806670655 /nfs/dbraw/zinc/67/06/55/806670655.db2.gz KABIDPPXMQCLMM-UHFFFAOYSA-N 0 1 298.346 0.972 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CCC(C)(F)F ZINC001278106193 806677112 /nfs/dbraw/zinc/67/71/12/806677112.db2.gz WEVFNNICNWFFMM-JTQLQIEISA-N 0 1 262.300 0.512 20 30 CCEDMN COC[C@@H]1CNCCN1C(=O)NCCCCC#N ZINC001120589708 798879170 /nfs/dbraw/zinc/87/91/70/798879170.db2.gz HZSXTKAFQMTMJD-NSHDSACASA-N 0 1 254.334 0.310 20 30 CCEDMN C#CCNCC(=O)N(C)[C@H]1C[C@@H](OCC)C1(C)C ZINC001121883127 799083020 /nfs/dbraw/zinc/08/30/20/799083020.db2.gz NOJOEURVMDRBEK-NWDGAFQWSA-N 0 1 252.358 0.871 20 30 CCEDMN Cc1ccc(CNC(=O)[C@@H](N)C(C)(C)O)cc1C#N ZINC001122269966 799183587 /nfs/dbraw/zinc/18/35/87/799183587.db2.gz GNQHKWLGDKVRAI-GFCCVEGCSA-N 0 1 261.325 0.581 20 30 CCEDMN C#CCOCCC(=O)N(CC#C)C1CCN(CC#C)CC1 ZINC001278151075 806720671 /nfs/dbraw/zinc/72/06/71/806720671.db2.gz IZSPVJLDYNHUIR-UHFFFAOYSA-N 0 1 286.375 0.586 20 30 CCEDMN CS(=O)(=O)CC1(CC(=O)[C@@H](C#N)C(=O)NC2CC2)CC1 ZINC001122413113 799227782 /nfs/dbraw/zinc/22/77/82/799227782.db2.gz DLFOQMZGNGKIKH-SNVBAGLBSA-N 0 1 298.364 0.189 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCOCC12CCCC2 ZINC001122483947 799246521 /nfs/dbraw/zinc/24/65/21/799246521.db2.gz FVVXFEDALHBJMQ-LBPRGKRZSA-N 0 1 250.342 0.675 20 30 CCEDMN Cn1cc(C(=O)NCCNCc2ccccc2C#N)cn1 ZINC001123353383 799395082 /nfs/dbraw/zinc/39/50/82/799395082.db2.gz GZWKGIAHNOWSBJ-UHFFFAOYSA-N 0 1 283.335 0.811 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ncsc1C(C)C ZINC001278180150 806743467 /nfs/dbraw/zinc/74/34/67/806743467.db2.gz YUXVAVQXJNCTDY-SNVBAGLBSA-N 0 1 281.381 0.580 20 30 CCEDMN C=C[C@@H](C)NC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001123756813 799467352 /nfs/dbraw/zinc/46/73/52/799467352.db2.gz XVHZTPIZWCLQPR-SECBINFHSA-N 0 1 264.329 0.932 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001123870044 799491111 /nfs/dbraw/zinc/49/11/11/799491111.db2.gz WVDKZUVNGJFJDH-ZETCQYMHSA-N 0 1 257.244 0.727 20 30 CCEDMN C#CC1CCN(C(=O)NC[C@@H](C)N2CCN(C)CC2)CC1 ZINC001123886524 799495786 /nfs/dbraw/zinc/49/57/86/799495786.db2.gz QPPICAOIJDFKML-CQSZACIVSA-N 0 1 292.427 0.677 20 30 CCEDMN C=CCCC(=O)NCC[NH2+]CCC[N-]C(=O)C(F)(F)F ZINC001124636139 799620595 /nfs/dbraw/zinc/62/05/95/799620595.db2.gz JEXDGPHAOXLMKK-UHFFFAOYSA-N 0 1 295.305 0.727 20 30 CCEDMN N#C[C@@H]1CN(Cc2cnc3c(c2)OCCO3)CCC1=O ZINC001139733551 799836705 /nfs/dbraw/zinc/83/67/05/799836705.db2.gz BLTZRUWWUFZSRN-LLVKDONJSA-N 0 1 273.292 0.767 20 30 CCEDMN Cc1csc(NC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000841008333 800063794 /nfs/dbraw/zinc/06/37/94/800063794.db2.gz XPVQJRGFKASYNV-HTQZYQBOSA-N 0 1 251.315 0.685 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)COCC2CC2)C1 ZINC001149248593 800239234 /nfs/dbraw/zinc/23/92/34/800239234.db2.gz QZPLZJXJQPDQQZ-OAHLLOKOSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H](OC)C2CC2)C1 ZINC001149647509 800286455 /nfs/dbraw/zinc/28/64/55/800286455.db2.gz YXERQQDEJQXCAD-LSDHHAIUSA-N 0 1 294.395 0.642 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(=O)[nH]c1 ZINC001149747668 800295913 /nfs/dbraw/zinc/29/59/13/800295913.db2.gz UIUSYEXOKZBNHF-UHFFFAOYSA-N 0 1 255.705 0.859 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cnc2n[nH]cc2c1 ZINC001150482657 800338455 /nfs/dbraw/zinc/33/84/55/800338455.db2.gz MWSCEUIVOJHGRM-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cn2ccnc2)C1 ZINC001150819131 800369670 /nfs/dbraw/zinc/36/96/70/800369670.db2.gz YBXQDJIOXJKFCH-ZDUSSCGKSA-N 0 1 278.356 0.276 20 30 CCEDMN N=C(c1nonc1N)N(O)C(=O)c1ccn2cncc2c1 ZINC001151895471 800468313 /nfs/dbraw/zinc/46/83/13/800468313.db2.gz LPEBDIFERWWMQX-UHFFFAOYSA-N 0 1 287.239 0.156 20 30 CCEDMN Cc1cccc2nc(C(=O)N3CCNC[C@H]3C#N)[nH]c21 ZINC001151985852 800476189 /nfs/dbraw/zinc/47/61/89/800476189.db2.gz WHWNMXNKTRFZEL-SNVBAGLBSA-N 0 1 269.308 0.809 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1[nH]nc2ccncc21 ZINC001152097815 800489771 /nfs/dbraw/zinc/48/97/71/800489771.db2.gz CWDAXHHVTNJTKL-QMMMGPOBSA-N 0 1 257.253 0.322 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1ccon1 ZINC001152109886 800492710 /nfs/dbraw/zinc/49/27/10/800492710.db2.gz KKMFHIACZHKKAF-GFCCVEGCSA-N 0 1 279.340 0.765 20 30 CCEDMN C=CCCOCC(=O)NCCN[C@H](C)c1cnccn1 ZINC001153085484 800595385 /nfs/dbraw/zinc/59/53/85/800595385.db2.gz CHQBRROGZPGGKA-GFCCVEGCSA-N 0 1 278.356 0.836 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1cc(OC)ns1 ZINC001153135739 800600077 /nfs/dbraw/zinc/60/00/77/800600077.db2.gz ZXBNXZSBHNVXKZ-SNVBAGLBSA-N 0 1 299.396 0.949 20 30 CCEDMN N#Cc1cnc(NC(=O)c2cnc3nc[nH]c3c2)cn1 ZINC001153723938 800656350 /nfs/dbraw/zinc/65/63/50/800656350.db2.gz PYHSNCHMBKAECY-UHFFFAOYSA-N 0 1 265.236 0.872 20 30 CCEDMN N#C[C@@H]1C[C@H](F)CN1C(=O)c1cnc2cccnc2c1O ZINC001153855974 800678921 /nfs/dbraw/zinc/67/89/21/800678921.db2.gz NLWGRMKULWLPEU-IUCAKERBSA-N 0 1 286.266 0.999 20 30 CCEDMN C=CCOCCCC(=O)NC1(c2nn[nH]n2)CCC1 ZINC001153872546 800683904 /nfs/dbraw/zinc/68/39/04/800683904.db2.gz QWVRRUUQVWLFPQ-UHFFFAOYSA-N 0 1 265.317 0.678 20 30 CCEDMN COCC(=O)NCCCNCc1cc(F)ccc1C#N ZINC001153981181 800697464 /nfs/dbraw/zinc/69/74/64/800697464.db2.gz XUKBTEOXIAMBDT-UHFFFAOYSA-N 0 1 279.315 0.940 20 30 CCEDMN N#Cc1ccc(NC(=O)NC[C@]2(O)CCNC[C@@H]2F)cc1 ZINC001239209123 806864100 /nfs/dbraw/zinc/86/41/00/806864100.db2.gz MHSMYFMWXUCHRV-GXTWGEPZSA-N 0 1 292.314 0.742 20 30 CCEDMN CON=Cc1ccc(C(=O)NC[C@H](O)c2cnc[nH]2)cc1 ZINC001155083802 800906166 /nfs/dbraw/zinc/90/61/66/800906166.db2.gz OJPKBBBZFAVECD-ZDUSSCGKSA-N 0 1 288.307 0.853 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)C(=O)N1CCC[C@H](C)C1 ZINC001155661612 801034830 /nfs/dbraw/zinc/03/48/30/801034830.db2.gz DISBWZIMYFRPGJ-UONOGXRCSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1nncs1 ZINC001155711849 801042407 /nfs/dbraw/zinc/04/24/07/801042407.db2.gz CCOBEPFXOUNJJS-UHFFFAOYSA-N 0 1 270.358 0.337 20 30 CCEDMN N#Cc1cncc(CNC(=O)c2cnncc2O)c1 ZINC001156114148 801112233 /nfs/dbraw/zinc/11/22/33/801112233.db2.gz DXPRTULGCSUMIV-UHFFFAOYSA-N 0 1 255.237 0.379 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)C(=O)N1CC[C@@H](C)C1 ZINC001156247914 801139256 /nfs/dbraw/zinc/13/92/56/801139256.db2.gz IVDAHSSLOFIVFD-CHWSQXEVSA-N 0 1 279.384 0.315 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001156253720 801140593 /nfs/dbraw/zinc/14/05/93/801140593.db2.gz HCYSHRMEOHUVHS-VXGBXAGGSA-N 0 1 289.383 0.865 20 30 CCEDMN Cc1nonc1CNCCCNC(=O)c1cc(C#N)c[nH]1 ZINC001156295930 801148188 /nfs/dbraw/zinc/14/81/88/801148188.db2.gz ABVCGJBXIMODCR-UHFFFAOYSA-N 0 1 288.311 0.488 20 30 CCEDMN N#CNC(=Nc1ncccc1C(N)=O)c1ccncc1 ZINC001156317653 801148773 /nfs/dbraw/zinc/14/87/73/801148773.db2.gz JVTFICQPOFGJGH-UHFFFAOYSA-N 0 1 266.264 0.724 20 30 CCEDMN COC(=O)[C@H](CS)Nc1nc(Cl)nc2nc[nH]c21 ZINC001156763785 801232326 /nfs/dbraw/zinc/23/23/26/801232326.db2.gz BWLYENQHCPNZHV-BYPYZUCNSA-N 0 1 287.732 0.890 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CCn2cnnc2C1 ZINC001156876452 801252162 /nfs/dbraw/zinc/25/21/62/801252162.db2.gz AGMGBFWSOXCNIM-UHFFFAOYSA-N 0 1 293.290 0.682 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCN[C@@H](C)c1nncn1C ZINC001157201785 801324272 /nfs/dbraw/zinc/32/42/72/801324272.db2.gz LLFCZSMVHZFVPJ-SMDDNHRTSA-N 0 1 295.387 0.299 20 30 CCEDMN CC(C)C[C@H](NC(=O)[C@@H](N)Cc1ccsc1)C(=O)NO ZINC001157304544 801349664 /nfs/dbraw/zinc/34/96/64/801349664.db2.gz ZUMYRONMEGNCQY-QWRGUYRKSA-N 0 1 299.396 0.654 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(c2ccccc2C#N)CC1 ZINC001157474797 801394792 /nfs/dbraw/zinc/39/47/92/801394792.db2.gz WCWPNEGZRFOJDI-INIZCTEOSA-N 0 1 282.347 0.557 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1CCn2cnnc2C1 ZINC001157508271 801402685 /nfs/dbraw/zinc/40/26/85/801402685.db2.gz REOOCPUDAHRPAM-ZDUSSCGKSA-N 0 1 296.334 0.062 20 30 CCEDMN Cc1nonc1CNCCCNC(=O)C#CC(C)C ZINC001157633580 801441885 /nfs/dbraw/zinc/44/18/85/801441885.db2.gz YSDKHNVHLJXJME-UHFFFAOYSA-N 0 1 264.329 0.633 20 30 CCEDMN C[C@H]1C[C@@H](CO)N(C(=O)[C@@H](N)Cc2ccccc2C#N)C1 ZINC001157853636 801502458 /nfs/dbraw/zinc/50/24/58/801502458.db2.gz IUEGYYHDHMWXOV-CQDKDKBSSA-N 0 1 287.363 0.657 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1nnn(C)n1 ZINC001158336629 801622354 /nfs/dbraw/zinc/62/23/54/801622354.db2.gz QPNKXQVHNHWBEA-GFCCVEGCSA-N 0 1 290.371 0.160 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)CC(N)=O ZINC001158350211 801625682 /nfs/dbraw/zinc/62/56/82/801625682.db2.gz NKCXYHFBVSTLAU-ZIAGYGMSSA-N 0 1 293.411 0.834 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCN(C)C1=O ZINC001158364035 801627527 /nfs/dbraw/zinc/62/75/27/801627527.db2.gz OPARWXIBKUPCNR-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCn1cnnn1 ZINC001158661138 801680340 /nfs/dbraw/zinc/68/03/40/801680340.db2.gz RIMYWMIOQCFPLK-CYBMUJFWSA-N 0 1 290.371 0.009 20 30 CCEDMN CSc1nc(Cl)c(C#N)c(NC[C@@H]2COCCN2)n1 ZINC001159035303 801745439 /nfs/dbraw/zinc/74/54/39/801745439.db2.gz FOFDRLXWASEDPN-SSDOTTSWSA-N 0 1 299.787 0.546 20 30 CCEDMN COc1nc(NC[C@H]2COCCN2)c(F)cc1C#N ZINC001159044144 801749769 /nfs/dbraw/zinc/74/97/69/801749769.db2.gz PSEYOXVUXNSVRR-VIFPVBQESA-N 0 1 266.276 0.501 20 30 CCEDMN Cc1c(CC[NH3+])c(=O)[n-]n1-c1ncc(F)cc1C#N ZINC001159293121 801784959 /nfs/dbraw/zinc/78/49/59/801784959.db2.gz BRMJQGLGCWMCQH-UHFFFAOYSA-N 0 1 261.260 0.793 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@H](O)[C@@H]1Cc1ccccc1 ZINC001159532467 801830548 /nfs/dbraw/zinc/83/05/48/801830548.db2.gz CDFHPUHKHASUID-LZWOXQAQSA-N 0 1 272.348 0.542 20 30 CCEDMN CCOC(=O)c1cc(C)nc(N[C@](N)(CC)CO)c1C#N ZINC001159743711 801858549 /nfs/dbraw/zinc/85/85/49/801858549.db2.gz KXLLPCJBTFYYCA-CQSZACIVSA-N 0 1 292.339 0.908 20 30 CCEDMN COC(=O)c1ccc(C(=N)Nc2ncc3c(n2)CNC3)cc1 ZINC001159856659 801881890 /nfs/dbraw/zinc/88/18/90/801881890.db2.gz QORDRFUMSBLDRE-UHFFFAOYSA-N 0 1 297.318 0.903 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2CCCNC2=O)CC1 ZINC001160417062 801938392 /nfs/dbraw/zinc/93/83/92/801938392.db2.gz YRBPUYNYERQMHU-AWEZNQCLSA-N 0 1 289.379 0.284 20 30 CCEDMN C#C[C@](C)(N)C(=O)N(CC(=O)OCC)Cc1ccsc1 ZINC001160768904 802018852 /nfs/dbraw/zinc/01/88/52/802018852.db2.gz FXTPLESYWTUJAZ-AWEZNQCLSA-N 0 1 294.376 0.990 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@@H](N)Cc2ccsc2)CCO1 ZINC001160880553 802040754 /nfs/dbraw/zinc/04/07/54/802040754.db2.gz ZCNAEMBMTYHOPW-MNOVXSKESA-N 0 1 265.338 0.369 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@H](Oc2ccncc2C)C1 ZINC001161387225 802144315 /nfs/dbraw/zinc/14/43/15/802144315.db2.gz PAJHTKAPEKWRJC-DOMZBBRYSA-N 0 1 273.336 0.720 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@H](C(=O)OCCCC)C1 ZINC001161461481 802157744 /nfs/dbraw/zinc/15/77/44/802157744.db2.gz SQIAFFNOOXVXEE-FZMZJTMJSA-N 0 1 266.341 0.529 20 30 CCEDMN C=C[C@](C)(O)C(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001162035485 802268067 /nfs/dbraw/zinc/26/80/67/802268067.db2.gz KGEOEGZGNGPQPP-ZDUSSCGKSA-N 0 1 273.296 0.420 20 30 CCEDMN N#CCc1cncc(-n2c3ccncc3nc2CN)n1 ZINC001162220459 802291272 /nfs/dbraw/zinc/29/12/72/802291272.db2.gz WZJDDLDJFWSOOH-UHFFFAOYSA-N 0 1 265.280 0.735 20 30 CCEDMN C[NH+]1CCC(C#N)(Nc2nccnc2CC(=O)[O-])CC1 ZINC001162231419 802293632 /nfs/dbraw/zinc/29/36/32/802293632.db2.gz JLWGXVNQGCSITI-UHFFFAOYSA-N 0 1 275.312 0.504 20 30 CCEDMN N#Cc1ccnc(NCc2nnc3n2CCCNC3)c1 ZINC001163010956 802455009 /nfs/dbraw/zinc/45/50/09/802455009.db2.gz IVVFUMDFALJEKK-UHFFFAOYSA-N 0 1 269.312 0.650 20 30 CCEDMN N#CCc1cccc(NCc2nnc3n2CCCNC3)n1 ZINC001163017277 802460658 /nfs/dbraw/zinc/46/06/58/802460658.db2.gz CBYJDUGGADBMIH-UHFFFAOYSA-N 0 1 283.339 0.845 20 30 CCEDMN CCOC(=O)c1cn(-c2ncc(C)nc2C#N)nc1CN ZINC001163525539 802567384 /nfs/dbraw/zinc/56/73/84/802567384.db2.gz WQQCLALGCTWDNB-UHFFFAOYSA-N 0 1 286.295 0.478 20 30 CCEDMN CN1CCN(c2cccc(N3CC(C#N)C3)n2)CC1 ZINC001163692191 802595321 /nfs/dbraw/zinc/59/53/21/802595321.db2.gz BVBKRGCUQJIPIM-UHFFFAOYSA-N 0 1 257.341 0.793 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@](C)(NC(C)=O)C(C)C)C1 ZINC001265319318 809756402 /nfs/dbraw/zinc/75/64/02/809756402.db2.gz LLLNQTIFFQXCSY-HIFRSBDPSA-N 0 1 281.400 0.914 20 30 CCEDMN CN(CCCNC(=O)[C@@H]1CC[C@@H](F)C1)CC(=O)NCC#N ZINC001265027568 809695001 /nfs/dbraw/zinc/69/50/01/809695001.db2.gz SXYCZCMVOOJBSV-VXGBXAGGSA-N 0 1 298.362 0.202 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cnn(CC)n2)C1 ZINC001265282465 809745780 /nfs/dbraw/zinc/74/57/80/809745780.db2.gz UGDGDBYZUYYUNK-GFCCVEGCSA-N 0 1 293.371 0.305 20 30 CCEDMN C=CC(NCC[N-]C(=O)C(F)(F)F)=[NH+]COC ZINC001164245457 802696781 /nfs/dbraw/zinc/69/67/81/802696781.db2.gz XKPSPXXTXNOMHM-UHFFFAOYSA-N 0 1 253.224 0.443 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NCCCN[C@H](C)c1nnnn1C ZINC001164346568 802726777 /nfs/dbraw/zinc/72/67/77/802726777.db2.gz SRQDEWWGWZRIDZ-NWDGAFQWSA-N 0 1 294.403 0.969 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCC[C@H]1CNCc1nnnn1C ZINC001268272564 812436897 /nfs/dbraw/zinc/43/68/97/812436897.db2.gz PZJCGNDWDWETHE-NWDGAFQWSA-N 0 1 292.387 0.551 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CCC(NCc2cnon2)CC1 ZINC001204037537 803060297 /nfs/dbraw/zinc/06/02/97/803060297.db2.gz BQNWVIUVYJZIJG-LLVKDONJSA-N 0 1 294.355 0.741 20 30 CCEDMN N#CCNCC1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001205223485 803128056 /nfs/dbraw/zinc/12/80/56/803128056.db2.gz CFWUECQESNOXRN-GHMZBOCLSA-N 0 1 266.301 0.003 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCn2cccn2)C1 ZINC001206109046 803207860 /nfs/dbraw/zinc/20/78/60/803207860.db2.gz RDKDYXGZNLOCBH-ZIAGYGMSSA-N 0 1 274.368 0.733 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@H](C)C(=O)NC)C[C@H]1C ZINC001206537511 803263257 /nfs/dbraw/zinc/26/32/57/803263257.db2.gz WUOLDCCNMZAKFN-IJLUTSLNSA-N 0 1 267.373 0.524 20 30 CCEDMN Cc1nc(CN2C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001206658998 803276707 /nfs/dbraw/zinc/27/67/07/803276707.db2.gz AHLRZFRAYDRNRP-FXPVBKGRSA-N 0 1 276.344 0.209 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN([C@H]2CCCNC2=O)C[C@H]1C ZINC001206912351 803307643 /nfs/dbraw/zinc/30/76/43/803307643.db2.gz GXVOYYPOEDFAOW-MCIONIFRSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CN(CC#CC)C[C@H]1C ZINC001206957528 803318139 /nfs/dbraw/zinc/31/81/39/803318139.db2.gz CEOVZVCXQCSSLJ-ZIAGYGMSSA-N 0 1 262.353 0.486 20 30 CCEDMN Cn1cc(CN2CC[C@@](C)(CO)[C@H](O)C2)cc1C#N ZINC001207103325 803353294 /nfs/dbraw/zinc/35/32/94/803353294.db2.gz UIMSZEFTIHQEHD-KGLIPLIRSA-N 0 1 263.341 0.462 20 30 CCEDMN Cn1cc(CN2CCC3(CNC(=O)N3)CC2)cc1C#N ZINC001207110595 803354817 /nfs/dbraw/zinc/35/48/17/803354817.db2.gz OLTFJSDKIPJHEW-UHFFFAOYSA-N 0 1 273.340 0.544 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN([C@@H](C)C(=O)NC)C[C@H]1C ZINC001207215167 803369177 /nfs/dbraw/zinc/36/91/77/803369177.db2.gz BWUTZLABLRYFEZ-FRRDWIJNSA-N 0 1 281.400 0.914 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(CC)CCNC2=O)C1 ZINC001207610608 803425353 /nfs/dbraw/zinc/42/53/53/803425353.db2.gz QKZIXPFVBYOGBX-LALPHHSUSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H](NCc2cnnn2C)C1 ZINC001207636924 803427139 /nfs/dbraw/zinc/42/71/39/803427139.db2.gz ZDJHIAJRNGVKON-LBPRGKRZSA-N 0 1 277.372 0.862 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](NCc2cnnn2C)C1 ZINC001207636923 803427477 /nfs/dbraw/zinc/42/74/77/803427477.db2.gz ZDJHIAJRNGVKON-GFCCVEGCSA-N 0 1 277.372 0.862 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H](C)OC)C(C)(C)C1 ZINC001276561080 803495661 /nfs/dbraw/zinc/49/56/61/803495661.db2.gz JGALXNFRVOGDRG-VXGBXAGGSA-N 0 1 252.358 0.871 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COC[C@H]2CCOC2)C1 ZINC001209078099 803572535 /nfs/dbraw/zinc/57/25/35/803572535.db2.gz AZCIKVFPYFYOBL-QLFBSQMISA-N 0 1 294.395 0.499 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)NC(C)=O)C1 ZINC001209547131 803610968 /nfs/dbraw/zinc/61/09/68/803610968.db2.gz HSJNAJSSTAWPMB-DGCLKSJQSA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H](C)N2CCCC2=O)C1 ZINC001210277403 803645043 /nfs/dbraw/zinc/64/50/43/803645043.db2.gz RXJFTWYBGQHXGK-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](NC(N)=O)C(C)(C)C)C1 ZINC001210298922 803646562 /nfs/dbraw/zinc/64/65/62/803646562.db2.gz UMENDAOAIRELPC-IJLUTSLNSA-N 0 1 294.399 0.139 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CNC(=O)c2ccco2)C1 ZINC001210783132 803659286 /nfs/dbraw/zinc/65/92/86/803659286.db2.gz NGGPXQZMFAUISK-VXGBXAGGSA-N 0 1 289.335 0.079 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COCCOCC)C1 ZINC001211379051 803679837 /nfs/dbraw/zinc/67/98/37/803679837.db2.gz XXWGHJBIFSYCDM-CHWSQXEVSA-N 0 1 268.357 0.109 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@H]([NH2+]Cc2nc(=O)n(C)[n-]2)C1 ZINC001214301411 803733315 /nfs/dbraw/zinc/73/33/15/803733315.db2.gz BMBFKBLVIYFOHE-GHMZBOCLSA-N 0 1 293.371 0.011 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)OCC2CC2)[C@H](OC)C1 ZINC001211988564 814963583 /nfs/dbraw/zinc/96/35/83/814963583.db2.gz FGKORHOOUMLVNG-NWANDNLSSA-N 0 1 294.395 0.640 20 30 CCEDMN C=CCOCC(=O)N1CCO[C@@H]2CN(C[C@H](C)OC)C[C@@H]21 ZINC001217532096 803895625 /nfs/dbraw/zinc/89/56/25/803895625.db2.gz CTDYGRWONONJPG-MELADBBJSA-N 0 1 298.383 0.136 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3c(C)ncn3C)[C@@H]2C1 ZINC001075913134 814974837 /nfs/dbraw/zinc/97/48/37/814974837.db2.gz BLZNACXYZYKJSF-UONOGXRCSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CO[C@H](C)CC)[C@H]2C1 ZINC001217660801 803900604 /nfs/dbraw/zinc/90/06/04/803900604.db2.gz ZVNQKJQOFCQMLK-QLFBSQMISA-N 0 1 294.395 0.736 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H](C)OC)[C@H](OC)C1 ZINC001212002047 814974915 /nfs/dbraw/zinc/97/49/15/814974915.db2.gz FTRXVMKHZHXUFJ-JHJVBQTASA-N 0 1 270.373 0.803 20 30 CCEDMN CC(C)C#CC(=O)N1CCO[C@@H]2CN(CCCF)C[C@@H]21 ZINC001217852537 803921665 /nfs/dbraw/zinc/92/16/65/803921665.db2.gz LIIMRQMFXDYBDE-UONOGXRCSA-N 0 1 282.359 0.917 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1OC ZINC001212022477 814978759 /nfs/dbraw/zinc/97/87/59/814978759.db2.gz SVWGXOMDZHXNNG-JHJVBQTASA-N 0 1 270.373 0.803 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)COC)[C@H](OC)C1 ZINC001212028677 814979006 /nfs/dbraw/zinc/97/90/06/814979006.db2.gz YWRAKINMRLGKGP-JHJVBQTASA-N 0 1 268.357 0.108 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001212022128 814979503 /nfs/dbraw/zinc/97/95/03/814979503.db2.gz BLCAGAOPZLXHLD-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN Cc1noc(NC(=O)[C@@H](N)COC(C)(C)C)c1C#N ZINC001218502855 803970452 /nfs/dbraw/zinc/97/04/52/803970452.db2.gz IROJQQGZWPSIMD-VIFPVBQESA-N 0 1 266.301 0.936 20 30 CCEDMN CN[C@@H](CC(=O)OC(C)(C)C)C(=O)Nc1[nH]cnc1C#N ZINC001218593792 804026854 /nfs/dbraw/zinc/02/68/54/804026854.db2.gz CFIPWFFUASSOEE-QMMMGPOBSA-N 0 1 293.327 0.540 20 30 CCEDMN N#Cc1sccc1NC(=O)C[C@H]1COCCN1 ZINC001218624398 804041481 /nfs/dbraw/zinc/04/14/81/804041481.db2.gz IOUPUWRGATUZCP-QMMMGPOBSA-N 0 1 251.311 0.937 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)n1cc(N)c(N)n1 ZINC001218626392 804042723 /nfs/dbraw/zinc/04/27/23/804042723.db2.gz HTDWXEFDHJQPIL-JTQLQIEISA-N 0 1 270.296 0.129 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cnn(C)c2C)[C@H]1C ZINC001088612993 814991668 /nfs/dbraw/zinc/99/16/68/814991668.db2.gz QILGDTTUIJLOIT-HIFRSBDPSA-N 0 1 288.395 0.873 20 30 CCEDMN C[S@](=O)CC[C@H](N)C(=O)Nc1ccc(O)c(C#N)c1 ZINC001218954079 804165678 /nfs/dbraw/zinc/16/56/78/804165678.db2.gz DTMQKUQHXRSWQV-OVWNDWIMSA-N 0 1 281.337 0.298 20 30 CCEDMN N=C(c1ccccc1N)N([O-])C(=O)CNC1CC[NH2+]CC1 ZINC001218965571 804167033 /nfs/dbraw/zinc/16/70/33/804167033.db2.gz LEBQODNCFZCHFL-UHFFFAOYSA-N 0 1 291.355 0.154 20 30 CCEDMN N=C(c1ccccc1N)N([O-])C(=O)[C@@H]([NH3+])CC(F)F ZINC001218968689 804169739 /nfs/dbraw/zinc/16/97/39/804169739.db2.gz OZPXQQZNDMZQIM-QMMMGPOBSA-N 0 1 272.255 0.794 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CC2CCCC2)[C@@H](O)C1 ZINC001219114024 804206216 /nfs/dbraw/zinc/20/62/16/804206216.db2.gz MPWANTGOGBRVNI-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2cncs2)[C@@H](O)C1 ZINC001219269937 804254096 /nfs/dbraw/zinc/25/40/96/804254096.db2.gz SMMHBSKZEXOXRT-MNOVXSKESA-N 0 1 281.381 0.884 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COCCC)[C@@H](O)C1 ZINC001219318286 804271126 /nfs/dbraw/zinc/27/11/26/804271126.db2.gz NUVUZWIGPYGWSV-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](C)C(C)C)[C@@H](O)C1 ZINC001219354032 804282377 /nfs/dbraw/zinc/28/23/77/804282377.db2.gz TXANDDKFJUAPFM-MJBXVCDLSA-N 0 1 266.385 0.853 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CCc2cccs2)C[C@@H]1O ZINC001219381666 804291513 /nfs/dbraw/zinc/29/15/13/804291513.db2.gz ZETXFTWHABFKCB-UHTWSYAYSA-N 0 1 293.392 0.612 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)C(=O)C(C)(C)C)[C@@H](O)C1 ZINC001219396905 804299256 /nfs/dbraw/zinc/29/92/56/804299256.db2.gz AFPKYJQLHWFPJF-NEPJUHHUSA-N 0 1 282.384 0.729 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H](C)C2CC2)[C@@H](O)C1 ZINC001219418005 804307043 /nfs/dbraw/zinc/30/70/43/804307043.db2.gz LFHZLVHNOTUWNZ-BNOWGMLFSA-N 0 1 282.384 0.396 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001219596375 804367142 /nfs/dbraw/zinc/36/71/42/804367142.db2.gz RHTTUZLBVQRTGR-NEPJUHHUSA-N 0 1 270.348 0.557 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CN(CC=C(C)C)C[C@@H]1O ZINC001219605382 804372815 /nfs/dbraw/zinc/37/28/15/804372815.db2.gz QMXNFJIZQUJQIJ-MCIONIFRSA-N 0 1 280.368 0.152 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(C(F)F)CC2)[C@@H](O)C1 ZINC001219629629 804379787 /nfs/dbraw/zinc/37/97/87/804379787.db2.gz FAMGCCSOQDRKHU-ZJUUUORDSA-N 0 1 274.311 0.769 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCNC2=O)[C@H]1C ZINC001088619633 815018824 /nfs/dbraw/zinc/01/88/24/815018824.db2.gz UNZJBGRHBVECIA-UPJWGTAASA-N 0 1 277.368 0.115 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCCc1nc(-c2ccccc2)no1 ZINC001219952027 804456872 /nfs/dbraw/zinc/45/68/72/804456872.db2.gz LVPSMKSETAPABJ-HNNXBMFYSA-N 0 1 284.319 0.746 20 30 CCEDMN N#CN=C(NC(O)=C(N)C(F)(F)F)c1ccncc1 ZINC001219972828 804461176 /nfs/dbraw/zinc/46/11/76/804461176.db2.gz XHIMLYRRPWSNJT-SSDOTTSWSA-N 0 1 271.202 0.315 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)C(=C)C)[C@@H](O)C1 ZINC001220134051 804508489 /nfs/dbraw/zinc/50/84/89/804508489.db2.gz XDIVGFPYDYDGOA-OLZOCXBDSA-N 0 1 264.369 0.773 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCCF)[C@@H](O)C1 ZINC001220156468 804520338 /nfs/dbraw/zinc/52/03/38/804520338.db2.gz WTXHHNYTZNDGOI-NEPJUHHUSA-N 0 1 274.336 0.100 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@@H]1O ZINC001220184248 804530966 /nfs/dbraw/zinc/53/09/66/804530966.db2.gz ALKZJXBHPMADCE-MCIONIFRSA-N 0 1 282.384 0.376 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCC[C@H](C)O)C[C@@H]2O)C1 ZINC001220314948 804572127 /nfs/dbraw/zinc/57/21/27/804572127.db2.gz NABQDFDRPCJOQY-MJBXVCDLSA-N 0 1 296.411 0.665 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@@H]1O ZINC001220434410 804603809 /nfs/dbraw/zinc/60/38/09/804603809.db2.gz IUQMJAVPDROHOH-XQQFMLRXSA-N 0 1 284.400 0.785 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1nn(C)c2ccccc12 ZINC001220631251 804639407 /nfs/dbraw/zinc/63/94/07/804639407.db2.gz BKEWCOZCKOIIFY-AWEZNQCLSA-N 0 1 256.309 0.540 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccc(C#C)nc1 ZINC001272152204 815030502 /nfs/dbraw/zinc/03/05/02/815030502.db2.gz RHJPWFJSCZXZGM-UHFFFAOYSA-N 0 1 255.321 0.700 20 30 CCEDMN N#Cc1cnc(CNC(=O)C[C@H]2COCCN2)s1 ZINC001220872131 804685573 /nfs/dbraw/zinc/68/55/73/804685573.db2.gz LEZQJAJKXYBSMK-QMMMGPOBSA-N 0 1 266.326 0.009 20 30 CCEDMN CC(C)[N@@H+]1C[C@@H](NC(=O)CC#Cc2ccccc2)[C@@H](O)C1 ZINC001221046397 804723284 /nfs/dbraw/zinc/72/32/84/804723284.db2.gz LMXDGHXWMPMPGR-CVEARBPZSA-N 0 1 286.375 0.998 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCn2cc(C)cn2)[C@@H](O)C1 ZINC001221083621 804728177 /nfs/dbraw/zinc/72/81/77/804728177.db2.gz ONYYVQJFKOKKTJ-KGLIPLIRSA-N 0 1 292.383 0.319 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CN(C(C)C)C[C@@H]1O ZINC001221147917 804735817 /nfs/dbraw/zinc/73/58/17/804735817.db2.gz KZFMKLRHFAWZAV-UTUOFQBUSA-N 0 1 256.346 0.147 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H](C)OC)[C@@H]2C1 ZINC001221427257 804814247 /nfs/dbraw/zinc/81/42/47/804814247.db2.gz XOWKJFKEVDQVCI-BFHYXJOUSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H]1CCc2nncn2C1 ZINC001272178727 815038680 /nfs/dbraw/zinc/03/86/80/815038680.db2.gz PIBFAUZQNAKRGF-CYBMUJFWSA-N 0 1 289.383 0.254 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CC(=O)N(C)C)[C@@H]2C1 ZINC001221858116 804848619 /nfs/dbraw/zinc/84/86/19/804848619.db2.gz TUNMNZOYVXBDNT-VXGBXAGGSA-N 0 1 265.357 0.183 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)C[NH+](C)CCC)[C@@H]2C1 ZINC001221973607 804856287 /nfs/dbraw/zinc/85/62/87/804856287.db2.gz FNVXQSARSFVWFX-HUUCEWRRSA-N 0 1 277.412 0.884 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)C=C(C)C)CC1 ZINC001222302506 804889514 /nfs/dbraw/zinc/88/95/14/804889514.db2.gz FPJFAMRKINGZPH-UHFFFAOYSA-N 0 1 291.395 0.530 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)CSC)CC1 ZINC001222329300 804891546 /nfs/dbraw/zinc/89/15/46/804891546.db2.gz AHYAUMZVTPZPON-UHFFFAOYSA-N 0 1 284.425 0.827 20 30 CCEDMN CCN(C)C(=O)CN1CCC(CNC(=O)[C@H](C)C#N)CC1 ZINC001222637172 804922270 /nfs/dbraw/zinc/92/22/70/804922270.db2.gz XUUGSJQLEFSLIX-GFCCVEGCSA-N 0 1 294.399 0.453 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)/C(C)=C\C)CC1 ZINC001222756882 804933119 /nfs/dbraw/zinc/93/31/19/804933119.db2.gz SNOBWVPJODPYRB-ACAGNQJTSA-N 0 1 291.395 0.530 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H](C)n2cccn2)C1 ZINC001278364907 807039228 /nfs/dbraw/zinc/03/92/28/807039228.db2.gz ZVVABEIQPDULTF-TZMCWYRMSA-N 0 1 260.341 0.658 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC3(C[C@@H]3C(N)=O)C2)c(O)c1 ZINC001276864641 804954791 /nfs/dbraw/zinc/95/47/91/804954791.db2.gz DQGXFDDKGHKHMT-SNVBAGLBSA-N 0 1 271.276 0.211 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C1(C(=O)NC)CC1 ZINC001276941409 805004018 /nfs/dbraw/zinc/00/40/18/805004018.db2.gz PAAWRQNROKFIFW-LLVKDONJSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C(C)=C/C)[C@H](OC)C1 ZINC001212104609 815047522 /nfs/dbraw/zinc/04/75/22/815047522.db2.gz SHXKSPSTOWZFMJ-BARLUBHISA-N 0 1 282.384 0.971 20 30 CCEDMN C=CCOCC(=O)N[C@@]1(C)CCN([C@H](C)C(=O)N(C)C)C1 ZINC001278369226 807042368 /nfs/dbraw/zinc/04/23/68/807042368.db2.gz NPOFNCMLPZXHKV-DOMZBBRYSA-N 0 1 297.399 0.246 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)[C@H]1C ZINC001088657536 815050908 /nfs/dbraw/zinc/05/09/08/815050908.db2.gz JGHAUWORQYCEGR-TYNCELHUSA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCC1CCN(CC(=O)NC)CC1 ZINC001225625035 805145020 /nfs/dbraw/zinc/14/50/20/805145020.db2.gz AIISJAFYMYBYCO-LBPRGKRZSA-N 0 1 297.399 0.152 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)[C@H]1C ZINC001088671581 815054155 /nfs/dbraw/zinc/05/41/55/815054155.db2.gz NEONFJWNSWQTOZ-RDBSUJKOSA-N 0 1 291.395 0.457 20 30 CCEDMN CN1[C@@H]2C[C@@H](Oc3cccc4[nH]nnc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225991080 805184609 /nfs/dbraw/zinc/18/46/09/805184609.db2.gz SNKKYSBIOMHPSU-LEGMNPEXSA-N 0 1 272.308 0.949 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCN(C)c1ccc(C#N)nc1 ZINC001105514894 815060208 /nfs/dbraw/zinc/06/02/08/815060208.db2.gz INTDASDSIWSLRV-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN C=CCOCC(=O)NC1CCN(Cc2n[nH]c(C)n2)CC1 ZINC001226598623 805251369 /nfs/dbraw/zinc/25/13/69/805251369.db2.gz JCQQITUEADLIGO-UHFFFAOYSA-N 0 1 293.371 0.396 20 30 CCEDMN N#C[C@H]1CC[C@@H](Oc2[n-]c(=O)nc3c(=O)[n-]c(=O)[nH]c23)C1 ZINC001226885570 805291064 /nfs/dbraw/zinc/29/10/64/805291064.db2.gz AASWWBAHMCXFOT-NTSWFWBYSA-N 0 1 289.251 0.608 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCC(=O)NCC)CC1 ZINC001277164430 805292179 /nfs/dbraw/zinc/29/21/79/805292179.db2.gz ISFDUJPOVWIGNB-AWEZNQCLSA-N 0 1 281.400 0.963 20 30 CCEDMN C#C[C@@H](Oc1[nH]c(=O)nc2cnccc21)C(=O)OCC ZINC001226976322 805301511 /nfs/dbraw/zinc/30/15/11/805301511.db2.gz KUBCOHOOMGBOQB-SNVBAGLBSA-N 0 1 273.248 0.262 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)[C@@H]1CO[C@@H](C)C1 ZINC001272196992 815065403 /nfs/dbraw/zinc/06/54/03/815065403.db2.gz QDGMQRSZGJQBGI-RYUDHWBXSA-N 0 1 290.791 0.574 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@H](C)NC(N)=O)CC1 ZINC001227373045 805343382 /nfs/dbraw/zinc/34/33/82/805343382.db2.gz VCOQFJBSYNIDBW-NSHDSACASA-N 0 1 280.372 0.037 20 30 CCEDMN C=C(C)C(=O)N1CCC(O)=C(C(=O)OCC)CC1 ZINC001142512278 815069714 /nfs/dbraw/zinc/06/97/14/815069714.db2.gz QTNDAEHBCASWIO-SNVBAGLBSA-N 0 1 253.298 0.933 20 30 CCEDMN CC#CCN1CCC(NC(=O)CN(C)C(=O)C2CC2)CC1 ZINC001227653391 805375696 /nfs/dbraw/zinc/37/56/96/805375696.db2.gz JSMRFYKMSFENOH-UHFFFAOYSA-N 0 1 291.395 0.459 20 30 CCEDMN N#CCCN1CC(Oc2nc(Cl)nc3nc[nH]c32)C1 ZINC001228507880 805452550 /nfs/dbraw/zinc/45/25/50/805452550.db2.gz WMHSIYJYIMRXNU-UHFFFAOYSA-N 0 1 278.703 0.983 20 30 CCEDMN N#CC1(NC(=O)[C@]23C[C@H]2CCN3Cc2c[nH]nn2)CCC1 ZINC001277378081 805523256 /nfs/dbraw/zinc/52/32/56/805523256.db2.gz AFKPEADXFSJLLO-YGRLFVJLSA-N 0 1 286.339 0.332 20 30 CCEDMN Cc1nc(O[C@@H]2CCN(CC#N)C2)c([N+](=O)[O-])c(=O)[nH]1 ZINC001229381659 805526249 /nfs/dbraw/zinc/52/62/49/805526249.db2.gz OGXQVSXJGVGZIZ-MRVPVSSYSA-N 0 1 279.256 0.376 20 30 CCEDMN C=C[C@@H](Oc1nc(C)[nH]c(=O)c1[N+](=O)[O-])C(=O)OC ZINC001229380878 805527809 /nfs/dbraw/zinc/52/78/09/805527809.db2.gz MNLYUHANNYIVDS-ZCFIWIBFSA-N 0 1 269.213 0.505 20 30 CCEDMN C=C1O[C@H](CC)C(=O)C1Oc1ncc(F)nc1C(N)=O ZINC001229727872 805553166 /nfs/dbraw/zinc/55/31/66/805553166.db2.gz UIXZAIOKCWRASQ-ZCFIWIBFSA-N 0 1 281.243 0.703 20 30 CCEDMN N#CCc1cc(NCC2CCS(=O)(=O)CC2)ccn1 ZINC001168298958 815082903 /nfs/dbraw/zinc/08/29/03/815082903.db2.gz LLMSYYNBDUDVOB-UHFFFAOYSA-N 0 1 279.365 0.806 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](NCC2(C#N)CCCC2)CN1 ZINC001246092133 807151571 /nfs/dbraw/zinc/15/15/71/807151571.db2.gz MTIACPPSTNWTEG-RYUDHWBXSA-N 0 1 265.357 0.954 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccc(=O)[nH]c2)[C@H]1C ZINC001088753770 815133580 /nfs/dbraw/zinc/13/35/80/815133580.db2.gz MMEIEQMRRVWGJO-YPMHNXCESA-N 0 1 273.336 0.542 20 30 CCEDMN Cc1ccccc1[C@H](O)CNC(=O)NCC#CCN(C)C ZINC001251707720 807695790 /nfs/dbraw/zinc/69/57/90/807695790.db2.gz DIXAQRLKXCSPOC-OAHLLOKOSA-N 0 1 289.379 0.893 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(c2ccccc2C#N)CC1 ZINC001251821853 807710524 /nfs/dbraw/zinc/71/05/24/807710524.db2.gz YNFUCJKGIABPHV-INIZCTEOSA-N 0 1 299.374 0.691 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@H](CO)c1cc(F)ccc1F ZINC001251904178 807743802 /nfs/dbraw/zinc/74/38/02/807743802.db2.gz WGZSYTIIMRBOJE-SMDDNHRTSA-N 0 1 285.290 0.599 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCCN(C(=O)OC)CC1 ZINC001252461164 807872849 /nfs/dbraw/zinc/87/28/49/807872849.db2.gz MSLRSKYPTJUKAB-LBPRGKRZSA-N 0 1 272.345 0.324 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCCC[C@@H]1C(=O)NCCC ZINC001252465795 807877736 /nfs/dbraw/zinc/87/77/36/807877736.db2.gz JGRIUEORYKOVPX-UONOGXRCSA-N 0 1 284.400 0.931 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCN(c2cc(C)ncn2)CC1 ZINC001252471133 807880491 /nfs/dbraw/zinc/88/04/91/807880491.db2.gz CLGMJXQVZRRUDG-AWEZNQCLSA-N 0 1 292.383 0.471 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@](C)(CNC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001278668572 807887876 /nfs/dbraw/zinc/88/78/76/807887876.db2.gz PDHTUMKGUQYDFH-BBRMVZONSA-N 0 1 293.411 0.917 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cccc(OC2COC2)n1 ZINC001252495966 807895025 /nfs/dbraw/zinc/89/50/25/807895025.db2.gz UTJBGRWQJJYPCI-ZDUSSCGKSA-N 0 1 294.351 0.512 20 30 CCEDMN CCCCOC[C@H](O)CNC1(C#N)CCN(C)CC1 ZINC001252536163 807904904 /nfs/dbraw/zinc/90/49/04/807904904.db2.gz ILHMOGPUGMUOIR-CYBMUJFWSA-N 0 1 269.389 0.742 20 30 CCEDMN C=C[C@](C)(O)CNC1(C(=O)OC)CCN(C)CC1 ZINC001252576246 807913580 /nfs/dbraw/zinc/91/35/80/807913580.db2.gz IGHBTOXPEFROQR-LBPRGKRZSA-N 0 1 256.346 0.150 20 30 CCEDMN CN1CCC(C#N)(NC[C@H](O)COCc2ccco2)CC1 ZINC001252662357 807931237 /nfs/dbraw/zinc/93/12/37/807931237.db2.gz OEUSZQCJYNIPHT-ZDUSSCGKSA-N 0 1 293.367 0.735 20 30 CCEDMN C=CCOCc1[nH]nc2c1CN(CC1(O)CCOCC1)C2 ZINC001252960217 807991517 /nfs/dbraw/zinc/99/15/17/807991517.db2.gz PDFNSZDZVWGRIR-UHFFFAOYSA-N 0 1 293.367 0.969 20 30 CCEDMN C=CCCCCCC[C@H](O)CN[C@H](CO)C(N)=O ZINC001253250877 808040233 /nfs/dbraw/zinc/04/02/33/808040233.db2.gz DWGVFVNZYPIGDG-NWDGAFQWSA-N 0 1 258.362 0.310 20 30 CCEDMN C=C[C@@H](O)CN1Cc2ccnn2C[C@H](COC)C1 ZINC001253580961 808087993 /nfs/dbraw/zinc/08/79/93/808087993.db2.gz FQQMVFUJIMZJDI-DGCLKSJQSA-N 0 1 251.330 0.508 20 30 CCEDMN C=C[C@@H](O)CN1CCN(c2cccc(OC)n2)CC1 ZINC001253584525 808090264 /nfs/dbraw/zinc/09/02/64/808090264.db2.gz NTEHGOQHJGCLAB-GFCCVEGCSA-N 0 1 263.341 0.759 20 30 CCEDMN C=C[C@@H](O)CN1CCN(c2ccnc(OC)c2)CC1 ZINC001253585220 808090635 /nfs/dbraw/zinc/09/06/35/808090635.db2.gz AUFJBZIKSIOWMO-CYBMUJFWSA-N 0 1 263.341 0.759 20 30 CCEDMN C=C[C@@H](O)CN[C@@H](CC(=O)OC)c1ccccn1 ZINC001253606402 808095867 /nfs/dbraw/zinc/09/58/67/808095867.db2.gz GVYKIUCCAIAPBC-PWSUYJOCSA-N 0 1 250.298 0.822 20 30 CCEDMN O=C(C#CC1CC1)NC[C@H]1CN(CCO)CCC1(F)F ZINC001278740406 808138098 /nfs/dbraw/zinc/13/80/98/808138098.db2.gz FIGHSSIWZALMSY-LBPRGKRZSA-N 0 1 286.322 0.466 20 30 CCEDMN Cc1cnc(CNCCNC(=O)C#CC2CC2)cn1 ZINC001126881761 815180855 /nfs/dbraw/zinc/18/08/55/815180855.db2.gz ZRVADNNVODXFRP-UHFFFAOYSA-N 0 1 258.325 0.404 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2ccc(F)nc2)C1 ZINC001278766113 808194501 /nfs/dbraw/zinc/19/45/01/808194501.db2.gz GLLJPVGDUALZJW-HNNXBMFYSA-N 0 1 291.326 0.411 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H](C)OCCC=C)C1 ZINC001278767617 808196319 /nfs/dbraw/zinc/19/63/19/808196319.db2.gz UDLVPNWNLUCKLW-ZFWWWQNUSA-N 0 1 280.368 0.154 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C(CC=C)CC=C)C1 ZINC001278781085 808228860 /nfs/dbraw/zinc/22/88/60/808228860.db2.gz CJOBLIJUSOZLNO-INIZCTEOSA-N 0 1 276.380 0.941 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001278787645 808248729 /nfs/dbraw/zinc/24/87/29/808248729.db2.gz UAHOTUNNEYRGIQ-MRXNPFEDSA-N 0 1 298.346 0.363 20 30 CCEDMN COC(=O)[C@@H]1CN([C@@H]2CC[C@H](C#N)C2)CCCO1 ZINC001254675115 808273129 /nfs/dbraw/zinc/27/31/29/808273129.db2.gz FEXOQVJZGSWVLJ-TUAOUCFPSA-N 0 1 252.314 0.943 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)CC(C)(F)F)C1 ZINC001278806209 808276772 /nfs/dbraw/zinc/27/67/72/808276772.db2.gz WQEHWJCLQHTESV-CYBMUJFWSA-N 0 1 274.311 0.608 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)[C@H](C)NC(C)=O)C1 ZINC001278833542 808307885 /nfs/dbraw/zinc/30/78/85/808307885.db2.gz QBHDTRIMXSQLSQ-NEPJUHHUSA-N 0 1 297.399 0.029 20 30 CCEDMN O=C(C#CC1CC1)NCC1(O)CN(CC2CCCC2)C1 ZINC001278863718 808355017 /nfs/dbraw/zinc/35/50/17/808355017.db2.gz OYXFALKJSCTCRO-UHFFFAOYSA-N 0 1 276.380 0.753 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1(C)CCC1 ZINC001278869891 808395128 /nfs/dbraw/zinc/39/51/28/808395128.db2.gz ZIUPGCRBRXGSOL-LBPRGKRZSA-N 0 1 252.358 0.561 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnc(C)n2C)[C@H]1C ZINC001088818568 815202122 /nfs/dbraw/zinc/20/21/22/815202122.db2.gz VNTKERXTDBGTAF-YPMHNXCESA-N 0 1 274.368 0.944 20 30 CCEDMN C[C@@H](OC(=O)CCc1nc[nH]n1)C(=O)NC1(C#N)CCC1 ZINC001255588392 808410107 /nfs/dbraw/zinc/41/01/07/808410107.db2.gz QQHNLPRUOUCAFB-SECBINFHSA-N 0 1 291.311 0.231 20 30 CCEDMN C[C@H](OC(=O)CCc1nc[nH]n1)C(=O)NC1(C#N)CCC1 ZINC001255588394 808411104 /nfs/dbraw/zinc/41/11/04/808411104.db2.gz QQHNLPRUOUCAFB-VIFPVBQESA-N 0 1 291.311 0.231 20 30 CCEDMN COc1ccc(CNCCNC(=O)C#CC2CC2)nn1 ZINC001126899722 815204118 /nfs/dbraw/zinc/20/41/18/815204118.db2.gz ZLGOZOWCZSFQHM-UHFFFAOYSA-N 0 1 274.324 0.104 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCOC[C@H]1[C@@H]1CCCO1 ZINC001256585912 808538250 /nfs/dbraw/zinc/53/82/50/808538250.db2.gz YFQORPDRJLABHN-KBPBESRZSA-N 0 1 295.383 0.141 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)[C@@H](CO)CC(C)(C)C ZINC001256585894 808538375 /nfs/dbraw/zinc/53/83/75/808538375.db2.gz XKPXZSQDDBRJNI-CYBMUJFWSA-N 0 1 283.416 0.990 20 30 CCEDMN COC(=O)CC[C@H](C)NC1(C#N)CCN(C)CC1 ZINC001258361893 808677030 /nfs/dbraw/zinc/67/70/30/808677030.db2.gz RNQPBCHSBZZHNM-NSHDSACASA-N 0 1 253.346 0.906 20 30 CCEDMN COC(=O)CS(=O)(=O)Nc1ccc(C#N)c(C)c1 ZINC001259025953 808722623 /nfs/dbraw/zinc/72/26/23/808722623.db2.gz FEXYIDMEJRYTLJ-UHFFFAOYSA-N 0 1 268.294 0.781 20 30 CCEDMN C=CCS(=O)(=O)Nc1cc2n(n1)CC1(CC1)CO2 ZINC001259923703 808805685 /nfs/dbraw/zinc/80/56/85/808805685.db2.gz XMLKPCDEWFIBDU-UHFFFAOYSA-N 0 1 269.326 0.983 20 30 CCEDMN CS(=O)(=O)CS(=O)(=O)Nc1csc(C#N)c1 ZINC001260584881 808869980 /nfs/dbraw/zinc/86/99/80/808869980.db2.gz DEYIUIWXSSLRPR-UHFFFAOYSA-N 0 1 280.352 0.364 20 30 CCEDMN O=C1C=C2CN(S(=O)(=O)c3cn[nH]c3)CCC2S1 ZINC001260955776 808888874 /nfs/dbraw/zinc/88/88/74/808888874.db2.gz PHLJDGPZSGOFKY-VIFPVBQESA-N 0 1 285.350 0.373 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnn4cccnc34)[C@@H]2C1 ZINC001076118360 815245685 /nfs/dbraw/zinc/24/56/85/815245685.db2.gz UZNUNQNALXOHQI-GXTWGEPZSA-N 0 1 295.346 0.509 20 30 CCEDMN CCc1nocc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001261236184 808935480 /nfs/dbraw/zinc/93/54/80/808935480.db2.gz YEUHZZYKKUOOEX-UHFFFAOYSA-N 0 1 265.298 0.668 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCc4nn[nH]c43)[C@@H]2C1 ZINC001076186490 815256056 /nfs/dbraw/zinc/25/60/56/815256056.db2.gz HFTUPRNHBGJHCH-OUCADQQQSA-N 0 1 299.378 0.391 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)N1CCC[C@@H](CC#N)C1 ZINC001261686763 809054750 /nfs/dbraw/zinc/05/47/50/809054750.db2.gz WBMMZVBXHMMLJZ-NSHDSACASA-N 0 1 289.339 0.487 20 30 CCEDMN C#CCCCCC(=O)NCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001076210579 815259151 /nfs/dbraw/zinc/25/91/51/815259151.db2.gz WVWGBLVCODWYQQ-NSHDSACASA-N 0 1 291.355 0.623 20 30 CCEDMN C#CCCCCC(=O)NCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001076210579 815259153 /nfs/dbraw/zinc/25/91/53/815259153.db2.gz WVWGBLVCODWYQQ-NSHDSACASA-N 0 1 291.355 0.623 20 30 CCEDMN CCn1cnnc1CNC[C@@H](O)CC(C)(C)C#N ZINC001261772533 809083496 /nfs/dbraw/zinc/08/34/96/809083496.db2.gz AIKUDZSIMIJONZ-JTQLQIEISA-N 0 1 251.334 0.688 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)N2CCN[C@@H](C(C)C)C2)C1 ZINC001261838541 809103921 /nfs/dbraw/zinc/10/39/21/809103921.db2.gz IVVRXVUEMPCZTK-UONOGXRCSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)C1 ZINC001261943801 809136592 /nfs/dbraw/zinc/13/65/92/809136592.db2.gz GOIMLKIFVVZNEI-QWHCGFSZSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc(C)nn3CC)[C@@H]2C1 ZINC001076278754 815270109 /nfs/dbraw/zinc/27/01/09/815270109.db2.gz XUFAIMUHQMBWDZ-DZGCQCFKSA-N 0 1 286.379 0.991 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NCc1ccc(C(=O)[O-])cn1 ZINC001262181411 809227443 /nfs/dbraw/zinc/22/74/43/809227443.db2.gz LLMSUVFQWCNVMY-ZDUSSCGKSA-N 0 1 287.319 0.494 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1ccc(C(=O)[O-])cn1 ZINC001262181411 809227448 /nfs/dbraw/zinc/22/74/48/809227448.db2.gz LLMSUVFQWCNVMY-ZDUSSCGKSA-N 0 1 287.319 0.494 20 30 CCEDMN C#CCNCC(=O)N[C@H](C(=O)OC)c1cc(F)ccc1F ZINC001263082080 809435415 /nfs/dbraw/zinc/43/54/15/809435415.db2.gz WNVQWASFKCPROF-ZDUSSCGKSA-N 0 1 296.273 0.518 20 30 CCEDMN CO[C@@H]1COCC[C@@H]1CC(=O)C(C#N)C(=O)NC1CC1 ZINC001263307065 809506092 /nfs/dbraw/zinc/50/60/92/809506092.db2.gz QJIQGZYYKJVZDK-IRUJWGPZSA-N 0 1 280.324 0.415 20 30 CCEDMN CC(C)C[C@H](C#N)NC(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001263725242 809558110 /nfs/dbraw/zinc/55/81/10/809558110.db2.gz YPKIHUHFZMNZKM-YNEHKIRRSA-N 0 1 264.373 0.429 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](CCC)NC(N)=O ZINC001263819565 809578824 /nfs/dbraw/zinc/57/88/24/809578824.db2.gz XCLUVFJLHHCVLD-QWHCGFSZSA-N 0 1 294.399 0.379 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)[C@@H]2CN3CCN2C[C@@H]3C)C1 ZINC001263858207 809589743 /nfs/dbraw/zinc/58/97/43/809589743.db2.gz ZLYNZXAQVPEJOY-ZNMIVQPWSA-N 0 1 275.396 0.637 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H](F)CC)C1 ZINC001264078752 809628354 /nfs/dbraw/zinc/62/83/54/809628354.db2.gz QLZLNSCYMOZYLR-STQMWFEESA-N 0 1 270.348 0.653 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H]1CCN(CC#CC)[C@@H]1C ZINC001264139391 809633705 /nfs/dbraw/zinc/63/37/05/809633705.db2.gz MEDYHULMJFWIIB-IPYPFGDCSA-N 0 1 264.369 0.916 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)COC)[C@H]1C ZINC001264134653 809633995 /nfs/dbraw/zinc/63/39/95/809633995.db2.gz UPKXUNSAKHRNTN-UPJWGTAASA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C(C)(C)COC)C1 ZINC001264631711 809666437 /nfs/dbraw/zinc/66/64/37/809666437.db2.gz AABARWJWYCQARB-CQSZACIVSA-N 0 1 296.411 0.578 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCC(=O)NC1 ZINC001264741478 809676773 /nfs/dbraw/zinc/67/67/73/809676773.db2.gz CODRZFQKNYQWMC-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CCN(C)C(=O)CN(CC)CCCNC(=O)C#CC1CC1 ZINC001265113208 809711573 /nfs/dbraw/zinc/71/15/73/809711573.db2.gz FCDJBUUVHGZIBD-UHFFFAOYSA-N 0 1 293.411 0.706 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCCCN(CC#N)C1CC1 ZINC001265165796 809719205 /nfs/dbraw/zinc/71/92/05/809719205.db2.gz HIAMXZUBBLHZIX-AWEZNQCLSA-N 0 1 278.400 0.965 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@@H](C)OCC)C1 ZINC001265270850 809737517 /nfs/dbraw/zinc/73/75/17/809737517.db2.gz NBPGDCKCUMKRLI-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)C[C@H]1CCC(=O)N1 ZINC001265654561 809821172 /nfs/dbraw/zinc/82/11/72/809821172.db2.gz XLVOTKFXZITFHB-KGLIPLIRSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OCC)[C@H]1CCOC1 ZINC001265719533 809830958 /nfs/dbraw/zinc/83/09/58/809830958.db2.gz NSTQOKBHUUFEFV-AHDPXTMNSA-N 0 1 292.379 0.108 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2CN(C/C=C\Cl)C[C@H]21 ZINC001265712880 809831520 /nfs/dbraw/zinc/83/15/20/809831520.db2.gz UBOWEWMIWYWYRS-CNFWLVCUSA-N 0 1 253.733 0.945 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)CC(N)=O)C1 ZINC001266234080 809968045 /nfs/dbraw/zinc/96/80/45/809968045.db2.gz XAQJPZOZGXUBRT-NWDGAFQWSA-N 0 1 267.373 0.655 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)c2ncccn2)C1 ZINC001279519097 809986219 /nfs/dbraw/zinc/98/62/19/809986219.db2.gz PNMBPAFEOSFEKF-OAHLLOKOSA-N 0 1 288.351 0.057 20 30 CCEDMN C[C@@H](NC(=O)C#CC1CC1)[C@@H](C)NC(=O)c1[nH]ncc1F ZINC001280293688 810010898 /nfs/dbraw/zinc/01/08/98/810010898.db2.gz MJFYAACNZKVPTK-RKDXNWHRSA-N 0 1 292.314 0.585 20 30 CCEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001280530574 810029266 /nfs/dbraw/zinc/02/92/66/810029266.db2.gz IUSYIKLSIJYMOW-JTQLQIEISA-N 0 1 279.344 0.738 20 30 CCEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001280530574 810029269 /nfs/dbraw/zinc/02/92/69/810029269.db2.gz IUSYIKLSIJYMOW-JTQLQIEISA-N 0 1 279.344 0.738 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2ccon2)C1 ZINC001076778154 815370088 /nfs/dbraw/zinc/37/00/88/815370088.db2.gz SPEZVUBZBSDFPC-VXGBXAGGSA-N 0 1 265.313 0.416 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CC2(C)C)C1 ZINC001076897161 815407112 /nfs/dbraw/zinc/40/71/12/815407112.db2.gz HVDFVLSEOSOFDH-IJLUTSLNSA-N 0 1 252.358 0.770 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCN(CC(=O)NCC=C)C1 ZINC001267291789 811107501 /nfs/dbraw/zinc/10/75/01/811107501.db2.gz MHVXIJGEBHUYNN-AWEZNQCLSA-N 0 1 291.395 0.530 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@H](C)N(C)C(=O)c2ccn[nH]2)n1 ZINC001104479197 811118554 /nfs/dbraw/zinc/11/85/54/811118554.db2.gz HXJOJGIJDBLEGR-JTQLQIEISA-N 0 1 299.338 0.952 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CC2(F)F)C1 ZINC001076967505 815424784 /nfs/dbraw/zinc/42/47/84/815424784.db2.gz QYXLRFNXNGSHBT-OPRDCNLKSA-N 0 1 260.284 0.379 20 30 CCEDMN CC#CCN(CCNC(=O)C1=CCOCC1)C1CC1 ZINC001267327076 811160137 /nfs/dbraw/zinc/16/01/37/811160137.db2.gz ZQKIAMHUYGROMD-UHFFFAOYSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCN(CCNC(=O)c1cnn2c1OCCC2)C1CC1 ZINC001267327951 811161580 /nfs/dbraw/zinc/16/15/80/811161580.db2.gz GBHNADCAZZKFHC-UHFFFAOYSA-N 0 1 288.351 0.493 20 30 CCEDMN C[C@@H](CNc1ccncc1C#N)N(C)C(=O)Cc1ccn[nH]1 ZINC001104499292 811177798 /nfs/dbraw/zinc/17/77/98/811177798.db2.gz BVZVYGUNHQFUGI-NSHDSACASA-N 0 1 298.350 0.600 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccsc2C)C1 ZINC001076974813 815427601 /nfs/dbraw/zinc/42/76/01/815427601.db2.gz IFWPPTQRUGRSIC-CHWSQXEVSA-N 0 1 278.377 0.855 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@H]1CCC(=O)N1 ZINC001267354321 811200941 /nfs/dbraw/zinc/20/09/41/811200941.db2.gz JKCKSDLCPCHCFE-SECBINFHSA-N 0 1 259.737 0.066 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2c(Cl)cnn2C)C1 ZINC001077006223 815430977 /nfs/dbraw/zinc/43/09/77/815430977.db2.gz KIAILVKLYGPDGY-GHMZBOCLSA-N 0 1 298.774 0.425 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCNCc1cnc(C)cn1 ZINC001267372093 811226961 /nfs/dbraw/zinc/22/69/61/811226961.db2.gz GBRONKMFEPFMBS-ZDUSSCGKSA-N 0 1 290.367 0.371 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cn(C)nn2)C1 ZINC001267460665 811325757 /nfs/dbraw/zinc/32/57/57/811325757.db2.gz XOINWAUCADJZCQ-GFCCVEGCSA-N 0 1 277.372 0.928 20 30 CCEDMN Cc1ncc(CNCCNC(=O)c2ccc(C#N)[nH]2)cn1 ZINC001125782756 811337445 /nfs/dbraw/zinc/33/74/45/811337445.db2.gz JBRZSMCRNUSUOJ-UHFFFAOYSA-N 0 1 284.323 0.504 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cnn(C)n2)C1 ZINC001267487771 811368889 /nfs/dbraw/zinc/36/88/89/811368889.db2.gz GLCWMVULRGCYLV-LBPRGKRZSA-N 0 1 277.372 0.928 20 30 CCEDMN C#CCN[C@H](CNC(=O)C(C)(C)C(N)=O)c1ccccc1 ZINC001267518987 811396215 /nfs/dbraw/zinc/39/62/15/811396215.db2.gz BDNSYNLOZASYLN-CYBMUJFWSA-N 0 1 287.363 0.578 20 30 CCEDMN CC#CCN[C@H](CNC(=O)c1cnn(C)n1)c1ccccc1 ZINC001267522783 811401850 /nfs/dbraw/zinc/40/18/50/811401850.db2.gz LOQADSHITIYMBE-CQSZACIVSA-N 0 1 297.362 0.899 20 30 CCEDMN CN1CC(C(=O)NCC[C@H]2CCN(CC#N)C2)=NC1=O ZINC001267565576 811451141 /nfs/dbraw/zinc/45/11/41/811451141.db2.gz PNLWEIJNAQRJMH-JTQLQIEISA-N 0 1 277.328 0.091 20 30 CCEDMN CC(C)CC(=O)N1CC[C@H](CN(C)CC(=O)NCC#N)C1 ZINC001267578046 811473228 /nfs/dbraw/zinc/47/32/28/811473228.db2.gz VTDCRTUGRKFMLS-CYBMUJFWSA-N 0 1 294.399 0.453 20 30 CCEDMN C#CCCNCc1cn([C@H]2CCN(C(=O)CCC)C2)nn1 ZINC001098599182 811500362 /nfs/dbraw/zinc/50/03/62/811500362.db2.gz VDLUCACZQPYRSQ-AWEZNQCLSA-N 0 1 289.383 0.965 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2cncs2)C1 ZINC001077179672 815455523 /nfs/dbraw/zinc/45/55/23/815455523.db2.gz PKLNIZNHGUDARB-VXGBXAGGSA-N 0 1 281.381 0.423 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2cnco2)CC1 ZINC001267608717 811557801 /nfs/dbraw/zinc/55/78/01/811557801.db2.gz AKSGYKFYVPVEGD-UHFFFAOYSA-N 0 1 278.356 0.550 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@H](F)CC)CC1 ZINC001267609031 811558454 /nfs/dbraw/zinc/55/84/54/811558454.db2.gz MGGZPWJKYSTZMZ-CYBMUJFWSA-N 0 1 271.380 0.997 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2cnccn2)C1 ZINC001267609527 811559731 /nfs/dbraw/zinc/55/97/31/811559731.db2.gz HRRCAIQPOPFLBV-CYBMUJFWSA-N 0 1 290.367 0.873 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](CN(C)CC(=O)N2CCC2)C1 ZINC001267631176 811588548 /nfs/dbraw/zinc/58/85/48/811588548.db2.gz BWLJDMIAYIZULA-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCOCCOC)C1 ZINC001267634866 811594281 /nfs/dbraw/zinc/59/42/81/811594281.db2.gz SQKQPFNRCOIHNO-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001077233173 815465202 /nfs/dbraw/zinc/46/52/02/815465202.db2.gz MUZDDGUCDSJFTK-HUUCEWRRSA-N 0 1 298.346 0.361 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H](OC)[C@@H]2CCOC2)C1 ZINC001267686254 811649594 /nfs/dbraw/zinc/64/95/94/811649594.db2.gz OCJDYERXRBKONS-ZIAGYGMSSA-N 0 1 282.384 0.662 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H](OC)[C@H]2CCOC2)C1 ZINC001267686251 811649837 /nfs/dbraw/zinc/64/98/37/811649837.db2.gz OCJDYERXRBKONS-KBPBESRZSA-N 0 1 282.384 0.662 20 30 CCEDMN C[C@@H](CCNC(=O)c1cnn[nH]1)NC(=O)C#CC(C)(C)C ZINC001077293895 815475875 /nfs/dbraw/zinc/47/58/75/815475875.db2.gz FPQHYYKMPIVDGU-JTQLQIEISA-N 0 1 291.355 0.479 20 30 CCEDMN C=CC[NH2+]CCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001167750639 811883104 /nfs/dbraw/zinc/88/31/04/811883104.db2.gz NCBJGRCZAJCKAV-UHFFFAOYSA-N 0 1 276.340 0.955 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001077372433 815487116 /nfs/dbraw/zinc/48/71/16/815487116.db2.gz HNTUQQFSNPLQPS-BFHYXJOUSA-N 0 1 294.395 0.376 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)c2cnnn2C)C1 ZINC001268020953 811968276 /nfs/dbraw/zinc/96/82/76/811968276.db2.gz NUDDYCLMOQNODW-LBPRGKRZSA-N 0 1 293.371 0.212 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001077415175 815495728 /nfs/dbraw/zinc/49/57/28/815495728.db2.gz NWEFKASVKZABPV-BYNSBNAKSA-N 0 1 276.380 0.607 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001077415175 815495732 /nfs/dbraw/zinc/49/57/32/815495732.db2.gz NWEFKASVKZABPV-BYNSBNAKSA-N 0 1 276.380 0.607 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1n[nH]cc1C ZINC001027876435 812110700 /nfs/dbraw/zinc/11/07/00/812110700.db2.gz NBTVYKVMJJSJJB-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1c(C)nnn1CC ZINC001027927715 812146673 /nfs/dbraw/zinc/14/66/73/812146673.db2.gz WRLWKLJIRWKQGH-GFCCVEGCSA-N 0 1 277.372 0.987 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001027952213 812162069 /nfs/dbraw/zinc/16/20/69/812162069.db2.gz LITLMHGBZZHZGQ-SNVBAGLBSA-N 0 1 262.313 0.251 20 30 CCEDMN N#Cc1nccnc1N[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001058846475 812180152 /nfs/dbraw/zinc/18/01/52/812180152.db2.gz WPTRQSYVXLBSAG-VIFPVBQESA-N 0 1 283.295 0.398 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ncnc2[nH]ccc21 ZINC001027999712 812206224 /nfs/dbraw/zinc/20/62/24/812206224.db2.gz NXWAFHICQDSFHV-LLVKDONJSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCN(C(C)=O)C1 ZINC001028007750 812210959 /nfs/dbraw/zinc/21/09/59/812210959.db2.gz PPUNUWJEFFQSTK-GJZGRUSLSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)o1 ZINC001028014619 812217283 /nfs/dbraw/zinc/21/72/83/812217283.db2.gz KFAAEIGSUDUYPU-JTQLQIEISA-N 0 1 277.324 0.759 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)o1 ZINC001028014619 812217288 /nfs/dbraw/zinc/21/72/88/812217288.db2.gz KFAAEIGSUDUYPU-JTQLQIEISA-N 0 1 277.324 0.759 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1[nH]c(C)nc1C ZINC001028143183 812310382 /nfs/dbraw/zinc/31/03/82/812310382.db2.gz AEHQXDXHHKYEDF-GFCCVEGCSA-N 0 1 260.341 0.854 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001028152567 812320531 /nfs/dbraw/zinc/32/05/31/812320531.db2.gz XPWFWTWSDIPMQG-QLFBSQMISA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(CCC)C1 ZINC001028173876 812330039 /nfs/dbraw/zinc/33/00/39/812330039.db2.gz TZKLKBLLAVSTKT-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCCC(=O)NCCNCC(=O)Nc1nccs1 ZINC001126331443 812345412 /nfs/dbraw/zinc/34/54/12/812345412.db2.gz ABHARKWDCRLZQO-UHFFFAOYSA-N 0 1 294.380 0.591 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@@H]1CCCCN1C)NCC#N ZINC001268211319 812366680 /nfs/dbraw/zinc/36/66/80/812366680.db2.gz KYWUAQDFJOVIGY-STQMWFEESA-N 0 1 266.389 0.725 20 30 CCEDMN C#CCCCC(=O)NCCNCc1ccnc(OC)n1 ZINC001126335310 812400456 /nfs/dbraw/zinc/40/04/56/812400456.db2.gz XLJMFMSESURCNO-UHFFFAOYSA-N 0 1 276.340 0.495 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccc(C(N)=O)o1 ZINC001268241694 812409231 /nfs/dbraw/zinc/40/92/31/812409231.db2.gz BUJYQMQANPYHLH-UHFFFAOYSA-N 0 1 263.297 0.016 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC1CN(CC2CCOCC2)C1 ZINC001268298670 812458624 /nfs/dbraw/zinc/45/86/24/812458624.db2.gz BDQZDKFTYHEYCT-LBPRGKRZSA-N 0 1 280.368 0.252 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](O)CNCC(=C)Cl ZINC001268323033 812486746 /nfs/dbraw/zinc/48/67/46/812486746.db2.gz VPISKBPQXSKCJK-TUAOUCFPSA-N 0 1 288.775 0.397 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001028248669 812492152 /nfs/dbraw/zinc/49/21/52/812492152.db2.gz AXZKVAJTYIKKAD-QWHCGFSZSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001028248669 812492153 /nfs/dbraw/zinc/49/21/53/812492153.db2.gz AXZKVAJTYIKKAD-QWHCGFSZSA-N 0 1 286.379 0.728 20 30 CCEDMN C[C@H](CCNCC#N)NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001268372564 812527476 /nfs/dbraw/zinc/52/74/76/812527476.db2.gz FWDDZIHUYICKBE-GMTAPVOTSA-N 0 1 261.329 0.521 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(C#C)nc1 ZINC001028272304 812537423 /nfs/dbraw/zinc/53/74/23/812537423.db2.gz SUIIHCUBVIMVLJ-HNNXBMFYSA-N 0 1 267.332 0.890 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001028290873 812588220 /nfs/dbraw/zinc/58/82/20/812588220.db2.gz PPOYAPZKSLROCD-LSDHHAIUSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cccnc1N(C)C ZINC001028315019 812612050 /nfs/dbraw/zinc/61/20/50/812612050.db2.gz GLQOZFMTOOAQSL-CYBMUJFWSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c(C)nn2cccnc12 ZINC001028324327 812617706 /nfs/dbraw/zinc/61/77/06/812617706.db2.gz NGHUSISUMJPWOP-CYBMUJFWSA-N 0 1 297.362 0.865 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cc(C)no1 ZINC001126360149 812630833 /nfs/dbraw/zinc/63/08/33/812630833.db2.gz JMQFRICEFWKTBS-CYBMUJFWSA-N 0 1 267.329 0.516 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccoc2C)[C@@H](O)C1 ZINC001083328850 812658144 /nfs/dbraw/zinc/65/81/44/812658144.db2.gz HTZYLTALKMDBPX-OLZOCXBDSA-N 0 1 264.325 0.939 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2CCN(CCF)C2)[nH]1 ZINC001028486255 812753997 /nfs/dbraw/zinc/75/39/97/812753997.db2.gz CWUKNRXCTQDUEF-JTQLQIEISA-N 0 1 264.304 0.908 20 30 CCEDMN C=CC(C)(C)CC(=O)NC/C=C/CNCC(=O)NC ZINC001268543445 812783915 /nfs/dbraw/zinc/78/39/15/812783915.db2.gz HWGUPBWABDWUKC-VOTSOKGWSA-N 0 1 267.373 0.597 20 30 CCEDMN N#CCNC/C=C\CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001268562075 812801255 /nfs/dbraw/zinc/80/12/55/812801255.db2.gz YLKCEBYTQHYAKQ-ARJAWSKDSA-N 0 1 296.330 0.927 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cccc(=O)[nH]3)[C@@H]2C1 ZINC001075650114 812833483 /nfs/dbraw/zinc/83/34/83/812833483.db2.gz FPQXKIXKYAMSGI-WCQYABFASA-N 0 1 271.320 0.567 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](CC)SC)[C@H](OC)C1 ZINC001212134662 815561479 /nfs/dbraw/zinc/56/14/79/815561479.db2.gz XIYGGRGTBUTWPD-JHJVBQTASA-N 0 1 284.425 0.967 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)n2cccn2)[C@@H](O)C1 ZINC001083346888 812895384 /nfs/dbraw/zinc/89/53/84/812895384.db2.gz DSBMHDCKHONUDO-UPJWGTAASA-N 0 1 278.356 0.182 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cncnc2C)[C@@H](O)C1 ZINC001083354593 812904055 /nfs/dbraw/zinc/90/40/55/812904055.db2.gz GQBAHXGFNHWDAL-OLZOCXBDSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CC[C@H]3CCOC3)[C@@H]2C1 ZINC001075669713 812916927 /nfs/dbraw/zinc/91/69/27/812916927.db2.gz FEHOSLOTHHLUDI-SOUVJXGZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1ccccn1 ZINC001127027089 815563860 /nfs/dbraw/zinc/56/38/60/815563860.db2.gz HUJLSLDJBZCLEJ-UHFFFAOYSA-N 0 1 261.325 0.327 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H](C)CNCc1cnc(C)o1 ZINC001268646261 812973988 /nfs/dbraw/zinc/97/39/88/812973988.db2.gz RSPRZGMSSATBBD-MNOVXSKESA-N 0 1 279.340 0.616 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)CNCc1cnns1 ZINC001268682097 813006037 /nfs/dbraw/zinc/00/60/37/813006037.db2.gz JMNATWQNZYGRCZ-VIFPVBQESA-N 0 1 270.358 0.335 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(C(N)=O)CC1 ZINC001268701880 813022841 /nfs/dbraw/zinc/02/28/41/813022841.db2.gz JYSGCFIKSJZAFO-QMMMGPOBSA-N 0 1 259.737 0.099 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1nocc1C ZINC001279878486 813027290 /nfs/dbraw/zinc/02/72/90/813027290.db2.gz DLWQCOUNSXAEBY-UHFFFAOYSA-N 0 1 287.747 0.760 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)C[C@H](C)OC ZINC001268725889 813042460 /nfs/dbraw/zinc/04/24/60/813042460.db2.gz VTXZWGWYFWKPLH-OLZOCXBDSA-N 0 1 270.373 0.498 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CN(C)[C@H]1CCCN(C)C1=O ZINC001268729409 813045741 /nfs/dbraw/zinc/04/57/41/813045741.db2.gz GNEXAVZGEHRSAK-KBPBESRZSA-N 0 1 293.411 0.703 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)COCc1cc(C)on1 ZINC001268735782 813053865 /nfs/dbraw/zinc/05/38/65/813053865.db2.gz ONLKLHYXNFAERP-LBPRGKRZSA-N 0 1 293.367 0.959 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001268758605 813068098 /nfs/dbraw/zinc/06/80/98/813068098.db2.gz YBRBMOGYVGGGTD-CHWSQXEVSA-N 0 1 288.395 0.974 20 30 CCEDMN C#CC(=O)N1CCc2n[nH]c(C(=O)N(CC)C(C)C)c2C1 ZINC001268855498 813116365 /nfs/dbraw/zinc/11/63/65/813116365.db2.gz RAYYGSZMFZDVAY-UHFFFAOYSA-N 0 1 288.351 0.798 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2C(=O)c2cnc[nH]2)C1=O ZINC001268868471 813124208 /nfs/dbraw/zinc/12/42/08/813124208.db2.gz UKQURHBUVKAMRE-AWEZNQCLSA-N 0 1 274.324 0.803 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001268917499 813142040 /nfs/dbraw/zinc/14/20/40/813142040.db2.gz WAKUCAXXRTVSOW-ZDUSSCGKSA-N 0 1 281.400 0.758 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2C[C@@H](O)COC ZINC001268960308 813161150 /nfs/dbraw/zinc/16/11/50/813161150.db2.gz LZPIRHNVDBHFFO-ZQDZILKHSA-N 0 1 296.411 0.881 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CCN(C(=O)C1(C#N)CC1)C2 ZINC001269020716 813180813 /nfs/dbraw/zinc/18/08/13/813180813.db2.gz OZKFOXXMEPBEPZ-UHFFFAOYSA-N 0 1 287.323 0.300 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cnoc1C ZINC001127046899 815603114 /nfs/dbraw/zinc/60/31/14/815603114.db2.gz VVBLCERFYQIDKV-NSHDSACASA-N 0 1 265.313 0.227 20 30 CCEDMN C#CCN1CC[C@H](CNC(=O)c2cc(=O)c(OC)co2)C1 ZINC001028691718 813315230 /nfs/dbraw/zinc/31/52/30/813315230.db2.gz BEUVULPAAKLOSN-LLVKDONJSA-N 0 1 290.319 0.333 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(CC)C(=O)CO[C@H]2CCOC2)C1 ZINC001269325090 813327304 /nfs/dbraw/zinc/32/73/04/813327304.db2.gz UXXVROBDGFUXRQ-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001075693401 813338050 /nfs/dbraw/zinc/33/80/50/813338050.db2.gz JDCNLMWIBGIRMQ-WDEREUQCSA-N 0 1 274.324 0.203 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001075693401 813338056 /nfs/dbraw/zinc/33/80/56/813338056.db2.gz JDCNLMWIBGIRMQ-WDEREUQCSA-N 0 1 274.324 0.203 20 30 CCEDMN CC1(C)CC(C(=O)NCC2(NCC(=O)NCC#N)CC2)C1 ZINC001269407230 813360338 /nfs/dbraw/zinc/36/03/38/813360338.db2.gz HJWUATCIEGLQCX-UHFFFAOYSA-N 0 1 292.383 0.301 20 30 CCEDMN CC[C@@H](C)NC(=O)CNC1(CNC(=O)C#CC2CC2)CC1 ZINC001269411926 813362770 /nfs/dbraw/zinc/36/27/70/813362770.db2.gz XVQWTJDXAQKDHZ-GFCCVEGCSA-N 0 1 291.395 0.553 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)CNC(=O)C2CC2)C1 ZINC001269755803 813493632 /nfs/dbraw/zinc/49/36/32/813493632.db2.gz XXNAMZITKQYBQD-UHFFFAOYSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CC[NH2+][C@H]1CC[C@H](NC(=O)c2[n-]nnc2C)CC1 ZINC001270011470 813581637 /nfs/dbraw/zinc/58/16/37/813581637.db2.gz YIVGKUKHEBQJNT-HAQNSBGRSA-N 0 1 275.356 0.767 20 30 CCEDMN C#CCN(C(=O)[C@H]1C[C@H]1OCC)C1CCN(CC#C)CC1 ZINC001270290657 813710891 /nfs/dbraw/zinc/71/08/91/813710891.db2.gz NWJZILVDUPBUQM-JKSUJKDBSA-N 0 1 288.391 0.971 20 30 CCEDMN C=C1CC(C(=O)N2CC3(C2)CCN(CC(=O)N(C)C)C3)C1 ZINC001270589988 813830283 /nfs/dbraw/zinc/83/02/83/813830283.db2.gz FNUARONTKDKSDB-UHFFFAOYSA-N 0 1 291.395 0.575 20 30 CCEDMN C=CCCN1CC[C@](C)(NC(=O)CCS(C)(=O)=O)C1 ZINC001270599155 813832064 /nfs/dbraw/zinc/83/20/64/813832064.db2.gz BPILDBROIRGWFS-ZDUSSCGKSA-N 0 1 288.413 0.578 20 30 CCEDMN C=C(Br)CNCCNC(=O)CC(C)(C)O ZINC001126477542 813859470 /nfs/dbraw/zinc/85/94/70/813859470.db2.gz QPYUBYBQFACBCZ-UHFFFAOYSA-N 0 1 279.178 0.762 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cccnc2)[C@@H](O)C1 ZINC001083408180 813924374 /nfs/dbraw/zinc/92/43/74/813924374.db2.gz YSISOJGMSVMSPP-KGLIPLIRSA-N 0 1 275.352 0.362 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C(C)C)[C@H](OC)C1 ZINC001211857604 813965501 /nfs/dbraw/zinc/96/55/01/813965501.db2.gz XGNYXQFIZGGYAZ-CHWSQXEVSA-N 0 1 270.373 0.660 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2cnn(C)c2)[C@H]1CC ZINC001087497930 813970866 /nfs/dbraw/zinc/97/08/66/813970866.db2.gz PHJMTXKPCVGATG-QWHCGFSZSA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2)[C@H]1CC ZINC001087497930 813970869 /nfs/dbraw/zinc/97/08/69/813970869.db2.gz PHJMTXKPCVGATG-QWHCGFSZSA-N 0 1 260.341 0.636 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cc3ccn(C)n3)[C@@H]2C1 ZINC001075781676 813991937 /nfs/dbraw/zinc/99/19/37/813991937.db2.gz VRAPKRCLDQOGFP-DZGCQCFKSA-N 0 1 286.379 0.519 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CO[C@H]3CCOC3)[C@@H]2C1 ZINC001075786193 814007298 /nfs/dbraw/zinc/00/72/98/814007298.db2.gz JQRTXXORTMJLCQ-SOUVJXGZSA-N 0 1 292.379 0.348 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001270974511 814105266 /nfs/dbraw/zinc/10/52/66/814105266.db2.gz QLWGIYADTBAOJV-CJNGLKHVSA-N 0 1 291.395 0.364 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)CC(C)C)C1 ZINC001271110855 814156626 /nfs/dbraw/zinc/15/66/26/814156626.db2.gz YPNIQSTTZHRSOT-CQSZACIVSA-N 0 1 252.358 0.609 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccn(C)n2)[C@H]1CC ZINC001087568341 814166842 /nfs/dbraw/zinc/16/68/42/814166842.db2.gz MEGZQFVDPIWUDR-UONOGXRCSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cn2cccnc2n1 ZINC001038452381 814301959 /nfs/dbraw/zinc/30/19/59/814301959.db2.gz VCFMSEQGRHBINU-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN Cc1cc(N[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)c(C#N)cn1 ZINC001059458855 814318728 /nfs/dbraw/zinc/31/87/28/814318728.db2.gz UEUMZHCRVCAGRA-XYPYZODXSA-N 0 1 297.322 0.175 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCc2c(n[nH]c2C(=O)N2CC=CC2)C1 ZINC001271221409 814339752 /nfs/dbraw/zinc/33/97/52/814339752.db2.gz NFABXAKUVQTLKM-JTQLQIEISA-N 0 1 299.334 0.466 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCc2c(n[nH]c2C(=O)N(C)C)C1 ZINC001271222479 814341985 /nfs/dbraw/zinc/34/19/85/814341985.db2.gz ZCYNFHOWSJPEAH-MRVPVSSYSA-N 0 1 275.312 0.156 20 30 CCEDMN CN1CCN(C(=O)CCCC#N)C[C@@]2(CCCC(=O)N2)C1 ZINC001271252553 814352947 /nfs/dbraw/zinc/35/29/47/814352947.db2.gz JNIKYZZLMBQKNE-OAHLLOKOSA-N 0 1 292.383 0.493 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3C[C@@H](C)O)cn1 ZINC001029558484 814424246 /nfs/dbraw/zinc/42/42/46/814424246.db2.gz HXAOAINURDZFPB-WQVCFCJDSA-N 0 1 299.374 0.733 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCCC[C@H]1CCNCC#N ZINC001271558176 814475981 /nfs/dbraw/zinc/47/59/81/814475981.db2.gz JXAKDLOLQQCJAT-NSHDSACASA-N 0 1 276.344 0.611 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001271981648 814661875 /nfs/dbraw/zinc/66/18/75/814661875.db2.gz GXKCGHGZENIHQO-ORIJERBGSA-N 0 1 294.395 0.398 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)Cc2[nH]cnc2C)C1 ZINC001271996732 814670628 /nfs/dbraw/zinc/67/06/28/814670628.db2.gz QCSLGABFFAMJDJ-HNNXBMFYSA-N 0 1 292.383 0.390 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC001038105230 814756292 /nfs/dbraw/zinc/75/62/92/814756292.db2.gz QPDZRIRXHRACPN-WDEREUQCSA-N 0 1 251.330 0.032 20 30 CCEDMN CCn1ncc(C(=O)NCCNCc2ccccc2C#N)n1 ZINC001126706655 814895114 /nfs/dbraw/zinc/89/51/14/814895114.db2.gz PFOKGTRLMASTIU-UHFFFAOYSA-N 0 1 298.350 0.689 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)c(C)cn2)[C@@H](O)C1 ZINC001083660847 815628649 /nfs/dbraw/zinc/62/86/49/815628649.db2.gz UNGJYRMIDGBKCD-CABCVRRESA-N 0 1 287.363 0.497 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1nnn(C)c1C ZINC001038125897 815628757 /nfs/dbraw/zinc/62/87/57/815628757.db2.gz BOQITQTVESADAH-NSHDSACASA-N 0 1 263.345 0.504 20 30 CCEDMN C[C@H]1C[C@@H](NC(=O)Cc2cnc[nH]2)CCN1CC#N ZINC000947757608 815946365 /nfs/dbraw/zinc/94/63/65/815946365.db2.gz CIUUCOIYSMQMNM-QWRGUYRKSA-N 0 1 261.329 0.445 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)[C@H]1C ZINC001088871608 816138994 /nfs/dbraw/zinc/13/89/94/816138994.db2.gz XMJXWPMYYSNTGE-POQQGIQPSA-N 0 1 262.353 0.766 20 30 CCEDMN N#Cc1ccccc1CN1CC(NC(=O)c2cnn[nH]2)C1 ZINC001030601001 816139263 /nfs/dbraw/zinc/13/92/63/816139263.db2.gz UOYZFMQFAJEQCV-UHFFFAOYSA-N 0 1 282.307 0.291 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)[C@H]1C ZINC001089061984 816229273 /nfs/dbraw/zinc/22/92/73/816229273.db2.gz SWQMQEZFHYMWLR-RDBSUJKOSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)[C@H]1C ZINC001089062324 816230234 /nfs/dbraw/zinc/23/02/34/816230234.db2.gz GGQYUCHRDYFQSL-UPJWGTAASA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC001030701573 816234434 /nfs/dbraw/zinc/23/44/34/816234434.db2.gz WCCNFRDOXLUQRR-IAQYHMDHSA-N 0 1 286.379 0.899 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)c2cnn(C)c2)[C@H]1C ZINC001089099137 816249184 /nfs/dbraw/zinc/24/91/84/816249184.db2.gz YDQWURQRLRVTPJ-BZPMIXESSA-N 0 1 274.368 0.736 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001030781481 816287506 /nfs/dbraw/zinc/28/75/06/816287506.db2.gz YBEUKROMIGECNW-CYBMUJFWSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(C)CCC2)C1 ZINC001077516955 816431783 /nfs/dbraw/zinc/43/17/83/816431783.db2.gz URBLZGGWRPGTFR-VXGBXAGGSA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCCN1CC(NC(=O)c2nnc3ccccc3c2O)C1 ZINC001031035075 816589340 /nfs/dbraw/zinc/58/93/40/816589340.db2.gz KLZSGQICCXZVMJ-UHFFFAOYSA-N 0 1 296.330 0.773 20 30 CCEDMN C#CCC[NH+]1CC(NC(=O)c2nnc3ccccc3c2[O-])C1 ZINC001031035075 816589345 /nfs/dbraw/zinc/58/93/45/816589345.db2.gz KLZSGQICCXZVMJ-UHFFFAOYSA-N 0 1 296.330 0.773 20 30 CCEDMN C=C(C)CN1C[C@@]2(CCN(Cc3c[nH]nn3)C2)OCC1=O ZINC001272570215 816590494 /nfs/dbraw/zinc/59/04/94/816590494.db2.gz RNJMDXUHQYWUNF-AWEZNQCLSA-N 0 1 291.355 0.184 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001046462045 816597647 /nfs/dbraw/zinc/59/76/47/816597647.db2.gz SUDMJUKSJDFWEI-GDBMZVCRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)CCOC)C[C@H](C)O2 ZINC001111533851 816659544 /nfs/dbraw/zinc/65/95/44/816659544.db2.gz OJGKDRKUKHKVPZ-AWEZNQCLSA-N 0 1 294.395 0.738 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CCCCN2C)C[C@H]1CNCC#N ZINC001106322130 816740850 /nfs/dbraw/zinc/74/08/50/816740850.db2.gz KOLKHNPAWHQBAY-MCIONIFRSA-N 0 1 278.400 0.678 20 30 CCEDMN C=CCN1CC(NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001031216004 816825086 /nfs/dbraw/zinc/82/50/86/816825086.db2.gz KSEXNYFRGMSPQK-JTQLQIEISA-N 0 1 260.341 0.501 20 30 CCEDMN C[C@@H]1COC[C@H]1NCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000699811206 816903729 /nfs/dbraw/zinc/90/37/29/816903729.db2.gz KLQARWWQGXDGGE-BXUZGUMPSA-N 0 1 294.376 0.956 20 30 CCEDMN C=CCOCCCNC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001123662354 817003140 /nfs/dbraw/zinc/00/31/40/817003140.db2.gz LXTNYNBAKCMODW-CQSZACIVSA-N 0 1 298.431 0.514 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)C2=COCCO2)C1 ZINC001031527863 817109800 /nfs/dbraw/zinc/10/98/00/817109800.db2.gz KZHQIGVYUXQQMG-UHFFFAOYSA-N 0 1 252.314 0.499 20 30 CCEDMN CO[C@@H]1C[N@@H+](C[C@@H](C)O)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212255185 817253485 /nfs/dbraw/zinc/25/34/85/817253485.db2.gz GRKBMFNLMDLCCS-JHJVBQTASA-N 0 1 282.384 0.232 20 30 CCEDMN CO[C@@H]1CN(C[C@@H](C)O)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212255185 817253493 /nfs/dbraw/zinc/25/34/93/817253493.db2.gz GRKBMFNLMDLCCS-JHJVBQTASA-N 0 1 282.384 0.232 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)C2(C)CC(=C)C2)[C@@H](O)C1 ZINC001083763726 817280813 /nfs/dbraw/zinc/28/08/13/817280813.db2.gz YOBPDSZHTQQXAF-OLZOCXBDSA-N 0 1 262.353 0.527 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C)CC(=C)C2)[C@@H](O)C1 ZINC001083763726 817280820 /nfs/dbraw/zinc/28/08/20/817280820.db2.gz YOBPDSZHTQQXAF-OLZOCXBDSA-N 0 1 262.353 0.527 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](C[C@H](CC)OC)C[C@@H]2O)C1 ZINC001083763750 817281144 /nfs/dbraw/zinc/28/11/44/817281144.db2.gz ZOQOAYXLKQEJKT-MJBXVCDLSA-N 0 1 296.411 0.929 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C[C@H](CC)OC)C[C@@H]2O)C1 ZINC001083763750 817281150 /nfs/dbraw/zinc/28/11/50/817281150.db2.gz ZOQOAYXLKQEJKT-MJBXVCDLSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2csc(C)n2)C1 ZINC001077562403 817292610 /nfs/dbraw/zinc/29/26/10/817292610.db2.gz ZKZOHPAOINRYDH-ZYHUDNBSSA-N 0 1 281.381 0.803 20 30 CCEDMN C[C@@H]1C[C@@H]1CN1CC2(C1)COCC(=O)N2CCCC#N ZINC001272692575 817400667 /nfs/dbraw/zinc/40/06/67/817400667.db2.gz OSIKOPWAKNQMGL-CHWSQXEVSA-N 0 1 277.368 0.859 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CCCOCC2)C1 ZINC001047363559 817428452 /nfs/dbraw/zinc/42/84/52/817428452.db2.gz KSPRLIXEWGSVND-KKUMJFAQSA-N 0 1 294.395 0.330 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CC[C@@H]2C(N)=O)C1 ZINC001031900126 817451173 /nfs/dbraw/zinc/45/11/73/817451173.db2.gz ZJYYQCQKHXCSPS-NWDGAFQWSA-N 0 1 265.357 0.122 20 30 CCEDMN Cn1nccc1C(=O)NCCNCc1ccccc1C#N ZINC001124544593 817502009 /nfs/dbraw/zinc/50/20/09/817502009.db2.gz KQICVBINZZVREI-UHFFFAOYSA-N 0 1 283.335 0.811 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001038599746 817552315 /nfs/dbraw/zinc/55/23/15/817552315.db2.gz KPPCHCHYWZURCC-WUHRBBMRSA-N 0 1 250.342 0.645 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cccc(OCC)n1 ZINC001124765110 817567088 /nfs/dbraw/zinc/56/70/88/817567088.db2.gz LKMMMNBXYNBHEE-UHFFFAOYSA-N 0 1 293.367 0.889 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnc3cccnn32)C1 ZINC001032033874 817568635 /nfs/dbraw/zinc/56/86/35/817568635.db2.gz NLFJZBGPXSOMAQ-UHFFFAOYSA-N 0 1 285.351 0.967 20 30 CCEDMN N#CCN1CC(CNC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001032111494 817640260 /nfs/dbraw/zinc/64/02/60/817640260.db2.gz HXYWJOGYXJMWSJ-LLVKDONJSA-N 0 1 273.340 0.086 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001032155339 817669687 /nfs/dbraw/zinc/66/96/87/817669687.db2.gz BPZABTAOBAYCER-CQSZACIVSA-N 0 1 279.384 0.479 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001032204297 817700506 /nfs/dbraw/zinc/70/05/06/817700506.db2.gz PITBLMWAZTVAHJ-CYBMUJFWSA-N 0 1 289.383 0.465 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(C(N)=O)cs2)C1 ZINC001032208981 817705315 /nfs/dbraw/zinc/70/53/15/817705315.db2.gz JAIATTJRJMCETM-UHFFFAOYSA-N 0 1 279.365 0.695 20 30 CCEDMN C=CCOCCCC(=O)NCCNCc1nccnc1C ZINC001125252570 817744058 /nfs/dbraw/zinc/74/40/58/817744058.db2.gz IATFKPLJZNEFCH-UHFFFAOYSA-N 0 1 292.383 0.974 20 30 CCEDMN N#Cc1ccc(NC/C=C/CNC(=O)Cc2nnc[nH]2)nc1 ZINC001107334395 817835291 /nfs/dbraw/zinc/83/52/91/817835291.db2.gz YTEOHHWQHWNTJZ-OWOJBTEDSA-N 0 1 297.322 0.398 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2cccc(C)c2)C1 ZINC001077608226 817869232 /nfs/dbraw/zinc/86/92/32/817869232.db2.gz WGPMPXMRBVVCAW-HZPDHXFCSA-N 0 1 286.375 0.722 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H](C)OC)CC2(CCOCC2)C1 ZINC001099566307 817869336 /nfs/dbraw/zinc/86/93/36/817869336.db2.gz OFDTWPYRSWXPQY-KBPBESRZSA-N 0 1 294.395 0.642 20 30 CCEDMN N#Cc1cccnc1NCC=CCNC(=O)c1cnn[nH]1 ZINC001107585193 817890398 /nfs/dbraw/zinc/89/03/98/817890398.db2.gz TXHSWKFWXQTODW-OWOJBTEDSA-N 0 1 283.295 0.469 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCOC)C[C@H]2O)C1 ZINC001077641827 817906494 /nfs/dbraw/zinc/90/64/94/817906494.db2.gz JSLFKWGMIKFLRQ-VXGBXAGGSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCCCN1CCO[C@](C)(CNC(=O)CNC(C)=O)C1 ZINC001107696507 817950607 /nfs/dbraw/zinc/95/06/07/817950607.db2.gz NFJSXKOYUVNKRH-OAHLLOKOSA-N 0 1 297.399 0.296 20 30 CCEDMN C[C@H](CNc1ccc(C#N)cn1)NC(=O)CN1CCCC1 ZINC001107769107 817996991 /nfs/dbraw/zinc/99/69/91/817996991.db2.gz YUGDKNLDDBWTQG-GFCCVEGCSA-N 0 1 287.367 0.966 20 30 CCEDMN C=C(Cl)CN1CCO[C@@](C)(CNC(=O)c2cnn[nH]2)C1 ZINC001108043228 818099268 /nfs/dbraw/zinc/09/92/68/818099268.db2.gz GJKANCPFBAWIMV-LBPRGKRZSA-N 0 1 299.762 0.378 20 30 CCEDMN C=C(C)CCC(=O)NCCNCC(=O)Nc1cc(C)no1 ZINC001128405368 818220349 /nfs/dbraw/zinc/22/03/49/818220349.db2.gz WTODLGZKTMWRQC-UHFFFAOYSA-N 0 1 294.355 0.984 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cccs2)[C@@H](O)C1 ZINC001090021066 818279678 /nfs/dbraw/zinc/27/96/78/818279678.db2.gz RMBWHAXJEQDCFT-KGLIPLIRSA-N 0 1 292.404 0.865 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2ccco2)[C@H](O)C1 ZINC001090021206 818282100 /nfs/dbraw/zinc/28/21/00/818282100.db2.gz SNDHRZHTVWAOPI-GHMZBOCLSA-N 0 1 250.298 0.631 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccco2)[C@H](O)C1 ZINC001090021206 818282107 /nfs/dbraw/zinc/28/21/07/818282107.db2.gz SNDHRZHTVWAOPI-GHMZBOCLSA-N 0 1 250.298 0.631 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2ccco2)[C@H](O)C1 ZINC001090021209 818282168 /nfs/dbraw/zinc/28/21/68/818282168.db2.gz SNDHRZHTVWAOPI-WDEREUQCSA-N 0 1 250.298 0.631 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccco2)[C@H](O)C1 ZINC001090021209 818282173 /nfs/dbraw/zinc/28/21/73/818282173.db2.gz SNDHRZHTVWAOPI-WDEREUQCSA-N 0 1 250.298 0.631 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cc2cnn(C)c2)C1 ZINC001032945525 818382009 /nfs/dbraw/zinc/38/20/09/818382009.db2.gz FGBQJIWTSWKWNT-ZDUSSCGKSA-N 0 1 262.357 0.681 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2nccnc2N)C1 ZINC001032965674 818393232 /nfs/dbraw/zinc/39/32/32/818393232.db2.gz PAQAIARDFKODLG-JTQLQIEISA-N 0 1 261.329 0.391 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cccc(=O)[nH]2)C1 ZINC001032979205 818403381 /nfs/dbraw/zinc/40/33/81/818403381.db2.gz NIWOYKHAGMMZGK-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)no2)[C@H](O)C1 ZINC001090058270 818414486 /nfs/dbraw/zinc/41/44/86/818414486.db2.gz PBQGGEOTROYXHU-WDEREUQCSA-N 0 1 265.313 0.334 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033016139 818426909 /nfs/dbraw/zinc/42/69/09/818426909.db2.gz QKPZBQDSTIXDPK-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033016139 818426916 /nfs/dbraw/zinc/42/69/16/818426916.db2.gz QKPZBQDSTIXDPK-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)C2=CCOCC2)C1 ZINC001033028519 818438524 /nfs/dbraw/zinc/43/85/24/818438524.db2.gz FVLGPQPBNSATEN-AWEZNQCLSA-N 0 1 262.353 0.889 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cncnc2)C1 ZINC001033040189 818451832 /nfs/dbraw/zinc/45/18/32/818451832.db2.gz AEEVDOQVEURWAW-CYBMUJFWSA-N 0 1 258.325 0.185 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)Cc2nnc(C)[nH]2)C1 ZINC001033067174 818488386 /nfs/dbraw/zinc/48/83/86/818488386.db2.gz DYRACOGIENJGJF-NSHDSACASA-N 0 1 263.345 0.374 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2ccn(C)n2)C1 ZINC001033075990 818495593 /nfs/dbraw/zinc/49/55/93/818495593.db2.gz RZDHHBAEUOAVAG-ZDUSSCGKSA-N 0 1 260.341 0.129 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncnc2C)[C@@H](O)C1 ZINC001090096854 818509014 /nfs/dbraw/zinc/50/90/14/818509014.db2.gz VJZWKKRQJIDXLG-OLZOCXBDSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2ccn(CC)n2)C1 ZINC001033098370 818515135 /nfs/dbraw/zinc/51/51/35/818515135.db2.gz GSOQIMAJAWHIGY-CQSZACIVSA-N 0 1 274.368 0.611 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033126218 818558800 /nfs/dbraw/zinc/55/88/00/818558800.db2.gz GPGGCOQGXHDQBM-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cnn(C)c2C)C1 ZINC001033141490 818566707 /nfs/dbraw/zinc/56/67/07/818566707.db2.gz GFRUFDBNKWIBPG-AWEZNQCLSA-N 0 1 274.368 0.437 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2cncc3ncn(C)c32)C1 ZINC001033164558 818592678 /nfs/dbraw/zinc/59/26/78/818592678.db2.gz ILVVFFUUBCCGLA-LBPRGKRZSA-N 0 1 297.362 0.748 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033193360 818637675 /nfs/dbraw/zinc/63/76/75/818637675.db2.gz SQFNZDPWEBYVMA-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033193360 818637680 /nfs/dbraw/zinc/63/76/80/818637680.db2.gz SQFNZDPWEBYVMA-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001033248552 818676467 /nfs/dbraw/zinc/67/64/67/818676467.db2.gz ROGBGMMXJFESKQ-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)C2(C#N)CC2)CC1 ZINC001143173758 818694626 /nfs/dbraw/zinc/69/46/26/818694626.db2.gz IQNCFLBVMGVDTB-SNVBAGLBSA-N 0 1 278.308 0.661 20 30 CCEDMN N#Cc1cnccc1N1CC[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001061635507 818703946 /nfs/dbraw/zinc/70/39/46/818703946.db2.gz WAVGRZRCNRERHG-SNVBAGLBSA-N 0 1 297.322 0.328 20 30 CCEDMN N#Cc1cnccc1N1CC[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001061635507 818703951 /nfs/dbraw/zinc/70/39/51/818703951.db2.gz WAVGRZRCNRERHG-SNVBAGLBSA-N 0 1 297.322 0.328 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001033425297 818773552 /nfs/dbraw/zinc/77/35/52/818773552.db2.gz IIAPOAGGJOXSAF-GOEBONIOSA-N 0 1 283.375 0.962 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001033425297 818773555 /nfs/dbraw/zinc/77/35/55/818773555.db2.gz IIAPOAGGJOXSAF-GOEBONIOSA-N 0 1 283.375 0.962 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@]2(C)CCOC2)C1 ZINC001033536888 818825745 /nfs/dbraw/zinc/82/57/45/818825745.db2.gz ONCNDEQRNDHJKQ-ZFWWWQNUSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@]2(C)CCOC2)C1 ZINC001033536884 818826316 /nfs/dbraw/zinc/82/63/16/818826316.db2.gz ONCNDEQRNDHJKQ-HIFRSBDPSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnc(OC)nc2)C1 ZINC001033556092 818830957 /nfs/dbraw/zinc/83/09/57/818830957.db2.gz XDCGFNZLWPTACN-GFCCVEGCSA-N 0 1 276.340 0.818 20 30 CCEDMN CN(C(=O)[C@@H]1CCc2[nH]cnc2C1)[C@H]1CCN(CC#N)C1 ZINC001033561797 818831881 /nfs/dbraw/zinc/83/18/81/818831881.db2.gz JVLZSOLIFSMJHE-NEPJUHHUSA-N 0 1 287.367 0.571 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(N(C)C)nn2)C1 ZINC001033590347 818841521 /nfs/dbraw/zinc/84/15/21/818841521.db2.gz RSEHXVKOTYLOHK-GFCCVEGCSA-N 0 1 289.383 0.875 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C2CCN(C(C)=O)CC2)C1 ZINC001033647657 818870127 /nfs/dbraw/zinc/87/01/27/818870127.db2.gz FMFKEEFPIGSHSW-HNNXBMFYSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCCOC2)C1 ZINC001033666272 818873699 /nfs/dbraw/zinc/87/36/99/818873699.db2.gz ATUZXIZCQLOZRD-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CN(CC)CCO2)C1 ZINC001033670395 818879522 /nfs/dbraw/zinc/87/95/22/818879522.db2.gz BCKSBTUBKQPVFP-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CC23CCOCC3)C1 ZINC001033719364 818903555 /nfs/dbraw/zinc/90/35/55/818903555.db2.gz NRBUMOFOGUDINQ-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)C2CCOCC2)C1 ZINC001033727581 818905993 /nfs/dbraw/zinc/90/59/93/818905993.db2.gz NQBAKMWGQDUMJJ-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCCOC2)C1 ZINC001033774292 818926372 /nfs/dbraw/zinc/92/63/72/818926372.db2.gz UWFVCNVWVQIVRF-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN CCN(C(=O)c1cnc(C)[nH]1)[C@@H]1CCN(CC#N)C1 ZINC001033775454 818929894 /nfs/dbraw/zinc/92/98/94/818929894.db2.gz JXGPBIASKYJWSZ-LLVKDONJSA-N 0 1 261.329 0.778 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CN(C)CCO2)C1 ZINC001033780116 818938546 /nfs/dbraw/zinc/93/85/46/818938546.db2.gz GHSSTFIVMVTXSF-ZIAGYGMSSA-N 0 1 281.400 0.426 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033791270 818940708 /nfs/dbraw/zinc/94/07/08/818940708.db2.gz ISIIRUGMEHSKBE-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033791270 818940713 /nfs/dbraw/zinc/94/07/13/818940713.db2.gz ISIIRUGMEHSKBE-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cccc(=O)[nH]2)C1 ZINC001033792349 818941171 /nfs/dbraw/zinc/94/11/71/818941171.db2.gz OKOXCBJJCPPWLT-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cccc(=O)[nH]2)C1 ZINC001033792349 818941177 /nfs/dbraw/zinc/94/11/77/818941177.db2.gz OKOXCBJJCPPWLT-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033808938 818946070 /nfs/dbraw/zinc/94/60/70/818946070.db2.gz GLXPLZPHZCJORO-HNNXBMFYSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033849156 818965606 /nfs/dbraw/zinc/96/56/06/818965606.db2.gz RTFUBHBDKLZKEA-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033849208 818965768 /nfs/dbraw/zinc/96/57/68/818965768.db2.gz UAJLLTXFYGNICI-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccnc3n[nH]nc32)C1 ZINC001033895360 818982560 /nfs/dbraw/zinc/98/25/60/818982560.db2.gz QOKWOQSZVAHJIY-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncccc2C)[C@H](O)C1 ZINC001090166512 819007165 /nfs/dbraw/zinc/00/71/65/819007165.db2.gz QOIDSSBAQZCJTL-CHWSQXEVSA-N 0 1 275.352 0.741 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc[nH]c2C)[C@H](O)C1 ZINC001090176433 819029486 /nfs/dbraw/zinc/02/94/86/819029486.db2.gz ZLPWTIGZYWQFHP-UONOGXRCSA-N 0 1 275.352 0.511 20 30 CCEDMN C=CCN1CC[C@@H](N2CCCC[C@@H](NC(C)=O)C2)C1=O ZINC001034065329 819050759 /nfs/dbraw/zinc/05/07/59/819050759.db2.gz PUGWQSOOQNJWIQ-ZIAGYGMSSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCCO2)C1 ZINC001034086682 819061982 /nfs/dbraw/zinc/06/19/82/819061982.db2.gz CZABGXAJOCBVLL-CHWSQXEVSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001034085732 819062039 /nfs/dbraw/zinc/06/20/39/819062039.db2.gz ZSXJFFLJJJSFPN-AWEZNQCLSA-N 0 1 273.336 0.538 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccnn2C)C1 ZINC001034127514 819087520 /nfs/dbraw/zinc/08/75/20/819087520.db2.gz LZIDQFAJGASVPK-LBPRGKRZSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cccn3nnnc23)C1 ZINC001034228753 819129554 /nfs/dbraw/zinc/12/95/54/819129554.db2.gz ZMOCMCCXSQLQRL-GFCCVEGCSA-N 0 1 298.350 0.342 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cccnc2)[C@H](O)C1 ZINC001090199772 819134386 /nfs/dbraw/zinc/13/43/86/819134386.db2.gz JKFTVBYTZOGCTO-HUUCEWRRSA-N 0 1 287.363 0.199 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](O)C1 ZINC001090199788 819134951 /nfs/dbraw/zinc/13/49/51/819134951.db2.gz JQSSIBSSYPOYNQ-QWRGUYRKSA-N 0 1 294.355 0.165 20 30 CCEDMN C#CCC[N@@H+]1CCCC[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001034252711 819143558 /nfs/dbraw/zinc/14/35/58/819143558.db2.gz XYMOJJCKDJUUCV-ZNMIVQPWSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001034266844 819147558 /nfs/dbraw/zinc/14/75/58/819147558.db2.gz ZRXULEJJJWDAIF-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C(C)=C\C)[C@@H](n2ccnn2)C1 ZINC001128919922 819156787 /nfs/dbraw/zinc/15/67/87/819156787.db2.gz QJUHVYSOAOMGDZ-YCYRZOEZSA-N 0 1 287.367 0.609 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnc3nccn3c2)C1 ZINC001034311483 819164726 /nfs/dbraw/zinc/16/47/26/819164726.db2.gz KMKGFVNCMSVVKI-CQSZACIVSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001034326988 819168258 /nfs/dbraw/zinc/16/82/58/819168258.db2.gz OKTCZWUQMJEXOS-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001034395266 819193146 /nfs/dbraw/zinc/19/31/46/819193146.db2.gz VCBAQUOMHKTWFX-WFASDCNBSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCC[N@H+]1CC[C@@H](NC(=O)c2ncccc2O)[C@@H](O)C1 ZINC001090213577 819194582 /nfs/dbraw/zinc/19/45/82/819194582.db2.gz MSFPOKUKDJPJAP-YPMHNXCESA-N 0 1 291.351 0.528 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090213577 819194588 /nfs/dbraw/zinc/19/45/88/819194588.db2.gz MSFPOKUKDJPJAP-YPMHNXCESA-N 0 1 291.351 0.528 20 30 CCEDMN C=CCC[N@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090213577 819194593 /nfs/dbraw/zinc/19/45/93/819194593.db2.gz MSFPOKUKDJPJAP-YPMHNXCESA-N 0 1 291.351 0.528 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001034440537 819206639 /nfs/dbraw/zinc/20/66/39/819206639.db2.gz WBQWPUWQZZMOCI-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2cncn2C)C1 ZINC001034491491 819215855 /nfs/dbraw/zinc/21/58/55/819215855.db2.gz VLPSEUYEAOZIIP-CYBMUJFWSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CN(CC)CCO2)C1 ZINC001034543373 819239209 /nfs/dbraw/zinc/23/92/09/819239209.db2.gz WYIXHHQDILEQJI-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC001045480696 819243336 /nfs/dbraw/zinc/24/33/36/819243336.db2.gz DUEHUMURSFUOOA-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)c2nccc(C)n2)[C@@H](O)C1 ZINC001090232029 819279431 /nfs/dbraw/zinc/27/94/31/819279431.db2.gz RFUUDVVMHWGWGX-STQMWFEESA-N 0 1 290.367 0.526 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nccc(C)n2)[C@@H](O)C1 ZINC001090232029 819279439 /nfs/dbraw/zinc/27/94/39/819279439.db2.gz RFUUDVVMHWGWGX-STQMWFEESA-N 0 1 290.367 0.526 20 30 CCEDMN C=CCN1CCO[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001035428578 819513333 /nfs/dbraw/zinc/51/33/33/819513333.db2.gz CLVKUIBBMMTFOB-LLVKDONJSA-N 0 1 290.367 0.515 20 30 CCEDMN C=C(C)CN1CCO[C@@H](CNC(=O)C2=NC(=O)N(C)C2)C1 ZINC001035492103 819548731 /nfs/dbraw/zinc/54/87/31/819548731.db2.gz JDCPVDJMXOBCHR-NSHDSACASA-N 0 1 294.355 0.132 20 30 CCEDMN C=C(C)CN1CCO[C@H](CNC(=O)C2=NC(=O)N(C)C2)C1 ZINC001035492102 819548758 /nfs/dbraw/zinc/54/87/58/819548758.db2.gz JDCPVDJMXOBCHR-LLVKDONJSA-N 0 1 294.355 0.132 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)CN1CCCC1=O)CC2 ZINC001035646775 819598673 /nfs/dbraw/zinc/59/86/73/819598673.db2.gz CGAWKWQVDUPCDH-UHFFFAOYSA-N 0 1 291.395 0.719 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H]1COC(=O)C1)CC2 ZINC001035685899 819603464 /nfs/dbraw/zinc/60/34/64/819603464.db2.gz KHLUAZKOQNFNSI-CYBMUJFWSA-N 0 1 290.363 0.497 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1COC(=O)N1)CC2 ZINC001035696912 819609404 /nfs/dbraw/zinc/60/94/04/819609404.db2.gz LDNGXSWQHJTDPL-NSHDSACASA-N 0 1 279.340 0.205 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnn(CC)n1)CC2 ZINC001035702643 819611117 /nfs/dbraw/zinc/61/11/17/819611117.db2.gz XURLVDWPDHSFLT-UHFFFAOYSA-N 0 1 287.367 0.469 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1nn(C)cc1C)CC2 ZINC001035708196 819612650 /nfs/dbraw/zinc/61/26/50/819612650.db2.gz SXHZIEBGUMTFEB-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)Cn1nccn1)CC2 ZINC001035716246 819612965 /nfs/dbraw/zinc/61/29/65/819612965.db2.gz CVAVDQNEUUEXNK-UHFFFAOYSA-N 0 1 287.367 0.226 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@]1(C)CNC(=O)C1)CC2 ZINC001035758728 819619562 /nfs/dbraw/zinc/61/95/62/819619562.db2.gz YCVKJFHCYKBLLI-HNNXBMFYSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnon1)CC2 ZINC001035818815 819628785 /nfs/dbraw/zinc/62/87/85/819628785.db2.gz FMKVGWMQQPCPGP-UHFFFAOYSA-N 0 1 260.297 0.241 20 30 CCEDMN C[C@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)CC[C@@H]1NCC#N ZINC001036041575 819675828 /nfs/dbraw/zinc/67/58/28/819675828.db2.gz JFWYCVNXPUJNPN-JQWIXIFHSA-N 0 1 298.350 0.922 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CC[C@H]1NCC#N ZINC001036299829 819722914 /nfs/dbraw/zinc/72/29/14/819722914.db2.gz ZJWVBFQDHLAILY-ZYHUDNBSSA-N 0 1 298.350 0.922 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)c1ccnc(CN)c1 ZINC000707310108 819737165 /nfs/dbraw/zinc/73/71/65/819737165.db2.gz SYRNTVGOVHLSGP-UHFFFAOYSA-N 0 1 277.324 0.732 20 30 CCEDMN C#CC1(O)CCN([C@@H](C)C(=O)N2CCCCC2)CC1 ZINC000708088028 819757277 /nfs/dbraw/zinc/75/72/77/819757277.db2.gz KUVYMXHUHMMOSC-ZDUSSCGKSA-N 0 1 264.369 0.848 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)[C@@]2(COC)CNCCO2)C1 ZINC000710814047 819844120 /nfs/dbraw/zinc/84/41/20/819844120.db2.gz XHDNMSDMYGNPGK-HIFRSBDPSA-N 0 1 282.384 0.806 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3ccnc(C)c3)C2)OCC1=O ZINC001273189859 820103595 /nfs/dbraw/zinc/10/35/95/820103595.db2.gz VRWBQPVSMSYWNC-QGZVFWFLSA-N 0 1 299.374 0.827 20 30 CCEDMN C=CCCCC(=O)NCC1(NC(=O)c2cnn[nH]2)CCC1 ZINC001064278327 820190833 /nfs/dbraw/zinc/19/08/33/820190833.db2.gz FJVHPBZTWWRATK-UHFFFAOYSA-N 0 1 291.355 0.930 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NC(=O)c2[nH]ncc2F)CCC1 ZINC001064304348 820196439 /nfs/dbraw/zinc/19/64/39/820196439.db2.gz ALUIIDQCZXBUAL-MRVPVSSYSA-N 0 1 293.302 0.477 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)c2oncc2C)[C@@H](O)C1 ZINC001083912504 820229073 /nfs/dbraw/zinc/22/90/73/820229073.db2.gz BDRHHBULDFBEIL-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2oncc2C)[C@@H](O)C1 ZINC001083912504 820229083 /nfs/dbraw/zinc/22/90/83/820229083.db2.gz BDRHHBULDFBEIL-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc3n(n2)CCC3)[C@@H](O)C1 ZINC001090314341 820248897 /nfs/dbraw/zinc/24/88/97/820248897.db2.gz ZRMHWLANXVRTFI-OCCSQVGLSA-N 0 1 290.367 0.180 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cncs2)[C@H](O)C1 ZINC001090342046 820283573 /nfs/dbraw/zinc/28/35/73/820283573.db2.gz NIAULMHDNLHDDX-CHWSQXEVSA-N 0 1 293.392 0.260 20 30 CCEDMN CC(=O)NCCCCCN1CCN(C(=O)[C@H](C)C#N)CC1 ZINC001079151930 820311443 /nfs/dbraw/zinc/31/14/43/820311443.db2.gz OJYGPISWOLECOK-CYBMUJFWSA-N 0 1 294.399 0.597 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001079610549 820433985 /nfs/dbraw/zinc/43/39/85/820433985.db2.gz ACWBWKCGRIFGIA-VXGBXAGGSA-N 0 1 273.336 0.861 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ncccc2O)C1 ZINC001079610549 820433999 /nfs/dbraw/zinc/43/39/99/820433999.db2.gz ACWBWKCGRIFGIA-VXGBXAGGSA-N 0 1 273.336 0.861 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cncnc2)C1 ZINC001079638422 820446166 /nfs/dbraw/zinc/44/61/66/820446166.db2.gz PIULORNROSMYFC-TZMCWYRMSA-N 0 1 272.352 0.479 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2n[nH]cc2C)C1 ZINC001079675409 820450113 /nfs/dbraw/zinc/45/01/13/820450113.db2.gz VUOQNYVRWLSDAH-VXGBXAGGSA-N 0 1 260.341 0.792 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)[C@@H]1CCCCN1C ZINC001079967335 820490878 /nfs/dbraw/zinc/49/08/78/820490878.db2.gz FOQQTGCSLLMOBN-UPJWGTAASA-N 0 1 264.373 0.431 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)[C@H]1CCCCN1C ZINC001079967334 820491899 /nfs/dbraw/zinc/49/18/99/820491899.db2.gz FOQQTGCSLLMOBN-JHJVBQTASA-N 0 1 264.373 0.431 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cn3cccnc3n2)C1 ZINC001080038907 820509951 /nfs/dbraw/zinc/50/99/51/820509951.db2.gz QUVXMGBQKZOCSF-CHWSQXEVSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3n[nH]c(=O)n3c2)C1 ZINC001080607410 820598355 /nfs/dbraw/zinc/59/83/55/820598355.db2.gz NWTAYIRJRDLNME-ZYHUDNBSSA-N 0 1 299.334 0.118 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001080611652 820598374 /nfs/dbraw/zinc/59/83/74/820598374.db2.gz TXZUFJBAEQXSMO-TZMCWYRMSA-N 0 1 297.362 0.803 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cn(C(C)C)nn2)C1 ZINC001080686838 820621231 /nfs/dbraw/zinc/62/12/31/820621231.db2.gz ZXLFQZPCPCPOKJ-CHWSQXEVSA-N 0 1 289.383 0.932 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001080745504 820630404 /nfs/dbraw/zinc/63/04/04/820630404.db2.gz HULKSWRBHVBIJR-JHJVBQTASA-N 0 1 279.384 0.477 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CC23CCOCC3)C1 ZINC001081059766 820675549 /nfs/dbraw/zinc/67/55/49/820675549.db2.gz FXKWYHMSDPCXQT-MGPQQGTHSA-N 0 1 276.380 0.873 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cn2cc(C)cn2)[C@H](OC)C1 ZINC001081407356 820758195 /nfs/dbraw/zinc/75/81/95/820758195.db2.gz CMUGVIKRIHTWHR-ZIAGYGMSSA-N 0 1 292.383 0.583 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cncnc2C)[C@H](OC)C1 ZINC001081404117 820758558 /nfs/dbraw/zinc/75/85/58/820758558.db2.gz PAUIUOJFDFBUEK-ZIAGYGMSSA-N 0 1 288.351 0.237 20 30 CCEDMN CCN1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)[C@H](OC)C1 ZINC001081563553 820790004 /nfs/dbraw/zinc/79/00/04/820790004.db2.gz UPNGAXOGZLHSLG-VXGBXAGGSA-N 0 1 262.313 0.335 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CC(OC)C2)[C@H](OC)C1 ZINC001081829230 820836925 /nfs/dbraw/zinc/83/69/25/820836925.db2.gz HDAWLEZDXQIXPC-NWINJMCUSA-N 0 1 280.368 0.250 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2CCCCN2C)[C@H](OC)C1 ZINC001081855398 820854324 /nfs/dbraw/zinc/85/43/24/820854324.db2.gz CIUTUIJFKFKEJR-QLFBSQMISA-N 0 1 293.411 0.309 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(CCO)C[C@H]2OC)CC1 ZINC001082102205 820896812 /nfs/dbraw/zinc/89/68/12/820896812.db2.gz XHEFLYIHVKKZHM-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[N@@H+]2CC[C@H](C)C2)[C@H](OC)C1 ZINC001082135054 820906202 /nfs/dbraw/zinc/90/62/02/820906202.db2.gz NBZQBILVXCAIFS-RRFJBIMHSA-N 0 1 293.411 0.167 20 30 CCEDMN C=C(Cl)CN1C[C@@H](NC(=O)Cc2cnc[nH]2)[C@H](OC)C1 ZINC001082179103 820910775 /nfs/dbraw/zinc/91/07/75/820910775.db2.gz KSPBKYMHXCNCIU-VXGBXAGGSA-N 0 1 298.774 0.520 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccc[nH]2)[C@H](OC)C1 ZINC001082379647 820950795 /nfs/dbraw/zinc/95/07/95/820950795.db2.gz GQKUGAGPSNJWNJ-ZIAGYGMSSA-N 0 1 277.368 0.949 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CCCCN2CC)[C@H](OC)C1 ZINC001082384508 820952939 /nfs/dbraw/zinc/95/29/39/820952939.db2.gz APACVYJGJFUBEZ-RBSFLKMASA-N 0 1 293.411 0.309 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)NC(C)=O ZINC001098874501 820955219 /nfs/dbraw/zinc/95/52/19/820955219.db2.gz XSGFMYGAPLUIGF-TZMCWYRMSA-N 0 1 293.411 0.751 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C[C@H]1NCC#N ZINC001082572446 820999284 /nfs/dbraw/zinc/99/92/84/820999284.db2.gz CRCXIKYNXXLVRT-PRULPYPASA-N 0 1 273.340 0.473 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3cc(C)n[nH]3)[C@H]2C1 ZINC001083014298 821106502 /nfs/dbraw/zinc/10/65/02/821106502.db2.gz AXJUGWWWFLHTHH-UONOGXRCSA-N 0 1 288.351 0.267 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3cc(C)[nH]n3)[C@H]2C1 ZINC001083014298 821106513 /nfs/dbraw/zinc/10/65/13/821106513.db2.gz AXJUGWWWFLHTHH-UONOGXRCSA-N 0 1 288.351 0.267 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)c3cc(C)[nH]n3)[C@H]2C1 ZINC001083014073 821108494 /nfs/dbraw/zinc/10/84/94/821108494.db2.gz QAIQCKIRRXNDKB-UONOGXRCSA-N 0 1 290.367 0.819 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C3CC(OC)C3)[C@H]2C1 ZINC001083114038 821134255 /nfs/dbraw/zinc/13/42/55/821134255.db2.gz KEICPZXMRALAED-PFSRBDOWSA-N 0 1 292.379 0.346 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cnn(C)c3)[C@@H]2C1 ZINC001084179618 821224838 /nfs/dbraw/zinc/22/48/38/821224838.db2.gz WVEXRFPFDKTYDS-DGCLKSJQSA-N 0 1 260.341 0.752 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@]3(CC)CCNC3=O)[C@@H]2C1 ZINC001084422203 821282170 /nfs/dbraw/zinc/28/21/70/821282170.db2.gz PWEYWNQIGVUHIB-XJKCOSOUSA-N 0 1 291.395 0.621 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCc3c[nH]cn3)[C@@H]2C1 ZINC001084395334 821285267 /nfs/dbraw/zinc/28/52/67/821285267.db2.gz PSUXOZORGKWAKO-UKRRQHHQSA-N 0 1 286.379 0.898 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@]3(C)CCNC3=O)[C@@H]2C1 ZINC001084657707 821341723 /nfs/dbraw/zinc/34/17/23/821341723.db2.gz KWLREOVFFCSNDT-JMSVASOKSA-N 0 1 277.368 0.231 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCNC(=O)CC3)[C@@H]2C1 ZINC001084547425 821311570 /nfs/dbraw/zinc/31/15/70/821311570.db2.gz AERUHTCNXYNMPV-MGPQQGTHSA-N 0 1 291.395 0.621 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3[nH]nnc3C)[C@@H]2C1 ZINC001084568729 821313373 /nfs/dbraw/zinc/31/33/73/821313373.db2.gz XVCGBDIRKXJIPQ-VXGBXAGGSA-N 0 1 273.340 0.283 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2CN(C(=O)C(C)(C)c3cnc[nH]3)[C@@H]2C1 ZINC001084776015 821386177 /nfs/dbraw/zinc/38/61/77/821386177.db2.gz DMLDMJAWBULJKH-CHWSQXEVSA-N 0 1 286.379 0.853 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001084824765 821403118 /nfs/dbraw/zinc/40/31/18/821403118.db2.gz QGZYOAHGCCFHIZ-BXUZGUMPSA-N 0 1 296.334 0.628 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCCC(=O)NC)CC1 ZINC001230404963 821423056 /nfs/dbraw/zinc/42/30/56/821423056.db2.gz SAEBMBANNKZLEO-UHFFFAOYSA-N 0 1 279.384 0.507 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H]2CCN(C(=O)[C@H](C)OC)C[C@@H]21 ZINC001084898760 821425644 /nfs/dbraw/zinc/42/56/44/821425644.db2.gz FFCDFHDSWZESIW-MJBXVCDLSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)[C@H](C)OC)C[C@@H]21 ZINC001084898760 821425648 /nfs/dbraw/zinc/42/56/48/821425648.db2.gz FFCDFHDSWZESIW-MJBXVCDLSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](C)OC)C[C@@H]21 ZINC001084899414 821426306 /nfs/dbraw/zinc/42/63/06/821426306.db2.gz BJNACEWOZSTZEJ-XQQFMLRXSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@]3(C)CNC(=O)C3)C[C@@H]21 ZINC001084995890 821453868 /nfs/dbraw/zinc/45/38/68/821453868.db2.gz HCTKHOXKXDGNNI-DVOMOZLQSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccnn2C)[C@@H](O)C1 ZINC001099861072 821454186 /nfs/dbraw/zinc/45/41/86/821454186.db2.gz MOGZJIDNLZCBDQ-KBPBESRZSA-N 0 1 292.383 0.090 20 30 CCEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1C[C@H](O)COC ZINC001231170914 821503572 /nfs/dbraw/zinc/50/35/72/821503572.db2.gz VPCFXONMESZYPY-STQMWFEESA-N 0 1 270.373 0.493 20 30 CCEDMN C#C[C@H](NC(=O)Cc1n[nH]c(C2CC2)n1)[C@H]1CCCO1 ZINC001154793048 821666617 /nfs/dbraw/zinc/66/66/17/821666617.db2.gz PXWPIWFQBGXNIM-WDEREUQCSA-N 0 1 274.324 0.522 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C1CCOCC1 ZINC001085454861 821708521 /nfs/dbraw/zinc/70/85/21/821708521.db2.gz WNRPNHAUNMDZKG-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN C[C@H](O)CN1CC[C@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553669 821784396 /nfs/dbraw/zinc/78/43/96/821784396.db2.gz SUFHDBGWYVIJCE-JQWIXIFHSA-N 0 1 276.340 0.413 20 30 CCEDMN N#Cc1nccc(N2CCN(CCCCCO)CC2)n1 ZINC000828747966 821822396 /nfs/dbraw/zinc/82/23/96/821822396.db2.gz RUXFAAAEXLQJJO-UHFFFAOYSA-N 0 1 275.356 0.633 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn2c1CCC2 ZINC001085632582 821862309 /nfs/dbraw/zinc/86/23/09/821862309.db2.gz XXVXZXWQGVCNIF-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnn2c1CCC2 ZINC001085632582 821862322 /nfs/dbraw/zinc/86/23/22/821862322.db2.gz XXVXZXWQGVCNIF-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN C=CCn1cccc1C(=O)N(C)C[C@H]1CCN1CCO ZINC001085640289 821866517 /nfs/dbraw/zinc/86/65/17/821866517.db2.gz IDHQLDKQRWSDOZ-CYBMUJFWSA-N 0 1 277.368 0.813 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCC(=O)NC1 ZINC001085687833 821900240 /nfs/dbraw/zinc/90/02/40/821900240.db2.gz WKICBQVGLOLCBT-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1coc(C(N)=O)c1 ZINC001085741616 821926465 /nfs/dbraw/zinc/92/64/65/821926465.db2.gz VCNHAADSKSJXQZ-LBPRGKRZSA-N 0 1 289.335 0.548 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCC(=O)N1C ZINC001085781037 821946601 /nfs/dbraw/zinc/94/66/01/821946601.db2.gz KCZQPPJKGJNBSX-QWHCGFSZSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCCCC(=O)N1 ZINC001085814152 821967234 /nfs/dbraw/zinc/96/72/34/821967234.db2.gz QUKXOZINZKZYDX-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cnn(C)n1 ZINC001085874134 821991377 /nfs/dbraw/zinc/99/13/77/821991377.db2.gz SLWCHAJWLUOXJH-LLVKDONJSA-N 0 1 263.345 0.538 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cccnn1 ZINC001085887534 822000636 /nfs/dbraw/zinc/00/06/36/822000636.db2.gz BZSAHIMFMHGAKX-GFCCVEGCSA-N 0 1 258.325 0.646 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ncccn1 ZINC001085886711 822001171 /nfs/dbraw/zinc/00/11/71/822001171.db2.gz HVFNLZJURPFBBM-LBPRGKRZSA-N 0 1 258.325 0.646 20 30 CCEDMN C=C(C)CN1CCO[C@](C)(CNC(=O)c2ncn[nH]2)C1 ZINC001108286878 822301883 /nfs/dbraw/zinc/30/18/83/822301883.db2.gz FRHYJWSNEWYFLB-CYBMUJFWSA-N 0 1 279.344 0.202 20 30 CCEDMN C=C(C)CN1CCO[C@](C)(CNC(=O)c2nc[nH]n2)C1 ZINC001108286878 822301891 /nfs/dbraw/zinc/30/18/91/822301891.db2.gz FRHYJWSNEWYFLB-CYBMUJFWSA-N 0 1 279.344 0.202 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)OCC1CC1 ZINC001113992253 837398886 /nfs/dbraw/zinc/39/88/86/837398886.db2.gz DDAWQFJQOSOVNP-ZZVYKPCYSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)OC ZINC001114021551 837405886 /nfs/dbraw/zinc/40/58/86/837405886.db2.gz OEPXNQQLQOOKTP-NMKXLXIOSA-N 0 1 250.342 0.481 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCCOC ZINC001114014033 837408455 /nfs/dbraw/zinc/40/84/55/837408455.db2.gz HEPMJTSCBDJHBU-FOLVSLTJSA-N 0 1 294.395 0.499 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(CCNC(=O)C3CC3)C[C@H]21 ZINC001114025718 837409016 /nfs/dbraw/zinc/40/90/16/837409016.db2.gz OWOILENUBQJDNF-NHAGDIPZSA-N 0 1 291.395 0.525 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1[C@H]2CN(Cc3cccnc3)C[C@H]21 ZINC001114051223 837417044 /nfs/dbraw/zinc/41/70/44/837417044.db2.gz QXBBZGMELLFCPV-FOLVSLTJSA-N 0 1 287.363 0.831 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@](C)(O)C1CC1 ZINC001114155047 837457866 /nfs/dbraw/zinc/45/78/66/837457866.db2.gz FPNNYEWXVFZBSS-XNISGKROSA-N 0 1 276.380 0.607 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1=CCOCC1 ZINC001114163153 837460919 /nfs/dbraw/zinc/46/09/19/837460919.db2.gz BNWGEGCEFIPQKO-FICVDOATSA-N 0 1 290.363 0.029 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1[C@H]2CN(Cc3n[nH]c(C)n3)C[C@H]21 ZINC001114198952 837473279 /nfs/dbraw/zinc/47/32/79/837473279.db2.gz LKTGEYKWZLVKFI-JYAVWHMHSA-N 0 1 287.367 0.463 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C2CCC2)C[C@@H]1n1ccnn1 ZINC001129511218 837521847 /nfs/dbraw/zinc/52/18/47/837521847.db2.gz KLKINPDTADUFIM-KGLIPLIRSA-N 0 1 287.367 0.443 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C2CC=CC2)C[C@@H]1n1ccnn1 ZINC001129698915 837545249 /nfs/dbraw/zinc/54/52/49/837545249.db2.gz AZZNEGYWLSKDAD-CABCVRRESA-N 0 1 299.378 0.609 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(C)CCC2)[C@H](O)C1 ZINC001090494379 837921915 /nfs/dbraw/zinc/92/19/15/837921915.db2.gz YGCRINDIOUPUPR-NWDGAFQWSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)OC)C2 ZINC001110342022 844119063 /nfs/dbraw/zinc/11/90/63/844119063.db2.gz NOSAVJSDCJZBHL-DGAVXFQQSA-N 0 1 282.384 0.945 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN([C@@H]2CCN(C(C)=O)C2)C1 ZINC001169565278 836355908 /nfs/dbraw/zinc/35/59/08/836355908.db2.gz OMTBRTWCBFPZFB-ZIAGYGMSSA-N 0 1 295.383 0.984 20 30 CCEDMN COC(=O)n1ncc(C#N)c1Nc1ccc(C#N)nn1 ZINC001169961463 836489198 /nfs/dbraw/zinc/48/91/98/836489198.db2.gz OCKFOCGMDVTCEN-UHFFFAOYSA-N 0 1 269.224 0.775 20 30 CCEDMN COCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C#N)C2 ZINC001109080456 836612307 /nfs/dbraw/zinc/61/23/07/836612307.db2.gz VNGYKRXCBYJDLT-USZNOCQGSA-N 0 1 251.330 0.514 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCNc1ncnc2[nH]cnc21 ZINC001109393434 836669683 /nfs/dbraw/zinc/66/96/83/836669683.db2.gz FUQDUPWDDUMECP-VIFPVBQESA-N 0 1 287.327 0.725 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C(N)=O)C2 ZINC001109425181 836676961 /nfs/dbraw/zinc/67/69/61/836676961.db2.gz KFJDDZNLRRJSSE-VWYCJHECSA-N 0 1 265.357 0.405 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cnn(C)n1)C2 ZINC001109725107 836719663 /nfs/dbraw/zinc/71/96/63/836719663.db2.gz ZAWSGEKARJSJKZ-RDBSUJKOSA-N 0 1 287.367 0.102 20 30 CCEDMN N#Cc1cnccc1NC[C@H](NC(=O)c1cnn[nH]1)C1CC1 ZINC001110012457 836761952 /nfs/dbraw/zinc/76/19/52/836761952.db2.gz IAHLMAGBVCPKND-LBPRGKRZSA-N 0 1 297.322 0.114 20 30 CCEDMN N#Cc1ccc(NC[C@H](NC(=O)c2cnn[nH]2)C2CC2)cn1 ZINC001110013004 836763155 /nfs/dbraw/zinc/76/31/55/836763155.db2.gz UBLGGMQDHZWFBW-LBPRGKRZSA-N 0 1 297.322 0.692 20 30 CCEDMN C=C(CC(=O)OCCCC)C(=O)NCc1nn[nH]n1 ZINC001184312707 844200299 /nfs/dbraw/zinc/20/02/99/844200299.db2.gz XJNOTMADNZWRCU-UHFFFAOYSA-N 0 1 267.289 0.106 20 30 CCEDMN N#CCSCC(=O)N1CCN(CC[C@@H]2CCOC2)CC1 ZINC001112630252 836832043 /nfs/dbraw/zinc/83/20/43/836832043.db2.gz RRXIPFZHINAXNG-CYBMUJFWSA-N 0 1 297.424 0.814 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC[C@@H]2CCOC2)CC1 ZINC001112846432 836938273 /nfs/dbraw/zinc/93/82/73/836938273.db2.gz OUBFYUKJXGPWCN-HUUCEWRRSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC2CCC2)CC1 ZINC001112843813 836939416 /nfs/dbraw/zinc/93/94/16/836939416.db2.gz HYLYKRCXDOZUBF-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC[C@H]2CCCO2)CC1 ZINC001112844060 836939988 /nfs/dbraw/zinc/93/99/88/836939988.db2.gz UNALFDYJTIPQTR-OAHLLOKOSA-N 0 1 294.395 0.740 20 30 CCEDMN C=CCCCN1CCN(C(=O)CO[C@H]2CCOC2)CC1 ZINC001112848494 836943035 /nfs/dbraw/zinc/94/30/35/836943035.db2.gz OOIPNJYUSODCNK-AWEZNQCLSA-N 0 1 282.384 0.902 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC001112909432 836961675 /nfs/dbraw/zinc/96/16/75/836961675.db2.gz QVRMKCWRMDAFFJ-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN COCC#CCN1CCN(C(=O)[C@@H]2CCCCN2C)CC1 ZINC001113019800 837000538 /nfs/dbraw/zinc/00/05/38/837000538.db2.gz YMRACSKYAPFPPS-HNNXBMFYSA-N 0 1 293.411 0.265 20 30 CCEDMN C[C@H](NC(=O)Cc1nnc[nH]1)[C@H](C)Nc1ccncc1C#N ZINC001113150825 837038262 /nfs/dbraw/zinc/03/82/62/837038262.db2.gz RCMIPRJTSBFCIH-UWVGGRQHSA-N 0 1 299.338 0.041 20 30 CCEDMN C=CCCCCCN1CCN(C(=O)[C@H]2CNC(=O)N2)CC1 ZINC001113275681 837075632 /nfs/dbraw/zinc/07/56/32/837075632.db2.gz VREDGQPRWJLNGR-CYBMUJFWSA-N 0 1 294.399 0.558 20 30 CCEDMN C=CCCN1CCN(C(=O)COCc2nncn2C)CC1 ZINC001113482821 837131901 /nfs/dbraw/zinc/13/19/01/837131901.db2.gz CWXBEASDQGOJNT-UHFFFAOYSA-N 0 1 293.371 0.052 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CSC(C)C ZINC001113893027 837360080 /nfs/dbraw/zinc/36/00/80/837360080.db2.gz HRCZIJKWRKXOMK-PJXYFTJBSA-N 0 1 252.383 0.808 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncs1 ZINC001113946087 837378559 /nfs/dbraw/zinc/37/85/59/837378559.db2.gz ZOCLNXNZWGZOBQ-PJXYFTJBSA-N 0 1 291.376 0.453 20 30 CCEDMN CCCC(=O)NCc1n[nH]c([C@H]2COCCN2CC#N)n1 ZINC001130823192 837933170 /nfs/dbraw/zinc/93/31/70/837933170.db2.gz OLSXRLMXTHYMSA-SNVBAGLBSA-N 0 1 292.343 0.118 20 30 CCEDMN CCCC(=O)NCc1nnc([C@H]2COCCN2CC#N)[nH]1 ZINC001130823192 837933176 /nfs/dbraw/zinc/93/31/76/837933176.db2.gz OLSXRLMXTHYMSA-SNVBAGLBSA-N 0 1 292.343 0.118 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)CC(=O)NC)C[C@H](C)O2 ZINC001131649007 838165899 /nfs/dbraw/zinc/16/58/99/838165899.db2.gz CYFOPSQDZREHAN-WFASDCNBSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCCC(=O)NC)CC[C@H]1C ZINC001131977428 838280985 /nfs/dbraw/zinc/28/09/85/838280985.db2.gz XEVOFLQTTWQYJX-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CC(=O)NCC)CC[C@H]1C ZINC001131984859 838289057 /nfs/dbraw/zinc/28/90/57/838289057.db2.gz QDDFYIXKBGWXRE-CHWSQXEVSA-N 0 1 279.384 0.505 20 30 CCEDMN C[C@@H]1CC[C@@H](NC(=O)CN(C)C2CCC2)CN1CC#N ZINC001132105803 838319997 /nfs/dbraw/zinc/31/99/97/838319997.db2.gz NBJXYZHGMJUKLB-CHWSQXEVSA-N 0 1 278.400 0.963 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2nccn2C)CC[C@@H]1C ZINC001132195377 838330896 /nfs/dbraw/zinc/33/08/96/838330896.db2.gz NYBRTYBQHHLKPH-UONOGXRCSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COc2cnn(C)c2)CC[C@H]1C ZINC001132246140 838344122 /nfs/dbraw/zinc/34/41/22/838344122.db2.gz QHRKJYCZCFCIFA-OLZOCXBDSA-N 0 1 290.367 0.401 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@@H]1CCC(=O)N1C ZINC001132257788 838345073 /nfs/dbraw/zinc/34/50/73/838345073.db2.gz PGQVPAHTWUWRPT-JTQLQIEISA-N 0 1 273.764 0.456 20 30 CCEDMN C=CC[N@@H+]1C[C@H](NC(=O)COc2cnn(C)c2)CC[C@H]1C ZINC001132244479 838345963 /nfs/dbraw/zinc/34/59/63/838345963.db2.gz ISASJHWMXSLUPX-CHWSQXEVSA-N 0 1 292.383 0.954 20 30 CCEDMN C=CCN1C[C@H](NC(=O)COc2cnn(C)c2)CC[C@H]1C ZINC001132244479 838345970 /nfs/dbraw/zinc/34/59/70/838345970.db2.gz ISASJHWMXSLUPX-CHWSQXEVSA-N 0 1 292.383 0.954 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2csc(=O)[nH]2)[C@H](O)C1 ZINC001090504574 838389077 /nfs/dbraw/zinc/38/90/77/838389077.db2.gz QMCAXNQUERUWAF-PSASIEDQSA-N 0 1 283.353 0.200 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCCC(N)=O)CC[C@@H]1C ZINC001132420201 838389119 /nfs/dbraw/zinc/38/91/19/838389119.db2.gz OXCOFFMECATKAF-STQMWFEESA-N 0 1 279.384 0.634 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001132573800 838439699 /nfs/dbraw/zinc/43/96/99/838439699.db2.gz QZRCWTXKNRDRBX-NXEZZACHSA-N 0 1 265.361 0.777 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCC(=O)Nc1ccon1 ZINC001132576869 838440439 /nfs/dbraw/zinc/44/04/39/838440439.db2.gz OQKSETAHSLJGNN-WDEREUQCSA-N 0 1 294.355 0.777 20 30 CCEDMN C[C@@H]1CCN(C(=O)CCc2cnc[nH]2)C[C@@H]1CNCC#N ZINC001132874591 838511930 /nfs/dbraw/zinc/51/19/30/838511930.db2.gz JZJXKQFIGYFKQT-OLZOCXBDSA-N 0 1 289.383 0.940 20 30 CCEDMN C[C@H]1CCN(C(=O)CCc2c[nH]nn2)C[C@H]1CNCC#N ZINC001133129946 838560876 /nfs/dbraw/zinc/56/08/76/838560876.db2.gz IEUNEXLNQLMYIW-NWDGAFQWSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@H]1CCN(C(=O)CCc2cnn[nH]2)C[C@H]1CNCC#N ZINC001133129946 838560882 /nfs/dbraw/zinc/56/08/82/838560882.db2.gz IEUNEXLNQLMYIW-NWDGAFQWSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2c[nH]nn2)CC[C@H]1CNCC#N ZINC001185167645 844352563 /nfs/dbraw/zinc/35/25/63/844352563.db2.gz DABWOSSNMDKIMI-NEPJUHHUSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnn[nH]2)CC[C@H]1CNCC#N ZINC001185167645 844352573 /nfs/dbraw/zinc/35/25/73/844352573.db2.gz DABWOSSNMDKIMI-NEPJUHHUSA-N 0 1 290.371 0.335 20 30 CCEDMN C#Cc1cncc(C(=O)NCCN[C@H](C)c2n[nH]c(C)n2)c1 ZINC001133592911 838677341 /nfs/dbraw/zinc/67/73/41/838677341.db2.gz FTHYPKJKEUBHBY-SNVBAGLBSA-N 0 1 298.350 0.570 20 30 CCEDMN CSc1ncc(O)c(C(=O)N2CCO[C@@H](C#N)C2)n1 ZINC001185176788 844355344 /nfs/dbraw/zinc/35/53/44/844355344.db2.gz NXGMTVZDHNHQKB-ZETCQYMHSA-N 0 1 280.309 0.269 20 30 CCEDMN N#Cc1c(Cl)ncnc1NC[C@H]1COCCN1 ZINC001159043600 838835494 /nfs/dbraw/zinc/83/54/94/838835494.db2.gz VPPFKFMHTJWYOJ-ZETCQYMHSA-N 0 1 253.693 0.402 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)N[C@H](C#N)c2ccccc2)C1 ZINC001185246087 844375453 /nfs/dbraw/zinc/37/54/53/844375453.db2.gz ZKNIXNDCCSJHKQ-KGLIPLIRSA-N 0 1 272.352 0.613 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)Nc2n[nH]c3cccc(C#N)c32)C1 ZINC001185245074 844376259 /nfs/dbraw/zinc/37/62/59/844376259.db2.gz NIOOGCQMEKUKAH-LBPRGKRZSA-N 0 1 298.350 0.619 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)C#CC1CC1)NCc1cnon1 ZINC001134322674 838946982 /nfs/dbraw/zinc/94/69/82/838946982.db2.gz VFRMELMBHNUAIQ-QWRGUYRKSA-N 0 1 276.340 0.856 20 30 CCEDMN Cc1nnc(CN[C@@H](C)C[C@@H](C)NC(=O)C#CC2CC2)[nH]1 ZINC001134322595 838947226 /nfs/dbraw/zinc/94/72/26/838947226.db2.gz UAKUGBYDVSLCLL-WDEREUQCSA-N 0 1 289.383 0.899 20 30 CCEDMN C#CC[NH2+]CCNC(=O)c1n[n-]c2ccccc2c1=O ZINC001134591838 839017637 /nfs/dbraw/zinc/01/76/37/839017637.db2.gz XHJQZBSVJKVLCZ-UHFFFAOYSA-N 0 1 270.292 0.288 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@H]1CCC(=O)N1 ZINC001135222855 839182355 /nfs/dbraw/zinc/18/23/55/839182355.db2.gz MNXJXKQGGMJFTC-SECBINFHSA-N 0 1 259.737 0.113 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C(C)(C)NC(C)=O ZINC001135380976 839236083 /nfs/dbraw/zinc/23/60/83/839236083.db2.gz HVINFCONIHMTFE-UHFFFAOYSA-N 0 1 261.753 0.359 20 30 CCEDMN CC[C@](N)(CO)Nc1ccc(CN(C)/C(C)=N/C#N)cn1 ZINC001170860918 839450376 /nfs/dbraw/zinc/45/03/76/839450376.db2.gz XNACGJPDBHLLRX-XWAFYUISSA-N 0 1 290.371 0.882 20 30 CCEDMN C=CCOc1ccc(N[C@@](N)(CC)CO)nc1C#N ZINC001170860112 839453193 /nfs/dbraw/zinc/45/31/93/839453193.db2.gz VELHIDMJJDKYTB-ZDUSSCGKSA-N 0 1 262.313 0.987 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)C(=O)NCC1CC1 ZINC001135906268 839459967 /nfs/dbraw/zinc/45/99/67/839459967.db2.gz YEMIFCQUMRRACY-UHFFFAOYSA-N 0 1 299.374 0.270 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]c(=O)cc2C)[C@@H](O)C1 ZINC001090567636 839647850 /nfs/dbraw/zinc/64/78/50/839647850.db2.gz WUSSKXNYAFVJEH-OLZOCXBDSA-N 0 1 291.351 0.447 20 30 CCEDMN C=C[C@@H](O)C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC001136569153 839660104 /nfs/dbraw/zinc/66/01/04/839660104.db2.gz GMNVHCVBFGRIOC-SNVBAGLBSA-N 0 1 258.281 0.635 20 30 CCEDMN C=C[C@@H](O)C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC001136569153 839660107 /nfs/dbraw/zinc/66/01/07/839660107.db2.gz GMNVHCVBFGRIOC-SNVBAGLBSA-N 0 1 258.281 0.635 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)nc(C)n2)[C@@H](O)C1 ZINC001090646246 839697911 /nfs/dbraw/zinc/69/79/11/839697911.db2.gz YCAIZIUKKMPFCG-JSGCOSHPSA-N 0 1 290.367 0.444 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)nc(C)n2)[C@@H](O)C1 ZINC001090646247 839698227 /nfs/dbraw/zinc/69/82/27/839698227.db2.gz YCAIZIUKKMPFCG-OCCSQVGLSA-N 0 1 290.367 0.444 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CCC)on2)[C@@H](O)C1 ZINC001090692885 839740038 /nfs/dbraw/zinc/74/00/38/839740038.db2.gz PABMFYPVAQDNNR-OCCSQVGLSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cn(C)nc2Cl)[C@@H](O)C1 ZINC001090718879 839755052 /nfs/dbraw/zinc/75/50/52/839755052.db2.gz JLMOHLUCFAHIQT-QWRGUYRKSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C)nc2Cl)[C@@H](O)C1 ZINC001090718879 839755056 /nfs/dbraw/zinc/75/50/56/839755056.db2.gz JLMOHLUCFAHIQT-QWRGUYRKSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@H](O)C1 ZINC001090764430 839784613 /nfs/dbraw/zinc/78/46/13/839784613.db2.gz RGRDYFNFVVEPJC-NWDGAFQWSA-N 0 1 289.339 0.013 20 30 CCEDMN C=C(C)C[NH2+]CCCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001171072167 839823710 /nfs/dbraw/zinc/82/37/10/839823710.db2.gz RXXXFNUUKKPHNY-UHFFFAOYSA-N 0 1 296.327 0.182 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCCC[C@H]1C(=O)OCC ZINC001160582764 840026631 /nfs/dbraw/zinc/02/66/31/840026631.db2.gz QXDOYYIYKYQHFR-GWCFXTLKSA-N 0 1 252.314 0.281 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)c3cccnc3)C2)C1 ZINC001146881657 840403786 /nfs/dbraw/zinc/40/37/86/840403786.db2.gz RVHSFWGZNNFEIE-UHFFFAOYSA-N 0 1 299.374 0.879 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@@H](C)SC)C2)C1 ZINC001147035681 840450437 /nfs/dbraw/zinc/45/04/37/840450437.db2.gz ZCLMOODKQWPFDS-CYBMUJFWSA-N 0 1 296.436 0.922 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1C[C@]12CCOC2 ZINC001147003176 840456064 /nfs/dbraw/zinc/45/60/64/840456064.db2.gz DKCMEJMJKYYAGZ-JQWIXIFHSA-N 0 1 258.749 0.871 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@H](C)C(=O)N(C)C)C2 ZINC001147186700 840526058 /nfs/dbraw/zinc/52/60/58/840526058.db2.gz AVOBRIWLUZKOJI-CYBMUJFWSA-N 0 1 293.411 0.964 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1CC2(C1)CCN(CC#N)C2 ZINC001147657930 840650643 /nfs/dbraw/zinc/65/06/43/840650643.db2.gz IELRMXWOOJMWAB-ZDUSSCGKSA-N 0 1 296.390 0.724 20 30 CCEDMN CC(=O)/C=C(/C)NC(=O)c1c(CO)cnc(C)c1O ZINC001147842990 840696847 /nfs/dbraw/zinc/69/68/47/840696847.db2.gz NQFQVWGZNLLFEC-DAXSKMNVSA-N 0 1 264.281 0.811 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2conc2C)cn1 ZINC001148383207 840798727 /nfs/dbraw/zinc/79/87/27/840798727.db2.gz YCUZXDTWFVUMJF-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN CC(C)Oc1ccc(C(=O)NCc2nn[nH]n2)cc1C#N ZINC001148777539 840885601 /nfs/dbraw/zinc/88/56/01/840885601.db2.gz KSFGLDZNCGFUTR-UHFFFAOYSA-N 0 1 286.295 0.789 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC(=O)NCC)C2 ZINC001110384273 844594784 /nfs/dbraw/zinc/59/47/84/844594784.db2.gz VXWIBFKPMFRPEC-UPJWGTAASA-N 0 1 279.384 0.810 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)c1nc[nH]n1)c1nccn12 ZINC001092403080 841012841 /nfs/dbraw/zinc/01/28/41/841012841.db2.gz AZTSUFBDILFJDZ-SNVBAGLBSA-N 0 1 299.338 0.073 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)c1ncn[nH]1)c1nccn12 ZINC001092403080 841012847 /nfs/dbraw/zinc/01/28/47/841012847.db2.gz AZTSUFBDILFJDZ-SNVBAGLBSA-N 0 1 299.338 0.073 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CCCc2ccc(CN)nc21 ZINC001186984479 844622958 /nfs/dbraw/zinc/62/29/58/844622958.db2.gz FFWMKZLQFCXCAC-ZYHUDNBSSA-N 0 1 256.309 0.979 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)[C@@H]1C[C@@H]1C#N ZINC001186986565 844623120 /nfs/dbraw/zinc/62/31/20/844623120.db2.gz DBPLWBSUWFCYNR-HTQZYQBOSA-N 0 1 262.269 0.362 20 30 CCEDMN N#Cc1cccnc1NCCCNC(=O)CN1CCCC1 ZINC001094434713 841635470 /nfs/dbraw/zinc/63/54/70/841635470.db2.gz PGYSZAABDJRXSX-UHFFFAOYSA-N 0 1 287.367 0.967 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)CN2CCCC2)nc1 ZINC001094434836 841635554 /nfs/dbraw/zinc/63/55/54/841635554.db2.gz UKAVQISXKGIQEJ-UHFFFAOYSA-N 0 1 287.367 0.967 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)Cc2nnc[nH]2)nc1 ZINC001094572366 841670189 /nfs/dbraw/zinc/67/01/89/841670189.db2.gz PDCLZGPJHMNHRV-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN N#Cc1nccnc1NCCCNC(=O)c1[nH]ncc1F ZINC001094764925 841712384 /nfs/dbraw/zinc/71/23/84/841712384.db2.gz IOBITBRUCJDYRA-UHFFFAOYSA-N 0 1 289.274 0.442 20 30 CCEDMN COC(=O)[C@@H]1CN([C@H](C)CCCC#N)CCN1C ZINC001172498717 841934177 /nfs/dbraw/zinc/93/41/77/841934177.db2.gz QJYSDIBJMIZDPZ-NEPJUHHUSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@@H](CCCC#N)N1CCN(CC(=O)N(C)C)CC1 ZINC001172504595 841936424 /nfs/dbraw/zinc/93/64/24/841936424.db2.gz HQQGLAGVZDVNKZ-ZDUSSCGKSA-N 0 1 266.389 0.775 20 30 CCEDMN N#CCN[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCc1cnc[nH]1)C2 ZINC001094935425 841953979 /nfs/dbraw/zinc/95/39/79/841953979.db2.gz VCGMDASTSFRLCX-UBHSHLNASA-N 0 1 287.367 0.835 20 30 CCEDMN COc1ncccc1CC(=O)Nc1nc[nH]c1C#N ZINC001176213572 842338583 /nfs/dbraw/zinc/33/85/83/842338583.db2.gz CNBBQNPULPCBBT-UHFFFAOYSA-N 0 1 257.253 0.866 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)CCCNC(=O)c1cccnc1 ZINC001176882854 842440452 /nfs/dbraw/zinc/44/04/52/842440452.db2.gz MZDWJOXFPHPMKN-UHFFFAOYSA-N 0 1 298.306 0.825 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC[C@@H](C(N)=O)C1 ZINC001177909400 842705589 /nfs/dbraw/zinc/70/55/89/842705589.db2.gz LKDZYFOHVRNEHU-NXEZZACHSA-N 0 1 277.349 0.764 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CCS(=O)(=O)CC1 ZINC001177909566 842706359 /nfs/dbraw/zinc/70/63/59/842706359.db2.gz WRNVOIVAXOFPTO-SNVBAGLBSA-N 0 1 298.389 0.687 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CCCNC(=O)C1 ZINC001177909358 842706364 /nfs/dbraw/zinc/70/63/64/842706364.db2.gz TZGSPASBAKILJL-JTQLQIEISA-N 0 1 277.349 0.779 20 30 CCEDMN CCC(CO)(CO)NC(=O)C(C#N)Cc1cccs1 ZINC001177915558 842708211 /nfs/dbraw/zinc/70/82/11/842708211.db2.gz ORHSOGGWYONOEZ-JTQLQIEISA-N 0 1 282.365 0.680 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)NCc1nc(CSC)n[nH]1 ZINC001178069787 842743094 /nfs/dbraw/zinc/74/30/94/842743094.db2.gz METDMKZLHAOEOW-UHFFFAOYSA-N 0 1 298.368 0.793 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)NCc1nnc(CSC)[nH]1 ZINC001178069787 842743106 /nfs/dbraw/zinc/74/31/06/842743106.db2.gz METDMKZLHAOEOW-UHFFFAOYSA-N 0 1 298.368 0.793 20 30 CCEDMN O=C(C#Cc1ccc(F)cc1F)NCc1nn[nH]n1 ZINC001178574398 842883332 /nfs/dbraw/zinc/88/33/32/842883332.db2.gz YLKWOUBKUAZPMJ-UHFFFAOYSA-N 0 1 263.207 0.146 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)C(F)F)CC1 ZINC001150869773 843011629 /nfs/dbraw/zinc/01/16/29/843011629.db2.gz TXNANXQLZUKGSD-UHFFFAOYSA-N 0 1 274.311 0.872 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@H](C)C1=NN(C)CC1=O ZINC001179926901 843081511 /nfs/dbraw/zinc/08/15/11/843081511.db2.gz PKTNQNJZXAXTRO-MWLCHTKSSA-N 0 1 294.355 0.774 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCNC(N)=O)[C@H]1C ZINC001180096179 843101199 /nfs/dbraw/zinc/10/11/99/843101199.db2.gz KDCDQNYZXPFFQD-UWVGGRQHSA-N 0 1 288.779 0.376 20 30 CCEDMN Cc1oncc1CN[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001181107148 843402285 /nfs/dbraw/zinc/40/22/85/843402285.db2.gz RVFPOGSUCUTTHY-GFCCVEGCSA-N 0 1 294.380 0.930 20 30 CCEDMN CCCCC[N@@H+](C)CCC(=O)N([O-])C(=N)c1nonc1N ZINC001181858772 843629476 /nfs/dbraw/zinc/62/94/76/843629476.db2.gz GQBNWHWWKPZWHD-UHFFFAOYSA-N 0 1 298.347 0.707 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@H](NCc2ccccn2)C1 ZINC001182488911 843850089 /nfs/dbraw/zinc/85/00/89/843850089.db2.gz FSPPBXDWTMPRRV-OAHLLOKOSA-N 0 1 287.363 0.812 20 30 CCEDMN N#Cc1ccc(CNC(=O)C(O)C(F)(F)F)cn1 ZINC001183201248 843983075 /nfs/dbraw/zinc/98/30/75/843983075.db2.gz JXRINTTXDNIPDY-QMMMGPOBSA-N 0 1 259.187 0.493 20 30 CCEDMN N#Cc1cnc(CNC(=O)C(O)C(F)(F)F)s1 ZINC001183201737 843984389 /nfs/dbraw/zinc/98/43/89/843984389.db2.gz OTHROBWUULLRAM-LURJTMIESA-N 0 1 265.216 0.554 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CNC(=O)CCC)[C@@H]2C1 ZINC001187124669 844655264 /nfs/dbraw/zinc/65/52/64/844655264.db2.gz DFMJZSQCEZWJTG-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)COCCOC)[C@@H]2C1 ZINC001187397330 844717427 /nfs/dbraw/zinc/71/74/27/844717427.db2.gz DOUNBHRIGULYFO-UONOGXRCSA-N 0 1 280.368 0.205 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C2=COCCO2)C1 ZINC001188718090 844960582 /nfs/dbraw/zinc/96/05/82/844960582.db2.gz QZLRLAIQLDPPPZ-ZDUSSCGKSA-N 0 1 294.351 0.057 20 30 CCEDMN CCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C#N)C2 ZINC001110403578 844989722 /nfs/dbraw/zinc/98/97/22/844989722.db2.gz URVYTVOSQBBFRH-LPWJVIDDSA-N 0 1 265.357 0.904 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cc(C)no2)C1 ZINC001188844301 844989902 /nfs/dbraw/zinc/98/99/02/844989902.db2.gz KEXMDOLXGTYTAV-ZDUSSCGKSA-N 0 1 291.351 0.779 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C(C)C)C1 ZINC001188916238 844991926 /nfs/dbraw/zinc/99/19/26/844991926.db2.gz VGOAWGFTPLYFMY-QWHCGFSZSA-N 0 1 281.400 0.866 20 30 CCEDMN COc1ccc(C#N)c(C(=O)NCc2c[nH]nn2)c1 ZINC001189097180 845049777 /nfs/dbraw/zinc/04/97/77/845049777.db2.gz RHPHLFYSNJVWHK-UHFFFAOYSA-N 0 1 257.253 0.615 20 30 CCEDMN COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2cc(C)[nH]n2)C1 ZINC001189105231 845054131 /nfs/dbraw/zinc/05/41/31/845054131.db2.gz PNVZILGDLCVITE-ZDUSSCGKSA-N 0 1 290.367 0.514 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cc(C)n[nH]2)C1 ZINC001189105231 845054138 /nfs/dbraw/zinc/05/41/38/845054138.db2.gz PNVZILGDLCVITE-ZDUSSCGKSA-N 0 1 290.367 0.514 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cocn2)C1 ZINC001189338235 845111064 /nfs/dbraw/zinc/11/10/64/845111064.db2.gz OXCUYFBWLVKVIB-LBPRGKRZSA-N 0 1 277.324 0.471 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)C1(C(N)=O)CC1 ZINC001273582169 845148349 /nfs/dbraw/zinc/14/83/49/845148349.db2.gz SWHGZGDTEDYJBR-UHFFFAOYSA-N 0 1 273.764 0.443 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)C(=O)NCC3CC3)[C@@H]2C1 ZINC001189568812 845171535 /nfs/dbraw/zinc/17/15/35/845171535.db2.gz KSMCZFXMDMFRLA-QWHCGFSZSA-N 0 1 277.368 0.231 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CC[C@H](N(C)C[C@H](F)CC)C1 ZINC001189760206 845213163 /nfs/dbraw/zinc/21/31/63/845213163.db2.gz ITSANLBRRVSXMM-OLZOCXBDSA-N 0 1 297.374 0.407 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(C(=O)c2nc(C)n[nH]2)C1 ZINC001189867817 845234568 /nfs/dbraw/zinc/23/45/68/845234568.db2.gz QTSSYKSZTRQGRX-JTQLQIEISA-N 0 1 293.327 0.630 20 30 CCEDMN Cc1nnc(C(=O)NC(=NO)c2ccc(C)cn2)[nH]1 ZINC001189871895 845239339 /nfs/dbraw/zinc/23/93/39/845239339.db2.gz XWAJUJDITUJAMW-UHFFFAOYSA-N 0 1 260.257 0.382 20 30 CCEDMN CNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001190037990 845314946 /nfs/dbraw/zinc/31/49/46/845314946.db2.gz VXIUHDLOPPZYNS-YPMHNXCESA-N 0 1 277.368 0.067 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](N(C)[C@H]2CCCNC2=O)C1 ZINC001190047862 845317018 /nfs/dbraw/zinc/31/70/18/845317018.db2.gz XQKMLRLBLWANDQ-KBPBESRZSA-N 0 1 291.395 0.457 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001190054932 845319519 /nfs/dbraw/zinc/31/95/19/845319519.db2.gz UYGDTJSCZUKDRX-OLZOCXBDSA-N 0 1 279.384 0.442 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001190390152 845394379 /nfs/dbraw/zinc/39/43/79/845394379.db2.gz SQDFAJCHFYHWSB-VXGBXAGGSA-N 0 1 281.400 0.995 20 30 CCEDMN CN(C)c1cc(NC(=O)c2ccc(C#N)cn2)n[nH]1 ZINC001190583982 845438407 /nfs/dbraw/zinc/43/84/07/845438407.db2.gz JYACVMAAVJQERA-UHFFFAOYSA-N 0 1 256.269 0.995 20 30 CCEDMN CC1(C)CC(=O)C=C(NS(=O)(=O)c2ncc[nH]2)C1 ZINC001190748924 845490459 /nfs/dbraw/zinc/49/04/59/845490459.db2.gz OJBVVTIDFRJLRM-UHFFFAOYSA-N 0 1 269.326 0.961 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnc[nH]c2=O)C1 ZINC001190836809 845517072 /nfs/dbraw/zinc/51/70/72/845517072.db2.gz CNUCDQDVYDEYFI-NSHDSACASA-N 0 1 274.324 0.352 20 30 CCEDMN COCC#CC[N@@H+](C)C[C@H](O)COCCOC(C)C ZINC001190883706 845534306 /nfs/dbraw/zinc/53/43/06/845534306.db2.gz KWFOHOGTXMALJD-AWEZNQCLSA-N 0 1 273.373 0.371 20 30 CCEDMN COCC#CCN(C)C[C@H](O)COCCOC(C)C ZINC001190883706 845534310 /nfs/dbraw/zinc/53/43/10/845534310.db2.gz KWFOHOGTXMALJD-AWEZNQCLSA-N 0 1 273.373 0.371 20 30 CCEDMN CC#CC[N@H+](C)[C@H]1CCN(C(=O)[C@H]2COCCN2CC)C1 ZINC001191060944 845570801 /nfs/dbraw/zinc/57/08/01/845570801.db2.gz HFHAXICAOJODHL-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2COCCN2CC)C1 ZINC001191060944 845570806 /nfs/dbraw/zinc/57/08/06/845570806.db2.gz HFHAXICAOJODHL-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCCCNC(N)=O)C1 ZINC001191197828 845605207 /nfs/dbraw/zinc/60/52/07/845605207.db2.gz OPVYEDXBWNHTJQ-CYBMUJFWSA-N 0 1 294.399 0.381 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)/C(C)=C/CC)C1 ZINC001191491917 845660246 /nfs/dbraw/zinc/66/02/46/845660246.db2.gz HVZRQOKYPBPWMR-PGJRDNSLSA-N 0 1 250.342 0.527 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001191534084 845676315 /nfs/dbraw/zinc/67/63/15/845676315.db2.gz YFUFQPOTAXIKPP-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2coc(OC)n2)C1 ZINC001191569599 845695370 /nfs/dbraw/zinc/69/53/70/845695370.db2.gz QBXVCJXOLDBPGJ-NSHDSACASA-N 0 1 277.324 0.853 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)OC)C1 ZINC001191658316 845711752 /nfs/dbraw/zinc/71/17/52/845711752.db2.gz FWHNAMAQJKFYCO-QJPTWQEYSA-N 0 1 256.346 0.149 20 30 CCEDMN CCC[C@H](OC)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001191707425 845713332 /nfs/dbraw/zinc/71/33/32/845713332.db2.gz NHYJKOKUUMXURN-CABCVRRESA-N 0 1 296.411 0.984 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@@H](N(C)[C@H]2CCNC2=O)C1 ZINC001191839335 845748556 /nfs/dbraw/zinc/74/85/56/845748556.db2.gz QEWUEOQCGSPIAH-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCC)C1 ZINC001191844412 845748830 /nfs/dbraw/zinc/74/88/30/845748830.db2.gz VLBYUQZOIOAFBZ-JHJVBQTASA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCC)C1 ZINC001191844412 845748835 /nfs/dbraw/zinc/74/88/35/845748835.db2.gz VLBYUQZOIOAFBZ-JHJVBQTASA-N 0 1 252.358 0.607 20 30 CCEDMN C=CCCCC(=O)N1CC[C@@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001192073785 845780502 /nfs/dbraw/zinc/78/05/02/845780502.db2.gz XCPNADSJXKSBQA-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCNC(=O)C2CCC2)C1 ZINC001192800982 845911418 /nfs/dbraw/zinc/91/14/18/845911418.db2.gz KOAHZGDEOHWBTK-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001192801498 845911851 /nfs/dbraw/zinc/91/18/51/845911851.db2.gz QKJRDFPNESGRSV-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN CN1CCN(c2ccc(NS(=O)(=O)CC#N)cn2)CC1 ZINC001192935025 845940047 /nfs/dbraw/zinc/94/00/47/845940047.db2.gz HHUAHWCSBIQUEL-UHFFFAOYSA-N 0 1 295.368 0.099 20 30 CCEDMN N#CCS(=O)(=O)Nc1nccnc1Br ZINC001192939615 845943099 /nfs/dbraw/zinc/94/30/99/845943099.db2.gz UXVHOUPGDYVBBQ-UHFFFAOYSA-N 0 1 277.103 0.504 20 30 CCEDMN CNC(=O)c1cccc(NS(=O)(=O)CC#N)c1 ZINC001192978757 845961754 /nfs/dbraw/zinc/96/17/54/845961754.db2.gz DSHAAZQQGKARPS-UHFFFAOYSA-N 0 1 253.283 0.311 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cccc(C(N)=O)c1F ZINC001193162224 846010569 /nfs/dbraw/zinc/01/05/69/846010569.db2.gz WOHOGAWAIHYYKE-ZCFIWIBFSA-N 0 1 271.273 0.578 20 30 CCEDMN CCOC(=O)[C@H](NS(=O)(=O)[C@@H](C)C#N)c1ccccn1 ZINC001193182966 846027296 /nfs/dbraw/zinc/02/72/96/846027296.db2.gz UXXGZJYPTVBWNB-GXSJLCMTSA-N 0 1 297.336 0.517 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@](C)(O)C1CC1)C2 ZINC001110458115 846050771 /nfs/dbraw/zinc/05/07/71/846050771.db2.gz VEXLASAABMCICG-NYTXWWLZSA-N 0 1 276.380 0.892 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@](C)(NC(C)=O)C2CC2)C1 ZINC001193423655 846077078 /nfs/dbraw/zinc/07/70/78/846077078.db2.gz CSINJXSAJXGIJZ-ZBFHGGJFSA-N 0 1 291.395 0.457 20 30 CCEDMN CN1CCOC[C@H]1CNC(=O)c1cccc(C#N)c1O ZINC001193657909 846140372 /nfs/dbraw/zinc/14/03/72/846140372.db2.gz LISKNFFILPGKAK-LLVKDONJSA-N 0 1 275.308 0.324 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(C[C@@H](C)OC)C[C@H]2O)CCC1 ZINC001193685442 846143100 /nfs/dbraw/zinc/14/31/00/846143100.db2.gz NLQXPDARMPHSLZ-MGPQQGTHSA-N 0 1 294.395 0.376 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(CCOCC)C[C@H]2O)CCC1 ZINC001193711164 846149322 /nfs/dbraw/zinc/14/93/22/846149322.db2.gz OFSDILVZMAZZJU-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cn2ccccc2=O)C1 ZINC001193939800 846176692 /nfs/dbraw/zinc/17/66/92/846176692.db2.gz DVENSCNTJWGTOS-CQSZACIVSA-N 0 1 287.363 0.404 20 30 CCEDMN CC#CC[NH+](C)[C@H]1CCN(C(=O)[C@H]2C[N@H+](CC)CCO2)C1 ZINC001194155808 846225565 /nfs/dbraw/zinc/22/55/65/846225565.db2.gz VXMRUSFKOJSBOK-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCC(C)(F)F)C1 ZINC001194218883 846243711 /nfs/dbraw/zinc/24/37/11/846243711.db2.gz ZQZWUUCLCNCNNU-GHMZBOCLSA-N 0 1 274.311 0.606 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H](N(C)[C@H](CC)C(N)=O)C1 ZINC001194396509 846287019 /nfs/dbraw/zinc/28/70/19/846287019.db2.gz DWMXCMBZHNWDJH-QWHCGFSZSA-N 0 1 297.399 0.376 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](CCCC)NC(N)=O)C1 ZINC001194448784 846291585 /nfs/dbraw/zinc/29/15/85/846291585.db2.gz LPQHDLAHESRVSE-STQMWFEESA-N 0 1 294.399 0.379 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)COCC)CC1 ZINC001194747081 846369483 /nfs/dbraw/zinc/36/94/83/846369483.db2.gz RFOUVKCPOFUXFJ-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@H](C)OC)CC1 ZINC001195034836 846443473 /nfs/dbraw/zinc/44/34/73/846443473.db2.gz APEZSAOGBBALFX-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CCCO)C[C@H]1O ZINC001195277230 846490742 /nfs/dbraw/zinc/49/07/42/846490742.db2.gz BKXGAXZUSBAJOL-VXGBXAGGSA-N 0 1 270.373 0.132 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CNC(=O)CC)CC1 ZINC001195348815 846510171 /nfs/dbraw/zinc/51/01/71/846510171.db2.gz FPCLVRPIQHMJET-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)CC(=O)NCC)CC1 ZINC001195580488 846577926 /nfs/dbraw/zinc/57/79/26/846577926.db2.gz KPZVVEGMHHDERU-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(CCCO)C[C@H]1O ZINC001195567038 846584099 /nfs/dbraw/zinc/58/40/99/846584099.db2.gz HEJWLUGWOCJJFF-YNEHKIRRSA-N 0 1 270.373 0.132 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCOCC=C)C[C@H]1O ZINC001195732740 846604695 /nfs/dbraw/zinc/60/46/95/846604695.db2.gz GVPAHJFHIGYSAL-ZIAGYGMSSA-N 0 1 282.384 0.707 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2CNC(=O)O2)c(O)c1 ZINC001195768193 846615892 /nfs/dbraw/zinc/61/58/92/846615892.db2.gz IOITVYREEIZOPI-MRVPVSSYSA-N 0 1 261.237 0.102 20 30 CCEDMN C[C@@]1(CO)CCN(C(=O)c2ccc(C#N)cc2O)C[C@@H]1O ZINC001195741168 846618748 /nfs/dbraw/zinc/61/87/48/846618748.db2.gz PXWZQUNLUSLMFZ-ZFWWWQNUSA-N 0 1 290.319 0.469 20 30 CCEDMN CC(C)(C)N(C[C@@H](O)CO)C(=O)c1ccc(C#N)cc1O ZINC001195740318 846619384 /nfs/dbraw/zinc/61/93/84/846619384.db2.gz CPTNQIDRKBQMKD-LLVKDONJSA-N 0 1 292.335 0.858 20 30 CCEDMN C#CCOCCC(=O)N1CCCN(CC=C)CC1 ZINC001195824071 846636378 /nfs/dbraw/zinc/63/63/78/846636378.db2.gz HVMNOQVSKGEPAK-UHFFFAOYSA-N 0 1 250.342 0.747 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](CC(C)C)OC)C1 ZINC001196037279 846678305 /nfs/dbraw/zinc/67/83/05/846678305.db2.gz HLBGPJNIBMXXKJ-MGPQQGTHSA-N 0 1 282.384 0.232 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2(CF)CC2)C1 ZINC001196114921 846692863 /nfs/dbraw/zinc/69/28/63/846692863.db2.gz HCCIDNVLOZFDJE-VXGBXAGGSA-N 0 1 286.347 0.100 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(CF)CCC2)C1 ZINC001196290802 846724432 /nfs/dbraw/zinc/72/44/32/846724432.db2.gz MYXWPVAROKUFOJ-VXGBXAGGSA-N 0 1 270.348 0.864 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001196452178 846752133 /nfs/dbraw/zinc/75/21/33/846752133.db2.gz HPKPCRBNVFFRLI-VXGBXAGGSA-N 0 1 270.373 0.396 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C(C)(C)CCOC)C1 ZINC001197375314 846901272 /nfs/dbraw/zinc/90/12/72/846901272.db2.gz YOXSSWSYLZCJCA-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)n2cccn2)C1 ZINC001197612289 846934031 /nfs/dbraw/zinc/93/40/31/846934031.db2.gz MDHPODABFCMSQZ-BFHYXJOUSA-N 0 1 292.383 0.572 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)c2ccco2)C1 ZINC001197874932 846992576 /nfs/dbraw/zinc/99/25/76/846992576.db2.gz PESNHGZUSMDXIW-BFHYXJOUSA-N 0 1 290.363 0.958 20 30 CCEDMN C[C@@H]1CCc2c(C(=O)N=C(N)c3ncc[nH]3)[nH]nc21 ZINC001198451726 847114315 /nfs/dbraw/zinc/11/43/15/847114315.db2.gz UUGIOZUPFPFBFR-ZCFIWIBFSA-N 0 1 258.285 0.728 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCc2cccs2)C1 ZINC001198536315 847123390 /nfs/dbraw/zinc/12/33/90/847123390.db2.gz UHURKMNFUUZHFL-ZIAGYGMSSA-N 0 1 292.404 0.865 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1cncn1-c1ccncc1 ZINC001198556820 847134952 /nfs/dbraw/zinc/13/49/52/847134952.db2.gz FLACBODHFBKBQA-NSHDSACASA-N 0 1 299.290 0.452 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cn2cnccc2n1 ZINC001152481593 847140047 /nfs/dbraw/zinc/14/00/47/847140047.db2.gz PIPNZVLKLCUYBV-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCOC)C1 ZINC001198793316 847172047 /nfs/dbraw/zinc/17/20/47/847172047.db2.gz UGADTKSMBKBCTP-JHJVBQTASA-N 0 1 270.373 0.396 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCOC)C1 ZINC001198793319 847172356 /nfs/dbraw/zinc/17/23/56/847172356.db2.gz UGADTKSMBKBCTP-YNEHKIRRSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1C[N@H+](CCCF)C[C@H]1O ZINC001199292876 847285186 /nfs/dbraw/zinc/28/51/86/847285186.db2.gz VZTRWJZEMRXANF-VXGBXAGGSA-N 0 1 274.336 0.100 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001199292876 847285195 /nfs/dbraw/zinc/28/51/95/847285195.db2.gz VZTRWJZEMRXANF-VXGBXAGGSA-N 0 1 274.336 0.100 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2cscn2)C1 ZINC001199377968 847312165 /nfs/dbraw/zinc/31/21/65/847312165.db2.gz SBIMIHISJGYHQO-CHWSQXEVSA-N 0 1 295.408 0.813 20 30 CCEDMN C#CCOC[C@H](O)CNCc1c(OC)cccc1OC ZINC001251885354 847327484 /nfs/dbraw/zinc/32/74/84/847327484.db2.gz TXDJFFUCKWQIHZ-GFCCVEGCSA-N 0 1 279.336 0.804 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ncccc1OC ZINC001251891286 847353516 /nfs/dbraw/zinc/35/35/16/847353516.db2.gz PXVVMSHPBCTEKK-NSHDSACASA-N 0 1 250.298 0.191 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCOC)C[C@H]2O)CCCC1 ZINC001199559057 847357281 /nfs/dbraw/zinc/35/72/81/847357281.db2.gz DQVNTSZCTFVXEP-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN COC(=O)c1onc(C)c1NC(=O)c1cnc(C#N)cn1 ZINC001199662689 847387158 /nfs/dbraw/zinc/38/71/58/847387158.db2.gz XNDNEHWYLVSIDR-UHFFFAOYSA-N 0 1 287.235 0.684 20 30 CCEDMN N#Cc1cnc(C(=O)Nc2noc3nccnc23)cn1 ZINC001199661492 847387715 /nfs/dbraw/zinc/38/77/15/847387715.db2.gz GDNRWPHGTWCZNK-UHFFFAOYSA-N 0 1 267.208 0.532 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)Cc1cc(C)n[nH]1 ZINC001152674666 847426546 /nfs/dbraw/zinc/42/65/46/847426546.db2.gz BHGWXZRGZWEMOV-LLVKDONJSA-N 0 1 263.345 0.611 20 30 CCEDMN C#CCN1CCC2(CCN(CC(=O)NC3CC3)CC2)C1=O ZINC001273829747 847619146 /nfs/dbraw/zinc/61/91/46/847619146.db2.gz MKOFMZMWGCVIKD-UHFFFAOYSA-N 0 1 289.379 0.213 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1cc(C)ncn1 ZINC001153149086 847934962 /nfs/dbraw/zinc/93/49/62/847934962.db2.gz RXWFCSLRTJYDTB-GFCCVEGCSA-N 0 1 278.356 0.582 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(CC)CCNC1=O)C2 ZINC001110685967 847964136 /nfs/dbraw/zinc/96/41/36/847964136.db2.gz HVCSRWLPYKHTAX-FOCJUVANSA-N 0 1 291.395 0.810 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C(C)(C)F)C1 ZINC001149047599 848016634 /nfs/dbraw/zinc/01/66/34/848016634.db2.gz KSGTTZMAEPZSLK-GFCCVEGCSA-N 0 1 270.348 0.965 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(COC)CCOCC1 ZINC001114382161 848029111 /nfs/dbraw/zinc/02/91/11/848029111.db2.gz BGHQWRBVZWKMIR-WDNDVIMCSA-N 0 1 292.379 0.109 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1COCCN1C)C2 ZINC001095334936 848246365 /nfs/dbraw/zinc/24/63/65/848246365.db2.gz OMTBYNQMNYDYKQ-ZOBORPQBSA-N 0 1 279.384 0.225 20 30 CCEDMN CCOC(=O)[C@@H](C)N1CC[C@]2(CCN(CCC#N)C2)C1=O ZINC001274030211 848309901 /nfs/dbraw/zinc/30/99/01/848309901.db2.gz GSPQXMWEEZWXTG-DOMZBBRYSA-N 0 1 293.367 0.776 20 30 CCEDMN CNC(=O)CN1CCC2(C[C@H]2C(=O)NCCCC#N)CC1 ZINC001274075193 848342567 /nfs/dbraw/zinc/34/25/67/848342567.db2.gz LWWDRTJZTPURBX-LBPRGKRZSA-N 0 1 292.383 0.254 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)c1ccc(C#N)cn1)C2 ZINC001274192501 848369342 /nfs/dbraw/zinc/36/93/42/848369342.db2.gz ANUOIMOQLLQMJG-NEPJUHHUSA-N 0 1 256.309 0.729 20 30 CCEDMN N#CCCCN1CC[C@@]2(CCCN2Cc2nnc[nH]2)C1=O ZINC001274355955 848460186 /nfs/dbraw/zinc/46/01/86/848460186.db2.gz NWDJEJGWEYEBMV-AWEZNQCLSA-N 0 1 288.355 0.675 20 30 CCEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2C[C@@H](O)C(=O)OC ZINC001274604295 848519805 /nfs/dbraw/zinc/51/98/05/848519805.db2.gz SGTJOODIEXHYTA-DOMZBBRYSA-N 0 1 296.367 0.163 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)CN[C@H](C)c1cnccn1 ZINC001274702703 848545140 /nfs/dbraw/zinc/54/51/40/848545140.db2.gz SWXDXANJSFREPH-VXGBXAGGSA-N 0 1 278.356 0.835 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)CSCC#N)CC1 ZINC001274892063 848585684 /nfs/dbraw/zinc/58/56/84/848585684.db2.gz WQJVQXVQZYKPIZ-UHFFFAOYSA-N 0 1 283.397 0.372 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H]2CCC2(C)C)CC1 ZINC001274924301 848591241 /nfs/dbraw/zinc/59/12/41/848591241.db2.gz PRYWHNCDTKWCLM-ZDUSSCGKSA-N 0 1 278.396 0.999 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H](OC)C2CCC2)CC1 ZINC001274924314 848591275 /nfs/dbraw/zinc/59/12/75/848591275.db2.gz QBNVAUZPMBKYLP-AWEZNQCLSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1coc(C(N)=O)c1 ZINC001275129512 848644607 /nfs/dbraw/zinc/64/46/07/848644607.db2.gz WPEBXDADLUYTSG-GFCCVEGCSA-N 0 1 289.335 0.548 20 30 CCEDMN C[N@H+]1C[C@@H]2COC[C@H](C1)N2Cc1cc(C#N)ccc1[O-] ZINC001275548323 848758788 /nfs/dbraw/zinc/75/87/88/848758788.db2.gz TUTDLVRNGRJCMA-OKILXGFUSA-N 0 1 273.336 0.779 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)[N@@H+](C)[C@@H]1CCCN(C)C1=O ZINC001275827709 848837576 /nfs/dbraw/zinc/83/75/76/848837576.db2.gz KXMAZLLOWMKZFG-TZMCWYRMSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)N(C)[C@@H]1CCCN(C)C1=O ZINC001275827709 848837585 /nfs/dbraw/zinc/83/75/85/848837585.db2.gz KXMAZLLOWMKZFG-TZMCWYRMSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CC[N@@H+](C)[C@H](C)CNC(=O)c1ccc(=O)n(C)c1 ZINC001275865454 848849318 /nfs/dbraw/zinc/84/93/18/848849318.db2.gz KMRWWWJLXGSMSD-GFCCVEGCSA-N 0 1 275.352 0.459 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(=O)n(C)c1 ZINC001275865454 848849329 /nfs/dbraw/zinc/84/93/29/848849329.db2.gz KMRWWWJLXGSMSD-GFCCVEGCSA-N 0 1 275.352 0.459 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001275977438 848878942 /nfs/dbraw/zinc/87/89/42/848878942.db2.gz RQYFIJMEGLNALV-RYUDHWBXSA-N 0 1 274.368 0.584 20 30 CCEDMN C#CCCCN1C[C@@H]2COC[C@H](C1)N2C(=O)NC(C)C ZINC001275977687 848880206 /nfs/dbraw/zinc/88/02/06/848880206.db2.gz DLZKFTNKYBJLBS-OKILXGFUSA-N 0 1 279.384 0.903 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCCNCc1nonc1C ZINC001276001732 848887230 /nfs/dbraw/zinc/88/72/30/848887230.db2.gz LSBOVZQVVFMUMN-GFCCVEGCSA-N 0 1 294.355 0.354 20 30 CCEDMN C=CCCC(=O)NC1(CCO)CN(C[C@H]2CCOC2)C1 ZINC001276037163 848900631 /nfs/dbraw/zinc/90/06/31/848900631.db2.gz DRUMAJQLMICBHA-CYBMUJFWSA-N 0 1 282.384 0.542 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CC(=O)N(CC)C1)C2 ZINC001095492192 848950563 /nfs/dbraw/zinc/95/05/63/848950563.db2.gz VWJSLZISYBCIEY-CRWXNKLISA-N 0 1 291.395 0.762 20 30 CCEDMN Cn1cc(CN2CC3(C2)CN(Cc2cnc[nH]2)C3)cc1C#N ZINC001276203840 848959739 /nfs/dbraw/zinc/95/97/39/848959739.db2.gz DEVRLDYAFXQEOO-UHFFFAOYSA-N 0 1 296.378 0.938 20 30 CCEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn[nH]1)C2 ZINC001095674909 849006634 /nfs/dbraw/zinc/00/66/34/849006634.db2.gz QBZLGMKWXFNZQJ-YWVKMMECSA-N 0 1 281.747 0.892 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C(C)C)[NH+](C)C)C2 ZINC001110992359 849038476 /nfs/dbraw/zinc/03/84/76/849038476.db2.gz ZUCOMXSCMIJLLR-APIJFGDWSA-N 0 1 277.412 0.927 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cc(C)nn1C)C2 ZINC001095753907 849135257 /nfs/dbraw/zinc/13/52/57/849135257.db2.gz FNPSQLCOEOYKQL-SNPRPXQTSA-N 0 1 286.379 0.626 20 30 CCEDMN C=CCN1CC[C@H]1CNC(=O)[C@@H]1CN(C(C)C)CCO1 ZINC001038621105 849676280 /nfs/dbraw/zinc/67/62/80/849676280.db2.gz HERUIQPCHPSAGZ-KBPBESRZSA-N 0 1 281.400 0.472 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnc(C)n1C ZINC001038625258 849698750 /nfs/dbraw/zinc/69/87/50/849698750.db2.gz JVDCFYZWEJAXJQ-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1c[nH]c(=O)cn1 ZINC001038638831 849781463 /nfs/dbraw/zinc/78/14/63/849781463.db2.gz LBUKWACKXGXXJT-JTQLQIEISA-N 0 1 262.313 0.150 20 30 CCEDMN COC[C@H](C)N1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038174467 849808722 /nfs/dbraw/zinc/80/87/22/849808722.db2.gz UCZKFWGPEYITQR-JQWIXIFHSA-N 0 1 276.340 0.725 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnc[nH]c1=O ZINC001038391925 849876675 /nfs/dbraw/zinc/87/66/75/849876675.db2.gz QXUBYMLACGFMLT-SNVBAGLBSA-N 0 1 260.297 0.010 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001038444601 849902748 /nfs/dbraw/zinc/90/27/48/849902748.db2.gz AACTZCAJYVEDAT-CYBMUJFWSA-N 0 1 273.336 0.213 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001038545129 849936935 /nfs/dbraw/zinc/93/69/35/849936935.db2.gz ZYJWXJKBNARIEU-ZQDZILKHSA-N 0 1 294.395 0.805 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnn2cccnc12 ZINC001038590596 849956078 /nfs/dbraw/zinc/95/60/78/849956078.db2.gz YGPDNPHTQOSNJJ-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001038617674 849962033 /nfs/dbraw/zinc/96/20/33/849962033.db2.gz UXNMKLBBAWITNI-NSHDSACASA-N 0 1 274.324 0.318 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(C(N)=O)co1 ZINC001038693871 849983500 /nfs/dbraw/zinc/98/35/00/849983500.db2.gz OBOBDGXLXORUSY-NSHDSACASA-N 0 1 277.324 0.759 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc2ncn(C)c2n1 ZINC001038691658 849984816 /nfs/dbraw/zinc/98/48/16/849984816.db2.gz VHWGKTAAFZPNAN-GFCCVEGCSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc2ncn(C)c2n1 ZINC001038691659 849984917 /nfs/dbraw/zinc/98/49/17/849984917.db2.gz VHWGKTAAFZPNAN-LBPRGKRZSA-N 0 1 297.362 0.796 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1c[n-]n2c1nccc2=O ZINC001038777584 850013491 /nfs/dbraw/zinc/01/34/91/850013491.db2.gz FERPWETZMNWEFO-SNVBAGLBSA-N 0 1 287.323 0.013 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]1CNC(=O)c1c[n-]n2c1nccc2=O ZINC001038777584 850013497 /nfs/dbraw/zinc/01/34/97/850013497.db2.gz FERPWETZMNWEFO-SNVBAGLBSA-N 0 1 287.323 0.013 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cn(CC=C)nn1 ZINC001038780464 850015340 /nfs/dbraw/zinc/01/53/40/850015340.db2.gz COZWDSCPNVHCLP-LBPRGKRZSA-N 0 1 275.356 0.844 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cc(CC)nn1C ZINC001038841586 850041655 /nfs/dbraw/zinc/04/16/55/850041655.db2.gz ODGGEFTZMMFNPI-ZDUSSCGKSA-N 0 1 274.368 0.810 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001038893610 850068744 /nfs/dbraw/zinc/06/87/44/850068744.db2.gz MSBKQLMSCGVBHT-MNOVXSKESA-N 0 1 273.340 0.229 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001038893611 850069191 /nfs/dbraw/zinc/06/91/91/850069191.db2.gz MSBKQLMSCGVBHT-QWRGUYRKSA-N 0 1 273.340 0.229 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001038898957 850074149 /nfs/dbraw/zinc/07/41/49/850074149.db2.gz NTNRODLJIZYDFY-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1c(C)nn2cccnc12 ZINC001039027399 850127081 /nfs/dbraw/zinc/12/70/81/850127081.db2.gz BUJOLTSCIRVRBU-ZDUSSCGKSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001039052425 850140684 /nfs/dbraw/zinc/14/06/84/850140684.db2.gz AJDUEHPPAYBSIO-CYBMUJFWSA-N 0 1 295.346 0.909 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCn1cccn1 ZINC001039334881 850173480 /nfs/dbraw/zinc/17/34/80/850173480.db2.gz KBXIGPLXNOLTJK-CABCVRRESA-N 0 1 286.379 0.972 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc(C)cn1 ZINC001039337795 850175082 /nfs/dbraw/zinc/17/50/82/850175082.db2.gz LBBXNMOBIUGPDP-CABCVRRESA-N 0 1 286.379 0.890 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COCCO1 ZINC001039351769 850177931 /nfs/dbraw/zinc/17/79/31/850177931.db2.gz DMPLAULQGFDVMC-QLFBSQMISA-N 0 1 292.379 0.490 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccc(C)n1 ZINC001039439018 850191422 /nfs/dbraw/zinc/19/14/22/850191422.db2.gz STGKGJOEMBVAKJ-CABCVRRESA-N 0 1 286.379 0.890 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@]2(CCN(C(=O)Cc3nnc[n-]3)C2)C1 ZINC001041778888 850501147 /nfs/dbraw/zinc/50/11/47/850501147.db2.gz HSEJFBHAWDULJR-OAHLLOKOSA-N 0 1 287.367 0.295 20 30 CCEDMN CC#CC[N@H+]1CC[C@@]2(CCN(C(=O)Cc3nnc[n-]3)C2)C1 ZINC001041778888 850501153 /nfs/dbraw/zinc/50/11/53/850501153.db2.gz HSEJFBHAWDULJR-OAHLLOKOSA-N 0 1 287.367 0.295 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnc(C)cn3)C[C@@H]21 ZINC001041912263 850527739 /nfs/dbraw/zinc/52/77/39/850527739.db2.gz ITLABJJQLFKJER-ZFWWWQNUSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(=O)[nH]n3)C[C@H]21 ZINC001041919729 850530629 /nfs/dbraw/zinc/53/06/29/850530629.db2.gz KHXZOHBRLRHDBX-DGCLKSJQSA-N 0 1 286.335 0.352 20 30 CCEDMN C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3cc[nH]n3)C[C@@H]21 ZINC001041959021 850539286 /nfs/dbraw/zinc/53/92/86/850539286.db2.gz VLHFBQLTQFIFFI-AAEUAGOBSA-N 0 1 258.325 0.579 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3cc[nH]n3)C[C@@H]21 ZINC001041959021 850539294 /nfs/dbraw/zinc/53/92/94/850539294.db2.gz VLHFBQLTQFIFFI-AAEUAGOBSA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc[nH]n3)C[C@@H]21 ZINC001041959021 850539305 /nfs/dbraw/zinc/53/93/05/850539305.db2.gz VLHFBQLTQFIFFI-AAEUAGOBSA-N 0 1 258.325 0.579 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3ncc[nH]3)C[C@@H]21 ZINC001042038023 850564020 /nfs/dbraw/zinc/56/40/20/850564020.db2.gz CHMURSPXPCCQTF-STQMWFEESA-N 0 1 272.352 0.969 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)CCc3cnc[nH]3)C[C@@H]21 ZINC001042089937 850578275 /nfs/dbraw/zinc/57/82/75/850578275.db2.gz HEKFKVWHVFROON-OCCSQVGLSA-N 0 1 287.367 0.789 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnc(C)n3C)C[C@@H]21 ZINC001042196042 850592239 /nfs/dbraw/zinc/59/22/39/850592239.db2.gz GICJITKRYSYDRM-HIFRSBDPSA-N 0 1 286.379 0.898 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3nonc3C)C[C@H]21 ZINC001042379359 850621760 /nfs/dbraw/zinc/62/17/60/850621760.db2.gz KIXPFTRJIMPCQV-CHWSQXEVSA-N 0 1 288.351 0.938 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3nonc3C)C[C@H]21 ZINC001042381231 850622328 /nfs/dbraw/zinc/62/23/28/850622328.db2.gz YOGMALXNJVFABU-NWDGAFQWSA-N 0 1 274.324 0.548 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(=O)cn1)C2 ZINC001095936998 850719118 /nfs/dbraw/zinc/71/91/18/850719118.db2.gz RPPKDFAQSZHGRH-MDZLAQPJSA-N 0 1 286.335 0.128 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001042756254 850753679 /nfs/dbraw/zinc/75/36/79/850753679.db2.gz MWJLXQFIFMFJJK-UHFFFAOYSA-N 0 1 283.335 0.737 20 30 CCEDMN C=C(C)CCC(=O)NCC1(O)CN(C(=O)c2ccn[nH]2)C1 ZINC001043312129 850852434 /nfs/dbraw/zinc/85/24/34/850852434.db2.gz OTKKTEGABCKTSY-UHFFFAOYSA-N 0 1 292.339 0.069 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N(C)C2CN(CC#N)C2)C1 ZINC001043624102 850911625 /nfs/dbraw/zinc/91/16/25/850911625.db2.gz ZJFPRFUPQJGGOP-ZWNOBZJWSA-N 0 1 287.367 0.742 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)c(=O)[nH]1)C2 ZINC001095968265 850993028 /nfs/dbraw/zinc/99/30/28/850993028.db2.gz PSGWGGVGWNGSGU-SCVCMEIPSA-N 0 1 276.340 0.647 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC001044048469 850993550 /nfs/dbraw/zinc/99/35/50/850993550.db2.gz BCBOVXSNOQHLTA-UHFFFAOYSA-N 0 1 286.257 0.818 20 30 CCEDMN Cn1ccnc1CNC[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC001045030507 851204804 /nfs/dbraw/zinc/20/48/04/851204804.db2.gz IFVDISYXGDJKRO-AWEZNQCLSA-N 0 1 286.379 0.914 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(C)(NC(C)=O)CC2)C1=O ZINC001045339941 851237964 /nfs/dbraw/zinc/23/79/64/851237964.db2.gz BPDGBFFJHONRQD-ZDUSSCGKSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCCO2)CC1 ZINC001045354536 851241114 /nfs/dbraw/zinc/24/11/14/851241114.db2.gz YGVJYNVEFJRYCG-GFCCVEGCSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2COCCO2)CC1 ZINC001045368193 851243522 /nfs/dbraw/zinc/24/35/22/851243522.db2.gz DCNBYRDSCOGJQY-LBPRGKRZSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCCNC2=O)CC1 ZINC001045468171 851262631 /nfs/dbraw/zinc/26/26/31/851262631.db2.gz FGCFGMWAIXVQPY-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2nnn(C)c2C)C1 ZINC001046208009 851421376 /nfs/dbraw/zinc/42/13/76/851421376.db2.gz HVNPJYSLNLANBC-ZDUSSCGKSA-N 0 1 263.345 0.504 20 30 CCEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2ncn(C)n2)C1 ZINC001046285692 851451524 /nfs/dbraw/zinc/45/15/24/851451524.db2.gz AGQRVWPXUSFDSX-CYBMUJFWSA-N 0 1 263.345 0.585 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001046363938 851477573 /nfs/dbraw/zinc/47/75/73/851477573.db2.gz GXAMJHNIFVRLDS-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001046434661 851501802 /nfs/dbraw/zinc/50/18/02/851501802.db2.gz PMDGKZOWKHYSEE-BBRMVZONSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(N(C)C)nc2)C1 ZINC001046443864 851505839 /nfs/dbraw/zinc/50/58/39/851505839.db2.gz GCHATGXSABNHLR-INIZCTEOSA-N 0 1 286.379 0.975 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001046469054 851519344 /nfs/dbraw/zinc/51/93/44/851519344.db2.gz STCKKWYPZJRDII-CQSZACIVSA-N 0 1 277.324 0.759 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2c[nH]nc2C)C1 ZINC001046528866 851535128 /nfs/dbraw/zinc/53/51/28/851535128.db2.gz SOTWGKSNZIFKPE-AWEZNQCLSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc3n[nH]c(=O)n3c2)C1 ZINC001046699258 851585794 /nfs/dbraw/zinc/58/57/94/851585794.db2.gz MXBZSIZFYRAEDH-HNNXBMFYSA-N 0 1 299.334 0.262 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccn(C)n2)C1 ZINC001046836604 851626609 /nfs/dbraw/zinc/62/66/09/851626609.db2.gz VBHSCBTYGUEMQB-CQSZACIVSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001046854181 851631921 /nfs/dbraw/zinc/63/19/21/851631921.db2.gz LOTDABRDRHVYNB-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001046852842 851632781 /nfs/dbraw/zinc/63/27/81/851632781.db2.gz QGEFVUYJAZUGDW-IUODEOHRSA-N 0 1 287.367 0.054 20 30 CCEDMN N#Cc1nccnc1N[C@H]1C[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001046872351 851637022 /nfs/dbraw/zinc/63/70/22/851637022.db2.gz WDSJRCJXQBFAQY-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1nccnc1N[C@H]1C[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001046872351 851637025 /nfs/dbraw/zinc/63/70/25/851637025.db2.gz WDSJRCJXQBFAQY-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCC(=O)N1)C2 ZINC001096151930 851664951 /nfs/dbraw/zinc/66/49/51/851664951.db2.gz JDSSSJHGMKXMMC-NDBYEHHHSA-N 0 1 277.368 0.563 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C2CCOCC2)C1 ZINC001047277127 851691431 /nfs/dbraw/zinc/69/14/31/851691431.db2.gz OCLXTQLQDXQODG-KBPBESRZSA-N 0 1 282.384 0.493 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccccc2F)C1 ZINC001047285977 851699189 /nfs/dbraw/zinc/69/91/89/851699189.db2.gz XZJGRUIEOJQCIR-GJZGRUSLSA-N 0 1 290.338 0.966 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C2=COCCC2)C1 ZINC001047320434 851714327 /nfs/dbraw/zinc/71/43/27/851714327.db2.gz QHTWKWVPPPDKEI-KBPBESRZSA-N 0 1 280.368 0.760 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2c[nH]c(C)n2)C1 ZINC001047326322 851717061 /nfs/dbraw/zinc/71/70/61/851717061.db2.gz SZEHMPGWLBPAEB-STQMWFEESA-N 0 1 278.356 0.411 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2nccn2CC)C1 ZINC001047363657 851738289 /nfs/dbraw/zinc/73/82/89/851738289.db2.gz AXSCFGJOIUYTLB-STQMWFEESA-N 0 1 290.367 0.043 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001047371155 851741202 /nfs/dbraw/zinc/74/12/02/851741202.db2.gz YKKHYDAOWLMFFU-BJDJZHNGSA-N 0 1 286.322 0.414 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CCC2(F)F)C1 ZINC001047423317 851758626 /nfs/dbraw/zinc/75/86/26/851758626.db2.gz MDERJRSFDUWBIH-WOPDTQHZSA-N 0 1 286.322 0.559 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cncc(C)c2)C1 ZINC001047472225 851775221 /nfs/dbraw/zinc/77/52/21/851775221.db2.gz YJDXWCDMQMNRCV-GJZGRUSLSA-N 0 1 289.379 0.622 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)[C@H]2CN(CC(=C)C)C[C@@H]2O)c1 ZINC001047521378 851802693 /nfs/dbraw/zinc/80/26/93/851802693.db2.gz OUQJAQOFTVJGJD-HOTGVXAUSA-N 0 1 299.374 0.756 20 30 CCEDMN Cc1cc(CN2C[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@@H]3C2)ncn1 ZINC001048725087 852035120 /nfs/dbraw/zinc/03/51/20/852035120.db2.gz NJMJMOATESXVAT-BNOWGMLFSA-N 0 1 299.378 0.835 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CN(Cc3ccnn3C)C[C@H]2C1 ZINC001048726446 852037046 /nfs/dbraw/zinc/03/70/46/852037046.db2.gz FABYHFNSRADGQL-RWMBFGLXSA-N 0 1 287.367 0.470 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1nccnc1N ZINC001049386001 852253709 /nfs/dbraw/zinc/25/37/09/852253709.db2.gz JPCLSDDRNNOJKC-QWHCGFSZSA-N 0 1 299.378 0.761 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CN(C)CCO1 ZINC001049392250 852256251 /nfs/dbraw/zinc/25/62/51/852256251.db2.gz WTQTUDDJEZITMR-KFWWJZLASA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCC(=O)NC1 ZINC001049569469 852315413 /nfs/dbraw/zinc/31/54/13/852315413.db2.gz ZDNWATBEJVSTGX-BFHYXJOUSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCN(C)C1=O ZINC001049651501 852334407 /nfs/dbraw/zinc/33/44/07/852334407.db2.gz IFEVXXYOQFWAKA-MELADBBJSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccnn1 ZINC001049809805 852381410 /nfs/dbraw/zinc/38/14/10/852381410.db2.gz ZEUHJDFHJUMSFZ-UONOGXRCSA-N 0 1 270.336 0.789 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nonc1C)C2 ZINC001096661277 852425359 /nfs/dbraw/zinc/42/53/59/852425359.db2.gz BXPRMYJEFXKBHM-WOPDTQHZSA-N 0 1 274.324 0.736 20 30 CCEDMN N#Cc1ccc(N[C@@H](CNC(=O)c2cnn[nH]2)C2CC2)cn1 ZINC001096854211 852457874 /nfs/dbraw/zinc/45/78/74/852457874.db2.gz UQOYIPRNKBYVFN-LBPRGKRZSA-N 0 1 297.322 0.692 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CC(=O)N(C)C1)C2 ZINC001097169111 852509640 /nfs/dbraw/zinc/50/96/40/852509640.db2.gz XOYPEXPQWJCYFF-SYQHCUMBSA-N 0 1 289.379 0.210 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(F)CCOC1)C2 ZINC001097714631 852587289 /nfs/dbraw/zinc/58/72/89/852587289.db2.gz PKBBBWKCHAXLDC-CXTNEJHOSA-N 0 1 280.343 0.860 20 30 CCEDMN C[C@H](CNC(=O)[C@@H]1CCCN1C)Nc1ccc(C#N)nn1 ZINC001097729284 852593727 /nfs/dbraw/zinc/59/37/27/852593727.db2.gz HVRMSIFYMPVQOM-PWSUYJOCSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@H]1CCN(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CC#N)C1 ZINC001097852216 852631784 /nfs/dbraw/zinc/63/17/84/852631784.db2.gz ZMZASZTYKVJJQT-DGAVXFQQSA-N 0 1 276.384 0.573 20 30 CCEDMN C#CCN1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CCCN1C)O2 ZINC001053582182 852766448 /nfs/dbraw/zinc/76/64/48/852766448.db2.gz AYCONOAYCAIMEJ-UONOGXRCSA-N 0 1 291.395 0.063 20 30 CCEDMN CC#CCN1CC2(C1)C[C@H](NC(=O)[C@H]1CCCN1C)CO2 ZINC001053755930 852805767 /nfs/dbraw/zinc/80/57/67/852805767.db2.gz LXAJWQZNMNSNEQ-UONOGXRCSA-N 0 1 291.395 0.063 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccnnc1)C2 ZINC001097914804 852844023 /nfs/dbraw/zinc/84/40/23/852844023.db2.gz NODGSKZHUDCGSM-UPJWGTAASA-N 0 1 258.325 0.998 20 30 CCEDMN C=C(C)CN1CC2(C1)C[C@@H](NC(=O)CN1CCCC1)CO2 ZINC001054009283 852868894 /nfs/dbraw/zinc/86/88/94/852868894.db2.gz SMBQMFHDKRXVBF-CQSZACIVSA-N 0 1 293.411 0.618 20 30 CCEDMN C#CC[NH2+][C@H]1CN(C(=O)c2cccc3nn[n-]c32)C[C@@H]1C ZINC001054673432 852994656 /nfs/dbraw/zinc/99/46/56/852994656.db2.gz PTWFSBHVTXZRNP-GWCFXTLKSA-N 0 1 283.335 0.641 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cn[nH]c(=O)c2)C[C@H]1C ZINC001054765206 853010373 /nfs/dbraw/zinc/01/03/73/853010373.db2.gz MAZJYFOCASUEKL-LDYMZIIASA-N 0 1 296.758 0.985 20 30 CCEDMN CC(=O)NCCN1CCC(NC(=O)C#CC2CC2)CC1 ZINC001055483731 853079125 /nfs/dbraw/zinc/07/91/25/853079125.db2.gz NHZNAEBOOYCDFK-UHFFFAOYSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCCCC(=O)NCCN1CCC(NC(=O)CC)CC1 ZINC001055567660 853086823 /nfs/dbraw/zinc/08/68/23/853086823.db2.gz KEBXRFMHESPLDD-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ncccn3)[C@@H]2C1 ZINC001050168586 853329267 /nfs/dbraw/zinc/32/92/67/853329267.db2.gz BGESOGQTFHBSQA-QWHCGFSZSA-N 0 1 270.336 0.646 20 30 CCEDMN C=CCN1CCC2(CN(C(=O)C3CS(=O)(=O)C3)C2)CC1 ZINC001050528851 853393303 /nfs/dbraw/zinc/39/33/03/853393303.db2.gz DGUAKRXCFRUQME-UHFFFAOYSA-N 0 1 298.408 0.141 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC2(C1)CCN(CC(N)=O)CC2 ZINC001050614285 853402266 /nfs/dbraw/zinc/40/22/66/853402266.db2.gz VNTTZFSGOFXZBU-UHFFFAOYSA-N 0 1 293.411 0.998 20 30 CCEDMN C#CCCN1CCOC[C@H]1CNC(=O)[C@H]1CCCCN1C ZINC001050984143 853499941 /nfs/dbraw/zinc/49/99/41/853499941.db2.gz YVKUPXOLIQOFEK-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN N#CCN1CCOC[C@@H]1CNC(=O)c1ccc2cncn2c1 ZINC001051028180 853509725 /nfs/dbraw/zinc/50/97/25/853509725.db2.gz IHEVYAOTQRJUGV-AWEZNQCLSA-N 0 1 299.334 0.288 20 30 CCEDMN N#Cc1cccnc1NC1CC(CNC(=O)c2cnn[nH]2)C1 ZINC001052144615 853693798 /nfs/dbraw/zinc/69/37/98/853693798.db2.gz XXVBCFJSMIHQEY-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cccnc1N1CCC(NC(=O)c2ncn[nH]2)CC1 ZINC001057888384 853788946 /nfs/dbraw/zinc/78/89/46/853788946.db2.gz OOXIAHZJNOUPPN-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cccnc1N1CCC(NC(=O)c2nc[nH]n2)CC1 ZINC001057888384 853788951 /nfs/dbraw/zinc/78/89/51/853788951.db2.gz OOXIAHZJNOUPPN-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@@H](CNC(=O)Cc1nnc[nH]1)Nc1ncccc1C#N ZINC001098056842 853878983 /nfs/dbraw/zinc/87/89/83/853878983.db2.gz ZINNGCAFDYGFBU-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN C[C@@H]1[C@H](Nc2ccc(C#N)nn2)CCN1C(=O)c1ccn[nH]1 ZINC001068750812 853922646 /nfs/dbraw/zinc/92/26/46/853922646.db2.gz XFKZYQVGEADPRC-MWLCHTKSSA-N 0 1 297.322 0.786 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)C1CC(Nc2ccncc2C#N)C1 ZINC001069708826 853972067 /nfs/dbraw/zinc/97/20/67/853972067.db2.gz WKCZNASSPYIECG-UHFFFAOYSA-N 0 1 296.334 0.813 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C=C\C2CC2)C[C@H]1c1cn(C)cn1 ZINC001070476413 854064385 /nfs/dbraw/zinc/06/43/85/854064385.db2.gz LKCFSNPMYVDXQH-BYRFQNSZSA-N 0 1 298.390 0.904 20 30 CCEDMN CC#CCN1C[C@@H](OC)C[C@H]1Cn1ccc(NC(C)=O)n1 ZINC001070594837 854079721 /nfs/dbraw/zinc/07/97/21/854079721.db2.gz SXQDDUNAIMHOMR-KBPBESRZSA-N 0 1 290.367 0.954 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)C(F)F)C[C@@H](C)O2 ZINC001071117237 854123443 /nfs/dbraw/zinc/12/34/43/854123443.db2.gz SDKSJOWKBFYUAB-ZWNOBZJWSA-N 0 1 272.295 0.577 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)c1cnon1)C[C@@H](C)O2 ZINC001071221488 854138979 /nfs/dbraw/zinc/13/89/79/854138979.db2.gz QNOIOBXTOJGYGI-RISCZKNCSA-N 0 1 292.339 0.561 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2=COCCO2)CC[C@H]1C ZINC001071317867 854156565 /nfs/dbraw/zinc/15/65/65/854156565.db2.gz ZTVUMVVHQCTMFW-NEPJUHHUSA-N 0 1 264.325 0.477 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2)CC[C@H]1C ZINC001071383706 854172211 /nfs/dbraw/zinc/17/22/11/854172211.db2.gz LSTBUTRKVLVKBL-OCCSQVGLSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cnn(C)c2)CC[C@H]1C ZINC001071383706 854172214 /nfs/dbraw/zinc/17/22/14/854172214.db2.gz LSTBUTRKVLVKBL-OCCSQVGLSA-N 0 1 274.368 0.565 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2cn(C)nn2)CC[C@H]1C ZINC001071466502 854208201 /nfs/dbraw/zinc/20/82/01/854208201.db2.gz CYFWZIAZICQNCJ-VXGBXAGGSA-N 0 1 277.372 0.974 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CC[C@@H](C)N(CC#N)C2)n[nH]1 ZINC001071498204 854222630 /nfs/dbraw/zinc/22/26/30/854222630.db2.gz RFEGPKISEOWGFY-KOLCDFICSA-N 0 1 276.344 0.148 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cn(C)nc2C)CC[C@@H]1C ZINC001071571642 854239012 /nfs/dbraw/zinc/23/90/12/854239012.db2.gz JSJJAPRDQPOYJK-WFASDCNBSA-N 0 1 288.395 0.873 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@@H]1C ZINC001071776525 854284795 /nfs/dbraw/zinc/28/47/95/854284795.db2.gz UVUYUHFPZKJEFU-RYUDHWBXSA-N 0 1 288.351 0.376 20 30 CCEDMN C[C@H]1CC[C@H](NC(=O)c2[nH]ncc2F)CN1CC#N ZINC001071799665 854295028 /nfs/dbraw/zinc/29/50/28/854295028.db2.gz LLHLDCBZOLJLDU-IUCAKERBSA-N 0 1 265.292 0.655 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CC[C@@H](C)N(CCO)C2)nn1 ZINC001071884042 854311699 /nfs/dbraw/zinc/31/16/99/854311699.db2.gz WRJULUOZBWMMNW-VXGBXAGGSA-N 0 1 293.371 0.039 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ncc[nH]2)CC[C@@H]1C ZINC001071933900 854321475 /nfs/dbraw/zinc/32/14/75/854321475.db2.gz CLSYKJIIVHBHNM-NWDGAFQWSA-N 0 1 260.341 0.555 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cncn2C)CC[C@H]1C ZINC001071990239 854332300 /nfs/dbraw/zinc/33/23/00/854332300.db2.gz WNRYFKJDCQKNGU-CHWSQXEVSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2ccccc2=O)CC[C@@H]1C ZINC001072064516 854342021 /nfs/dbraw/zinc/34/20/21/854342021.db2.gz ZUTWFNMFQRJINB-KBPBESRZSA-N 0 1 287.363 0.451 20 30 CCEDMN Cc1nc(CN2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)n[nH]1 ZINC001072552329 854414015 /nfs/dbraw/zinc/41/40/15/854414015.db2.gz OAPCLWCMUSZIEN-JTQLQIEISA-N 0 1 288.355 0.307 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(C1)CCN(Cc1cnn(C)c1)C2 ZINC001072550139 854414866 /nfs/dbraw/zinc/41/48/66/854414866.db2.gz NBEUTXDBGFMLPC-LBPRGKRZSA-N 0 1 287.367 0.614 20 30 CCEDMN Cc1nc(CN2CCC3(CN(C(=O)C#CC4CC4)C3)C2)n[nH]1 ZINC001072721451 854452454 /nfs/dbraw/zinc/45/24/54/854452454.db2.gz SEXHJZFQTREJSO-UHFFFAOYSA-N 0 1 299.378 0.561 20 30 CCEDMN Cn1cc(CN2CCC3(CN(C(=O)C#CC4CC4)C3)C2)cn1 ZINC001072718631 854452978 /nfs/dbraw/zinc/45/29/78/854452978.db2.gz GMOYZVCSWLGTFO-UHFFFAOYSA-N 0 1 298.390 0.868 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3nn(C)cc3C)C2)C1 ZINC001072726975 854454528 /nfs/dbraw/zinc/45/45/28/854454528.db2.gz WDXHVGNXULAKPJ-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)c3c[nH]cc4ncnc3-4)C2)C1 ZINC001072760047 854459949 /nfs/dbraw/zinc/45/99/49/854459949.db2.gz MXSGBZVKBMQILD-UHFFFAOYSA-N 0 1 296.334 0.629 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccnc4[nH]cnc43)C2)C1 ZINC001072786116 854462850 /nfs/dbraw/zinc/46/28/50/854462850.db2.gz VTHRDPMRNXSAEB-UHFFFAOYSA-N 0 1 295.346 0.739 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCn3ccccc3=O)C2)C1 ZINC001072799302 854464891 /nfs/dbraw/zinc/46/48/91/854464891.db2.gz JHTQENXEJFGSDY-UHFFFAOYSA-N 0 1 299.374 0.406 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc4n(n3)CCO4)C2)C1 ZINC001072816968 854471899 /nfs/dbraw/zinc/47/18/99/854471899.db2.gz JLWXHCOFNSIXCP-UHFFFAOYSA-N 0 1 286.335 0.057 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3c(C)ncn3C)C2)C1 ZINC001072831118 854475619 /nfs/dbraw/zinc/47/56/19/854475619.db2.gz INWHFBGTEABKEZ-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C2=COCCO2)C1 ZINC001073519609 854574845 /nfs/dbraw/zinc/57/48/45/854574845.db2.gz PSBHMYJQVYCDNS-GFCCVEGCSA-N 0 1 282.340 0.268 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001073523431 854576157 /nfs/dbraw/zinc/57/61/57/854576157.db2.gz ZFFLNSRWLNCSJM-OLZOCXBDSA-N 0 1 268.357 0.559 20 30 CCEDMN C#CCN1CCCO[C@@H](CNC(=O)c2ccc(C)n2C)C1 ZINC001073532113 854580783 /nfs/dbraw/zinc/58/07/83/854580783.db2.gz OHVUCEPBIBECQY-AWEZNQCLSA-N 0 1 289.379 0.787 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2cc(C)n[nH]2)C1 ZINC001073548374 854587815 /nfs/dbraw/zinc/58/78/15/854587815.db2.gz JNYNLORGTHMOBF-CYBMUJFWSA-N 0 1 290.367 0.562 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H]2CCCCO2)C1 ZINC001073569944 854599632 /nfs/dbraw/zinc/59/96/32/854599632.db2.gz HODNKECSXJSJSR-KGLIPLIRSA-N 0 1 282.384 0.949 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001073614087 854615921 /nfs/dbraw/zinc/61/59/21/854615921.db2.gz RRQAHLXZXRUDHM-DZKIICNBSA-N 0 1 292.379 0.253 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001073651956 854624954 /nfs/dbraw/zinc/62/49/54/854624954.db2.gz LKZMYKAMZZFZHC-QWHCGFSZSA-N 0 1 295.383 0.048 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C[C@H]1C ZINC001075104074 854812219 /nfs/dbraw/zinc/81/22/19/854812219.db2.gz PITPDXHUGWZSQP-GHMZBOCLSA-N 0 1 291.355 0.880 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C[C@H]1C ZINC001075104074 854812221 /nfs/dbraw/zinc/81/22/21/854812221.db2.gz PITPDXHUGWZSQP-GHMZBOCLSA-N 0 1 291.355 0.880 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1cc(C)cn1)C2 ZINC001111459514 854884242 /nfs/dbraw/zinc/88/42/42/854884242.db2.gz BDWITEGKJQHAHG-KFWWJZLASA-N 0 1 286.379 0.936 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H](C)Nc1ncnc2[nH]cnc21 ZINC001098947985 854898906 /nfs/dbraw/zinc/89/89/06/854898906.db2.gz VLOMKRPWUPSKCT-BDAKNGLRSA-N 0 1 287.327 0.819 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnc(C)n2C)C1 ZINC001098996525 854904108 /nfs/dbraw/zinc/90/41/08/854904108.db2.gz NUYUEOGMNXUFKB-BBRMVZONSA-N 0 1 286.379 0.946 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@]23CCC[C@H]2C[N@H+](CCO)C3)c1 ZINC001099021444 854906095 /nfs/dbraw/zinc/90/60/95/854906095.db2.gz MMXMSPXPCMNVNE-RDJZCZTQSA-N 0 1 299.374 0.640 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)NC(N)=O ZINC001099028242 854907692 /nfs/dbraw/zinc/90/76/92/854907692.db2.gz ADMQLPPJFXXFQV-RYUDHWBXSA-N 0 1 294.399 0.283 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H](C)C#N)C[C@H]1C(F)(F)F ZINC001099225821 854922892 /nfs/dbraw/zinc/92/28/92/854922892.db2.gz ISQUPGYAGISVPD-IVZWLZJFSA-N 0 1 273.258 0.758 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)Cn1cncn1 ZINC001099335330 854928467 /nfs/dbraw/zinc/92/84/67/854928467.db2.gz VTFBWDAXMPXHKS-ZDUSSCGKSA-N 0 1 289.383 0.518 20 30 CCEDMN C[C@@H](CCNC(=O)Cc1nnc[nH]1)Nc1ccc(C#N)nc1 ZINC001099482960 854934550 /nfs/dbraw/zinc/93/45/50/854934550.db2.gz DYWSGAUKNKAPKA-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)COC)CC2(CCOCC2)C1 ZINC001099559826 854939040 /nfs/dbraw/zinc/93/90/40/854939040.db2.gz JETAPZPNGUHYKP-CQSZACIVSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C\c2ccco2)[C@H](O)C1 ZINC001099642893 854955871 /nfs/dbraw/zinc/95/58/71/854955871.db2.gz YATILHUEMNQBCV-NHQLZKDQSA-N 0 1 288.347 0.868 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOC(C)C)[C@@H](O)C1 ZINC001099693230 854970883 /nfs/dbraw/zinc/97/08/83/854970883.db2.gz NYBPBLIGJWNZNS-STQMWFEESA-N 0 1 270.373 0.539 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccoc2)[C@@H](O)C1 ZINC001099778365 854992891 /nfs/dbraw/zinc/99/28/91/854992891.db2.gz JPENPIZXRQIVQR-KGLIPLIRSA-N 0 1 278.352 0.950 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CC[N@H+](CC(=C)C)C[C@H]1O ZINC001099804554 854998967 /nfs/dbraw/zinc/99/89/67/854998967.db2.gz OTCAXRZVMWCCLH-UONOGXRCSA-N 0 1 264.369 0.917 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CC[N@H+](CC(=C)C)C[C@@H]1O ZINC001099804553 854999874 /nfs/dbraw/zinc/99/98/74/854999874.db2.gz OTCAXRZVMWCCLH-KGLIPLIRSA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccncc2)[C@H](O)C1 ZINC001099903992 855026877 /nfs/dbraw/zinc/02/68/77/855026877.db2.gz OPPOFNOKPYYLGU-LSDHHAIUSA-N 0 1 289.379 0.752 20 30 CCEDMN CN(CCNC(=O)c1[nH]ncc1F)c1ccc(C#N)cn1 ZINC001100310622 855145074 /nfs/dbraw/zinc/14/50/74/855145074.db2.gz BAAXFFIWIJFDBA-UHFFFAOYSA-N 0 1 288.286 0.682 20 30 CCEDMN Cn1ncnc1CNC1CC(CNC(=O)C#CC2CC2)C1 ZINC001100314734 855145224 /nfs/dbraw/zinc/14/52/24/855145224.db2.gz BXZMWRHYAAAMOK-UHFFFAOYSA-N 0 1 287.367 0.213 20 30 CCEDMN CCN(CCNC(=O)Cc1nnc[nH]1)c1ccc(C#N)nc1 ZINC001100611619 855197329 /nfs/dbraw/zinc/19/73/29/855197329.db2.gz JKBSNRCBHDLUFQ-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)C(F)F)[C@H](C)C1 ZINC001101657168 855330511 /nfs/dbraw/zinc/33/05/11/855330511.db2.gz KXPYNQMOQJPVJD-NXEZZACHSA-N 0 1 289.326 0.238 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)C(C)C)[C@H](C)C1 ZINC001101727042 855354791 /nfs/dbraw/zinc/35/47/91/855354791.db2.gz DOBZWBMRLHCUBJ-CHWSQXEVSA-N 0 1 281.400 0.629 20 30 CCEDMN CN(CCNc1nccnc1C#N)C(=O)CCc1cnc[nH]1 ZINC001102021521 855408090 /nfs/dbraw/zinc/40/80/90/855408090.db2.gz NJMMKTIFGBSJTA-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)C(C)(C)C)[C@H](C)C1 ZINC001102526237 855442069 /nfs/dbraw/zinc/44/20/69/855442069.db2.gz QMHAFHVTGSFZNB-CHWSQXEVSA-N 0 1 293.411 0.466 20 30 CCEDMN C[C@H](CNC(=O)c1ncn[nH]1)CNc1ncccc1C#N ZINC001104059502 855565279 /nfs/dbraw/zinc/56/52/79/855565279.db2.gz MTAUAIDUQTUISA-VIFPVBQESA-N 0 1 285.311 0.549 20 30 CCEDMN C[C@H](CNC(=O)c1nc[nH]n1)CNc1ncccc1C#N ZINC001104059502 855565282 /nfs/dbraw/zinc/56/52/82/855565282.db2.gz MTAUAIDUQTUISA-VIFPVBQESA-N 0 1 285.311 0.549 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)COC ZINC001115051962 855637577 /nfs/dbraw/zinc/63/75/77/855637577.db2.gz CZAKWNRNVNYEOE-NMKXLXIOSA-N 0 1 250.342 0.339 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CC(C)C)C(N)=O ZINC001115362089 855666254 /nfs/dbraw/zinc/66/62/54/855666254.db2.gz AMFXOZVBBBZXRC-IGQOVBAYSA-N 0 1 291.395 0.204 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1ccn[nH]1)Nc1ccc(C#N)nn1 ZINC001115667466 855689276 /nfs/dbraw/zinc/68/92/76/855689276.db2.gz IENWWEVNNIZRSP-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)COCC)C1 ZINC001149074262 855728900 /nfs/dbraw/zinc/72/89/00/855728900.db2.gz INLODGYCXHJWBX-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)COCC)C1 ZINC001149074262 855728904 /nfs/dbraw/zinc/72/89/04/855728904.db2.gz INLODGYCXHJWBX-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN N#Cc1cc(F)ccc1NC(=O)C(=O)NCc1c[nH]nn1 ZINC001116706935 855816894 /nfs/dbraw/zinc/81/68/94/855816894.db2.gz OQHDEXUNDFNWGB-UHFFFAOYSA-N 0 1 288.242 0.070 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CC(N2CCN(C)CC2)C1 ZINC001116868538 855853318 /nfs/dbraw/zinc/85/33/18/855853318.db2.gz CFYFJTDTLHLVFS-CYBMUJFWSA-N 0 1 281.400 0.426 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC001117375582 855958856 /nfs/dbraw/zinc/95/88/56/855958856.db2.gz QTYUJYJYVRYVJT-JSGCOSHPSA-N 0 1 291.395 0.505 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C[C@@H]2CCCC(=O)N2)CC1 ZINC001117504678 856001697 /nfs/dbraw/zinc/00/16/97/856001697.db2.gz YHASUUMORXXOLC-NSHDSACASA-N 0 1 278.356 0.149 20 30 CCEDMN C#CCSCCNC(=O)C(=O)N1CCNC[C@@H]1CCC ZINC001118038032 856143879 /nfs/dbraw/zinc/14/38/79/856143879.db2.gz PYNATTYKMITOKX-LBPRGKRZSA-N 0 1 297.424 0.070 20 30 CCEDMN CCCN1CCCC[C@H]1C(=O)N[C@@H]1CCCN(O)C1=O ZINC001118317716 856256606 /nfs/dbraw/zinc/25/66/06/856256606.db2.gz YVUHOGQBUODCPQ-NEPJUHHUSA-N 0 1 283.372 0.747 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001118374908 856278515 /nfs/dbraw/zinc/27/85/15/856278515.db2.gz YXFHGFPKOKEMHQ-ZJUUUORDSA-N 0 1 277.328 0.819 20 30 CCEDMN N#CCC1(O)CN(Cc2ccnn2-c2ccncc2)C1 ZINC001118638725 856375677 /nfs/dbraw/zinc/37/56/77/856375677.db2.gz DWRRKDVMDXQISP-UHFFFAOYSA-N 0 1 269.308 0.728 20 30 CCEDMN C#CCCN1CCN(CCOCCOCC)CC1 ZINC001119691571 856839232 /nfs/dbraw/zinc/83/92/32/856839232.db2.gz KWFHXTUIZFBTCI-UHFFFAOYSA-N 0 1 254.374 0.680 20 30 CCEDMN C=CCCC[C@@H](NC(=O)CCc1nc[nH]n1)C(=O)OC ZINC001120079967 856978403 /nfs/dbraw/zinc/97/84/03/856978403.db2.gz TYWCFLHUVLTCCS-SNVBAGLBSA-N 0 1 280.328 0.751 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CNC(=O)c1ccccc1 ZINC001323339133 912279472 /nfs/dbraw/zinc/27/94/72/912279472.db2.gz DQNVBLPVAZJZHZ-HNNXBMFYSA-N 0 1 299.374 0.630 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCCN2C(C)=O)CC1 ZINC001392959444 912287492 /nfs/dbraw/zinc/28/74/92/912287492.db2.gz KAHXKXCGZDYWKC-GFCCVEGCSA-N 0 1 299.802 0.988 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)[C@H]2CC2(F)F)CC1 ZINC001323437308 912346356 /nfs/dbraw/zinc/34/63/56/912346356.db2.gz HBSNDKTUXUXMQV-VHSXEESVSA-N 0 1 299.321 0.018 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CNC(=O)c1ccn2cncc2c1 ZINC001393047084 912352676 /nfs/dbraw/zinc/35/26/76/912352676.db2.gz PAUUITCERXIHBK-MNOVXSKESA-N 0 1 299.334 0.728 20 30 CCEDMN C#CCN(C(=O)[C@@H]1CCOC1)C1CCN(CC#CC)CC1 ZINC001324373418 912807721 /nfs/dbraw/zinc/80/77/21/912807721.db2.gz GDSBXAWAHCCGRC-OAHLLOKOSA-N 0 1 288.391 0.972 20 30 CCEDMN C#CCN(C(=O)COCC(F)F)C1CCN(CC#C)CC1 ZINC001324407060 912831076 /nfs/dbraw/zinc/83/10/76/912831076.db2.gz DYZDPMZYEAWAOM-UHFFFAOYSA-N 0 1 298.333 0.828 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H]2CC[C@@H](C1)N2[C@H](C)C(N)=O ZINC001324521600 912885462 /nfs/dbraw/zinc/88/54/62/912885462.db2.gz ITQXERQRKBMHBS-UPJWGTAASA-N 0 1 295.383 0.128 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)Cc2ccoc2)C1 ZINC001325083037 913201518 /nfs/dbraw/zinc/20/15/18/913201518.db2.gz QJBUSUQKWIHZKW-CQSZACIVSA-N 0 1 262.309 0.008 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccn(C(F)F)n2)C1 ZINC001325097990 913210797 /nfs/dbraw/zinc/21/07/97/913210797.db2.gz PIANKVXGRSBWEV-ZDUSSCGKSA-N 0 1 298.293 0.078 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)C[C@H]1CCCO1 ZINC001394732358 913376204 /nfs/dbraw/zinc/37/62/04/913376204.db2.gz ZOIBLWWRCLWVKE-NWDGAFQWSA-N 0 1 290.791 0.717 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCc2ncc(C)o2)C1 ZINC001266331883 891452463 /nfs/dbraw/zinc/45/24/63/891452463.db2.gz WYIAUPUDVHQISH-LBPRGKRZSA-N 0 1 279.340 0.876 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)C(C)(F)F)C1 ZINC001325816884 913584909 /nfs/dbraw/zinc/58/49/09/913584909.db2.gz CPKFLNXDBSODJM-CYBMUJFWSA-N 0 1 274.311 0.608 20 30 CCEDMN C[N@H+]1CC[C@H](CC(=O)Nc2nc(C#N)c(C#N)[n-]2)C1 ZINC001300045007 892046385 /nfs/dbraw/zinc/04/63/85/892046385.db2.gz OMRCMDGIJXFEDM-MRVPVSSYSA-N 0 1 258.285 0.433 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC001481007484 892047408 /nfs/dbraw/zinc/04/74/08/892047408.db2.gz CURVZYIHUPWAEK-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN(CC(=O)NC(C)C)C1 ZINC001481083640 892146276 /nfs/dbraw/zinc/14/62/76/892146276.db2.gz OBFVQPGHWBSGOM-CYBMUJFWSA-N 0 1 281.400 0.915 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H]1CCN([C@@H]2CCNC2=O)C1 ZINC001481096989 892161859 /nfs/dbraw/zinc/16/18/59/892161859.db2.gz WRWCLUABYPDNQY-CHWSQXEVSA-N 0 1 279.384 0.669 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001481112270 892177314 /nfs/dbraw/zinc/17/73/14/892177314.db2.gz OCMZVMRSEQQMFQ-ZDUSSCGKSA-N 0 1 288.351 0.178 20 30 CCEDMN C=C(C)C[N@@H+](CCC)CCNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001481152344 892240624 /nfs/dbraw/zinc/24/06/24/892240624.db2.gz NIAPLHURTGNHJK-UHFFFAOYSA-N 0 1 297.359 0.094 20 30 CCEDMN CC#CCN(CCNC(=O)c1ncc(OC)cn1)C1CC1 ZINC001481177839 892278351 /nfs/dbraw/zinc/27/83/51/892278351.db2.gz MGGDIMBCFFIIBK-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN C[C@@H](NCCN(C)C(=O)[C@@H](C)C#N)c1cnccn1 ZINC001481214826 892360604 /nfs/dbraw/zinc/36/06/04/892360604.db2.gz AXFPHZAZTMMEOM-WDEREUQCSA-N 0 1 261.329 0.745 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1C=CC=CC=C1 ZINC001283759161 892362957 /nfs/dbraw/zinc/36/29/57/892362957.db2.gz FBQSESXUUBAIBU-CQSZACIVSA-N 0 1 260.337 0.375 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc2[nH]ccc2c1 ZINC001283797974 892421882 /nfs/dbraw/zinc/42/18/82/892421882.db2.gz TULINXCHFLOCHA-CYBMUJFWSA-N 0 1 271.320 0.481 20 30 CCEDMN C=CCN1CC(CNC(=O)COCc2cc(C)on2)C1 ZINC001481633627 892939294 /nfs/dbraw/zinc/93/92/94/892939294.db2.gz VRQHCHSAHVYCEG-UHFFFAOYSA-N 0 1 279.340 0.734 20 30 CCEDMN C=CCOCCCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](C)O ZINC001481668872 892983952 /nfs/dbraw/zinc/98/39/52/892983952.db2.gz RPPOBTMMWZSHNE-IHRRRGAJSA-N 0 1 282.384 0.635 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC[C@]2(C)CCC(=O)N2)C1 ZINC001481697367 893022380 /nfs/dbraw/zinc/02/23/80/893022380.db2.gz JDUYTNQXDVOWEH-XJKSGUPXSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CCNC(=O)NC ZINC001481807280 893171426 /nfs/dbraw/zinc/17/14/26/893171426.db2.gz IBMNCMMJUUOZAJ-SNVBAGLBSA-N 0 1 290.795 0.542 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CNCc2nncn2C)CCCC1 ZINC001481891491 893248916 /nfs/dbraw/zinc/24/89/16/893248916.db2.gz HCCRVWLSQXTGTM-LLVKDONJSA-N 0 1 290.371 0.493 20 30 CCEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)CNC(C)=O)C1 ZINC001482178468 893463959 /nfs/dbraw/zinc/46/39/59/893463959.db2.gz KCCSVVAIHSSQCO-OCCSQVGLSA-N 0 1 297.399 0.294 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C(C)(C)C1CC1 ZINC001284510211 893592881 /nfs/dbraw/zinc/59/28/81/893592881.db2.gz VOSXHCZRMKHBHG-CYBMUJFWSA-N 0 1 266.385 0.807 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(=O)n(C)n1 ZINC001482360889 893915505 /nfs/dbraw/zinc/91/55/05/893915505.db2.gz SVSGXPSZLOSGIW-JTQLQIEISA-N 0 1 298.774 0.583 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cn1cncn1 ZINC001482362066 893916758 /nfs/dbraw/zinc/91/67/58/893916758.db2.gz SKGZBPQTFRJUAP-SNVBAGLBSA-N 0 1 271.752 0.467 20 30 CCEDMN CN(CC#N)CCN(C)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001482597828 894375097 /nfs/dbraw/zinc/37/50/97/894375097.db2.gz AILVPSNCQPEFOQ-LLVKDONJSA-N 0 1 275.356 0.743 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)C1CCCC1 ZINC001482974789 894743273 /nfs/dbraw/zinc/74/32/73/894743273.db2.gz JDZAQABTUHDWOC-WAYWQWQTSA-N 0 1 279.384 0.741 20 30 CCEDMN CCc1nc([C@H](C)NCC=CCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001482990957 894779206 /nfs/dbraw/zinc/77/92/06/894779206.db2.gz KHIQTCRXLGWPKJ-PVTPVBHGSA-N 0 1 290.371 0.850 20 30 CCEDMN CCN(CC)C(=O)CNC/C=C\CNC(=O)C#CC(C)C ZINC001483006852 894797267 /nfs/dbraw/zinc/79/72/67/894797267.db2.gz ZYSHFMGOJCHRQS-FPLPWBNLSA-N 0 1 293.411 0.776 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](CNC(=O)CN2CCCC2)C1 ZINC001396522290 913905369 /nfs/dbraw/zinc/90/53/69/913905369.db2.gz IXAWHAAOMHZUNN-RWMBFGLXSA-N 0 1 292.383 0.253 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C/CN[C@@H]1CCNC1=O ZINC001483043917 894830007 /nfs/dbraw/zinc/83/00/07/894830007.db2.gz MGMMFVUVMHTVIQ-MVIFTORASA-N 0 1 265.357 0.349 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N[C@H](C)CNCC#N ZINC001483133418 895003861 /nfs/dbraw/zinc/00/38/61/895003861.db2.gz CYKLBJWRULHPRC-GHMZBOCLSA-N 0 1 258.341 0.284 20 30 CCEDMN C[C@@H](CNCc1ccn(C)n1)NC(=O)c1c[nH]c(C#N)c1 ZINC001483221364 895131811 /nfs/dbraw/zinc/13/18/11/895131811.db2.gz VGVMIHYUUMFCNH-JTQLQIEISA-N 0 1 286.339 0.528 20 30 CCEDMN C=C(Cl)CN(C)[C@@H](C)CNC(=O)CCc1c[nH]nn1 ZINC001409610857 895278144 /nfs/dbraw/zinc/27/81/44/895278144.db2.gz JCLJQEQTLINIRD-JTQLQIEISA-N 0 1 285.779 0.926 20 30 CCEDMN C=C(Cl)CN(C)[C@@H](C)CNC(=O)CCc1cnn[nH]1 ZINC001409610857 895278159 /nfs/dbraw/zinc/27/81/59/895278159.db2.gz JCLJQEQTLINIRD-JTQLQIEISA-N 0 1 285.779 0.926 20 30 CCEDMN CCCC[C@@H](C(N)=O)N(C)C[C@H](C)NC(=O)C#CC1CC1 ZINC001483336362 895474159 /nfs/dbraw/zinc/47/41/59/895474159.db2.gz MJNSDNLZIHKEOP-JSGCOSHPSA-N 0 1 293.411 0.880 20 30 CCEDMN COC(=O)c1nc[nH]c1NC(=O)c1cccc(C#N)n1 ZINC001361439148 895478173 /nfs/dbraw/zinc/47/81/73/895478173.db2.gz UCLBYYKNRGVEFX-UHFFFAOYSA-N 0 1 271.236 0.715 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cn(C)nc1C1CC1 ZINC001483341455 895481466 /nfs/dbraw/zinc/48/14/66/895481466.db2.gz CTVQJSUPYCYCDT-LLVKDONJSA-N 0 1 274.368 0.981 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnc2n[nH]nc2c1 ZINC001483346875 895485413 /nfs/dbraw/zinc/48/54/13/895485413.db2.gz GLRFRZXAJBVPRH-SECBINFHSA-N 0 1 272.312 0.036 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cccc2ncnn21 ZINC001483359026 895496273 /nfs/dbraw/zinc/49/62/73/895496273.db2.gz ZLGBUCGSRKOXPG-GFCCVEGCSA-N 0 1 285.351 0.803 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCc2nnc(C)n2C1 ZINC001483421023 895552964 /nfs/dbraw/zinc/55/29/64/895552964.db2.gz PJKRXZRYGNCENE-WCQYABFASA-N 0 1 289.383 0.219 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)CNC(=O)C1CC1)C1CC1 ZINC001483546622 895640713 /nfs/dbraw/zinc/64/07/13/895640713.db2.gz NCQGZGOCENZGJD-GFCCVEGCSA-N 0 1 299.802 0.750 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)C[C@@H]1CCOC1 ZINC001483638746 895769760 /nfs/dbraw/zinc/76/97/60/895769760.db2.gz VCSUOYCJDKWXAV-UONOGXRCSA-N 0 1 282.384 0.806 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2n[nH]c(C3CC3)n2)nc1 ZINC001326545062 914008100 /nfs/dbraw/zinc/00/81/00/914008100.db2.gz ORSWZYIXCSYOEW-UHFFFAOYSA-N 0 1 267.292 0.988 20 30 CCEDMN CCc1nc([C@@H](C)NC2(CNC(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001483723695 895918048 /nfs/dbraw/zinc/91/80/48/895918048.db2.gz VKNUPBDMQNJPQF-NXEZZACHSA-N 0 1 290.371 0.826 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)COCCOC ZINC001483807158 896065233 /nfs/dbraw/zinc/06/52/33/896065233.db2.gz BRMLNBOYARJMMQ-KGLIPLIRSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCCCCC(=O)NCC1(C)CCN(CC(N)=O)CC1 ZINC001484134395 896207033 /nfs/dbraw/zinc/20/70/33/896207033.db2.gz OUQKCUIZJWNHTM-UHFFFAOYSA-N 0 1 293.411 0.884 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)/C=C/C(C)(C)C)C1 ZINC001484207128 896240832 /nfs/dbraw/zinc/24/08/32/896240832.db2.gz FJDTWJVTMJPIMU-LQYUOIDQSA-N 0 1 264.369 0.775 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2cocc2C)C1 ZINC001484232335 896266986 /nfs/dbraw/zinc/26/69/86/896266986.db2.gz SFLHWMVLXASKED-HNNXBMFYSA-N 0 1 276.336 0.778 20 30 CCEDMN C#CCOCCC(=O)N[C@]1(CO)CCCN(CC=C)C1 ZINC001484433656 896406085 /nfs/dbraw/zinc/40/60/85/896406085.db2.gz BGPFDPAPNKPNQN-OAHLLOKOSA-N 0 1 280.368 0.155 20 30 CCEDMN COCc1nc(CNC(=O)C2(C#N)CC(C)C2)n[nH]1 ZINC001328464847 915213420 /nfs/dbraw/zinc/21/34/20/915213420.db2.gz KLWAPJXDDZOAHQ-UHFFFAOYSA-N 0 1 263.301 0.507 20 30 CCEDMN COCc1nnc(CNC(=O)C2(C#N)CC(C)C2)[nH]1 ZINC001328464847 915213432 /nfs/dbraw/zinc/21/34/32/915213432.db2.gz KLWAPJXDDZOAHQ-UHFFFAOYSA-N 0 1 263.301 0.507 20 30 CCEDMN C=CCNC(=O)CN(C)CCCN(C)C(=O)c1ccco1 ZINC001484732117 896566330 /nfs/dbraw/zinc/56/63/30/896566330.db2.gz XZZWCTVOXAJBFL-UHFFFAOYSA-N 0 1 293.367 0.976 20 30 CCEDMN C=C(Cl)CN[C@]1(CO)CCCN(C(=O)COC)C1 ZINC001484828192 896614525 /nfs/dbraw/zinc/61/45/25/896614525.db2.gz BOHVXKYGMJQUAV-GFCCVEGCSA-N 0 1 276.764 0.328 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](NC(=O)C(F)F)C[C@H]1C ZINC001484967076 896688874 /nfs/dbraw/zinc/68/88/74/896688874.db2.gz DOUXMJPLMNQXTC-NXEZZACHSA-N 0 1 289.326 0.523 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cc(C)no2)CC1 ZINC001485013142 896711929 /nfs/dbraw/zinc/71/19/29/896711929.db2.gz JXIRUYXKIZVLGD-UHFFFAOYSA-N 0 1 279.340 0.726 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)CC2CCOCC2)CC1 ZINC001485015996 896719044 /nfs/dbraw/zinc/71/90/44/896719044.db2.gz UJYOYSHMPBJRKI-UHFFFAOYSA-N 0 1 294.395 0.379 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C[C@@H](C)COC)CC1 ZINC001485025597 896723457 /nfs/dbraw/zinc/72/34/57/896723457.db2.gz GROIGCCMRQINHO-CYBMUJFWSA-N 0 1 284.400 0.788 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C[C@H]1CCC(=O)N1 ZINC001485071024 896750503 /nfs/dbraw/zinc/75/05/03/896750503.db2.gz IDWSAMLCYJJHDU-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCCN1C(=O)CCC ZINC001485390515 897015127 /nfs/dbraw/zinc/01/51/27/897015127.db2.gz UPVHNYDVRQCNAP-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN C=CCCN1CC(CCO)(NC(=O)CCc2cnc[nH]2)C1 ZINC001485407229 897041709 /nfs/dbraw/zinc/04/17/09/897041709.db2.gz STYIYMOKFFEUFJ-UHFFFAOYSA-N 0 1 292.383 0.471 20 30 CCEDMN CCCC[C@@H](CNCC#N)NC(=O)[C@@H]1CCCN1C ZINC001485462457 897061488 /nfs/dbraw/zinc/06/14/88/897061488.db2.gz WNTXVPJVYQPPSX-STQMWFEESA-N 0 1 266.389 0.869 20 30 CCEDMN C[C@@H](CCCNCC#N)NC(=O)[C@H]1CCCCN1C ZINC001485727530 897212112 /nfs/dbraw/zinc/21/21/12/897212112.db2.gz MESKFDNFFSFKPF-QWHCGFSZSA-N 0 1 266.389 0.869 20 30 CCEDMN COCC#CCN(C)CCOCCNC(=O)c1ccc[nH]1 ZINC001485771395 897232974 /nfs/dbraw/zinc/23/29/74/897232974.db2.gz USYKFVYVMVYMOR-UHFFFAOYSA-N 0 1 293.367 0.343 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)Nc1nc(SCCO)n[nH]1 ZINC001434431899 897268928 /nfs/dbraw/zinc/26/89/28/897268928.db2.gz WSMSWDAQIKCKQL-UHFFFAOYSA-N 0 1 292.324 0.352 20 30 CCEDMN CC(C)N1CCO[C@@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107820740 897326812 /nfs/dbraw/zinc/32/68/12/897326812.db2.gz BWKHMMXFEGZDHZ-YPMHNXCESA-N 0 1 253.346 0.762 20 30 CCEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)CC(N)=O)C1 ZINC001107831483 897336028 /nfs/dbraw/zinc/33/60/28/897336028.db2.gz CMQPXEZTEVASQD-CQSZACIVSA-N 0 1 283.372 0.035 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)cc(F)c2)C1 ZINC001077722038 897337538 /nfs/dbraw/zinc/33/75/38/897337538.db2.gz BLVLAECYVFADPB-HUUCEWRRSA-N 0 1 290.338 0.932 20 30 CCEDMN C=CCCCN1CCO[C@](C)(CNC(=O)CC(=O)NC)C1 ZINC001107891735 897448848 /nfs/dbraw/zinc/44/88/48/897448848.db2.gz KAEJADVBABJFCK-OAHLLOKOSA-N 0 1 297.399 0.296 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCn2ccnc2C1 ZINC001032486827 897639334 /nfs/dbraw/zinc/63/93/34/897639334.db2.gz WJFSJYCOYLCGDT-KKUMJFAQSA-N 0 1 298.390 0.754 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccn2nnnc2c1 ZINC001032557581 897741433 /nfs/dbraw/zinc/74/14/33/897741433.db2.gz NYGWPWICUCEERW-STQMWFEESA-N 0 1 298.350 0.599 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1csc(=O)[nH]1 ZINC001032576901 897768972 /nfs/dbraw/zinc/76/89/72/897768972.db2.gz PEWPWVFVIVGOHS-UWVGGRQHSA-N 0 1 277.349 0.771 20 30 CCEDMN C#CCNCc1cccc(N2CC[C@H](NC(=O)CC)C2)n1 ZINC001128069888 897948879 /nfs/dbraw/zinc/94/88/79/897948879.db2.gz APTMJYZGVUKBNL-AWEZNQCLSA-N 0 1 286.379 0.909 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001032720326 897972785 /nfs/dbraw/zinc/97/27/85/897972785.db2.gz PVAOZDQUEGNQDK-RDBSUJKOSA-N 0 1 298.390 0.823 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCNC(=O)[C@@H]1CCCCN1C ZINC001077883501 897994595 /nfs/dbraw/zinc/99/45/95/897994595.db2.gz VWYICGDNPALCFK-AVGNSLFASA-N 0 1 294.399 0.641 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ncccc2F)C1 ZINC001077895153 898007184 /nfs/dbraw/zinc/00/71/84/898007184.db2.gz ULYPOQWTGFFUQP-VXGBXAGGSA-N 0 1 279.315 0.572 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2coc(COC)n2)C1 ZINC001077914155 898043910 /nfs/dbraw/zinc/04/39/10/898043910.db2.gz VNMLGMSMWMCAAH-ZYHUDNBSSA-N 0 1 295.339 0.172 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2cccnc2)C1 ZINC001078022904 898187364 /nfs/dbraw/zinc/18/73/64/898187364.db2.gz XIZZGGZWHGHGHF-BPLDGKMQSA-N 0 1 287.363 0.370 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H](C)NC(=O)CN1CCCC1 ZINC001078133417 898259062 /nfs/dbraw/zinc/25/90/62/898259062.db2.gz JUBQNHXUXKLMEM-NEPJUHHUSA-N 0 1 280.372 0.253 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(F)cc(F)c2)C1 ZINC001078135665 898259249 /nfs/dbraw/zinc/25/92/49/898259249.db2.gz KWWRLNBWMGQEQL-ZIAGYGMSSA-N 0 1 294.301 0.763 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3ccc[nH]3)C2)OCC1=O ZINC001272926568 898356630 /nfs/dbraw/zinc/35/66/30/898356630.db2.gz SFIJISNQRBALCZ-OAHLLOKOSA-N 0 1 273.336 0.451 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H](C)NCc1nccnc1C ZINC001485854824 898462878 /nfs/dbraw/zinc/46/28/78/898462878.db2.gz HNRJTCVJYCZJOX-LBPRGKRZSA-N 0 1 292.383 0.924 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1COC(=O)N1 ZINC001485870221 898478069 /nfs/dbraw/zinc/47/80/69/898478069.db2.gz DZMXNZWKFMKUEZ-BDAKNGLRSA-N 0 1 275.736 0.284 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(C(N)=O)[nH]1 ZINC001485923718 898510099 /nfs/dbraw/zinc/51/00/99/898510099.db2.gz LICDUQBCDIALPS-VIFPVBQESA-N 0 1 298.774 0.916 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H](C)OCC(C)C ZINC001485981484 898565620 /nfs/dbraw/zinc/56/56/20/898565620.db2.gz YWYGSFQAJSSORM-OLZOCXBDSA-N 0 1 270.373 0.138 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H](CC)CCC ZINC001486049315 898614422 /nfs/dbraw/zinc/61/44/22/898614422.db2.gz ZONXEWHGZHZODH-STQMWFEESA-N 0 1 254.374 0.903 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H](CO)NCC#CCOC)C1 ZINC001486032600 898620229 /nfs/dbraw/zinc/62/02/29/898620229.db2.gz CGJUMGUIGDDDIP-ZDUSSCGKSA-N 0 1 280.368 0.059 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@]12CCC[C@H]1N(CC(=O)N(C)C)CC2 ZINC001486136922 898680430 /nfs/dbraw/zinc/68/04/30/898680430.db2.gz DQQLYGZTWYHOPO-JMSVASOKSA-N 0 1 292.383 0.347 20 30 CCEDMN C=CCC[NH2+]C[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001486272904 898755900 /nfs/dbraw/zinc/75/59/00/898755900.db2.gz QIBTUXCCCNOGRT-LLVKDONJSA-N 0 1 279.340 0.386 20 30 CCEDMN C#CCCCC(=O)N(C)C1CC(NCc2ncnn2C)C1 ZINC001486460362 898881157 /nfs/dbraw/zinc/88/11/57/898881157.db2.gz SKKVTIKDIUWPMA-UHFFFAOYSA-N 0 1 289.383 0.698 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)CCOC(C)C)CC1 ZINC001328515515 915244643 /nfs/dbraw/zinc/24/46/43/915244643.db2.gz AHUVLHUOMRKRPQ-UHFFFAOYSA-N 0 1 282.384 0.378 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001327079454 914358969 /nfs/dbraw/zinc/35/89/69/914358969.db2.gz IGRSKONVKBBPPB-UWVGGRQHSA-N 0 1 295.343 0.392 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCOC1CCOCC1 ZINC001493042474 899662053 /nfs/dbraw/zinc/66/20/53/899662053.db2.gz MPXYXABSHHQCTR-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CC(NCc2cn(C)nn2)C1 ZINC001411236311 899749366 /nfs/dbraw/zinc/74/93/66/899749366.db2.gz NMXYOYODDTUTMZ-BMQDGWLCSA-N 0 1 276.344 0.054 20 30 CCEDMN COc1ncc(NS(=O)(=O)[C@@H](C)C#N)cc1F ZINC001193106993 900031905 /nfs/dbraw/zinc/03/19/05/900031905.db2.gz NEOSSPGXGIGJPY-LURJTMIESA-N 0 1 259.262 0.883 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cn(CC)nn2)C1 ZINC001193109698 900032676 /nfs/dbraw/zinc/03/26/76/900032676.db2.gz KRWZVXYSSYKPCY-GFCCVEGCSA-N 0 1 275.356 0.468 20 30 CCEDMN CCN(C)c1ncc(NS(=O)(=O)[C@H](C)C#N)cn1 ZINC001193110650 900034382 /nfs/dbraw/zinc/03/43/82/900034382.db2.gz AGIRNUKFWIKTAD-MRVPVSSYSA-N 0 1 269.330 0.586 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)Oc1ccccn1 ZINC001115133845 900035788 /nfs/dbraw/zinc/03/57/88/900035788.db2.gz GHIAPTWRAYZARU-NYTXWWLZSA-N 0 1 299.374 0.919 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CN(C)C(=O)c1ccn[nH]1 ZINC001487714527 900107878 /nfs/dbraw/zinc/10/78/78/900107878.db2.gz LXMZBJLIWHPCON-LLVKDONJSA-N 0 1 276.340 0.646 20 30 CCEDMN C=CCN(C(=O)C(=O)N1CC[C@@H](N2CC=CC2)C1)C1CC1 ZINC001327214443 914424122 /nfs/dbraw/zinc/42/41/22/914424122.db2.gz CKRVHDTXSRWGJM-CQSZACIVSA-N 0 1 289.379 0.636 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(CCO)C[C@H]1c1ccccc1 ZINC001488885766 900414684 /nfs/dbraw/zinc/41/46/84/900414684.db2.gz ZCDUTPPVRBFQNB-DLBZAZTESA-N 0 1 298.386 0.976 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)C[C@@H]1CCCCN1C(=O)CC ZINC001489317880 900464390 /nfs/dbraw/zinc/46/43/90/900464390.db2.gz SCHUCYGTVKYOJS-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CC(N)=O ZINC001489320347 900468651 /nfs/dbraw/zinc/46/86/51/900468651.db2.gz YEOWIHFXUQAZOG-LBPRGKRZSA-N 0 1 265.357 0.198 20 30 CCEDMN CN(C[C@H]1CCN1C(=O)c1ccn[nH]1)C(=O)C#CC1CC1 ZINC001489740578 900503229 /nfs/dbraw/zinc/50/32/29/900503229.db2.gz KZLWHWIBFLVKOU-GFCCVEGCSA-N 0 1 286.335 0.496 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C1=CCCC1 ZINC001490175669 900556861 /nfs/dbraw/zinc/55/68/61/900556861.db2.gz IHTKBIQZFOECSZ-ZDUSSCGKSA-N 0 1 291.395 0.673 20 30 CCEDMN CC#CC[NH2+][C@@H](C)[C@H]1CCCCN1C(=O)Cc1nnc[n-]1 ZINC001490304032 900595728 /nfs/dbraw/zinc/59/57/28/900595728.db2.gz SVZGCEHLTUGQEF-QWHCGFSZSA-N 0 1 289.383 0.730 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)NC(C)=O)C1 ZINC001490426227 900626714 /nfs/dbraw/zinc/62/67/14/900626714.db2.gz RSLRJCGEZAQWBV-PWSUYJOCSA-N 0 1 253.346 0.278 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)CCCC)CC1 ZINC001490481639 900637347 /nfs/dbraw/zinc/63/73/47/900637347.db2.gz GWZXKZLFHONWJT-UHFFFAOYSA-N 0 1 265.401 0.934 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2[nH]ccc2C)CC1 ZINC001490491381 900639210 /nfs/dbraw/zinc/63/92/10/900639210.db2.gz KQISUKAIYGUQHY-UHFFFAOYSA-N 0 1 288.395 0.694 20 30 CCEDMN C=CCCCC(=O)N[C@H]1CCN(CCN2CCNC2=O)C1 ZINC001490559357 900663211 /nfs/dbraw/zinc/66/32/11/900663211.db2.gz PZUOTZGWWZJBEB-ZDUSSCGKSA-N 0 1 294.399 0.558 20 30 CCEDMN CC#CCN1CCC(N(CCC)C(=O)CC(N)=O)CC1 ZINC001490613041 900676326 /nfs/dbraw/zinc/67/63/26/900676326.db2.gz STDCLGPUEIOGAX-UHFFFAOYSA-N 0 1 279.384 0.588 20 30 CCEDMN CCCN(C(=O)COC)C1CCN(CC#CCOC)CC1 ZINC001490611725 900677700 /nfs/dbraw/zinc/67/77/00/900677700.db2.gz ZFNMJLVBRMWHGQ-UHFFFAOYSA-N 0 1 296.411 0.986 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CNC(=O)CCc1nc[nH]n1 ZINC001295458428 900906487 /nfs/dbraw/zinc/90/64/87/900906487.db2.gz LZWRSMITMHPNGD-LLVKDONJSA-N 0 1 291.355 0.018 20 30 CCEDMN C=CCCC(=O)N1CC(N(C)C(=O)CCc2c[nH]nn2)C1 ZINC001299410792 900982468 /nfs/dbraw/zinc/98/24/68/900982468.db2.gz KKPOBXLJGXSWSH-UHFFFAOYSA-N 0 1 291.355 0.373 20 30 CCEDMN C=CCCC(=O)N1CC(N(C)C(=O)CCc2cnn[nH]2)C1 ZINC001299410792 900982474 /nfs/dbraw/zinc/98/24/74/900982474.db2.gz KKPOBXLJGXSWSH-UHFFFAOYSA-N 0 1 291.355 0.373 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@H]1CCN(CC)C1=O ZINC001442915382 901082594 /nfs/dbraw/zinc/08/25/94/901082594.db2.gz ABUPBBQPSBOTJE-STQMWFEESA-N 0 1 294.399 0.595 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](C)n1cncn1 ZINC001445548843 901213299 /nfs/dbraw/zinc/21/32/99/901213299.db2.gz AAUWYLIPEYAZTM-UWVGGRQHSA-N 0 1 271.752 0.686 20 30 CCEDMN CCCC[C@@H](CNC(=O)c1cnn[nH]1)NC(=O)[C@H](C)C#N ZINC001411894297 901311449 /nfs/dbraw/zinc/31/14/49/901311449.db2.gz XVYXXZMYEQKCGH-ZJUUUORDSA-N 0 1 292.343 0.369 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)cn1 ZINC001412165364 901510635 /nfs/dbraw/zinc/51/06/35/901510635.db2.gz DBCNWCXOUKLLOX-UHFFFAOYSA-N 0 1 297.278 0.216 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001412274232 901613563 /nfs/dbraw/zinc/61/35/63/901613563.db2.gz ZTXJKLMYSLSRDX-SNVBAGLBSA-N 0 1 289.339 0.632 20 30 CCEDMN Cc1cc(C2CCN(C(=O)Cn3cnc(C#N)n3)CC2)n[nH]1 ZINC001412746414 902012497 /nfs/dbraw/zinc/01/24/97/902012497.db2.gz FOSTYLMFKWYCPN-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)/C(C)=C/C)C1 ZINC001493191443 902028620 /nfs/dbraw/zinc/02/86/20/902028620.db2.gz GHRCNVIJRNOPEW-YQJFHSMKSA-N 0 1 279.384 0.693 20 30 CCEDMN CCn1nc(C)c(CN[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001490878274 902222361 /nfs/dbraw/zinc/22/23/61/902222361.db2.gz GZWVEWLMIXOHRI-DLOVCJGASA-N 0 1 290.371 0.503 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](NC(=O)c1cnn[nH]1)C(C)(C)C ZINC001413474338 902979098 /nfs/dbraw/zinc/97/90/98/902979098.db2.gz ZXSHMAXULGCWQF-SCZZXKLOSA-N 0 1 292.343 0.225 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC[C@@H](Cc3nn[nH]n3)C2)co1 ZINC001413563152 903055170 /nfs/dbraw/zinc/05/51/70/903055170.db2.gz RXMZQHAFKLPTEO-VIFPVBQESA-N 0 1 286.295 0.759 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@H](N[C@H](C)c2nncn2C)C1 ZINC001490887223 903116028 /nfs/dbraw/zinc/11/60/28/903116028.db2.gz VRNSJQFSRZHXLW-JHJVBQTASA-N 0 1 289.383 0.916 20 30 CCEDMN COCC#CCN1CCC[C@H](CNC(=O)[C@H](C)OC)C1 ZINC001491092333 903363529 /nfs/dbraw/zinc/36/35/29/903363529.db2.gz BNXXSDZTGKVGNL-UONOGXRCSA-N 0 1 282.384 0.499 20 30 CCEDMN COCCN1CCCC[C@@H]1CNC(=O)CSCC#N ZINC001491197534 903438738 /nfs/dbraw/zinc/43/87/38/903438738.db2.gz XITCVWGKERVDMT-GFCCVEGCSA-N 0 1 285.413 0.860 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)CC(=O)NCC ZINC001491208596 903441404 /nfs/dbraw/zinc/44/14/04/903441404.db2.gz SPBUSTZGNZDOFF-LBPRGKRZSA-N 0 1 265.357 0.117 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1CN(C(C)=O)C1 ZINC001491435752 903585071 /nfs/dbraw/zinc/58/50/71/903585071.db2.gz QXCISDOUMBQASA-SECBINFHSA-N 0 1 273.764 0.312 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](C)NCc1snnc1C ZINC001491441434 903597057 /nfs/dbraw/zinc/59/70/57/903597057.db2.gz MTMRAPOXUKDPBY-ZANVPECISA-N 0 1 298.412 0.768 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)Cc1cn(C)nc1C ZINC001329462540 903785293 /nfs/dbraw/zinc/78/52/93/903785293.db2.gz YPHPNRYBTJEZSD-LBPRGKRZSA-N 0 1 276.384 0.731 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H](C)NC(C)=O ZINC001281918500 904367308 /nfs/dbraw/zinc/36/73/08/904367308.db2.gz ASPOBCHCKXCIRK-GXTWGEPZSA-N 0 1 279.384 0.457 20 30 CCEDMN C=CC[NH2+][C@@H](C)[C@@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001282213181 904435288 /nfs/dbraw/zinc/43/52/88/904435288.db2.gz CKKCPEIAGAMJHB-JGVFFNPUSA-N 0 1 296.327 0.179 20 30 CCEDMN C[C@H](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)N(C)CC#N ZINC001282403544 904470645 /nfs/dbraw/zinc/47/06/45/904470645.db2.gz VZRMQVUAHXEFQL-GMTAPVOTSA-N 0 1 261.329 0.473 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccn(C)c(=O)c1 ZINC001282413762 904471119 /nfs/dbraw/zinc/47/11/19/904471119.db2.gz SYSPAPWRUFMKGI-NSHDSACASA-N 0 1 261.325 0.069 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccc(OC)nn1 ZINC001282478504 904486735 /nfs/dbraw/zinc/48/67/35/904486735.db2.gz PFUHHRMFEVABGZ-JTQLQIEISA-N 0 1 262.313 0.169 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](C)NC(C)=O)C2)C1 ZINC001282953037 904631538 /nfs/dbraw/zinc/63/15/38/904631538.db2.gz CWQKDJNHFZKZKB-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCCCC(=O)NCCNC(=O)Cc1n[nH]c(C)n1 ZINC001283005706 904666506 /nfs/dbraw/zinc/66/65/06/904666506.db2.gz YOFNLWHKWOEDDI-UHFFFAOYSA-N 0 1 291.355 0.082 20 30 CCEDMN N#CCNC[C@H]1C[C@H](NC(=O)CCCCc2cn[nH]n2)C1 ZINC001283068396 904688532 /nfs/dbraw/zinc/68/85/32/904688532.db2.gz RACWAPUHHMTFNJ-AULYBMBSSA-N 0 1 290.371 0.525 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNC(=O)c1cnn[nH]1 ZINC001283104972 904696570 /nfs/dbraw/zinc/69/65/70/904696570.db2.gz FCXJPDSHPAWDOQ-RKDXNWHRSA-N 0 1 265.317 0.109 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H](C)CCCC ZINC001283744735 904989865 /nfs/dbraw/zinc/98/98/65/904989865.db2.gz NJUYABJKCCRFHS-QWHCGFSZSA-N 0 1 254.374 0.903 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccsc1C ZINC001283756613 904997648 /nfs/dbraw/zinc/99/76/48/904997648.db2.gz NWIKKBNFBIZVGJ-SNVBAGLBSA-N 0 1 252.339 0.370 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1conc1C1CC1 ZINC001283805034 905026229 /nfs/dbraw/zinc/02/62/29/905026229.db2.gz VBABTABADMGGFJ-LLVKDONJSA-N 0 1 277.324 0.256 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1c(C)cccc1C ZINC001283804781 905029335 /nfs/dbraw/zinc/02/93/35/905029335.db2.gz NGCYSJSVCCLARR-ZDUSSCGKSA-N 0 1 260.337 0.617 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001283891909 905076304 /nfs/dbraw/zinc/07/63/04/905076304.db2.gz ZASJNDRQMQLHFH-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001283891909 905076321 /nfs/dbraw/zinc/07/63/21/905076321.db2.gz ZASJNDRQMQLHFH-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001283996695 905111536 /nfs/dbraw/zinc/11/15/36/905111536.db2.gz SQXYKCKLUKBCSC-JTQLQIEISA-N 0 1 276.340 0.953 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1C[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001284091213 905143940 /nfs/dbraw/zinc/14/39/40/905143940.db2.gz BQWYDAFOJMULLE-TXEJJXNPSA-N 0 1 286.335 0.129 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CCCn1ccnc1 ZINC001284520592 905355618 /nfs/dbraw/zinc/35/56/18/905355618.db2.gz VGZVERFRJVVRIL-AWEZNQCLSA-N 0 1 292.383 0.048 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1c[nH]cc1C ZINC001284520857 905357434 /nfs/dbraw/zinc/35/74/34/905357434.db2.gz ZWRWRDJMOXIATJ-GFCCVEGCSA-N 0 1 263.341 0.321 20 30 CCEDMN C=CCCOCC(=O)NC1CN(C(=O)c2cnc(C)[nH]2)C1 ZINC001284918713 905486936 /nfs/dbraw/zinc/48/69/36/905486936.db2.gz IBKSVUFOSNAMBC-UHFFFAOYSA-N 0 1 292.339 0.251 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@H](CC)CNC(=O)c1cnn[nH]1 ZINC001285072333 905538379 /nfs/dbraw/zinc/53/83/79/905538379.db2.gz FVUYBNKSHBEYAP-VHSXEESVSA-N 0 1 295.343 0.020 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001285388807 905612768 /nfs/dbraw/zinc/61/27/68/905612768.db2.gz DUMDORVITOJOLG-GHMZBOCLSA-N 0 1 291.355 0.621 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001285388807 905612778 /nfs/dbraw/zinc/61/27/78/905612778.db2.gz DUMDORVITOJOLG-GHMZBOCLSA-N 0 1 291.355 0.621 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001285679119 905714174 /nfs/dbraw/zinc/71/41/74/905714174.db2.gz PYGVNEYOGYALQB-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN C#CCCCCC(=O)NC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001285765076 905757762 /nfs/dbraw/zinc/75/77/62/905757762.db2.gz PAVANSNQFBQGMH-JTQLQIEISA-N 0 1 277.328 0.233 20 30 CCEDMN C#CCCCCC(=O)NC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001285765076 905757767 /nfs/dbraw/zinc/75/77/67/905757767.db2.gz PAVANSNQFBQGMH-JTQLQIEISA-N 0 1 277.328 0.233 20 30 CCEDMN C=CCn1nnnc1N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC001332939330 905819371 /nfs/dbraw/zinc/81/93/71/905819371.db2.gz KWLRCXRDHIRAAU-TXEJJXNPSA-N 0 1 280.376 0.405 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@H](OC)C1CCC1 ZINC001379231460 906079226 /nfs/dbraw/zinc/07/92/26/906079226.db2.gz LKNVBQVMDGTPNB-NWDGAFQWSA-N 0 1 290.791 0.621 20 30 CCEDMN C=CCCCC(=O)N1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC001292397112 906209180 /nfs/dbraw/zinc/20/91/80/906209180.db2.gz ZTZULABUKQRWJV-UHFFFAOYSA-N 0 1 290.367 0.979 20 30 CCEDMN C[C@@H]1C[C@H]1C(=O)NC[C@H](CO)NCc1cccc(C#N)c1 ZINC001379466578 906262154 /nfs/dbraw/zinc/26/21/54/906262154.db2.gz OSZXTUNFKZPBDU-KCPJHIHWSA-N 0 1 287.363 0.781 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNC(=O)c1[nH]nc(C)c1C ZINC001292599754 906294100 /nfs/dbraw/zinc/29/41/00/906294100.db2.gz RHXBEODZCLZCAS-CQSZACIVSA-N 0 1 294.355 0.200 20 30 CCEDMN N#Cc1cccc(C#N)c1NCC[N@@H+]1CC[C@@H](O)C1 ZINC001334939017 906480868 /nfs/dbraw/zinc/48/08/68/906480868.db2.gz IMCPKISCTFHXBQ-CYBMUJFWSA-N 0 1 256.309 0.908 20 30 CCEDMN N#Cc1cccc(C#N)c1NCCN1CC[C@@H](O)C1 ZINC001334939017 906480874 /nfs/dbraw/zinc/48/08/74/906480874.db2.gz IMCPKISCTFHXBQ-CYBMUJFWSA-N 0 1 256.309 0.908 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H]([C@H](C)O)C2)CC1 ZINC001337466847 921255658 /nfs/dbraw/zinc/25/56/58/921255658.db2.gz MTZOSPUMULJCKE-GXTWGEPZSA-N 0 1 264.369 0.561 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@H](C)CCNC(=O)c1cnn[nH]1 ZINC001295882715 906817199 /nfs/dbraw/zinc/81/71/99/906817199.db2.gz HREXAWKFAVYORZ-ZJUUUORDSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C(C)CCC(=O)N(C)CCNC(=O)C1=NC(=O)N(C)C1 ZINC001296219597 906881812 /nfs/dbraw/zinc/88/18/12/906881812.db2.gz KLHYIHZSHGWXIM-UHFFFAOYSA-N 0 1 294.355 0.670 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N(C)CCNC(=O)Cc1nnc[nH]1 ZINC001296245808 906883264 /nfs/dbraw/zinc/88/32/64/906883264.db2.gz DMNQEAQJXTWADI-NSHDSACASA-N 0 1 293.371 0.524 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001299061036 907375703 /nfs/dbraw/zinc/37/57/03/907375703.db2.gz QESOIZPDWXQRMK-JTQLQIEISA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCCN(CCOC)c1nnc(-c2c[nH]nn2)n1C ZINC001338061632 921355692 /nfs/dbraw/zinc/35/56/92/921355692.db2.gz YUYQICCHEBOSNC-UHFFFAOYSA-N 0 1 275.316 0.076 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1cn2cc(C)sc2n1 ZINC001492321180 907851465 /nfs/dbraw/zinc/85/14/65/907851465.db2.gz MEBHPCGQLVWKBF-UHFFFAOYSA-N 0 1 290.392 0.928 20 30 CCEDMN C[C@@H](Nc1cnccc1C#N)[C@H]1CN(C)CCN1C ZINC001338084703 921365143 /nfs/dbraw/zinc/36/51/43/921365143.db2.gz QUSUYGLKMSISRQ-BXUZGUMPSA-N 0 1 259.357 0.999 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC001302296039 908015084 /nfs/dbraw/zinc/01/50/84/908015084.db2.gz ZFVIRTWBVNCFBN-UHFFFAOYSA-N 0 1 294.286 0.611 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)c1cnco1 ZINC001316839067 908174916 /nfs/dbraw/zinc/17/49/16/908174916.db2.gz FBSIZPAQLMQLLE-LLVKDONJSA-N 0 1 294.355 0.417 20 30 CCEDMN COCC#CCN(C)[C@H]1CCCN(C(=O)c2cn[nH]c2)C1 ZINC001317372148 908184573 /nfs/dbraw/zinc/18/45/73/908184573.db2.gz RLNHQUVLXJXOOV-AWEZNQCLSA-N 0 1 290.367 0.596 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@H](F)C(C)C)C1 ZINC001316743591 908521373 /nfs/dbraw/zinc/52/13/73/908521373.db2.gz HAUYBCRDEAVTEO-ZIAGYGMSSA-N 0 1 284.375 0.899 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)CCn1cccn1 ZINC001317495882 908526977 /nfs/dbraw/zinc/52/69/77/908526977.db2.gz YUECFLUQOZULKA-CQSZACIVSA-N 0 1 274.368 0.877 20 30 CCEDMN C=CC[C@H]1CCN1C(=O)Nc1nn[nH]c1C(=O)NC ZINC001311172059 908539914 /nfs/dbraw/zinc/53/99/14/908539914.db2.gz IFEZVBUWWFGKOE-ZETCQYMHSA-N 0 1 264.289 0.347 20 30 CCEDMN CO[C@H]1CC[C@@H](NC(=O)NCC#CCN(C)C)C1 ZINC001312503885 908605241 /nfs/dbraw/zinc/60/52/41/908605241.db2.gz HJMARACMSXQPQE-NEPJUHHUSA-N 0 1 253.346 0.418 20 30 CCEDMN C#CCNCC(=O)N1CCCSC[C@@H]1c1cnn(C)c1 ZINC001341512111 908606713 /nfs/dbraw/zinc/60/67/13/908606713.db2.gz QIEDKZBHELSFMU-CYBMUJFWSA-N 0 1 292.408 0.650 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@H]1CC[C@H](C2CC2)O1 ZINC001313357507 908663822 /nfs/dbraw/zinc/66/38/22/908663822.db2.gz QDXPEMALGOEPKL-ZIAGYGMSSA-N 0 1 279.384 0.808 20 30 CCEDMN CC(C)[C@@H](O)C(C)(C)CNC(=O)NCC#CCN(C)C ZINC001313439237 908665903 /nfs/dbraw/zinc/66/59/03/908665903.db2.gz IDZJXGMLKNUMTF-CYBMUJFWSA-N 0 1 283.416 0.894 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@]2(CCOC2)C1 ZINC001313438586 908665925 /nfs/dbraw/zinc/66/59/25/908665925.db2.gz OJKJRYNRYOXSSD-AWEZNQCLSA-N 0 1 265.357 0.373 20 30 CCEDMN CO[C@@H]1CC[C@H]1N(C)C(=O)NCC#CCN(C)C ZINC001313439901 908665983 /nfs/dbraw/zinc/66/59/83/908665983.db2.gz XIKOMLDTHZPKEP-VXGBXAGGSA-N 0 1 253.346 0.370 20 30 CCEDMN CC[C@](C)(CCO)NC(=O)NCC#CCN(C)C ZINC001313438142 908667150 /nfs/dbraw/zinc/66/71/50/908667150.db2.gz HXVGTQJMUQHZLD-CYBMUJFWSA-N 0 1 255.362 0.402 20 30 CCEDMN C[C@H](CNC(=O)CCc1cnc[nH]1)NC(=O)[C@@H](C)C#N ZINC001386320071 908703082 /nfs/dbraw/zinc/70/30/82/908703082.db2.gz BIVBVYKKVSLODN-VHSXEESVSA-N 0 1 277.328 0.123 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@H+](CCOC)[C@@H]1C ZINC001316780410 908717688 /nfs/dbraw/zinc/71/76/88/908717688.db2.gz DQYCXTQZPOBZJG-MBNYWOFBSA-N 0 1 270.373 0.539 20 30 CCEDMN CCN(CCCNC(=O)C#CC1CC1)Cc1n[nH]c(C)n1 ZINC001316889541 908819173 /nfs/dbraw/zinc/81/91/73/908819173.db2.gz FLJDJCQWBNEOTP-UHFFFAOYSA-N 0 1 289.383 0.855 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)CC(=O)NCC)CC1 ZINC001316931945 908855054 /nfs/dbraw/zinc/85/50/54/908855054.db2.gz JXUYPKJQTYTBBW-UHFFFAOYSA-N 0 1 279.384 0.459 20 30 CCEDMN Cn1cnnc1CN[C@H]1C[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001317075946 908966915 /nfs/dbraw/zinc/96/69/15/908966915.db2.gz JRDPKSJVAPYFRT-HAQNSBGRSA-N 0 1 289.383 0.601 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](C)n1cncn1 ZINC001317170297 909029087 /nfs/dbraw/zinc/02/90/87/909029087.db2.gz XKFJBMSAMMJBAZ-STQMWFEESA-N 0 1 277.372 0.996 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)[C@@H]1CCCN(CC(N)=O)C1 ZINC001317211008 909048632 /nfs/dbraw/zinc/04/86/32/909048632.db2.gz WFLDGCPTLIWKRB-ZIAGYGMSSA-N 0 1 293.411 0.882 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC[C@@H](C)NCc1ccon1 ZINC001317391790 909170285 /nfs/dbraw/zinc/17/02/85/909170285.db2.gz YORNVEQUBAORPS-BXUZGUMPSA-N 0 1 281.356 0.986 20 30 CCEDMN C[C@H](CCNC(=O)C(C)(C)c1cnc[nH]1)NCC#N ZINC001317411855 909185155 /nfs/dbraw/zinc/18/51/55/909185155.db2.gz HTBDFECEUJJGGZ-SNVBAGLBSA-N 0 1 263.345 0.695 20 30 CCEDMN CC#CCCCC(=O)NCCN(CC)[C@H]1CCN(C)C1=O ZINC001317485518 909253774 /nfs/dbraw/zinc/25/37/74/909253774.db2.gz VHMZWANGHFKDFV-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCCNC(=O)C1CC1 ZINC001317502411 909276056 /nfs/dbraw/zinc/27/60/56/909276056.db2.gz QEISFRUQKPBBTB-CQSZACIVSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)C1(C(=O)NC)CC1 ZINC001317512245 909282112 /nfs/dbraw/zinc/28/21/12/909282112.db2.gz YWSCRZZZGXULQD-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2cnn(C)c2)C1 ZINC001317517319 909287376 /nfs/dbraw/zinc/28/73/76/909287376.db2.gz KURQRVZHXALIJT-CYBMUJFWSA-N 0 1 290.367 0.122 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2ocnc2C)C1 ZINC001317523654 909291556 /nfs/dbraw/zinc/29/15/56/909291556.db2.gz KTEPGEFOAUTFNC-CYBMUJFWSA-N 0 1 291.351 0.685 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)[C@H]1CCO[C@H]1C ZINC001317544060 909312758 /nfs/dbraw/zinc/31/27/58/909312758.db2.gz GLGGPOLHGQGIIL-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN(CCNC(=O)c1cc2n(n1)CCO2)C1CC1 ZINC001317551549 909327054 /nfs/dbraw/zinc/32/70/54/909327054.db2.gz HXVZUTSGXMIZHE-UHFFFAOYSA-N 0 1 274.324 0.103 20 30 CCEDMN CC[C@H](CNC(=O)CN1CCCC1)NC(=O)[C@H](C)C#N ZINC001388080257 909374015 /nfs/dbraw/zinc/37/40/15/909374015.db2.gz OPRRLSGOSMJCSY-VXGBXAGGSA-N 0 1 280.372 0.253 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N(C)CCNCc1cnnn1C ZINC001317623045 909416451 /nfs/dbraw/zinc/41/64/51/909416451.db2.gz HMWIZJJDQMWVEF-CQSZACIVSA-N 0 1 279.388 0.965 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CC[C@H](NC(=O)c2cnn[nH]2)CC1 ZINC001388327709 909437329 /nfs/dbraw/zinc/43/73/29/909437329.db2.gz OUWLTUIVUMMUFK-GUBZILKMSA-N 0 1 290.327 0.122 20 30 CCEDMN C=C(C)CN1CCN(CCN(C)C(=O)[C@H](C)COC)CC1 ZINC001317816823 909511993 /nfs/dbraw/zinc/51/19/93/909511993.db2.gz OTAYLVYPVKYQLC-OAHLLOKOSA-N 0 1 297.443 0.921 20 30 CCEDMN CN(CC(=O)N[C@@H]1CCCN(CC#N)C1)C1CCC1 ZINC001317934014 909545766 /nfs/dbraw/zinc/54/57/66/909545766.db2.gz NYXADGBETLLLDA-GFCCVEGCSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCN1CC(CNC(=O)[C@H](C)Cc2cnc[nH]2)C1 ZINC001318162116 909650592 /nfs/dbraw/zinc/65/05/92/909650592.db2.gz XYCCZPAKNNXTPZ-LLVKDONJSA-N 0 1 260.341 0.270 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CCN(CCOCC2CC2)C1 ZINC001318330667 909707679 /nfs/dbraw/zinc/70/76/79/909707679.db2.gz ADQUXASQDARWGN-UKRRQHHQSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2c(C)ncn2C)C1 ZINC001318338035 909713209 /nfs/dbraw/zinc/71/32/09/909713209.db2.gz OAGFFSYDZZUPHV-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCc2nc(C)no2)C1 ZINC001318347200 909715831 /nfs/dbraw/zinc/71/58/31/909715831.db2.gz SYLGZSSMLOKIHU-LLVKDONJSA-N 0 1 262.313 0.134 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)COC(C)C)C1 ZINC001318385441 909731517 /nfs/dbraw/zinc/73/15/17/909731517.db2.gz HEZZGWARBJLYEN-ZDUSSCGKSA-N 0 1 252.358 0.967 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCCn2ccnc2)CC1 ZINC001318641125 909847343 /nfs/dbraw/zinc/84/73/43/909847343.db2.gz NCGNIGYSBFCEMU-UHFFFAOYSA-N 0 1 275.356 0.767 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)COCC)C1 ZINC001319295477 910075719 /nfs/dbraw/zinc/07/57/19/910075719.db2.gz NKDPJNZUYKFFDP-CYBMUJFWSA-N 0 1 270.373 0.806 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)Cc2cc[nH]n2)C1 ZINC001319296925 910079602 /nfs/dbraw/zinc/07/96/02/910079602.db2.gz IBPBLMIYNFMMDD-CQSZACIVSA-N 0 1 292.383 0.735 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1C[N@@H+](CCCC=C)CCO1 ZINC001319308887 910087845 /nfs/dbraw/zinc/08/78/45/910087845.db2.gz GQRLHBZHTMOVFN-HUUCEWRRSA-N 0 1 294.395 0.808 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1CN(CCCC=C)CCO1 ZINC001319308887 910087855 /nfs/dbraw/zinc/08/78/55/910087855.db2.gz GQRLHBZHTMOVFN-HUUCEWRRSA-N 0 1 294.395 0.808 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CN(C(=O)CCc2cnc[nH]2)C1 ZINC001389923449 910145047 /nfs/dbraw/zinc/14/50/47/910145047.db2.gz MUGJDBVGZFFNPD-SNVBAGLBSA-N 0 1 289.339 0.077 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@H]1CCCc2n[nH]nc21)NCC#N ZINC001319943744 910367381 /nfs/dbraw/zinc/36/73/81/910367381.db2.gz LLJCBFDSBKPIEF-CMPLNLGQSA-N 0 1 290.371 0.479 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)C(C)(C)COC ZINC001320018082 910419190 /nfs/dbraw/zinc/41/91/90/910419190.db2.gz BDBSVLHCKYZQAK-UHFFFAOYSA-N 0 1 284.400 0.699 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H]1CCCC(=O)N1 ZINC001390445145 910422295 /nfs/dbraw/zinc/42/22/95/910422295.db2.gz WQHPUYWZOZWECC-MNOVXSKESA-N 0 1 287.791 0.892 20 30 CCEDMN C#CCOCCN(C)[C@@H](C)C(=O)NC[C@@H]1CCCO1 ZINC001320281780 910579299 /nfs/dbraw/zinc/57/92/99/910579299.db2.gz KYIGXEIHUXSADP-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC/C=C\CNCc1ccnn1C ZINC001321039220 911039533 /nfs/dbraw/zinc/03/95/33/911039533.db2.gz OFIDBJSIFOTGMD-YVACAVLKSA-N 0 1 292.383 0.509 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)Cn1ccccc1=O ZINC001321387751 911271462 /nfs/dbraw/zinc/27/14/62/911271462.db2.gz HTXQCSYMLWYYEW-ONEGZZNKSA-N 0 1 295.770 0.863 20 30 CCEDMN CC[C@H](CNC(=O)[C@H](C)C#N)NCc1ccnc(OC)n1 ZINC001391670471 911314148 /nfs/dbraw/zinc/31/41/48/911314148.db2.gz QNKDBNIXIXXUDS-GHMZBOCLSA-N 0 1 291.355 0.629 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H](C)CNCc1ocnc1C ZINC001321513309 911338311 /nfs/dbraw/zinc/33/83/11/911338311.db2.gz CNMLYAQGUMBPSY-PWSUYJOCSA-N 0 1 279.340 0.616 20 30 CCEDMN C=CCCN1CCN(C(=O)N2CCOCC2)CC1 ZINC001321760091 911476590 /nfs/dbraw/zinc/47/65/90/911476590.db2.gz KCKFGXZKNPJFGA-UHFFFAOYSA-N 0 1 253.346 0.632 20 30 CCEDMN O=C(CN1CCC(CO)CC1)NCC#C[C@H]1CCCCO1 ZINC001339192508 921677547 /nfs/dbraw/zinc/67/75/47/921677547.db2.gz CEROHASXMJSHAB-OAHLLOKOSA-N 0 1 294.395 0.379 20 30 CCEDMN CCc1ocnc1C(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001322137110 911675684 /nfs/dbraw/zinc/67/56/84/911675684.db2.gz WVSGRIRFTWZIPG-LBPRGKRZSA-N 0 1 293.367 0.937 20 30 CCEDMN C#CCOCCN(C)CCn1cc([N+](=O)[O-])ccc1=O ZINC001323114829 912127720 /nfs/dbraw/zinc/12/77/20/912127720.db2.gz LEQDBXFVPPVGLT-UHFFFAOYSA-N 0 1 279.296 0.338 20 30 CCEDMN C=CCN(C(=O)Cc1ccn[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC001339496086 921738835 /nfs/dbraw/zinc/73/88/35/921738835.db2.gz RLRRTAIBHWIKFR-NSHDSACASA-N 0 1 283.353 0.154 20 30 CCEDMN C#CC1CCN(CC(=O)N2CCC(C(N)=O)CC2)CC1 ZINC001328182492 915020396 /nfs/dbraw/zinc/02/03/96/915020396.db2.gz ZNEAGYKLRHOFHB-UHFFFAOYSA-N 0 1 277.368 0.056 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C(C)(F)F)CC1 ZINC001328510090 915241403 /nfs/dbraw/zinc/24/14/03/915241403.db2.gz UBHNYOOPBHMFMU-UHFFFAOYSA-N 0 1 262.300 0.771 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)/C=C\c2ccco2)CC1 ZINC001328509747 915242780 /nfs/dbraw/zinc/24/27/80/915242780.db2.gz MPWIQLQCQGEUOY-WAYWQWQTSA-N 0 1 288.347 0.869 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C1CC(OC)C1 ZINC001328733646 915399739 /nfs/dbraw/zinc/39/97/39/915399739.db2.gz QLGINCNMLWEGKZ-MOKVOYLWSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccnc2n[nH]nc21 ZINC001328750706 915409333 /nfs/dbraw/zinc/40/93/33/915409333.db2.gz UQZJCJHINCUOEJ-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1c[nH]c(=O)n1C ZINC001328753026 915409703 /nfs/dbraw/zinc/40/97/03/915409703.db2.gz OEMNKWBEMZYKIP-LLVKDONJSA-N 0 1 276.340 0.295 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C)CCOCC ZINC001339638652 921782625 /nfs/dbraw/zinc/78/26/25/921782625.db2.gz AFZXLOIMQCPPOQ-UHFFFAOYSA-N 0 1 277.332 0.722 20 30 CCEDMN C=C[C@@H](O)c1nnc(N2CCN(CC)CC2)n1C ZINC001339646563 921785891 /nfs/dbraw/zinc/78/58/91/921785891.db2.gz CTFGFGCZVIQAAO-SNVBAGLBSA-N 0 1 251.334 0.176 20 30 CCEDMN C[C@H](NC(=O)Cc1ccc(C#N)nc1)c1nnc[nH]1 ZINC001329184453 915732939 /nfs/dbraw/zinc/73/29/39/915732939.db2.gz PWTJSGSINFYYHX-QMMMGPOBSA-N 0 1 256.269 0.491 20 30 CCEDMN CC(C)COC[C@@H](O)CN1CC(CNC(=O)[C@@H](C)C#N)C1 ZINC001400464920 915760480 /nfs/dbraw/zinc/76/04/80/915760480.db2.gz IFEUUGJYDJWMEZ-JSGCOSHPSA-N 0 1 297.399 0.228 20 30 CCEDMN CCn1cc(C(=O)NC[C@@H](C)N(C)CC#CCOC)cn1 ZINC001329394607 915878879 /nfs/dbraw/zinc/87/88/79/915878879.db2.gz AAMYAIDMMCCHBW-CYBMUJFWSA-N 0 1 292.383 0.603 20 30 CCEDMN C#CCCS(=O)(=O)N1CCC(N2CCN(C)CC2)CC1 ZINC001329476616 915948807 /nfs/dbraw/zinc/94/88/07/915948807.db2.gz KNYVDLDWQOSRBF-UHFFFAOYSA-N 0 1 299.440 0.051 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@H]1C[C@@H]1C ZINC001329523426 915985057 /nfs/dbraw/zinc/98/50/57/915985057.db2.gz JTHLSMOAZIABKU-XQQFMLRXSA-N 0 1 252.358 0.729 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(C)[C@@H](CC)C(N)=O ZINC001329524753 915987064 /nfs/dbraw/zinc/98/70/64/915987064.db2.gz RTDVGYBWMKNSLF-MNOVXSKESA-N 0 1 269.389 0.899 20 30 CCEDMN C[NH2+][C@H](C)CC[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC001329591099 916045244 /nfs/dbraw/zinc/04/52/44/916045244.db2.gz QYDXLINYRGXEQS-MRVPVSSYSA-N 0 1 257.315 0.428 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cnn(C)n1 ZINC001401258149 916148912 /nfs/dbraw/zinc/14/89/12/916148912.db2.gz KCHXDRQWHDNWAZ-VIFPVBQESA-N 0 1 271.752 0.618 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CC[C@H]1CCOC1 ZINC001401508136 916292799 /nfs/dbraw/zinc/29/27/99/916292799.db2.gz OOZGQTWUAXPGET-RYUDHWBXSA-N 0 1 290.791 0.622 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1ccc(C)nc1 ZINC001401563789 916327866 /nfs/dbraw/zinc/32/78/66/916327866.db2.gz KIKYPVQMFZESTJ-ZDUSSCGKSA-N 0 1 297.786 0.752 20 30 CCEDMN N#CCC1(O)CN(C(=O)[C@@H]2CCN2C2CCCC2)C1 ZINC001330247520 916505192 /nfs/dbraw/zinc/50/51/92/916505192.db2.gz IQIBPJZNKVCHRQ-LBPRGKRZSA-N 0 1 263.341 0.490 20 30 CCEDMN C=C(CO)C(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC001330281672 916527228 /nfs/dbraw/zinc/52/72/28/916527228.db2.gz KMHXBYFKTHBRSH-UHFFFAOYSA-N 0 1 268.357 0.106 20 30 CCEDMN Cc1cc(CN=Nc2ccccc2S(N)(=O)=O)ncn1 ZINC001330286307 916530849 /nfs/dbraw/zinc/53/08/49/916530849.db2.gz PTFYSXBVBDCFGR-UHFFFAOYSA-N 0 1 291.336 0.878 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCc3nc[nH]c3C2)CC1 ZINC001330301719 916541026 /nfs/dbraw/zinc/54/10/26/916541026.db2.gz RUDNWDNVDCXXPJ-NSHDSACASA-N 0 1 287.367 0.619 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCc3[nH]cnc3C2)CC1 ZINC001330301719 916541036 /nfs/dbraw/zinc/54/10/36/916541036.db2.gz RUDNWDNVDCXXPJ-NSHDSACASA-N 0 1 287.367 0.619 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)NCc2n[nH]c(COC)n2)C1 ZINC001330723767 916817612 /nfs/dbraw/zinc/81/76/12/916817612.db2.gz BQXTXZTZDGXSAW-SNVBAGLBSA-N 0 1 295.343 0.438 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)NCc2nnc(COC)[nH]2)C1 ZINC001330723767 916817623 /nfs/dbraw/zinc/81/76/23/916817623.db2.gz BQXTXZTZDGXSAW-SNVBAGLBSA-N 0 1 295.343 0.438 20 30 CCEDMN C[C@H](CCCNCc1ncnn1C)NC(=O)C#CC1CC1 ZINC001330811056 916886954 /nfs/dbraw/zinc/88/69/54/916886954.db2.gz HVPQKZYRIPLYMP-GFCCVEGCSA-N 0 1 289.383 0.603 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)C[C@H]1COCCN1)C(=O)OCC ZINC001330933233 916975584 /nfs/dbraw/zinc/97/55/84/916975584.db2.gz CKDUGONUFJTRSR-NWDGAFQWSA-N 0 1 284.356 0.379 20 30 CCEDMN COCC#CCN(C)CCOCCNC(=O)C1=CCCC1 ZINC001331112139 917095470 /nfs/dbraw/zinc/09/54/70/917095470.db2.gz VPSZQKCGCNXZOB-UHFFFAOYSA-N 0 1 294.395 0.811 20 30 CCEDMN C=C(Cl)CN[C@]1(CO)CCCN(C(=O)c2cn[nH]c2)C1 ZINC001402721644 917194150 /nfs/dbraw/zinc/19/41/50/917194150.db2.gz WBSAUGIAWIWAPL-CYBMUJFWSA-N 0 1 298.774 0.719 20 30 CCEDMN C=CCC1(C(=O)NCc2n[nH]c(COC)n2)CCOCC1 ZINC001331244194 917217175 /nfs/dbraw/zinc/21/71/75/917217175.db2.gz ALKBJAQZPCWIJJ-UHFFFAOYSA-N 0 1 294.355 0.940 20 30 CCEDMN C=CCC1(C(=O)NCc2nnc(COC)[nH]2)CCOCC1 ZINC001331244194 917217194 /nfs/dbraw/zinc/21/71/94/917217194.db2.gz ALKBJAQZPCWIJJ-UHFFFAOYSA-N 0 1 294.355 0.940 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC001331624121 917459214 /nfs/dbraw/zinc/45/92/14/917459214.db2.gz GIWQIXGAYPDRSC-UHFFFAOYSA-N 0 1 264.329 0.671 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2ncc3c(n2)COC3)CC1 ZINC001331671293 917499591 /nfs/dbraw/zinc/49/95/91/917499591.db2.gz PVOBTMVUKJPBAI-UHFFFAOYSA-N 0 1 286.335 0.791 20 30 CCEDMN Cc1cncc(CN[C@@H](CO)CNC(=O)C#CC(C)C)c1 ZINC001331708078 917534548 /nfs/dbraw/zinc/53/45/48/917534548.db2.gz QIKDSTKKJDBTRM-OAHLLOKOSA-N 0 1 289.379 0.616 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H](CO)NCc1ccsc1 ZINC001331711487 917538104 /nfs/dbraw/zinc/53/81/04/917538104.db2.gz INSLATABWCISRN-DGCLKSJQSA-N 0 1 296.392 0.353 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(C)c(F)c1 ZINC001331741673 917566599 /nfs/dbraw/zinc/56/65/99/917566599.db2.gz WWLRTVHBZIZECZ-ZDUSSCGKSA-N 0 1 278.327 0.838 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1occ2c1CCOC2 ZINC001331755617 917575965 /nfs/dbraw/zinc/57/59/65/917575965.db2.gz DWGNGAWVJCBHHC-LBPRGKRZSA-N 0 1 292.335 0.056 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](CO)NC/C=C/Cl ZINC001331789386 917609155 /nfs/dbraw/zinc/60/91/55/917609155.db2.gz IARDYNZJYHWWEM-XCVQXLJSSA-N 0 1 288.775 0.397 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C[C@H](C)n1cccc1 ZINC001331824677 917641956 /nfs/dbraw/zinc/64/19/56/917641956.db2.gz JBFPKBBGMCRESD-STQMWFEESA-N 0 1 263.341 0.139 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc2cnccc2c1 ZINC001331825652 917643627 /nfs/dbraw/zinc/64/36/27/917643627.db2.gz PTXIGUCYURBWGM-HNNXBMFYSA-N 0 1 283.331 0.548 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(C)n(C(C)C)n1 ZINC001331839397 917653379 /nfs/dbraw/zinc/65/33/79/917653379.db2.gz CTSKALFUQDPABS-ZDUSSCGKSA-N 0 1 292.383 0.476 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1sccc1CC ZINC001331843947 917656638 /nfs/dbraw/zinc/65/66/38/917656638.db2.gz ZAYOBJLOFDMZNP-LLVKDONJSA-N 0 1 266.366 0.624 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1sccc1CC ZINC001331843948 917657952 /nfs/dbraw/zinc/65/79/52/917657952.db2.gz ZAYOBJLOFDMZNP-NSHDSACASA-N 0 1 266.366 0.624 20 30 CCEDMN CCO[C@@H](C(=O)NC1(C#N)CCN(C)CC1)[C@@H]1CCOC1 ZINC001331937467 917732325 /nfs/dbraw/zinc/73/23/25/917732325.db2.gz CFXDSOAPUHNUAT-CHWSQXEVSA-N 0 1 295.383 0.532 20 30 CCEDMN Cc1[nH]n(CCS(C)(=O)=O)c(=O)c1CCC#N ZINC001332222613 917967168 /nfs/dbraw/zinc/96/71/68/917967168.db2.gz MDMBAPFXKMFNEV-SECBINFHSA-N 0 1 257.315 0.169 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CNC(=O)NC)C1 ZINC001403789704 918014956 /nfs/dbraw/zinc/01/49/56/918014956.db2.gz TYCNQJKIPKIBHB-ZJUUUORDSA-N 0 1 288.779 0.247 20 30 CCEDMN COCC(=O)N(C)C[C@H](O)CNCc1ccccc1C#N ZINC001403834867 918047111 /nfs/dbraw/zinc/04/71/11/918047111.db2.gz MWFLXLQWHUDOBZ-CQSZACIVSA-N 0 1 291.351 0.114 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)Cn1ccnc1 ZINC001403868763 918076182 /nfs/dbraw/zinc/07/61/82/918076182.db2.gz BMMUOSFSCCBULT-LLVKDONJSA-N 0 1 286.763 0.045 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC(c2nc[nH]n2)CC1 ZINC001332369962 918097066 /nfs/dbraw/zinc/09/70/66/918097066.db2.gz FUENEWPUUUAXHA-ZDUSSCGKSA-N 0 1 264.329 0.838 20 30 CCEDMN CC(C)CNC(=O)CN1CC[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001404044086 918180719 /nfs/dbraw/zinc/18/07/19/918180719.db2.gz RWASRMMSGMOKNM-QWHCGFSZSA-N 0 1 294.399 0.356 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)nn1 ZINC001332469557 918181712 /nfs/dbraw/zinc/18/17/12/918181712.db2.gz LJEHLEWFLTUDKQ-GHMZBOCLSA-N 0 1 272.312 0.607 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)CC[C@@H]1CCCO1 ZINC001332617016 918314200 /nfs/dbraw/zinc/31/42/00/918314200.db2.gz BTYWJZZZOAKUGQ-CABCVRRESA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001332646610 918346382 /nfs/dbraw/zinc/34/63/82/918346382.db2.gz IFHPZOSFIDKSKS-ZNMIVQPWSA-N 0 1 294.395 0.186 20 30 CCEDMN C#CCCCC(=O)NCCN(CC)[C@H]1CCNC1=O ZINC001492940646 918740874 /nfs/dbraw/zinc/74/08/74/918740874.db2.gz BBUHRJRFTCDCCQ-LBPRGKRZSA-N 0 1 265.357 0.117 20 30 CCEDMN COCC#CC(=O)Nc1cc(C(=O)OC(C)(C)C)[nH]n1 ZINC001333370493 918844015 /nfs/dbraw/zinc/84/40/15/918844015.db2.gz RUCJXIFCNHUSRG-UHFFFAOYSA-N 0 1 279.296 0.953 20 30 CCEDMN C#CCN1CC=C(CNC(=O)COCC(F)F)CC1 ZINC001333378174 918850100 /nfs/dbraw/zinc/85/01/00/918850100.db2.gz MIWWWDIUQHPLNC-UHFFFAOYSA-N 0 1 272.295 0.650 20 30 CCEDMN CC(C)C(=O)NC[C@H](O)CNCc1cc(C#N)ccc1F ZINC001406200355 919109336 /nfs/dbraw/zinc/10/93/36/919109336.db2.gz YPQOHFQPQKCAIT-CYBMUJFWSA-N 0 1 293.342 0.920 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@H](C)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001334204828 919424795 /nfs/dbraw/zinc/42/47/95/919424795.db2.gz GHCXBVDKQINVES-NWDGAFQWSA-N 0 1 283.372 0.158 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CN(C)C(=O)C2CC2)CC1 ZINC001406924284 919540018 /nfs/dbraw/zinc/54/00/18/919540018.db2.gz KKOMRJGJXJTCDZ-UHFFFAOYSA-N 0 1 299.802 0.846 20 30 CCEDMN C=CCn1nnnc1N1CCC(c2nc[nH]n2)CC1 ZINC001334448265 919591285 /nfs/dbraw/zinc/59/12/85/919591285.db2.gz LBXRLJRVKHTJRW-UHFFFAOYSA-N 0 1 260.305 0.361 20 30 CCEDMN C=CCCC(=O)N(C)[C@@H](C)CNC(=O)CCc1c[nH]nn1 ZINC001334545101 919646538 /nfs/dbraw/zinc/64/65/38/919646538.db2.gz PDEBVZDTCWVIBK-NSHDSACASA-N 0 1 293.371 0.667 20 30 CCEDMN C=CCCC(=O)N(C)[C@@H](C)CNC(=O)CCc1cnn[nH]1 ZINC001334545101 919646551 /nfs/dbraw/zinc/64/65/51/919646551.db2.gz PDEBVZDTCWVIBK-NSHDSACASA-N 0 1 293.371 0.667 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CN(C)C(C)=O)C[C@@H]2C1 ZINC001407556320 919808154 /nfs/dbraw/zinc/80/81/54/919808154.db2.gz RIPGVTLBISLFBF-BETUJISGSA-N 0 1 299.802 0.607 20 30 CCEDMN C=C[C@H](COC)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC001334934723 919847556 /nfs/dbraw/zinc/84/75/56/919847556.db2.gz RXNJZNRRFKVQKY-NEPJUHHUSA-N 0 1 254.330 0.024 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCN(C)CC1)[C@H]1CCC(=O)N1 ZINC001334971964 919875062 /nfs/dbraw/zinc/87/50/62/919875062.db2.gz LOUICRJRLRCWSK-GHMZBOCLSA-N 0 1 278.356 0.005 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[N@@H+](CC(=O)[O-])CC1 ZINC001335018265 919894784 /nfs/dbraw/zinc/89/47/84/919894784.db2.gz NIYKZYZOAAHUPY-UHFFFAOYSA-N 0 1 254.330 0.818 20 30 CCEDMN C=C(C)CCC(=O)N1CC([C@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001335068372 919931620 /nfs/dbraw/zinc/93/16/20/919931620.db2.gz DVWZLBQNYJYIEW-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C[C@H](NC1CCN(CC#N)CC1)c1cc(CO)on1 ZINC001335209260 920023567 /nfs/dbraw/zinc/02/35/67/920023567.db2.gz AECVWJHCEQUZEO-JTQLQIEISA-N 0 1 264.329 0.805 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)NC2(C#N)CC2)cc1=O ZINC001336324394 920717978 /nfs/dbraw/zinc/71/79/78/920717978.db2.gz CDTUCQUTKUBBLJ-UHFFFAOYSA-N 0 1 262.313 0.547 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)Nc1nnccc1C#N ZINC001336516282 920813398 /nfs/dbraw/zinc/81/33/98/920813398.db2.gz JLSQNSJDGWQBAT-LLVKDONJSA-N 0 1 260.345 0.396 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@H](N)Cc1ccccn1 ZINC001340514960 922104084 /nfs/dbraw/zinc/10/40/84/922104084.db2.gz UUNAKWDFAYGSMI-YUTCNCBUSA-N 0 1 275.352 0.659 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NCc1n[nH]c(CO)n1 ZINC001418530009 922227087 /nfs/dbraw/zinc/22/70/87/922227087.db2.gz WNAAGDWZTOHBBE-UHFFFAOYSA-N 0 1 287.279 0.107 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NCc1nnc(CO)[nH]1 ZINC001418530009 922227095 /nfs/dbraw/zinc/22/70/95/922227095.db2.gz WNAAGDWZTOHBBE-UHFFFAOYSA-N 0 1 287.279 0.107 20 30 CCEDMN C=C1CCN(c2nnc(C3=NO[C@@H](CO)C3)n2CC)CC1 ZINC001340963747 922297308 /nfs/dbraw/zinc/29/73/08/922297308.db2.gz BCYMYFWDNZMQMS-LLVKDONJSA-N 0 1 291.355 0.940 20 30 CCEDMN C=C1CCN(c2nnc(C3=NO[C@H](CO)C3)n2CC)CC1 ZINC001340963748 922298661 /nfs/dbraw/zinc/29/86/61/922298661.db2.gz BCYMYFWDNZMQMS-NSHDSACASA-N 0 1 291.355 0.940 20 30 CCEDMN CN(C)C(=O)CC1CCN(C[C@H](O)CC#N)CC1 ZINC001340973534 922301731 /nfs/dbraw/zinc/30/17/31/922301731.db2.gz HRKZSGFXRNMBIV-GFCCVEGCSA-N 0 1 253.346 0.451 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CC1CC1)C(=O)OCC ZINC001341270438 922405247 /nfs/dbraw/zinc/40/52/47/922405247.db2.gz MTXGVJSBOFWKBD-NSHDSACASA-N 0 1 252.314 0.057 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C(=O)OCC)c1ccccc1 ZINC001341483945 922507172 /nfs/dbraw/zinc/50/71/72/922507172.db2.gz POIGWODEZCWCGZ-CQSZACIVSA-N 0 1 288.347 0.672 20 30 CCEDMN C#CCNCC(=O)NCCc1ccccc1OCC ZINC001341532963 922539366 /nfs/dbraw/zinc/53/93/66/922539366.db2.gz NHQBONLXIWCLIS-UHFFFAOYSA-N 0 1 260.337 0.967 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1snnc1C1CC1 ZINC001341643362 922601231 /nfs/dbraw/zinc/60/12/31/922601231.db2.gz MTEMBAQFYXTRGA-YUMQZZPRSA-N 0 1 263.326 0.257 20 30 CCEDMN Cc1cnc(CN[C@@H](CNC(=O)[C@H](C)C#N)C2CC2)cn1 ZINC001419245725 922730630 /nfs/dbraw/zinc/73/06/30/922730630.db2.gz OTARTLJWIMOATH-YGRLFVJLSA-N 0 1 287.367 0.929 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC001341948087 922734367 /nfs/dbraw/zinc/73/43/67/922734367.db2.gz PLRHRQHAMBJGIS-NGZCFLSTSA-N 0 1 278.308 0.563 20 30 CCEDMN C#CCNCC(=O)NCc1cc(C(F)(F)F)n(C)n1 ZINC001342175134 922847170 /nfs/dbraw/zinc/84/71/70/922847170.db2.gz WGGPRNSIGRTQFR-UHFFFAOYSA-N 0 1 274.246 0.278 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](C(=O)OC)[C@H]2CCCC[C@H]21 ZINC001342221781 922867315 /nfs/dbraw/zinc/86/73/15/922867315.db2.gz XUCXSTJICAFGKA-HZSPNIEDSA-N 0 1 292.379 0.790 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1C[C@H]1c1ccccc1OC ZINC001342231062 922869814 /nfs/dbraw/zinc/86/98/14/922869814.db2.gz KGFDCHQOGDJYAS-QWHCGFSZSA-N 0 1 258.321 0.890 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC001342463473 922973509 /nfs/dbraw/zinc/97/35/09/922973509.db2.gz YACPXJCVOPWGPM-FRRDWIJNSA-N 0 1 285.388 0.284 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1cnn(C)c1 ZINC001419712483 923022097 /nfs/dbraw/zinc/02/20/97/923022097.db2.gz QDENAVNZHICARC-JTQLQIEISA-N 0 1 270.764 0.809 20 30 CCEDMN CCn1c(-c2c[nH]nn2)nnc1N(C)[C@@H](C)CC#N ZINC001342920387 923136073 /nfs/dbraw/zinc/13/60/73/923136073.db2.gz DZQAJVNPYZVLNT-QMMMGPOBSA-N 0 1 260.305 0.821 20 30 CCEDMN C=C(C)CNC(=O)CCNC(O)=C1N=CC=CC1=O ZINC001343090975 923197307 /nfs/dbraw/zinc/19/73/07/923197307.db2.gz YIGGCWDXTQYTRV-SEYXRHQNSA-N 0 1 263.297 0.595 20 30 CCEDMN C#CCNCC(=O)NCCCOCCc1ccccc1 ZINC001343168999 923223745 /nfs/dbraw/zinc/22/37/45/923223745.db2.gz WCJOSZGFQRWTGM-UHFFFAOYSA-N 0 1 274.364 0.975 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccc(C)n1C ZINC001420089895 923302157 /nfs/dbraw/zinc/30/21/57/923302157.db2.gz BMNMXOQQEBPTMV-LLVKDONJSA-N 0 1 285.775 0.766 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2[nH]ccc2n1 ZINC001343467510 923333494 /nfs/dbraw/zinc/33/34/94/923333494.db2.gz OAYDSFOVRLLQIQ-QPUJVOFHSA-N 0 1 255.281 0.404 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)Cn1ncc2ccccc2c1=O ZINC001343646530 923403212 /nfs/dbraw/zinc/40/32/12/923403212.db2.gz YJTAALRZMBNGHN-LBPRGKRZSA-N 0 1 298.346 0.124 20 30 CCEDMN N#Cc1ccc(CNC(=O)CCc2cnc[nH]2)nc1 ZINC001343731596 923447653 /nfs/dbraw/zinc/44/76/53/923447653.db2.gz IWIAHNDBJSQZLL-UHFFFAOYSA-N 0 1 255.281 0.925 20 30 CCEDMN Cc1nn(C)cc1CN(C)C[C@@H](C)NC(=O)[C@H](C)C#N ZINC001420539222 923756762 /nfs/dbraw/zinc/75/67/62/923756762.db2.gz MLIDKENLCXDOMD-GHMZBOCLSA-N 0 1 277.372 0.825 20 30 CCEDMN CCc1nc([C@H](C)N2CC(NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001421025046 924099750 /nfs/dbraw/zinc/09/97/50/924099750.db2.gz LNIXSZTUDBRBKZ-BDAKNGLRSA-N 0 1 276.344 0.388 20 30 CCEDMN Cc1nc(C(=O)N[C@H]2CNC[C@@H]2C#N)ccc1C#N ZINC001346319462 924159454 /nfs/dbraw/zinc/15/94/54/924159454.db2.gz KPEZKNITUOGJQC-JQWIXIFHSA-N 0 1 255.281 0.103 20 30 CCEDMN CO[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)NC1CCCC1 ZINC001346325437 924162183 /nfs/dbraw/zinc/16/21/83/924162183.db2.gz XWPXKRMNTLGZDE-GMTAPVOTSA-N 0 1 250.298 0.789 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CN(CCCN2CCCC2=O)C1 ZINC001421135046 924171656 /nfs/dbraw/zinc/17/16/56/924171656.db2.gz YRCMCVFLWMRLIY-GFCCVEGCSA-N 0 1 292.383 0.207 20 30 CCEDMN N#CCCCCNC(=O)CN1CCC(CO)CC1 ZINC001346799216 924310884 /nfs/dbraw/zinc/31/08/84/924310884.db2.gz LCLUNYXSTMKFOD-UHFFFAOYSA-N 0 1 253.346 0.501 20 30 CCEDMN N#CCC1CCN(C(=O)C(=O)N2CC[C@@H](F)[C@H](N)C2)CC1 ZINC001347162144 924386676 /nfs/dbraw/zinc/38/66/76/924386676.db2.gz ZJWOOTJUNGFGLD-VXGBXAGGSA-N 0 1 296.346 0.036 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@H](Cc3nn[nH]n3)C2)cn1 ZINC001347304733 924418646 /nfs/dbraw/zinc/41/86/46/924418646.db2.gz FKAARAPYKNFQPE-LLVKDONJSA-N 0 1 296.334 0.671 20 30 CCEDMN Cc1cc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)ncn1 ZINC001347600472 924490114 /nfs/dbraw/zinc/49/01/14/924490114.db2.gz LBWSEVKUKYYVGW-RYUDHWBXSA-N 0 1 258.329 0.979 20 30 CCEDMN N#Cc1cccc(NC(=O)N2CC[N@H+]3CC[C@H]2C3)n1 ZINC001347748150 924526857 /nfs/dbraw/zinc/52/68/57/924526857.db2.gz DWMVAPYBOPUHRO-NSHDSACASA-N 0 1 257.297 0.875 20 30 CCEDMN C=CC[C@@H](NC(=O)Cc1n[nH]c(C)n1)C(=O)OCC ZINC001347750813 924527849 /nfs/dbraw/zinc/52/78/49/924527849.db2.gz KCKVUVQDZJXICK-SECBINFHSA-N 0 1 266.301 0.280 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@H](c3nn[nH]n3)C2)cc1 ZINC001347976410 924570201 /nfs/dbraw/zinc/57/02/01/924570201.db2.gz YVPKVZGTCCRVTD-LBPRGKRZSA-N 0 1 267.292 0.811 20 30 CCEDMN C=CCCN1CCN([C@]2(C(=O)OC)CCOC2)CC1 ZINC001348188185 924615013 /nfs/dbraw/zinc/61/50/13/924615013.db2.gz JIHPZVPVAPOKRV-CQSZACIVSA-N 0 1 268.357 0.512 20 30 CCEDMN C#CCN(CC1CC1)[C@@H](C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC001348450488 924691857 /nfs/dbraw/zinc/69/18/57/924691857.db2.gz WEPGHWUWGJWRBP-GXTWGEPZSA-N 0 1 291.395 0.444 20 30 CCEDMN C=CC[C@H](NC(=O)[C@H]1CCc2c[nH+]c(C)n2C1)C(=O)[O-] ZINC001348489821 924704962 /nfs/dbraw/zinc/70/49/62/924704962.db2.gz DMZKJSHDLPYZED-JQWIXIFHSA-N 0 1 277.324 0.899 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCNC(=O)[C@@H]1CCCN1C ZINC001348648544 924763076 /nfs/dbraw/zinc/76/30/76/924763076.db2.gz OXAFFZPWRCRAKB-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C=C[C@H](COC)NC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001349443718 924961172 /nfs/dbraw/zinc/96/11/72/924961172.db2.gz DGJRGCGPEPJQDO-LLVKDONJSA-N 0 1 294.355 0.558 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001349951487 925104413 /nfs/dbraw/zinc/10/44/13/925104413.db2.gz PAJLMHARBPOCGS-FQUUOJAGSA-N 0 1 279.384 0.082 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)CC2(C#N)CC2)n1 ZINC001350319915 925170334 /nfs/dbraw/zinc/17/03/34/925170334.db2.gz QLQTWPIQDIHCMS-UHFFFAOYSA-N 0 1 265.342 0.978 20 30 CCEDMN CSCc1nnc(CNC(=O)CC2(C#N)CC2)[nH]1 ZINC001350319915 925170340 /nfs/dbraw/zinc/17/03/40/925170340.db2.gz QLQTWPIQDIHCMS-UHFFFAOYSA-N 0 1 265.342 0.978 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)C2=NC(=O)N(C)C2)C1 ZINC001350470455 925205704 /nfs/dbraw/zinc/20/57/04/925205704.db2.gz ZETZXPMWXPGGRV-SNVBAGLBSA-N 0 1 292.339 0.423 20 30 CCEDMN C#CCN(C(=O)C(=O)NCc1cc(CC)[nH]n1)C(C)C ZINC001351162754 925376378 /nfs/dbraw/zinc/37/63/78/925376378.db2.gz XDTSJJDNHNUFSW-UHFFFAOYSA-N 0 1 276.340 0.459 20 30 CCEDMN C=CCCC(=O)NCCCN(C)C(=O)Cc1c[nH]cn1 ZINC001351311519 925401569 /nfs/dbraw/zinc/40/15/69/925401569.db2.gz JMFTYWVQRYWHHA-UHFFFAOYSA-N 0 1 278.356 0.883 20 30 CCEDMN C[N@H+]1CCN(c2ccc(C#N)nc2)C[C@@H](C(=O)[O-])C1 ZINC001351667832 925453448 /nfs/dbraw/zinc/45/34/48/925453448.db2.gz UFDUYFXIQLDVOZ-JTQLQIEISA-N 0 1 260.297 0.406 20 30 CCEDMN C=C(CO)C(=O)N1CC2(CN(Cc3ccccc3)C2)C1 ZINC001352008730 925506726 /nfs/dbraw/zinc/50/67/26/925506726.db2.gz BOQFDZNGLIRNPM-UHFFFAOYSA-N 0 1 272.348 0.879 20 30 CCEDMN C=C(CC)C(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC001352293521 925557119 /nfs/dbraw/zinc/55/71/19/925557119.db2.gz ZNFKWCWNTZJFPN-LLVKDONJSA-N 0 1 254.330 0.516 20 30 CCEDMN C=C(CC)C(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC001352293522 925557440 /nfs/dbraw/zinc/55/74/40/925557440.db2.gz ZNFKWCWNTZJFPN-NSHDSACASA-N 0 1 254.330 0.516 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(O)(CC=C)C2)CC1 ZINC001352958683 925683321 /nfs/dbraw/zinc/68/33/21/925683321.db2.gz DLXQMZFLNSEHOP-UHFFFAOYSA-N 0 1 262.353 0.481 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)NCc1cnnn1CC ZINC001480453636 925754335 /nfs/dbraw/zinc/75/43/35/925754335.db2.gz VZKKHMVITSGERD-BXUZGUMPSA-N 0 1 295.387 0.219 20 30 CCEDMN C[C@H](CC#N)NC[C@H](O)CC1(C#N)CCOCC1 ZINC001353246475 925771338 /nfs/dbraw/zinc/77/13/38/925771338.db2.gz KAVJKOIWZIGEOS-VXGBXAGGSA-N 0 1 251.330 0.950 20 30 CCEDMN C#CCNCC(=O)NCc1ccnc(N2CCCC2)c1 ZINC001353468814 925843030 /nfs/dbraw/zinc/84/30/30/925843030.db2.gz HQSNJGLUXDZWHL-UHFFFAOYSA-N 0 1 272.352 0.521 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)N1CCC[C@@H](C#N)C1 ZINC001353942387 925968584 /nfs/dbraw/zinc/96/85/84/925968584.db2.gz DJFYIOBMDLIQGB-QWHCGFSZSA-N 0 1 292.383 0.349 20 30 CCEDMN C=CCOC[C@H](NC(=O)N[C@H]1CC[N@@H+](C)[C@H](C)C1)C(=O)[O-] ZINC001354041538 925994596 /nfs/dbraw/zinc/99/45/96/925994596.db2.gz PPLWIRBWYUWLPO-WOPDTQHZSA-N 0 1 299.371 0.424 20 30 CCEDMN C#CCNCC(=O)NCCc1cn(C)c2ncccc12 ZINC001354524349 926124450 /nfs/dbraw/zinc/12/44/50/926124450.db2.gz WERUOUHBEORSPO-UHFFFAOYSA-N 0 1 270.336 0.455 20 30 CCEDMN C[C@@H](CNC(=O)c1[nH]ncc1F)NC(=O)CSCC#N ZINC001354705130 926158637 /nfs/dbraw/zinc/15/86/37/926158637.db2.gz AZYOIWYDMQOPJN-ZETCQYMHSA-N 0 1 299.331 0.040 20 30 CCEDMN C[C@H](CCNC(=O)C#CC1CC1)NC(=O)Cc1cnc[nH]1 ZINC001355145845 926218523 /nfs/dbraw/zinc/21/85/23/926218523.db2.gz FYPDHOXIWJOIHD-LLVKDONJSA-N 0 1 288.351 0.377 20 30 CCEDMN CC#CCCCC(=O)N(C)CCNC(=O)[C@@H]1CCCN1C ZINC001355517117 926274807 /nfs/dbraw/zinc/27/48/07/926274807.db2.gz CXOHERQKPGLXLC-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN CC#CCCCC(=O)N(C)CCNC(=O)[C@H]1CCCN1C ZINC001355517120 926276047 /nfs/dbraw/zinc/27/60/47/926276047.db2.gz CXOHERQKPGLXLC-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCNCC(=O)NC1(C(=O)OCC)CCCC1 ZINC001357215174 926497687 /nfs/dbraw/zinc/49/76/87/926497687.db2.gz SGQWXVDLXRQHAS-UHFFFAOYSA-N 0 1 252.314 0.201 20 30 CCEDMN C=CCC(CC=C)C(=O)NC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001358534113 926736245 /nfs/dbraw/zinc/73/62/45/926736245.db2.gz SKLOEGNBOJDZGD-JTQLQIEISA-N 0 1 291.355 0.808 20 30 CCEDMN C=CCC(CC=C)C(=O)NC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001358534113 926736247 /nfs/dbraw/zinc/73/62/47/926736247.db2.gz SKLOEGNBOJDZGD-JTQLQIEISA-N 0 1 291.355 0.808 20 30 CCEDMN C[C@H](CNCc1cnon1)CNC(=O)c1c[nH]c(C#N)c1 ZINC001421690262 926858339 /nfs/dbraw/zinc/85/83/39/926858339.db2.gz NMCDLQCQWCJVED-SECBINFHSA-N 0 1 288.311 0.425 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C[C@H]1CCCCO1 ZINC001422286062 927194277 /nfs/dbraw/zinc/19/42/77/927194277.db2.gz KIIWZJGTHZZCBZ-NWDGAFQWSA-N 0 1 290.791 0.765 20 30 CCEDMN N#Cc1ccccc1CNC[C@@H](O)CNC(=O)c1ncc[nH]1 ZINC001422300823 927206470 /nfs/dbraw/zinc/20/64/70/927206470.db2.gz CVUWLYYTTPTIOM-CYBMUJFWSA-N 0 1 299.334 0.162 20 30 CCEDMN CN1C[C@@H](C(=O)Nc2nc3ccc(C#N)cc3[nH]2)CC1=O ZINC001361829309 927363561 /nfs/dbraw/zinc/36/35/61/927363561.db2.gz IBXPKLQWQBPMRH-VIFPVBQESA-N 0 1 283.291 0.851 20 30 CCEDMN C[C@H](C#N)CNC(=O)c1nc[nH]c(=O)c1Br ZINC001362158898 927620853 /nfs/dbraw/zinc/62/08/53/927620853.db2.gz HPOCRNNJQAQFIY-RXMQYKEDSA-N 0 1 285.101 0.834 20 30 CCEDMN N#CCc1ccnc(NC(=O)CCCc2nn[nH]n2)c1 ZINC001362109295 927622189 /nfs/dbraw/zinc/62/21/89/927622189.db2.gz RWGCYKYOWANZGH-UHFFFAOYSA-N 0 1 271.284 0.622 20 30 CCEDMN N#CCCn1ccc(NC(=O)CCCc2nn[nH]n2)n1 ZINC001362256754 927787205 /nfs/dbraw/zinc/78/72/05/927787205.db2.gz QWEUEZRDCJWHJL-UHFFFAOYSA-N 0 1 274.288 0.271 20 30 CCEDMN CC(C)c1ncc(CNS(=O)(=O)N(C)CCC#N)[nH]1 ZINC001424733481 928268336 /nfs/dbraw/zinc/26/83/36/928268336.db2.gz DGAWQCOAOHNOIM-UHFFFAOYSA-N 0 1 285.373 0.713 20 30 CCEDMN N#CCc1cccc(C(=O)NCCN2CCC(O)CC2)c1 ZINC001362846640 928566272 /nfs/dbraw/zinc/56/62/72/928566272.db2.gz AMGSUWVAQJPCQM-UHFFFAOYSA-N 0 1 287.363 0.939 20 30 CCEDMN CCn1nnc(C)c1CN[C@H](C)CN(C)C(=O)[C@H](C)C#N ZINC001425549541 928589149 /nfs/dbraw/zinc/58/91/49/928589149.db2.gz WEKWBGCZTCUFBH-GHMZBOCLSA-N 0 1 292.387 0.703 20 30 CCEDMN CC(C)N(CCN(C)C(=O)[C@H](C)C#N)C(=O)c1ccn[nH]1 ZINC001425933060 928711025 /nfs/dbraw/zinc/71/10/25/928711025.db2.gz JHWDPZNOIRMSJG-LLVKDONJSA-N 0 1 291.355 0.878 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC001363117282 928888070 /nfs/dbraw/zinc/88/80/70/928888070.db2.gz VISZKOVBTXNUGU-JTQLQIEISA-N 0 1 288.267 0.187 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC001426889835 928938570 /nfs/dbraw/zinc/93/85/70/928938570.db2.gz WUUGQUANENRGTI-HLTSFMKQSA-N 0 1 293.302 0.333 20 30 CCEDMN CC[C@]1(O)CC[N@@H+](Cc2cc(=O)n3[n-]cc(C#N)c3n2)C1 ZINC001363218357 928986572 /nfs/dbraw/zinc/98/65/72/928986572.db2.gz ARGDYIAIELZVAD-AWEZNQCLSA-N 0 1 287.323 0.653 20 30 CCEDMN C[C@@H](Cc1ccc(C#N)cc1)C(=O)NCc1n[nH]c(CO)n1 ZINC001363482320 929284932 /nfs/dbraw/zinc/28/49/32/929284932.db2.gz LCIGKXNJLRETFW-JTQLQIEISA-N 0 1 299.334 0.664 20 30 CCEDMN C[C@@H](Cc1ccc(C#N)cc1)C(=O)NCc1nnc(CO)[nH]1 ZINC001363482320 929284942 /nfs/dbraw/zinc/28/49/42/929284942.db2.gz LCIGKXNJLRETFW-JTQLQIEISA-N 0 1 299.334 0.664 20 30 CCEDMN N#CCc1ccc(C(=O)NC[C@H](O)c2cnc[nH]2)cc1 ZINC001363587821 929393855 /nfs/dbraw/zinc/39/38/55/929393855.db2.gz MVTNAGACNAMMHI-ZDUSSCGKSA-N 0 1 270.292 0.939 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001363600828 929406155 /nfs/dbraw/zinc/40/61/55/929406155.db2.gz KBLIPFNEWCTKQF-UHFFFAOYSA-N 0 1 276.325 0.797 20 30 CCEDMN CSCc1nnc(CNC(=O)c2cc(C#N)c[nH]2)[nH]1 ZINC001363600828 929406164 /nfs/dbraw/zinc/40/61/64/929406164.db2.gz KBLIPFNEWCTKQF-UHFFFAOYSA-N 0 1 276.325 0.797 20 30 CCEDMN C[C@@H](NC(=O)c1cc(C#N)ccn1)C1=NN(C)CC1=O ZINC001363668513 929469155 /nfs/dbraw/zinc/46/91/55/929469155.db2.gz UFENANCEOHGCMH-MRVPVSSYSA-N 0 1 271.280 0.883 20 30 CCEDMN CCCN(CCNC(=O)[C@@H]1CCCN1C)C(=O)[C@H](C)C#N ZINC001429794327 929518815 /nfs/dbraw/zinc/51/88/15/929518815.db2.gz SWGLHKAELFTRQT-OLZOCXBDSA-N 0 1 294.399 0.595 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCN(C(=O)CCc2cnc[nH]2)C1 ZINC001372019242 929705091 /nfs/dbraw/zinc/70/50/91/929705091.db2.gz GQPHGEIGSLPZDI-ZYHUDNBSSA-N 0 1 289.339 0.219 20 30 CCEDMN COc1cc(CNCC(=O)N2CCCO2)ccc1C#N ZINC001414114257 929710893 /nfs/dbraw/zinc/71/08/93/929710893.db2.gz ATRMYBUEVLNSPJ-UHFFFAOYSA-N 0 1 275.308 0.820 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](CNC(=O)c1ncn[nH]1)C(C)(C)C ZINC001444915225 929970400 /nfs/dbraw/zinc/97/04/00/929970400.db2.gz HVDTYDPJLGCRGI-IUCAKERBSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](CNC(=O)c1nc[nH]n1)C(C)(C)C ZINC001444915225 929970407 /nfs/dbraw/zinc/97/04/07/929970407.db2.gz HVDTYDPJLGCRGI-IUCAKERBSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H](CNC(=O)c1ncn[nH]1)C(C)(C)C ZINC001444915221 929971268 /nfs/dbraw/zinc/97/12/68/929971268.db2.gz HVDTYDPJLGCRGI-DTWKUNHWSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H](CNC(=O)c1nc[nH]n1)C(C)(C)C ZINC001444915221 929971277 /nfs/dbraw/zinc/97/12/77/929971277.db2.gz HVDTYDPJLGCRGI-DTWKUNHWSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001445964078 930297596 /nfs/dbraw/zinc/29/75/96/930297596.db2.gz XOKMIHSUYLLHHI-RVMXOQNASA-N 0 1 292.383 0.251 20 30 CCEDMN CCN(CCNC(=O)[C@@H](C)C#N)C(=O)[C@@H]1CCCCN1C ZINC001373936407 930373810 /nfs/dbraw/zinc/37/38/10/930373810.db2.gz DYXNJWULGAOUHY-STQMWFEESA-N 0 1 294.399 0.595 20 30 CCEDMN N#Cc1ccccc1CNC[C@@H](O)CNC(=O)C(F)F ZINC001374564294 930559327 /nfs/dbraw/zinc/55/93/27/930559327.db2.gz FDRHKXIVLZFKMO-LLVKDONJSA-N 0 1 283.278 0.390 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2n[nH]cc2C)CCO1 ZINC001447283826 930600166 /nfs/dbraw/zinc/60/01/66/930600166.db2.gz NTRXWPYQSQUWLH-NSHDSACASA-N 0 1 298.774 0.901 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1c[nH]nc1C ZINC001374634164 930602250 /nfs/dbraw/zinc/60/22/50/930602250.db2.gz FRLWBWOFVQLOFI-VIFPVBQESA-N 0 1 272.736 0.151 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CN(C(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001374749639 930645752 /nfs/dbraw/zinc/64/57/52/930645752.db2.gz IREIYVAOAXRCMR-VIFPVBQESA-N 0 1 289.339 0.174 20 30 CCEDMN CN(CC(=O)N1CCC(CC#N)CC1)[C@@H]1CCC[C@H]1O ZINC001447582428 930665387 /nfs/dbraw/zinc/66/53/87/930665387.db2.gz JTEUIBOXKDLMLV-ZIAGYGMSSA-N 0 1 279.384 0.984 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CNC(=O)OC ZINC001447826235 930715501 /nfs/dbraw/zinc/71/55/01/930715501.db2.gz BPMKEYAGAIIOFB-RKDXNWHRSA-N 0 1 277.752 0.578 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC001447925479 930756961 /nfs/dbraw/zinc/75/69/61/930756961.db2.gz VBEIVGRBCIDUJJ-UHFFFAOYSA-N 0 1 274.202 0.529 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)Cn1cncn1 ZINC001447937350 930761616 /nfs/dbraw/zinc/76/16/16/930761616.db2.gz OZSWROZTHJYKCE-NXEZZACHSA-N 0 1 271.752 0.513 20 30 CCEDMN CC[C@H](CNC(=O)CCc1cnc[nH]1)NC(=O)[C@@H](C)C#N ZINC001375119260 930799454 /nfs/dbraw/zinc/79/94/54/930799454.db2.gz FBBZLGKSRHODFH-WDEREUQCSA-N 0 1 291.355 0.513 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NCC(=O)N2CCCCC2)CC1 ZINC001375404092 930880394 /nfs/dbraw/zinc/88/03/94/930880394.db2.gz PJSNIEGYZZFCQW-LBPRGKRZSA-N 0 1 292.383 0.397 20 30 CCEDMN Cc1nc([C@H](C)N2CC(N(C)C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001375667084 930946767 /nfs/dbraw/zinc/94/67/67/930946767.db2.gz KQUWHBGXJMHHSF-IUCAKERBSA-N 0 1 276.344 0.476 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)C(=O)Cc1ccn[nH]1 ZINC001449777984 931184626 /nfs/dbraw/zinc/18/46/26/931184626.db2.gz NVAIKAZWIQXDPL-LLVKDONJSA-N 0 1 291.355 0.467 20 30 CCEDMN CCCC[C@H](CNC(=O)[C@@H](C)C#N)NC(=O)c1cnn[nH]1 ZINC001450250043 931250841 /nfs/dbraw/zinc/25/08/41/931250841.db2.gz LPSCVHKOAAXQQM-VHSXEESVSA-N 0 1 292.343 0.369 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1nccnc1C(N)=O ZINC001376863834 931306945 /nfs/dbraw/zinc/30/69/45/931306945.db2.gz NARACCOGILQXTO-QMMMGPOBSA-N 0 1 297.746 0.036 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](C)NC(=O)CN1CCCC1 ZINC001451223549 931401466 /nfs/dbraw/zinc/40/14/66/931401466.db2.gz ZKKBVZWXSIZTCJ-NEPJUHHUSA-N 0 1 280.372 0.205 20 30 CCEDMN CC#CCC[N@H+]1C[C@@H](C(=O)[O-])C2(CC(C(=O)OC)C2)C1 ZINC001602735281 971418239 /nfs/dbraw/zinc/41/82/39/971418239.db2.gz XLLIJEQAEJVQFV-AVERBVTBSA-N 0 1 279.336 0.986 20 30 CCEDMN C#CC[N@@H+](CCC(=O)Nc1ccc(C#N)cc1)CC(=O)[O-] ZINC000092481999 949155252 /nfs/dbraw/zinc/15/52/52/949155252.db2.gz MYNXTNGMKYYMLX-UHFFFAOYSA-N 0 1 285.303 0.907 20 30 CCEDMN C#CC[N@H+](CCC(=O)Nc1ccc(C#N)cc1)CC(=O)[O-] ZINC000092481999 949155261 /nfs/dbraw/zinc/15/52/61/949155261.db2.gz MYNXTNGMKYYMLX-UHFFFAOYSA-N 0 1 285.303 0.907 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCC[N@H+](CC)C1CC1 ZINC000219932961 949559076 /nfs/dbraw/zinc/55/90/76/949559076.db2.gz GMMBBUPWGLUZLO-UHFFFAOYSA-N 0 1 267.329 0.200 20 30 CCEDMN C[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC001589305795 953738594 /nfs/dbraw/zinc/73/85/94/953738594.db2.gz RBGPHEPTMUIRQE-UEKVPHQBSA-N 0 1 293.367 0.980 20 30 CCEDMN N#CC1(C[C@@H](O)C[N@H+]2CC[C@@H](C(=O)[O-])C2)CCC1 ZINC001594603601 956156539 /nfs/dbraw/zinc/15/65/39/956156539.db2.gz GHVCQYMSQOFWHN-GHMZBOCLSA-N 0 1 252.314 0.838 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H](O)CC[C@H](O)c1ccccc1 ZINC001588424135 958210248 /nfs/dbraw/zinc/21/02/48/958210248.db2.gz JZHGIVNGGVOYTF-GJZGRUSLSA-N 0 1 291.347 0.881 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H](O)CC[C@H](O)c1ccccc1 ZINC001588424135 958210261 /nfs/dbraw/zinc/21/02/61/958210261.db2.gz JZHGIVNGGVOYTF-GJZGRUSLSA-N 0 1 291.347 0.881 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC001588429727 958247201 /nfs/dbraw/zinc/24/72/01/958247201.db2.gz BRKFVJVIVWZORX-LBPRGKRZSA-N 0 1 278.352 0.797 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N[C@H](C)CC(=O)[O-])C1 ZINC001588429850 958250924 /nfs/dbraw/zinc/25/09/24/958250924.db2.gz FJZGBJVHMUSGRU-GHMZBOCLSA-N 0 1 267.329 0.246 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N[C@H](C)CC(=O)[O-])C1 ZINC001588429850 958250938 /nfs/dbraw/zinc/25/09/38/958250938.db2.gz FJZGBJVHMUSGRU-GHMZBOCLSA-N 0 1 267.329 0.246 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N2CC[C@](C)(C(=O)[O-])C2)C1 ZINC001588430940 958261887 /nfs/dbraw/zinc/26/18/87/958261887.db2.gz QRPUELFOMVYZRF-WFASDCNBSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N2CC[C@](C)(C(=O)[O-])C2)C1 ZINC001588430940 958261902 /nfs/dbraw/zinc/26/19/02/958261902.db2.gz QRPUELFOMVYZRF-WFASDCNBSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+](CCOc1cccc([N+](=O)[O-])c1)CC(=O)[O-] ZINC001588432492 958285801 /nfs/dbraw/zinc/28/58/01/958285801.db2.gz YKRUFIABFCMQKG-UHFFFAOYSA-N 0 1 278.264 0.993 20 30 CCEDMN C#CC[N@H+](CCOc1cccc([N+](=O)[O-])c1)CC(=O)[O-] ZINC001588432492 958285817 /nfs/dbraw/zinc/28/58/17/958285817.db2.gz YKRUFIABFCMQKG-UHFFFAOYSA-N 0 1 278.264 0.993 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+](C)[C@@H](C(=O)[O-])C2CC2)C1=O ZINC001588463999 958487760 /nfs/dbraw/zinc/48/77/60/958487760.db2.gz DOBIRIHXNDJMEG-GHMZBOCLSA-N 0 1 252.314 0.568 20 30 CCEDMN CC#CC[N@H+]1C[C@H](C(=O)[O-])C2(CC(C(=O)OC)C2)C1 ZINC001588478517 958568351 /nfs/dbraw/zinc/56/83/51/958568351.db2.gz DTQCTMCCVVEIGC-IQLKVPPVSA-N 0 1 265.309 0.596 20 30 CCEDMN N#CC1(C[C@H](O)C[N@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)CC1 ZINC001574480615 961666226 /nfs/dbraw/zinc/66/62/26/961666226.db2.gz NIHCUQJJDAEMHF-MJVIPROJSA-N 0 1 280.324 0.074 20 30 CCEDMN N#Cc1cnccc1C[N@@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001574493582 961720498 /nfs/dbraw/zinc/72/04/98/961720498.db2.gz NXQFBKOMBNPGOM-JSGCOSHPSA-N 0 1 273.292 0.486 20 30 CCEDMN COC[C@]1(C(=O)[O-])CC[N@@H+](Cc2cc(C#N)ccn2)C1 ZINC001574134337 962557469 /nfs/dbraw/zinc/55/74/69/962557469.db2.gz QBSDRCJAGYLNNF-AWEZNQCLSA-N 0 1 275.308 0.876 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H]1CCCNC(=O)CNC(=O)[O-] ZINC001573302662 962658940 /nfs/dbraw/zinc/65/89/40/962658940.db2.gz YWUCDYKWIYVZBN-GFCCVEGCSA-N 0 1 281.356 0.638 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NCC(C)(C)[NH+]1CCN(C)CC1 ZINC001573332412 962833381 /nfs/dbraw/zinc/83/33/81/962833381.db2.gz IVRKKJXFDDWYNA-UHFFFAOYSA-N 0 1 297.399 0.550 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@@H+](CCC(=O)N(C)CCC#N)C1CC1 ZINC001609708603 970489163 /nfs/dbraw/zinc/48/91/63/970489163.db2.gz SOLZIHINHPTXMP-JTQLQIEISA-N 0 1 267.329 0.686 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001603426717 973159706 /nfs/dbraw/zinc/15/97/06/973159706.db2.gz LMFJKMHGMCISJE-IJLUTSLNSA-N 0 1 281.356 0.790 20 30 CCEDMN N#Cc1ccc(F)c(C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](O)C2)c1 ZINC000401933004 973542843 /nfs/dbraw/zinc/54/28/43/973542843.db2.gz XZHNYRSLRKNHKN-NEPJUHHUSA-N 0 1 278.283 0.965 20 30 CCEDMN C#C[C@@H]1CCC[N@@H+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])C1 ZINC001588382737 983374387 /nfs/dbraw/zinc/37/43/87/983374387.db2.gz GXXDNEUTMPEDHM-CHWSQXEVSA-N 0 1 280.368 0.947 20 30 CCEDMN C#C[C@@H]1CCC[N@H+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])C1 ZINC001588382737 983374394 /nfs/dbraw/zinc/37/43/94/983374394.db2.gz GXXDNEUTMPEDHM-CHWSQXEVSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CCC[N@H+](C(C)C)C1)C(=O)[O-] ZINC001588406423 983425470 /nfs/dbraw/zinc/42/54/70/983425470.db2.gz PRWCLUVNKJYKGN-NWDGAFQWSA-N 0 1 266.341 0.700 20 30 CCEDMN C#CC[N@H+](CCCNC(=O)[C@H]1C[C@H]1C(=O)[O-])C(C)C ZINC001588469583 983502274 /nfs/dbraw/zinc/50/22/74/983502274.db2.gz LWFOJPPCFQLFGU-NWDGAFQWSA-N 0 1 266.341 0.557 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](Nc2ncc(C(=O)[O-])cn2)C1 ZINC001588477628 983517049 /nfs/dbraw/zinc/51/70/49/983517049.db2.gz XELBENCSNJSRSL-LLVKDONJSA-N 0 1 260.297 0.684 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](Nc2ncc(C(=O)[O-])cn2)C1 ZINC001588477628 983517051 /nfs/dbraw/zinc/51/70/51/983517051.db2.gz XELBENCSNJSRSL-LLVKDONJSA-N 0 1 260.297 0.684 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1CC[C@@H](C)C[C@H]1C(=O)[O-] ZINC001588479546 983520194 /nfs/dbraw/zinc/52/01/94/983520194.db2.gz SGNIADQYTFZPNK-MNOVXSKESA-N 0 1 252.314 0.311 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[N@H+](C[C@H](C)O)C[C@H]1C ZINC001588615377 983711792 /nfs/dbraw/zinc/71/17/92/983711792.db2.gz RWURYXXMMUKLFQ-NEPJUHHUSA-N 0 1 284.356 0.321 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1C[C@@H](C(=O)OCC)C2(COC2)C1 ZINC001588663160 983793498 /nfs/dbraw/zinc/79/34/98/983793498.db2.gz CFUXFOMCGWEIRJ-UWVGGRQHSA-N 0 1 269.297 0.137 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@H](c2nc(C)n[nH]2)C1 ZINC001588729364 983989258 /nfs/dbraw/zinc/98/92/58/983989258.db2.gz JAUUDFGSMQSXOT-VHSXEESVSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CC[C@H](c2nnc(C)[nH]2)C1 ZINC001588729364 983989263 /nfs/dbraw/zinc/98/92/63/983989263.db2.gz JAUUDFGSMQSXOT-VHSXEESVSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@H](c2nnc(C)[nH]2)C1 ZINC001588729364 983989270 /nfs/dbraw/zinc/98/92/70/983989270.db2.gz JAUUDFGSMQSXOT-VHSXEESVSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CC[C@H](c2n[nH]c(C)n2)C1 ZINC001588729364 983989275 /nfs/dbraw/zinc/98/92/75/983989275.db2.gz JAUUDFGSMQSXOT-VHSXEESVSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@H](c2n[nH]c(C)n2)C1 ZINC001588729364 983989281 /nfs/dbraw/zinc/98/92/81/983989281.db2.gz JAUUDFGSMQSXOT-VHSXEESVSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](CCC(N)=O)C1 ZINC001588729618 983992317 /nfs/dbraw/zinc/99/23/17/983992317.db2.gz LTVNSIAOEQCZCK-QWRGUYRKSA-N 0 1 254.330 0.993 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](CS(=O)(=O)NC)C1 ZINC001588731100 984005418 /nfs/dbraw/zinc/00/54/18/984005418.db2.gz ZCRRYWYVDGCXII-QWRGUYRKSA-N 0 1 290.385 0.277 20 30 CCEDMN CC#CCCCC(=O)O[C@@H]1C[C@H](C(=O)[O-])[N@H+](C)C1 ZINC001588926878 984594459 /nfs/dbraw/zinc/59/44/59/984594459.db2.gz BDQRVUYRRBZDMG-GHMZBOCLSA-N 0 1 253.298 0.881 20 30 CCEDMN CC#CCCCC(=O)O[C@@H]1C[C@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588926878 984594460 /nfs/dbraw/zinc/59/44/60/984594460.db2.gz BDQRVUYRRBZDMG-GHMZBOCLSA-N 0 1 253.298 0.881 20 30 CCEDMN CC#CC[N@@H+](C)CCOCCN(C)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001588930877 984600093 /nfs/dbraw/zinc/60/00/93/984600093.db2.gz DMLRPHKGBZURNQ-QWHCGFSZSA-N 0 1 296.367 0.137 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588930975 984600644 /nfs/dbraw/zinc/60/06/44/984600644.db2.gz HQRLGIOPQARHHK-CHWSQXEVSA-N 0 1 276.336 0.479 20 30 CCEDMN C[C@@H]1CN(C(=O)c2c[nH]c(C#N)c2)CC[N@H+]1CCC(=O)[O-] ZINC001594490269 985600940 /nfs/dbraw/zinc/60/09/40/985600940.db2.gz YGTMFIPVNMNLNJ-SNVBAGLBSA-N 0 1 290.323 0.507 20 30 CCEDMN Cc1c(C(=O)N(CC#N)CC(=O)[O-])cnn1-c1cc[nH+]cc1 ZINC001599975589 986084752 /nfs/dbraw/zinc/08/47/52/986084752.db2.gz IRJWCKKYECEECP-UHFFFAOYSA-N 0 1 299.290 0.626 20 30 CCEDMN C[C@@H](C#N)C[N@H+](CCC(=O)NCC(=O)[O-])C1CC1 ZINC001589330570 986174723 /nfs/dbraw/zinc/17/47/23/986174723.db2.gz JVPAOVKTJVGBLV-VIFPVBQESA-N 0 1 253.302 0.201 20 30 CCEDMN C[C@@H](C#N)C[N@@H+](CCC(=O)NCC(=O)[O-])C1CC1 ZINC001589330570 986174729 /nfs/dbraw/zinc/17/47/29/986174729.db2.gz JVPAOVKTJVGBLV-VIFPVBQESA-N 0 1 253.302 0.201 20 30 CCEDMN C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001598384939 991599340 /nfs/dbraw/zinc/59/93/40/991599340.db2.gz QNFRGDIDOANKIO-OLUVUFQESA-N 0 1 279.340 0.590 20 30 CCEDMN CN1CC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)CCC1=O ZINC001598611727 993789388 /nfs/dbraw/zinc/78/93/88/993789388.db2.gz MBOBQDQKHXERFX-CQSZACIVSA-N 0 1 287.319 0.848 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](CCCSCC#N)C[C@H]1C(=O)[O-] ZINC001598820241 996317826 /nfs/dbraw/zinc/31/78/26/996317826.db2.gz HCPZPPXMHJROJF-NXEZZACHSA-N 0 1 286.353 0.439 20 30 CCEDMN C[C@@]1(C(N)=O)CC[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)C1 ZINC001593815663 996550817 /nfs/dbraw/zinc/55/08/17/996550817.db2.gz NXCTXQMWVPZHCK-OAHLLOKOSA-N 0 1 287.319 0.954 20 30 CCEDMN CO[C@]1(C(=O)[O-])CC[N@@H+](C[C@H](O)CC2(C#N)CC2)C1 ZINC001599078523 996937795 /nfs/dbraw/zinc/93/77/95/996937795.db2.gz RHGSGVQXOSZVBG-ZWNOBZJWSA-N 0 1 268.313 0.217 20 30 CCEDMN C=CCC[C@@H](O)CN1CC[C@@H](S(=O)(=O)NCC)C1 ZINC000092843467 349508000 /nfs/dbraw/zinc/50/80/00/349508000.db2.gz WWEFBSNZDUMCRP-VXGBXAGGSA-N 0 1 276.402 0.327 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@@H](CCF)C1 ZINC000599641641 361769343 /nfs/dbraw/zinc/76/93/43/361769343.db2.gz JXTZUXBJVGGYLH-LBPRGKRZSA-N 0 1 271.336 0.809 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)[C@H]1C[C@@H](C(N)=O)C1 ZINC000599644530 361770826 /nfs/dbraw/zinc/77/08/26/361770826.db2.gz KDKRRFMBTONQNJ-SDDRHHMPSA-N 0 1 280.372 0.190 20 30 CCEDMN C=CCSCCNC(=O)NC[C@H]1COCCN1 ZINC000599910830 361828186 /nfs/dbraw/zinc/82/81/86/361828186.db2.gz VQOLHFPREZOLTN-JTQLQIEISA-N 0 1 259.375 0.193 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1CCNC[C@@H]1C(C)C ZINC000599943069 361837529 /nfs/dbraw/zinc/83/75/29/361837529.db2.gz AEPJBUDAWNUUIB-GFCCVEGCSA-N 0 1 299.440 0.478 20 30 CCEDMN CC[C@H](CO)N(C)CC(=O)NCc1cccc(C#N)c1 ZINC000451154368 529381833 /nfs/dbraw/zinc/38/18/33/529381833.db2.gz KHGDVXOZFIVHKR-CQSZACIVSA-N 0 1 275.352 0.877 20 30 CCEDMN CCC(C#N)C(=O)N1C[C@@H](C(=O)OC)CC[C@@H]1C(=O)OC ZINC000600340885 361931949 /nfs/dbraw/zinc/93/19/49/361931949.db2.gz PHHYNPXUSLJPCO-OUAUKWLOSA-N 0 1 296.323 0.489 20 30 CCEDMN N#Cc1ccc(C(=O)NCCN2CC[C@H](O)C2)cc1 ZINC000600809168 362066116 /nfs/dbraw/zinc/06/61/16/362066116.db2.gz UDTQTUPGLDNYRE-ZDUSSCGKSA-N 0 1 259.309 0.355 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2ccccc2CC#N)C1 ZINC000601151182 362160065 /nfs/dbraw/zinc/16/00/65/362160065.db2.gz RAAOTLZXGUKLJO-CQSZACIVSA-N 0 1 286.379 0.728 20 30 CCEDMN C[C@H](C#N)CNS(=O)(=O)c1cc(O)cc(F)c1 ZINC000601360110 362244194 /nfs/dbraw/zinc/24/41/94/362244194.db2.gz UTBKOKBMPKGEEQ-SSDOTTSWSA-N 0 1 258.274 0.969 20 30 CCEDMN C[C@@H](CNC(=O)c1sccc1C#N)N1CCN(C)CC1 ZINC000114384135 349828852 /nfs/dbraw/zinc/82/88/52/349828852.db2.gz VZXXUZWTXSBLMQ-NSHDSACASA-N 0 1 292.408 0.985 20 30 CCEDMN CN(CC(=O)NCC1(O)CCOCC1)[C@@H]1CCSC1 ZINC000329007702 529819550 /nfs/dbraw/zinc/81/95/50/529819550.db2.gz YKWPYJNXAJWSEQ-LLVKDONJSA-N 0 1 288.413 0.922 20 30 CCEDMN CN(CC(=O)NCc1nnn(C)n1)[C@@H]1CCSC1 ZINC000330211839 529819559 /nfs/dbraw/zinc/81/95/59/529819559.db2.gz JMONFCOOUWPCJU-MRVPVSSYSA-N 0 1 270.362 0.104 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)nc1 ZINC000115373848 349853784 /nfs/dbraw/zinc/85/37/84/349853784.db2.gz FXASVHPIUKXAIL-LBPRGKRZSA-N 0 1 287.367 0.319 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H](CCC)c1nn[nH]n1 ZINC000295076690 199333871 /nfs/dbraw/zinc/33/38/71/199333871.db2.gz WKNFYLOPFSYNAL-IUCAKERBSA-N 0 1 251.290 0.195 20 30 CCEDMN CN(C)C1(CNS(=O)(=O)CC2(C#N)CC2)CC1 ZINC000601450233 362277473 /nfs/dbraw/zinc/27/74/73/362277473.db2.gz OCNRGNOPOFJCNX-UHFFFAOYSA-N 0 1 257.359 0.304 20 30 CCEDMN N#Cc1ccc2c(c1)CCN2C(=O)CCc1nn[nH]n1 ZINC000601634296 362334168 /nfs/dbraw/zinc/33/41/68/362334168.db2.gz SSQHITNFBFHFHO-UHFFFAOYSA-N 0 1 268.280 0.593 20 30 CCEDMN N#Cc1ccc([C@@H](C(N)=O)N2C[C@@H]3COC[C@@H]3C2)cc1 ZINC000601973306 362444852 /nfs/dbraw/zinc/44/48/52/362444852.db2.gz JTSUUIYUBJWBSN-MJBXVCDLSA-N 0 1 271.320 0.663 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N[C@H]1CCCn2nccc21 ZINC000601941815 362431102 /nfs/dbraw/zinc/43/11/02/362431102.db2.gz PUFMKGQCMOMYFT-QWRGUYRKSA-N 0 1 291.355 0.708 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@@H]2COC[C@@H]2C1 ZINC000601974910 362446715 /nfs/dbraw/zinc/44/67/15/362446715.db2.gz SVYXGYDQFHGQID-SCRDCRAPSA-N 0 1 265.357 0.619 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)CNc1cccc(C#N)c1 ZINC000426857255 530024504 /nfs/dbraw/zinc/02/45/04/530024504.db2.gz OLPQSDPPAAOPLS-UHFFFAOYSA-N 0 1 270.296 0.747 20 30 CCEDMN CC(C)(CC#N)CNC(=O)NCCN1CC[C@H](O)C1 ZINC000602328929 362587374 /nfs/dbraw/zinc/58/73/74/362587374.db2.gz KJTZSPSVNVGHCQ-NSHDSACASA-N 0 1 268.361 0.292 20 30 CCEDMN C[C@H](CCC#N)CN1CCN(CC(=O)N(C)C)CC1 ZINC000602430573 362611933 /nfs/dbraw/zinc/61/19/33/362611933.db2.gz CPMMEYWUHHZBDS-CYBMUJFWSA-N 0 1 266.389 0.632 20 30 CCEDMN C[C@@]1(C(=O)NCC2(C#N)CCCCC2)CNCCO1 ZINC000602521179 362654874 /nfs/dbraw/zinc/65/48/74/362654874.db2.gz KHTGLRDUHVUTFU-ZDUSSCGKSA-N 0 1 265.357 0.955 20 30 CCEDMN CC[C@H](CO)N1CCN(Cc2cccc(C#N)n2)CC1 ZINC000602486202 362640209 /nfs/dbraw/zinc/64/02/09/362640209.db2.gz PWCZJPIHSGNIDO-OAHLLOKOSA-N 0 1 274.368 0.842 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)Cc1ccc(C#N)nc1 ZINC000602529330 362660198 /nfs/dbraw/zinc/66/01/98/362660198.db2.gz VRXICQNJIPAQLL-AWEZNQCLSA-N 0 1 272.352 0.952 20 30 CCEDMN C[C@H]1OCCN(CCC(=O)N(C)CCC#N)[C@@H]1C ZINC000092801782 260271874 /nfs/dbraw/zinc/27/18/74/260271874.db2.gz ZVXYXTMDBWGGHT-VXGBXAGGSA-N 0 1 253.346 0.858 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NCc1cccc(C#N)n1)CC2 ZINC000602535585 362664009 /nfs/dbraw/zinc/66/40/09/362664009.db2.gz ZXJXXSGIEHEQLG-ZDUSSCGKSA-N 0 1 268.324 0.958 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2cccc(C#N)n2)[C@H](C)C1 ZINC000602647628 362731901 /nfs/dbraw/zinc/73/19/01/362731901.db2.gz IVJOTHHXLQFHHV-CHWSQXEVSA-N 0 1 274.368 0.840 20 30 CCEDMN N#Cc1ncn(CCNCc2cc(C3CC3)no2)n1 ZINC000602652903 362732985 /nfs/dbraw/zinc/73/29/85/362732985.db2.gz DZLGTUJLXRNPLA-UHFFFAOYSA-N 0 1 258.285 0.805 20 30 CCEDMN CC(C)(CO)N1CCN(c2cc(C#N)ncn2)CC1 ZINC000617953668 365856182 /nfs/dbraw/zinc/85/61/82/365856182.db2.gz CJCITFZQOYLWJZ-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN CS(=O)(=O)NCC1CCN(CC2(CC#N)CC2)CC1 ZINC000602826433 362822118 /nfs/dbraw/zinc/82/21/18/362822118.db2.gz AAAGRVDTRCCOKT-UHFFFAOYSA-N 0 1 285.413 0.941 20 30 CCEDMN COC(=O)[C@H]1C[C@@H](O)CN(CC2(CC#N)CC2)C1 ZINC000602847640 362834825 /nfs/dbraw/zinc/83/48/25/362834825.db2.gz AAGYBYZENKUPHU-WDEREUQCSA-N 0 1 252.314 0.536 20 30 CCEDMN C[C@@H]1CN(C[C@@H]2COCCO2)C[C@H](C)N1CC#N ZINC000602848233 362836051 /nfs/dbraw/zinc/83/60/51/362836051.db2.gz FYFRXUJMXGUZDL-FRRDWIJNSA-N 0 1 253.346 0.320 20 30 CCEDMN C[C@@H]1CN(CCN2C[C@@H](C)N(CC#N)[C@@H](C)C2)CCO1 ZINC000602855725 362842158 /nfs/dbraw/zinc/84/21/58/362842158.db2.gz WICAQCPTJPFEDF-QLFBSQMISA-N 0 1 280.416 0.625 20 30 CCEDMN C[C@H](NS(=O)(=O)c1ccc(C#N)o1)c1nnc[nH]1 ZINC000344725328 137209644 /nfs/dbraw/zinc/20/96/44/137209644.db2.gz NRBHEFKGRRRAKV-LURJTMIESA-N 0 1 267.270 0.309 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)c1ccc(F)cc1C#N ZINC000344894276 137220241 /nfs/dbraw/zinc/22/02/41/137220241.db2.gz FLOCKNGRPCWPFU-SECBINFHSA-N 0 1 285.344 0.926 20 30 CCEDMN CCN(C(=O)N=c1[n-]n(C)cc1C#N)[C@@H]1CC[N@@H+](CC)C1 ZINC000602885553 362864524 /nfs/dbraw/zinc/86/45/24/362864524.db2.gz FZIBHOBFEMVHKN-GFCCVEGCSA-N 0 1 290.371 0.662 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@H](CCF)C2)[nH]1 ZINC000602885030 362864734 /nfs/dbraw/zinc/86/47/34/362864734.db2.gz YEGDLOSLAHPRFM-SNVBAGLBSA-N 0 1 281.291 0.306 20 30 CCEDMN C[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H](C)O1 ZINC000602885557 362865071 /nfs/dbraw/zinc/86/50/71/362865071.db2.gz FZKPWLDSGWMTKJ-ZJUUUORDSA-N 0 1 277.328 0.745 20 30 CCEDMN C[C@@H](O)CN(C(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000602889145 362867449 /nfs/dbraw/zinc/86/74/49/362867449.db2.gz XHOBMJMEPVDSSV-MRVPVSSYSA-N 0 1 263.301 0.091 20 30 CCEDMN Cc1cc(C#N)ccc1CN1C[C@@H](O)[C@H](n2ccnn2)C1 ZINC000602874666 362854726 /nfs/dbraw/zinc/85/47/26/362854726.db2.gz GVHQDYCPPPSLAI-HUUCEWRRSA-N 0 1 283.335 0.876 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)c2ccc(=O)n(C)c2)[nH]1 ZINC000345152124 137237413 /nfs/dbraw/zinc/23/74/13/137237413.db2.gz AHMWLMRKCDZUFQ-UHFFFAOYSA-N 0 1 285.307 0.709 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)[C@@H]2CC(=O)N(C)C2)[nH]1 ZINC000345200015 137239177 /nfs/dbraw/zinc/23/91/77/137239177.db2.gz GNUHWOUSOQYOCU-SECBINFHSA-N 0 1 275.312 0.174 20 30 CCEDMN COc1cc(C#N)ccc1CN1C[C@H](O)C[C@@]1(C)CO ZINC000602901520 362875677 /nfs/dbraw/zinc/87/56/77/362875677.db2.gz ZHECVJSMTQWBHP-HIFRSBDPSA-N 0 1 276.336 0.884 20 30 CCEDMN COc1ccc(CNc2n[nH]c(N(C)C)n2)cc1C#N ZINC000346667373 137297694 /nfs/dbraw/zinc/29/76/94/137297694.db2.gz UVWREAZLSCJVGN-UHFFFAOYSA-N 0 1 272.312 0.785 20 30 CCEDMN CN(CCC(=O)N1CCOCC1)[C@H]1CCC[C@@H]1C#N ZINC000602976544 362917823 /nfs/dbraw/zinc/91/78/23/362917823.db2.gz QVOZXPNRWKATSB-OLZOCXBDSA-N 0 1 265.357 0.859 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@](O)(CC)C1 ZINC000602982072 362919991 /nfs/dbraw/zinc/91/99/91/362919991.db2.gz MZJNCKAFUCVCKK-BXUZGUMPSA-N 0 1 283.372 0.624 20 30 CCEDMN CC(=O)NC1CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000050991796 348482519 /nfs/dbraw/zinc/48/25/19/348482519.db2.gz PKVRCBQAOVQASE-LLVKDONJSA-N 0 1 280.372 0.347 20 30 CCEDMN C=CCNC(=O)NCc1ccc(N2CCN(C)CC2)nc1 ZINC000060908760 348649592 /nfs/dbraw/zinc/64/95/92/348649592.db2.gz QEDDBCVLYJPNKR-UHFFFAOYSA-N 0 1 289.383 0.819 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N1CCC(C(N)=O)CC1 ZINC000058889464 348634012 /nfs/dbraw/zinc/63/40/12/348634012.db2.gz FALVMIKUPWJCOX-NHYWBVRUSA-N 0 1 294.399 0.627 20 30 CCEDMN COC(=O)C1(CN2CCN(CCC#N)CC2)CC1 ZINC000603016493 362939238 /nfs/dbraw/zinc/93/92/38/362939238.db2.gz FUTQKDHOAYCVOY-UHFFFAOYSA-N 0 1 251.330 0.471 20 30 CCEDMN C#CCNC(=O)c1ccc(CN2CCN(C)CC2)cc1 ZINC000079621152 349186001 /nfs/dbraw/zinc/18/60/01/349186001.db2.gz KSMLMDQKIRVTES-UHFFFAOYSA-N 0 1 271.364 0.797 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@@H]1CCC[C@@H]1O ZINC000080471421 349213906 /nfs/dbraw/zinc/21/39/06/349213906.db2.gz JQRVSLRHNPVMQL-UPJWGTAASA-N 0 1 267.373 0.840 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)c1cccc(C#N)c1 ZINC000080528207 349216069 /nfs/dbraw/zinc/21/60/69/349216069.db2.gz XTQBTPWLFVWHFH-UHFFFAOYSA-N 0 1 277.309 0.497 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC(C)(C)O)CC1 ZINC000080821455 349231062 /nfs/dbraw/zinc/23/10/62/349231062.db2.gz YLUYZJHTXGYJHF-UHFFFAOYSA-N 0 1 252.358 0.561 20 30 CCEDMN COC[C@@](C)(CCO)NCC(=O)Nc1cccc(C#N)c1 ZINC000079921164 349195089 /nfs/dbraw/zinc/19/50/89/349195089.db2.gz ZVOOJPDSVGEERY-OAHLLOKOSA-N 0 1 291.351 0.874 20 30 CCEDMN N#Cc1cscc1C(=O)N[C@@H](CO)CN1CCCC1 ZINC000603051286 362946397 /nfs/dbraw/zinc/94/63/97/362946397.db2.gz AJZXUMZRCDLVSN-LLVKDONJSA-N 0 1 279.365 0.806 20 30 CCEDMN COC(=O)CCN1CCN(c2ccc(C#N)nn2)[C@@H](C)C1 ZINC000089265843 349380554 /nfs/dbraw/zinc/38/05/54/349380554.db2.gz DUDMPEZEUGJPAD-NSHDSACASA-N 0 1 289.339 0.422 20 30 CCEDMN C=CCOCCCC(=O)N1CCN([C@H](CC)CO)CC1 ZINC000131731266 350285041 /nfs/dbraw/zinc/28/50/41/350285041.db2.gz YRVBHMSJHABSFU-CQSZACIVSA-N 0 1 284.400 0.884 20 30 CCEDMN CN(CC(=O)N[C@]1(C#N)CCSC1)[C@@H]1CCSC1 ZINC000135891228 350410123 /nfs/dbraw/zinc/41/01/23/350410123.db2.gz KZXUOPMJBVDFLC-PWSUYJOCSA-N 0 1 285.438 0.939 20 30 CCEDMN CCCc1cc(C(=O)N2CCN(CC#N)CC2)n[nH]1 ZINC000152679710 350537499 /nfs/dbraw/zinc/53/74/99/350537499.db2.gz ICNNXZVQMIQQSR-UHFFFAOYSA-N 0 1 261.329 0.644 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)c2cc(C#N)cn2C)n[nH]1 ZINC000353258127 137519058 /nfs/dbraw/zinc/51/90/58/137519058.db2.gz LFINNWJNAIIJRJ-SSDOTTSWSA-N 0 1 258.285 0.814 20 30 CCEDMN N#Cc1cc(N2CCN(CCCO)CC2)ccc1[N+](=O)[O-] ZINC000166181991 350796915 /nfs/dbraw/zinc/79/69/15/350796915.db2.gz IJUBQZDDFIFREE-UHFFFAOYSA-N 0 1 290.323 0.971 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@H](C)[C@@H]2C)CC1 ZINC000166163784 350796921 /nfs/dbraw/zinc/79/69/21/350796921.db2.gz VGTDIUDEWKUZKS-STQMWFEESA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCNC(=O)c1cccc(CN2CCN(C)CC2)c1 ZINC000172762288 351008644 /nfs/dbraw/zinc/00/86/44/351008644.db2.gz WSOWGRFUAJMMKS-UHFFFAOYSA-N 0 1 271.364 0.797 20 30 CCEDMN CNCc1cn([C@H]2CCN(c3ccc(C#N)cc3)C2=O)nn1 ZINC000603189461 362991424 /nfs/dbraw/zinc/99/14/24/362991424.db2.gz BNQRRWFFWUFDKP-AWEZNQCLSA-N 0 1 296.334 0.847 20 30 CCEDMN CC(C)C[N@H+]1CCO[C@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC000175125659 351119012 /nfs/dbraw/zinc/11/90/12/351119012.db2.gz OWNOIZYGIGJFTG-CYBMUJFWSA-N 0 1 290.367 0.973 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC000175125659 351119014 /nfs/dbraw/zinc/11/90/14/351119014.db2.gz OWNOIZYGIGJFTG-CYBMUJFWSA-N 0 1 290.367 0.973 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCC[C@@H]2C(=O)OC)CC1 ZINC000175371515 351127100 /nfs/dbraw/zinc/12/71/00/351127100.db2.gz BYTJMKXRXYPLMV-CQSZACIVSA-N 0 1 292.379 0.886 20 30 CCEDMN C=CC[C@H](CO)NC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000183767491 351390286 /nfs/dbraw/zinc/39/02/86/351390286.db2.gz KKYSIOPSUQADBO-MWLCHTKSSA-N 0 1 266.345 0.885 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000185987390 351469445 /nfs/dbraw/zinc/46/94/45/351469445.db2.gz AWOFRRXEQVVXOW-LBPRGKRZSA-N 0 1 288.351 0.944 20 30 CCEDMN Cn1cc(CN2CC[C@@H](N3CCOCC3)C2)cc1C#N ZINC000191255594 351752705 /nfs/dbraw/zinc/75/27/05/351752705.db2.gz SYKQFFKKWKWHGT-CQSZACIVSA-N 0 1 274.368 0.803 20 30 CCEDMN N#Cc1ccc2c(c1)CC[C@H]2N=c1ccc(C(N)=O)n[nH]1 ZINC000618693901 366076792 /nfs/dbraw/zinc/07/67/92/366076792.db2.gz TVMWVPREUDKUKS-GFCCVEGCSA-N 0 1 279.303 0.968 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1 ZINC000603408903 363116755 /nfs/dbraw/zinc/11/67/55/363116755.db2.gz GVINZHPSBACZHR-UONOGXRCSA-N 0 1 294.399 0.059 20 30 CCEDMN C[C@@H]1C[C@@H](NS(=O)(=O)c2cccnc2C#N)CCN1C ZINC000235432026 352184619 /nfs/dbraw/zinc/18/46/19/352184619.db2.gz CETOWOAKVXWYOP-MNOVXSKESA-N 0 1 294.380 0.714 20 30 CCEDMN Cc1cc(C#N)nc(NCCN2CCN(C)CC2)n1 ZINC000231939649 352138813 /nfs/dbraw/zinc/13/88/13/352138813.db2.gz WARXLCOTWZVCHT-UHFFFAOYSA-N 0 1 260.345 0.316 20 30 CCEDMN CC1(C)CNCCN1S(=O)(=O)c1cccnc1C#N ZINC000232797647 352146958 /nfs/dbraw/zinc/14/69/58/352146958.db2.gz BJHCPIAOZXHROX-UHFFFAOYSA-N 0 1 280.353 0.326 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc[nH]c2=O)CC1 ZINC000603886093 363166563 /nfs/dbraw/zinc/16/65/63/363166563.db2.gz PYOUNPBEEIJFKG-UHFFFAOYSA-N 0 1 260.297 0.505 20 30 CCEDMN COc1cc(C#N)ccc1C[N@H+]1C[C@H](O)C[C@H]1CO ZINC000248388511 352437443 /nfs/dbraw/zinc/43/74/43/352437443.db2.gz DXUPRRATSKJTFN-QWHCGFSZSA-N 0 1 262.309 0.494 20 30 CCEDMN COc1cc(C#N)ccc1CN1C[C@H](O)C[C@H]1CO ZINC000248388511 352437446 /nfs/dbraw/zinc/43/74/46/352437446.db2.gz DXUPRRATSKJTFN-QWHCGFSZSA-N 0 1 262.309 0.494 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@@H](C)CC(C)=O)CC1 ZINC000270963263 353009284 /nfs/dbraw/zinc/00/92/84/353009284.db2.gz OGPXMNZSLBAIOX-NSHDSACASA-N 0 1 250.342 0.815 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2CCCN(C)CC2)c1 ZINC000155513206 188211313 /nfs/dbraw/zinc/21/13/13/188211313.db2.gz ZLHHIYDPMQSPGB-UHFFFAOYSA-N 0 1 285.347 0.771 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](CN3CCOCC3)C2)[nH]1 ZINC000156342590 188256642 /nfs/dbraw/zinc/25/66/42/188256642.db2.gz LUZFAAZDMUSOIG-LBPRGKRZSA-N 0 1 288.351 0.681 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1cc(C#N)ccn1 ZINC000283216343 353490830 /nfs/dbraw/zinc/49/08/30/353490830.db2.gz XXLFGBDPDSIKAS-LBPRGKRZSA-N 0 1 287.367 0.319 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccnc(C#N)c2)C[C@H]1C ZINC000283921735 353536915 /nfs/dbraw/zinc/53/69/15/353536915.db2.gz WZZOCSKUBWVBAS-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN C#CCNC(=O)CCN(CCO)Cc1cccnc1 ZINC000292880881 353785827 /nfs/dbraw/zinc/78/58/27/353785827.db2.gz UDKZXIZKNYJPBZ-UHFFFAOYSA-N 0 1 261.325 0.015 20 30 CCEDMN CC(C)[C@@H](O)CN1CCN(c2nccnc2C#N)C[C@H]1C ZINC000292671479 353784444 /nfs/dbraw/zinc/78/44/44/353784444.db2.gz QMGUJBPPJMJEPX-OCCSQVGLSA-N 0 1 289.383 0.876 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](SC)C1 ZINC000296204447 353936911 /nfs/dbraw/zinc/93/69/11/353936911.db2.gz JKPNECWQEHICBE-VHSXEESVSA-N 0 1 271.386 0.824 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NC[C@H](C)CC(=O)OCC ZINC000296414278 353946710 /nfs/dbraw/zinc/94/67/10/353946710.db2.gz HTVSMTALPKOVIY-CYBMUJFWSA-N 0 1 297.399 0.830 20 30 CCEDMN C[C@H](NCc1cn(C)c(=O)n(C)c1=O)c1ccc(C#N)cc1 ZINC000299155727 353995636 /nfs/dbraw/zinc/99/56/36/353995636.db2.gz HYDHOLJLCAHAOO-NSHDSACASA-N 0 1 298.346 0.806 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2cn3c(n2)CCCC3)[nH]1 ZINC000328598030 354232965 /nfs/dbraw/zinc/23/29/65/354232965.db2.gz AVVDENBZVCWPJK-UHFFFAOYSA-N 0 1 270.296 0.499 20 30 CCEDMN CC[N@@H+](CC(=O)[O-])C1CC(NC(=O)[C@@H](C)OC)C1 ZINC000328621652 354239761 /nfs/dbraw/zinc/23/97/61/354239761.db2.gz APOLVKOXNMRXDE-XNWIYYODSA-N 0 1 258.318 0.915 20 30 CCEDMN N#Cc1cccc(CN2CCC(S(N)(=O)=O)CC2)c1 ZINC000330522339 354392157 /nfs/dbraw/zinc/39/21/57/354392157.db2.gz ORJAOGWIURPJME-UHFFFAOYSA-N 0 1 279.365 0.811 20 30 CCEDMN N#Cc1ccnc(C(=O)NC[C@H]2CCCCN2CCO)c1 ZINC000331349433 354546048 /nfs/dbraw/zinc/54/60/48/354546048.db2.gz XTYRTGGUMCFMMR-CYBMUJFWSA-N 0 1 288.351 0.530 20 30 CCEDMN C[C@H](C(=O)Nc1cc(CN(C)C)ccn1)n1cnc(C#N)n1 ZINC000337055516 355257739 /nfs/dbraw/zinc/25/77/39/355257739.db2.gz PHNZGAXDGRNATM-SNVBAGLBSA-N 0 1 299.338 0.806 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000344205603 355789383 /nfs/dbraw/zinc/78/93/83/355789383.db2.gz QGTWSIDHVOSCTE-DZGCQCFKSA-N 0 1 284.400 0.787 20 30 CCEDMN C[C@H](Oc1cccnc1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000361919942 138038435 /nfs/dbraw/zinc/03/84/35/138038435.db2.gz QLAPWODLKWKUPK-VIFPVBQESA-N 0 1 271.280 0.515 20 30 CCEDMN N#Cc1ccnc(C(=O)NCCCc2nc[nH]n2)c1 ZINC000560445661 291102004 /nfs/dbraw/zinc/10/20/04/291102004.db2.gz MRRLPMADBHSJLH-UHFFFAOYSA-N 0 1 256.269 0.434 20 30 CCEDMN CC1=CCN(CCNC(=O)[C@H](C)n2cnc(C#N)n2)CC1 ZINC000619615673 366299983 /nfs/dbraw/zinc/29/99/83/366299983.db2.gz RQGOJXDSSGRTNK-LBPRGKRZSA-N 0 1 288.355 0.479 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H]2C(=O)NCc2nn[nH]n2)cc1 ZINC000609531895 363502650 /nfs/dbraw/zinc/50/26/50/363502650.db2.gz ANHAXZFAIZIYHV-GFCCVEGCSA-N 0 1 297.322 0.357 20 30 CCEDMN CCNC(=O)CCN1CCN([C@H](C#N)C(C)C)CC1 ZINC000609538100 363504011 /nfs/dbraw/zinc/50/40/11/363504011.db2.gz LWHOOPGXQXOXDQ-CYBMUJFWSA-N 0 1 266.389 0.678 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)c2ccc(C#N)nc2)C1 ZINC000609662829 363513326 /nfs/dbraw/zinc/51/33/26/363513326.db2.gz VZZCSVWGOJOPKV-AWEZNQCLSA-N 0 1 288.351 0.794 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N1CCc2cn[nH]c2C1 ZINC000619750842 366347988 /nfs/dbraw/zinc/34/79/88/366347988.db2.gz COMSDWNXTBIOCC-SECBINFHSA-N 0 1 283.357 0.247 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)NCc1c[nH]nn1 ZINC000610488592 363566644 /nfs/dbraw/zinc/56/66/44/363566644.db2.gz IBSABWSVNYNHDG-UHFFFAOYSA-N 0 1 287.279 0.380 20 30 CCEDMN CC(=O)Nc1ccc(C(=O)N=c2[nH]n(C)cc2C#N)nc1 ZINC000360046646 356940372 /nfs/dbraw/zinc/94/03/72/356940372.db2.gz XIHLTYKUBSCCNH-UHFFFAOYSA-N 0 1 284.279 0.319 20 30 CCEDMN COCC[C@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610528035 363572868 /nfs/dbraw/zinc/57/28/68/363572868.db2.gz HWXRUIDKUNPRKH-QMMMGPOBSA-N 0 1 251.290 0.260 20 30 CCEDMN COCCN(CCC#N)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610561591 363576109 /nfs/dbraw/zinc/57/61/09/363576109.db2.gz YITOKDUEOJHVMS-UHFFFAOYSA-N 0 1 276.300 0.108 20 30 CCEDMN Cc1ncsc1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610564796 363579798 /nfs/dbraw/zinc/57/97/98/363579798.db2.gz SENMOBNFZQXJIY-UHFFFAOYSA-N 0 1 276.325 0.800 20 30 CCEDMN CC(C)(CO)N1CCN(c2cccc(C#N)n2)CC1 ZINC000610575712 363581157 /nfs/dbraw/zinc/58/11/57/363581157.db2.gz ATYUQVQMNMSGTP-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN C=CCOCCNC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000655783892 412660412 /nfs/dbraw/zinc/66/04/12/412660412.db2.gz POKIBWZXWMHHGE-BETUJISGSA-N 0 1 285.388 0.286 20 30 CCEDMN CC1CC(C#N)(C(=O)NC[C@H]2CN3CCN2CCC3)C1 ZINC000394825785 357759822 /nfs/dbraw/zinc/75/98/22/357759822.db2.gz ZKPRYHUPRBZGAI-OWYJLGKBSA-N 0 1 276.384 0.432 20 30 CCEDMN C[C@H]1CN(CCO)CCN1c1ccc(C#N)c([N+](=O)[O-])c1 ZINC000450951167 236124321 /nfs/dbraw/zinc/12/43/21/236124321.db2.gz FIWZKBGVLHNQLH-NSHDSACASA-N 0 1 290.323 0.969 20 30 CCEDMN CC[C@@H]1C[C@H](C(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000408154030 357942952 /nfs/dbraw/zinc/94/29/52/357942952.db2.gz HRHGETFLRULSLK-MWLCHTKSSA-N 0 1 262.313 0.857 20 30 CCEDMN CNC(=O)CC[C@H]1CCCCN1CC(=O)NCC#N ZINC000353173684 283311159 /nfs/dbraw/zinc/31/11/59/283311159.db2.gz WEACPUSWKDOZNA-LLVKDONJSA-N 0 1 266.345 0.007 20 30 CCEDMN C[C@H]1CN(CCO)CCN1CCOc1cccc(C#N)c1 ZINC000452114592 236221820 /nfs/dbraw/zinc/22/18/20/236221820.db2.gz KOKLBOVFYLJAPT-AWEZNQCLSA-N 0 1 289.379 0.935 20 30 CCEDMN C[N@@H+]1CC[C@@](O)(CNC(=O)c2ccc(C#N)c([O-])c2)C1 ZINC000442807187 358242345 /nfs/dbraw/zinc/24/23/45/358242345.db2.gz DEJBDAJENKFMFJ-CQSZACIVSA-N 0 1 275.308 0.060 20 30 CCEDMN C[N@H+]1CC[C@@](O)(CNC(=O)c2ccc(C#N)c([O-])c2)C1 ZINC000442807187 358242349 /nfs/dbraw/zinc/24/23/49/358242349.db2.gz DEJBDAJENKFMFJ-CQSZACIVSA-N 0 1 275.308 0.060 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)CCCC#N)[C@H](C)C1 ZINC000487461494 358285483 /nfs/dbraw/zinc/28/54/83/358285483.db2.gz MPXZOTLASDVDQK-NEPJUHHUSA-N 0 1 253.346 0.594 20 30 CCEDMN C#CCNC(=O)CCN1C[C@@]2(CCCO2)[C@@H]1C1CC1 ZINC000491254996 358293459 /nfs/dbraw/zinc/29/34/59/358293459.db2.gz UVTSBHQQRYLDGY-GJZGRUSLSA-N 0 1 262.353 0.769 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCC[C@@H]3OCC[C@H]32)[nH]1 ZINC000610767524 363611219 /nfs/dbraw/zinc/61/12/19/363611219.db2.gz XWLJYIKZFCDADO-TUAOUCFPSA-N 0 1 289.339 0.793 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C[C@@](C)(O)C2CC2)CC1 ZINC000533707978 358546589 /nfs/dbraw/zinc/54/65/89/358546589.db2.gz YVNVWNYJABFJHX-CYBMUJFWSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NC[C@H](C)C#N ZINC000543804274 358548880 /nfs/dbraw/zinc/54/88/80/358548880.db2.gz ZLCKWTKOEATRFF-VXGBXAGGSA-N 0 1 267.377 0.081 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]C[C@@H]2CCC[C@@H]([NH3+])C2)o1 ZINC000570058498 358819000 /nfs/dbraw/zinc/81/90/00/358819000.db2.gz WNNKCXYDVSXHJC-NXEZZACHSA-N 0 1 283.353 0.947 20 30 CCEDMN C[C@H]1C[C@H](O)C[N@H+]1Cc1cnc2c(C#N)cnn2c1 ZINC000573120169 358940571 /nfs/dbraw/zinc/94/05/71/358940571.db2.gz GMEVPUREUSWRJD-CABZTGNLSA-N 0 1 257.297 0.556 20 30 CCEDMN C[C@H]1C[C@H](O)CN1Cc1cnc2c(C#N)cnn2c1 ZINC000573120169 358940573 /nfs/dbraw/zinc/94/05/73/358940573.db2.gz GMEVPUREUSWRJD-CABZTGNLSA-N 0 1 257.297 0.556 20 30 CCEDMN C[C@H](O)CN1CCN(c2cncc(C#N)n2)[C@@H](C)C1 ZINC000581307321 359125243 /nfs/dbraw/zinc/12/52/43/359125243.db2.gz MEBCKRGFXRNPKY-QWRGUYRKSA-N 0 1 261.329 0.240 20 30 CCEDMN CN(CCOC(C)(C)C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610876636 363624886 /nfs/dbraw/zinc/62/48/86/363624886.db2.gz IEPLVLWYOGEHRK-UHFFFAOYSA-N 0 1 279.344 0.992 20 30 CCEDMN N#Cc1ncn(CCNC(=O)c2[nH]nc3c2CCCC3)n1 ZINC000588020936 359307145 /nfs/dbraw/zinc/30/71/45/359307145.db2.gz BNXUWJVRLZKCLF-UHFFFAOYSA-N 0 1 285.311 0.182 20 30 CCEDMN CC(C)(C#N)C(=O)N1CC[C@H](N2CC[C@H](O)C2)C1 ZINC000588863996 359343022 /nfs/dbraw/zinc/34/30/22/359343022.db2.gz VLJIRGRWYSMDIV-QWRGUYRKSA-N 0 1 251.330 0.204 20 30 CCEDMN COCC(C)(C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000590121612 359425647 /nfs/dbraw/zinc/42/56/47/359425647.db2.gz PWMWSTUVTLRABW-UHFFFAOYSA-N 0 1 265.317 0.508 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)c2cccc(C#N)n2)n[nH]1 ZINC000589523819 359389182 /nfs/dbraw/zinc/38/91/82/359389182.db2.gz PEDIOOZFWVUBNY-SSDOTTSWSA-N 0 1 256.269 0.871 20 30 CCEDMN CCN(C[C@@H](C)OC)C(=O)N=c1[nH]n(C)cc1C#N ZINC000589761815 359400758 /nfs/dbraw/zinc/40/07/58/359400758.db2.gz HHMTXYRPTOLJJY-SECBINFHSA-N 0 1 265.317 0.602 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCOC[C@H]2C#N)C1 ZINC000590435080 359461577 /nfs/dbraw/zinc/46/15/77/359461577.db2.gz AAPDKMBYMRFVTP-JLLWLGSASA-N 0 1 274.324 0.827 20 30 CCEDMN CN1CCN(c2cc(C(=O)N3CC(C#N)C3)ccn2)CC1 ZINC000590484907 359474379 /nfs/dbraw/zinc/47/43/79/359474379.db2.gz IZSONEUPHVQIAS-UHFFFAOYSA-N 0 1 285.351 0.429 20 30 CCEDMN COC1(CNC(=O)N=c2[nH]n(C)cc2C#N)CCCC1 ZINC000590819617 359526911 /nfs/dbraw/zinc/52/69/11/359526911.db2.gz OMDSUDAVDADHQW-UHFFFAOYSA-N 0 1 277.328 0.794 20 30 CCEDMN COC1CCN(CCNc2ncncc2C#N)CC1 ZINC000591920900 359700617 /nfs/dbraw/zinc/70/06/17/359700617.db2.gz JSCSNAYAUCVGSF-UHFFFAOYSA-N 0 1 261.329 0.293 20 30 CCEDMN C[N@H+]1CC[C@@H]2CCN(C(=O)CNc3ccc(C#N)cn3)[C@H]2C1 ZINC000329313018 202277441 /nfs/dbraw/zinc/27/74/41/202277441.db2.gz APZMCCBALLLAJQ-KGLIPLIRSA-N 0 1 299.378 0.340 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H](O)COc2ccc(F)cc2)C1 ZINC000592144888 359764503 /nfs/dbraw/zinc/76/45/03/359764503.db2.gz KABCHSFSQNQKQH-ZFWWWQNUSA-N 0 1 294.326 0.916 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H](O)COCc2ccco2)C1 ZINC000592147791 359765470 /nfs/dbraw/zinc/76/54/70/359765470.db2.gz OPQCUYQFXJUGDZ-OCCSQVGLSA-N 0 1 280.324 0.508 20 30 CCEDMN N#Cc1cnn2cc(CN3CCN(C4CC4)CC3)cnc12 ZINC000563154774 291200525 /nfs/dbraw/zinc/20/05/25/291200525.db2.gz WVJCIBPIKZEZEN-UHFFFAOYSA-N 0 1 282.351 0.881 20 30 CCEDMN C=CCOCC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000592405209 359833602 /nfs/dbraw/zinc/83/36/02/359833602.db2.gz DFRJSXLNBANRNF-CHWSQXEVSA-N 0 1 268.357 0.247 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)c2cccnc2C#N)CCN1 ZINC000592380363 359828959 /nfs/dbraw/zinc/82/89/59/359828959.db2.gz SPUMPGOXMZPTIH-GFCCVEGCSA-N 0 1 294.380 0.572 20 30 CCEDMN CCN1CCN([C@@H]2CCN(c3ccc(C#N)nn3)C2)CC1 ZINC000611240981 363694614 /nfs/dbraw/zinc/69/46/14/363694614.db2.gz PCKPAYPSUOWIAC-CQSZACIVSA-N 0 1 286.383 0.564 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cc3n(n2)CCC3)[nH]n1C ZINC000592652369 359909016 /nfs/dbraw/zinc/90/90/16/359909016.db2.gz YCUAJXDSXRWWBN-UHFFFAOYSA-N 0 1 270.296 0.417 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCCS2)[nH]n1C ZINC000592655896 359910559 /nfs/dbraw/zinc/91/05/59/359910559.db2.gz YYFIVUINYYEDKA-VIFPVBQESA-N 0 1 250.327 0.856 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)[C@@H]2CCCN2C2CC2)C1 ZINC000592850653 359955774 /nfs/dbraw/zinc/95/57/74/359955774.db2.gz XMHPCCNYVOMONS-JSGCOSHPSA-N 0 1 263.341 0.490 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000593487254 360097364 /nfs/dbraw/zinc/09/73/64/360097364.db2.gz NKJQTERIKUOVAV-CHWSQXEVSA-N 0 1 265.357 0.717 20 30 CCEDMN Cc1ccc(N2CC(N3CC[C@@H](O)C3)C2)nc1C#N ZINC000593503933 360099968 /nfs/dbraw/zinc/09/99/68/360099968.db2.gz MUBUNNSHCHIYGR-GFCCVEGCSA-N 0 1 258.325 0.517 20 30 CCEDMN COC(=O)CNC(=O)[C@H](C)N[C@H](C)c1cccc(C#N)c1 ZINC000611387349 363712593 /nfs/dbraw/zinc/71/25/93/363712593.db2.gz LTFAAPJCWSSYCP-MNOVXSKESA-N 0 1 289.335 0.887 20 30 CCEDMN CNC(C)(C)c1cn(CCn2cc(C#N)cn2)nn1 ZINC000594646268 360345320 /nfs/dbraw/zinc/34/53/20/360345320.db2.gz WABYZZWXEINULR-UHFFFAOYSA-N 0 1 259.317 0.501 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccc(C#N)c(N)n2)CC1 ZINC000594549117 360329179 /nfs/dbraw/zinc/32/91/79/360329179.db2.gz XKHAJRMKONIDRX-SNVBAGLBSA-N 0 1 261.329 0.038 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000611503744 363728091 /nfs/dbraw/zinc/72/80/91/363728091.db2.gz BNRUBXKRUCJZAL-LLVKDONJSA-N 0 1 277.328 0.602 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@@H]2CCC[C@H]([NH3+])C2)o1 ZINC000595108652 360438283 /nfs/dbraw/zinc/43/82/83/360438283.db2.gz NRJROARRNHTBED-DTWKUNHWSA-N 0 1 269.326 0.699 20 30 CCEDMN CCN1CCCN(C(=O)C(=O)N2CC[C@@](C)(C#N)C2)CC1 ZINC000595132087 360441600 /nfs/dbraw/zinc/44/16/00/360441600.db2.gz RPDLWMWCBZSIMD-HNNXBMFYSA-N 0 1 292.383 0.303 20 30 CCEDMN Cn1ncc(C(F)(F)F)c1CNCCn1cnc(C#N)n1 ZINC000594939456 360421520 /nfs/dbraw/zinc/42/15/20/360421520.db2.gz BSBJQZANJHWSIB-UHFFFAOYSA-N 0 1 299.260 0.692 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N1C[C@@H](C)NC[C@H]1C ZINC000595284018 360480364 /nfs/dbraw/zinc/48/03/64/360480364.db2.gz MSDVYCLHCVZLFG-VXGBXAGGSA-N 0 1 299.440 0.621 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CC[C@@](C)(C#N)C2)C1 ZINC000595518090 360559381 /nfs/dbraw/zinc/55/93/81/360559381.db2.gz ZASCKWLACDVBPB-JSGCOSHPSA-N 0 1 280.372 0.652 20 30 CCEDMN C[C@@H]1CN(CCN2CC[C@@](O)(CC#N)C2)C[C@@H](C)O1 ZINC000595558041 360579882 /nfs/dbraw/zinc/57/98/82/360579882.db2.gz NJBYTPVNYQZJFZ-MCIONIFRSA-N 0 1 267.373 0.446 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)NCC1(CC#N)CC1 ZINC000595592104 360590686 /nfs/dbraw/zinc/59/06/86/360590686.db2.gz BDTWBJHBAGDWBO-UHFFFAOYSA-N 0 1 250.346 0.883 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)Cc1cnc[nH]1 ZINC000595596796 360592721 /nfs/dbraw/zinc/59/27/21/360592721.db2.gz NNXUFWQERRWMKD-CYBMUJFWSA-N 0 1 261.329 0.650 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)C(O)[C@H](C)C1 ZINC000595743762 360635973 /nfs/dbraw/zinc/63/59/73/360635973.db2.gz QLQAHGTVICFLJI-IJLUTSLNSA-N 0 1 279.384 0.886 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@](O)(C2CC2)C1 ZINC000595748610 360637878 /nfs/dbraw/zinc/63/78/78/360637878.db2.gz BAYQIFSDSJOYME-CQSZACIVSA-N 0 1 281.356 0.235 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H]2NC(=O)CC[C@H]2C1 ZINC000595744969 360638102 /nfs/dbraw/zinc/63/81/02/360638102.db2.gz WUDUMZOZONAFOF-QWHCGFSZSA-N 0 1 292.383 0.349 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000595749867 360639267 /nfs/dbraw/zinc/63/92/67/360639267.db2.gz MVBKYSARQZWSAE-MXYBEHONSA-N 0 1 281.400 0.990 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000595752901 360639380 /nfs/dbraw/zinc/63/93/80/360639380.db2.gz YTRDYXLTXQADEY-IMRBUKKESA-N 0 1 267.373 0.697 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC2(COC2)C1 ZINC000595834067 360673737 /nfs/dbraw/zinc/67/37/37/360673737.db2.gz GPYDOEPSYVXOSP-UHFFFAOYSA-N 0 1 276.340 0.365 20 30 CCEDMN COC(=O)CN(C)C(=O)CCN1CC[C@](C)(C#N)C1 ZINC000595839796 360676625 /nfs/dbraw/zinc/67/66/25/360676625.db2.gz LNCMGMCMWMKOCV-CYBMUJFWSA-N 0 1 267.329 0.243 20 30 CCEDMN N#C[C@H]1CN(Cc2ccnn2-c2ccncc2)CCO1 ZINC000597004250 360992900 /nfs/dbraw/zinc/99/29/00/360992900.db2.gz YAEGKHOAOYCBCD-AWEZNQCLSA-N 0 1 269.308 0.992 20 30 CCEDMN C#CCCCCC(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000179737470 189217394 /nfs/dbraw/zinc/21/73/94/189217394.db2.gz SGXUTNVLTZQQNO-UHFFFAOYSA-N 0 1 279.384 0.412 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC000597218995 361033821 /nfs/dbraw/zinc/03/38/21/361033821.db2.gz YBGYBAPKVGMNSP-GFCCVEGCSA-N 0 1 299.440 0.574 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000597346518 361066886 /nfs/dbraw/zinc/06/68/86/361066886.db2.gz KBICAIKPUFRPGE-QWHCGFSZSA-N 0 1 265.357 0.717 20 30 CCEDMN N#CCC(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000597619379 361164292 /nfs/dbraw/zinc/16/42/92/361164292.db2.gz GLVOMNMNNQXMJV-LBPRGKRZSA-N 0 1 251.330 0.613 20 30 CCEDMN CCN(C)[C@H](C(=O)N[C@H](C#N)CO)c1ccccc1 ZINC000597747422 361231518 /nfs/dbraw/zinc/23/15/18/361231518.db2.gz QLGWEAWAASUJII-OLZOCXBDSA-N 0 1 261.325 0.680 20 30 CCEDMN CN(CC1C[NH+](C)C1)C(=O)c1cc([O-])cc(C#N)c1 ZINC000598161263 361346233 /nfs/dbraw/zinc/34/62/33/361346233.db2.gz OZUUQNDXLWYLOR-UHFFFAOYSA-N 0 1 259.309 0.897 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1C[C@@H]2C[C@H]1C[S@]2=O ZINC000598624281 361464777 /nfs/dbraw/zinc/46/47/77/361464777.db2.gz YZWDHLGVDBOYTA-IJZKUYQOSA-N 0 1 256.371 0.492 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N(CCC#N)CCC#N)C[C@H]1CO ZINC000598645323 361470750 /nfs/dbraw/zinc/47/07/50/361470750.db2.gz GSWKZCRCXZBDJX-KGLIPLIRSA-N 0 1 292.383 0.593 20 30 CCEDMN COC(=O)CN(C[C@@H](O)CC(C)(C)C#N)C1CCOCC1 ZINC000598596966 361455516 /nfs/dbraw/zinc/45/55/16/361455516.db2.gz VAZCSKZJUNAPBM-ZDUSSCGKSA-N 0 1 298.383 0.941 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000611875717 363799129 /nfs/dbraw/zinc/79/91/29/363799129.db2.gz UTFPBYIOBZSVLV-CQSZACIVSA-N 0 1 268.357 0.247 20 30 CCEDMN C=CCn1cc(CNC[C@@H](O)CC(C)(C)C#N)nn1 ZINC000598725466 361496932 /nfs/dbraw/zinc/49/69/32/361496932.db2.gz FYULMOABICSYNA-LBPRGKRZSA-N 0 1 263.345 0.854 20 30 CCEDMN CN1CCOC[C@@H]1CNCc1nc(C#N)cs1 ZINC000599004639 361550365 /nfs/dbraw/zinc/55/03/65/361550365.db2.gz VOTBZYXGWOOSMD-JTQLQIEISA-N 0 1 252.343 0.435 20 30 CCEDMN N#Cc1cnc(NCCN2CC[C@@H](O)C2)c(F)c1 ZINC000599175703 361598467 /nfs/dbraw/zinc/59/84/67/361598467.db2.gz IPAARAKCLWBOIS-SNVBAGLBSA-N 0 1 250.277 0.571 20 30 CCEDMN CCOC(=O)C[C@H](O)CN1CCC[C@@H](CC#N)C1 ZINC000599468382 361711461 /nfs/dbraw/zinc/71/14/61/361711461.db2.gz FLYHWGABFKAOOA-RYUDHWBXSA-N 0 1 254.330 0.926 20 30 CCEDMN CO[C@@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000611944438 363810827 /nfs/dbraw/zinc/81/08/27/363810827.db2.gz OKGMTBNZYOTTFB-LLVKDONJSA-N 0 1 277.328 0.746 20 30 CCEDMN C=C[C@@H](O)C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000611971137 363819853 /nfs/dbraw/zinc/81/98/53/363819853.db2.gz KAZYMADXJLDNGB-CYBMUJFWSA-N 0 1 266.316 0.921 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000613473199 364260507 /nfs/dbraw/zinc/26/05/07/364260507.db2.gz XYVBZGUGAQFWBI-GXSJLCMTSA-N 0 1 294.359 0.233 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCCN1CCCOCC1 ZINC000613796967 364376487 /nfs/dbraw/zinc/37/64/87/364376487.db2.gz DYHQQGLTPJMVOX-AWEZNQCLSA-N 0 1 297.399 0.296 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@H](C3CC3)C2)[nH]1 ZINC000614430858 364604768 /nfs/dbraw/zinc/60/47/68/364604768.db2.gz NVRVKUKABWCKSI-NSHDSACASA-N 0 1 275.312 0.356 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N(CC(C)(C)O)C2CC2)[nH]1 ZINC000614688703 364699140 /nfs/dbraw/zinc/69/91/40/364699140.db2.gz ZCILAGHZQDYQEZ-UHFFFAOYSA-N 0 1 277.328 0.481 20 30 CCEDMN CC[C@]1(C)CN(C(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000615500864 364984958 /nfs/dbraw/zinc/98/49/58/364984958.db2.gz SCJKQKYNMKDIRM-CYBMUJFWSA-N 0 1 277.328 0.746 20 30 CCEDMN CN(CC(=O)N1CC[C@@](F)(C#N)C1)[C@@H]1CCSC1 ZINC000615664720 365043462 /nfs/dbraw/zinc/04/34/62/365043462.db2.gz PRCVWFRKWIBQTI-ZYHUDNBSSA-N 0 1 271.361 0.888 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CC[C@](F)(C#N)C1 ZINC000615825907 365098242 /nfs/dbraw/zinc/09/82/42/365098242.db2.gz OPQOETGPBZCLBY-AAEUAGOBSA-N 0 1 269.320 0.419 20 30 CCEDMN O=C1c2ccccc2C(=O)N1CC#CCN1CCC[C@@H](O)C1 ZINC000625065126 368054811 /nfs/dbraw/zinc/05/48/11/368054811.db2.gz NEJLJAOUVNJMTP-CYBMUJFWSA-N 0 1 298.342 0.743 20 30 CCEDMN C#CCOc1ccc(CNC[C@H]2CC(C(N)=O)=NO2)cc1 ZINC000276423948 193324878 /nfs/dbraw/zinc/32/48/78/193324878.db2.gz ITOQVPNFBYMAEB-CYBMUJFWSA-N 0 1 287.319 0.418 20 30 CCEDMN C=CCN(C(=O)CCc1nn[nH]n1)[C@@H](C)COC ZINC000633612419 371629595 /nfs/dbraw/zinc/62/95/95/371629595.db2.gz MTGGCRWFAUOIQA-VIFPVBQESA-N 0 1 253.306 0.182 20 30 CCEDMN C=C(C)C[NH+]1CCC(NC(=O)CCCc2nn[n-]n2)CC1 ZINC000635530454 372592365 /nfs/dbraw/zinc/59/23/65/372592365.db2.gz CATUKOSXXPVGJL-UHFFFAOYSA-N 0 1 292.387 0.679 20 30 CCEDMN C=CCN(CCOC)C(=O)CCCc1nn[nH]n1 ZINC000635847071 372998326 /nfs/dbraw/zinc/99/83/26/372998326.db2.gz QEABJCDLFJTQLU-UHFFFAOYSA-N 0 1 253.306 0.183 20 30 CCEDMN C=CCC(C)(C)CNC(=O)NCCN1CC[C@@H](O)C1 ZINC000637170774 373975028 /nfs/dbraw/zinc/97/50/28/373975028.db2.gz VEZGOJJZNCGLQL-GFCCVEGCSA-N 0 1 269.389 0.955 20 30 CCEDMN C=CCn1cc(CNCCCc2cnn(C)c2)nn1 ZINC000639275447 375687820 /nfs/dbraw/zinc/68/78/20/375687820.db2.gz QHVJXXLDVLWMCH-UHFFFAOYSA-N 0 1 260.345 0.920 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)COc2ccc(C#N)cc2)n1 ZINC000264320034 190103941 /nfs/dbraw/zinc/10/39/41/190103941.db2.gz GSESMXVGKPZLCT-UHFFFAOYSA-N 0 1 285.307 0.723 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)n1cc([C@H](N)CO)nn1 ZINC000640921532 376617267 /nfs/dbraw/zinc/61/72/67/376617267.db2.gz UQXXQVAJOOEZCI-GHMZBOCLSA-N 0 1 279.344 0.032 20 30 CCEDMN C=C1CCN([C@H](C)C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC000264433729 190109227 /nfs/dbraw/zinc/10/92/27/190109227.db2.gz CYSOOOYBDXTXAQ-GFCCVEGCSA-N 0 1 279.384 0.751 20 30 CCEDMN C=CCOCCn1cc(CN2CCN(C)CC2)nn1 ZINC000641029475 376685065 /nfs/dbraw/zinc/68/50/65/376685065.db2.gz GOKLFNNGNZBKGB-UHFFFAOYSA-N 0 1 265.361 0.228 20 30 CCEDMN C=CCN1C(=O)N=NC1SCCn1nc(C)oc1=O ZINC000641465485 377146038 /nfs/dbraw/zinc/14/60/38/377146038.db2.gz MCNJGBFKPVCTBO-UHFFFAOYSA-N 0 1 283.313 0.420 20 30 CCEDMN COCCNC(=O)C1(NCc2ccc(C#N)cc2)CC1 ZINC000459762999 249060205 /nfs/dbraw/zinc/06/02/05/249060205.db2.gz WLQLHGRPELJKQN-UHFFFAOYSA-N 0 1 273.336 0.943 20 30 CCEDMN CCNC(=O)NC(=O)[C@@H](C)N1CCC(CCCO)CC1 ZINC000330795238 335042214 /nfs/dbraw/zinc/04/22/14/335042214.db2.gz DTIFGGIVOBJORK-LLVKDONJSA-N 0 1 285.388 0.910 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC000288898021 388866232 /nfs/dbraw/zinc/86/62/32/388866232.db2.gz JGDQLKCWQRNIQX-NEPJUHHUSA-N 0 1 279.384 0.762 20 30 CCEDMN C=CCC[C@@H](O)CN1CCCC[C@H]1c1nc(=O)[nH][nH]1 ZINC000289041074 388867326 /nfs/dbraw/zinc/86/73/26/388867326.db2.gz BOFPLKVRGVAYCL-MNOVXSKESA-N 0 1 266.345 0.952 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC(CC(N)=O)CC1 ZINC000048024810 388885686 /nfs/dbraw/zinc/88/56/86/388885686.db2.gz QGYLSGOYEZGHCS-UHFFFAOYSA-N 0 1 281.400 0.998 20 30 CCEDMN C=C[C@H](CO)NCc1cnc(N2CCOCC2)s1 ZINC000289995630 388899542 /nfs/dbraw/zinc/89/95/42/388899542.db2.gz XTPCKBPXOOBUGI-SNVBAGLBSA-N 0 1 269.370 0.616 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@H]1COC[C@@H]1OC ZINC000290119767 388901650 /nfs/dbraw/zinc/90/16/50/388901650.db2.gz KOLZMZKJRCTGLC-RYUDHWBXSA-N 0 1 254.330 0.190 20 30 CCEDMN CN(CC(=O)NCC#N)CC1(O)CCCCCC1 ZINC000187350162 388877990 /nfs/dbraw/zinc/87/79/90/388877990.db2.gz HHIDGJJNWIZVHO-UHFFFAOYSA-N 0 1 253.346 0.643 20 30 CCEDMN CNC(=O)Cn1cc(NC(=O)c2ccc(C#N)c(O)c2)cn1 ZINC000188340187 388925137 /nfs/dbraw/zinc/92/51/37/388925137.db2.gz RBTGOGFPQYHTIT-UHFFFAOYSA-N 0 1 299.290 0.459 20 30 CCEDMN CN(C(=O)C1(C#N)CCOCC1)[C@@H]1CCCN(C)C1 ZINC000053246030 388929263 /nfs/dbraw/zinc/92/92/63/388929263.db2.gz KNZOIXSHCPPIFW-GFCCVEGCSA-N 0 1 265.357 0.859 20 30 CCEDMN CN(CC(=O)N(C)C1(C#N)CCC1)c1ncnc2[nH]cnc21 ZINC000066321805 388944827 /nfs/dbraw/zinc/94/48/27/388944827.db2.gz NRLFFHZDYNRENK-UHFFFAOYSA-N 0 1 299.338 0.694 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H](COCC)C1 ZINC000072977513 388972359 /nfs/dbraw/zinc/97/23/59/388972359.db2.gz JCDNKTHYEUVCBF-LBPRGKRZSA-N 0 1 283.372 0.747 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@H](O)CC1 ZINC000293092946 388978587 /nfs/dbraw/zinc/97/85/87/388978587.db2.gz KMPOKYFHIIFYGR-QWRGUYRKSA-N 0 1 269.345 0.234 20 30 CCEDMN CO[C@@]1(C)C[C@H](N(C)CC(=O)NCC#N)C1(C)C ZINC000076152196 388986498 /nfs/dbraw/zinc/98/64/98/388986498.db2.gz RRJZXIWNRSOOAI-GWCFXTLKSA-N 0 1 253.346 0.762 20 30 CCEDMN C#CCNC(=O)CCN(CC)C[C@@H](O)C(F)(F)F ZINC000294579364 388992551 /nfs/dbraw/zinc/99/25/51/388992551.db2.gz MOPAADJJMZZIJA-SECBINFHSA-N 0 1 266.263 0.371 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@H](OCCOC)C1 ZINC000189735128 388970682 /nfs/dbraw/zinc/97/06/82/388970682.db2.gz UUNMPSGWTFXPJF-NEPJUHHUSA-N 0 1 256.346 0.414 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@H]1CCCN(C)[C@H]1C ZINC000336896124 389028754 /nfs/dbraw/zinc/02/87/54/389028754.db2.gz NFWIXWOHBFJHDC-RYUDHWBXSA-N 0 1 288.417 0.539 20 30 CCEDMN C=CCN(CCOC)CCn1ccc([N+](=O)[O-])n1 ZINC000191136498 389007554 /nfs/dbraw/zinc/00/75/54/389007554.db2.gz OOHDZLLOQMHFPJ-UHFFFAOYSA-N 0 1 254.290 0.926 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](OCCOCC)C2)C1=O ZINC000337180784 389050858 /nfs/dbraw/zinc/05/08/58/389050858.db2.gz MDOMGUCCEMGTKP-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C)[C@@H](C)[C@@H]2C)C1=O ZINC000337185000 389052178 /nfs/dbraw/zinc/05/21/78/389052178.db2.gz OPFLGWPUBHGDLC-AVGNSLFASA-N 0 1 251.374 0.798 20 30 CCEDMN CCN(CCOCCO)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000337438160 389061243 /nfs/dbraw/zinc/06/12/43/389061243.db2.gz KRYDKNRURUUUEX-UKRRQHHQSA-N 0 1 299.415 0.760 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCNC(=O)C2(C)C)CC1 ZINC000103968160 389079104 /nfs/dbraw/zinc/07/91/04/389079104.db2.gz YFYINWIDZYBDHK-UHFFFAOYSA-N 0 1 277.368 0.069 20 30 CCEDMN CN(CCCO)CC(=O)NC1(C#N)CCCCC1 ZINC000306053579 389089824 /nfs/dbraw/zinc/08/98/24/389089824.db2.gz WWUYFBFXSFJSII-UHFFFAOYSA-N 0 1 253.346 0.643 20 30 CCEDMN CC#CCCNC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000355153233 389043903 /nfs/dbraw/zinc/04/39/03/389043903.db2.gz CTUGBZZXMITABN-OLZOCXBDSA-N 0 1 267.373 0.496 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N1CCN(C)CC[C@@H]1C ZINC000337101412 389045031 /nfs/dbraw/zinc/04/50/31/389045031.db2.gz WDSPJYRZWJMBSY-LBPRGKRZSA-N 0 1 288.417 0.493 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(CC(=O)NC(C)C)CC1 ZINC000121302022 389147478 /nfs/dbraw/zinc/14/74/78/389147478.db2.gz GYOKFRQSTYUJGI-AWEZNQCLSA-N 0 1 283.416 0.456 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N2CCC(C)(C#N)CC2)C1 ZINC000355743928 389116232 /nfs/dbraw/zinc/11/62/32/389116232.db2.gz UNEMLVPLSWQSRI-ZDUSSCGKSA-N 0 1 293.415 0.567 20 30 CCEDMN Cc1nc2n(n1)CCC[C@@H]2NC(=O)NCCN1CCC1 ZINC000328692699 389131741 /nfs/dbraw/zinc/13/17/41/389131741.db2.gz FJARZMQNQZBFPK-NSHDSACASA-N 0 1 278.360 0.631 20 30 CCEDMN C[C@H](NC(=O)NCCN1CCC1)C(=O)N1CCCC[C@@H]1C ZINC000328720749 389133074 /nfs/dbraw/zinc/13/30/74/389133074.db2.gz QTSHRNABHRYCKM-STQMWFEESA-N 0 1 296.415 0.985 20 30 CCEDMN C[C@H](NC(=O)NCCN1CCC1)C(=O)N1CCCC[C@H]1C ZINC000328720748 389133556 /nfs/dbraw/zinc/13/35/56/389133556.db2.gz QTSHRNABHRYCKM-OLZOCXBDSA-N 0 1 296.415 0.985 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H]1CO ZINC000118401250 389136970 /nfs/dbraw/zinc/13/69/70/389136970.db2.gz GVEIKLJEWMDNCC-VXGBXAGGSA-N 0 1 253.346 0.451 20 30 CCEDMN CCc1cc(C(=O)N(CC#N)CC(=O)OC)n[nH]1 ZINC000120481817 389142713 /nfs/dbraw/zinc/14/27/13/389142713.db2.gz NWKKRPQFDVKQKT-UHFFFAOYSA-N 0 1 250.258 0.111 20 30 CCEDMN C[C@@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)N=c1[n-]ncs1 ZINC000329828262 389205445 /nfs/dbraw/zinc/20/54/45/389205445.db2.gz JFLHYGWIMIEJJR-APPZFPTMSA-N 0 1 267.358 0.769 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)N[C@@H]2CCCOC2)n[nH]1 ZINC000329654627 389183038 /nfs/dbraw/zinc/18/30/38/389183038.db2.gz LTWICUCDWIRBHH-VXNVDRBHSA-N 0 1 253.306 0.857 20 30 CCEDMN C=CCCCNC(=O)C(=O)NC[C@H]1CCCN1CCOC ZINC000341528915 389189803 /nfs/dbraw/zinc/18/98/03/389189803.db2.gz GIGDFOIXTLCTKL-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN CN(C(=O)N[C@@H]1CCc2nc[nH]c2C1)[C@@H]1CCOC1 ZINC000329735598 389194148 /nfs/dbraw/zinc/19/41/48/389194148.db2.gz LCDWYNFHTHICKH-NXEZZACHSA-N 0 1 264.329 0.902 20 30 CCEDMN CN(C([O-])=[NH+][C@@H]1CCc2nc[nH]c2C1)[C@@H]1CCOC1 ZINC000329735598 389194150 /nfs/dbraw/zinc/19/41/50/389194150.db2.gz LCDWYNFHTHICKH-NXEZZACHSA-N 0 1 264.329 0.902 20 30 CCEDMN CN(C([O-])=[NH+][C@@H]1CCc2[nH]cnc2C1)[C@@H]1CCOC1 ZINC000329735598 389194153 /nfs/dbraw/zinc/19/41/53/389194153.db2.gz LCDWYNFHTHICKH-NXEZZACHSA-N 0 1 264.329 0.902 20 30 CCEDMN CNC(=O)C1CN(C(=O)CN(C)[C@H]2CCSC2)C1 ZINC000329848105 389206146 /nfs/dbraw/zinc/20/61/46/389206146.db2.gz RHVJCWNQYMONCZ-JTQLQIEISA-N 0 1 271.386 0.468 20 30 CCEDMN CCN1C[C@H](C(=O)NC[C@@H]2CN(C)CCN2C)CC1=O ZINC000329368206 389159983 /nfs/dbraw/zinc/15/99/83/389159983.db2.gz LGEQQGKGSMFLBQ-VXGBXAGGSA-N 0 1 282.388 0.057 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)CCn2cccn2)C1 ZINC000329401870 389161882 /nfs/dbraw/zinc/16/18/82/389161882.db2.gz ZDLQTBUQGVZBMY-LBPRGKRZSA-N 0 1 265.361 0.476 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCc2nccs2)C1 ZINC000329396640 389161976 /nfs/dbraw/zinc/16/19/76/389161976.db2.gz XOXDRIGRUSTZFN-SNVBAGLBSA-N 0 1 283.401 0.393 20 30 CCEDMN C[C@H]1C[C@H](O)CN1CC(=O)NCc1cccc(C#N)c1 ZINC000340907260 389165043 /nfs/dbraw/zinc/16/50/43/389165043.db2.gz LRTNONQTOYXXON-FZMZJTMJSA-N 0 1 273.336 0.630 20 30 CCEDMN CCc1cc(C(=O)NC[C@@H]2CN(C)CCN2C)cc(=O)[nH]1 ZINC000329485851 389166622 /nfs/dbraw/zinc/16/66/22/389166622.db2.gz STHAUZWTNLVDRN-CYBMUJFWSA-N 0 1 292.383 0.900 20 30 CCEDMN CN(Cc1cccnc1)C(=O)NC[C@H]1CN(C)CCN1C ZINC000329494547 389167516 /nfs/dbraw/zinc/16/75/16/389167516.db2.gz WVVLCDZEIHZQSQ-AWEZNQCLSA-N 0 1 291.399 0.673 20 30 CCEDMN Cc1nnc(SCC(=O)N(CC#N)CC#N)[nH]1 ZINC000342726601 389250194 /nfs/dbraw/zinc/25/01/94/389250194.db2.gz GWEKEPNTZPZEHL-UHFFFAOYSA-N 0 1 250.287 0.081 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N(CC#N)CC#N)n1 ZINC000342726601 389250198 /nfs/dbraw/zinc/25/01/98/389250198.db2.gz GWEKEPNTZPZEHL-UHFFFAOYSA-N 0 1 250.287 0.081 20 30 CCEDMN CN(C)C1CN(C(=O)Cc2cccc(OCC#N)c2)C1 ZINC000134905078 389250289 /nfs/dbraw/zinc/25/02/89/389250289.db2.gz ZSVMODBCBKUTET-UHFFFAOYSA-N 0 1 273.336 0.904 20 30 CCEDMN COC(=O)[C@@H](NC(=O)C(C)C#N)c1cccc(O)c1 ZINC000343136933 389259055 /nfs/dbraw/zinc/25/90/55/389259055.db2.gz YXSWGKPWBPVKER-KWQFWETISA-N 0 1 262.265 0.882 20 30 CCEDMN CN1CCC[C@@H]1CNS(=O)(=O)CCCCC#N ZINC000342341186 389210956 /nfs/dbraw/zinc/21/09/56/389210956.db2.gz JTZDAHZXZIOLSI-LLVKDONJSA-N 0 1 259.375 0.694 20 30 CCEDMN CN(C([O-])=[NH+][C@H]1CCn2ccnc2C1)C1CC(O)C1 ZINC000330283307 389219143 /nfs/dbraw/zinc/21/91/43/389219143.db2.gz WBPUUSLUSITJHF-WHXUTIOJSA-N 0 1 264.329 0.567 20 30 CCEDMN CC#CCCNC(=O)N1CC[C@@H](N2CCN(CC)CC2)C1 ZINC000361642640 389221798 /nfs/dbraw/zinc/22/17/98/389221798.db2.gz JUWLDLWZWMDALH-OAHLLOKOSA-N 0 1 292.427 0.821 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(O)(COC)CC2)C1=O ZINC000330381032 389223010 /nfs/dbraw/zinc/22/30/10/389223010.db2.gz HWVDOPBBETYHEP-LBPRGKRZSA-N 0 1 268.357 0.247 20 30 CCEDMN COC(=O)C(C)(C)CCN1CCN(CC#N)CC1 ZINC000330428620 389228132 /nfs/dbraw/zinc/22/81/32/389228132.db2.gz HUTQZOVBCVGYTP-UHFFFAOYSA-N 0 1 253.346 0.717 20 30 CCEDMN C[C@H](Cn1ccnc1)[NH+]=C([O-])NC1CCOCC1 ZINC000330453953 389231158 /nfs/dbraw/zinc/23/11/58/389231158.db2.gz LUJTUKGOGKMFKU-SNVBAGLBSA-N 0 1 252.318 0.954 20 30 CCEDMN C[C@H](Cn1ccnc1)NC([O-])=[NH+]C1CCOCC1 ZINC000330453953 389231160 /nfs/dbraw/zinc/23/11/60/389231160.db2.gz LUJTUKGOGKMFKU-SNVBAGLBSA-N 0 1 252.318 0.954 20 30 CCEDMN COC[C@H](C)NC(=O)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000330504745 389236072 /nfs/dbraw/zinc/23/60/72/389236072.db2.gz FLHVLGFZSFSEOU-VHSXEESVSA-N 0 1 289.401 0.489 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)[C@@H]1C[C@H](OC)CN1C ZINC000330518166 389238016 /nfs/dbraw/zinc/23/80/16/389238016.db2.gz GTMSXONPSCRHRX-SRVKXCTJSA-N 0 1 253.346 0.714 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(CCn2cc(C)cn2)C1 ZINC000330540683 389239074 /nfs/dbraw/zinc/23/90/74/389239074.db2.gz ROVSSVIFZAPEKX-LLVKDONJSA-N 0 1 251.334 0.399 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCC[C@@](C)(O)C1 ZINC000346515382 389330915 /nfs/dbraw/zinc/33/09/15/389330915.db2.gz CAPNDUXSKJBGCA-DGCLKSJQSA-N 0 1 265.357 0.784 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN([C@H](CC)CO)CC1 ZINC000330998729 389277871 /nfs/dbraw/zinc/27/78/71/389277871.db2.gz VNZOSWSFJYGTIB-TZMCWYRMSA-N 0 1 270.373 0.229 20 30 CCEDMN C[C@@H]1C[NH+]=C(NCc2nnc(COc3ccccc3)[nH]2)N1 ZINC000261859489 389277976 /nfs/dbraw/zinc/27/79/76/389277976.db2.gz AJZCKOUCKWJDBZ-SNVBAGLBSA-N 0 1 286.339 0.821 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cccc3[nH]cnc32)CCO1 ZINC000269466099 389418994 /nfs/dbraw/zinc/41/89/94/389418994.db2.gz JELWMXTYZZPJFU-VIFPVBQESA-N 0 1 256.265 0.927 20 30 CCEDMN C=CCOCCCN1CC[C@@H](S(=O)(=O)NC(C)C)C1 ZINC000348052236 389364417 /nfs/dbraw/zinc/36/44/17/389364417.db2.gz NLPXWSNECFOMJP-CYBMUJFWSA-N 0 1 290.429 0.981 20 30 CCEDMN CN(CCCCO)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000349934282 389462756 /nfs/dbraw/zinc/46/27/56/389462756.db2.gz KAMRGSJHKFMFAT-CYBMUJFWSA-N 0 1 253.346 0.499 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@](O)(C2CC2)C1 ZINC000373239511 389468533 /nfs/dbraw/zinc/46/85/33/389468533.db2.gz ATRPUZTXHALLDL-SWLSCSKDSA-N 0 1 279.384 0.841 20 30 CCEDMN C=CCNC(=O)CN1CC[C@](C(N)=O)(c2ccccc2)C1 ZINC000411959511 389470607 /nfs/dbraw/zinc/47/06/07/389470607.db2.gz DHDLVNQQYGUZJM-MRXNPFEDSA-N 0 1 287.363 0.418 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CC[N@H+](C)CC[C@@H]1C ZINC000334513416 389494187 /nfs/dbraw/zinc/49/41/87/389494187.db2.gz JSYHYRNVDYSIRS-LBPRGKRZSA-N 0 1 267.373 0.574 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CCN(C)CC[C@@H]1C ZINC000334513416 389494189 /nfs/dbraw/zinc/49/41/89/389494189.db2.gz JSYHYRNVDYSIRS-LBPRGKRZSA-N 0 1 267.373 0.574 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)CSc1nnc(C)[nH]1 ZINC000269634594 389424023 /nfs/dbraw/zinc/42/40/23/389424023.db2.gz WXNQXKVDTISWKK-JTQLQIEISA-N 0 1 269.330 0.250 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)CSc1nc(C)n[nH]1 ZINC000269634594 389424027 /nfs/dbraw/zinc/42/40/27/389424027.db2.gz WXNQXKVDTISWKK-JTQLQIEISA-N 0 1 269.330 0.250 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(CC)CCOC ZINC000270806959 389435560 /nfs/dbraw/zinc/43/55/60/389435560.db2.gz VRDDOOCDIJMONK-JTQLQIEISA-N 0 1 257.334 0.355 20 30 CCEDMN C#CCCN1CCN(Cc2nnc3n2CCCC3)CC1 ZINC000271994387 389455009 /nfs/dbraw/zinc/45/50/09/389455009.db2.gz FVXDWGXCTOKPPP-UHFFFAOYSA-N 0 1 273.384 0.755 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2ccc(C#N)nc2)C1 ZINC000413499773 389523312 /nfs/dbraw/zinc/52/33/12/389523312.db2.gz NXPADOZGBBIJLF-NSHDSACASA-N 0 1 274.324 0.494 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2ccc(C)c(C#N)n2)C1 ZINC000413502127 389524686 /nfs/dbraw/zinc/52/46/86/389524686.db2.gz QIFKWUXUKPNMNQ-GFCCVEGCSA-N 0 1 288.351 0.803 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000176646977 389561735 /nfs/dbraw/zinc/56/17/35/389561735.db2.gz VUOHXZSNQVBYIZ-NXEZZACHSA-N 0 1 260.297 0.581 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000351625057 389637740 /nfs/dbraw/zinc/63/77/40/389637740.db2.gz BUQQLEUGZXDIFL-JTQLQIEISA-N 0 1 278.356 0.800 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000425324613 389644843 /nfs/dbraw/zinc/64/48/43/389644843.db2.gz QPIQZROLRKAMBR-CYBMUJFWSA-N 0 1 281.312 0.808 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000182815027 389718105 /nfs/dbraw/zinc/71/81/05/389718105.db2.gz XHQYCJLQHYKTLH-CHWSQXEVSA-N 0 1 280.372 0.510 20 30 CCEDMN N#Cc1ccc(Cl)c(NC(=O)C(=O)N2CCNCC2)c1 ZINC000415280227 389668898 /nfs/dbraw/zinc/66/88/98/389668898.db2.gz FNFRCXPIXHLYKK-UHFFFAOYSA-N 0 1 292.726 0.582 20 30 CCEDMN COCC(C)(C)N(C)CC(=O)N(CCC#N)CCC#N ZINC000429228093 389745993 /nfs/dbraw/zinc/74/59/93/389745993.db2.gz QRPGSGYHNWQMLC-UHFFFAOYSA-N 0 1 280.372 0.999 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)C1(CO)CCC1 ZINC000429268700 389747491 /nfs/dbraw/zinc/74/74/91/389747491.db2.gz UCDBMAFXMGYTLI-CYBMUJFWSA-N 0 1 267.373 0.888 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H](C)C[C@H](O)C1 ZINC000401660747 389800982 /nfs/dbraw/zinc/80/09/82/389800982.db2.gz PJKGYNISFGHHJT-OLZOCXBDSA-N 0 1 252.358 0.890 20 30 CCEDMN N#Cc1cc(C(=O)NC2(c3nn[nH]n3)CCCC2)c[nH]1 ZINC000352504400 389820568 /nfs/dbraw/zinc/82/05/68/389820568.db2.gz QCCXMUSNHVUFIK-UHFFFAOYSA-N 0 1 271.284 0.599 20 30 CCEDMN COC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)C#N)[C@@H]1C(=O)OC ZINC000442126257 389844503 /nfs/dbraw/zinc/84/45/03/389844503.db2.gz IMKXTUBKWIBPRN-AEJSXWLSSA-N 0 1 282.296 0.099 20 30 CCEDMN C[C@@H]1CN(c2ncccc2C#N)[C@@H](C)CN1CCO ZINC000418994474 389885977 /nfs/dbraw/zinc/88/59/77/389885977.db2.gz BUEFDCRBPZSPGN-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN N#Cc1ccc(NC(=O)CN[C@H](CO)[C@H]2CCCO2)cc1 ZINC000447916566 389971767 /nfs/dbraw/zinc/97/17/67/389971767.db2.gz VTMDRKBNMYFGQM-ZIAGYGMSSA-N 0 1 289.335 0.626 20 30 CCEDMN N#CCNC(=O)CN[C@@]1(CO)CCCc2ccccc21 ZINC000440481565 390037775 /nfs/dbraw/zinc/03/77/75/390037775.db2.gz MTTDWNLPQJAPRA-OAHLLOKOSA-N 0 1 273.336 0.440 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N(C)C[C@@H]1CCCN1C ZINC000420768790 390030637 /nfs/dbraw/zinc/03/06/37/390030637.db2.gz OWJMNTVJHBAZNV-NSHDSACASA-N 0 1 274.390 0.103 20 30 CCEDMN Cc1nc(N2CCN(CCO)[C@@H](C)C2)ccc1C#N ZINC000487735055 390089533 /nfs/dbraw/zinc/08/95/33/390089533.db2.gz DLAHDNJYFWJKTG-NSHDSACASA-N 0 1 260.341 0.765 20 30 CCEDMN COC(=O)N(C)CCCC(=O)NC1(C#N)CCN(C)CC1 ZINC000491312923 390111755 /nfs/dbraw/zinc/11/17/55/390111755.db2.gz YSBHHGGYZXIXLI-UHFFFAOYSA-N 0 1 296.371 0.569 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1cc(C)ccc1C ZINC000491452185 390113518 /nfs/dbraw/zinc/11/35/18/390113518.db2.gz JMKHFLWAIQMWRA-UONOGXRCSA-N 0 1 263.337 0.627 20 30 CCEDMN C[N@@H+](CCC(=O)Nc1sccc1C#N)[C@H]1CCNC1=O ZINC000536178598 390158707 /nfs/dbraw/zinc/15/87/07/390158707.db2.gz FSJIEAYIHUFYNC-JTQLQIEISA-N 0 1 292.364 0.769 20 30 CCEDMN CN(CCC(=O)Nc1sccc1C#N)[C@H]1CCNC1=O ZINC000536178598 390158710 /nfs/dbraw/zinc/15/87/10/390158710.db2.gz FSJIEAYIHUFYNC-JTQLQIEISA-N 0 1 292.364 0.769 20 30 CCEDMN C=CCOCCN1CCN(C[C@@H]2CCCO2)CC1 ZINC000645074708 390367745 /nfs/dbraw/zinc/36/77/45/390367745.db2.gz RHSXJHCGJZTMIS-AWEZNQCLSA-N 0 1 254.374 0.986 20 30 CCEDMN C=CCn1cc(CNC(=O)c2[nH]nc3c2CCCC3)nn1 ZINC000644785578 390313440 /nfs/dbraw/zinc/31/34/40/390313440.db2.gz NOICHYLPRWXDIX-UHFFFAOYSA-N 0 1 286.339 0.996 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](c3nnc[nH]3)C2)C1=O ZINC000644954266 390354347 /nfs/dbraw/zinc/35/43/47/390354347.db2.gz STKIGAVPERRVGP-WDEREUQCSA-N 0 1 261.329 0.381 20 30 CCEDMN C=CCn1cc(C(=O)N(C)[C@H]2CCCN(C)C2)nn1 ZINC000648301186 390354729 /nfs/dbraw/zinc/35/47/29/390354729.db2.gz XOFXSOIKAGGSPP-NSHDSACASA-N 0 1 263.345 0.630 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](CCC)c1nn[nH]n1 ZINC000649145751 390496783 /nfs/dbraw/zinc/49/67/83/390496783.db2.gz FWKKCMBAKOHCDY-BBBLOLIVSA-N 0 1 265.317 0.748 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2CCCCN2CCO)nc1 ZINC000556361810 390479614 /nfs/dbraw/zinc/47/96/14/390479614.db2.gz QYVFLIDJFCNTNR-CYBMUJFWSA-N 0 1 288.351 0.530 20 30 CCEDMN N#Cc1cncnc1N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000565596112 390527259 /nfs/dbraw/zinc/52/72/59/390527259.db2.gz FJBCLCXSMJKSGK-UHFFFAOYSA-N 0 1 272.268 0.821 20 30 CCEDMN CC(C)CN1CCO[C@H](CNc2cc(C#N)ncn2)C1 ZINC000520488043 390578096 /nfs/dbraw/zinc/57/80/96/390578096.db2.gz DTDQRJFDYANYBQ-CYBMUJFWSA-N 0 1 275.356 0.539 20 30 CCEDMN COc1cc(C#N)ccc1NC(=O)NC[C@H]1COCCN1 ZINC000528688807 390768874 /nfs/dbraw/zinc/76/88/74/390768874.db2.gz LZNGFISLUCZZBH-NSHDSACASA-N 0 1 290.323 0.677 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CCC[C@@H](c3nn[nH]n3)C2)cn1 ZINC000528882156 390782502 /nfs/dbraw/zinc/78/25/02/390782502.db2.gz KPRMIFDXNVMLMR-LLVKDONJSA-N 0 1 297.322 0.415 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CC2=CC[NH2+]CC2)o1 ZINC000572543827 390789018 /nfs/dbraw/zinc/78/90/18/390789018.db2.gz ZVPPPHIDAYEQLV-UHFFFAOYSA-N 0 1 267.310 0.349 20 30 CCEDMN CC[C@@H](CNCCS(=O)(=O)c1ccc(C#N)cn1)OC ZINC000578128094 390865488 /nfs/dbraw/zinc/86/54/88/390865488.db2.gz GJPDQXCRCJFJKU-LBPRGKRZSA-N 0 1 297.380 0.742 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@H](C)[C@@H](C(=O)[O-])C2)C1=O ZINC000578891020 390906825 /nfs/dbraw/zinc/90/68/25/390906825.db2.gz CVENJQHHZJUARK-SRVKXCTJSA-N 0 1 266.341 0.816 20 30 CCEDMN CO[C@@H](C)CN(C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000353219118 533329985 /nfs/dbraw/zinc/32/99/85/533329985.db2.gz MCSNJQLXMUYNSH-WDEREUQCSA-N 0 1 253.346 0.904 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000353429907 533485918 /nfs/dbraw/zinc/48/59/18/533485918.db2.gz POYNQKQRDADTND-UHFFFAOYSA-N 0 1 299.290 0.610 20 30 CCEDMN CC(=O)N1CC(NC(=O)[C@H](C)CN2CCOCC2)C1 ZINC000328969710 397449622 /nfs/dbraw/zinc/44/96/22/397449622.db2.gz AVPBYOAQMIVBIZ-SNVBAGLBSA-N 0 1 269.345 0.142 20 30 CCEDMN Cn1nccc1/C=C/C(=O)NC1(C#N)CCN(C)CC1 ZINC000491627269 397560111 /nfs/dbraw/zinc/56/01/11/397560111.db2.gz DFOVPZIGHMHJDC-ONEGZZNKSA-N 0 1 273.340 0.537 20 30 CCEDMN C=CCNc1ncc(C(=O)N2CC[C@@H]3CN(C)C[C@H]32)s1 ZINC000650321891 397713042 /nfs/dbraw/zinc/71/30/42/397713042.db2.gz MWJZTYZLYOMSIF-GHMZBOCLSA-N 0 1 292.408 0.939 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)C(=O)N(C)CC2CN(C)C2)C1 ZINC000658810966 413213248 /nfs/dbraw/zinc/21/32/48/413213248.db2.gz FXUWIBRLTFXEBK-ZDUSSCGKSA-N 0 1 293.411 0.821 20 30 CCEDMN Cn1cc(CN2CC[C@@H]3OCCN(C)[C@@H]3C2)cc1C#N ZINC000284506578 196071585 /nfs/dbraw/zinc/07/15/85/196071585.db2.gz YALIIRGJICHFMC-CABCVRRESA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCCn1cc(CN2CCc3n[nH]nc3C2)nn1 ZINC000653636939 412327546 /nfs/dbraw/zinc/32/75/46/412327546.db2.gz XBUVYHJHFVZRBB-UHFFFAOYSA-N 0 1 259.317 0.531 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2nncn2C)CC1 ZINC000653819482 412351800 /nfs/dbraw/zinc/35/18/00/412351800.db2.gz WUQXTIBYFHWHIM-GFCCVEGCSA-N 0 1 265.361 0.264 20 30 CCEDMN CN(CCOc1cccc(C#N)c1)[C@@H]1COC[C@H]1O ZINC000285688137 196367338 /nfs/dbraw/zinc/36/73/38/196367338.db2.gz VFSIFCOKCRQSBD-ZIAGYGMSSA-N 0 1 262.309 0.629 20 30 CCEDMN CNC(=O)CN(C)CCC(=O)Nc1ccc(C#N)cc1 ZINC000037270643 173082708 /nfs/dbraw/zinc/08/27/08/173082708.db2.gz NDVLCDWTXWBJDY-UHFFFAOYSA-N 0 1 274.324 0.565 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](OC)[C@@H]2CCOC2)C1=O ZINC000660463330 414336355 /nfs/dbraw/zinc/33/63/55/414336355.db2.gz ARDIXJGVZDWKEX-RDBSUJKOSA-N 0 1 282.384 0.757 20 30 CCEDMN C=CCOCCCNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000660641095 414421866 /nfs/dbraw/zinc/42/18/66/414421866.db2.gz WNKNMSUPPZMITH-CYBMUJFWSA-N 0 1 284.404 0.124 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@H]2NC(=O)CC[C@@H]2C1 ZINC000661601681 414649506 /nfs/dbraw/zinc/64/95/06/414649506.db2.gz DKNHVCZEVHXNAM-ZIAGYGMSSA-N 0 1 291.395 0.788 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](CN3CCCC3=O)C2)C1=O ZINC000662055292 414689566 /nfs/dbraw/zinc/68/95/66/414689566.db2.gz SPGNWSWKIDIILI-UONOGXRCSA-N 0 1 291.395 0.718 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](C(=O)NC(C)C)C1 ZINC000662117968 414691363 /nfs/dbraw/zinc/69/13/63/414691363.db2.gz LKBKRGCAGFSCKB-LBPRGKRZSA-N 0 1 267.373 0.525 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)NCc1ccccc1F ZINC000662165574 414694824 /nfs/dbraw/zinc/69/48/24/414694824.db2.gz JLXZPUWXMUXODY-CMPLNLGQSA-N 0 1 266.316 0.967 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCCN1CCCCCC1=O ZINC000662165887 414694981 /nfs/dbraw/zinc/69/49/81/414694981.db2.gz UVAHUDNTKRGURP-CYBMUJFWSA-N 0 1 297.399 0.032 20 30 CCEDMN Cc1ccc(C#N)c(NCCN2CCN(C)CC2)n1 ZINC000043395546 175035677 /nfs/dbraw/zinc/03/56/77/175035677.db2.gz ZMCMCXAEHXLIBO-UHFFFAOYSA-N 0 1 259.357 0.921 20 30 CCEDMN O=C(NC[C@H]1COCCO1)N1CCC(n2ccnc2)CC1 ZINC000329165790 227334160 /nfs/dbraw/zinc/33/41/60/227334160.db2.gz HKXIZVZONWHLNX-ZDUSSCGKSA-N 0 1 294.355 0.849 20 30 CCEDMN Cc1cc(C)n(CC(=O)N[C@@H](C)c2nnc[nH]2)c(=O)n1 ZINC000329965270 228000508 /nfs/dbraw/zinc/00/05/08/228000508.db2.gz QZMRPLINIIPSBF-VIFPVBQESA-N 0 1 276.300 0.696 20 30 CCEDMN [O-]C(NC[C@H]1CCc2nccn2C1)=[NH+][C@H]1[C@@H]2COC[C@@H]21 ZINC000329999427 228011956 /nfs/dbraw/zinc/01/19/56/228011956.db2.gz UTGYXDCJZUBDFJ-DCQANWLSSA-N 0 1 276.340 0.594 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)NCCN(C)C1CC1 ZINC000331749635 228123720 /nfs/dbraw/zinc/12/37/20/228123720.db2.gz AHTSAUHFFQKPIT-SNVBAGLBSA-N 0 1 274.390 0.006 20 30 CCEDMN C=CCNC(=O)CN1CCOCC2(CCOCC2)C1 ZINC000184115613 544771846 /nfs/dbraw/zinc/77/18/46/544771846.db2.gz LIPHRJWIZMCZLQ-UHFFFAOYSA-N 0 1 268.357 0.418 20 30 CCEDMN C=CCC[C@@H](O)CN1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000305078923 544988011 /nfs/dbraw/zinc/98/80/11/544988011.db2.gz LXJSAIYMYBHACA-VXGBXAGGSA-N 0 1 276.402 0.327 20 30 CCEDMN CC(=O)N1CCC(CNC(=O)NCCN2CCC2)CC1 ZINC000328717551 545019026 /nfs/dbraw/zinc/01/90/26/545019026.db2.gz PJLSQHUNCLGVED-UHFFFAOYSA-N 0 1 282.388 0.454 20 30 CCEDMN CCC[C@]1(NC(=O)NC[C@H]2CN(C)CCN2C)CCOC1 ZINC000329407300 545022918 /nfs/dbraw/zinc/02/29/18/545022918.db2.gz CFIFLTXBOKYRCJ-ZFWWWQNUSA-N 0 1 298.431 0.695 20 30 CCEDMN CNS(=O)(=O)C[C@@H]1CCCN1[C@@H]1CC[C@@H](C#N)C1 ZINC000407989654 260153055 /nfs/dbraw/zinc/15/30/55/260153055.db2.gz IMECOOPUWJZWEJ-UTUOFQBUSA-N 0 1 271.386 0.692 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1[nH]nc2ccccc21 ZINC000081738754 260184334 /nfs/dbraw/zinc/18/43/34/260184334.db2.gz FDLUDOQSSWMATE-VIFPVBQESA-N 0 1 256.265 0.927 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](NC(C)=O)C2)CC1 ZINC000490574229 261162460 /nfs/dbraw/zinc/16/24/60/261162460.db2.gz KRBOTOFDHSHAOP-HNNXBMFYSA-N 0 1 291.395 0.459 20 30 CCEDMN CCN(C[C@@H](C)C#N)C[C@H](O)Cn1cc([N+](=O)[O-])cn1 ZINC000173598565 261166304 /nfs/dbraw/zinc/16/63/04/261166304.db2.gz SEMGUGYXGFREML-JQWIXIFHSA-N 0 1 281.316 0.634 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CCN(C)C(=O)C1 ZINC000490872466 261233631 /nfs/dbraw/zinc/23/36/31/261233631.db2.gz FOAOOTDYZZJEBT-STQMWFEESA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](O)[C@H](CC)C2)CC1 ZINC000490892674 261239552 /nfs/dbraw/zinc/23/95/52/261239552.db2.gz NYESQTLVOFIRKO-OCCSQVGLSA-N 0 1 264.369 0.561 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](O)[C@H](C)C1 ZINC000249603678 261257168 /nfs/dbraw/zinc/25/71/68/261257168.db2.gz ZAAPAVZQMSMAPC-JHJVBQTASA-N 0 1 267.373 0.697 20 30 CCEDMN CC[C@@](C)(NCC(=O)N[C@@](C)(C#N)C(C)C)C(N)=O ZINC000177134496 261334958 /nfs/dbraw/zinc/33/49/58/261334958.db2.gz JEKPOWSCYYMILL-OLZOCXBDSA-N 0 1 268.361 0.284 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1ccccc1F ZINC000491820189 262098250 /nfs/dbraw/zinc/09/82/50/262098250.db2.gz QHTAMDYGSJILLR-QWRGUYRKSA-N 0 1 253.273 0.149 20 30 CCEDMN C=CC1CCN(CC(=O)N(C)CC(=O)NCCC)CC1 ZINC000111259134 262259115 /nfs/dbraw/zinc/25/91/15/262259115.db2.gz FOBXMXYQHNRHOI-UHFFFAOYSA-N 0 1 281.400 0.869 20 30 CCEDMN CN(CCC#N)C(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275401037 263033050 /nfs/dbraw/zinc/03/30/50/263033050.db2.gz BGWKHBPXEFGOLV-UHFFFAOYSA-N 0 1 258.285 0.796 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H](COC)CC1 ZINC000452094213 263036207 /nfs/dbraw/zinc/03/62/07/263036207.db2.gz VEIPZXGLBVONBP-GFCCVEGCSA-N 0 1 283.372 0.747 20 30 CCEDMN CCc1[nH]nc(C(=O)N2CCSC[C@H]2C#N)c1[N+](=O)[O-] ZINC000278435946 263048052 /nfs/dbraw/zinc/04/80/52/263048052.db2.gz OPYKNRFUNQMOEQ-SSDOTTSWSA-N 0 1 295.324 0.961 20 30 CCEDMN C#CCC(CC#C)C(=O)Nc1nc(SCCO)n[nH]1 ZINC000287889431 263103069 /nfs/dbraw/zinc/10/30/69/263103069.db2.gz BRKKEWBWUXWZGO-UHFFFAOYSA-N 0 1 278.337 0.490 20 30 CCEDMN CN(CCC#N)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000299510982 263244762 /nfs/dbraw/zinc/24/47/62/263244762.db2.gz MVKYTDMJCMQGQM-UHFFFAOYSA-N 0 1 273.300 0.161 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1ccc(F)cn1 ZINC000341374043 263334616 /nfs/dbraw/zinc/33/46/16/263334616.db2.gz MTWJZRWDPYVHFS-UHFFFAOYSA-N 0 1 298.299 0.678 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000341803691 263353778 /nfs/dbraw/zinc/35/37/78/263353778.db2.gz WVNCQZYUZBGXHI-UONOGXRCSA-N 0 1 298.431 0.106 20 30 CCEDMN CC[C@@H]1CN(C(=O)NCCn2cncn2)CCO1 ZINC000330419929 263934171 /nfs/dbraw/zinc/93/41/71/263934171.db2.gz GDPQXXMDZYVVJO-SNVBAGLBSA-N 0 1 253.306 0.303 20 30 CCEDMN C[C@@H]1CN(C)CCN1CC(=O)NC(=O)Nc1ccccc1 ZINC000330672826 264013964 /nfs/dbraw/zinc/01/39/64/264013964.db2.gz OKBFCZIJTTUXCY-GFCCVEGCSA-N 0 1 290.367 0.984 20 30 CCEDMN C[C@H](C[S@](C)=O)NC([O-])=[NH+]CCn1cccn1 ZINC000330826072 264048083 /nfs/dbraw/zinc/04/80/83/264048083.db2.gz HNMJSKIFWNIPOD-XLFHBGCDSA-N 0 1 258.347 0.154 20 30 CCEDMN C[C@H](C[S@](C)=O)NC(=O)NCCn1cccn1 ZINC000330826072 264048085 /nfs/dbraw/zinc/04/80/85/264048085.db2.gz HNMJSKIFWNIPOD-XLFHBGCDSA-N 0 1 258.347 0.154 20 30 CCEDMN CC(C)CNC(=O)NC(=O)CN[C@H](C)c1cnn(C)c1 ZINC000330857357 264054681 /nfs/dbraw/zinc/05/46/81/264054681.db2.gz NZYSSCXXEWAURA-SNVBAGLBSA-N 0 1 281.360 0.757 20 30 CCEDMN CC[C@@H](CC#N)NC(=O)[C@H](C)CN1CCOCC1 ZINC000330883218 264062044 /nfs/dbraw/zinc/06/20/44/264062044.db2.gz OUILHCNOFXGNDQ-NEPJUHHUSA-N 0 1 253.346 0.763 20 30 CCEDMN CC(C)[C@@H]1CN(C)CCN1C(=O)N[C@H](C)Cn1cncn1 ZINC000330887352 264063536 /nfs/dbraw/zinc/06/35/36/264063536.db2.gz MZCVACSTJNGFHD-OLZOCXBDSA-N 0 1 294.403 0.853 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)C[C@@H]1C(C)C ZINC000330970447 264091604 /nfs/dbraw/zinc/09/16/04/264091604.db2.gz JLMBUTXSOAEPSH-GFCCVEGCSA-N 0 1 282.388 0.475 20 30 CCEDMN CCc1nc2n(n1)C[C@@H](NC(=O)N(C)CCCCO)CC2 ZINC000331019110 264098007 /nfs/dbraw/zinc/09/80/07/264098007.db2.gz WJSAUMIZOOXSRM-NSHDSACASA-N 0 1 295.387 0.774 20 30 CCEDMN COCCC[NH+]=C([O-])N[C@@H]1CCc2c[nH]nc2C1 ZINC000331011249 264107408 /nfs/dbraw/zinc/10/74/08/264107408.db2.gz VOTQGGFNFODBRE-SNVBAGLBSA-N 0 1 252.318 0.807 20 30 CCEDMN CCNC(=O)N1CCN(Cc2n[nH]c(C(C)C)n2)CC1 ZINC000331177538 264153774 /nfs/dbraw/zinc/15/37/74/264153774.db2.gz QLZFBCYTKDHIGV-UHFFFAOYSA-N 0 1 280.376 0.980 20 30 CCEDMN C[C@@H]1CCCC[C@H]1NC(=O)NC(=O)CN(C)CCCO ZINC000331287633 264173526 /nfs/dbraw/zinc/17/35/26/264173526.db2.gz IZIKKRXSYCLDJW-VXGBXAGGSA-N 0 1 285.388 0.910 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)CN(C)[C@H]1CCSC1 ZINC000456768930 264332420 /nfs/dbraw/zinc/33/24/20/264332420.db2.gz PFQVAYRMLPOKQQ-RYUDHWBXSA-N 0 1 272.414 0.867 20 30 CCEDMN CC#CCNC(=O)N1CCN(C(C)(C)COC)CC1 ZINC000425487390 264354547 /nfs/dbraw/zinc/35/45/47/264354547.db2.gz JSPVTPHKUPDZHN-UHFFFAOYSA-N 0 1 267.373 0.762 20 30 CCEDMN N#CCCCCN1CCN(CC(=O)N2CCCC2)CC1 ZINC000057620163 264413998 /nfs/dbraw/zinc/41/39/98/264413998.db2.gz PIHJABUNMHKGLV-UHFFFAOYSA-N 0 1 278.400 0.920 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000286707797 264603125 /nfs/dbraw/zinc/60/31/25/264603125.db2.gz UKMKWVUATACHGA-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN CCCC[C@H](NC(N)=O)C(=O)NC1(C#N)CCN(C)CC1 ZINC000519305273 265389097 /nfs/dbraw/zinc/38/90/97/265389097.db2.gz KOGYBELAVLTYHJ-NSHDSACASA-N 0 1 295.387 0.318 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N1CC(O)(CC#N)C1 ZINC000356556776 266137853 /nfs/dbraw/zinc/13/78/53/266137853.db2.gz YUSQOYUJMXGEFU-LLVKDONJSA-N 0 1 251.330 0.348 20 30 CCEDMN CC#CCCNC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000361644764 266863715 /nfs/dbraw/zinc/86/37/15/266863715.db2.gz BDSYTQRUXCSRPB-AWEZNQCLSA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N1CCN2CCC1CC2 ZINC000369321593 267234639 /nfs/dbraw/zinc/23/46/39/267234639.db2.gz KRLGSDNFOFSNIB-LLVKDONJSA-N 0 1 286.401 0.245 20 30 CCEDMN CN(C[C@H](O)COc1ccccc1C#N)[C@H]1CCNC1=O ZINC000528423252 267308701 /nfs/dbraw/zinc/30/87/01/267308701.db2.gz HLBNNXJVJBHPHS-STQMWFEESA-N 0 1 289.335 0.118 20 30 CCEDMN C#CCCCCNC(=O)NC[C@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000371138046 267354532 /nfs/dbraw/zinc/35/45/32/267354532.db2.gz DLCIAZZODFAHEQ-KBPBESRZSA-N 0 1 279.384 0.952 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)NC1(C#N)CCN(C)CC1 ZINC000531430634 268124513 /nfs/dbraw/zinc/12/45/13/268124513.db2.gz FYKCEZMDZTYJKP-UHFFFAOYSA-N 0 1 271.324 0.615 20 30 CCEDMN C=C[C@H](CO)NS(=O)(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000349786087 276922927 /nfs/dbraw/zinc/92/29/27/276922927.db2.gz WTIDHHBPRWRCTI-SSDOTTSWSA-N 0 1 290.272 0.559 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)[C@@H]2CCc3cnn(C)c3C2)[nH]1 ZINC000408194856 279325571 /nfs/dbraw/zinc/32/55/71/279325571.db2.gz ZRAURNHINDTOKE-SECBINFHSA-N 0 1 284.323 0.191 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2cccc(C#N)c2)[C@@H]1C ZINC000262798870 280052008 /nfs/dbraw/zinc/05/20/08/280052008.db2.gz UUMAZPQKOQBITG-GHMZBOCLSA-N 0 1 279.365 0.929 20 30 CCEDMN Cc1nc(C(=O)NC2(c3nn[nH]n3)CC2)ccc1C#N ZINC000357049937 293177261 /nfs/dbraw/zinc/17/72/61/293177261.db2.gz IDCSYSXEJDYVQP-UHFFFAOYSA-N 0 1 269.268 0.194 20 30 CCEDMN [O-]C(=[NH+][C@H]1CCn2ccnc2C1)N1CCCOCC1 ZINC000330285327 295387911 /nfs/dbraw/zinc/38/79/11/295387911.db2.gz YSOZZEUBJANVJG-NSHDSACASA-N 0 1 264.329 0.834 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](CCC(=O)[O-])C(C)C ZINC000737093296 581901947 /nfs/dbraw/zinc/90/19/47/581901947.db2.gz DTYHTHPLSRQAHX-UHFFFAOYSA-N 0 1 269.345 0.934 20 30 CCEDMN C[C@@H]1C[C@H](NC[C@H](O)CN(C)CCC#N)c2nccn21 ZINC000570711558 327613159 /nfs/dbraw/zinc/61/31/59/327613159.db2.gz WTUMKOAIMMDRJA-AGIUHOORSA-N 0 1 277.372 0.685 20 30 CCEDMN Cc1csc(CNC[C@@H](O)CN(C)CCC#N)n1 ZINC000567377857 328065453 /nfs/dbraw/zinc/06/54/53/328065453.db2.gz GDSGPLMDYCEYME-LLVKDONJSA-N 0 1 268.386 0.748 20 30 CCEDMN CC(C)(C)N1CC[C@@](F)(C(=O)N2CCOC[C@@H]2C#N)C1 ZINC000377938869 329035292 /nfs/dbraw/zinc/03/52/92/329035292.db2.gz MOGQGKXWXCLDFZ-FZMZJTMJSA-N 0 1 283.347 0.950 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(C(=O)NCC(C)(C)C#N)C1 ZINC000413438525 328145546 /nfs/dbraw/zinc/14/55/46/328145546.db2.gz MAOZSLNFGCBHKF-NSHDSACASA-N 0 1 296.371 0.282 20 30 CCEDMN N#CCN1CCC[C@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000267102008 328172682 /nfs/dbraw/zinc/17/26/82/328172682.db2.gz AEFKDZBCVUBKQO-LLVKDONJSA-N 0 1 298.350 0.923 20 30 CCEDMN Cc1ncc(C(=O)N(CCC#N)CCN2CCOCC2)[nH]1 ZINC000267177513 328174282 /nfs/dbraw/zinc/17/42/82/328174282.db2.gz NEJFHYQKBSVYNK-UHFFFAOYSA-N 0 1 291.355 0.406 20 30 CCEDMN Cn1cccc1CNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000330990427 328470861 /nfs/dbraw/zinc/47/08/61/328470861.db2.gz RQDPMEOIWJRLBH-UHFFFAOYSA-N 0 1 294.399 0.828 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NC1CCN(C)CC1 ZINC000407974162 326881355 /nfs/dbraw/zinc/88/13/55/326881355.db2.gz CKWPZKJOCVJMFB-SNVBAGLBSA-N 0 1 274.390 0.149 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2cncc(C#N)n2)CC1 ZINC000584288299 327219704 /nfs/dbraw/zinc/21/97/04/327219704.db2.gz DIZIUACXDWPSAD-LBPRGKRZSA-N 0 1 261.329 0.241 20 30 CCEDMN C#CCN(CC(=O)NCC(=O)OC)C1CCCC1 ZINC000154956975 327388342 /nfs/dbraw/zinc/38/83/42/327388342.db2.gz MPVPSTDCRYFRCB-UHFFFAOYSA-N 0 1 252.314 0.153 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCCC[C@@H]1CCC(=O)[O-] ZINC000050924481 327395537 /nfs/dbraw/zinc/39/55/37/327395537.db2.gz CIDRCPNULCMXBB-LLVKDONJSA-N 0 1 297.355 0.718 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N=c1cc(-c2nccn2C)[nH][nH]1 ZINC000562530819 327488558 /nfs/dbraw/zinc/48/85/58/327488558.db2.gz AORIKQMVXBSWSS-KOLCDFICSA-N 0 1 287.323 0.762 20 30 CCEDMN CN(CC#N)C(=O)[C@H]1CCC[N@H+]1C1CCOCC1 ZINC000378187913 329042762 /nfs/dbraw/zinc/04/27/62/329042762.db2.gz BEGVXVMKEBCZOH-GFCCVEGCSA-N 0 1 251.330 0.612 20 30 CCEDMN COC(=O)[C@H]1CCCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000171063077 329074170 /nfs/dbraw/zinc/07/41/70/329074170.db2.gz QXCBZOGPAPOVQH-RYUDHWBXSA-N 0 1 281.356 0.632 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000189599207 329383846 /nfs/dbraw/zinc/38/38/46/329383846.db2.gz LGQGJSSNEVHZPT-LBPRGKRZSA-N 0 1 275.418 0.118 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1 ZINC000419066880 329707330 /nfs/dbraw/zinc/70/73/30/329707330.db2.gz RHYCSPRPIHMHCU-GHMZBOCLSA-N 0 1 253.346 0.278 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN[C@@H](CO)c1cccc(F)c1 ZINC000572039202 329939434 /nfs/dbraw/zinc/93/94/34/329939434.db2.gz NXFGAUCXMJDXFU-CABCVRRESA-N 0 1 295.358 0.655 20 30 CCEDMN C=CCNC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000078410691 329958974 /nfs/dbraw/zinc/95/89/74/329958974.db2.gz QAHLYRPJZGZCHE-RYUDHWBXSA-N 0 1 255.362 0.971 20 30 CCEDMN [NH2+]=C(NCc1ncccc1[O-])N1CCSCC1 ZINC000262486419 330016966 /nfs/dbraw/zinc/01/69/66/330016966.db2.gz SOXOSANGMOQIHW-UHFFFAOYSA-N 0 1 252.343 0.651 20 30 CCEDMN C[C@H]1[C@H](NC(=O)C(=O)NCC(C)(C)CC#N)CCCN1C ZINC000422424775 330146212 /nfs/dbraw/zinc/14/62/12/330146212.db2.gz KUWMYMBTLSMPHJ-NWDGAFQWSA-N 0 1 294.399 0.641 20 30 CCEDMN CC#CCN(C)C(=O)C(=O)N1CCNC[C@@H]1CCC ZINC000423718221 330214603 /nfs/dbraw/zinc/21/46/03/330214603.db2.gz KTDGZYPIEWULNY-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN[C@H](C(N)=O)C(C)(C)C ZINC000081625434 330214830 /nfs/dbraw/zinc/21/48/30/330214830.db2.gz MCLYUDZKZKTSEL-BXUZGUMPSA-N 0 1 282.388 0.530 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCOC2)CC1 ZINC000106848867 330341370 /nfs/dbraw/zinc/34/13/70/330341370.db2.gz XIWFXERBOURMDT-CYBMUJFWSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000533113802 330345428 /nfs/dbraw/zinc/34/54/28/330345428.db2.gz JDDDITPQFPWGLD-QWRGUYRKSA-N 0 1 279.344 0.887 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)C[C@H](C)N1 ZINC000424551363 330374250 /nfs/dbraw/zinc/37/42/50/330374250.db2.gz VKQYZJASFKEOKU-FIQHERPVSA-N 0 1 278.356 0.004 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)[C@@H](C)CN1 ZINC000424551034 330374327 /nfs/dbraw/zinc/37/43/27/330374327.db2.gz UVQFMFPKUFRFOH-FIQHERPVSA-N 0 1 278.356 0.004 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H](C(=O)OCC)C2(COC2)C1 ZINC000451139603 331017067 /nfs/dbraw/zinc/01/70/67/331017067.db2.gz SFSCDBGLPNHOFB-RYUDHWBXSA-N 0 1 296.367 0.189 20 30 CCEDMN C=CCCCS(=O)(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000489497355 332056645 /nfs/dbraw/zinc/05/66/45/332056645.db2.gz HFXXXKSTOMQKQM-ZDUSSCGKSA-N 0 1 289.445 0.508 20 30 CCEDMN C#CC[NH+]1CCC(NS(=O)(=O)[N-]CC(F)(F)F)CC1 ZINC000490715225 332107998 /nfs/dbraw/zinc/10/79/98/332107998.db2.gz VIYGCMRXFZKUPH-UHFFFAOYSA-N 0 1 299.318 0.070 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)CC[C@@H]2CCCO2)CC1 ZINC000490739003 332110027 /nfs/dbraw/zinc/11/00/27/332110027.db2.gz FZOXSMNETGEPEG-HNNXBMFYSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)C1CN(C(C)=O)C1 ZINC000490740037 332110866 /nfs/dbraw/zinc/11/08/66/332110866.db2.gz IJSJUAPJOIKHQF-CYBMUJFWSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(C3CC3)C(=O)C2)CC1 ZINC000490762148 332113562 /nfs/dbraw/zinc/11/35/62/332113562.db2.gz FXOWNTQHPUOPEL-UHFFFAOYSA-N 0 1 289.379 0.165 20 30 CCEDMN C#CCN(CC)C(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000490778245 332114537 /nfs/dbraw/zinc/11/45/37/332114537.db2.gz CEPMLNXENCCYPY-UHFFFAOYSA-N 0 1 263.345 0.354 20 30 CCEDMN C#CCNC(=O)[C@@H](C)ON=C(N)CN1[C@H](C)CCC[C@@H]1C ZINC000491210140 332166815 /nfs/dbraw/zinc/16/68/15/332166815.db2.gz QLOKDTGWGSSRIR-FRRDWIJNSA-N 0 1 294.399 0.886 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](c1ccccc1F)[C@@H](C)O ZINC000491452174 332213911 /nfs/dbraw/zinc/21/39/11/332213911.db2.gz JLLGPJKZSGFMBI-IAQYHMDHSA-N 0 1 278.327 0.977 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC(CC(=O)OC)CC2)C1=O ZINC000491460941 332215588 /nfs/dbraw/zinc/21/55/88/332215588.db2.gz BNHTVMLDXJAXET-LBPRGKRZSA-N 0 1 292.335 0.022 20 30 CCEDMN C#CCN1CCC(C(=O)N=c2nc[nH]n2C(C)C)CC1 ZINC000491496125 332223086 /nfs/dbraw/zinc/22/30/86/332223086.db2.gz NWKQRXBKISLSMY-UHFFFAOYSA-N 0 1 275.356 0.565 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1cc(C)cc(C)c1 ZINC000491546503 332235425 /nfs/dbraw/zinc/23/54/25/332235425.db2.gz WEHBUKXSAGPEJR-KBPBESRZSA-N 0 1 263.337 0.627 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1cc(C)cc(C)c1 ZINC000491546505 332235457 /nfs/dbraw/zinc/23/54/57/332235457.db2.gz WEHBUKXSAGPEJR-UONOGXRCSA-N 0 1 263.337 0.627 20 30 CCEDMN C#CCNC(=O)CN1CCN(C[C@H](CC(C)C)OC)CC1 ZINC000491627080 332250719 /nfs/dbraw/zinc/25/07/19/332250719.db2.gz JQDMXWUCXPLJTK-HNNXBMFYSA-N 0 1 295.427 0.415 20 30 CCEDMN C#CCNC(=O)CCNCc1c(CC)nn(C)c1OC ZINC000491659472 332269999 /nfs/dbraw/zinc/26/99/99/332269999.db2.gz MVLBDYWSPHCRHA-UHFFFAOYSA-N 0 1 278.356 0.220 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C)C(C)C ZINC000491661884 332271548 /nfs/dbraw/zinc/27/15/48/332271548.db2.gz BGEYHNLIYFDSDQ-MJBXVCDLSA-N 0 1 281.400 0.741 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1cccc(C(C)=O)c1 ZINC000491680574 332284224 /nfs/dbraw/zinc/28/42/24/332284224.db2.gz IYYVSLKIRBAJIW-UONOGXRCSA-N 0 1 277.320 0.213 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2ccnc(F)c2)CC1 ZINC000491689698 332286858 /nfs/dbraw/zinc/28/68/58/332286858.db2.gz AZZLNKHZFDCGQA-UHFFFAOYSA-N 0 1 290.342 0.482 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1ccc(C)cc1C ZINC000491694290 332290474 /nfs/dbraw/zinc/29/04/74/332290474.db2.gz VRZTUMVMBSUFHZ-ZIAGYGMSSA-N 0 1 263.337 0.627 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000491774230 332340363 /nfs/dbraw/zinc/34/03/63/332340363.db2.gz CCITUVDCYHBKJX-HBNTYKKESA-N 0 1 252.270 0.292 20 30 CCEDMN CC[C@H]1CN(C)CCN1CC(=O)NC1(C#N)CCC1 ZINC000575743867 335110757 /nfs/dbraw/zinc/11/07/57/335110757.db2.gz YQOFRFGJJLNIOA-LBPRGKRZSA-N 0 1 264.373 0.575 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(C)C(C)(C)C2)C1=O ZINC000516875384 333085159 /nfs/dbraw/zinc/08/51/59/333085159.db2.gz NUBJMZXXTBUYAT-GFCCVEGCSA-N 0 1 251.374 0.799 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@@H]1COCCN1 ZINC000529374903 333483912 /nfs/dbraw/zinc/48/39/12/333483912.db2.gz FGNHVCXGXXNANF-VXGBXAGGSA-N 0 1 253.346 0.725 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCC[C@H](CO)CC1)C1CC1 ZINC000339752640 335148091 /nfs/dbraw/zinc/14/80/91/335148091.db2.gz FNXZWXGYTZNWCN-WFASDCNBSA-N 0 1 279.384 0.889 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@H]1O ZINC000341007085 335165373 /nfs/dbraw/zinc/16/53/73/335165373.db2.gz FGHGFHZFODJEMR-CHWSQXEVSA-N 0 1 278.356 0.345 20 30 CCEDMN N#C[C@]1(NC(=O)Cc2[nH]nc3ccccc32)CCOC1 ZINC000547489741 334093267 /nfs/dbraw/zinc/09/32/67/334093267.db2.gz PVRZJVUCEMFQIG-CQSZACIVSA-N 0 1 270.292 0.904 20 30 CCEDMN N#C[C@@]1(NC(=O)c2ccc(-c3nnc[nH]3)cc2)CCOC1 ZINC000548139356 334142063 /nfs/dbraw/zinc/14/20/63/334142063.db2.gz PCWMXLNLKHDNIA-AWEZNQCLSA-N 0 1 283.291 0.884 20 30 CCEDMN Cn1ccc(C[N@@H+](C)CCCc2[nH]nc(N)c2C#N)n1 ZINC000553661360 334394897 /nfs/dbraw/zinc/39/48/97/334394897.db2.gz VGMLCOYVJYEVCA-UHFFFAOYSA-N 0 1 273.344 0.492 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)Cc1ccn(C)n1 ZINC000553661360 334394898 /nfs/dbraw/zinc/39/48/98/334394898.db2.gz VGMLCOYVJYEVCA-UHFFFAOYSA-N 0 1 273.344 0.492 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NC[C@@H](C)N1CCCC1 ZINC000554697277 334447392 /nfs/dbraw/zinc/44/73/92/334447392.db2.gz RWFABFZUSBVLOH-VXGBXAGGSA-N 0 1 288.417 0.539 20 30 CCEDMN C=CCNc1ncc(C(=O)N2CCNC(C)(C)C2)s1 ZINC000568390860 334822143 /nfs/dbraw/zinc/82/21/43/334822143.db2.gz NNMOKILHUBTTSW-UHFFFAOYSA-N 0 1 280.397 0.987 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)CSc1nnc(C)[nH]1 ZINC000576914700 335263329 /nfs/dbraw/zinc/26/33/29/335263329.db2.gz OHCAEADFDBZCJW-BDAKNGLRSA-N 0 1 268.342 0.665 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)CSc1nc(C)n[nH]1 ZINC000576914700 335263330 /nfs/dbraw/zinc/26/33/30/335263330.db2.gz OHCAEADFDBZCJW-BDAKNGLRSA-N 0 1 268.342 0.665 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CC[C@H]2CCC[NH2+]C2)o1 ZINC000577296574 335336814 /nfs/dbraw/zinc/33/68/14/335336814.db2.gz GMSVPSZEDWTFDQ-SNVBAGLBSA-N 0 1 283.353 0.819 20 30 CCEDMN N#CC1(CNC[C@H](O)COC2CCOCC2)CCC1 ZINC000578880448 335684317 /nfs/dbraw/zinc/68/43/17/335684317.db2.gz GTJVQVOGRQGCFM-LBPRGKRZSA-N 0 1 268.357 0.826 20 30 CCEDMN CN(C)C(=O)[C@H]1CC[C@H](CNCc2ccc(C#N)o2)O1 ZINC000182038558 335795853 /nfs/dbraw/zinc/79/58/53/335795853.db2.gz RRRLLNCQYVWHSH-CHWSQXEVSA-N 0 1 277.324 0.877 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000182815048 335844799 /nfs/dbraw/zinc/84/47/99/335844799.db2.gz XHQYCJLQHYKTLH-QWHCGFSZSA-N 0 1 280.372 0.510 20 30 CCEDMN CCN1CC[C@H]2OCCN(C(=O)[C@@]3(C#N)C[C@@H]3C)[C@H]2C1 ZINC000581985035 336184672 /nfs/dbraw/zinc/18/46/72/336184672.db2.gz UBTUEDISHRZVDO-RMRHIDDWSA-N 0 1 277.368 0.858 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC2(C#N)CCC2)C[C@H](C)N1CCO ZINC000332444497 534310283 /nfs/dbraw/zinc/31/02/83/534310283.db2.gz FKNLZQCKQWNPNN-BETUJISGSA-N 0 1 294.399 0.777 20 30 CCEDMN CC(C)(C)n1cc(C#N)c(=NC(=O)c2cncnc2)[nH]1 ZINC000354781211 519145800 /nfs/dbraw/zinc/14/58/00/519145800.db2.gz ALPXWSPIPBBSJX-UHFFFAOYSA-N 0 1 270.296 0.974 20 30 CCEDMN Cc1nnc(SCC(=O)N[C@H]2[C@@H]3COC[C@@H]32)[nH]1 ZINC000330014167 534524585 /nfs/dbraw/zinc/52/45/85/534524585.db2.gz APNQMHWSNTZIMF-QMSLJYSESA-N 0 1 254.315 0.807 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N[C@H]2[C@@H]3COC[C@@H]32)n1 ZINC000330014167 534524592 /nfs/dbraw/zinc/52/45/92/534524592.db2.gz APNQMHWSNTZIMF-QMSLJYSESA-N 0 1 254.315 0.807 20 30 CCEDMN Cc1cc(C#N)cc(NCCN2CCN(C)CC2)n1 ZINC000161684095 526186100 /nfs/dbraw/zinc/18/61/00/526186100.db2.gz PMFHBQQSJAXPMJ-UHFFFAOYSA-N 0 1 259.357 0.921 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1cccc2nc[nH]c21 ZINC000347900842 526567677 /nfs/dbraw/zinc/56/76/77/526567677.db2.gz MXOVHWXXXQNWFG-UHFFFAOYSA-N 0 1 258.281 0.595 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@@H]1CCCN(C)[C@H]1C ZINC000347766393 527558942 /nfs/dbraw/zinc/55/89/42/527558942.db2.gz SATHRYWWAXZBQC-WDEREUQCSA-N 0 1 253.346 0.230 20 30 CCEDMN Cn1ncc(C(=O)NCCCCN2CCOCC2)c1C#N ZINC000354605479 527565139 /nfs/dbraw/zinc/56/51/39/527565139.db2.gz RLOPSGQWBMDRLW-UHFFFAOYSA-N 0 1 291.355 0.134 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000668435874 545177435 /nfs/dbraw/zinc/17/74/35/545177435.db2.gz QRUKKSOWDJYEAO-KGLIPLIRSA-N 0 1 297.399 0.294 20 30 CCEDMN CCC[N@H+]1CCC[C@@H]1C(=O)NCc1n[nH]c(=O)[n-]1 ZINC000330053083 528218639 /nfs/dbraw/zinc/21/86/39/528218639.db2.gz PZCKYZIBJPZALP-MRVPVSSYSA-N 0 1 253.306 0.841 20 30 CCEDMN C=CCOCCNC(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000669555343 545214613 /nfs/dbraw/zinc/21/46/13/545214613.db2.gz RGCQZPMJONETBE-TXEJJXNPSA-N 0 1 253.346 0.725 20 30 CCEDMN CCC[C@@H](NC(=O)c1cc(C#N)[nH]c1C)c1nn[nH]n1 ZINC000450119582 528990385 /nfs/dbraw/zinc/99/03/85/528990385.db2.gz CYTYYPOQPKNSPB-SNVBAGLBSA-N 0 1 273.300 0.979 20 30 CCEDMN CCN1CCN(CC(=O)N[C@](C)(C#N)C2CC2)[C@@H](C)C1 ZINC000352661602 529138783 /nfs/dbraw/zinc/13/87/83/529138783.db2.gz XSDKZIMZXKHJPZ-SWLSCSKDSA-N 0 1 278.400 0.821 20 30 CCEDMN COCC#CCN1CCC(N2CCNC2=O)CC1 ZINC000677818196 545373812 /nfs/dbraw/zinc/37/38/12/545373812.db2.gz HEDGPKPFLLJEHS-UHFFFAOYSA-N 0 1 251.330 0.126 20 30 CCEDMN C=CCOc1cccnc1C(=O)NCc1c[nH]nn1 ZINC000678793281 545397824 /nfs/dbraw/zinc/39/78/24/545397824.db2.gz BLYKLHPEWCECQQ-UHFFFAOYSA-N 0 1 259.269 0.695 20 30 CCEDMN CN1CC[C@@H](NS(=O)(=O)Cc2cccc(C#N)c2)C1 ZINC000507313838 536458643 /nfs/dbraw/zinc/45/86/43/536458643.db2.gz YMYLHZZSGVJPMB-CYBMUJFWSA-N 0 1 279.365 0.682 20 30 CCEDMN C=C(C)CNC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000681660556 545459073 /nfs/dbraw/zinc/45/90/73/545459073.db2.gz KPAKKVSJYIHKRW-AWEZNQCLSA-N 0 1 296.415 0.268 20 30 CCEDMN C=C(C)CNC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000681660557 545459188 /nfs/dbraw/zinc/45/91/88/545459188.db2.gz KPAKKVSJYIHKRW-CQSZACIVSA-N 0 1 296.415 0.268 20 30 CCEDMN C=CCN(C)C(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000682125818 545472978 /nfs/dbraw/zinc/47/29/78/545472978.db2.gz AIFQUCKYMTULPM-UHFFFAOYSA-N 0 1 285.307 0.850 20 30 CCEDMN CC#CC(=O)N1CCN(CCOC)[C@@H](CCC)C1 ZINC000788307789 581105254 /nfs/dbraw/zinc/10/52/54/581105254.db2.gz GVLQWPWBEBBDKA-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN COCCN(C)c1ccc(C=NN[C@@H]2CCOC2)cn1 ZINC000788455923 581112508 /nfs/dbraw/zinc/11/25/08/581112508.db2.gz IWXXOJMCSNLYKU-CYBMUJFWSA-N 0 1 278.356 0.877 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC([C@@H](O)c2ncc[nH]2)CC1 ZINC000788474637 581112941 /nfs/dbraw/zinc/11/29/41/581112941.db2.gz ZFIRCCBNILINIL-DGCLKSJQSA-N 0 1 290.367 0.293 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@@]2(C1)C(=O)Nc1ccccc12 ZINC000778206047 581145187 /nfs/dbraw/zinc/14/51/87/581145187.db2.gz JCTGQYMNUIAAJE-INIZCTEOSA-N 0 1 298.346 0.612 20 30 CCEDMN N#Cc1cnn(C(=O)CC(=O)NCC(F)(F)F)c1N ZINC000789901677 581161489 /nfs/dbraw/zinc/16/14/89/581161489.db2.gz SUQMJYGSZZNEJC-UHFFFAOYSA-N 0 1 275.190 0.046 20 30 CCEDMN CC(=NNC1=[NH+]C[C@H](C)N1)c1cc([N+](=O)[O-])ccc1[O-] ZINC000779794194 581205648 /nfs/dbraw/zinc/20/56/48/581205648.db2.gz DLWCPLFDWHLFDC-ZETCQYMHSA-N 0 1 277.284 0.962 20 30 CCEDMN COC(=O)[C@@H](C)n1cccc1C=NNC1=NC[C@H](C)N1 ZINC000779794244 581205682 /nfs/dbraw/zinc/20/56/82/581205682.db2.gz FKMYDHGACSYLKL-VHSXEESVSA-N 0 1 277.328 0.493 20 30 CCEDMN CCc1nn(C)c(CC)c1C=NNC1=NC[C@@H](C)N1 ZINC000779798826 581206629 /nfs/dbraw/zinc/20/66/29/581206629.db2.gz QHKONFROXREITP-SECBINFHSA-N 0 1 262.361 0.816 20 30 CCEDMN Cn1ncc(Cl)c1C=NNc1nccn(C)c1=O ZINC000780230527 581223479 /nfs/dbraw/zinc/22/34/79/581223479.db2.gz PITDSVVNRCZYAT-UHFFFAOYSA-N 0 1 266.692 0.613 20 30 CCEDMN C[C@H]1CCCN1CCNS(=O)(=O)N(C)CCC#N ZINC000780866745 581246952 /nfs/dbraw/zinc/24/69/52/581246952.db2.gz LPLUSMACEJXTQX-NSHDSACASA-N 0 1 274.390 0.151 20 30 CCEDMN C#CCNC(=O)CN1CCCC[C@H]1CC(=O)NCC ZINC000792930255 581282990 /nfs/dbraw/zinc/28/29/90/581282990.db2.gz BEWKNPBSIYDYRQ-LBPRGKRZSA-N 0 1 265.357 0.117 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(CNC(=O)O2)CC1 ZINC000782004286 581285050 /nfs/dbraw/zinc/28/50/50/581285050.db2.gz JJAZLWYPONICJS-SNVBAGLBSA-N 0 1 267.329 0.252 20 30 CCEDMN Cc1nnc(SCC(=O)NCc2ccc(C#N)cn2)[nH]1 ZINC000782025493 581285643 /nfs/dbraw/zinc/28/56/43/581285643.db2.gz FGUKDDIRMHGSPM-UHFFFAOYSA-N 0 1 288.336 0.788 20 30 CCEDMN Cc1n[nH]c(SCC(=O)NCc2ccc(C#N)cn2)n1 ZINC000782025493 581285646 /nfs/dbraw/zinc/28/56/46/581285646.db2.gz FGUKDDIRMHGSPM-UHFFFAOYSA-N 0 1 288.336 0.788 20 30 CCEDMN C#CCCCC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000793876874 581317532 /nfs/dbraw/zinc/31/75/32/581317532.db2.gz ZOMNZMHWZNVTEG-QWHCGFSZSA-N 0 1 252.358 0.703 20 30 CCEDMN N#CCn1cc(NS(=O)(=O)c2cnc3n2CCC3)cn1 ZINC000783529418 581348468 /nfs/dbraw/zinc/34/84/68/581348468.db2.gz ZUOQJFROVFQQOK-UHFFFAOYSA-N 0 1 292.324 0.350 20 30 CCEDMN Cc1nc(COC(=O)C#Cc2ccc3c(c2)OCO3)n[nH]1 ZINC000795458008 581408491 /nfs/dbraw/zinc/40/84/91/581408491.db2.gz JPAYTRUPGIBVMT-UHFFFAOYSA-N 0 1 285.259 0.937 20 30 CCEDMN Cc1nc(COC(=O)[C@H](O)c2ccc(C#N)cc2)n[nH]1 ZINC000795512882 581410268 /nfs/dbraw/zinc/41/02/68/581410268.db2.gz DGCUZFLOVSHGHW-GFCCVEGCSA-N 0 1 272.264 0.762 20 30 CCEDMN C=CCNC(=O)CNC(C)(C)C(=O)N1CCCC1 ZINC000796575969 581443994 /nfs/dbraw/zinc/44/39/94/581443994.db2.gz DGXYNCKRTCIIJI-UHFFFAOYSA-N 0 1 253.346 0.279 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCCN2CCOCC2)cn1 ZINC000728859594 581512661 /nfs/dbraw/zinc/51/26/61/581512661.db2.gz UHBIOAVKKSYZOR-UHFFFAOYSA-N 0 1 287.363 0.905 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000739171641 581516527 /nfs/dbraw/zinc/51/65/27/581516527.db2.gz CNXFOACEVQKBJB-WOPDTQHZSA-N 0 1 296.371 0.725 20 30 CCEDMN CC(C)CNC(=O)CCNC(O)=C1N=CC=CC1=O ZINC000733885144 581529285 /nfs/dbraw/zinc/52/92/85/581529285.db2.gz SIJWVJYRUXGPPQ-SEYXRHQNSA-N 0 1 265.313 0.675 20 30 CCEDMN C#CCN1CCC(C(=O)NCC[S@@](=O)CC)CC1 ZINC000733893312 581530849 /nfs/dbraw/zinc/53/08/49/581530849.db2.gz KVCAVHYQKAVBDM-SFHVURJKSA-N 0 1 270.398 0.216 20 30 CCEDMN C#CCOCCNC(=O)CCSc1nnc(C)[nH]1 ZINC000753233604 581532712 /nfs/dbraw/zinc/53/27/12/581532712.db2.gz AYQSTNNLKAJOFT-UHFFFAOYSA-N 0 1 268.342 0.361 20 30 CCEDMN COCCCNC(=S)NN=Cc1[nH]cnc1C ZINC000755523553 581542735 /nfs/dbraw/zinc/54/27/35/581542735.db2.gz HKGYPTQICAOGKG-UHFFFAOYSA-N 0 1 255.347 0.553 20 30 CCEDMN COc1ncnc(N)c1C=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000753934482 581565627 /nfs/dbraw/zinc/56/56/27/581565627.db2.gz IPYAPQHKSBFWHA-UWVGGRQHSA-N 0 1 289.343 0.261 20 30 CCEDMN C#CC(=O)NCc1cccnc1N1CCN(C)CC1 ZINC000753970739 581568094 /nfs/dbraw/zinc/56/80/94/581568094.db2.gz NGDVCTAUFIUJNE-UHFFFAOYSA-N 0 1 258.325 0.083 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)[N-]OCC(F)(F)F ZINC000766763441 581580360 /nfs/dbraw/zinc/58/03/60/581580360.db2.gz QZKVANYSJHBGQU-QMMMGPOBSA-N 0 1 250.220 0.694 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000767102937 581596896 /nfs/dbraw/zinc/59/68/96/581596896.db2.gz OQRWJWYOSYBUME-UHFFFAOYSA-N 0 1 289.383 0.807 20 30 CCEDMN COc1cc(C=NNCCCO)cc([N+](=O)[O-])c1O ZINC000729933337 581624645 /nfs/dbraw/zinc/62/46/45/581624645.db2.gz XSBCIUVRDIIJNB-UHFFFAOYSA-N 0 1 269.257 0.615 20 30 CCEDMN O=C1CN(N=Cc2cc(-c3ccccc3)[nH]n2)C(=O)N1 ZINC000767763075 581629552 /nfs/dbraw/zinc/62/95/52/581629552.db2.gz QZVNJHVMEPLBLP-UHFFFAOYSA-N 0 1 269.264 0.962 20 30 CCEDMN CCn1nc(C)c(C=Nn2c(=O)c(C)n[nH]c2=S)c1C ZINC000755510046 581643272 /nfs/dbraw/zinc/64/32/72/581643272.db2.gz BUQDBPQXHMAHQV-UHFFFAOYSA-N 0 1 292.368 0.951 20 30 CCEDMN N#Cc1nccnc1NCCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000740325647 581665778 /nfs/dbraw/zinc/66/57/78/581665778.db2.gz WNGISFHXHVPQTK-UHFFFAOYSA-N 0 1 290.327 0.446 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)COc1cccc(C#N)c1 ZINC000740724363 581713654 /nfs/dbraw/zinc/71/36/54/581713654.db2.gz CDLRBVXXZYMIAB-UHFFFAOYSA-N 0 1 271.280 0.714 20 30 CCEDMN C#CCNC(=O)CN(C)[C@H]1C[C@H](OCC)C1(C)C ZINC000740886515 581722775 /nfs/dbraw/zinc/72/27/75/581722775.db2.gz KWIJHVOBDVDOBA-RYUDHWBXSA-N 0 1 252.358 0.871 20 30 CCEDMN Cc1ccn(CN2CCN(C3CC3)CC2)c(=O)c1C#N ZINC000758202802 581726797 /nfs/dbraw/zinc/72/67/97/581726797.db2.gz JRLIREYQPRYTMF-UHFFFAOYSA-N 0 1 272.352 0.766 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)OC(C)(C)C ZINC000736317013 581738049 /nfs/dbraw/zinc/73/80/49/581738049.db2.gz FWLANBAWDUUBTM-UHFFFAOYSA-N 0 1 283.372 0.582 20 30 CCEDMN N#CCNC(=O)COC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000770575816 581754479 /nfs/dbraw/zinc/75/44/79/581754479.db2.gz AFVRFDSKUWOCGT-UHFFFAOYSA-N 0 1 285.263 0.268 20 30 CCEDMN CC(C)C[N@@H+](CCC#N)CCC(=O)N(C)CC(=O)[O-] ZINC000736437546 581766449 /nfs/dbraw/zinc/76/64/49/581766449.db2.gz LIAFKGCZHFVLIB-UHFFFAOYSA-N 0 1 269.345 0.791 20 30 CCEDMN C=CCN1CCC(NC(=O)NCC[S@](=O)CC)CC1 ZINC000736658962 581812137 /nfs/dbraw/zinc/81/21/37/581812137.db2.gz JTCNOLVBEVOXCA-LJQANCHMSA-N 0 1 287.429 0.705 20 30 CCEDMN CN1CCC[C@@H](NC(=O)N2CCN(C3CC3)[C@@H](C#N)C2)C1 ZINC000732701172 581842428 /nfs/dbraw/zinc/84/24/28/581842428.db2.gz LDJAHWXKCWGSRQ-OCCSQVGLSA-N 0 1 291.399 0.462 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000760492490 581861948 /nfs/dbraw/zinc/86/19/48/581861948.db2.gz UBPTVLZPVPZNCB-QMMMGPOBSA-N 0 1 296.289 0.744 20 30 CCEDMN C[C@H]1CN(c2ccc([N+](=O)[O-])c(C#N)c2)CCN1CCO ZINC000302490713 581868540 /nfs/dbraw/zinc/86/85/40/581868540.db2.gz ZRGUQGQHBQHWFL-NSHDSACASA-N 0 1 290.323 0.969 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])CC(=O)N[C@](C)(C#N)C1CC1 ZINC000737013196 581885560 /nfs/dbraw/zinc/88/55/60/581885560.db2.gz BGKZPBKMVQBCCU-CYBMUJFWSA-N 0 1 267.329 0.591 20 30 CCEDMN CCC[N@@H+](CC(=O)[O-])CC(=O)N[C@](C)(C#N)C1CC1 ZINC000737013196 581885562 /nfs/dbraw/zinc/88/55/62/581885562.db2.gz BGKZPBKMVQBCCU-CYBMUJFWSA-N 0 1 267.329 0.591 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCN(C)[C@@H](C)C1 ZINC000761079923 581886636 /nfs/dbraw/zinc/88/66/36/581886636.db2.gz RWINICWINFZRAG-QWRGUYRKSA-N 0 1 268.361 0.023 20 30 CCEDMN C#C[C@H](NC(=O)c1[nH]nc2c1CCC2)[C@@H]1CCCO1 ZINC000772884145 581895665 /nfs/dbraw/zinc/89/56/65/581895665.db2.gz JPISZLGLSHTFFJ-JQWIXIFHSA-N 0 1 259.309 0.809 20 30 CCEDMN C#CCCNC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000733337695 581901723 /nfs/dbraw/zinc/90/17/23/581901723.db2.gz RMWIBJQRQYBOFK-CHWSQXEVSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCOC[C@@H]2C)CC1 ZINC000773139483 581910106 /nfs/dbraw/zinc/91/01/06/581910106.db2.gz LUVNDZJKQZAOKO-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cc3n(n2)CCCO3)=NO1 ZINC000762193469 581935218 /nfs/dbraw/zinc/93/52/18/581935218.db2.gz MKMKXMLSZJTREZ-SSDOTTSWSA-N 0 1 250.258 0.518 20 30 CCEDMN COc1ncnc(N)c1CN=Nc1ccc([N+](=O)[O-])cn1 ZINC000747633073 581947535 /nfs/dbraw/zinc/94/75/35/581947535.db2.gz KYRMFKBZSQCXTQ-UHFFFAOYSA-N 0 1 289.255 0.817 20 30 CCEDMN COc1ncnc(N)c1C=NNc1ccc([N+](=O)[O-])cn1 ZINC000747633073 581947536 /nfs/dbraw/zinc/94/75/36/581947536.db2.gz KYRMFKBZSQCXTQ-UHFFFAOYSA-N 0 1 289.255 0.817 20 30 CCEDMN C#CCNC(=O)CON=C(N)CN1CCCC[C@@H]1C ZINC000747834722 581954508 /nfs/dbraw/zinc/95/45/08/581954508.db2.gz PNXGJGUOVRWERT-NSHDSACASA-N 0 1 266.345 0.109 20 30 CCEDMN Cn1ccnc(NN=Cc2cnn3ccccc23)c1=O ZINC000748079933 581961056 /nfs/dbraw/zinc/96/10/56/581961056.db2.gz SJYFHLOXFWRKQF-UHFFFAOYSA-N 0 1 268.280 0.874 20 30 CCEDMN Cn1cc[nH]c(=NN=Cc2cnn3ccccc23)c1=O ZINC000748079933 581961057 /nfs/dbraw/zinc/96/10/57/581961057.db2.gz SJYFHLOXFWRKQF-UHFFFAOYSA-N 0 1 268.280 0.874 20 30 CCEDMN C[NH+]1CCC(C#N)(NC(=O)c2cccc(C(=O)[O-])n2)CC1 ZINC000737509955 581969143 /nfs/dbraw/zinc/96/91/43/581969143.db2.gz UXODYWVCFJCLNU-UHFFFAOYSA-N 0 1 288.307 0.498 20 30 CCEDMN Cc1c(C(=O)Nc2cc(C#N)ccc2O)nnn1C ZINC000748410786 581974043 /nfs/dbraw/zinc/97/40/43/581974043.db2.gz PCTJSROIOGCIFL-UHFFFAOYSA-N 0 1 257.253 0.953 20 30 CCEDMN C[NH+]1CCN(CC(=O)Nc2cc(C#N)ccc2[O-])CC1 ZINC000748411238 581974144 /nfs/dbraw/zinc/97/41/44/581974144.db2.gz XWLISEXBZGLPJW-UHFFFAOYSA-N 0 1 274.324 0.450 20 30 CCEDMN COc1ccc2c(c1)OCC2=NN=c1[nH]ccn(C)c1=O ZINC000749165413 581998603 /nfs/dbraw/zinc/99/86/03/581998603.db2.gz VQDRMLHXBOROMD-UHFFFAOYSA-N 0 1 286.291 0.998 20 30 CCEDMN COc1ccc2c(c1)OCC2=NNc1nccn(C)c1=O ZINC000749165413 581998605 /nfs/dbraw/zinc/99/86/05/581998605.db2.gz VQDRMLHXBOROMD-UHFFFAOYSA-N 0 1 286.291 0.998 20 30 CCEDMN N#CCCNC(=O)CN[C@@H](CCO)c1ccccc1 ZINC000774851489 581999535 /nfs/dbraw/zinc/99/95/35/581999535.db2.gz NTEXMUPUZKFZQI-ZDUSSCGKSA-N 0 1 261.325 0.730 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])CC(=O)N[C@](C)(C#N)C1CC1 ZINC000737991941 582012362 /nfs/dbraw/zinc/01/23/62/582012362.db2.gz TXNYOILVPTWCOW-GFCCVEGCSA-N 0 1 253.302 0.201 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000737991942 582012607 /nfs/dbraw/zinc/01/26/07/582012607.db2.gz TXNYOILVPTWCOW-LBPRGKRZSA-N 0 1 253.302 0.201 20 30 CCEDMN C#CCNC(=O)CN[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000775191187 582013907 /nfs/dbraw/zinc/01/39/07/582013907.db2.gz DZRNOIIFPSKZNE-JTQLQIEISA-N 0 1 261.281 0.995 20 30 CCEDMN C=CCNC(=S)N1CCN(C[C@H]2CCOC2)CC1 ZINC000749828515 582024688 /nfs/dbraw/zinc/02/46/88/582024688.db2.gz MEXLKFGBGTZWMA-GFCCVEGCSA-N 0 1 269.414 0.701 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)COC(=O)CCc1nc[nH]n1 ZINC000764003388 582025498 /nfs/dbraw/zinc/02/54/98/582025498.db2.gz VHOHYDUFWHGZLP-JTQLQIEISA-N 0 1 293.327 0.289 20 30 CCEDMN C[C@@H](C(=O)N1CCN(C2CC2)[C@@H](C#N)C1)N(C)C ZINC000750611675 582053003 /nfs/dbraw/zinc/05/30/03/582053003.db2.gz PZGBBXWSMZGDIK-JQWIXIFHSA-N 0 1 250.346 0.135 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](C(=O)NCCNC(=O)[O-])C1 ZINC000738502863 582057105 /nfs/dbraw/zinc/05/71/05/582057105.db2.gz HMCCYRPZISJCDG-LLVKDONJSA-N 0 1 267.329 0.106 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@]12CCOC2 ZINC000764759178 582067608 /nfs/dbraw/zinc/06/76/08/582067608.db2.gz INMSGGCKYCDASF-GYSYKLTISA-N 0 1 280.324 0.275 20 30 CCEDMN C#CCCN1CCN([C@H](C)C(=O)OCCC)CC1 ZINC000776594005 582083910 /nfs/dbraw/zinc/08/39/10/582083910.db2.gz QRVHLMGREAYKLV-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN CCc1cc(CNC(=O)N2CCO[C@@H](C#N)C2)n[nH]1 ZINC000739018866 582097877 /nfs/dbraw/zinc/09/78/77/582097877.db2.gz GIDBVHVYZZOIEH-NSHDSACASA-N 0 1 263.301 0.406 20 30 CCEDMN C=C(Br)CNC(=O)NCCN1CC[C@H](O)C1 ZINC000875160240 613237132 /nfs/dbraw/zinc/23/71/32/613237132.db2.gz JNHFZEWOKZEPPK-VIFPVBQESA-N 0 1 292.177 0.261 20 30 CCEDMN CC[S@](C)(=O)=NS(=O)(=O)NC[C@H](C)C#N ZINC000866895731 616021202 /nfs/dbraw/zinc/02/12/02/616021202.db2.gz YHGJLFBTUKKXJO-UOWDBTKRSA-N 0 1 253.349 0.098 20 30 CCEDMN C[C@H](C(=O)[O-])[N@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000163337593 597387580 /nfs/dbraw/zinc/38/75/80/597387580.db2.gz YDOIIIHXRXSANT-SNVBAGLBSA-N 0 1 296.348 0.737 20 30 CCEDMN C[C@H](C(=O)[O-])[N@@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000163337593 597387584 /nfs/dbraw/zinc/38/75/84/597387584.db2.gz YDOIIIHXRXSANT-SNVBAGLBSA-N 0 1 296.348 0.737 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC001007199099 649907179 /nfs/dbraw/zinc/90/71/79/649907179.db2.gz AFEZKMVDSMVGLF-ZDUSSCGKSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H](OC)C2CC2)CC1 ZINC000981075657 613004476 /nfs/dbraw/zinc/00/44/76/613004476.db2.gz LPILOXCGFCYGRI-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+](CCC(=O)[O-])C(C)C ZINC000037596360 600219963 /nfs/dbraw/zinc/21/99/63/600219963.db2.gz PMUWZJBATXEVJP-UHFFFAOYSA-N 0 1 271.317 0.183 20 30 CCEDMN C#CCSCC(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000896684879 613010966 /nfs/dbraw/zinc/01/09/66/613010966.db2.gz UFNFZYPSPYLIPK-ZDUSSCGKSA-N 0 1 282.409 0.534 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](C(=O)OCC)C1 ZINC000932648181 612947993 /nfs/dbraw/zinc/94/79/93/612947993.db2.gz BRLYHSZNYRBWRU-GHMZBOCLSA-N 0 1 252.314 0.009 20 30 CCEDMN C#CCSCC(=O)N[C@H](CCC)c1nn[nH]n1 ZINC000900985567 613013708 /nfs/dbraw/zinc/01/37/08/613013708.db2.gz ZEZYPJUETAJLCE-MRVPVSSYSA-N 0 1 253.331 0.524 20 30 CCEDMN CC[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC(=O)[O-] ZINC000163335599 601376113 /nfs/dbraw/zinc/37/61/13/601376113.db2.gz OTUPYDRZZRQVNE-UHFFFAOYSA-N 0 1 296.348 0.738 20 30 CCEDMN CC[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC(=O)[O-] ZINC000163335599 601376115 /nfs/dbraw/zinc/37/61/15/601376115.db2.gz OTUPYDRZZRQVNE-UHFFFAOYSA-N 0 1 296.348 0.738 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC000825972434 601945875 /nfs/dbraw/zinc/94/58/75/601945875.db2.gz RBIVDWLNMVEJOS-MFKMUULPSA-N 0 1 267.329 0.544 20 30 CCEDMN C#CCSCCNC(=O)NCCCCN1CCOCC1 ZINC000153812526 613016862 /nfs/dbraw/zinc/01/68/62/613016862.db2.gz YREFIHHULDPBRL-UHFFFAOYSA-N 0 1 299.440 0.764 20 30 CCEDMN C#CCSCCNC(=O)NCc1n[nH]c(COC)n1 ZINC000853775297 613017189 /nfs/dbraw/zinc/01/71/89/613017189.db2.gz SRBYFQWZCPXSFP-UHFFFAOYSA-N 0 1 283.357 0.117 20 30 CCEDMN C#CCSCCNC(=O)NCc1nnc(COC)[nH]1 ZINC000853775297 613017191 /nfs/dbraw/zinc/01/71/91/613017191.db2.gz SRBYFQWZCPXSFP-UHFFFAOYSA-N 0 1 283.357 0.117 20 30 CCEDMN C#CC1(NC(=O)NCc2n[nH]c(COC)n2)CCCC1 ZINC000883772776 612949830 /nfs/dbraw/zinc/94/98/30/612949830.db2.gz GWHROYZNIUDTAM-UHFFFAOYSA-N 0 1 277.328 0.696 20 30 CCEDMN C#CC1(NC(=O)NCc2nnc(COC)[nH]2)CCCC1 ZINC000883772776 612949831 /nfs/dbraw/zinc/94/98/31/612949831.db2.gz GWHROYZNIUDTAM-UHFFFAOYSA-N 0 1 277.328 0.696 20 30 CCEDMN C#CC1(O)CCN([C@@H]2CCN(C3CCC3)C2=O)CC1 ZINC000931150878 612952900 /nfs/dbraw/zinc/95/29/00/612952900.db2.gz DEIRAWXIKXTXQX-CYBMUJFWSA-N 0 1 262.353 0.600 20 30 CCEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CC[C@H](CO)C2)cc1 ZINC000833071004 604767843 /nfs/dbraw/zinc/76/78/43/604767843.db2.gz CETSZJDBGYDAGD-AAEUAGOBSA-N 0 1 260.293 0.998 20 30 CCEDMN N#Cc1cc(Cn2cnc(-c3nn[nH]n3)n2)ccc1F ZINC000822818813 607007190 /nfs/dbraw/zinc/00/71/90/607007190.db2.gz GGFNFFKCXSVAKV-UHFFFAOYSA-N 0 1 270.231 0.517 20 30 CCEDMN C#C[C@@H](CC)NS(=O)(=O)c1occc1C(=O)OC ZINC000867198799 613084437 /nfs/dbraw/zinc/08/44/37/613084437.db2.gz RFQJHJSYZWLOLA-QMMMGPOBSA-N 0 1 271.294 0.756 20 30 CCEDMN C#CCN(C[C@@H](O)COC[C@@H]1CCCO1)C1CSC1 ZINC000878913556 613107985 /nfs/dbraw/zinc/10/79/85/613107985.db2.gz UMRTWBHTVJDYMT-KGLIPLIRSA-N 0 1 285.409 0.594 20 30 CCEDMN COc1cc(NC(=O)N[C@H]2CNC[C@H]2C#N)cc(OC)c1 ZINC000841006147 617204886 /nfs/dbraw/zinc/20/48/86/617204886.db2.gz CAGRFMQQUWQFPW-RNCFNFMXSA-N 0 1 290.323 0.937 20 30 CCEDMN C#CCC1(O)CCN(CC(=O)N(CC)CC)CC1 ZINC000880478156 612973342 /nfs/dbraw/zinc/97/33/42/612973342.db2.gz VTLPCEDCFHXEPK-UHFFFAOYSA-N 0 1 252.358 0.705 20 30 CCEDMN CC(C)(CNS(C)(=O)=O)NCc1cccc(C#N)n1 ZINC000896727228 614114138 /nfs/dbraw/zinc/11/41/38/614114138.db2.gz UQBJYOAPENKOID-UHFFFAOYSA-N 0 1 282.369 0.371 20 30 CCEDMN CC(C)C(N)=NOCc1ccc(S(=O)(=O)N(C)C)o1 ZINC000128627693 614224018 /nfs/dbraw/zinc/22/40/18/614224018.db2.gz NAKGLHPSCRMCLI-UHFFFAOYSA-N 0 1 289.357 0.975 20 30 CCEDMN C#CCOCCC(=O)N1CCC(N2CCOCC2)CC1 ZINC000862214873 612977584 /nfs/dbraw/zinc/97/75/84/612977584.db2.gz BMZMGDGAMSRJPS-UHFFFAOYSA-N 0 1 280.368 0.350 20 30 CCEDMN CC(C)c1nn(C)cc1C(=O)NCC#CCN(C)C ZINC000913517175 614331715 /nfs/dbraw/zinc/33/17/15/614331715.db2.gz WGCSEOSVKHJLPP-UHFFFAOYSA-N 0 1 262.357 0.838 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(C)c1 ZINC000834489636 617234869 /nfs/dbraw/zinc/23/48/69/617234869.db2.gz ONTSVEWZFLETOW-GWCFXTLKSA-N 0 1 259.309 0.845 20 30 CCEDMN COc1ccc(C)cc1CC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834511517 617237465 /nfs/dbraw/zinc/23/74/65/617237465.db2.gz LUALRSYNMLGPFC-QWHCGFSZSA-N 0 1 273.336 0.774 20 30 CCEDMN COc1ccc(CCC(=O)NCC#CCN(C)C)cn1 ZINC000913525251 617244116 /nfs/dbraw/zinc/24/41/16/617244116.db2.gz PHFVLLSFTNBXPP-UHFFFAOYSA-N 0 1 275.352 0.704 20 30 CCEDMN CSc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)co1 ZINC000876802976 617278891 /nfs/dbraw/zinc/27/88/91/617278891.db2.gz SZNAHPPWFQZQDU-RKDXNWHRSA-N 0 1 251.311 0.843 20 30 CCEDMN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834494603 617282340 /nfs/dbraw/zinc/28/23/40/617282340.db2.gz ONBKMRRVDLFNMI-LDYMZIIASA-N 0 1 290.279 0.445 20 30 CCEDMN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834510378 617282442 /nfs/dbraw/zinc/28/24/42/617282442.db2.gz ANCOGKLUSPRYCW-KCJUWKMLSA-N 0 1 290.279 0.445 20 30 CCEDMN COc1ccc2ncc(C(=O)NC3=NO[C@@H](C)C3)n2n1 ZINC000831399959 617287844 /nfs/dbraw/zinc/28/78/44/617287844.db2.gz VQTHEUAVIIVQIE-ZETCQYMHSA-N 0 1 275.268 0.590 20 30 CCEDMN CSc1nccnc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000884249057 617286035 /nfs/dbraw/zinc/28/60/35/617286035.db2.gz CGFNETVGGDUIDR-YUMQZZPRSA-N 0 1 263.326 0.040 20 30 CCEDMN COc1cccc(F)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834480401 617302402 /nfs/dbraw/zinc/30/24/02/617302402.db2.gz PNPJSFGSPAPTQF-WCBMZHEXSA-N 0 1 263.272 0.676 20 30 CCEDMN COc1cccc(OC)c1CC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834480318 617305786 /nfs/dbraw/zinc/30/57/86/617305786.db2.gz OHRPBYDHNUUDBH-ZYHUDNBSSA-N 0 1 289.335 0.474 20 30 CCEDMN COc1cccc(OCC(=O)NCC#CCN(C)C)c1 ZINC000913517885 617306249 /nfs/dbraw/zinc/30/62/49/617306249.db2.gz UCXIVMGBKJIUDO-UHFFFAOYSA-N 0 1 276.336 0.755 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+](C)CC[C@H](NC(=O)[O-])C(C)C ZINC000823456171 612947705 /nfs/dbraw/zinc/94/77/05/612947705.db2.gz LEYFFNADAHLESO-NEPJUHHUSA-N 0 1 283.372 0.738 20 30 CCEDMN C#CC1(O)CN(C(=O)[C@H](c2ccccc2)N(C)CC)C1 ZINC000890522313 612958345 /nfs/dbraw/zinc/95/83/45/612958345.db2.gz FJYMBOKZBUDYTE-AWEZNQCLSA-N 0 1 272.348 0.886 20 30 CCEDMN C#CC1(O)CN(C(=O)c2ccc(CN(CC)CC)o2)C1 ZINC000890521362 612959300 /nfs/dbraw/zinc/95/93/00/612959300.db2.gz BQQPIYQZCCTBDR-UHFFFAOYSA-N 0 1 276.336 0.942 20 30 CCEDMN C#CC1CCN(CCNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000830326444 612964595 /nfs/dbraw/zinc/96/45/95/612964595.db2.gz PBWSCBNQVSIEIH-UHFFFAOYSA-N 0 1 296.396 0.044 20 30 CCEDMN C#CCC1(NC(=O)Cc2cc(C)n[nH]2)CCOCC1 ZINC000925434836 612968717 /nfs/dbraw/zinc/96/87/17/612968717.db2.gz NRHDDOXQRYXLSJ-UHFFFAOYSA-N 0 1 261.325 0.949 20 30 CCEDMN C#CCCCCNC(=O)N1CCN(C)CCN(C)CC1 ZINC000893637387 612977455 /nfs/dbraw/zinc/97/74/55/612977455.db2.gz ZWDJQFXXNQPYPN-UHFFFAOYSA-N 0 1 280.416 0.679 20 30 CCEDMN C#CCCCNC(=O)C(=O)NC[C@H]1CCN1C(C)(C)C ZINC000828391011 612980366 /nfs/dbraw/zinc/98/03/66/612980366.db2.gz FHRLMXSGUOUZLA-GFCCVEGCSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCCCNC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833362502 612981545 /nfs/dbraw/zinc/98/15/45/612981545.db2.gz VSLNPOUYGRLBFG-GFCCVEGCSA-N 0 1 281.356 0.590 20 30 CCEDMN C#CCOCC[N@@H+]1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000852006995 612982851 /nfs/dbraw/zinc/98/28/51/612982851.db2.gz HTKRHTFSUNHLAN-SNVBAGLBSA-N 0 1 251.286 0.604 20 30 CCEDMN C#CCCN(CCOC)C[C@@H]1CN(CC)C(=O)O1 ZINC000852750348 612989569 /nfs/dbraw/zinc/98/95/69/612989569.db2.gz REZKEUWKOMKFMM-GFCCVEGCSA-N 0 1 254.330 0.799 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000822237898 612996541 /nfs/dbraw/zinc/99/65/41/612996541.db2.gz LWMZDIVSRXNQTI-KBPBESRZSA-N 0 1 282.384 0.498 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000823152447 613002806 /nfs/dbraw/zinc/00/28/06/613002806.db2.gz SBBYSWBHFKKZDM-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@H]2C[C@H](C)CO2)CC1 ZINC000981651046 613004120 /nfs/dbraw/zinc/00/41/20/613004120.db2.gz XIDKGRMUSKSBDG-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCOc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000863584741 613005506 /nfs/dbraw/zinc/00/55/06/613005506.db2.gz IJBPSPAVOJTKPA-TZMCWYRMSA-N 0 1 269.304 0.540 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccc3n[nH]nc3n2)CC1 ZINC000981288457 613006094 /nfs/dbraw/zinc/00/60/94/613006094.db2.gz HIZXUYBBSPLKRG-UHFFFAOYSA-N 0 1 298.350 0.524 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccnnc2)CC1 ZINC000982020796 613006488 /nfs/dbraw/zinc/00/64/88/613006488.db2.gz HESLCRFEEMYPJC-UHFFFAOYSA-N 0 1 258.325 0.648 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2nccc(C)n2)CC1 ZINC000981082011 613006680 /nfs/dbraw/zinc/00/66/80/613006680.db2.gz YVLWDNRYSVLNLM-UHFFFAOYSA-N 0 1 272.352 0.956 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnsn2)CC1 ZINC000980762287 613006719 /nfs/dbraw/zinc/00/67/19/613006719.db2.gz LTIQUFYZOPJPCS-UHFFFAOYSA-N 0 1 264.354 0.709 20 30 CCEDMN C#CCCN1CCN(c2cc(CC(=O)OC)ccn2)CC1 ZINC000853264937 613011905 /nfs/dbraw/zinc/01/19/05/613011905.db2.gz YDBLCUMUVYLXNZ-UHFFFAOYSA-N 0 1 287.363 0.942 20 30 CCEDMN C#CCCN1CCN([C@@H](C)c2nccc(N)n2)CC1 ZINC000846838396 613012091 /nfs/dbraw/zinc/01/20/91/613012091.db2.gz ZMULBWAENFUEAD-LBPRGKRZSA-N 0 1 259.357 0.761 20 30 CCEDMN C#CCC[N@H+]1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000823844633 613012405 /nfs/dbraw/zinc/01/24/05/613012405.db2.gz DVUTWWHYMDDPCE-NSHDSACASA-N 0 1 298.354 0.191 20 30 CCEDMN C#CCC[N@@H+]1CCN(c2nccnc2-c2nnn[n-]2)C[C@@H]1C ZINC000823844633 613012407 /nfs/dbraw/zinc/01/24/07/613012407.db2.gz DVUTWWHYMDDPCE-NSHDSACASA-N 0 1 298.354 0.191 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2nccnc2N)C1 ZINC000965692606 613023902 /nfs/dbraw/zinc/02/39/02/613023902.db2.gz NBDLDIPUNIMXMN-RYUDHWBXSA-N 0 1 287.367 0.522 20 30 CCEDMN C#CC[C@@H](CO)NCc1ccc(/C=C/C(=O)OC)o1 ZINC000895489478 613030385 /nfs/dbraw/zinc/03/03/85/613030385.db2.gz CSEVOSRWYVRSJX-AEZGRPFRSA-N 0 1 263.293 0.940 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000863803846 613032666 /nfs/dbraw/zinc/03/26/66/613032666.db2.gz WDVBKEYIZJHBSH-NWDGAFQWSA-N 0 1 270.398 0.578 20 30 CCEDMN C#CC[C@@H](NC(=O)C[N@@H+](C)CCc1ccccc1)C(=O)[O-] ZINC000910049961 613039833 /nfs/dbraw/zinc/03/98/33/613039833.db2.gz BAAOPGDGKWISHP-CQSZACIVSA-N 0 1 288.347 0.754 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H](c1ccccc1)[NH+](C)C)C(=O)[O-] ZINC000910051797 613040859 /nfs/dbraw/zinc/04/08/59/613040859.db2.gz HSDAJBAGRTXUEN-CHWSQXEVSA-N 0 1 274.320 0.882 20 30 CCEDMN C#CC[C@@H]1CCN(CC(=O)N[C@H](C(=O)OC)C(C)C)C1 ZINC000886365897 613047012 /nfs/dbraw/zinc/04/70/12/613047012.db2.gz RTRCDRBMCUMHMH-OCCSQVGLSA-N 0 1 280.368 0.645 20 30 CCEDMN C#CC[C@@H]1NC(=O)N(CCN2CCc3ccccc3C2)C1=O ZINC000842236689 613047714 /nfs/dbraw/zinc/04/77/14/613047714.db2.gz QPRSZWUXTQVQSU-HNNXBMFYSA-N 0 1 297.358 0.988 20 30 CCEDMN C#CCCS(=O)(=O)N1C[C@H](SC)[C@@H](N(C)C)C1 ZINC000808304380 613052551 /nfs/dbraw/zinc/05/25/51/613052551.db2.gz SZYJJXDGMYYAER-QWRGUYRKSA-N 0 1 276.427 0.317 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000820064891 613057502 /nfs/dbraw/zinc/05/75/02/613057502.db2.gz OKRGTIMGTZZRLY-SECBINFHSA-N 0 1 261.256 0.816 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000798441238 613057640 /nfs/dbraw/zinc/05/76/40/613057640.db2.gz URQIYEDZIWIKGD-NEPJUHHUSA-N 0 1 276.340 0.861 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000847158976 613058308 /nfs/dbraw/zinc/05/83/08/613058308.db2.gz GAVHWTJBAHDJOT-WDEREUQCSA-N 0 1 261.325 0.405 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1cccc2nc(CO)[nH]c21 ZINC000907976864 613058815 /nfs/dbraw/zinc/05/88/15/613058815.db2.gz AXOVLNJZWCRMLG-SNVBAGLBSA-N 0 1 287.319 0.559 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCCCCN1CCOCC1 ZINC000858152403 613062938 /nfs/dbraw/zinc/06/29/38/613062938.db2.gz PLKGFPNZSOFVCT-CQSZACIVSA-N 0 1 297.399 0.436 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000879859363 613063375 /nfs/dbraw/zinc/06/33/75/613063375.db2.gz GDHPDVQQKDVOMY-COPLHBTASA-N 0 1 275.352 0.966 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)CN2CCN(CC)CC2)C1 ZINC000844000263 613072020 /nfs/dbraw/zinc/07/20/20/613072020.db2.gz VBLKFGNJJVCUED-HNNXBMFYSA-N 0 1 277.412 0.886 20 30 CCEDMN C#CC[N@@H+](C)CCCN=c1nn[n-]n1Cc1ccccc1 ZINC000893988926 613072694 /nfs/dbraw/zinc/07/26/94/613072694.db2.gz MXKBPGJDNJDTSJ-UHFFFAOYSA-N 0 1 284.367 0.510 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)NCc2n[nH]c(=O)n2C)C1 ZINC000889565712 613073504 /nfs/dbraw/zinc/07/35/04/613073504.db2.gz QGNFHVMPVOZREM-VIFPVBQESA-N 0 1 263.301 0.076 20 30 CCEDMN C#CCN(C)CCCNC(=O)N1CC(=O)CC[C@H]1C ZINC000922630961 613073667 /nfs/dbraw/zinc/07/36/67/613073667.db2.gz UDGGOMKJRRUHSV-GFCCVEGCSA-N 0 1 265.357 0.705 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NC[C@@H](C(=O)[O-])C1CCC1 ZINC000854233630 613075164 /nfs/dbraw/zinc/07/51/64/613075164.db2.gz HDLUQUPBQRTNPA-CYBMUJFWSA-N 0 1 295.383 0.742 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)[C@@H](C(=O)[O-])C(C)C ZINC000911405357 613077568 /nfs/dbraw/zinc/07/75/68/613077568.db2.gz WPVBRCRTWFUJAG-NSHDSACASA-N 0 1 254.330 0.415 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC000971670435 613081105 /nfs/dbraw/zinc/08/11/05/613081105.db2.gz ILMKLKIAUOMXAA-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cnccc2OC)C1 ZINC000971781041 613081747 /nfs/dbraw/zinc/08/17/47/613081747.db2.gz GRSBHOQWPYSPPU-CQSZACIVSA-N 0 1 287.363 0.799 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC)N2CCCC2=O)C1 ZINC000980439328 613082228 /nfs/dbraw/zinc/08/22/28/613082228.db2.gz DSKQBOZXHVSGRE-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC000980289123 613083742 /nfs/dbraw/zinc/08/37/42/613083742.db2.gz MCTZPTSENKKIFX-CHWSQXEVSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC000972718933 613083903 /nfs/dbraw/zinc/08/39/03/613083903.db2.gz REERXEAKKBSIOC-GXTWGEPZSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(CC=C)nn2)C1 ZINC000972500187 613087277 /nfs/dbraw/zinc/08/72/77/613087277.db2.gz IDCGEBPUXMTRGE-GFCCVEGCSA-N 0 1 273.340 0.244 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(C3CCC3)nn2)C1 ZINC000971549531 613087572 /nfs/dbraw/zinc/08/75/72/613087572.db2.gz KSEFOPNUWQLPAQ-CYBMUJFWSA-N 0 1 287.367 0.783 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCn2ccccc2=O)C1 ZINC000971637800 613089860 /nfs/dbraw/zinc/08/98/60/613089860.db2.gz PJTBZDXDBKROGO-AWEZNQCLSA-N 0 1 287.363 0.404 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc3n(n2)CCC3)C1 ZINC000971645825 613094320 /nfs/dbraw/zinc/09/43/20/613094320.db2.gz XWPNWZJVAACYNL-ZDUSSCGKSA-N 0 1 272.352 0.609 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnnn2CC)C1 ZINC000971497062 613096731 /nfs/dbraw/zinc/09/67/31/613096731.db2.gz QQZZJZKROQOADF-NSHDSACASA-N 0 1 261.329 0.078 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nc3nccc(C)n3n2)C1 ZINC000980316586 613097267 /nfs/dbraw/zinc/09/72/67/613097267.db2.gz KZMKEJKICHKLQM-LBPRGKRZSA-N 0 1 298.350 0.212 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nn(C)cc2C)C1 ZINC000971528977 613097309 /nfs/dbraw/zinc/09/73/09/613097309.db2.gz RBZJTDUIOHMQED-LBPRGKRZSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)CC1CC1 ZINC000922022924 613098224 /nfs/dbraw/zinc/09/82/24/613098224.db2.gz DQQJEOIZLQZUIW-UHFFFAOYSA-N 0 1 270.398 0.756 20 30 CCEDMN C#CCN(C1CSC1)S(=O)(=O)c1ncc[nH]1 ZINC000867721535 613098434 /nfs/dbraw/zinc/09/84/34/613098434.db2.gz CTLPUBKUOYURPR-UHFFFAOYSA-N 0 1 257.340 0.149 20 30 CCEDMN C#C[C@@H](NS(=O)(=O)c1ccccc1O)[C@H]1CCCO1 ZINC000849388659 613100445 /nfs/dbraw/zinc/10/04/45/613100445.db2.gz WDKJQAFNEIIJRG-ZYHUDNBSSA-N 0 1 281.333 0.851 20 30 CCEDMN C#C[C@@H](NS(=O)(=O)c1ccccc1O)[C@@H]1CCCO1 ZINC000849388658 613100451 /nfs/dbraw/zinc/10/04/51/613100451.db2.gz WDKJQAFNEIIJRG-PWSUYJOCSA-N 0 1 281.333 0.851 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000892871711 613102018 /nfs/dbraw/zinc/10/20/18/613102018.db2.gz OKRMQBQUYSWDNO-HUUCEWRRSA-N 0 1 292.427 0.820 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)NCCCc2nc[nH]n2)C1 ZINC000923129850 613104038 /nfs/dbraw/zinc/10/40/38/613104038.db2.gz YGZHXDDLPSLTER-LLVKDONJSA-N 0 1 261.329 0.792 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)[C@@]2(C)C[C@@H](O)CN2C)CC1 ZINC000913815203 613108672 /nfs/dbraw/zinc/10/86/72/613108672.db2.gz AHBDEQLJTJMGLC-RGCMKSIDSA-N 0 1 264.369 0.750 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000957375985 613112861 /nfs/dbraw/zinc/11/28/61/613112861.db2.gz UIUKSRPOEPQOKT-UHFFFAOYSA-N 0 1 283.335 0.737 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000820412394 613115893 /nfs/dbraw/zinc/11/58/93/613115893.db2.gz MKLQSANMCMRHOZ-RYUDHWBXSA-N 0 1 267.373 0.495 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2C2OCCO2)CC1 ZINC000888429397 613129073 /nfs/dbraw/zinc/12/90/73/613129073.db2.gz SUXAEHXQDKUYQO-AWEZNQCLSA-N 0 1 292.379 0.696 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(C(=O)OCC)CC2)CC1 ZINC000928656135 613132419 /nfs/dbraw/zinc/13/24/19/613132419.db2.gz MKZIDFHVXFCPRU-UHFFFAOYSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2COC[C@H]2C)CC1 ZINC000926028837 613134745 /nfs/dbraw/zinc/13/47/45/613134745.db2.gz FUOFYVHNDJYIAP-DGCLKSJQSA-N 0 1 265.357 0.418 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCOC[C@H]2C)CC1 ZINC000922201746 613135330 /nfs/dbraw/zinc/13/53/30/613135330.db2.gz MJCLNFGKHYUBOV-OCCSQVGLSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CO[C@H](C)C2)CC1 ZINC000928652707 613136843 /nfs/dbraw/zinc/13/68/43/613136843.db2.gz JHNBWYPHQVIUCR-VXGBXAGGSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnc3c2CC(=O)N3)CC1 ZINC000928648027 613137489 /nfs/dbraw/zinc/13/74/89/613137489.db2.gz CTWQRTOFUPBTKV-UHFFFAOYSA-N 0 1 298.346 0.404 20 30 CCEDMN C#CCN1CCC(NC(=O)c2n[nH]c(C)c2C)CC1 ZINC000928655508 613137974 /nfs/dbraw/zinc/13/79/74/613137974.db2.gz RUQAYWHZVKSNKQ-UHFFFAOYSA-N 0 1 260.341 0.854 20 30 CCEDMN C#CCN1CCC(Nc2nccn3nnnc23)CC1 ZINC000895808434 613139066 /nfs/dbraw/zinc/13/90/66/613139066.db2.gz IQMFKRDUJCXEIN-UHFFFAOYSA-N 0 1 257.301 0.029 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CCC(O)CC1)C(C)C ZINC000894934239 613139313 /nfs/dbraw/zinc/13/93/13/613139313.db2.gz OFJKPPCGQRXODH-ZDUSSCGKSA-N 0 1 267.373 0.400 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@H](CC)OC)CCO2 ZINC000949048301 613139987 /nfs/dbraw/zinc/13/99/87/613139987.db2.gz IOEPULPINCXGKK-AWEZNQCLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#C[C@H](NC(=O)NCc1n[nH]c(=O)n1C)C(C)(C)C ZINC000889568017 613141700 /nfs/dbraw/zinc/14/17/00/613141700.db2.gz DUGNMUWBNBTPIU-QMMMGPOBSA-N 0 1 265.317 0.368 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cccn(C)c3=O)C2)C1 ZINC000982124036 613144894 /nfs/dbraw/zinc/14/48/94/613144894.db2.gz XDWCVABUMSSIKB-UHFFFAOYSA-N 0 1 299.374 0.557 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cncnc3)C2)C1 ZINC000981728263 613145049 /nfs/dbraw/zinc/14/50/49/613145049.db2.gz AAEKCZHVYUNVTO-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3nnn(C)c3C)C2)C1 ZINC000981685999 613145610 /nfs/dbraw/zinc/14/56/10/613145610.db2.gz ITBSRKNLOIKZOK-UHFFFAOYSA-N 0 1 287.367 0.295 20 30 CCEDMN C#C[C@H](NC(=O)[C@]1(C)C[C@@H](O)CN1C)c1ccccc1 ZINC000911500446 613147942 /nfs/dbraw/zinc/14/79/42/613147942.db2.gz KFSIZSSOBBVLGS-YCPHGPKFSA-N 0 1 272.348 0.932 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cnn(C)n1 ZINC000969070862 613149764 /nfs/dbraw/zinc/14/97/64/613149764.db2.gz VQUBKNXACZTDKB-LBPRGKRZSA-N 0 1 275.356 0.423 20 30 CCEDMN C#C[C@H]1CCCCN1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000934516380 613155929 /nfs/dbraw/zinc/15/59/29/613155929.db2.gz GPTWXCIGBHFGBB-OLZOCXBDSA-N 0 1 270.398 0.756 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC(O)(c3nn[nH]n3)CC2)cc1 ZINC000907940746 613168073 /nfs/dbraw/zinc/16/80/73/613168073.db2.gz MKRVSINJLHLCTG-UHFFFAOYSA-N 0 1 297.318 0.305 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC000923559951 613172606 /nfs/dbraw/zinc/17/26/06/613172606.db2.gz WDEHAVVOWFEQCF-VXGBXAGGSA-N 0 1 287.367 0.438 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H](OC)[C@@H]1CCOC1 ZINC000850826305 613177090 /nfs/dbraw/zinc/17/70/90/613177090.db2.gz LWHBCUCNJMJCHW-MGPQQGTHSA-N 0 1 281.352 0.679 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2n[nH]c([C@H]3CCCO3)n2)nc1 ZINC000848277536 613182344 /nfs/dbraw/zinc/18/23/44/613182344.db2.gz XBTIGAUGFFSDIH-GFCCVEGCSA-N 0 1 297.318 0.963 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)[C@H]2CN(C)CCN2C)nc1 ZINC000896561086 613183375 /nfs/dbraw/zinc/18/33/75/613183375.db2.gz VZQXCMTUZMJCBZ-SWLSCSKDSA-N 0 1 286.379 0.427 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCO)CC[C@@H]2C)nc1 ZINC000968563042 613186990 /nfs/dbraw/zinc/18/69/90/613186990.db2.gz ZZAIRUCOEBXQLU-SWLSCSKDSA-N 0 1 287.363 0.495 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(C)C(C)(C)C1 ZINC000848033979 613195180 /nfs/dbraw/zinc/19/51/80/613195180.db2.gz SQGYEUJQDAGOEG-ZDUSSCGKSA-N 0 1 263.385 0.637 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@H](CC1CC1)C(=O)[O-] ZINC000909881790 613199815 /nfs/dbraw/zinc/19/98/15/613199815.db2.gz FJCHFAFQFQMJTJ-STQMWFEESA-N 0 1 278.352 0.701 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896542395 613207073 /nfs/dbraw/zinc/20/70/73/613207073.db2.gz QHQGIYDCPZZAPR-WMLDXEAASA-N 0 1 299.418 0.961 20 30 CCEDMN C#CCN1CCO[C@]2(CCN(C(=O)c3cc(C)[nH]n3)C2)C1 ZINC000972281505 613213192 /nfs/dbraw/zinc/21/31/92/613213192.db2.gz IPDMFDYPRVNDQC-OAHLLOKOSA-N 0 1 288.351 0.268 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CCCN(CCCO)C2)c1 ZINC000833575386 613221230 /nfs/dbraw/zinc/22/12/30/613221230.db2.gz ZQEPSRBQWXKDHZ-HNNXBMFYSA-N 0 1 287.363 0.640 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CC)nn2)[C@@H](C)C1 ZINC000943778015 613240926 /nfs/dbraw/zinc/24/09/26/613240926.db2.gz PKDWRAULFPQHMX-NWDGAFQWSA-N 0 1 275.356 0.371 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnsn2)[C@@H](C)C1 ZINC000941838522 613242837 /nfs/dbraw/zinc/24/28/37/613242837.db2.gz XJAIBUQSXDUTAK-VHSXEESVSA-N 0 1 264.354 0.612 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2csnn2)[C@@H](C)C1 ZINC000942538100 613243686 /nfs/dbraw/zinc/24/36/86/613243686.db2.gz KQLHSODRGFGIPZ-VHSXEESVSA-N 0 1 264.354 0.612 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC000968524860 613252538 /nfs/dbraw/zinc/25/25/38/613252538.db2.gz IHNDICSBSBGWOU-DZGCQCFKSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC000965845766 613254143 /nfs/dbraw/zinc/25/41/43/613254143.db2.gz QDXDXPQMLXVDNJ-WCQYABFASA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccn(C)n2)C(C)(C)C1 ZINC000940740716 613260003 /nfs/dbraw/zinc/26/00/03/613260003.db2.gz LPRSWIPMMJPZGD-AWEZNQCLSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccnn2)C(C)(C)C1 ZINC000941120807 613271491 /nfs/dbraw/zinc/27/14/91/613271491.db2.gz XJYHNEBLFCOWKO-ZDUSSCGKSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn3cccnc3n2)[C@H](C)C1 ZINC000942256404 613274132 /nfs/dbraw/zinc/27/41/32/613274132.db2.gz FCDNUYNHFVYMHC-OLZOCXBDSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc(OC)nc2)C[C@H]1C ZINC000947891450 613274585 /nfs/dbraw/zinc/27/45/85/613274585.db2.gz QVZPYFLJZSVFIV-YPMHNXCESA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nnn(C)c2C)C[C@H]1C ZINC000947158374 613278389 /nfs/dbraw/zinc/27/83/89/613278389.db2.gz WBGBOYXRXXMQQI-PWSUYJOCSA-N 0 1 275.356 0.339 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cc(C)on2)C(C)(C)C1 ZINC000972973869 613280796 /nfs/dbraw/zinc/28/07/96/613280796.db2.gz VEUHPIDOZDFLIW-CYBMUJFWSA-N 0 1 275.352 0.985 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)C(C)(C)C1 ZINC000974905286 613284349 /nfs/dbraw/zinc/28/43/49/613284349.db2.gz VEMIROVKFGNNOZ-LLVKDONJSA-N 0 1 276.340 0.199 20 30 CCEDMN C#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C(C)(C)C1 ZINC000973047770 613284916 /nfs/dbraw/zinc/28/49/16/613284916.db2.gz MNMXWGVTHXUILN-SNVBAGLBSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C(C)(C)C1 ZINC000973047770 613284918 /nfs/dbraw/zinc/28/49/18/613284918.db2.gz MNMXWGVTHXUILN-SNVBAGLBSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(F)cc(F)c2)C1 ZINC000958649250 613286376 /nfs/dbraw/zinc/28/63/76/613286376.db2.gz JOTZCQMDUBVPFW-SMDDNHRTSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cscc2C)C1 ZINC000957961508 613287748 /nfs/dbraw/zinc/28/77/48/613287748.db2.gz NICNXIDJFZGRGV-WCQYABFASA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c(C)cccc2F)[C@H](O)C1 ZINC000958739278 613288224 /nfs/dbraw/zinc/28/82/24/613288224.db2.gz AMAVQXZBEXNGHS-TZMCWYRMSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c(C)[nH]nc2Cl)[C@H](O)C1 ZINC000958126465 613288525 /nfs/dbraw/zinc/28/85/25/613288525.db2.gz PJENFDXLBZEJBZ-NXEZZACHSA-N 0 1 296.758 0.027 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc(Cl)c2)[C@H](O)C1 ZINC000958614718 613289507 /nfs/dbraw/zinc/28/95/07/613289507.db2.gz XVPXUSMOBBQDCC-TZMCWYRMSA-N 0 1 292.766 0.996 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccsc2)[C@H](O)C1 ZINC000957837708 613290161 /nfs/dbraw/zinc/29/01/61/613290161.db2.gz CTXXTSKMQVPBGR-VXGBXAGGSA-N 0 1 264.350 0.404 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2sccc2OC)[C@H](O)C1 ZINC000958606489 613290550 /nfs/dbraw/zinc/29/05/50/613290550.db2.gz PRPBEQCAQWBKEY-GHMZBOCLSA-N 0 1 294.376 0.412 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2[nH]c(C)cc2C)C1 ZINC000958186526 613294289 /nfs/dbraw/zinc/29/42/89/613294289.db2.gz BYMXASALNRGHHH-STQMWFEESA-N 0 1 275.352 0.287 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc3c2CCC3)C1 ZINC000958744010 613294894 /nfs/dbraw/zinc/29/48/94/613294894.db2.gz DRNLXFNFZFJXQL-YOEHRIQHSA-N 0 1 298.386 0.831 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(CC)s2)C1 ZINC000958232680 613295347 /nfs/dbraw/zinc/29/53/47/613295347.db2.gz VSFLGSSNGGBNBW-AAEUAGOBSA-N 0 1 292.404 0.966 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccoc2CC)C1 ZINC000958031434 613295570 /nfs/dbraw/zinc/29/55/70/613295570.db2.gz QEJFFQNWEDBBAT-AAEUAGOBSA-N 0 1 276.336 0.498 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC000958179401 613295637 /nfs/dbraw/zinc/29/56/37/613295637.db2.gz MDIYFVPYYNOWAI-WFASDCNBSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cc(C)n[nH]2)C(C)(C)C1 ZINC000973025172 613297186 /nfs/dbraw/zinc/29/71/86/613297186.db2.gz ODNXILXHGNYFSG-ZDUSSCGKSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cc(C)[nH]n2)C(C)(C)C1 ZINC000973025172 613297187 /nfs/dbraw/zinc/29/71/87/613297187.db2.gz ODNXILXHGNYFSG-ZDUSSCGKSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ccn(CC)n2)C(C)(C)C1 ZINC000974601995 613297205 /nfs/dbraw/zinc/29/72/05/613297205.db2.gz VEZMWAJEALJTBL-AWEZNQCLSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2ccc(=O)[nH]c2)C(C)(C)C1 ZINC000977589165 613300269 /nfs/dbraw/zinc/30/02/69/613300269.db2.gz UMKIPRXZTHSGDE-LBPRGKRZSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(COC)o2)C1 ZINC000958236342 613302780 /nfs/dbraw/zinc/30/27/80/613302780.db2.gz SRHPETYGFNLVPU-YPMHNXCESA-N 0 1 292.335 0.082 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2coc(CCC)n2)C1 ZINC000958583470 613303942 /nfs/dbraw/zinc/30/39/42/613303942.db2.gz HQLXMMJDPIQKSE-YPMHNXCESA-N 0 1 291.351 0.283 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2conc2C(C)C)C1 ZINC000958129870 613304053 /nfs/dbraw/zinc/30/40/53/613304053.db2.gz ADKOLJKRWQGVKI-YPMHNXCESA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2[nH]nc3ccccc32)C1 ZINC000958644095 613304742 /nfs/dbraw/zinc/30/47/42/613304742.db2.gz GRHRLZARXQWIGJ-RISCZKNCSA-N 0 1 298.346 0.219 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCC(=O)N2)CC1 ZINC000980839718 613310672 /nfs/dbraw/zinc/31/06/72/613310672.db2.gz MDEQCMCYLMZBRT-GFCCVEGCSA-N 0 1 265.357 0.375 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC000981963487 613310829 /nfs/dbraw/zinc/31/08/29/613310829.db2.gz AMRDIKZCCXSSRG-KGLIPLIRSA-N 0 1 293.411 0.998 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cccnc1 ZINC000958575875 613314306 /nfs/dbraw/zinc/31/43/06/613314306.db2.gz GGSXNXKJZPUEMG-NHAGDIPZSA-N 0 1 255.321 0.304 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)c1c(C)n[nH]c1C ZINC000962074530 613314897 /nfs/dbraw/zinc/31/48/97/613314897.db2.gz KUYBTBHBVOJRIV-GCVYGYKWSA-N 0 1 286.379 0.810 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCn2cc(C)nc2C1 ZINC000960555137 613317009 /nfs/dbraw/zinc/31/70/09/613317009.db2.gz OSLTVICTQZXMEP-TTZDDIAXSA-N 0 1 298.390 0.434 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C2CCC2)cnn1C ZINC000959999170 613317621 /nfs/dbraw/zinc/31/76/21/613317621.db2.gz CDCSWBLGPNUTPX-FICVDOATSA-N 0 1 298.390 0.981 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2[nH]c(=O)[nH]c21 ZINC000960316716 613320168 /nfs/dbraw/zinc/32/01/68/613320168.db2.gz QQSJBQMJFXSBSP-PJXYFTJBSA-N 0 1 296.330 0.562 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(OCC)cn1 ZINC000963080282 613321164 /nfs/dbraw/zinc/32/11/64/613321164.db2.gz HYJWMCNAVCNDDL-YABSGUDNSA-N 0 1 286.335 0.169 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2c(cnn2C)c1 ZINC000962144683 613321228 /nfs/dbraw/zinc/32/12/28/613321228.db2.gz YNUJJSDTOAEVGO-WDNDVIMCSA-N 0 1 295.346 0.262 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C)c1CC ZINC000960772257 613321290 /nfs/dbraw/zinc/32/12/90/613321290.db2.gz ZZOFWWUQFSPKRY-IMRBUKKESA-N 0 1 272.352 0.276 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2ccncc12 ZINC000960294837 613321402 /nfs/dbraw/zinc/32/14/02/613321402.db2.gz DFXUUVRMSVBXKB-IMRBUKKESA-N 0 1 281.319 0.023 20 30 CCEDMN C#CCNC(=O)CCN1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000815060863 613325255 /nfs/dbraw/zinc/32/52/55/613325255.db2.gz CYQCXWSGILEPCI-QWHCGFSZSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCNC(=O)CN1CCC(CC(=O)OC)CC1 ZINC000918741726 613328679 /nfs/dbraw/zinc/32/86/79/613328679.db2.gz PBWIAIOLYAYVQL-UHFFFAOYSA-N 0 1 252.314 0.011 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@]2(CCSC2)C1 ZINC000832506042 613329003 /nfs/dbraw/zinc/32/90/03/613329003.db2.gz UMJBFAURBFZLRW-ZDUSSCGKSA-N 0 1 252.383 0.955 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@]2(CCSC2)C1 ZINC000832506041 613329176 /nfs/dbraw/zinc/32/91/76/613329176.db2.gz UMJBFAURBFZLRW-CYBMUJFWSA-N 0 1 252.383 0.955 20 30 CCEDMN C=C(C)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc(C)c1[O-] ZINC000958582581 613346219 /nfs/dbraw/zinc/34/62/19/613346219.db2.gz CJSPMVXIJDKWEY-URLYPYJESA-N 0 1 276.340 0.660 20 30 CCEDMN C=C(C)COCCNC(=O)NCc1n[nH]c(C)n1 ZINC000893694534 613352087 /nfs/dbraw/zinc/35/20/87/613352087.db2.gz XFZBLLGOPTUMFD-UHFFFAOYSA-N 0 1 253.306 0.505 20 30 CCEDMN C=C(C)CONC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000862132829 613353893 /nfs/dbraw/zinc/35/38/93/613353893.db2.gz NLGFJFXDBUQUAJ-UHFFFAOYSA-N 0 1 285.325 0.691 20 30 CCEDMN C=C(C)C[C@H](NC(=O)Cc1n[nH]c(C)n1)C(=O)OCC ZINC000916717635 613358856 /nfs/dbraw/zinc/35/88/56/613358856.db2.gz UWNSUIYCPBNVMW-JTQLQIEISA-N 0 1 280.328 0.670 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000913438971 613366727 /nfs/dbraw/zinc/36/67/27/613366727.db2.gz VEPZYDFWJNTYJM-LBPRGKRZSA-N 0 1 286.339 0.523 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](C)[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000967157772 613375661 /nfs/dbraw/zinc/37/56/61/613375661.db2.gz SPUUHBLARRAPHJ-KCJUWKMLSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CN1CCCC1 ZINC000962552805 613382205 /nfs/dbraw/zinc/38/22/05/613382205.db2.gz DOJMXESUBDUQEY-IMRBUKKESA-N 0 1 283.803 0.881 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC000969556159 613384771 /nfs/dbraw/zinc/38/47/71/613384771.db2.gz SOJVIHYHBIJSLL-NEPJUHHUSA-N 0 1 299.802 0.940 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cnn(C)n2)C[C@H]1C ZINC000939310612 613386467 /nfs/dbraw/zinc/38/64/67/613386467.db2.gz ZVRNUDAEJBKMAK-LDYMZIIASA-N 0 1 283.763 0.618 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N1CCS(=O)(=O)C(C)(C)C1 ZINC000876686645 613387727 /nfs/dbraw/zinc/38/77/27/613387727.db2.gz DSMWMILJAUUMRY-SECBINFHSA-N 0 1 294.804 0.492 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)c1ncn[nH]1)C(=O)OCC ZINC000870028062 613388763 /nfs/dbraw/zinc/38/87/63/613388763.db2.gz DMVWMMHLJMCIKB-SSDOTTSWSA-N 0 1 272.692 0.609 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)c1nc[nH]n1)C(=O)OCC ZINC000870028062 613388764 /nfs/dbraw/zinc/38/87/64/613388764.db2.gz DMVWMMHLJMCIKB-SSDOTTSWSA-N 0 1 272.692 0.609 20 30 CCEDMN C=CC(=O)N(CC)CC(=O)NC1(C#N)CCN(C)CC1 ZINC000900609491 613409353 /nfs/dbraw/zinc/40/93/53/613409353.db2.gz ZPANOPIFBGZSGY-UHFFFAOYSA-N 0 1 278.356 0.125 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000878708520 613411166 /nfs/dbraw/zinc/41/11/66/613411166.db2.gz QUXQASLLTWEXDD-VXGBXAGGSA-N 0 1 284.356 0.141 20 30 CCEDMN C=CC[C@H](C)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000878057975 613413469 /nfs/dbraw/zinc/41/34/69/613413469.db2.gz VHZOLEWCDBWGCA-LBPRGKRZSA-N 0 1 293.367 0.244 20 30 CCEDMN C=C1C[C@H]2CC[C@@H](C1)N2CN1C(=O)C(=O)N(C)C1=O ZINC000853599298 613417707 /nfs/dbraw/zinc/41/77/07/613417707.db2.gz NOWVUDMDQBFMOD-AOOOYVTPSA-N 0 1 263.297 0.548 20 30 CCEDMN C=CCn1cc(C(=O)OC[C@]2(C)C[C@H](OC)CN2C)nn1 ZINC000861357152 613433201 /nfs/dbraw/zinc/43/32/01/613433201.db2.gz QTZVHMMWWVHPDQ-FZMZJTMJSA-N 0 1 294.355 0.730 20 30 CCEDMN C=CCn1cc(CN[C@H]2CC[C@@H](C(=O)OC)C2)nn1 ZINC000886628625 613436146 /nfs/dbraw/zinc/43/61/46/613436146.db2.gz XNBRZTXHEBSQHU-MNOVXSKESA-N 0 1 264.329 0.895 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC000951574040 613437427 /nfs/dbraw/zinc/43/74/27/613437427.db2.gz QXVMSIFYGBZVIY-SECBINFHSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC000951574040 613437429 /nfs/dbraw/zinc/43/74/29/613437429.db2.gz QXVMSIFYGBZVIY-SECBINFHSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CCn1nnn(CN2CC[N@H+](C)C[C@@H]2CC)c1=S ZINC000840092133 613439487 /nfs/dbraw/zinc/43/94/87/613439487.db2.gz RXKBDVKCXSZEKI-NSHDSACASA-N 0 1 282.417 0.979 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N1CCN(C)CCN(C)CC1 ZINC000895577870 613444496 /nfs/dbraw/zinc/44/44/96/613444496.db2.gz BMCDBHKFCWFMOV-GFCCVEGCSA-N 0 1 270.377 0.381 20 30 CCEDMN C=CC1(S(=O)(=O)[N-]C(=O)Cn2c[nH+]cc2C)CC1 ZINC000870839626 613450890 /nfs/dbraw/zinc/45/08/90/613450890.db2.gz PNUWMQXPRGNTJH-UHFFFAOYSA-N 0 1 269.326 0.356 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N1CCCN(CCO)CC1 ZINC000981189673 613456698 /nfs/dbraw/zinc/45/66/98/613456698.db2.gz BNTVGFASSMEWIN-KGLIPLIRSA-N 0 1 282.384 0.494 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H](C)C1CN(CCO)C1 ZINC000969692459 613458797 /nfs/dbraw/zinc/45/87/97/613458797.db2.gz NKKIEHVCTXYDOB-BNOWGMLFSA-N 0 1 282.384 0.396 20 30 CCEDMN C=CCCCNC(=O)N1CCN(C)CCN(C)CC1 ZINC000894510330 613468165 /nfs/dbraw/zinc/46/81/65/613468165.db2.gz BGJWSGIWKSMDAT-UHFFFAOYSA-N 0 1 268.405 0.841 20 30 CCEDMN C=CCCC[C@@H](C)NC(=O)Cn1cnc(-c2nn[nH]n2)n1 ZINC000823894366 613470268 /nfs/dbraw/zinc/47/02/68/613470268.db2.gz OJELXSGINOVWML-SECBINFHSA-N 0 1 290.331 0.319 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000821484737 613470352 /nfs/dbraw/zinc/47/03/52/613470352.db2.gz VQNAJHBMGUCNJK-OLZOCXBDSA-N 0 1 293.367 0.835 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC000951590783 613475239 /nfs/dbraw/zinc/47/52/39/613475239.db2.gz NZALRPHMPOHNRW-YGRLFVJLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC000951590783 613475240 /nfs/dbraw/zinc/47/52/40/613475240.db2.gz NZALRPHMPOHNRW-YGRLFVJLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC000805852638 613476435 /nfs/dbraw/zinc/47/64/35/613476435.db2.gz FMRZDCLAJHTURZ-UHFFFAOYSA-N 0 1 264.329 0.623 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907479111 613480013 /nfs/dbraw/zinc/48/00/13/613480013.db2.gz JJRGBSAUUQHSTN-LDYMZIIASA-N 0 1 283.357 0.143 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000810189205 613480455 /nfs/dbraw/zinc/48/04/55/613480455.db2.gz MKBGHPZEKVIRCD-GXTWGEPZSA-N 0 1 287.323 0.732 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000845629566 613485141 /nfs/dbraw/zinc/48/51/41/613485141.db2.gz KCFGRBCSXXMCFZ-STQMWFEESA-N 0 1 283.372 0.856 20 30 CCEDMN C=CCCN1CCN(C(=O)CCn2ccnc2)CC1 ZINC000949557223 613502739 /nfs/dbraw/zinc/50/27/39/613502739.db2.gz ANSXUWZNYQQDPP-UHFFFAOYSA-N 0 1 262.357 0.994 20 30 CCEDMN C=CCCN1CCN(C(=O)CCc2cn(C)nn2)CC1 ZINC000957939474 613503206 /nfs/dbraw/zinc/50/32/06/613503206.db2.gz MBBLJDNVKVBNIJ-UHFFFAOYSA-N 0 1 277.372 0.468 20 30 CCEDMN C=C[C@](C)(O)C(=O)NC[C@H](N)c1ccccc1OC ZINC000911663142 613507624 /nfs/dbraw/zinc/50/76/24/613507624.db2.gz BIRNJJQPNFMQNX-FZMZJTMJSA-N 0 1 264.325 0.748 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](C)N2CCOCC2)CC1 ZINC000957950808 613507691 /nfs/dbraw/zinc/50/76/91/613507691.db2.gz JHQBACDQBZNPAX-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CC(=O)N(C(C)C)C2)CC1 ZINC000948624788 613507783 /nfs/dbraw/zinc/50/77/83/613507783.db2.gz RXXFFGPUULPPJX-AWEZNQCLSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CC(=O)NC[C@@H]1C[C@@H](c2nc(C(C)C)n[nH]2)C[C@@H]1O ZINC000926798117 613518806 /nfs/dbraw/zinc/51/88/06/613518806.db2.gz SUPBIWJDFHHCKA-WOPDTQHZSA-N 0 1 290.367 0.922 20 30 CCEDMN CC#CC(=O)NC[C@@H]1C[C@@H](c2n[nH]c(C(C)C)n2)C[C@@H]1O ZINC000926798117 613518808 /nfs/dbraw/zinc/51/88/08/613518808.db2.gz SUPBIWJDFHHCKA-WOPDTQHZSA-N 0 1 290.367 0.922 20 30 CCEDMN CC#CCCCC(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000913496736 613520662 /nfs/dbraw/zinc/52/06/62/613520662.db2.gz BDVACOULKPIEGC-SNVBAGLBSA-N 0 1 263.301 0.293 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC000970813303 613531487 /nfs/dbraw/zinc/53/14/87/613531487.db2.gz ILEHDICRDOUUDK-OCCSQVGLSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CC[N@H+]1CCCN(C(=O)Cc2cc[nH]n2)CC1 ZINC000980886470 613549525 /nfs/dbraw/zinc/54/95/25/613549525.db2.gz NHZZUWDCFKTDSO-UHFFFAOYSA-N 0 1 260.341 0.510 20 30 CCEDMN C=CCC[N@H+]1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992097 613558151 /nfs/dbraw/zinc/55/81/51/613558151.db2.gz VJFWGCSAFUFDTI-YPMHNXCESA-N 0 1 291.351 0.386 20 30 CCEDMN C=CCC[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992097 613558153 /nfs/dbraw/zinc/55/81/53/613558153.db2.gz VJFWGCSAFUFDTI-YPMHNXCESA-N 0 1 291.351 0.386 20 30 CCEDMN CC#CCN1CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)CC1 ZINC000957104785 613566675 /nfs/dbraw/zinc/56/66/75/613566675.db2.gz IIVFJMUEYRMMHC-ZDUSSCGKSA-N 0 1 286.379 0.682 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966892033 613573617 /nfs/dbraw/zinc/57/36/17/613573617.db2.gz JFCMZZDRIDUDLP-YPMHNXCESA-N 0 1 288.351 0.646 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ncn[n-]2)C1 ZINC000968439890 613576728 /nfs/dbraw/zinc/57/67/28/613576728.db2.gz OHDPVMLLOXTANE-GHMZBOCLSA-N 0 1 261.329 0.268 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896567659 613579548 /nfs/dbraw/zinc/57/95/48/613579548.db2.gz HNHDBZPLHWFZOM-BFHYXJOUSA-N 0 1 283.416 0.718 20 30 CCEDMN C=CCC[C@@H](NC(=O)C[N@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC000909712776 613584265 /nfs/dbraw/zinc/58/42/65/613584265.db2.gz AABQXXVALWSITL-WDEREUQCSA-N 0 1 286.397 0.959 20 30 CCEDMN C=CCC[C@@H](CO)NS(=O)(=O)N=S1(=O)CCCC1 ZINC000867367977 613584548 /nfs/dbraw/zinc/58/45/48/613584548.db2.gz LMOLCKXUIKSRDS-JTQLQIEISA-N 0 1 296.414 0.410 20 30 CCEDMN C=CCC[C@H](NC(=O)C[C@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC000909713527 613589462 /nfs/dbraw/zinc/58/94/62/613589462.db2.gz RGDWASOWOKQXIA-MNOVXSKESA-N 0 1 254.330 0.864 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2nonc2C)C[C@H]1C ZINC000948094956 613589790 /nfs/dbraw/zinc/58/97/90/613589790.db2.gz RGIBCPNHCFRYBC-ZYHUDNBSSA-N 0 1 276.340 0.984 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@@H](C)[C@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC000929049947 613589841 /nfs/dbraw/zinc/58/98/41/613589841.db2.gz NQWXSCINHUIQAV-SDDRHHMPSA-N 0 1 299.371 0.424 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@@H](C)[C@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC000929049947 613589843 /nfs/dbraw/zinc/58/98/43/613589843.db2.gz NQWXSCINHUIQAV-SDDRHHMPSA-N 0 1 299.371 0.424 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+](CC(=O)[O-])C1CCOCC1 ZINC000825664889 613590425 /nfs/dbraw/zinc/59/04/25/613590425.db2.gz PKSVKMSWQQWRDH-LBPRGKRZSA-N 0 1 257.330 0.879 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966892028 613592121 /nfs/dbraw/zinc/59/21/21/613592121.db2.gz JFCMZZDRIDUDLP-AAEUAGOBSA-N 0 1 288.351 0.646 20 30 CCEDMN C=CCCn1cc(C(=O)NCC#CCN(C)C)nn1 ZINC000913523166 613594262 /nfs/dbraw/zinc/59/42/62/613594262.db2.gz NZTPPIDBWJXGEB-UHFFFAOYSA-N 0 1 261.329 0.149 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2CCCNC2=O)C(C)(C)C1 ZINC000974688242 613609269 /nfs/dbraw/zinc/60/92/69/613609269.db2.gz UJTNWUKXRVPVFJ-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c[nH]nc2CC)C1 ZINC000958202356 613613307 /nfs/dbraw/zinc/61/33/07/613613307.db2.gz DEOLOJQOUNLJSP-SMDDNHRTSA-N 0 1 290.367 0.018 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cnccc2C)[C@H](O)C1 ZINC000957957333 613615286 /nfs/dbraw/zinc/61/52/86/613615286.db2.gz LDJUPAQPWFGWNY-UKRRQHHQSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccoc2CC)C1 ZINC000958025404 613619049 /nfs/dbraw/zinc/61/90/49/613619049.db2.gz RZTFKVMWAGXTPE-JSGCOSHPSA-N 0 1 290.363 0.888 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974635266 613621896 /nfs/dbraw/zinc/62/18/96/613621896.db2.gz AVXKOSAWNZZMFZ-STQMWFEESA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2[nH]c(C)nc2C)C1 ZINC000958417267 613624853 /nfs/dbraw/zinc/62/48/53/613624853.db2.gz JKYJVVLNQNPNOB-OLZOCXBDSA-N 0 1 290.367 0.072 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC000957979180 613625014 /nfs/dbraw/zinc/62/50/14/613625014.db2.gz LTOGJUOCIAKLHH-KGLIPLIRSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(Cl)o2)C1 ZINC000957883189 613625184 /nfs/dbraw/zinc/62/51/84/613625184.db2.gz UEADOGHPCCHMPE-MNOVXSKESA-N 0 1 296.754 0.979 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCOC1 ZINC000958449189 613634016 /nfs/dbraw/zinc/63/40/16/613634016.db2.gz JNEWKSZQKKMGIH-CXTNEJHOSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccn(CC)n1 ZINC000960001254 613634533 /nfs/dbraw/zinc/63/45/33/613634533.db2.gz JZBQQLIQCHVVRA-FOLVSLTJSA-N 0 1 286.379 0.515 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ncccc1OC ZINC000961596390 613635419 /nfs/dbraw/zinc/63/54/19/613635419.db2.gz ZEFCEHQSADPEAW-LAQFHYBYSA-N 0 1 299.374 0.702 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)c1ccnn1C ZINC000959937409 613636281 /nfs/dbraw/zinc/63/62/81/613636281.db2.gz ZPGODJSFKCHHIS-CXTNEJHOSA-N 0 1 286.379 0.593 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C#N)[nH]1 ZINC000958591094 613639545 /nfs/dbraw/zinc/63/95/45/613639545.db2.gz VWPKMGKCBRUEJX-IMRBUKKESA-N 0 1 268.320 0.570 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(OC)ncn1 ZINC000959927930 613639641 /nfs/dbraw/zinc/63/96/41/613639641.db2.gz YSAVKMNIONIJTI-YABSGUDNSA-N 0 1 286.335 0.169 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2c(n1)CCC2 ZINC000959965823 613641365 /nfs/dbraw/zinc/64/13/65/613641365.db2.gz IXLKTOMJRAJPMH-JYAVWHMHSA-N 0 1 284.363 0.513 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(C)c(=O)c1 ZINC000960631562 613641545 /nfs/dbraw/zinc/64/15/45/613641545.db2.gz ULXUHXLDMHKYJE-NHAGDIPZSA-N 0 1 285.347 0.069 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC000970976668 613662349 /nfs/dbraw/zinc/66/23/49/613662349.db2.gz WHQVKZSKSQSCGP-PWSUYJOCSA-N 0 1 280.372 0.152 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC000969686427 613663514 /nfs/dbraw/zinc/66/35/14/613663514.db2.gz CIYPIIFKHMNRGE-NQBHXWOUSA-N 0 1 279.384 0.524 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnn(CC)n2)C1 ZINC000969620987 613667146 /nfs/dbraw/zinc/66/71/46/613667146.db2.gz PCPBXUWZIAEJCI-SNVBAGLBSA-N 0 1 263.345 0.534 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC000970338204 613669032 /nfs/dbraw/zinc/66/90/32/613669032.db2.gz KCXGREWSTGHTPL-CMPLNLGQSA-N 0 1 289.383 0.847 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC000969788381 613669213 /nfs/dbraw/zinc/66/92/13/613669213.db2.gz JZLGCAKUQSQZQH-QWRGUYRKSA-N 0 1 265.357 0.087 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC000969770669 613669547 /nfs/dbraw/zinc/66/95/47/613669547.db2.gz IPJMYTCGJWASDW-STQMWFEESA-N 0 1 293.411 0.866 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2c(C)nnn2CC)C1 ZINC000969818954 613669807 /nfs/dbraw/zinc/66/98/07/613669807.db2.gz QLDMKQTVIHUEIJ-JTQLQIEISA-N 0 1 277.372 0.843 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cn(CC=C)nn2)C1 ZINC000970548071 613672431 /nfs/dbraw/zinc/67/24/31/613672431.db2.gz LZLGKUBPYCZALJ-NSHDSACASA-N 0 1 275.356 0.700 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2coc(C(N)=O)c2)C1 ZINC000970210049 613673825 /nfs/dbraw/zinc/67/38/25/613673825.db2.gz LQCGNNPHWSIBMK-VIFPVBQESA-N 0 1 277.324 0.615 20 30 CCEDMN C=CCN1CCCN(C(=O)CCn2ccnc2)CC1 ZINC000981717106 613689453 /nfs/dbraw/zinc/68/94/53/613689453.db2.gz ACANBCKPJIIFGM-UHFFFAOYSA-N 0 1 262.357 0.994 20 30 CCEDMN C=CCN1CCCN(C(=O)Cn2nnnc2C(C)C)CC1 ZINC000982120661 613690857 /nfs/dbraw/zinc/69/08/57/613690857.db2.gz RUAACCUWEWHVOC-UHFFFAOYSA-N 0 1 292.387 0.517 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCCNC2=O)CC1 ZINC000981391669 613691881 /nfs/dbraw/zinc/69/18/81/613691881.db2.gz SMKYDPMUCZGDDK-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCN1CCCN(C(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC000981969784 613697273 /nfs/dbraw/zinc/69/72/73/613697273.db2.gz SRCSXVSMCOULIC-UHFFFAOYSA-N 0 1 276.340 0.448 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC000966750410 613729990 /nfs/dbraw/zinc/72/99/90/613729990.db2.gz HUMMOULICMDAKH-YPMHNXCESA-N 0 1 290.367 0.902 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000846 613732969 /nfs/dbraw/zinc/73/29/69/613732969.db2.gz SUSVORMZZDAQDW-ZYHUDNBSSA-N 0 1 277.372 0.668 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cn2cncn2)C1 ZINC000968614772 613733774 /nfs/dbraw/zinc/73/37/74/613733774.db2.gz NHVBITJULCCQBX-VXGBXAGGSA-N 0 1 263.345 0.291 20 30 CCEDMN C=CCN1CC[C@@H](N(C)c2cc(C)nc(N)n2)C1=O ZINC000798404447 613739065 /nfs/dbraw/zinc/73/90/65/613739065.db2.gz KTECEIATDXMNAR-SNVBAGLBSA-N 0 1 261.329 0.590 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965957146 613767968 /nfs/dbraw/zinc/76/79/68/613767968.db2.gz BFCMKKYVHWMDCD-QWRGUYRKSA-N 0 1 279.340 0.605 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964900151 613769484 /nfs/dbraw/zinc/76/94/84/613769484.db2.gz DOAORYDUVRPVQO-JQWIXIFHSA-N 0 1 276.340 0.808 20 30 CCEDMN C=CCN1CC[C@H](N[C@@H]2COCC[C@H]2OC)C1=O ZINC000934034379 613802519 /nfs/dbraw/zinc/80/25/19/613802519.db2.gz GBPVKZRSNFXBSQ-QJPTWQEYSA-N 0 1 254.330 0.167 20 30 CCEDMN C=CCN1CC[C@H](n2cc(CNC(C)C)nn2)C1=O ZINC000905804443 613805504 /nfs/dbraw/zinc/80/55/04/613805504.db2.gz MRWJWOZSQMIUAI-LBPRGKRZSA-N 0 1 263.345 0.736 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CN(C)C(=O)N2)C(C)(C)C1 ZINC000974900878 613808528 /nfs/dbraw/zinc/80/85/28/613808528.db2.gz IRWYQWFZZRNOOA-GHMZBOCLSA-N 0 1 280.372 0.023 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cc2nnc(C)o2)C(C)(C)C1 ZINC000974550643 613827028 /nfs/dbraw/zinc/82/70/28/613827028.db2.gz DORDBPRWEMVLTN-NSHDSACASA-N 0 1 278.356 0.933 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2COC(=O)N2)C(C)(C)C1 ZINC000974538760 613827194 /nfs/dbraw/zinc/82/71/94/613827194.db2.gz OXLFQVZEOLJQSI-UWVGGRQHSA-N 0 1 267.329 0.107 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975046815 613827867 /nfs/dbraw/zinc/82/78/67/613827867.db2.gz XVRANBLRFJPSJJ-NHYWBVRUSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H]2CSC[C@H]2C1 ZINC000879556637 613849603 /nfs/dbraw/zinc/84/96/03/613849603.db2.gz VISGVPKAJRWETI-GHMZBOCLSA-N 0 1 283.397 0.683 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)Cc1ccccc1O ZINC000109769545 613849693 /nfs/dbraw/zinc/84/96/93/613849693.db2.gz XXBZZENZFRUHHP-UHFFFAOYSA-N 0 1 277.324 0.836 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@H](CF)C1 ZINC000880294876 613851092 /nfs/dbraw/zinc/85/10/92/613851092.db2.gz FOIHPVKPQRZMCM-NXEZZACHSA-N 0 1 257.309 0.678 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(CC1)C[C@H](O)CCO2 ZINC000933063626 613853480 /nfs/dbraw/zinc/85/34/80/613853480.db2.gz OSBNVIZVYDKQHH-CHWSQXEVSA-N 0 1 282.384 0.683 20 30 CCEDMN C=CCOCCNS(=O)(=O)N=[S@@](C)(=O)CC ZINC000867071847 613881237 /nfs/dbraw/zinc/88/12/37/613881237.db2.gz MPPBBRZATVKTPS-HNNXBMFYSA-N 0 1 270.376 0.141 20 30 CCEDMN C=CCONC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000838712105 613883663 /nfs/dbraw/zinc/88/36/63/613883663.db2.gz PAIIVOAIGDWMTA-GFCCVEGCSA-N 0 1 269.345 0.468 20 30 CCEDMN C=CCONC(=O)NCc1nc(CSC)n[nH]1 ZINC000889193829 613884083 /nfs/dbraw/zinc/88/40/83/613884083.db2.gz ZGGYNTSJMZDIJY-UHFFFAOYSA-N 0 1 257.319 0.585 20 30 CCEDMN C=CCONC(=O)NCc1nnc(CSC)[nH]1 ZINC000889193829 613884084 /nfs/dbraw/zinc/88/40/84/613884084.db2.gz ZGGYNTSJMZDIJY-UHFFFAOYSA-N 0 1 257.319 0.585 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@@](O)(CC)C(F)(F)F ZINC000905204998 613885648 /nfs/dbraw/zinc/88/56/48/613885648.db2.gz RMUMRNKVUVFTAO-VIFPVBQESA-N 0 1 289.319 0.880 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@H]1CCC1(OC)OC ZINC000926126368 613885976 /nfs/dbraw/zinc/88/59/76/613885976.db2.gz JHWSDVQCBDTGQV-JTQLQIEISA-N 0 1 263.359 0.328 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COCC(=O)OC(C)(C)C ZINC000842689603 613933751 /nfs/dbraw/zinc/93/37/51/613933751.db2.gz BCSYMNGPJKOCKN-SNVBAGLBSA-N 0 1 298.339 0.578 20 30 CCEDMN CC(C)COc1ncccc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000844195709 614174615 /nfs/dbraw/zinc/17/46/15/614174615.db2.gz YKJKKICKFZNZDG-WCQYABFASA-N 0 1 288.351 0.958 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN[C@@H]1C(=O)NCC1(C)C ZINC000931666367 614180096 /nfs/dbraw/zinc/18/00/96/614180096.db2.gz DAMFLTOHTFQRHS-BXUZGUMPSA-N 0 1 280.372 0.155 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828431675 614180142 /nfs/dbraw/zinc/18/01/42/614180142.db2.gz JXALURMUTFNZLW-JTNHKYCSSA-N 0 1 281.356 0.693 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000817733686 614180827 /nfs/dbraw/zinc/18/08/27/614180827.db2.gz SKYKCFROSVLFHI-JTNHKYCSSA-N 0 1 281.356 0.836 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)COC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840672944 614181037 /nfs/dbraw/zinc/18/10/37/614181037.db2.gz SMSVYQWFBFYVOV-KBVBSXBZSA-N 0 1 283.284 0.249 20 30 CCEDMN CC(C)(O)CN1CC[C@H]([NH2+]C[C@@H](C#N)CCC#N)C1 ZINC000872217733 614191997 /nfs/dbraw/zinc/19/19/97/614191997.db2.gz FDRCVKUWAVMEHV-OLZOCXBDSA-N 0 1 264.373 0.865 20 30 CCEDMN CC(C)C[C@H]1CN(C)CCN1C(=O)NCC#CCO ZINC000923761332 614225893 /nfs/dbraw/zinc/22/58/93/614225893.db2.gz PCFWZGVSYZNMMH-ZDUSSCGKSA-N 0 1 267.373 0.354 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)NC(C)(C)C#N)cc1=O ZINC000932347259 614235487 /nfs/dbraw/zinc/23/54/87/614235487.db2.gz ASXRHVDYVMGQMH-UHFFFAOYSA-N 0 1 264.329 0.793 20 30 CCEDMN CC(C)Cn1cc(C(=O)NCC#CCN(C)C)cn1 ZINC000913521453 614238045 /nfs/dbraw/zinc/23/80/45/614238045.db2.gz ZWFHFCTWPFHWER-UHFFFAOYSA-N 0 1 262.357 0.834 20 30 CCEDMN CC(=O)c1cncc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000884249754 614268072 /nfs/dbraw/zinc/26/80/72/614268072.db2.gz QVIUWHBXUVWBDT-NEPJUHHUSA-N 0 1 258.281 0.126 20 30 CCEDMN CC(C)(C(=O)NC[C@@H]1COCCN1)c1ccc(C#N)cc1 ZINC000877038690 614298347 /nfs/dbraw/zinc/29/83/47/614298347.db2.gz WEPXAPGBVAJWHL-CQSZACIVSA-N 0 1 287.363 0.940 20 30 CCEDMN CC(C)N1CC(N2CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000941348397 614317268 /nfs/dbraw/zinc/31/72/68/614317268.db2.gz MZGVJRFGAJKFNO-GFCCVEGCSA-N 0 1 264.373 0.383 20 30 CCEDMN CC(C)[N@H+]1CC[C@@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820025084 614371087 /nfs/dbraw/zinc/37/10/87/614371087.db2.gz PUXJJZKKZCTCSJ-FZMZJTMJSA-N 0 1 281.356 0.837 20 30 CCEDMN CCCc1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000834489823 614495580 /nfs/dbraw/zinc/49/55/80/614495580.db2.gz PSEUGAZVZHRQEJ-RKDXNWHRSA-N 0 1 264.354 0.937 20 30 CCEDMN CCCC(=O)N1CCCC[C@@H]1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834485564 614742418 /nfs/dbraw/zinc/74/24/18/614742418.db2.gz YNSIRZYSSXTXFQ-YNEHKIRRSA-N 0 1 292.383 0.395 20 30 CCEDMN CCCC(=O)N1CCC[C@H](C(=O)N[C@@H]2CNC[C@@H]2C#N)C1 ZINC000834488619 614765205 /nfs/dbraw/zinc/76/52/05/614765205.db2.gz DZAYZBPDWXZIII-RWMBFGLXSA-N 0 1 292.383 0.253 20 30 CCEDMN CCCCCNC(=O)[C@@H](C#N)C(=O)c1cn(C)c(=O)n1C ZINC000892464719 614923231 /nfs/dbraw/zinc/92/32/31/614923231.db2.gz IYTLZDLFFHOBQM-JTQLQIEISA-N 0 1 292.339 0.353 20 30 CCEDMN CCC[C@H](C)N1C[C@H](C(=O)N[C@H]2CNC[C@@H]2C#N)CC1=O ZINC000843459657 615038827 /nfs/dbraw/zinc/03/88/27/615038827.db2.gz GDCYLXRRPGWYPD-RNJOBUHISA-N 0 1 292.383 0.251 20 30 CCEDMN CCOC(=O)N(C)CCCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000884249658 615223768 /nfs/dbraw/zinc/22/37/68/615223768.db2.gz PFEANPRONQQIHO-QWRGUYRKSA-N 0 1 282.344 0.083 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@H]1CCCCO1 ZINC000121922765 615244376 /nfs/dbraw/zinc/24/43/76/615244376.db2.gz OJVZCIPKBNIFIZ-NWDGAFQWSA-N 0 1 282.340 0.807 20 30 CCEDMN CCN1CC[C@@H]1CNc1ccc(S(N)(=O)=O)cc1C#N ZINC000882960790 615260051 /nfs/dbraw/zinc/26/00/51/615260051.db2.gz WHJWIZFLIVBPAN-LLVKDONJSA-N 0 1 294.380 0.712 20 30 CCEDMN CCOC(=O)[C@H](C[C@H]1CCCO1)NC(=O)C(C)C#N ZINC000924879398 615362950 /nfs/dbraw/zinc/36/29/50/615362950.db2.gz QKMKESAVMGLVIY-AXFHLTTASA-N 0 1 268.313 0.763 20 30 CCEDMN CCO[C@@H](CC)C(=O)C(C#N)C(=O)NCCCOC ZINC000920106897 615403760 /nfs/dbraw/zinc/40/37/60/615403760.db2.gz HZZDFVOYEZPBNB-MNOVXSKESA-N 0 1 270.329 0.663 20 30 CCEDMN CCn1nc(C)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1C ZINC000843458915 615648012 /nfs/dbraw/zinc/64/80/12/615648012.db2.gz BIQGXKWPHBATRT-QWRGUYRKSA-N 0 1 261.329 0.361 20 30 CCEDMN CCc1cccc(OCC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834504926 615684028 /nfs/dbraw/zinc/68/40/28/615684028.db2.gz GQQWVXWESUKVSO-JSGCOSHPSA-N 0 1 273.336 0.856 20 30 CCEDMN CC[C@H](C)[C@H](NCC(=O)N(CC)C[C@H](C)C#N)C(N)=O ZINC000887059542 615698050 /nfs/dbraw/zinc/69/80/50/615698050.db2.gz ZHRNPLWTHNSRKK-MDZLAQPJSA-N 0 1 282.388 0.484 20 30 CCEDMN CCn1nnc(C)c1CN[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000967945875 615744470 /nfs/dbraw/zinc/74/44/70/615744470.db2.gz YEABVZRGGBNCQX-CMPLNLGQSA-N 0 1 290.371 0.457 20 30 CCEDMN CN(C)C1(CS(=O)(=O)OCC(F)(F)F)CC1 ZINC000866376866 615853783 /nfs/dbraw/zinc/85/37/83/615853783.db2.gz CSYXGILOPIDDOF-UHFFFAOYSA-N 0 1 261.265 0.989 20 30 CCEDMN CN(C)CC#CCNC(=O)CCc1ccc(=O)[nH]c1 ZINC000913521914 615857846 /nfs/dbraw/zinc/85/78/46/615857846.db2.gz SHRFCTUSVXNZOR-UHFFFAOYSA-N 0 1 261.325 0.401 20 30 CCEDMN CN(C)CC#CCNC(=O)COCCc1ccccc1 ZINC000913517544 615858229 /nfs/dbraw/zinc/85/82/29/615858229.db2.gz GBVYWMCEENBLBW-UHFFFAOYSA-N 0 1 274.364 0.927 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccccc1CO ZINC000913520925 615858297 /nfs/dbraw/zinc/85/82/97/615858297.db2.gz DNQXKIYEQHAUTB-UHFFFAOYSA-N 0 1 260.337 0.403 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc(-n2cnnn2)cc1 ZINC000929299829 615859138 /nfs/dbraw/zinc/85/91/38/615859138.db2.gz YDNCCQSSZFMAIP-UHFFFAOYSA-N 0 1 299.338 0.349 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1ccc(=O)c2ccccc21 ZINC000913517705 615859181 /nfs/dbraw/zinc/85/91/81/615859181.db2.gz MKTXTHXEAUDROE-UHFFFAOYSA-N 0 1 297.358 0.683 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H](CO)c1ccccc1 ZINC000913523623 615859702 /nfs/dbraw/zinc/85/97/02/615859702.db2.gz GXVBBOSSOXGYEM-AWEZNQCLSA-N 0 1 260.337 0.444 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCC(=O)c2cccn21 ZINC000913521696 615860285 /nfs/dbraw/zinc/86/02/85/615860285.db2.gz JSVGXDBYDJMKIV-CYBMUJFWSA-N 0 1 273.336 0.687 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCCN1c1ncccn1 ZINC000913516490 615860393 /nfs/dbraw/zinc/86/03/93/615860393.db2.gz SBNZHSJIDSQQQZ-CYBMUJFWSA-N 0 1 287.367 0.127 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc2cccnc2[nH]1 ZINC000913523326 615860825 /nfs/dbraw/zinc/86/08/25/615860825.db2.gz VXDWIYJMTGFBPK-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)C1CN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000953771728 615899219 /nfs/dbraw/zinc/89/92/19/615899219.db2.gz XSSOSAPGMIESPB-UHFFFAOYSA-N 0 1 298.306 0.206 20 30 CCEDMN CN(C(=O)c1[nH]nc2c1CNCC2)[C@@H]1CCC[C@H]1C#N ZINC000877197969 615937190 /nfs/dbraw/zinc/93/71/90/615937190.db2.gz ZSMRNMJRVISJLJ-JOYOIKCWSA-N 0 1 273.340 0.820 20 30 CCEDMN CCn1cc(C(=O)N[C@H]2CNC[C@H]2C#N)c(C2CC2)n1 ZINC000870940125 615959728 /nfs/dbraw/zinc/95/97/28/615959728.db2.gz GAMBEXWDBVGTSC-PWSUYJOCSA-N 0 1 273.340 0.622 20 30 CCEDMN CN(C)c1ccc(C(N)=NOCC[C@@H]2CCNC2=O)cc1 ZINC000902217859 616013302 /nfs/dbraw/zinc/01/33/02/616013302.db2.gz IYPBWYCAFJWEIW-LBPRGKRZSA-N 0 1 290.367 0.916 20 30 CCEDMN CN(C)c1ccnc(CNS(=O)(=O)CCCC#N)c1 ZINC000921033067 616027650 /nfs/dbraw/zinc/02/76/50/616027650.db2.gz NZCSKAVLEVFRDE-UHFFFAOYSA-N 0 1 282.369 0.871 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)NCCCc1nc[nH]n1 ZINC000923132904 616050896 /nfs/dbraw/zinc/05/08/96/616050896.db2.gz GBTMEMGERNRISI-UHFFFAOYSA-N 0 1 264.333 0.928 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000820580151 616067508 /nfs/dbraw/zinc/06/75/08/616067508.db2.gz VIXUOHWZUBKIEN-JTQLQIEISA-N 0 1 253.302 0.298 20 30 CCEDMN C[N@@H+](CCNc1ccc(C#N)cc1CO)CCOCCO ZINC000895156653 616097533 /nfs/dbraw/zinc/09/75/33/616097533.db2.gz YQRJPRAPRUACLC-UHFFFAOYSA-N 0 1 293.367 0.403 20 30 CCEDMN CN(C[C@H](O)c1ccc(C#N)cc1)C[C@@H]1COCCO1 ZINC000844362255 616147390 /nfs/dbraw/zinc/14/73/90/616147390.db2.gz WSQAKCKECWAHOB-CABCVRRESA-N 0 1 276.336 0.939 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)[C@]1(C#N)CCCOC1 ZINC000869255935 616163603 /nfs/dbraw/zinc/16/36/03/616163603.db2.gz JZIUEOZFVNFSLL-ZDUSSCGKSA-N 0 1 251.330 0.327 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cccc(C#CCO)c2)CC1 ZINC000900608660 616165932 /nfs/dbraw/zinc/16/59/32/616165932.db2.gz OAWPQLBEZQAXBX-UHFFFAOYSA-N 0 1 297.358 0.748 20 30 CCEDMN CN1CCN(c2ccc(C=[NH+]NCC(=O)[O-])cc2)CC1 ZINC000814958756 616247213 /nfs/dbraw/zinc/24/72/13/616247213.db2.gz PIKUMHZFNKSVEX-UHFFFAOYSA-N 0 1 276.340 0.447 20 30 CCEDMN CN1CC[C@@H]([N@@H+](C)C[C@H](O)CC2(C#N)CCC2)C1=O ZINC000886017281 616272446 /nfs/dbraw/zinc/27/24/46/616272446.db2.gz WRGPSBJJSVYCHH-VXGBXAGGSA-N 0 1 265.357 0.594 20 30 CCEDMN CN(C[C@H](O)CC1(C#N)CCC1)[C@@H]1CCN(C)C1=O ZINC000886017281 616272450 /nfs/dbraw/zinc/27/24/50/616272450.db2.gz WRGPSBJJSVYCHH-VXGBXAGGSA-N 0 1 265.357 0.594 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)N1CCC2(CC1)CC(=O)C=CO2 ZINC000896619032 616290370 /nfs/dbraw/zinc/29/03/70/616290370.db2.gz IQXKZZSYRFRAFC-GFCCVEGCSA-N 0 1 293.367 0.738 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932890004 616294186 /nfs/dbraw/zinc/29/41/86/616294186.db2.gz XBTOOEWFZPHMQO-SFYZADRCSA-N 0 1 264.251 0.834 20 30 CCEDMN CN1C[C@@H](NC(=O)[C@@H]2NCCc3cc(C#N)ccc32)CC1=O ZINC000876629935 616295966 /nfs/dbraw/zinc/29/59/66/616295966.db2.gz XFQHKSWXIXBKJO-SWLSCSKDSA-N 0 1 298.346 0.092 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCc1cccc(C#N)c1 ZINC000900127625 616321462 /nfs/dbraw/zinc/32/14/62/616321462.db2.gz OIXVLRULNLXRBK-ZFWWWQNUSA-N 0 1 273.336 0.630 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)[C@]2(C#N)CCCOC2)C1 ZINC000865195421 616343537 /nfs/dbraw/zinc/34/35/37/616343537.db2.gz KAVVVXBMJWXJES-HIFRSBDPSA-N 0 1 295.383 0.534 20 30 CCEDMN CN1CC[C@H]1CNC(=O)Cc1cccc(OCC#N)c1 ZINC000928554687 616422647 /nfs/dbraw/zinc/42/26/47/616422647.db2.gz KFXIJVNJPWHRBE-ZDUSSCGKSA-N 0 1 273.336 0.952 20 30 CCEDMN CN1CCc2ccc(NC(=O)N[C@H]3CNC[C@H]3C#N)cc21 ZINC000841172780 616461442 /nfs/dbraw/zinc/46/14/42/616461442.db2.gz CYEBOBNKVOURKG-YPMHNXCESA-N 0 1 285.351 0.912 20 30 CCEDMN COC1(OC)CCOC[C@H]1NCCOCCC#N ZINC000931676445 616482093 /nfs/dbraw/zinc/48/20/93/616482093.db2.gz YOUDSZQFIBRLHM-LLVKDONJSA-N 0 1 258.318 0.284 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)C[C@@H](C)C1CC1 ZINC000920789761 616495898 /nfs/dbraw/zinc/49/58/98/616495898.db2.gz CGDWERSNWYGPFG-MWLCHTKSSA-N 0 1 252.314 0.894 20 30 CCEDMN COCCOc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834495790 616595459 /nfs/dbraw/zinc/59/54/59/616595459.db2.gz ZSJJZVWJFYIKNV-TZMCWYRMSA-N 0 1 289.335 0.553 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1N[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000934042939 616675143 /nfs/dbraw/zinc/67/51/43/616675143.db2.gz ZHLASNIRPRGHDJ-RGCMKSIDSA-N 0 1 297.399 0.823 20 30 CCEDMN COC(=O)c1ccc(C=N[N-]c2[nH+]ccn2C)n1C ZINC000814918417 616891318 /nfs/dbraw/zinc/89/13/18/616891318.db2.gz PDBBJVHZIDOPPJ-UHFFFAOYSA-N 0 1 261.285 0.991 20 30 CCEDMN COCc1nc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cs1 ZINC000834494248 616929926 /nfs/dbraw/zinc/92/99/26/616929926.db2.gz MFPIXXRRFCOQRM-JGVFFNPUSA-N 0 1 266.326 0.131 20 30 CCEDMN CO[C@H](C(=O)N[C@@H]1CNC[C@H]1C#N)C1CCCCC1 ZINC000852827274 616985406 /nfs/dbraw/zinc/98/54/06/616985406.db2.gz FLZTUYGKJRKOCY-UPJWGTAASA-N 0 1 265.357 0.809 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC1CC1)C1CCC1 ZINC000850758201 617006278 /nfs/dbraw/zinc/00/62/78/617006278.db2.gz AFEHITNKMYURQO-JQWIXIFHSA-N 0 1 250.298 0.789 20 30 CCEDMN CON=C(C(=O)N[C@H]1CC[N@@H+](C)[C@@H]1C)c1csc(N)n1 ZINC000928428798 617103241 /nfs/dbraw/zinc/10/32/41/617103241.db2.gz AABYKFRVEPPYMG-SFYZADRCSA-N 0 1 297.384 0.285 20 30 CCEDMN CON=CC(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000816498192 617103853 /nfs/dbraw/zinc/10/38/53/617103853.db2.gz KOCHCQKLYPNKPD-ZETCQYMHSA-N 0 1 254.246 0.113 20 30 CCEDMN CO[C@H]1CN(C)[C@@](C)(COC(=O)CSCC#N)C1 ZINC000861340549 617110777 /nfs/dbraw/zinc/11/07/77/617110777.db2.gz JMTVIBQTNKBDNP-ZYHUDNBSSA-N 0 1 272.370 0.896 20 30 CCEDMN CO[C@H]1COCC[C@H]1N(C)CC(=O)NC1(C#N)CCC1 ZINC000880431623 617115992 /nfs/dbraw/zinc/11/59/92/617115992.db2.gz CMYFHLCUBBDISE-NEPJUHHUSA-N 0 1 281.356 0.285 20 30 CCEDMN Cc1cc(C(=O)N2C[C@@H]3CC[C@H](NCC#N)[C@@H]3C2)n[nH]1 ZINC001026212466 625357719 /nfs/dbraw/zinc/35/77/19/625357719.db2.gz VEDWQSICIQNDBK-TUAOUCFPSA-N 0 1 273.340 0.682 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@@H]3CC[C@H](NCC#N)[C@H]3C2)[nH]1 ZINC001026219303 625360586 /nfs/dbraw/zinc/36/05/86/625360586.db2.gz LPGQZZNYNAYNHU-SRVKXCTJSA-N 0 1 273.340 0.682 20 30 CCEDMN Cc1cc(NC(=O)NC[C@@H]2CCN2C)nn1CCC#N ZINC000930634550 625394007 /nfs/dbraw/zinc/39/40/07/625394007.db2.gz TYBANPJIHUZCRR-NSHDSACASA-N 0 1 276.344 0.931 20 30 CCEDMN CN1CC(C(=O)N2C[C@@H]3CC[C@H](NCC#N)[C@H]3C2)=NC1=O ZINC001026349459 625408646 /nfs/dbraw/zinc/40/86/46/625408646.db2.gz FZEAIOIIUBZANZ-DCAQKATOSA-N 0 1 289.339 0.089 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001006657199 625463836 /nfs/dbraw/zinc/46/38/36/625463836.db2.gz MWKOUTJNAYJJHC-CYBMUJFWSA-N 0 1 261.325 0.700 20 30 CCEDMN Cc1nnc(CNC[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC001026732813 625579351 /nfs/dbraw/zinc/57/93/51/625579351.db2.gz NXSVMHDXLUZTSX-GXSJLCMTSA-N 0 1 277.328 0.476 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N1CCN(C)CCN(C)CC1 ZINC000888982320 622824682 /nfs/dbraw/zinc/82/46/82/622824682.db2.gz NWZMZNAVDUEDAQ-UHFFFAOYSA-N 0 1 289.383 0.514 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001023325300 623000883 /nfs/dbraw/zinc/00/08/83/623000883.db2.gz GHTQMPGZCVLENG-LBPRGKRZSA-N 0 1 273.336 0.862 20 30 CCEDMN C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024391059 623309509 /nfs/dbraw/zinc/30/95/09/623309509.db2.gz NYXRSXOXEJVVMU-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)C1=CCOCC1 ZINC001024407927 623313965 /nfs/dbraw/zinc/31/39/65/623313965.db2.gz TVWQEPGSCMXTMS-CQSZACIVSA-N 0 1 262.353 0.937 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024520833 623349917 /nfs/dbraw/zinc/34/99/17/623349917.db2.gz OCRCKEJIQHICBX-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024520833 623349918 /nfs/dbraw/zinc/34/99/18/623349918.db2.gz OCRCKEJIQHICBX-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN CN(C)c1ccc(C(N)=NOCc2ncnn2C)cc1 ZINC000177671620 623440880 /nfs/dbraw/zinc/44/08/80/623440880.db2.gz CAVYZSITHRRFOM-UHFFFAOYSA-N 0 1 274.328 0.718 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001024797018 623445064 /nfs/dbraw/zinc/44/50/64/623445064.db2.gz KZQKYEWCFUIZPR-GFCCVEGCSA-N 0 1 288.351 0.331 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001024797020 623445228 /nfs/dbraw/zinc/44/52/28/623445228.db2.gz KZQKYEWCFUIZPR-LBPRGKRZSA-N 0 1 288.351 0.331 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1CCCN(CC#N)C1 ZINC001006917634 623468212 /nfs/dbraw/zinc/46/82/12/623468212.db2.gz OWBSEGFCXDLONB-RYUDHWBXSA-N 0 1 250.346 0.185 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1CCCN(CC#N)C1 ZINC001006917631 623468730 /nfs/dbraw/zinc/46/87/30/623468730.db2.gz OWBSEGFCXDLONB-NWDGAFQWSA-N 0 1 250.346 0.185 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]([C@H](C)NC(=O)c2ccncn2)C1 ZINC001025565007 623830725 /nfs/dbraw/zinc/83/07/25/623830725.db2.gz OPCSEYSNXDTLKQ-STQMWFEESA-N 0 1 272.352 0.940 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CCC(O)CC1 ZINC001025761509 623880125 /nfs/dbraw/zinc/88/01/25/623880125.db2.gz MYMPFFKKYGOULK-QSCRHYGLSA-N 0 1 276.380 0.607 20 30 CCEDMN C[C@@H](OCC1CC1)C(=O)C(C#N)C(=O)NC1CC1 ZINC000120264459 624486421 /nfs/dbraw/zinc/48/64/21/624486421.db2.gz NSBDXUUDJRBBLB-KCJUWKMLSA-N 0 1 250.298 0.789 20 30 CCEDMN CC1CCN(CC(=O)N[C@H]2C[C@H](NCC#N)C2)CC1 ZINC001020802310 624604948 /nfs/dbraw/zinc/60/49/48/624604948.db2.gz ZQGNOATUDIYSEU-JOCQHMNTSA-N 0 1 264.373 0.479 20 30 CCEDMN N#CCCNC(=O)CN1CCCCCNC(=O)CC1 ZINC000934162804 625047865 /nfs/dbraw/zinc/04/78/65/625047865.db2.gz OCMVMDGHEYHORB-UHFFFAOYSA-N 0 1 266.345 0.008 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)c2cnsn2)C1 ZINC001027312461 625822437 /nfs/dbraw/zinc/82/24/37/625822437.db2.gz LBBSHMWYERUCCR-SNVBAGLBSA-N 0 1 264.354 0.708 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@H](N(C)CC#N)C2)n[nH]1 ZINC001027318822 625826338 /nfs/dbraw/zinc/82/63/38/625826338.db2.gz XRERLLWXXNOGFD-NSHDSACASA-N 0 1 261.329 0.778 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1COCCO1 ZINC001027764782 630901452 /nfs/dbraw/zinc/90/14/52/630901452.db2.gz IXBDIVBCJLJSLU-CHWSQXEVSA-N 0 1 266.341 0.006 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1nccnc1N ZINC001027799964 630928438 /nfs/dbraw/zinc/92/84/38/630928438.db2.gz OIPBWFDXRXZTAF-JTQLQIEISA-N 0 1 261.329 0.439 20 30 CCEDMN Cc1nc(CN2CCCN(C(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC000980849643 631068080 /nfs/dbraw/zinc/06/80/80/631068080.db2.gz MATXPHKLYVAQES-JTQLQIEISA-N 0 1 276.344 0.307 20 30 CCEDMN C[C@H](O)CN1CCCN(C(=O)C#CC2CC2)CC1 ZINC000981125305 631237029 /nfs/dbraw/zinc/23/70/29/631237029.db2.gz CVLMTILWBJYKHR-LBPRGKRZSA-N 0 1 250.342 0.315 20 30 CCEDMN O=C(C#CC1CC1)N1CCCN(CCCO)CC1 ZINC000981125680 631239259 /nfs/dbraw/zinc/23/92/59/631239259.db2.gz JHHIEZYXIGPRSK-UHFFFAOYSA-N 0 1 250.342 0.317 20 30 CCEDMN N#CCN1CCCN(C(=O)c2cc(C3CC3)[nH]n2)CC1 ZINC000982017645 631363291 /nfs/dbraw/zinc/36/32/91/631363291.db2.gz QAMPRDYPZKQWBU-UHFFFAOYSA-N 0 1 273.340 0.959 20 30 CCEDMN O=S1(=O)CC[C@](O)(CNCC#Cc2ccccc2)C1 ZINC000894681797 631811773 /nfs/dbraw/zinc/81/17/73/631811773.db2.gz KZMYBXKNQBJGIB-AWEZNQCLSA-N 0 1 279.361 0.177 20 30 CCEDMN CCN(CCC(=O)Nc1ccccc1C#N)CC(=O)NC ZINC000049024149 631877398 /nfs/dbraw/zinc/87/73/98/631877398.db2.gz XSYOILCKYURRCJ-UHFFFAOYSA-N 0 1 288.351 0.955 20 30 CCEDMN C[C@H](Nc1nccnc1C#N)[C@H]1CN(C)CCN1C ZINC000895245212 632031992 /nfs/dbraw/zinc/03/19/92/632031992.db2.gz DHQDIGSKAUBMJV-CMPLNLGQSA-N 0 1 260.345 0.394 20 30 CCEDMN N#Cc1ccc(CN[C@H]2C(=O)NCC23CCOCC3)cc1 ZINC000895774249 632150936 /nfs/dbraw/zinc/15/09/36/632150936.db2.gz XXIWLKMOSZZFEM-AWEZNQCLSA-N 0 1 285.347 0.943 20 30 CCEDMN C[C@H](NC(=O)CC(C)(C)C#N)[C@H]1CN(C)CCN1C ZINC000896541687 632274146 /nfs/dbraw/zinc/27/41/46/632274146.db2.gz HAZORPICCTZCLR-NWDGAFQWSA-N 0 1 266.389 0.677 20 30 CCEDMN C[C@@H](NC(=O)c1cccc(C#N)n1)[C@@H]1CN(C)CCN1C ZINC000896542072 632274655 /nfs/dbraw/zinc/27/46/55/632274655.db2.gz NQUJVMMALASNQT-RISCZKNCSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@H](NC(=O)c1cc(C#N)c[nH]1)[C@@H]1C[N@@H+](C)CCN1C ZINC000896555216 632285550 /nfs/dbraw/zinc/28/55/50/632285550.db2.gz IFUIHGACFUODJP-GWCFXTLKSA-N 0 1 275.356 0.250 20 30 CCEDMN C[C@H](NC(=O)c1cc(C#N)c[nH]1)[C@@H]1CN(C)CCN1C ZINC000896555216 632285555 /nfs/dbraw/zinc/28/55/55/632285555.db2.gz IFUIHGACFUODJP-GWCFXTLKSA-N 0 1 275.356 0.250 20 30 CCEDMN CN1CC[C@H]1CNC(=O)N1CCC2(CC1)CC(=O)C=CO2 ZINC000896619039 632300989 /nfs/dbraw/zinc/30/09/89/632300989.db2.gz IQXKZZSYRFRAFC-LBPRGKRZSA-N 0 1 293.367 0.738 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001007133103 650067310 /nfs/dbraw/zinc/06/73/10/650067310.db2.gz FUKCBYVPHABUTH-LLVKDONJSA-N 0 1 276.340 0.641 20 30 CCEDMN Cc1cc(C#N)nc(N2CC[C@H]3[C@H]2CCC[N@H+]3CCO)n1 ZINC000897222669 632426606 /nfs/dbraw/zinc/42/66/06/632426606.db2.gz WRJVQITVEWFFTL-UONOGXRCSA-N 0 1 287.367 0.692 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2CCCN(CCO)C2)c[nH]1 ZINC001007168727 650069629 /nfs/dbraw/zinc/06/96/29/650069629.db2.gz YYKURKXTUXAEEK-LLVKDONJSA-N 0 1 262.313 0.073 20 30 CCEDMN C[C@@H](C(N)=O)[N@@H+](C)C1CCN(C(=O)C#CC2CC2)CC1 ZINC000985429556 632477558 /nfs/dbraw/zinc/47/75/58/632477558.db2.gz QKCQIYVSIKJOGU-NSHDSACASA-N 0 1 277.368 0.196 20 30 CCEDMN CN(CC#N)C1CCN(C(=O)CCc2cnc[nH]2)CC1 ZINC000985461797 632490328 /nfs/dbraw/zinc/49/03/28/632490328.db2.gz LPRNIAHPRSBAPS-UHFFFAOYSA-N 0 1 275.356 0.789 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001007515194 650081076 /nfs/dbraw/zinc/08/10/76/650081076.db2.gz UIKDERCQZVHDTN-OAHLLOKOSA-N 0 1 288.395 0.875 20 30 CCEDMN N#CCC1CCN(C(=O)C2CN([C@H]3CCOC3)C2)CC1 ZINC000897353711 632607825 /nfs/dbraw/zinc/60/78/25/632607825.db2.gz NBQLZOGEGBTHTC-AWEZNQCLSA-N 0 1 277.368 0.859 20 30 CCEDMN C[C@]1(C#N)CCCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000897373351 632727584 /nfs/dbraw/zinc/72/75/84/632727584.db2.gz BYCUXIJTEJXUCP-UKRRQHHQSA-N 0 1 277.368 0.859 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CN2CCCCC2=O)C1 ZINC001007747520 650091016 /nfs/dbraw/zinc/09/10/16/650091016.db2.gz RFOJIPDQTGITBU-CQSZACIVSA-N 0 1 291.395 0.603 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cn(C)c(=O)cn2)C1 ZINC001007985608 650098932 /nfs/dbraw/zinc/09/89/32/650098932.db2.gz VITLMKNICBXXER-LLVKDONJSA-N 0 1 276.340 0.161 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CCN(C(=O)c3ccn[nH]3)C2)[nH]1 ZINC000937840272 633085714 /nfs/dbraw/zinc/08/57/14/633085714.db2.gz HCJCNWMGNGNLLJ-JTQLQIEISA-N 0 1 298.306 0.254 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)C2CN(C(C)=O)C2)C1 ZINC000988721673 633092417 /nfs/dbraw/zinc/09/24/17/633092417.db2.gz UZTVXHDDUXQKOJ-ZWNOBZJWSA-N 0 1 299.802 0.796 20 30 CCEDMN Cc1cnc(NC(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000841011307 633135881 /nfs/dbraw/zinc/13/58/81/633135881.db2.gz HSCMFIPKRNRTAR-SFYZADRCSA-N 0 1 251.315 0.685 20 30 CCEDMN C[C@@H]1CN(Cc2cnc3c(C#N)cnn3c2)C[C@@H]1O ZINC000897683822 633229859 /nfs/dbraw/zinc/22/98/59/633229859.db2.gz HMCFFVQGIUDSRO-SKDRFNHKSA-N 0 1 257.297 0.414 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2cc(Cl)ncc2C(=O)[O-])N1 ZINC000905460158 634867393 /nfs/dbraw/zinc/86/73/93/634867393.db2.gz KDCGYXWOHKYZFA-LURJTMIESA-N 0 1 281.703 0.704 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCN(C(C)=O)C2)CC1 ZINC000989568412 633328062 /nfs/dbraw/zinc/32/80/62/633328062.db2.gz DFSFRNDGLRFLQT-CQSZACIVSA-N 0 1 279.384 0.575 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)C3=COCCO3)CCC[C@@H]12 ZINC000989927911 633386166 /nfs/dbraw/zinc/38/61/66/633386166.db2.gz DYOUQKSELNJJMK-HIFRSBDPSA-N 0 1 276.336 0.621 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(NCc2ncnn2C)CC1 ZINC000990261334 633498136 /nfs/dbraw/zinc/49/81/36/633498136.db2.gz DPMOEYSYMXMCAS-SNVBAGLBSA-N 0 1 276.344 0.055 20 30 CCEDMN Cc1nc(CC(=O)N[C@]23CCC[C@H]2N(CC#N)CC3)n[nH]1 ZINC000990638064 633572335 /nfs/dbraw/zinc/57/23/35/633572335.db2.gz KYFVMZKCKOGNPQ-RISCZKNCSA-N 0 1 288.355 0.292 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC(N3CCCC3)C2)n1 ZINC000898605254 633629363 /nfs/dbraw/zinc/62/93/63/633629363.db2.gz RJAMBYXIVUOXRM-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CCCN(CCO)C2)c1 ZINC001008467766 650115720 /nfs/dbraw/zinc/11/57/20/650115720.db2.gz GVJJMLCTQCUQSB-CQSZACIVSA-N 0 1 273.336 0.249 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@]2(C)CCNC2=O)C1 ZINC001008516324 650118043 /nfs/dbraw/zinc/11/80/43/650118043.db2.gz HRABEMZAAAUSSJ-BXUZGUMPSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2ccnnc2)C1 ZINC001008638444 650121739 /nfs/dbraw/zinc/12/17/39/650121739.db2.gz BUIZJPUVBFXTFH-ZDUSSCGKSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ccnnc2)C1 ZINC001008638444 650121741 /nfs/dbraw/zinc/12/17/41/650121741.db2.gz BUIZJPUVBFXTFH-ZDUSSCGKSA-N 0 1 258.325 0.694 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(CCO)C2)cn1 ZINC001009278912 650141863 /nfs/dbraw/zinc/14/18/63/650141863.db2.gz XHRNCTDYLZEKPM-AWEZNQCLSA-N 0 1 273.336 0.249 20 30 CCEDMN O=C(C#CC1CC1)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907480724 635142579 /nfs/dbraw/zinc/14/25/79/635142579.db2.gz QPXLVXOUYOMCTF-VIFPVBQESA-N 0 1 263.326 0.230 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@@H]2CCN(CCn3cccn3)C2)c1 ZINC001010473113 650232235 /nfs/dbraw/zinc/23/22/35/650232235.db2.gz SSBGNSVRTNIRLJ-CYBMUJFWSA-N 0 1 298.350 0.587 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010631965 650248308 /nfs/dbraw/zinc/24/83/08/650248308.db2.gz VCHKIYPHBDMOCC-SNVBAGLBSA-N 0 1 264.329 0.804 20 30 CCEDMN N#C[C@]1(C(=O)N2CC[C@@H](N3CCC3)C2)CCCOC1 ZINC000912899763 636693295 /nfs/dbraw/zinc/69/32/95/636693295.db2.gz ARZWRXUQWAEATR-TZMCWYRMSA-N 0 1 263.341 0.613 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)N2CC[C@H](N3CCC3)C2)cc1 ZINC000912912116 636698955 /nfs/dbraw/zinc/69/89/55/636698955.db2.gz WXAFKOCLCGFCEM-LSDHHAIUSA-N 0 1 285.347 0.898 20 30 CCEDMN C[C@H](CC(=O)NCC#CCN(C)C)c1cnn(C)c1 ZINC000913523005 636832388 /nfs/dbraw/zinc/83/23/88/636832388.db2.gz JADJSLISGJWMKN-GFCCVEGCSA-N 0 1 262.357 0.595 20 30 CCEDMN Cc1oc(C(=O)NCC#CCN(C)C)cc1S(C)(=O)=O ZINC000913524361 636833294 /nfs/dbraw/zinc/83/32/94/636833294.db2.gz KESMPJDAHOFBJX-UHFFFAOYSA-N 0 1 298.364 0.286 20 30 CCEDMN Cc1cc(OCC(=O)NCC#CCN(C)C)no1 ZINC000913515991 636833607 /nfs/dbraw/zinc/83/36/07/636833607.db2.gz VVJLKZMRXUEDNG-UHFFFAOYSA-N 0 1 251.286 0.043 20 30 CCEDMN Cc1nc([N+](=O)[O-])cn1CCC(=O)NCC#CCN(C)C ZINC000913517930 636834641 /nfs/dbraw/zinc/83/46/41/636834641.db2.gz WJOBYQDVGKWNBN-UHFFFAOYSA-N 0 1 293.327 0.171 20 30 CCEDMN Cc1[nH]nc2ncc(C(=O)NCC#CCN(C)C)cc12 ZINC000913516854 636834783 /nfs/dbraw/zinc/83/47/83/636834783.db2.gz JJPYGHJLEZVTIN-UHFFFAOYSA-N 0 1 271.324 0.561 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2n[nH]c3c2CCC3)C1 ZINC001015071162 637344025 /nfs/dbraw/zinc/34/40/25/637344025.db2.gz TVOYKOZEQVHMQT-NSHDSACASA-N 0 1 272.352 0.726 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)NCCNc1ccc(C#N)cn1 ZINC000914123774 637517369 /nfs/dbraw/zinc/51/73/69/637517369.db2.gz CLPYZRBOEALDRT-GFCCVEGCSA-N 0 1 288.355 0.368 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001007133105 637641968 /nfs/dbraw/zinc/64/19/68/637641968.db2.gz FUKCBYVPHABUTH-NSHDSACASA-N 0 1 276.340 0.641 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@@H]1C ZINC000993008125 637662033 /nfs/dbraw/zinc/66/20/33/637662033.db2.gz AEBDMYAXZOZFDR-RYUDHWBXSA-N 0 1 288.351 0.788 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cc(C)nn2C)[C@H]1C ZINC000993587098 637822339 /nfs/dbraw/zinc/82/23/39/637822339.db2.gz BVXMHNPSNOBKOL-UKRRQHHQSA-N 0 1 288.395 0.873 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2c[nH]c(=O)cn2)[C@H]1C ZINC000993727960 637843166 /nfs/dbraw/zinc/84/31/66/637843166.db2.gz MQDKMNMPMWJYTQ-MNOVXSKESA-N 0 1 276.340 0.539 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CCCN(CCO)[C@@H]2C)c1 ZINC000993776910 637847753 /nfs/dbraw/zinc/84/77/53/637847753.db2.gz YBGRIDWQBVEGGC-DOMZBBRYSA-N 0 1 287.363 0.638 20 30 CCEDMN C[C@H]1[C@H](NC(=O)Cc2cnc[nH]2)CCCN1CC#N ZINC000993886001 637851863 /nfs/dbraw/zinc/85/18/63/637851863.db2.gz KYJVZPAVDNPWKH-CMPLNLGQSA-N 0 1 261.329 0.445 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2ncc[nH]2)[C@H]1C ZINC000994103699 637870326 /nfs/dbraw/zinc/87/03/26/637870326.db2.gz UBZTWBIVPOSLHX-NEPJUHHUSA-N 0 1 260.341 0.555 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2nc[nH]n2)[C@H]1C ZINC000994206073 637876177 /nfs/dbraw/zinc/87/61/77/637876177.db2.gz QBKSMJPZSBGLLK-VXGBXAGGSA-N 0 1 275.356 0.340 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)Cc2[nH]cnc2C)[C@@H]1C ZINC000994299312 637918760 /nfs/dbraw/zinc/91/87/60/637918760.db2.gz VRJVZSRXAVXPFV-QWHCGFSZSA-N 0 1 274.368 0.863 20 30 CCEDMN Cc1[nH]ncc1CN(C)S(=O)(=O)CC1(C#N)CC1 ZINC000918681716 638030075 /nfs/dbraw/zinc/03/00/75/638030075.db2.gz LRFGEARPUCMTPH-UHFFFAOYSA-N 0 1 268.342 0.784 20 30 CCEDMN C[C@@H]1CN(C(=O)c2cc(C3CC3)[nH]n2)C[C@H]1NCC#N ZINC000939187862 638326977 /nfs/dbraw/zinc/32/69/77/638326977.db2.gz DVUZHNDRMQGPRK-NOZJJQNGSA-N 0 1 273.340 0.861 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC001014390152 650445386 /nfs/dbraw/zinc/44/53/86/650445386.db2.gz XCTWOVUCCLIEFU-CYBMUJFWSA-N 0 1 260.341 0.176 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1C[C@@H](NCC#N)C(C)(C)C1 ZINC000995553873 638776376 /nfs/dbraw/zinc/77/63/76/638776376.db2.gz UMTOYRQMBKTIJV-VXGBXAGGSA-N 0 1 264.373 0.431 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@@H]1NC(=O)CN1CCCC1 ZINC000944944328 638784250 /nfs/dbraw/zinc/78/42/50/638784250.db2.gz MQSDIMYDFAPQQT-STQMWFEESA-N 0 1 264.373 0.432 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001014421867 650450202 /nfs/dbraw/zinc/45/02/02/650450202.db2.gz XYSCEVWAECNNPB-GFCCVEGCSA-N 0 1 289.383 0.923 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCC(NCC#N)CC1 ZINC000996729290 638830416 /nfs/dbraw/zinc/83/04/16/638830416.db2.gz NBJWCFDNXPCJFP-ZDUSSCGKSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001014441040 650452129 /nfs/dbraw/zinc/45/21/29/650452129.db2.gz PCZQSXMRKYZCEV-LLVKDONJSA-N 0 1 274.324 0.313 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc3nc(C)nn32)C1 ZINC001015986589 638861720 /nfs/dbraw/zinc/86/17/20/638861720.db2.gz NRVXZJCDRPXZQF-NSHDSACASA-N 0 1 286.339 0.423 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn3c(n2)CCC3)C1 ZINC001014478548 650454778 /nfs/dbraw/zinc/45/47/78/650454778.db2.gz HLCQACMVXOVSQD-NSHDSACASA-N 0 1 260.341 0.819 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H](C)c2ccnn2C)C1 ZINC001014473375 650455240 /nfs/dbraw/zinc/45/52/40/650455240.db2.gz RQVBSEOXPWVDLC-VXGBXAGGSA-N 0 1 262.357 0.900 20 30 CCEDMN C#CCN1CCC[C@@H](N(CC)C(=O)c2nnn(C)n2)CC1 ZINC000998087159 638894524 /nfs/dbraw/zinc/89/45/24/638894524.db2.gz FVRBKHIEHSTLRA-GFCCVEGCSA-N 0 1 290.371 0.160 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](NCc2nccn2C)C1 ZINC000998749394 638939215 /nfs/dbraw/zinc/93/92/15/638939215.db2.gz ZSDIFLZLZLMYRK-NWDGAFQWSA-N 0 1 275.356 0.660 20 30 CCEDMN CN(C(=O)[C@H]1CCCCN1C)C1CC(NCC#N)C1 ZINC000998993700 638953949 /nfs/dbraw/zinc/95/39/49/638953949.db2.gz HLARMXGXYPSFIR-WXRRBKDZSA-N 0 1 264.373 0.573 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC000999059539 638960707 /nfs/dbraw/zinc/96/07/07/638960707.db2.gz QWVDRZGKZSYBNI-ZJUUUORDSA-N 0 1 287.747 0.428 20 30 CCEDMN Cn1ccc(CN[C@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999126490 638962654 /nfs/dbraw/zinc/96/26/54/638962654.db2.gz FZQMBBJZHWXQSE-HNNXBMFYSA-N 0 1 286.379 0.914 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cn2nccn2)C1 ZINC000999257939 638970110 /nfs/dbraw/zinc/97/01/10/638970110.db2.gz RPSIWSIUQLOFQN-LLVKDONJSA-N 0 1 283.763 0.611 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC000999575013 638986795 /nfs/dbraw/zinc/98/67/95/638986795.db2.gz WYHASFDAWDYBEK-VXGBXAGGSA-N 0 1 299.802 0.846 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cccc(=O)[nH]2)CC1 ZINC001000552292 639117918 /nfs/dbraw/zinc/11/79/18/639117918.db2.gz ZOAPQDMJFXRPGD-UHFFFAOYSA-N 0 1 271.320 0.782 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2nnn(C)c2C)CC1 ZINC001000546948 639118362 /nfs/dbraw/zinc/11/83/62/639118362.db2.gz LMFXIHVYTJTUKT-UHFFFAOYSA-N 0 1 287.367 0.509 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnnc(C)c2)CC1 ZINC001000621374 639144347 /nfs/dbraw/zinc/14/43/47/639144347.db2.gz CCTZSKMWBUPYMI-UHFFFAOYSA-N 0 1 270.336 0.780 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2CCCNC2=O)CC1 ZINC001000800334 639168734 /nfs/dbraw/zinc/16/87/34/639168734.db2.gz KPLVDHQQKOMBPJ-AWEZNQCLSA-N 0 1 289.379 0.284 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cccc(=O)n2C)CC1 ZINC001001136569 639234514 /nfs/dbraw/zinc/23/45/14/639234514.db2.gz NTVHBMZGCXYTOY-UHFFFAOYSA-N 0 1 285.347 0.380 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCO[C@@H]2C)CC1 ZINC001001307373 639279055 /nfs/dbraw/zinc/27/90/55/639279055.db2.gz UAAAMZKXWGHLFN-OCCSQVGLSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2C[C@]23CCOC3)CC1 ZINC001001358347 639288163 /nfs/dbraw/zinc/28/81/63/639288163.db2.gz VYTGLWIWZVDMAG-HOCLYGCPSA-N 0 1 274.364 0.795 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001001386387 639293476 /nfs/dbraw/zinc/29/34/76/639293476.db2.gz MHMAMAJXIKBDOL-ZDUSSCGKSA-N 0 1 275.352 0.037 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCC1CCN(CCF)CC1 ZINC001001493059 639307484 /nfs/dbraw/zinc/30/74/84/639307484.db2.gz VEEGKEMVZGCIJK-HNNXBMFYSA-N 0 1 295.402 0.882 20 30 CCEDMN C#CCN1CCC(CNC(=O)c2cn(C)ccc2=O)CC1 ZINC001001618695 639320987 /nfs/dbraw/zinc/32/09/87/639320987.db2.gz ZIFVWAODIAECOY-UHFFFAOYSA-N 0 1 287.363 0.460 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC001002813931 639460982 /nfs/dbraw/zinc/46/09/82/639460982.db2.gz AJUWWFKADIHQSE-GFCCVEGCSA-N 0 1 287.367 0.934 20 30 CCEDMN N#Cc1ccc(Br)c(CNC[C@H](O)CO)c1 ZINC000922075425 639479688 /nfs/dbraw/zinc/47/96/88/639479688.db2.gz CGGFIXAACWHEBU-JTQLQIEISA-N 0 1 285.141 0.764 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@@H]2CCC(=O)NC2)CC1 ZINC001003002040 639493249 /nfs/dbraw/zinc/49/32/49/639493249.db2.gz TUEUOANRDLLJAV-ZDUSSCGKSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cnccc2OC)CC1 ZINC001003134134 639509914 /nfs/dbraw/zinc/50/99/14/639509914.db2.gz LXWXFFKUNNMJNV-UHFFFAOYSA-N 0 1 287.363 0.847 20 30 CCEDMN CC1(C)CCN(CC(=O)NC2CCN(CC#N)CC2)C1 ZINC001003627969 639579369 /nfs/dbraw/zinc/57/93/69/639579369.db2.gz KJTUHXXOSDEWAZ-UHFFFAOYSA-N 0 1 278.400 0.822 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccc(F)cn2)C1 ZINC001014976370 650509983 /nfs/dbraw/zinc/50/99/83/650509983.db2.gz IREPUHJYDFZFJE-CQSZACIVSA-N 0 1 275.327 0.977 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@H]2CCC(=O)N2)CC1 ZINC001003785490 639614844 /nfs/dbraw/zinc/61/48/44/639614844.db2.gz RYNFBDWLODRYQO-CYBMUJFWSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cncc(C(N)=O)c2)CC1 ZINC001004170644 639709687 /nfs/dbraw/zinc/70/96/87/639709687.db2.gz TWEMNQXFXLRBKO-UHFFFAOYSA-N 0 1 286.335 0.008 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn3cccnc3n2)C1 ZINC001015083384 650526648 /nfs/dbraw/zinc/52/66/48/650526648.db2.gz HUUKFGIJKPLSJK-NSHDSACASA-N 0 1 271.324 0.719 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccnc3c2CC(=O)N3)C1 ZINC001015095924 650528437 /nfs/dbraw/zinc/52/84/37/650528437.db2.gz DCXHNXVIYZLWHW-LLVKDONJSA-N 0 1 298.346 0.404 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000186957618 639869579 /nfs/dbraw/zinc/86/95/79/639869579.db2.gz OSQBKBWWTHVHLQ-CYBMUJFWSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCCOC2)CC1 ZINC001005173782 639884295 /nfs/dbraw/zinc/88/42/95/639884295.db2.gz GUHRPABEDULWJZ-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2ccnn2C)CC1 ZINC001005328571 639901315 /nfs/dbraw/zinc/90/13/15/639901315.db2.gz OVFTWJRQUQYTSL-UHFFFAOYSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2nccc(C)n2)CC1 ZINC001005331550 639902040 /nfs/dbraw/zinc/90/20/40/639902040.db2.gz QFDCPBOKFLNZRZ-UHFFFAOYSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@@H]2CCC(=O)NC2)CC1 ZINC001005494613 639912714 /nfs/dbraw/zinc/91/27/14/639912714.db2.gz YUZJHALYQAEANQ-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC([C@@H](C)NC(C)=O)C2)CC1 ZINC001005543710 639921748 /nfs/dbraw/zinc/92/17/48/639921748.db2.gz RZQHTKNKSIKBLP-GFCCVEGCSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CC(=O)N[C@H](C)C2)CC1 ZINC001005643757 639946631 /nfs/dbraw/zinc/94/66/31/639946631.db2.gz NUCSLZOIWTVJMZ-OLZOCXBDSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001015196764 650537675 /nfs/dbraw/zinc/53/76/75/650537675.db2.gz VWJMTHVPVMMTIF-RYUDHWBXSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@@H]2CCCC(=O)N2)CC1 ZINC001005693462 639952488 /nfs/dbraw/zinc/95/24/88/639952488.db2.gz IPYDPJCXUMWPEK-ZDUSSCGKSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001015226459 650540898 /nfs/dbraw/zinc/54/08/98/650540898.db2.gz VCFUFQRMRGFFII-NSHDSACASA-N 0 1 274.324 0.313 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@]2(C)CCNC2=O)CC1 ZINC001005984790 640053712 /nfs/dbraw/zinc/05/37/12/640053712.db2.gz ANNLFAOZUMZWLK-HNNXBMFYSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3nccn3c2)C1 ZINC001015278091 650548965 /nfs/dbraw/zinc/54/89/65/650548965.db2.gz KMUYZDQIVLGHGF-LBPRGKRZSA-N 0 1 271.324 0.719 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2ncc3cccnc32)C1 ZINC001015303615 650552225 /nfs/dbraw/zinc/55/22/25/650552225.db2.gz OZFJNLNAGPOTHU-CYBMUJFWSA-N 0 1 285.351 0.808 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001015358760 650558549 /nfs/dbraw/zinc/55/85/49/650558549.db2.gz XXJJHIUQZRDYRZ-LBPRGKRZSA-N 0 1 275.356 0.054 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]nc2[C@H]2CCOC2)C1 ZINC001015403144 650562462 /nfs/dbraw/zinc/56/24/62/650562462.db2.gz AFJIVOLDXAIUQY-NWDGAFQWSA-N 0 1 290.367 0.904 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3c(c2)ncn3C)C1 ZINC001015490958 650572551 /nfs/dbraw/zinc/57/25/51/650572551.db2.gz BPVGMFDYVSYBIW-GFCCVEGCSA-N 0 1 285.351 0.958 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)Cc2ccccc2C(N)=O)C1 ZINC001015488787 650572575 /nfs/dbraw/zinc/57/25/75/650572575.db2.gz RTWBVDJQAZRJDU-CQSZACIVSA-N 0 1 299.374 0.542 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccccc2C(N)=O)C1 ZINC001015488787 650572576 /nfs/dbraw/zinc/57/25/76/650572576.db2.gz RTWBVDJQAZRJDU-CQSZACIVSA-N 0 1 299.374 0.542 20 30 CCEDMN NC(=O)c1cccc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)c1 ZINC000755813722 640337586 /nfs/dbraw/zinc/33/75/86/640337586.db2.gz HAILXGCVNRPIMS-BETUJISGSA-N 0 1 285.351 0.979 20 30 CCEDMN C[C@@H]1C[C@H](C)[C@H](C(=O)C(C#N)C(=O)NC2CC2)O1 ZINC000800384504 640611164 /nfs/dbraw/zinc/61/11/64/640611164.db2.gz DMNHBXTVFPBNNT-AHKPZJBNSA-N 0 1 250.298 0.787 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NCCN1CCC[C@@H]1C ZINC000800936235 640626000 /nfs/dbraw/zinc/62/60/00/640626000.db2.gz HYLRZYZNHMDUHE-RYUDHWBXSA-N 0 1 288.417 0.539 20 30 CCEDMN C[C@@H](C#N)OCCN1CCN(C[C@H]2CCCO2)CC1 ZINC000801910710 640672851 /nfs/dbraw/zinc/67/28/51/640672851.db2.gz VUNNBNLEXUCJHQ-UONOGXRCSA-N 0 1 267.373 0.712 20 30 CCEDMN C[C@@H](C#N)OCCN1CCC[C@H]1C(=O)NC1CC1 ZINC000801921066 640674551 /nfs/dbraw/zinc/67/45/51/640674551.db2.gz XAOFUCKMKJUDLM-JQWIXIFHSA-N 0 1 251.330 0.658 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000801940459 640678951 /nfs/dbraw/zinc/67/89/51/640678951.db2.gz AXFGNXFRGBYUBM-CMPLNLGQSA-N 0 1 266.345 0.451 20 30 CCEDMN C[C@H](C#N)OCCN1CC[N@@H+](CC(C)(C)O)[C@H](C)C1 ZINC000801946264 640680775 /nfs/dbraw/zinc/68/07/75/640680775.db2.gz HIKSOYKENZAZBL-CHWSQXEVSA-N 0 1 269.389 0.692 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001015685579 650609071 /nfs/dbraw/zinc/60/90/71/650609071.db2.gz JCPHEXLSGNBTDQ-NEPJUHHUSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccc3ncn(C)c3n2)C1 ZINC001015697829 650610935 /nfs/dbraw/zinc/61/09/35/650610935.db2.gz BGZHRUPSUVNNHC-GFCCVEGCSA-N 0 1 297.362 0.796 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001015731069 650617934 /nfs/dbraw/zinc/61/79/34/650617934.db2.gz IIXVDTJZNOKTTR-LPWJVIDDSA-N 0 1 250.342 0.930 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc3cccnn32)C1 ZINC001015805901 650632422 /nfs/dbraw/zinc/63/24/22/650632422.db2.gz MVAAICCFZWIUSO-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN Cn1ncc(C=[NH+][N-]c2cncc(Cl)n2)c1N ZINC000814797690 641075644 /nfs/dbraw/zinc/07/56/44/641075644.db2.gz OEYOYMBPAICHCU-UHFFFAOYSA-N 0 1 251.681 0.892 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(COC)on2)C1 ZINC001015803238 650632783 /nfs/dbraw/zinc/63/27/83/650632783.db2.gz JVNQZCBPUMFCOM-NSHDSACASA-N 0 1 277.324 0.648 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CCN(CC=C)C2)nn1 ZINC001015817899 650634650 /nfs/dbraw/zinc/63/46/50/650634650.db2.gz QWBBNUJUSCURBG-GFCCVEGCSA-N 0 1 275.356 0.844 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(CCC)nn2)C1 ZINC001015914130 650655227 /nfs/dbraw/zinc/65/52/27/650655227.db2.gz VSQUFAFQRUASRM-GFCCVEGCSA-N 0 1 275.356 0.516 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@]2(C)CCN(C(C)=O)C2)C1 ZINC001015961614 650663579 /nfs/dbraw/zinc/66/35/79/650663579.db2.gz HXWCEMCLLNLEEZ-ZFWWWQNUSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn([C@@H]3CCOC3)nn2)C1 ZINC001015959384 650664165 /nfs/dbraw/zinc/66/41/65/650664165.db2.gz FEGFDVMMXXZKLP-VXGBXAGGSA-N 0 1 291.355 0.230 20 30 CCEDMN C=CCOc1cccnc1C(=O)N[C@H]1CCN(CCO)C1 ZINC001015968743 650666612 /nfs/dbraw/zinc/66/66/12/650666612.db2.gz GYSYIEHMQSIENE-LBPRGKRZSA-N 0 1 291.351 0.443 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2[nH]cnc2CC)C1 ZINC001016136788 650688303 /nfs/dbraw/zinc/68/83/03/650688303.db2.gz OXNOIMBROHKYSA-NSHDSACASA-N 0 1 260.341 0.800 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2nc(C3CC3)nn2C)C1 ZINC001016298528 650713813 /nfs/dbraw/zinc/71/38/13/650713813.db2.gz TYSDDXBSEGXSPL-GFCCVEGCSA-N 0 1 289.383 0.612 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(OCC)cn2)C1 ZINC001016418707 650728565 /nfs/dbraw/zinc/72/85/65/650728565.db2.gz ANRHZTRVRABHLJ-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC001016434285 650730637 /nfs/dbraw/zinc/73/06/37/650730637.db2.gz WMHLHPIPXKAJEU-STQMWFEESA-N 0 1 267.373 0.084 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CC[C@H]1CCCCO1 ZINC000834488500 642577907 /nfs/dbraw/zinc/57/79/07/642577907.db2.gz CPBVYOLTWZVRIL-IJLUTSLNSA-N 0 1 251.330 0.563 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)ccc1-n1cncn1 ZINC000834489156 642579693 /nfs/dbraw/zinc/57/96/93/642579693.db2.gz KCCPFKJYONODRO-OLZOCXBDSA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC000834489192 642580323 /nfs/dbraw/zinc/58/03/23/642580323.db2.gz KOBZYTNEHJZPIF-IRUJWGPZSA-N 0 1 275.283 0.357 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCCC1CCOCC1 ZINC000834499165 642587697 /nfs/dbraw/zinc/58/76/97/642587697.db2.gz FIFVTUCZYKUVCO-CHWSQXEVSA-N 0 1 265.357 0.811 20 30 CCEDMN Cc1noc(Cl)c1CCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834495720 642587774 /nfs/dbraw/zinc/58/77/74/642587774.db2.gz YLFTYCWLTXBFHQ-WPRPVWTQSA-N 0 1 282.731 0.797 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1noc2c1CCCCC2 ZINC000834499731 642588035 /nfs/dbraw/zinc/58/80/35/642588035.db2.gz MDPIGMIEUGJEBU-MWLCHTKSSA-N 0 1 274.324 0.785 20 30 CCEDMN Cc1nn(C)c2ncc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc12 ZINC000834501045 642590086 /nfs/dbraw/zinc/59/00/86/642590086.db2.gz ZBRRWRWHQVSCFU-CMPLNLGQSA-N 0 1 284.323 0.118 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000834506636 642593679 /nfs/dbraw/zinc/59/36/79/642593679.db2.gz UOKXPTXXDRVGSC-MWLCHTKSSA-N 0 1 260.253 0.436 20 30 CCEDMN Cc1ccccc1OCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834510951 642595496 /nfs/dbraw/zinc/59/54/96/642595496.db2.gz HRDZKYIRVQKFKG-NEPJUHHUSA-N 0 1 259.309 0.602 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1COc2ccccc2O1 ZINC000834510907 642595719 /nfs/dbraw/zinc/59/57/19/642595719.db2.gz HDJQFDKDVVTOTD-BREBYQMCSA-N 0 1 273.292 0.054 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc2c[nH]nc21 ZINC000834515657 642598877 /nfs/dbraw/zinc/59/88/77/642598877.db2.gz AREQHOAYVUDGCD-KOLCDFICSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccnc(Cl)c1 ZINC000834515904 642598887 /nfs/dbraw/zinc/59/88/87/642598887.db2.gz DDYSTXSBSLZDPN-RKDXNWHRSA-N 0 1 250.689 0.576 20 30 CCEDMN Cc1cc(C)n(CCC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000834517632 642599814 /nfs/dbraw/zinc/59/98/14/642599814.db2.gz XVVNVVOCZUYHMP-VXGBXAGGSA-N 0 1 261.329 0.118 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(Cn2cncn2)cc1 ZINC000834516679 642600102 /nfs/dbraw/zinc/60/01/02/642600102.db2.gz KOPSJLBQVGSTHX-ZIAGYGMSSA-N 0 1 296.334 0.168 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccc2c(c1)CCO2 ZINC000834517463 642600373 /nfs/dbraw/zinc/60/03/73/642600373.db2.gz VVQSZAOWUKNQPK-QWHCGFSZSA-N 0 1 271.320 0.392 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1cnn(-c2ccccc2)n1 ZINC000841167410 642805491 /nfs/dbraw/zinc/80/54/91/642805491.db2.gz HMSPQATUYIQQMB-PWSUYJOCSA-N 0 1 297.322 0.500 20 30 CCEDMN O=C([O-])c1cccc2c1CC(N=NC1=[NH+]CCN1)CC2 ZINC000841660555 642828417 /nfs/dbraw/zinc/82/84/17/642828417.db2.gz RGUXYGRFBFIASZ-UHFFFAOYSA-N 0 1 272.308 0.778 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cc(C)cn1 ZINC001017394261 650799122 /nfs/dbraw/zinc/79/91/22/650799122.db2.gz DIASLPLGERHQCX-GASCZTMLSA-N 0 1 286.379 0.890 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cncnc1 ZINC001017510302 650807107 /nfs/dbraw/zinc/80/71/07/650807107.db2.gz HJQAJDDHHWFOKZ-GASCZTMLSA-N 0 1 284.363 0.718 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cn1ccc(C(F)(F)F)n1 ZINC000843459711 643062713 /nfs/dbraw/zinc/06/27/13/643062713.db2.gz GRFRWUMEALJVCU-JGVFFNPUSA-N 0 1 287.245 0.130 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CSc1ccc(O)cc1 ZINC000843461202 643064754 /nfs/dbraw/zinc/06/47/54/643064754.db2.gz SGMKNBXQOASJTO-SKDRFNHKSA-N 0 1 277.349 0.712 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1(c2ccccc2)CCOCC1 ZINC000843463394 643067788 /nfs/dbraw/zinc/06/77/88/643067788.db2.gz WJUXHEGCAIAKAT-UKRRQHHQSA-N 0 1 299.374 0.963 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)NC1 ZINC001017699230 650817835 /nfs/dbraw/zinc/81/78/35/650817835.db2.gz QKOFOBYSYDVILE-MCIONIFRSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nnc1C ZINC001017787344 650822515 /nfs/dbraw/zinc/82/25/15/650822515.db2.gz RIPHOLCXFLNNDJ-TXEJJXNPSA-N 0 1 273.340 0.425 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(=O)n1C ZINC001017799904 650823705 /nfs/dbraw/zinc/82/37/05/650823705.db2.gz SPVWKKWZOTXGDM-TXEJJXNPSA-N 0 1 288.351 0.438 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc(-n2cc[nH]c2=O)c1 ZINC000846677035 643441591 /nfs/dbraw/zinc/44/15/91/643441591.db2.gz JLXGPTGBNCUGER-AAEUAGOBSA-N 0 1 297.318 0.419 20 30 CCEDMN C[C@H](Oc1ccccc1C#N)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000846677389 643441774 /nfs/dbraw/zinc/44/17/74/643441774.db2.gz MLKWDDKTIWZBOP-DRZSPHRISA-N 0 1 284.319 0.553 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CN2CCCC2=O)C1 ZINC001018486183 650869421 /nfs/dbraw/zinc/86/94/21/650869421.db2.gz XCBUUDSDWIVQER-NSHDSACASA-N 0 1 285.775 0.552 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(C[C@@H]2C[C@H]3C[C@H]3C2)CC1 ZINC000851872469 643892952 /nfs/dbraw/zinc/89/29/52/643892952.db2.gz DLXZSWBSTXXVDG-BTTYYORXSA-N 0 1 297.424 0.118 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1coc(-c2cccnc2)n1 ZINC000852828169 644013609 /nfs/dbraw/zinc/01/36/09/644013609.db2.gz URSBKGIGWCNYGS-WDEREUQCSA-N 0 1 283.291 0.578 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1coc(-c2cccnc2)n1 ZINC000852828167 644013765 /nfs/dbraw/zinc/01/37/65/644013765.db2.gz URSBKGIGWCNYGS-MNOVXSKESA-N 0 1 283.291 0.578 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1coc(-c2cccnc2)n1 ZINC000852828168 644013956 /nfs/dbraw/zinc/01/39/56/644013956.db2.gz URSBKGIGWCNYGS-QWRGUYRKSA-N 0 1 283.291 0.578 20 30 CCEDMN Cn1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(-c2ccco2)n1 ZINC000852875735 644038086 /nfs/dbraw/zinc/03/80/86/644038086.db2.gz OOMUSOVDBKWZFD-MWLCHTKSSA-N 0 1 285.307 0.521 20 30 CCEDMN Cc1ncoc1CN[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001018631764 650887193 /nfs/dbraw/zinc/88/71/93/650887193.db2.gz KBBYLIPHGUTXIV-KOLCDFICSA-N 0 1 262.313 0.833 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NCc2ccccn2)C1 ZINC001018632608 650887329 /nfs/dbraw/zinc/88/73/29/650887329.db2.gz PCHDWQYMTOIDFA-YPMHNXCESA-N 0 1 258.325 0.932 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC001018818474 650911537 /nfs/dbraw/zinc/91/15/37/650911537.db2.gz GSPPNUPJLSTMMK-VIFPVBQESA-N 0 1 254.721 0.966 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn(C)c(=O)cn2)C1 ZINC001019166315 650942854 /nfs/dbraw/zinc/94/28/54/650942854.db2.gz ACSRFTBPRJHKLM-JTQLQIEISA-N 0 1 296.758 0.337 20 30 CCEDMN Cc1ccn(C[C@@H](C)C(=O)NC2(C#N)CCN(C)CC2)n1 ZINC000865199112 645338329 /nfs/dbraw/zinc/33/83/29/645338329.db2.gz OZKDLXLYCPOLHR-GFCCVEGCSA-N 0 1 289.383 0.932 20 30 CCEDMN O=S(=O)(NCC#CCO)c1ccc(Br)o1 ZINC000867467620 645617632 /nfs/dbraw/zinc/61/76/32/645617632.db2.gz RPAMTQRBDZEOHK-UHFFFAOYSA-N 0 1 294.126 0.316 20 30 CCEDMN N#C[C@@]1(C(=O)NC[C@H]2CCCC[N@@H+]2CCO)CCCOC1 ZINC000868637548 645798579 /nfs/dbraw/zinc/79/85/79/645798579.db2.gz YPJHAKMBQUQFAX-HIFRSBDPSA-N 0 1 295.383 0.270 20 30 CCEDMN N#C[C@@]1(C(=O)NC[C@H]2CCCCN2CCO)CCCOC1 ZINC000868637548 645798582 /nfs/dbraw/zinc/79/85/82/645798582.db2.gz YPJHAKMBQUQFAX-HIFRSBDPSA-N 0 1 295.383 0.270 20 30 CCEDMN N#CCc1ccsc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000870939918 646054524 /nfs/dbraw/zinc/05/45/24/646054524.db2.gz CWSFHACHXHGVIK-ZJUUUORDSA-N 0 1 260.322 0.656 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(N)ccc1Cl ZINC000870941684 646055300 /nfs/dbraw/zinc/05/53/00/646055300.db2.gz YCROCSGLTZRHJB-RDDDGLTNSA-N 0 1 264.716 0.764 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCCOC1CCOCC1 ZINC000870941773 646055538 /nfs/dbraw/zinc/05/55/38/646055538.db2.gz ZLLCAUPSGWXNQQ-WCQYABFASA-N 0 1 281.356 0.190 20 30 CCEDMN C[C@H](C#N)OCCN(C)[C@@H]1CCCCN(C)C1=O ZINC000872265855 646233745 /nfs/dbraw/zinc/23/37/45/646233745.db2.gz MSYGTIWQOGGCQI-VXGBXAGGSA-N 0 1 253.346 0.858 20 30 CCEDMN Fc1cnccc1NN=Cc1cn(C[C@H]2CCOC2)nn1 ZINC000872388858 646254960 /nfs/dbraw/zinc/25/49/60/646254960.db2.gz ZMCFSRODWXXZLL-SNVBAGLBSA-N 0 1 290.302 0.717 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cncc(N2CCCC2=O)c1 ZINC000876802948 646670776 /nfs/dbraw/zinc/67/07/76/646670776.db2.gz SVPVKYQTFXCHMR-YPMHNXCESA-N 0 1 299.334 0.050 20 30 CCEDMN Cc1ccc(-c2nn(C)cc2C(=O)N[C@@H]2CNC[C@H]2C#N)o1 ZINC000876802791 646670850 /nfs/dbraw/zinc/67/08/50/646670850.db2.gz RXJZIURWNYGEKW-ZYHUDNBSSA-N 0 1 299.334 0.830 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCCC2CC2)CC1 ZINC000878315054 646890954 /nfs/dbraw/zinc/89/09/54/646890954.db2.gz DYYYXHLDWDOVIX-UHFFFAOYSA-N 0 1 285.413 0.262 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCO[C@@H](C#N)C1 ZINC000878752838 646973375 /nfs/dbraw/zinc/97/33/75/646973375.db2.gz UBWSEEBUVHPZTF-GBIKHYSHSA-N 0 1 274.324 0.827 20 30 CCEDMN C[C@H](NCC(=O)N[C@](C)(C#N)C1CC1)c1ccnn1C ZINC000879683159 647092735 /nfs/dbraw/zinc/09/27/35/647092735.db2.gz NBEPPTHZDFXHNQ-IINYFYTJSA-N 0 1 275.356 0.879 20 30 CCEDMN C[C@@H]1CC[C@H](CO)N1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000880440949 647200881 /nfs/dbraw/zinc/20/08/81/647200881.db2.gz MBZKINRVIJQMEZ-MPKXVKKWSA-N 0 1 265.357 0.640 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCCC(=O)N2C)CC1 ZINC001006357391 647576230 /nfs/dbraw/zinc/57/62/30/647576230.db2.gz WMWPZHUAJVKVCW-AWEZNQCLSA-N 0 1 291.395 0.553 20 30 CCEDMN N#CC1(C[C@H](O)CN2C[C@@H]3C[C@H]2C[S@@]3=O)CCC1 ZINC000886268942 647947731 /nfs/dbraw/zinc/94/77/31/647947731.db2.gz GDJNUVOBBMWOLI-YEGJMSHXSA-N 0 1 268.382 0.636 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CCO[C@@](C)(C#N)C1 ZINC000887680401 648140093 /nfs/dbraw/zinc/14/00/93/648140093.db2.gz FBJZEJINUOZYLQ-JSGCOSHPSA-N 0 1 281.356 0.096 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)c2cc(Br)[nH]n2)CCO1 ZINC000887684649 648141443 /nfs/dbraw/zinc/14/14/43/648141443.db2.gz MDRRZTXKNUDVCU-SNVBAGLBSA-N 0 1 299.128 0.927 20 30 CCEDMN N#CC[C@@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(F)(F)F ZINC000928802520 648662144 /nfs/dbraw/zinc/66/21/44/648662144.db2.gz IALZMXGHQAZDKW-HSUXUTPPSA-N 0 1 251.164 0.612 20 30 CCEDMN N#CC[C@@H](O)CN(CCO)CCOCC(F)(F)F ZINC000929630228 648770712 /nfs/dbraw/zinc/77/07/12/648770712.db2.gz ZHWIXQGHXOIGKQ-SECBINFHSA-N 0 1 270.251 0.134 20 30 CCEDMN N#CC[C@@H](O)CN(CC1CC1)[C@H](C(N)=O)C1CC1 ZINC000931531604 649002220 /nfs/dbraw/zinc/00/22/20/649002220.db2.gz GXWKQRYAABZKFT-NEPJUHHUSA-N 0 1 251.330 0.237 20 30 CCEDMN N#CC[C@@H](O)CN1CCC(N2CCCOC2=O)CC1 ZINC000933619192 649130207 /nfs/dbraw/zinc/13/02/07/649130207.db2.gz XDKPHZOHZPAUEL-GFCCVEGCSA-N 0 1 267.329 0.568 20 30 CCEDMN N#Cc1c(F)cccc1S(=O)(=O)NCCc1nc[nH]n1 ZINC000080624046 649558009 /nfs/dbraw/zinc/55/80/09/649558009.db2.gz AMURCDAQPFUTEW-UHFFFAOYSA-N 0 1 295.299 0.336 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ncn(C)n2)C1 ZINC001007270692 649739371 /nfs/dbraw/zinc/73/93/71/649739371.db2.gz CBKVDZHFHYHOQU-LLVKDONJSA-N 0 1 261.329 0.033 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000249373855 649745184 /nfs/dbraw/zinc/74/51/84/649745184.db2.gz GFSQQMSTWDWSOB-NWDGAFQWSA-N 0 1 260.341 0.727 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnn(C)c1 ZINC001017406329 649841763 /nfs/dbraw/zinc/84/17/63/649841763.db2.gz UKHAUIRFMRXCLK-GASCZTMLSA-N 0 1 286.379 0.661 20 30 CCEDMN COc1cc(N2C[C@H]3OCCN(C)[C@H]3C2)ncc1C#N ZINC001164671223 719370428 /nfs/dbraw/zinc/37/04/28/719370428.db2.gz VMCRMPHWWGEYIP-WCQYABFASA-N 0 1 274.324 0.481 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nccc(F)c3C#N)C[C@H]21 ZINC001164671931 719402272 /nfs/dbraw/zinc/40/22/72/719402272.db2.gz ZXTIBKVRETZFRK-VXGBXAGGSA-N 0 1 262.288 0.612 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncc4c(C#N)c[nH]c4n3)C[C@@H]21 ZINC001164670617 719420115 /nfs/dbraw/zinc/42/01/15/719420115.db2.gz PBLXOEQGIIBAHY-RYUDHWBXSA-N 0 1 284.323 0.349 20 30 CCEDMN Cc1cnc(N2C[C@H]3OCCN(C)[C@H]3C2)c(C=NO)c1 ZINC001164675053 719420441 /nfs/dbraw/zinc/42/04/41/719420441.db2.gz YGFPGPIEXZUTHE-QWHCGFSZSA-N 0 1 276.340 0.717 20 30 CCEDMN Cc1nc(N2C[C@@H]3[C@H](C2)OCCN3C)cnc1C#N ZINC001164668770 719423031 /nfs/dbraw/zinc/42/30/31/719423031.db2.gz KTPLKLJNXRNVQC-NEPJUHHUSA-N 0 1 259.313 0.176 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ccc4[nH]c(C#N)cc4n3)C[C@@H]21 ZINC001164672974 719430433 /nfs/dbraw/zinc/43/04/33/719430433.db2.gz SCIMRUBFJZHWAW-UONOGXRCSA-N 0 1 283.335 0.954 20 30 CCEDMN Cc1cc(C#N)cc(N2C[C@H]3OCCN(C)[C@H]3C2)n1 ZINC000377996024 719469821 /nfs/dbraw/zinc/46/98/21/719469821.db2.gz WZQUQFVNXBRTNK-QWHCGFSZSA-N 0 1 258.325 0.781 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1CCc2c[nH]nc2C1 ZINC001230405883 805600453 /nfs/dbraw/zinc/60/04/53/805600453.db2.gz YFECBTAJSKIFSN-GFCCVEGCSA-N 0 1 275.356 0.131 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@H]2CCCCO2)CC1 ZINC001266259444 790345966 /nfs/dbraw/zinc/34/59/66/790345966.db2.gz DCYSCSYWXKRKIF-OAHLLOKOSA-N 0 1 295.427 0.865 20 30 CCEDMN COCC#CCN(C)CCNC(=O)Cc1ccc(C)o1 ZINC001266267477 790359437 /nfs/dbraw/zinc/35/94/37/790359437.db2.gz FHFSRMAGBRYCDK-UHFFFAOYSA-N 0 1 278.352 0.828 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)CCOCC)CC1 ZINC001266281322 790391307 /nfs/dbraw/zinc/39/13/07/790391307.db2.gz LRWQXDNZILLART-UHFFFAOYSA-N 0 1 281.400 0.170 20 30 CCEDMN C=CC[N@H+](C)CCNC(=O)Cc1cc(=O)n(CC(C)C)[n-]1 ZINC001266292968 790437163 /nfs/dbraw/zinc/43/71/63/790437163.db2.gz BVMOTLNTMZWGNT-UHFFFAOYSA-N 0 1 294.399 0.609 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C1(COC)CC1 ZINC001266296817 790447354 /nfs/dbraw/zinc/44/73/54/790447354.db2.gz CPSUGPRFDKVYCB-UHFFFAOYSA-N 0 1 268.357 0.111 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001266320908 790502991 /nfs/dbraw/zinc/50/29/91/790502991.db2.gz IOGQXUDTBALBIK-GHMZBOCLSA-N 0 1 253.346 0.278 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[N@@H+](C)C2CCC2)C1 ZINC001266322661 790504284 /nfs/dbraw/zinc/50/42/84/790504284.db2.gz LGHKGCXCZHDLNA-ZDUSSCGKSA-N 0 1 263.385 0.685 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCNC(=O)C(C)(C)C)C1 ZINC001266333184 790526401 /nfs/dbraw/zinc/52/64/01/790526401.db2.gz HXHVXJLDOXVATB-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H](C)Cc1c(C)nn(C)c1C ZINC001266360005 790586014 /nfs/dbraw/zinc/58/60/14/790586014.db2.gz NSEPSGBUEOYMBH-LBPRGKRZSA-N 0 1 290.411 0.897 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174637 790589792 /nfs/dbraw/zinc/58/97/92/790589792.db2.gz IHLJVGLIORIJJO-ZDUSSCGKSA-N 0 1 256.309 0.714 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001266364891 790596563 /nfs/dbraw/zinc/59/65/63/790596563.db2.gz GEYKFGPSMOKNFX-GUWHFVFCSA-N 0 1 290.407 0.976 20 30 CCEDMN C[C@@H](C(N)=O)N1CCC2(C[C@@H]2NC(=O)C#CC2CC2)CC1 ZINC001266371386 790605246 /nfs/dbraw/zinc/60/52/46/790605246.db2.gz XGBHMTOPYOSBNJ-AAEUAGOBSA-N 0 1 289.379 0.244 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1COCCN1C ZINC001038181349 790737728 /nfs/dbraw/zinc/73/77/28/790737728.db2.gz RSHZGECMTARHRQ-STQMWFEESA-N 0 1 267.373 0.084 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001266476802 790772618 /nfs/dbraw/zinc/77/26/18/790772618.db2.gz CQMNWXWQLKFGNS-BBRMVZONSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H](NCc2cc(C)no2)C1 ZINC001266527370 790872829 /nfs/dbraw/zinc/87/28/29/790872829.db2.gz QEYFALPFYSRCQM-OLZOCXBDSA-N 0 1 291.351 0.712 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CCN(CC(=O)NC)C1 ZINC001266533988 790886750 /nfs/dbraw/zinc/88/67/50/790886750.db2.gz UXFLZJSYZWFJBC-IUODEOHRSA-N 0 1 281.400 0.773 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@H](C)NC(C)=O ZINC001230699446 805651144 /nfs/dbraw/zinc/65/11/44/805651144.db2.gz ZHJKUGOOVPIUMS-GXTWGEPZSA-N 0 1 297.399 0.246 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)CC ZINC001231000399 805706919 /nfs/dbraw/zinc/70/69/19/805706919.db2.gz SHELXCCATZQYIR-NSHDSACASA-N 0 1 255.362 0.525 20 30 CCEDMN CC#CC[N@@H+](C)CCOCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001277481165 805713980 /nfs/dbraw/zinc/71/39/80/805713980.db2.gz NNQAFEOJCMEUQD-UHFFFAOYSA-N 0 1 294.355 0.125 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCC(=O)NCC ZINC001231209389 805750070 /nfs/dbraw/zinc/75/00/70/805750070.db2.gz UVXJPAAPJKJAOR-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)CCC ZINC001231224534 805755136 /nfs/dbraw/zinc/75/51/36/805755136.db2.gz XPWBNTMIYVKIQC-LBPRGKRZSA-N 0 1 267.373 0.363 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(C)n1 ZINC001231239282 805758315 /nfs/dbraw/zinc/75/83/15/805758315.db2.gz BOWQGTCWWFXHTA-LBPRGKRZSA-N 0 1 293.371 0.348 20 30 CCEDMN COCC#CCN1CC[C@@H]1CN(C)C(=O)Cc1ccn[nH]1 ZINC001231378635 805784104 /nfs/dbraw/zinc/78/41/04/805784104.db2.gz SWWIUDUZQPAPSU-CQSZACIVSA-N 0 1 290.367 0.135 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)Cc1ccon1 ZINC001231395550 805788588 /nfs/dbraw/zinc/78/85/88/805788588.db2.gz KZEGUSOENITMMA-CQSZACIVSA-N 0 1 293.367 0.952 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCOC1 ZINC001231402043 805793219 /nfs/dbraw/zinc/79/32/19/805793219.db2.gz QYWHHEPKLWKLHR-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)C1CN(CC2CCOCC2)C1 ZINC001277586615 805868199 /nfs/dbraw/zinc/86/81/99/805868199.db2.gz SJRZRRKJEVAHIE-INIZCTEOSA-N 0 1 296.411 0.883 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@@H+]2CC[C@H](CO)[C@H](O)C2)c1 ZINC001232673458 805967696 /nfs/dbraw/zinc/96/76/96/805967696.db2.gz HAJWSJWYWDPTRN-BXUZGUMPSA-N 0 1 262.309 0.439 20 30 CCEDMN CN1CC[N@@H+](Cc2cc(C#N)ccc2[O-])CCC1=O ZINC001232679765 805969379 /nfs/dbraw/zinc/96/93/79/805969379.db2.gz RRBXRTFQAVGGQG-UHFFFAOYSA-N 0 1 259.309 0.928 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)CCc1cn[nH]c1 ZINC001266855729 791294432 /nfs/dbraw/zinc/29/44/32/791294432.db2.gz GIEUUYBMCOJFTL-AWEZNQCLSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)CC ZINC001266899119 791328493 /nfs/dbraw/zinc/32/84/93/791328493.db2.gz XYUHTJXIPMAKKG-BJJPWKGXSA-N 0 1 291.395 0.217 20 30 CCEDMN CC(=O)N[C@H]1CC[N@@H+](Cc2ccc(C#N)cc2[O-])C1 ZINC001233387562 806054573 /nfs/dbraw/zinc/05/45/73/806054573.db2.gz NPCZVPVBMSKZJU-ZDUSSCGKSA-N 0 1 259.309 0.974 20 30 CCEDMN COCC#CC[N@@H+](C)CCCNC(=O)[C@@H]1C[C@@H]1C ZINC001233503085 806071127 /nfs/dbraw/zinc/07/11/27/806071127.db2.gz OGBGVBDGLSXUJR-QWHCGFSZSA-N 0 1 252.358 0.730 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc(C)n(C)n3)C[C@H]21 ZINC001041964667 791547218 /nfs/dbraw/zinc/54/72/18/791547218.db2.gz RAFNITPRRUKONV-UKRRQHHQSA-N 0 1 286.379 0.898 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCCN(C(=O)c2cnon2)C1 ZINC001267114212 791555653 /nfs/dbraw/zinc/55/56/53/791555653.db2.gz YPFNWKXTYCACGL-GFCCVEGCSA-N 0 1 292.339 0.256 20 30 CCEDMN N#Cc1cnc2cc(N[C@@H]3CCC(=O)NC3=O)c[nH]c1-2 ZINC001167572390 791558082 /nfs/dbraw/zinc/55/80/82/791558082.db2.gz CQHNIEBRZBQIMM-SECBINFHSA-N 0 1 269.264 0.652 20 30 CCEDMN COC(=O)[C@H](CS)Nc1nccc2c1CCN2 ZINC001167618590 791639303 /nfs/dbraw/zinc/63/93/03/791639303.db2.gz LELZPNXHIWQKNA-VIFPVBQESA-N 0 1 253.327 0.933 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnn(C)c3N)C[C@H]21 ZINC001042023818 791665915 /nfs/dbraw/zinc/66/59/15/791665915.db2.gz STIRZPSYQUDCFG-WCQYABFASA-N 0 1 287.367 0.172 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H](OCC)C1CCOCC1 ZINC001267209197 791674882 /nfs/dbraw/zinc/67/48/82/791674882.db2.gz NJQRTRIOBNZRRQ-CQSZACIVSA-N 0 1 282.384 0.499 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CCN1CCCO ZINC001233937087 806150394 /nfs/dbraw/zinc/15/03/94/806150394.db2.gz LDTLDELZTNBDIY-TZMCWYRMSA-N 0 1 270.373 0.229 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@@](C)(O)C=C ZINC001233978073 806161330 /nfs/dbraw/zinc/16/13/30/806161330.db2.gz QUXVKGZETXGYKL-HIFRSBDPSA-N 0 1 264.369 0.870 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H](C)CC ZINC001234115915 806185249 /nfs/dbraw/zinc/18/52/49/806185249.db2.gz NNYHDDKVQCJZFH-LBPRGKRZSA-N 0 1 269.389 0.773 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC1(O)CCC1 ZINC001234169072 806194430 /nfs/dbraw/zinc/19/44/30/806194430.db2.gz CHQONXJLDRZAED-ZDUSSCGKSA-N 0 1 264.369 0.848 20 30 CCEDMN COC(=O)c1n[nH]c(C(=O)OC)c1O[C@@H](C)CS ZINC001234194310 806201842 /nfs/dbraw/zinc/20/18/42/806201842.db2.gz NVTQKOZAYBLWIB-YFKPBYRVSA-N 0 1 274.298 0.680 20 30 CCEDMN CO[C@H](C)CN1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178684 806197523 /nfs/dbraw/zinc/19/75/23/806197523.db2.gz NGVBLRKYSMWCGP-TZMCWYRMSA-N 0 1 264.369 0.967 20 30 CCEDMN COC[C@@H](C)N1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234195793 806202152 /nfs/dbraw/zinc/20/21/52/806202152.db2.gz NMPKNGSUBQCAFC-TZMCWYRMSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CCN1CCCOCC ZINC001234266879 806212972 /nfs/dbraw/zinc/21/29/72/806212972.db2.gz UTENYMNDKCJPDG-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@H]1CCN1CCOC(C)C ZINC001234265124 806213958 /nfs/dbraw/zinc/21/39/58/806213958.db2.gz HISZULYAULQSJH-HUUCEWRRSA-N 0 1 296.411 0.982 20 30 CCEDMN C=CCCC(=O)NCCN(CC)[C@H]1CCNC1=O ZINC001267238151 793223564 /nfs/dbraw/zinc/22/35/64/793223564.db2.gz IJNZYYKQXMAGJC-NSHDSACASA-N 0 1 253.346 0.279 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnn(C)c1Cl ZINC001267246180 793238840 /nfs/dbraw/zinc/23/88/40/793238840.db2.gz SGEYQZFPVGCZOT-UHFFFAOYSA-N 0 1 268.748 0.758 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cccn(C)c1=O ZINC001267255991 793252703 /nfs/dbraw/zinc/25/27/03/793252703.db2.gz VZZRZHIHGMEYQS-UHFFFAOYSA-N 0 1 275.352 0.460 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)NC(=O)COC ZINC001234479739 806258637 /nfs/dbraw/zinc/25/86/37/806258637.db2.gz YXRJAFWBVKMFBX-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN C#C[C@H](C)Oc1[nH]c(=O)nc2ccc(C(N)=O)cc21 ZINC001234520108 806267950 /nfs/dbraw/zinc/26/79/50/806267950.db2.gz YZXSWNDASOJTGR-ZETCQYMHSA-N 0 1 257.249 0.835 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)/C=C(/C)C1CC1 ZINC001234539874 806269683 /nfs/dbraw/zinc/26/96/83/806269683.db2.gz GWAXWPWEJHTNHW-QBFSEMIESA-N 0 1 291.395 0.530 20 30 CCEDMN C=C(C)CCC(=O)N(C)C[C@H]1CCN1CCOCCO ZINC001234604310 806284288 /nfs/dbraw/zinc/28/42/88/806284288.db2.gz NVZUMGLKETWADG-CQSZACIVSA-N 0 1 284.400 0.884 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCNC1=O ZINC001235280200 806400046 /nfs/dbraw/zinc/40/00/46/806400046.db2.gz HZJINYDZCPSWPP-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C[C@@H](C)OC ZINC001235418894 806419916 /nfs/dbraw/zinc/41/99/16/806419916.db2.gz RZYDBHGWWSKBPP-CHWSQXEVSA-N 0 1 299.415 0.540 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)Cc1cn(C)nc1C ZINC001235698164 806493944 /nfs/dbraw/zinc/49/39/44/806493944.db2.gz IZVQBSPPPHVLFS-UHFFFAOYSA-N 0 1 294.399 0.869 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)Cc1cn(C)nc1C ZINC001235698164 806493949 /nfs/dbraw/zinc/49/39/49/806493949.db2.gz IZVQBSPPPHVLFS-UHFFFAOYSA-N 0 1 294.399 0.869 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235702511 806494381 /nfs/dbraw/zinc/49/43/81/806494381.db2.gz RAJUNFJTUFDAIX-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235701757 806495277 /nfs/dbraw/zinc/49/52/77/806495277.db2.gz PWGBZXZLMUOSDO-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235701757 806495282 /nfs/dbraw/zinc/49/52/82/806495282.db2.gz PWGBZXZLMUOSDO-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN N#C[C@H]1CN(Cc2ccccc2OCCO)CCC1=O ZINC001237200274 806646834 /nfs/dbraw/zinc/64/68/34/806646834.db2.gz ZBGABZSLZOYXOS-ZDUSSCGKSA-N 0 1 274.320 0.972 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)C[C@@H]2CCCC(=O)N2)CC1 ZINC001278064208 806644623 /nfs/dbraw/zinc/64/46/23/806644623.db2.gz KAWDRPLNUWBODK-ZDUSSCGKSA-N 0 1 291.395 0.649 20 30 CCEDMN N#Cc1cccc(CN[C@@H](CO)CNC(=O)C#CC2CC2)c1 ZINC001278077550 806652200 /nfs/dbraw/zinc/65/22/00/806652200.db2.gz KWBPCKCYADREIS-MRXNPFEDSA-N 0 1 297.358 0.538 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cn(CC)nc1C1CC1 ZINC001278094073 806659777 /nfs/dbraw/zinc/65/97/77/806659777.db2.gz SJZFXMDAONXZSW-GFCCVEGCSA-N 0 1 290.367 0.094 20 30 CCEDMN C#CCCCCNC(=O)N1CCNC[C@@H]1CCOC ZINC001120525828 798846786 /nfs/dbraw/zinc/84/67/86/798846786.db2.gz VMHYEBHMIWBEIT-ZDUSSCGKSA-N 0 1 267.373 0.810 20 30 CCEDMN CC(C)(C)OC(=O)N1CCN(Cc2nnc[nH]2)C[C@H]1C#N ZINC001237608747 806701486 /nfs/dbraw/zinc/70/14/86/806701486.db2.gz NVCUPUSIJXMRFM-SNVBAGLBSA-N 0 1 292.343 0.750 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CO)NCc1n[nH]c(C)n1 ZINC001278127554 806707235 /nfs/dbraw/zinc/70/72/35/806707235.db2.gz AKRGIWVNYWDCIN-LLVKDONJSA-N 0 1 295.387 0.282 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1(C(F)F)CCC1 ZINC001278138117 806713052 /nfs/dbraw/zinc/71/30/52/806713052.db2.gz KRVASINKCMCCKY-JTQLQIEISA-N 0 1 274.311 0.512 20 30 CCEDMN C#CCNCC(=O)NC[C@H](O)COc1c(C)cccc1C ZINC001121858144 799078551 /nfs/dbraw/zinc/07/85/51/799078551.db2.gz OSXRILBUUWARQI-AWEZNQCLSA-N 0 1 290.363 0.382 20 30 CCEDMN C#CCNCC(=O)NC[C@H](O)COc1cccc(C)c1 ZINC001121936963 799101267 /nfs/dbraw/zinc/10/12/67/799101267.db2.gz BRYOOHYIESRXER-ZDUSSCGKSA-N 0 1 276.336 0.074 20 30 CCEDMN C#CCNCC(=O)N(C)C[C@H](C)c1nccs1 ZINC001121957190 799107119 /nfs/dbraw/zinc/10/71/19/799107119.db2.gz PCEMUXCBZCLWBP-JTQLQIEISA-N 0 1 251.355 0.928 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCc2sc(CN)nc2C1 ZINC001122131523 799151381 /nfs/dbraw/zinc/15/13/81/799151381.db2.gz KHCUXBGFWZTYBT-LBPRGKRZSA-N 0 1 267.354 0.424 20 30 CCEDMN C=CC(C)(C)CCCNC(=O)C1CNCCS1(=O)=O ZINC001122201675 799168226 /nfs/dbraw/zinc/16/82/26/799168226.db2.gz QZBDKZIJBRQHCE-LLVKDONJSA-N 0 1 288.413 0.482 20 30 CCEDMN C=CCN(C)c1nnc(C2=NO[C@H](CO)C2)n1CC(=C)C ZINC001122591731 799271410 /nfs/dbraw/zinc/27/14/10/799271410.db2.gz RGYSSDIJWNOFMG-NSHDSACASA-N 0 1 291.355 0.962 20 30 CCEDMN CC(=O)NCC(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001122668245 799283943 /nfs/dbraw/zinc/28/39/43/799283943.db2.gz XMWZGMXZJCCJLI-UHFFFAOYSA-N 0 1 291.326 0.019 20 30 CCEDMN C[C@H](COC(=O)CSCC#N)CN1CCOCC1 ZINC001123219831 799375924 /nfs/dbraw/zinc/37/59/24/799375924.db2.gz QNLDUNZRUPMRDL-NSHDSACASA-N 0 1 272.370 0.755 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cc(=O)n(C)cn2)CC1 ZINC001123348560 799395780 /nfs/dbraw/zinc/39/57/80/799395780.db2.gz CPRAFODRNUZQKT-UHFFFAOYSA-N 0 1 275.308 0.035 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001123910725 799502248 /nfs/dbraw/zinc/50/22/48/799502248.db2.gz VCBRNBPGHAAUKI-UWVGGRQHSA-N 0 1 262.317 0.531 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](C)Oc1ccc(C)cc1 ZINC001278195438 806757401 /nfs/dbraw/zinc/75/74/01/806757401.db2.gz SIUXNXBXIHMMLG-ZIAGYGMSSA-N 0 1 290.363 0.462 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)Cc1cccc(F)c1 ZINC001278195514 806757518 /nfs/dbraw/zinc/75/75/18/806757518.db2.gz UAHGRFYXZPTPJT-CQSZACIVSA-N 0 1 278.327 0.458 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)[C@H]1CCOC1 ZINC001124501381 799609392 /nfs/dbraw/zinc/60/93/92/799609392.db2.gz KGLDUNIYVLNPAI-AWEZNQCLSA-N 0 1 273.336 0.801 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN[C@@H](C)C(=O)Nc1ccccc1 ZINC001124907917 799645717 /nfs/dbraw/zinc/64/57/17/799645717.db2.gz BXVAUPJLSXMRQT-RYUDHWBXSA-N 0 1 288.351 0.879 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CS(=O)(=O)C2CC2)c1 ZINC001137934332 799695178 /nfs/dbraw/zinc/69/51/78/799695178.db2.gz SBOSXQAIDVMPMF-UHFFFAOYSA-N 0 1 280.305 0.780 20 30 CCEDMN N#Cc1ccc(N2CCN(C[C@H]3CCC(=O)N3)CC2)cc1 ZINC001202865416 799753710 /nfs/dbraw/zinc/75/37/10/799753710.db2.gz YVHFAKHOTYCHKX-CQSZACIVSA-N 0 1 284.363 0.959 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)CCN1CC[C@H](F)C1 ZINC001138991151 799773363 /nfs/dbraw/zinc/77/33/63/799773363.db2.gz JNJVMSWWRWSRNC-RYUDHWBXSA-N 0 1 256.321 0.311 20 30 CCEDMN C=CCn1cc(CN2CC[C@H](O)[C@@H](CO)C2)cn1 ZINC001139769105 799839447 /nfs/dbraw/zinc/83/94/47/799839447.db2.gz ZSKGEPNLSUAIDU-OLZOCXBDSA-N 0 1 251.330 0.244 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CSC)C1 ZINC001149105233 800069225 /nfs/dbraw/zinc/06/92/25/800069225.db2.gz PSTRIBFPGQXXTL-NSHDSACASA-N 0 1 258.387 0.743 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@H]1CC2CCN1CC2 ZINC001142634784 800142294 /nfs/dbraw/zinc/14/22/94/800142294.db2.gz BKHIUCWFRPADQA-GFCCVEGCSA-N 0 1 266.341 0.706 20 30 CCEDMN N#Cc1cnc(NC(=O)c2[nH]nc3ncccc32)cn1 ZINC001149392902 800255503 /nfs/dbraw/zinc/25/55/03/800255503.db2.gz CPGHLENPDHZYHJ-UHFFFAOYSA-N 0 1 265.236 0.872 20 30 CCEDMN COCCN1CCCO[C@@H](CNC(=O)C#CC2CC2)C1 ZINC001149694978 800291305 /nfs/dbraw/zinc/29/13/05/800291305.db2.gz WDHQPTWBEXZYPJ-AWEZNQCLSA-N 0 1 280.368 0.253 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1CN(CC#CC)CCCO1 ZINC001149707382 800292320 /nfs/dbraw/zinc/29/23/20/800292320.db2.gz HXVKRIWMWJOBCP-HUUCEWRRSA-N 0 1 292.379 0.255 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)c2cocn2)CC1 ZINC001151037466 800387014 /nfs/dbraw/zinc/38/70/14/800387014.db2.gz OJYFRYYETSPKOU-UHFFFAOYSA-N 0 1 291.351 0.909 20 30 CCEDMN COc1c(F)cc(C(=O)NCC#CCN(C)C)c(N)c1F ZINC001151242156 800411659 /nfs/dbraw/zinc/41/16/59/800411659.db2.gz LVOAPMIHBJMEJY-UHFFFAOYSA-N 0 1 297.305 0.850 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)OCCOCC ZINC001152201487 800500190 /nfs/dbraw/zinc/50/01/90/800500190.db2.gz DMVFQVACOWKQKN-NSHDSACASA-N 0 1 278.780 0.886 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1ccc2cncn2c1 ZINC001152207244 800501557 /nfs/dbraw/zinc/50/15/57/800501557.db2.gz VFDRHKVQKPOGOZ-NSHDSACASA-N 0 1 272.264 0.519 20 30 CCEDMN CC[N@@H+](CC#CCOC)[C@H](C)CNC(=O)[C@@H](OC)C1CC1 ZINC001153040930 800585784 /nfs/dbraw/zinc/58/57/84/800585784.db2.gz HMHZCNJNAHPOGU-HIFRSBDPSA-N 0 1 296.411 0.888 20 30 CCEDMN C=C(Br)CNCCNC(=O)Cn1ccnc1 ZINC001153487615 800633808 /nfs/dbraw/zinc/63/38/08/800633808.db2.gz ITVWKWAGHYGEPG-UHFFFAOYSA-N 0 1 287.161 0.498 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1cn(C)nn1 ZINC001153762323 800661891 /nfs/dbraw/zinc/66/18/91/800661891.db2.gz YRVWARPQZVCZKB-UHFFFAOYSA-N 0 1 271.752 0.206 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccc2c(=O)[nH][nH]c(=O)c2c1 ZINC001154310970 800755542 /nfs/dbraw/zinc/75/55/42/800755542.db2.gz WTGLTKKQWLAJCA-UHFFFAOYSA-N 0 1 296.246 0.888 20 30 CCEDMN COc1cc2[nH]ncc2cc1C(=O)N1CCNC[C@@H]1C#N ZINC001154791981 800846728 /nfs/dbraw/zinc/84/67/28/800846728.db2.gz WVSJQGUSTZHZPW-JTQLQIEISA-N 0 1 285.307 0.509 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2nccc(C#N)c2F)CC1 ZINC001155151507 800918834 /nfs/dbraw/zinc/91/88/34/800918834.db2.gz FPGFAHJEYPMZDB-GFCCVEGCSA-N 0 1 292.314 0.809 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1cn(CC)nn1 ZINC001155712156 801042019 /nfs/dbraw/zinc/04/20/19/801042019.db2.gz FZXCRBSBDCXIOJ-UHFFFAOYSA-N 0 1 281.360 0.097 20 30 CCEDMN Cc1cnc(CNCCCNC(=O)[C@H](C)C#N)nc1 ZINC001155837291 801062733 /nfs/dbraw/zinc/06/27/33/801062733.db2.gz XUJROAYOUSTAIV-LLVKDONJSA-N 0 1 261.329 0.541 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1ncccn1 ZINC001155951640 801083887 /nfs/dbraw/zinc/08/38/87/801083887.db2.gz WCXNVTJVRKWZRV-CYBMUJFWSA-N 0 1 290.367 0.567 20 30 CCEDMN N#CNC(=Nc1cnc(C#N)c(C#N)n1)c1ccncc1 ZINC001156325671 801150965 /nfs/dbraw/zinc/15/09/65/801150965.db2.gz IXYXKOKXHLJXDG-UHFFFAOYSA-N 0 1 274.247 0.764 20 30 CCEDMN C=C(C)C[N@H+](CC)[C@H](C)CNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001156624543 801201430 /nfs/dbraw/zinc/20/14/30/801201430.db2.gz YCZFRWNCVWVBFS-SNVBAGLBSA-N 0 1 297.359 0.092 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1nc2c(cc1C#N)CCC2 ZINC001156830777 801245248 /nfs/dbraw/zinc/24/52/48/801245248.db2.gz YFDRXGVHAAWURB-UHFFFAOYSA-N 0 1 280.335 0.874 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CCCNC(=O)C1 ZINC001156879226 801252877 /nfs/dbraw/zinc/25/28/77/801252877.db2.gz YUWHRDMQODLLFH-UHFFFAOYSA-N 0 1 283.291 0.397 20 30 CCEDMN C[C@H]1C(=O)NCCN1C(=O)c1n[nH]c2cc(C#N)ccc21 ZINC001156883615 801252966 /nfs/dbraw/zinc/25/29/66/801252966.db2.gz PZGHPNGOXVOQOC-QMMMGPOBSA-N 0 1 283.291 0.395 20 30 CCEDMN N#Cc1c[nH]c2c1cccc2C(=O)NCc1nn[nH]n1 ZINC001157171528 801318679 /nfs/dbraw/zinc/31/86/79/801318679.db2.gz LQENBCFVLCYJTR-UHFFFAOYSA-N 0 1 267.252 0.483 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1ncc(C)o1 ZINC001157188946 801320856 /nfs/dbraw/zinc/32/08/56/801320856.db2.gz CRHGSXDFBJWDHO-AWEZNQCLSA-N 0 1 281.356 0.906 20 30 CCEDMN CCCn1ncnc1CNCCCNC(=O)C#CC(C)C ZINC001157633293 801441970 /nfs/dbraw/zinc/44/19/70/801441970.db2.gz UQSXASKPNPWWJL-UHFFFAOYSA-N 0 1 291.399 0.943 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)COCCOC ZINC001157768754 801477523 /nfs/dbraw/zinc/47/75/23/801477523.db2.gz PYTVGZWPAWCEFB-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1nnc(C)s1 ZINC001157846697 801500663 /nfs/dbraw/zinc/50/06/63/801500663.db2.gz YQLXOJSEICZLBZ-UHFFFAOYSA-N 0 1 296.396 0.482 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1nccs1 ZINC001157869172 801506630 /nfs/dbraw/zinc/50/66/30/801506630.db2.gz IAVVGPVZHPFDCQ-LLVKDONJSA-N 0 1 281.381 0.777 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)NC(=O)CC ZINC001157994643 801548791 /nfs/dbraw/zinc/54/87/91/801548791.db2.gz PTPPVYYYWXIRML-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1c[nH]c(=O)cn1 ZINC001158405327 801638079 /nfs/dbraw/zinc/63/80/79/801638079.db2.gz QRBTWUIYXBNFHJ-GFCCVEGCSA-N 0 1 288.351 0.330 20 30 CCEDMN COCC#CCN1CC=C(CCNC(=O)CCOC)CC1 ZINC001159008667 801739828 /nfs/dbraw/zinc/73/98/28/801739828.db2.gz OLLYKVNEOZZHPW-UHFFFAOYSA-N 0 1 294.395 0.811 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC=C(CCNC(=O)CC)CC1 ZINC001159068569 801755678 /nfs/dbraw/zinc/75/56/78/801755678.db2.gz IUWOUZFQZVKORC-CYBMUJFWSA-N 0 1 291.395 0.673 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2ccnn2C)CC1 ZINC001159524921 801830644 /nfs/dbraw/zinc/83/06/44/801830644.db2.gz UEOLRMGHMZLRQB-UHFFFAOYSA-N 0 1 272.352 0.805 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cnn(CC)n2)CC1 ZINC001160031237 801901470 /nfs/dbraw/zinc/90/14/70/801901470.db2.gz VYESQUSMHPYJQF-UHFFFAOYSA-N 0 1 287.367 0.683 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(CCc2ccncc2)CC1 ZINC001160490392 801951213 /nfs/dbraw/zinc/95/12/13/801951213.db2.gz OQUNDDYTHYKZLS-INIZCTEOSA-N 0 1 286.379 0.119 20 30 CCEDMN N#CCN1CC=C(CCNC(=O)c2cnn[nH]2)CC1 ZINC001160574105 801973347 /nfs/dbraw/zinc/97/33/47/801973347.db2.gz ISHLBPUQXFLJRH-UHFFFAOYSA-N 0 1 260.301 0.080 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC2(CCC(=O)O2)CC1 ZINC001160577132 801974584 /nfs/dbraw/zinc/97/45/84/801974584.db2.gz GWUDZOGZRGIXPQ-LBPRGKRZSA-N 0 1 250.298 0.035 20 30 CCEDMN C=CC[N@@H+]1CC=C(CCNC(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC001160962160 802053571 /nfs/dbraw/zinc/05/35/71/802053571.db2.gz ZVQXJCUVKFKCRW-AWEZNQCLSA-N 0 1 291.395 0.789 20 30 CCEDMN C#C[C@](C)(N)C(=O)N(C)CC[N@H+](C)Cc1ccccc1 ZINC001161160868 802104402 /nfs/dbraw/zinc/10/44/02/802104402.db2.gz IGRQUCQUTPPIJK-INIZCTEOSA-N 0 1 273.380 0.927 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC2(CC(=O)N(C(C)C)C2)CC1 ZINC001161359432 802137606 /nfs/dbraw/zinc/13/76/06/802137606.db2.gz JBWIMDGBTGFEGB-HNNXBMFYSA-N 0 1 291.395 0.587 20 30 CCEDMN Cc1cc(-n2c(CN)nc3cnccc32)nc(C#N)n1 ZINC001162215570 802291344 /nfs/dbraw/zinc/29/13/44/802291344.db2.gz HZFVJBARUOPQNB-UHFFFAOYSA-N 0 1 265.280 0.849 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2CC3(CCC3)C2)C1 ZINC001278800253 808267930 /nfs/dbraw/zinc/26/79/30/808267930.db2.gz LRPFAQDJVKMVSK-MRXNPFEDSA-N 0 1 276.380 0.753 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc(C)oc1C ZINC001278845257 808321912 /nfs/dbraw/zinc/32/19/12/808321912.db2.gz VNUBLQBIHKAOKC-CYBMUJFWSA-N 0 1 278.352 0.894 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CC1(COC)CC1 ZINC001278855946 808340868 /nfs/dbraw/zinc/34/08/68/808340868.db2.gz YSKGETLUAYMJDF-ZDUSSCGKSA-N 0 1 282.384 0.187 20 30 CCEDMN C=CCS(=O)(=O)N[C@@H](C(=O)OCC)c1cnccn1 ZINC001259934780 808809992 /nfs/dbraw/zinc/80/99/92/808809992.db2.gz CZSJKOZKZFEIDK-SNVBAGLBSA-N 0 1 285.325 0.186 20 30 CCEDMN CC(C)C[C@H](Nc1ncnc2c1CCNC2)C(=O)NO ZINC001163416493 802553593 /nfs/dbraw/zinc/55/35/93/802553593.db2.gz ZNLIBERNUGNSOQ-JTQLQIEISA-N 0 1 279.344 0.454 20 30 CCEDMN CCOC(=O)c1cn(-c2cncc(C#N)n2)nc1CN ZINC001163520540 802566081 /nfs/dbraw/zinc/56/60/81/802566081.db2.gz KLTJKQPYOYZWDZ-UHFFFAOYSA-N 0 1 272.268 0.169 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(C(=O)NC)CC1 ZINC001279587218 809987044 /nfs/dbraw/zinc/98/70/44/809987044.db2.gz LPUGHMCNJKJINJ-STQMWFEESA-N 0 1 289.379 0.211 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1ccc(Cl)c(C#N)n1 ZINC001165436497 802839397 /nfs/dbraw/zinc/83/93/97/802839397.db2.gz JDAPQLOAEJHASW-JTQLQIEISA-N 0 1 280.715 0.558 20 30 CCEDMN N#Cc1nccnc1N1CCN(C[C@H]2CCCOC2)CC1 ZINC001207467967 811728890 /nfs/dbraw/zinc/72/88/90/811728890.db2.gz WCZRBSWLWXSCBZ-CYBMUJFWSA-N 0 1 287.367 0.897 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001038363360 811761605 /nfs/dbraw/zinc/76/16/05/811761605.db2.gz MDHPDRHVQOFEAJ-GMTAPVOTSA-N 0 1 259.313 0.227 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc2n[nH]c(=O)n2c1 ZINC001028200735 812359752 /nfs/dbraw/zinc/35/97/52/812359752.db2.gz SPQWYKXBHNIUTB-LBPRGKRZSA-N 0 1 299.334 0.262 20 30 CCEDMN C=CCN1C(=O)CCC12CCN(Cc1c[nH]nn1)CC2 ZINC001203200922 803018330 /nfs/dbraw/zinc/01/83/30/803018330.db2.gz XTPFOZHKBQMQBU-UHFFFAOYSA-N 0 1 275.356 0.948 20 30 CCEDMN C[C@H]1CC[N@@H+](CC(=O)N2CCC(CNCC#N)CC2)C1 ZINC001206275239 803222499 /nfs/dbraw/zinc/22/24/99/803222499.db2.gz QGKMNQIGFRFMKR-ZDUSSCGKSA-N 0 1 278.400 0.680 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCOC2)C1 ZINC001206531637 803261091 /nfs/dbraw/zinc/26/10/91/803261091.db2.gz IETREPKARACBIT-QLFBSQMISA-N 0 1 294.395 0.499 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](C)[C@H](NC(=O)COCCOC)C1 ZINC001206677305 803278634 /nfs/dbraw/zinc/27/86/34/803278634.db2.gz GDCGZIYRKUSCGZ-CHWSQXEVSA-N 0 1 268.357 0.109 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN([C@@H]2CCCNC2=O)C[C@H]1C ZINC001206912352 803305900 /nfs/dbraw/zinc/30/59/00/803305900.db2.gz GXVOYYPOEDFAOW-MGPQQGTHSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2ccnn2C)C1 ZINC001207249309 803372116 /nfs/dbraw/zinc/37/21/16/803372116.db2.gz OVTUCZKIGIPVNU-TZMCWYRMSA-N 0 1 274.368 0.422 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@@H](NCc2cnnn2C)C1 ZINC001207995628 803476720 /nfs/dbraw/zinc/47/67/20/803476720.db2.gz MOXMECHZFDBVFR-CYBMUJFWSA-N 0 1 289.383 0.555 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccc(C(N)=O)cc1 ZINC000088475034 803479863 /nfs/dbraw/zinc/47/98/63/803479863.db2.gz WAWAZNAIAWCDIM-SSDOTTSWSA-N 0 1 253.283 0.439 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCC(=O)NCCC)C1 ZINC001210404092 803649023 /nfs/dbraw/zinc/64/90/23/803649023.db2.gz KENHGKPYJUMUSG-ZIAGYGMSSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN([C@@H](CC)C(N)=O)C[C@H]1C ZINC001211422342 803681060 /nfs/dbraw/zinc/68/10/60/803681060.db2.gz GYRRZOJZZMNIGG-UPJWGTAASA-N 0 1 297.399 0.280 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)NC(C)=O)C[C@H]1C ZINC001214094547 803719451 /nfs/dbraw/zinc/71/94/51/803719451.db2.gz LZJWAFJIRVTOAJ-HLUHBDAQSA-N 0 1 287.791 0.700 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](C)[C@H](NCc2cnnn2C)C1 ZINC001214582563 803753321 /nfs/dbraw/zinc/75/33/21/803753321.db2.gz WOKVYKMCWDTTIB-TZMCWYRMSA-N 0 1 289.383 0.411 20 30 CCEDMN C#CC1(O)CN(C(=O)Nc2cnn(-c3ccncc3)c2)C1 ZINC001215421113 803808677 /nfs/dbraw/zinc/80/86/77/803808677.db2.gz OEFBSNGCBDDWHT-UHFFFAOYSA-N 0 1 283.291 0.479 20 30 CCEDMN Cn1cc(N=C2CCS(=O)(=O)CC2)c(C#N)n1 ZINC001216564223 803844624 /nfs/dbraw/zinc/84/46/24/803844624.db2.gz STRICSUIDRHTPT-UHFFFAOYSA-N 0 1 252.299 0.406 20 30 CCEDMN N#Cc1sc(N2CCN3C[C@H](O)C[C@H]3C2)nc1Cl ZINC000405395518 803880513 /nfs/dbraw/zinc/88/05/13/803880513.db2.gz OALNBMRTMHJANQ-JGVFFNPUSA-N 0 1 284.772 0.923 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001217771047 803913885 /nfs/dbraw/zinc/91/38/85/803913885.db2.gz UTUVUQCDXWZWCQ-OUCADQQQSA-N 0 1 268.357 0.245 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(Cc2n[nH]c(C)n2)C[C@H]1OC ZINC001212022145 814979076 /nfs/dbraw/zinc/97/90/76/814979076.db2.gz BWZUDQIODJYURR-VXGBXAGGSA-N 0 1 293.371 0.395 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)Nc1cnc(C#N)cn1 ZINC001218504767 803972356 /nfs/dbraw/zinc/97/23/56/803972356.db2.gz SXFJSTPEFQXLEB-VIFPVBQESA-N 0 1 263.301 0.429 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)C#N)[C@H](OC)C1 ZINC001212039758 814982978 /nfs/dbraw/zinc/98/29/78/814982978.db2.gz RVCOPEOFJVLEQL-QJPTWQEYSA-N 0 1 251.330 0.538 20 30 CCEDMN CCCCOCCN1C[C@@H](NC(=O)[C@H](C)C#N)[C@H](OC)C1 ZINC001212045884 814985182 /nfs/dbraw/zinc/98/51/82/814985182.db2.gz ZYEINNQBESRIHV-MGPQQGTHSA-N 0 1 297.399 0.778 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)Nc1cccc(C#N)n1 ZINC001218588392 804024265 /nfs/dbraw/zinc/02/42/65/804024265.db2.gz WMFOTPWPGJVWEO-NSHDSACASA-N 0 1 289.339 0.381 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)[C@H]1CCOC1 ZINC001276799847 804158122 /nfs/dbraw/zinc/15/81/22/804158122.db2.gz FVQXGGFCOPBGHR-STQMWFEESA-N 0 1 268.357 0.416 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)[C@@H]1CCOC1 ZINC001276799842 804158138 /nfs/dbraw/zinc/15/81/38/804158138.db2.gz FVQXGGFCOPBGHR-CHWSQXEVSA-N 0 1 268.357 0.416 20 30 CCEDMN N#Cc1cc(N)c(NC(=O)C[C@H]2COCCN2)cc1C#N ZINC001218987721 804175311 /nfs/dbraw/zinc/17/53/11/804175311.db2.gz PZOHMPUCEJYAJI-NSHDSACASA-N 0 1 285.307 0.329 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC[C@@H]2CCCO2)[C@@H](O)C1 ZINC001219221855 804241796 /nfs/dbraw/zinc/24/17/96/804241796.db2.gz KONQEVBTCLJMDX-MJBXVCDLSA-N 0 1 282.384 0.683 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3[nH]c(=O)[nH]c3C)[C@@H]2C1 ZINC001075919352 815003218 /nfs/dbraw/zinc/00/32/18/815003218.db2.gz GXSRURXKDLIDND-NWDGAFQWSA-N 0 1 288.351 0.593 20 30 CCEDMN C=CCOCC(=O)NCC[C@H](C)NC(=O)CN1CCCC1 ZINC001075919849 815004322 /nfs/dbraw/zinc/00/43/22/815004322.db2.gz DSWSWVWUQRAUHZ-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCc2cnn(C)c2)C[C@@H]1O ZINC001219335172 804274553 /nfs/dbraw/zinc/27/45/53/804274553.db2.gz STQCWWIACXVBJW-KGLIPLIRSA-N 0 1 292.383 0.090 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOCC2CC2)C[C@@H]1O ZINC001219541821 804343625 /nfs/dbraw/zinc/34/36/25/804343625.db2.gz RCJOTCMQDDGLIE-CABCVRRESA-N 0 1 294.395 0.378 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2ccnn2C)[C@@H](O)C1 ZINC001219727298 804414275 /nfs/dbraw/zinc/41/42/75/804414275.db2.gz BDMGJJQIBFSBLZ-KGLIPLIRSA-N 0 1 292.383 0.090 20 30 CCEDMN C#CCNC(=O)[C@@H](N)CC(=O)OC(C)(CC)CC ZINC001219879690 804438021 /nfs/dbraw/zinc/43/80/21/804438021.db2.gz KNBZPNGAFQWZTA-JTQLQIEISA-N 0 1 254.330 0.575 20 30 CCEDMN C#CCNC(=O)[C@H](N)Cc1ccc2ccccc2n1 ZINC001219879382 804438420 /nfs/dbraw/zinc/43/84/20/804438420.db2.gz FQQXEYRDDPDWTK-CYBMUJFWSA-N 0 1 253.305 0.854 20 30 CCEDMN CC(C)(CNC(=O)[C@@H](N)Cc1ccccc1C#N)C(N)=O ZINC001220033840 804478040 /nfs/dbraw/zinc/47/80/40/804478040.db2.gz RNDGWZJNQYENKF-LBPRGKRZSA-N 0 1 288.351 0.056 20 30 CCEDMN C=CCC[C@@H](C)[N@H+]1C[C@@H](NC(=O)c2[n-]nnc2C)[C@@H](O)C1 ZINC001220118979 804505077 /nfs/dbraw/zinc/50/50/77/804505077.db2.gz ZWVCBGCGEJLIFC-JLLWLGSASA-N 0 1 293.371 0.243 20 30 CCEDMN C=CCC[C@@H](C)[N@@H+]1C[C@@H](NC(=O)c2[n-]nnc2C)[C@@H](O)C1 ZINC001220118979 804505084 /nfs/dbraw/zinc/50/50/84/804505084.db2.gz ZWVCBGCGEJLIFC-JLLWLGSASA-N 0 1 293.371 0.243 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@@H](N)Cc1cccc(O)c1 ZINC001220124653 804506363 /nfs/dbraw/zinc/50/63/63/804506363.db2.gz GQUJZYGESCUNLU-ZDUSSCGKSA-N 0 1 292.335 0.498 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(CC)C[C@@H]2O)CC1 ZINC001220291554 804563340 /nfs/dbraw/zinc/56/33/40/804563340.db2.gz BLCMEMMUTRNVAH-NEPJUHHUSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](NC(=O)c2conc2CC)[C@@H](O)C1 ZINC001220300691 804566616 /nfs/dbraw/zinc/56/66/16/804566616.db2.gz UWXAVJAHUFVESD-KGLIPLIRSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2conc2CC)[C@@H](O)C1 ZINC001220300691 804566619 /nfs/dbraw/zinc/56/66/19/804566619.db2.gz UWXAVJAHUFVESD-KGLIPLIRSA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2cc(C)on2)[C@@H](O)C1 ZINC001220338399 804578758 /nfs/dbraw/zinc/57/87/58/804578758.db2.gz XKUCSGQFSDDDGL-KGLIPLIRSA-N 0 1 293.367 0.653 20 30 CCEDMN CC1(C)CC(=O)C=C(NC(=O)[C@H](N)CCCNC(N)=O)C1 ZINC001220413744 804598863 /nfs/dbraw/zinc/59/88/63/804598863.db2.gz OYEDWMVDTVBZFA-LLVKDONJSA-N 0 1 296.371 0.151 20 30 CCEDMN N#CC1(NC(=O)[C@H](N)Cc2ccccc2F)CCOCC1 ZINC001220967252 804710636 /nfs/dbraw/zinc/71/06/36/804710636.db2.gz XOZPLDZQGOYYHC-CYBMUJFWSA-N 0 1 291.326 0.884 20 30 CCEDMN N#Cc1cccc(C[C@H](N)C(=O)NCCCCCO)c1 ZINC001221280057 804763094 /nfs/dbraw/zinc/76/30/94/804763094.db2.gz OHSVWZSJBMWKHU-AWEZNQCLSA-N 0 1 275.352 0.707 20 30 CCEDMN CC(C)C[C@@](C)(C#N)NC(=O)[C@@H]1CCCN1C(=O)CN ZINC001221291960 804766343 /nfs/dbraw/zinc/76/63/43/804766343.db2.gz AWKKYUALPFEREH-FZMZJTMJSA-N 0 1 280.372 0.381 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)c3cn[nH]c3)[C@@H]2C1 ZINC001221301902 804771007 /nfs/dbraw/zinc/77/10/07/804771007.db2.gz AYCLIEKGUJFLRM-TZMCWYRMSA-N 0 1 290.367 0.759 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC12CCC(C(=O)OC)(CC1)C2 ZINC001221366847 804788667 /nfs/dbraw/zinc/78/86/67/804788667.db2.gz UQSLPBCSFBOGMW-HSBZDZAISA-N 0 1 264.325 0.329 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CNC(=O)CCC)[C@@H]2C1 ZINC001221386894 804796754 /nfs/dbraw/zinc/79/67/54/804796754.db2.gz NOYQRNLLOBFEHI-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN COC[C@H](O)CN1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221533946 804830072 /nfs/dbraw/zinc/83/00/72/804830072.db2.gz XEQYVSWXVKZLOM-RBSFLKMASA-N 0 1 294.395 0.186 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CCc2nnc(C)n2C1 ZINC001272178952 815037804 /nfs/dbraw/zinc/03/78/04/815037804.db2.gz UMWHFAMLSJYVFV-ZDUSSCGKSA-N 0 1 289.383 0.172 20 30 CCEDMN CC[N@@H+](C)C(C)(C)C(=O)N1C[C@H]2CCN(CC#N)C[C@H]21 ZINC001221657519 804834910 /nfs/dbraw/zinc/83/49/10/804834910.db2.gz YZRXLOULMXSXKR-CHWSQXEVSA-N 0 1 278.400 0.773 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H](CCC)NC(N)=O)[C@@H]2C1 ZINC001221979885 804856889 /nfs/dbraw/zinc/85/68/89/804856889.db2.gz RVBIMZMTFQLPKK-JHJVBQTASA-N 0 1 294.399 0.542 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](CCC)NC(N)=O)[C@@H]2C1 ZINC001221979885 804856895 /nfs/dbraw/zinc/85/68/95/804856895.db2.gz RVBIMZMTFQLPKK-JHJVBQTASA-N 0 1 294.399 0.542 20 30 CCEDMN C#CCCCC(=O)NCC1CCN([C@H](C)C(=O)NC)CC1 ZINC001223012587 804946173 /nfs/dbraw/zinc/94/61/73/804946173.db2.gz JRIRPHZBXMNECN-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN N#Cc1ccccc1C[N@H+]1CC[C@@H](OCc2nnn[n-]2)C1 ZINC001223027801 804948180 /nfs/dbraw/zinc/94/81/80/804948180.db2.gz TZFJSOSBGZQTLI-CYBMUJFWSA-N 0 1 284.323 0.862 20 30 CCEDMN CCNC(=O)CN1CCC(CNC(=O)C#CC(C)C)CC1 ZINC001223096988 804954970 /nfs/dbraw/zinc/95/49/70/804954970.db2.gz QPDPDHCQRZEIOX-UHFFFAOYSA-N 0 1 293.411 0.610 20 30 CCEDMN CNC(=O)CN1CCC(CNC(=O)C#CC(C)(C)C)CC1 ZINC001223143312 804957423 /nfs/dbraw/zinc/95/74/23/804957423.db2.gz SUHNXMNLIRWOJH-UHFFFAOYSA-N 0 1 293.411 0.610 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)CCCF)CC1 ZINC001224106797 805008509 /nfs/dbraw/zinc/00/85/09/805008509.db2.gz YZAVVSBUWWINRF-UHFFFAOYSA-N 0 1 299.390 0.867 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224187679 805013176 /nfs/dbraw/zinc/01/31/76/805013176.db2.gz XIKKWWSZJKVZCW-LBPRGKRZSA-N 0 1 281.400 0.902 20 30 CCEDMN C=CCCC(=O)NCC1(NCC(=O)NC(=O)NCC)CC1 ZINC001277014832 805099829 /nfs/dbraw/zinc/09/98/29/805099829.db2.gz ZTQOGKQRIDVQMX-UHFFFAOYSA-N 0 1 296.371 0.037 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(=O)CCOC)CC1 ZINC001225747171 805157194 /nfs/dbraw/zinc/15/71/94/805157194.db2.gz VGLRBJHHIOVFBK-GFCCVEGCSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(=O)CSC)CC1 ZINC001225953227 805179403 /nfs/dbraw/zinc/17/94/03/805179403.db2.gz ABBKRFNDZPWPTP-LLVKDONJSA-N 0 1 299.440 0.621 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)[C@H]1C ZINC001088670367 815055013 /nfs/dbraw/zinc/05/50/13/815055013.db2.gz DLVKHPBEYRNPGB-AGIUHOORSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCn3ccnc3C2)[C@H]1C ZINC001088679881 815056038 /nfs/dbraw/zinc/05/60/38/815056038.db2.gz ZVJZSQJTEKXKGO-RDBSUJKOSA-N 0 1 286.379 0.658 20 30 CCEDMN Cc1cc(=O)[n-]c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c1C#N ZINC001226222463 805207200 /nfs/dbraw/zinc/20/72/00/805207200.db2.gz CFHSTBBQRURMOC-LSVRBPJHSA-N 0 1 287.319 0.959 20 30 CCEDMN Cc1cc(=O)[n-]c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c1C#N ZINC001226222463 805207203 /nfs/dbraw/zinc/20/72/03/805207203.db2.gz CFHSTBBQRURMOC-LSVRBPJHSA-N 0 1 287.319 0.959 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cnccc3OC)[C@@H]2C1 ZINC001075938913 815058920 /nfs/dbraw/zinc/05/89/20/815058920.db2.gz OTJCOEKUGWFWDZ-DZGCQCFKSA-N 0 1 299.374 0.799 20 30 CCEDMN CN1[C@@H]2C[C@H](Oc3ccccc3C(=O)NO)[C@H]1CC(=O)C2 ZINC001227011157 805309643 /nfs/dbraw/zinc/30/96/43/805309643.db2.gz DCLGABXRLVPUEF-BHYNMZESSA-N 0 1 290.319 0.989 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@@H](C)NC(N)=O)CC1 ZINC001227373044 805343854 /nfs/dbraw/zinc/34/38/54/805343854.db2.gz VCOQFJBSYNIDBW-LLVKDONJSA-N 0 1 280.372 0.037 20 30 CCEDMN CN1[C@@H]2C[C@@H](Oc3ncnc4nc[nH]c43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227534865 805362344 /nfs/dbraw/zinc/36/23/44/805362344.db2.gz PHZYSEVJUVQGSR-ZTEPNEHBSA-N 0 1 273.296 0.344 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1cnn2ccccc12 ZINC001228127346 805416333 /nfs/dbraw/zinc/41/63/33/805416333.db2.gz JOTSXDGRXLQZSW-UHFFFAOYSA-N 0 1 285.351 0.699 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](OC)[C@@H]2CCOC2)CC1 ZINC001228494130 805451332 /nfs/dbraw/zinc/45/13/32/805451332.db2.gz XSQGRPUFNVZDTB-HIFRSBDPSA-N 0 1 294.395 0.642 20 30 CCEDMN N#CC1(NC(=O)C23CC(NCc4cc[nH]n4)(C2)C3)CCC1 ZINC001277341394 805489443 /nfs/dbraw/zinc/48/94/43/805489443.db2.gz AZOLADCPAQOWPO-UHFFFAOYSA-N 0 1 285.351 0.984 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2conc2C)CC1 ZINC001228927965 805491675 /nfs/dbraw/zinc/49/16/75/805491675.db2.gz PWZMTKMOBADWDG-UHFFFAOYSA-N 0 1 291.351 0.827 20 30 CCEDMN Cc1nc2[nH]cnc2c(O[C@H]2CCN(CC#N)C2)n1 ZINC001229438750 805534497 /nfs/dbraw/zinc/53/44/97/805534497.db2.gz VBBFAVPLNBIWPJ-VIFPVBQESA-N 0 1 258.285 0.638 20 30 CCEDMN CC#CCN1CCC(NC(=O)CC(=O)N(C)C)CC1 ZINC001229525660 805540998 /nfs/dbraw/zinc/54/09/98/805540998.db2.gz DEJDWBCRSFHYFV-UHFFFAOYSA-N 0 1 265.357 0.069 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NC1CCN(CC#N)CC1 ZINC001229558977 805542910 /nfs/dbraw/zinc/54/29/10/805542910.db2.gz MYCNAXQCELSJDO-LLVKDONJSA-N 0 1 275.356 0.692 20 30 CCEDMN CC#CCN1CCC(NC(=O)C2(C(=O)NC)CC2)CC1 ZINC001229601521 805543749 /nfs/dbraw/zinc/54/37/49/805543749.db2.gz OKAAHNPVSYXWCM-UHFFFAOYSA-N 0 1 277.368 0.117 20 30 CCEDMN N#CCC1CN(C(=O)[C@H]2CC23CN(Cc2cnc[nH]2)C3)C1 ZINC001278351088 807009937 /nfs/dbraw/zinc/00/99/37/807009937.db2.gz PUIBEHIWTMHVEF-CYBMUJFWSA-N 0 1 285.351 0.604 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](N2CCC[C@@H](C#N)CC2)CN1 ZINC001246831761 807399331 /nfs/dbraw/zinc/39/93/31/807399331.db2.gz KUSTWLCVOYXNEE-AGIUHOORSA-N 0 1 265.357 0.906 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ccc(F)cn3)[C@@H]2C1 ZINC001075954073 815117982 /nfs/dbraw/zinc/11/79/82/815117982.db2.gz DQZVQQVXTDYMQJ-SWLSCSKDSA-N 0 1 287.338 0.929 20 30 CCEDMN C=CCCCNC(=S)NCCN1CCC[C@H](O)C1 ZINC001247775079 807489446 /nfs/dbraw/zinc/48/94/46/807489446.db2.gz VBDVXNMLFYQTHP-LBPRGKRZSA-N 0 1 271.430 0.873 20 30 CCEDMN N#CCCNC[C@@H](O)COCC(F)(F)C(F)F ZINC001251544925 807685216 /nfs/dbraw/zinc/68/52/16/807685216.db2.gz BOWRJNXRWXQGQU-SSDOTTSWSA-N 0 1 258.215 0.768 20 30 CCEDMN C#CCOC[C@@H](O)CN(C)Cc1cnc2ccccn12 ZINC001251833140 807715327 /nfs/dbraw/zinc/71/53/27/807715327.db2.gz ZTLPVBSWZAFRPM-AWEZNQCLSA-N 0 1 273.336 0.777 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(C)[C@H](c2ccccc2)C1 ZINC001251847793 807718022 /nfs/dbraw/zinc/71/80/22/807718022.db2.gz MRMOSONNFUICMS-SJORKVTESA-N 0 1 288.391 0.986 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(Br)ccn1 ZINC001251884838 807733357 /nfs/dbraw/zinc/73/33/57/807733357.db2.gz IEQKECRBMWRADM-GFCCVEGCSA-N 0 1 299.168 0.944 20 30 CCEDMN N#C[C@@H]1CN(C[C@@H](O)COc2ccc(F)cc2)CCC1=O ZINC001251925063 807745848 /nfs/dbraw/zinc/74/58/48/807745848.db2.gz HOPUZCKVACEDFP-DGCLKSJQSA-N 0 1 292.310 0.980 20 30 CCEDMN COCC#CCN1CCC[C@@](C)(CNC(=O)[C@H](C)OC)C1 ZINC001278648408 807799476 /nfs/dbraw/zinc/79/94/76/807799476.db2.gz PRJKQVYYPLKOET-HOCLYGCPSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCNC(=O)CC2)[C@H]1C ZINC001088772722 815150716 /nfs/dbraw/zinc/15/07/16/815150716.db2.gz ITGCZFHKIIRRBC-UPJWGTAASA-N 0 1 277.368 0.115 20 30 CCEDMN CC1(C)CN(C(=O)C(N)=O)C[C@@H]1NCC#Cc1ccccc1 ZINC001278668278 807886027 /nfs/dbraw/zinc/88/60/27/807886027.db2.gz XGBPXENZHKJGHF-AWEZNQCLSA-N 0 1 299.374 0.350 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ccnc(OC(C)C)n1 ZINC001252495490 807893393 /nfs/dbraw/zinc/89/33/93/807893393.db2.gz PBURSYHWXKLAEO-ZDUSSCGKSA-N 0 1 281.356 0.917 20 30 CCEDMN C=C[C@](C)(O)CN1CC(N2C[C@@H](C)O[C@H](C)C2)C1 ZINC001252561409 807910944 /nfs/dbraw/zinc/91/09/44/807910944.db2.gz FNWXEWBJDQQFDP-BZPMIXESSA-N 0 1 254.374 0.717 20 30 CCEDMN C=CCC[C@@H](O)CN(C)C1CCS(=O)(=O)CC1 ZINC001252602430 807918497 /nfs/dbraw/zinc/91/84/97/807918497.db2.gz APWFVSZLLCBSRC-GFCCVEGCSA-N 0 1 261.387 0.823 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)N2C)[C@H]1C ZINC001088790461 815160294 /nfs/dbraw/zinc/16/02/94/815160294.db2.gz MAKIOAYYOKZNMT-MCIONIFRSA-N 0 1 291.395 0.600 20 30 CCEDMN N#Cc1cnc(CNCC2(O)CCOCC2)s1 ZINC001252975950 807995824 /nfs/dbraw/zinc/99/58/24/807995824.db2.gz OWBUJTABZPCFDJ-UHFFFAOYSA-N 0 1 253.327 0.646 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc3occc3[nH]2)[C@@H](O)C1 ZINC001083501006 815171990 /nfs/dbraw/zinc/17/19/90/815171990.db2.gz RMAOGVCGIJEXPS-OLZOCXBDSA-N 0 1 287.319 0.559 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2CCCCC2)C1 ZINC001278752988 808167100 /nfs/dbraw/zinc/16/71/00/808167100.db2.gz QXNFLXQAQGJKQR-HNNXBMFYSA-N 0 1 264.369 0.753 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)c2cncnc2)[C@H]1C ZINC001088812878 815189071 /nfs/dbraw/zinc/18/90/71/815189071.db2.gz UKJWJPVUBLORCG-SCRDCRAPSA-N 0 1 272.352 0.792 20 30 CCEDMN N#C[C@@H]1CC[C@@H](N[C@H]2COCCC23OCCO3)C1 ZINC001254642760 808268598 /nfs/dbraw/zinc/26/85/98/808268598.db2.gz LBYNMTGGFLLZCI-UTUOFQBUSA-N 0 1 252.314 0.800 20 30 CCEDMN C=CCOC(=O)N1CCC(CNC(=O)C2CN(C)C2)CC1 ZINC001142600750 815190492 /nfs/dbraw/zinc/19/04/92/815190492.db2.gz JYJCIWRRBZCBCR-UHFFFAOYSA-N 0 1 295.383 0.699 20 30 CCEDMN CC[C@H](CC#N)NCCOCCN1C(=O)C=CC1=O ZINC001255160900 808323240 /nfs/dbraw/zinc/32/32/40/808323240.db2.gz RMGQQWDUJQFFIM-LLVKDONJSA-N 0 1 265.313 0.210 20 30 CCEDMN C=CCOC(=O)C[C@H](N[C@H]1CCN(C)C1)C(=O)OCC=C ZINC001255419962 808358384 /nfs/dbraw/zinc/35/83/84/808358384.db2.gz JTVLPKOKHILBBT-STQMWFEESA-N 0 1 296.367 0.497 20 30 CCEDMN CO[C@@H]1CCCN(C(=O)NCC#CCN(C)C)CC1 ZINC001256584975 808538039 /nfs/dbraw/zinc/53/80/39/808538039.db2.gz MHKQSDFPAIIHMD-CYBMUJFWSA-N 0 1 267.373 0.762 20 30 CCEDMN CN1CC[C@H]2CN(S(=O)(=O)c3cccc(C#N)c3)C[C@H]21 ZINC001259122563 808733625 /nfs/dbraw/zinc/73/36/25/808733625.db2.gz RMTAFCNCQGPIMY-GXTWGEPZSA-N 0 1 291.376 0.883 20 30 CCEDMN N#Cc1ccnc(NS(=O)(=O)C2CCOCC2)c1 ZINC001259907600 808796872 /nfs/dbraw/zinc/79/68/72/808796872.db2.gz STCJGQITUUWGOM-UHFFFAOYSA-N 0 1 267.310 0.874 20 30 CCEDMN C=CCS(=O)(=O)N1CCC(=O)[C@@H](C(=O)OCC)CC1 ZINC001259927106 808806497 /nfs/dbraw/zinc/80/64/97/808806497.db2.gz ONJWZQIDNUMSIC-JTQLQIEISA-N 0 1 289.353 0.346 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc(F)c[nH]3)[C@@H]2C1 ZINC001076056347 815238726 /nfs/dbraw/zinc/23/87/26/815238726.db2.gz PZGCZAVVRYVEKH-GXFFZTMASA-N 0 1 261.300 0.933 20 30 CCEDMN Cc1ncc(C#N)cc1NS(=O)(=O)CS(C)(=O)=O ZINC001260584590 808868258 /nfs/dbraw/zinc/86/82/58/808868258.db2.gz NZRSHCYYALVWBQ-UHFFFAOYSA-N 0 1 289.338 0.006 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)Nc1c(C)nn(C)c1C ZINC001261642594 809041296 /nfs/dbraw/zinc/04/12/96/809041296.db2.gz NZXJUBBZTUJNOE-GXSJLCMTSA-N 0 1 266.345 0.500 20 30 CCEDMN COc1cc(CCC(=O)NC2(C#N)CCN(C)CC2)on1 ZINC001261778270 809086596 /nfs/dbraw/zinc/08/65/96/809086596.db2.gz CKJUEMBSNRNITL-UHFFFAOYSA-N 0 1 292.339 0.720 20 30 CCEDMN C#CCC1(O)CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)CC1 ZINC001262029903 809164405 /nfs/dbraw/zinc/16/44/05/809164405.db2.gz ORXRHNVZUUGZOP-LBPRGKRZSA-N 0 1 287.363 0.891 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)c1cc([C@H]2CCC[N@H+]2C)[nH]n1 ZINC001262177496 809223485 /nfs/dbraw/zinc/22/34/85/809223485.db2.gz WLLNGJVNNONTQA-GFCCVEGCSA-N 0 1 292.339 0.889 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)c1cc([C@H]2CCC[N@@H+]2C)[nH]n1 ZINC001262177496 809223488 /nfs/dbraw/zinc/22/34/88/809223488.db2.gz WLLNGJVNNONTQA-GFCCVEGCSA-N 0 1 292.339 0.889 20 30 CCEDMN C=C(CN(C)C)C(=O)N(C)Cc1c[nH]c(C)c(OC)c1=O ZINC001262185109 809230205 /nfs/dbraw/zinc/23/02/05/809230205.db2.gz CJOITKDKZYEIGD-UHFFFAOYSA-N 0 1 293.367 0.768 20 30 CCEDMN C#CC[C@@H]1C(C)=NN(c2nc3c(cnn3C)c(=O)[nH]2)C1=O ZINC001262379808 809297887 /nfs/dbraw/zinc/29/78/87/809297887.db2.gz MUVHOSYRAGQLFY-MRVPVSSYSA-N 0 1 284.279 0.431 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cccnn3)[C@@H]2C1 ZINC001076387335 815288144 /nfs/dbraw/zinc/28/81/44/815288144.db2.gz CMWQNKAUFRTJJR-GXTWGEPZSA-N 0 1 270.336 0.646 20 30 CCEDMN C=CCNC(=O)CNC(=O)N1CCN(C)C[C@@H]1CC(C)C ZINC001263097270 809441801 /nfs/dbraw/zinc/44/18/01/809441801.db2.gz QGAKNYXRTBBUPN-ZDUSSCGKSA-N 0 1 296.415 0.660 20 30 CCEDMN Cn1cnc2cccc(C(=O)N[C@@H]3CNC[C@H]3C#N)c21 ZINC001263105715 809447531 /nfs/dbraw/zinc/44/75/31/809447531.db2.gz WHIYNQLCLKBELK-BXKDBHETSA-N 0 1 269.308 0.415 20 30 CCEDMN Cn1cnc2cccc(C(=O)N[C@H]3CNC[C@H]3C#N)c21 ZINC001263105718 809447925 /nfs/dbraw/zinc/44/79/25/809447925.db2.gz WHIYNQLCLKBELK-SKDRFNHKSA-N 0 1 269.308 0.415 20 30 CCEDMN C[C@@H]1CCC[C@](C#N)(NC(=O)[C@@H]2CN3CCN2C[C@@H]3C)C1 ZINC001263732768 809560356 /nfs/dbraw/zinc/56/03/56/809560356.db2.gz PUUSQYQTIVGIHU-HOSILWTGSA-N 0 1 290.411 0.963 20 30 CCEDMN C=CC[C@H](C)NC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001263778610 809569034 /nfs/dbraw/zinc/56/90/34/809569034.db2.gz NYXFRWACETWJKD-XQQFMLRXSA-N 0 1 268.405 0.885 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)[C@@H]2CN3CCN2C[C@H]3C)C1 ZINC001263858204 809589841 /nfs/dbraw/zinc/58/98/41/809589841.db2.gz ZLYNZXAQVPEJOY-KFWWJZLASA-N 0 1 275.396 0.637 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C[C@@H]2CCCO2)C1 ZINC001264072723 809626536 /nfs/dbraw/zinc/62/65/36/809626536.db2.gz CZJNLZMNNYZWCO-GJZGRUSLSA-N 0 1 294.395 0.474 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC001264073352 809626746 /nfs/dbraw/zinc/62/67/46/809626746.db2.gz PPQBBZYRQPVLGB-ZDUSSCGKSA-N 0 1 250.342 0.315 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H](OC)C2CC2)C1 ZINC001264075590 809628145 /nfs/dbraw/zinc/62/81/45/809628145.db2.gz YWEDIRFEOWFCON-CABCVRRESA-N 0 1 294.395 0.330 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2ncn(C)n2)[C@H]1C ZINC001264139630 809635205 /nfs/dbraw/zinc/63/52/05/809635205.db2.gz WFNOWNWHNQECLC-NEPJUHHUSA-N 0 1 293.371 0.210 20 30 CCEDMN C#CC[N@H+](CCO)[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001264628850 809665889 /nfs/dbraw/zinc/66/58/89/809665889.db2.gz VQNKBINRFPMMML-STQMWFEESA-N 0 1 263.341 0.065 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001264628850 809665894 /nfs/dbraw/zinc/66/58/94/809665894.db2.gz VQNKBINRFPMMML-STQMWFEESA-N 0 1 263.341 0.065 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)C(C)(C)NC(C)=O)[C@H]1CC ZINC001264620921 809665948 /nfs/dbraw/zinc/66/59/48/809665948.db2.gz BLYDRMPDQJDVDY-UONOGXRCSA-N 0 1 293.411 0.894 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)NC(C)=O)[C@H]1CC ZINC001264620921 809665951 /nfs/dbraw/zinc/66/59/51/809665951.db2.gz BLYDRMPDQJDVDY-UONOGXRCSA-N 0 1 293.411 0.894 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)CC[C@H](C)OC)C1 ZINC001264630809 809666898 /nfs/dbraw/zinc/66/68/98/809666898.db2.gz VJWWBLCAMHMKLG-LSDHHAIUSA-N 0 1 296.411 0.720 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1nc[nH]n1 ZINC001264744421 809675823 /nfs/dbraw/zinc/67/58/23/809675823.db2.gz XKVMIQRADFZPAW-LBPRGKRZSA-N 0 1 275.356 0.755 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN(C)Cc1conc1C ZINC001264985247 809688085 /nfs/dbraw/zinc/68/80/85/809688085.db2.gz RPWZIIGWSPBYLD-ZDUSSCGKSA-N 0 1 293.367 0.959 20 30 CCEDMN C[C@H](NCC#N)[C@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001265091258 809706508 /nfs/dbraw/zinc/70/65/08/809706508.db2.gz DFFJFIHCJPGJQL-WDEREUQCSA-N 0 1 276.344 0.230 20 30 CCEDMN C#CCNC(=O)CN(CC)CCCNC(=O)C1CCC1 ZINC001265096721 809706581 /nfs/dbraw/zinc/70/65/81/809706581.db2.gz KOPZVUDBGMVWRB-UHFFFAOYSA-N 0 1 279.384 0.364 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2csnn2)CC1 ZINC001265261176 809734479 /nfs/dbraw/zinc/73/44/79/809734479.db2.gz COJVJCXNERKXIT-UHFFFAOYSA-N 0 1 295.412 0.462 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001265303652 809752002 /nfs/dbraw/zinc/75/20/02/809752002.db2.gz LZCSPUUOTCCMHJ-UONOGXRCSA-N 0 1 297.399 0.294 20 30 CCEDMN CCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=O)NCC#N ZINC001265510714 809795739 /nfs/dbraw/zinc/79/57/39/809795739.db2.gz UCGNPPONZSTFHU-YHWZYXNKSA-N 0 1 292.383 0.538 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCn1ccnc1 ZINC001265650074 809818433 /nfs/dbraw/zinc/81/84/33/809818433.db2.gz ZMTHWKQZSRRSIE-CQSZACIVSA-N 0 1 274.368 0.877 20 30 CCEDMN C[C@H](CNC(=O)CSCC#N)NCc1ccn(C)n1 ZINC001265754742 809840269 /nfs/dbraw/zinc/84/02/69/809840269.db2.gz KXAHFVYXVOYECH-SNVBAGLBSA-N 0 1 281.385 0.271 20 30 CCEDMN C#CCOCCC(=O)NC[C@H](C)NCc1cnoc1C ZINC001265774268 809849294 /nfs/dbraw/zinc/84/92/94/809849294.db2.gz CEDZIHIYRSERGM-NSHDSACASA-N 0 1 279.340 0.617 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H](C)NCc1ccc(F)cn1 ZINC001265775143 809850702 /nfs/dbraw/zinc/85/07/02/809850702.db2.gz NXJAUTRTMBJPOK-NEPJUHHUSA-N 0 1 293.342 0.853 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H](C)NCc1ccc(F)cn1 ZINC001265775144 809850873 /nfs/dbraw/zinc/85/08/73/809850873.db2.gz NXJAUTRTMBJPOK-NWDGAFQWSA-N 0 1 293.342 0.853 20 30 CCEDMN C#CC[NH2+]C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001265970634 809908499 /nfs/dbraw/zinc/90/84/99/809908499.db2.gz RDZBQKXRUADDNP-NSHDSACASA-N 0 1 259.309 0.472 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC1CC1)NCc1ncnn1C ZINC001266144411 809947249 /nfs/dbraw/zinc/94/72/49/809947249.db2.gz RCGSDHPWDARXLL-NSHDSACASA-N 0 1 275.356 0.213 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)CN2CCOCC2)CC1 ZINC001279536067 809985408 /nfs/dbraw/zinc/98/54/08/809985408.db2.gz IVGPQIXTGJQMHQ-UHFFFAOYSA-N 0 1 291.395 0.090 20 30 CCEDMN C#CCN1CC=C(CNC(=O)Cc2cc(C)on2)CC1 ZINC001279521455 809986192 /nfs/dbraw/zinc/98/61/92/809986192.db2.gz VPAUWLSPZKGAFW-UHFFFAOYSA-N 0 1 273.336 0.907 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H](C)[C@H]2CCC(=O)N2)CC1 ZINC001279644458 809991550 /nfs/dbraw/zinc/99/15/50/809991550.db2.gz OWONUEZSOQLLBD-GXTWGEPZSA-N 0 1 289.379 0.283 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@]2(C)CCNC2=O)CCC1 ZINC001280505184 810026552 /nfs/dbraw/zinc/02/65/52/810026552.db2.gz GGXSFVRKNHLXNB-CYBMUJFWSA-N 0 1 299.802 0.894 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C)n2C)C1 ZINC001076739922 815359708 /nfs/dbraw/zinc/35/97/08/815359708.db2.gz VPDCIHJHOSMMRY-TZMCWYRMSA-N 0 1 275.352 0.132 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@@H](C)NC(N)=O ZINC001267268243 811068485 /nfs/dbraw/zinc/06/84/85/811068485.db2.gz YJXHOBKTESXVPJ-NEPJUHHUSA-N 0 1 280.372 0.037 20 30 CCEDMN Cn1cnc(C(=O)NCCNCc2ccccc2C#N)c1 ZINC001125680552 811075129 /nfs/dbraw/zinc/07/51/29/811075129.db2.gz FJEDTTPFTPGWND-UHFFFAOYSA-N 0 1 283.335 0.811 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCCOCC2)C1 ZINC001076950556 815420779 /nfs/dbraw/zinc/42/07/79/815420779.db2.gz XMUNLARJISBATO-BFHYXJOUSA-N 0 1 282.384 0.541 20 30 CCEDMN CNC(=O)[C@@H]1CCCN(Cc2cncc(C#N)c2)C1 ZINC000721558997 811110189 /nfs/dbraw/zinc/11/01/89/811110189.db2.gz KRELUZDBIWXMDO-CYBMUJFWSA-N 0 1 258.325 0.911 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)C(C)(C)C=C)C1 ZINC001267297583 811113677 /nfs/dbraw/zinc/11/36/77/811113677.db2.gz CYCQRPBEWXZVRY-CYBMUJFWSA-N 0 1 291.395 0.386 20 30 CCEDMN CCCN(CCNC(=O)C#CC(C)(C)C)[C@H](C)C(=O)NC ZINC001267312947 811138051 /nfs/dbraw/zinc/13/80/51/811138051.db2.gz TUWCLUVWOXJSHB-CYBMUJFWSA-N 0 1 295.427 0.999 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC001279644461 811149356 /nfs/dbraw/zinc/14/93/56/811149356.db2.gz OWONUEZSOQLLBD-JSGCOSHPSA-N 0 1 289.379 0.283 20 30 CCEDMN C#CCNC(=O)CN1CCC([C@H](C)NC(=O)C2CC2)CC1 ZINC001279111614 811162839 /nfs/dbraw/zinc/16/28/39/811162839.db2.gz OCJSVYZNMBBZMS-LBPRGKRZSA-N 0 1 291.395 0.363 20 30 CCEDMN C[C@@H](CNc1nccnc1C#N)N(C)C(=O)Cc1ccn[nH]1 ZINC001104499395 811179158 /nfs/dbraw/zinc/17/91/58/811179158.db2.gz GHXVHWMMWUJTOA-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN Cc1ccnc(C#N)c1-n1c(C)ncc(CN)c1=N ZINC001167676942 811239170 /nfs/dbraw/zinc/23/91/70/811239170.db2.gz DTZFHVDUIPNQQH-UHFFFAOYSA-N 0 1 254.297 0.694 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cn(C)ccc1=O ZINC001267400694 811280362 /nfs/dbraw/zinc/28/03/62/811280362.db2.gz ZBWDFPBMWQQNEN-UHFFFAOYSA-N 0 1 283.759 0.799 20 30 CCEDMN C#CCCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2CCO ZINC001267401252 811280289 /nfs/dbraw/zinc/28/02/89/811280289.db2.gz LYQOKSMLFFCLKC-OKILXGFUSA-N 0 1 264.369 0.848 20 30 CCEDMN N#Cc1cncc(N2CC[C@H](NC(=O)Cc3cnc[nH]3)C2)n1 ZINC001058557219 811311960 /nfs/dbraw/zinc/31/19/60/811311960.db2.gz XFEITFBKFVUIIR-JTQLQIEISA-N 0 1 297.322 0.009 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H]2C=CCCC2)[C@@H](O)C1 ZINC001083562607 815444604 /nfs/dbraw/zinc/44/46/04/815444604.db2.gz JUGQGFDEFVYDMC-KFWWJZLASA-N 0 1 276.380 0.917 20 30 CCEDMN C#CCN1CCC[C@@H]2[C@@H](NC(=O)c3cnon3)C(C)(C)[C@@H]21 ZINC001087309418 811402088 /nfs/dbraw/zinc/40/20/88/811402088.db2.gz GWGIJBZKDJRGPB-RAIGVLPGSA-N 0 1 288.351 0.922 20 30 CCEDMN C#CCN1CC[C@@H](N(CCC)C(=O)Cc2nnc(C)[nH]2)C1 ZINC001267542434 811422748 /nfs/dbraw/zinc/42/27/48/811422748.db2.gz WTEFQXJULHQWQY-CYBMUJFWSA-N 0 1 289.383 0.602 20 30 CCEDMN C=CCC1(C(=O)N2CC[C@H](CN(C)CC(N)=O)C2)CCC1 ZINC001267588419 811490821 /nfs/dbraw/zinc/49/08/21/811490821.db2.gz HTGUCNZLJYEDTG-CYBMUJFWSA-N 0 1 293.411 0.998 20 30 CCEDMN C[C@@H]1CN(C(=O)C2=NC(=O)N(C)C2)C[C@H]1CCNCC#N ZINC001104627157 811537966 /nfs/dbraw/zinc/53/79/66/811537966.db2.gz COIXNRYUUTUWTD-GHMZBOCLSA-N 0 1 291.355 0.337 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)cn1)N(C)C(=O)Cc1ncn[nH]1 ZINC001104672721 811548263 /nfs/dbraw/zinc/54/82/63/811548263.db2.gz GJQHDJFTYJCSRJ-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)C2(C)CC2)CC1 ZINC001267607487 811552909 /nfs/dbraw/zinc/55/29/09/811552909.db2.gz KGTGQGNHLAOBNY-UHFFFAOYSA-N 0 1 277.412 0.886 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2c[nH]nn2)C[C@H]1CCNCC#N ZINC001104698833 811555769 /nfs/dbraw/zinc/55/57/69/811555769.db2.gz OSICJXDOQUBJFZ-VXGBXAGGSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnn[nH]2)C[C@H]1CCNCC#N ZINC001104698833 811555770 /nfs/dbraw/zinc/55/57/70/811555770.db2.gz OSICJXDOQUBJFZ-VXGBXAGGSA-N 0 1 290.371 0.335 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2ncc[nH]2)C1 ZINC001267614271 811566665 /nfs/dbraw/zinc/56/66/65/811566665.db2.gz FWVOTHHRRCUUCA-GFCCVEGCSA-N 0 1 278.356 0.807 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)Cc2cncs2)C1 ZINC001077180674 815458593 /nfs/dbraw/zinc/45/85/93/815458593.db2.gz KFAZAUNUMVGGFM-CHWSQXEVSA-N 0 1 295.408 0.813 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@@H](C)SC)C1 ZINC001267673472 811636790 /nfs/dbraw/zinc/63/67/90/811636790.db2.gz BLMJCCAQWZLZMR-LLVKDONJSA-N 0 1 272.414 0.989 20 30 CCEDMN CCc1n[nH]c(C(=O)NC[C@H]2CCN2CC#N)c1Cl ZINC001038340823 811653150 /nfs/dbraw/zinc/65/31/50/811653150.db2.gz JEIOMOCEPLXCSM-MRVPVSSYSA-N 0 1 281.747 0.953 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCCCOC)C1 ZINC001267732973 811701595 /nfs/dbraw/zinc/70/15/95/811701595.db2.gz XILJBWHLYYRSQR-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@@](C)(O)C1CC1 ZINC001125865593 811705160 /nfs/dbraw/zinc/70/51/60/811705160.db2.gz KKTIFDSKBUKERF-GFCCVEGCSA-N 0 1 260.765 0.996 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)C(F)(F)F)[C@@H](O)C1 ZINC001220156445 811718062 /nfs/dbraw/zinc/71/80/62/811718062.db2.gz VZNVZZITDIJXBR-AEJSXWLSSA-N 0 1 278.274 0.369 20 30 CCEDMN CN(CCC#N)CC1CCN(S(C)(=O)=O)CC1 ZINC000709235410 811836137 /nfs/dbraw/zinc/83/61/37/811836137.db2.gz LZGCGFLXMHMWAI-UHFFFAOYSA-N 0 1 259.375 0.503 20 30 CCEDMN C#CCCCCC(=O)N1CC(n2cc(CNCC)nn2)C1 ZINC001105219693 811863515 /nfs/dbraw/zinc/86/35/15/811863515.db2.gz LWCOOPGLARPNIA-UHFFFAOYSA-N 0 1 289.383 0.965 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@H](CC(=O)OCC)[C@H](C)C1 ZINC001161500610 811924789 /nfs/dbraw/zinc/92/47/89/811924789.db2.gz RSBGCYGVTMRINH-XUJVJEKNSA-N 0 1 280.368 0.775 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001077372611 815487862 /nfs/dbraw/zinc/48/78/62/815487862.db2.gz NIJXCIMEEGKKBD-BFHYXJOUSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cccn3C)[C@@H]2C1 ZINC001075556963 811939542 /nfs/dbraw/zinc/93/95/42/811939542.db2.gz BCUWCYAWGMFXQR-GXTWGEPZSA-N 0 1 257.337 0.805 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@@H]3COCCO3)[C@@H]2C1 ZINC001075575050 812056122 /nfs/dbraw/zinc/05/61/22/812056122.db2.gz LASZGSXWQAKPRI-XQQFMLRXSA-N 0 1 266.341 0.121 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnn(CC)n1 ZINC001027869781 812108291 /nfs/dbraw/zinc/10/82/91/812108291.db2.gz MUCXFAONZCCURY-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnn2c1CCC2 ZINC001027918955 812139938 /nfs/dbraw/zinc/13/99/38/812139938.db2.gz RDRYGEXURPUXBP-GFCCVEGCSA-N 0 1 272.352 0.657 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001027941782 812155734 /nfs/dbraw/zinc/15/57/34/812155734.db2.gz QCWGYVNTHREVIW-IHRRRGAJSA-N 0 1 280.368 0.394 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCNC1=O ZINC001027947802 812158794 /nfs/dbraw/zinc/15/87/94/812158794.db2.gz RZNXXTJOFDSZGH-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001027974906 812183176 /nfs/dbraw/zinc/18/31/76/812183176.db2.gz LXMKPLGQBWDONX-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCn2cncc2C1 ZINC001027977513 812188040 /nfs/dbraw/zinc/18/80/40/812188040.db2.gz TUZIYLCJNXXVON-UONOGXRCSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCc2cncn2C1 ZINC001027982408 812193472 /nfs/dbraw/zinc/19/34/72/812193472.db2.gz VQMWNKBIGLYSIC-UONOGXRCSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCc2cncn2C1 ZINC001027982406 812193689 /nfs/dbraw/zinc/19/36/89/812193689.db2.gz VQMWNKBIGLYSIC-KBPBESRZSA-N 0 1 286.379 0.659 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1COCCN1CC ZINC001027982418 812194096 /nfs/dbraw/zinc/19/40/96/812194096.db2.gz VYRPMZJLLBRAGK-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(=O)n(C)o1 ZINC001126301815 812230921 /nfs/dbraw/zinc/23/09/21/812230921.db2.gz HGMJLYNDQIAJAE-UHFFFAOYSA-N 0 1 259.693 0.050 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1[nH]nnc1C ZINC001028067704 812243019 /nfs/dbraw/zinc/24/30/19/812243019.db2.gz AEDCLGYBMBRLNQ-NSHDSACASA-N 0 1 261.329 0.331 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001028074563 812250652 /nfs/dbraw/zinc/25/06/52/812250652.db2.gz JCLKXPLMWYTHMV-LLVKDONJSA-N 0 1 276.340 0.343 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)c1[nH]ncc1F ZINC001028120413 812292842 /nfs/dbraw/zinc/29/28/42/812292842.db2.gz OZXGVCUQNIEDRL-QMMMGPOBSA-N 0 1 251.265 0.267 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cccc(=O)n1C ZINC001028129512 812298576 /nfs/dbraw/zinc/29/85/76/812298576.db2.gz QGLCGFYUHWFPDY-GFCCVEGCSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccnc2c1ncn2C ZINC001028130504 812300335 /nfs/dbraw/zinc/30/03/35/812300335.db2.gz PZQJTOCXQRBVIH-LBPRGKRZSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCCCC(=O)NCCNCC(=O)Nc1cc(C)no1 ZINC001126331859 812344363 /nfs/dbraw/zinc/34/43/63/812344363.db2.gz CHGSWUITWIKUSZ-UHFFFAOYSA-N 0 1 292.339 0.431 20 30 CCEDMN C=CCCn1cc(C(=O)NC[C@H]2CCCN2CCO)nn1 ZINC001028192102 812345370 /nfs/dbraw/zinc/34/53/70/812345370.db2.gz GVQLWJPWFPGZTL-GFCCVEGCSA-N 0 1 293.371 0.041 20 30 CCEDMN C[C@@H](NCC#N)[C@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001268180913 812348374 /nfs/dbraw/zinc/34/83/74/812348374.db2.gz WBJUGTMORNQINY-NEPJUHHUSA-N 0 1 290.371 0.478 20 30 CCEDMN C[C@@H](NCC#N)[C@H]1CCCN(C(=O)CCc2cnn[nH]2)C1 ZINC001268180913 812348380 /nfs/dbraw/zinc/34/83/80/812348380.db2.gz WBJUGTMORNQINY-NEPJUHHUSA-N 0 1 290.371 0.478 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2C[C@@H](C)OC)nc1 ZINC001038932827 812365726 /nfs/dbraw/zinc/36/57/26/812365726.db2.gz SRDLJPIRALRUTJ-OCCSQVGLSA-N 0 1 287.363 0.902 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CCc1ccnn1C ZINC001268240466 812406813 /nfs/dbraw/zinc/40/68/13/812406813.db2.gz XXFVZJOEMTUTAP-UHFFFAOYSA-N 0 1 276.384 0.766 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnc2n[nH]cc2c1 ZINC001268241539 812409175 /nfs/dbraw/zinc/40/91/75/812409175.db2.gz RKCICSMQCQXONX-UHFFFAOYSA-N 0 1 271.324 0.595 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnn(CCOCC)c1 ZINC001268252273 812419314 /nfs/dbraw/zinc/41/93/14/812419314.db2.gz QZOGUXUVOVVCAW-UHFFFAOYSA-N 0 1 292.383 0.557 20 30 CCEDMN C#CCOCCC(=O)NC1CN(CCCC=C)C1 ZINC001268300797 812463016 /nfs/dbraw/zinc/46/30/16/812463016.db2.gz QOVHMYAVOZCTKY-UHFFFAOYSA-N 0 1 250.342 0.793 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H](O)CNCc2cnns2)C1 ZINC001268321145 812484609 /nfs/dbraw/zinc/48/46/09/812484609.db2.gz DPZGGDNWLREWJG-JTQLQIEISA-N 0 1 296.396 0.461 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CCNCc1nnnn1C ZINC001268388513 812538583 /nfs/dbraw/zinc/53/85/83/812538583.db2.gz CUERRJPYHMVWBT-NSHDSACASA-N 0 1 294.403 0.797 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1[nH]cnc1CC ZINC001028291858 812589899 /nfs/dbraw/zinc/58/98/99/812589899.db2.gz YVBHFBFUWCJKAI-NSHDSACASA-N 0 1 260.341 0.800 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC001028311879 812611169 /nfs/dbraw/zinc/61/11/69/812611169.db2.gz XWAYMANZWYXSME-NSHDSACASA-N 0 1 284.323 0.676 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@H]1CCCN1CC#N ZINC001028331157 812621574 /nfs/dbraw/zinc/62/15/74/812621574.db2.gz DHXVHYAVQJKOJW-KGLIPLIRSA-N 0 1 278.400 0.965 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)c1cc(C)on1 ZINC001268510539 812744361 /nfs/dbraw/zinc/74/43/61/812744361.db2.gz MLPRSOPISOQABM-PLNGDYQASA-N 0 1 292.339 0.161 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001028485726 812753875 /nfs/dbraw/zinc/75/38/75/812753875.db2.gz VHOFSRHXFMWBNT-LLVKDONJSA-N 0 1 276.340 0.499 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cnc(C)o1 ZINC001126378824 812820831 /nfs/dbraw/zinc/82/08/31/812820831.db2.gz TVCWWUDQPOIZAN-ZDUSSCGKSA-N 0 1 267.329 0.516 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H]2C=CCC2)[C@@H](O)C1 ZINC001083330638 812852282 /nfs/dbraw/zinc/85/22/82/812852282.db2.gz DIYBFWIQCRAJHG-MCIONIFRSA-N 0 1 262.353 0.527 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2c(C)noc2C)[C@@H](O)C1 ZINC001083332816 812880789 /nfs/dbraw/zinc/88/07/89/812880789.db2.gz QGNFTQXMCNXRAY-NEPJUHHUSA-N 0 1 279.340 0.642 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3[nH]cnc3C)C[C@@H]21 ZINC001042382337 815558266 /nfs/dbraw/zinc/55/82/66/815558266.db2.gz IFJDMWRXZGDQPL-OLZOCXBDSA-N 0 1 272.352 0.888 20 30 CCEDMN CN(CCN(C)c1nccnc1C#N)C(=O)Cc1ccn[nH]1 ZINC001105373638 812918274 /nfs/dbraw/zinc/91/82/74/812918274.db2.gz HWYQYWYUXTWCQK-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN CN(CCN(C)c1ccncc1C#N)C(=O)Cc1ccn[nH]1 ZINC001105373632 812918838 /nfs/dbraw/zinc/91/88/38/812918838.db2.gz HMXWHHBQXVWBDJ-UHFFFAOYSA-N 0 1 298.350 0.814 20 30 CCEDMN C=CCC(C)(C)C(=O)NC/C=C/CNCC(=O)NC ZINC001268596286 812922691 /nfs/dbraw/zinc/92/26/91/812922691.db2.gz IQNIXMQTNWQGOS-VOTSOKGWSA-N 0 1 267.373 0.597 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H]2CCOC2)[C@@H](O)C1 ZINC001083380006 812922889 /nfs/dbraw/zinc/92/28/89/812922889.db2.gz HUEMPYJGCVSMHI-XQQFMLRXSA-N 0 1 268.357 0.150 20 30 CCEDMN Cc1c(C(=O)N[C@@H](C)CNCC#N)ccc2cncn21 ZINC001268694084 813016912 /nfs/dbraw/zinc/01/69/12/813016912.db2.gz TYJREGKLLWPKJP-JTQLQIEISA-N 0 1 271.324 0.874 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)[C@@H](C)n1cccn1 ZINC001268723740 813035619 /nfs/dbraw/zinc/03/56/19/813035619.db2.gz ZXVUUYWQTAVIEG-UONOGXRCSA-N 0 1 292.383 0.530 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@](C)(O)C2CC2)[C@H](OC)C1 ZINC001212137130 815571829 /nfs/dbraw/zinc/57/18/29/815571829.db2.gz WLWRUWPQKJSGBA-FMKPAKJESA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCc1cnn(C)c1C ZINC001268732786 813048932 /nfs/dbraw/zinc/04/89/32/813048932.db2.gz OOQMFCVBWRSPOT-LBPRGKRZSA-N 0 1 276.384 0.731 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1c(C)ncn1C ZINC001268735430 813054842 /nfs/dbraw/zinc/05/48/42/813054842.db2.gz LLLZLHBRNJAFAU-NSHDSACASA-N 0 1 262.357 0.802 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001268741649 813058646 /nfs/dbraw/zinc/05/86/46/813058646.db2.gz WBPDUSWDALQSBQ-QWHCGFSZSA-N 0 1 295.427 0.997 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1CCCN1C(=O)CCC ZINC001268759873 813071033 /nfs/dbraw/zinc/07/10/33/813071033.db2.gz POTBPAADKPWSJX-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001028641123 813097931 /nfs/dbraw/zinc/09/79/31/813097931.db2.gz BSRYIYROGTXKHM-UONOGXRCSA-N 0 1 286.379 0.517 20 30 CCEDMN CCC#CC(=O)N1C[C@H]2C[C@@]2(C(=O)NCc2cnc[nH]2)C1 ZINC001268873197 813126460 /nfs/dbraw/zinc/12/64/60/813126460.db2.gz JUBKXMPUKLQIPZ-IAQYHMDHSA-N 0 1 286.335 0.288 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCOCCOC ZINC001268993791 813172638 /nfs/dbraw/zinc/17/26/38/813172638.db2.gz XSISDCJCVMPZDO-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN N#CCCC(=O)N1CC[C@H]2C[C@]21C(=O)NCc1cnc[nH]1 ZINC001269024878 813181601 /nfs/dbraw/zinc/18/16/01/813181601.db2.gz XVSZYGAIVCZZJR-IINYFYTJSA-N 0 1 287.323 0.321 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2C(=O)CN(C)CC)C1=O ZINC001269093477 813212491 /nfs/dbraw/zinc/21/24/91/813212491.db2.gz ZAHIIYBFHBAEAN-OAHLLOKOSA-N 0 1 279.384 0.718 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2C(=O)c2ccn[nH]2)C1=O ZINC001269131910 813232049 /nfs/dbraw/zinc/23/20/49/813232049.db2.gz OMPRICVEIFZICH-CQSZACIVSA-N 0 1 272.308 0.250 20 30 CCEDMN C#CCOCCC(=O)NC[C@H]1CCN1[C@@H](C)COC ZINC001269235863 813283692 /nfs/dbraw/zinc/28/36/92/813283692.db2.gz QIPDFHSLADKZHM-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](C)NC(=O)CC ZINC001269241596 813284294 /nfs/dbraw/zinc/28/42/94/813284294.db2.gz WNBIHODUOQLPCH-VXGBXAGGSA-N 0 1 267.373 0.668 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)COC[C@H]2CCCO2)C1 ZINC001269301506 813315720 /nfs/dbraw/zinc/31/57/20/813315720.db2.gz LQXLWYCNAYDKQN-ZIAGYGMSSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H](C)OCC#C)C1 ZINC001269325136 813327098 /nfs/dbraw/zinc/32/70/98/813327098.db2.gz VURQHYVFOXRWNE-HUUCEWRRSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(CC)C(=O)C(=O)NCC2CC2)C1 ZINC001269349047 813335305 /nfs/dbraw/zinc/33/53/05/813335305.db2.gz PYCCHFQRJGEGLA-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@@H](N(CC)C(=O)C(=O)NCC2CC2)C1 ZINC001269349047 813335311 /nfs/dbraw/zinc/33/53/11/813335311.db2.gz PYCCHFQRJGEGLA-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN N#CC1(NC(=O)[C@]23C[C@H]2CCN3C(=O)c2cnc[nH]2)CCC1 ZINC001269414586 813362074 /nfs/dbraw/zinc/36/20/74/813362074.db2.gz SCKKPDDHCYUZDB-BMIGLBTASA-N 0 1 299.334 0.577 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C(=O)NCC(F)F ZINC001269424715 813366083 /nfs/dbraw/zinc/36/60/83/813366083.db2.gz ITEVFDFTGCNOTQ-MRVPVSSYSA-N 0 1 297.733 0.605 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C(=O)NCC(F)F ZINC001269424716 813366230 /nfs/dbraw/zinc/36/62/30/813366230.db2.gz ITEVFDFTGCNOTQ-QMMMGPOBSA-N 0 1 297.733 0.605 20 30 CCEDMN C[C@@](CNCC#Cc1ccccc1)(NC(=O)C(N)=O)C1CC1 ZINC001269633940 813455909 /nfs/dbraw/zinc/45/59/09/813455909.db2.gz HOCUXIVDVQHRKC-KRWDZBQOSA-N 0 1 299.374 0.398 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2C(=O)[C@H](C)N(C)C)C1=O ZINC001269796440 813509160 /nfs/dbraw/zinc/50/91/60/813509160.db2.gz DTOFQYWKKSXOEN-SWLSCSKDSA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)[C@H](C)N(C)C ZINC001269797052 813510992 /nfs/dbraw/zinc/51/09/92/813510992.db2.gz OWWGRCOLXYROSN-YNEHKIRRSA-N 0 1 279.384 0.714 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001028797540 813522056 /nfs/dbraw/zinc/52/20/56/813522056.db2.gz OWNYSEGPWJNDBC-NWDGAFQWSA-N 0 1 287.367 0.791 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2nnn(CC)c2CC)C1 ZINC001028799984 813526698 /nfs/dbraw/zinc/52/66/98/813526698.db2.gz MDOUJGGCCFIUQD-GFCCVEGCSA-N 0 1 289.383 0.545 20 30 CCEDMN CN1CCN(c2cccc(C(=O)NCCC#N)n2)CC1 ZINC000427631410 813613728 /nfs/dbraw/zinc/61/37/28/813613728.db2.gz YKAJXJQWZHIIPE-UHFFFAOYSA-N 0 1 273.340 0.477 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCOCC3)[C@@H]2C1 ZINC001075740004 813681470 /nfs/dbraw/zinc/68/14/70/813681470.db2.gz ZCAULTKVHBLONJ-QLFBSQMISA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C3CCC(O)CC3)[C@@H]2C1 ZINC001075742027 813686379 /nfs/dbraw/zinc/68/63/79/813686379.db2.gz DWNACPWNDVFLRV-OOJKYFRXSA-N 0 1 276.380 0.703 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCC(C)(NC(=O)C#CC2CC2)CC1 ZINC001270231961 813690515 /nfs/dbraw/zinc/69/05/15/813690515.db2.gz OPADMXIBDQVWKD-GFCCVEGCSA-N 0 1 291.395 0.505 20 30 CCEDMN CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccnc(C#N)c1 ZINC001270251135 813695613 /nfs/dbraw/zinc/69/56/13/813695613.db2.gz ALKPUPSYJVCGQC-OKILXGFUSA-N 0 1 270.336 0.801 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)CNCc1cn(C)nn1 ZINC001270401799 813750288 /nfs/dbraw/zinc/75/02/88/813750288.db2.gz JAOXKGORWVOHJY-GFCCVEGCSA-N 0 1 277.372 0.316 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H]2CCCCO2)[C@@H](O)C1 ZINC001083401334 813754009 /nfs/dbraw/zinc/75/40/09/813754009.db2.gz HMBWCAHXQCATIB-MCIONIFRSA-N 0 1 280.368 0.130 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1COCCN1CC ZINC001038425823 813845177 /nfs/dbraw/zinc/84/51/77/813845177.db2.gz CFIQIPZWAYGUIY-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)CCCC(N)=O)C1 ZINC001270701459 813899382 /nfs/dbraw/zinc/89/93/82/813899382.db2.gz TZSICEIQBPIERJ-ZDUSSCGKSA-N 0 1 297.399 0.425 20 30 CCEDMN CC#CC(=O)N1CCC[C@@]2(CCN(CC(=O)N(C)C)C2)C1 ZINC001270712582 813903570 /nfs/dbraw/zinc/90/35/70/813903570.db2.gz BQTFLJJITHSNNR-INIZCTEOSA-N 0 1 291.395 0.412 20 30 CCEDMN CC(C)=CCN1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@@H](O)C1 ZINC001083413126 814027937 /nfs/dbraw/zinc/02/79/37/814027937.db2.gz ABSOMMRQOTZSFJ-KGLIPLIRSA-N 0 1 288.351 0.627 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnsn2)[C@H]1CC ZINC001087510846 814041615 /nfs/dbraw/zinc/04/16/15/814041615.db2.gz JNVALEQIIVCNEQ-GXSJLCMTSA-N 0 1 264.354 0.754 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccc(C)o2)[C@@H](O)C1 ZINC001083414988 814044460 /nfs/dbraw/zinc/04/44/60/814044460.db2.gz HFNDRSQAFIKPES-KGLIPLIRSA-N 0 1 276.336 0.315 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccon2)[C@H]1CC ZINC001087522567 814057389 /nfs/dbraw/zinc/05/73/89/814057389.db2.gz LFVWDPTZCCUVDK-QWHCGFSZSA-N 0 1 261.325 0.819 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccnc2N)[C@H]1CC ZINC001087524401 814058989 /nfs/dbraw/zinc/05/89/89/814058989.db2.gz QQFSDDOAFPYCNT-WDEREUQCSA-N 0 1 273.340 0.275 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2CC=C ZINC001029342434 814078576 /nfs/dbraw/zinc/07/85/76/814078576.db2.gz OFYWVSXZWRWETE-XHSDSOJGSA-N 0 1 287.407 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCNC2=O)[C@H]1CC ZINC001087600427 814173152 /nfs/dbraw/zinc/17/31/52/814173152.db2.gz VEZKNEAYVJPVCS-RWMBFGLXSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3nnnn3c2)[C@H]1CC ZINC001087656175 814180890 /nfs/dbraw/zinc/18/08/90/814180890.db2.gz QYIRFLQPJOXSMM-QWHCGFSZSA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)o2)[C@H]1CC ZINC001087650880 814181002 /nfs/dbraw/zinc/18/10/02/814181002.db2.gz IWPLZUCWMUWOKS-WDEREUQCSA-N 0 1 289.335 0.594 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cscc2C)C1 ZINC001271130386 814186124 /nfs/dbraw/zinc/18/61/24/814186124.db2.gz CZSQARXTRBTXTP-AWEZNQCLSA-N 0 1 278.377 0.856 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCN2C(C)=O)[C@H]1CC ZINC001087842912 814232184 /nfs/dbraw/zinc/23/21/84/814232184.db2.gz SRHHILPPESJPBQ-RRFJBIMHSA-N 0 1 291.395 0.600 20 30 CCEDMN C[C@H](C#N)C(=O)N1Cc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001271221411 814339091 /nfs/dbraw/zinc/33/90/91/814339091.db2.gz NGVGYWVPZBSUGO-SSDOTTSWSA-N 0 1 261.285 0.113 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H]2C[C@@]21C(=O)NCc1cnc[nH]1 ZINC001271221978 814339196 /nfs/dbraw/zinc/33/91/96/814339196.db2.gz QSNOMXJYOVCGPG-RULNRJAQSA-N 0 1 287.323 0.177 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CCN(CC(N)=O)C[C@H]2C1 ZINC001088093159 814403570 /nfs/dbraw/zinc/40/35/70/814403570.db2.gz LSZACUGJRKWFNP-DVOMOZLQSA-N 0 1 293.411 0.854 20 30 CCEDMN CO[C@@H]1CN(CCCF)C[C@H]1NC(=O)CSCC#N ZINC001211891362 814404429 /nfs/dbraw/zinc/40/44/29/814404429.db2.gz KRNUEZQWSVZUSF-GHMZBOCLSA-N 0 1 289.376 0.418 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccnc4[nH]cnc43)[C@@H]2C1 ZINC001075840432 814421969 /nfs/dbraw/zinc/42/19/69/814421969.db2.gz DUBCLUIFNWSXEB-WCQYABFASA-N 0 1 295.346 0.737 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)[C@@H](O)C1 ZINC001083649269 815606279 /nfs/dbraw/zinc/60/62/79/815606279.db2.gz PZDRTIFMGQVYBP-OLZOCXBDSA-N 0 1 291.351 0.067 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)C[C@@H]1CCOC1 ZINC001271984858 814662667 /nfs/dbraw/zinc/66/26/67/814662667.db2.gz WOBWTBXNYZXNBA-AWEZNQCLSA-N 0 1 282.384 0.453 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cc(OC)ns1 ZINC001127032083 815581914 /nfs/dbraw/zinc/58/19/14/815581914.db2.gz RMULWXYHTDUUGZ-UHFFFAOYSA-N 0 1 297.380 0.397 20 30 CCEDMN C#CCOCCC(=O)NCCN[C@H](C)c1n[nH]c(CC)n1 ZINC001127032175 815582526 /nfs/dbraw/zinc/58/25/26/815582526.db2.gz VJXYKFVYHOKEGC-LLVKDONJSA-N 0 1 293.371 0.174 20 30 CCEDMN C=CCCC(=O)NCC[C@H](C)NC(=O)Cc1n[nH]c(C)n1 ZINC001075882682 814761957 /nfs/dbraw/zinc/76/19/57/814761957.db2.gz IGGAHBGXVQFFOJ-JTQLQIEISA-N 0 1 293.371 0.633 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)Cc1cn(C)nc1C ZINC001272033320 814765233 /nfs/dbraw/zinc/76/52/33/814765233.db2.gz KVMWZLRLRGVCDC-UHFFFAOYSA-N 0 1 262.357 0.294 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccnn2C)[C@H]1C ZINC001088544733 814865383 /nfs/dbraw/zinc/86/53/83/814865383.db2.gz UJDRVBXLZHMJRC-YPMHNXCESA-N 0 1 260.341 0.175 20 30 CCEDMN C=CCOCC(=O)N(C)CCNc1ncnc2[nH]cnc21 ZINC001101546274 814867111 /nfs/dbraw/zinc/86/71/11/814867111.db2.gz SWCHTCLDNYHIBX-UHFFFAOYSA-N 0 1 290.327 0.377 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cnn4ncccc34)[C@@H]2C1 ZINC001075890239 814885110 /nfs/dbraw/zinc/88/51/10/814885110.db2.gz WCFXNQPHCWSIDN-SWLSCSKDSA-N 0 1 295.346 0.509 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnn4ncccc34)[C@@H]2C1 ZINC001075890239 814885114 /nfs/dbraw/zinc/88/51/14/814885114.db2.gz WCFXNQPHCWSIDN-SWLSCSKDSA-N 0 1 295.346 0.509 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cnc4n3CCC4)[C@@H]2C1 ZINC001075899604 814904474 /nfs/dbraw/zinc/90/44/74/814904474.db2.gz FWPCEPWTZNWLEZ-DZGCQCFKSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)Cn1ncc2cccnc21 ZINC001272068035 814904563 /nfs/dbraw/zinc/90/45/63/814904563.db2.gz SDROTUVDLRLOMN-UHFFFAOYSA-N 0 1 285.351 0.455 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1ccc(F)cn1 ZINC001127040040 815589931 /nfs/dbraw/zinc/58/99/31/815589931.db2.gz XCZJFXXGQUNULY-NSHDSACASA-N 0 1 279.315 0.465 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1oc(C)nc1C ZINC001127046621 815600742 /nfs/dbraw/zinc/60/07/42/815600742.db2.gz TVZFOCLBHDJETO-LLVKDONJSA-N 0 1 279.340 0.536 20 30 CCEDMN C=CCCN1CC(NC(=O)[C@@H]2CCCCN2C)C1 ZINC001030533503 816092618 /nfs/dbraw/zinc/09/26/18/816092618.db2.gz PKLBOMMZYNBDMD-ZDUSSCGKSA-N 0 1 251.374 0.847 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@@H]2CCc3[nH]c(C)nc3C2)C1 ZINC001030552098 816103251 /nfs/dbraw/zinc/10/32/51/816103251.db2.gz FBIQXNHNESVONZ-GFCCVEGCSA-N 0 1 286.379 0.647 20 30 CCEDMN CC#CCN1CC(NC(=O)c2ccn3cncc3c2)C1 ZINC001030618684 816152759 /nfs/dbraw/zinc/15/27/59/816152759.db2.gz RBECACRTQGNHDC-UHFFFAOYSA-N 0 1 268.320 0.772 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CCC)C2)[C@H]1C ZINC001088890511 816161997 /nfs/dbraw/zinc/16/19/97/816161997.db2.gz XHTXOIBKYMSUTH-MCIONIFRSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](CCCF)C[C@H]1OC ZINC001212193202 816205080 /nfs/dbraw/zinc/20/50/80/816205080.db2.gz BGFJVCSIOYFHEO-BZPMIXESSA-N 0 1 288.363 0.489 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CN(CCCF)C[C@H]1OC ZINC001212193202 816205083 /nfs/dbraw/zinc/20/50/83/816205083.db2.gz BGFJVCSIOYFHEO-BZPMIXESSA-N 0 1 288.363 0.489 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3nnn(C)c3c2)[C@H]1C ZINC001089005128 816209926 /nfs/dbraw/zinc/20/99/26/816209926.db2.gz UUECQYFKTNHMLN-YPMHNXCESA-N 0 1 297.362 0.794 20 30 CCEDMN COc1ccnc(C(=N)Nc2cccc3[nH]nnc32)n1 ZINC001168424522 816218068 /nfs/dbraw/zinc/21/80/68/816218068.db2.gz KSWKMVPFUPYKPQ-UHFFFAOYSA-N 0 1 269.268 0.794 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC001030701572 816235717 /nfs/dbraw/zinc/23/57/17/816235717.db2.gz WCCNFRDOXLUQRR-ABAIWWIYSA-N 0 1 286.379 0.899 20 30 CCEDMN N#Cc1ccc(C[N@@H+]2CC[C@@H](CO)[C@@H](O)C2)c([O-])c1 ZINC001233383385 816314381 /nfs/dbraw/zinc/31/43/81/816314381.db2.gz FZNZEJYUWSCBRE-JSGCOSHPSA-N 0 1 262.309 0.439 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](CCNCC#N)C2)n[nH]1 ZINC001272368282 816366948 /nfs/dbraw/zinc/36/69/48/816366948.db2.gz QOHAMSBZXHVWKN-LLVKDONJSA-N 0 1 261.329 0.684 20 30 CCEDMN C=CCCC(=O)NC[C@H](O)CN[C@H](C)c1cnc(C)cn1 ZINC001272380387 816376565 /nfs/dbraw/zinc/37/65/65/816376565.db2.gz MMMHYXNLLHOKSK-CHWSQXEVSA-N 0 1 292.383 0.879 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C)CCC2)[C@@H](O)C1 ZINC001083703261 816481795 /nfs/dbraw/zinc/48/17/95/816481795.db2.gz IFWYKXHCPVOVEF-NEPJUHHUSA-N 0 1 250.342 0.361 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(Cc1cn[nH]c1)CC2 ZINC001272544394 816568057 /nfs/dbraw/zinc/56/80/57/816568057.db2.gz RLQSNANMIGLVQO-ZDUSSCGKSA-N 0 1 272.352 0.761 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cncc(C)n1 ZINC001272563170 816585629 /nfs/dbraw/zinc/58/56/29/816585629.db2.gz MOUFDAIBKIPTMZ-HUUCEWRRSA-N 0 1 284.363 0.984 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(Cc1c[nH]nn1)CC2 ZINC001272569388 816589392 /nfs/dbraw/zinc/58/93/92/816589392.db2.gz LGFNNJYYUGEVGW-GFCCVEGCSA-N 0 1 273.340 0.156 20 30 CCEDMN CCN(CCNc1ccnc(C#N)n1)C(=O)c1ccn[nH]1 ZINC001106697676 816853902 /nfs/dbraw/zinc/85/39/02/816853902.db2.gz IYSHHXRSQPMXHO-UHFFFAOYSA-N 0 1 285.311 0.067 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)COCCN(Cc1cc[nH]c1)C2 ZINC001272644771 816921783 /nfs/dbraw/zinc/92/17/83/816921783.db2.gz ROWGLIASWYVKPW-MRXNPFEDSA-N 0 1 287.363 0.699 20 30 CCEDMN CC(C)NCc1cn([C@H]2CCN(C(=O)[C@H](C)C#N)C2)nn1 ZINC001089581015 817209163 /nfs/dbraw/zinc/20/91/63/817209163.db2.gz YNUIMLGBHCPMJW-YPMHNXCESA-N 0 1 290.371 0.709 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001031686546 817242671 /nfs/dbraw/zinc/24/26/71/817242671.db2.gz UZOVVRJTQQNJDI-JSGCOSHPSA-N 0 1 250.342 0.647 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1C[C@H]1C(N)=O ZINC001089696251 817317988 /nfs/dbraw/zinc/31/79/88/817317988.db2.gz WKOHORVSFVWYQL-XQQFMLRXSA-N 0 1 291.395 0.348 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001212321237 817368012 /nfs/dbraw/zinc/36/80/12/817368012.db2.gz XSWYYNRNTGLEGN-ZIAGYGMSSA-N 0 1 282.384 0.563 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CC(=O)N[C@H](C)C2)C1 ZINC001031803169 817378107 /nfs/dbraw/zinc/37/81/07/817378107.db2.gz XUMRTXCWVAJLHP-ZYHUDNBSSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CC(CNC(=O)c2coc(OCC)n2)C1 ZINC001031814455 817389422 /nfs/dbraw/zinc/38/94/22/817389422.db2.gz GFWYLFZRHSZGTG-UHFFFAOYSA-N 0 1 265.313 0.921 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccn(CCOC)n2)C1 ZINC001031837039 817405805 /nfs/dbraw/zinc/40/58/05/817405805.db2.gz ZXZJYEKZYXOXFS-UHFFFAOYSA-N 0 1 290.367 0.214 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001127702796 817468695 /nfs/dbraw/zinc/46/86/95/817468695.db2.gz HSSNOQGXNQMUMF-JTQLQIEISA-N 0 1 265.313 0.044 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc3[nH]c(=O)[nH]c3n2)C1 ZINC001031926939 817470518 /nfs/dbraw/zinc/47/05/18/817470518.db2.gz XVOQPOTYHUQKDR-UHFFFAOYSA-N 0 1 287.323 0.511 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCN2C(=O)C2CC2)C1 ZINC001032032786 817566919 /nfs/dbraw/zinc/56/69/19/817566919.db2.gz DMCMKDVBXJMRKE-AWEZNQCLSA-N 0 1 291.395 0.621 20 30 CCEDMN C=CCOCC(=O)NCCNCc1oc(C)nc1C ZINC001124768119 817569242 /nfs/dbraw/zinc/56/92/42/817569242.db2.gz UICLRFUQOVPONT-UHFFFAOYSA-N 0 1 267.329 0.700 20 30 CCEDMN CCOc1cccc(CNCCNC(=O)[C@H](C)C#N)n1 ZINC001124890100 817618025 /nfs/dbraw/zinc/61/80/25/817618025.db2.gz WRYZNMLBOJRACA-LLVKDONJSA-N 0 1 276.340 0.846 20 30 CCEDMN Cc1csc(NC(=O)CNCCNC(=O)[C@H](C)C#N)n1 ZINC001124896242 817621755 /nfs/dbraw/zinc/62/17/55/817621755.db2.gz NNKVHNLMNHNLES-MRVPVSSYSA-N 0 1 295.368 0.256 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCC(=O)Nc1ccccc1F ZINC001124908593 817633732 /nfs/dbraw/zinc/63/37/32/817633732.db2.gz NWTCGVTYHGBXKW-JTQLQIEISA-N 0 1 292.314 0.630 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001032180626 817679660 /nfs/dbraw/zinc/67/96/60/817679660.db2.gz GRYDEORYZJXTOU-UHFFFAOYSA-N 0 1 273.336 0.633 20 30 CCEDMN Cc1cc(NCC=CCNC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001107288104 817825944 /nfs/dbraw/zinc/82/59/44/817825944.db2.gz GDRYYQIDBPZRGL-NSCUHMNNSA-N 0 1 297.322 0.200 20 30 CCEDMN Cc1cc(NCC=CCNC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001107288104 817825951 /nfs/dbraw/zinc/82/59/51/817825951.db2.gz GDRYYQIDBPZRGL-NSCUHMNNSA-N 0 1 297.322 0.200 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@](C)(O)C1CC1 ZINC001032361307 817990571 /nfs/dbraw/zinc/99/05/71/817990571.db2.gz JQSZUIBQZUPBRC-DZKIICNBSA-N 0 1 276.380 0.846 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001077694219 818011325 /nfs/dbraw/zinc/01/13/25/818011325.db2.gz JDFBLOBNTNFWPZ-LPWJVIDDSA-N 0 1 286.347 0.098 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2ccnn2C)C1 ZINC001107798988 818015566 /nfs/dbraw/zinc/01/55/66/818015566.db2.gz WNCBBIQGSYSWEN-OAHLLOKOSA-N 0 1 292.383 0.817 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1O ZINC001077697933 818019965 /nfs/dbraw/zinc/01/99/65/818019965.db2.gz JAVZRIQTKZOYOL-TUVASFSCSA-N 0 1 294.395 0.705 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H]2CCC(=O)N2)C1 ZINC001107813632 818029747 /nfs/dbraw/zinc/02/97/47/818029747.db2.gz VAMYRDSCRWMPCI-DOMZBBRYSA-N 0 1 295.383 0.048 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCNC(=O)Cc1cnc[nH]1 ZINC001078752036 818042457 /nfs/dbraw/zinc/04/24/57/818042457.db2.gz HILMZIYOCGRZAA-ZJUUUORDSA-N 0 1 277.328 0.123 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1nonc1C ZINC001032816558 818058356 /nfs/dbraw/zinc/05/83/56/818058356.db2.gz VSMXYFUZXAZBCG-QWRGUYRKSA-N 0 1 262.313 0.853 20 30 CCEDMN C=C(C)CN1CCO[C@@](C)(CNC(=O)c2cnn[nH]2)C1 ZINC001108043447 818100649 /nfs/dbraw/zinc/10/06/49/818100649.db2.gz KXTTVMYKPDTZBY-ZDUSSCGKSA-N 0 1 279.344 0.202 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)COCCN(Cc1ccncc1)C2 ZINC001272948875 818104332 /nfs/dbraw/zinc/10/43/32/818104332.db2.gz GDZVTNBITLXXIP-KRWDZBQOSA-N 0 1 299.374 0.766 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)C(=O)NCCCC#N)n[nH]1 ZINC001117388469 818344061 /nfs/dbraw/zinc/34/40/61/818344061.db2.gz HWZOCMATLJMBED-VIFPVBQESA-N 0 1 277.328 0.185 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cn2cc(C)cn2)C1 ZINC001032938381 818371392 /nfs/dbraw/zinc/37/13/92/818371392.db2.gz QLLUNVLJRQPLKH-ZDUSSCGKSA-N 0 1 260.341 0.357 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cc(C)n[nH]2)C1 ZINC001032938309 818373388 /nfs/dbraw/zinc/37/33/88/818373388.db2.gz FVCZTMQMASOWDD-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cc(C)[nH]n2)C1 ZINC001032938309 818373394 /nfs/dbraw/zinc/37/33/94/818373394.db2.gz FVCZTMQMASOWDD-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cc(C)n[nH]2)C1 ZINC001032998523 818412379 /nfs/dbraw/zinc/41/23/79/818412379.db2.gz DQSFQJISQIXJHG-ZDUSSCGKSA-N 0 1 260.341 0.427 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cnn3ncccc23)C1 ZINC001033149363 818573440 /nfs/dbraw/zinc/57/34/40/818573440.db2.gz SOXIOUYCUMKLSB-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN1CC[C@]2(CC[N@H+](Cc3ccncc3[O-])C2)C1=O ZINC001273080835 818580445 /nfs/dbraw/zinc/58/04/45/818580445.db2.gz QDTLCBFIGJXZTR-INIZCTEOSA-N 0 1 285.347 0.845 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033170424 818599633 /nfs/dbraw/zinc/59/96/33/818599633.db2.gz XPLPBMVRTRYGDR-SNVBAGLBSA-N 0 1 262.313 0.203 20 30 CCEDMN C[C@H](CNc1cncc(C#N)n1)NC(=O)c1[nH]ncc1F ZINC001108091353 818626143 /nfs/dbraw/zinc/62/61/43/818626143.db2.gz CSRHIFMCERIFPH-SSDOTTSWSA-N 0 1 289.274 0.441 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2COCCN2CC)C1 ZINC001033213241 818656003 /nfs/dbraw/zinc/65/60/03/818656003.db2.gz VVZVJHAJBNRPIT-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN N#CC1(C(=O)N2C[C@H]3CN(CC4CC4)C[C@@H](C2)O3)CC1 ZINC001143174801 818702083 /nfs/dbraw/zinc/70/20/83/818702083.db2.gz WZSPAVOLSCEJPK-BETUJISGSA-N 0 1 275.352 0.612 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2ccccc2C(N)=O)C1 ZINC001033323143 818709981 /nfs/dbraw/zinc/70/99/81/818709981.db2.gz DBGYPQYXJQJTCZ-AWEZNQCLSA-N 0 1 299.374 0.494 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2ccc(OC)cn2)C1 ZINC001033367551 818736393 /nfs/dbraw/zinc/73/63/93/818736393.db2.gz GOUKFTMWJSHMCL-CQSZACIVSA-N 0 1 287.363 0.799 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CC2=CCOCC2)C1 ZINC001033384153 818756398 /nfs/dbraw/zinc/75/63/98/818756398.db2.gz QEWKGVSMIBHLNU-CQSZACIVSA-N 0 1 262.353 0.889 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2ccnc(OC)c2)C1 ZINC001033426795 818773227 /nfs/dbraw/zinc/77/32/27/818773227.db2.gz VOPIZRBUDUEOEI-CQSZACIVSA-N 0 1 287.363 0.799 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2nc3ncccn3n2)C1 ZINC001033521172 818819768 /nfs/dbraw/zinc/81/97/68/818819768.db2.gz IDFKIAQUWGFCBY-NSHDSACASA-N 0 1 286.339 0.457 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cc(C)on2)[C@@H](O)C1 ZINC001090140141 818827989 /nfs/dbraw/zinc/82/79/89/818827989.db2.gz GFHLWMVZVDKEGZ-STQMWFEESA-N 0 1 279.340 0.263 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001033617417 818853266 /nfs/dbraw/zinc/85/32/66/818853266.db2.gz TWTUVULTVGJZNV-KBPBESRZSA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001033699346 818889901 /nfs/dbraw/zinc/88/99/01/818889901.db2.gz NHFASSSIGGOWTQ-HNNXBMFYSA-N 0 1 299.374 0.494 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccnn2C)C1 ZINC001033774597 818927434 /nfs/dbraw/zinc/92/74/34/818927434.db2.gz VTQMZMAQSPAELQ-CYBMUJFWSA-N 0 1 274.368 0.980 20 30 CCEDMN CCN(C(=O)c1cnc(C)[nH]1)[C@H]1CCN(CC#N)C1 ZINC001033775459 818929282 /nfs/dbraw/zinc/92/92/82/818929282.db2.gz JXGPBIASKYJWSZ-NSHDSACASA-N 0 1 261.329 0.778 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033789691 818936572 /nfs/dbraw/zinc/93/65/72/818936572.db2.gz RKIQVMNTUPBDCF-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN Cn1cc(/C=C/C(=O)NC2(C#N)CCN(C)CC2)cn1 ZINC000701324253 818941882 /nfs/dbraw/zinc/94/18/82/818941882.db2.gz JNFLLOAPMPVLKB-ONEGZZNKSA-N 0 1 273.340 0.537 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033811184 818947194 /nfs/dbraw/zinc/94/71/94/818947194.db2.gz ZSKGIYXTRBKCBA-LLVKDONJSA-N 0 1 279.340 0.701 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2COC(=O)N2)C1 ZINC001033815485 818955955 /nfs/dbraw/zinc/95/59/55/818955955.db2.gz JIMIDLIDHXMQCU-VXGBXAGGSA-N 0 1 279.340 0.041 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033860072 818970270 /nfs/dbraw/zinc/97/02/70/818970270.db2.gz PGHKHKVBGUUHBU-KKUMJFAQSA-N 0 1 294.395 0.736 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033866879 818973703 /nfs/dbraw/zinc/97/37/03/818973703.db2.gz LXDNEDZTBPPGHQ-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033869217 818974930 /nfs/dbraw/zinc/97/49/30/818974930.db2.gz JMKXASOEJPQLMP-LBPRGKRZSA-N 0 1 290.367 0.983 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccncc2F)[C@H](O)C1 ZINC001090156668 819000263 /nfs/dbraw/zinc/00/02/63/819000263.db2.gz WFKGBNOUIUMEKJ-ZIAGYGMSSA-N 0 1 293.342 0.962 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C#N)c[nH]2)[C@H](O)C1 ZINC001090171246 819007912 /nfs/dbraw/zinc/00/79/12/819007912.db2.gz OKRWINDYQDOPBJ-WCQYABFASA-N 0 1 274.324 0.237 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc[nH]c(=O)c2)[C@@H](O)C1 ZINC001090175132 819021392 /nfs/dbraw/zinc/02/13/92/819021392.db2.gz QHJRMOJSXBDHNQ-RYUDHWBXSA-N 0 1 277.324 0.138 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001034052088 819039955 /nfs/dbraw/zinc/03/99/55/819039955.db2.gz GKWRUDOBBXQXLL-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCN1CC[C@H](N(CC)C(=O)c2nonc2C)C1 ZINC001034056187 819040588 /nfs/dbraw/zinc/04/05/88/819040588.db2.gz IEUQAZHHCMFVET-LBPRGKRZSA-N 0 1 276.340 0.938 20 30 CCEDMN C=CCN1CCCC[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC001034144087 819094147 /nfs/dbraw/zinc/09/41/47/819094147.db2.gz YSLYLSKIWYVABE-LBPRGKRZSA-N 0 1 277.372 0.894 20 30 CCEDMN C=CCN1CCCC[C@H](NC(=O)c2cn(C)nn2)C1 ZINC001034195182 819119133 /nfs/dbraw/zinc/11/91/33/819119133.db2.gz PUPVOXOUKYRLHU-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c[nH]c(C#N)c2)[C@H](O)C1 ZINC001090194856 819123665 /nfs/dbraw/zinc/12/36/65/819123665.db2.gz NSYJVUYURSJTON-UONOGXRCSA-N 0 1 286.335 0.075 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](O)C1 ZINC001090199786 819134842 /nfs/dbraw/zinc/13/48/42/819134842.db2.gz JQSSIBSSYPOYNQ-GHMZBOCLSA-N 0 1 294.355 0.165 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001034302688 819161828 /nfs/dbraw/zinc/16/18/28/819161828.db2.gz ZSSOUSMUIMWXHR-ZIAGYGMSSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@H](NC(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001034340795 819172588 /nfs/dbraw/zinc/17/25/88/819172588.db2.gz VGDXODZQLZZGEM-QWHCGFSZSA-N 0 1 292.383 0.004 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001034340795 819172596 /nfs/dbraw/zinc/17/25/96/819172596.db2.gz VGDXODZQLZZGEM-QWHCGFSZSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001034392149 819187650 /nfs/dbraw/zinc/18/76/50/819187650.db2.gz XFCNOAZXHFNHJQ-ZIAGYGMSSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001034502319 819223554 /nfs/dbraw/zinc/22/35/54/819223554.db2.gz UEAVLFAEWIALLS-ZDUSSCGKSA-N 0 1 287.363 0.603 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)ccn2C)[C@H](O)C1 ZINC001090219813 819227994 /nfs/dbraw/zinc/22/79/94/819227994.db2.gz XLNQOESVJUMEFX-QWHCGFSZSA-N 0 1 277.368 0.685 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cncc(Cl)c2)[C@@H](O)C1 ZINC001083861645 819232241 /nfs/dbraw/zinc/23/22/41/819232241.db2.gz WJBBNLSUIQUOEZ-OLZOCXBDSA-N 0 1 293.754 0.533 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC001045485175 819253072 /nfs/dbraw/zinc/25/30/72/819253072.db2.gz FDCVVYMTLZGDQA-QWHCGFSZSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(C)c2)[C@H](O)C1 ZINC001090226817 819275194 /nfs/dbraw/zinc/27/51/94/819275194.db2.gz YIVJLKOFLGMPSH-QWHCGFSZSA-N 0 1 264.325 0.939 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)Cc2cncnc2)[C@@H](O)C1 ZINC001090232031 819279932 /nfs/dbraw/zinc/27/99/32/819279932.db2.gz RGOVDVUQQWVYTN-KGLIPLIRSA-N 0 1 290.367 0.147 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2csc(C)c2)[C@@H](O)C1 ZINC001083863421 819294226 /nfs/dbraw/zinc/29/42/26/819294226.db2.gz VQFDLTJRNLYGJZ-OLZOCXBDSA-N 0 1 278.377 0.855 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CC(C)(C)C2)[C@H](O)C1 ZINC001090244011 819296226 /nfs/dbraw/zinc/29/62/26/819296226.db2.gz JOIGOYUPWTUTOE-UONOGXRCSA-N 0 1 278.396 0.997 20 30 CCEDMN C=CCN1CCO[C@@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001035428579 819513825 /nfs/dbraw/zinc/51/38/25/819513825.db2.gz CLVKUIBBMMTFOB-NSHDSACASA-N 0 1 290.367 0.515 20 30 CCEDMN CC#CCN1CCO[C@H](CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001035417120 819520973 /nfs/dbraw/zinc/52/09/73/819520973.db2.gz PWVFZBICAYOHAH-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN N#CCN1CCO[C@@H](CNC(=O)c2ccc3cncn3c2)C1 ZINC001035446259 819525381 /nfs/dbraw/zinc/52/53/81/819525381.db2.gz ZHPFOVLULWKJQM-AWEZNQCLSA-N 0 1 299.334 0.288 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1cnn(C)c1)CC2 ZINC001035649636 819591637 /nfs/dbraw/zinc/59/16/37/819591637.db2.gz MBJWFEFFCZOQBL-UHFFFAOYSA-N 0 1 286.379 0.981 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1cn[nH]c1)CC2 ZINC001035655137 819594193 /nfs/dbraw/zinc/59/41/93/819594193.db2.gz FKVDROWWVJECJY-UHFFFAOYSA-N 0 1 272.352 0.971 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](C)OC)CC2 ZINC001035662545 819596650 /nfs/dbraw/zinc/59/66/50/819596650.db2.gz ABXOTPSPPQIPLS-LBPRGKRZSA-N 0 1 250.342 0.579 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1cncn1C)CC2 ZINC001035742468 819617722 /nfs/dbraw/zinc/61/77/22/819617722.db2.gz FTGWAABQMUXOGR-UHFFFAOYSA-N 0 1 286.379 0.981 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1n[nH]nc1C)CC2 ZINC001035762434 819619000 /nfs/dbraw/zinc/61/90/00/819619000.db2.gz LZZXRWSKNNKORZ-UHFFFAOYSA-N 0 1 275.356 0.837 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@@H](C)CO1)CC2 ZINC001035758294 819619772 /nfs/dbraw/zinc/61/97/72/819619772.db2.gz SEGOQMDTDIFWCI-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN(C)CCCNS(=O)(=O)C1CCC(=O)CC1 ZINC000708186216 819764862 /nfs/dbraw/zinc/76/48/62/819764862.db2.gz IIGCJIOJHXYDEA-UHFFFAOYSA-N 0 1 286.397 0.373 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)c1cccc(C#CCO)c1 ZINC000710098295 819821184 /nfs/dbraw/zinc/82/11/84/819821184.db2.gz DLJIMDVHMVNEKE-CQSZACIVSA-N 0 1 258.321 0.464 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2nccs2)[C@@H](O)C1 ZINC001083883989 820062210 /nfs/dbraw/zinc/06/22/10/820062210.db2.gz HWPNXPGJXDXLMZ-MNOVXSKESA-N 0 1 281.381 0.884 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C)CC2)[C@H](O)C1 ZINC001090284917 820090590 /nfs/dbraw/zinc/09/05/90/820090590.db2.gz ZLYGXHFPPDEULL-VXGBXAGGSA-N 0 1 250.342 0.361 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H]1CNc1ncnc2[nH]cnc21 ZINC001063454403 820151032 /nfs/dbraw/zinc/15/10/32/820151032.db2.gz RIXSLWNMPKUYII-VHSXEESVSA-N 0 1 299.338 0.867 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)nnc2C)[C@H](O)C1 ZINC001090292617 820215821 /nfs/dbraw/zinc/21/58/21/820215821.db2.gz OYXFWSMPJCVJOU-ZIAGYGMSSA-N 0 1 290.367 0.444 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cnn(C)c2C)[C@H](O)C1 ZINC001090312619 820249460 /nfs/dbraw/zinc/24/94/60/820249460.db2.gz KPYRPPRGFLHOAQ-ZIAGYGMSSA-N 0 1 292.383 0.008 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)Cc2cnc(C)o2)[C@H](O)C1 ZINC001090323331 820261090 /nfs/dbraw/zinc/26/10/90/820261090.db2.gz QXNRINGZIBMLIF-ZIAGYGMSSA-N 0 1 293.367 0.653 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(C3CC3)o2)[C@@H](O)C1 ZINC001090330005 820268762 /nfs/dbraw/zinc/26/87/62/820268762.db2.gz POVYDIDNBLRQNI-RYUDHWBXSA-N 0 1 291.351 0.903 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccsn2)[C@@H](O)C1 ZINC001090352913 820291756 /nfs/dbraw/zinc/29/17/56/820291756.db2.gz RYESGGNRIWYWRK-PWSUYJOCSA-N 0 1 281.381 0.884 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccon2)C1 ZINC001079512179 820408336 /nfs/dbraw/zinc/40/83/36/820408336.db2.gz AGDVKCWBZDXYCX-DGCLKSJQSA-N 0 1 261.325 0.677 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnc3n2CCC3)C1 ZINC001079878392 820480360 /nfs/dbraw/zinc/48/03/60/820480360.db2.gz WSLBXPYFDPUJJL-VXGBXAGGSA-N 0 1 272.352 0.513 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCn3cncc3C2)C1 ZINC001079981971 820499472 /nfs/dbraw/zinc/49/94/72/820499472.db2.gz IYZGAXOPYXDHFP-VNHYZAJKSA-N 0 1 286.379 0.515 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC001080262762 820540516 /nfs/dbraw/zinc/54/05/16/820540516.db2.gz OLNKYCGWHRUQAL-GHMZBOCLSA-N 0 1 276.340 0.199 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC(F)(F)F)[C@@H](O)C1 ZINC001099712219 820561303 /nfs/dbraw/zinc/56/13/03/820561303.db2.gz MAPWESUMURYRHX-BDAKNGLRSA-N 0 1 266.263 0.676 20 30 CCEDMN C=CCO[C@H]1CCN([C@@H]2CCN(CCC(=O)OC)C2=O)C1 ZINC001118558951 820636509 /nfs/dbraw/zinc/63/65/09/820636509.db2.gz TVQXQAYEPGSBTQ-QWHCGFSZSA-N 0 1 296.367 0.427 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc[nH]2)[C@H](OC)C1 ZINC001081359054 820748048 /nfs/dbraw/zinc/74/80/48/820748048.db2.gz KNXCUIKOJUWRQI-CHWSQXEVSA-N 0 1 261.325 0.467 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(C)nn2C)[C@H](OC)C1 ZINC001081412214 820760594 /nfs/dbraw/zinc/76/05/94/820760594.db2.gz PHWXXWNMYVDBQK-TZMCWYRMSA-N 0 1 292.383 0.734 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)[C@H](OC)C1 ZINC001081563361 820790482 /nfs/dbraw/zinc/79/04/82/820790482.db2.gz KWZAQVLJAQGUBC-ZIAGYGMSSA-N 0 1 288.351 0.891 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](OC)C1 ZINC001081540420 820794205 /nfs/dbraw/zinc/79/42/05/820794205.db2.gz AKPGVYDWOYAPKR-GHMZBOCLSA-N 0 1 294.355 0.429 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCCO)C[C@H]2OC)C1 ZINC001082106450 820903217 /nfs/dbraw/zinc/90/32/17/820903217.db2.gz QWDOGSQHCZAELT-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C#C)nc2)[C@H](OC)C1 ZINC001082333510 820936185 /nfs/dbraw/zinc/93/61/85/820936185.db2.gz LTPOEWJBTPOTAY-HZPDHXFCSA-N 0 1 297.358 0.515 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(F)CCCC2)[C@@H](O)C1 ZINC001090375790 821008089 /nfs/dbraw/zinc/00/80/89/821008089.db2.gz UEIWPCBUFSVHGY-OLZOCXBDSA-N 0 1 282.359 0.843 20 30 CCEDMN CCCN1C[C@H]2OCCN(C(=O)[C@H](C)C#N)[C@H]2C1 ZINC001083024261 821113477 /nfs/dbraw/zinc/11/34/77/821113477.db2.gz HPYLDGLQBLWPLY-GRYCIOLGSA-N 0 1 251.330 0.468 20 30 CCEDMN C=CCN1C[C@H]2OCCN(C(=O)[C@H]3CCCN3C)[C@H]2C1 ZINC001083023707 821113878 /nfs/dbraw/zinc/11/38/78/821113878.db2.gz MIGUPPAWNBYZFP-HZSPNIEDSA-N 0 1 279.384 0.178 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@H](O)C(C)C)[C@H]2C1 ZINC001083072548 821119014 /nfs/dbraw/zinc/11/90/14/821119014.db2.gz KYOSWQJZDUAMGG-BFHYXJOUSA-N 0 1 282.384 0.491 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3cc(C#N)c[nH]3)[C@H]2C1 ZINC001083040268 821124322 /nfs/dbraw/zinc/12/43/22/821124322.db2.gz DVDYFBILIDCPET-LSDHHAIUSA-N 0 1 298.346 0.435 20 30 CCEDMN CCCN1C[C@H]2OCCN(C(=O)c3c[nH]c(C#N)c3)[C@H]2C1 ZINC001083057757 821131594 /nfs/dbraw/zinc/13/15/94/821131594.db2.gz ZMWQXHAPUIXXNO-UONOGXRCSA-N 0 1 288.351 0.822 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(OC)cc2)[C@@H](O)C1 ZINC001083985717 821168819 /nfs/dbraw/zinc/16/88/19/821168819.db2.gz LXYCMPBANDFKTH-CABCVRRESA-N 0 1 288.347 0.493 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)c2cccnc2)[C@@H](O)C1 ZINC001083965011 821173765 /nfs/dbraw/zinc/17/37/65/821173765.db2.gz XIZZGGZWHGHGHF-CFVMTHIKSA-N 0 1 287.363 0.370 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(=O)[nH]n3)[C@@H]2C1 ZINC001084165322 821220312 /nfs/dbraw/zinc/22/03/12/821220312.db2.gz QHTZIJBFLMXRFS-ZYHUDNBSSA-N 0 1 274.324 0.515 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3cc(C)[nH]n3)[C@@H]2C1 ZINC001084212498 821223437 /nfs/dbraw/zinc/22/34/37/821223437.db2.gz LHPSUEDESVFABH-TZMCWYRMSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3ccon3)[C@@H]2C1 ZINC001084210963 821224125 /nfs/dbraw/zinc/22/41/25/821224125.db2.gz FNEXLPCBWVEICX-DGCLKSJQSA-N 0 1 259.309 0.844 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cc(=O)n(C)o3)[C@@H]2C1 ZINC001084307958 821267187 /nfs/dbraw/zinc/26/71/87/821267187.db2.gz AGVFPUDQCHLLJV-VXGBXAGGSA-N 0 1 291.351 0.701 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc(=O)n(C)o3)[C@@H]2C1 ZINC001084307712 821267319 /nfs/dbraw/zinc/26/73/19/821267319.db2.gz QLSHFNMJTVIZKB-GHMZBOCLSA-N 0 1 277.324 0.311 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cncn3C)[C@@H]2C1 ZINC001084490779 821301009 /nfs/dbraw/zinc/30/10/09/821301009.db2.gz PFJNIGOMPDCBQD-DGCLKSJQSA-N 0 1 260.341 0.752 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3cc(C)nn3C)[C@@H]2C1 ZINC001084515288 821306021 /nfs/dbraw/zinc/30/60/21/821306021.db2.gz ZGQJRCYZFYWNKT-UKRRQHHQSA-N 0 1 288.395 0.990 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@@]3(C)CCNC3=O)[C@@H]2C1 ZINC001084658550 821338825 /nfs/dbraw/zinc/33/88/25/821338825.db2.gz OPQZEGJWQHWENO-IOASZLSFSA-N 0 1 291.395 0.621 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cn(CC)nn3)[C@@H]2C1 ZINC001084746242 821371650 /nfs/dbraw/zinc/37/16/50/821371650.db2.gz OYMZHKTWYZJICA-TZMCWYRMSA-N 0 1 287.367 0.468 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(OC)nn3)[C@@H]2C1 ZINC001084757319 821376259 /nfs/dbraw/zinc/37/62/59/821376259.db2.gz OSVHFSYDVGREGA-DGCLKSJQSA-N 0 1 288.351 0.818 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCOCC2CC2)[C@H](O)C1 ZINC001099836409 821408801 /nfs/dbraw/zinc/40/88/01/821408801.db2.gz ZKZGSUQMKDYRLW-LSDHHAIUSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](CC)OC)C[C@@H]21 ZINC001084902450 821426239 /nfs/dbraw/zinc/42/62/39/821426239.db2.gz BXTIMHMISXGDAI-RDBSUJKOSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccncn2)[C@H](O)C1 ZINC001099856067 821447066 /nfs/dbraw/zinc/44/70/66/821447066.db2.gz RDXFXLCRHITBBK-ZIAGYGMSSA-N 0 1 290.367 0.147 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CNC(=O)C1CC1 ZINC001230876737 821455394 /nfs/dbraw/zinc/45/53/94/821455394.db2.gz OMMSXPCYXDJIEQ-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@]3(C)CCOC3)C[C@@H]21 ZINC001085064120 821475459 /nfs/dbraw/zinc/47/54/59/821475459.db2.gz YYMHAAZCJCIASQ-YCPHGPKFSA-N 0 1 276.380 0.969 20 30 CCEDMN C[C@]1(NC(=O)c2ncn[nH]2)CCN(c2ccc(C#N)cn2)C1 ZINC001065273991 821553317 /nfs/dbraw/zinc/55/33/17/821553317.db2.gz ZLNHBYMHMHAPGD-AWEZNQCLSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@]1(NC(=O)c2nc[nH]n2)CCN(c2ccc(C#N)cn2)C1 ZINC001065273991 821553319 /nfs/dbraw/zinc/55/33/19/821553319.db2.gz ZLNHBYMHMHAPGD-AWEZNQCLSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@]1(NC(=O)c2cnn[nH]2)CCN(c2ccc(C#N)cn2)C1 ZINC001065359298 821558464 /nfs/dbraw/zinc/55/84/64/821558464.db2.gz VSIBBXGVDKZYEX-AWEZNQCLSA-N 0 1 297.322 0.470 20 30 CCEDMN C#C[C@@H](NC(=O)Cc1n[nH]c(C2CC2)n1)[C@H]1CCCO1 ZINC001154793044 821666711 /nfs/dbraw/zinc/66/67/11/821666711.db2.gz PXWPIWFQBGXNIM-GHMZBOCLSA-N 0 1 274.324 0.522 20 30 CCEDMN C#C[C@H](NC(=O)Cc1n[nH]c(C2CC2)n1)[C@@H]1CCCO1 ZINC001154793047 821666927 /nfs/dbraw/zinc/66/69/27/821666927.db2.gz PXWPIWFQBGXNIM-QWRGUYRKSA-N 0 1 274.324 0.522 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)c1cc(CC)[nH]n1 ZINC001085492729 821740734 /nfs/dbraw/zinc/74/07/34/821740734.db2.gz DCDNRVLLPVFIMU-GFCCVEGCSA-N 0 1 260.341 0.752 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnn(C)c1N ZINC001085560612 821789668 /nfs/dbraw/zinc/78/96/68/821789668.db2.gz RJWVIPVCGUVRBY-LLVKDONJSA-N 0 1 275.356 0.172 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001085597421 821841546 /nfs/dbraw/zinc/84/15/46/821841546.db2.gz XRUWXDOYGHHWKP-VXGBXAGGSA-N 0 1 287.367 0.886 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccnnc1C ZINC001085699254 821907373 /nfs/dbraw/zinc/90/73/73/821907373.db2.gz KAPDNYWRDCXANP-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cn(C)nc1OC ZINC001085760630 821937638 /nfs/dbraw/zinc/93/76/38/821937638.db2.gz PBYJJKXNHUGKCB-GFCCVEGCSA-N 0 1 290.367 0.598 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cn(C)nc1OC ZINC001085760630 821937648 /nfs/dbraw/zinc/93/76/48/821937648.db2.gz PBYJJKXNHUGKCB-GFCCVEGCSA-N 0 1 290.367 0.598 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C(C)C)CC2)[C@H](O)C1 ZINC001099994541 821951722 /nfs/dbraw/zinc/95/17/22/821951722.db2.gz SIEKSRGDYFFYIO-UONOGXRCSA-N 0 1 278.396 0.997 20 30 CCEDMN C[C@@H]1CCCN1CC(=O)NC[C@@]1(C)CN(CC#N)CCO1 ZINC001108214033 821983557 /nfs/dbraw/zinc/98/35/57/821983557.db2.gz XFBJQAMPDJDUGV-HIFRSBDPSA-N 0 1 294.399 0.201 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCC(=O)N(C)C1 ZINC001085987487 822054108 /nfs/dbraw/zinc/05/41/08/822054108.db2.gz DOIAUYXNAJFQQQ-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCC(=O)NCC)[C@@H](O)C1 ZINC001100079431 822247326 /nfs/dbraw/zinc/24/73/26/822247326.db2.gz ZJHASXNDLLPIJY-STQMWFEESA-N 0 1 297.399 0.030 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1[C@H]2CN(CCOCC(F)F)C[C@H]21 ZINC001114067094 837420755 /nfs/dbraw/zinc/42/07/55/837420755.db2.gz MQNJYJYWSAEJND-SVDPJWKOSA-N 0 1 287.310 0.474 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)(C)O ZINC001114212394 837478005 /nfs/dbraw/zinc/47/80/05/837478005.db2.gz IRIJUSFQIKMQIV-PJXYFTJBSA-N 0 1 250.342 0.217 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](NCCF)[C@@H](n2ccnn2)C1 ZINC001129666921 837541710 /nfs/dbraw/zinc/54/17/10/837541710.db2.gz MGDLMMMQVAXYRO-NEPJUHHUSA-N 0 1 281.335 0.555 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCn2ccnc2C1 ZINC001130480725 837805356 /nfs/dbraw/zinc/80/53/56/837805356.db2.gz BAEMJDGCTRIZGR-NSHDSACASA-N 0 1 282.775 0.904 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCc2cncn2C1 ZINC001130484347 837807147 /nfs/dbraw/zinc/80/71/47/837807147.db2.gz LEGHFGLUOUJUFS-LLVKDONJSA-N 0 1 282.775 0.904 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C(C)C)C[C@H]1c1cn(C)cn1 ZINC001130500798 837817513 /nfs/dbraw/zinc/81/75/13/837817513.db2.gz PZWLQWCJKDTDHU-DZGCQCFKSA-N 0 1 288.395 0.983 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCCC2)C[C@@]1(C)CNCC#N ZINC001183398536 844013320 /nfs/dbraw/zinc/01/33/20/844013320.db2.gz FLTROHQKDGOJAR-UKRRQHHQSA-N 0 1 278.400 0.680 20 30 CCEDMN N#C[C@@H](Cc1ccc(O)cc1)C(=O)N1CCN2CC[C@@H]2C1 ZINC001183607819 844043271 /nfs/dbraw/zinc/04/32/71/844043271.db2.gz GKKPNLLZPUDPFU-ZIAGYGMSSA-N 0 1 285.347 0.991 20 30 CCEDMN N#Cc1cnc(-n2nnnc2CN)c2ccccc12 ZINC001168917054 836048353 /nfs/dbraw/zinc/04/83/53/836048353.db2.gz ATMUAIUARWOWRV-UHFFFAOYSA-N 0 1 251.253 0.541 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2nonc2C)C1 ZINC001108439765 836110812 /nfs/dbraw/zinc/11/08/12/836110812.db2.gz TYAHAOISXXLOIY-CQSZACIVSA-N 0 1 294.355 0.775 20 30 CCEDMN CC(=O)N1CCC[C@H](N2CCN(CCC#N)CC2)C1 ZINC001169614764 836398255 /nfs/dbraw/zinc/39/82/55/836398255.db2.gz JKCWILYNXFEDMX-AWEZNQCLSA-N 0 1 264.373 0.529 20 30 CCEDMN C[C@@H](CNc1cnc(C#N)cn1)NC(=O)c1[nH]ncc1F ZINC001108673757 836506949 /nfs/dbraw/zinc/50/69/49/836506949.db2.gz WQWHDPATNXUYAF-ZETCQYMHSA-N 0 1 289.274 0.441 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCOC)C2 ZINC001108988832 836591682 /nfs/dbraw/zinc/59/16/82/836591682.db2.gz LNBOZGHJTIOJII-AGIUHOORSA-N 0 1 250.342 0.768 20 30 CCEDMN C[C@@H](CN(C)c1ccc(C#N)cn1)NC(=O)c1ncn[nH]1 ZINC001109062833 836606332 /nfs/dbraw/zinc/60/63/32/836606332.db2.gz DXNFUPKCMOXQNJ-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN C[C@@H](CN(C)c1ccc(C#N)cn1)NC(=O)c1nc[nH]n1 ZINC001109062833 836606335 /nfs/dbraw/zinc/60/63/35/836606335.db2.gz DXNFUPKCMOXQNJ-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)CC)C2 ZINC001109081845 836612004 /nfs/dbraw/zinc/61/20/04/836612004.db2.gz HDRYEDUPGWCPRI-AGIUHOORSA-N 0 1 277.368 0.257 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOC ZINC001109266888 836649844 /nfs/dbraw/zinc/64/98/44/836649844.db2.gz IKLHHUIXKQQKJL-CRWXNKLISA-N 0 1 280.368 0.393 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCNc1ncnc2[nH]cnc21 ZINC001109393433 836669731 /nfs/dbraw/zinc/66/97/31/836669731.db2.gz FUQDUPWDDUMECP-SECBINFHSA-N 0 1 287.327 0.725 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCn1cncn1)C2 ZINC001109934302 836746250 /nfs/dbraw/zinc/74/62/50/836746250.db2.gz YMRSCQXYLJKYOE-RDBSUJKOSA-N 0 1 289.383 0.966 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1CCN(CC=C)C[C@@H]1O ZINC001100135734 836762048 /nfs/dbraw/zinc/76/20/48/836762048.db2.gz AWLDTHGEBJUHEA-STQMWFEESA-N 0 1 268.357 0.317 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C(=O)NC)CCC1)C2 ZINC001110011878 836762989 /nfs/dbraw/zinc/76/29/89/836762989.db2.gz CZNLMUVFFPARRE-AGIUHOORSA-N 0 1 291.395 0.810 20 30 CCEDMN N#Cc1c2c(cnc1NC[C@H]1COCCN1)CCC2 ZINC001170043170 836776443 /nfs/dbraw/zinc/77/64/43/836776443.db2.gz VFYMMNMSWVLWSU-NSHDSACASA-N 0 1 258.325 0.842 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001112766364 836899761 /nfs/dbraw/zinc/89/97/61/836899761.db2.gz VFYOBSUOQBBXNF-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCN(CCCC)CC1 ZINC001112779368 836908339 /nfs/dbraw/zinc/90/83/39/836908339.db2.gz XEQGTJMPFTUFQM-UHFFFAOYSA-N 0 1 265.357 0.070 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)nc1)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001113114425 837026735 /nfs/dbraw/zinc/02/67/35/837026735.db2.gz HKDJHKKVZMLAKT-BDAKNGLRSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)nc1)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001113114425 837026746 /nfs/dbraw/zinc/02/67/46/837026746.db2.gz HKDJHKKVZMLAKT-BDAKNGLRSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](NC(=O)Cc1cnc[nH]1)[C@@H](C)Nc1cncc(C#N)n1 ZINC001113313758 837084661 /nfs/dbraw/zinc/08/46/61/837084661.db2.gz MVELXXJYQFOCFA-NXEZZACHSA-N 0 1 299.338 0.619 20 30 CCEDMN C[C@H](NC(=O)Cc1cnc[nH]1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001113313511 837085464 /nfs/dbraw/zinc/08/54/64/837085464.db2.gz IYJBHVVBKIOFSG-UWVGGRQHSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCCN1CCN(C(=O)CNC(=O)[C@@H](C)CC)CC1 ZINC001113641966 837185537 /nfs/dbraw/zinc/18/55/37/837185537.db2.gz WCCLTHPZYBEGFW-ZDUSSCGKSA-N 0 1 281.400 0.869 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCCN2C(N)=O)CC1 ZINC001113728762 837208791 /nfs/dbraw/zinc/20/87/91/837208791.db2.gz IXIIVOVFLZZLBP-ZDUSSCGKSA-N 0 1 294.399 0.640 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cncc(C)c2)[C@H](O)C1 ZINC001090460639 837215052 /nfs/dbraw/zinc/21/50/52/837215052.db2.gz RYYGHEWLXCKACP-LSDHHAIUSA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC1 ZINC001113761397 837220233 /nfs/dbraw/zinc/22/02/33/837220233.db2.gz CJPYMJPHLKAGOW-XEZLXBQYSA-N 0 1 277.368 0.134 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(OC)n2)[C@@H](O)C1 ZINC001090461290 837232691 /nfs/dbraw/zinc/23/26/91/837232691.db2.gz ZPKHYPWWWWPELA-ONGXEEELSA-N 0 1 281.312 0.034 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccccc1 ZINC001113779708 837234717 /nfs/dbraw/zinc/23/47/17/837234717.db2.gz IVXRVSVJPXZKSL-ZSHCYNCHSA-N 0 1 284.359 0.996 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)C ZINC001113791666 837241186 /nfs/dbraw/zinc/24/11/86/837241186.db2.gz XZLDAXSNEPDKCD-RMRHIDDWSA-N 0 1 293.411 0.770 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C3CC3)on2)[C@H](O)C1 ZINC001090467395 837296575 /nfs/dbraw/zinc/29/65/75/837296575.db2.gz QVQFTFPAWUUGBI-DGCLKSJQSA-N 0 1 291.351 0.903 20 30 CCEDMN CC#CCN1C[C@@H](F)C[C@@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001131140697 838007490 /nfs/dbraw/zinc/00/74/90/838007490.db2.gz YJEIYNGXRDNQRO-WDEREUQCSA-N 0 1 293.346 0.939 20 30 CCEDMN CC#CCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001131140697 838007501 /nfs/dbraw/zinc/00/75/01/838007501.db2.gz YJEIYNGXRDNQRO-WDEREUQCSA-N 0 1 293.346 0.939 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CC(=O)N[C@H](CC)C1 ZINC001131681326 838173745 /nfs/dbraw/zinc/17/37/45/838173745.db2.gz ZQJMDTMICCLGSY-WDEREUQCSA-N 0 1 287.791 0.750 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CNC(=O)CCC)CC[C@H]1C ZINC001131917043 838267517 /nfs/dbraw/zinc/26/75/17/838267517.db2.gz BFBXXOQDPMDAED-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2nc(C)no2)CC[C@H]1C ZINC001132211804 838334860 /nfs/dbraw/zinc/33/48/60/838334860.db2.gz RLBAKNQWEBCUKG-YPMHNXCESA-N 0 1 290.367 0.913 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C2(C(=O)NC)CC2)CC[C@H]1C ZINC001132354462 838364928 /nfs/dbraw/zinc/36/49/28/838364928.db2.gz NNDAEEKDTUWIIO-OLZOCXBDSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNCC(=O)Nc1cc(C)on1 ZINC001132404162 838386500 /nfs/dbraw/zinc/38/65/00/838386500.db2.gz SJIAOASOGCUYKB-UHFFFAOYSA-N 0 1 294.355 0.840 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCN2CCCC2=O)CC[C@H]1C ZINC001132494655 838418242 /nfs/dbraw/zinc/41/82/42/838418242.db2.gz VSDNGTBDFQPQCU-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)NC(=O)NC)CC[C@H]1C ZINC001132513022 838421948 /nfs/dbraw/zinc/42/19/48/838421948.db2.gz NEAIDCFLQKUWHI-NEPJUHHUSA-N 0 1 296.415 0.849 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2cn(C)nn2)CC[C@H]1C ZINC001132545835 838427393 /nfs/dbraw/zinc/42/73/93/838427393.db2.gz FMJRMTPUDRQLRA-CHWSQXEVSA-N 0 1 289.383 0.350 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@]1(F)CCOC1 ZINC001132864278 838510827 /nfs/dbraw/zinc/51/08/27/838510827.db2.gz TYROPIHCBSJJIV-SNVBAGLBSA-N 0 1 250.701 0.573 20 30 CCEDMN C[C@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC[C@@H]1CNCC#N ZINC001185086722 844333669 /nfs/dbraw/zinc/33/36/69/844333669.db2.gz RQGOZNCKRKSBNM-WDEREUQCSA-N 0 1 291.355 0.337 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)[C@@]1(F)CCOC1 ZINC001132867686 838510571 /nfs/dbraw/zinc/51/05/71/838510571.db2.gz LTMUHSBDLNOQLC-OAHLLOKOSA-N 0 1 291.326 0.893 20 30 CCEDMN C[C@@H]1CCN(C(=O)CCc2c[nH]nn2)C[C@H]1CNCC#N ZINC001133129948 838560857 /nfs/dbraw/zinc/56/08/57/838560857.db2.gz IEUNEXLNQLMYIW-VXGBXAGGSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@@H]1CCN(C(=O)CCc2cnn[nH]2)C[C@H]1CNCC#N ZINC001133129948 838560864 /nfs/dbraw/zinc/56/08/64/838560864.db2.gz IEUNEXLNQLMYIW-VXGBXAGGSA-N 0 1 290.371 0.335 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCNCc1cnnn1C ZINC001133378382 838613699 /nfs/dbraw/zinc/61/36/99/838613699.db2.gz DWAQRGVMJBHGAS-CYBMUJFWSA-N 0 1 265.361 0.623 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCNCC(=O)Nc1ccon1 ZINC001133382983 838614586 /nfs/dbraw/zinc/61/45/86/838614586.db2.gz FCIANDCWAYUOFM-CQSZACIVSA-N 0 1 294.355 0.921 20 30 CCEDMN CSc1ncc(O)c(C(=O)N(C)[C@H](C)C#N)n1 ZINC001185176892 844356302 /nfs/dbraw/zinc/35/63/02/844356302.db2.gz ORTWXJQDYZHHSV-ZCFIWIBFSA-N 0 1 252.299 0.888 20 30 CCEDMN C=CCCC(=O)N[C@H](C)C[C@@H](C)NCc1nnnn1C ZINC001133889109 838767028 /nfs/dbraw/zinc/76/70/28/838767028.db2.gz QYXDMWFAKBVQFV-GHMZBOCLSA-N 0 1 280.376 0.549 20 30 CCEDMN C=C(C)CCC(=O)NCCCNCc1nnnn1C1CC1 ZINC001159020625 838782909 /nfs/dbraw/zinc/78/29/09/838782909.db2.gz DBFPZLWOCSMGQO-UHFFFAOYSA-N 0 1 292.387 0.960 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1nccnc1C ZINC001134053294 838840226 /nfs/dbraw/zinc/84/02/26/838840226.db2.gz RFZNSUQEPIHQEY-OCCSQVGLSA-N 0 1 290.367 0.582 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)[C@@H]1CCC(=O)N1 ZINC001134111668 838870360 /nfs/dbraw/zinc/87/03/60/838870360.db2.gz XTNXNNYSTOSDLW-ZJUUUORDSA-N 0 1 273.764 0.359 20 30 CCEDMN Cc1nc(CC(=O)N[C@H](C)C[C@H](C)NCC#N)n[nH]1 ZINC001134355374 838953091 /nfs/dbraw/zinc/95/30/91/838953091.db2.gz GAZHIQTVORTZBN-DTWKUNHWSA-N 0 1 264.333 0.052 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)CCc1cnc[nH]1)NCC#N ZINC001134544324 839001694 /nfs/dbraw/zinc/00/16/94/839001694.db2.gz FRNDCLVOGACBHL-MNOVXSKESA-N 0 1 263.345 0.739 20 30 CCEDMN C=CC[NH2+]CCNC(=O)c1n[n-]c2ccccc2c1=O ZINC001134591106 839015157 /nfs/dbraw/zinc/01/51/57/839015157.db2.gz BDRMRRDMWIPAIQ-UHFFFAOYSA-N 0 1 272.308 0.841 20 30 CCEDMN C=CCn1cc(C(=O)NCCNCc2cc(C)no2)nn1 ZINC001134981262 839135215 /nfs/dbraw/zinc/13/52/15/839135215.db2.gz WBGWXUUAZCSDRU-UHFFFAOYSA-N 0 1 290.327 0.280 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2COCCO2)CC1 ZINC001159415422 839171265 /nfs/dbraw/zinc/17/12/65/839171265.db2.gz ZKRJDZREMOJIFM-AWEZNQCLSA-N 0 1 278.352 0.173 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1cnon1 ZINC001135577644 839308518 /nfs/dbraw/zinc/30/85/18/839308518.db2.gz XJNKLLVNCPJYKR-UHFFFAOYSA-N 0 1 271.280 0.461 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1ncccn1 ZINC001135602185 839312643 /nfs/dbraw/zinc/31/26/43/839312643.db2.gz DLMVMTPRBWGKJU-UHFFFAOYSA-N 0 1 281.319 0.868 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2n[nH]cc2F)[C@H](O)C1 ZINC001090553277 839638410 /nfs/dbraw/zinc/63/84/10/839638410.db2.gz LNJYKXAYZDWPBM-WDEREUQCSA-N 0 1 282.319 0.290 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CC3(CC3)C2)[C@H](O)C1 ZINC001090561150 839646825 /nfs/dbraw/zinc/64/68/25/839646825.db2.gz ZHBVAXMHKXFYCX-CHWSQXEVSA-N 0 1 264.369 0.914 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CC3(CC3)C2)[C@H](O)C1 ZINC001090561154 839646966 /nfs/dbraw/zinc/64/69/66/839646966.db2.gz ZHBVAXMHKXFYCX-QWHCGFSZSA-N 0 1 264.369 0.914 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](NC(=O)Cc2cnc[nH]2)[C@@H](O)C1 ZINC001090593832 839663395 /nfs/dbraw/zinc/66/33/95/839663395.db2.gz GLFPTRHMZMBWLB-NEPJUHHUSA-N 0 1 298.774 0.256 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(C)nc2C)[C@@H](O)C1 ZINC001090654065 839705778 /nfs/dbraw/zinc/70/57/78/839705778.db2.gz FFJQIRQGDMFWFW-KGLIPLIRSA-N 0 1 290.367 0.444 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001185806533 844463218 /nfs/dbraw/zinc/46/32/18/844463218.db2.gz LPLUOXZMDMETJC-VXGBXAGGSA-N 0 1 287.791 0.844 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ncccn2)[C@@H](O)C1 ZINC001090663386 839712288 /nfs/dbraw/zinc/71/22/88/839712288.db2.gz AHCDOJHLPAOHBP-NEPJUHHUSA-N 0 1 276.340 0.218 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CN(C)CCN1C ZINC001136761840 839750880 /nfs/dbraw/zinc/75/08/80/839750880.db2.gz YCVJCEXUAROLPF-RDBSUJKOSA-N 0 1 281.400 0.330 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cn(C)nc2Cl)[C@H](O)C1 ZINC001090718877 839754672 /nfs/dbraw/zinc/75/46/72/839754672.db2.gz JLMOHLUCFAHIQT-GHMZBOCLSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C)nc2Cl)[C@H](O)C1 ZINC001090718877 839754682 /nfs/dbraw/zinc/75/46/82/839754682.db2.gz JLMOHLUCFAHIQT-GHMZBOCLSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cn(CC)cn2)[C@H](O)C1 ZINC001090731888 839762747 /nfs/dbraw/zinc/76/27/47/839762747.db2.gz XNPVBEYINUJUTR-DGCLKSJQSA-N 0 1 278.356 0.254 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(CC)cn2)[C@H](O)C1 ZINC001090731888 839762757 /nfs/dbraw/zinc/76/27/57/839762757.db2.gz XNPVBEYINUJUTR-DGCLKSJQSA-N 0 1 278.356 0.254 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(Cl)no2)[C@@H](O)C1 ZINC001090745077 839773851 /nfs/dbraw/zinc/77/38/51/839773851.db2.gz ZCFDDOFVLRBVBO-IUCAKERBSA-N 0 1 285.731 0.679 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncccc2OC)[C@H](O)C1 ZINC001090761745 839789363 /nfs/dbraw/zinc/78/93/63/839789363.db2.gz VKVKAFNEWARSGV-NWDGAFQWSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)noc2CC)[C@@H](O)C1 ZINC001090802552 839822992 /nfs/dbraw/zinc/82/29/92/839822992.db2.gz PGRLNABCMLYBCQ-RYUDHWBXSA-N 0 1 293.367 0.896 20 30 CCEDMN C#CC(=O)Nc1ccc(N2CCN(CCO)CC2)cc1 ZINC001143908676 839890989 /nfs/dbraw/zinc/89/09/89/839890989.db2.gz RBIYGKGSICUUSJ-UHFFFAOYSA-N 0 1 273.336 0.373 20 30 CCEDMN N#CCNC1CC(CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001091027871 839993427 /nfs/dbraw/zinc/99/34/27/839993427.db2.gz QZFKVHURANGIPM-MCIGGMRASA-N 0 1 287.367 0.838 20 30 CCEDMN COc1cccc(C[C@@H](N)C(=O)N(C)[C@H](C)C#N)c1 ZINC001144937033 840204972 /nfs/dbraw/zinc/20/49/72/840204972.db2.gz HQQVWXNWAPDPOM-ZWNOBZJWSA-N 0 1 261.325 0.935 20 30 CCEDMN COc1cccc(C[C@H](N)C(=O)N(C)[C@H](C)C#N)c1 ZINC001144937032 840205088 /nfs/dbraw/zinc/20/50/88/840205088.db2.gz HQQVWXNWAPDPOM-MFKMUULPSA-N 0 1 261.325 0.935 20 30 CCEDMN N=C(Nc1ccccc1CC(N)=O)c1ccc(C(N)=O)cc1 ZINC001171258507 840223477 /nfs/dbraw/zinc/22/34/77/840223477.db2.gz BAQLCZBKZPAPCN-UHFFFAOYSA-N 0 1 296.330 0.850 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)OCC3CC3)C2)C1 ZINC001147122194 840494091 /nfs/dbraw/zinc/49/40/91/840494091.db2.gz MLWGHDFGSQTZPW-CYBMUJFWSA-N 0 1 276.380 0.969 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@](C)(NC(C)=O)C1CC1 ZINC001147466503 840594861 /nfs/dbraw/zinc/59/48/61/840594861.db2.gz NHLBSIOFKRIXDD-ZDUSSCGKSA-N 0 1 287.791 0.750 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@@](C)(O)C=C)C2)C1 ZINC001147439302 840598121 /nfs/dbraw/zinc/59/81/21/840598121.db2.gz BBDHKRWCYOYSFR-AWEZNQCLSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C[C@@H]2CCOC2)C1 ZINC001149432461 840624403 /nfs/dbraw/zinc/62/44/03/840624403.db2.gz TUDOUANUPSOGNQ-LSDHHAIUSA-N 0 1 294.395 0.643 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001147671545 840654454 /nfs/dbraw/zinc/65/44/54/840654454.db2.gz OLBZBUXPUSFZOF-SNVBAGLBSA-N 0 1 282.775 0.973 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cnnn2CC)cn1 ZINC001148383060 840798844 /nfs/dbraw/zinc/79/88/44/840798844.db2.gz PYLLVGRLYOTNCM-UHFFFAOYSA-N 0 1 298.350 0.194 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COCCOCC)C2)C1 ZINC001148852015 840898585 /nfs/dbraw/zinc/89/85/85/840898585.db2.gz YANNSNPBZHESEX-UHFFFAOYSA-N 0 1 280.368 0.207 20 30 CCEDMN CC#CC[NH2+][C@@H]1C[C@@H](NC(=O)c2[n-]nnc2C)C12CCC2 ZINC001203249722 840976152 /nfs/dbraw/zinc/97/61/52/840976152.db2.gz OBYJLIWAKSWHPD-VXGBXAGGSA-N 0 1 287.367 0.767 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2ccon2)[C@H](O)C1 ZINC001099923794 841183326 /nfs/dbraw/zinc/18/33/26/841183326.db2.gz JSXOVLLHJLMOPD-UONOGXRCSA-N 0 1 291.351 0.182 20 30 CCEDMN CO[C@H](C)C[N@H+]1C[C@@H]2CCN(C(=O)CSCC#N)[C@@H]2C1 ZINC001186916941 844621372 /nfs/dbraw/zinc/62/13/72/844621372.db2.gz BNMXVTGXINQVBE-FRRDWIJNSA-N 0 1 297.424 0.811 20 30 CCEDMN Cc1nonc1CNC[C@@H]1CN(C(=O)[C@H](C)C#N)C[C@H]1C ZINC001093678648 841426211 /nfs/dbraw/zinc/42/62/11/841426211.db2.gz MGAMTOHJTNKRGL-CKYFFXLPSA-N 0 1 291.355 0.722 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCCNc1nccnc1C#N ZINC001094044795 841518715 /nfs/dbraw/zinc/51/87/15/841518715.db2.gz HGTPCCFYUDOODP-LBPRGKRZSA-N 0 1 288.355 0.361 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCCNc1cncc(C#N)n1 ZINC001094188567 841561986 /nfs/dbraw/zinc/56/19/86/841561986.db2.gz CLLJMENWPZKHSG-SNVBAGLBSA-N 0 1 299.338 0.478 20 30 CCEDMN COc1cnc(Nc2nccnc2CN)c(C#N)c1 ZINC001171441554 841619201 /nfs/dbraw/zinc/61/92/01/841619201.db2.gz SZVKWYFVQCNMDS-UHFFFAOYSA-N 0 1 256.269 0.954 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C2(COC)CC2)C1 ZINC001149974125 841739791 /nfs/dbraw/zinc/73/97/91/841739791.db2.gz JSYFNQLOOXPNPL-CQSZACIVSA-N 0 1 294.395 0.643 20 30 CCEDMN COC(=O)[C@@H]1CN([C@@H](C)CCCC#N)CCN1C ZINC001172498723 841935391 /nfs/dbraw/zinc/93/53/91/841935391.db2.gz QJYSDIBJMIZDPZ-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@H](CCCC#N)N1CCN(CC(=O)N(C)C)CC1 ZINC001172504594 841936525 /nfs/dbraw/zinc/93/65/25/841936525.db2.gz HQQGLAGVZDVNKZ-CYBMUJFWSA-N 0 1 266.389 0.775 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2cnoc2)C1 ZINC001150171515 842061455 /nfs/dbraw/zinc/06/14/55/842061455.db2.gz KIAAEBYWLMRWQH-ZDUSSCGKSA-N 0 1 279.340 0.610 20 30 CCEDMN C#CCN1CCO[C@H]2CCN(C(=O)C[N@H+](C)CCC)C[C@H]21 ZINC001177139691 842510283 /nfs/dbraw/zinc/51/02/83/842510283.db2.gz TYFRXUMSGBJQLU-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1nnc(C)[nH]1 ZINC001177273484 842543361 /nfs/dbraw/zinc/54/33/61/842543361.db2.gz QOVXXCUCTRNPKD-JTQLQIEISA-N 0 1 281.360 0.300 20 30 CCEDMN CO/N=C(\C(=O)N1CCN2CC[C@H]2C1)c1ccco1 ZINC001177352093 842563051 /nfs/dbraw/zinc/56/30/51/842563051.db2.gz GTXMUKHNWBGJGZ-JMVABTLVSA-N 0 1 263.297 0.547 20 30 CCEDMN C#Cc1ccc(CNC(=O)Cc2n[nH]c(C)n2)cc1 ZINC001177441150 842605475 /nfs/dbraw/zinc/60/54/75/842605475.db2.gz KZFQFMWDZAXXIC-UHFFFAOYSA-N 0 1 254.293 0.953 20 30 CCEDMN C=C[C@@H]1C[C@@]1(NC(=O)Cc1n[nH]c(C)n1)C(=O)OCC ZINC001177443382 842607894 /nfs/dbraw/zinc/60/78/94/842607894.db2.gz WXWVFNZXWURAFR-RNCFNFMXSA-N 0 1 278.312 0.280 20 30 CCEDMN CCOC(=O)c1cc(NC(=O)C#Cc2ccccn2)n[nH]1 ZINC001177797415 842679245 /nfs/dbraw/zinc/67/92/45/842679245.db2.gz PQWOCJVXNAKZJM-UHFFFAOYSA-N 0 1 284.275 0.972 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1C=CS(=O)(=O)C1 ZINC001177917369 842708130 /nfs/dbraw/zinc/70/81/30/842708130.db2.gz SKTBTVIUBBLNTO-UWVGGRQHSA-N 0 1 296.373 0.857 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@@H]1CCCN(c2ccncn2)C1 ZINC001178123765 842779030 /nfs/dbraw/zinc/77/90/30/842779030.db2.gz RQBDCMKATFGJFU-SNVBAGLBSA-N 0 1 297.322 0.926 20 30 CCEDMN C=C[C@@H](CO)NC(=O)Cc1n[nH]c(Cc2ccccc2)n1 ZINC001178419502 842844447 /nfs/dbraw/zinc/84/44/47/842844447.db2.gz GOBKFMNJWUNCMU-LBPRGKRZSA-N 0 1 286.335 0.601 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)CC(N)=O)[C@H]1C ZINC001179300797 842983558 /nfs/dbraw/zinc/98/35/58/842983558.db2.gz YQVSHWWHYGFBCC-LSJOCFKGSA-N 0 1 287.791 0.829 20 30 CCEDMN C[C@@](O)(CC(=O)Nc1nc[nH]c1C#N)C(F)(F)F ZINC001179804951 843051254 /nfs/dbraw/zinc/05/12/54/843051254.db2.gz WBQYZUMMWHYZBD-MRVPVSSYSA-N 0 1 262.191 0.923 20 30 CCEDMN CC(C)N1CCN(CC(=O)N2CCCC[C@@H]2C#N)CC1 ZINC001180347607 843149381 /nfs/dbraw/zinc/14/93/81/843149381.db2.gz YYYMISMBZFATDF-CQSZACIVSA-N 0 1 278.400 0.917 20 30 CCEDMN N#CC1(CNC(=O)[C@@H]2CC2[N+](=O)[O-])CCOCC1 ZINC001180617565 843213662 /nfs/dbraw/zinc/21/36/62/843213662.db2.gz ULJVSSCBPSOKHI-RKDXNWHRSA-N 0 1 253.258 0.088 20 30 CCEDMN N#CC1(CNC(=O)CCc2c[nH]nn2)CCOCC1 ZINC001180616782 843213965 /nfs/dbraw/zinc/21/39/65/843213965.db2.gz JWUJXVYJZPQLTN-UHFFFAOYSA-N 0 1 263.301 0.174 20 30 CCEDMN N#CC1(CNC(=O)CCc2cnn[nH]2)CCOCC1 ZINC001180616782 843213978 /nfs/dbraw/zinc/21/39/78/843213978.db2.gz JWUJXVYJZPQLTN-UHFFFAOYSA-N 0 1 263.301 0.174 20 30 CCEDMN CN1CC2(C1)CN(C(=O)Cc1ccccc1C#N)C2 ZINC001181800096 843616819 /nfs/dbraw/zinc/61/68/19/843616819.db2.gz UBIGTULSQQGBOM-UHFFFAOYSA-N 0 1 255.321 0.875 20 30 CCEDMN COC(=O)CC(C)(C)C(=O)Nc1nc[nH]c1C#N ZINC001182609232 843876710 /nfs/dbraw/zinc/87/67/10/843876710.db2.gz ZDPZUIHIEDRADQ-UHFFFAOYSA-N 0 1 250.258 0.809 20 30 CCEDMN C=C[C@@](C)(O)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC001183007674 843950245 /nfs/dbraw/zinc/95/02/45/843950245.db2.gz XTURUQPNCCFJSE-CYBMUJFWSA-N 0 1 274.280 0.537 20 30 CCEDMN Cc1ccc(C#N)c(NC2(CNC(=O)c3cnn[nH]3)CC2)n1 ZINC001110395911 844751442 /nfs/dbraw/zinc/75/14/42/844751442.db2.gz DAWBAYFZDFXCFE-UHFFFAOYSA-N 0 1 297.322 0.754 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cnsn2)C1 ZINC001189059995 845029433 /nfs/dbraw/zinc/02/94/33/845029433.db2.gz XAFVWNVWUCSYRD-LLVKDONJSA-N 0 1 294.380 0.334 20 30 CCEDMN C=C(C)CCN(C)[C@H]1CCN(C(=O)CS(C)(=O)=O)C1 ZINC001189162164 845069487 /nfs/dbraw/zinc/06/94/87/845069487.db2.gz YXGPUCBVKDRVML-LBPRGKRZSA-N 0 1 288.413 0.530 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)COC[C@H]3CCOC3)[C@@H]2C1 ZINC001189292045 845094877 /nfs/dbraw/zinc/09/48/77/845094877.db2.gz GNCLBHHPGSSKDX-SOUVJXGZSA-N 0 1 292.379 0.205 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COC[C@H]3CCOC3)[C@@H]2C1 ZINC001189292045 845094884 /nfs/dbraw/zinc/09/48/84/845094884.db2.gz GNCLBHHPGSSKDX-SOUVJXGZSA-N 0 1 292.379 0.205 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ocnc2C)C1 ZINC001189539086 845169148 /nfs/dbraw/zinc/16/91/48/845169148.db2.gz WQMZZDXOJLTUBK-CYBMUJFWSA-N 0 1 291.351 0.779 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001189674705 845184564 /nfs/dbraw/zinc/18/45/64/845184564.db2.gz RKROOGRDBJPZLL-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)n2cncn2)C1 ZINC001189695303 845192785 /nfs/dbraw/zinc/19/27/85/845192785.db2.gz HBXIFFIKDUEUAH-OLZOCXBDSA-N 0 1 275.356 0.395 20 30 CCEDMN COC(=O)c1ccncc1C(=O)Nc1nc[nH]c1C#N ZINC001189792898 845209132 /nfs/dbraw/zinc/20/91/32/845209132.db2.gz RUBPXECDTSXUNB-UHFFFAOYSA-N 0 1 271.236 0.715 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCN(C(=O)CC[C@@H](C)OC)C1 ZINC001189798539 845224641 /nfs/dbraw/zinc/22/46/41/845224641.db2.gz UAJKSARMCHMOEH-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CC[C@@H](C)OC)C1 ZINC001189798539 845224646 /nfs/dbraw/zinc/22/46/46/845224646.db2.gz UAJKSARMCHMOEH-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN CNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001190054785 845318401 /nfs/dbraw/zinc/31/84/01/845318401.db2.gz TWPNXJZSCQWUOO-OLZOCXBDSA-N 0 1 279.384 0.313 20 30 CCEDMN CNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190085232 845326605 /nfs/dbraw/zinc/32/66/05/845326605.db2.gz VXNGKWDULMYCDV-QWHCGFSZSA-N 0 1 293.411 0.703 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(F)F)C1 ZINC001190638380 845452892 /nfs/dbraw/zinc/45/28/92/845452892.db2.gz AIAFAWHEYPCZKR-NXEZZACHSA-N 0 1 262.300 0.769 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)C(C)(F)F)C1 ZINC001190638380 845452895 /nfs/dbraw/zinc/45/28/95/845452895.db2.gz AIAFAWHEYPCZKR-NXEZZACHSA-N 0 1 262.300 0.769 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COc2cc(C)on2)C1 ZINC001190764788 845491446 /nfs/dbraw/zinc/49/14/46/845491446.db2.gz DTEUSZMHIJBNKU-CYBMUJFWSA-N 0 1 291.351 0.918 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ccsn2)C1 ZINC001190848049 845520524 /nfs/dbraw/zinc/52/05/24/845520524.db2.gz LGOMLKKGZFBJRW-GFCCVEGCSA-N 0 1 293.392 0.939 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)CCOCC(C)C)C1 ZINC001191254316 845615031 /nfs/dbraw/zinc/61/50/31/845615031.db2.gz JKKXJUMEKCVABF-ZIAGYGMSSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCOCC(C)C)C1 ZINC001191254316 845615034 /nfs/dbraw/zinc/61/50/34/845615034.db2.gz JKKXJUMEKCVABF-ZIAGYGMSSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCN(C(=O)C(C)(C)COC)C1 ZINC001191360073 845640735 /nfs/dbraw/zinc/64/07/35/845640735.db2.gz KREIEKGNNHFFOF-GFCCVEGCSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(C)(C)COC)C1 ZINC001191360073 845640740 /nfs/dbraw/zinc/64/07/40/845640740.db2.gz KREIEKGNNHFFOF-GFCCVEGCSA-N 0 1 252.358 0.825 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC001191551412 845688687 /nfs/dbraw/zinc/68/86/87/845688687.db2.gz LOMJHDHVCBABFY-CYBMUJFWSA-N 0 1 290.371 0.574 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@]2(COC)CCOC2)C1 ZINC001191567427 845692797 /nfs/dbraw/zinc/69/27/97/845692797.db2.gz APNMSDSRKKPDKX-DZGCQCFKSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCNC(=O)C(C)(C)C)C1 ZINC001191651357 845708897 /nfs/dbraw/zinc/70/88/97/845708897.db2.gz ZBHXPRQZEMXTTQ-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2=COCCC2)C1 ZINC001191657881 845711765 /nfs/dbraw/zinc/71/17/65/845711765.db2.gz BTAKYYYMJCRBHG-ZIAGYGMSSA-N 0 1 296.367 0.045 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)CCCF)C1 ZINC001191781932 845735629 /nfs/dbraw/zinc/73/56/29/845735629.db2.gz YHGSGLPERNRLPP-STQMWFEESA-N 0 1 297.374 0.407 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)C(=O)C(C)(C)C)C1 ZINC001191897128 845752531 /nfs/dbraw/zinc/75/25/31/845752531.db2.gz AFPKYJQLHWFPJF-VXGBXAGGSA-N 0 1 282.384 0.729 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@]2(F)CCOC2)C1 ZINC001191959553 845767209 /nfs/dbraw/zinc/76/72/09/845767209.db2.gz SVJTWWHWLHQAEK-JSGCOSHPSA-N 0 1 268.332 0.671 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCC(F)(F)F)C1 ZINC001192029935 845777847 /nfs/dbraw/zinc/77/78/47/845777847.db2.gz VXXVQCNDVPPHCA-NXEZZACHSA-N 0 1 278.274 0.514 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2cc(OC)no2)C1 ZINC001192338931 845822597 /nfs/dbraw/zinc/82/25/97/845822597.db2.gz GGTRKUJUDXXWAU-GHMZBOCLSA-N 0 1 295.339 0.424 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cn2ccc(C)n2)C1 ZINC001192406129 845850510 /nfs/dbraw/zinc/85/05/10/845850510.db2.gz BKKNVVSTZUDOPO-CQSZACIVSA-N 0 1 274.368 0.748 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC)NC(C)=O)C1 ZINC001192464461 845853881 /nfs/dbraw/zinc/85/38/81/845853881.db2.gz MEKNOBIXQNLQDL-OLZOCXBDSA-N 0 1 265.357 0.067 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC2(OC)CCC2)C1 ZINC001192540425 845876436 /nfs/dbraw/zinc/87/64/36/845876436.db2.gz PDOLCSYPSDXDHF-CHWSQXEVSA-N 0 1 282.384 0.683 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC(=C)C)NC(C)=O)C1 ZINC001192688635 845893949 /nfs/dbraw/zinc/89/39/49/845893949.db2.gz WZQSDAPUHBHDCC-CABCVRRESA-N 0 1 291.395 0.623 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(Cc2ccccc2)C[C@H]1O ZINC001192770608 845904877 /nfs/dbraw/zinc/90/48/77/845904877.db2.gz NOORZJOIRTXAQI-HZPDHXFCSA-N 0 1 284.359 0.761 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2nc(C)c[nH]2)C1 ZINC001192766618 845905764 /nfs/dbraw/zinc/90/57/64/845905764.db2.gz BSBVLNOKCXBWKB-ZDUSSCGKSA-N 0 1 290.367 0.514 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(O)cn1 ZINC001273649068 845925556 /nfs/dbraw/zinc/92/55/56/845925556.db2.gz SCCGDPHUCWOADO-HUUCEWRRSA-N 0 1 285.347 0.986 20 30 CCEDMN C[NH+]1CCN(c2ncccc2[N-]S(=O)(=O)CC#N)CC1 ZINC001192935527 845941994 /nfs/dbraw/zinc/94/19/94/845941994.db2.gz WDWSMLZDAKBJSK-UHFFFAOYSA-N 0 1 295.368 0.099 20 30 CCEDMN CCOC(=O)CN(CCC#N)S(=O)(=O)[C@H](C)C#N ZINC001193138209 846002450 /nfs/dbraw/zinc/00/24/50/846002450.db2.gz IMAZONAHIVAUHS-SECBINFHSA-N 0 1 273.314 0.007 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2oncc2C)C1 ZINC001193235194 846030016 /nfs/dbraw/zinc/03/00/16/846030016.db2.gz DXLLILRQBLOBGQ-ZDUSSCGKSA-N 0 1 291.351 0.779 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2oncc2C)C1 ZINC001193235193 846030767 /nfs/dbraw/zinc/03/07/67/846030767.db2.gz DXLLILRQBLOBGQ-CYBMUJFWSA-N 0 1 291.351 0.779 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(OC)nn2)C1 ZINC001193266809 846037663 /nfs/dbraw/zinc/03/76/63/846037663.db2.gz GXAXODSPODVWKG-GFCCVEGCSA-N 0 1 288.351 0.655 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C2(C(=O)N(C)C)CC2)C1 ZINC001193400300 846072533 /nfs/dbraw/zinc/07/25/33/846072533.db2.gz XOKJFRGTJUNCBR-ZDUSSCGKSA-N 0 1 291.395 0.411 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN3C(=O)OC[C@H]3C2)ccc1O ZINC001193503691 846099525 /nfs/dbraw/zinc/09/95/25/846099525.db2.gz DUMYSZGGAOXBNV-LLVKDONJSA-N 0 1 287.275 0.540 20 30 CCEDMN C[C@@]1(CO)CCN(C(=O)c2ccc(O)c(C#N)c2)C[C@@H]1O ZINC001193505833 846101340 /nfs/dbraw/zinc/10/13/40/846101340.db2.gz JGMOBMVCZGPWPU-ZFWWWQNUSA-N 0 1 290.319 0.469 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC3(C2)OCCO3)ccc1O ZINC001193505452 846101497 /nfs/dbraw/zinc/10/14/97/846101497.db2.gz ZAMBRTWPTQSVPL-UHFFFAOYSA-N 0 1 274.276 0.853 20 30 CCEDMN CC(C)(CNC(=O)c1ccc(O)c(C#N)c1)C(N)=O ZINC001193513212 846103674 /nfs/dbraw/zinc/10/36/74/846103674.db2.gz ZPSUGHCCJFWVIR-UHFFFAOYSA-N 0 1 261.281 0.505 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@@H](O)[C@H](F)C2)c1O ZINC001193642566 846135010 /nfs/dbraw/zinc/13/50/10/846135010.db2.gz JMFVWHVNIXDDOB-GHMZBOCLSA-N 0 1 264.256 0.809 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1ccc(F)c(O)c1F ZINC001193767902 846155497 /nfs/dbraw/zinc/15/54/97/846155497.db2.gz AUDMTPYRUKQKSG-ZETCQYMHSA-N 0 1 267.235 0.608 20 30 CCEDMN Cc1nc(C(=O)Nc2nc[nH]c2C#N)c(=O)[nH]c1C ZINC001193955037 846186717 /nfs/dbraw/zinc/18/67/17/846186717.db2.gz OHCQGMJGVIHVEW-UHFFFAOYSA-N 0 1 258.241 0.234 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001193960913 846188834 /nfs/dbraw/zinc/18/88/34/846188834.db2.gz IKQLAWLYNNSULD-GHMZBOCLSA-N 0 1 294.355 0.165 20 30 CCEDMN COC(=O)C1(C#N)CCN(CCC[C@H](C)O)CC1 ZINC001194392774 846285301 /nfs/dbraw/zinc/28/53/01/846285301.db2.gz CIZTVGSUWYNPSR-NSHDSACASA-N 0 1 254.330 0.926 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001194397046 846286974 /nfs/dbraw/zinc/28/69/74/846286974.db2.gz NNPPGZWIXKQFIL-LSDHHAIUSA-N 0 1 294.395 0.760 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](NC(C)=O)C(C)(C)C)C1 ZINC001194523385 846317196 /nfs/dbraw/zinc/31/71/96/846317196.db2.gz UPFGNFHNTBMJKU-UONOGXRCSA-N 0 1 293.411 0.703 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2CCC(=O)N2)c(O)c1 ZINC001195763669 846612131 /nfs/dbraw/zinc/61/21/31/846612131.db2.gz AXPMGRAPQQUUFT-SECBINFHSA-N 0 1 259.265 0.272 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN([C@H](CC)C(N)=O)CC2 ZINC001273705490 846615936 /nfs/dbraw/zinc/61/59/36/846615936.db2.gz ZRJFMCVCGARQEK-VXGBXAGGSA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001195814753 846622859 /nfs/dbraw/zinc/62/28/59/846622859.db2.gz TYEBDLUSFUEIQM-HBNTYKKESA-N 0 1 292.301 0.760 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@@H](C)OC)C[C@H]2O)CCC1 ZINC001195897325 846640289 /nfs/dbraw/zinc/64/02/89/846640289.db2.gz ZTXSVPKCTDEGBI-MGPQQGTHSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CCCN(C[C@H](C)O)CC1 ZINC001198344225 847091617 /nfs/dbraw/zinc/09/16/17/847091617.db2.gz RRFHDAGYPHLWSC-QWHCGFSZSA-N 0 1 270.373 0.493 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCCn2cccn2)C1 ZINC001198777745 847166193 /nfs/dbraw/zinc/16/61/93/847166193.db2.gz UWCTWPXOCXQXRW-ZIAGYGMSSA-N 0 1 292.383 0.401 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CC[C@H](C)F)C[C@H]1O ZINC001199308236 847289924 /nfs/dbraw/zinc/28/99/24/847289924.db2.gz ONCJGOWLQLMRCL-YNEHKIRRSA-N 0 1 288.363 0.489 20 30 CCEDMN C=CCN1CC[C@]2(CCN([C@@H](COC)C(=O)OC)C2)C1=O ZINC001273803321 847530465 /nfs/dbraw/zinc/53/04/65/847530465.db2.gz FQAKKCDMYDTVLZ-SWLSCSKDSA-N 0 1 296.367 0.285 20 30 CCEDMN C=CCNC(=S)N1CCN(CC(C)(C)O)CC1 ZINC001200290550 847598170 /nfs/dbraw/zinc/59/81/70/847598170.db2.gz KTZQYDYOXWUKBN-UHFFFAOYSA-N 0 1 257.403 0.435 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152782861 847659244 /nfs/dbraw/zinc/65/92/44/847659244.db2.gz YEKIZMJGIIUGFF-SECBINFHSA-N 0 1 264.329 0.497 20 30 CCEDMN C=C(C)CCC(=O)N1CCC(NCc2nnnn2C)CC1 ZINC001200815493 847688089 /nfs/dbraw/zinc/68/80/89/847688089.db2.gz HNCMDHBLWWKMKB-UHFFFAOYSA-N 0 1 292.387 0.647 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1cc(C)ncn1 ZINC001153149087 847935885 /nfs/dbraw/zinc/93/58/85/847935885.db2.gz RXWFCSLRTJYDTB-LBPRGKRZSA-N 0 1 278.356 0.582 20 30 CCEDMN C=CCOC[C@H](O)CN1CCN(C[C@H]2CCCO2)CC1 ZINC001252465127 847975944 /nfs/dbraw/zinc/97/59/44/847975944.db2.gz CJRVPARLKGSWHT-HUUCEWRRSA-N 0 1 284.400 0.347 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(c2nccnc2C#N)CC1 ZINC001252560467 848005634 /nfs/dbraw/zinc/00/56/34/848005634.db2.gz SLNIFNGOVOSXGI-CQSZACIVSA-N 0 1 273.340 0.407 20 30 CCEDMN CN(C)CC#CCNC(=O)c1n[nH]c2c1CCCC2 ZINC000717596077 848277322 /nfs/dbraw/zinc/27/73/22/848277322.db2.gz RZDYENKLFUODHW-UHFFFAOYSA-N 0 1 260.341 0.583 20 30 CCEDMN CCOC(CC(=O)NCC#CCN(C)C)OCC ZINC000717690890 848283488 /nfs/dbraw/zinc/28/34/88/848283488.db2.gz QQVOFEWKEDHXLN-UHFFFAOYSA-N 0 1 256.346 0.457 20 30 CCEDMN C=C(C)CNC(=O)[C@H]1CC12CCN(CC(=O)NC)CC2 ZINC001274074460 848340142 /nfs/dbraw/zinc/34/01/42/848340142.db2.gz VGMNJQGDWXCBHA-GFCCVEGCSA-N 0 1 279.384 0.527 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nnc(C)[nH]1)C2 ZINC001095396147 848402624 /nfs/dbraw/zinc/40/26/24/848402624.db2.gz KPEWFEAFUQSXOG-WOPDTQHZSA-N 0 1 273.340 0.010 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1ccnc1)C2 ZINC001110875857 848420841 /nfs/dbraw/zinc/42/08/41/848420841.db2.gz NZXIEQLFEBASFU-MCIONIFRSA-N 0 1 272.352 0.628 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)c1cc(C)co1 ZINC001274287481 848441509 /nfs/dbraw/zinc/44/15/09/848441509.db2.gz PZZOUYNSRZQQDN-PLNGDYQASA-N 0 1 289.335 0.213 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2Cc2nnc[nH]2)C1=O ZINC001274354312 848455582 /nfs/dbraw/zinc/45/55/82/848455582.db2.gz GNAIHMQFFACEBM-ZDUSSCGKSA-N 0 1 261.329 0.558 20 30 CCEDMN C#CCN1CC[C@]2(CCCN(Cc3nnc[nH]3)CC2)C1=O ZINC001274354628 848456830 /nfs/dbraw/zinc/45/68/30/848456830.db2.gz PGRDQBNMVLHEID-OAHLLOKOSA-N 0 1 287.367 0.643 20 30 CCEDMN C=CCN1C[C@]2(CCN(Cc3cnc(C)[nH]3)C2)OCC1=O ZINC001274575291 848510167 /nfs/dbraw/zinc/51/01/67/848510167.db2.gz CDBMSSPZQFNIMA-OAHLLOKOSA-N 0 1 290.367 0.707 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H](C)n2cccn2)CC1 ZINC001274891308 848585708 /nfs/dbraw/zinc/58/57/08/848585708.db2.gz BCNVNACYTLFPRU-CYBMUJFWSA-N 0 1 290.367 0.020 20 30 CCEDMN CCN1CC[C@H](N(C)C[C@H](C)NC(=O)C#CC2CC2)C1=O ZINC001275551935 848760727 /nfs/dbraw/zinc/76/07/27/848760727.db2.gz MMCMQKIGEDCMEI-JSGCOSHPSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)C1(COC)CCOCC1 ZINC001275574205 848766002 /nfs/dbraw/zinc/76/60/02/848766002.db2.gz PZJVMXUQRRDWMV-CYBMUJFWSA-N 0 1 282.384 0.499 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(OC)nn1 ZINC001275690254 848792952 /nfs/dbraw/zinc/79/29/52/848792952.db2.gz SBNSUOREDHXFHX-LLVKDONJSA-N 0 1 276.340 0.559 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCCOC1 ZINC001275780058 848820151 /nfs/dbraw/zinc/82/01/51/848820151.db2.gz BPTLVVRIKOFOGW-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)N(C)[C@H]1CCN(CC)C1=O ZINC001275784533 848822401 /nfs/dbraw/zinc/82/24/01/848822401.db2.gz YCDVWGDREBGECY-STQMWFEESA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H](CC1CCC1)NC(C)=O ZINC001275914011 848864925 /nfs/dbraw/zinc/86/49/25/848864925.db2.gz WYRWJTJAEJTWRC-IUODEOHRSA-N 0 1 293.411 0.751 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cncc(OC)n1 ZINC001275947265 848871562 /nfs/dbraw/zinc/87/15/62/848871562.db2.gz VWVVYPPIMCQTAD-NSHDSACASA-N 0 1 276.340 0.559 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C[C@H]1NC(=O)c2ccccc21 ZINC001275964087 848875544 /nfs/dbraw/zinc/87/55/44/848875544.db2.gz ZHIVXEVCTWHFMS-IUODEOHRSA-N 0 1 299.374 0.931 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C(=O)N(C)C)CC1)C2 ZINC001111264116 849138950 /nfs/dbraw/zinc/13/89/50/849138950.db2.gz LVSKSONVGHELQQ-UPJWGTAASA-N 0 1 291.395 0.762 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCc1ncc(C)o1 ZINC001114553637 849239402 /nfs/dbraw/zinc/23/94/02/849239402.db2.gz QDPZYWWDHXIMMC-JYAVWHMHSA-N 0 1 289.335 0.179 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CF)CCOCC1 ZINC001114835653 849418766 /nfs/dbraw/zinc/41/87/66/849418766.db2.gz NOUOJJWSDWZUHD-ITGUQSILSA-N 0 1 280.343 0.432 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CF)CCOCC1 ZINC001114837375 849420872 /nfs/dbraw/zinc/42/08/72/849420872.db2.gz DIJSTQMCXMOWTD-WDNDVIMCSA-N 0 1 294.370 0.822 20 30 CCEDMN C=CCCCN1CC([C@@H](C)NC(=O)CS(C)(=O)=O)C1 ZINC001276350528 849442472 /nfs/dbraw/zinc/44/24/72/849442472.db2.gz LWSUNNIVPQEURS-LLVKDONJSA-N 0 1 288.413 0.434 20 30 CCEDMN C=C[C@H](O)CNc1cncc(N2CCN(C)CC2)c1 ZINC001253573249 849508947 /nfs/dbraw/zinc/50/89/47/849508947.db2.gz HDXYQVJEJDCWBR-AWEZNQCLSA-N 0 1 262.357 0.792 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)OCCOC ZINC001114919678 849656147 /nfs/dbraw/zinc/65/61/47/849656147.db2.gz XPSIRGYHEXKNMG-MQYQWHSLSA-N 0 1 280.368 0.108 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCSC[C@@H]1C(=O)[O-] ZINC000380906687 849696142 /nfs/dbraw/zinc/69/61/42/849696142.db2.gz IHTQWXXOEIKQPM-LLVKDONJSA-N 0 1 299.396 0.153 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cccc(C(=O)[O-])n1 ZINC000381343494 849753150 /nfs/dbraw/zinc/75/31/50/849753150.db2.gz CPLGZCDNJCNJPA-UHFFFAOYSA-N 0 1 275.308 0.465 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCc2cncn2C1 ZINC001038423876 849889011 /nfs/dbraw/zinc/88/90/11/849889011.db2.gz GWQWGHKSQFDPBZ-DZGCQCFKSA-N 0 1 286.379 0.659 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccn2nnnc2c1 ZINC001038587711 849953391 /nfs/dbraw/zinc/95/33/91/849953391.db2.gz XCZSWFZNNDHIHU-GFCCVEGCSA-N 0 1 286.339 0.505 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001038701333 849987434 /nfs/dbraw/zinc/98/74/34/849987434.db2.gz WKUJEZPIDMLZSO-RWMBFGLXSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@H]2CCN2CC2CC2)nn1 ZINC001038792290 850016919 /nfs/dbraw/zinc/01/69/19/850016919.db2.gz VAMMWGYYWRRNBY-GFCCVEGCSA-N 0 1 275.356 0.678 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CCN1CCCO ZINC001038795709 850019883 /nfs/dbraw/zinc/01/98/83/850019883.db2.gz ASCXJZXQIAGBMK-IHRRRGAJSA-N 0 1 282.384 0.541 20 30 CCEDMN N#Cc1cccc(CN2CC[C@@H]2CNC(=O)c2ncn[nH]2)c1 ZINC001038825561 850028733 /nfs/dbraw/zinc/02/87/33/850028733.db2.gz IHDFBSPZCQARKG-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN N#Cc1cccc(CN2CC[C@@H]2CNC(=O)c2nc[nH]n2)c1 ZINC001038825561 850028741 /nfs/dbraw/zinc/02/87/41/850028741.db2.gz IHDFBSPZCQARKG-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)n1cncn1 ZINC001039359359 850179320 /nfs/dbraw/zinc/17/93/20/850179320.db2.gz MEMXZIALVYBIOY-MJBXVCDLSA-N 0 1 287.367 0.538 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CNC(=O)C1 ZINC001039410197 850186088 /nfs/dbraw/zinc/18/60/88/850186088.db2.gz YHLIMWBGJKQHGF-WWGRRREGSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCN1CCC[C@@]2(CCN(C(=O)c3cnon3)C2)C1 ZINC001040462063 850293503 /nfs/dbraw/zinc/29/35/03/850293503.db2.gz ZKJCJYNARLCQTE-CQSZACIVSA-N 0 1 274.324 0.631 20 30 CCEDMN N#CCN1CC[C@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC001041163013 850389642 /nfs/dbraw/zinc/38/96/42/850389642.db2.gz XQMGAHBVUPSNOG-AWEZNQCLSA-N 0 1 273.340 0.400 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)C3=COCCO3)C[C@@H]21 ZINC001041916367 850527799 /nfs/dbraw/zinc/52/77/99/850527799.db2.gz KITFDTKGHVETCW-STQMWFEESA-N 0 1 276.336 0.431 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cn[nH]c3)C[C@H]21 ZINC001041948922 850537211 /nfs/dbraw/zinc/53/72/11/850537211.db2.gz ACEQPONWVRLKEU-WCQYABFASA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnnn3CC)C[C@@H]21 ZINC001042048020 850569342 /nfs/dbraw/zinc/56/93/42/850569342.db2.gz YWVAZYPLEPVJGL-JSGCOSHPSA-N 0 1 287.367 0.468 20 30 CCEDMN C[C@@H]1CN(c2ccncc2C#N)C[C@H]1NC(=O)c1cnn[nH]1 ZINC001042642928 850720142 /nfs/dbraw/zinc/72/01/42/850720142.db2.gz MBZQOVAUHMGEOB-BXKDBHETSA-N 0 1 297.322 0.326 20 30 CCEDMN C=CCN1CC(N(C)C(=O)[C@H]2CCCCN2C)C1 ZINC001043095056 850811419 /nfs/dbraw/zinc/81/14/19/850811419.db2.gz HDACNDGKHDKUCF-CYBMUJFWSA-N 0 1 251.374 0.799 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001043884445 850956853 /nfs/dbraw/zinc/95/68/53/850956853.db2.gz BXYDARPJTHECEX-UHFFFAOYSA-N 0 1 290.367 0.836 20 30 CCEDMN CC(C)(C)OC(=O)[C@H](O)CNC(=N)c1ccc(F)cn1 ZINC001253699891 850962658 /nfs/dbraw/zinc/96/26/58/850962658.db2.gz IRAPNOANQDJMLE-SNVBAGLBSA-N 0 1 283.303 0.629 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)c(=O)[nH]1)C2 ZINC001095970581 851042709 /nfs/dbraw/zinc/04/27/09/851042709.db2.gz QHYPHVNVHYCEOO-MDZLAQPJSA-N 0 1 288.351 0.484 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)CN2C(=O)CCC2=O)C1 ZINC001044277570 851060819 /nfs/dbraw/zinc/06/08/19/851060819.db2.gz WQLCFWIRZGNPDM-UHFFFAOYSA-N 0 1 293.367 0.244 20 30 CCEDMN CCN(CCCNC(=O)c1cnn[nH]1)c1ccncc1C#N ZINC001095987531 851130115 /nfs/dbraw/zinc/13/01/15/851130115.db2.gz JAEMGAZVCUJYDI-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnns2)CC1 ZINC001045374658 851244246 /nfs/dbraw/zinc/24/42/46/851244246.db2.gz GEJGKHBAFGSYST-UHFFFAOYSA-N 0 1 264.354 0.756 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2c(C)cnn2C)CC1 ZINC001045528712 851274035 /nfs/dbraw/zinc/27/40/35/851274035.db2.gz BXKHGQLPHMKGEF-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2n[nH]cc2C)C1 ZINC001046306334 851460468 /nfs/dbraw/zinc/46/04/68/851460468.db2.gz VGEAYBLOVPGZEJ-AWEZNQCLSA-N 0 1 260.341 0.936 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001046314821 851463337 /nfs/dbraw/zinc/46/33/37/851463337.db2.gz OEVAIAWIPPTLOJ-HNNXBMFYSA-N 0 1 289.383 0.923 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccn(CCOC)n2)C1 ZINC001046472055 851517286 /nfs/dbraw/zinc/51/72/86/851517286.db2.gz ATAAPDALFOKPQK-HNNXBMFYSA-N 0 1 292.383 0.910 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ncc(OC)cn2)C1 ZINC001046472369 851518230 /nfs/dbraw/zinc/51/82/30/851518230.db2.gz GUYSULFWDGVZGV-HNNXBMFYSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001046566546 851548283 /nfs/dbraw/zinc/54/82/83/851548283.db2.gz BOZNRLZGGULMIE-IUODEOHRSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001046558967 851549052 /nfs/dbraw/zinc/54/90/52/851549052.db2.gz WMKNNTHVUBMIDX-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001046614901 851564429 /nfs/dbraw/zinc/56/44/29/851564429.db2.gz VCOGCHBRLCKSRY-CZUORRHYSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001046623827 851570798 /nfs/dbraw/zinc/57/07/98/851570798.db2.gz VISCSHHLJVXDPH-OAHLLOKOSA-N 0 1 288.351 0.331 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc3nncn3c2)C1 ZINC001046700362 851584931 /nfs/dbraw/zinc/58/49/31/851584931.db2.gz ZMRFWPHNFYRJEX-MRXNPFEDSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001046755596 851607112 /nfs/dbraw/zinc/60/71/12/851607112.db2.gz WBTLIJGLBWOCON-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC[N@@H+]1CCC(F)(F)[C@H](CNC(=O)[C@H]2CCC[NH+]2C)C1 ZINC001046926618 851646352 /nfs/dbraw/zinc/64/63/52/851646352.db2.gz UPEBJMVCFRGSJH-CHWSQXEVSA-N 0 1 299.365 0.787 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(CC(N)=O)C3)C1 ZINC001047141970 851674058 /nfs/dbraw/zinc/67/40/58/851674058.db2.gz BUEVVYTYSGXCMZ-XQQFMLRXSA-N 0 1 291.395 0.797 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cccnc2)C1 ZINC001047282072 851695701 /nfs/dbraw/zinc/69/57/01/851695701.db2.gz DCDITOUZATYTDX-KBPBESRZSA-N 0 1 275.352 0.775 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H](C)n2cccn2)C1 ZINC001047311242 851709924 /nfs/dbraw/zinc/70/99/24/851709924.db2.gz SAOAKFFNOMTMEC-RDBSUJKOSA-N 0 1 292.383 0.524 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc[nH]c2C)C1 ZINC001047338560 851725438 /nfs/dbraw/zinc/72/54/38/851725438.db2.gz BHAFYTFXIMQEOY-KBPBESRZSA-N 0 1 275.352 0.464 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(F)cn2)C1 ZINC001047357424 851734824 /nfs/dbraw/zinc/73/48/24/851734824.db2.gz BQQSSZNAZZPLDV-KBPBESRZSA-N 0 1 293.342 0.914 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C2CC(OCC)C2)C1 ZINC001047370088 851740182 /nfs/dbraw/zinc/74/01/82/851740182.db2.gz ILAGQMZHLJHNRY-WUCCLRPBSA-N 0 1 296.411 0.881 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cnccc2C)C1 ZINC001047413462 851754398 /nfs/dbraw/zinc/75/43/98/851754398.db2.gz JWPMWFBMFMNDCT-GJZGRUSLSA-N 0 1 289.379 0.622 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cccnc2C)C1 ZINC001047525199 851801720 /nfs/dbraw/zinc/80/17/20/851801720.db2.gz CTNUMONCPULSGJ-GJZGRUSLSA-N 0 1 289.379 0.622 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCC(=O)N1)C2 ZINC001096386186 851977831 /nfs/dbraw/zinc/97/78/31/851977831.db2.gz KCHASXPIBXPQKN-VOAKCMCISA-N 0 1 275.352 0.010 20 30 CCEDMN C=C(Cl)C[N@@H+]1C[C@H]2CN(C(=O)[C@H]3CCNC3=O)C[C@H]2C1 ZINC001048977727 852124722 /nfs/dbraw/zinc/12/47/22/852124722.db2.gz JVULPDOCZIXQBZ-TUAOUCFPSA-N 0 1 297.786 0.265 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3CCNC3=O)C[C@@H]2C1 ZINC001048977727 852124730 /nfs/dbraw/zinc/12/47/30/852124730.db2.gz JVULPDOCZIXQBZ-TUAOUCFPSA-N 0 1 297.786 0.265 20 30 CCEDMN CC(C)(C(=O)N1C[C@H]2CN(CC#N)C[C@H]2C1)c1c[nH]cn1 ZINC001049174494 852186117 /nfs/dbraw/zinc/18/61/17/852186117.db2.gz SFPRXMPSXKWOTL-TXEJJXNPSA-N 0 1 287.367 0.601 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cn[nH]c1 ZINC001049350445 852239360 /nfs/dbraw/zinc/23/93/60/852239360.db2.gz RROJJRAJCNUCCN-STQMWFEESA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1cnn(C)c1 ZINC001049375617 852250118 /nfs/dbraw/zinc/25/01/18/852250118.db2.gz YBJDCYDINSHQSW-HUUCEWRRSA-N 0 1 286.379 0.661 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)CN1CN=NC1=O ZINC001049428525 852270038 /nfs/dbraw/zinc/27/00/38/852270038.db2.gz MVNDTNOBDLFWOA-NWDGAFQWSA-N 0 1 291.355 0.235 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cncnc1 ZINC001049438076 852274384 /nfs/dbraw/zinc/27/43/84/852274384.db2.gz VVRLCZBVXRQKNN-KGLIPLIRSA-N 0 1 270.336 0.789 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@]12C[C@H]1COC2 ZINC001049487631 852293561 /nfs/dbraw/zinc/29/35/61/852293561.db2.gz VWRURRJXGLLTMM-AYDFFVQHSA-N 0 1 274.364 0.721 20 30 CCEDMN N#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)CCc1cnc[nH]1 ZINC001049516571 852298786 /nfs/dbraw/zinc/29/87/86/852298786.db2.gz DFIOFYZAUATLQC-KBPBESRZSA-N 0 1 287.367 0.931 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCC(=O)NC1 ZINC001049569472 852317906 /nfs/dbraw/zinc/31/79/06/852317906.db2.gz ZDNWATBEJVSTGX-HZSPNIEDSA-N 0 1 289.379 0.211 20 30 CCEDMN C=CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)[C@@H]1CCC(=O)N1C ZINC001049643675 852330085 /nfs/dbraw/zinc/33/00/85/852330085.db2.gz FAJDGQYRETUDLZ-RDBSUJKOSA-N 0 1 291.395 0.859 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1nonc1C ZINC001049821704 852380600 /nfs/dbraw/zinc/38/06/00/852380600.db2.gz HTWONVBRWINHPC-UONOGXRCSA-N 0 1 288.351 0.619 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)Cn1ccccc1=O ZINC001049896056 852393768 /nfs/dbraw/zinc/39/37/68/852393768.db2.gz WRMYHYYCPTUPPH-CABCVRRESA-N 0 1 299.374 0.547 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1cccn1)C2 ZINC001096807223 852446571 /nfs/dbraw/zinc/44/65/71/852446571.db2.gz PZASSDLNCBPLAL-UPJWGTAASA-N 0 1 260.341 0.791 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001096886095 852462656 /nfs/dbraw/zinc/46/26/56/852462656.db2.gz GMUAQXSTAVWMFX-NDBYEHHHSA-N 0 1 262.357 0.326 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc[nH]c(=O)c1)C2 ZINC001096938294 852471426 /nfs/dbraw/zinc/47/14/26/852471426.db2.gz XTMHECWFDLIRLK-UPJWGTAASA-N 0 1 271.320 0.756 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN1CCCCC1=O)C2 ZINC001097419033 852536990 /nfs/dbraw/zinc/53/69/90/852536990.db2.gz RSPYQNDWUWCXRP-MCIONIFRSA-N 0 1 291.395 0.906 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H]1CCCN1C)Nc1ncccc1C#N ZINC001097729925 852592844 /nfs/dbraw/zinc/59/28/44/852592844.db2.gz PAAPMIYBZYSNLZ-AAEUAGOBSA-N 0 1 287.367 0.964 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CCCO)c1 ZINC001097813141 852627119 /nfs/dbraw/zinc/62/71/19/852627119.db2.gz PUSHHFCOSAWQQM-OAGGEKHMSA-N 0 1 299.374 0.780 20 30 CCEDMN CC#CCN1CC2(C1)CN(C(=O)CN1CCCC1)CCO2 ZINC001053532732 852755688 /nfs/dbraw/zinc/75/56/88/852755688.db2.gz UJDKWLFFDKWBII-UHFFFAOYSA-N 0 1 291.395 0.019 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)c1[nH]ncc1F)CO2 ZINC001053917569 852845873 /nfs/dbraw/zinc/84/58/73/852845873.db2.gz PDBVFNXYOPLLIM-VIFPVBQESA-N 0 1 280.303 0.308 20 30 CCEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)C(N)=O)C2 ZINC001054188459 852893007 /nfs/dbraw/zinc/89/30/07/852893007.db2.gz NPGKVKHTNVDWOC-ZDUSSCGKSA-N 0 1 285.775 0.539 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCn2cncn2)C[C@@H]1C ZINC001054391924 852943050 /nfs/dbraw/zinc/94/30/50/852943050.db2.gz LYIRSRNGRNXHMT-JQWIXIFHSA-N 0 1 297.790 0.857 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CS(C)(=O)=O)C[C@@H]1C ZINC001054461437 852953744 /nfs/dbraw/zinc/95/37/44/852953744.db2.gz PAEDDOIBCRQVRF-WPRPVWTQSA-N 0 1 294.804 0.220 20 30 CCEDMN C[C@@H]1CN(C(=O)C#CC2CC2)C[C@@H]1NCc1ccn(C)n1 ZINC001054639664 852986359 /nfs/dbraw/zinc/98/63/59/852986359.db2.gz VAFGUTNJTWMPAD-DOMZBBRYSA-N 0 1 286.379 0.770 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2c[nH]c(=O)cn2)C[C@H]1C ZINC001055019459 853055261 /nfs/dbraw/zinc/05/52/61/853055261.db2.gz ZHFZKIAUROVWAA-KCJUWKMLSA-N 0 1 296.758 0.573 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC1CCN(CCNC(C)=O)CC1 ZINC001055486763 853080900 /nfs/dbraw/zinc/08/09/00/853080900.db2.gz LENAJOQQMYAMTQ-LBPRGKRZSA-N 0 1 297.399 0.294 20 30 CCEDMN C[C@@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)nc1 ZINC001097995322 853083515 /nfs/dbraw/zinc/08/35/15/853083515.db2.gz ONTGBFQSPLYDCF-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C[C@@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)nc1 ZINC001097995322 853083519 /nfs/dbraw/zinc/08/35/19/853083519.db2.gz ONTGBFQSPLYDCF-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN N#Cc1ccc(N[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C2)nn1 ZINC001056596659 853177621 /nfs/dbraw/zinc/17/76/21/853177621.db2.gz BWOOKGWRAAUPSS-GFCCVEGCSA-N 0 1 297.322 0.327 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCN(c2ncnc3[nH]cnc32)CC1 ZINC001057106852 853272843 /nfs/dbraw/zinc/27/28/43/853272843.db2.gz BFYKAVVXWMEUNH-SNVBAGLBSA-N 0 1 299.338 0.551 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H]3CCOC3)[C@@H]2C1 ZINC001050003078 853291491 /nfs/dbraw/zinc/29/14/91/853291491.db2.gz LTYCHUQAFNYLEP-SOUVJXGZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@]34C[C@H]3COC4)[C@@H]2C1 ZINC001050051671 853303537 /nfs/dbraw/zinc/30/35/37/853303537.db2.gz DSWUEQSQCKTQJT-AYDFFVQHSA-N 0 1 274.364 0.579 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3nn(C)cc3C)[C@@H]2C1 ZINC001050047426 853303915 /nfs/dbraw/zinc/30/39/15/853303915.db2.gz ZINPGPVBEGKLDN-UONOGXRCSA-N 0 1 286.379 0.898 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3n[nH]nc3C)[C@@H]2C1 ZINC001050112338 853314183 /nfs/dbraw/zinc/31/41/83/853314183.db2.gz UPQMEZYANYYZDD-QWHCGFSZSA-N 0 1 287.367 0.673 20 30 CCEDMN C=C(C)CN1CCOC[C@@H]1CNC(=O)[C@H]1CCCN1C ZINC001050848639 853460821 /nfs/dbraw/zinc/46/08/21/853460821.db2.gz LTXLGUWWWPVTMB-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN C=CCCN1CCOC[C@H]1CNC(=O)[C@H]1CCCN1C ZINC001050848930 853460874 /nfs/dbraw/zinc/46/08/74/853460874.db2.gz QYSDOMMSYDXJBL-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN CC#CCN1CCOC[C@@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001050981518 853500814 /nfs/dbraw/zinc/50/08/14/853500814.db2.gz HKTHHERIKARENU-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN N#Cc1cnccc1N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001058422413 853842468 /nfs/dbraw/zinc/84/24/68/853842468.db2.gz DGMQIZMETKVERN-SNVBAGLBSA-N 0 1 283.295 0.080 20 30 CCEDMN N#Cc1cnccc1N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001058422413 853842473 /nfs/dbraw/zinc/84/24/73/853842473.db2.gz DGMQIZMETKVERN-SNVBAGLBSA-N 0 1 283.295 0.080 20 30 CCEDMN C[C@@H]1[C@@H](Nc2ccc(C#N)nn2)CCN1C(=O)c1ccn[nH]1 ZINC001068750811 853923104 /nfs/dbraw/zinc/92/31/04/853923104.db2.gz XFKZYQVGEADPRC-KOLCDFICSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC)[C@@H](n2ccnn2)C1 ZINC001069884877 853990281 /nfs/dbraw/zinc/99/02/81/853990281.db2.gz GDYDSHBXBYUINF-NEPJUHHUSA-N 0 1 261.329 0.053 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](C)SC)C[C@@H]1n1ccnn1 ZINC001070145391 854030137 /nfs/dbraw/zinc/03/01/37/854030137.db2.gz ZQXORESGTAZONX-TUAOUCFPSA-N 0 1 293.396 0.004 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2(C3CC3)CC2)C[C@@H]1n1ccnn1 ZINC001070214066 854035653 /nfs/dbraw/zinc/03/56/53/854035653.db2.gz DBOJNZUFLRKHFH-KGLIPLIRSA-N 0 1 299.378 0.443 20 30 CCEDMN CC#CCN1CC[C@H](c2n[nH]cc2CNC(C)=O)C1 ZINC001070391949 854055230 /nfs/dbraw/zinc/05/52/30/854055230.db2.gz IXMDZVITXYWYMZ-LBPRGKRZSA-N 0 1 260.341 0.858 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C=C(C)C)C[C@H]1c1cn(C)cn1 ZINC001070463018 854062746 /nfs/dbraw/zinc/06/27/46/854062746.db2.gz LDQHKNIQSMUDMG-DZGCQCFKSA-N 0 1 286.379 0.904 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2)CC[C@H]1C ZINC001071383353 854171770 /nfs/dbraw/zinc/17/17/70/854171770.db2.gz GKPHLAYGEQKQRE-HIFRSBDPSA-N 0 1 288.395 0.955 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C2CN(C(C)=O)C2)CC[C@@H]1C ZINC001071459634 854206056 /nfs/dbraw/zinc/20/60/56/854206056.db2.gz FOHVGPUTIFNYJJ-SMDDNHRTSA-N 0 1 279.384 0.620 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN2CCCNC2=O)CC[C@H]1C ZINC001071642735 854257190 /nfs/dbraw/zinc/25/71/90/854257190.db2.gz VXTMAYLGFVHOFH-CHWSQXEVSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1csc(NC)n1 ZINC000395053029 854281546 /nfs/dbraw/zinc/28/15/46/854281546.db2.gz RSCJPOHIPMWAPT-UHFFFAOYSA-N 0 1 266.370 0.292 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cn2ccnc2)CC[C@H]1C ZINC001072122911 854349527 /nfs/dbraw/zinc/34/95/27/854349527.db2.gz LKJOPKSHABXVDJ-ZIAGYGMSSA-N 0 1 274.368 0.876 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C1)CCN([C@@H](C)C(=O)N(C)C)C2 ZINC001072552285 854414000 /nfs/dbraw/zinc/41/40/00/854414000.db2.gz NRCOKHBXXFDLJQ-NEPJUHHUSA-N 0 1 292.383 0.157 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCCO[C@@H]3C)C2)C1 ZINC001072670579 854442360 /nfs/dbraw/zinc/44/23/60/854442360.db2.gz ZCAVBTZTHDYNJP-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCCO[C@H]3C)C2)C1 ZINC001072670578 854442386 /nfs/dbraw/zinc/44/23/86/854442386.db2.gz ZCAVBTZTHDYNJP-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C=C(Cl)CN1CCC2(CN(C(=O)[C@@H]3CC3[N+](=O)[O-])C2)C1 ZINC001072725127 854452050 /nfs/dbraw/zinc/45/20/50/854452050.db2.gz IFHFSSIJXIXCAA-GHMZBOCLSA-N 0 1 299.758 0.938 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C(=O)Cc2ncn[nH]2)C[C@@H]1C ZINC001072778917 854460957 /nfs/dbraw/zinc/46/09/57/854460957.db2.gz WYRHHLRWGUJGFK-WDEREUQCSA-N 0 1 291.355 0.277 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cnoc3)C2)C1 ZINC001072909168 854493201 /nfs/dbraw/zinc/49/32/01/854493201.db2.gz OAOKKUVUSDBGLJ-UHFFFAOYSA-N 0 1 259.309 0.385 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001072944410 854500321 /nfs/dbraw/zinc/50/03/21/854500321.db2.gz BOSGGUWVLOGTAP-SWLSCSKDSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(CC=C)nn3)C2)C1 ZINC001073042805 854519074 /nfs/dbraw/zinc/51/90/74/854519074.db2.gz HMHUPRINHIEBSV-UHFFFAOYSA-N 0 1 285.351 0.245 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C2=COCCO2)C1 ZINC001073526423 854577271 /nfs/dbraw/zinc/57/72/71/854577271.db2.gz AQHUIPHPGLHWJV-CYBMUJFWSA-N 0 1 294.351 0.105 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cc(C)n[nH]2)C1 ZINC001073546024 854585745 /nfs/dbraw/zinc/58/57/45/854585745.db2.gz XPULMKHUBJWORN-GFCCVEGCSA-N 0 1 278.356 0.725 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2cc(F)c[nH]2)C1 ZINC001073700013 854632823 /nfs/dbraw/zinc/63/28/23/854632823.db2.gz AARYXFGSCLLVDI-ZDUSSCGKSA-N 0 1 293.342 0.998 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H]2C[C@H]2C)C1 ZINC001073734015 854636192 /nfs/dbraw/zinc/63/61/92/854636192.db2.gz MOJWYLXBQCADBH-MGPQQGTHSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001073726454 854636747 /nfs/dbraw/zinc/63/67/47/854636747.db2.gz MOJWYLXBQCADBH-IHRRRGAJSA-N 0 1 264.369 0.873 20 30 CCEDMN C=C(C)CN1CCCO[C@H](CNC(=O)c2cnon2)C1 ZINC001073828365 854650018 /nfs/dbraw/zinc/65/00/18/854650018.db2.gz RNHLSNQXDGQJLL-LLVKDONJSA-N 0 1 280.328 0.466 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2[nH]cnc2C)C1 ZINC001073914658 854661506 /nfs/dbraw/zinc/66/15/06/854661506.db2.gz ZAJDKSRKGBDPTK-GFCCVEGCSA-N 0 1 278.356 0.725 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)[C@H]1C ZINC001074885354 854794908 /nfs/dbraw/zinc/79/49/08/854794908.db2.gz ZAMSDQZXJKICDS-VLEAKVRGSA-N 0 1 285.775 0.439 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN1C(=O)CCC1=O)C2 ZINC001098350669 854858722 /nfs/dbraw/zinc/85/87/22/854858722.db2.gz PCEWUQOLPQYMAB-UTUOFQBUSA-N 0 1 291.351 0.043 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2c[nH]nc2C)C1 ZINC001098968451 854899991 /nfs/dbraw/zinc/89/99/91/854899991.db2.gz SDHWETFKXPHLTL-WFASDCNBSA-N 0 1 272.352 0.936 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CNC(=O)CC ZINC001098974907 854902079 /nfs/dbraw/zinc/90/20/79/854902079.db2.gz BLUMIOUZCWTWFE-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN CN1CC(C(=O)N[C@]23CCC[C@H]2CN(CC#N)C3)=NC1=O ZINC001099013610 854906151 /nfs/dbraw/zinc/90/61/51/854906151.db2.gz PFEOCRBKXFVEME-HZMBPMFUSA-N 0 1 289.339 0.234 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cn(CC=C)nn2)C1 ZINC001099068164 854910735 /nfs/dbraw/zinc/91/07/35/854910735.db2.gz NXTMMBYWNQZPBJ-BBRMVZONSA-N 0 1 299.378 0.682 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCOC)CC2(CCOCC2)C1 ZINC001099558919 854938262 /nfs/dbraw/zinc/93/82/62/854938262.db2.gz YWWGOZQEKSFLGL-CQSZACIVSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C=C(C)C)[C@H](O)C1 ZINC001099659235 854959456 /nfs/dbraw/zinc/95/94/56/854959456.db2.gz FZNVZBOZVQWJIO-CHWSQXEVSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCC2CC2)[C@H](O)C1 ZINC001099749061 854985631 /nfs/dbraw/zinc/98/56/31/854985631.db2.gz GNQXHECBHHYPPQ-QWHCGFSZSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COC(C)(C)C)[C@H](O)C1 ZINC001099794613 854996895 /nfs/dbraw/zinc/99/68/95/854996895.db2.gz PDWGWBPYNDTSKY-NWDGAFQWSA-N 0 1 270.373 0.539 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CC[N@H+](CCOCC)C[C@@H]1O ZINC001099807019 854998731 /nfs/dbraw/zinc/99/87/31/854998731.db2.gz JQCDDUSINYYOQY-KGLIPLIRSA-N 0 1 282.384 0.378 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C(/C)CC)[C@H](O)C1 ZINC001099817729 855001919 /nfs/dbraw/zinc/00/19/19/855001919.db2.gz DPKSOZWCBCPHMU-WXLZEUHASA-N 0 1 264.369 0.917 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)C#CC(C)(C)C)[C@H](O)C1 ZINC001099830172 855005979 /nfs/dbraw/zinc/00/59/79/855005979.db2.gz NXLHWDKLUXVJRY-CHWSQXEVSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOCC2CC2)[C@@H](O)C1 ZINC001099829904 855006786 /nfs/dbraw/zinc/00/67/86/855006786.db2.gz JUBFINVGNFBNCD-KBPBESRZSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(COCC)CC2)[C@@H](O)C1 ZINC001099897628 855023895 /nfs/dbraw/zinc/02/38/95/855023895.db2.gz VSXISRFDLSXYJA-STQMWFEESA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCC#CC)[C@H](O)C1 ZINC001099960493 855051301 /nfs/dbraw/zinc/05/13/01/855051301.db2.gz URGICRLRWUIUAI-UONOGXRCSA-N 0 1 264.369 0.917 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC(C)(F)F)[C@@H](O)C1 ZINC001100003709 855068183 /nfs/dbraw/zinc/06/81/83/855068183.db2.gz KWRSUOZTRSUTLN-MNOVXSKESA-N 0 1 274.311 0.606 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC(C)(F)F)[C@@H](O)C1 ZINC001100003710 855068846 /nfs/dbraw/zinc/06/88/46/855068846.db2.gz KWRSUOZTRSUTLN-QWRGUYRKSA-N 0 1 274.311 0.606 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COc2cccnc2)[C@H](O)C1 ZINC001100036862 855079174 /nfs/dbraw/zinc/07/91/74/855079174.db2.gz OKZMFSPPICCVBI-UONOGXRCSA-N 0 1 291.351 0.198 20 30 CCEDMN CCn1ncnc1CNC1CC(CNC(=O)[C@H](C)C#N)C1 ZINC001100191759 855116061 /nfs/dbraw/zinc/11/60/61/855116061.db2.gz WJUSKTKSNDUMIG-VOMCLLRMSA-N 0 1 290.371 0.442 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H]2CN(C(C)=O)C[C@]2(C)C1 ZINC001101101951 855262888 /nfs/dbraw/zinc/26/28/88/855262888.db2.gz NYOOJPVRDIYZJV-LNSITVRQSA-N 0 1 279.384 0.477 20 30 CCEDMN C=C(C)CN1C[C@@H](CNC(=O)CS(C)(=O)=O)[C@H](C)C1 ZINC001101783423 855365377 /nfs/dbraw/zinc/36/53/77/855365377.db2.gz UXVIQNOGUYBHSO-VXGBXAGGSA-N 0 1 288.413 0.291 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001101798292 855368459 /nfs/dbraw/zinc/36/84/59/855368459.db2.gz RATZIEWVJFEARB-FRRDWIJNSA-N 0 1 297.399 0.137 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)[C@@H]2C[C@H]2C)[C@H](C)C1 ZINC001102224316 855420748 /nfs/dbraw/zinc/42/07/48/855420748.db2.gz CBBPHIHMRCKPQY-AAVRWANBSA-N 0 1 291.395 0.076 20 30 CCEDMN CC[C@H](CNC(=O)Cc1cnc[nH]1)Nc1ccncc1C#N ZINC001103334987 855512897 /nfs/dbraw/zinc/51/28/97/855512897.db2.gz RIXJIJHJLIDVJD-GFCCVEGCSA-N 0 1 298.350 0.648 20 30 CCEDMN CC[C@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)nc1 ZINC001103371273 855514900 /nfs/dbraw/zinc/51/49/00/855514900.db2.gz NGYHKCSDGQZCML-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN CC[C@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)nn1 ZINC001103371519 855516631 /nfs/dbraw/zinc/51/66/31/855516631.db2.gz SXDXEHBHYRCBCW-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CCCNc1ccncc1C#N)NC(=O)c1ncn[nH]1 ZINC001114981627 855631894 /nfs/dbraw/zinc/63/18/94/855631894.db2.gz XTTJICRPSKWPGC-SNVBAGLBSA-N 0 1 299.338 0.504 20 30 CCEDMN C[C@H](CCCNc1ccncc1C#N)NC(=O)c1nc[nH]n1 ZINC001114981627 855631902 /nfs/dbraw/zinc/63/19/02/855631902.db2.gz XTTJICRPSKWPGC-SNVBAGLBSA-N 0 1 299.338 0.504 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CC(C)C)NC(C)=O ZINC001115149633 855646834 /nfs/dbraw/zinc/64/68/34/855646834.db2.gz DAEHNJIFIYLWGI-LJISPDSOSA-N 0 1 291.395 0.217 20 30 CCEDMN C[C@H](CN(C)C(=O)c1ccn[nH]1)Nc1ccncc1C#N ZINC001115656475 855686592 /nfs/dbraw/zinc/68/65/92/855686592.db2.gz KRVAHDBQBRGYPP-SNVBAGLBSA-N 0 1 284.323 0.671 20 30 CCEDMN CC[C@@H](C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001116025639 855712139 /nfs/dbraw/zinc/71/21/39/855712139.db2.gz QLSJNQTZMPIMTJ-JLDYROKLSA-N 0 1 265.313 0.675 20 30 CCEDMN C[C@H](NCC(=O)NCC#N)c1ccc([S@](C)=O)cc1 ZINC001116532865 855783629 /nfs/dbraw/zinc/78/36/29/855783629.db2.gz WGTRXFSLQNHRFI-OVWNDWIMSA-N 0 1 279.365 0.714 20 30 CCEDMN Cn1cncc1CCC(=O)NC1(C#N)CCN(C)CC1 ZINC001116866001 855851384 /nfs/dbraw/zinc/85/13/84/855851384.db2.gz SHMYUTLOEBPDRT-UHFFFAOYSA-N 0 1 275.356 0.457 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccnc3c2CC(=O)N3)CC1 ZINC001118582133 856356512 /nfs/dbraw/zinc/35/65/12/856356512.db2.gz RLLTZFKJFDTHJN-UHFFFAOYSA-N 0 1 299.334 0.294 20 30 CCEDMN COCC#CC(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1 ZINC001118695890 856399597 /nfs/dbraw/zinc/39/95/97/856399597.db2.gz XEJHZOGESYEAKH-VIFPVBQESA-N 0 1 250.302 0.755 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC001118705723 856404176 /nfs/dbraw/zinc/40/41/76/856404176.db2.gz XMSHHVDUZGHFSU-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CNCc1cc(C(N)=O)no1 ZINC001118827965 856455740 /nfs/dbraw/zinc/45/57/40/856455740.db2.gz SGMXTXIDQIPNNF-QMMMGPOBSA-N 0 1 266.301 0.164 20 30 CCEDMN Cn1nncc1NN=C1CCCc2c1cnn2CCO ZINC001119356518 856650265 /nfs/dbraw/zinc/65/02/65/856650265.db2.gz ISXSNQSVXRTUHN-UHFFFAOYSA-N 0 1 275.316 0.156 20 30 CCEDMN CCC#C[C@H](C)[N@H+]1C[C@H](C(=O)OC)[C@H](C(=O)OC)C1 ZINC001120255984 857019818 /nfs/dbraw/zinc/01/98/18/857019818.db2.gz GJUHVKVWJXTBPS-SDDRHHMPSA-N 0 1 267.325 0.682 20 30 CCEDMN CCC#C[C@H](C)N1C[C@H](C(=O)OC)[C@H](C(=O)OC)C1 ZINC001120255984 857019832 /nfs/dbraw/zinc/01/98/32/857019832.db2.gz GJUHVKVWJXTBPS-SDDRHHMPSA-N 0 1 267.325 0.682 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC001392892316 912241579 /nfs/dbraw/zinc/24/15/79/912241579.db2.gz BNRXJBRVUGOHGW-UWVGGRQHSA-N 0 1 286.759 0.786 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CNC(C)=O)CC1 ZINC001323383567 912308069 /nfs/dbraw/zinc/30/80/69/912308069.db2.gz QTXXNJHZMFJAPP-UHFFFAOYSA-N 0 1 259.737 0.113 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CN(C)C(C)=O)C[C@H]1C ZINC001393731843 912727050 /nfs/dbraw/zinc/72/70/50/912727050.db2.gz WZBVKNREJGPOAT-SKDRFNHKSA-N 0 1 287.791 0.654 20 30 CCEDMN CCOCC(=O)N[C@@H]1CCN(CC#CCOC)[C@H](C)C1 ZINC001328224520 915039579 /nfs/dbraw/zinc/03/95/79/915039579.db2.gz RLXSTEZQYIEXAR-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cc(Cl)no1 ZINC001492824238 912774706 /nfs/dbraw/zinc/77/47/06/912774706.db2.gz UBPUGEKPRDAQKA-UHFFFAOYSA-N 0 1 285.731 0.639 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@](C)(NC(=O)Cc2cc[nH]n2)C1 ZINC001324601117 912926404 /nfs/dbraw/zinc/92/64/04/912926404.db2.gz JNGWQIHWQPRJHL-HNNXBMFYSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCOCCN1CC[C@@](C)(NC(=O)c2nonc2C)C1 ZINC001324680994 912977431 /nfs/dbraw/zinc/97/74/31/912977431.db2.gz SAIISPVONPRJIJ-CQSZACIVSA-N 0 1 294.355 0.775 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H]1CN(C(=O)c2cn[nH]c2)CCO1 ZINC001394347009 913135387 /nfs/dbraw/zinc/13/53/87/913135387.db2.gz ZMNNSOGUJQVWSB-CMPLNLGQSA-N 0 1 298.774 0.981 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](CNCc2nncs2)C1 ZINC001394371195 913148816 /nfs/dbraw/zinc/14/88/16/913148816.db2.gz VRFJQHVGXARMBG-OPRDCNLKSA-N 0 1 279.369 0.682 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2cncc(F)c2)C1 ZINC001325080728 913194190 /nfs/dbraw/zinc/19/41/90/913194190.db2.gz CUHVAWNGNOXYIU-HNNXBMFYSA-N 0 1 291.326 0.411 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccnn2CCC)C1 ZINC001325096315 913208705 /nfs/dbraw/zinc/20/87/05/913208705.db2.gz HTTRZVSYMXQXOI-HNNXBMFYSA-N 0 1 290.367 0.093 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CC2CC(C)(C)C2)C1 ZINC001325122733 913230290 /nfs/dbraw/zinc/23/02/90/913230290.db2.gz HZNBNNSMHJQKHZ-INIZCTEOSA-N 0 1 278.396 0.999 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H](OC)C2CCCC2)C1 ZINC001325153445 913246587 /nfs/dbraw/zinc/24/65/87/913246587.db2.gz JUYRCDWLVHQWCX-HOCLYGCPSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN(C)CCNC(=O)c1c(C)[nH]nc1Cl ZINC001266280709 891416524 /nfs/dbraw/zinc/41/65/24/891416524.db2.gz QATJEAGCKHRXCV-UHFFFAOYSA-N 0 1 254.721 0.666 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001282397390 891433224 /nfs/dbraw/zinc/43/32/24/891433224.db2.gz FCQJUXPUHYPWTJ-CHWSQXEVSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc2cc[nH]c(=O)c2s1 ZINC001480881998 891533894 /nfs/dbraw/zinc/53/38/94/891533894.db2.gz CBYJJWNRILTRLH-UHFFFAOYSA-N 0 1 289.360 0.884 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001347290271 891557874 /nfs/dbraw/zinc/55/78/74/891557874.db2.gz DCURPDCRTCZKEJ-IEBDPFPHSA-N 0 1 251.286 0.150 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1c2c[nH]nc2CC[C@H]1C ZINC001480892295 891697797 /nfs/dbraw/zinc/69/77/97/891697797.db2.gz KRYUKKBNDOSJKT-RISCZKNCSA-N 0 1 274.368 0.757 20 30 CCEDMN COC[C@@H](C)N1CCC[C@@](CO)(NC(=O)C#CC2CC2)C1 ZINC001325833869 913599998 /nfs/dbraw/zinc/59/99/98/913599998.db2.gz HEVBKQHTOHLRKK-CZUORRHYSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)c2cccnn2)C1 ZINC001325860646 913613892 /nfs/dbraw/zinc/61/38/92/913613892.db2.gz OBEZSMRKVBOQSU-HNNXBMFYSA-N 0 1 288.351 0.057 20 30 CCEDMN CCN(CCNC(=O)C#CC1CC1)[C@@H]1CCN(CC)C1=O ZINC001480974133 891998149 /nfs/dbraw/zinc/99/81/49/891998149.db2.gz GCLBNGRHOBFNIR-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)COCC=C ZINC001325884272 913624184 /nfs/dbraw/zinc/62/41/84/913624184.db2.gz VUDBFODVEGRNGQ-ZIAGYGMSSA-N 0 1 262.353 0.888 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)[C@H](C)C2CC2)C1 ZINC001481090377 892153481 /nfs/dbraw/zinc/15/34/81/892153481.db2.gz URGRRSDOQSGMIN-OLZOCXBDSA-N 0 1 291.395 0.220 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)C2CC=CC2)C1 ZINC001481090859 892153798 /nfs/dbraw/zinc/15/37/98/892153798.db2.gz YCWQHSYAAHCGKN-CYBMUJFWSA-N 0 1 291.395 0.693 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN(CC(=O)N2CCC2)C1 ZINC001481105632 892168943 /nfs/dbraw/zinc/16/89/43/892168943.db2.gz LMGIBOZHDJUFSS-ZDUSSCGKSA-N 0 1 293.411 0.869 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)[C@H](C)C(C)C)C1 ZINC001481112637 892177518 /nfs/dbraw/zinc/17/75/18/892177518.db2.gz LZNQONWGUZUHFM-KGLIPLIRSA-N 0 1 293.411 0.466 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2oncc2C)C1 ZINC001481116237 892183316 /nfs/dbraw/zinc/18/33/16/892183316.db2.gz GZBITXYZRSAQBV-CYBMUJFWSA-N 0 1 291.351 0.685 20 30 CCEDMN CC#CCN(CCNC(=O)[C@H]1CC[C@@H](C(N)=O)C1)C1CC1 ZINC001481186787 892285397 /nfs/dbraw/zinc/28/53/97/892285397.db2.gz XNPUWAFCGHLUQE-OLZOCXBDSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CSCC#N)[C@@H]2C1 ZINC001325931915 913648529 /nfs/dbraw/zinc/64/85/29/913648529.db2.gz JZOXAPMNAPMLPW-QWHCGFSZSA-N 0 1 277.393 0.799 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cn[nH]n2)C1 ZINC001481401397 892612553 /nfs/dbraw/zinc/61/25/53/892612553.db2.gz MKYVLLVXEQRNNK-LLVKDONJSA-N 0 1 263.345 0.917 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](CN(C)CC(=O)NCC)C1 ZINC001481480180 892724464 /nfs/dbraw/zinc/72/44/64/892724464.db2.gz RENMIPCZVCCWPW-CYBMUJFWSA-N 0 1 281.400 0.869 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CC2(O)CCC2)C1 ZINC001481525497 892774952 /nfs/dbraw/zinc/77/49/52/892774952.db2.gz JPQSPXDZOUMCLT-CYBMUJFWSA-N 0 1 264.369 0.895 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCC(=O)NCC)C1 ZINC001481695290 893032800 /nfs/dbraw/zinc/03/28/00/893032800.db2.gz PHZGWCDVELUIAV-LBPRGKRZSA-N 0 1 265.357 0.117 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C1(C(=O)NC)CC1 ZINC001482064298 893349642 /nfs/dbraw/zinc/34/96/42/893349642.db2.gz YAJJVSROXPLRQM-LLVKDONJSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC[C@H](C)CNCc1nnnn1C ZINC001482135540 893421183 /nfs/dbraw/zinc/42/11/83/893421183.db2.gz BSWMDCFGMMUEBL-BXUZGUMPSA-N 0 1 294.403 0.654 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1CC(F)(F)C1 ZINC001284491586 893575258 /nfs/dbraw/zinc/57/52/58/893575258.db2.gz GFZSTFRAMKXOLN-LLVKDONJSA-N 0 1 274.311 0.416 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1ccc(C#N)cn1)c1nnc[nH]1 ZINC001363980536 893873165 /nfs/dbraw/zinc/87/31/65/893873165.db2.gz PCDKXWDPBBLOBV-SSDOTTSWSA-N 0 1 278.297 0.111 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CCNCc2nncn2C)C1 ZINC001326223528 913833449 /nfs/dbraw/zinc/83/34/49/913833449.db2.gz IKRVVUYTKQUSPP-NEPJUHHUSA-N 0 1 290.371 0.303 20 30 CCEDMN C=C(Br)CNCCN(CC)C(=O)CC(N)=O ZINC001482915516 894694310 /nfs/dbraw/zinc/69/43/10/894694310.db2.gz GTTXXYPGZNWUSW-UHFFFAOYSA-N 0 1 292.177 0.209 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)c1cccnc1 ZINC001482974702 894741193 /nfs/dbraw/zinc/74/11/93/894741193.db2.gz NWRIUZQTDCAAEY-ONEGZZNKSA-N 0 1 288.351 0.259 20 30 CCEDMN CC/C=C(\C)C(=O)NC/C=C\CNCC(=O)NCC#N ZINC001482989869 894775016 /nfs/dbraw/zinc/77/50/16/894775016.db2.gz QVULTOXNOUEEMW-QENXGIAESA-N 0 1 278.356 0.244 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)c1ccsn1 ZINC001483024520 894808839 /nfs/dbraw/zinc/80/88/39/894808839.db2.gz PSQATGLPDKPUIM-ARJAWSKDSA-N 0 1 294.380 0.321 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cnc2n1CCOC2 ZINC001483138129 895016868 /nfs/dbraw/zinc/01/68/68/895016868.db2.gz XFIKLCYRPVCYAA-SNVBAGLBSA-N 0 1 298.774 0.874 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H]2CC(C)(C)CO2)[C@@H](O)C1 ZINC001083633773 895488318 /nfs/dbraw/zinc/48/83/18/895488318.db2.gz NIJXCIMEEGKKBD-MCIONIFRSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCCCC(=O)N(C)CCCN[C@@H](C)c1nnnn1C ZINC001483462705 895587829 /nfs/dbraw/zinc/58/78/29/895587829.db2.gz GMEJZGUNMXVZLN-LBPRGKRZSA-N 0 1 292.387 0.513 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)CN1CCCC1=O ZINC001483628153 895747377 /nfs/dbraw/zinc/74/73/77/895747377.db2.gz DZDSFCPIPYZHRL-ZDUSSCGKSA-N 0 1 295.383 0.002 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H](C)n1cccn1 ZINC001483632300 895755487 /nfs/dbraw/zinc/75/54/87/895755487.db2.gz MCHQWTJSVDICJN-CHWSQXEVSA-N 0 1 260.341 0.658 20 30 CCEDMN Cc1ncc(CNC2(CNC(=O)C#CC3CC3)CC2)cn1 ZINC001483733190 895937119 /nfs/dbraw/zinc/93/71/19/895937119.db2.gz VNLREPDPHULPSQ-UHFFFAOYSA-N 0 1 284.363 0.937 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C/C=C(/C)C=C)CC1 ZINC001483759483 896019993 /nfs/dbraw/zinc/01/99/93/896019993.db2.gz IKSUDLGHZBIYDE-MLPAPPSSSA-N 0 1 289.379 0.497 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)COCCOC)C1 ZINC001484037988 896165856 /nfs/dbraw/zinc/16/58/56/896165856.db2.gz RMTKSJQVIMNYHO-AWEZNQCLSA-N 0 1 268.357 0.253 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001484040820 896169468 /nfs/dbraw/zinc/16/94/68/896169468.db2.gz OEWFTNBQMZGAKI-WFASDCNBSA-N 0 1 278.352 0.544 20 30 CCEDMN CC#CC[N@H+]1CC[C@](O)(CNC(=O)c2ccoc2)C1 ZINC001484204179 896235590 /nfs/dbraw/zinc/23/55/90/896235590.db2.gz QUJIYEJLKACNEY-AWEZNQCLSA-N 0 1 262.309 0.470 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2ccoc2)C1 ZINC001484204179 896235601 /nfs/dbraw/zinc/23/56/01/896235601.db2.gz QUJIYEJLKACNEY-AWEZNQCLSA-N 0 1 262.309 0.470 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H](C)CCCC)C1 ZINC001484214707 896248453 /nfs/dbraw/zinc/24/84/53/896248453.db2.gz AKDJLRHIKYBRIV-UKRRQHHQSA-N 0 1 266.385 0.999 20 30 CCEDMN C#CC[N@@H+]1CC[C@](O)(CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001484231077 896262107 /nfs/dbraw/zinc/26/21/07/896262107.db2.gz VCKFPKMTZKCZKK-INIZCTEOSA-N 0 1 298.346 0.363 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001484231077 896262120 /nfs/dbraw/zinc/26/21/20/896262120.db2.gz VCKFPKMTZKCZKK-INIZCTEOSA-N 0 1 298.346 0.363 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001484274866 896303674 /nfs/dbraw/zinc/30/36/74/896303674.db2.gz PHLPIMKVEHTSTA-WWGRRREGSA-N 0 1 294.395 0.541 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H]2CN(C(=O)/C(C)=C\C)C[C@@H]2C1 ZINC001484404908 896378060 /nfs/dbraw/zinc/37/80/60/896378060.db2.gz UMOJUJHTINOIHE-TVEFPQPASA-N 0 1 289.379 0.092 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)[C@@H](C)SC)C1 ZINC001484428225 896399092 /nfs/dbraw/zinc/39/90/92/896399092.db2.gz MWKFUQIEGJXZHF-TZMCWYRMSA-N 0 1 284.425 0.704 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)c2[nH]nnc2C)C1 ZINC001484443765 896409570 /nfs/dbraw/zinc/40/95/70/896409570.db2.gz ZGYDLTDQKFGSKK-CQSZACIVSA-N 0 1 293.371 0.246 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC[C@H](C)NCc1ncnn1C ZINC001484991951 896710369 /nfs/dbraw/zinc/71/03/69/896710369.db2.gz OCUSAGUHZGBKQB-FZMZJTMJSA-N 0 1 295.387 0.127 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@@H]2CC2(F)F)CC1 ZINC001485018340 896720721 /nfs/dbraw/zinc/72/07/21/896720721.db2.gz DPYGJTSRYCFPFJ-NSHDSACASA-N 0 1 286.322 0.608 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H]2C[C@@H]2C(C)C)CC1 ZINC001485026093 896722896 /nfs/dbraw/zinc/72/28/96/896722896.db2.gz XCDMZFMQPHFLND-ZIAGYGMSSA-N 0 1 278.396 0.855 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)C1CCN(C(C)=O)CC1 ZINC001485381973 897009417 /nfs/dbraw/zinc/00/94/17/897009417.db2.gz HPMIVJQODMJBEC-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cnn2cc[nH]c12 ZINC001485378530 897010119 /nfs/dbraw/zinc/01/01/19/897010119.db2.gz WAGNCIJRRMWUKY-NSHDSACASA-N 0 1 273.340 0.736 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1ccn(C)n1 ZINC001485384304 897015561 /nfs/dbraw/zinc/01/55/61/897015561.db2.gz UDMCTLZZVOHOMY-LBPRGKRZSA-N 0 1 278.356 0.120 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@]2(CC)CCNC2=O)C1 ZINC001485508974 897096861 /nfs/dbraw/zinc/09/68/61/897096861.db2.gz GBEBWHHJUMUAMZ-ABAIWWIYSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCOCCN1CC([C@@H](C)NC(=O)c2ccnnc2)C1 ZINC001485524890 897106413 /nfs/dbraw/zinc/10/64/13/897106413.db2.gz VGIRDHOJEJAKDE-GFCCVEGCSA-N 0 1 290.367 0.729 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnnn1C ZINC001032381859 897383376 /nfs/dbraw/zinc/38/33/76/897383376.db2.gz LMOOMVMEKMTBHC-QWRGUYRKSA-N 0 1 261.329 0.290 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001077756016 897419501 /nfs/dbraw/zinc/41/95/01/897419501.db2.gz NFKLNRWABYRDHJ-VXGBXAGGSA-N 0 1 298.774 0.274 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CC(=O)NCC)C1 ZINC001107885047 897434846 /nfs/dbraw/zinc/43/48/46/897434846.db2.gz QNFRDOPUKFYXOU-HNNXBMFYSA-N 0 1 297.399 0.296 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CO[C@@H]1CCOC1 ZINC001032401317 897453203 /nfs/dbraw/zinc/45/32/03/897453203.db2.gz LFNAXUIGGGIMLK-MELADBBJSA-N 0 1 278.352 0.100 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CO[C@@H]1CCOC1 ZINC001032401317 897453214 /nfs/dbraw/zinc/45/32/14/897453214.db2.gz LFNAXUIGGGIMLK-MELADBBJSA-N 0 1 278.352 0.100 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2cscn2)C1 ZINC001077785813 897495453 /nfs/dbraw/zinc/49/54/53/897495453.db2.gz QHCJQMDXNONFBJ-VXGBXAGGSA-N 0 1 281.381 0.423 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@]1(C)CN(CCC)CCO1 ZINC001107942500 897533879 /nfs/dbraw/zinc/53/38/79/897533879.db2.gz UDBXUUIZROFPNR-DZGCQCFKSA-N 0 1 282.384 0.642 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]cc1C(F)(F)F ZINC001032439395 897564944 /nfs/dbraw/zinc/56/49/44/897564944.db2.gz POLAMTNEIFLPPR-YUMQZZPRSA-N 0 1 299.256 0.851 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cnc[nH]1 ZINC001032437996 897566619 /nfs/dbraw/zinc/56/66/19/897566619.db2.gz ZCLUHUOVYKZKEM-KBPBESRZSA-N 0 1 272.352 0.651 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCC(=O)NC1 ZINC001032441538 897576002 /nfs/dbraw/zinc/57/60/02/897576002.db2.gz RDYNBYRZBRYIMV-IHRRRGAJSA-N 0 1 291.395 0.764 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCC(=O)NC1 ZINC001032441538 897576009 /nfs/dbraw/zinc/57/60/09/897576009.db2.gz RDYNBYRZBRYIMV-IHRRRGAJSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2nc(C)c[nH]2)C1 ZINC001077811906 897666547 /nfs/dbraw/zinc/66/65/47/897666547.db2.gz QMNAEOXUVLTGMM-GHMZBOCLSA-N 0 1 264.329 0.069 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@@H]1CC1(F)F ZINC001403832211 897698478 /nfs/dbraw/zinc/69/84/78/897698478.db2.gz AAWKOCZWIGZIJI-BDAKNGLRSA-N 0 1 282.718 0.803 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCNC(=O)CC1 ZINC001032542962 897726300 /nfs/dbraw/zinc/72/63/00/897726300.db2.gz ZZSUMNVDIUBCSX-RDBSUJKOSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1occc1CN(C)C ZINC001032592493 897790959 /nfs/dbraw/zinc/79/09/59/897790959.db2.gz NRQZIKJQWQBLBS-KBPBESRZSA-N 0 1 287.363 0.873 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)CCC(=O)N1 ZINC001032611925 897824959 /nfs/dbraw/zinc/82/49/59/897824959.db2.gz WWCDTUISHYPCGJ-HUBLWGQQSA-N 0 1 277.368 0.516 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(CC)C[C@H]2OC)CCOCC1 ZINC001212395778 897833862 /nfs/dbraw/zinc/83/38/62/897833862.db2.gz NEUJTLPBSMCVSZ-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1c[nH]nn1 ZINC001032636333 897862435 /nfs/dbraw/zinc/86/24/35/897862435.db2.gz DHENLYPPALBTBF-RYUDHWBXSA-N 0 1 295.774 0.775 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cnn[nH]1 ZINC001032636333 897862438 /nfs/dbraw/zinc/86/24/38/897862438.db2.gz DHENLYPPALBTBF-RYUDHWBXSA-N 0 1 295.774 0.775 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001077822934 897865890 /nfs/dbraw/zinc/86/58/90/897865890.db2.gz KXALIPULMYPUGQ-LOWDOPEQSA-N 0 1 268.357 0.149 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001032640913 897886069 /nfs/dbraw/zinc/88/60/69/897886069.db2.gz WJZUQFLISQUQTD-AHDPXTMNSA-N 0 1 274.364 0.862 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)CC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001272815329 897902571 /nfs/dbraw/zinc/90/25/71/897902571.db2.gz VYICSPPZAZMNAS-LLVKDONJSA-N 0 1 295.387 0.449 20 30 CCEDMN Cn1cc(CN2CC[C@]3(C2)CN(C)C(=O)CO3)cc1C#N ZINC001272820745 897956270 /nfs/dbraw/zinc/95/62/70/897956270.db2.gz DWOUKVGJURCHGA-OAHLLOKOSA-N 0 1 288.351 0.330 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001032719340 897966226 /nfs/dbraw/zinc/96/62/26/897966226.db2.gz DDFJPFFWBOQYIQ-WOPDTQHZSA-N 0 1 285.351 0.323 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C(=O)N1CC[C@@H](C)C1 ZINC001032721663 897975907 /nfs/dbraw/zinc/97/59/07/897975907.db2.gz HNXSAWFOUNERIX-RDBSUJKOSA-N 0 1 291.395 0.716 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3cncc(C)c3)C2)OCC1=O ZINC001272839162 898068735 /nfs/dbraw/zinc/06/87/35/898068735.db2.gz QEWHTZQSDUQMHQ-QGZVFWFLSA-N 0 1 299.374 0.827 20 30 CCEDMN C[N@@H+](CC(=O)NCC1(NCC#N)CCC1)C1CCC1 ZINC001326835279 914217901 /nfs/dbraw/zinc/21/79/01/914217901.db2.gz UMRCWCCSUMSAFY-UHFFFAOYSA-N 0 1 264.373 0.623 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC23CCCC3)C1 ZINC001077951729 898099563 /nfs/dbraw/zinc/09/95/63/898099563.db2.gz CNGWTSUOWPTPKW-MGPQQGTHSA-N 0 1 276.380 0.751 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2c(C)nn(C)c2F)C1 ZINC001078092459 898224378 /nfs/dbraw/zinc/22/43/78/898224378.db2.gz LEVRLGHFRRQGGT-GHMZBOCLSA-N 0 1 296.346 0.219 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(CF)cc2)C1 ZINC001078250156 898314013 /nfs/dbraw/zinc/31/40/13/898314013.db2.gz YOLAIWLICHAFBK-HUUCEWRRSA-N 0 1 290.338 0.954 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc[nH]1 ZINC001032795924 898341936 /nfs/dbraw/zinc/34/19/36/898341936.db2.gz WDJXPKZARYXDOP-KBPBESRZSA-N 0 1 257.337 0.866 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)c1ccc(C)s1 ZINC001485968939 898542429 /nfs/dbraw/zinc/54/24/29/898542429.db2.gz JKBZIYSEMBVOFJ-GFCCVEGCSA-N 0 1 296.392 0.387 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1(C(F)F)CCC1 ZINC001486028425 898618439 /nfs/dbraw/zinc/61/84/39/898618439.db2.gz VOPIMUONEZBJJM-SECBINFHSA-N 0 1 260.284 0.122 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@H](NC(=O)CC(N)=O)[C@@H]1C ZINC001486169224 898692876 /nfs/dbraw/zinc/69/28/76/898692876.db2.gz GGEUCSQMWAWTRQ-RYUDHWBXSA-N 0 1 283.372 0.034 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCCC(=O)NC)[C@H]1C ZINC001486171547 898695338 /nfs/dbraw/zinc/69/53/38/898695338.db2.gz VBFHCWSWUGHXDZ-OLZOCXBDSA-N 0 1 279.384 0.505 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H](C)COC ZINC001486265104 898751061 /nfs/dbraw/zinc/75/10/61/898751061.db2.gz LXJUJVKIHKBJBA-MWLCHTKSSA-N 0 1 278.780 0.430 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C[C@@H](C)COC ZINC001486353527 898808388 /nfs/dbraw/zinc/80/83/88/898808388.db2.gz YVCAXHZHGZAJFC-OLZOCXBDSA-N 0 1 270.373 0.043 20 30 CCEDMN C=CCN(CCNC(=O)c1nccc(C)n1)CCOC ZINC001486428280 898867231 /nfs/dbraw/zinc/86/72/31/898867231.db2.gz SETMPADXEOJVMD-UHFFFAOYSA-N 0 1 278.356 0.649 20 30 CCEDMN C#CCN1CC=C(CNC(=O)C[C@H]2COC(=O)C2)CC1 ZINC001486506403 898908852 /nfs/dbraw/zinc/90/88/52/898908852.db2.gz HBWULLJXOBIYJH-CYBMUJFWSA-N 0 1 276.336 0.321 20 30 CCEDMN C[C@H](NC(=O)C#CC(C)(C)C)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001486698762 898964711 /nfs/dbraw/zinc/96/47/11/898964711.db2.gz PFDJXJIFSDCDPT-UWVGGRQHSA-N 0 1 291.355 0.477 20 30 CCEDMN C[C@H](NC(=O)C#CC(C)(C)C)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001486698762 898964717 /nfs/dbraw/zinc/96/47/17/898964717.db2.gz PFDJXJIFSDCDPT-UWVGGRQHSA-N 0 1 291.355 0.477 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001487370728 899161663 /nfs/dbraw/zinc/16/16/63/899161663.db2.gz JOKBYFQRISFCTL-WDEREUQCSA-N 0 1 291.355 0.928 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001487371382 899163023 /nfs/dbraw/zinc/16/30/23/899163023.db2.gz GNQZEOVKBVTKGQ-MNOVXSKESA-N 0 1 291.355 0.928 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001487371382 899163028 /nfs/dbraw/zinc/16/30/28/899163028.db2.gz GNQZEOVKBVTKGQ-MNOVXSKESA-N 0 1 291.355 0.928 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC001324023458 899564268 /nfs/dbraw/zinc/56/42/68/899564268.db2.gz VXABUMCFTCMZOZ-STQMWFEESA-N 0 1 284.404 0.123 20 30 CCEDMN C=C[C@H](COC)NC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC001324023457 899564508 /nfs/dbraw/zinc/56/45/08/899564508.db2.gz VXABUMCFTCMZOZ-QWHCGFSZSA-N 0 1 284.404 0.123 20 30 CCEDMN C#CCCCC(=O)NCCCN(C)C(=O)Cc1ccn[nH]1 ZINC001294043904 899687153 /nfs/dbraw/zinc/68/71/53/899687153.db2.gz AARQJGZTWNNCPS-UHFFFAOYSA-N 0 1 290.367 0.720 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](CO)CNC(=O)[C@H]1CCCN1C ZINC001487965266 900190665 /nfs/dbraw/zinc/19/06/65/900190665.db2.gz XWLPQLFOGWPTPN-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H](CNC(=O)c1cnn[nH]1)C(C)(C)C ZINC001488518902 900305647 /nfs/dbraw/zinc/30/56/47/900305647.db2.gz QENXCJSOGKPEKZ-WCBMZHEXSA-N 0 1 292.343 0.225 20 30 CCEDMN C#CC[NH+]1CC[C@@H]1CN(C)C(=O)C[N@H+](C)CCC ZINC001488684051 900341774 /nfs/dbraw/zinc/34/17/74/900341774.db2.gz RYDCIHPVNQABCR-CYBMUJFWSA-N 0 1 251.374 0.494 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C2=COCCC2)C1 ZINC001489155838 900441375 /nfs/dbraw/zinc/44/13/75/900441375.db2.gz NMPDZFCREMRLBV-HNNXBMFYSA-N 0 1 292.379 0.599 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)COCc2cccnc2)[C@H]1C ZINC001489307553 900460841 /nfs/dbraw/zinc/46/08/41/900460841.db2.gz MQNYOTVOOLQMGN-HIFRSBDPSA-N 0 1 287.363 0.810 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](C)NC(C)=O ZINC001489315785 900464776 /nfs/dbraw/zinc/46/47/76/900464776.db2.gz COKMOAGIJPGFJH-OCCSQVGLSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H](C)C1CCC1 ZINC001490213758 900553446 /nfs/dbraw/zinc/55/34/46/900553446.db2.gz WCEXDYQJBJDOBV-CYBMUJFWSA-N 0 1 293.411 0.610 20 30 CCEDMN C=C(C)CN1CCN(CCCNC(=O)c2cocn2)CC1 ZINC001490465736 900632137 /nfs/dbraw/zinc/63/21/37/900632137.db2.gz MOYNTRKKJJTCDV-UHFFFAOYSA-N 0 1 292.383 0.988 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@H]2CCOC2)CC1 ZINC001490484543 900637125 /nfs/dbraw/zinc/63/71/25/900637125.db2.gz JPCRADKMBAVCKA-AWEZNQCLSA-N 0 1 281.400 0.333 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCN1CCN(CCOC)CC1 ZINC001490506966 900658809 /nfs/dbraw/zinc/65/88/09/900658809.db2.gz RCFCGZANZSNADN-UHFFFAOYSA-N 0 1 297.443 0.969 20 30 CCEDMN C=CCOCC(=O)N(C)[C@@H](C)CNCc1nccnc1C ZINC001319431008 900882400 /nfs/dbraw/zinc/88/24/00/900882400.db2.gz REHPTFRODOWMBU-LBPRGKRZSA-N 0 1 292.383 0.924 20 30 CCEDMN CCC[C@@H](NC(=O)c1cc(C#N)ccn1)c1nn[nH]n1 ZINC001411855565 901292160 /nfs/dbraw/zinc/29/21/60/901292160.db2.gz HWEOJOJNZNWUOE-SECBINFHSA-N 0 1 271.284 0.738 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCNC(N)=O)C1 ZINC001448998303 901446115 /nfs/dbraw/zinc/44/61/15/901446115.db2.gz AUVWZZGDHRNJEE-UWVGGRQHSA-N 0 1 288.779 0.376 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001412257418 901599474 /nfs/dbraw/zinc/59/94/74/901599474.db2.gz WUUGQUANENRGTI-IWSPIJDZSA-N 0 1 293.302 0.333 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@H]2CNC(=O)[C@H](C)C#N)n[nH]1 ZINC001398216921 914574604 /nfs/dbraw/zinc/57/46/04/914574604.db2.gz SAKSLYLTKQKIJR-KOLCDFICSA-N 0 1 289.339 0.599 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCN(C)C(=O)COC)C1=O ZINC001327174135 902061631 /nfs/dbraw/zinc/06/16/31/902061631.db2.gz XHXIBGSISLEYQI-CYBMUJFWSA-N 0 1 297.399 0.200 20 30 CCEDMN COc1cncc(CN[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001490878751 902224183 /nfs/dbraw/zinc/22/41/83/902224183.db2.gz RNQWOAKOVFGBCO-DCAQKATOSA-N 0 1 289.339 0.382 20 30 CCEDMN C=CC[NH2+][C@@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1 ZINC001327565513 914622732 /nfs/dbraw/zinc/62/27/32/914622732.db2.gz VSNYSTYVQLYFEB-HNNXBMFYSA-N 0 1 291.351 0.530 20 30 CCEDMN CO[C@H](C)C[N@@H+](C)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001412980695 902423650 /nfs/dbraw/zinc/42/36/50/902423650.db2.gz FLFKJUDOCUMCJE-SECBINFHSA-N 0 1 275.312 0.773 20 30 CCEDMN N#CCOc1ccccc1C(=O)NCCc1nc[nH]n1 ZINC001412999399 902456788 /nfs/dbraw/zinc/45/67/88/902456788.db2.gz SXGMCBAWJHLFKO-UHFFFAOYSA-N 0 1 271.280 0.680 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@H]1CN(C)CC1(C)C ZINC001413462088 902966466 /nfs/dbraw/zinc/96/64/66/902966466.db2.gz UELGMGZILAINKS-NSHDSACASA-N 0 1 288.417 0.397 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](NC(=O)c1cnn[nH]1)C(C)(C)C ZINC001413474333 902977624 /nfs/dbraw/zinc/97/76/24/902977624.db2.gz ZXSHMAXULGCWQF-PSASIEDQSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](NC(=O)c1cnn[nH]1)C(C)(C)C ZINC001413474350 902979185 /nfs/dbraw/zinc/97/91/85/902979185.db2.gz ZXSHMAXULGCWQF-WCBMZHEXSA-N 0 1 292.343 0.225 20 30 CCEDMN COC[C@@H](NC(=O)c1cccc(CC#N)c1)c1nn[nH]n1 ZINC001413550891 903038115 /nfs/dbraw/zinc/03/81/15/903038115.db2.gz GBOBEAPITLZUNH-LLVKDONJSA-N 0 1 286.295 0.383 20 30 CCEDMN COCCOCCN1CCCC[C@H]1CNC(=O)[C@@H](C)C#N ZINC001491204840 903443027 /nfs/dbraw/zinc/44/30/27/903443027.db2.gz MPXGMJGXGBRPFF-KBPBESRZSA-N 0 1 297.399 0.780 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)CCCC(=O)NC ZINC001491208833 903446742 /nfs/dbraw/zinc/44/67/42/903446742.db2.gz UWRKWZQUGSPXAB-ZDUSSCGKSA-N 0 1 279.384 0.507 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)CC ZINC001491321447 903495886 /nfs/dbraw/zinc/49/58/86/903495886.db2.gz YODQHUICOAQNII-LBELIVKGSA-N 0 1 297.374 0.472 20 30 CCEDMN COc1ccnc(CN[C@H](C)CNC(=O)[C@@H](C)C#N)c1 ZINC001491413185 903562050 /nfs/dbraw/zinc/56/20/50/903562050.db2.gz HCQZJIWHCPMTMJ-WDEREUQCSA-N 0 1 276.340 0.844 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](C)NCc1ccn(CC)n1 ZINC001491441215 903595754 /nfs/dbraw/zinc/59/57/54/903595754.db2.gz KDZRUMWPKVJGIX-SWLSCSKDSA-N 0 1 294.399 0.824 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cccn2nnnc12 ZINC001491468345 903612487 /nfs/dbraw/zinc/61/24/87/903612487.db2.gz FOIWABHKNPIVEE-SECBINFHSA-N 0 1 294.746 0.585 20 30 CCEDMN COCC#CC(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC001327733046 914737249 /nfs/dbraw/zinc/73/72/49/914737249.db2.gz FACUDTOBIQFUCV-UHFFFAOYSA-N 0 1 288.351 0.422 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NCc1n[nH]c(COC)n1 ZINC001327746458 914744738 /nfs/dbraw/zinc/74/47/38/914744738.db2.gz JEEIQYJVFBJEDR-MRVPVSSYSA-N 0 1 251.290 0.114 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NCc1nnc(COC)[nH]1 ZINC001327746458 914744751 /nfs/dbraw/zinc/74/47/51/914744751.db2.gz JEEIQYJVFBJEDR-MRVPVSSYSA-N 0 1 251.290 0.114 20 30 CCEDMN C#CCCN1CCC[C@H](Nc2ncnc3c2CCNC3)C1=O ZINC001160898655 903769469 /nfs/dbraw/zinc/76/94/69/903769469.db2.gz PVOMZPJBILQDAD-ZDUSSCGKSA-N 0 1 299.378 0.549 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)COCc1nc(CC)no1 ZINC001329592802 903813773 /nfs/dbraw/zinc/81/37/73/903813773.db2.gz VDQGNEPSCGZUTD-NSHDSACASA-N 0 1 294.355 0.218 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1CCN(C)C1=O ZINC001491558183 903930079 /nfs/dbraw/zinc/93/00/79/903930079.db2.gz KYVNRQVHEXCWPR-UWVGGRQHSA-N 0 1 273.764 0.312 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN[C@@H]1CCn2ccnc21 ZINC001331969759 904037321 /nfs/dbraw/zinc/03/73/21/904037321.db2.gz FLEVOMAYMWVQRI-NWDGAFQWSA-N 0 1 263.345 0.124 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCCN([C@@H]2CCNC2=O)CC1 ZINC001280707480 904142659 /nfs/dbraw/zinc/14/26/59/904142659.db2.gz HBUIDQFZCOJPIU-CHWSQXEVSA-N 0 1 279.384 0.812 20 30 CCEDMN C[C@@H](C(N)=O)N1CCC[C@@H](NC(=O)C#CC(C)(C)C)CC1 ZINC001280733307 904144593 /nfs/dbraw/zinc/14/45/93/904144593.db2.gz NWQRWEMXNFSYOG-QWHCGFSZSA-N 0 1 293.411 0.880 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)CC2CC2)CC1 ZINC001281796241 904350356 /nfs/dbraw/zinc/35/03/56/904350356.db2.gz PELSXKWFJGOADT-UHFFFAOYSA-N 0 1 264.369 0.753 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)CCC(=O)NCC ZINC001281915540 904361749 /nfs/dbraw/zinc/36/17/49/904361749.db2.gz BRMMFFGZXWCYDR-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CCC(=O)NCC ZINC001281915540 904361766 /nfs/dbraw/zinc/36/17/66/904361766.db2.gz BRMMFFGZXWCYDR-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cccc2ncnn21 ZINC001281949015 904375577 /nfs/dbraw/zinc/37/55/77/904375577.db2.gz CPQGRICKHQAFNU-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCCC(=O)NC1CC(CNCc2ncnn2C)C1 ZINC001282003279 904386869 /nfs/dbraw/zinc/38/68/69/904386869.db2.gz QYESCHACYGPBHZ-UHFFFAOYSA-N 0 1 289.383 0.603 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1nccnc1N ZINC001282368293 904461777 /nfs/dbraw/zinc/46/17/77/904461777.db2.gz WATBNLZBLUUUCD-JTQLQIEISA-N 0 1 261.329 0.132 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)Cn1ncc2cc(C)cnc21 ZINC001282437266 904479618 /nfs/dbraw/zinc/47/96/18/904479618.db2.gz JBELTVGBSMAHGK-ZDUSSCGKSA-N 0 1 299.378 0.809 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001282477429 904486281 /nfs/dbraw/zinc/48/62/81/904486281.db2.gz JJPNOIDVLDFXIQ-CHWSQXEVSA-N 0 1 288.395 0.974 20 30 CCEDMN C#CCCCCC(=O)NCCNC(=O)C1=NC(=O)N(C)C1 ZINC001282977695 904650632 /nfs/dbraw/zinc/65/06/32/904650632.db2.gz IMXKVYNFXCOIJA-UHFFFAOYSA-N 0 1 292.339 0.165 20 30 CCEDMN C[C@@H](CCCNCC#N)NC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001283247789 904777701 /nfs/dbraw/zinc/77/77/01/904777701.db2.gz IAQAJSCWAWFDGT-RYUDHWBXSA-N 0 1 277.372 0.986 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@@H](C)NCc1nccn1C ZINC001283608817 904927998 /nfs/dbraw/zinc/92/79/98/904927998.db2.gz VQBJTAGILVHWPP-OLZOCXBDSA-N 0 1 292.383 0.395 20 30 CCEDMN C=CCOCC(=O)N(C)CCCNC(=O)[C@H]1CCCN1C ZINC001283613336 904930724 /nfs/dbraw/zinc/93/07/24/904930724.db2.gz MIFIBBBESKAYHM-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC001327914069 914857382 /nfs/dbraw/zinc/85/73/82/914857382.db2.gz LKEHPSSBAMDSGL-STQMWFEESA-N 0 1 291.395 0.906 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CC[C@@H]1CCCO1 ZINC001283736279 904983013 /nfs/dbraw/zinc/98/30/13/904983013.db2.gz VFHSGZPMDZGGTB-OLZOCXBDSA-N 0 1 268.357 0.036 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](C)Oc1ccccc1F ZINC001283760638 905004650 /nfs/dbraw/zinc/00/46/50/905004650.db2.gz XBYJJCRVOXPHIL-VXGBXAGGSA-N 0 1 294.326 0.293 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cnoc1-c1ccco1 ZINC001283772040 905011217 /nfs/dbraw/zinc/01/12/17/905011217.db2.gz UKXGZDZIBIJKCL-JTQLQIEISA-N 0 1 289.291 0.248 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccnc1C(F)F ZINC001283782818 905015390 /nfs/dbraw/zinc/01/53/90/905015390.db2.gz VAFKUZXXBICHGM-VIFPVBQESA-N 0 1 283.278 0.333 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc2c([nH]1)CCC2 ZINC001283815772 905031926 /nfs/dbraw/zinc/03/19/26/905031926.db2.gz FRVYKEBMHYPBBG-LBPRGKRZSA-N 0 1 275.352 0.207 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001283891913 905078068 /nfs/dbraw/zinc/07/80/68/905078068.db2.gz ZASJNDRQMQLHFH-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001283891913 905078086 /nfs/dbraw/zinc/07/80/86/905078086.db2.gz ZASJNDRQMQLHFH-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@@H](C)OC ZINC001284387521 905266163 /nfs/dbraw/zinc/26/61/63/905266163.db2.gz MDTYNLBLOIPMOH-NXEZZACHSA-N 0 1 264.753 0.183 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCN(C)C(=O)c1ccn[nH]1 ZINC001284503530 905334741 /nfs/dbraw/zinc/33/47/41/905334741.db2.gz ZYNTVMJTOSCVBT-NSHDSACASA-N 0 1 294.355 0.579 20 30 CCEDMN C#CCCCC(=O)N1CC(NC(=O)[C@H]2CCCCN2C)C1 ZINC001284921742 905489098 /nfs/dbraw/zinc/48/90/98/905489098.db2.gz FQJLBRJBHDUOOI-CQSZACIVSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H](CC)CNC(=O)c1cnn[nH]1 ZINC001285072332 905539035 /nfs/dbraw/zinc/53/90/35/905539035.db2.gz FVUYBNKSHBEYAP-UWVGGRQHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](CC)NC(=O)Cc1nnc[nH]1 ZINC001285083813 905544361 /nfs/dbraw/zinc/54/43/61/905544361.db2.gz VYWGLJOSFNLYCX-JTQLQIEISA-N 0 1 293.371 0.570 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001285399578 905613162 /nfs/dbraw/zinc/61/31/62/905613162.db2.gz LHRIVLPGIUTBEO-GHMZBOCLSA-N 0 1 291.355 0.786 20 30 CCEDMN C[C@H](CNC(=O)C#CC(C)(C)C)NC(=O)[C@@H]1CCCN1C ZINC001285629991 905698286 /nfs/dbraw/zinc/69/82/86/905698286.db2.gz KLCMQQRGXVDWTB-OLZOCXBDSA-N 0 1 293.411 0.751 20 30 CCEDMN C[C@H](CNC(=O)C#CC(C)(C)C)NC(=O)[C@H]1CCCN1C ZINC001285629989 905699397 /nfs/dbraw/zinc/69/93/97/905699397.db2.gz KLCMQQRGXVDWTB-CHWSQXEVSA-N 0 1 293.411 0.751 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)C1=NC(=O)N(C)C1 ZINC001285692814 905721720 /nfs/dbraw/zinc/72/17/20/905721720.db2.gz REBCCQIITUXUAZ-SECBINFHSA-N 0 1 294.355 0.572 20 30 CCEDMN C=CCOCC(=O)N(C)[C@@H](C)CNC(=O)[C@H]1CCCN1C ZINC001285775162 905762047 /nfs/dbraw/zinc/76/20/47/905762047.db2.gz IZKZDSXARDHKEX-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CNC(=O)CC ZINC001378751722 905797319 /nfs/dbraw/zinc/79/73/19/905797319.db2.gz JCAHZHUWFJLWCF-SNVBAGLBSA-N 0 1 275.780 0.702 20 30 CCEDMN CCn1cc(CNC[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)nn1 ZINC001378828875 905843980 /nfs/dbraw/zinc/84/39/80/905843980.db2.gz KWFCKVZGXUGUIG-SRVKXCTJSA-N 0 1 290.371 0.442 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H](O)C2CCC2)CC1 ZINC001292880294 906364497 /nfs/dbraw/zinc/36/44/97/906364497.db2.gz GOUIGDKZBBPGRS-NSHDSACASA-N 0 1 251.330 0.252 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCCC(N)=O)C1 ZINC001379590941 906365424 /nfs/dbraw/zinc/36/54/24/906365424.db2.gz VDYWYOSBDAMQGW-MNOVXSKESA-N 0 1 287.791 0.974 20 30 CCEDMN C=CCOC[C@H]([NH2+]Cc1cc(C)nn1C)C(=O)[O-] ZINC001334778337 906372708 /nfs/dbraw/zinc/37/27/08/906372708.db2.gz YWSXQJNVEFEJKX-NSHDSACASA-N 0 1 253.302 0.474 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCNC(=O)c1cnn[nH]1 ZINC001293433923 906474961 /nfs/dbraw/zinc/47/49/61/906474961.db2.gz FSAUGMZLQZQGEL-UHFFFAOYSA-N 0 1 265.317 0.253 20 30 CCEDMN COc1cc(CNC[C@H](NC(=O)[C@@H](C)C#N)C2CC2)on1 ZINC001379851215 906507265 /nfs/dbraw/zinc/50/72/65/906507265.db2.gz MZULHPHGGQRJGD-CABZTGNLSA-N 0 1 292.339 0.827 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)C[C@@H]2CCNC2=O)c1 ZINC001295829061 906811495 /nfs/dbraw/zinc/81/14/95/906811495.db2.gz XEBGHAKYHARBJG-VIFPVBQESA-N 0 1 259.265 0.729 20 30 CCEDMN C#CCO[C@H](C)C(=O)Nc1ccc(O)c(S(N)(=O)=O)c1 ZINC001295841945 906813152 /nfs/dbraw/zinc/81/31/52/906813152.db2.gz BHHHZDPKFQUYAY-MRVPVSSYSA-N 0 1 298.320 0.016 20 30 CCEDMN CN(CCNC(=O)[C@@H]1CCCN1C)C(=O)C#CC(C)(C)C ZINC001296026199 906849560 /nfs/dbraw/zinc/84/95/60/906849560.db2.gz BFIJSZSOEWMBGH-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(CC#N)C(=O)c1ccc(CN(C)C)nc1 ZINC001296848720 906968270 /nfs/dbraw/zinc/96/82/70/906968270.db2.gz UUNQSOPGDXNTKY-UHFFFAOYSA-N 0 1 256.309 0.742 20 30 CCEDMN C[C@H](C#N)C(=O)NC/C=C/CNC(=O)[C@H]1CCCCN1C ZINC001298466978 907246497 /nfs/dbraw/zinc/24/64/97/907246497.db2.gz XLKCLNUGUFGONL-IJWDBEHRSA-N 0 1 292.383 0.419 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001298551090 907268423 /nfs/dbraw/zinc/26/84/23/907268423.db2.gz SCPFHIJROYTTNZ-NXEZZACHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@H](C)NC(N)=O ZINC001382064254 907526364 /nfs/dbraw/zinc/52/63/64/907526364.db2.gz GHTPLBFAOTZPCU-VHSXEESVSA-N 0 1 290.795 0.622 20 30 CCEDMN N#CCNC[C@H]1CCN(C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001491831972 907596172 /nfs/dbraw/zinc/59/61/72/907596172.db2.gz BKVLSUZMDSALEP-MRVPVSSYSA-N 0 1 283.282 0.923 20 30 CCEDMN C[C@@H](C(N)=O)N(C)[C@@H]1CCCN(C(=O)C#CC(C)(C)C)C1 ZINC001491924434 907640694 /nfs/dbraw/zinc/64/06/94/907640694.db2.gz CNMLQPRFFNRXTO-QWHCGFSZSA-N 0 1 293.411 0.833 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccn(C)c1 ZINC001492225977 907777396 /nfs/dbraw/zinc/77/73/96/907777396.db2.gz GUFLRMXPRXNQOZ-UHFFFAOYSA-N 0 1 263.341 0.337 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cccc2n[nH]nc21 ZINC001492261278 907813504 /nfs/dbraw/zinc/81/35/04/907813504.db2.gz ODZPBSBYIHBDCO-UHFFFAOYSA-N 0 1 271.324 0.643 20 30 CCEDMN C#CCN(C)CCNC(=O)c1c[nH]nc1-c1ccncc1 ZINC001492321535 907847996 /nfs/dbraw/zinc/84/79/96/907847996.db2.gz PANXVUQHEVVJTE-UHFFFAOYSA-N 0 1 283.335 0.767 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@@H]1CCCC(=O)N1 ZINC001492329484 907849677 /nfs/dbraw/zinc/84/96/77/907849677.db2.gz BCHROYWHDPVYCO-LBPRGKRZSA-N 0 1 265.357 0.117 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ncn(-c2ccccc2)n1 ZINC001492296364 907864836 /nfs/dbraw/zinc/86/48/36/907864836.db2.gz BQNVYMYMQBVPST-UHFFFAOYSA-N 0 1 297.362 0.952 20 30 CCEDMN N#Cc1cnn(C([O-])=C2COc3ccc(O)cc3O2)c1N ZINC001301625007 907980239 /nfs/dbraw/zinc/98/02/39/907980239.db2.gz LEPKZCZZFDMKAH-LLVKDONJSA-N 0 1 286.247 0.523 20 30 CCEDMN N#Cc1cnn(C(O)=C2COc3ccc(O)cc3O2)c1N ZINC001301625007 907980250 /nfs/dbraw/zinc/98/02/50/907980250.db2.gz LEPKZCZZFDMKAH-LLVKDONJSA-N 0 1 286.247 0.523 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)CCc2nc[nH]n2)CCN1CC#N ZINC001316731500 908126925 /nfs/dbraw/zinc/12/69/25/908126925.db2.gz ZXRRZHFIUVHXBI-WDEREUQCSA-N 0 1 276.344 0.230 20 30 CCEDMN C=CCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)Cc2ncn[nH]2)C1 ZINC001338156924 921382892 /nfs/dbraw/zinc/38/28/92/921382892.db2.gz VYKZLIWPGRFNIV-WDEREUQCSA-N 0 1 291.355 0.419 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](N(C)C(=O)[C@H](C)C#N)C2)[nH]1 ZINC001385362781 908413968 /nfs/dbraw/zinc/41/39/68/908413968.db2.gz AEARRNKPYIRZTE-KOLCDFICSA-N 0 1 289.339 0.551 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)[C@H](C)COC ZINC001317496635 908527536 /nfs/dbraw/zinc/52/75/36/908527536.db2.gz OWQPOLTWDIDOPN-KGLIPLIRSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NCc1n[nH]c(CC)n1 ZINC001312756474 908627590 /nfs/dbraw/zinc/62/75/90/908627590.db2.gz HKZLEHCPFRFXOL-QMMMGPOBSA-N 0 1 253.306 0.103 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1C[C@H]1C1CCOCC1 ZINC001313193546 908658236 /nfs/dbraw/zinc/65/82/36/908658236.db2.gz DICBJBAKUWVJJD-UONOGXRCSA-N 0 1 279.384 0.666 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@]2(C)CCOC2)C1 ZINC001316745897 908764817 /nfs/dbraw/zinc/76/48/17/908764817.db2.gz KWLKOFYSIXFNOV-ZBFHGGJFSA-N 0 1 294.395 0.332 20 30 CCEDMN C[C@H](C(=O)N(C)C)N(C)CCCNC(=O)C#CC(C)(C)C ZINC001316823230 908790903 /nfs/dbraw/zinc/79/09/03/908790903.db2.gz FOENRUAUBWCPDN-CYBMUJFWSA-N 0 1 295.427 0.951 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CCCN(CC#CC)C1 ZINC001316944721 908860956 /nfs/dbraw/zinc/86/09/56/908860956.db2.gz LULHWAJVRGNQGH-CQSZACIVSA-N 0 1 262.353 0.630 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1CCCN(CCOCC)C1 ZINC001316942526 908863947 /nfs/dbraw/zinc/86/39/47/908863947.db2.gz BFDRKHYBNXRTJR-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CCCCC(N)=O)C1 ZINC001316955308 908869076 /nfs/dbraw/zinc/86/90/76/908869076.db2.gz IUXPWFLDMUOOME-GFCCVEGCSA-N 0 1 267.373 0.799 20 30 CCEDMN CCN(CCNC(=O)[C@@H](C)C#N)C(=O)c1cc(C)[nH]n1 ZINC001387302119 909099293 /nfs/dbraw/zinc/09/92/93/909099293.db2.gz PKEWDJACXGULIY-VIFPVBQESA-N 0 1 277.328 0.456 20 30 CCEDMN CC#CCN(C)CCNC(=O)C1(NC(C)=O)CCCCC1 ZINC001317451117 909220867 /nfs/dbraw/zinc/22/08/67/909220867.db2.gz KOQNGOVCGNXICP-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCn2ccnc2C1 ZINC001317452145 909226550 /nfs/dbraw/zinc/22/65/50/909226550.db2.gz WCCFNXAKMSYDBL-LBPRGKRZSA-N 0 1 260.341 0.127 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC001317458538 909235148 /nfs/dbraw/zinc/23/51/48/909235148.db2.gz OJLFBBBZCWPMOM-GFCCVEGCSA-N 0 1 274.368 0.206 20 30 CCEDMN CC#CCN(CCNC(=O)c1ccc(=O)[nH]n1)C1CC1 ZINC001317547701 909314987 /nfs/dbraw/zinc/31/49/87/909314987.db2.gz LHAWMKPRCWDOCZ-UHFFFAOYSA-N 0 1 274.324 0.400 20 30 CCEDMN C#CCN(CCNC(=O)CCc1ncccn1)C1CC1 ZINC001317557537 909332333 /nfs/dbraw/zinc/33/23/33/909332333.db2.gz BRBMOIZSABBKNX-UHFFFAOYSA-N 0 1 272.352 0.623 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H](NC(N)=O)C(C)(C)C)C1CC1 ZINC001317558979 909332781 /nfs/dbraw/zinc/33/27/81/909332781.db2.gz OKAKRSOZOQRXKF-GFCCVEGCSA-N 0 1 294.399 0.283 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)CC(N)=O)C1 ZINC001317753531 909480156 /nfs/dbraw/zinc/48/01/56/909480156.db2.gz KBYCIEQBOCMTIN-NSHDSACASA-N 0 1 253.346 0.361 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)C(=O)c1ccn[nH]1 ZINC001388599427 909512885 /nfs/dbraw/zinc/51/28/85/909512885.db2.gz DQADWQCPWBEDFY-SNVBAGLBSA-N 0 1 277.328 0.538 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001317978008 909559284 /nfs/dbraw/zinc/55/92/84/909559284.db2.gz TYFGXRCDINWVEG-KBPBESRZSA-N 0 1 292.383 0.874 20 30 CCEDMN C=CCOCC(=O)NC1CN(C[C@H]2C[C@@]23CCOC3)C1 ZINC001318010651 909574327 /nfs/dbraw/zinc/57/43/27/909574327.db2.gz SKMOBOBVWPDOJR-IUODEOHRSA-N 0 1 280.368 0.416 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001318129237 909636228 /nfs/dbraw/zinc/63/62/28/909636228.db2.gz LOMJXYBJIVLEDK-OAHLLOKOSA-N 0 1 279.384 0.527 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@@H](CC)OC ZINC001318191053 909658314 /nfs/dbraw/zinc/65/83/14/909658314.db2.gz WFAMHCADQSHTTC-MELADBBJSA-N 0 1 282.384 0.899 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)CNCc1nonc1C ZINC001319180179 910024150 /nfs/dbraw/zinc/02/41/50/910024150.db2.gz HMYSCWZTFLFHSI-HZMBPMFUSA-N 0 1 296.371 0.547 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCc3c[nH]nc3C2)CC1 ZINC001319398406 910131826 /nfs/dbraw/zinc/13/18/26/910131826.db2.gz DKKNGFRFINVISA-UHFFFAOYSA-N 0 1 272.352 0.640 20 30 CCEDMN CC[C@H](CNC(=O)c1[nH]nc(C)c1C)NC(=O)[C@@H](C)C#N ZINC001390339525 910363318 /nfs/dbraw/zinc/36/33/18/910363318.db2.gz YIZIEBAFLFPFLE-GZMMTYOYSA-N 0 1 291.355 0.811 20 30 CCEDMN C=CCO[C@H]1CCN([C@@H](C)C(=O)NC(=O)NC(C)C)C1 ZINC001320037826 910429853 /nfs/dbraw/zinc/42/98/53/910429853.db2.gz JFLONHVGGXMNJA-RYUDHWBXSA-N 0 1 283.372 0.886 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C1(NC(C)=O)CCCC1 ZINC001320062496 910443232 /nfs/dbraw/zinc/44/32/32/910443232.db2.gz WRFCRYVGNOYLNR-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CC[N@H+](C)CCN(C)C(=O)[C@@H]1CC12CCOCC2 ZINC001320096631 910464103 /nfs/dbraw/zinc/46/41/03/910464103.db2.gz OFZNLHAGMANKGX-ZDUSSCGKSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CC12CCOCC2 ZINC001320096631 910464108 /nfs/dbraw/zinc/46/41/08/910464108.db2.gz OFZNLHAGMANKGX-ZDUSSCGKSA-N 0 1 264.369 0.827 20 30 CCEDMN CC(C)(C)C#CC(=O)NC[C@@H](O)CNCc1cnns1 ZINC001320219210 910531118 /nfs/dbraw/zinc/53/11/18/910531118.db2.gz WJCPPMAIJKOUTF-JTQLQIEISA-N 0 1 296.396 0.154 20 30 CCEDMN C=CCO[C@@H]1CCN([C@H](C)C(=O)NC(=O)NC)C1 ZINC001320322742 910609177 /nfs/dbraw/zinc/60/91/77/910609177.db2.gz YKBRKBWOESAMSG-NXEZZACHSA-N 0 1 255.318 0.107 20 30 CCEDMN N#Cc1ccc(C(=O)NCC(=O)NCCN2CC=CC2)cc1 ZINC001320342306 910619832 /nfs/dbraw/zinc/61/98/32/910619832.db2.gz PWFNPQLUSHJEDW-UHFFFAOYSA-N 0 1 298.346 0.276 20 30 CCEDMN C=CC[C@H](NC(=O)CSc1nnc(C)[nH]1)C(=O)OCC ZINC001320424657 910670186 /nfs/dbraw/zinc/67/01/86/910670186.db2.gz NRFKYDYTBJGTDY-VIFPVBQESA-N 0 1 298.368 0.829 20 30 CCEDMN C=CC[C@H](NC(=O)CSc1nc(C)n[nH]1)C(=O)OCC ZINC001320424657 910670194 /nfs/dbraw/zinc/67/01/94/910670194.db2.gz NRFKYDYTBJGTDY-VIFPVBQESA-N 0 1 298.368 0.829 20 30 CCEDMN CCN(CCNCc1c(C)nnn1CC)C(=O)[C@H](C)C#N ZINC001320694608 910805509 /nfs/dbraw/zinc/80/55/09/910805509.db2.gz RQJHZXWGAIPYAQ-LLVKDONJSA-N 0 1 292.387 0.704 20 30 CCEDMN C=Cn1cc(CNCCNC(=O)C2N=CC=CC2=O)cn1 ZINC001320864224 910908791 /nfs/dbraw/zinc/90/87/91/910908791.db2.gz DRRAVQBXJSDFCF-BUHFOSPRSA-N 0 1 287.323 0.600 20 30 CCEDMN CN(C)c1cc[nH+]cc1C=Nn1c(=O)cn[n-]c1=S ZINC001320926510 910940518 /nfs/dbraw/zinc/94/05/18/910940518.db2.gz SAGSMUKKEPTSOB-UHFFFAOYSA-N 0 1 276.325 0.270 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)[C@@H]1CC=CCC1 ZINC001320965862 910961878 /nfs/dbraw/zinc/96/18/78/910961878.db2.gz JUNWEQCXANSBGP-PSKZRQQASA-N 0 1 291.395 0.907 20 30 CCEDMN N#Cc1cccc(CN2C[C@H](CCO)[C@H](CO)C2)n1 ZINC001474753739 911092046 /nfs/dbraw/zinc/09/20/46/911092046.db2.gz BTAAOJQTTDURIN-RYUDHWBXSA-N 0 1 261.325 0.376 20 30 CCEDMN Cc1cc(CNC(=O)[C@H](C)n2cnc(C#N)n2)n[nH]1 ZINC001321325261 911235759 /nfs/dbraw/zinc/23/57/59/911235759.db2.gz JQHIDVGCLMYDLH-QMMMGPOBSA-N 0 1 259.273 0.059 20 30 CCEDMN Cc1cc(CNC(=O)[C@@H](C)n2cnc(C#N)n2)n[nH]1 ZINC001321325259 911237448 /nfs/dbraw/zinc/23/74/48/911237448.db2.gz JQHIDVGCLMYDLH-MRVPVSSYSA-N 0 1 259.273 0.059 20 30 CCEDMN CCN(C(=O)[C@H](C)C#N)[C@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001391638989 911285614 /nfs/dbraw/zinc/28/56/14/911285614.db2.gz QNIDJBLOIPATOU-PWSUYJOCSA-N 0 1 290.371 0.696 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)N1CCC(C#N)CC1 ZINC001321659641 911427402 /nfs/dbraw/zinc/42/74/02/911427402.db2.gz YVAAZTNNKZKPDJ-UHFFFAOYSA-N 0 1 275.312 0.097 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](CCS(=O)(=O)N(C)C)C1 ZINC001321837197 911518027 /nfs/dbraw/zinc/51/80/27/911518027.db2.gz WTDOLDRAGCWSPF-LLVKDONJSA-N 0 1 262.375 0.155 20 30 CCEDMN C=CCO[C@@H]1CCN(CCS(=O)(=O)N(C)C)C1 ZINC001321837197 911518037 /nfs/dbraw/zinc/51/80/37/911518037.db2.gz WTDOLDRAGCWSPF-LLVKDONJSA-N 0 1 262.375 0.155 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1CCCN(C(=O)CC)C1 ZINC001322158062 911683334 /nfs/dbraw/zinc/68/33/34/911683334.db2.gz AQQFMIJQHYDOFI-KBPBESRZSA-N 0 1 293.411 0.705 20 30 CCEDMN Cc1cnc(CNC[C@@H](C)NC(=O)c2ccc(C#N)[nH]2)cn1 ZINC001392471277 911841703 /nfs/dbraw/zinc/84/17/03/911841703.db2.gz LUMBXAGSSKTWLB-LLVKDONJSA-N 0 1 298.350 0.893 20 30 CCEDMN C#CCN(CC#CC)C(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC001322778071 911949958 /nfs/dbraw/zinc/94/99/58/911949958.db2.gz FKAHESINRUOCNR-CYBMUJFWSA-N 0 1 298.346 0.388 20 30 CCEDMN C=C[C@@H](COC)NC(=O)C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC001323076148 912103599 /nfs/dbraw/zinc/10/35/99/912103599.db2.gz DXHCEUROHXZGLB-ONGXEEELSA-N 0 1 294.355 0.083 20 30 CCEDMN C#CCOCCN(C)CCn1c(C)csc1=O ZINC001323094264 912114069 /nfs/dbraw/zinc/11/40/69/912114069.db2.gz SBJHBOJHBMVZCS-UHFFFAOYSA-N 0 1 254.355 0.800 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1CCN1CCCOC ZINC001323228723 912203785 /nfs/dbraw/zinc/20/37/85/912203785.db2.gz YOSAXOQTMJBBAH-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)Cc2cccs2)CC1 ZINC001328509936 915243272 /nfs/dbraw/zinc/24/32/72/915243272.db2.gz QAWXYWDONTXKRD-UHFFFAOYSA-N 0 1 292.404 0.867 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001328660289 915339788 /nfs/dbraw/zinc/33/97/88/915339788.db2.gz BOOLJJIRUFERMM-SNVBAGLBSA-N 0 1 297.380 0.232 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)C(=O)N(C)CC(C)(C)C#N)CCN1 ZINC001329037748 915613775 /nfs/dbraw/zinc/61/37/75/915613775.db2.gz WCUUYLCBZFXXJE-GFCCVEGCSA-N 0 1 294.399 0.451 20 30 CCEDMN C#CC[C@H](COC)NCc1cc(C(=O)OCC)n[nH]1 ZINC001329171582 915722892 /nfs/dbraw/zinc/72/28/92/915722892.db2.gz DWRNDXCOSGGZGW-SNVBAGLBSA-N 0 1 265.313 0.714 20 30 CCEDMN COCC#CC(=O)N1CCN(C2CCOCC2)C[C@@H]1C ZINC001329279872 915790543 /nfs/dbraw/zinc/79/05/43/915790543.db2.gz ZKRUTHCQRJYOEB-ZDUSSCGKSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H]2CCN(CC)C2=O)CC1 ZINC001329411408 915889683 /nfs/dbraw/zinc/88/96/83/915889683.db2.gz XJHRRPNYXPHHKH-ZDUSSCGKSA-N 0 1 278.352 0.496 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)N(C)[C@H](C)C(=O)NC(N)=O ZINC001329449999 915926635 /nfs/dbraw/zinc/92/66/35/915926635.db2.gz IZTDLUKJHNYEAZ-WDEREUQCSA-N 0 1 298.387 0.363 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1C[C@H]1C(N)=O ZINC001400843261 915956317 /nfs/dbraw/zinc/95/63/17/915956317.db2.gz FAIFLCRIBNVGOU-AEJSXWLSSA-N 0 1 273.764 0.345 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@](C)(NC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001400928836 915990814 /nfs/dbraw/zinc/99/08/14/915990814.db2.gz PTTFPTQIEFXTDX-OTYXRUKQSA-N 0 1 289.339 0.599 20 30 CCEDMN N#Cc1cc(C(=O)NCCN2CC[C@H](O)C2)cs1 ZINC001329627138 916079898 /nfs/dbraw/zinc/07/98/98/916079898.db2.gz WMHLYTFCPQDWOO-JTQLQIEISA-N 0 1 265.338 0.416 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001329628548 916081582 /nfs/dbraw/zinc/08/15/82/916081582.db2.gz SJGZVKIONHBBIR-CJNGLKHVSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CC[N@@H+](CC1CC1)C[C@](O)(C(N)=O)c1ccccc1 ZINC001329878205 916247415 /nfs/dbraw/zinc/24/74/15/916247415.db2.gz ZSVKFERPTLGLFZ-MRXNPFEDSA-N 0 1 272.348 0.705 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1snnc1C ZINC001401491539 916277814 /nfs/dbraw/zinc/27/78/14/916277814.db2.gz QIXYVEPXDQNLTI-QMMMGPOBSA-N 0 1 290.776 0.279 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CC[C@](O)(CC#N)C1 ZINC001329925502 916284031 /nfs/dbraw/zinc/28/40/31/916284031.db2.gz SKSMXYVQRPFHGE-ZWNOBZJWSA-N 0 1 262.313 0.465 20 30 CCEDMN COC(=O)[C@@H]1OCC[C@@H]1CNC[C@H](C#N)CCC#N ZINC001330083602 916402089 /nfs/dbraw/zinc/40/20/89/916402089.db2.gz YNHYCTKSMZLNCI-QJPTWQEYSA-N 0 1 265.313 0.598 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CN(C)CCN1CCCC1=O ZINC001401738905 916540866 /nfs/dbraw/zinc/54/08/66/916540866.db2.gz WDRQJLIDNPZORD-NEPJUHHUSA-N 0 1 280.372 0.205 20 30 CCEDMN C=CCN(C(=O)C(=O)N(C)Cc1cnc[nH]1)C1CC1 ZINC001330423168 916621411 /nfs/dbraw/zinc/62/14/11/916621411.db2.gz FIWPTDDBQJDOLU-UHFFFAOYSA-N 0 1 262.313 0.545 20 30 CCEDMN C#CCOCCN(C)CCc1nnn(C(C)(C)C)n1 ZINC001330558228 916699260 /nfs/dbraw/zinc/69/92/60/916699260.db2.gz DCFIEYXCAGPSGF-UHFFFAOYSA-N 0 1 265.361 0.552 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(=O)NC[C@H]1CCN1C(C)(C)C ZINC001330573061 916707528 /nfs/dbraw/zinc/70/75/28/916707528.db2.gz UMYUAXCLIIJKKJ-VXGBXAGGSA-N 0 1 297.399 0.293 20 30 CCEDMN CC(C)CNC(=O)[C@H](C)NC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001401937651 916712303 /nfs/dbraw/zinc/71/23/03/916712303.db2.gz HVIFLNJIKCAODS-NEPJUHHUSA-N 0 1 294.399 0.545 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCn1ccnn1 ZINC001402963155 917383758 /nfs/dbraw/zinc/38/37/58/917383758.db2.gz CFAVSIPKGVAVFD-MNOVXSKESA-N 0 1 285.779 0.904 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001402972900 917394630 /nfs/dbraw/zinc/39/46/30/917394630.db2.gz YTBMGWOBLMXWNE-WDEREUQCSA-N 0 1 287.791 0.750 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc2ccccc2nc1C ZINC001331725922 917551333 /nfs/dbraw/zinc/55/13/33/917551333.db2.gz QQCQVLRJMCHFRY-AWEZNQCLSA-N 0 1 297.358 0.857 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc(OC)c1OC ZINC001331821332 917636747 /nfs/dbraw/zinc/63/67/47/917636747.db2.gz YLTKIJCUNKUCFS-LLVKDONJSA-N 0 1 292.335 0.017 20 30 CCEDMN C#CC1(O)CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)CC1 ZINC001331886139 917691582 /nfs/dbraw/zinc/69/15/82/917691582.db2.gz LNFNXEDFQQZHLB-NSHDSACASA-N 0 1 273.336 0.501 20 30 CCEDMN Cc1cc(CN[C@H](C)CN(C)C(=O)[C@@H](C)C#N)ncn1 ZINC001403523400 917768296 /nfs/dbraw/zinc/76/82/96/917768296.db2.gz IUURMBQGLXXDDX-CMPLNLGQSA-N 0 1 275.356 0.881 20 30 CCEDMN CC(C)C(=O)NC[C@H](CO)NCc1ccc(C#N)cc1 ZINC001403672113 917914685 /nfs/dbraw/zinc/91/46/85/917914685.db2.gz YUZAUSWNSFJVAX-CQSZACIVSA-N 0 1 275.352 0.781 20 30 CCEDMN C=C(C)Cn1[nH]c(C)c([C@@H]2CCS(=O)(=O)C2)c1=O ZINC001332221427 917963686 /nfs/dbraw/zinc/96/36/86/917963686.db2.gz GZOFIFJLRRQMEX-GHMZBOCLSA-N 0 1 270.354 0.832 20 30 CCEDMN C=C[C@@H](COC)NCc1cnc2c(C#N)cnn2c1 ZINC001332436149 918154752 /nfs/dbraw/zinc/15/47/52/918154752.db2.gz NZLBWKKESZARNO-LBPRGKRZSA-N 0 1 257.297 0.892 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccoc1CC ZINC001332633197 918330826 /nfs/dbraw/zinc/33/08/26/918330826.db2.gz MANFNJDTQHXHPY-LBPRGKRZSA-N 0 1 278.352 0.840 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C[C@H](C)COC ZINC001332664403 918362438 /nfs/dbraw/zinc/36/24/38/918362438.db2.gz LSRDCKFGHAXIFW-KBPBESRZSA-N 0 1 284.400 0.433 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@@H](C)COC ZINC001332664406 918365497 /nfs/dbraw/zinc/36/54/97/918365497.db2.gz LSRDCKFGHAXIFW-ZIAGYGMSSA-N 0 1 284.400 0.433 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CCC[N@@H+](CC(=O)[O-])CC1 ZINC001332798893 918446759 /nfs/dbraw/zinc/44/67/59/918446759.db2.gz WXCIATQMWJOHTO-ZDUSSCGKSA-N 0 1 267.329 0.545 20 30 CCEDMN C=CCN(CCNC(=O)c1cc(C)no1)CCOC ZINC001332909197 918521066 /nfs/dbraw/zinc/52/10/66/918521066.db2.gz NOPPDLIDKSFCNP-UHFFFAOYSA-N 0 1 267.329 0.847 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc[n+]([O-])c2)CC1 ZINC001333659571 919078674 /nfs/dbraw/zinc/07/86/74/919078674.db2.gz DOIRENXETAHKMS-UHFFFAOYSA-N 0 1 259.309 0.147 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1CC2(CC2)C1 ZINC001406288613 919157428 /nfs/dbraw/zinc/15/74/28/919157428.db2.gz ZTHMGTJSYOSARH-LLVKDONJSA-N 0 1 272.776 0.996 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cccn(C)c1=O ZINC001334122217 919380727 /nfs/dbraw/zinc/38/07/27/919380727.db2.gz KURYYLXOEPUALC-UHFFFAOYSA-N 0 1 262.313 0.072 20 30 CCEDMN C[C@H](CNC(=O)[C@@H]1CCCN1C)N(C)C(=O)C#CC1CC1 ZINC001334192381 919414406 /nfs/dbraw/zinc/41/44/06/919414406.db2.gz LMCWNGQBBFRNSP-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CC[C@H](NC(=O)C1(N(C)C)CC1)C(=O)OCC ZINC001334371320 919532091 /nfs/dbraw/zinc/53/20/91/919532091.db2.gz FDQSVUKWVMDMID-JTQLQIEISA-N 0 1 254.330 0.705 20 30 CCEDMN C=CCn1nnnc1N1CCC(N2CCOC[C@@H]2C)CC1 ZINC001334449593 919594169 /nfs/dbraw/zinc/59/41/69/919594169.db2.gz UPJBPIMSWLBRDJ-LBPRGKRZSA-N 0 1 292.387 0.549 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21)C(C)C ZINC001334645673 919689042 /nfs/dbraw/zinc/68/90/42/919689042.db2.gz XXJVXDOPZDAAQK-GFCCVEGCSA-N 0 1 288.351 0.774 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)CCc2c[nH]nn2)C1 ZINC001335547558 920269841 /nfs/dbraw/zinc/26/98/41/920269841.db2.gz DDUBVZNFHDMXAH-NSHDSACASA-N 0 1 250.302 0.541 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)CCc2cnn[nH]2)C1 ZINC001335547558 920269851 /nfs/dbraw/zinc/26/98/51/920269851.db2.gz DDUBVZNFHDMXAH-NSHDSACASA-N 0 1 250.302 0.541 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)cn1 ZINC001335584463 920297207 /nfs/dbraw/zinc/29/72/07/920297207.db2.gz KNIJJJWSFTVHRN-JTQLQIEISA-N 0 1 268.280 0.701 20 30 CCEDMN C[C@H](CCCNC(=O)Cc1cnc[nH]1)NC(=O)[C@@H](C)C#N ZINC001336321713 920718295 /nfs/dbraw/zinc/71/82/95/920718295.db2.gz YLNIZGCHCFHORW-WDEREUQCSA-N 0 1 291.355 0.513 20 30 CCEDMN CCc1cc(C(=O)N(C)CCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001415596494 920778615 /nfs/dbraw/zinc/77/86/15/920778615.db2.gz CJBBLMYCACMDAC-VIFPVBQESA-N 0 1 277.328 0.320 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CN(C(=O)c2cccc3nn[nH]c32)C1 ZINC001416048403 920907358 /nfs/dbraw/zinc/90/73/58/920907358.db2.gz XKGPAIVNKULPTO-MRVPVSSYSA-N 0 1 298.306 0.058 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)NCc1n[nH]c(COC)n1 ZINC001336836744 921051441 /nfs/dbraw/zinc/05/14/41/921051441.db2.gz JOHCLFPZIWNXBE-SNVBAGLBSA-N 0 1 277.328 0.648 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)NCc1nnc(COC)[nH]1 ZINC001336836744 921051454 /nfs/dbraw/zinc/05/14/54/921051454.db2.gz JOHCLFPZIWNXBE-SNVBAGLBSA-N 0 1 277.328 0.648 20 30 CCEDMN CCCNC(=O)CN1CCC[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001418119764 921844700 /nfs/dbraw/zinc/84/47/00/921844700.db2.gz DBYLAPMPIUNXSW-QWHCGFSZSA-N 0 1 294.399 0.500 20 30 CCEDMN Cc1cc(NC(=O)CN[C@@H](C)CNC(=O)[C@@H](C)C#N)on1 ZINC001418217362 921926924 /nfs/dbraw/zinc/92/69/24/921926924.db2.gz WBYYXWDLECCBEI-WPRPVWTQSA-N 0 1 293.327 0.176 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](c2nncn2C(C)C)C1 ZINC001340342599 922063162 /nfs/dbraw/zinc/06/31/62/922063162.db2.gz YMYFNDRZXDGWII-ZDUSSCGKSA-N 0 1 289.383 0.788 20 30 CCEDMN Cc1nn(C)cc1CN1CCC[C@H]1CNC(=O)[C@@H](C)C#N ZINC001418597789 922293628 /nfs/dbraw/zinc/29/36/28/922293628.db2.gz WDCWKWPPXDYFFU-FZMZJTMJSA-N 0 1 289.383 0.969 20 30 CCEDMN N#Cc1ccc(F)c(CNC(=O)[C@H]2CNCCO2)c1 ZINC001341048108 922326204 /nfs/dbraw/zinc/32/62/04/922326204.db2.gz KCBIHKTVNRXMRB-GFCCVEGCSA-N 0 1 263.272 0.302 20 30 CCEDMN C#CC[NH2+]CC(=O)NCCc1c(F)cc([O-])cc1F ZINC001341273048 922406119 /nfs/dbraw/zinc/40/61/19/922406119.db2.gz KCWSSYDDQYAYHO-UHFFFAOYSA-N 0 1 268.263 0.552 20 30 CCEDMN C#CCNCC(=O)N1CCC(C(=O)N(C)CCCC)CC1 ZINC001341357154 922440771 /nfs/dbraw/zinc/44/07/71/922440771.db2.gz PUAZQTJOBPSDLK-UHFFFAOYSA-N 0 1 293.411 0.706 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1c2ccccc2CN1C ZINC001341530829 922539178 /nfs/dbraw/zinc/53/91/78/922539178.db2.gz YLNKKVHAXXDTFW-AWEZNQCLSA-N 0 1 257.337 0.512 20 30 CCEDMN C#C[C@H](C)N(C)c1nnc(-c2c[nH]nn2)n1CCOC ZINC001341563326 922552686 /nfs/dbraw/zinc/55/26/86/922552686.db2.gz PSBQWVIQYDOHFL-VIFPVBQESA-N 0 1 275.316 0.168 20 30 CCEDMN C#CCNCC(=O)NCc1csc([C@@H](C)OC)n1 ZINC001341627834 922590791 /nfs/dbraw/zinc/59/07/91/922590791.db2.gz SWEGULJVMURVRV-SECBINFHSA-N 0 1 267.354 0.690 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(N2CCOCC2)cc1 ZINC001341663873 922614628 /nfs/dbraw/zinc/61/46/28/922614628.db2.gz XVCIMRMMEXIEOV-UHFFFAOYSA-N 0 1 287.363 0.362 20 30 CCEDMN Cc1cc(CN[C@@H](CNC(=O)[C@H](C)C#N)C2CC2)ncn1 ZINC001419254720 922734893 /nfs/dbraw/zinc/73/48/93/922734893.db2.gz ZLPTYOITHLPZBB-YGRLFVJLSA-N 0 1 287.367 0.929 20 30 CCEDMN Cc1onc(CC(=O)NCCN2CC=CC2)c1C#N ZINC001341980575 922751485 /nfs/dbraw/zinc/75/14/85/922751485.db2.gz QNKSLRKIUYELMM-UHFFFAOYSA-N 0 1 260.297 0.385 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)C[C@@H]1CCC(=O)N1)C1CC1 ZINC001419300454 922758418 /nfs/dbraw/zinc/75/84/18/922758418.db2.gz XABPNUMQRGAHQQ-NWDGAFQWSA-N 0 1 299.802 0.892 20 30 CCEDMN Cc1cc(CNC[C@H](C)N(C)C(=O)[C@H](C)C#N)nn1C ZINC001419653589 922973968 /nfs/dbraw/zinc/97/39/68/922973968.db2.gz QOTSUCSXPFZEKC-PWSUYJOCSA-N 0 1 277.372 0.825 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)C[C@@H]1CCC(=O)NC1 ZINC001342727241 923074482 /nfs/dbraw/zinc/07/44/82/923074482.db2.gz OEBUFTXUZAMOHU-VHSXEESVSA-N 0 1 265.313 0.136 20 30 CCEDMN C=C(C)Cn1c(-c2c[nH]nn2)nnc1N1CCO[C@H](C)C1 ZINC001343315446 923266410 /nfs/dbraw/zinc/26/64/10/923266410.db2.gz SXQNGJGKOVUTBU-SNVBAGLBSA-N 0 1 289.343 0.864 20 30 CCEDMN CCO[C@@H]1[C@H](C)[C@H]1C(=O)C(C#N)C(=O)NCCCOC ZINC001343472614 923335237 /nfs/dbraw/zinc/33/52/37/923335237.db2.gz DDHOOJJQRKZSIM-MPPDQPJWSA-N 0 1 282.340 0.519 20 30 CCEDMN COC[C@]1(C(=O)[O-])CC[N@@H+](CCO[C@H](C)C#N)C1 ZINC000385754701 951284102 /nfs/dbraw/zinc/28/41/02/951284102.db2.gz SJOZQSJPFBSLOI-PWSUYJOCSA-N 0 1 256.302 0.338 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1CC1(F)F ZINC001343563122 923373228 /nfs/dbraw/zinc/37/32/28/923373228.db2.gz IZIMHROVULDTBC-SFYZADRCSA-N 0 1 260.240 0.503 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCN(C(C)=O)c2ccccc21 ZINC001343625440 923395919 /nfs/dbraw/zinc/39/59/19/923395919.db2.gz RLUDRPCUQUGEEK-CQSZACIVSA-N 0 1 285.347 0.823 20 30 CCEDMN C#CCNCC(=O)NCCc1cc(F)ccc1OC ZINC001344585512 923645877 /nfs/dbraw/zinc/64/58/77/923645877.db2.gz XPIIKOZVOOAYGG-UHFFFAOYSA-N 0 1 264.300 0.716 20 30 CCEDMN CN(Cc1cc(C#N)cs1)C(=O)[C@@H](N)C(C)(C)O ZINC001345404726 923875416 /nfs/dbraw/zinc/87/54/16/923875416.db2.gz FTAZBAKRZZXWJJ-SNVBAGLBSA-N 0 1 267.354 0.676 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H]1CCNC1=O)C1CC1 ZINC001420746234 923917709 /nfs/dbraw/zinc/91/77/09/923917709.db2.gz XTXYZURDDAAAAJ-RYUDHWBXSA-N 0 1 299.802 0.750 20 30 CCEDMN C#Cc1cccc(NC(=O)[C@H]2CN3CCN2C[C@@H]3C)c1 ZINC001345560307 923921081 /nfs/dbraw/zinc/92/10/81/923921081.db2.gz HALQVGZMMTXIQR-SWLSCSKDSA-N 0 1 269.348 0.995 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)C(=O)N(C)CC1CN(C)C1 ZINC001345887190 924001993 /nfs/dbraw/zinc/00/19/93/924001993.db2.gz SQBHLRIXJRANLV-CYBMUJFWSA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCN(C(=O)[C@@H](N)CC(F)F)[C@H]1CCS(=O)(=O)C1 ZINC001346029852 924049940 /nfs/dbraw/zinc/04/99/40/924049940.db2.gz TUISDOZTOUINGC-IUCAKERBSA-N 0 1 296.339 0.171 20 30 CCEDMN N#CC(C(=O)CC1(C#N)CCOCC1)C(=O)NC1CC1 ZINC001346111557 924073219 /nfs/dbraw/zinc/07/32/19/924073219.db2.gz RXCOOSYIDNLKNE-LLVKDONJSA-N 0 1 275.308 0.684 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001346482390 924235888 /nfs/dbraw/zinc/23/58/88/924235888.db2.gz YIWFSQRUWLKXLJ-NOZJJQNGSA-N 0 1 280.328 0.600 20 30 CCEDMN C=C(C)CN(C)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001346604780 924276631 /nfs/dbraw/zinc/27/66/31/924276631.db2.gz BSYNMTDPAMGWIJ-STQMWFEESA-N 0 1 268.405 0.838 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)c1cnncc1O ZINC001347060687 924360239 /nfs/dbraw/zinc/36/02/39/924360239.db2.gz MEWWBCRUSSWSLS-SKDRFNHKSA-N 0 1 263.297 0.893 20 30 CCEDMN C[C@H](CNC(=O)NC[C@H](C)C#N)N1CCN(C)CC1 ZINC001347553226 924478168 /nfs/dbraw/zinc/47/81/68/924478168.db2.gz FFFKQWASEDTTJI-VXGBXAGGSA-N 0 1 267.377 0.081 20 30 CCEDMN C[C@H](C#N)CNC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001347553221 924478317 /nfs/dbraw/zinc/47/83/17/924478317.db2.gz FFFKQWASEDTTJI-NEPJUHHUSA-N 0 1 267.377 0.081 20 30 CCEDMN C#CCSCC(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001347659787 924504532 /nfs/dbraw/zinc/50/45/32/924504532.db2.gz IHNLEHJPTGCKAX-SNVBAGLBSA-N 0 1 279.369 0.347 20 30 CCEDMN C=CC[C@@H](CO)NCc1cn(C[C@H]2CCOC2)nn1 ZINC001348538550 924720187 /nfs/dbraw/zinc/72/01/87/924720187.db2.gz XRHWUXNOUHYUMB-NEPJUHHUSA-N 0 1 266.345 0.341 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)[nH]1 ZINC001348619669 924755115 /nfs/dbraw/zinc/75/51/15/924755115.db2.gz ZDZXVBMTDPBICI-MRVPVSSYSA-N 0 1 256.269 0.634 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001348665224 924767232 /nfs/dbraw/zinc/76/72/32/924767232.db2.gz WOBFLXUEFBIHJZ-OLZOCXBDSA-N 0 1 266.389 0.285 20 30 CCEDMN CCN(C(=O)[C@@H]1CN2CCN1C[C@@H]2C)[C@@H](C)C#N ZINC001350091095 925128578 /nfs/dbraw/zinc/12/85/78/925128578.db2.gz JCOGIJPTOQNAJW-SRVKXCTJSA-N 0 1 250.346 0.135 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)NCc1ccn(C)n1 ZINC001480432471 925692468 /nfs/dbraw/zinc/69/24/68/925692468.db2.gz MXWUEYBAKFETJR-LLVKDONJSA-N 0 1 250.346 0.981 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001354637962 926143667 /nfs/dbraw/zinc/14/36/67/926143667.db2.gz ICVNOMJQKXKYAW-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001354637962 926143674 /nfs/dbraw/zinc/14/36/74/926143674.db2.gz ICVNOMJQKXKYAW-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)CCNC(=O)CC)CC1 ZINC001355955558 926329854 /nfs/dbraw/zinc/32/98/54/926329854.db2.gz XUQSOLRFJYMJJL-UHFFFAOYSA-N 0 1 293.411 0.706 20 30 CCEDMN Cn1ccnc1[C@@H](C#N)C(=O)[C@H]1CCCN(C2CC2)C1=O ZINC001356568035 926401658 /nfs/dbraw/zinc/40/16/58/926401658.db2.gz YPAMNBCINBUYCO-NEPJUHHUSA-N 0 1 286.335 0.997 20 30 CCEDMN N#CCC[C@H](C#N)CNC1CC(N2CCOCC2)C1 ZINC001356619801 926411039 /nfs/dbraw/zinc/41/10/39/926411039.db2.gz UPDBXOYXGTVYKK-IYXRBSQSSA-N 0 1 262.357 0.883 20 30 CCEDMN C=CCCCC(=O)N(CCNC(=O)c1ncn[nH]1)C1CC1 ZINC001356639110 926417139 /nfs/dbraw/zinc/41/71/39/926417139.db2.gz MZQIZNLGDRKWKA-UHFFFAOYSA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCCCC(=O)N(CCNC(=O)c1nc[nH]n1)C1CC1 ZINC001356639110 926417143 /nfs/dbraw/zinc/41/71/43/926417143.db2.gz MZQIZNLGDRKWKA-UHFFFAOYSA-N 0 1 291.355 0.882 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C)c1nc(C)cs1 ZINC001357509810 926552251 /nfs/dbraw/zinc/55/22/51/926552251.db2.gz YHJBDVWJGWFRFF-SECBINFHSA-N 0 1 251.355 0.894 20 30 CCEDMN C=CCCC(=O)NCC=CCNC(=O)c1ncn[nH]1 ZINC001357653964 926578396 /nfs/dbraw/zinc/57/83/96/926578396.db2.gz DWJBNNLCGOYDRV-PLNGDYQASA-N 0 1 263.301 0.173 20 30 CCEDMN C=CCCC(=O)NCC=CCNC(=O)c1nc[nH]n1 ZINC001357653964 926578398 /nfs/dbraw/zinc/57/83/98/926578398.db2.gz DWJBNNLCGOYDRV-PLNGDYQASA-N 0 1 263.301 0.173 20 30 CCEDMN C[C@@]1(CO)CCC[N@H+]1Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001413982960 926723078 /nfs/dbraw/zinc/72/30/78/926723078.db2.gz ODSCCIYDIQRSSN-AWEZNQCLSA-N 0 1 287.323 0.653 20 30 CCEDMN CNC(=O)N[C@H]1CCN(C[C@H](O)c2cccc(C#N)c2)C1 ZINC001413985352 926730208 /nfs/dbraw/zinc/73/02/08/926730208.db2.gz VUQMKDAWHVGIHZ-KBPBESRZSA-N 0 1 288.351 0.595 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2cnccn2)CCO1 ZINC001421785572 926908670 /nfs/dbraw/zinc/90/86/70/926908670.db2.gz LHHRODPPSNRRHI-NSHDSACASA-N 0 1 296.758 0.660 20 30 CCEDMN CN(C[C@H](O)c1cccc(C#N)c1)c1nc(N)nc(N)[nH+]1 ZINC001413893667 926950343 /nfs/dbraw/zinc/95/03/43/926950343.db2.gz UNQIGQNEHFRNPU-JTQLQIEISA-N 0 1 285.311 0.077 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@H]3COC(=O)N3)[nH]c2c1 ZINC001361460777 927072901 /nfs/dbraw/zinc/07/29/01/927072901.db2.gz LUYQTJBTYJWOLK-SECBINFHSA-N 0 1 271.236 0.481 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CNC(=O)C(F)F ZINC001422281692 927189213 /nfs/dbraw/zinc/18/92/13/927189213.db2.gz WUHSWCHMYOXOIP-ZCFIWIBFSA-N 0 1 287.104 0.227 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1csnc1C ZINC001422284066 927193033 /nfs/dbraw/zinc/19/30/33/927193033.db2.gz QBTIHIQFYXOXAR-SECBINFHSA-N 0 1 289.788 0.884 20 30 CCEDMN C[C@H](CNCc1nccn1C)NC(=O)c1c[nH]c(C#N)c1 ZINC001422480452 927306149 /nfs/dbraw/zinc/30/61/49/927306149.db2.gz GKNYIKNSKPXUAO-SNVBAGLBSA-N 0 1 286.339 0.528 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3COCCO3)[nH]c2c1 ZINC001361831332 927366273 /nfs/dbraw/zinc/36/62/73/927366273.db2.gz XXRZLURLPKEAIE-NSHDSACASA-N 0 1 272.264 0.788 20 30 CCEDMN CCCC(=O)NC[C@@H](CO)NCc1cccc(C#N)c1 ZINC001423181249 927716259 /nfs/dbraw/zinc/71/62/59/927716259.db2.gz QSKBOCQGACPARV-AWEZNQCLSA-N 0 1 275.352 0.925 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2nnn(C)c2C)CC1 ZINC001423192822 927727711 /nfs/dbraw/zinc/72/77/11/927727711.db2.gz MAGUJTFNRAXJAU-UHFFFAOYSA-N 0 1 283.763 0.728 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(C)CCN([C@H]2CCCNC2=O)CC1 ZINC001423504430 927899926 /nfs/dbraw/zinc/89/99/26/927899926.db2.gz KDLXFUAFDDJKAP-RYUDHWBXSA-N 0 1 292.383 0.395 20 30 CCEDMN C[C@H](CNC(=O)CCc1cnc[nH]1)N(C)C(=O)[C@@H](C)C#N ZINC001424176409 928118563 /nfs/dbraw/zinc/11/85/63/928118563.db2.gz SJTJVJOAGOYKSW-WDEREUQCSA-N 0 1 291.355 0.465 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@@H](C)CNC(=O)[C@H](C)C#N)[nH]1 ZINC001424291851 928152245 /nfs/dbraw/zinc/15/22/45/928152245.db2.gz NMHPFVDYTVKQTP-BDAKNGLRSA-N 0 1 277.328 0.455 20 30 CCEDMN Cc1[nH]ncc1CN(C)S(=O)(=O)c1cccnc1C#N ZINC001424457196 928188729 /nfs/dbraw/zinc/18/87/29/928188729.db2.gz QYOVOIWMHIGIRE-UHFFFAOYSA-N 0 1 291.336 0.806 20 30 CCEDMN CCC(CC)(NC(=O)c1c[nH]c(C#N)c1)c1nn[nH]n1 ZINC001362785422 928485547 /nfs/dbraw/zinc/48/55/47/928485547.db2.gz MDFJZCYFEOTRAG-UHFFFAOYSA-N 0 1 273.300 0.845 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cnn(C)c1 ZINC001425974532 928721304 /nfs/dbraw/zinc/72/13/04/928721304.db2.gz ACTLBWLHUAULBU-LLVKDONJSA-N 0 1 286.763 0.195 20 30 CCEDMN C[C@H](CC(=O)Nc1nc2ccc(C#N)cc2[nH]1)NC(N)=O ZINC001363169952 928939970 /nfs/dbraw/zinc/93/99/70/928939970.db2.gz UECUEKBKRXYATD-SSDOTTSWSA-N 0 1 286.295 0.820 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC(c3nn[n-]n3)CC2)co1 ZINC001363193604 928964978 /nfs/dbraw/zinc/96/49/78/928964978.db2.gz BDWNJZXCVILVIU-UHFFFAOYSA-N 0 1 272.268 0.684 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC(c3nn[nH]n3)CC2)co1 ZINC001363193604 928964982 /nfs/dbraw/zinc/96/49/82/928964982.db2.gz BDWNJZXCVILVIU-UHFFFAOYSA-N 0 1 272.268 0.684 20 30 CCEDMN CN(C[C@H](O)c1cccc(C#N)c1)[C@@]1(CO)CCOC1 ZINC001363225531 928995295 /nfs/dbraw/zinc/99/52/95/928995295.db2.gz LZVJDOURJLMEPA-LSDHHAIUSA-N 0 1 276.336 0.675 20 30 CCEDMN C[C@@H]1CC[C@@H](O)C[N@H+]1Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001363271506 929056767 /nfs/dbraw/zinc/05/67/67/929056767.db2.gz RNGWALATAWCIDJ-BXKDBHETSA-N 0 1 287.323 0.652 20 30 CCEDMN Cc1[nH]nc(CNC(=O)[C@@H](C)n2cnc(C#N)n2)c1C ZINC001363312445 929104581 /nfs/dbraw/zinc/10/45/81/929104581.db2.gz QTCCGODNLARXIZ-SECBINFHSA-N 0 1 273.300 0.367 20 30 CCEDMN CS(=O)(=O)Cc1nc(CNc2ncccc2C#N)n[nH]1 ZINC001363763846 929552783 /nfs/dbraw/zinc/55/27/83/929552783.db2.gz ROVWRMTUZBWZOD-UHFFFAOYSA-N 0 1 292.324 0.228 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(Cc2cc(C#N)ccn2)C1 ZINC001363809326 929599644 /nfs/dbraw/zinc/59/96/44/929599644.db2.gz KHNJCTZOWBCDLS-CYBMUJFWSA-N 0 1 288.351 0.490 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N(CCC#N)CC1CC1 ZINC001548642071 929630082 /nfs/dbraw/zinc/63/00/82/929630082.db2.gz XACZRRWQIAOOJJ-OCCSQVGLSA-N 0 1 276.384 0.527 20 30 CCEDMN C=C(Br)CNC[C@H](O)CNC(=O)CC1CC1 ZINC001445128496 930039735 /nfs/dbraw/zinc/03/97/35/930039735.db2.gz ZHOUMQMDNGADKU-JTQLQIEISA-N 0 1 291.189 0.762 20 30 CCEDMN CCN(C(=O)c1ccn[nH]1)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001445352422 930110035 /nfs/dbraw/zinc/11/00/35/930110035.db2.gz DYKKXKXIBLGECW-NXEZZACHSA-N 0 1 277.328 0.536 20 30 CCEDMN CC[C@H](C(N)=O)N(C)C[C@@H](C)NC(=O)c1cc(C#N)c[nH]1 ZINC001445689651 930215445 /nfs/dbraw/zinc/21/54/45/930215445.db2.gz OVHOAEVGZYYFBW-BXKDBHETSA-N 0 1 291.355 0.200 20 30 CCEDMN CC(C)N1CC[C@H](NC2(CNC(=O)[C@@H](C)C#N)CC2)C1=O ZINC001446154567 930354536 /nfs/dbraw/zinc/35/45/36/930354536.db2.gz AWAPGTLYRILACE-RYUDHWBXSA-N 0 1 292.383 0.394 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](C)CC(N)=O ZINC001373966722 930382135 /nfs/dbraw/zinc/38/21/35/930382135.db2.gz XGNRICIYCYAEOR-GXSJLCMTSA-N 0 1 287.791 0.831 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](COC)OC ZINC001446442778 930412663 /nfs/dbraw/zinc/41/26/63/930412663.db2.gz GMPJCOFFGSUMAB-RYUDHWBXSA-N 0 1 290.791 0.981 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H]1CCC(=O)NC1 ZINC001374245906 930464871 /nfs/dbraw/zinc/46/48/71/930464871.db2.gz HAJKFWXWMYMFGH-QWRGUYRKSA-N 0 1 287.791 0.702 20 30 CCEDMN C[C@@H](CNCc1cnns1)NC(=O)c1ccc(C#N)[nH]1 ZINC001374874874 930705266 /nfs/dbraw/zinc/70/52/66/930705266.db2.gz BNUWIJZZPKICHV-QMMMGPOBSA-N 0 1 290.352 0.646 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(OC)n(C)n1 ZINC001374912245 930722341 /nfs/dbraw/zinc/72/23/41/930722341.db2.gz UGLDXQVRFFZBLO-VIFPVBQESA-N 0 1 286.763 0.889 20 30 CCEDMN C[C@H](C(=O)Nc1n[nH]c2cc(O)ccc12)n1cnc(C#N)n1 ZINC001450049607 931223816 /nfs/dbraw/zinc/22/38/16/931223816.db2.gz HEWHCRJHHXMVSO-SSDOTTSWSA-N 0 1 297.278 0.931 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1nccnc1C(N)=O ZINC001376863828 931306109 /nfs/dbraw/zinc/30/61/09/931306109.db2.gz NARACCOGILQXTO-MRVPVSSYSA-N 0 1 297.746 0.036 20 30 CCEDMN C=CCC1(O)CN(c2cc(C)[nH+]cc2-c2nnn[n-]2)C1 ZINC001573329564 947423605 /nfs/dbraw/zinc/42/36/05/947423605.db2.gz KYMKGJRZFUZHKY-UHFFFAOYSA-N 0 1 272.312 0.697 20 30 CCEDMN C=CC[N@@H+](CCc1ccco1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001602722815 971389846 /nfs/dbraw/zinc/38/98/46/971389846.db2.gz RXDQPXVOYJDMSD-ZDUSSCGKSA-N 0 1 292.335 0.996 20 30 CCEDMN C=CC[N@H+](CCc1ccco1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001602722815 971389850 /nfs/dbraw/zinc/38/98/50/971389850.db2.gz RXDQPXVOYJDMSD-ZDUSSCGKSA-N 0 1 292.335 0.996 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@](CC)(C(=O)[O-])C1 ZINC000062877361 948963866 /nfs/dbraw/zinc/96/38/66/948963866.db2.gz NFMCDYDIZIJGLD-FZMZJTMJSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@](CC)(C(=O)[O-])C1 ZINC000062877362 948964152 /nfs/dbraw/zinc/96/41/52/948964152.db2.gz NFMCDYDIZIJGLD-SMDDNHRTSA-N 0 1 266.341 0.701 20 30 CCEDMN CC[N@H+](CC(=O)NCc1cccc(C#N)c1)[C@H](C)C(=O)[O-] ZINC001589718673 950380596 /nfs/dbraw/zinc/38/05/96/950380596.db2.gz BSUKFFKVKKBUTG-LLVKDONJSA-N 0 1 289.335 0.969 20 30 CCEDMN CC[N@@H+](CC(=O)NCc1cccc(C#N)c1)[C@H](C)C(=O)[O-] ZINC001589718673 950380619 /nfs/dbraw/zinc/38/06/19/950380619.db2.gz BSUKFFKVKKBUTG-LLVKDONJSA-N 0 1 289.335 0.969 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC001589730013 950487420 /nfs/dbraw/zinc/48/74/20/950487420.db2.gz HBZJZCITJIXGJH-RYUDHWBXSA-N 0 1 281.356 0.934 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC000380847634 951195154 /nfs/dbraw/zinc/19/51/54/951195154.db2.gz ROLFEVJLJKJTTM-NWDGAFQWSA-N 0 1 266.341 0.559 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NCC1(C(=O)[O-])CC1 ZINC000380927385 971725995 /nfs/dbraw/zinc/72/59/95/971725995.db2.gz NDHVCVUFJGEJQN-UHFFFAOYSA-N 0 1 267.329 0.106 20 30 CCEDMN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H]1CC[C@H](C#N)C1 ZINC001593840732 955359186 /nfs/dbraw/zinc/35/91/86/955359186.db2.gz DUTWOXZHFSVMKX-VHSXEESVSA-N 0 1 254.286 0.628 20 30 CCEDMN C[C@@H](C[N@@H+](C)CCC(=O)N(C)CCC#N)C(=O)[O-] ZINC001589034276 955550135 /nfs/dbraw/zinc/55/01/35/955550135.db2.gz VZHMYXIFNOWFDM-JTQLQIEISA-N 0 1 255.318 0.401 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)NCC[N@H+]1CCC(C)(C)C1 ZINC001589226497 956803591 /nfs/dbraw/zinc/80/35/91/956803591.db2.gz XJQXMBRJVJTHDG-CQSZACIVSA-N 0 1 281.356 0.839 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CCCC[N@@H+]1CCC ZINC001588398575 958066849 /nfs/dbraw/zinc/06/68/49/958066849.db2.gz AADYOWSIUSWJEY-LBPRGKRZSA-N 0 1 266.341 0.797 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CCCC[N@@H+]1CC ZINC001588400361 958081472 /nfs/dbraw/zinc/08/14/72/958081472.db2.gz VZQJWLTZAOFXCB-NSHDSACASA-N 0 1 252.314 0.407 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])Cc1cc(OC)c(OC)cc1O ZINC001588423651 958207869 /nfs/dbraw/zinc/20/78/69/958207869.db2.gz BIAZQIMYVPYEKX-UHFFFAOYSA-N 0 1 279.292 0.929 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])Cc1cc(OC)c(OC)cc1O ZINC001588423651 958207885 /nfs/dbraw/zinc/20/78/85/958207885.db2.gz BIAZQIMYVPYEKX-UHFFFAOYSA-N 0 1 279.292 0.929 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])Cc1ccc(-n2cncn2)cc1 ZINC001588424726 958218941 /nfs/dbraw/zinc/21/89/41/958218941.db2.gz WWGZZJJXWRCWQT-UHFFFAOYSA-N 0 1 270.292 0.787 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])Cc1ccc(-n2cncn2)cc1 ZINC001588424726 958218951 /nfs/dbraw/zinc/21/89/51/958218951.db2.gz WWGZZJJXWRCWQT-UHFFFAOYSA-N 0 1 270.292 0.787 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1[C@H](C(=O)[O-])C[C@H]2CCCC[C@@H]21 ZINC001588429774 958248930 /nfs/dbraw/zinc/24/89/30/958248930.db2.gz CIIFWXTZGDPEJY-AGIUHOORSA-N 0 1 278.352 0.844 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+](C)CCCCC(=O)[O-])C1=O ZINC001588463897 958486546 /nfs/dbraw/zinc/48/65/46/958486546.db2.gz AQDJUAVZCPWAIC-LLVKDONJSA-N 0 1 254.330 0.960 20 30 CCEDMN C#CCNC(=O)C[N@H+]1[C@H](C(=O)[O-])C[C@@H]2CCCC[C@@H]21 ZINC000227220273 959934797 /nfs/dbraw/zinc/93/47/97/959934797.db2.gz VTNQFWSNEXEGIR-SRVKXCTJSA-N 0 1 264.325 0.454 20 30 CCEDMN COC(=O)CC[N@H+](CC(=O)[O-])C[C@H](C#N)CCC#N ZINC001574060988 962203646 /nfs/dbraw/zinc/20/36/46/962203646.db2.gz CFTQYMHMKJGSSA-JTQLQIEISA-N 0 1 267.285 0.380 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CC[N@@H+](C[C@@H](O)CC2(C#N)CC2)C1 ZINC001574133809 962555839 /nfs/dbraw/zinc/55/58/39/962555839.db2.gz MJXJCURSPCFBKS-SMDDNHRTSA-N 0 1 282.340 0.464 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001573301471 962627546 /nfs/dbraw/zinc/62/75/46/962627546.db2.gz CVWBFRQMIAIKKB-GHMZBOCLSA-N 0 1 252.314 0.121 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)C(=C)CCC(=O)[O-])C1 ZINC001573311435 962703697 /nfs/dbraw/zinc/70/36/97/962703697.db2.gz QCEYVTYTCGZEQR-GFCCVEGCSA-N 0 1 264.325 0.621 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)C(=C)CCC(=O)[O-])C1 ZINC001573311435 962703704 /nfs/dbraw/zinc/70/37/04/962703704.db2.gz QCEYVTYTCGZEQR-GFCCVEGCSA-N 0 1 264.325 0.621 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@H](C)[C@@](C)(C(=O)[O-])C1 ZINC001573311711 962710101 /nfs/dbraw/zinc/71/01/01/962710101.db2.gz XBJKLWPVLNYGCK-HUBLWGQQSA-N 0 1 278.352 0.653 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](CS(C)(=O)=O)C1 ZINC000310104726 963382102 /nfs/dbraw/zinc/38/21/02/963382102.db2.gz COQWPRYLFGSEMF-ZJUUUORDSA-N 0 1 261.343 0.382 20 30 CCEDMN N#Cc1cc(F)ccc1C[N@@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC000401924118 973537773 /nfs/dbraw/zinc/53/77/73/973537773.db2.gz QAGRYAAHHCWQCS-WCQYABFASA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1cc(F)ccc1C[N@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC000401924118 973537776 /nfs/dbraw/zinc/53/77/76/973537776.db2.gz QAGRYAAHHCWQCS-WCQYABFASA-N 0 1 278.283 0.965 20 30 CCEDMN CC(C)OC[C@@H](C(=O)[O-])[N@@H+](C)CCC(=O)N(C)CCC#N ZINC001591710667 975589220 /nfs/dbraw/zinc/58/92/20/975589220.db2.gz GJIVWRFKLCGPEN-LBPRGKRZSA-N 0 1 299.371 0.559 20 30 CCEDMN C#C[C@H]1CCCC[N@@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001588382695 983373468 /nfs/dbraw/zinc/37/34/68/983373468.db2.gz SXFDLEXRJBQEKF-NSHDSACASA-N 0 1 252.314 0.407 20 30 CCEDMN C#CC[N@H+](CC(=O)N[C@H](C(=O)[O-])[C@H](C)CC)CC1CC1 ZINC001588465729 983496195 /nfs/dbraw/zinc/49/61/95/983496195.db2.gz DWJBVXPNDJZZFF-RISCZKNCSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CC[N@H+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])C(C)C ZINC001588466150 983498803 /nfs/dbraw/zinc/49/88/03/983498803.db2.gz XMXMUSLAZAXIBM-LBPRGKRZSA-N 0 1 268.357 0.946 20 30 CCEDMN C#CC[N@@H+](CC1CC1)[C@H](CCC(=O)[O-])C(=O)OC ZINC001588467965 983499648 /nfs/dbraw/zinc/49/96/48/983499648.db2.gz JEHRKQLPUBWXHS-LLVKDONJSA-N 0 1 253.298 0.738 20 30 CCEDMN C#CC[N@H+](CCCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])C(C)C ZINC001588469584 983502443 /nfs/dbraw/zinc/50/24/43/983502443.db2.gz LWFOJPPCFQLFGU-RYUDHWBXSA-N 0 1 266.341 0.557 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)C1 ZINC001588477158 983514474 /nfs/dbraw/zinc/51/44/74/983514474.db2.gz VQQGOQRHJSAHBQ-AGIUHOORSA-N 0 1 293.367 0.637 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)C1 ZINC001588477158 983514475 /nfs/dbraw/zinc/51/44/75/983514475.db2.gz VQQGOQRHJSAHBQ-AGIUHOORSA-N 0 1 293.367 0.637 20 30 CCEDMN C=C(C)C[C@@H](NS(=O)(=O)CC1([NH+](C)C)CC1)C(=O)[O-] ZINC001588553473 983628152 /nfs/dbraw/zinc/62/81/52/983628152.db2.gz NITAFJDKTLRSRV-SNVBAGLBSA-N 0 1 290.385 0.419 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)O[C@@H]1C[C@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588605208 983691363 /nfs/dbraw/zinc/69/13/63/983691363.db2.gz CUUOWYJHAILVOA-DDHJBXDOSA-N 0 1 283.324 0.668 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[C@@H]([N@H+]2CC[C@H](O)C2)C1 ZINC001588613764 983705223 /nfs/dbraw/zinc/70/52/23/983705223.db2.gz CVXKUGYGOKZWJH-NEPJUHHUSA-N 0 1 282.340 0.075 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1CC[C@@H]2[C@H]1CCCN2CCO ZINC001588662612 983790219 /nfs/dbraw/zinc/79/02/19/983790219.db2.gz DBOXBWJWLABTTE-IJLUTSLNSA-N 0 1 254.330 0.157 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](N2CCNC2=O)C1 ZINC001588663590 983795450 /nfs/dbraw/zinc/79/54/50/983795450.db2.gz OFQDTPABXDVGHC-UWVGGRQHSA-N 0 1 253.302 0.115 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@@H+](CC1CC1)[C@@H](C(N)=O)C1CC1 ZINC001588664330 983799608 /nfs/dbraw/zinc/79/96/08/983799608.db2.gz POIRZHYROGHAFR-WDEREUQCSA-N 0 1 252.314 0.602 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+](CC1CC1)[C@@H](C(N)=O)C1CC1 ZINC001588664330 983799611 /nfs/dbraw/zinc/79/96/11/983799611.db2.gz POIRZHYROGHAFR-WDEREUQCSA-N 0 1 252.314 0.602 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@@H+]1C[C@H]2COCC[C@@]2(C(=O)OC)C1 ZINC001588669963 983816574 /nfs/dbraw/zinc/81/65/74/983816574.db2.gz SDHYILJFWBRAJM-OUJBWJOFSA-N 0 1 269.297 0.137 20 30 CCEDMN C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@](O)(C2(C(=O)[O-])CCC2)C1 ZINC001588672178 983823987 /nfs/dbraw/zinc/82/39/87/983823987.db2.gz PDQFMOLMYZYPTO-XHDPSFHLSA-N 0 1 297.351 0.796 20 30 CCEDMN C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@](O)(C2(C(=O)[O-])CCC2)C1 ZINC001588672178 983823994 /nfs/dbraw/zinc/82/39/94/983823994.db2.gz PDQFMOLMYZYPTO-XHDPSFHLSA-N 0 1 297.351 0.796 20 30 CCEDMN C=C[C@H]([NH2+][C@@H]1CCN(c2cc(C)nn2C)C1=O)C(=O)[O-] ZINC001588696558 983903145 /nfs/dbraw/zinc/90/31/45/983903145.db2.gz OWNNVHAAQPRLNS-VHSXEESVSA-N 0 1 278.312 0.063 20 30 CCEDMN C=CCN1CC[C@H]([NH2+][C@H](Cc2cncs2)C(=O)[O-])C1=O ZINC001588838786 984341161 /nfs/dbraw/zinc/34/11/61/984341161.db2.gz JTQUEQJEWINWBB-WDEREUQCSA-N 0 1 295.364 0.515 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2C[C@@H]3CCC[C@@H]3[C@@H]2C(=O)[O-])C1=O ZINC001588839114 984344486 /nfs/dbraw/zinc/34/44/86/984344486.db2.gz OJYXFSAKUVEDPC-ZDEQEGDKSA-N 0 1 278.352 0.958 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](C(=O)OC)C[C@@H]1C(=O)[O-] ZINC001588868973 984437167 /nfs/dbraw/zinc/43/71/67/984437167.db2.gz JALKFSVWYVBLRZ-GHMZBOCLSA-N 0 1 271.313 0.527 20 30 CCEDMN CC#CC[N@H+]1CCCC2(CN(C(=O)[C@H]3C[C@H]3C(=O)[O-])C2)C1 ZINC001588930993 984600544 /nfs/dbraw/zinc/60/05/44/984600544.db2.gz HYNALEOAUYFDLG-QWHCGFSZSA-N 0 1 290.363 0.655 20 30 CCEDMN C[C@@]1(C(=O)[O-])CCC[N@H+]1C[C@@H](O)CC1(C#N)CCOCC1 ZINC001589189229 985584909 /nfs/dbraw/zinc/58/49/09/985584909.db2.gz YUEKUYFEZIKGOS-JSGCOSHPSA-N 0 1 296.367 0.997 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC001589329673 986166043 /nfs/dbraw/zinc/16/60/43/986166043.db2.gz RMZXHXQCIJQNDS-ZJUUUORDSA-N 0 1 253.302 0.153 20 30 CCEDMN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+]1CCC[C@@H](CC#N)C1 ZINC001589396654 986524092 /nfs/dbraw/zinc/52/40/92/986524092.db2.gz IYGRSLFYEFUVIH-UWVGGRQHSA-N 0 1 253.302 0.201 20 30 CCEDMN C[C@@H](C(=O)NCC(=O)[O-])[N@H+]1CCC[C@@H](CC#N)C1 ZINC001589396654 986524103 /nfs/dbraw/zinc/52/41/03/986524103.db2.gz IYGRSLFYEFUVIH-UWVGGRQHSA-N 0 1 253.302 0.201 20 30 CCEDMN CC(C)(C#N)CCC[N@H+]1CCC[C@H]1C(=O)NCC(=O)[O-] ZINC001589554720 987303281 /nfs/dbraw/zinc/30/32/81/987303281.db2.gz LSLNRUHIQDMZBQ-NSHDSACASA-N 0 1 281.356 0.982 20 30 CCEDMN C[N@@H+](CCc1cccc(C#N)c1)C[C@](C)(O)C(=O)[O-] ZINC001598500304 992426311 /nfs/dbraw/zinc/42/63/11/992426311.db2.gz RKSRTBAXCYIVSP-AWEZNQCLSA-N 0 1 262.309 0.868 20 30 CCEDMN C[N@H+]1CCN(C(=O)NCC(C)(C)CC#N)C[C@@H](C(=O)[O-])C1 ZINC001598606017 993659560 /nfs/dbraw/zinc/65/95/60/993659560.db2.gz GNXAZLUILGWTQF-NSHDSACASA-N 0 1 296.371 0.584 20 30 CCEDMN CNC(=O)[C@H]1CC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC001598638375 994277258 /nfs/dbraw/zinc/27/72/58/994277258.db2.gz AXVUEHTXZDGSSK-STQMWFEESA-N 0 1 287.319 0.752 20 30 CCEDMN COC(=O)C1(C#N)CC[NH+](C/C(C)=C/C(=O)[O-])CC1 ZINC001598716562 995417326 /nfs/dbraw/zinc/41/73/26/995417326.db2.gz MVBXAFQRVZKOJE-JXMROGBWSA-N 0 1 266.297 0.796 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])[N@@H+](CCO[C@@H](C)C#N)C1 ZINC001598777413 995944225 /nfs/dbraw/zinc/94/42/25/995944225.db2.gz NMBBUJYCPCLLKJ-AXFHLTTASA-N 0 1 284.312 0.253 20 30 CCEDMN COC(=O)[C@@H]1C[N@H+]([C@@H]2CC[C@@H](C#N)C2)C[C@H]1C(=O)[O-] ZINC001598818473 996297295 /nfs/dbraw/zinc/29/72/95/996297295.db2.gz KFEWKLYXTLIDKH-GWOFURMSSA-N 0 1 266.297 0.484 20 30 CCEDMN COC(=O)[C@@H]1C[N@H+](C[C@H](C#N)CCC#N)C[C@@H]1C(=O)[O-] ZINC001598823729 996358865 /nfs/dbraw/zinc/35/88/65/996358865.db2.gz WYQAMLOAHJPUAR-GARJFASQSA-N 0 1 279.296 0.236 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](C[C@H](C#N)CCC#N)C[C@@H]1C(=O)[O-] ZINC001598823729 996358868 /nfs/dbraw/zinc/35/88/68/996358868.db2.gz WYQAMLOAHJPUAR-GARJFASQSA-N 0 1 279.296 0.236 20 30 CCEDMN CO[C@@]1(C(=O)[O-])CC[N@@H+](Cc2cc(C#N)ccn2)C1 ZINC001599078297 996929536 /nfs/dbraw/zinc/92/95/36/996929536.db2.gz BKKZSHFJNAXTCM-ZDUSSCGKSA-N 0 1 261.281 0.629 20 30 CCEDMN CO[C@]1(C(=O)[O-])CC[N@@H+](C[C@@H](O)CC2(C#N)CC2)C1 ZINC001599078519 996938942 /nfs/dbraw/zinc/93/89/42/996938942.db2.gz RHGSGVQXOSZVBG-GXFFZTMASA-N 0 1 268.313 0.217 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)CC1(C)COC1 ZINC000599571849 361750235 /nfs/dbraw/zinc/75/02/35/361750235.db2.gz VJIZSTCFMYKGCM-UHFFFAOYSA-N 0 1 278.356 0.611 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CC[C@@H](N2CC[C@@H](O)C2)C1 ZINC000599938676 361834729 /nfs/dbraw/zinc/83/47/29/361834729.db2.gz DNKGSWONLRGVQT-VXGBXAGGSA-N 0 1 289.335 0.741 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CC[C@H](N2CC[C@@H](O)C2)C1 ZINC000599938674 361834794 /nfs/dbraw/zinc/83/47/94/361834794.db2.gz DNKGSWONLRGVQT-NWDGAFQWSA-N 0 1 289.335 0.741 20 30 CCEDMN C[C@@]1(C#N)CCCN(C(=O)NC[C@H]2COCCN2)C1 ZINC000599938138 361834961 /nfs/dbraw/zinc/83/49/61/361834961.db2.gz HDSOMUSWLUFJGL-AAEUAGOBSA-N 0 1 266.345 0.310 20 30 CCEDMN C/C=C\CNC(=O)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000600068681 361860145 /nfs/dbraw/zinc/86/01/45/361860145.db2.gz IDDVCJVCEJVVJN-WAYWQWQTSA-N 0 1 289.339 0.562 20 30 CCEDMN CN(Cc1cnc2c(C#N)cnn2c1)[C@H]1CCC(=O)N(C)C1 ZINC000600178613 361883862 /nfs/dbraw/zinc/88/38/62/361883862.db2.gz MDQPKFQKRZSYCL-ZDUSSCGKSA-N 0 1 298.350 0.654 20 30 CCEDMN Cn1cc([N+](=O)[O-])c(S(=O)(=O)N[C@]2(C#N)CC2(C)C)n1 ZINC000600669082 362028837 /nfs/dbraw/zinc/02/88/37/362028837.db2.gz VDTVUMAUUVKZTI-JTQLQIEISA-N 0 1 299.312 0.299 20 30 CCEDMN CC[C@H]1CN(c2cnc(C#N)cn2)CCN1C[C@@H](C)O ZINC000133326904 136390320 /nfs/dbraw/zinc/39/03/20/136390320.db2.gz ARCMBLBOHTYSLF-YPMHNXCESA-N 0 1 275.356 0.630 20 30 CCEDMN CC[C@@H]1CN(c2cnc(C#N)cn2)CCN1CCOC ZINC000133330473 136390638 /nfs/dbraw/zinc/39/06/38/136390638.db2.gz JHTBYTSHQWGKOC-CYBMUJFWSA-N 0 1 275.356 0.895 20 30 CCEDMN CNC(=O)CCN1CCN(c2ccc(C#N)cc2F)CC1 ZINC000101558291 136392768 /nfs/dbraw/zinc/39/27/68/136392768.db2.gz RDMKZTCJHAPJIK-UHFFFAOYSA-N 0 1 290.342 0.955 20 30 CCEDMN C[C@H](C#N)CN(C1CC1)S(=O)(=O)c1ncc[nH]1 ZINC000601378278 362250268 /nfs/dbraw/zinc/25/02/68/362250268.db2.gz QZFUWNFJYRCRFZ-MRVPVSSYSA-N 0 1 254.315 0.722 20 30 CCEDMN Cc1cc(C[C@@H](C)NS(=O)(=O)CCCC#N)n[nH]1 ZINC000601426043 362268778 /nfs/dbraw/zinc/26/87/78/362268778.db2.gz NGTLXJYLNPWXSB-SNVBAGLBSA-N 0 1 270.358 0.872 20 30 CCEDMN N#Cc1cc(F)c(S(=O)(=O)N[C@H]2C[C@@H](O)C2)c(F)c1 ZINC000601433765 362271835 /nfs/dbraw/zinc/27/18/35/362271835.db2.gz IVNILTAQYSXKJK-OCAPTIKFSA-N 0 1 288.275 0.638 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@H]1CCCN1C)C(=O)OC ZINC000601787445 362394278 /nfs/dbraw/zinc/39/42/78/362394278.db2.gz XPNHHOSMYGSHKK-GHMZBOCLSA-N 0 1 254.330 0.705 20 30 CCEDMN N#CC1(NC(=O)CN2C[C@@H]3COC[C@@H]3C2)CCCCC1 ZINC000601971602 362444934 /nfs/dbraw/zinc/44/49/34/362444934.db2.gz FGHJHCIWRXRANE-BETUJISGSA-N 0 1 277.368 0.907 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCCCN(C)C2=O)CC1 ZINC000602098706 362486416 /nfs/dbraw/zinc/48/64/16/362486416.db2.gz TVRWNQZFOGLIGC-LBPRGKRZSA-N 0 1 292.383 0.349 20 30 CCEDMN N#CCN(C(=O)CCCc1nn[nH]n1)C1CCCC1 ZINC000602101755 362488350 /nfs/dbraw/zinc/48/83/50/362488350.db2.gz COLGFNNZSHFDLO-UHFFFAOYSA-N 0 1 262.317 0.817 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CC[C@](O)(CC#N)C1 ZINC000602165853 362537363 /nfs/dbraw/zinc/53/73/63/362537363.db2.gz FIBAELKQEYCJEV-OAHLLOKOSA-N 0 1 295.383 0.222 20 30 CCEDMN N#CC[C@@H]1CC[C@H](NC(=O)NCCN2CC[C@H](O)C2)C1 ZINC000602318152 362584219 /nfs/dbraw/zinc/58/42/19/362584219.db2.gz BPRDBDRCSPXSDK-AVGNSLFASA-N 0 1 280.372 0.435 20 30 CCEDMN C[C@H](CCC#N)CN1CCN(CC(=O)NC2CC2)CC1 ZINC000602433783 362613743 /nfs/dbraw/zinc/61/37/43/362613743.db2.gz DAJRGSGINWFUJS-CYBMUJFWSA-N 0 1 278.400 0.822 20 30 CCEDMN CN(C)C(=O)[C@H]1CC[N@@H+](Cc2cccc(C#N)n2)C1 ZINC000602689370 362750701 /nfs/dbraw/zinc/75/07/01/362750701.db2.gz GCWYPSFHGZGPHV-NSHDSACASA-N 0 1 258.325 0.863 20 30 CCEDMN CN(C)C(=O)[C@H]1CCN(Cc2cccc(C#N)n2)C1 ZINC000602689370 362750708 /nfs/dbraw/zinc/75/07/08/362750708.db2.gz GCWYPSFHGZGPHV-NSHDSACASA-N 0 1 258.325 0.863 20 30 CCEDMN C[C@@H]1CN(Cc2cccc(C#N)n2)[C@@H](C)CN1CCO ZINC000602695776 362754920 /nfs/dbraw/zinc/75/49/20/362754920.db2.gz NVVGTCZQYVFIDO-OLZOCXBDSA-N 0 1 274.368 0.840 20 30 CCEDMN CN1CCN(Cc2cnc3ccc(C#N)cn23)[C@H](CO)C1 ZINC000602717374 362768709 /nfs/dbraw/zinc/76/87/09/362768709.db2.gz YSLQYIXQYQGIOE-AWEZNQCLSA-N 0 1 285.351 0.314 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H](C(N)=O)c2ccccc2)[nH]1 ZINC000617995363 365865032 /nfs/dbraw/zinc/86/50/32/365865032.db2.gz XUHJBLVIZXTAPI-NSHDSACASA-N 0 1 298.306 0.062 20 30 CCEDMN COCCOCCN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854488 362840959 /nfs/dbraw/zinc/84/09/59/362840959.db2.gz PSYOUDPNJHRTFE-BETUJISGSA-N 0 1 255.362 0.568 20 30 CCEDMN CCOC(=O)[C@](C)(O)CN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854386 362840668 /nfs/dbraw/zinc/84/06/68/362840668.db2.gz OOLRTRSTFXLUEJ-SGMGOOAPSA-N 0 1 283.372 0.219 20 30 CCEDMN N#C[C@H]1CC[C@@H](N2CCN(Cc3nnc[nH]3)CC2)C1 ZINC000602864786 362849596 /nfs/dbraw/zinc/84/95/96/362849596.db2.gz JOKHUCBUXBHGRM-NWDGAFQWSA-N 0 1 260.345 0.615 20 30 CCEDMN Cc1nc(CN2CCN(c3nc(C)cc(C#N)n3)CC2)n[nH]1 ZINC000342939951 137104753 /nfs/dbraw/zinc/10/47/53/137104753.db2.gz QVSICDUMPCCRSD-UHFFFAOYSA-N 0 1 298.354 0.405 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)c1ccc(C#N)o1 ZINC000343930995 137167861 /nfs/dbraw/zinc/16/78/61/137167861.db2.gz KESYLXACVCRQCO-SNVBAGLBSA-N 0 1 288.263 0.388 20 30 CCEDMN C[C@@]1(NS(=O)(=O)c2ccc(C#N)o2)CCCOC1 ZINC000344724456 137209692 /nfs/dbraw/zinc/20/96/92/137209692.db2.gz NAHYLRAIKIJDBK-LLVKDONJSA-N 0 1 270.310 0.999 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)c1ccc(C#N)[nH]1 ZINC000344985225 137226231 /nfs/dbraw/zinc/22/62/31/137226231.db2.gz YPAAUFOMQKEMMG-LLVKDONJSA-N 0 1 287.279 0.124 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2COC(C)(C)C2)[nH]1 ZINC000602885421 362864173 /nfs/dbraw/zinc/86/41/73/362864173.db2.gz DTBKJDQWSHPFBA-VIFPVBQESA-N 0 1 263.301 0.403 20 30 CCEDMN CC[C@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000602885601 362864432 /nfs/dbraw/zinc/86/44/32/362864432.db2.gz GOVLPSBOXFXSHC-QWRGUYRKSA-N 0 1 277.328 0.793 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@](C)(C(F)F)C2)[nH]1 ZINC000602887777 362867193 /nfs/dbraw/zinc/86/71/93/362867193.db2.gz SJMNRCQTFUKJGD-LBPRGKRZSA-N 0 1 299.281 0.602 20 30 CCEDMN COC[C@](C)(CO)NCC(=O)N[C@](C)(C#N)C(C)C ZINC000602932146 362894499 /nfs/dbraw/zinc/89/44/99/362894499.db2.gz MYFRIIOTBGXQDB-QWHCGFSZSA-N 0 1 271.361 0.028 20 30 CCEDMN COC[C@@](C)(CO)NCC(=O)NC1(C#N)CCCCC1 ZINC000602932953 362893859 /nfs/dbraw/zinc/89/38/59/362893859.db2.gz AMIBTFROMKMGSP-CYBMUJFWSA-N 0 1 283.372 0.316 20 30 CCEDMN COCCOC[C@H](O)CN(C)[C@@H]1CCC[C@@H]1C#N ZINC000602981477 362919652 /nfs/dbraw/zinc/91/96/52/362919652.db2.gz DZJMMXMWDAUOFK-JHJVBQTASA-N 0 1 256.346 0.634 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N[C@H](C)c1cnn(C)c1 ZINC000273392356 192136231 /nfs/dbraw/zinc/13/62/31/192136231.db2.gz PCPQLOXPCCEUSL-ZJUUUORDSA-N 0 1 279.344 0.471 20 30 CCEDMN C[C@@H](Oc1ccccc1C#N)C(=O)N1CCNCC1 ZINC000071309097 348907760 /nfs/dbraw/zinc/90/77/60/348907760.db2.gz LLKHJBHAZBZBBM-LLVKDONJSA-N 0 1 259.309 0.757 20 30 CCEDMN C[C@@H](Oc1ccc(C#N)cc1)C(=O)NCCc1nc[nH]n1 ZINC000080582506 349219702 /nfs/dbraw/zinc/21/97/02/349219702.db2.gz PUWJIYIMNGTPQF-SNVBAGLBSA-N 0 1 285.307 0.803 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1S(=O)(=O)c1ccccc1C#N ZINC000086468789 349339948 /nfs/dbraw/zinc/33/99/48/349339948.db2.gz JRRWMVKXHGKAFY-WDEREUQCSA-N 0 1 279.365 0.929 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1cccc(C#N)c1)c1nnc[nH]1 ZINC000128957759 350202433 /nfs/dbraw/zinc/20/24/33/350202433.db2.gz FSVVMXOZVZUPED-MRVPVSSYSA-N 0 1 277.309 0.716 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCC(=O)OC(C)(C)C ZINC000150899682 350486855 /nfs/dbraw/zinc/48/68/55/350486855.db2.gz IPOUEMHWHQGUPZ-NSHDSACASA-N 0 1 268.357 0.788 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@H](C)N(CC#N)[C@@H](C)C2)[nH]1 ZINC000603098610 362963406 /nfs/dbraw/zinc/96/34/06/362963406.db2.gz HRQSOWKEEYGGLE-UWVGGRQHSA-N 0 1 261.329 0.777 20 30 CCEDMN COC[C@H](C)NCCS(=O)(=O)c1cccc(C#N)c1 ZINC000160620516 350703840 /nfs/dbraw/zinc/70/38/40/350703840.db2.gz GIYVGTGOZCLCAV-NSHDSACASA-N 0 1 282.365 0.956 20 30 CCEDMN CCNCc1cn(CC(=O)N[C@@](C)(C#N)C(C)C)nn1 ZINC000603171269 362981916 /nfs/dbraw/zinc/98/19/16/362981916.db2.gz NAUXLAJOFDTMSQ-ZDUSSCGKSA-N 0 1 278.360 0.442 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)Cn1cc(CNC)nn1 ZINC000603210084 363000501 /nfs/dbraw/zinc/00/05/01/363000501.db2.gz UBDOHFJKZIJZNX-SNVBAGLBSA-N 0 1 264.333 0.006 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)Cn1cc([C@@H](C)NC)nn1 ZINC000603209105 363000573 /nfs/dbraw/zinc/00/05/73/363000573.db2.gz OEDQNNKFAGGQPZ-GHMZBOCLSA-N 0 1 278.360 0.567 20 30 CCEDMN N#Cc1ccsc1C(=O)NCCCc1nc(=O)[nH][nH]1 ZINC000176265879 351166073 /nfs/dbraw/zinc/16/60/73/351166073.db2.gz WQNBKHKHGUDEGP-UHFFFAOYSA-N 0 1 277.309 0.394 20 30 CCEDMN C=C[C@H](C(=O)OC)n1cc([C@@H](N)[C@@H]2CCCO2)nn1 ZINC000603233701 363014916 /nfs/dbraw/zinc/01/49/16/363014916.db2.gz JVBDOBJUFMQMFP-OUAUKWLOSA-N 0 1 266.301 0.357 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)Nc1cnc(C#N)cn1 ZINC000124142577 187124061 /nfs/dbraw/zinc/12/40/61/187124061.db2.gz XDYUMDGXZLGEDP-NSHDSACASA-N 0 1 260.345 0.396 20 30 CCEDMN CN(C)C[C@@H]1CCCN1S(=O)(=O)c1ccc(C#N)nc1 ZINC000123198775 187077118 /nfs/dbraw/zinc/07/71/18/187077118.db2.gz WCJQYXZJMSHILU-LBPRGKRZSA-N 0 1 294.380 0.668 20 30 CCEDMN COC(C)(C)CC(=O)NC1(C#N)CCN(C)CC1 ZINC000230934501 352122354 /nfs/dbraw/zinc/12/23/54/352122354.db2.gz ONHPZYMKPNMXFW-UHFFFAOYSA-N 0 1 253.346 0.906 20 30 CCEDMN CCc1cnc(CNS(=O)(=O)c2cccnc2C#N)o1 ZINC000234248076 352156302 /nfs/dbraw/zinc/15/63/02/352156302.db2.gz IXXCGBDFWVZPDB-UHFFFAOYSA-N 0 1 292.320 0.982 20 30 CCEDMN CO[C@@H]1CN(C[C@@H](O)c2ccc(C#N)cc2)C[C@@H]1OC ZINC000234840358 352174603 /nfs/dbraw/zinc/17/46/03/352174603.db2.gz KYENEOFORRFLLG-KFWWJZLASA-N 0 1 276.336 0.937 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccc(C#N)nc2)C[C@@H]1C ZINC000267324345 352758997 /nfs/dbraw/zinc/75/89/97/352758997.db2.gz ARWNWXIILLCMFG-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCN(CCc1ccco1)CCN1C(=O)CNC1=O ZINC000272211325 353043483 /nfs/dbraw/zinc/04/34/83/353043483.db2.gz AJXCNCJRSJKGFG-UHFFFAOYSA-N 0 1 277.324 0.862 20 30 CCEDMN C#CCN1CCC(C(=O)NOCCSC)CC1 ZINC000279878597 353321163 /nfs/dbraw/zinc/32/11/63/353321163.db2.gz DYSDWZIRWSMEAW-UHFFFAOYSA-N 0 1 256.371 0.742 20 30 CCEDMN CCN(C[C@H](O)c1ccc(C#N)cc1)[C@@H]1CCNC1=O ZINC000282476774 353427425 /nfs/dbraw/zinc/42/74/25/353427425.db2.gz VAYJYJNNWHDQDT-KGLIPLIRSA-N 0 1 273.336 0.802 20 30 CCEDMN C[C@H](C(=O)Nc1cccc(C#N)c1)N(C)CCC(N)=O ZINC000286339755 353579061 /nfs/dbraw/zinc/57/90/61/353579061.db2.gz GKEXHZQHPUAQFT-SNVBAGLBSA-N 0 1 274.324 0.692 20 30 CCEDMN CN(C1CCN(C)CC1)S(=O)(=O)c1cncc(C#N)c1 ZINC000289963378 353697531 /nfs/dbraw/zinc/69/75/31/353697531.db2.gz WUBKNKNDDHNSLC-UHFFFAOYSA-N 0 1 294.380 0.668 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CC1)[C@H](OCC)C[C@@H]2O ZINC000304389911 354116762 /nfs/dbraw/zinc/11/67/62/354116762.db2.gz UDLZKSKERKDBAL-MELADBBJSA-N 0 1 296.411 0.929 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)C[C@H]1CCC[C@H]1O ZINC000305371916 354145593 /nfs/dbraw/zinc/14/55/93/354145593.db2.gz XUMJBOXQPWVWDA-UXIGCNINSA-N 0 1 279.384 0.888 20 30 CCEDMN CN(CC1(CC#N)CC1)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000310769786 354192185 /nfs/dbraw/zinc/19/21/85/354192185.db2.gz OVRPWRBEHGSFRE-LLVKDONJSA-N 0 1 271.386 0.646 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2CCN(C3CC3)C2)ccn1 ZINC000331581044 354612413 /nfs/dbraw/zinc/61/24/13/354612413.db2.gz WMIPDYQBRLDIAJ-LLVKDONJSA-N 0 1 256.309 0.920 20 30 CCEDMN C[C@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)CCCC#N ZINC000331741248 354617684 /nfs/dbraw/zinc/61/76/84/354617684.db2.gz ATBWVJJUTNVXOD-CMPLNLGQSA-N 0 1 271.386 0.692 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@H]2CCc3nc[nH]c3C2)c1 ZINC000334460383 354870416 /nfs/dbraw/zinc/87/04/16/354870416.db2.gz NBGOULNHOTWJRJ-VIFPVBQESA-N 0 1 255.281 0.897 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(C)(OC)CC1 ZINC000271810201 191340475 /nfs/dbraw/zinc/34/04/75/191340475.db2.gz YKCNMWBYKYMXSN-LLVKDONJSA-N 0 1 283.372 0.888 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N[C@H]1CCCN(C)[C@@H]1C ZINC000336884907 355219337 /nfs/dbraw/zinc/21/93/37/355219337.db2.gz FCJWKCPRHOAQNJ-UTUOFQBUSA-N 0 1 288.417 0.395 20 30 CCEDMN C[C@@H](C#N)C[N@@H+](C)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000338235740 355311331 /nfs/dbraw/zinc/31/13/31/355311331.db2.gz KHWBPGCNYLNDIP-QWRGUYRKSA-N 0 1 259.375 0.359 20 30 CCEDMN C[C@@H](C#N)CN(C)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000338235740 355311333 /nfs/dbraw/zinc/31/13/33/355311333.db2.gz KHWBPGCNYLNDIP-QWRGUYRKSA-N 0 1 259.375 0.359 20 30 CCEDMN C=CCN1CC[C@H](N(CCOC)[C@@H]2CCO[C@H]2C)C1=O ZINC000337214348 355284913 /nfs/dbraw/zinc/28/49/13/355284913.db2.gz XIRWVSKRVDHIBW-MJBXVCDLSA-N 0 1 282.384 0.899 20 30 CCEDMN N#CCCCCS(=O)(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000339049228 355476591 /nfs/dbraw/zinc/47/65/91/355476591.db2.gz WVOLWPKWTOJEPX-LLVKDONJSA-N 0 1 271.386 0.836 20 30 CCEDMN C[C@@H]1[C@@H](NS(=O)(=O)CCCC#N)CCCN1C ZINC000344017154 355782160 /nfs/dbraw/zinc/78/21/60/355782160.db2.gz FBMQEWMBZRBKAQ-MNOVXSKESA-N 0 1 259.375 0.692 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)cn1 ZINC000378317192 283130254 /nfs/dbraw/zinc/13/02/54/283130254.db2.gz YWUOGIZOOOQVFG-SNVBAGLBSA-N 0 1 267.292 0.964 20 30 CCEDMN Cc1nc([C@@H](C)NS(=O)(=O)c2cncc(C#N)c2)n[nH]1 ZINC000352723461 356063518 /nfs/dbraw/zinc/06/35/18/356063518.db2.gz BUCQIMHDIIKYCJ-SSDOTTSWSA-N 0 1 292.324 0.419 20 30 CCEDMN CS(=O)(=O)NCCCNCc1nc(C#N)cs1 ZINC000352838658 356092650 /nfs/dbraw/zinc/09/26/50/356092650.db2.gz GRAXZBDLRROUPS-UHFFFAOYSA-N 0 1 274.371 0.044 20 30 CCEDMN C=CCOCCCC(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000273561156 192205385 /nfs/dbraw/zinc/20/53/85/192205385.db2.gz XJCJCZWDJOGMNQ-SNVBAGLBSA-N 0 1 267.333 0.744 20 30 CCEDMN Cn1cnc(C(=O)N=c2[nH]n(C(C)(C)C)cc2C#N)n1 ZINC000361913336 138038027 /nfs/dbraw/zinc/03/80/27/138038027.db2.gz IKNXFRAMNLMNOK-UHFFFAOYSA-N 0 1 273.300 0.312 20 30 CCEDMN N#Cc1ccc2ncc(CN3CCn4c(=O)[nH]nc4C3)n2c1 ZINC000362087988 138045950 /nfs/dbraw/zinc/04/59/50/138045950.db2.gz DGULMAKQWAWAFN-UHFFFAOYSA-N 0 1 295.306 0.519 20 30 CCEDMN Cc1nn(C[C@H](O)CN2C[C@@H](C)O[C@H](C)C2)cc1C#N ZINC000362333505 138055007 /nfs/dbraw/zinc/05/50/07/138055007.db2.gz LWKSDAQMQCMFQT-JTNHKYCSSA-N 0 1 278.356 0.533 20 30 CCEDMN Cc1onc(CC(=O)N2CCN(C)[C@@H](C)[C@@H]2C)c1C#N ZINC000354489816 356424145 /nfs/dbraw/zinc/42/41/45/356424145.db2.gz PKPOCSMVUZHBBG-UWVGGRQHSA-N 0 1 276.340 0.948 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1cnccc1C#N ZINC000362958457 138082402 /nfs/dbraw/zinc/08/24/02/138082402.db2.gz POOWYHGTISWELJ-LBPRGKRZSA-N 0 1 299.290 0.190 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1cnccc1N(C)C ZINC000273654684 192248206 /nfs/dbraw/zinc/24/82/06/192248206.db2.gz WXJMFSVGWNCAQJ-UHFFFAOYSA-N 0 1 283.397 0.838 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(c3cccc(C#N)n3)CC2)[nH]1 ZINC000354909322 356527024 /nfs/dbraw/zinc/52/70/24/356527024.db2.gz ZUOFSIUWEZGXCR-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN C[C@H](C(=O)N[C@@H]1CCCc2cn[nH]c21)n1cnc(C#N)n1 ZINC000619614474 366299658 /nfs/dbraw/zinc/29/96/58/366299658.db2.gz HOBONVOBBJNHIY-PSASIEDQSA-N 0 1 285.311 0.628 20 30 CCEDMN CCOC(=O)[C@@]1(COC)CCCN(CC#CCOC)C1 ZINC000619623887 366303099 /nfs/dbraw/zinc/30/30/99/366303099.db2.gz XJBLXXYFCWADLB-HNNXBMFYSA-N 0 1 283.368 0.928 20 30 CCEDMN CN1CCC[C@@H](NC(=O)N2CCO[C@@H](C#N)C2)C1 ZINC000609282935 363487061 /nfs/dbraw/zinc/48/70/61/363487061.db2.gz GPSJBKVSIIHTTN-MNOVXSKESA-N 0 1 252.318 0.015 20 30 CCEDMN N#CCN1CCC(N[C@H](C(N)=O)c2ccccc2)CC1 ZINC000609323465 363489057 /nfs/dbraw/zinc/48/90/57/363489057.db2.gz MXSLYYUNOWFTPI-AWEZNQCLSA-N 0 1 272.352 0.791 20 30 CCEDMN CCC(CC)(CCO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000619789371 366354351 /nfs/dbraw/zinc/35/43/51/366354351.db2.gz VJLZZPSCIKRZTK-UHFFFAOYSA-N 0 1 279.344 0.776 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](O)C1(C)C ZINC000361417505 357047547 /nfs/dbraw/zinc/04/75/47/357047547.db2.gz XDIYCSBMGGKWLA-VXGBXAGGSA-N 0 1 267.373 0.840 20 30 CCEDMN C[C@@H](Cn1ccnc1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610528028 363572681 /nfs/dbraw/zinc/57/26/81/363572681.db2.gz HSFAFLQPPSNYLB-VIFPVBQESA-N 0 1 273.300 0.120 20 30 CCEDMN CCN(C[C@H]1CCCO1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610528202 363572739 /nfs/dbraw/zinc/57/27/39/363572739.db2.gz VVLIHKYTAFBZAO-LLVKDONJSA-N 0 1 277.328 0.746 20 30 CCEDMN CN(Cc1ccccn1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610527999 363572549 /nfs/dbraw/zinc/57/25/49/363572549.db2.gz FWUXUWXYFJOBSV-UHFFFAOYSA-N 0 1 270.296 0.773 20 30 CCEDMN CC[C@H](C)NC(=O)CCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610562902 363577862 /nfs/dbraw/zinc/57/78/62/363577862.db2.gz KFTXUOVNPKGIQD-VIFPVBQESA-N 0 1 292.343 0.140 20 30 CCEDMN C[C@@H](CNC(=O)N=c1[nH]n(C)cc1C#N)C[C@H](C)O ZINC000610562324 363576120 /nfs/dbraw/zinc/57/61/20/363576120.db2.gz OTMZISGIYHRRLH-BDAKNGLRSA-N 0 1 265.317 0.242 20 30 CCEDMN Cn1cc(CN2C[C@@H]3CCC[C@@H]3[C@H]2C(N)=O)cc1C#N ZINC000364586255 357123170 /nfs/dbraw/zinc/12/31/70/357123170.db2.gz WPOLTTBKMCHPEE-UBHSHLNASA-N 0 1 272.352 0.983 20 30 CCEDMN Cc1ccccc1[C@H](CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610564242 363579287 /nfs/dbraw/zinc/57/92/87/363579287.db2.gz GOAOEVXZEUBIHO-ZDUSSCGKSA-N 0 1 299.334 0.877 20 30 CCEDMN C[C@H](O)C1CCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000610564807 363578825 /nfs/dbraw/zinc/57/88/25/363578825.db2.gz SFFZAUOKQWMCJD-VIFPVBQESA-N 0 1 277.328 0.338 20 30 CCEDMN COC[C@@H](C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610565582 363580553 /nfs/dbraw/zinc/58/05/53/363580553.db2.gz ZKQKYHQABOHROY-QMMMGPOBSA-N 0 1 251.290 0.118 20 30 CCEDMN CN(CCCSCC#N)CCN1CCCS1(=O)=O ZINC000610604425 363583839 /nfs/dbraw/zinc/58/38/39/363583839.db2.gz RMSHCJUBLSCLOI-UHFFFAOYSA-N 0 1 291.442 0.601 20 30 CCEDMN C=CCOCCN(CCC)[C@@H](CC(=O)NCCC)C(N)=O ZINC000294459534 199048740 /nfs/dbraw/zinc/04/87/40/199048740.db2.gz GHXJJPCKSVJBDD-ZDUSSCGKSA-N 0 1 299.415 0.671 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC2(CC#N)CC2)[C@H](C)CN1CCO ZINC000419525733 358172454 /nfs/dbraw/zinc/17/24/54/358172454.db2.gz UFEHRSORFGNXNB-CHWSQXEVSA-N 0 1 294.399 0.777 20 30 CCEDMN CCOC(=O)C[C@@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610767334 363611976 /nfs/dbraw/zinc/61/19/76/363611976.db2.gz CDWVTKFLVYVEML-MRVPVSSYSA-N 0 1 279.300 0.177 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)C[C@@H]1CC ZINC000572277666 358928481 /nfs/dbraw/zinc/92/84/81/358928481.db2.gz DOUAFUGGWDSAMD-NSHDSACASA-N 0 1 268.361 0.024 20 30 CCEDMN C[C@@H]1CN(c2cc(C#N)ncn2)CCN1CC(C)(C)O ZINC000580030539 359077524 /nfs/dbraw/zinc/07/75/24/359077524.db2.gz DKDNTLWKWVIPBC-LLVKDONJSA-N 0 1 275.356 0.630 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@H]2[C@@H]2CCCO2)[nH]1 ZINC000585797459 359277076 /nfs/dbraw/zinc/27/70/76/359277076.db2.gz YMHRHOYHIIDTGF-RYUDHWBXSA-N 0 1 289.339 0.889 20 30 CCEDMN C[C@@H]1COCC[C@@H]1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000588259040 359319620 /nfs/dbraw/zinc/31/96/20/359319620.db2.gz VJQDVBUYBVGIIS-NXEZZACHSA-N 0 1 277.328 0.508 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H]2CCCSC2)[nH]1 ZINC000588259693 359319697 /nfs/dbraw/zinc/31/96/97/359319697.db2.gz GAWIABYAGAUAQQ-VIFPVBQESA-N 0 1 279.369 0.978 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@H]([C@H]3CCOC3)C2)[nH]1 ZINC000611007141 363648482 /nfs/dbraw/zinc/64/84/82/363648482.db2.gz MVGGKGAKIVIRAB-QWRGUYRKSA-N 0 1 289.339 0.604 20 30 CCEDMN CN1C[C@@H]2CCN(S(=O)(=O)c3cccc(C#N)c3)[C@@H]2C1 ZINC000589930031 359410607 /nfs/dbraw/zinc/41/06/07/359410607.db2.gz RKFWAMLVQUAZDM-GXTWGEPZSA-N 0 1 291.376 0.883 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3ccccc3C#N)[C@@H]2C1 ZINC000589929868 359411014 /nfs/dbraw/zinc/41/10/14/359411014.db2.gz NCTGDRMLJFQDAL-CHWSQXEVSA-N 0 1 291.376 0.883 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000590005656 359416157 /nfs/dbraw/zinc/41/61/57/359416157.db2.gz DSMUJTFTQUWFGM-RLPMIEJPSA-N 0 1 279.384 0.599 20 30 CCEDMN CN1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C#N)cn2)C1 ZINC000590219098 359429814 /nfs/dbraw/zinc/42/98/14/359429814.db2.gz PKPWGOPAAGZMNR-LLVKDONJSA-N 0 1 287.323 0.102 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@@H](O)CC2)CCC1 ZINC000590210248 359430306 /nfs/dbraw/zinc/43/03/06/359430306.db2.gz YOHLSYJKGJLZFQ-LLVKDONJSA-N 0 1 251.330 0.396 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cccc(CO)c2)CC1 ZINC000589836141 359404328 /nfs/dbraw/zinc/40/43/28/359404328.db2.gz DTDZUVHYDMYOGB-UHFFFAOYSA-N 0 1 273.336 0.897 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000590355419 359442426 /nfs/dbraw/zinc/44/24/26/359442426.db2.gz WZFWILZXZCDJHT-OAHLLOKOSA-N 0 1 298.390 0.986 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCOC[C@@H]2C#N)C1 ZINC000590435083 359461399 /nfs/dbraw/zinc/46/13/99/359461399.db2.gz AAPDKMBYMRFVTP-USWWRNFRSA-N 0 1 274.324 0.827 20 30 CCEDMN CC[C@H]1CN(C)CCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000590819236 359525639 /nfs/dbraw/zinc/52/56/39/359525639.db2.gz ARDVPHOBYQRYRM-NSHDSACASA-N 0 1 276.344 0.272 20 30 CCEDMN CC[C@H]1CN(C)CCN1C(=O)NC1CCN(CC#N)CC1 ZINC000590925298 359539479 /nfs/dbraw/zinc/53/94/79/359539479.db2.gz BEKJOIBGMGGBAA-AWEZNQCLSA-N 0 1 293.415 0.710 20 30 CCEDMN COCC#CCN1CCSC[C@H](C(=O)OC)C1 ZINC000591060314 359551018 /nfs/dbraw/zinc/55/10/18/359551018.db2.gz BXXLXDUGRGHYNV-LLVKDONJSA-N 0 1 257.355 0.474 20 30 CCEDMN CC(C)(CC#N)CNC(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000591368749 359584608 /nfs/dbraw/zinc/58/46/08/359584608.db2.gz LLHBXDYVNDUOCT-TXEJJXNPSA-N 0 1 292.383 0.395 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCN[C@H]2CCOC2)nc1 ZINC000591757061 359654029 /nfs/dbraw/zinc/65/40/29/359654029.db2.gz VLRQWCNVSIBGJC-NSHDSACASA-N 0 1 281.337 0.105 20 30 CCEDMN CCc1ncc(CNC[C@H](O)CN(C)CCC#N)o1 ZINC000591769884 359656403 /nfs/dbraw/zinc/65/64/03/359656403.db2.gz NXMDIAGAQGPZQV-NSHDSACASA-N 0 1 266.345 0.533 20 30 CCEDMN N#Cc1ccc(Cn2nnnc2CN)c(OC(F)F)c1 ZINC000563082451 291189659 /nfs/dbraw/zinc/18/96/59/291189659.db2.gz XUGBPKCWQNMCHT-UHFFFAOYSA-N 0 1 280.238 0.653 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1Cc1ccncc1C#N ZINC000592125170 359759883 /nfs/dbraw/zinc/75/98/83/359759883.db2.gz SHOQYZVTYLTIMC-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN C[C@H]1CCN(Cc2cnc3c(C#N)cnn3c2)[C@@H]1CO ZINC000563148900 291199225 /nfs/dbraw/zinc/19/92/25/291199225.db2.gz CEJLUMQRAQPKAE-GXFFZTMASA-N 0 1 271.324 0.804 20 30 CCEDMN N#CC[C@@]1(O)CCN(CCOC[C@H]2CCCO2)C1 ZINC000592152426 359769926 /nfs/dbraw/zinc/76/99/26/359769926.db2.gz XONMYYXRLIKZAO-CHWSQXEVSA-N 0 1 254.330 0.532 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000592845334 359952025 /nfs/dbraw/zinc/95/20/25/359952025.db2.gz HWWOEHAKVSRIHX-YGRLFVJLSA-N 0 1 274.324 0.707 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCN([C@@H]2CCNC(=O)CC2)CC1 ZINC000593738275 360144262 /nfs/dbraw/zinc/14/42/62/360144262.db2.gz AHIURYLDDGWVMR-OLZOCXBDSA-N 0 1 292.383 0.349 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000593636906 360125412 /nfs/dbraw/zinc/12/54/12/360125412.db2.gz ZBMPJLZPAZEVAR-VXGBXAGGSA-N 0 1 267.373 0.840 20 30 CCEDMN CNC(=O)NC(=O)CNC1(c2ccc(C#N)cc2)CCC1 ZINC000594032785 360240064 /nfs/dbraw/zinc/24/00/64/360240064.db2.gz PEIHVKDGMOFZCS-UHFFFAOYSA-N 0 1 286.335 0.983 20 30 CCEDMN CN(C[C@@H](O)C(F)(F)F)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611388902 363712732 /nfs/dbraw/zinc/71/27/32/363712732.db2.gz ROFCXMCXKWVIRX-SSDOTTSWSA-N 0 1 291.233 0.101 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)N2CC[N@H+]3C[C@H](O)C[C@H]3C2)C1 ZINC000594253298 360263632 /nfs/dbraw/zinc/26/36/32/360263632.db2.gz NUQXWBAPNGGKDG-QNWHQSFQSA-N 0 1 278.356 0.139 20 30 CCEDMN CC(C)[C@@H](O)C1(CNC(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000611433102 363718593 /nfs/dbraw/zinc/71/85/93/363718593.db2.gz HURVXBDJUKVQRD-LLVKDONJSA-N 0 1 291.355 0.632 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)Nc1ccc(C#N)c(N)n1 ZINC000594550136 360328888 /nfs/dbraw/zinc/32/88/88/360328888.db2.gz IWEVPYPPYUNWIB-LLVKDONJSA-N 0 1 274.372 0.005 20 30 CCEDMN N#CCC1(CNS(=O)(=O)c2ncccc2[N+](=O)[O-])CC1 ZINC000594780592 360381881 /nfs/dbraw/zinc/38/18/81/360381881.db2.gz FJRWLVJUGCPABZ-UHFFFAOYSA-N 0 1 296.308 0.962 20 30 CCEDMN N#Cc1cccnc1N1CCN(C[C@@H]2CNC(=O)C2)CC1 ZINC000595287144 360481408 /nfs/dbraw/zinc/48/14/08/360481408.db2.gz BPEOTGIERUKUBD-LBPRGKRZSA-N 0 1 285.351 0.211 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)c2ccc(C#N)nc2)CCN1 ZINC000595412877 360525036 /nfs/dbraw/zinc/52/50/36/360525036.db2.gz NSJBCICVHFIGQO-CYBMUJFWSA-N 0 1 294.380 0.572 20 30 CCEDMN C[C@@H]1C[C@H](N2CCN(C(=O)CC#N)CC2)CCO1 ZINC000595512998 360557970 /nfs/dbraw/zinc/55/79/70/360557970.db2.gz QPIATDNLYKTQOF-VXGBXAGGSA-N 0 1 251.330 0.612 20 30 CCEDMN C[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@H](C)[C@H]1O ZINC000595750098 360639083 /nfs/dbraw/zinc/63/90/83/360639083.db2.gz NVDINMYXKJQZKK-NHAGDIPZSA-N 0 1 292.383 0.591 20 30 CCEDMN C[C@H]1CN(c2cc(C#N)cnn2)[C@@H](C)CN1CCO ZINC000596274212 360862620 /nfs/dbraw/zinc/86/26/20/360862620.db2.gz CDSBCZLBRXGTLT-QWRGUYRKSA-N 0 1 261.329 0.240 20 30 CCEDMN Cc1cc(=NC(=O)N(C)CC2CN(C)C2)[nH]n1CCC#N ZINC000596816111 360961149 /nfs/dbraw/zinc/96/11/49/360961149.db2.gz FMTILEPBGHTTKS-UHFFFAOYSA-N 0 1 290.371 0.552 20 30 CCEDMN N#CCN1CCN(Cc2ccnn2-c2ccncc2)CC1 ZINC000596997015 360992358 /nfs/dbraw/zinc/99/23/58/360992358.db2.gz ZYGPWIPFLFMLOK-UHFFFAOYSA-N 0 1 282.351 0.908 20 30 CCEDMN CCC[C@@H](NC(=O)CC1(C#N)CCOCC1)c1nn[nH]n1 ZINC000597361573 361070451 /nfs/dbraw/zinc/07/04/51/361070451.db2.gz UOKHJQWZPJQAJH-SNVBAGLBSA-N 0 1 292.343 0.868 20 30 CCEDMN CN1CC2(C1)CCN(C(=O)c1cccc(C#N)n1)C2 ZINC000597568889 361141179 /nfs/dbraw/zinc/14/11/79/361141179.db2.gz REGLGMMFCNUWTB-UHFFFAOYSA-N 0 1 256.309 0.731 20 30 CCEDMN C[NH+](C)CC1CN(C(=O)c2ccc(C#N)c([O-])c2)C1 ZINC000597709561 361205005 /nfs/dbraw/zinc/20/50/05/361205005.db2.gz YAMCTWWXWHXRBK-UHFFFAOYSA-N 0 1 259.309 0.897 20 30 CCEDMN C=CCC[C@@H](NC(=O)Cc1n[nH]c(C)n1)C(=O)OCC ZINC000597732943 361216567 /nfs/dbraw/zinc/21/65/67/361216567.db2.gz MVKSRGNEFKDGES-SNVBAGLBSA-N 0 1 280.328 0.670 20 30 CCEDMN N#Cc1ccc(NCCCc2nc(=O)[nH][nH]2)c([N+](=O)[O-])c1 ZINC000301438747 201008572 /nfs/dbraw/zinc/00/85/72/201008572.db2.gz NSIMPSYPTPFUST-UHFFFAOYSA-N 0 1 288.267 0.923 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](C)[C@H](CO)C1 ZINC000598647144 361472433 /nfs/dbraw/zinc/47/24/33/361472433.db2.gz CAJVKTNAZXXFKB-OLZOCXBDSA-N 0 1 267.373 0.699 20 30 CCEDMN Cn1cc(N2CCN(C[C@@H](O)CC(C)(C)C#N)CC2)cn1 ZINC000598591645 361451877 /nfs/dbraw/zinc/45/18/77/361451877.db2.gz BZZQTBDCGZQLLN-AWEZNQCLSA-N 0 1 291.399 0.843 20 30 CCEDMN CCCN(Cc1ccc(C#N)cc1)c1nc(N)nc(N)[nH+]1 ZINC000599060075 361563240 /nfs/dbraw/zinc/56/32/40/361563240.db2.gz MMQFBUWCNGEOOQ-UHFFFAOYSA-N 0 1 283.339 0.985 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CC[C@](O)(CC#N)C2)C1 ZINC000598941256 361534963 /nfs/dbraw/zinc/53/49/63/361534963.db2.gz LXLBBDXHTCXGFY-IFUGULHKSA-N 0 1 288.351 0.953 20 30 CCEDMN Cc1c(Cl)cnc(NCCN2CC[C@H](O)C2)c1C#N ZINC000599199235 361608911 /nfs/dbraw/zinc/60/89/11/361608911.db2.gz HSYBEORRQDUVJJ-JTQLQIEISA-N 0 1 280.759 0.816 20 30 CCEDMN CC[C@H](C#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599238749 361618624 /nfs/dbraw/zinc/61/86/24/361618624.db2.gz QNXNWMZKZKVDHH-SECBINFHSA-N 0 1 282.325 0.485 20 30 CCEDMN CCNC(=O)CN(CC)C[C@H](O)CC1(C#N)CCOCC1 ZINC000599257847 361622067 /nfs/dbraw/zinc/62/20/67/361622067.db2.gz MJZSLIZQFKQIKX-CYBMUJFWSA-N 0 1 297.399 0.516 20 30 CCEDMN C=C(C)CCNS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599317339 361650014 /nfs/dbraw/zinc/65/00/14/361650014.db2.gz VBTKLOSDLIULDA-UHFFFAOYSA-N 0 1 274.298 0.706 20 30 CCEDMN N#Cc1ccc(F)c(CN2C[C@@H](CO)[C@H](CO)C2)c1 ZINC000599531492 361729103 /nfs/dbraw/zinc/72/91/03/361729103.db2.gz ZELUEVMJXJFLBW-STQMWFEESA-N 0 1 264.300 0.730 20 30 CCEDMN N#CCCCNC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000612258084 363870315 /nfs/dbraw/zinc/87/03/15/363870315.db2.gz AJFFOUXXQXYAKP-UHFFFAOYSA-N 0 1 298.306 0.626 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000613252451 364181064 /nfs/dbraw/zinc/18/10/64/364181064.db2.gz GKJJZVBMKYEEJU-MNOVXSKESA-N 0 1 263.341 0.815 20 30 CCEDMN COCc1cncc(C(=O)NC2(C#N)CCN(C)CC2)c1 ZINC000613189363 364148914 /nfs/dbraw/zinc/14/89/14/364148914.db2.gz LIIAWVCCMZDMAE-UHFFFAOYSA-N 0 1 288.351 0.946 20 30 CCEDMN C=CCCCCCN(C)S(=O)(=O)C[C@H]1CNCCO1 ZINC000631921567 370760523 /nfs/dbraw/zinc/76/05/23/370760523.db2.gz HDXFRNLMXSWJLG-CYBMUJFWSA-N 0 1 290.429 0.983 20 30 CCEDMN Cc1cc(=NC(=O)N(C)Cc2nnc[nH]2)[nH]n1CCC#N ZINC000614197000 364528502 /nfs/dbraw/zinc/52/85/02/364528502.db2.gz QRRFTKWRXXYNSV-UHFFFAOYSA-N 0 1 288.315 0.309 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000614793793 364732180 /nfs/dbraw/zinc/73/21/80/364732180.db2.gz BVMSEABWJLVAKG-GZMMTYOYSA-N 0 1 279.344 0.761 20 30 CCEDMN C[C@@H](CC#N)NC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000615166129 364866223 /nfs/dbraw/zinc/86/62/23/364866223.db2.gz ACFSPVGPFZZTSO-JTQLQIEISA-N 0 1 298.306 0.624 20 30 CCEDMN CN1CCC[C@@H](NC(=O)N2CC[C@@](F)(C#N)C2)C1 ZINC000615543076 364999408 /nfs/dbraw/zinc/99/94/08/364999408.db2.gz OYGZRMTZKZLEMN-ZYHUDNBSSA-N 0 1 254.309 0.728 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)N1CC[C@@](F)(C#N)C1 ZINC000615546090 365000682 /nfs/dbraw/zinc/00/06/82/365000682.db2.gz FQAPJKREFGUALT-GXTWGEPZSA-N 0 1 297.378 0.269 20 30 CCEDMN CN1CCN(C[C@H](O)COc2ccc(C#N)cc2F)CC1 ZINC000565063719 291341802 /nfs/dbraw/zinc/34/18/02/291341802.db2.gz YWAWPPQXVPIGBO-ZDUSSCGKSA-N 0 1 293.342 0.684 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N(C)C[C@H](O)C1CC1 ZINC000615788948 365088948 /nfs/dbraw/zinc/08/89/48/365088948.db2.gz WCQVOQBVEKLSFD-PWSUYJOCSA-N 0 1 265.357 0.640 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)c(N)n2)[C@H](C)CN1CCO ZINC000565227505 291363566 /nfs/dbraw/zinc/36/35/66/291363566.db2.gz YOZPAJXQUHRIRH-GHMZBOCLSA-N 0 1 275.356 0.427 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC[C@@H](O)CC3)cnc12 ZINC000566241403 291382237 /nfs/dbraw/zinc/38/22/37/291382237.db2.gz AFJPPERTCMVPRA-CYBMUJFWSA-N 0 1 271.324 0.948 20 30 CCEDMN C#CCCN1CCN(C[C@H](O)CC(F)(F)F)CC1 ZINC000787270776 581463315 /nfs/dbraw/zinc/46/33/15/581463315.db2.gz HPPJANANXAVPLA-LLVKDONJSA-N 0 1 264.291 0.941 20 30 CCEDMN N#Cc1ccc(CNC[C@H]2CC(C(N)=O)=NO2)cc1 ZINC000276507153 193361054 /nfs/dbraw/zinc/36/10/54/193361054.db2.gz SPFUODPGXDTWQS-LLVKDONJSA-N 0 1 258.281 0.278 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)Cc1nnc[nH]1 ZINC000632840455 371296179 /nfs/dbraw/zinc/29/61/79/371296179.db2.gz CRICMCSHVKTYJC-CABZTGNLSA-N 0 1 250.302 0.445 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1C[C@@H](OC)C[C@@]1(C)C(=O)[O-] ZINC000634694971 372139022 /nfs/dbraw/zinc/13/90/22/372139022.db2.gz NNYPAORGOHVYQY-GVXVVHGQSA-N 0 1 257.330 0.878 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)CCCc1nn[nH]n1 ZINC000635996944 373225880 /nfs/dbraw/zinc/22/58/80/373225880.db2.gz LZROTNHWKOHOFB-ZJUUUORDSA-N 0 1 265.317 0.372 20 30 CCEDMN COCC(COC)NCc1cnc2c(C#N)cnn2c1 ZINC000568631936 291609949 /nfs/dbraw/zinc/60/99/49/291609949.db2.gz BZZFJOVOSIRQRN-UHFFFAOYSA-N 0 1 275.312 0.352 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](O)C[C@@]1(C)CO ZINC000639857818 376087773 /nfs/dbraw/zinc/08/77/73/376087773.db2.gz VPTWEQDPMNPEHH-JSGCOSHPSA-N 0 1 268.357 0.005 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@@H]2C[C@]2(O)C1 ZINC000640476608 376388702 /nfs/dbraw/zinc/38/87/02/376388702.db2.gz JYWKVVWCAPKTJA-OBJOEFQTSA-N 0 1 250.342 0.642 20 30 CCEDMN C[C@@H]1CN(c2ccnc(C#N)n2)[C@H](C)CN1CCO ZINC000516663298 249175790 /nfs/dbraw/zinc/17/57/90/249175790.db2.gz KPYRUUXRJYBZJW-GHMZBOCLSA-N 0 1 261.329 0.240 20 30 CCEDMN N#Cc1cc(C#N)c(SCCc2c[nH]nn2)nc1N ZINC000517274130 249213423 /nfs/dbraw/zinc/21/34/23/249213423.db2.gz VMLAUAZIQAHUSO-UHFFFAOYSA-N 0 1 271.309 0.690 20 30 CCEDMN C[C@H](O)CC[N@@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC000266553872 190266672 /nfs/dbraw/zinc/26/66/72/190266672.db2.gz UYVFDJAVRXERTG-LBPRGKRZSA-N 0 1 275.352 0.877 20 30 CCEDMN C[C@H](O)CCN(C)CC(=O)NCc1ccc(C#N)cc1 ZINC000266553872 190266673 /nfs/dbraw/zinc/26/66/73/190266673.db2.gz UYVFDJAVRXERTG-LBPRGKRZSA-N 0 1 275.352 0.877 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@H](COC)[C@@H]1CCCO1 ZINC000278997009 194245380 /nfs/dbraw/zinc/24/53/80/194245380.db2.gz CENXQENKFRCJNU-KGLIPLIRSA-N 0 1 282.384 0.971 20 30 CCEDMN C=CCCn1cc(CNCCN2CCOCC2)nn1 ZINC000657286341 412954349 /nfs/dbraw/zinc/95/43/49/412954349.db2.gz LCHZRUYROSNBAA-UHFFFAOYSA-N 0 1 265.361 0.276 20 30 CCEDMN C=CCCn1cc(CNC[C@@](C)(O)COC)nn1 ZINC000657372443 412977183 /nfs/dbraw/zinc/97/71/83/412977183.db2.gz SRIGZTHXKFBIKV-GFCCVEGCSA-N 0 1 254.334 0.341 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1ccccc1F ZINC000491820185 262098183 /nfs/dbraw/zinc/09/81/83/262098183.db2.gz QHTAMDYGSJILLR-GHMZBOCLSA-N 0 1 253.273 0.149 20 30 CCEDMN COC(=O)c1ccc(N(C)Cc2n[nH]c(=O)o2)c(C#N)n1 ZINC000572303307 291871165 /nfs/dbraw/zinc/87/11/65/291871165.db2.gz CWZPFDINJIAOPI-UHFFFAOYSA-N 0 1 289.251 0.465 20 30 CCEDMN COc1cnc(NC(=O)NC[C@H]2C[N@@H+](C)CCN2C)s1 ZINC000329234077 227370708 /nfs/dbraw/zinc/37/07/08/227370708.db2.gz HLIIKMGQXKNPNK-VIFPVBQESA-N 0 1 299.400 0.723 20 30 CCEDMN COc1cnc(NC(=O)NC[C@H]2CN(C)CCN2C)s1 ZINC000329234077 227370711 /nfs/dbraw/zinc/37/07/11/227370711.db2.gz HLIIKMGQXKNPNK-VIFPVBQESA-N 0 1 299.400 0.723 20 30 CCEDMN C#CCC(CC#C)C(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000287766914 388855525 /nfs/dbraw/zinc/85/55/25/388855525.db2.gz BHBOMXUFHXLALF-CQSZACIVSA-N 0 1 290.407 0.953 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC000289044110 388867595 /nfs/dbraw/zinc/86/75/95/388867595.db2.gz XAAFXYBSBNSSFE-CHWSQXEVSA-N 0 1 291.395 0.928 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCCN2CCCOCC2)c1 ZINC000187656988 388889856 /nfs/dbraw/zinc/88/98/56/388889856.db2.gz GMTIEOGBMDTPMN-UHFFFAOYSA-N 0 1 262.313 0.338 20 30 CCEDMN C[C@@H]1CN(CCOc2ccccc2C#N)CCN1CCO ZINC000187738794 388894296 /nfs/dbraw/zinc/89/42/96/388894296.db2.gz PIXVNBJTCFCVBG-CQSZACIVSA-N 0 1 289.379 0.935 20 30 CCEDMN CCCN(CCO)CC(=O)N(CCC#N)CCC#N ZINC000049825340 388923881 /nfs/dbraw/zinc/92/38/81/388923881.db2.gz GWCTVSFCFJMIGH-UHFFFAOYSA-N 0 1 266.345 0.347 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](C(=O)NCCC)C1 ZINC000050996284 388926016 /nfs/dbraw/zinc/92/60/16/388926016.db2.gz LQUBCJODPDJTTD-QWHCGFSZSA-N 0 1 279.384 0.363 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)CCCOC ZINC000066378475 388944324 /nfs/dbraw/zinc/94/43/24/388944324.db2.gz VDQILEIBNOFJED-GFCCVEGCSA-N 0 1 255.362 0.963 20 30 CCEDMN C#CCOc1ccc(CNCCS(=O)(=O)NC)cc1 ZINC000190316368 388988814 /nfs/dbraw/zinc/98/88/14/388988814.db2.gz QWYLIYKPBZKVKA-UHFFFAOYSA-N 0 1 282.365 0.337 20 30 CCEDMN CCc1n[nH]c(C2CCN(CC(=O)NCC#N)CC2)n1 ZINC000190654738 388996667 /nfs/dbraw/zinc/99/66/67/388996667.db2.gz FJYFYHIGBJOLJP-UHFFFAOYSA-N 0 1 276.344 0.186 20 30 CCEDMN CCc1nnc(C2CCN(CC(=O)NCC#N)CC2)[nH]1 ZINC000190654738 388996673 /nfs/dbraw/zinc/99/66/73/388996673.db2.gz FJYFYHIGBJOLJP-UHFFFAOYSA-N 0 1 276.344 0.186 20 30 CCEDMN CC(C)N(CCN(C)C)S(=O)(=O)N(C)C[C@H](C)C#N ZINC000336880124 389027888 /nfs/dbraw/zinc/02/78/88/389027888.db2.gz BQSMBBDCTMEDDY-GFCCVEGCSA-N 0 1 290.433 0.595 20 30 CCEDMN C#CCN(C)C(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000191169752 389008109 /nfs/dbraw/zinc/00/81/09/389008109.db2.gz AXBWAQYBAWXLHU-UHFFFAOYSA-N 0 1 284.319 0.774 20 30 CCEDMN C=CCN1CC[C@H](N2CCS[C@H]3COCC[C@H]32)C1=O ZINC000337165409 389048871 /nfs/dbraw/zinc/04/88/71/389048871.db2.gz YPTJRCFFHXIYRP-AGIUHOORSA-N 0 1 282.409 0.980 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2ccc(C#N)nn2)CC1 ZINC000302010635 389062394 /nfs/dbraw/zinc/06/23/94/389062394.db2.gz WDINZBJDTIFXKR-LBPRGKRZSA-N 0 1 261.329 0.241 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCCCC1)N(C)CCO ZINC000302963608 389080222 /nfs/dbraw/zinc/08/02/22/389080222.db2.gz SXOIOMJEGWQYOT-NSHDSACASA-N 0 1 253.346 0.642 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(CC(=O)N2CCCC2)CC1 ZINC000305047373 389084064 /nfs/dbraw/zinc/08/40/64/389084064.db2.gz BJCNDYDQKINQPU-OAHLLOKOSA-N 0 1 295.427 0.554 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H]([C@H](C)O)C1 ZINC000305868634 389089955 /nfs/dbraw/zinc/08/99/55/389089955.db2.gz NQOBUOVSTOGZBD-NWDGAFQWSA-N 0 1 253.346 0.451 20 30 CCEDMN CCN1CCCN(C(=O)C2(C#N)CCOCC2)CC1 ZINC000110815790 389102690 /nfs/dbraw/zinc/10/26/90/389102690.db2.gz PGICPLFEHWCHSX-UHFFFAOYSA-N 0 1 265.357 0.861 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@]2(CCCOC2)C1 ZINC000355785770 389116746 /nfs/dbraw/zinc/11/67/46/389116746.db2.gz LGJRMZGONDMGSJ-HNNXBMFYSA-N 0 1 295.383 0.891 20 30 CCEDMN N#CCCOCCN1CCC[C@@H]1C(=O)NC1CC1 ZINC000338997028 389120406 /nfs/dbraw/zinc/12/04/06/389120406.db2.gz ZQVFTLSXIAULED-GFCCVEGCSA-N 0 1 251.330 0.660 20 30 CCEDMN N#CCC(=O)NCc1n[nH]c(COc2ccccc2)n1 ZINC000358406645 389149400 /nfs/dbraw/zinc/14/94/00/389149400.db2.gz FFLFDXULDGEDBL-UHFFFAOYSA-N 0 1 271.280 0.914 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(CC)[C@H](C)CO ZINC000339627761 389136840 /nfs/dbraw/zinc/13/68/40/389136840.db2.gz XOVHCMUVNDELFP-VXGBXAGGSA-N 0 1 255.362 0.697 20 30 CCEDMN CCN(CC(=O)N(CCC#N)CCC#N)[C@@H](C)CO ZINC000339624986 389136879 /nfs/dbraw/zinc/13/68/79/389136879.db2.gz OHBFVRWHELAPIS-LBPRGKRZSA-N 0 1 266.345 0.345 20 30 CCEDMN O[C@@H]1CCCN(CC#CC[N@@H+]2CCC[C@@H](O)CC2)CC1 ZINC000339782859 389139893 /nfs/dbraw/zinc/13/98/93/389139893.db2.gz ZRYWDIGFXOTBDE-HZPDHXFCSA-N 0 1 280.412 0.683 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCCC2(O)CCC2)C1 ZINC000328846875 389141129 /nfs/dbraw/zinc/14/11/29/389141129.db2.gz ANOIESHLLUYLLM-GFCCVEGCSA-N 0 1 284.404 0.041 20 30 CCEDMN Cn1cc([NH+]=C([O-])N[C@@H]2CCc3[nH]cnc3C2)ccc1=O ZINC000329722543 389192090 /nfs/dbraw/zinc/19/20/90/389192090.db2.gz BANCLHRLAPQAAT-SECBINFHSA-N 0 1 287.323 0.992 20 30 CCEDMN CC1CCN(CC(=O)NCCN2CCNC(=O)C2)CC1 ZINC000329717341 389192156 /nfs/dbraw/zinc/19/21/56/389192156.db2.gz XNYBWKYLBPEZQM-UHFFFAOYSA-N 0 1 282.388 0.947 20 30 CCEDMN Cc1cc(C#N)nc(N2C[C@H]3OCCN(C)[C@H]3C2)n1 ZINC000329356948 389159062 /nfs/dbraw/zinc/15/90/62/389159062.db2.gz FXGKWCVVRSPLHJ-NWDGAFQWSA-N 0 1 259.313 0.176 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1cccnc1C#N)N(C)C1CC1 ZINC000235127475 389165423 /nfs/dbraw/zinc/16/54/23/389165423.db2.gz UFDCPSQOKWKGKU-JTQLQIEISA-N 0 1 294.380 0.714 20 30 CCEDMN CN(C(=O)N[C@@H]1CCCc2cn[nH]c21)[C@H]1CCN(C)C1=O ZINC000329468478 389165887 /nfs/dbraw/zinc/16/58/87/389165887.db2.gz XJJXRTLETQVBLQ-MNOVXSKESA-N 0 1 291.355 0.864 20 30 CCEDMN C=CCC[C@H](O)CN1CCO[C@@H](c2n[nH]c(C)n2)C1 ZINC000247623763 389241353 /nfs/dbraw/zinc/24/13/53/389241353.db2.gz UCRFRPMOESXSGH-NWDGAFQWSA-N 0 1 266.345 0.814 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@@H]1CC(N)=O ZINC000330575668 389242422 /nfs/dbraw/zinc/24/24/22/389242422.db2.gz HRBRLAWVRFNXTG-SNVBAGLBSA-N 0 1 282.344 0.982 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N(C)[C@@H](C)CC#N ZINC000330587044 389244427 /nfs/dbraw/zinc/24/44/27/389244427.db2.gz VLZJIXRNGLXRAR-NEPJUHHUSA-N 0 1 253.346 0.715 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NCC(C)(C)C(N)=O ZINC000330639169 389248439 /nfs/dbraw/zinc/24/84/39/389248439.db2.gz DLIXYWBRPSHXNQ-LLVKDONJSA-N 0 1 299.419 0.687 20 30 CCEDMN CCc1nc([C@@H](C)NS(=O)(=O)CCCC#N)n[nH]1 ZINC000342767979 389252789 /nfs/dbraw/zinc/25/27/89/389252789.db2.gz FILRJJKLVNLPMD-MRVPVSSYSA-N 0 1 271.346 0.651 20 30 CCEDMN CN(C)c1ncccc1C(=O)NC[C@H]1CN(C)CCN1C ZINC000330713173 389253248 /nfs/dbraw/zinc/25/32/48/389253248.db2.gz ZFBKZUXIXRDOOG-LBPRGKRZSA-N 0 1 291.399 0.698 20 30 CCEDMN C=CCN(CCOC)C(=O)Cc1nnc(NC)s1 ZINC000361092885 389207855 /nfs/dbraw/zinc/20/78/55/389207855.db2.gz QPWIDQPXJBDDAK-UHFFFAOYSA-N 0 1 270.358 0.205 20 30 CCEDMN C[C@@H]1OCC[C@]12CN(C(=O)NCCn1cncn1)CCO2 ZINC000329923723 389211547 /nfs/dbraw/zinc/21/15/47/389211547.db2.gz UNLSMQQCVWZCNP-AAEUAGOBSA-N 0 1 295.343 0.072 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N[C@H]1CCCc2cn[nH]c21 ZINC000329938399 389212818 /nfs/dbraw/zinc/21/28/18/389212818.db2.gz AHOTZIXIVZCWBG-MIZYBKAJSA-N 0 1 262.313 0.936 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC([O-])=[NH+][C@H]1[C@@H]3COC[C@@H]31)CC2 ZINC000329961319 389214197 /nfs/dbraw/zinc/21/41/97/389214197.db2.gz ONYSSBAKLUWBSP-UXCLJVHYSA-N 0 1 277.328 0.050 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)N[C@H]1[C@@H]3COC[C@@H]31)CC2 ZINC000329961319 389214199 /nfs/dbraw/zinc/21/41/99/389214199.db2.gz ONYSSBAKLUWBSP-UXCLJVHYSA-N 0 1 277.328 0.050 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)N[C@@H](C)c1nnc[nH]1 ZINC000330379726 389223179 /nfs/dbraw/zinc/22/31/79/389223179.db2.gz TWICLDIGAKOLCR-IUCAKERBSA-N 0 1 286.361 0.182 20 30 CCEDMN CCCC[N@@H+](C)CCNC(=O)N1CC(=O)N(C)[C@H](C)C1 ZINC000330385989 389223807 /nfs/dbraw/zinc/22/38/07/389223807.db2.gz LXERKBNPPCKBCP-GFCCVEGCSA-N 0 1 284.404 0.795 20 30 CCEDMN COC[C@](C)(CCO)NCC(=O)Nc1ccc(C#N)cc1 ZINC000132514492 389224350 /nfs/dbraw/zinc/22/43/50/389224350.db2.gz NSRKLLGDBPVHBQ-HNNXBMFYSA-N 0 1 291.351 0.874 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCOC[C@H](C)C1 ZINC000361942753 389226575 /nfs/dbraw/zinc/22/65/75/389226575.db2.gz IMAJKTDWLQODDQ-NEPJUHHUSA-N 0 1 253.346 0.715 20 30 CCEDMN [O-]C(=[NH+]CCn1ccnc1)N1CCC(CO)CC1 ZINC000330453924 389230910 /nfs/dbraw/zinc/23/09/10/389230910.db2.gz LTFHQKLFXUSWPQ-UHFFFAOYSA-N 0 1 252.318 0.501 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000362416402 389236567 /nfs/dbraw/zinc/23/65/67/389236567.db2.gz QPLWQQWAOQFVCG-DOMZBBRYSA-N 0 1 292.383 0.349 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@@H](N2CCOCC2)C1 ZINC000247177307 389236678 /nfs/dbraw/zinc/23/66/78/389236678.db2.gz RUIMGPVXOSAXOZ-ZIAGYGMSSA-N 0 1 294.399 0.153 20 30 CCEDMN C=CCNC(=O)CN1CCS[C@@H]2COCC[C@H]21 ZINC000247319813 389238662 /nfs/dbraw/zinc/23/86/62/389238662.db2.gz WCAFKAFAOLZHAL-GHMZBOCLSA-N 0 1 256.371 0.495 20 30 CCEDMN CNC(=O)CCCN(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000154851463 389315958 /nfs/dbraw/zinc/31/59/58/389315958.db2.gz GAFZRZDPLLHMOL-CQSZACIVSA-N 0 1 280.372 0.253 20 30 CCEDMN C[C@H](C#N)C(=O)NCc1ccc(N2CCN(C)CC2)nc1 ZINC000345811624 389316049 /nfs/dbraw/zinc/31/60/49/389316049.db2.gz HXSSUIFHMZEPLH-GFCCVEGCSA-N 0 1 287.367 0.609 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)CCCC(=O)NC ZINC000155251572 389318632 /nfs/dbraw/zinc/31/86/32/389318632.db2.gz JOGCQEBKUXELKK-LBPRGKRZSA-N 0 1 282.388 0.453 20 30 CCEDMN N#Cc1ccnc(N2CCN(C(=O)c3cnc[nH]3)CC2)c1 ZINC000263651093 389301530 /nfs/dbraw/zinc/30/15/30/389301530.db2.gz FXPYHBDSQUVWNF-UHFFFAOYSA-N 0 1 282.307 0.639 20 30 CCEDMN COCCN1CC[C@H](Nc2nccc(C#N)c2[N+](=O)[O-])C1 ZINC000268490323 389379902 /nfs/dbraw/zinc/37/99/02/389379902.db2.gz NLWXLWAABZLTQW-NSHDSACASA-N 0 1 291.311 0.994 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000268485599 389380660 /nfs/dbraw/zinc/38/06/60/389380660.db2.gz OXNUVAJFIVXWSU-NSHDSACASA-N 0 1 263.345 0.595 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000268485599 389380661 /nfs/dbraw/zinc/38/06/61/389380661.db2.gz OXNUVAJFIVXWSU-NSHDSACASA-N 0 1 263.345 0.595 20 30 CCEDMN N#Cc1ccc(CN2CCn3c(=O)[nH]nc3C2)cc1 ZINC000332573066 389354836 /nfs/dbraw/zinc/35/48/36/389354836.db2.gz DYEOYABEIVCGBO-UHFFFAOYSA-N 0 1 255.281 0.871 20 30 CCEDMN C#CCCNC(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000265106102 389357589 /nfs/dbraw/zinc/35/75/89/389357589.db2.gz YGACSQNMVYCAAF-UHFFFAOYSA-N 0 1 288.351 0.945 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@@H]1CCCN(C)[C@@H]1C ZINC000347765948 389357832 /nfs/dbraw/zinc/35/78/32/389357832.db2.gz DELUQOJIQIXQIA-VXGBXAGGSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1[C@@H](C)CCC[C@H]1CO ZINC000159101076 389358790 /nfs/dbraw/zinc/35/87/90/389358790.db2.gz YFQCASJLMRLMLH-QWRGUYRKSA-N 0 1 269.345 0.234 20 30 CCEDMN C=CCn1c(S[C@H](C)C(=O)NC(=O)NCC)n[nH]c1=O ZINC000348143256 389365979 /nfs/dbraw/zinc/36/59/79/389365979.db2.gz LOBZHTVZCOZLMR-SSDOTTSWSA-N 0 1 299.356 0.496 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@H]2CC[N@H+](C)C[C@@H]21 ZINC000334234295 389462365 /nfs/dbraw/zinc/46/23/65/389462365.db2.gz PSFCFWOFSLDTGF-NEPJUHHUSA-N 0 1 258.387 0.918 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@H]2CCN(C)C[C@@H]21 ZINC000334234295 389462369 /nfs/dbraw/zinc/46/23/69/389462369.db2.gz PSFCFWOFSLDTGF-NEPJUHHUSA-N 0 1 258.387 0.918 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@](C)(C(=O)OC)C1 ZINC000412103820 389475652 /nfs/dbraw/zinc/47/56/52/389475652.db2.gz LVWGNJBRLHHJRA-ZDUSSCGKSA-N 0 1 254.330 0.564 20 30 CCEDMN C#CCCN1CCN(Cc2nc(CC)cc(=O)[nH]2)CC1 ZINC000162481279 389419884 /nfs/dbraw/zinc/41/98/84/389419884.db2.gz CBMYRJQHHDGKBJ-UHFFFAOYSA-N 0 1 274.368 0.886 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@H]1C[C@H](C)O ZINC000333971757 389451313 /nfs/dbraw/zinc/45/13/13/389451313.db2.gz OWAKQGCOTRKBHQ-RYUDHWBXSA-N 0 1 283.372 0.624 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC000271992395 389454808 /nfs/dbraw/zinc/45/48/08/389454808.db2.gz MEJVGONPNDZGPS-LLVKDONJSA-N 0 1 262.313 0.337 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2ccnc(C#N)c2)C1 ZINC000413494164 389521375 /nfs/dbraw/zinc/52/13/75/389521375.db2.gz GVHOLQTXLVJQCZ-NSHDSACASA-N 0 1 274.324 0.494 20 30 CCEDMN CN(CC(=O)Nc1cccc(C#N)c1)Cc1nnc[nH]1 ZINC000174818517 389530979 /nfs/dbraw/zinc/53/09/79/389530979.db2.gz DXOPAXUOAIBHDZ-UHFFFAOYSA-N 0 1 270.296 0.747 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCC(=O)N(C)CC)C1=O ZINC000281352424 389614495 /nfs/dbraw/zinc/61/44/95/389614495.db2.gz NFFKLZKQNGRCTJ-GFCCVEGCSA-N 0 1 267.373 0.574 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCCO[C@@H](CC)C1 ZINC000281332051 389614620 /nfs/dbraw/zinc/61/46/20/389614620.db2.gz JKNIJRNFMYJINB-NEPJUHHUSA-N 0 1 283.372 0.888 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@H](CNC(C)=O)C2)C1=O ZINC000281886442 389631874 /nfs/dbraw/zinc/63/18/74/389631874.db2.gz DMUPVGHDQURHKV-KGLIPLIRSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@H](CNC(C)=O)C2)C1=O ZINC000281886448 389631990 /nfs/dbraw/zinc/63/19/90/389631990.db2.gz DMUPVGHDQURHKV-ZIAGYGMSSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(C(C)(C)COC)CC1 ZINC000424989329 389637569 /nfs/dbraw/zinc/63/75/69/389637569.db2.gz IJNZMVIYUQAJNJ-OAHLLOKOSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(=O)N(C3CC3)C2)CC1 ZINC000279581815 389591535 /nfs/dbraw/zinc/59/15/35/389591535.db2.gz NBESIYKLGHUJJQ-UHFFFAOYSA-N 0 1 275.352 0.122 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000375815481 389716583 /nfs/dbraw/zinc/71/65/83/389716583.db2.gz PJGALTGGNWNUFE-BFHYXJOUSA-N 0 1 281.400 0.208 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CNC(=O)N2C)CC1 ZINC000425547236 389652287 /nfs/dbraw/zinc/65/22/87/389652287.db2.gz UUQBWEFOMCHDGP-NSHDSACASA-N 0 1 280.372 0.167 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](c2nnc[nH]2)C1 ZINC000425554409 389652722 /nfs/dbraw/zinc/65/27/22/389652722.db2.gz WACTXDUKOMKGJO-RISCZKNCSA-N 0 1 290.371 0.648 20 30 CCEDMN CC[C@]1(O)CCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000425933186 389661575 /nfs/dbraw/zinc/66/15/75/389661575.db2.gz YAMJSFJCKRRPLS-KGLIPLIRSA-N 0 1 265.357 0.642 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C[C@@H]1CCCC[C@@H]1O ZINC000181441502 389686713 /nfs/dbraw/zinc/68/67/13/389686713.db2.gz DMYPALXZDMESLW-RYUDHWBXSA-N 0 1 283.372 0.481 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@H]1CCCCN1CC ZINC000181739878 389693021 /nfs/dbraw/zinc/69/30/21/389693021.db2.gz OHMVZOJGDSZTKZ-LLVKDONJSA-N 0 1 253.346 0.279 20 30 CCEDMN CCN(CC(=O)N[C@](C)(C#N)C(C)C)C[C@@H](C)O ZINC000352213088 389772931 /nfs/dbraw/zinc/77/29/31/389772931.db2.gz SVSIRVGOHPZFOY-DGCLKSJQSA-N 0 1 255.362 0.744 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1C[C@H](C)C[C@@H](CO)C1 ZINC000398873124 389786605 /nfs/dbraw/zinc/78/66/05/389786605.db2.gz ZBNSBKMCNCQHSU-UMVBOHGHSA-N 0 1 281.400 0.991 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NC[C@H]1CCCCN1C1CC1 ZINC000416466993 389746284 /nfs/dbraw/zinc/74/62/84/389746284.db2.gz ADOXNJZKLRGFCW-CMPLNLGQSA-N 0 1 271.386 0.835 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)CCCC#N ZINC000352398327 389803738 /nfs/dbraw/zinc/80/37/38/389803738.db2.gz AQTBANYFBPWBLL-UHFFFAOYSA-N 0 1 256.287 0.247 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CCO[C@@H](C#N)C1 ZINC000408213656 389835540 /nfs/dbraw/zinc/83/55/40/389835540.db2.gz IDXAWDUSOAWYCT-JTQLQIEISA-N 0 1 260.297 0.512 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H](N2CCOCC2)C[C@H]1C ZINC000352896257 389840574 /nfs/dbraw/zinc/84/05/74/389840574.db2.gz ZTVXTDLRITXEPG-RDBSUJKOSA-N 0 1 281.400 0.472 20 30 CCEDMN CCOC1CC2(C1)CCN(CC(=O)NCC#N)C2 ZINC000353006841 389844213 /nfs/dbraw/zinc/84/42/13/389844213.db2.gz HWPDVJCJSLJWHY-UHFFFAOYSA-N 0 1 251.330 0.517 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)NC1CCN(C)CC1 ZINC000407957335 260056672 /nfs/dbraw/zinc/05/66/72/260056672.db2.gz YVPAPADYZHWGTH-LLVKDONJSA-N 0 1 288.417 0.397 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CN(C)CC(C)(C)C#N)C[C@H](C)O1 ZINC000433294193 389907607 /nfs/dbraw/zinc/90/76/07/389907607.db2.gz KGNVSUIGVIEBIJ-HZSPNIEDSA-N 0 1 283.416 0.938 20 30 CCEDMN N#Cc1cccc(NC(=O)CN[C@@H](CO)[C@H]2CCCO2)c1 ZINC000447906799 389969740 /nfs/dbraw/zinc/96/97/40/389969740.db2.gz PLVKLPYYPZZNTN-UONOGXRCSA-N 0 1 289.335 0.626 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@@H](COC)[C@@H]1CCCO1 ZINC000434795951 389946530 /nfs/dbraw/zinc/94/65/30/389946530.db2.gz RRHJIDRYSZSYLY-STQMWFEESA-N 0 1 283.372 0.532 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)NCCCC#N ZINC000436320321 389974637 /nfs/dbraw/zinc/97/46/37/389974637.db2.gz VJMKIANLYKCJHN-GFCCVEGCSA-N 0 1 282.388 0.777 20 30 CCEDMN C=CCNC(=O)CN(CCO)[C@H]1CCCSC1 ZINC000439008262 390013230 /nfs/dbraw/zinc/01/32/30/390013230.db2.gz VGWZBNCQSGVTPO-NSHDSACASA-N 0 1 258.387 0.479 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000491674184 390115728 /nfs/dbraw/zinc/11/57/28/390115728.db2.gz KBMHUYSXBFRHIR-NSHDSACASA-N 0 1 263.345 0.352 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1ccc(C(C)=O)cc1 ZINC000491804352 390116957 /nfs/dbraw/zinc/11/69/57/390116957.db2.gz LMARVVSNBZMYOP-UONOGXRCSA-N 0 1 277.320 0.213 20 30 CCEDMN Cc1cnc(CNC[C@@H](O)CN(C)CCC#N)s1 ZINC000578981025 390130448 /nfs/dbraw/zinc/13/04/48/390130448.db2.gz FARXIMBDLQEPNL-LLVKDONJSA-N 0 1 268.386 0.748 20 30 CCEDMN CC[C@H]1CN(Cc2cnc3c(C#N)cnn3c2)C[C@H]1O ZINC000579110473 390142177 /nfs/dbraw/zinc/14/21/77/390142177.db2.gz VBQMGDSGZIQCSJ-WCQYABFASA-N 0 1 271.324 0.804 20 30 CCEDMN C=CCC[C@H](O)CN1CCN2C(=O)OCC[C@H]2C1 ZINC000494035278 390142249 /nfs/dbraw/zinc/14/22/49/390142249.db2.gz XMKHELDRMXHXTN-RYUDHWBXSA-N 0 1 254.330 0.840 20 30 CCEDMN COCC#CCN[C@@H](C(N)=O)[C@H](C)c1ccccc1 ZINC000644706972 390288622 /nfs/dbraw/zinc/28/86/22/390288622.db2.gz CTOPBYXUNXJARS-TZMCWYRMSA-N 0 1 260.337 0.883 20 30 CCEDMN N#Cc1cncc(N2CCC[C@@H](c3n[nH]c(=O)o3)C2)n1 ZINC000583318693 390282125 /nfs/dbraw/zinc/28/21/25/390282125.db2.gz CPBXYPQZUIGIJK-MRVPVSSYSA-N 0 1 272.268 0.821 20 30 CCEDMN C=CCn1cc(CNC[C@H](O)CCOCC)nn1 ZINC000644675541 390283450 /nfs/dbraw/zinc/28/34/50/390283450.db2.gz UTKYJRWLTOYKKJ-GFCCVEGCSA-N 0 1 254.334 0.341 20 30 CCEDMN N#Cc1cccc(N2CCN(C(=O)Cc3ccn[nH]3)CC2)n1 ZINC000546197813 390348131 /nfs/dbraw/zinc/34/81/31/390348131.db2.gz RZKKIRVLWAJMIN-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCC(=O)C[C@H]2C)[nH]n1C ZINC000648434306 390372276 /nfs/dbraw/zinc/37/22/76/390372276.db2.gz FXDNXTGYZBLZRN-LDYMZIIASA-N 0 1 274.324 0.966 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000645376311 390417602 /nfs/dbraw/zinc/41/76/02/390417602.db2.gz HOPHVFSWQFIHEN-ZYHUDNBSSA-N 0 1 278.356 0.723 20 30 CCEDMN CCOC(=O)[C@H](Cc1ccncc1)NC(=O)C(C)C#N ZINC000616173873 390391259 /nfs/dbraw/zinc/39/12/59/390391259.db2.gz VBAUYIXXWXFZEF-JQWIXIFHSA-N 0 1 275.308 0.832 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000555830029 390466228 /nfs/dbraw/zinc/46/62/28/390466228.db2.gz KMTYEWXDFUCAFL-SMDDNHRTSA-N 0 1 290.367 0.149 20 30 CCEDMN COC(=O)c1cc(C(=O)NC2(C#N)CCN(C)CC2)c[nH]1 ZINC000555938611 390470070 /nfs/dbraw/zinc/47/00/70/390470070.db2.gz SMRLNJMXPBUWMO-UHFFFAOYSA-N 0 1 290.323 0.519 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2ccc(C#N)cn2)[C@H](C)C1 ZINC000565488842 390519466 /nfs/dbraw/zinc/51/94/66/390519466.db2.gz VKIOYNVTEMEXTM-OLZOCXBDSA-N 0 1 274.368 0.840 20 30 CCEDMN N#CCC1(CS(=O)(=O)N2CC[C@H](c3nc[nH]n3)C2)CC1 ZINC000566674603 390637592 /nfs/dbraw/zinc/63/75/92/390637592.db2.gz BXHBEXFBWQWFFR-JTQLQIEISA-N 0 1 295.368 0.618 20 30 CCEDMN CC[C@H](CC#N)NC[C@H](O)COC[C@@H]1CCCO1 ZINC000568181214 390712450 /nfs/dbraw/zinc/71/24/50/390712450.db2.gz NVWLUMDNWLSGKS-AGIUHOORSA-N 0 1 256.346 0.825 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@@H](OC(C)C)C1 ZINC000570393959 390718669 /nfs/dbraw/zinc/71/86/69/390718669.db2.gz XBBVHWYZVSHERG-VXGBXAGGSA-N 0 1 283.372 0.886 20 30 CCEDMN C[C@H](CCC#N)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000567239575 390682037 /nfs/dbraw/zinc/68/20/37/390682037.db2.gz VPNHJLBMQLGUJS-VXGBXAGGSA-N 0 1 273.402 0.940 20 30 CCEDMN C[C@@H](CCC#N)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000567239573 390682446 /nfs/dbraw/zinc/68/24/46/390682446.db2.gz VPNHJLBMQLGUJS-NWDGAFQWSA-N 0 1 273.402 0.940 20 30 CCEDMN CSc1nc(=O)n(CC(=O)N[C@@](C)(C#N)C2CC2)[nH]1 ZINC000527309396 390702240 /nfs/dbraw/zinc/70/22/40/390702240.db2.gz DFQSBRWPBWAQOK-NSHDSACASA-N 0 1 281.341 0.102 20 30 CCEDMN CC1(C)CN(C[C@H](O)CC2(C#N)CC2)C[C@@H](CO)O1 ZINC000528524456 390754064 /nfs/dbraw/zinc/75/40/64/390754064.db2.gz CFJDAFMWGYVTOF-NEPJUHHUSA-N 0 1 268.357 0.513 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NC[C@@H]1CCN1C1CCCC1 ZINC000528548675 390756603 /nfs/dbraw/zinc/75/66/03/390756603.db2.gz JFHCUMWQUXHEPP-PWSUYJOCSA-N 0 1 271.386 0.835 20 30 CCEDMN CCc1nc(NC(=O)Cc2ccc(C#N)nc2)n[nH]1 ZINC000528838174 390780878 /nfs/dbraw/zinc/78/08/78/390780878.db2.gz CXUCIODSYYRBQL-UHFFFAOYSA-N 0 1 256.269 0.815 20 30 CCEDMN CCc1n[nH]c(NC(=O)Cc2ccc(C#N)nc2)n1 ZINC000528838174 390780879 /nfs/dbraw/zinc/78/08/79/390780879.db2.gz CXUCIODSYYRBQL-UHFFFAOYSA-N 0 1 256.269 0.815 20 30 CCEDMN COC[C@@H]1CN(C[C@@H](O)CC2(C#N)CC2)C[C@@H](C)O1 ZINC000528473373 390748241 /nfs/dbraw/zinc/74/82/41/390748241.db2.gz OCPUJBUOTQCCTF-AGIUHOORSA-N 0 1 268.357 0.777 20 30 CCEDMN CC(C)(NC(=O)c1ccc(C#N)cn1)c1nn[nH]n1 ZINC000531336414 390878249 /nfs/dbraw/zinc/87/82/49/390878249.db2.gz KHSNSOAXRFMLST-UHFFFAOYSA-N 0 1 257.257 0.131 20 30 CCEDMN CCC(C#N)(CC)C(=O)N1CC[C@H](N2CC[C@@H](O)C2)C1 ZINC000529388031 390826685 /nfs/dbraw/zinc/82/66/85/390826685.db2.gz NXISAWKTQOBIEI-QWHCGFSZSA-N 0 1 279.384 0.984 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000532880962 390885655 /nfs/dbraw/zinc/88/56/55/390885655.db2.gz GMKWUQYVPAMYEX-GHMZBOCLSA-N 0 1 297.355 0.573 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)C[C@H]1CCCO1 ZINC000246093953 131091365 /nfs/dbraw/zinc/09/13/65/131091365.db2.gz IHNSUDLDMSWBJL-WDEREUQCSA-N 0 1 269.345 0.498 20 30 CCEDMN CSC[C@H](O)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000275133562 135104487 /nfs/dbraw/zinc/10/44/87/135104487.db2.gz CGNYLFIZUCHVSI-CYBMUJFWSA-N 0 1 292.408 0.799 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+](C)CCOCCCOC ZINC000091102673 397399113 /nfs/dbraw/zinc/39/91/13/397399113.db2.gz NSZJCKBNXQYTRW-LBPRGKRZSA-N 0 1 256.346 0.109 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCOCCCOC ZINC000091102673 397399116 /nfs/dbraw/zinc/39/91/16/397399116.db2.gz NSZJCKBNXQYTRW-LBPRGKRZSA-N 0 1 256.346 0.109 20 30 CCEDMN Cn1ncc(C(=O)Nc2nc(C3CCC3)n[nH]2)c1C#N ZINC000356796040 144034164 /nfs/dbraw/zinc/03/41/64/144034164.db2.gz DZRDVPZSFZLAPF-UHFFFAOYSA-N 0 1 271.284 0.930 20 30 CCEDMN Cn1ncc(C(=O)Nc2n[nH]c(C3CCC3)n2)c1C#N ZINC000356796040 144034165 /nfs/dbraw/zinc/03/41/65/144034165.db2.gz DZRDVPZSFZLAPF-UHFFFAOYSA-N 0 1 271.284 0.930 20 30 CCEDMN N#Cc1cnc(N2CCN([C@@H]3CCC[C@@H]3O)CC2)cn1 ZINC000368382957 144221817 /nfs/dbraw/zinc/22/18/17/144221817.db2.gz LSDLYMMEUHGIMH-OLZOCXBDSA-N 0 1 273.340 0.384 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N(C)CCCO ZINC000440966789 397549046 /nfs/dbraw/zinc/54/90/46/397549046.db2.gz JVHQFXIBCDHNOP-AAEUAGOBSA-N 0 1 255.362 0.744 20 30 CCEDMN N#CC1(F)CCN(C[C@H](O)CN2CCOCC2)CC1 ZINC000352458989 411765562 /nfs/dbraw/zinc/76/55/62/411765562.db2.gz RLXLFTVRURLVGX-LBPRGKRZSA-N 0 1 271.336 0.007 20 30 CCEDMN C=CCCn1cc(CN2CCN(CCO)C(C)(C)C2)nn1 ZINC000653633030 412327897 /nfs/dbraw/zinc/32/78/97/412327897.db2.gz MVOHJIBJMVWDAW-UHFFFAOYSA-N 0 1 293.415 0.743 20 30 CCEDMN CC#CCNC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000654641148 412422210 /nfs/dbraw/zinc/42/22/10/412422210.db2.gz KFWMFVSORZOTEM-SECBINFHSA-N 0 1 263.301 0.479 20 30 CCEDMN Cn1ccc(-c2cc(C(=O)N3CCO[C@@H](C#N)C3)n[nH]2)c1 ZINC000575135873 335071249 /nfs/dbraw/zinc/07/12/49/335071249.db2.gz NJOCAMUFVORMBK-NSHDSACASA-N 0 1 285.307 0.780 20 30 CCEDMN C=CCN(Cc1cc(=O)n(C)c(=O)n1C)[C@H](C)COC ZINC000661242166 414584446 /nfs/dbraw/zinc/58/44/46/414584446.db2.gz HVFCDAWDCLLLMW-LLVKDONJSA-N 0 1 281.356 0.107 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N(C)CC1CN(C)C1 ZINC000661336041 414600575 /nfs/dbraw/zinc/60/05/75/414600575.db2.gz HDOWWKWROZQZMQ-STQMWFEESA-N 0 1 267.373 0.781 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@H](C(=O)NC(C)C)C1 ZINC000662112197 414690810 /nfs/dbraw/zinc/69/08/10/414690810.db2.gz BOAOBMPQLCEHMK-CHWSQXEVSA-N 0 1 281.400 0.914 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N(C)Cc1ccccc1OC ZINC000662167432 414695138 /nfs/dbraw/zinc/69/51/38/414695138.db2.gz FAMRTCACZDKPLO-CYBMUJFWSA-N 0 1 278.352 0.790 20 30 CCEDMN C=CCN(C(=O)N[C@H]1CCN(CCOC)C1)[C@H](C)COC ZINC000664185509 415269081 /nfs/dbraw/zinc/26/90/81/415269081.db2.gz YTPAUEGRRKVDNB-KGLIPLIRSA-N 0 1 299.415 0.940 20 30 CCEDMN CO[C@]1(CNC(=O)[C@H]2CCc3[nH]nnc3C2)CCOC1 ZINC000329050587 227272509 /nfs/dbraw/zinc/27/25/09/227272509.db2.gz RCKJIFSZVJWJMG-ZANVPECISA-N 0 1 280.328 0.672 20 30 CCEDMN CO[C@]1(CNC(=O)[C@H]2CCc3nn[nH]c3C2)CCOC1 ZINC000329050587 227272512 /nfs/dbraw/zinc/27/25/12/227272512.db2.gz RCKJIFSZVJWJMG-ZANVPECISA-N 0 1 280.328 0.672 20 30 CCEDMN CO[C@]1(CNC(=O)[C@@H]2CCc3[nH]nnc3C2)CCOC1 ZINC000329050586 227273521 /nfs/dbraw/zinc/27/35/21/227273521.db2.gz RCKJIFSZVJWJMG-RNCFNFMXSA-N 0 1 280.328 0.672 20 30 CCEDMN CO[C@]1(CNC(=O)[C@@H]2CCc3nn[nH]c3C2)CCOC1 ZINC000329050586 227273527 /nfs/dbraw/zinc/27/35/27/227273527.db2.gz RCKJIFSZVJWJMG-RNCFNFMXSA-N 0 1 280.328 0.672 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NC[C@H]1COCCO1 ZINC000329343117 227455788 /nfs/dbraw/zinc/45/57/88/227455788.db2.gz SWPDVYSJNPQSPH-NEPJUHHUSA-N 0 1 272.345 0.327 20 30 CCEDMN Cc1cc(C)n(CC(=O)N[C@H](C)c2nnc[nH]2)c(=O)n1 ZINC000329965269 228000062 /nfs/dbraw/zinc/00/00/62/228000062.db2.gz QZMRPLINIIPSBF-SECBINFHSA-N 0 1 276.300 0.696 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@@H]1C=C[C@H](CO)C1 ZINC000330070080 228032349 /nfs/dbraw/zinc/03/23/49/228032349.db2.gz WSWXPBZQMAEWQC-HZSPNIEDSA-N 0 1 296.415 0.063 20 30 CCEDMN Nc1noc(CCNC(=O)[C@H]2CCCN2C2CC2)n1 ZINC000330226675 228072563 /nfs/dbraw/zinc/07/25/63/228072563.db2.gz OXADTUNJRJWSIG-SECBINFHSA-N 0 1 265.317 0.608 20 30 CCEDMN O=C(CCc1nnc[nH]1)NCCN1CCSCC1 ZINC000330240393 228077367 /nfs/dbraw/zinc/07/73/67/228077367.db2.gz IRLJMIVEVAKQMF-UHFFFAOYSA-N 0 1 269.374 0.743 20 30 CCEDMN C[C@@H]1COCC[C@H]1NC([O-])=[NH+]CCn1cncn1 ZINC000330247028 228078611 /nfs/dbraw/zinc/07/86/11/228078611.db2.gz LNLCPAMPAZNSDJ-NXEZZACHSA-N 0 1 253.306 0.207 20 30 CCEDMN C[C@@H]1COCC[C@H]1NC(=O)NCCn1cncn1 ZINC000330247028 228078613 /nfs/dbraw/zinc/07/86/13/228078613.db2.gz LNLCPAMPAZNSDJ-NXEZZACHSA-N 0 1 253.306 0.207 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)NCC1(CCO)CC1)CC2 ZINC000330315377 228138505 /nfs/dbraw/zinc/13/85/05/228138505.db2.gz YSGWJFIKKIPQQV-NSHDSACASA-N 0 1 293.371 0.568 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC([O-])=[NH+]CC1(CCO)CC1)CC2 ZINC000330315377 228138508 /nfs/dbraw/zinc/13/85/08/228138508.db2.gz YSGWJFIKKIPQQV-NSHDSACASA-N 0 1 293.371 0.568 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2cc3n(n2)CCCO3)n1 ZINC000330353691 228163995 /nfs/dbraw/zinc/16/39/95/228163995.db2.gz OTMCTLIZFOBGKA-UHFFFAOYSA-N 0 1 276.300 0.639 20 30 CCEDMN C=CCNC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000079078883 544472684 /nfs/dbraw/zinc/47/26/84/544472684.db2.gz JAZISCUFTKHVES-UHFFFAOYSA-N 0 1 254.378 0.498 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](COCCOC)C1 ZINC000174112983 260062379 /nfs/dbraw/zinc/06/23/79/260062379.db2.gz RRZZZGMAGUUPOV-OLZOCXBDSA-N 0 1 268.357 0.109 20 30 CCEDMN C=C(C)CN(C)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000180457236 260102957 /nfs/dbraw/zinc/10/29/57/260102957.db2.gz KPJQJKQYRJJWQV-UHFFFAOYSA-N 0 1 274.328 0.824 20 30 CCEDMN C[C@](C#N)(NC(=O)CN(CCO)C1CCC1)C1CC1 ZINC000221162127 260163121 /nfs/dbraw/zinc/16/31/21/260163121.db2.gz STJIQJMPGTYSSW-CQSZACIVSA-N 0 1 265.357 0.642 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)CCN1C(=O)CCC1=O ZINC000490876607 261234816 /nfs/dbraw/zinc/23/48/16/261234816.db2.gz UYTOTQOZGCRBJU-LBPRGKRZSA-N 0 1 292.335 0.166 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCN1C(=O)CCC1=O ZINC000490876607 261234818 /nfs/dbraw/zinc/23/48/18/261234818.db2.gz UYTOTQOZGCRBJU-LBPRGKRZSA-N 0 1 292.335 0.166 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000491780332 262039189 /nfs/dbraw/zinc/03/91/89/262039189.db2.gz KGULGDNJIFOULW-QWHCGFSZSA-N 0 1 266.389 0.285 20 30 CCEDMN C=C(C)COCCNC(=O)CSc1nnc(C)[nH]1 ZINC000162282230 262325942 /nfs/dbraw/zinc/32/59/42/262325942.db2.gz ATYGYZOEILVMHN-UHFFFAOYSA-N 0 1 270.358 0.914 20 30 CCEDMN C=C(C)COCCNC(=O)CSc1nc(C)n[nH]1 ZINC000162282230 262325943 /nfs/dbraw/zinc/32/59/43/262325943.db2.gz ATYGYZOEILVMHN-UHFFFAOYSA-N 0 1 270.358 0.914 20 30 CCEDMN C=CCN(CCCS(=O)(=O)N(C)C)CCOC ZINC000336696022 262380979 /nfs/dbraw/zinc/38/09/79/262380979.db2.gz PUDFOJRAGUKVEP-UHFFFAOYSA-N 0 1 264.391 0.402 20 30 CCEDMN CO/N=C/C(=O)NCc1cccnc1N1CCN(C)CC1 ZINC000283013867 263080463 /nfs/dbraw/zinc/08/04/63/263080463.db2.gz LLKQPWAGEXBIIA-GZTJUZNOSA-N 0 1 291.355 0.082 20 30 CCEDMN N#CCCn1ccc(=NC(=O)NCCCc2nc[nH]n2)[nH]1 ZINC000439042700 263093315 /nfs/dbraw/zinc/09/33/15/263093315.db2.gz JEKHKVZPEXACOG-UHFFFAOYSA-N 0 1 288.315 0.091 20 30 CCEDMN CC(C)C[C@@H](CN(C)C)NS(=O)(=O)N(C)CCC#N ZINC000340860106 263309818 /nfs/dbraw/zinc/30/98/18/263309818.db2.gz IPQLBQJKKWWJEG-LBPRGKRZSA-N 0 1 290.433 0.643 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](CO)C1 ZINC000304286652 263353538 /nfs/dbraw/zinc/35/35/38/263353538.db2.gz LQFXTXDOUCTXMK-GFCCVEGCSA-N 0 1 253.346 0.453 20 30 CCEDMN COCC1(C#N)CCN(CCN2CCCC2=O)CC1 ZINC000341981848 263369867 /nfs/dbraw/zinc/36/98/67/263369867.db2.gz RJMURAUERRSGDI-UHFFFAOYSA-N 0 1 265.357 0.861 20 30 CCEDMN O=C(NCCn1cncn1)N1CC[C@@H](C2CCOCC2)C1 ZINC000328811427 263865799 /nfs/dbraw/zinc/86/57/99/263865799.db2.gz FJKALMDTKFGFTH-CYBMUJFWSA-N 0 1 293.371 0.941 20 30 CCEDMN COCCCCNC(=O)N[C@@H]1CCc2ncnn2C1 ZINC000330724904 264025364 /nfs/dbraw/zinc/02/53/64/264025364.db2.gz ZICIKQVCDGWVNA-SNVBAGLBSA-N 0 1 267.333 0.523 20 30 CCEDMN COCCCC[NH+]=C([O-])N[C@@H]1CCc2ncnn2C1 ZINC000330724904 264025366 /nfs/dbraw/zinc/02/53/66/264025366.db2.gz ZICIKQVCDGWVNA-SNVBAGLBSA-N 0 1 267.333 0.523 20 30 CCEDMN CC(C)C[C@H](CO)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000330724159 264025926 /nfs/dbraw/zinc/02/59/26/264025926.db2.gz IYGFZJMSXHAMCZ-OLZOCXBDSA-N 0 1 286.420 0.143 20 30 CCEDMN CCC(O)(CC)CNC(=O)NC[C@H]1CN(C)CCN1C ZINC000330757592 264030633 /nfs/dbraw/zinc/03/06/33/264030633.db2.gz ZSPKLRZLPWOGGZ-LBPRGKRZSA-N 0 1 286.420 0.287 20 30 CCEDMN C[C@@H]1CN(CCCNC(=O)c2cnnn2C)C[C@@H](C)O1 ZINC000330940158 264082925 /nfs/dbraw/zinc/08/29/25/264082925.db2.gz DINRDTXRUZNYSV-GHMZBOCLSA-N 0 1 281.360 0.619 20 30 CCEDMN CCOCCNC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000331110626 264127260 /nfs/dbraw/zinc/12/72/60/264127260.db2.gz BQRSYXCJAWQMML-CYBMUJFWSA-N 0 1 285.388 0.591 20 30 CCEDMN COCCCNC(=O)N1CCOC[C@@H]1c1nnc[nH]1 ZINC000331246868 264179170 /nfs/dbraw/zinc/17/91/70/264179170.db2.gz VJQMGRDQXUEKMO-SECBINFHSA-N 0 1 269.305 0.129 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](SC)C1 ZINC000331290215 264196862 /nfs/dbraw/zinc/19/68/62/264196862.db2.gz BAOFMZVZTRMPKB-VIFPVBQESA-N 0 1 257.359 0.640 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](OC)C[C@@H]1C ZINC000334090168 264232022 /nfs/dbraw/zinc/23/20/22/264232022.db2.gz AJEQXQIFEKLBTF-WDEREUQCSA-N 0 1 269.345 0.498 20 30 CCEDMN CC#CCN1CCC(n2cc(C(=O)OC)nn2)CC1 ZINC000127370128 264255262 /nfs/dbraw/zinc/25/52/62/264255262.db2.gz SPVOBAIAJIPAAF-UHFFFAOYSA-N 0 1 262.313 0.725 20 30 CCEDMN C=CCC[C@@H](O)CN1CCO[C@H](c2n[nH]c(C)n2)C1 ZINC000132019906 264378795 /nfs/dbraw/zinc/37/87/95/264378795.db2.gz UCRFRPMOESXSGH-NEPJUHHUSA-N 0 1 266.345 0.814 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)CCC(N)=O ZINC000286266621 264572083 /nfs/dbraw/zinc/57/20/83/264572083.db2.gz BNANJQWPCWUECH-LLVKDONJSA-N 0 1 253.346 0.383 20 30 CCEDMN C=CCOC[C@@H](NCc1[nH]ncc1C)C(=O)OC ZINC000356317844 266095210 /nfs/dbraw/zinc/09/52/10/266095210.db2.gz LJGGPZJQTHXHKL-LLVKDONJSA-N 0 1 253.302 0.552 20 30 CCEDMN CN(C)[C@@H](Cc1ccccc1)C(=O)N1CC(O)(CC#N)C1 ZINC000356548737 266135459 /nfs/dbraw/zinc/13/54/59/266135459.db2.gz DCEHHRZZHYTGQG-AWEZNQCLSA-N 0 1 287.363 0.646 20 30 CCEDMN C=CCN(CCOC)C(=O)NCCCCN1CCOCC1 ZINC000358546966 266475515 /nfs/dbraw/zinc/47/55/15/266475515.db2.gz QOPYKPWZLSYJKY-UHFFFAOYSA-N 0 1 299.415 0.943 20 30 CCEDMN C=CCN1CCC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC000368864188 267208865 /nfs/dbraw/zinc/20/88/65/267208865.db2.gz YHKAVALIRUMZEU-SNVBAGLBSA-N 0 1 250.302 0.888 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC2(C[C@H]2C(=O)OC)CC1 ZINC000265028966 276786955 /nfs/dbraw/zinc/78/69/55/276786955.db2.gz ICYKTJZWHZBAQN-NEPJUHHUSA-N 0 1 278.352 0.399 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCO[C@H]2CCN(C)C[C@H]21 ZINC000332672082 288384139 /nfs/dbraw/zinc/38/41/39/288384139.db2.gz INSSCYRKRLKIPT-MBNYWOFBSA-N 0 1 265.357 0.858 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(C(=O)NCCCC)CC1 ZINC000048042311 328037246 /nfs/dbraw/zinc/03/72/46/328037246.db2.gz NJTXOTMJSJGCQL-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@H](O)CC1 ZINC000339779244 328068291 /nfs/dbraw/zinc/06/82/91/328068291.db2.gz LLIOAFUWVUQSKM-RYUDHWBXSA-N 0 1 265.357 0.784 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCC[C@H](O)CC1 ZINC000339779244 328068292 /nfs/dbraw/zinc/06/82/92/328068292.db2.gz LLIOAFUWVUQSKM-RYUDHWBXSA-N 0 1 265.357 0.784 20 30 CCEDMN CC#CCCNC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000413460591 328146414 /nfs/dbraw/zinc/14/64/14/328146414.db2.gz OVKSSYINJUJOGH-LBPRGKRZSA-N 0 1 281.356 0.146 20 30 CCEDMN N#C[C@H]1CN(C(=O)N[C@@H]2CCCc3cn[nH]c32)CCO1 ZINC000269048404 328189152 /nfs/dbraw/zinc/18/91/52/328189152.db2.gz UHEXIJRFUNZKLW-WDEREUQCSA-N 0 1 275.312 0.721 20 30 CCEDMN N#CC1(CNC(=O)N[C@@H]2CCc3nc[nH]c3C2)CC1 ZINC000558630030 327111126 /nfs/dbraw/zinc/11/11/26/327111126.db2.gz ANYHHGWUIYIBTN-SECBINFHSA-N 0 1 259.313 0.870 20 30 CCEDMN CC[C@@H]1C[N@H+](C)CCN1CCC(=O)N(C)CCC#N ZINC000559636022 327256095 /nfs/dbraw/zinc/25/60/95/327256095.db2.gz FBCYHZIIIROPHR-CYBMUJFWSA-N 0 1 266.389 0.775 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN(CCO)C1CCC1 ZINC000221205187 327309089 /nfs/dbraw/zinc/30/90/89/327309089.db2.gz GJNRULBXFXRLAW-UHFFFAOYSA-N 0 1 278.356 0.489 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCc1nc[nH]n1 ZINC000560710661 327338331 /nfs/dbraw/zinc/33/83/31/327338331.db2.gz KEERHTKDDHLXLJ-JTQLQIEISA-N 0 1 252.318 0.835 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(C)(O)CC1 ZINC000177303977 329185982 /nfs/dbraw/zinc/18/59/82/329185982.db2.gz ZDGVZKMAZKVZJA-SNVBAGLBSA-N 0 1 269.345 0.234 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H](C)c1cccnc1 ZINC000181305509 329230799 /nfs/dbraw/zinc/23/07/99/329230799.db2.gz ZUUVVGWUJNRJBS-JTQLQIEISA-N 0 1 262.313 0.744 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000185420825 329273378 /nfs/dbraw/zinc/27/33/78/329273378.db2.gz ACGKSGRQTBJNHL-AWEZNQCLSA-N 0 1 265.401 0.932 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)NCC(=O)N1CCNCC1 ZINC000583133653 329319283 /nfs/dbraw/zinc/31/92/83/329319283.db2.gz LTCGRVHOZCKMND-LLVKDONJSA-N 0 1 268.361 0.072 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@H](O)[C@@H](CO)C1 ZINC000459709613 329365662 /nfs/dbraw/zinc/36/56/62/329365662.db2.gz RZYDDBPGIXCVGD-XQQFMLRXSA-N 0 1 270.373 0.085 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1CCNC(C)(C)C1 ZINC000419041874 329706168 /nfs/dbraw/zinc/70/61/68/329706168.db2.gz KRKSSYSJRSLBTR-UHFFFAOYSA-N 0 1 253.346 0.279 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000419159752 329709786 /nfs/dbraw/zinc/70/97/86/329709786.db2.gz XWBDYEPADDDJJP-GRYCIOLGSA-N 0 1 267.373 0.666 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)CC1(C#N)CCC1 ZINC000572444824 330088530 /nfs/dbraw/zinc/08/85/30/330088530.db2.gz HEJZPXMDDCBMSF-UHFFFAOYSA-N 0 1 259.375 0.503 20 30 CCEDMN N#CC1(NC(=O)CN(CCO)C2CCC2)CCC1 ZINC000527123816 330224188 /nfs/dbraw/zinc/22/41/88/330224188.db2.gz GUNNYPSWHZWVOJ-UHFFFAOYSA-N 0 1 251.330 0.396 20 30 CCEDMN Cc1c([C@@H](C)NCC(=O)NC2(C#N)CCC2)cnn1C ZINC000527135971 330225784 /nfs/dbraw/zinc/22/57/84/330225784.db2.gz CROYGJHSIZDZAQ-SNVBAGLBSA-N 0 1 275.356 0.942 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCC2CCOCC2)CC1 ZINC000432942702 330611427 /nfs/dbraw/zinc/61/14/27/330611427.db2.gz HOYXIACOYQYGDQ-UHFFFAOYSA-N 0 1 295.427 0.723 20 30 CCEDMN N#CC1(NC(=O)CN[C@@H](CO)[C@H]2CCCO2)CCCCC1 ZINC000447913928 330879953 /nfs/dbraw/zinc/87/99/53/330879953.db2.gz JYOHHJGUKHFHTB-QWHCGFSZSA-N 0 1 295.383 0.459 20 30 CCEDMN CCO[C@@H]1COC[C@H]1NCC(=O)N[C@](C)(C#N)C(C)C ZINC000451944628 331047896 /nfs/dbraw/zinc/04/78/96/331047896.db2.gz PZOYOCNXVZKEIE-YRGRVCCFSA-N 0 1 283.372 0.434 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N1CC[C@H](N2CC=CC2)C1 ZINC000459815739 331301972 /nfs/dbraw/zinc/30/19/72/331301972.db2.gz GJOYXKNWFOIYDJ-AWEZNQCLSA-N 0 1 289.379 0.660 20 30 CCEDMN C[C@H](CN(C)CC(=O)NCC#N)C(=O)OC(C)(C)C ZINC000459819706 331302039 /nfs/dbraw/zinc/30/20/39/331302039.db2.gz ZYVYBXAQBDPWLD-SNVBAGLBSA-N 0 1 269.345 0.536 20 30 CCEDMN C[C@@H](CN(C)CC(=O)NCC#N)C(=O)OC(C)(C)C ZINC000459819705 331302064 /nfs/dbraw/zinc/30/20/64/331302064.db2.gz ZYVYBXAQBDPWLD-JTQLQIEISA-N 0 1 269.345 0.536 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(OCCOC)CC1 ZINC000490657337 332100745 /nfs/dbraw/zinc/10/07/45/332100745.db2.gz URMBGNLENKGZGZ-LBPRGKRZSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)c1ccc2nncn2c1 ZINC000490899879 332127569 /nfs/dbraw/zinc/12/75/69/332127569.db2.gz QQCDJYKNZNZUMX-CYBMUJFWSA-N 0 1 284.319 0.984 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)COCCCOC ZINC000490922174 332129397 /nfs/dbraw/zinc/12/93/97/332129397.db2.gz BZHGUKZKOLPTRR-ZDUSSCGKSA-N 0 1 269.341 0.680 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C)C[C@H]2C(N)=O)CC1 ZINC000491047646 332143182 /nfs/dbraw/zinc/14/31/82/332143182.db2.gz VAUXWSLFPQTELN-OCCSQVGLSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCNC(=O)CCN1CCN(C(C)=O)CC1(C)C ZINC000491067863 332144613 /nfs/dbraw/zinc/14/46/13/332144613.db2.gz KOCSBACHUVDVFD-UHFFFAOYSA-N 0 1 265.357 0.069 20 30 CCEDMN C#CCN(CC#CC)CCC(=O)N1CCN(C)CC1 ZINC000491100059 332149970 /nfs/dbraw/zinc/14/99/70/332149970.db2.gz FMMMWJKPUBPLAJ-UHFFFAOYSA-N 0 1 261.369 0.109 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)COc1cnn(C)c1 ZINC000491106733 332150496 /nfs/dbraw/zinc/15/04/96/332150496.db2.gz YZJVJZSGNKFFNJ-LBPRGKRZSA-N 0 1 277.324 0.440 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2cccc(F)n2)CC1 ZINC000491374721 332200023 /nfs/dbraw/zinc/20/00/23/332200023.db2.gz SIPFKUPWSDAUQE-UHFFFAOYSA-N 0 1 290.342 0.482 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC([C@H]2NC(=O)C2(C)C)CC1 ZINC000491387890 332202634 /nfs/dbraw/zinc/20/26/34/332202634.db2.gz ZIELPIBJNKKTHU-DGCLKSJQSA-N 0 1 291.395 0.361 20 30 CCEDMN C#CCn1ccc(CN(CCOC)Cc2n[nH]c(C)n2)n1 ZINC000491616003 332243184 /nfs/dbraw/zinc/24/31/84/332243184.db2.gz HQNRNBMFRFVQAM-UHFFFAOYSA-N 0 1 288.355 0.591 20 30 CCEDMN C#C[C@H](NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1)C(C)C ZINC000491616493 332243380 /nfs/dbraw/zinc/24/33/80/332243380.db2.gz AZSHWFATBKSDEW-MJBXVCDLSA-N 0 1 281.400 0.741 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000491674183 332280392 /nfs/dbraw/zinc/28/03/92/332280392.db2.gz KBMHUYSXBFRHIR-LLVKDONJSA-N 0 1 263.345 0.352 20 30 CCEDMN C#CCN(C(=O)CSc1nnc(C)[nH]1)C1CC1 ZINC000491689315 332286417 /nfs/dbraw/zinc/28/64/17/332286417.db2.gz HYUQNLOTNKHYEY-UHFFFAOYSA-N 0 1 250.327 0.829 20 30 CCEDMN C#CCN(C(=O)CSc1nc(C)n[nH]1)C1CC1 ZINC000491689315 332286418 /nfs/dbraw/zinc/28/64/18/332286418.db2.gz HYUQNLOTNKHYEY-UHFFFAOYSA-N 0 1 250.327 0.829 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1C[C@@H]1C(=O)OC ZINC000491712433 332303869 /nfs/dbraw/zinc/30/38/69/332303869.db2.gz YDMTVMRDQZONOL-WOPDTQHZSA-N 0 1 265.309 0.436 20 30 CCEDMN C#CCN(CC#CC)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000491754437 332329504 /nfs/dbraw/zinc/32/95/04/332329504.db2.gz STGCORCFIAVZPI-OAHLLOKOSA-N 0 1 290.411 0.290 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCN(C(C)(C)COC)CC1 ZINC000491768232 332335733 /nfs/dbraw/zinc/33/57/33/332335733.db2.gz KBPQRBGVEVPTDD-ZDUSSCGKSA-N 0 1 281.400 0.167 20 30 CCEDMN Cc1ccsc1[C@@H](CO)NCC(=O)NCC#N ZINC000494625680 332480611 /nfs/dbraw/zinc/48/06/11/332480611.db2.gz LRDZDZGNFGOQFJ-SECBINFHSA-N 0 1 253.327 0.319 20 30 CCEDMN N#C[C@]1(NC(=O)c2ccc(-c3nnc[nH]3)cc2)CCOC1 ZINC000548139357 334141905 /nfs/dbraw/zinc/14/19/05/334141905.db2.gz PCWMXLNLKHDNIA-CQSZACIVSA-N 0 1 283.291 0.884 20 30 CCEDMN C[C@@H]1C[C@@H](C)[C@H](C(=O)NC2(C#N)CCN(C)CC2)O1 ZINC000548762464 334173422 /nfs/dbraw/zinc/17/34/22/334173422.db2.gz YOVIZONILNXCGW-IJLUTSLNSA-N 0 1 265.357 0.904 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@](CC)(CO)C1 ZINC000249566711 334336803 /nfs/dbraw/zinc/33/68/03/334336803.db2.gz NDXYHMCROHNNOL-OCCSQVGLSA-N 0 1 252.358 0.609 20 30 CCEDMN C=CCn1cc(CNC(=O)N2CCN(C(C)C)CC2)nn1 ZINC000568385514 334821589 /nfs/dbraw/zinc/82/15/89/334821589.db2.gz ISVRSUPFHBHLGN-UHFFFAOYSA-N 0 1 292.387 0.700 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cccc3[nH]c(=O)[nH]c32)CC1 ZINC000568779273 334840743 /nfs/dbraw/zinc/84/07/43/334840743.db2.gz YXAMROSSJCMBJL-UHFFFAOYSA-N 0 1 299.334 0.986 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCN(CC2CC2)CC1 ZINC000182830393 335845775 /nfs/dbraw/zinc/84/57/75/335845775.db2.gz VGZQISXWRKJMCD-NSHDSACASA-N 0 1 250.346 0.883 20 30 CCEDMN CCc1cc(CNC[C@@H](O)CN(C)CCC#N)on1 ZINC000581808976 336146769 /nfs/dbraw/zinc/14/67/69/336146769.db2.gz DLWGVFINOZNEQR-GFCCVEGCSA-N 0 1 266.345 0.533 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(C#N)c(F)c1)N(C)C ZINC000451926759 534073941 /nfs/dbraw/zinc/07/39/41/534073941.db2.gz ICPTUXSYAIKUDC-VIFPVBQESA-N 0 1 285.344 0.926 20 30 CCEDMN Cc1nc(CS(=O)(=O)c2ccc(C#N)cc2)n[nH]1 ZINC000427058209 534399697 /nfs/dbraw/zinc/39/96/97/534399697.db2.gz ZENNDBGPOYJDON-UHFFFAOYSA-N 0 1 262.294 0.959 20 30 CCEDMN N#Cc1ccc2ncc(CN3CCN(CCO)CC3)n2c1 ZINC000305220555 534800217 /nfs/dbraw/zinc/80/02/17/534800217.db2.gz SXTKMWVHJDEYSB-UHFFFAOYSA-N 0 1 285.351 0.316 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCOCC(=O)OCC)C1=O ZINC000352107005 526469256 /nfs/dbraw/zinc/46/92/56/526469256.db2.gz XJPUOZNCXLPLPQ-GFCCVEGCSA-N 0 1 284.356 0.285 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1[C@H](CO)CC[C@H]1CO ZINC000347318997 526524330 /nfs/dbraw/zinc/52/43/30/526524330.db2.gz USQJUDXKZXYQOK-STQMWFEESA-N 0 1 270.373 0.229 20 30 CCEDMN CC(=O)N1CCC[C@H](CNC(=O)NCCN2CCC2)C1 ZINC000330025579 526586344 /nfs/dbraw/zinc/58/63/44/526586344.db2.gz KTIUYNZXCZJZIC-CYBMUJFWSA-N 0 1 282.388 0.454 20 30 CCEDMN C=C[C@](C)(O)C(=O)Nc1ccc(N2CCN(C)CC2)cn1 ZINC000666560960 545125678 /nfs/dbraw/zinc/12/56/78/545125678.db2.gz ZAMBCODMECUXMU-HNNXBMFYSA-N 0 1 290.367 0.709 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000667423155 545146777 /nfs/dbraw/zinc/14/67/77/545146777.db2.gz IMBJEEXLRBPODD-STQMWFEESA-N 0 1 268.357 0.247 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@H](OC)C[C@H]1c1nnc[nH]1 ZINC000332375561 527629854 /nfs/dbraw/zinc/62/98/54/527629854.db2.gz NBDSNORJFZNDCP-NRUUGDAUSA-N 0 1 280.328 0.420 20 30 CCEDMN CNS(=O)(=O)c1ccc([C@@H](C)NCC#CCOC)cc1 ZINC000678760040 545397182 /nfs/dbraw/zinc/39/71/82/545397182.db2.gz YVIVUHXDTBAEEV-GFCCVEGCSA-N 0 1 296.392 0.895 20 30 CCEDMN COc1nc(C)ccc1C(=O)N=c1[nH]n(C)cc1C#N ZINC000346845811 537133191 /nfs/dbraw/zinc/13/31/91/537133191.db2.gz MZEUJICSDNOWOZ-UHFFFAOYSA-N 0 1 271.280 0.678 20 30 CCEDMN C=C(C)CNC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000681726909 545460495 /nfs/dbraw/zinc/46/04/95/545460495.db2.gz LETORGJBTCUDGR-UHFFFAOYSA-N 0 1 285.307 0.898 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCc2[nH]cnc21 ZINC000961736147 649880959 /nfs/dbraw/zinc/88/09/59/649880959.db2.gz INMXPGFJFSTSHI-MEWQQHAOSA-N 0 1 285.351 0.400 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000962227709 649895840 /nfs/dbraw/zinc/89/58/40/649895840.db2.gz HAVUPYBMLZRKOH-KZWBYHQPSA-N 0 1 285.351 0.085 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c2ccccc21 ZINC000962451337 649901649 /nfs/dbraw/zinc/90/16/49/649901649.db2.gz ATXLQXDXOYZQIO-PJXYFTJBSA-N 0 1 281.319 0.746 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777268376 581111801 /nfs/dbraw/zinc/11/18/01/581111801.db2.gz DLSKHCRKGTYPIJ-AWEZNQCLSA-N 0 1 276.340 0.876 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2[nH]nc3cc(C(=O)[O-])ccc23)N1 ZINC000779791219 581205177 /nfs/dbraw/zinc/20/51/77/581205177.db2.gz HZVPUJSNDQXTNB-SSDOTTSWSA-N 0 1 286.295 0.532 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2ccc3c(c2)CCN3C)N1 ZINC000779798719 581206386 /nfs/dbraw/zinc/20/63/86/581206386.db2.gz FKLRGPCNAXJEKV-JTQLQIEISA-N 0 1 257.341 0.950 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2ccc3c(c2)OCCO3)N1 ZINC000779804236 581207450 /nfs/dbraw/zinc/20/74/50/581207450.db2.gz CBULNCCEVGONOT-VIFPVBQESA-N 0 1 260.297 0.729 20 30 CCEDMN Cc1cc(CC(=O)NCc2ccc(C(=O)NO)cc2)[nH]n1 ZINC000791272289 581212367 /nfs/dbraw/zinc/21/23/67/581212367.db2.gz FOSVNDSDBBDBOM-UHFFFAOYSA-N 0 1 288.307 0.696 20 30 CCEDMN C=C(CO)C(=O)NC[C@@H](C(CC)CC)N1CCOCC1 ZINC000791315261 581214242 /nfs/dbraw/zinc/21/42/42/581214242.db2.gz HMGMBPQATIEWMB-AWEZNQCLSA-N 0 1 284.400 0.788 20 30 CCEDMN C=C(CO)C(=O)NCCc1nnc(-c2ccccc2)[nH]1 ZINC000791394257 581220013 /nfs/dbraw/zinc/22/00/13/581220013.db2.gz FHALLPRKCIYTQX-UHFFFAOYSA-N 0 1 272.308 0.679 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC000791423103 581221141 /nfs/dbraw/zinc/22/11/41/581221141.db2.gz QRVQWACHDCCSDK-QWRGUYRKSA-N 0 1 256.371 0.554 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](CNC(=O)C(C)C)C1 ZINC000726187663 581231752 /nfs/dbraw/zinc/23/17/52/581231752.db2.gz FLASEXPOOAESNB-ZDUSSCGKSA-N 0 1 279.384 0.220 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)[C@H](O)c1ccc(C#N)cc1 ZINC000780905961 581248980 /nfs/dbraw/zinc/24/89/80/581248980.db2.gz HTUXTMVUPUZRNI-CYBMUJFWSA-N 0 1 270.292 0.940 20 30 CCEDMN O=C(NCCO)C1CCN(CC#Cc2ccccc2)CC1 ZINC000792209159 581252908 /nfs/dbraw/zinc/25/29/08/581252908.db2.gz CTRMQGOHRFPHBQ-UHFFFAOYSA-N 0 1 286.375 0.859 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000781018445 581256289 /nfs/dbraw/zinc/25/62/89/581256289.db2.gz FIPPZEVPNXESEB-VXGBXAGGSA-N 0 1 267.373 0.495 20 30 CCEDMN CS(=O)(=O)C1(C(=O)Nc2cc(C#N)ccc2O)CC1 ZINC000792277718 581256550 /nfs/dbraw/zinc/25/65/50/581256550.db2.gz QOXOFVFVHQYOMO-UHFFFAOYSA-N 0 1 280.305 0.780 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2c(Br)cnn2C)N1 ZINC000782269108 581294486 /nfs/dbraw/zinc/29/44/86/581294486.db2.gz UCGFAACDNPVANG-ZCFIWIBFSA-N 0 1 285.149 0.454 20 30 CCEDMN C#CCOCCNC(=O)NCCCCN1CCOCC1 ZINC000784212949 581376205 /nfs/dbraw/zinc/37/62/05/581376205.db2.gz GYDUFJZQNMORPI-UHFFFAOYSA-N 0 1 283.372 0.048 20 30 CCEDMN CNC(=O)C[C@H](NCC(=O)NCCC#N)c1ccccc1 ZINC000796610846 581446257 /nfs/dbraw/zinc/44/62/57/581446257.db2.gz HWNXRWADJRSODL-ZDUSSCGKSA-N 0 1 288.351 0.483 20 30 CCEDMN CNC(=O)C[C@@H](NCC(=O)NCCC#N)c1ccccc1 ZINC000796610841 581446405 /nfs/dbraw/zinc/44/64/05/581446405.db2.gz HWNXRWADJRSODL-CYBMUJFWSA-N 0 1 288.351 0.483 20 30 CCEDMN CNC(=O)C(C#N)=C(O)c1cc2ccccc2c(=O)n1C ZINC000796797215 581450779 /nfs/dbraw/zinc/45/07/79/581450779.db2.gz ITEKHOYNMHPDOV-LLVKDONJSA-N 0 1 283.287 0.607 20 30 CCEDMN N#CCCNC(=O)COC(=O)[C@H]1CCCN1C1CCCC1 ZINC000786887036 581452261 /nfs/dbraw/zinc/45/22/61/581452261.db2.gz CNCPMTWRNMJBDI-CYBMUJFWSA-N 0 1 293.367 0.966 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)N2CCc3[nH]nnc3C2)cc1 ZINC000787079479 581456956 /nfs/dbraw/zinc/45/69/56/581456956.db2.gz RHCDSTFHIQWCBZ-CYBMUJFWSA-N 0 1 283.291 0.295 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCCN2CCOCC2)nc1 ZINC000728859633 581512655 /nfs/dbraw/zinc/51/26/55/581512655.db2.gz WEWJULOHLWTABF-UHFFFAOYSA-N 0 1 287.363 0.905 20 30 CCEDMN CN1CCc2cc(C=NN[C@H]3CCS(=O)(=O)C3)ccc21 ZINC000752712676 581513160 /nfs/dbraw/zinc/51/31/60/581513160.db2.gz CSKIMGHXTAMCKZ-ZDUSSCGKSA-N 0 1 293.392 0.790 20 30 CCEDMN COC(=O)[C@@H]1CC12CCN(CC(=O)NCCC#N)CC2 ZINC000733795967 581525952 /nfs/dbraw/zinc/52/59/52/581525952.db2.gz NODOKYILHWJWMP-NSHDSACASA-N 0 1 279.340 0.291 20 30 CCEDMN C#CCOCCC(=O)N1C[C@@H](C)N(CC)C[C@H]1C ZINC000765938352 581540513 /nfs/dbraw/zinc/54/05/13/581540513.db2.gz DYYCAPTZNSDTSC-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN CN(Cc1cccc(C#N)c1)[C@H]1CCC(=O)NC1=O ZINC000765957173 581540990 /nfs/dbraw/zinc/54/09/90/581540990.db2.gz HNFROHJVAIGUAW-LBPRGKRZSA-N 0 1 257.293 0.795 20 30 CCEDMN C#CCCCN1CCN(CC(=O)N[C@H](C)CC)CC1 ZINC000766895733 581585521 /nfs/dbraw/zinc/58/55/21/581585521.db2.gz GIHIBNHQAQWNPG-CQSZACIVSA-N 0 1 265.401 0.932 20 30 CCEDMN COC(=O)N(C)CCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000729888497 581618690 /nfs/dbraw/zinc/61/86/90/581618690.db2.gz GNJGPFUTXDPORQ-SNVBAGLBSA-N 0 1 281.312 0.452 20 30 CCEDMN CC(N=Nc1ccc(S(N)(=O)=O)cc1)c1nccn1C ZINC000755456428 581641184 /nfs/dbraw/zinc/64/11/84/581641184.db2.gz WFTNZNVHZLTBPE-UHFFFAOYSA-N 0 1 293.352 0.904 20 30 CCEDMN N#Cc1ccc([C@@H]([NH2+][C@H]2CCN(C(=O)[O-])C2)C(N)=O)cc1 ZINC000740312306 581664783 /nfs/dbraw/zinc/66/47/83/581664783.db2.gz DLLMZXHYYRZYCJ-NWDGAFQWSA-N 0 1 288.307 0.427 20 30 CCEDMN CC(C)c1cnc(Cn2c(N)nc(-c3nn[nH]n3)c2C#N)o1 ZINC000735931673 581675529 /nfs/dbraw/zinc/67/55/29/581675529.db2.gz BLOMDWNQPCUEQZ-UHFFFAOYSA-N 0 1 299.298 0.677 20 30 CCEDMN C#CC[NH+]1CCC[C@H]1C(=O)NC[C@@H]1C[N@H+]2CCC[C@@H]2CO1 ZINC000730281696 581691202 /nfs/dbraw/zinc/69/12/02/581691202.db2.gz SOATZUNWFOAFEW-KFWWJZLASA-N 0 1 291.395 0.063 20 30 CCEDMN CC(=O)N1CC[NH+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)CC1 ZINC000736135053 581705562 /nfs/dbraw/zinc/70/55/62/581705562.db2.gz VWBYQQYBZNJTGP-CQSZACIVSA-N 0 1 287.319 0.848 20 30 CCEDMN C#CC(=O)NCc1ccnc(N2CCN(C)CC2)c1 ZINC000753944235 581712924 /nfs/dbraw/zinc/71/29/24/581712924.db2.gz UMCVWNTVUMZUMR-UHFFFAOYSA-N 0 1 258.325 0.083 20 30 CCEDMN COC(=O)CSCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000730921323 581746539 /nfs/dbraw/zinc/74/65/39/581746539.db2.gz XVDIYUVNVGSAFT-VIFPVBQESA-N 0 1 284.337 0.270 20 30 CCEDMN C=CCNC(=O)[C@H](C)OC(=O)c1cc(=O)[nH]c(OC)n1 ZINC000770519435 581750005 /nfs/dbraw/zinc/75/00/05/581750005.db2.gz QCSZBEQXOCEKGC-ZETCQYMHSA-N 0 1 281.268 0.038 20 30 CCEDMN O=C1CNC(=O)N1N=Cc1cc(F)c(O)c(F)c1 ZINC000770616815 581756861 /nfs/dbraw/zinc/75/68/61/581756861.db2.gz ZFYLVCGHPGUSQY-UHFFFAOYSA-N 0 1 255.180 0.556 20 30 CCEDMN C[C@@H]1CN(Cc2ccccn2)CCC1=NNCC(N)=O ZINC000758892713 581773955 /nfs/dbraw/zinc/77/39/55/581773955.db2.gz LWPKDHVSCMGFOL-LLVKDONJSA-N 0 1 275.356 0.354 20 30 CCEDMN CC(=NNCC(N)=O)c1cccc(N2CCOCC2)c1 ZINC000758897836 581774602 /nfs/dbraw/zinc/77/46/02/581774602.db2.gz DRGHXCRJGHCOGO-UHFFFAOYSA-N 0 1 276.340 0.322 20 30 CCEDMN C[C@H](C[N@@H+](CC(=O)NCC#N)Cc1ccccc1)C(=O)[O-] ZINC000736580114 581797446 /nfs/dbraw/zinc/79/74/46/581797446.db2.gz YHBRHLFYLXSPBB-GFCCVEGCSA-N 0 1 289.335 0.849 20 30 CCEDMN C[C@H]1CN(c2ccccc2C=NOCC(N)=O)CCO1 ZINC000771577973 581810793 /nfs/dbraw/zinc/81/07/93/581810793.db2.gz JMQICJHXZZMAPJ-NSHDSACASA-N 0 1 277.324 0.748 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2nnc3ccccc3c2O)CCO1 ZINC000732289260 581818246 /nfs/dbraw/zinc/81/82/46/581818246.db2.gz NYKDFMSVDUJQDU-VIFPVBQESA-N 0 1 284.275 0.700 20 30 CCEDMN N#CCOc1cccc(CC(=O)N2CCc3[nH]nnc3C2)c1 ZINC000760626436 581867633 /nfs/dbraw/zinc/86/76/33/581867633.db2.gz UEFVUILHLJSIIX-UHFFFAOYSA-N 0 1 297.318 0.834 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](C)CCCNC(=O)[O-] ZINC000738767220 581868827 /nfs/dbraw/zinc/86/88/27/581868827.db2.gz UMJAKSZCYHYVKC-CYBMUJFWSA-N 0 1 284.360 0.630 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCN(C)[C@@H](C)C1 ZINC000761074072 581885905 /nfs/dbraw/zinc/88/59/05/581885905.db2.gz AKEROZLTAHKBOE-JSGCOSHPSA-N 0 1 266.389 0.677 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C)[C@@H](C)C1 ZINC000761077459 581886736 /nfs/dbraw/zinc/88/67/36/581886736.db2.gz SVFLZAUOVRUHNE-LBPRGKRZSA-N 0 1 252.362 0.384 20 30 CCEDMN COc1cccc([C@H](C#N)NC(=O)c2ncn[nH]2)c1OC ZINC000746162739 581896911 /nfs/dbraw/zinc/89/69/11/581896911.db2.gz OLDYIOVQWDAWAO-VIFPVBQESA-N 0 1 287.279 0.817 20 30 CCEDMN COc1cccc([C@H](C#N)NC(=O)c2nc[nH]n2)c1OC ZINC000746162739 581896913 /nfs/dbraw/zinc/89/69/13/581896913.db2.gz OLDYIOVQWDAWAO-VIFPVBQESA-N 0 1 287.279 0.817 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1cc(CC)[nH]n1)[C@H]1CCCO1 ZINC000773312455 581920779 /nfs/dbraw/zinc/92/07/79/581920779.db2.gz TXMORFSSMHKHMI-CHWSQXEVSA-N 0 1 276.340 0.952 20 30 CCEDMN C#CC(=O)N[C@@H]1CN(Cc2ccccc2)CC[C@@H]1CO ZINC000761980615 581927407 /nfs/dbraw/zinc/92/74/07/581927407.db2.gz JNPWNKGVPQQYJM-HUUCEWRRSA-N 0 1 272.348 0.619 20 30 CCEDMN Cn1nccc1CCN[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000762542213 581950538 /nfs/dbraw/zinc/95/05/38/581950538.db2.gz AVCMLDPDAPJDNP-AWEZNQCLSA-N 0 1 283.335 0.650 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)CCNC(=O)[O-] ZINC000737492154 581965642 /nfs/dbraw/zinc/96/56/42/581965642.db2.gz AWOJDYBULXHQHK-SNVBAGLBSA-N 0 1 254.286 0.285 20 30 CCEDMN C#C[C@@H](NCCCS(=O)(=O)C(C)C)[C@@H]1CCCO1 ZINC000775784939 582037336 /nfs/dbraw/zinc/03/73/36/582037336.db2.gz MMZZKAOITWCHIF-OLZOCXBDSA-N 0 1 273.398 0.970 20 30 CCEDMN C#C[C@@H](NCC1(CS(C)(=O)=O)CC1)[C@@H]1CCCO1 ZINC000775807282 582041001 /nfs/dbraw/zinc/04/10/01/582041001.db2.gz QRLHSCPGPAJCDO-NEPJUHHUSA-N 0 1 271.382 0.582 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738495650 582055863 /nfs/dbraw/zinc/05/58/63/582055863.db2.gz NEPJZQDLOBYNBK-MNOVXSKESA-N 0 1 269.345 0.609 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738495703 582056516 /nfs/dbraw/zinc/05/65/16/582056516.db2.gz OBDRYIIKGWNLPL-MNOVXSKESA-N 0 1 269.345 0.751 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738495703 582056519 /nfs/dbraw/zinc/05/65/19/582056519.db2.gz OBDRYIIKGWNLPL-MNOVXSKESA-N 0 1 269.345 0.751 20 30 CCEDMN C#CCN(C(=O)NCC(C)(C)N1CCN(C)CC1)C1CC1 ZINC000764676801 582061967 /nfs/dbraw/zinc/06/19/67/582061967.db2.gz FSTOHKKFPQBSBW-UHFFFAOYSA-N 0 1 292.427 0.820 20 30 CCEDMN N#Cc1ccc([C@@H](C(=O)[O-])N2CC[NH+](CCO)CC2)cc1 ZINC000739105413 582102709 /nfs/dbraw/zinc/10/27/09/582102709.db2.gz MAMFLBMKMAUYEJ-AWEZNQCLSA-N 0 1 289.335 0.294 20 30 CCEDMN C#CCN1CCC(NC(=O)CCC(C)(C)O)CC1 ZINC000928653856 613132739 /nfs/dbraw/zinc/13/27/39/613132739.db2.gz CWIXJSJZKKBWLP-UHFFFAOYSA-N 0 1 252.358 0.751 20 30 CCEDMN CN(CC(=O)N[C@H]1CCN(O)C1=O)CC(C)(C)C ZINC000820133700 616049226 /nfs/dbraw/zinc/04/92/26/616049226.db2.gz ZCZJHTNKDHUYHP-VIFPVBQESA-N 0 1 257.334 0.071 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@]1(N(C)C)CCSC1 ZINC000805026461 612984770 /nfs/dbraw/zinc/98/47/70/612984770.db2.gz OTQURAZOMSQUOL-GFCCVEGCSA-N 0 1 290.454 0.757 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(C)C[C@H]1CC ZINC000838548942 612986151 /nfs/dbraw/zinc/98/61/51/612986151.db2.gz WDGMGNJRNZJQKX-GFCCVEGCSA-N 0 1 253.346 0.372 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N[C@@H]1CC[N@H+]2CCC[C@@H]2C1 ZINC000819835502 597634394 /nfs/dbraw/zinc/63/43/94/597634394.db2.gz VUCYNNBXGDQHHF-JTNHKYCSSA-N 0 1 279.340 0.734 20 30 CCEDMN N#CC[N@H+]1CCC[C@@H]1[C@H]1CCCN(C(=O)c2nnc[n-]2)C1 ZINC000963377134 649911096 /nfs/dbraw/zinc/91/10/96/649911096.db2.gz FUOBGSVMLASWBT-NWDGAFQWSA-N 0 1 288.355 0.645 20 30 CCEDMN N#CC[N@@H+]1CCC[C@@H]1[C@H]1CCCN(C(=O)c2nnc[n-]2)C1 ZINC000963377134 649911098 /nfs/dbraw/zinc/91/10/98/649911098.db2.gz FUOBGSVMLASWBT-NWDGAFQWSA-N 0 1 288.355 0.645 20 30 CCEDMN C#CCO[C@@H](C)C(=O)OCCCN1CCO[C@H](C)C1 ZINC000893386147 612998242 /nfs/dbraw/zinc/99/82/42/612998242.db2.gz RCIGLBCVVVMTRD-OLZOCXBDSA-N 0 1 269.341 0.679 20 30 CCEDMN C#CCSCC(=O)N1CC[C@H]2[C@@H]1CCCN2CCO ZINC000889743887 613011065 /nfs/dbraw/zinc/01/10/65/613011065.db2.gz RCIKBLVCGZOSEC-STQMWFEESA-N 0 1 282.409 0.410 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(c2ccn(C)n2)CC1 ZINC000932150737 612947451 /nfs/dbraw/zinc/94/74/51/612947451.db2.gz XCGNKZOCSULWQD-GFCCVEGCSA-N 0 1 274.368 0.737 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000091132108 601019197 /nfs/dbraw/zinc/01/91/97/601019197.db2.gz PCHBDQUIAHNBTJ-SECBINFHSA-N 0 1 258.343 0.181 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000091132108 601019201 /nfs/dbraw/zinc/01/92/01/601019201.db2.gz PCHBDQUIAHNBTJ-SECBINFHSA-N 0 1 258.343 0.181 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828412764 601502635 /nfs/dbraw/zinc/50/26/35/601502635.db2.gz OGBPNCFXBPRVKY-OUAUKWLOSA-N 0 1 267.329 0.399 20 30 CCEDMN C#CCSCCNC(=O)NC[C@H]1CC[N@@H+]1CC ZINC000883443732 613017101 /nfs/dbraw/zinc/01/71/01/613017101.db2.gz FNYPFGALFRBFTG-LLVKDONJSA-N 0 1 255.387 0.746 20 30 CCEDMN C#CCSCCNC(=O)NC[C@H]1CCN1CC ZINC000883443732 613017102 /nfs/dbraw/zinc/01/71/02/613017102.db2.gz FNYPFGALFRBFTG-LLVKDONJSA-N 0 1 255.387 0.746 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)[O-])C1 ZINC000823457186 612949899 /nfs/dbraw/zinc/94/98/99/612949899.db2.gz WIAONUDBMTYTDG-GRYCIOLGSA-N 0 1 281.356 0.492 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](CN2CCCC2=O)C1 ZINC000852681034 612949979 /nfs/dbraw/zinc/94/99/79/612949979.db2.gz CSJBRDFQTDRTCQ-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000823456575 612949988 /nfs/dbraw/zinc/94/99/88/612949988.db2.gz NTGZPSHXEKKDHS-TUAOUCFPSA-N 0 1 279.340 0.341 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000823456575 612949989 /nfs/dbraw/zinc/94/99/89/612949989.db2.gz NTGZPSHXEKKDHS-TUAOUCFPSA-N 0 1 279.340 0.341 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@@H](Cc2ccccc2)[C@H](O)C1 ZINC000934440479 612950162 /nfs/dbraw/zinc/95/01/62/612950162.db2.gz WDGORGBFACKSQO-NUEKZKHPSA-N 0 1 286.375 0.660 20 30 CCEDMN C[C@H](Cn1c(N)nc(-c2nn[nH]n2)c1C#N)C(F)(F)F ZINC000824465231 607657567 /nfs/dbraw/zinc/65/75/67/607657567.db2.gz MSYUHJGTFBHKBM-SCSAIBSYSA-N 0 1 286.221 0.715 20 30 CCEDMN C[C@@H]1C[C@H](CNC(=O)[O-])C[N@H+]1CCC(=O)N(C)CCC#N ZINC000833828733 605750871 /nfs/dbraw/zinc/75/08/71/605750871.db2.gz DTIOBHOINNBEBK-VXGBXAGGSA-N 0 1 296.371 0.727 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)N(C)CC1CN(C)C1 ZINC000859018488 613049972 /nfs/dbraw/zinc/04/99/72/613049972.db2.gz VXRINBGVQBHRSQ-CYBMUJFWSA-N 0 1 267.373 0.618 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NC1CCN(CC#C)CC1 ZINC000923487213 613062858 /nfs/dbraw/zinc/06/28/58/613062858.db2.gz AXHZLUWUDVAKHF-CQSZACIVSA-N 0 1 277.368 0.422 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCc2cnn(C)c2C)o1 ZINC000826322830 607886695 /nfs/dbraw/zinc/88/66/95/607886695.db2.gz CZSHSAOEBWRNKL-UHFFFAOYSA-N 0 1 287.287 0.651 20 30 CCEDMN C#CCNCC(=O)N(C)CCOc1ccc(OC)cc1 ZINC000105964772 612956335 /nfs/dbraw/zinc/95/63/35/612956335.db2.gz ABYGJGOUMQLLSQ-UHFFFAOYSA-N 0 1 276.336 0.755 20 30 CCEDMN COCC#CCn1cc(-c2nn[nH]n2)c(=O)c2ccccc21 ZINC000826147719 608096939 /nfs/dbraw/zinc/09/69/39/608096939.db2.gz JKBOFJQTTMVREJ-UHFFFAOYSA-N 0 1 295.302 0.831 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000820412386 613080881 /nfs/dbraw/zinc/08/08/81/613080881.db2.gz MKLQSANMCMRHOZ-NEPJUHHUSA-N 0 1 267.373 0.495 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCCO2)C1 ZINC000971111093 613090492 /nfs/dbraw/zinc/09/04/92/613090492.db2.gz XYKABXJNAJFACL-STQMWFEESA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCOC2)C1 ZINC000971177426 613092885 /nfs/dbraw/zinc/09/28/85/613092885.db2.gz PFIZKBVKYSIRJV-STQMWFEESA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(CCNS(=O)(=O)c1cnn(C)c1)C(C)(C)C ZINC000932463593 613107748 /nfs/dbraw/zinc/10/77/48/613107748.db2.gz GOQCZRJLKGGSCI-UHFFFAOYSA-N 0 1 298.412 0.432 20 30 CCEDMN C#CC1(O)CN(C(=O)c2cn[nH]c2-c2cnn(CC)c2)C1 ZINC000890525135 612958785 /nfs/dbraw/zinc/95/87/85/612958785.db2.gz ZPCVRRDXUWYJHY-UHFFFAOYSA-N 0 1 285.307 0.113 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@@H]1CCC1(OC)OC ZINC000926126369 613885895 /nfs/dbraw/zinc/88/58/95/613885895.db2.gz JHWSDVQCBDTGQV-SNVBAGLBSA-N 0 1 263.359 0.328 20 30 CCEDMN C=CCONC(=O)[C@@H]1CS(=O)(=O)C[C@H]1C(F)(F)F ZINC000823421056 613884425 /nfs/dbraw/zinc/88/44/25/613884425.db2.gz KCZMHEOWPYJKPE-RNFRBKRXSA-N 0 1 287.259 0.443 20 30 CCEDMN C#CCC1(O)CCN(CCNC(=O)NC(C)C)CC1 ZINC000880482558 612973345 /nfs/dbraw/zinc/97/33/45/612973345.db2.gz NFGKQUCDYRJZIZ-UHFFFAOYSA-N 0 1 267.373 0.544 20 30 CCEDMN C#CCOCCC(=O)NCCCCN1CC[NH+](C)CC1 ZINC000119394596 612979216 /nfs/dbraw/zinc/97/92/16/612979216.db2.gz MALIYZIPXLDQEU-UHFFFAOYSA-N 0 1 281.400 0.170 20 30 CCEDMN COc1ccc(C)cc1CC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834511516 617237472 /nfs/dbraw/zinc/23/74/72/617237472.db2.gz LUALRSYNMLGPFC-OLZOCXBDSA-N 0 1 273.336 0.774 20 30 CCEDMN COc1ccc(CN[C@@]2(C)CCNC2=O)cc1C#N ZINC000897871185 617259970 /nfs/dbraw/zinc/25/99/70/617259970.db2.gz JENDJTOIIFRYAC-AWEZNQCLSA-N 0 1 259.309 0.935 20 30 CCEDMN COc1ccc(NC(=O)[C@H]2CNCCO2)cc1C#N ZINC000877270212 617271043 /nfs/dbraw/zinc/27/10/43/617271043.db2.gz GLBNLLXSQGABSA-GFCCVEGCSA-N 0 1 261.281 0.494 20 30 CCEDMN COc1ccc(OCC(=O)N[C@@H]2CNC[C@H]2C#N)cc1C ZINC000834480426 617276459 /nfs/dbraw/zinc/27/64/59/617276459.db2.gz PXVABDRBJZXFGF-DGCLKSJQSA-N 0 1 289.335 0.610 20 30 CCEDMN COc1ccc2[nH]cc(CC(=O)N[C@@H]3CNC[C@@H]3C#N)c2c1 ZINC000846677546 617282905 /nfs/dbraw/zinc/28/29/05/617282905.db2.gz NOIMJYLWVRXIMQ-XHDPSFHLSA-N 0 1 298.346 0.947 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](N(C)C(=O)CN2CCCC2)C1 ZINC000939406755 617290960 /nfs/dbraw/zinc/29/09/60/617290960.db2.gz UQKVZCHCHRRZLJ-QWHCGFSZSA-N 0 1 292.383 0.301 20 30 CCEDMN COc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1C ZINC000852827567 617293668 /nfs/dbraw/zinc/29/36/68/617293668.db2.gz LGLPBKHFAFZOIZ-JQWIXIFHSA-N 0 1 259.309 0.845 20 30 CCEDMN COc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1OC ZINC000834511131 617293735 /nfs/dbraw/zinc/29/37/35/617293735.db2.gz INBHXFMHXZLTCN-ONGXEEELSA-N 0 1 275.308 0.545 20 30 CCEDMN COc1cccc(NC(=O)N[C@H]2CNC[C@H]2C#N)c1OC ZINC000886976594 617303976 /nfs/dbraw/zinc/30/39/76/617303976.db2.gz GIXDXBXCBBDJFK-KOLCDFICSA-N 0 1 290.323 0.937 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823653605 617300566 /nfs/dbraw/zinc/30/05/66/617300566.db2.gz CGYGZYZIYWBSSN-WDEREUQCSA-N 0 1 282.344 0.431 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823653605 617300567 /nfs/dbraw/zinc/30/05/67/617300567.db2.gz CGYGZYZIYWBSSN-WDEREUQCSA-N 0 1 282.344 0.431 20 30 CCEDMN C#CC(=O)NCCCN1CCN(c2ccccc2)CC1 ZINC000753947513 612945571 /nfs/dbraw/zinc/94/55/71/612945571.db2.gz PEUOQPOAOAJPMK-UHFFFAOYSA-N 0 1 271.364 0.948 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(CC1CC1)[C@@H](C(N)=O)C1CC1 ZINC000879491845 612947686 /nfs/dbraw/zinc/94/76/86/612947686.db2.gz BKXNNAPDCAFEFP-ZWNOBZJWSA-N 0 1 277.368 0.100 20 30 CCEDMN C#CCNC(=O)[C@H](C)N[C@H]1C(=O)NCC1(CC)CC ZINC000933292162 612950518 /nfs/dbraw/zinc/95/05/18/612950518.db2.gz DMNFMFANKYMDNQ-QWRGUYRKSA-N 0 1 265.357 0.019 20 30 CCEDMN C#CC1(O)CN(C(=O)c2cccc(Br)c2O)C1 ZINC000890523389 612959406 /nfs/dbraw/zinc/95/94/06/612959406.db2.gz YKVRKCXGNVVPBT-UHFFFAOYSA-N 0 1 296.120 0.975 20 30 CCEDMN C#CCC(CC#C)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896545790 612967655 /nfs/dbraw/zinc/96/76/55/612967655.db2.gz QEPNZHILQVKDNB-DZGCQCFKSA-N 0 1 275.396 0.400 20 30 CCEDMN C#CC[NH2+][C@H]1CCC[C@@H](NC(=O)c2[n-]nnc2C)C1 ZINC000981263312 612972030 /nfs/dbraw/zinc/97/20/30/612972030.db2.gz NYVVVKSHAIHKPZ-WDEREUQCSA-N 0 1 261.329 0.377 20 30 CCEDMN C#CCCCCCN1CCN(C(=O)[C@H](C)O)CC1 ZINC000878329917 612976916 /nfs/dbraw/zinc/97/69/16/612976916.db2.gz BTDVLTBOYXSUCY-ZDUSSCGKSA-N 0 1 252.358 0.705 20 30 CCEDMN C#CCCCCNC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000838514145 612977643 /nfs/dbraw/zinc/97/76/43/612977643.db2.gz BUTOJFCFPFLKMH-OKILXGFUSA-N 0 1 281.400 0.886 20 30 CCEDMN C#CCCCNC(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC000805847861 612980235 /nfs/dbraw/zinc/98/02/35/612980235.db2.gz VGMKGMFUHVRJPF-UHFFFAOYSA-N 0 1 262.313 0.118 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000867335282 612984832 /nfs/dbraw/zinc/98/48/32/612984832.db2.gz IIJSWWYPZYBCIQ-NSHDSACASA-N 0 1 269.370 0.982 20 30 CCEDMN C#CCONC(=O)Cc1csc(S[C@@H](C)C(N)=O)n1 ZINC000880080073 612992519 /nfs/dbraw/zinc/99/25/19/612992519.db2.gz ZFMWZHLCXFATBB-ZETCQYMHSA-N 0 1 299.377 0.333 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc(OC)no2)CC1 ZINC000981019887 613005807 /nfs/dbraw/zinc/00/58/07/613005807.db2.gz FXGKGRKIKCGWFV-UHFFFAOYSA-N 0 1 277.324 0.854 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C2(C(=O)[O-])CCC2)CC1 ZINC000911252860 613009118 /nfs/dbraw/zinc/00/91/18/613009118.db2.gz XWNJUHRBGNPESA-UHFFFAOYSA-N 0 1 264.325 0.409 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C[C@@H]2CCCN2C(=O)[O-])CC1 ZINC000823444475 613009218 /nfs/dbraw/zinc/00/92/18/613009218.db2.gz JPKMEBVZYMKVFY-ZDUSSCGKSA-N 0 1 293.367 0.686 20 30 CCEDMN C#CCCN1CCN(Cc2cc(=O)n3ccccc3n2)CC1 ZINC000119472837 613011596 /nfs/dbraw/zinc/01/15/96/613011596.db2.gz HJHOHYXLDAOCFY-UHFFFAOYSA-N 0 1 296.374 0.835 20 30 CCEDMN C#CCC[NH+]1CCN(c2ncc(C(=O)[O-])s2)CC1 ZINC000910347291 613012292 /nfs/dbraw/zinc/01/22/92/613012292.db2.gz WWMBQMVCGDUCBM-UHFFFAOYSA-N 0 1 265.338 0.987 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2ncn(C)n2)C1 ZINC000965994798 613016424 /nfs/dbraw/zinc/01/64/24/613016424.db2.gz ADDMNGHHDFWDES-VXGBXAGGSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](C)C1 ZINC000941964877 613021387 /nfs/dbraw/zinc/02/13/87/613021387.db2.gz VFPUPTSWLDLFQG-CMPLNLGQSA-N 0 1 290.367 0.887 20 30 CCEDMN COc1cccc2c1CC[C@@]2(CO)NCC(=O)NCC#N ZINC000880381021 617311515 /nfs/dbraw/zinc/31/15/15/617311515.db2.gz NGBJHPBVUIUTCG-HNNXBMFYSA-N 0 1 289.335 0.058 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)NC[C@@H]1C[N@@H+](CC(C)C)CCO1 ZINC000913920278 613025593 /nfs/dbraw/zinc/02/55/93/613025593.db2.gz LEHFHPXRVPIEMB-UONOGXRCSA-N 0 1 297.399 0.027 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000913920278 613025595 /nfs/dbraw/zinc/02/55/95/613025595.db2.gz LEHFHPXRVPIEMB-UONOGXRCSA-N 0 1 297.399 0.027 20 30 CCEDMN C#CC[C@@H](CO)NS(=O)(=O)c1cc2cn[nH]c2cc1C ZINC000882340384 613030814 /nfs/dbraw/zinc/03/08/14/613030814.db2.gz OEDYDCBKNATGFR-NSHDSACASA-N 0 1 293.348 0.534 20 30 CCEDMN C#CCC[NH+]1C[C@H](NC(=O)[C@H]2CCC[N@@H+]2C)C(C)(C)C1 ZINC000972860303 613038123 /nfs/dbraw/zinc/03/81/23/613038123.db2.gz PORVCVRIVVRTCF-KGLIPLIRSA-N 0 1 277.412 0.931 20 30 CCEDMN C#CC[C@@H](COC)N[C@H](Cc1ccc(F)cc1)C(N)=O ZINC000863389848 613038772 /nfs/dbraw/zinc/03/87/72/613038772.db2.gz DMFXYAYCIHWCCY-UONOGXRCSA-N 0 1 278.327 0.850 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)[C@]2(C)C[C@@H](O)CN2C)C1 ZINC000911514747 613044679 /nfs/dbraw/zinc/04/46/79/613044679.db2.gz ADSGWNOXPKMIFH-NFAWXSAZSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)[C@@]2(C)C[C@@H](O)CN2C)C1 ZINC000912985271 613046378 /nfs/dbraw/zinc/04/63/78/613046378.db2.gz CQKFTMGEZRRFKM-YRGRVCCFSA-N 0 1 250.342 0.313 20 30 CCEDMN C#CC[C@@H]1NC(=O)N(C2CCN([C@@H]3CCOC3)CC2)C1=O ZINC000853086277 613047488 /nfs/dbraw/zinc/04/74/88/613047488.db2.gz JRKQJDKWSIBHRJ-OLZOCXBDSA-N 0 1 291.351 0.183 20 30 CCEDMN C#CC[C@H](CO)NC(=O)NC[C@H]1C[N@@H+](CC(C)C)CCO1 ZINC000913920277 613054881 /nfs/dbraw/zinc/05/48/81/613054881.db2.gz LEHFHPXRVPIEMB-KGLIPLIRSA-N 0 1 297.399 0.027 20 30 CCEDMN C#CC[C@H](CO)NC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000913920277 613054883 /nfs/dbraw/zinc/05/48/83/613054883.db2.gz LEHFHPXRVPIEMB-KGLIPLIRSA-N 0 1 297.399 0.027 20 30 CCEDMN C#CC[C@H](CO)NCCS(=O)(=O)c1ccccc1 ZINC000843076021 613058468 /nfs/dbraw/zinc/05/84/68/613058468.db2.gz CJAZYJBMESIQHC-GFCCVEGCSA-N 0 1 267.350 0.434 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000798441240 613061826 /nfs/dbraw/zinc/06/18/26/613061826.db2.gz URQIYEDZIWIKGD-NWDGAFQWSA-N 0 1 276.340 0.861 20 30 CCEDMN C#CC[C@H](NC(=O)CN(CC)C1CC1)C(=O)OC ZINC000837949734 613067795 /nfs/dbraw/zinc/06/77/95/613067795.db2.gz FCPSCMKDMJNYCW-NSHDSACASA-N 0 1 252.314 0.152 20 30 CCEDMN C#CC[C@H](NC(=O)CN1[C@@H](C)CC[C@@H]1C)C(=O)OC ZINC000837943519 613067931 /nfs/dbraw/zinc/06/79/31/613067931.db2.gz RLHUGVJACZASCT-SRVKXCTJSA-N 0 1 266.341 0.540 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H](CSC)C(=O)[O-] ZINC000909038205 613077104 /nfs/dbraw/zinc/07/71/04/613077104.db2.gz UKPYVHWJEDPADU-SNVBAGLBSA-N 0 1 287.385 0.057 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(=O)Nc2ccccc2)C1 ZINC000971861257 613079678 /nfs/dbraw/zinc/07/96/78/613079678.db2.gz KLIVGPFWXVUHQM-CQSZACIVSA-N 0 1 285.347 0.791 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000820413048 613080631 /nfs/dbraw/zinc/08/06/31/613080631.db2.gz SWQZHQDNGHYJMU-LLVKDONJSA-N 0 1 253.346 0.106 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cn2ccc(C(F)F)n2)C1 ZINC000971653753 613082023 /nfs/dbraw/zinc/08/20/23/613082023.db2.gz BXKVRLLSSGTXQL-LLVKDONJSA-N 0 1 296.321 0.987 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCOCC2)C1 ZINC000971432197 613082623 /nfs/dbraw/zinc/08/26/23/613082623.db2.gz HZGOQNGBSJIEBB-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc3n[nH]nc3n2)C1 ZINC000971645724 613086497 /nfs/dbraw/zinc/08/64/97/613086497.db2.gz VMEPSPFNSAPAJB-SNVBAGLBSA-N 0 1 284.323 0.132 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1ccc2cc[nH]c2c1 ZINC000905364302 613090422 /nfs/dbraw/zinc/09/04/22/613090422.db2.gz DCZQTKLFGYIKIX-QWHCGFSZSA-N 0 1 274.320 0.491 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)c2cnn(C)c2)C1 ZINC000980573981 613092291 /nfs/dbraw/zinc/09/22/91/613092291.db2.gz PGQHYZQUFHTZED-OCCSQVGLSA-N 0 1 274.368 0.690 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(CC)n(C)n2)C1 ZINC000980554108 613093976 /nfs/dbraw/zinc/09/39/76/613093976.db2.gz XEPBWULNBSJYBG-ZDUSSCGKSA-N 0 1 274.368 0.762 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(C(N)=O)cs2)C1 ZINC000980502284 613094068 /nfs/dbraw/zinc/09/40/68/613094068.db2.gz FTXMHGVZJGXCKX-NSHDSACASA-N 0 1 291.376 0.627 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(CC)cn2)C1 ZINC000972744002 613096081 /nfs/dbraw/zinc/09/60/81/613096081.db2.gz VYLZUMOCOXKBGD-LBPRGKRZSA-N 0 1 260.341 0.683 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc3c(cnn3C)c2)C1 ZINC000972613730 613096218 /nfs/dbraw/zinc/09/62/18/613096218.db2.gz XGEGGPQYOHAAES-AWEZNQCLSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn(C)c2CC)C1 ZINC000971856340 613096743 /nfs/dbraw/zinc/09/67/43/613096743.db2.gz QAYQADDCGIUWEN-LBPRGKRZSA-N 0 1 274.368 0.762 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1cn(C)cn1 ZINC000922024849 613097971 /nfs/dbraw/zinc/09/79/71/613097971.db2.gz TUWOBMFQKPOOGL-UHFFFAOYSA-N 0 1 296.396 0.138 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)C1(C)CC1 ZINC000922022129 613097988 /nfs/dbraw/zinc/09/79/88/613097988.db2.gz IIWXULYKXIARKL-UHFFFAOYSA-N 0 1 270.398 0.898 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)N(C)C1CC1 ZINC000922024288 613098211 /nfs/dbraw/zinc/09/82/11/613098211.db2.gz OOHXBNVAZKKWJB-UHFFFAOYSA-N 0 1 285.413 0.355 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@H](O)c1c[nH]cn1 ZINC000869247302 613104706 /nfs/dbraw/zinc/10/47/06/613104706.db2.gz GVCKTTZNDNBXHN-GFCCVEGCSA-N 0 1 293.323 0.247 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)[C@]2(C)C[C@H](O)CN2C)C1 ZINC000912046821 613105538 /nfs/dbraw/zinc/10/55/38/613105538.db2.gz INUIFVYMFZVPAT-DYEKYZERSA-N 0 1 250.342 0.313 20 30 CCEDMN COc1ccccc1NC(=O)N[C@H]1CNC[C@H]1C#N ZINC000841001880 617316265 /nfs/dbraw/zinc/31/62/65/617316265.db2.gz XTAQZMABOVZLPE-KOLCDFICSA-N 0 1 260.297 0.928 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC([C@@H]3CCOC3)CC2)C1=O ZINC000821772059 613109617 /nfs/dbraw/zinc/10/96/17/613109617.db2.gz WVYMZQCUONKXGO-KGLIPLIRSA-N 0 1 290.363 0.496 20 30 CCEDMN C#C[C@@](C)(CC)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000854701597 613112281 /nfs/dbraw/zinc/11/22/81/613112281.db2.gz SVSLVIAJOWSRLN-AWEZNQCLSA-N 0 1 286.339 0.707 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000820389626 613115605 /nfs/dbraw/zinc/11/56/05/613115605.db2.gz RLRNVPXJGHDGCR-UONOGXRCSA-N 0 1 279.384 0.904 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000820435074 613116006 /nfs/dbraw/zinc/11/60/06/613116006.db2.gz DUNJDPRLKYUSCE-YNEHKIRRSA-N 0 1 265.357 0.249 20 30 CCEDMN C#CCN1CC([C@@H](C)NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000970709947 613116661 /nfs/dbraw/zinc/11/66/61/613116661.db2.gz FLDGHCCGXJABMJ-VXGBXAGGSA-N 0 1 286.379 0.584 20 30 CCEDMN C#C[C@H](C)NC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000847401843 613117765 /nfs/dbraw/zinc/11/77/65/613117765.db2.gz LFEUMZNRPCIICG-DTWKUNHWSA-N 0 1 263.301 0.477 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H](C)CCO)CC1 ZINC000846599668 613128320 /nfs/dbraw/zinc/12/83/20/613128320.db2.gz HKUCSJODSWLABV-LBPRGKRZSA-N 0 1 252.358 0.561 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)CC(=O)N(C)C)CC1 ZINC000919966825 613128708 /nfs/dbraw/zinc/12/87/08/613128708.db2.gz ITIDLGKRWFFNBA-UHFFFAOYSA-N 0 1 279.384 0.268 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(C(=O)OC)CC2)CC1 ZINC000928654136 613132292 /nfs/dbraw/zinc/13/22/92/613132292.db2.gz OQURYLMVRSKLET-UHFFFAOYSA-N 0 1 264.325 0.153 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C\[C@H]2CCCO2)CC1 ZINC000928649119 613132434 /nfs/dbraw/zinc/13/24/34/613132434.db2.gz GLVIKYLGLQMSGP-GEXIGZQTSA-N 0 1 262.353 0.935 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2nonc2C)CC1 ZINC000837525766 613132442 /nfs/dbraw/zinc/13/24/42/613132442.db2.gz VWAHOQMTGWHCPD-UHFFFAOYSA-N 0 1 263.297 0.766 20 30 CCEDMN C#C[C@H](NC(=O)CCCc1nn[nH]n1)C1CCOCC1 ZINC000824694071 613132963 /nfs/dbraw/zinc/13/29/63/613132963.db2.gz SSJPVJXZFVWOPM-NSHDSACASA-N 0 1 277.328 0.067 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCn2cccc2)CC1 ZINC000921368916 613133918 /nfs/dbraw/zinc/13/39/18/613133918.db2.gz DIYPTKMUEXNOQC-UHFFFAOYSA-N 0 1 274.368 0.885 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC[C@H](C)OC)CC1 ZINC000916176632 613133933 /nfs/dbraw/zinc/13/39/33/613133933.db2.gz LDKBWVUTXAWKIY-LBPRGKRZSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC(C)(C)C#N)CC1 ZINC000921888984 613134074 /nfs/dbraw/zinc/13/40/74/613134074.db2.gz XPCZGYZFRWJJOK-UHFFFAOYSA-N 0 1 262.357 0.933 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H]2CC(C)=NO2)CC1 ZINC000923526497 613134531 /nfs/dbraw/zinc/13/45/31/613134531.db2.gz DVKDBIZOFUTXGL-ZDUSSCGKSA-N 0 1 278.356 0.548 20 30 CCEDMN C#CCN1CCC(NC(=O)NOCCOCC)CC1 ZINC000922035634 613134622 /nfs/dbraw/zinc/13/46/22/613134622.db2.gz IVMIVKSJSPKQDJ-UHFFFAOYSA-N 0 1 269.345 0.351 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCCOC2)CC1 ZINC000917115582 613134904 /nfs/dbraw/zinc/13/49/04/613134904.db2.gz GJDOTVXNZGPMNR-CYBMUJFWSA-N 0 1 265.357 0.562 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2C[C@H](C)OC2=O)CC1 ZINC000896379027 613135205 /nfs/dbraw/zinc/13/52/05/613135205.db2.gz BZZOBKYUKXKNGX-JQWIXIFHSA-N 0 1 279.340 0.087 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](CC)COC)CC1 ZINC000919720461 613135286 /nfs/dbraw/zinc/13/52/86/613135286.db2.gz GHRSTWQQARTDQB-GFCCVEGCSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cn(C)nc2OC)CC1 ZINC000931050545 613135387 /nfs/dbraw/zinc/13/53/87/613135387.db2.gz FFOARPGHVHQZDE-UHFFFAOYSA-N 0 1 291.355 0.648 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](CC(C)C)NC(C)=O)CC1 ZINC000928654086 613136255 /nfs/dbraw/zinc/13/62/55/613136255.db2.gz MUYPMYWCHOMIBJ-HNNXBMFYSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CC(=O)N(CC)C2)CC1 ZINC000928657313 613136634 /nfs/dbraw/zinc/13/66/34/613136634.db2.gz WPNKYMUOCDETNA-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@]2(C)CCN(C(C)=O)C2)CC1 ZINC000928656504 613136709 /nfs/dbraw/zinc/13/67/09/613136709.db2.gz XEHMJLOKNHKFOD-MRXNPFEDSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCOC[C@H]2C)CC1 ZINC000928652736 613136731 /nfs/dbraw/zinc/13/67/31/613136731.db2.gz KKWNCBRNCHNJEQ-OCCSQVGLSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C#N)ccn2)CC1 ZINC000928653338 613137159 /nfs/dbraw/zinc/13/71/59/613137159.db2.gz IMNYAPIVEDMVLO-UHFFFAOYSA-N 0 1 268.320 0.781 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nc(CC)no2)CC1 ZINC000902944642 613138269 /nfs/dbraw/zinc/13/82/69/613138269.db2.gz HXWIBFXIGSQLIQ-UHFFFAOYSA-N 0 1 262.313 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)c2coc(OC)n2)CC1 ZINC000928646261 613138353 /nfs/dbraw/zinc/13/83/53/613138353.db2.gz AVDVSIZYRQRRHC-UHFFFAOYSA-N 0 1 263.297 0.511 20 30 CCEDMN C#CCN1CCC(Nc2ccc3nncn3n2)CC1 ZINC000895804122 613138652 /nfs/dbraw/zinc/13/86/52/613138652.db2.gz NVBQGEFYLMOAEM-UHFFFAOYSA-N 0 1 256.313 0.634 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CCc3ccncn3)C2)C1 ZINC000981795576 613140819 /nfs/dbraw/zinc/14/08/19/613140819.db2.gz AFMMTWUVOJNUHK-UHFFFAOYSA-N 0 1 298.390 0.967 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C[C@H]3CCC(=O)N3)C2)C1 ZINC000982067934 613141096 /nfs/dbraw/zinc/14/10/96/613141096.db2.gz UFLLZYQNKWQERU-CYBMUJFWSA-N 0 1 289.379 0.213 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](CC)OC)C2)C1 ZINC000981658279 613141964 /nfs/dbraw/zinc/14/19/64/613141964.db2.gz GKNRTIYQVKOPPU-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CCC(=O)N3C)C2)C1 ZINC000981959058 613142984 /nfs/dbraw/zinc/14/29/84/613142984.db2.gz XMUWIBDDYBNGIO-CYBMUJFWSA-N 0 1 289.379 0.165 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnn(C)c3C)C2)C1 ZINC000981621396 613144656 /nfs/dbraw/zinc/14/46/56/613144656.db2.gz CGVSLPNGGHPBFA-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1 ZINC000969118174 613145330 /nfs/dbraw/zinc/14/53/30/613145330.db2.gz HKGFXPZSWUAOSK-OLZOCXBDSA-N 0 1 277.368 0.259 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)[C@@H]1CCCO1 ZINC000832418294 613145829 /nfs/dbraw/zinc/14/58/29/613145829.db2.gz NRNQDEVHQLSZOD-SUNKGSAMSA-N 0 1 273.336 0.812 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC000968907312 613146299 /nfs/dbraw/zinc/14/62/99/613146299.db2.gz QKHXAAIFBWIPOY-ZIAGYGMSSA-N 0 1 291.395 0.649 20 30 CCEDMN C#C[C@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)[C@H]1CCCO1 ZINC000832418290 613147598 /nfs/dbraw/zinc/14/75/98/613147598.db2.gz NRNQDEVHQLSZOD-COPLHBTASA-N 0 1 273.336 0.812 20 30 CCEDMN C#C[C@H](NCCc1nnn(C(C)(C)C)n1)[C@H]1CCCO1 ZINC000851949124 613150470 /nfs/dbraw/zinc/15/04/70/613150470.db2.gz AGLMERQQYNOSJS-NWDGAFQWSA-N 0 1 277.372 0.741 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCCCc2cn[nH]n2)C1 ZINC000923566019 613165719 /nfs/dbraw/zinc/16/57/19/613165719.db2.gz MSYRUMANGTZEPO-CQSZACIVSA-N 0 1 289.383 0.731 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2cc(=O)[nH]c(COC)n2)C1 ZINC000895199551 613176343 /nfs/dbraw/zinc/17/63/43/613176343.db2.gz BGRDWHLIKHILSD-LLVKDONJSA-N 0 1 276.340 0.838 20 30 CCEDMN C#CCN1CCC[C@@H](Oc2ccnc(C(=O)NC)c2)C1 ZINC000878783803 613176367 /nfs/dbraw/zinc/17/63/67/613176367.db2.gz AUYHKNITXPRKGE-CYBMUJFWSA-N 0 1 273.336 0.918 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCC(=O)O1 ZINC000870195755 613177026 /nfs/dbraw/zinc/17/70/26/613177026.db2.gz VMIWDMVIYHYPFC-GHMZBOCLSA-N 0 1 251.282 0.333 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCN2CCC[C@H]2C(N)=O)cc1 ZINC000899130633 613178059 /nfs/dbraw/zinc/17/80/59/613178059.db2.gz HFWVDURCVREQIU-HNNXBMFYSA-N 0 1 299.374 0.738 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)C2CN(CCOC)C2)nc1 ZINC000970764532 613188302 /nfs/dbraw/zinc/18/83/02/613188302.db2.gz VNSRBWYMLQDORS-GFCCVEGCSA-N 0 1 287.363 0.759 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@H](C)CC(=O)[O-] ZINC000909479782 613199327 /nfs/dbraw/zinc/19/93/27/613199327.db2.gz UGICMSOSUORAAV-MNOVXSKESA-N 0 1 252.314 0.311 20 30 CCEDMN C#Cc1cccc(CNC(=O)[C@]2(C)C[C@@H](O)CN2C)c1 ZINC000909389863 613201170 /nfs/dbraw/zinc/20/11/70/613201170.db2.gz BJUCQTGFPUJKPR-ZBFHGGJFSA-N 0 1 272.348 0.739 20 30 CCEDMN C#Cc1cccc(CNC(=O)[C@@]2(C)C[C@@H](O)CN2C)c1 ZINC000909389857 613201187 /nfs/dbraw/zinc/20/11/87/613201187.db2.gz BJUCQTGFPUJKPR-GDBMZVCRSA-N 0 1 272.348 0.739 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@]1(C)CCC(=O)N1 ZINC000873501702 613203616 /nfs/dbraw/zinc/20/36/16/613203616.db2.gz JKQUOGSFUKZLNS-FZMZJTMJSA-N 0 1 264.325 0.296 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@@H](C)O[C@@H](C)C2)CC1 ZINC000852588547 613205271 /nfs/dbraw/zinc/20/52/71/613205271.db2.gz GZXGECXFAKCGPO-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCCN(C[C@H](C)O)CC2)c1 ZINC000981923095 613212774 /nfs/dbraw/zinc/21/27/74/613212774.db2.gz IZEGCEBKASCUPV-ZDUSSCGKSA-N 0 1 287.363 0.592 20 30 CCEDMN C#Cc1cncc(C(=O)NCc2n[nH]c([C@H]3CCCO3)n2)c1 ZINC000848272889 613218288 /nfs/dbraw/zinc/21/82/88/613218288.db2.gz MAOXCCMKMCDGIL-GFCCVEGCSA-N 0 1 297.318 0.963 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000967187748 613219703 /nfs/dbraw/zinc/21/97/03/613219703.db2.gz XCAQWYUCFHYBOB-TZMCWYRMSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC000965845765 613220167 /nfs/dbraw/zinc/22/01/67/613220167.db2.gz QDXDXPQMLXVDNJ-DGCLKSJQSA-N 0 1 273.336 0.861 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H](C)C2CN(CCO)C2)c1 ZINC000970402321 613220846 /nfs/dbraw/zinc/22/08/46/613220846.db2.gz XLLPCXKCDHNTDU-LLVKDONJSA-N 0 1 273.336 0.105 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CN(CCO)CC[C@H]2C)c1 ZINC000968317301 613222462 /nfs/dbraw/zinc/22/24/62/613222462.db2.gz JIWIHTWCAYORSL-DOMZBBRYSA-N 0 1 287.363 0.495 20 30 CCEDMN C=C(Br)CNC[C@H](O)CC1(O)CCOCC1 ZINC000872199168 613238822 /nfs/dbraw/zinc/23/88/22/613238822.db2.gz KJRQDYPNGFZVLW-SNVBAGLBSA-N 0 1 294.189 0.777 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc(OC)nc2)[C@@H](C)C1 ZINC000943951702 613240517 /nfs/dbraw/zinc/24/05/17/613240517.db2.gz WCISYDVDVUTEAI-WCQYABFASA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2coc(C(N)=O)c2)C[C@@H]1C ZINC000947563664 613242770 /nfs/dbraw/zinc/24/27/70/613242770.db2.gz JCFQFIFZFYHHLS-CMPLNLGQSA-N 0 1 289.335 0.594 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC000950457705 613245681 /nfs/dbraw/zinc/24/56/81/613245681.db2.gz ICXIPXXQDXTAKV-ZDUSSCGKSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)CN2CCCC2=O)C1 ZINC000964957793 613251606 /nfs/dbraw/zinc/25/16/06/613251606.db2.gz BPSKGODXZAQFQS-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C[C@H]2CCNC2=O)CC1 ZINC000948734102 613258314 /nfs/dbraw/zinc/25/83/14/613258314.db2.gz OIUZTSUIHHOSMX-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC000948843771 613260578 /nfs/dbraw/zinc/26/05/78/613260578.db2.gz IGHZCSVDDYDWAF-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C[C@H]1C ZINC000948045429 613265152 /nfs/dbraw/zinc/26/51/52/613265152.db2.gz ZEWTXZJPMDTYCJ-PWSUYJOCSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncccn2)[C@@H](C)C1 ZINC000943737622 613277963 /nfs/dbraw/zinc/27/79/63/613277963.db2.gz DCIAAWWJUHWRBY-RYUDHWBXSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncn(C)n2)C(C)(C)C1 ZINC000940727498 613278062 /nfs/dbraw/zinc/27/80/62/613278062.db2.gz XXULLXCVFJMPNT-NSHDSACASA-N 0 1 275.356 0.279 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nnn(C)c2C)C(C)(C)C1 ZINC000940648001 613278470 /nfs/dbraw/zinc/27/84/70/613278470.db2.gz VBOWAOGPZWNLBI-LBPRGKRZSA-N 0 1 289.383 0.587 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C)cc2)C1 ZINC000958608873 613286140 /nfs/dbraw/zinc/28/61/40/613286140.db2.gz BRBIWZNMVLBLMV-LSDHHAIUSA-N 0 1 272.348 0.651 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(F)ccc2C)C1 ZINC000958291334 613286202 /nfs/dbraw/zinc/28/62/02/613286202.db2.gz PBJVRMDZEYYLPE-SWLSCSKDSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(CCC)on2)C1 ZINC000958551406 613286212 /nfs/dbraw/zinc/28/62/12/613286212.db2.gz KWBOSJNZLHZZKE-SMDDNHRTSA-N 0 1 291.351 0.283 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2conc2C(C)C)C1 ZINC000958129869 613288091 /nfs/dbraw/zinc/28/80/91/613288091.db2.gz ADKOLJKRWQGVKI-WCQYABFASA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)[C@H](O)C1 ZINC000958318485 613288328 /nfs/dbraw/zinc/28/83/28/613288328.db2.gz DENDSMWWLPLWBK-MBJXGIAVSA-N 0 1 262.353 0.075 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc3occc3[nH]2)[C@H](O)C1 ZINC000958113309 613288866 /nfs/dbraw/zinc/28/88/66/613288866.db2.gz WUROXKYFBZZSAY-ZWNOBZJWSA-N 0 1 287.319 0.417 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc3c[nH]ccc-3n2)[C@H](O)C1 ZINC000958179519 613289098 /nfs/dbraw/zinc/28/90/98/613289098.db2.gz OGBPMKMXZBYSMZ-IUODEOHRSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C)c(C)c2)[C@H](O)C1 ZINC000958640073 613289222 /nfs/dbraw/zinc/28/92/22/613289222.db2.gz OSCCYAXPTLQIQL-HZPDHXFCSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CC[N@@H+]1C[C@@]2(C)CN(C(=O)Cc3nnc[n-]3)C[C@@]2(C)C1 ZINC000982725611 613292636 /nfs/dbraw/zinc/29/26/36/613292636.db2.gz MTFNFKYLUCYBKX-GASCZTMLSA-N 0 1 287.367 0.151 20 30 CCEDMN C#CC[N@H+]1C[C@@]2(C)CN(C(=O)Cc3nnc[n-]3)C[C@@]2(C)C1 ZINC000982725611 613292637 /nfs/dbraw/zinc/29/26/37/613292637.db2.gz MTFNFKYLUCYBKX-GASCZTMLSA-N 0 1 287.367 0.151 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3cn(C)cn3)C[C@]2(C)C1 ZINC000982259109 613293636 /nfs/dbraw/zinc/29/36/36/613293636.db2.gz VCSSGMXUZNZJNT-IYBDPMFKSA-N 0 1 286.379 0.837 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)n(C)c2C)C1 ZINC000958652527 613294827 /nfs/dbraw/zinc/29/48/27/613294827.db2.gz ANJDMKATAPCVMR-ZFWWWQNUSA-N 0 1 289.379 0.298 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C)nc2)C1 ZINC000957855445 613295173 /nfs/dbraw/zinc/29/51/73/613295173.db2.gz NRMGURBECZCUSH-KBPBESRZSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cnoc2)C(C)(C)C1 ZINC000974957789 613297838 /nfs/dbraw/zinc/29/78/38/613297838.db2.gz JWUZPUKTVTUBFX-LBPRGKRZSA-N 0 1 261.325 0.677 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC000958318484 613302170 /nfs/dbraw/zinc/30/21/70/613302170.db2.gz DENDSMWWLPLWBK-HTOAHKCRSA-N 0 1 262.353 0.075 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc[nH]c2C(C)C)C1 ZINC000958335001 613302848 /nfs/dbraw/zinc/30/28/48/613302848.db2.gz RXWMUPXMIRKYER-OCCSQVGLSA-N 0 1 289.379 0.794 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2COCCN2CC)CC1 ZINC000981508865 613311551 /nfs/dbraw/zinc/31/15/51/613311551.db2.gz GKUYHFHRHICAKB-OAHLLOKOSA-N 0 1 295.427 0.818 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cncc(C)c1 ZINC000961359092 613314201 /nfs/dbraw/zinc/31/42/01/613314201.db2.gz PAMUMTSIHVHZBE-FOLVSLTJSA-N 0 1 269.348 0.612 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CN(C)C)on1 ZINC000960710062 613318051 /nfs/dbraw/zinc/31/80/51/613318051.db2.gz GCNUOXRZMRVEAE-IMRBUKKESA-N 0 1 288.351 0.029 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C)nc1 ZINC000958230403 613319393 /nfs/dbraw/zinc/31/93/93/613319393.db2.gz SLIAQWJBRHYAJG-WDNDVIMCSA-N 0 1 255.321 0.683 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000961903307 613319564 /nfs/dbraw/zinc/31/95/64/613319564.db2.gz IMRZOEBGHMLCAQ-YABSGUDNSA-N 0 1 296.330 0.562 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(CC(F)F)n1 ZINC000960438883 613320346 /nfs/dbraw/zinc/32/03/46/613320346.db2.gz IFANLDRWUNTPAJ-IWIIMEHWSA-N 0 1 294.305 0.441 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2ccccc2n1 ZINC000962453144 613320619 /nfs/dbraw/zinc/32/06/19/613320619.db2.gz GPRZBJHMQYMCRB-JYAVWHMHSA-N 0 1 280.331 0.628 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)nc1C ZINC000958387678 613320657 /nfs/dbraw/zinc/32/06/57/613320657.db2.gz LGKAACHIXKENHJ-ITGUQSILSA-N 0 1 258.325 0.022 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncnc1C1CC1 ZINC000962423940 613321170 /nfs/dbraw/zinc/32/11/70/613321170.db2.gz KVFIFOOJGIHLRJ-NHAGDIPZSA-N 0 1 282.347 0.647 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C)c1Cl ZINC000960595332 613321324 /nfs/dbraw/zinc/32/13/24/613321324.db2.gz NTCWGVRGSUHFND-URLYPYJESA-N 0 1 278.743 0.367 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C)c1C1CC1 ZINC000963034667 613321505 /nfs/dbraw/zinc/32/15/05/613321505.db2.gz MOZCBDYTTAVCIU-WDNDVIMCSA-N 0 1 284.363 0.591 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585696 613324678 /nfs/dbraw/zinc/32/46/78/613324678.db2.gz ARGJZFPGIQPNSI-UHFFFAOYSA-N 0 1 296.374 0.820 20 30 CCEDMN C#CCNC(=O)CCN1CCc2cc(O)c(OC)cc2C1 ZINC000887108399 613325496 /nfs/dbraw/zinc/32/54/96/613325496.db2.gz HNGCARPJNRFTTK-UHFFFAOYSA-N 0 1 288.347 0.898 20 30 CCEDMN C#CCNC(=O)COC(=O)c1[nH]nc2c1cccc2OC ZINC000808677286 613333267 /nfs/dbraw/zinc/33/32/67/613333267.db2.gz SYFIYINPIZGHMA-UHFFFAOYSA-N 0 1 287.275 0.478 20 30 CCEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2ncn(C)n2)C1 ZINC000965969552 613333654 /nfs/dbraw/zinc/33/36/54/613333654.db2.gz MWPOWKBZNWWMEJ-RYUDHWBXSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2nnn(C)n2)C1 ZINC000967748668 613334615 /nfs/dbraw/zinc/33/46/15/613334615.db2.gz OJIJGLMYEYKXKT-WDEREUQCSA-N 0 1 278.360 0.226 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)Cc1nnc[nH]1)C(=O)OCC ZINC000916717609 613355813 /nfs/dbraw/zinc/35/58/13/613355813.db2.gz UCQYYPNTVKLNOC-SECBINFHSA-N 0 1 266.301 0.361 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(C[C@@H]2C[N@H+]3CCC[C@@H]3CO2)C1=O ZINC000865301441 613356836 /nfs/dbraw/zinc/35/68/36/613356836.db2.gz MCQXAUYNOFRKAP-AGIUHOORSA-N 0 1 293.367 0.736 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(C[C@@H]2CN3CCC[C@@H]3CO2)C1=O ZINC000865301441 613356837 /nfs/dbraw/zinc/35/68/37/613356837.db2.gz MCQXAUYNOFRKAP-AGIUHOORSA-N 0 1 293.367 0.736 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)OCCc1c[nH]nn1 ZINC000850858681 613359736 /nfs/dbraw/zinc/35/97/36/613359736.db2.gz DSKGTQRLSAFYLM-NSHDSACASA-N 0 1 266.301 0.361 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)OCCc1cnn[nH]1 ZINC000850858681 613359737 /nfs/dbraw/zinc/35/97/37/613359737.db2.gz DSKGTQRLSAFYLM-NSHDSACASA-N 0 1 266.301 0.361 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCCc1nc[nH]n1 ZINC000907944725 613361303 /nfs/dbraw/zinc/36/13/03/613361303.db2.gz ZPRUHXLMXVIJGP-JQWIXIFHSA-N 0 1 264.329 0.835 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCc1n[nH]c(C)n1 ZINC000894584668 613362163 /nfs/dbraw/zinc/36/21/63/613362163.db2.gz KKCHEQUKBLEKHI-JQWIXIFHSA-N 0 1 279.344 0.894 20 30 CCEDMN C=C(C)[C@H](CO)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000929128177 613362327 /nfs/dbraw/zinc/36/23/27/613362327.db2.gz GJTRMJFEQCHLSF-KBPBESRZSA-N 0 1 254.374 0.720 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N1CCC[C@H](c2nn[n-]n2)C1 ZINC000901176842 613363907 /nfs/dbraw/zinc/36/39/07/613363907.db2.gz KXVYCZLZXVGEHQ-NSHDSACASA-N 0 1 278.360 0.414 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](C)[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC000968516763 613375693 /nfs/dbraw/zinc/37/56/93/613375693.db2.gz FITDZYPMVCJOPP-MWLCHTKSSA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCn2cnnn2)C1 ZINC000970325042 613384582 /nfs/dbraw/zinc/38/45/82/613384582.db2.gz WAIGRYPUPJOYML-SNVBAGLBSA-N 0 1 284.751 0.006 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC000969915590 613385064 /nfs/dbraw/zinc/38/50/64/613385064.db2.gz HSXFQFXPQFBMMB-NEPJUHHUSA-N 0 1 299.802 0.988 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](O)C(C)C)C1 ZINC000968567626 613385168 /nfs/dbraw/zinc/38/51/68/613385168.db2.gz FVVLOOMFNMGFON-GHMZBOCLSA-N 0 1 260.765 0.946 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC000969175228 613386060 /nfs/dbraw/zinc/38/60/60/613386060.db2.gz CBEBQJVDFDKAAR-MRVPVSSYSA-N 0 1 255.709 0.361 20 30 CCEDMN C=CCSCCNC(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000909543119 613395379 /nfs/dbraw/zinc/39/53/79/613395379.db2.gz BWHNZFIDVQLPAF-LLVKDONJSA-N 0 1 286.397 0.818 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CC[C@@H](C2OCCO2)C1 ZINC000931999410 613398095 /nfs/dbraw/zinc/39/80/95/613398095.db2.gz QPNJEHGYFHWTQD-MNOVXSKESA-N 0 1 255.314 0.799 20 30 CCEDMN C=CC[C@H](C(=O)OC)[NH+]1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000878538757 613410293 /nfs/dbraw/zinc/41/02/93/613410293.db2.gz GYMGFXOYSYJLNT-SNVBAGLBSA-N 0 1 280.328 0.807 20 30 CCEDMN C=CC[C@H](C)N1CCN2C(=O)N(CC)C(=O)[C@H]2C1 ZINC000878175436 613413918 /nfs/dbraw/zinc/41/39/18/613413918.db2.gz RRLTYHDXHMDHIW-WDEREUQCSA-N 0 1 251.330 0.919 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935235822 613415244 /nfs/dbraw/zinc/41/52/44/613415244.db2.gz OBQGQOGIFRVSGJ-LBPRGKRZSA-N 0 1 295.383 0.676 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCN(CCF)C[C@H]2C)nn1 ZINC000943480984 613430101 /nfs/dbraw/zinc/43/01/01/613430101.db2.gz HQLJSVDWFXNQTM-VXGBXAGGSA-N 0 1 295.362 0.874 20 30 CCEDMN C=CCn1cc(C(=O)OC[C@]2(C)C[C@@H](OC)CN2C)nn1 ZINC000861357155 613433147 /nfs/dbraw/zinc/43/31/47/613433147.db2.gz QTZVHMMWWVHPDQ-RISCZKNCSA-N 0 1 294.355 0.730 20 30 CCEDMN C=CCC1(O)CCN(C(=O)NCc2n[nH]c(C)n2)CC1 ZINC000898346756 613458421 /nfs/dbraw/zinc/45/84/21/613458421.db2.gz FCHVTPQSXHSWNI-UHFFFAOYSA-N 0 1 279.344 0.726 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@]1(C)C[C@@H](O)CN1C ZINC000911277428 613469773 /nfs/dbraw/zinc/46/97/73/613469773.db2.gz XEVGGWNAJZAALN-KZVDOYCCSA-N 0 1 268.357 0.149 20 30 CCEDMN C=CCC[N@H+](C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000823899472 613476833 /nfs/dbraw/zinc/47/68/33/613476833.db2.gz WWLMWSINMOGZRV-UHFFFAOYSA-N 0 1 276.348 0.613 20 30 CCEDMN C=CCC[N@@H+](C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000823899472 613476834 /nfs/dbraw/zinc/47/68/34/613476834.db2.gz WWLMWSINMOGZRV-UHFFFAOYSA-N 0 1 276.348 0.613 20 30 CCEDMN C=CCC[N@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000823899472 613476838 /nfs/dbraw/zinc/47/68/38/613476838.db2.gz WWLMWSINMOGZRV-UHFFFAOYSA-N 0 1 276.348 0.613 20 30 CCEDMN C=CCC[N@@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000823899472 613476840 /nfs/dbraw/zinc/47/68/40/613476840.db2.gz WWLMWSINMOGZRV-UHFFFAOYSA-N 0 1 276.348 0.613 20 30 CCEDMN C=CCCN1CCN(C(=O)C2CCC(C(N)=O)CC2)CC1 ZINC000949032459 613502376 /nfs/dbraw/zinc/50/23/76/613502376.db2.gz UYFYIUBTULAUEV-UHFFFAOYSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](C)[C@H]2CCC(=O)N2)CC1 ZINC000950622855 613505251 /nfs/dbraw/zinc/50/52/51/613505251.db2.gz DZIMNCWTGJIEJG-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCC[C@@H](C(N)=O)C2)CC1 ZINC000951107625 613506191 /nfs/dbraw/zinc/50/61/91/613506191.db2.gz BTBWZZUWWPCKMD-ZIAGYGMSSA-N 0 1 293.411 0.998 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000900812839 613506183 /nfs/dbraw/zinc/50/61/83/613506183.db2.gz XFZJVRNEZJMLCH-IJEWVQPXSA-N 0 1 297.443 0.966 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCC[C@@H](C(N)=O)C2)CC1 ZINC000951107623 613508457 /nfs/dbraw/zinc/50/84/57/613508457.db2.gz BTBWZZUWWPCKMD-KGLIPLIRSA-N 0 1 293.411 0.998 20 30 CCEDMN CC#CC(=O)N1CCN(C2CCOCC2)C[C@@H]1C ZINC000801274230 613517577 /nfs/dbraw/zinc/51/75/77/613517577.db2.gz IWXSYZWLWXDBDS-LBPRGKRZSA-N 0 1 250.342 0.721 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC000970217453 613530720 /nfs/dbraw/zinc/53/07/20/613530720.db2.gz OTOQYMKMCARPPL-OLZOCXBDSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cc(OCC)n[nH]2)C1 ZINC000969945589 613531941 /nfs/dbraw/zinc/53/19/41/613531941.db2.gz WXONCIVACOAQRX-LLVKDONJSA-N 0 1 290.367 0.882 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC000970431097 613536854 /nfs/dbraw/zinc/53/68/54/613536854.db2.gz KHFUDNNFCMPQSQ-XQQFMLRXSA-N 0 1 291.395 0.348 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2nccn2CC)C1 ZINC000969585174 613540212 /nfs/dbraw/zinc/54/02/12/613540212.db2.gz QNTSJYHFSRFQIN-LBPRGKRZSA-N 0 1 274.368 0.976 20 30 CCEDMN C=CCCOCC(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913743452 613571562 /nfs/dbraw/zinc/57/15/62/613571562.db2.gz WMAMSTIPVWZTCF-UHFFFAOYSA-N 0 1 265.317 0.498 20 30 CCEDMN C=CCCOCC(=O)N1CCN(C)CCN(C)CC1 ZINC000888994054 613571702 /nfs/dbraw/zinc/57/17/02/613571702.db2.gz SHBMFMSZLUYQQI-UHFFFAOYSA-N 0 1 269.389 0.285 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965952310 613574092 /nfs/dbraw/zinc/57/40/92/613574092.db2.gz KWEQWGHHPZCDIT-IUODEOHRSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCCOCCNC(=O)NCCN1CCC(O)CC1 ZINC000893854101 613574949 /nfs/dbraw/zinc/57/49/49/613574949.db2.gz CTFWLGYXJDIQBV-UHFFFAOYSA-N 0 1 285.388 0.335 20 30 CCEDMN C=CCC[C@@H](O)C[N@@H+]1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833373609 613585980 /nfs/dbraw/zinc/58/59/80/613585980.db2.gz UKBALTZDGODTAC-QWHCGFSZSA-N 0 1 270.373 0.794 20 30 CCEDMN C=CCC[C@@H](O)CN1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833373609 613585982 /nfs/dbraw/zinc/58/59/82/613585982.db2.gz UKBALTZDGODTAC-QWHCGFSZSA-N 0 1 270.373 0.794 20 30 CCEDMN C=CCC[C@@H](O)CN1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833373609 613585983 /nfs/dbraw/zinc/58/59/83/613585983.db2.gz UKBALTZDGODTAC-QWHCGFSZSA-N 0 1 270.373 0.794 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@H](C)[C@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC000929049934 613589989 /nfs/dbraw/zinc/58/99/89/613589989.db2.gz NQWXSCINHUIQAV-GRYCIOLGSA-N 0 1 299.371 0.424 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@H](C)[C@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC000929049934 613589992 /nfs/dbraw/zinc/58/99/92/613589992.db2.gz NQWXSCINHUIQAV-GRYCIOLGSA-N 0 1 299.371 0.424 20 30 CCEDMN C=CCC[C@H](NC(=O)[C@@H]1CC[N@@H+](C)[C@@H]1C)C(=O)[O-] ZINC000909716273 613590195 /nfs/dbraw/zinc/59/01/95/613590195.db2.gz QGUYJXWFZNTQKD-MXWKQRLJSA-N 0 1 254.330 0.862 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)CN2CCOCC2)C1 ZINC000965921997 613590414 /nfs/dbraw/zinc/59/04/14/613590414.db2.gz RYHIPLIKKIRXDH-GJZGRUSLSA-N 0 1 293.411 0.169 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965995079 613590527 /nfs/dbraw/zinc/59/05/27/613590527.db2.gz CHDPLLYSFGWILE-AAEUAGOBSA-N 0 1 290.367 0.770 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+](C)CCN1CCN(C(=O)[O-])CC1 ZINC000823496328 613590565 /nfs/dbraw/zinc/59/05/65/613590565.db2.gz HYVVHQCBUUYWHR-ZDUSSCGKSA-N 0 1 285.388 0.541 20 30 CCEDMN C=CCCn1cc(CN2C[C@@H]3CCC[C@]3(C(N)=O)C2)nn1 ZINC000933749229 613598330 /nfs/dbraw/zinc/59/83/30/613598330.db2.gz HDRCWRNHMRHAKE-WFASDCNBSA-N 0 1 289.383 0.942 20 30 CCEDMN C=CCN(C(=O)NC[C@H]1CCN1C)[C@@H](C)COC ZINC000925430981 613605673 /nfs/dbraw/zinc/60/56/73/613605673.db2.gz RNBCKPIUHXZYDX-NWDGAFQWSA-N 0 1 255.362 0.923 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C[C@@H]2CCNC2=O)C(C)(C)C1 ZINC000974667456 613608484 /nfs/dbraw/zinc/60/84/84/613608484.db2.gz WFGQNEOPRSHQOM-QWHCGFSZSA-N 0 1 291.395 0.363 20 30 CCEDMN C=CCN(C)C(=O)CN1CCC[C@@H](C(=O)OCC)C1 ZINC000907517632 613608927 /nfs/dbraw/zinc/60/89/27/613608927.db2.gz FNFNIUBLCWMUBY-GFCCVEGCSA-N 0 1 268.357 0.906 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2COCCN2C)C(C)(C)C1 ZINC000974484946 613609121 /nfs/dbraw/zinc/60/91/21/613609121.db2.gz ORCQIXTXYMIZIL-UONOGXRCSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cn2nccn2)C(C)(C)C1 ZINC000974631933 613609385 /nfs/dbraw/zinc/60/93/85/613609385.db2.gz MNRNRCVYALNNNO-GFCCVEGCSA-N 0 1 275.356 0.128 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)C2=COCCC2)C1 ZINC000957927259 613611835 /nfs/dbraw/zinc/61/18/35/613611835.db2.gz PZCVPBNZNLRTHQ-UONOGXRCSA-N 0 1 278.352 0.113 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccn(C)c2C)C1 ZINC000958377539 613612857 /nfs/dbraw/zinc/61/28/57/613612857.db2.gz BFJYMCQJWIEZQH-DZGCQCFKSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccc(C)n2C)[C@H](O)C1 ZINC000957872494 613614961 /nfs/dbraw/zinc/61/49/61/613614961.db2.gz CJVXVTFNVILEGC-UKRRQHHQSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ncccc2C)[C@H](O)C1 ZINC000957974679 613615645 /nfs/dbraw/zinc/61/56/45/613615645.db2.gz HODBSLJNPIVYER-ZIAGYGMSSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(C)noc2C)C1 ZINC000957864261 613618727 /nfs/dbraw/zinc/61/87/27/613618727.db2.gz PVVAPFBNPSJMRR-STQMWFEESA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccccc2F)C1 ZINC000957813192 613618876 /nfs/dbraw/zinc/61/88/76/613618876.db2.gz XXTOTGOXLUDZIS-WFASDCNBSA-N 0 1 290.338 0.872 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2cncn2C)C(C)(C)C1 ZINC000977455201 613621049 /nfs/dbraw/zinc/62/10/49/613621049.db2.gz RORWHVNUKKWKQP-AWEZNQCLSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974767451 613621379 /nfs/dbraw/zinc/62/13/79/613621379.db2.gz OQNXDCVWZXDZOG-OLZOCXBDSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ncoc2CC)C1 ZINC000957945226 613626462 /nfs/dbraw/zinc/62/64/62/613626462.db2.gz XIOIJBUIIIVSQF-NEPJUHHUSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1=COCCC1 ZINC000958373398 613632793 /nfs/dbraw/zinc/63/27/93/613632793.db2.gz FVYWKTLUPVJLBE-WDNDVIMCSA-N 0 1 260.337 0.750 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCOC1 ZINC000958449191 613634293 /nfs/dbraw/zinc/63/42/93/613634293.db2.gz JNEWKSZQKKMGIH-RMRHIDDWSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCC(=O)N1C ZINC000961450108 613634523 /nfs/dbraw/zinc/63/45/23/613634523.db2.gz NNRMOKZQWMJTDJ-FOCJUVANSA-N 0 1 289.379 0.067 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCc2c[nH]nc21 ZINC000959916680 613637620 /nfs/dbraw/zinc/63/76/20/613637620.db2.gz WCEWCDGQICBKNI-TTZDDIAXSA-N 0 1 298.390 0.899 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(=O)[nH]1 ZINC000958487202 613640037 /nfs/dbraw/zinc/64/00/37/613640037.db2.gz OOAIWVCEEHHQGZ-YABSGUDNSA-N 0 1 271.320 0.471 20 30 CCEDMN C=CCN(CC(=O)NCC(=O)NC(C)(C)C)C(C)C ZINC000917168748 613641763 /nfs/dbraw/zinc/64/17/63/613641763.db2.gz ZVXAOFMXGSRXML-UHFFFAOYSA-N 0 1 269.389 0.914 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2c(n1)CCCC2 ZINC000960154880 613641797 /nfs/dbraw/zinc/64/17/97/613641797.db2.gz FGOFXWMHODBRLQ-VIKVFOODSA-N 0 1 298.390 0.903 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(CCF)c1 ZINC000960715469 613642246 /nfs/dbraw/zinc/64/22/46/613642246.db2.gz VHMBXQCIINTXIA-WDNDVIMCSA-N 0 1 290.342 0.536 20 30 CCEDMN CC#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc(C)c1[O-] ZINC000958586187 613642673 /nfs/dbraw/zinc/64/26/73/613642673.db2.gz GBCVIAJJYJLZFT-URLYPYJESA-N 0 1 274.324 0.107 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)CN1CCCC1 ZINC000821330654 613644280 /nfs/dbraw/zinc/64/42/80/613644280.db2.gz XKVFYAITDCFSTI-UHFFFAOYSA-N 0 1 254.330 0.660 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000844111927 613650837 /nfs/dbraw/zinc/65/08/37/613650837.db2.gz GMZXBBMVZJJGPF-MRVPVSSYSA-N 0 1 294.273 0.334 20 30 CCEDMN C=CCN1CC(N(CC)C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC000950053006 613655000 /nfs/dbraw/zinc/65/50/00/613655000.db2.gz SDHODOQFRUBQPU-GHMZBOCLSA-N 0 1 253.302 0.370 20 30 CCEDMN CC(=NNc1ncnc2nc[nH]c21)c1cc(CO)on1 ZINC000812226609 613657551 /nfs/dbraw/zinc/65/75/51/613657551.db2.gz IFBXPYYKROOKQM-UHFFFAOYSA-N 0 1 273.256 0.669 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCC(=O)NC2)C1 ZINC000970020676 613662785 /nfs/dbraw/zinc/66/27/85/613662785.db2.gz LXCKGZMYTOAOAF-MNOVXSKESA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC000970916061 613662877 /nfs/dbraw/zinc/66/28/77/613662877.db2.gz IQVPYSKUSDZUAL-VXGBXAGGSA-N 0 1 289.383 0.463 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC000970498628 613669610 /nfs/dbraw/zinc/66/96/10/613669610.db2.gz ZZDNNVRNQIIYLA-STQMWFEESA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CCCN(C(=O)Cn2cccc(C)c2=O)CC1 ZINC000981153761 613691061 /nfs/dbraw/zinc/69/10/61/613691061.db2.gz COQOHNYFFAVVOT-UHFFFAOYSA-N 0 1 289.379 0.877 20 30 CCEDMN C=CCN1CCCN(C(=O)c2ccc(=O)[nH]n2)CC1 ZINC000980658486 613697415 /nfs/dbraw/zinc/69/74/15/613697415.db2.gz ADRJGYXBMIGAAE-UHFFFAOYSA-N 0 1 262.313 0.516 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000845 613728214 /nfs/dbraw/zinc/72/82/14/613728214.db2.gz SUSVORMZZDAQDW-PWSUYJOCSA-N 0 1 277.372 0.668 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965957145 613728895 /nfs/dbraw/zinc/72/88/95/613728895.db2.gz BFCMKKYVHWMDCD-MNOVXSKESA-N 0 1 279.340 0.605 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(=O)n(C)cn2)C1 ZINC000966838397 613733721 /nfs/dbraw/zinc/73/37/21/613733721.db2.gz AZPGFXGZCKGYLV-DGCLKSJQSA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCO[C@H]2CCOC2)C1=O ZINC000930310782 613738690 /nfs/dbraw/zinc/73/86/90/613738690.db2.gz OYJDGTGKSVVHJY-QWHCGFSZSA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(CCCCO)CC2)C1=O ZINC000799140231 613739729 /nfs/dbraw/zinc/73/97/29/613739729.db2.gz COKUHJOYJQWIHJ-CQSZACIVSA-N 0 1 281.400 0.163 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@@H](C)N(CCOC)[C@@H](C)C2)C1=O ZINC000830075098 613739835 /nfs/dbraw/zinc/73/98/35/613739835.db2.gz VGLRBRNXVBAPAW-QLFBSQMISA-N 0 1 295.427 0.814 20 30 CCEDMN CC(=O)N(C)c1ccc(C(=O)NCC#CCN(C)C)cc1 ZINC000913521705 613754402 /nfs/dbraw/zinc/75/44/02/613754402.db2.gz KADPCBZQEDOAOD-UHFFFAOYSA-N 0 1 287.363 0.964 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC000947506954 613762058 /nfs/dbraw/zinc/76/20/58/613762058.db2.gz UNGVOABXPDYIGP-RKDXNWHRSA-N 0 1 293.327 0.052 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965954221 613767322 /nfs/dbraw/zinc/76/73/22/613767322.db2.gz XHPVJYGLQNYJFJ-FZMZJTMJSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cn2nccn2)C1 ZINC000966385415 613772497 /nfs/dbraw/zinc/77/24/97/613772497.db2.gz JCESEIIHSBTZGE-NWDGAFQWSA-N 0 1 263.345 0.291 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2[nH]nnc2C)C1 ZINC000967700677 613772688 /nfs/dbraw/zinc/77/26/88/613772688.db2.gz JEDVGWOGGJJCQV-GXSJLCMTSA-N 0 1 263.345 0.739 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H]2CCCN2C(C)=O)C1=O ZINC000960352980 613777184 /nfs/dbraw/zinc/77/71/84/613777184.db2.gz AGXODAQFKNQUEO-KBPBESRZSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@H](N2CCC3(CC2)COCCO3)C1=O ZINC000880546896 613778079 /nfs/dbraw/zinc/77/80/79/613778079.db2.gz VKUBQWMXLKBUDK-ZDUSSCGKSA-N 0 1 280.368 0.655 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C(=O)N2CC[C@@H](C)C2)C(C)(C)C1 ZINC000977438580 613806165 /nfs/dbraw/zinc/80/61/65/613806165.db2.gz JBMZTROODAGRSU-CHWSQXEVSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975046805 613808271 /nfs/dbraw/zinc/80/82/71/613808271.db2.gz XVRANBLRFJPSJJ-ABAIWWIYSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C(C)(C)C1 ZINC000974971310 613808396 /nfs/dbraw/zinc/80/83/96/613808396.db2.gz JLBMGJSKJUCJNW-XQHKEYJVSA-N 0 1 264.369 0.891 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])[C@@H]2C1 ZINC000978044269 613836880 /nfs/dbraw/zinc/83/68/80/613836880.db2.gz AXDCGKDJUFIBFS-RTCCRHLQSA-N 0 1 276.340 0.517 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC000978044269 613836882 /nfs/dbraw/zinc/83/68/82/613836882.db2.gz AXDCGKDJUFIBFS-RTCCRHLQSA-N 0 1 276.340 0.517 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC000978044269 613836884 /nfs/dbraw/zinc/83/68/84/613836884.db2.gz AXDCGKDJUFIBFS-RTCCRHLQSA-N 0 1 276.340 0.517 20 30 CCEDMN C=CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)c(C)cc1=O ZINC000960420702 613843445 /nfs/dbraw/zinc/84/34/45/613843445.db2.gz JTJYSHLSJHHJPI-JYAVWHMHSA-N 0 1 287.363 0.540 20 30 CCEDMN C=CCNC(=O)CN1C[C@H](O)CC2(CCOCC2)C1 ZINC000880589109 613845994 /nfs/dbraw/zinc/84/59/94/613845994.db2.gz KRTGNTNFBZPYKR-GFCCVEGCSA-N 0 1 268.357 0.152 20 30 CCEDMN C=CCNC(=O)CN[C@@H]1CCC2(C[C@H]1F)OCCO2 ZINC000893317354 613847335 /nfs/dbraw/zinc/84/73/35/613847335.db2.gz FUOKVCYGEDRWMU-GHMZBOCLSA-N 0 1 272.320 0.512 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+](C)C1CCN(C(=O)[O-])CC1 ZINC000823511451 613849538 /nfs/dbraw/zinc/84/95/38/613849538.db2.gz XUVFLIXNTNSAKS-UHFFFAOYSA-N 0 1 298.343 0.072 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2O ZINC000872255201 613849600 /nfs/dbraw/zinc/84/96/00/613849600.db2.gz AIGNKBUGVSGWSO-UHTWSYAYSA-N 0 1 295.383 0.480 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H](C)c1ccnn1C ZINC000879683964 613849923 /nfs/dbraw/zinc/84/99/23/613849923.db2.gz RDGZVTIBNLRJTO-VIFPVBQESA-N 0 1 265.317 0.083 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N[C@H](C)c1ccnn1C ZINC000871927921 613851103 /nfs/dbraw/zinc/85/11/03/613851103.db2.gz SIZYAOZUHYXQJH-NXEZZACHSA-N 0 1 279.344 0.471 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N[C@@H](C)c1ccnn1C ZINC000871927922 613852087 /nfs/dbraw/zinc/85/20/87/613852087.db2.gz SIZYAOZUHYXQJH-UWVGGRQHSA-N 0 1 279.344 0.471 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(CC1CC1)[C@H](C(N)=O)C1CC1 ZINC000879494268 613854858 /nfs/dbraw/zinc/85/48/58/613854858.db2.gz PUFPFAOTQFTANT-GWCFXTLKSA-N 0 1 279.384 0.653 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000128948072 613855279 /nfs/dbraw/zinc/85/52/79/613855279.db2.gz QCDGRECMSNVAQZ-MJBXVCDLSA-N 0 1 283.416 0.718 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CCCN1C(C)C)C(=O)OC ZINC000935777511 613882585 /nfs/dbraw/zinc/88/25/85/613882585.db2.gz VTOCTQQUPYCYOI-QWHCGFSZSA-N 0 1 298.383 0.720 20 30 CCEDMN C=CCONC(=O)[C@H]1CC(=O)N(C)[C@@H]1c1cccnc1 ZINC000837046385 613884567 /nfs/dbraw/zinc/88/45/67/613884567.db2.gz HROFJSGWQRDACI-WCQYABFASA-N 0 1 275.308 0.835 20 30 CCEDMN C=CCONC(=O)[C@H]1CS(=O)(=O)C[C@H]1C(F)(F)F ZINC000823421055 613884603 /nfs/dbraw/zinc/88/46/03/613884603.db2.gz KCZMHEOWPYJKPE-NKWVEPMBSA-N 0 1 287.259 0.443 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@@H](O)c1ccc(F)cn1 ZINC000819221124 613885700 /nfs/dbraw/zinc/88/57/00/613885700.db2.gz BKGGNPBDDJJMPH-GFCCVEGCSA-N 0 1 288.344 0.445 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@@H]1[C@@H](C(=O)OC)C1(F)F ZINC000894436604 613885762 /nfs/dbraw/zinc/88/57/62/613885762.db2.gz IUCXXKXBNWVBFV-BDAKNGLRSA-N 0 1 297.323 0.231 20 30 CCEDMN CC(=O)NC[C@H]1CN(Cc2ccc(C#N)cc2)C[C@@H]1O ZINC000957789674 613929816 /nfs/dbraw/zinc/92/98/16/613929816.db2.gz XFWUOEHOUKZAGY-GJZGRUSLSA-N 0 1 273.336 0.487 20 30 CCEDMN CC(C)(C)N1CC[C@@H]1C(=O)NC1CCN(CC#N)CC1 ZINC000896245528 613931006 /nfs/dbraw/zinc/93/10/06/613931006.db2.gz ZRGVGGIBYJUWLX-CYBMUJFWSA-N 0 1 278.400 0.963 20 30 CCEDMN CC(C)(C)N1CC[C@@](F)(C(=O)OCC(=O)NCC#N)C1 ZINC000811448353 613935593 /nfs/dbraw/zinc/93/55/93/613935593.db2.gz UOXPWDLCUHAWTM-ZDUSSCGKSA-N 0 1 285.319 0.382 20 30 CCEDMN CC(C)(C)OC[C@H]1CCCN1CC(=O)NCC#N ZINC000932164668 614014007 /nfs/dbraw/zinc/01/40/07/614014007.db2.gz YHXBRRMTHRZUPN-LLVKDONJSA-N 0 1 253.346 0.906 20 30 CCEDMN CC(C)(C)c1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)[nH]n1 ZINC000834479878 614047327 /nfs/dbraw/zinc/04/73/27/614047327.db2.gz IYCWOEMDRAABJX-PSASIEDQSA-N 0 1 261.329 0.549 20 30 CCEDMN CC(C)[C@@H](CO)NC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000876955692 614100338 /nfs/dbraw/zinc/10/03/38/614100338.db2.gz ASSBSZHQABVDCO-CABCVRRESA-N 0 1 287.363 0.878 20 30 CCEDMN CC(C)CN1N=C(C(=O)NCC#CCN(C)C)CCC1=O ZINC000913521284 614133939 /nfs/dbraw/zinc/13/39/39/614133939.db2.gz RZEIZOQDHHBOSJ-UHFFFAOYSA-N 0 1 292.383 0.302 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N2CCOC[C@@H]2C#N)cc1=O ZINC000933558869 614235468 /nfs/dbraw/zinc/23/54/68/614235468.db2.gz HUYIKZLMVIJURZ-LBPRGKRZSA-N 0 1 292.339 0.126 20 30 CCEDMN CC(C)Cn1nccc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000843459539 614244966 /nfs/dbraw/zinc/24/49/66/614244966.db2.gz FUEKTLDAVBUKQA-WDEREUQCSA-N 0 1 261.329 0.380 20 30 CCEDMN CC(=O)c1cncc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000884249757 614268068 /nfs/dbraw/zinc/26/80/68/614268068.db2.gz QVIUWHBXUVWBDT-VXGBXAGGSA-N 0 1 258.281 0.126 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCC[C@H]1c1nnc[nH]1 ZINC000931134839 614285899 /nfs/dbraw/zinc/28/58/99/614285899.db2.gz XQQDDPILZURCMK-FZMZJTMJSA-N 0 1 290.371 0.996 20 30 CCEDMN CCCN1CCC[C@H]1C(=O)N[C@H]1CCCN(O)C1=O ZINC000848139231 614680270 /nfs/dbraw/zinc/68/02/70/614680270.db2.gz SOWARHWHXAIRDB-QWRGUYRKSA-N 0 1 269.345 0.357 20 30 CCEDMN CCCC(=O)N1CCCC[C@H]1C(=O)NCC#CCN(C)C ZINC000913518175 614744808 /nfs/dbraw/zinc/74/48/08/614744808.db2.gz HGVKYDIALARXJE-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN CCN(CC(=O)N[C@H]1CNC[C@H]1C#N)c1ccccc1 ZINC000834494467 614943323 /nfs/dbraw/zinc/94/33/23/614943323.db2.gz NFQCNQXVSNTUQV-OCCSQVGLSA-N 0 1 272.352 0.741 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000829692298 615021563 /nfs/dbraw/zinc/02/15/63/615021563.db2.gz FNFIYWPEXPZWJL-LLVKDONJSA-N 0 1 299.396 0.641 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000829692298 615021566 /nfs/dbraw/zinc/02/15/66/615021566.db2.gz FNFIYWPEXPZWJL-LLVKDONJSA-N 0 1 299.396 0.641 20 30 CCEDMN CCN1CCC[C@@H](NCc2cnc3c(C#N)cnn3c2)C1=O ZINC000896733656 615130136 /nfs/dbraw/zinc/13/01/36/615130136.db2.gz MQNSPPKMDWLDJH-CYBMUJFWSA-N 0 1 298.350 0.701 20 30 CCEDMN CCOC(=O)[C@@H](C(=O)NCC#CCN(C)C)C(C)C ZINC000913525289 615257510 /nfs/dbraw/zinc/25/75/10/615257510.db2.gz QOPZHFWAFDFABE-GFCCVEGCSA-N 0 1 268.357 0.503 20 30 CCEDMN CCOC[C@@H]1CCCCN1CC(=O)NCCC#N ZINC000839182770 615309272 /nfs/dbraw/zinc/30/92/72/615309272.db2.gz LXXNIKZDQUFMHR-LBPRGKRZSA-N 0 1 253.346 0.907 20 30 CCEDMN CCS(=O)(=O)C[C@H](C)NCCNc1ccc(C#N)cn1 ZINC000927164254 615334748 /nfs/dbraw/zinc/33/47/48/615334748.db2.gz NPWAASCMKLDKFD-NSHDSACASA-N 0 1 296.396 0.778 20 30 CCEDMN CCOC(=O)[C@H](CC)C(=O)NCC#CCN(C)C ZINC000913523144 615348806 /nfs/dbraw/zinc/34/88/06/615348806.db2.gz NLTVLDQAMCAABW-LLVKDONJSA-N 0 1 254.330 0.257 20 30 CCEDMN CCON1CCC(CC(=O)NCC#CCN(C)C)CC1 ZINC000913523050 615353854 /nfs/dbraw/zinc/35/38/54/615353854.db2.gz KNDRYUYQGVOUDS-UHFFFAOYSA-N 0 1 281.400 0.721 20 30 CCEDMN CC[C@@H](C#N)C(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000846632220 615365928 /nfs/dbraw/zinc/36/59/28/615365928.db2.gz KHQNZIIJQDGQEA-ONGXEEELSA-N 0 1 270.296 0.955 20 30 CCEDMN CCn1nc(C)c(CNC[C@H](O)CC(C)(C)C#N)n1 ZINC000857238258 615664712 /nfs/dbraw/zinc/66/47/12/615664712.db2.gz JEOWLRITJCQBJK-LLVKDONJSA-N 0 1 265.361 0.997 20 30 CCEDMN CCn1ncn(NC(=O)c2sccc2CC#N)c1=O ZINC000868543516 615734764 /nfs/dbraw/zinc/73/47/64/615734764.db2.gz OBXQWKHVHKTKHX-UHFFFAOYSA-N 0 1 277.309 0.576 20 30 CCEDMN CCc1nc(COC(=O)c2cccc(C#N)n2)n[nH]1 ZINC000810665734 615749361 /nfs/dbraw/zinc/74/93/61/615749361.db2.gz CCBHSUGZNUZORZ-UHFFFAOYSA-N 0 1 257.253 0.991 20 30 CCEDMN CCc1nnc(C)cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834505996 615808594 /nfs/dbraw/zinc/80/85/94/615808594.db2.gz PHVOVYSXQVWFGH-SKDRFNHKSA-N 0 1 259.313 0.189 20 30 CCEDMN CN(C)CC#CCNC(=O)C1SCCCS1 ZINC000913523325 615857687 /nfs/dbraw/zinc/85/76/87/615857687.db2.gz VVZWKPNKHDFZFU-UHFFFAOYSA-N 0 1 258.412 0.864 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cccc(C(N)=O)c1 ZINC000905152607 615858842 /nfs/dbraw/zinc/85/88/42/615858842.db2.gz ZLSHDIVTGOIHEI-UHFFFAOYSA-N 0 1 274.324 0.472 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc(F)c(C(N)=O)c1 ZINC000929631300 615858994 /nfs/dbraw/zinc/85/89/94/615858994.db2.gz FFXANUKSLWWALT-UHFFFAOYSA-N 0 1 292.314 0.611 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1cc(Br)cn1 ZINC000913517034 615859142 /nfs/dbraw/zinc/85/91/42/615859142.db2.gz PQULUVQYTOXSIM-UHFFFAOYSA-N 0 1 299.172 0.327 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H](O)Cc1ccccc1Cl ZINC000913520958 615859760 /nfs/dbraw/zinc/85/97/60/615859760.db2.gz GDZRUCPTGHQGFX-AWEZNQCLSA-N 0 1 294.782 0.925 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c[nH]nc1-c1ccco1 ZINC000913525388 615860331 /nfs/dbraw/zinc/86/03/31/615860331.db2.gz VBXLJQMYKMGTDG-UHFFFAOYSA-N 0 1 272.308 0.965 20 30 CCEDMN CN(C)CC#CCNC(=O)c1conc1C(F)(F)F ZINC000913522574 615861990 /nfs/dbraw/zinc/86/19/90/615861990.db2.gz PIZBUSYETOPKPY-UHFFFAOYSA-N 0 1 275.230 0.988 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C(C)(C)C)nn1 ZINC000913521702 615862102 /nfs/dbraw/zinc/86/21/02/615862102.db2.gz JWGIYTOWAAXGBZ-UHFFFAOYSA-N 0 1 263.345 0.328 20 30 CCEDMN CN(C)[C@@H](CNC(=O)NCC#CCO)c1cccc(F)c1 ZINC000923789505 615974226 /nfs/dbraw/zinc/97/42/26/615974226.db2.gz UTDUWTYRTIAEDY-AWEZNQCLSA-N 0 1 293.342 0.723 20 30 CCEDMN CN(C)[C@H](CNC(=O)NCC#CCO)c1cccc(F)c1 ZINC000923789506 615990084 /nfs/dbraw/zinc/99/00/84/615990084.db2.gz UTDUWTYRTIAEDY-CQSZACIVSA-N 0 1 293.342 0.723 20 30 CCEDMN CN(C)c1ccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000841002266 616018163 /nfs/dbraw/zinc/01/81/63/616018163.db2.gz XSTYSEVFZBEIRA-ZWNOBZJWSA-N 0 1 273.340 0.986 20 30 CCEDMN CCc1[nH]nc(Cl)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000876801935 616033189 /nfs/dbraw/zinc/03/31/89/616033189.db2.gz KNYVOOXKHJATFB-HTRCEHHLSA-N 0 1 267.720 0.467 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)[C@@H]2CCCCN2C)C1 ZINC000971795898 616041294 /nfs/dbraw/zinc/04/12/94/616041294.db2.gz ZDOPWZZPSHFCRH-STQMWFEESA-N 0 1 264.373 0.527 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC000971720855 616041361 /nfs/dbraw/zinc/04/13/61/616041361.db2.gz VWHANVSOFRSHID-QJPTWQEYSA-N 0 1 273.340 0.569 20 30 CCEDMN CN(CC(=O)N[C@H]1CNC[C@H]1C#N)c1ccc(Cl)cn1 ZINC000834521887 616049140 /nfs/dbraw/zinc/04/91/40/616049140.db2.gz YBHZXSVCPDRERS-KOLCDFICSA-N 0 1 293.758 0.399 20 30 CCEDMN CN(CC1CN(S(C)(=O)=O)C1)[C@@H]1CCC[C@@H]1C#N ZINC000931473916 616067302 /nfs/dbraw/zinc/06/73/02/616067302.db2.gz CMGRVRBSLAHQST-VXGBXAGGSA-N 0 1 271.386 0.502 20 30 CCEDMN CN(CCN1CC2(C1)CCOCC2)C(=O)C#CC1CC1 ZINC000829228636 616085391 /nfs/dbraw/zinc/08/53/91/616085391.db2.gz UFRIETNVLXZSGJ-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C[N@@H+](CCNc1ccc(C#N)cn1)CCOCCO ZINC000882950790 616097433 /nfs/dbraw/zinc/09/74/33/616097433.db2.gz AUZHCJPGXJJZIW-UHFFFAOYSA-N 0 1 264.329 0.306 20 30 CCEDMN C[N@@H+](CCNc1ncc(C#N)cc1F)CCOCCO ZINC000882951094 616097607 /nfs/dbraw/zinc/09/76/07/616097607.db2.gz OJLZLUJAIXHMFF-UHFFFAOYSA-N 0 1 282.319 0.445 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCc1ccc(C(=O)NO)cc1 ZINC000907813921 616211548 /nfs/dbraw/zinc/21/15/48/616211548.db2.gz BZUHXXIEDNEAGI-LBPRGKRZSA-N 0 1 277.324 0.516 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)Cc1ccc(OCC#N)cc1 ZINC000928561120 616287436 /nfs/dbraw/zinc/28/74/36/616287436.db2.gz BKPGWKDPRYJJSO-CYBMUJFWSA-N 0 1 273.336 0.952 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCc1ccc(CC#N)cc1 ZINC000911492416 616321337 /nfs/dbraw/zinc/32/13/37/616321337.db2.gz FQMNLJVQVHCLKR-HOCLYGCPSA-N 0 1 287.363 0.824 20 30 CCEDMN COCC[N@H+]1CCC[C@@H]1CNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820730703 616346954 /nfs/dbraw/zinc/34/69/54/616346954.db2.gz YMGGCUSWVRDJBZ-BXUZGUMPSA-N 0 1 297.355 0.218 20 30 CCEDMN COCCN1CCC[C@H]1CNC(=O)c1coc(C#N)c1 ZINC000865170391 616354769 /nfs/dbraw/zinc/35/47/69/616354769.db2.gz FQMIEHOUTBVEJU-LBPRGKRZSA-N 0 1 277.324 0.992 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N1[C@@H]2CC[C@H]1CN(C)CC2 ZINC000919860510 616434157 /nfs/dbraw/zinc/43/41/57/616434157.db2.gz MYEWGRFAWQAOIU-NEPJUHHUSA-N 0 1 286.401 0.245 20 30 CCEDMN COC(=O)C1=NO[C@@]2(CCN(CCO[C@H](C)C#N)C2)C1 ZINC000879490696 616436056 /nfs/dbraw/zinc/43/60/56/616436056.db2.gz UUVGSNYLZVTWFG-MFKMUULPSA-N 0 1 281.312 0.309 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCc1cccc(C#N)c1 ZINC000900127624 616501038 /nfs/dbraw/zinc/50/10/38/616501038.db2.gz OIXVLRULNLXRBK-UKRRQHHQSA-N 0 1 273.336 0.630 20 30 CCEDMN COCC#CCN(CC1CC1)[C@@H](C(N)=O)C1CC1 ZINC000879489248 616527604 /nfs/dbraw/zinc/52/76/04/616527604.db2.gz XZWXKWGZWBDKRY-CYBMUJFWSA-N 0 1 250.342 0.612 20 30 CCEDMN COC(=O)CC[C@@H]1CCCN(CC(=O)NCC#N)C1 ZINC000880039465 616570387 /nfs/dbraw/zinc/57/03/87/616570387.db2.gz YBWWZZZUAZCINY-NSHDSACASA-N 0 1 267.329 0.291 20 30 CCEDMN COC(=O)[C@](C)(O)CN1CCC2(CC1)CC(=O)C=CO2 ZINC000933646969 616753644 /nfs/dbraw/zinc/75/36/44/616753644.db2.gz SWBBOKUQPYDMTL-CYBMUJFWSA-N 0 1 283.324 0.248 20 30 CCEDMN COC(=O)[C@]1(O)CCN(C[C@H](O)c2ccc(C#N)cc2)C1 ZINC000880561900 616785408 /nfs/dbraw/zinc/78/54/08/616785408.db2.gz JMKSCXPBJPCYKG-ZFWWWQNUSA-N 0 1 290.319 0.201 20 30 CCEDMN COCc1ccc(C(=O)NCC#CCN(C)C)o1 ZINC000913515822 616891095 /nfs/dbraw/zinc/89/10/95/616891095.db2.gz OUKFYHSSLJMTPA-UHFFFAOYSA-N 0 1 250.298 0.721 20 30 CCEDMN COCCN(CC#N)C(=O)c1c[nH]cc2ncnc1-2 ZINC000909562786 616974489 /nfs/dbraw/zinc/97/44/89/616974489.db2.gz OEMRBOKPRSFNPO-UHFFFAOYSA-N 0 1 259.269 0.570 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC1CC1)C1CCC1 ZINC000850758202 617006868 /nfs/dbraw/zinc/00/68/68/617006868.db2.gz AFEHITNKMYURQO-PWSUYJOCSA-N 0 1 250.298 0.789 20 30 CCEDMN COCCN(Cc1ccc(C#N)cc1)C[C@@H](O)[C@@H](C)O ZINC000929395057 617027274 /nfs/dbraw/zinc/02/72/74/617027274.db2.gz LTILWJZOCQRDAJ-IUODEOHRSA-N 0 1 278.352 0.748 20 30 CCEDMN CON=CC(=O)N1CCc2nc[nH]c2[C@@H]1c1ccnn1C ZINC000869040194 617104372 /nfs/dbraw/zinc/10/43/72/617104372.db2.gz ZBCFQAIDQOUPCO-ZDUSSCGKSA-N 0 1 288.311 0.250 20 30 CCEDMN CO[C@H]1CCn2cc(C(=O)N=C3C[C@@H](C)ON3)nc2C1 ZINC000867062962 617106727 /nfs/dbraw/zinc/10/67/27/617106727.db2.gz YAAYNBQRLNAGEZ-BDAKNGLRSA-N 0 1 278.312 0.696 20 30 CCEDMN CONC(=O)C1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000879922454 617109273 /nfs/dbraw/zinc/10/92/73/617109273.db2.gz ALOUSJGKUQCKHP-NSHDSACASA-N 0 1 296.371 0.137 20 30 CCEDMN COc1cc(C#N)ccc1NC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000841191951 617152649 /nfs/dbraw/zinc/15/26/49/617152649.db2.gz JSGCQSMOQVQLFX-JQWIXIFHSA-N 0 1 285.307 0.800 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCO[C@H](C)C1 ZINC001026125818 625336748 /nfs/dbraw/zinc/33/67/48/625336748.db2.gz KYNSIPIKVKOZFQ-BJJPWKGXSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@@H]1C ZINC001026125298 625338310 /nfs/dbraw/zinc/33/83/10/625338310.db2.gz ZMYLXUQHOAIOGC-POQQGIQPSA-N 0 1 262.353 0.481 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NCC[N@H+](C)[C@H](C)CC ZINC000220278383 625485622 /nfs/dbraw/zinc/48/56/22/625485622.db2.gz MCHKXFZRWBDOOV-LLVKDONJSA-N 0 1 271.361 0.999 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CNCc2cnon2)C1 ZINC001026733581 625581703 /nfs/dbraw/zinc/58/17/03/625581703.db2.gz WQGIMLXKKVFGNE-VHSXEESVSA-N 0 1 263.301 0.167 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@@H](NCc3ncnn3C)C2)C1 ZINC001022674588 622638204 /nfs/dbraw/zinc/63/82/04/622638204.db2.gz DRSPESMCXPOBKY-TXEJJXNPSA-N 0 1 289.383 0.908 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](CNC(=O)c2nccnc2N)C1 ZINC001023281234 622979415 /nfs/dbraw/zinc/97/94/15/622979415.db2.gz UPMBZWDXRHZHSO-GFCCVEGCSA-N 0 1 287.367 0.524 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2cc(OC)no2)C1 ZINC001023317066 622995897 /nfs/dbraw/zinc/99/58/97/622995897.db2.gz WRDMHDYKMQTEBP-NSHDSACASA-N 0 1 277.324 0.758 20 30 CCEDMN Cc1ccccc1[C@@H](CO)NCC(=O)NCCC#N ZINC000782080705 623040087 /nfs/dbraw/zinc/04/00/87/623040087.db2.gz ABQGCLWNZYGZLM-CYBMUJFWSA-N 0 1 261.325 0.648 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](CNCc2ncnn2C)C1 ZINC001023894879 623166436 /nfs/dbraw/zinc/16/64/36/623166436.db2.gz HIMXPESCTXYURK-NEPJUHHUSA-N 0 1 290.371 0.303 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001024445884 623324027 /nfs/dbraw/zinc/32/40/27/623324027.db2.gz XDFQNCYCOVWQTO-HZSPNIEDSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1ccc2nn[n-]c2n1 ZINC001024468382 623329940 /nfs/dbraw/zinc/32/99/40/623329940.db2.gz JWCSFFITGBJYRJ-NSHDSACASA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001024468382 623329944 /nfs/dbraw/zinc/32/99/44/623329944.db2.gz JWCSFFITGBJYRJ-NSHDSACASA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC[C@@H]1CCCCN1CC#C ZINC001024487646 623335838 /nfs/dbraw/zinc/33/58/38/623335838.db2.gz RCIWPSBCQNCUKB-HOTGVXAUSA-N 0 1 287.407 0.688 20 30 CCEDMN C=CC(=O)NCCC(=O)Nc1cc(C#N)ccc1O ZINC000179729313 623348405 /nfs/dbraw/zinc/34/84/05/623348405.db2.gz RYBRXOOYFKFAMS-UHFFFAOYSA-N 0 1 259.265 0.895 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCN(C)C1=O ZINC001024604121 623392058 /nfs/dbraw/zinc/39/20/58/623392058.db2.gz JURQYXXWFAHOTP-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001024653587 623410968 /nfs/dbraw/zinc/41/09/68/623410968.db2.gz GCMXTOVCFDGIGT-HZSPNIEDSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001024653587 623410975 /nfs/dbraw/zinc/41/09/75/623410975.db2.gz GCMXTOVCFDGIGT-HZSPNIEDSA-N 0 1 291.395 0.492 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCC[C@H]1OC ZINC001025822939 623889767 /nfs/dbraw/zinc/88/97/67/623889767.db2.gz LUAUFYNMRZSOHA-VQJWOFKYSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN(Cc1cc(=O)n2nc(N)[nH]c2n1)C(C)(C)C ZINC000932461916 624572380 /nfs/dbraw/zinc/57/23/80/624572380.db2.gz LAFZABYCDBLCNO-UHFFFAOYSA-N 0 1 274.328 0.234 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000932524128 624630061 /nfs/dbraw/zinc/63/00/61/624630061.db2.gz CUCXMALPPSUGEB-CQSZACIVSA-N 0 1 286.379 0.842 20 30 CCEDMN Cc1nnc(SCC(=O)NCC#C[C@H]2CCCCO2)[nH]1 ZINC000891120525 624730935 /nfs/dbraw/zinc/73/09/35/624730935.db2.gz ZQOKGXUJGZPNSQ-LLVKDONJSA-N 0 1 294.380 0.894 20 30 CCEDMN Cc1n[nH]c(SCC(=O)NCC#C[C@H]2CCCCO2)n1 ZINC000891120525 624730943 /nfs/dbraw/zinc/73/09/43/624730943.db2.gz ZQOKGXUJGZPNSQ-LLVKDONJSA-N 0 1 294.380 0.894 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCC#C[C@@H]1CCCCO1 ZINC000891122307 624731734 /nfs/dbraw/zinc/73/17/34/624731734.db2.gz CDLIHGCWNVGCPS-GJZGRUSLSA-N 0 1 294.395 0.643 20 30 CCEDMN C[C@@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)C1CN(CC#N)C1 ZINC000970710495 624734981 /nfs/dbraw/zinc/73/49/81/624734981.db2.gz LBGYNQICIOTHAB-GHMZBOCLSA-N 0 1 287.367 0.475 20 30 CCEDMN C[C@H](NC(=O)c1cnccc1N(C)C)C1CN(CC#N)C1 ZINC000970750855 624742115 /nfs/dbraw/zinc/74/21/15/624742115.db2.gz AFNGYQDUGZAFEU-NSHDSACASA-N 0 1 287.367 0.721 20 30 CCEDMN N#CCN1CC[C@@H]2[C@H](CCCN2C(=O)c2ccn[nH]2)C1 ZINC001021530337 624761194 /nfs/dbraw/zinc/76/11/94/624761194.db2.gz UZINZEHREAKOOI-DGCLKSJQSA-N 0 1 273.340 0.860 20 30 CCEDMN CN(CC#N)[C@@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC001027342445 625841351 /nfs/dbraw/zinc/84/13/51/625841351.db2.gz DYAKVWMKYIBRSY-GFCCVEGCSA-N 0 1 261.329 0.399 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2COCCN2C(C)=O)C1 ZINC001009576756 625848060 /nfs/dbraw/zinc/84/80/60/625848060.db2.gz IEEHFWAUHRPOLY-ZIAGYGMSSA-N 0 1 295.383 0.000 20 30 CCEDMN CN(CC#N)[C@@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC001027359102 625849978 /nfs/dbraw/zinc/84/99/78/625849978.db2.gz QURJEMYJCNEUQM-LLVKDONJSA-N 0 1 276.344 0.184 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@@H]2CCN2C(=O)[C@@H](C)C#N)[nH]1 ZINC000977463951 625942255 /nfs/dbraw/zinc/94/22/55/625942255.db2.gz DIBMSQNOOUYDDW-ONGXEEELSA-N 0 1 289.339 0.551 20 30 CCEDMN O[C@H](CNCC#Cc1ccccc1)C[C@@]1(O)CCOC1 ZINC000905030710 634789308 /nfs/dbraw/zinc/78/93/08/634789308.db2.gz IMQKHOSAKBAAIK-HOTGVXAUSA-N 0 1 275.348 0.530 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@H]2CNC(C)=O)C1=O ZINC001027710864 630865276 /nfs/dbraw/zinc/86/52/76/630865276.db2.gz BTXPQGZWFWYVRL-STQMWFEESA-N 0 1 265.357 0.374 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001027736576 630885138 /nfs/dbraw/zinc/88/51/38/630885138.db2.gz CPSIWGPRAAJXBN-NSHDSACASA-N 0 1 274.324 0.400 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnc(C)[nH]1 ZINC001027793719 630922995 /nfs/dbraw/zinc/92/29/95/630922995.db2.gz RMEVHMKUQLFBSJ-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H](NC(=O)CN2CCCC2)C1 ZINC000981529154 631285619 /nfs/dbraw/zinc/28/56/19/631285619.db2.gz ZWMCGHOQYHUKPG-OLZOCXBDSA-N 0 1 264.373 0.623 20 30 CCEDMN Cc1cc(C(=O)N2CC3(C2)CCCN(CC#N)C3)n[nH]1 ZINC000981648068 631298362 /nfs/dbraw/zinc/29/83/62/631298362.db2.gz WZBPVDKEQZXRLK-UHFFFAOYSA-N 0 1 273.340 0.780 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CCCN(CC#N)CC1 ZINC000982143224 631379520 /nfs/dbraw/zinc/37/95/20/631379520.db2.gz QAOOUPJGYJAKRB-LBPRGKRZSA-N 0 1 275.356 0.646 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000968673027 650025657 /nfs/dbraw/zinc/02/56/57/650025657.db2.gz PGKYDEKUNGMERE-GHMZBOCLSA-N 0 1 273.340 0.544 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@@H](NCc2n[nH]c(C3CC3)n2)C1 ZINC000968627345 650024535 /nfs/dbraw/zinc/02/45/35/650024535.db2.gz UPFBUCNCFGZGLJ-CYBMUJFWSA-N 0 1 299.378 0.786 20 30 CCEDMN O=C1[C@H](NCc2ccccc2-n2cncn2)CCCN1O ZINC000895167523 632003241 /nfs/dbraw/zinc/00/32/41/632003241.db2.gz XADQUQBVQLGFJA-GFCCVEGCSA-N 0 1 287.323 0.737 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896541331 632273709 /nfs/dbraw/zinc/27/37/09/632273709.db2.gz JGFPXBZRBAFBSP-IINYFYTJSA-N 0 1 290.367 0.824 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)cn1)[C@H]1C[N@@H+](C)CCN1C ZINC000896560440 632286618 /nfs/dbraw/zinc/28/66/18/632286618.db2.gz QECZLMOGVXJIPF-SMDDNHRTSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)cn1)[C@H]1CN(C)CCN1C ZINC000896560440 632286621 /nfs/dbraw/zinc/28/66/21/632286621.db2.gz QECZLMOGVXJIPF-SMDDNHRTSA-N 0 1 287.367 0.317 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC001007185774 650070905 /nfs/dbraw/zinc/07/09/05/650070905.db2.gz XUPUAIGKERNTPF-LLVKDONJSA-N 0 1 275.356 0.220 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC001007465317 650079262 /nfs/dbraw/zinc/07/92/62/650079262.db2.gz SAWCLIMOIANHET-NSHDSACASA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC001007465317 650079264 /nfs/dbraw/zinc/07/92/64/650079264.db2.gz SAWCLIMOIANHET-NSHDSACASA-N 0 1 298.350 0.570 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CCC(N(C)CC(N)=O)CC1 ZINC000985568786 632559704 /nfs/dbraw/zinc/55/97/04/632559704.db2.gz NLJNZSJFHBJASF-UHFFFAOYSA-N 0 1 281.400 0.997 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001007606582 650086202 /nfs/dbraw/zinc/08/62/02/650086202.db2.gz VFEGLWPVKWQRAH-IUODEOHRSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001007670182 650087711 /nfs/dbraw/zinc/08/77/11/650087711.db2.gz QBZUAIZDRJDJQT-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CC[NH2+][C@H]1CCN(C(=O)c2cccc3nn[n-]c32)[C@H]1C ZINC000986314945 632742701 /nfs/dbraw/zinc/74/27/01/632742701.db2.gz NREAFJIWYBQBDM-JQWIXIFHSA-N 0 1 283.335 0.784 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2nnc[nH]2)[C@H]1C ZINC000987321405 632857721 /nfs/dbraw/zinc/85/77/21/632857721.db2.gz NSHPPGDHRHHXLF-VHSXEESVSA-N 0 1 283.763 0.679 20 30 CCEDMN N#Cc1cccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000841006446 633072978 /nfs/dbraw/zinc/07/29/78/633072978.db2.gz KTUHMTDMLLQKGR-ZYHUDNBSSA-N 0 1 255.281 0.791 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cn(C)nn2)C1 ZINC000988777884 633110111 /nfs/dbraw/zinc/11/01/11/633110111.db2.gz OLEZPDFSLVGNNC-VHSXEESVSA-N 0 1 283.763 0.760 20 30 CCEDMN C[C@H]1C[C@H](NCC#N)CN1C(=O)CCc1cnc[nH]1 ZINC000988934727 633127914 /nfs/dbraw/zinc/12/79/14/633127914.db2.gz UTACYMQHZGJBCZ-JQWIXIFHSA-N 0 1 261.329 0.445 20 30 CCEDMN C[C@@H]1C[C@@H](NCC#N)CN1C(=O)CCc1cnc[nH]1 ZINC000988934729 633128342 /nfs/dbraw/zinc/12/83/42/633128342.db2.gz UTACYMQHZGJBCZ-ZYHUDNBSSA-N 0 1 261.329 0.445 20 30 CCEDMN C=CC[N@@H+]1CCCN(C(=O)[C@@H]2CCN(C(=O)CC)C2)CC1 ZINC000989430851 633221567 /nfs/dbraw/zinc/22/15/67/633221567.db2.gz MAXBKFVWRUQQFE-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCN(C(=O)CC)C2)CC1 ZINC000989430851 633221570 /nfs/dbraw/zinc/22/15/70/633221570.db2.gz MAXBKFVWRUQQFE-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@H]3CCC(=O)N3)CCC[C@H]12 ZINC000990043079 633437149 /nfs/dbraw/zinc/43/71/49/633437149.db2.gz QSNNVRBBCSVBBR-XUJVJEKNSA-N 0 1 275.352 0.011 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnnn3CC)CCC[C@@H]12 ZINC000990585390 633558047 /nfs/dbraw/zinc/55/80/47/633558047.db2.gz CFLRPGUFPDXXPU-HIFRSBDPSA-N 0 1 287.367 0.658 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001008555023 650120148 /nfs/dbraw/zinc/12/01/48/650120148.db2.gz DXYSCKNFEDQYJK-FRRDWIJNSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001008725595 650126242 /nfs/dbraw/zinc/12/62/42/650126242.db2.gz HHEWKHXMKGTRHC-CQSZACIVSA-N 0 1 289.379 0.923 20 30 CCEDMN CN(Cc1cccc(C#N)c1)C(=O)[C@]1(C)C[C@H](O)CN1C ZINC000900128371 633958729 /nfs/dbraw/zinc/95/87/29/633958729.db2.gz SEDYHRWIINLISD-HOCLYGCPSA-N 0 1 287.363 0.972 20 30 CCEDMN CN(Cc1cccc(C#N)c1)C(=O)[C@@]1(C)C[C@@H](O)CN1C ZINC000900128369 633959268 /nfs/dbraw/zinc/95/92/68/633959268.db2.gz SEDYHRWIINLISD-GDBMZVCRSA-N 0 1 287.363 0.972 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC001009470837 650145862 /nfs/dbraw/zinc/14/58/62/650145862.db2.gz NBARQEWGKSDMSN-CYBMUJFWSA-N 0 1 275.352 0.615 20 30 CCEDMN C[C@@H](NC(=O)c1ccsc1C#N)[C@@H]1CN(C)CCN1C ZINC000906780878 635035832 /nfs/dbraw/zinc/03/58/32/635035832.db2.gz SFLAOKZJMRLVFF-PWSUYJOCSA-N 0 1 292.408 0.984 20 30 CCEDMN CC(C)(C#N)CC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907476249 635131308 /nfs/dbraw/zinc/13/13/08/635131308.db2.gz CGALRJXHECDKPG-QMMMGPOBSA-N 0 1 280.357 0.756 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2CCCN(CC#N)C2)c1C ZINC001006946443 635293611 /nfs/dbraw/zinc/29/36/11/635293611.db2.gz SXQARGFEGPBGIT-NSHDSACASA-N 0 1 261.329 0.744 20 30 CCEDMN C[C@H]1CN(C(=O)Cc2c[nH]cn2)C[C@H](C)N1CC#N ZINC000911698734 636343889 /nfs/dbraw/zinc/34/38/89/636343889.db2.gz RPIWLUMGQJOPJN-QWRGUYRKSA-N 0 1 261.329 0.397 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(C(=O)C#CC3CC3)CC2)[nH]1 ZINC001010707416 650255474 /nfs/dbraw/zinc/25/54/74/650255474.db2.gz NPSUXANGXZEMSN-UHFFFAOYSA-N 0 1 286.335 0.416 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(C(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001010706601 650255486 /nfs/dbraw/zinc/25/54/86/650255486.db2.gz GTECTBCQBQVDAX-SECBINFHSA-N 0 1 275.312 0.162 20 30 CCEDMN C=C1CN(C(=O)C(=NOCC(=O)OCC)c2cc[nH]n2)C1 ZINC000912447675 636589384 /nfs/dbraw/zinc/58/93/84/636589384.db2.gz ITLRGTFNGDBWRR-UHFFFAOYSA-N 0 1 292.295 0.092 20 30 CCEDMN C[C@H](OCc1ccncc1)C(=O)NCC#CCN(C)C ZINC000913523262 636832477 /nfs/dbraw/zinc/83/24/77/636832477.db2.gz RZHOKXZHHASVCS-ZDUSSCGKSA-N 0 1 275.352 0.668 20 30 CCEDMN C[C@H]1CCc2nc(C(=O)NCC#CCN(C)C)cn2C1 ZINC000913524491 636833943 /nfs/dbraw/zinc/83/39/43/636833943.db2.gz OITUINJVBIFSEU-LBPRGKRZSA-N 0 1 274.368 0.760 20 30 CCEDMN Cc1n[nH]c(C)c1[C@H](C)C(=O)NCC#CCN(C)C ZINC000913518115 636835184 /nfs/dbraw/zinc/83/51/84/636835184.db2.gz DZBMYRHIASHTII-JTQLQIEISA-N 0 1 262.357 0.811 20 30 CCEDMN C[C@H](C(=O)NCC#CCN(C)C)N(C)CC(F)(F)F ZINC000913521980 636835452 /nfs/dbraw/zinc/83/54/52/636835452.db2.gz VFEHQPJURMCMBR-SNVBAGLBSA-N 0 1 279.306 0.550 20 30 CCEDMN Cc1nnc(COCC(=O)NCC#CCN(C)C)s1 ZINC000913522702 636835637 /nfs/dbraw/zinc/83/56/37/636835637.db2.gz VRWIAHJIKCMYGL-UHFFFAOYSA-N 0 1 282.369 0.044 20 30 CCEDMN C[C@@H](NC(=O)CC(C)(C)C)C(=O)NCC#CCN(C)C ZINC000913520847 636835929 /nfs/dbraw/zinc/83/59/29/636835929.db2.gz AKBLBKSQJZGEJJ-GFCCVEGCSA-N 0 1 281.400 0.609 20 30 CCEDMN C[C@H](CO)N(C)N=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000842539676 637337017 /nfs/dbraw/zinc/33/70/17/637337017.db2.gz CSYFUUALRJXFED-MRVPVSSYSA-N 0 1 253.258 0.947 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccn(CCOC)n2)C1 ZINC001015223954 637460727 /nfs/dbraw/zinc/46/07/27/637460727.db2.gz RMRBZQLHWXMUFA-GFCCVEGCSA-N 0 1 278.356 0.520 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2ccc3cncn3c2)C1 ZINC001015274637 637534893 /nfs/dbraw/zinc/53/48/93/637534893.db2.gz QUVPUUMZLUKNQS-LBPRGKRZSA-N 0 1 269.308 0.662 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cn2cccn2)[C@@H]1C ZINC000993012178 637663941 /nfs/dbraw/zinc/66/39/41/637663941.db2.gz PXAQYRHOOHWOHV-QWHCGFSZSA-N 0 1 260.341 0.485 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CN2CCCC2=O)[C@@H]1C ZINC000993034001 637669877 /nfs/dbraw/zinc/66/98/77/637669877.db2.gz KNJGKFUEVJFIGO-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CN2CCCC2=O)[C@H]1C ZINC000993032136 637670733 /nfs/dbraw/zinc/67/07/33/637670733.db2.gz HMGJIVRTIMEXNB-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cnn(C)c2)[C@H]1C ZINC000993127603 637707174 /nfs/dbraw/zinc/70/71/74/637707174.db2.gz URVDIZWBUVUPTC-HIFRSBDPSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2ccon2)[C@H]1C ZINC000993154816 637718423 /nfs/dbraw/zinc/71/84/23/637718423.db2.gz JPXAHDJQUNQOSS-DGCLKSJQSA-N 0 1 261.325 0.819 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2occc2CN(C)C)C1 ZINC001015624028 637754912 /nfs/dbraw/zinc/75/49/12/637754912.db2.gz GUICYTSRPOYIFL-ZDUSSCGKSA-N 0 1 275.352 0.779 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2ccnn2C)[C@@H]1C ZINC000993292612 637765503 /nfs/dbraw/zinc/76/55/03/637765503.db2.gz XQLJHZCZWWYWHA-ZFWWWQNUSA-N 0 1 288.395 0.955 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@@H]1C ZINC000993724554 637835238 /nfs/dbraw/zinc/83/52/38/637835238.db2.gz AOAHEISFQMTGDT-RYUDHWBXSA-N 0 1 290.367 0.732 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCCN(CCO)[C@@H]2C)nn1 ZINC000993952984 637858111 /nfs/dbraw/zinc/85/81/11/637858111.db2.gz QVOIGBZNXXPXRR-VXGBXAGGSA-N 0 1 293.371 0.039 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2ncc[nH]2)[C@@H]1C ZINC000994103714 637870178 /nfs/dbraw/zinc/87/01/78/637870178.db2.gz UBZTWBIVPOSLHX-RYUDHWBXSA-N 0 1 260.341 0.555 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@@H]1C ZINC000994430882 637937714 /nfs/dbraw/zinc/93/77/14/637937714.db2.gz FFCXKIOBVIPTES-CMPLNLGQSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@@H]1C ZINC000994430882 637937719 /nfs/dbraw/zinc/93/77/19/637937719.db2.gz FFCXKIOBVIPTES-CMPLNLGQSA-N 0 1 290.367 0.882 20 30 CCEDMN N=C(N)NN=Cc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000920375211 638135319 /nfs/dbraw/zinc/13/53/19/638135319.db2.gz IGWNTEMXGMGVJJ-UHFFFAOYSA-N 0 1 265.229 0.183 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC(NC(=O)c3cnn[nH]3)C2)cc1 ZINC000995179297 638573231 /nfs/dbraw/zinc/57/32/31/638573231.db2.gz QEBRCPQTVYRLSU-UHFFFAOYSA-N 0 1 295.302 0.040 20 30 CCEDMN N#CCNC1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000996523675 638821856 /nfs/dbraw/zinc/82/18/56/638821856.db2.gz KMHNQWBKDWGBAU-LBPRGKRZSA-N 0 1 287.367 0.934 20 30 CCEDMN C#Cc1ccc(C(=O)NC2CN(C(=O)c3ccn[nH]3)C2)nc1 ZINC000997086171 638851734 /nfs/dbraw/zinc/85/17/34/638851734.db2.gz RATPRHXTXORREX-UHFFFAOYSA-N 0 1 295.302 0.040 20 30 CCEDMN COc1cc(CN[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)on1 ZINC000998752149 638939346 /nfs/dbraw/zinc/93/93/46/638939346.db2.gz IGELWXBLSSRHEF-GHMZBOCLSA-N 0 1 292.339 0.923 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cn2ncnn2)C1 ZINC000999159973 638963668 /nfs/dbraw/zinc/96/36/68/638963668.db2.gz CEYAHMHYGDIEEX-JTQLQIEISA-N 0 1 284.751 0.006 20 30 CCEDMN C[C@H](ON=C(N)CN1CCCC[C@H]1C)C(=O)NC1CC1 ZINC000113250174 650459843 /nfs/dbraw/zinc/45/98/43/650459843.db2.gz JVLLJXAVXBHKJD-MNOVXSKESA-N 0 1 282.388 0.817 20 30 CCEDMN C#CC[NH2+][C@H]1CCCN(C(=O)c2cccc3nn[n-]c32)C1 ZINC000999232417 638968979 /nfs/dbraw/zinc/96/89/79/638968979.db2.gz LMZXJTBJBHLMNO-NSHDSACASA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CC(=O)N[C@H](C)C2)CC1 ZINC001000876222 639178961 /nfs/dbraw/zinc/17/89/61/639178961.db2.gz REUCDMYDABWULX-OCCSQVGLSA-N 0 1 289.379 0.283 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCN(C(C)=O)C2)CC1 ZINC001001408481 639296751 /nfs/dbraw/zinc/29/67/51/639296751.db2.gz KBHYOMJVVUORGA-OAHLLOKOSA-N 0 1 289.379 0.236 20 30 CCEDMN CC#CCN1CCC(NC(=O)C2=COCCO2)CC1 ZINC001002286266 639385692 /nfs/dbraw/zinc/38/56/92/639385692.db2.gz VRDDVNGRVCXIQD-UHFFFAOYSA-N 0 1 264.325 0.479 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001014859923 650496498 /nfs/dbraw/zinc/49/64/98/650496498.db2.gz KOUDIZPXFVWXQN-GHMZBOCLSA-N 0 1 251.330 0.032 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc3n[nH]nc3c2)CC1 ZINC001003032230 639497209 /nfs/dbraw/zinc/49/72/09/639497209.db2.gz HETTXPMXYADPNO-UHFFFAOYSA-N 0 1 284.323 0.180 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC001003052593 639499380 /nfs/dbraw/zinc/49/93/80/639499380.db2.gz RIKNUJXXOFVOBM-JSGCOSHPSA-N 0 1 280.368 0.394 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCNC(=O)CC2)CC1 ZINC001003379268 639536378 /nfs/dbraw/zinc/53/63/78/639536378.db2.gz BOIUEODDDSFJIJ-ZDUSSCGKSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@]2(C)CCC(=O)NC2)CC1 ZINC001003479431 639552470 /nfs/dbraw/zinc/55/24/70/639552470.db2.gz AUMMCRCQZIFACU-MRXNPFEDSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCCC(=O)N2)CC1 ZINC001003604638 639578428 /nfs/dbraw/zinc/57/84/28/639578428.db2.gz FRXSXAOQEOFLPI-ZDUSSCGKSA-N 0 1 277.368 0.259 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2nc(C)c[nH]2)CC1 ZINC001003767202 639609983 /nfs/dbraw/zinc/60/99/83/639609983.db2.gz YOIUBSCKHLOHAY-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001014980350 650510226 /nfs/dbraw/zinc/51/02/26/650510226.db2.gz MDNGATLAKULRIJ-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001015005272 650513247 /nfs/dbraw/zinc/51/32/47/650513247.db2.gz UWXCGPICWUIPDS-OAHLLOKOSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCc3nncn3C2)CC1 ZINC001004204331 639719213 /nfs/dbraw/zinc/71/92/13/639719213.db2.gz RIDPPMJSJSVLCT-GFCCVEGCSA-N 0 1 287.367 0.054 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn3cccnc3n2)C1 ZINC001015083706 650526572 /nfs/dbraw/zinc/52/65/72/650526572.db2.gz IYTNYEKQEOYGIX-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cn2cccn2)CC1 ZINC001005114481 639877478 /nfs/dbraw/zinc/87/74/78/639877478.db2.gz UKGBDDGPTYRVPO-UHFFFAOYSA-N 0 1 274.368 0.829 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC001005169355 639885128 /nfs/dbraw/zinc/88/51/28/639885128.db2.gz AIILRWSUPVCDSC-STQMWFEESA-N 0 1 278.352 0.352 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@H]2CCCC(=O)N2)CC1 ZINC001005693460 639953183 /nfs/dbraw/zinc/95/31/83/639953183.db2.gz IPYDPJCXUMWPEK-CYBMUJFWSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnc3nccn3c2)CC1 ZINC001005711885 639954267 /nfs/dbraw/zinc/95/42/67/639954267.db2.gz SSHVDEGNNGANBZ-UHFFFAOYSA-N 0 1 297.362 0.899 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001015217366 650539454 /nfs/dbraw/zinc/53/94/54/650539454.db2.gz XMTJWLVXFRPQPG-SECBINFHSA-N 0 1 272.312 0.343 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccnnc2)CC1 ZINC001006046871 640079740 /nfs/dbraw/zinc/07/97/40/640079740.db2.gz WKINAKWVVDUUSC-UHFFFAOYSA-N 0 1 258.325 0.646 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc3nccn3c2)C1 ZINC001015267241 650546456 /nfs/dbraw/zinc/54/64/56/650546456.db2.gz HXAHEOCUMBDRFW-CYBMUJFWSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3c(c2)nnn3C)C1 ZINC001015300995 650552730 /nfs/dbraw/zinc/55/27/30/650552730.db2.gz RTYMEYCMBZGZIQ-NSHDSACASA-N 0 1 286.339 0.353 20 30 CCEDMN C#CCCCNC(=O)NCCN1CCCOCC1 ZINC000187224361 640214786 /nfs/dbraw/zinc/21/47/86/640214786.db2.gz WMAYCNHCHMXQGI-UHFFFAOYSA-N 0 1 253.346 0.421 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001015370540 650559658 /nfs/dbraw/zinc/55/96/58/650559658.db2.gz JMTSBDNQKBZDIU-LLVKDONJSA-N 0 1 263.345 0.217 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2coc(C(N)=O)c2)C1 ZINC001015389235 650561716 /nfs/dbraw/zinc/56/17/16/650561716.db2.gz XCFJRNYKIDMTSO-NSHDSACASA-N 0 1 275.308 0.206 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(C)nc2OC)C1 ZINC001015494779 650572946 /nfs/dbraw/zinc/57/29/46/650572946.db2.gz GMMQOHYIUDJHLG-LLVKDONJSA-N 0 1 276.340 0.256 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001015500438 650573067 /nfs/dbraw/zinc/57/30/67/650573067.db2.gz RTXYLDCZHHJTPF-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)NC2(c3nnc[nH]3)CCC2)cc1 ZINC000797758825 640466179 /nfs/dbraw/zinc/46/61/79/640466179.db2.gz SWAGROKMKQWHIP-LBPRGKRZSA-N 0 1 297.318 0.905 20 30 CCEDMN Nc1nc(=NNC2=CC(=O)N3CCC[C@H]23)cc(Cl)[nH]1 ZINC000799486005 640576485 /nfs/dbraw/zinc/57/64/85/640576485.db2.gz XCLGHDUSRGYKNE-SSDOTTSWSA-N 0 1 280.719 0.875 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001015621027 650593864 /nfs/dbraw/zinc/59/38/64/650593864.db2.gz QMWSMQBWNBABFC-QWRGUYRKSA-N 0 1 273.340 0.048 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)N[C@H]1CCN(CC#N)C1 ZINC001015627183 650596609 /nfs/dbraw/zinc/59/66/09/650596609.db2.gz XWACCJMPTNYIAJ-LBPRGKRZSA-N 0 1 276.340 0.669 20 30 CCEDMN C[C@H](C#N)OCCN1CCN(CCO[C@H](C)C#N)[C@@H](C)C1 ZINC000801929959 640676162 /nfs/dbraw/zinc/67/61/62/640676162.db2.gz AZFWAUUNGAIBGS-RRFJBIMHSA-N 0 1 294.399 0.850 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2=CCOCC2)C1 ZINC001015659053 650603346 /nfs/dbraw/zinc/60/33/46/650603346.db2.gz RPVGMCJLFLUKLT-CQSZACIVSA-N 0 1 262.353 0.937 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001015695956 650610606 /nfs/dbraw/zinc/61/06/06/650610606.db2.gz SAXZBKFWYVTQQR-FZMZJTMJSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)c(C(N)=O)cn2)C1 ZINC001015732379 650619018 /nfs/dbraw/zinc/61/90/18/650619018.db2.gz RPCVJEGNWPJLEB-NSHDSACASA-N 0 1 288.351 0.479 20 30 CCEDMN Cn1cc(C=NNc2ccc(-n3ccnc3)nn2)c(=O)[nH]1 ZINC000814227901 641060970 /nfs/dbraw/zinc/06/09/70/641060970.db2.gz OVULAMQWYFAYEP-UHFFFAOYSA-N 0 1 284.283 0.547 20 30 CCEDMN Cc1nn2c([nH]c3ccccc3c2=O)c1C=NNC[C@H](C)O ZINC000814963023 641085842 /nfs/dbraw/zinc/08/58/42/641085842.db2.gz AKFORULSARSRIR-VIFPVBQESA-N 0 1 299.334 0.789 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H]2CCN(CCCO)C2)nn1 ZINC001015820310 650634512 /nfs/dbraw/zinc/63/45/12/650634512.db2.gz FMOTVFSAMNAFPC-LBPRGKRZSA-N 0 1 293.371 0.041 20 30 CCEDMN O=C(N[C@H]1CCN(O)C1=O)c1cccc2nc[nH]c21 ZINC000820148843 641473766 /nfs/dbraw/zinc/47/37/66/641473766.db2.gz JVHOVJAAKBQZSJ-VIFPVBQESA-N 0 1 260.253 0.283 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001015962260 650664075 /nfs/dbraw/zinc/66/40/75/650664075.db2.gz FZWQFAWNCGAZEW-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)c1cccc(F)c1O ZINC000820544540 641515072 /nfs/dbraw/zinc/51/50/72/641515072.db2.gz MYDCUWFJBCOJHB-VIFPVBQESA-N 0 1 268.244 0.641 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cccnc2OCC#C)C1 ZINC001016013329 650674520 /nfs/dbraw/zinc/67/45/20/650674520.db2.gz LWJKRGGNJCGVIX-CQSZACIVSA-N 0 1 297.358 0.921 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)C#Cc1cccs1 ZINC000825750661 641908504 /nfs/dbraw/zinc/90/85/04/641908504.db2.gz ANBIUMXOHGPSDA-CYBMUJFWSA-N 0 1 291.420 0.852 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1cccc([N+](=O)[O-])c1 ZINC000826382934 641930214 /nfs/dbraw/zinc/93/02/14/641930214.db2.gz BLRBOWNQTJAYIN-UHFFFAOYSA-N 0 1 296.250 0.891 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N[C@H]1CCN(CC#N)C1 ZINC001016339328 650719652 /nfs/dbraw/zinc/71/96/52/650719652.db2.gz PAZJDLCPIBTBPR-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H]2CC3(CCC3)C(=O)O2)C1 ZINC000829998912 642216335 /nfs/dbraw/zinc/21/63/35/642216335.db2.gz SJMDKVXHQMJJTL-FZMZJTMJSA-N 0 1 264.325 0.823 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc(-n2ccnn2)c1 ZINC000834480944 642572425 /nfs/dbraw/zinc/57/24/25/642572425.db2.gz YJTTUMMUGXCAFN-AAEUAGOBSA-N 0 1 282.307 0.109 20 30 CCEDMN Cc1nc2ccccc2n1CC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834480281 642572554 /nfs/dbraw/zinc/57/25/54/642572554.db2.gz NNAGFIVDFZSVJV-YPMHNXCESA-N 0 1 283.335 0.573 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2CC23CCN(CC#N)CC3)=NC1=O ZINC001016812199 650762394 /nfs/dbraw/zinc/76/23/94/650762394.db2.gz ZPQYAHLJFUMOHW-LLVKDONJSA-N 0 1 289.339 0.234 20 30 CCEDMN Cc1nc(C(=O)N[C@H]2CNC[C@H]2C#N)c(C)s1 ZINC000834484417 642574434 /nfs/dbraw/zinc/57/44/34/642574434.db2.gz IRBLOIYDHJPOQK-BDAKNGLRSA-N 0 1 250.327 0.601 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc(-c2nc[nH]n2)c1 ZINC000834484270 642574598 /nfs/dbraw/zinc/57/45/98/642574598.db2.gz GNLNINPNLVKBKM-NWDGAFQWSA-N 0 1 282.307 0.313 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc(-c2nc[nH]n2)c1 ZINC000834484269 642574744 /nfs/dbraw/zinc/57/47/44/642574744.db2.gz GNLNINPNLVKBKM-NEPJUHHUSA-N 0 1 282.307 0.313 20 30 CCEDMN Cc1c(Cl)c(C(=O)N[C@H]2CNC[C@@H]2C#N)nn1C ZINC000834484920 642576935 /nfs/dbraw/zinc/57/69/35/642576935.db2.gz PAWJTKPNLCVOLE-YUMQZZPRSA-N 0 1 267.720 0.223 20 30 CCEDMN Cc1cccc(C)c1NCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834488287 642578658 /nfs/dbraw/zinc/57/86/58/642578658.db2.gz BGFWRHLRHGCKJZ-QWHCGFSZSA-N 0 1 272.352 0.943 20 30 CCEDMN Cc1nn(CC(=O)N[C@H]2CNC[C@@H]2C#N)c(C)c1Cl ZINC000834489400 642579925 /nfs/dbraw/zinc/57/99/25/642579925.db2.gz MBXIPGYYODSUPL-UWVGGRQHSA-N 0 1 281.747 0.381 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCc1cscn1 ZINC000834494123 642583164 /nfs/dbraw/zinc/58/31/64/642583164.db2.gz KKWWMRWUBFCYRV-SCZZXKLOSA-N 0 1 250.327 0.303 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2CC23CCN(CC(N)=O)CC3)C1 ZINC001016817394 650763680 /nfs/dbraw/zinc/76/36/80/650763680.db2.gz LFYXUMDNQRWKTD-LBPRGKRZSA-N 0 1 291.395 0.799 20 30 CCEDMN Cc1nc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c2ccccn12 ZINC000834500591 642589912 /nfs/dbraw/zinc/58/99/12/642589912.db2.gz UVPFNZCFCWTMGO-WDEREUQCSA-N 0 1 269.308 0.484 20 30 CCEDMN Cn1cc(Cl)cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834511175 642594548 /nfs/dbraw/zinc/59/45/48/642594548.db2.gz JCVSVJXSZDDYDZ-APPZFPTMSA-N 0 1 252.705 0.520 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc2ccccc2oc1=O ZINC000834510636 642594858 /nfs/dbraw/zinc/59/48/58/642594858.db2.gz DLWVSGQZFIMITP-ZYHUDNBSSA-N 0 1 283.287 0.634 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1Cc2ccccc2O1 ZINC000834510465 642595343 /nfs/dbraw/zinc/59/53/43/642595343.db2.gz AXWPPEUAFVVWNE-NTZNESFSSA-N 0 1 257.293 0.218 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cncc(Br)c1 ZINC000834510688 642595629 /nfs/dbraw/zinc/59/56/29/642595629.db2.gz DXBMIPYOYCEUTO-SCZZXKLOSA-N 0 1 295.140 0.685 20 30 CCEDMN Cc1cc(C)cc(OCC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834510629 642595672 /nfs/dbraw/zinc/59/56/72/642595672.db2.gz DLQHYPQHGFSJHG-TZMCWYRMSA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc(N2CCCC2=O)c1 ZINC000834512510 642596782 /nfs/dbraw/zinc/59/67/82/642596782.db2.gz YECMFWSXAWNAEF-GXTWGEPZSA-N 0 1 298.346 0.655 20 30 CCEDMN Cc1ccc(C)c(OCC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834511659 642597635 /nfs/dbraw/zinc/59/76/35/642597635.db2.gz NLFAAUHBPMKTSN-CHWSQXEVSA-N 0 1 273.336 0.910 20 30 CCEDMN C[C@H](NC(=O)c1cccs1)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834516168 642598312 /nfs/dbraw/zinc/59/83/12/642598312.db2.gz FUEAOBQGOOYBLP-GUBZILKMSA-N 0 1 292.364 0.094 20 30 CCEDMN C[C@H](NC(=O)c1cccs1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834516170 642598865 /nfs/dbraw/zinc/59/88/65/642598865.db2.gz FUEAOBQGOOYBLP-LPEHRKFASA-N 0 1 292.364 0.094 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccc2c(c1)CCO2 ZINC000834517464 642600037 /nfs/dbraw/zinc/60/00/37/642600037.db2.gz VVQSZAOWUKNQPK-STQMWFEESA-N 0 1 271.320 0.392 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COc1ccc(F)cc1F ZINC000834517523 642600416 /nfs/dbraw/zinc/60/04/16/642600416.db2.gz WPQHQMPWJJRSIU-KWQFWETISA-N 0 1 281.262 0.571 20 30 CCEDMN Cc1cn2cc(NC(=O)N[C@@H]3CNC[C@@H]3C#N)ccc2n1 ZINC000841099974 642803305 /nfs/dbraw/zinc/80/33/05/642803305.db2.gz QXQGBPYJUOURFL-CMPLNLGQSA-N 0 1 284.323 0.876 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncn(C)n1 ZINC001017524367 650808689 /nfs/dbraw/zinc/80/86/89/650808689.db2.gz GHKCPRDQCXEKAF-TXEJJXNPSA-N 0 1 275.356 0.680 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)CCCC(=O)N2 ZINC000843459335 643062620 /nfs/dbraw/zinc/06/26/20/643062620.db2.gz DPLPHYAGCQMWKY-TZMCWYRMSA-N 0 1 298.346 0.803 20 30 CCEDMN Cc1cncc(/C=C/C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000843459033 643063628 /nfs/dbraw/zinc/06/36/28/643063628.db2.gz BTLBMVOYXKMPST-XCUNLHGCSA-N 0 1 256.309 0.631 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cn1cnc(-c2ccccc2)n1 ZINC000843461354 643064522 /nfs/dbraw/zinc/06/45/22/643064522.db2.gz UHSDIJBQZPYGQZ-STQMWFEESA-N 0 1 296.334 0.173 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C1(c2cccnc2)CC1 ZINC000843461237 643064639 /nfs/dbraw/zinc/06/46/39/643064639.db2.gz SPORMYHCPHVEQW-JQWIXIFHSA-N 0 1 256.309 0.341 20 30 CCEDMN C[C@H](NC(=O)C1CCCCC1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000843460880 643064905 /nfs/dbraw/zinc/06/49/05/643064905.db2.gz IUFNDIPSTBLJLW-CYZMBNFOSA-N 0 1 292.383 0.299 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(F)c(F)c1 ZINC000843462424 643066720 /nfs/dbraw/zinc/06/67/20/643066720.db2.gz QEXFDNINMBFLAS-KCJUWKMLSA-N 0 1 251.236 0.806 20 30 CCEDMN Cc1cc(C)c(C(=O)N[C@H]2CNC[C@H]2C#N)c(=O)[nH]1 ZINC000843463522 643067938 /nfs/dbraw/zinc/06/79/38/643067938.db2.gz XAPXTDZTERIYLV-ZJUUUORDSA-N 0 1 260.297 0.245 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1cnn(-c2ccccc2)n1 ZINC000843462834 643068319 /nfs/dbraw/zinc/06/83/19/643068319.db2.gz SXFNBDQSQBQENV-SMDDNHRTSA-N 0 1 296.334 0.038 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(=O)n(C)c1 ZINC001017704094 650818461 /nfs/dbraw/zinc/81/84/61/650818461.db2.gz AJXWKBLMIQHGHW-GASCZTMLSA-N 0 1 299.374 0.697 20 30 CCEDMN Cc1cc(C)n([C@H](C)CC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000844195684 643178909 /nfs/dbraw/zinc/17/89/09/643178909.db2.gz XZLFIWNSLAMQOS-JHJVBQTASA-N 0 1 275.356 0.679 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccnc1-n1cccn1 ZINC000844195162 643179281 /nfs/dbraw/zinc/17/92/81/643179281.db2.gz QTVIAAALZIQTJJ-JQWIXIFHSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc(-n2cc[nH]c2=O)c1 ZINC000846677039 643441302 /nfs/dbraw/zinc/44/13/02/643441302.db2.gz JLXGPTGBNCUGER-WCQYABFASA-N 0 1 297.318 0.419 20 30 CCEDMN C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000846676631 643441466 /nfs/dbraw/zinc/44/14/66/643441466.db2.gz GCZYCBAXERXOBT-VHRBIJSZSA-N 0 1 284.319 0.553 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1cccc(N)c1Cl ZINC000852827825 644013914 /nfs/dbraw/zinc/01/39/14/644013914.db2.gz QDGOVWJVVCXQBE-ONGXEEELSA-N 0 1 278.743 0.692 20 30 CCEDMN C[C@@H](O)CNN=C1C(=O)Nc2cc(O)c(Cl)cc21 ZINC000853350203 644100632 /nfs/dbraw/zinc/10/06/32/644100632.db2.gz DOGKGKGBFAQVNA-RXMQYKEDSA-N 0 1 269.688 0.672 20 30 CCEDMN Cc1nnc(N=NCc2cnn(C)c2Cl)n1C ZINC000853431335 644104823 /nfs/dbraw/zinc/10/48/23/644104823.db2.gz WOOGGFHNSXARLS-UHFFFAOYSA-N 0 1 253.697 0.956 20 30 CCEDMN N#C[C@@H](CO)NC(=O)C(F)(F)c1ccccc1F ZINC000854870290 644268086 /nfs/dbraw/zinc/26/80/86/644268086.db2.gz CXMLNUWSQACIKM-ZETCQYMHSA-N 0 1 258.199 0.918 20 30 CCEDMN Cc1onc(CC(=O)NOCCN2CCCC2=O)c1C#N ZINC000856042262 644384738 /nfs/dbraw/zinc/38/47/38/644384738.db2.gz XDQZUPCMDUPAQB-UHFFFAOYSA-N 0 1 292.295 0.067 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@]2(CC)CCNC2=O)C1 ZINC001018989998 650927468 /nfs/dbraw/zinc/92/74/68/650927468.db2.gz BNZFDOJTYGLJML-FZMZJTMJSA-N 0 1 299.802 0.846 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC[C@H](NCC#N)C1 ZINC001019043809 650929506 /nfs/dbraw/zinc/92/95/06/650929506.db2.gz IMDNFEHWABJIEL-RYUDHWBXSA-N 0 1 250.346 0.185 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1cccc2c1OCC2 ZINC000859723589 644726695 /nfs/dbraw/zinc/72/66/95/644726695.db2.gz XKIGJVWQNKNDKR-ZYHUDNBSSA-N 0 1 272.308 0.855 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn(CC)nn2)C1 ZINC001019579722 650990033 /nfs/dbraw/zinc/99/00/33/650990033.db2.gz YOUPPXJTGFINHE-JTQLQIEISA-N 0 1 283.763 0.855 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)N1CCCOCC1 ZINC000867952593 645687919 /nfs/dbraw/zinc/68/79/19/645687919.db2.gz YEOVDCAOZMHOOJ-HNNXBMFYSA-N 0 1 285.347 0.994 20 30 CCEDMN C[C@@H](C(=O)NOc1cccc(C#N)c1)[C@@H]1CCC(=O)N1 ZINC000870689967 646018100 /nfs/dbraw/zinc/01/81/00/646018100.db2.gz FXCVTXMQGCWDIT-SKDRFNHKSA-N 0 1 273.292 0.883 20 30 CCEDMN Cc1cccc2c1C[C@H](C(=O)N[C@@H]1CNC[C@@H]1C#N)N2 ZINC000876802827 646670239 /nfs/dbraw/zinc/67/02/39/646670239.db2.gz SOTTYXKLNXQPJS-ZLKJLUDKSA-N 0 1 270.336 0.559 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ncc2c(F)cccn21 ZINC000876803853 646671871 /nfs/dbraw/zinc/67/18/71/646671871.db2.gz YDOVDZQZKVOESS-WCBMZHEXSA-N 0 1 273.271 0.315 20 30 CCEDMN N#Cc1cccc(CNC[C@@H]2COC3(CCOCC3)O2)n1 ZINC000877142391 646741384 /nfs/dbraw/zinc/74/13/84/646741384.db2.gz SPJMVYLXCQZLHN-CQSZACIVSA-N 0 1 289.335 0.965 20 30 CCEDMN N#Cc1ccsc1NC(=O)CCN1CC[C@@H](O)[C@@H](O)C1 ZINC000879612480 647083696 /nfs/dbraw/zinc/08/36/96/647083696.db2.gz BLZFZDNLXJPKGI-MNOVXSKESA-N 0 1 295.364 0.376 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N[C@@H](CO)CN1CCCC1 ZINC000879991025 647140479 /nfs/dbraw/zinc/14/04/79/647140479.db2.gz AGCPSRXSDYOVOK-LLVKDONJSA-N 0 1 277.324 0.646 20 30 CCEDMN Cn1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(Br)n1 ZINC000884249897 647680729 /nfs/dbraw/zinc/68/07/29/647680729.db2.gz TXJDRSKLMYDTKK-HTRCEHHLSA-N 0 1 298.144 0.024 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(C(F)(F)F)ccn1 ZINC000884250389 647681227 /nfs/dbraw/zinc/68/12/27/647681227.db2.gz CYRFNIAXDNOBRU-XCBNKYQSSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cncc2[nH]ccc21 ZINC000884251146 647682087 /nfs/dbraw/zinc/68/20/87/647682087.db2.gz WOLINQLJZWHYHX-GZMMTYOYSA-N 0 1 255.281 0.404 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cn2ccccc2=O)CC1 ZINC001006389234 647872473 /nfs/dbraw/zinc/87/24/73/647872473.db2.gz UDWMAWMVVLAADV-UHFFFAOYSA-N 0 1 287.363 0.404 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCCC[C@H]2C(N)=O)CCC1 ZINC000885947067 647886958 /nfs/dbraw/zinc/88/69/58/647886958.db2.gz ZHZWYMHPBXFBNX-RYUDHWBXSA-N 0 1 265.357 0.771 20 30 CCEDMN C[C@@H]1CN(C[C@@](C)(O)C(F)F)C[C@@H](C)N1CC#N ZINC000886267057 647948179 /nfs/dbraw/zinc/94/81/79/647948179.db2.gz FHBZVUQEOZJEBX-CKYFFXLPSA-N 0 1 261.316 0.921 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)c2cc(Br)[nH]n2)CCO1 ZINC000887684648 648141892 /nfs/dbraw/zinc/14/18/92/648141892.db2.gz MDRRZTXKNUDVCU-JTQLQIEISA-N 0 1 299.128 0.927 20 30 CCEDMN N#CC1CCC(CN2CCN(C(=O)CO)CC2)CC1 ZINC000930102864 648823536 /nfs/dbraw/zinc/82/35/36/648823536.db2.gz KONQOZUWJVZTDV-UHFFFAOYSA-N 0 1 265.357 0.453 20 30 CCEDMN N#CCCNC(=O)CN1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC000931487989 648995309 /nfs/dbraw/zinc/99/53/09/648995309.db2.gz MWQZQIUKRUJGMQ-HOTGVXAUSA-N 0 1 298.390 0.975 20 30 CCEDMN C#CCC(C)(C)C(=O)NCCN1CCCOCC1 ZINC000189478546 649377229 /nfs/dbraw/zinc/37/72/29/649377229.db2.gz LDZDIUWOGRLLHX-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN N#CCN1CCc2ccccc2[C@H]1CNC(=O)c1cnn[nH]1 ZINC000948935329 649403691 /nfs/dbraw/zinc/40/36/91/649403691.db2.gz FNWQIQGEYLUZEE-CQSZACIVSA-N 0 1 296.334 0.657 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000092492238 649724428 /nfs/dbraw/zinc/72/44/28/649724428.db2.gz KPIFRRRTIIMJEW-VIFPVBQESA-N 0 1 272.308 0.256 20 30 CCEDMN N#CCN1C[C@@H](O)[C@@H](CNC(=O)c2n[nH]c3ccccc32)C1 ZINC000958645552 649815914 /nfs/dbraw/zinc/81/59/14/649815914.db2.gz RJOLIVIQGDWZGI-GXFFZTMASA-N 0 1 299.334 0.109 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncc(C#N)cc3F)C[C@@H]21 ZINC000413471027 719416783 /nfs/dbraw/zinc/41/67/83/719416783.db2.gz OXKKYVDUDSVHGV-NWDGAFQWSA-N 0 1 262.288 0.612 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nc4c(cc3C#N)CCC4)C[C@@H]21 ZINC000329903622 719438659 /nfs/dbraw/zinc/43/86/59/719438659.db2.gz IKUHSVLRCUUGLJ-LSDHHAIUSA-N 0 1 284.363 0.961 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@](C)(NC(C)=O)C2CC2)CC1 ZINC001230016578 805575352 /nfs/dbraw/zinc/57/53/52/805575352.db2.gz QMODUMGQNKPMNY-INIZCTEOSA-N 0 1 291.395 0.505 20 30 CCEDMN COc1c(O[C@H](CC#N)C[N+](C)(C)C)nc(C)[n-]c1=O ZINC001230018756 805577379 /nfs/dbraw/zinc/57/73/79/805577379.db2.gz CLACCLNHHSOHLF-SNVBAGLBSA-O 0 1 281.336 0.867 20 30 CCEDMN CC#CCN1CCC(NC(=O)C2(C(=O)NC)CCC2)CC1 ZINC001230113001 805581395 /nfs/dbraw/zinc/58/13/95/805581395.db2.gz LHGPZLSXEZCHDI-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCc2cncn2C)CC1 ZINC001230171031 805585336 /nfs/dbraw/zinc/58/53/36/805585336.db2.gz UZCFHLXGHONODJ-UHFFFAOYSA-N 0 1 288.395 0.957 20 30 CCEDMN CC#CCN1CCC(NC(=O)CNC(=O)[C@H](C)CC)CC1 ZINC001230292251 805593623 /nfs/dbraw/zinc/59/36/23/805593623.db2.gz HMMQWJCDMIBPSH-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)CC2CCC2)CC1 ZINC001266281776 790394734 /nfs/dbraw/zinc/39/47/34/790394734.db2.gz ZZKMVSSCSNEOBT-UHFFFAOYSA-N 0 1 277.412 0.934 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2c(C)ccn2C)CC1 ZINC001266283867 790400316 /nfs/dbraw/zinc/40/03/16/790400316.db2.gz XRKLHUGMGUDTNE-UHFFFAOYSA-N 0 1 290.411 0.867 20 30 CCEDMN COCC#CC[N@@H+](C)CCNC(=O)c1nc(C)oc1C ZINC001266342069 790545570 /nfs/dbraw/zinc/54/55/70/790545570.db2.gz GYNDCZCWVHGVFK-UHFFFAOYSA-N 0 1 279.340 0.603 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1nc(C)oc1C ZINC001266342069 790545579 /nfs/dbraw/zinc/54/55/79/790545579.db2.gz GYNDCZCWVHGVFK-UHFFFAOYSA-N 0 1 279.340 0.603 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCCCN(C)C1=O ZINC001266347794 790560494 /nfs/dbraw/zinc/56/04/94/790560494.db2.gz ROYUGTDFRMCIOI-ZDUSSCGKSA-N 0 1 279.384 0.316 20 30 CCEDMN N#CCNCC1(CCNC(=O)c2[nH]ncc2F)CC1 ZINC001167101049 790569163 /nfs/dbraw/zinc/56/91/63/790569163.db2.gz CDSJSKSBTVCCBS-UHFFFAOYSA-N 0 1 265.292 0.562 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1ccon1 ZINC001266378068 790614095 /nfs/dbraw/zinc/61/40/95/790614095.db2.gz OZKZHBULQYGCBJ-UHFFFAOYSA-N 0 1 265.313 0.376 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cn(CC)cn1 ZINC001266428539 790686773 /nfs/dbraw/zinc/68/67/73/790686773.db2.gz RCQGEDZFBSVMER-UHFFFAOYSA-N 0 1 292.383 0.605 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H](C)Cc1c[nH]cn1 ZINC001266486677 790778510 /nfs/dbraw/zinc/77/85/10/790778510.db2.gz QKDJTDDJRXPSAN-GXTWGEPZSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H](NC(C)=O)C(C)C ZINC001266488951 790781108 /nfs/dbraw/zinc/78/11/08/790781108.db2.gz SSNQSWNMRLLWRN-LSDHHAIUSA-N 0 1 293.411 0.751 20 30 CCEDMN COC(=O)c1nc(C(=O)NCC#CCN(C)C)cs1 ZINC000721428551 790781630 /nfs/dbraw/zinc/78/16/30/790781630.db2.gz SMVGBPVXXYJRJF-UHFFFAOYSA-N 0 1 281.337 0.225 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)Cn1ccccc1=O ZINC001266492461 790783470 /nfs/dbraw/zinc/78/34/70/790783470.db2.gz PJNZJAOLEPBGST-ZDUSSCGKSA-N 0 1 273.336 0.062 20 30 CCEDMN Cc1nc([C@H](C)NCCNC(=O)c2cc(C#N)c[nH]2)n[nH]1 ZINC001125362719 790800802 /nfs/dbraw/zinc/80/08/02/790800802.db2.gz ZUNSLHAWDJITCT-QMMMGPOBSA-N 0 1 287.327 0.394 20 30 CCEDMN CC#CCCCC(=O)NCCN(CCC)[C@H]1CCNC1=O ZINC001266568085 790942022 /nfs/dbraw/zinc/94/20/22/790942022.db2.gz DLTOZKSCJLXERV-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NCCC1(CNCC#N)CC1 ZINC001167422608 791056279 /nfs/dbraw/zinc/05/62/79/791056279.db2.gz UDAONVUGXGMBTO-LBPRGKRZSA-N 0 1 289.383 0.988 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)c2cncnc2)C1 ZINC001279478415 791134626 /nfs/dbraw/zinc/13/46/26/791134626.db2.gz ADFKLSUHJKSMGA-OAHLLOKOSA-N 0 1 290.367 0.609 20 30 CCEDMN C#CC[N@H+](CCCNC(=O)c1cnn[n-]1)Cc1cccnc1 ZINC001230796525 805666522 /nfs/dbraw/zinc/66/65/22/805666522.db2.gz GORHJFFYWQTXAZ-UHFFFAOYSA-N 0 1 298.350 0.455 20 30 CCEDMN C=CCNC(=O)C[N@@H+](C)CCCNC(=O)C(C)(F)F ZINC001231153219 805741756 /nfs/dbraw/zinc/74/17/56/805741756.db2.gz HZTUVUJRQSHVFL-UHFFFAOYSA-N 0 1 277.315 0.382 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C(C)(F)F ZINC001231153219 805741761 /nfs/dbraw/zinc/74/17/61/805741761.db2.gz HZTUVUJRQSHVFL-UHFFFAOYSA-N 0 1 277.315 0.382 20 30 CCEDMN COCC#CCN(C)CCOCCNC(=O)c1cc[nH]c1 ZINC001277493812 805745900 /nfs/dbraw/zinc/74/59/00/805745900.db2.gz IGKNOJPWSKICOW-UHFFFAOYSA-N 0 1 293.367 0.343 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CCN1CCC[C@@H](C)O ZINC001231239194 805756845 /nfs/dbraw/zinc/75/68/45/805756845.db2.gz AGIXTYAGZCUXPT-ZIAGYGMSSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CCN1CCCO ZINC001231240602 805757359 /nfs/dbraw/zinc/75/73/59/805757359.db2.gz MUZWYIUKOVLCAZ-GFCCVEGCSA-N 0 1 256.346 0.104 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231293441 805769905 /nfs/dbraw/zinc/76/99/05/805769905.db2.gz NGIBZHWMJPOSGT-STQMWFEESA-N 0 1 265.357 0.881 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H]1CCOC1(C)C ZINC001231344519 805778125 /nfs/dbraw/zinc/77/81/25/805778125.db2.gz MDZGJMWTZWGANG-LBPRGKRZSA-N 0 1 267.373 0.666 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)c1cccs1 ZINC001231403105 805792481 /nfs/dbraw/zinc/79/24/81/805792481.db2.gz XUYAVZSBVRPRFN-UHFFFAOYSA-N 0 1 293.392 0.549 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CNC(=O)OC)C1 ZINC001277564620 805854927 /nfs/dbraw/zinc/85/49/27/805854927.db2.gz JADQIBGLDBFXNP-NSHDSACASA-N 0 1 283.372 0.840 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](Cc2cc(C#N)ccc2[O-])CCN1C ZINC001232677703 805969250 /nfs/dbraw/zinc/96/92/50/805969250.db2.gz ICUKNOFITABQIG-CYBMUJFWSA-N 0 1 289.335 0.553 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)CCNCc2cnnn2C)c1 ZINC001266831604 791276893 /nfs/dbraw/zinc/27/68/93/791276893.db2.gz GWTPHDYVICJXEP-UHFFFAOYSA-N 0 1 298.350 0.053 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H](COC)OC ZINC001266856075 791294373 /nfs/dbraw/zinc/29/43/73/791294373.db2.gz JRFYRBNVVLMGFH-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NC)C[C@H]21 ZINC001266903322 791331687 /nfs/dbraw/zinc/33/16/87/791331687.db2.gz ZJBXSOLZWQLMAS-LVYCXYHQSA-N 0 1 293.411 0.770 20 30 CCEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)NCc1cnnn1C ZINC001266937943 791374826 /nfs/dbraw/zinc/37/48/26/791374826.db2.gz QRZJOTLGYBXEEF-NSHDSACASA-N 0 1 277.372 0.459 20 30 CCEDMN C#C[C@H](CO)NC[C@](C)(O)C(F)(F)C(F)(F)F ZINC000724023304 791376554 /nfs/dbraw/zinc/37/65/54/791376554.db2.gz MUJMWOKWAVAUCM-RQJHMYQMSA-N 0 1 261.190 0.519 20 30 CCEDMN COCC#CC[N@@H+](C)CCCNC(=O)[C@@H]1C[C@H]1C ZINC001233503083 806069596 /nfs/dbraw/zinc/06/95/96/806069596.db2.gz OGBGVBDGLSXUJR-CHWSQXEVSA-N 0 1 252.358 0.730 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001038245095 791675116 /nfs/dbraw/zinc/67/51/16/791675116.db2.gz FYXJYEUDVMSRBR-QWRGUYRKSA-N 0 1 273.340 0.544 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCO[C@@H]3CN(C(C)C)C[C@@H]32)cn1 ZINC001083253539 791682129 /nfs/dbraw/zinc/68/21/29/791682129.db2.gz VHDDZIVVSRBHGK-JKSUJKDBSA-N 0 1 299.374 0.997 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C1CC2(CC2)C1 ZINC001267215491 791684999 /nfs/dbraw/zinc/68/49/99/791684999.db2.gz KPKFRFJSSQWMIB-UHFFFAOYSA-N 0 1 264.369 0.874 20 30 CCEDMN CN1C[C@H](C(=O)Nc2cc(C#N)ccc2O)CC1=O ZINC000176394650 791694335 /nfs/dbraw/zinc/69/43/35/791694335.db2.gz FYDCBQRXZRFXIF-SECBINFHSA-N 0 1 259.265 0.681 20 30 CCEDMN C[C@H](O)CN1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038141238 791707930 /nfs/dbraw/zinc/70/79/30/791707930.db2.gz UBZKPVMXLZMZQZ-GXSJLCMTSA-N 0 1 262.313 0.071 20 30 CCEDMN C#CC[N@@H+](C)CCNC(=O)COCCc1ccccc1 ZINC001267233282 791715511 /nfs/dbraw/zinc/71/55/11/791715511.db2.gz LHLWRSFEHGYJKU-UHFFFAOYSA-N 0 1 274.364 0.927 20 30 CCEDMN C#CCN(C)CCNC(=O)COCCc1ccccc1 ZINC001267233282 791715522 /nfs/dbraw/zinc/71/55/22/791715522.db2.gz LHLWRSFEHGYJKU-UHFFFAOYSA-N 0 1 274.364 0.927 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1ccnn1C ZINC001233967141 806158886 /nfs/dbraw/zinc/15/88/86/806158886.db2.gz VTKSYMILEPHRLF-ZDUSSCGKSA-N 0 1 262.357 0.681 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)CCCNC(=O)C(C)C ZINC001234074378 806178126 /nfs/dbraw/zinc/17/81/26/806178126.db2.gz GGBYQMIUOWYGCF-UHFFFAOYSA-N 0 1 296.415 0.843 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cc1nnc(C)o1 ZINC001234098950 806182152 /nfs/dbraw/zinc/18/21/52/806182152.db2.gz HWYOKPDKKPFZTE-GFCCVEGCSA-N 0 1 276.340 0.477 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)C1CC(OC)C1 ZINC001267243246 793233708 /nfs/dbraw/zinc/23/37/08/793233708.db2.gz PYZVLDDZLCSKFH-UHFFFAOYSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@@H](C)C#N)C[C@@H](C)O2 ZINC001098574622 793234127 /nfs/dbraw/zinc/23/41/27/793234127.db2.gz PQBMFFURWVBPIK-UONOGXRCSA-N 0 1 289.379 0.861 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H]1CCc2cnn(C)c2C1 ZINC001267248638 793243936 /nfs/dbraw/zinc/24/39/36/793243936.db2.gz DXHTWERCJURDCU-ZDUSSCGKSA-N 0 1 288.395 0.596 20 30 CCEDMN C=C(Cl)CN(CC)CCNC(=O)c1ncn[nH]1 ZINC001267253813 793250110 /nfs/dbraw/zinc/25/01/10/793250110.db2.gz ROPZPPRUHIBXMH-UHFFFAOYSA-N 0 1 257.725 0.609 20 30 CCEDMN C=C(Cl)CN(CC)CCNC(=O)c1nc[nH]n1 ZINC001267253813 793250117 /nfs/dbraw/zinc/25/01/17/793250117.db2.gz ROPZPPRUHIBXMH-UHFFFAOYSA-N 0 1 257.725 0.609 20 30 CCEDMN C[C@H](O)C(=O)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234530937 806266918 /nfs/dbraw/zinc/26/69/18/806266918.db2.gz OWMYARLGONYDPY-LURJTMIESA-N 0 1 259.221 0.493 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)CCc1cnc[nH]1 ZINC001235124650 806372667 /nfs/dbraw/zinc/37/26/67/806372667.db2.gz SGMIYHASGZWGAV-LBPRGKRZSA-N 0 1 261.329 0.399 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H]1CCCOC1 ZINC001235547800 806453716 /nfs/dbraw/zinc/45/37/16/806453716.db2.gz VVHCMOOVJZJABY-CYBMUJFWSA-N 0 1 297.399 0.153 20 30 CCEDMN C#C[C@@](C)(CC)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC001211923355 814931260 /nfs/dbraw/zinc/93/12/60/814931260.db2.gz ZRNGGHBEWBHEBJ-JTQLQIEISA-N 0 1 286.313 0.409 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)CC(=O)N1CCCC1 ZINC001235697552 806494317 /nfs/dbraw/zinc/49/43/17/806494317.db2.gz APXNDYKKYMTMLX-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)CCn2ccnn2)CC1 ZINC001278046908 806634558 /nfs/dbraw/zinc/63/45/58/806634558.db2.gz WNFXGQWKAROBND-UHFFFAOYSA-N 0 1 275.356 0.272 20 30 CCEDMN Cc1ccncc1CN[C@H](CO)CNC(=O)C#CC1CC1 ZINC001278076987 806652699 /nfs/dbraw/zinc/65/26/99/806652699.db2.gz DKAMXPFRMZSKDA-HNNXBMFYSA-N 0 1 287.363 0.370 20 30 CCEDMN CN1CCc2c([nH]nc2C(=O)NC2(C#N)CCC2)C1 ZINC001278097509 806664435 /nfs/dbraw/zinc/66/44/35/806664435.db2.gz LHOIKUCMJSRMSW-UHFFFAOYSA-N 0 1 259.313 0.574 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CN3CCC2CC3)cn1 ZINC001120772998 798933446 /nfs/dbraw/zinc/93/34/46/798933446.db2.gz ANANKEVHLJJMAJ-ZDUSSCGKSA-N 0 1 256.309 0.777 20 30 CCEDMN C#CCNCC(=O)N(CCC(=O)OC)Cc1ccncc1 ZINC001121963615 799108871 /nfs/dbraw/zinc/10/88/71/799108871.db2.gz JQTGRJYVFNWDRC-UHFFFAOYSA-N 0 1 289.335 0.196 20 30 CCEDMN C#CCNCC(=O)NCCc1cccc(C(=O)N(C)C)c1 ZINC001121965066 799109173 /nfs/dbraw/zinc/10/91/73/799109173.db2.gz GKBWSPDKNXABFR-UHFFFAOYSA-N 0 1 287.363 0.270 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCO[C@@H](CC(C)C)C1 ZINC001122042605 799129770 /nfs/dbraw/zinc/12/97/70/799129770.db2.gz KALNBIODGMQWTI-STQMWFEESA-N 0 1 252.358 0.919 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCN(c2ccc(OC)cc2)C1 ZINC001122059561 799131810 /nfs/dbraw/zinc/13/18/10/799131810.db2.gz OOVSQTAUBXEWEX-ZDUSSCGKSA-N 0 1 287.363 0.613 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)NCC#C[C@H]1CCCCO1 ZINC001122188245 799164828 /nfs/dbraw/zinc/16/48/28/799164828.db2.gz SAOMKKWYLIFHJQ-CQSZACIVSA-N 0 1 279.384 0.762 20 30 CCEDMN COCC[C@H]1CNCCN1C(=O)c1coc(C#N)c1 ZINC001122402873 799224395 /nfs/dbraw/zinc/22/43/95/799224395.db2.gz PVCUSNRSZXFJDX-NSHDSACASA-N 0 1 263.297 0.602 20 30 CCEDMN C=CCNC(=O)C(C#N)C(=O)CC1(CS(C)(=O)=O)CC1 ZINC001122413276 799227467 /nfs/dbraw/zinc/22/74/67/799227467.db2.gz JYHWZFWNIMHCQS-JTQLQIEISA-N 0 1 298.364 0.212 20 30 CCEDMN C=C(C)Cn1c(C2=NO[C@@H](CO)C2)nnc1N1CCCC1 ZINC001122501406 799251419 /nfs/dbraw/zinc/25/14/19/799251419.db2.gz OHOKEIFUIWSQDI-LLVKDONJSA-N 0 1 291.355 0.940 20 30 CCEDMN N#CC(C(=O)[C@@H]1CCNC(=O)C1)c1cccc(F)n1 ZINC001122631603 799277292 /nfs/dbraw/zinc/27/72/92/799277292.db2.gz HHHKJOJUNXAYRE-BDAKNGLRSA-N 0 1 261.256 0.923 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc2cc(F)ccc2o1 ZINC001278164773 806735127 /nfs/dbraw/zinc/73/51/27/806735127.db2.gz MOXRRFBOBPCDLC-LBPRGKRZSA-N 0 1 290.294 0.885 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1nc(CC)oc1C ZINC001278171748 806740133 /nfs/dbraw/zinc/74/01/33/806740133.db2.gz LUWSCUURKWWVFY-NSHDSACASA-N 0 1 279.340 0.249 20 30 CCEDMN C#CC1(O)CCN(C(=O)N[C@H]2CCc3nc[nH]c3C2)CC1 ZINC001123650821 799448709 /nfs/dbraw/zinc/44/87/09/799448709.db2.gz FOKQPJJQTUMHBK-NSHDSACASA-N 0 1 288.351 0.437 20 30 CCEDMN C#CCN(CC1CC1)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001123787529 799474911 /nfs/dbraw/zinc/47/49/11/799474911.db2.gz GGDYJSKLKLPGOT-CQSZACIVSA-N 0 1 292.427 0.677 20 30 CCEDMN Cc1ncoc1CNCCNC(=O)CSCC#N ZINC001123799232 799476388 /nfs/dbraw/zinc/47/63/88/799476388.db2.gz NFKJZJYDVIKAGQ-UHFFFAOYSA-N 0 1 268.342 0.446 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc2ncsc2c1 ZINC001278193703 806756288 /nfs/dbraw/zinc/75/62/88/806756288.db2.gz BNKQDOIIKXEVAJ-LLVKDONJSA-N 0 1 289.360 0.610 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1C[C@@H]1C(=O)OC ZINC001124663597 799624114 /nfs/dbraw/zinc/62/41/14/799624114.db2.gz QSHMFZMLASYFKQ-IUCAKERBSA-N 0 1 260.721 0.254 20 30 CCEDMN C=CCOCC(=O)NCCN[C@@H](C)c1cnccn1 ZINC001124767583 799633418 /nfs/dbraw/zinc/63/34/18/799633418.db2.gz IVVCKLJTMBFIQQ-NSHDSACASA-N 0 1 264.329 0.446 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN[C@@H](C)C(=O)Nc1ccccc1 ZINC001124907915 799645667 /nfs/dbraw/zinc/64/56/67/799645667.db2.gz BXVAUPJLSXMRQT-NEPJUHHUSA-N 0 1 288.351 0.879 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](C)c1ccc(F)cc1F ZINC001278201327 806770182 /nfs/dbraw/zinc/77/01/82/806770182.db2.gz FBVDZMUPXQRHKU-PWSUYJOCSA-N 0 1 296.317 0.768 20 30 CCEDMN CN(Cc1ccc(Cl)c(C#N)c1)C[C@H](O)CO ZINC001238190526 806770264 /nfs/dbraw/zinc/77/02/64/806770264.db2.gz DBCILAAXPQEWGU-NSHDSACASA-N 0 1 254.717 0.997 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](C)c1cccc(OC)c1 ZINC001278201540 806770352 /nfs/dbraw/zinc/77/03/52/806770352.db2.gz GWYFEXCLXLSBSV-TZMCWYRMSA-N 0 1 290.363 0.499 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccoc1C(C)C ZINC001278202494 806771708 /nfs/dbraw/zinc/77/17/08/806771708.db2.gz RLZHJYWGXASLFV-NSHDSACASA-N 0 1 264.325 0.717 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001278202965 806771867 /nfs/dbraw/zinc/77/18/67/806771867.db2.gz XDQOIUVSRPQIEI-HNNXBMFYSA-N 0 1 286.375 0.727 20 30 CCEDMN COc1cc(CN2CC[C@@H](CO)[C@@H](O)C2)ccc1C#N ZINC001238409866 806782013 /nfs/dbraw/zinc/78/20/13/806782013.db2.gz KHYVVSMMHIYBNU-KBPBESRZSA-N 0 1 276.336 0.742 20 30 CCEDMN Cc1nc(NC(=O)N[C@@H]2CNC[C@H]2C#N)sc1C ZINC000841015339 800065241 /nfs/dbraw/zinc/06/52/41/800065241.db2.gz CZFUNOVJIZUSJC-RKDXNWHRSA-N 0 1 265.342 0.993 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(C(=O)C2CN(C)C2)C1 ZINC001142595522 800136249 /nfs/dbraw/zinc/13/62/49/800136249.db2.gz CNFZSQLRMFASFO-LBPRGKRZSA-N 0 1 281.356 0.451 20 30 CCEDMN COC(=O)c1cc(C#N)cc(NC(=O)C2CN(C)C2)c1 ZINC001142599178 800136547 /nfs/dbraw/zinc/13/65/47/800136547.db2.gz PTDKQNIZOXTNKK-UHFFFAOYSA-N 0 1 273.292 0.845 20 30 CCEDMN N#Cc1ccc2c(CN3CC(C(N)=O)C3)c[nH]c2c1 ZINC001142922310 800174220 /nfs/dbraw/zinc/17/42/20/800174220.db2.gz BUFRXNFQEPBQDJ-UHFFFAOYSA-N 0 1 254.293 0.957 20 30 CCEDMN N#CC1(C(=O)N2CCN(C3CCOCC3)CC2)CC1 ZINC001143173435 800204070 /nfs/dbraw/zinc/20/40/70/800204070.db2.gz ATTIUPQHXSWCDF-UHFFFAOYSA-N 0 1 263.341 0.613 20 30 CCEDMN C#Cc1cnc(NC(=O)c2[nH]nc3ncccc32)c(C#C)n1 ZINC001149395277 800255212 /nfs/dbraw/zinc/25/52/12/800255212.db2.gz JFZIFUUSLNCWMP-UHFFFAOYSA-N 0 1 288.270 0.963 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)Cc2nnc(C)o2)C1 ZINC001149660547 800288631 /nfs/dbraw/zinc/28/86/31/800288631.db2.gz PRSCDMGLGSTNEH-GFCCVEGCSA-N 0 1 294.355 0.314 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2nnc(C)o2)C1 ZINC001149660547 800288632 /nfs/dbraw/zinc/28/86/32/800288632.db2.gz PRSCDMGLGSTNEH-GFCCVEGCSA-N 0 1 294.355 0.314 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)Cc2cnoc2)C1 ZINC001150186197 800323452 /nfs/dbraw/zinc/32/34/52/800323452.db2.gz NKHDJFQPXMVGMI-AWEZNQCLSA-N 0 1 291.351 0.448 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)c2ccn[nH]2)CC1 ZINC001150964612 800381222 /nfs/dbraw/zinc/38/12/22/800381222.db2.gz AKZCAVXZNRNXGJ-UHFFFAOYSA-N 0 1 290.367 0.644 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1cnsn1 ZINC001152159833 800497204 /nfs/dbraw/zinc/49/72/04/800497204.db2.gz LGTLPYBERYGSJM-LLVKDONJSA-N 0 1 296.396 0.628 20 30 CCEDMN CC[N@@H+](CC#CCOC)[C@H](C)CNC(=O)c1cncnc1 ZINC001152907085 800569913 /nfs/dbraw/zinc/56/99/13/800569913.db2.gz JGMDBVJJFIJSLA-CYBMUJFWSA-N 0 1 290.367 0.567 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1cncnc1 ZINC001152907085 800569915 /nfs/dbraw/zinc/56/99/15/800569915.db2.gz JGMDBVJJFIJSLA-CYBMUJFWSA-N 0 1 290.367 0.567 20 30 CCEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)CC(C)(C)O ZINC001153053490 800589334 /nfs/dbraw/zinc/58/93/34/800589334.db2.gz VYGKJJJPSFZCBZ-GFCCVEGCSA-N 0 1 254.374 0.997 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1cc(C)no1 ZINC001153097505 800595985 /nfs/dbraw/zinc/59/59/85/800595985.db2.gz NAPUIRSXUQHZTI-LLVKDONJSA-N 0 1 267.329 0.780 20 30 CCEDMN C#CC[N@@H+](CC)[C@H](C)CNC(=O)[C@@H]1CCC[NH+]1CC#C ZINC001153891114 800686951 /nfs/dbraw/zinc/68/69/51/800686951.db2.gz MBURNLHYRFWQTG-CABCVRRESA-N 0 1 275.396 0.544 20 30 CCEDMN C=C(CO)C(=O)NCCN(CC)CCC(F)(F)F ZINC001154139645 800727297 /nfs/dbraw/zinc/72/72/97/800727297.db2.gz XNLLDOKSHJHTDJ-UHFFFAOYSA-N 0 1 268.279 0.925 20 30 CCEDMN COC(=O)c1cccc2c(C(=O)N(C)CC#N)[nH]nc21 ZINC001154146081 800729103 /nfs/dbraw/zinc/72/91/03/800729103.db2.gz YFPFRJLCOLVURJ-UHFFFAOYSA-N 0 1 272.264 0.945 20 30 CCEDMN C#Cc1cnc(NC(=O)c2cnn3[nH]c(C)nc23)c(C#C)n1 ZINC001154659727 800825438 /nfs/dbraw/zinc/82/54/38/800825438.db2.gz DCTMHDCPSGZOKK-UHFFFAOYSA-N 0 1 291.274 0.371 20 30 CCEDMN C=CCCC(=O)NCCCNCc1nonc1C ZINC001155580259 801015432 /nfs/dbraw/zinc/01/54/32/801015432.db2.gz YGEKXICZSOXAKK-UHFFFAOYSA-N 0 1 252.318 0.940 20 30 CCEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)COC[C@@H]1CCOC1 ZINC001155588821 801017976 /nfs/dbraw/zinc/01/79/76/801017976.db2.gz DQDLATOONODCBL-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN COc1cc(CNCCCNC(=O)[C@H](C)C#N)on1 ZINC001155848718 801066717 /nfs/dbraw/zinc/06/67/17/801066717.db2.gz OMWNXIONSZXADM-SECBINFHSA-N 0 1 266.301 0.439 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN[C@@H](C)c1ncccn1 ZINC001155849464 801066853 /nfs/dbraw/zinc/06/68/53/801066853.db2.gz YPPHZDVVOPTPOA-QWRGUYRKSA-N 0 1 261.329 0.793 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1cnon1 ZINC001155958032 801086108 /nfs/dbraw/zinc/08/61/08/801086108.db2.gz MSIBINXYONFCRL-LLVKDONJSA-N 0 1 280.328 0.160 20 30 CCEDMN C=C(Cl)CN(CC)[C@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001156288328 801146531 /nfs/dbraw/zinc/14/65/31/801146531.db2.gz CPIWYBIFPGJBGL-SNVBAGLBSA-N 0 1 285.779 0.926 20 30 CCEDMN N#CNC(=Nc1nccc2c1NC(=O)CO2)c1ccncc1 ZINC001156323501 801151221 /nfs/dbraw/zinc/15/12/21/801151221.db2.gz SBYNMFMYUQWSMQ-UHFFFAOYSA-N 0 1 294.274 0.956 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1nnc(C)s1 ZINC001157189889 801320824 /nfs/dbraw/zinc/32/08/24/801320824.db2.gz UEYQSSKYYURBFT-CYBMUJFWSA-N 0 1 298.412 0.770 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCN[C@H](C)c1nncn1C ZINC001157201784 801324818 /nfs/dbraw/zinc/32/48/18/801324818.db2.gz LLFCZSMVHZFVPJ-RISCZKNCSA-N 0 1 295.387 0.299 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CNC(=O)C1CC1 ZINC001157597725 801430962 /nfs/dbraw/zinc/43/09/62/801430962.db2.gz YNNFLXCNNKYQMW-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN COc1cc(CNCCCNC(=O)C#CC(C)C)on1 ZINC001157638782 801442529 /nfs/dbraw/zinc/44/25/29/801442529.db2.gz NWBCYBLZQKAYHA-UHFFFAOYSA-N 0 1 279.340 0.939 20 30 CCEDMN C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)N1CC(C)(C#N)C1 ZINC001157694784 801457687 /nfs/dbraw/zinc/45/76/87/801457687.db2.gz ZFSCDJBVOVQGHJ-NXEZZACHSA-N 0 1 253.346 0.889 20 30 CCEDMN CCCn1nnnc1CNCCCNC(=O)C#CC1CC1 ZINC001157682589 801454707 /nfs/dbraw/zinc/45/47/07/801454707.db2.gz KOUNXJXPFXYNPO-UHFFFAOYSA-N 0 1 290.371 0.092 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN[C@H](C)c1nncn1C ZINC001157874599 801507477 /nfs/dbraw/zinc/50/74/77/801507477.db2.gz UUFQQWOSAAOYGG-NEPJUHHUSA-N 0 1 293.371 0.010 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@@H](C(F)(F)F)[C@H]1CO ZINC001157905087 801514451 /nfs/dbraw/zinc/51/44/51/801514451.db2.gz PAFYQUOUHLTYBN-KKZNHRDASA-N 0 1 278.274 0.499 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCCC[C@@H]1CN(C)CC#C ZINC001157945794 801535854 /nfs/dbraw/zinc/53/58/54/801535854.db2.gz PYQOMOCXZUTZGU-HUUCEWRRSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H](C)NC(N)=O ZINC001157945952 801536139 /nfs/dbraw/zinc/53/61/39/801536139.db2.gz TWFIGOCTKMKFNN-QWHCGFSZSA-N 0 1 294.399 0.379 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1N1CCN2CC[C@@H]2C1 ZINC001158432553 801648430 /nfs/dbraw/zinc/64/84/30/801648430.db2.gz WZTIVNKRZQPHAG-SNVBAGLBSA-N 0 1 268.324 0.677 20 30 CCEDMN CN(C1CN(c2nnc(Cl)cc2C#N)C1)[C@H]1CCOC1 ZINC001158731476 801694703 /nfs/dbraw/zinc/69/47/03/801694703.db2.gz OWELVRVRCBODSP-JTQLQIEISA-N 0 1 293.758 0.911 20 30 CCEDMN CN(C1CN(c2ncnc3[nH]cc(C#N)c32)C1)[C@H]1CCOC1 ZINC001158726751 801695921 /nfs/dbraw/zinc/69/59/21/801695921.db2.gz SQSJWUWMICMUDA-NSHDSACASA-N 0 1 298.350 0.739 20 30 CCEDMN N#Cc1ncc(Cl)nc1NC[C@H]1COCCN1 ZINC001159043485 801747919 /nfs/dbraw/zinc/74/79/19/801747919.db2.gz SWZUQMIZVJJJSJ-ZETCQYMHSA-N 0 1 253.693 0.402 20 30 CCEDMN C=CCNC(=O)c1cccnc1NC[C@H]1COCCN1 ZINC001159048001 801752408 /nfs/dbraw/zinc/75/24/08/801752408.db2.gz XLJKSUWFOAEEIG-NSHDSACASA-N 0 1 276.340 0.398 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CCOC)CC1 ZINC001159069202 801755411 /nfs/dbraw/zinc/75/54/11/801755411.db2.gz RYMYAKRAKDKRSN-UHFFFAOYSA-N 0 1 250.342 0.795 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H](C)OC)CC1 ZINC001159451939 801809720 /nfs/dbraw/zinc/80/97/20/801809720.db2.gz BJPINRLHVQZEBM-GFCCVEGCSA-N 0 1 250.342 0.793 20 30 CCEDMN N#C[C@H](Nc1ncccc1CN1CCCC1)C(N)=O ZINC001159473249 801813738 /nfs/dbraw/zinc/81/37/38/801813738.db2.gz BKJZVSCYHHWDNQ-NSHDSACASA-N 0 1 259.313 0.467 20 30 CCEDMN N=C(Nc1ncnc2c1CCNC2)c1ccc(C(N)=O)cc1 ZINC001160077572 801905243 /nfs/dbraw/zinc/90/52/43/801905243.db2.gz QRRCVGAMZAUCQT-UHFFFAOYSA-N 0 1 296.334 0.258 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2CCC(=O)NC2)CC1 ZINC001160574183 801972995 /nfs/dbraw/zinc/97/29/95/801972995.db2.gz MIULMIXYVWOHAQ-AWEZNQCLSA-N 0 1 289.379 0.284 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCO[C@@H](COc2ccccc2)C1 ZINC001160753896 802016103 /nfs/dbraw/zinc/01/61/03/802016103.db2.gz AZZHSAPFUARZSL-ZBFHGGJFSA-N 0 1 288.347 0.643 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1Cc2cc(OC)c(OC)cc2C1 ZINC001161467354 802159328 /nfs/dbraw/zinc/15/93/28/802159328.db2.gz FIEKLGJXOMTFNS-HNNXBMFYSA-N 0 1 274.320 0.897 20 30 CCEDMN C#CC[N@@H+]1CC=C(CCNC(=O)c2ncn[n-]2)CC1 ZINC001161496881 802165713 /nfs/dbraw/zinc/16/57/13/802165713.db2.gz JAFKYSDQCWQFDF-UHFFFAOYSA-N 0 1 259.313 0.190 20 30 CCEDMN C#CCOC[C@H](O)CN1CC2(C1)C[C@H](F)CS2 ZINC001251854696 807721572 /nfs/dbraw/zinc/72/15/72/807721572.db2.gz JZYODDROKRLWGB-WDEREUQCSA-N 0 1 259.346 0.527 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCc2ncc(C(=O)OC)cc2C1 ZINC001161573773 802184178 /nfs/dbraw/zinc/18/41/78/802184178.db2.gz CSKYPXVVDGYZQO-HNNXBMFYSA-N 0 1 287.319 0.104 20 30 CCEDMN COC(=O)c1cc(NC2(C#N)CCN(C)CC2)ncc1N ZINC001162226862 802293718 /nfs/dbraw/zinc/29/37/18/802293718.db2.gz BIUQYGVOHMUSJU-UHFFFAOYSA-N 0 1 289.339 0.850 20 30 CCEDMN C=CCn1cc(CNC(=O)CCN2CC[C@H](F)C2)nn1 ZINC001162479906 802345949 /nfs/dbraw/zinc/34/59/49/802345949.db2.gz DVURQCUTGFVLSK-NSHDSACASA-N 0 1 281.335 0.514 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCCCNCc1cnon1 ZINC001163344421 802539732 /nfs/dbraw/zinc/53/97/32/802539732.db2.gz GDTQREJGWGUWFE-AWEZNQCLSA-N 0 1 296.371 0.894 20 30 CCEDMN CCCn1cc(C=Nn2c(=O)c(C)n[nH]c2=S)nn1 ZINC001261894129 809122876 /nfs/dbraw/zinc/12/28/76/809122876.db2.gz MIXRBBHAXLVCHW-UHFFFAOYSA-N 0 1 279.329 0.119 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCC1(CNCc2ncnn2C)CC1 ZINC001163854676 802626048 /nfs/dbraw/zinc/62/60/48/802626048.db2.gz RRHFGPAVWUWVRF-NSHDSACASA-N 0 1 290.371 0.351 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1(C(N)=O)CC1 ZINC001264371167 809645000 /nfs/dbraw/zinc/64/50/00/809645000.db2.gz RGWHFOBUPPYFFY-LLVKDONJSA-N 0 1 265.357 0.361 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](NC(=O)COC)C(C)C)C1 ZINC001265306773 809753086 /nfs/dbraw/zinc/75/30/86/809753086.db2.gz XCJWIRQHKWUXSM-JSGCOSHPSA-N 0 1 297.399 0.150 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCNCc1cn(C)nn1 ZINC001164221513 802690951 /nfs/dbraw/zinc/69/09/51/802690951.db2.gz BWCRNHHDGPKOHH-VXGBXAGGSA-N 0 1 279.388 0.869 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCOC)[C@H](OC)C1 ZINC001211934868 814934591 /nfs/dbraw/zinc/93/45/91/814934591.db2.gz GJKSEBOCZFAFCR-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN COc1nc(N2CC(N(C)[C@@H]3CCOC3)C2)ncc1C#N ZINC001165203827 802795098 /nfs/dbraw/zinc/79/50/98/802795098.db2.gz IITJMPLTSUWQMG-LLVKDONJSA-N 0 1 289.339 0.266 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N(C)CC1CN(C)C1 ZINC001165584130 802854192 /nfs/dbraw/zinc/85/41/92/802854192.db2.gz BRHXECHERSINJT-UHFFFAOYSA-N 0 1 254.330 0.516 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CC2(F)F)C1 ZINC001206932637 803312481 /nfs/dbraw/zinc/31/24/81/803312481.db2.gz MGLAUNKUZYCIOQ-IJLUTSLNSA-N 0 1 286.322 0.728 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2cc(OC)no2)C1 ZINC001207172142 803365061 /nfs/dbraw/zinc/36/50/61/803365061.db2.gz PUPLEFUJLHLVGC-DGCLKSJQSA-N 0 1 291.351 0.686 20 30 CCEDMN C=CCN(CC[N@H+](C)C[C@@H](O)CO)C(=O)OC(C)(C)C ZINC001207202130 803367223 /nfs/dbraw/zinc/36/72/23/803367223.db2.gz NSGZSOXQHJPBKJ-GFCCVEGCSA-N 0 1 288.388 0.695 20 30 CCEDMN C=CCN(CCN(C)C[C@@H](O)CO)C(=O)OC(C)(C)C ZINC001207202130 803367228 /nfs/dbraw/zinc/36/72/28/803367228.db2.gz NSGZSOXQHJPBKJ-GFCCVEGCSA-N 0 1 288.388 0.695 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2(CCOC)CC2)C1 ZINC001207453252 803404531 /nfs/dbraw/zinc/40/45/31/803404531.db2.gz GPNGTEAIVDSWKQ-CHWSQXEVSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)Oc2cccnc2)C1 ZINC001208562922 803522813 /nfs/dbraw/zinc/52/28/13/803522813.db2.gz UBRMQUVJXBIDCN-VNHYZAJKSA-N 0 1 287.363 0.919 20 30 CCEDMN CN1CC=C(Nc2nc(C#N)c(C#N)nc2N)CC1 ZINC001208741482 803542767 /nfs/dbraw/zinc/54/27/67/803542767.db2.gz JDDGDFFBLAHXDM-UHFFFAOYSA-N 0 1 255.285 0.433 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)OCC(C)C)[C@H](OC)C1 ZINC001211961868 814946369 /nfs/dbraw/zinc/94/63/69/814946369.db2.gz GUHNJLKAAAFAMQ-RRFJBIMHSA-N 0 1 296.411 0.886 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2cn(C)nc2C)C1 ZINC001209142652 803576116 /nfs/dbraw/zinc/57/61/16/803576116.db2.gz VFCZMXUZAVZHIU-IUODEOHRSA-N 0 1 288.395 0.731 20 30 CCEDMN C=CCCCN1CCOC[C@]2(CN(C(C)=O)CCO2)C1 ZINC001209162159 803576642 /nfs/dbraw/zinc/57/66/42/803576642.db2.gz STGGYXLPCLYXMG-OAHLLOKOSA-N 0 1 282.384 0.902 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCCn2cncn2)C1 ZINC001210074832 803637715 /nfs/dbraw/zinc/63/77/15/803637715.db2.gz YUDIPTCYOXUQSS-ZIAGYGMSSA-N 0 1 289.383 0.518 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCCF)[C@H](OC)C1 ZINC001213280304 803688915 /nfs/dbraw/zinc/68/89/15/803688915.db2.gz HXXXBLJSBQCNFV-CHWSQXEVSA-N 0 1 288.363 0.754 20 30 CCEDMN N#Cc1cc(NS(=O)(=O)c2cncc(N)c2)ccc1N ZINC001213434184 803695244 /nfs/dbraw/zinc/69/52/44/803695244.db2.gz YGXONGMYABZXSI-UHFFFAOYSA-N 0 1 289.320 0.918 20 30 CCEDMN C#CC[C@H](CO)NC(=O)Nc1cnn(-c2ccncc2)c1 ZINC001215420616 803807812 /nfs/dbraw/zinc/80/78/12/803807812.db2.gz DYGJYIDASZYFMU-LLVKDONJSA-N 0 1 285.307 0.773 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)[C@H]1C ZINC001088604372 814968952 /nfs/dbraw/zinc/96/89/52/814968952.db2.gz WTFURUKGQOZFGP-MCIONIFRSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCOCCN1C[C@H]2OCCN(C(=O)CC)[C@H]2C1 ZINC001217139845 803870304 /nfs/dbraw/zinc/87/03/04/803870304.db2.gz KWJLNVDMYRXUOW-QWHCGFSZSA-N 0 1 268.357 0.511 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCNC3=O)[C@@H]2C1 ZINC001075912632 814973002 /nfs/dbraw/zinc/97/30/02/814973002.db2.gz XFDAMKHDLZVTJS-BFHYXJOUSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](CC)OC)[C@H](OC)C1 ZINC001212002580 814975143 /nfs/dbraw/zinc/97/51/43/814975143.db2.gz VVIAMDXUISEITO-JHJVBQTASA-N 0 1 268.357 0.250 20 30 CCEDMN C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)Nc1cnc(C#N)cn1 ZINC001218502841 803969500 /nfs/dbraw/zinc/96/95/00/803969500.db2.gz BFJRLKDAGZRPGD-LDYMZIIASA-N 0 1 277.328 0.818 20 30 CCEDMN C[C@@H](C[C@@H]1CCOC1)NC(=O)NCC#CCN(C)C ZINC001223758861 814991946 /nfs/dbraw/zinc/99/19/46/814991946.db2.gz AWFKJTWEJOAMNK-STQMWFEESA-N 0 1 267.373 0.666 20 30 CCEDMN N=C(c1ccccc1N)N([O-])C(=O)[C@@H]1CC[NH2+]C[C@@H]1F ZINC001218967514 804167085 /nfs/dbraw/zinc/16/70/85/804167085.db2.gz CSVYGKVFQVRQMP-SCZZXKLOSA-N 0 1 280.303 0.760 20 30 CCEDMN COc1cccc(NC(=O)C[C@H]2COCCN2)c1C#N ZINC001218977874 804171051 /nfs/dbraw/zinc/17/10/51/804171051.db2.gz IRXRRWUITGFAQS-JTQLQIEISA-N 0 1 275.308 0.884 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCC)[C@@H](O)C1 ZINC001219107082 804201796 /nfs/dbraw/zinc/20/17/96/804201796.db2.gz PZAMTGYDWOALCC-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C(CC)CC)[C@@H](O)C1 ZINC001219226516 804243651 /nfs/dbraw/zinc/24/36/51/804243651.db2.gz QGLNKWNONCAHDR-KGLIPLIRSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCc2ccnn2C)C[C@@H]1O ZINC001219344170 804278684 /nfs/dbraw/zinc/27/86/84/804278684.db2.gz CXZYLIPQMZZUFS-KGLIPLIRSA-N 0 1 292.383 0.090 20 30 CCEDMN CC/C=C\CCN1C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C1 ZINC001219378698 804289690 /nfs/dbraw/zinc/28/96/90/804289690.db2.gz CJZFWUDBCUPMAD-VAFQMXCQSA-N 0 1 265.357 0.664 20 30 CCEDMN CC/C=C/CCN1C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](O)C1 ZINC001219378699 804289968 /nfs/dbraw/zinc/28/99/68/804289968.db2.gz CJZFWUDBCUPMAD-VTYAVTIVSA-N 0 1 265.357 0.664 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)c2cc[nH]c2C)[C@@H](O)C1 ZINC001219430893 804308921 /nfs/dbraw/zinc/30/89/21/804308921.db2.gz RRMTZYFBVRWRBR-KGLIPLIRSA-N 0 1 293.367 0.301 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC2CCC2)[C@@H](O)C1 ZINC001219439041 804313396 /nfs/dbraw/zinc/31/33/96/804313396.db2.gz FXGXZYZPTUQCSH-KGLIPLIRSA-N 0 1 264.369 0.751 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H](C)SC)[C@@H](O)C1 ZINC001219475906 804325413 /nfs/dbraw/zinc/32/54/13/804325413.db2.gz JGRVFCBPLPLBDN-TUAOUCFPSA-N 0 1 272.414 0.865 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)/C=C\c2ccc[nH]2)[C@@H](O)C1 ZINC001219519808 804336946 /nfs/dbraw/zinc/33/69/46/804336946.db2.gz ZIZXFEKVGLZCQN-QJTIAFMVSA-N 0 1 275.352 0.765 20 30 CCEDMN COC[C@H](C)N1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219597936 804367175 /nfs/dbraw/zinc/36/71/75/804367175.db2.gz AGIYUYGGAOAXFT-XQQFMLRXSA-N 0 1 282.384 0.232 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NC[C@H](O)c1ccco1 ZINC001219604444 804370233 /nfs/dbraw/zinc/37/02/33/804370233.db2.gz OVMLGVZWPMMLBZ-KBPBESRZSA-N 0 1 299.330 0.871 20 30 CCEDMN N#CCc1cccc(C(=O)NC[C@]2(O)CCNC[C@@H]2F)c1 ZINC001219625967 804379466 /nfs/dbraw/zinc/37/94/66/804379466.db2.gz KEOMNJQJWLYTAN-DZGCQCFKSA-N 0 1 291.326 0.545 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001219711289 804411554 /nfs/dbraw/zinc/41/15/54/804411554.db2.gz WKCPVTSRMXOJLB-OLZOCXBDSA-N 0 1 270.373 0.541 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@@H]1O ZINC001219718319 804412729 /nfs/dbraw/zinc/41/27/29/804412729.db2.gz BDMOZCOROBWHGB-XQQFMLRXSA-N 0 1 270.373 0.539 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(C(C)C)C[C@@H]2O)CCOCC1 ZINC001219784316 804424287 /nfs/dbraw/zinc/42/42/87/804424287.db2.gz WVQMTCQBINFQEA-KGLIPLIRSA-N 0 1 294.395 0.376 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(CCOCC)C[C@@H]2O)CCC1 ZINC001219785442 804424395 /nfs/dbraw/zinc/42/43/95/804424395.db2.gz OFSDILVZMAZZJU-KGLIPLIRSA-N 0 1 294.395 0.378 20 30 CCEDMN C=C(C)CNC(=O)[C@@H]([NH3+])CCCC[N-]C(=O)C(F)(F)F ZINC001219880132 804438087 /nfs/dbraw/zinc/43/80/87/804438087.db2.gz VXKDEYQGXCIEST-VIFPVBQESA-N 0 1 295.305 0.855 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H]1CCc2[nH]c(=O)ccc2C1 ZINC001219891444 804441821 /nfs/dbraw/zinc/44/18/21/804441821.db2.gz UOBFYYKMDKPVEX-HZMBPMFUSA-N 0 1 259.309 0.111 20 30 CCEDMN CC(=O)OC[C@H](N)C(=O)N[C@@H](C)c1cccc(C#N)c1 ZINC001220047225 804484386 /nfs/dbraw/zinc/48/43/86/804484386.db2.gz WAQDMXCUMUXFLG-ZANVPECISA-N 0 1 275.308 0.626 20 30 CCEDMN CC(=O)OC[C@H](N)C(=O)N[C@H]1CC[C@H](C#N)CC1 ZINC001220095410 804498021 /nfs/dbraw/zinc/49/80/21/804498021.db2.gz YFWCFRNEHMOHBU-DCAQKATOSA-N 0 1 253.302 0.075 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N[C@H]1C[C@H](O)C1 ZINC001220157160 804520010 /nfs/dbraw/zinc/52/00/10/804520010.db2.gz YXLRDNFVOHZPII-AVGNSLFASA-N 0 1 259.309 0.068 20 30 CCEDMN CCC1(CNC(=O)[C@@H](N)Cc2ccccc2C#N)COC1 ZINC001220170297 804523389 /nfs/dbraw/zinc/52/33/89/804523389.db2.gz HCYSLPILKALZFA-AWEZNQCLSA-N 0 1 287.363 0.971 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H](C)CC(=C)C)[C@@H](O)C1 ZINC001220201544 804535889 /nfs/dbraw/zinc/53/58/89/804535889.db2.gz TWIAOBBVLARHPJ-ZNMIVQPWSA-N 0 1 296.411 0.953 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001220280108 804559635 /nfs/dbraw/zinc/55/96/35/804559635.db2.gz AFDXOLCUJJOCCM-DYEKYZERSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@H](C)OC)C[C@@H]2O)CCC1 ZINC001220293928 804564040 /nfs/dbraw/zinc/56/40/40/804564040.db2.gz ZTXSVPKCTDEGBI-MJBXVCDLSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001220437163 804603890 /nfs/dbraw/zinc/60/38/90/804603890.db2.gz XFFONJJENMTNBJ-OLZOCXBDSA-N 0 1 284.400 0.787 20 30 CCEDMN CCC[C@H](N)C(=O)N=C(N)c1ccc(C(=O)OC)cc1 ZINC001220577481 804630596 /nfs/dbraw/zinc/63/05/96/804630596.db2.gz SXUWQGHIRYXMTE-NSHDSACASA-N 0 1 277.324 0.833 20 30 CCEDMN N[C@@H](Cc1c[nH]c2ncccc12)C(=O)NCCCS ZINC001220587370 804631977 /nfs/dbraw/zinc/63/19/77/804631977.db2.gz BNIDRPVXIIHFNX-NSHDSACASA-N 0 1 278.381 0.869 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(CC)c[nH]c1=O ZINC001272145538 815028828 /nfs/dbraw/zinc/02/88/28/815028828.db2.gz NQPDCIOBBAWIKM-UHFFFAOYSA-N 0 1 275.352 0.987 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)C(C)(F)F)[C@@H](O)C1 ZINC001220866730 804683974 /nfs/dbraw/zinc/68/39/74/804683974.db2.gz ZQJOOWGREUFTED-MNOVXSKESA-N 0 1 288.338 0.852 20 30 CCEDMN COc1ccc(CNC(=O)NCC#CCN(C)C)cn1 ZINC001220922435 804700199 /nfs/dbraw/zinc/70/01/99/804700199.db2.gz XNYBBIOVADVPDY-UHFFFAOYSA-N 0 1 276.340 0.454 20 30 CCEDMN C=CCC[C@@H](NC(=O)NCCn1cc[nH+]c1C)C(=O)[O-] ZINC000316716826 804719952 /nfs/dbraw/zinc/71/99/52/804719952.db2.gz XNBHVQLWCGWGTA-LLVKDONJSA-N 0 1 280.328 0.910 20 30 CCEDMN Cc1nccnc1CNC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001221103885 804730835 /nfs/dbraw/zinc/73/08/35/804730835.db2.gz SIHVQTXQKVEJTH-AWEZNQCLSA-N 0 1 295.346 0.843 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)COCC=C)[C@@H]2C1 ZINC001221441896 804818205 /nfs/dbraw/zinc/81/82/05/804818205.db2.gz YKNHBZXWTPVHTJ-HUUCEWRRSA-N 0 1 294.395 0.924 20 30 CCEDMN CCOCCN1CC[C@@H]2CN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001221449068 804818829 /nfs/dbraw/zinc/81/88/29/804818829.db2.gz VXZHFKYYFZWJJA-JHJVBQTASA-N 0 1 265.357 0.715 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@H]2CCN(CC#CC)C[C@H]21 ZINC001221517866 804828624 /nfs/dbraw/zinc/82/86/24/804828624.db2.gz MHZRHBXZKFVKPT-FMKPAKJESA-N 0 1 276.380 0.870 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)COC[C@H]3CCOC3)[C@@H]2C1 ZINC001221845413 804849802 /nfs/dbraw/zinc/84/98/02/804849802.db2.gz NCMFMXZNNXNTTE-RRFJBIMHSA-N 0 1 294.395 0.758 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(CNC(=O)CC)CC1 ZINC001222208177 804882705 /nfs/dbraw/zinc/88/27/05/804882705.db2.gz SSHCNQWTKAWWED-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN CC[C@H]1OC(C)=C(O[C@H](COC)C(=O)OC)C1=O ZINC001222350199 804894458 /nfs/dbraw/zinc/89/44/58/804894458.db2.gz RGBFUAJMWKPJKI-RKDXNWHRSA-N 0 1 258.270 0.800 20 30 CCEDMN C=CCCC(=O)NCC1CCN(CC(=O)N(C)C)CC1 ZINC001222579798 804917074 /nfs/dbraw/zinc/91/70/74/804917074.db2.gz YGOAKINEQFBDMT-UHFFFAOYSA-N 0 1 281.400 0.869 20 30 CCEDMN C=CCCC(=O)NCC1CCN([C@H](C)C(=O)NC)CC1 ZINC001222613198 804920444 /nfs/dbraw/zinc/92/04/44/804920444.db2.gz SPMGQRBEKRBBRD-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CCN(Cc2cncn2C)CC1 ZINC001222636169 804922160 /nfs/dbraw/zinc/92/21/60/804922160.db2.gz IURVQVAJLVZQTA-LBPRGKRZSA-N 0 1 289.383 0.908 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H]3C[C@@]32C(N)=O)c(O)c1 ZINC001276864711 804955031 /nfs/dbraw/zinc/95/50/31/804955031.db2.gz HCCGOHGEXZHRNG-OTYXRUKQSA-N 0 1 271.276 0.354 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)[C@H]1C ZINC001088632442 815043881 /nfs/dbraw/zinc/04/38/81/815043881.db2.gz OKRHMBJKHQIKMM-RFGFWPKPSA-N 0 1 280.368 0.393 20 30 CCEDMN C=C1CC(C)(C(=O)NCC2CCN(CC(=O)NC)CC2)C1 ZINC001224476286 805041577 /nfs/dbraw/zinc/04/15/77/805041577.db2.gz AHBLFAHTBSXCFM-UHFFFAOYSA-N 0 1 293.411 0.917 20 30 CCEDMN C=CCNC(=O)NC(C)(C)C(=O)NC[C@H]1CCN1CC ZINC001276977859 805045445 /nfs/dbraw/zinc/04/54/45/805045445.db2.gz JOFDVNOFYPUOMG-LLVKDONJSA-N 0 1 282.388 0.461 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)c2ccsc2)CC1 ZINC001276997945 805077731 /nfs/dbraw/zinc/07/77/31/805077731.db2.gz WCQUKJIMXRAWEV-UHFFFAOYSA-N 0 1 293.392 0.902 20 30 CCEDMN COCC#CCN1CCC(NC(=O)CCOC)CC1 ZINC001225789496 805162242 /nfs/dbraw/zinc/16/22/42/805162242.db2.gz YNUMHEPLXSPHGG-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3nnc(C)n3c2)[C@H]1C ZINC001088686676 815057572 /nfs/dbraw/zinc/05/75/72/815057572.db2.gz FGQQSAQNZCYSJL-RISCZKNCSA-N 0 1 297.362 0.864 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226649591 805260476 /nfs/dbraw/zinc/26/04/76/805260476.db2.gz VTQCSDOGHHQQNM-NWDGAFQWSA-N 0 1 292.383 0.417 20 30 CCEDMN C#CCN1CCC(NC(=O)CCNC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001227662244 805376365 /nfs/dbraw/zinc/37/63/65/805376365.db2.gz ZILZLTKXGDBUNV-JSGCOSHPSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(CF)CCOCC2)CC1 ZINC001229193811 805511539 /nfs/dbraw/zinc/51/15/39/805511539.db2.gz NUGDUHYWTMYXOL-UHFFFAOYSA-N 0 1 282.359 0.967 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2OCC[C@H]2C)CC1 ZINC001229233099 805515746 /nfs/dbraw/zinc/51/57/46/805515746.db2.gz FGFTVCFTBQHWHQ-HIFRSBDPSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001278381169 807054946 /nfs/dbraw/zinc/05/49/46/807054946.db2.gz HRQSNVUKOONSAX-BXUZGUMPSA-N 0 1 264.325 0.153 20 30 CCEDMN C#CCCCC(=O)N[C@@]1(C)CCN([C@H]2CCCNC2=O)C1 ZINC001278388600 807060108 /nfs/dbraw/zinc/06/01/08/807060108.db2.gz MKXNSKGYSIPJNM-BBRMVZONSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)Cc2cn(C)nc2C)C1 ZINC001278403460 807076164 /nfs/dbraw/zinc/07/61/64/807076164.db2.gz BTLULSWPPCYJLY-OAHLLOKOSA-N 0 1 274.368 0.485 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cc2cn(C)nc2C)C1 ZINC001278403460 807076171 /nfs/dbraw/zinc/07/61/71/807076171.db2.gz BTLULSWPPCYJLY-OAHLLOKOSA-N 0 1 274.368 0.485 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CN2CCCCC2=O)[C@H]1C ZINC001088698882 815098544 /nfs/dbraw/zinc/09/85/44/815098544.db2.gz DKUZPNIUGDBUGW-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN Cn1c(CNC(=O)NCC2(CC#N)CC2)n[nH]c1=O ZINC001248028976 807504476 /nfs/dbraw/zinc/50/44/76/807504476.db2.gz PTYNQOULCGVIOK-UHFFFAOYSA-N 0 1 264.289 0.014 20 30 CCEDMN CCc1ccc(C#CC(=O)N(C)c2nn[nH]n2)cc1 ZINC001168358588 815129788 /nfs/dbraw/zinc/12/97/88/815129788.db2.gz JMRDWLJRRCQPSS-UHFFFAOYSA-N 0 1 255.281 0.777 20 30 CCEDMN N#Cc1ccccc1CNC[C@@H](O)CN1CCOCC1 ZINC001251035953 807650264 /nfs/dbraw/zinc/65/02/64/807650264.db2.gz UDPPECCWJRGRNJ-OAHLLOKOSA-N 0 1 275.352 0.341 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NCc1n[nH]c(CC)n1 ZINC001251394014 807681525 /nfs/dbraw/zinc/68/15/25/807681525.db2.gz YNHNHEPMQLDMNI-VIFPVBQESA-N 0 1 267.333 0.351 20 30 CCEDMN C#CCOC[C@@H](O)CNc1cc(C)nn1-c1ccncc1 ZINC001251829454 807712601 /nfs/dbraw/zinc/71/26/01/807712601.db2.gz VUNGHSOPFUYQRI-AWEZNQCLSA-N 0 1 286.335 0.998 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(c2cccc(C#N)c2)CC1 ZINC001251855230 807723549 /nfs/dbraw/zinc/72/35/49/807723549.db2.gz UCHXDVURDZEVFM-QGZVFWFLSA-N 0 1 299.374 0.691 20 30 CCEDMN C#CCOC[C@H](O)CNCC(=O)Nc1ccccc1 ZINC001251890460 807736051 /nfs/dbraw/zinc/73/60/51/807736051.db2.gz BWVWJEUMDLPLDI-CYBMUJFWSA-N 0 1 262.309 0.225 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ccc(OC)c(OC)n1 ZINC001251896635 807740279 /nfs/dbraw/zinc/74/02/79/807740279.db2.gz KYYVNRVSJSQGEA-GFCCVEGCSA-N 0 1 280.324 0.199 20 30 CCEDMN C=CCOCc1[nH]nc2c1CN(C[C@@H](O)COCC)C2 ZINC001252024761 807764887 /nfs/dbraw/zinc/76/48/87/807764887.db2.gz NEDQZWIMNWBNMN-LLVKDONJSA-N 0 1 281.356 0.825 20 30 CCEDMN C=CCOC[C@H](O)CN(C)C1CCS(=O)(=O)CC1 ZINC001252470590 807881781 /nfs/dbraw/zinc/88/17/81/807881781.db2.gz MLZZISLXMJDOJS-GFCCVEGCSA-N 0 1 277.386 0.059 20 30 CCEDMN C=CCOC[C@H](O)C[N@H+]1CCc2[n-][nH]c(=O)c2C1 ZINC001252473412 807885035 /nfs/dbraw/zinc/88/50/35/807885035.db2.gz KTXXLSKZFHWTHX-SECBINFHSA-N 0 1 253.302 0.037 20 30 CCEDMN C=CCOC[C@H](O)CN[C@@H]1Cc2ccccc2NC1=O ZINC001252481806 807887270 /nfs/dbraw/zinc/88/72/70/807887270.db2.gz GHRGEASBXCDDRP-TZMCWYRMSA-N 0 1 276.336 0.703 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2nn(C)cc2Cl)[C@@H](O)C1 ZINC001083486871 815156357 /nfs/dbraw/zinc/15/63/57/815156357.db2.gz UJINERMKOPVERW-MNOVXSKESA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCC[C@@H](O)CN1CCCC(O)=C1C(=O)OCC ZINC001252599844 807917308 /nfs/dbraw/zinc/91/73/08/807917308.db2.gz LMXUQBJQNJOSBY-DGCLKSJQSA-N 0 1 269.341 0.910 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2C)[C@H]1C ZINC001088796675 815167971 /nfs/dbraw/zinc/16/79/71/815167971.db2.gz XFFFHLULPNUSPL-WOPDTQHZSA-N 0 1 265.357 0.372 20 30 CCEDMN C=C[C@H](O)CN1Cc2ccnn2C[C@@H](COCC)C1 ZINC001253576379 808084122 /nfs/dbraw/zinc/08/41/22/808084122.db2.gz CUWFTDWBKRBASC-JSGCOSHPSA-N 0 1 265.357 0.898 20 30 CCEDMN C=C[C@@H](O)CN1Cc2cn(C)nc2[C@H](COCC)C1 ZINC001253576127 808084287 /nfs/dbraw/zinc/08/42/87/808084287.db2.gz APDLUFXDTMUKJC-QWHCGFSZSA-N 0 1 265.357 0.903 20 30 CCEDMN C=C[C@H](O)CN1CCN(c2cccc(OC)n2)CC1 ZINC001253584527 808090837 /nfs/dbraw/zinc/09/08/37/808090837.db2.gz NTEHGOQHJGCLAB-LBPRGKRZSA-N 0 1 263.341 0.759 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H](OCC)C(C)C)C1 ZINC001278777307 808217497 /nfs/dbraw/zinc/21/74/97/808217497.db2.gz XJZQSDVSOPXUHE-DZGCQCFKSA-N 0 1 282.384 0.234 20 30 CCEDMN CCOC(=O)CON=C(c1ccn[nH]1)c1nnc(CC)o1 ZINC001255080187 808315111 /nfs/dbraw/zinc/31/51/11/808315111.db2.gz ZGJITVZHNKGIBB-BOPFTXTBSA-N 0 1 293.283 0.687 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)/C=C(/C)C1CC1 ZINC001278849647 808331672 /nfs/dbraw/zinc/33/16/72/808331672.db2.gz HRQFSWJKWVTISC-FWLQQBITSA-N 0 1 264.369 0.727 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)CC1(C)CC1 ZINC001278864622 808353711 /nfs/dbraw/zinc/35/37/11/808353711.db2.gz GUGBSMRIXLPPRC-CYBMUJFWSA-N 0 1 266.385 0.951 20 30 CCEDMN COC(=O)[C@@H](Cc1ccc(C#N)cc1)N[C@@H]1CCN(C)C1 ZINC001255425500 808359670 /nfs/dbraw/zinc/35/96/70/808359670.db2.gz GOVFVFCUNUYGAS-HUUCEWRRSA-N 0 1 287.363 0.936 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)Cc1cscn1 ZINC001278877763 808418893 /nfs/dbraw/zinc/41/88/93/808418893.db2.gz CEKRKPDUPZMGOD-ZDUSSCGKSA-N 0 1 295.408 0.460 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)[C@H](C)C(C)C ZINC001278878835 808420559 /nfs/dbraw/zinc/42/05/59/808420559.db2.gz UYEDBDXBIDOACL-CABCVRRESA-N 0 1 298.427 0.679 20 30 CCEDMN COC(=O)CC[C@@H](C)N1CCN(CCC#N)CC1 ZINC001258372228 808678117 /nfs/dbraw/zinc/67/81/17/808678117.db2.gz WQIRMVXBEZJPJC-GFCCVEGCSA-N 0 1 253.346 0.859 20 30 CCEDMN N#CCCCNC(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC001258480722 808686607 /nfs/dbraw/zinc/68/66/07/808686607.db2.gz AENGWEBHVMBUKJ-JTQLQIEISA-N 0 1 275.312 0.323 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N2CCN(C)C(=O)C2)C1 ZINC001258734985 808700491 /nfs/dbraw/zinc/70/04/91/808700491.db2.gz LWQLYADCHZRJJI-GFCCVEGCSA-N 0 1 251.374 0.799 20 30 CCEDMN C=CCS(=O)(=O)N1CCC(N2CCN(C)CC2)CC1 ZINC001259927150 808806291 /nfs/dbraw/zinc/80/62/91/808806291.db2.gz QQWKYDLRBZLNCQ-UHFFFAOYSA-N 0 1 287.429 0.214 20 30 CCEDMN Cc1cc(NS(=O)(=O)CS(C)(=O)=O)cnc1C#N ZINC001260584984 808869784 /nfs/dbraw/zinc/86/97/84/808869784.db2.gz IINWOTHOIWNLPY-UHFFFAOYSA-N 0 1 289.338 0.006 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3nc(C)no3)[C@@H]2C1 ZINC001076109537 815244795 /nfs/dbraw/zinc/24/47/95/815244795.db2.gz OWGAQBWAXWVNDD-QWHCGFSZSA-N 0 1 288.351 0.477 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCN(CC)[C@@H](C)C1 ZINC001262211615 809245396 /nfs/dbraw/zinc/24/53/96/809245396.db2.gz KYOPDCJTDZCRPD-NSHDSACASA-N 0 1 250.350 0.780 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cn3nccc3C)[C@@H]2C1 ZINC001076304510 815273680 /nfs/dbraw/zinc/27/36/80/815273680.db2.gz RIISDDLQWCTOBB-UONOGXRCSA-N 0 1 272.352 0.357 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cnc[nH]3)[C@@H]2C1 ZINC001076294381 815274310 /nfs/dbraw/zinc/27/43/10/815274310.db2.gz IHUXMSYNDXJJEG-WCQYABFASA-N 0 1 258.325 0.118 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](Cc2nccn2C)C1 ZINC001263115015 809451878 /nfs/dbraw/zinc/45/18/78/809451878.db2.gz GCSVCKFMAIJTBV-ZDUSSCGKSA-N 0 1 274.368 0.424 20 30 CCEDMN C#CCNCC(=O)N[C@@]1(C(=O)OC)C[C@H](OCC)C1(C)C ZINC001263126022 809452923 /nfs/dbraw/zinc/45/29/23/809452923.db2.gz ZCIFWVQKYJVXEC-XHDPSFHLSA-N 0 1 296.367 0.072 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cccc(OC)n3)[C@@H]2C1 ZINC001076418703 815294101 /nfs/dbraw/zinc/29/41/01/815294101.db2.gz KWQIVPLQJZTJPD-GXTWGEPZSA-N 0 1 285.347 0.870 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1C[N@@H+](CC2CC(C)C2)C[C@@H]1O ZINC001263721258 809556195 /nfs/dbraw/zinc/55/61/95/809556195.db2.gz LOCUJWPSENWETA-PQAZSJQKSA-N 0 1 282.384 0.396 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@@](O)(C(F)(F)F)C1 ZINC000383078319 809557472 /nfs/dbraw/zinc/55/74/72/809557472.db2.gz SLKYDYABXPKYNZ-BDAKNGLRSA-N 0 1 252.236 0.914 20 30 CCEDMN C#CCOCCN(C)C(=O)[C@@H](C)CN1CCOCC1 ZINC001264015413 809622643 /nfs/dbraw/zinc/62/26/43/809622643.db2.gz VBHNDUHAGQPQCM-ZDUSSCGKSA-N 0 1 268.357 0.063 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)CCCC)n1 ZINC001264023845 809624091 /nfs/dbraw/zinc/62/40/91/809624091.db2.gz JKYGLSFEWFNQAD-UHFFFAOYSA-N 0 1 276.340 0.711 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](CCC)NC(N)=O)[C@H]1C ZINC001264171113 809636460 /nfs/dbraw/zinc/63/64/60/809636460.db2.gz ZUCLZNCOPMPMOZ-GRYCIOLGSA-N 0 1 282.388 0.588 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCCC(=O)NCC ZINC001264376751 809645929 /nfs/dbraw/zinc/64/59/29/809645929.db2.gz OBPSEYUIYOMWOR-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)C(C)(C)CC)n1 ZINC001264582733 809664454 /nfs/dbraw/zinc/66/44/54/809664454.db2.gz KDYFNBBSTRFJTN-UHFFFAOYSA-N 0 1 290.367 0.957 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN(C)Cc1conc1C ZINC001264985246 809688006 /nfs/dbraw/zinc/68/80/06/809688006.db2.gz RPWZIIGWSPBYLD-CYBMUJFWSA-N 0 1 293.367 0.959 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2cnnn2C)C1 ZINC001265212753 809724732 /nfs/dbraw/zinc/72/47/32/809724732.db2.gz FMLXDWPGAWWPCH-GFCCVEGCSA-N 0 1 293.371 0.212 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@@H]1CCCN(CCO)C1 ZINC001265213447 809726129 /nfs/dbraw/zinc/72/61/29/809726129.db2.gz SCWGNMIWIFXVOI-GFCCVEGCSA-N 0 1 252.358 0.609 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2ccc(C)n2C)CC1 ZINC001265256071 809732171 /nfs/dbraw/zinc/73/21/71/809732171.db2.gz LHVSJGDURISGGT-UHFFFAOYSA-N 0 1 290.411 0.867 20 30 CCEDMN COC[C@H](O)CN1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283344 809747471 /nfs/dbraw/zinc/74/74/71/809747471.db2.gz DKMYQAKIWFWHHO-QWHCGFSZSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2nccn2C)C1 ZINC001265294945 809749203 /nfs/dbraw/zinc/74/92/03/809749203.db2.gz MUCDWLOEYPIECS-LBPRGKRZSA-N 0 1 262.357 0.729 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001265303653 809752397 /nfs/dbraw/zinc/75/23/97/809752397.db2.gz LZCSPUUOTCCMHJ-ZIAGYGMSSA-N 0 1 297.399 0.294 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CCNC1=O)C1CC1 ZINC001265366755 809765831 /nfs/dbraw/zinc/76/58/31/809765831.db2.gz TVWMUBVHDSUKPW-MNOVXSKESA-N 0 1 285.775 0.359 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)NC(N)=O ZINC001265407161 809773098 /nfs/dbraw/zinc/77/30/98/809773098.db2.gz RZLASUMBMNECJD-RWMBFGLXSA-N 0 1 294.399 0.685 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cn(C)nc2CC)[C@@H](O)C1 ZINC001083550353 815318023 /nfs/dbraw/zinc/31/80/23/815318023.db2.gz FDVCTAKPGWZPPQ-KGLIPLIRSA-N 0 1 292.383 0.334 20 30 CCEDMN C=C(C)CC[N@@H+](C)CCCNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001265961840 809906262 /nfs/dbraw/zinc/90/62/62/809906262.db2.gz HATMXRWOWRIEQB-UHFFFAOYSA-N 0 1 297.359 0.094 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)c2ccncc2)CC1 ZINC001279416529 809978869 /nfs/dbraw/zinc/97/88/69/809978869.db2.gz UXBGMCODGNDPIJ-UHFFFAOYSA-N 0 1 287.367 0.389 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)[C@H]2CCOC2)C1 ZINC001279470652 809981368 /nfs/dbraw/zinc/98/13/68/809981368.db2.gz HFHQIWFBGPWUCN-DZGCQCFKSA-N 0 1 282.384 0.542 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccncc2F)C1 ZINC001076830899 815387489 /nfs/dbraw/zinc/38/74/89/815387489.db2.gz NWVQOBBPBDGECV-ZIAGYGMSSA-N 0 1 293.342 0.962 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2CC(C)C2)C1 ZINC001076865243 815400719 /nfs/dbraw/zinc/40/07/19/815400719.db2.gz CNVBIPSKUWAXRW-FIYWTHMPSA-N 0 1 250.342 0.217 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCCN1[C@@H](C)C(=O)NC ZINC001267264083 811065234 /nfs/dbraw/zinc/06/52/34/811065234.db2.gz PQPREWYWAKDZGK-RYUDHWBXSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)CC)C1 ZINC001267284097 811094886 /nfs/dbraw/zinc/09/48/86/811094886.db2.gz WYZZNOMUUSHJAF-RYUDHWBXSA-N 0 1 267.373 0.525 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)C(C)(C)C=C)C1 ZINC001267297585 811113446 /nfs/dbraw/zinc/11/34/46/811113446.db2.gz CYCQRPBEWXZVRY-ZDUSSCGKSA-N 0 1 291.395 0.386 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCN(CC(N)=O)C1 ZINC001267300096 811118262 /nfs/dbraw/zinc/11/82/62/811118262.db2.gz KNQNKUZNBOTZQX-RYUDHWBXSA-N 0 1 267.373 0.512 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H]1CCN(CCF)C1 ZINC001267309110 811130901 /nfs/dbraw/zinc/13/09/01/811130901.db2.gz JASKWQICYIJPEZ-LBPRGKRZSA-N 0 1 258.337 0.987 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@H](C)CNc2nccnc2C#N)[nH]1 ZINC001104501250 811186510 /nfs/dbraw/zinc/18/65/10/811186510.db2.gz PKZQGOCGBUHNKG-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN Cc1ncoc1CNCCN(C)C(=O)CSCC#N ZINC001267348481 811190214 /nfs/dbraw/zinc/19/02/14/811190214.db2.gz GETPKEAPVYQVIZ-UHFFFAOYSA-N 0 1 282.369 0.788 20 30 CCEDMN Cc1nnc(CNC[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC001023895551 811279250 /nfs/dbraw/zinc/27/92/50/811279250.db2.gz PQKLUVWXSFMBCC-CMPLNLGQSA-N 0 1 291.355 0.866 20 30 CCEDMN CCc1nocc1CNCCNC(=O)c1ccc(C#N)[nH]1 ZINC001125775727 811319764 /nfs/dbraw/zinc/31/97/64/811319764.db2.gz ADKBVJJTQAYECV-UHFFFAOYSA-N 0 1 287.323 0.956 20 30 CCEDMN N#Cc1ccc(C(=O)NCCNCc2ccccn2)[nH]1 ZINC001125776339 811320504 /nfs/dbraw/zinc/32/05/04/811320504.db2.gz MLERWAICEIVMKK-UHFFFAOYSA-N 0 1 269.308 0.801 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccnn2C(C)C)C1 ZINC001077058477 815438628 /nfs/dbraw/zinc/43/86/28/815438628.db2.gz MYUTYOLYKKDEQV-TZMCWYRMSA-N 0 1 290.367 0.262 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccnn2C(C)C)C1 ZINC001077058477 815438631 /nfs/dbraw/zinc/43/86/31/815438631.db2.gz MYUTYOLYKKDEQV-TZMCWYRMSA-N 0 1 290.367 0.262 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2nnn(C)n2)C1 ZINC001267473088 811355116 /nfs/dbraw/zinc/35/51/16/811355116.db2.gz AFJIXTGUAZWWRN-NSHDSACASA-N 0 1 278.360 0.323 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cn(C)c(=O)n1C ZINC001267475233 811357809 /nfs/dbraw/zinc/35/78/09/811357809.db2.gz MOPITDRILWJSGV-UHFFFAOYSA-N 0 1 286.763 0.138 20 30 CCEDMN CCCCC(=O)NCC[C@H]1CCN(CC(=O)NCC#N)C1 ZINC001267502467 811382036 /nfs/dbraw/zinc/38/20/36/811382036.db2.gz UPHQHAWGEUVWRP-ZDUSSCGKSA-N 0 1 294.399 0.645 20 30 CCEDMN C#CCCNCc1cn([C@H]2CCN(C(=O)C3CC3)C2)nn1 ZINC001098591551 811417136 /nfs/dbraw/zinc/41/71/36/811417136.db2.gz KJLJXHIEUPIQNP-AWEZNQCLSA-N 0 1 287.367 0.574 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(C(C)C)C1 ZINC001038317582 811426452 /nfs/dbraw/zinc/42/64/52/811426452.db2.gz LNOIFIWRUGUDSQ-ZIAGYGMSSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)COCCOCC)C1 ZINC001112011620 811541410 /nfs/dbraw/zinc/54/14/10/811541410.db2.gz XZQLWQVDBRZIEI-HOCLYGCPSA-N 0 1 294.395 0.643 20 30 CCEDMN C#Cc1cc(NC(=O)[C@@H](N)COC(C)(C)C)ccn1 ZINC001218525577 811578182 /nfs/dbraw/zinc/57/81/82/811578182.db2.gz YWURQYQVQNNASS-LBPRGKRZSA-N 0 1 261.325 0.566 20 30 CCEDMN Cc1[nH]c(NC(=O)[C@@H](N)CC[S@@](C)=O)c(C#N)c1C ZINC001218555762 811583379 /nfs/dbraw/zinc/58/33/79/811583379.db2.gz KDZNINBNASHAHK-APBUJDDRSA-N 0 1 282.369 0.538 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCc2ncncc2C1 ZINC001038339480 811646106 /nfs/dbraw/zinc/64/61/06/811646106.db2.gz KDXWJILULZAQHW-HIFRSBDPSA-N 0 1 298.390 0.795 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2nc(C)no2)C1 ZINC001267746431 811733081 /nfs/dbraw/zinc/73/30/81/811733081.db2.gz JRJOFJRVJRJLMY-LLVKDONJSA-N 0 1 264.329 0.687 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ncccn3)C[C@H]21 ZINC001042277602 811765280 /nfs/dbraw/zinc/76/52/80/811765280.db2.gz OJCZETZQQPNVOH-QWHCGFSZSA-N 0 1 270.336 0.646 20 30 CCEDMN C=CCOCCN1CC[C@H](N(CC)C(=O)c2cn[nH]n2)C1 ZINC001267787599 811785477 /nfs/dbraw/zinc/78/54/77/811785477.db2.gz GACQZWVTZXACMY-LBPRGKRZSA-N 0 1 293.371 0.544 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001267785537 811786115 /nfs/dbraw/zinc/78/61/15/811786115.db2.gz FZZRVDUUXNPFDE-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001267785537 811786121 /nfs/dbraw/zinc/78/61/21/811786121.db2.gz FZZRVDUUXNPFDE-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCNCc1cn(C2CN(C(=O)C(C)(F)F)C2)nn1 ZINC001105130775 811853060 /nfs/dbraw/zinc/85/30/60/811853060.db2.gz VRZMCYMLWWFOBB-UHFFFAOYSA-N 0 1 299.325 0.982 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2[nH]c(C)cc2C)[C@@H](O)C1 ZINC001083572645 815480631 /nfs/dbraw/zinc/48/06/31/815480631.db2.gz AUGWFMINGUAVBK-OLZOCXBDSA-N 0 1 275.352 0.430 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC(n2cc(CNCC)nn2)C1 ZINC001105224104 811866173 /nfs/dbraw/zinc/86/61/73/811866173.db2.gz XMYTUIIRXUUGPU-AWEZNQCLSA-N 0 1 293.371 0.098 20 30 CCEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)COC)C1 ZINC001268018832 811961307 /nfs/dbraw/zinc/96/13/07/811961307.db2.gz RHXXPNCSVMVRFM-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)c2ncc[nH]2)C1 ZINC001268019298 811963053 /nfs/dbraw/zinc/96/30/53/811963053.db2.gz ANNODAIFSSQHCM-GFCCVEGCSA-N 0 1 278.356 0.807 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001027917109 812139552 /nfs/dbraw/zinc/13/95/52/812139552.db2.gz APJWKEOJRNTYRD-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001027917109 812139556 /nfs/dbraw/zinc/13/95/56/812139556.db2.gz APJWKEOJRNTYRD-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1c(C)nnn1CC ZINC001027928810 812148114 /nfs/dbraw/zinc/14/81/14/812148114.db2.gz YHHMSSJKZSWFKK-CYBMUJFWSA-N 0 1 289.383 0.824 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnc2n[nH]nc2c1 ZINC001027934017 812149573 /nfs/dbraw/zinc/14/95/73/812149573.db2.gz ISTONYLNQJGKOR-LBPRGKRZSA-N 0 1 298.350 0.570 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](Nc3cnc(C#N)cn3)C2)n[nH]1 ZINC001058851085 812194879 /nfs/dbraw/zinc/19/48/79/812194879.db2.gz QLTSHGWQOGJIEU-JTQLQIEISA-N 0 1 297.322 0.706 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)C2(C)CC2)CC1 ZINC001223267119 812198390 /nfs/dbraw/zinc/19/83/90/812198390.db2.gz YGVHOIROLXIZKE-UHFFFAOYSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnn(C)c1CC ZINC001027994787 812203552 /nfs/dbraw/zinc/20/35/52/812203552.db2.gz XHBRVEFRDVKNLJ-LBPRGKRZSA-N 0 1 274.368 0.810 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001028050179 812233644 /nfs/dbraw/zinc/23/36/44/812233644.db2.gz NQQLSTUZWBZXEI-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN N#Cc1cccnc1N[C@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001058864584 812233686 /nfs/dbraw/zinc/23/36/86/812233686.db2.gz CACPVNQHLFQUHZ-ZDUSSCGKSA-N 0 1 296.334 0.932 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001028093219 812270568 /nfs/dbraw/zinc/27/05/68/812270568.db2.gz IWJYBTZMHNNNAK-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001028133691 812302600 /nfs/dbraw/zinc/30/26/00/812302600.db2.gz XKCQCNYDQYVSFN-KGLIPLIRSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001028149637 812316605 /nfs/dbraw/zinc/31/66/05/812316605.db2.gz GPHMYLCGSGXKJK-DGAVXFQQSA-N 0 1 262.353 0.768 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc(C)c2)[C@@H](O)C1 ZINC001083321947 812340975 /nfs/dbraw/zinc/34/09/75/812340975.db2.gz JOAUCGYUNIIFJF-CABCVRRESA-N 0 1 272.348 0.793 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)COCCOCC)C1 ZINC001268236359 812400251 /nfs/dbraw/zinc/40/02/51/812400251.db2.gz GBXUYAVDOLYPTL-ZDUSSCGKSA-N 0 1 270.373 0.806 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cncc(-n2ccnn2)c1 ZINC001268243067 812408417 /nfs/dbraw/zinc/40/84/17/812408417.db2.gz HIKBIIZBWBKGKH-UHFFFAOYSA-N 0 1 298.350 0.299 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CCO[C@H](C)C1 ZINC001268252038 812419191 /nfs/dbraw/zinc/41/91/91/812419191.db2.gz NNLXEBLYCPUEEG-OLZOCXBDSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001028336595 812629280 /nfs/dbraw/zinc/62/92/80/812629280.db2.gz IROBUTRNJUOAET-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cc(N(C)C)ccn1 ZINC001028342788 812631817 /nfs/dbraw/zinc/63/18/17/812631817.db2.gz GJGHWQHQSXAAHO-AWEZNQCLSA-N 0 1 286.379 0.975 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H]2CCCO2)[C@H](OC)C1 ZINC001211798164 812739604 /nfs/dbraw/zinc/73/96/04/812739604.db2.gz XTCMUBALVCUSPI-MGPQQGTHSA-N 0 1 298.383 0.183 20 30 CCEDMN NC(=O)CC(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001268522535 812765836 /nfs/dbraw/zinc/76/58/36/812765836.db2.gz JVIMZOPSCQTBNO-PLNGDYQASA-N 0 1 285.347 0.176 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)CC(C)=C(C)C ZINC001268576497 812853304 /nfs/dbraw/zinc/85/33/04/812853304.db2.gz WDVQZUNGIRMZBT-VOTSOKGWSA-N 0 1 291.395 0.744 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)n2cccn2)[C@@H](O)C1 ZINC001083347335 812898274 /nfs/dbraw/zinc/89/82/74/812898274.db2.gz XMGFFBGDUGJNRC-XQQFMLRXSA-N 0 1 278.356 0.182 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ncoc2C)[C@@H](O)C1 ZINC001083360426 812908345 /nfs/dbraw/zinc/90/83/45/812908345.db2.gz GGPNKOCFKPDXAF-MNOVXSKESA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)C2=COCCC2)[C@@H](O)C1 ZINC001083366631 812912570 /nfs/dbraw/zinc/91/25/70/812912570.db2.gz QVLIRAFRWXMCFZ-OLZOCXBDSA-N 0 1 266.341 0.418 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CNCc1cnnn1C ZINC001268685646 813006579 /nfs/dbraw/zinc/00/65/79/813006579.db2.gz HLNIKUCYKMDBBY-NSHDSACASA-N 0 1 263.345 0.069 20 30 CCEDMN C[C@H](CN(C)CC#N)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC001268731268 813047691 /nfs/dbraw/zinc/04/76/91/813047691.db2.gz MDVIIUYRTCSLJH-LLVKDONJSA-N 0 1 289.383 0.791 20 30 CCEDMN CCn1ccc(C(=O)N[C@H](C)CN(C)CC#CCOC)n1 ZINC001268749229 813064701 /nfs/dbraw/zinc/06/47/01/813064701.db2.gz SUZWROYEQFYZOG-CYBMUJFWSA-N 0 1 292.383 0.603 20 30 CCEDMN C=CCCOCC(=O)N[C@@H](C)CN(C)[C@@H]1CCN(C)C1=O ZINC001268759906 813070731 /nfs/dbraw/zinc/07/07/31/813070731.db2.gz QCHKCANBDBTQHN-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(CCN2CCNC2=O)C1 ZINC001269118461 813226242 /nfs/dbraw/zinc/22/62/42/813226242.db2.gz XNVAOKQGNXYRIK-GFCCVEGCSA-N 0 1 280.372 0.168 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CN1CCCC1=O ZINC001269196493 813258054 /nfs/dbraw/zinc/25/80/54/813258054.db2.gz WRQWLYRWVJRSTJ-GFCCVEGCSA-N 0 1 265.357 0.375 20 30 CCEDMN COCCCN1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001269224742 813275048 /nfs/dbraw/zinc/27/50/48/813275048.db2.gz YNZNISWAWQRRKJ-CYBMUJFWSA-N 0 1 276.340 0.727 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)[C@H](C)SC)CC1 ZINC001269379200 813348265 /nfs/dbraw/zinc/34/82/65/813348265.db2.gz DNTBPCAQKMCAEZ-QWRGUYRKSA-N 0 1 297.424 0.114 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)C(CC)CC)CC1 ZINC001269380066 813349828 /nfs/dbraw/zinc/34/98/28/813349828.db2.gz VLDFYRVYELVPOO-LBPRGKRZSA-N 0 1 293.411 0.799 20 30 CCEDMN C=CCN1CC[C@]2(CCN(C(=O)Cc3c[nH]cn3)C2)C1=O ZINC001269568654 813424605 /nfs/dbraw/zinc/42/46/05/813424605.db2.gz AMAXXBUPXNJWSC-OAHLLOKOSA-N 0 1 288.351 0.589 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2C(=O)[C@H](C)N(C)C)C1=O ZINC001269797146 813513862 /nfs/dbraw/zinc/51/38/62/813513862.db2.gz QOWWTVSKCMQBDJ-SWLSCSKDSA-N 0 1 279.384 0.716 20 30 CCEDMN CN1CCN(c2cc(C(=O)NCCC#N)ccn2)CC1 ZINC000427628947 813615153 /nfs/dbraw/zinc/61/51/53/813615153.db2.gz KCWWEOUTMFAFEC-UHFFFAOYSA-N 0 1 273.340 0.477 20 30 CCEDMN C#CCCCC(=O)NC1(C)CCN([C@H]2CCNC2=O)CC1 ZINC001270225663 813686898 /nfs/dbraw/zinc/68/68/98/813686898.db2.gz LTSUOWHFMLGCFJ-ZDUSSCGKSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H]1CN(CCC2CC2)CCO1 ZINC001270638345 813863467 /nfs/dbraw/zinc/86/34/67/813863467.db2.gz LHWKLRBEVZHMMX-GDBMZVCRSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCCn2cncn2)C1 ZINC001270643270 813864751 /nfs/dbraw/zinc/86/47/51/813864751.db2.gz UTGOWRMVRCFHGC-AWEZNQCLSA-N 0 1 275.356 0.272 20 30 CCEDMN C=CCOCCN1CC[C@](C)(NC(=O)c2cnon2)C1 ZINC001270643239 813865684 /nfs/dbraw/zinc/86/56/84/813865684.db2.gz UBJPVIWSYPSFCN-ZDUSSCGKSA-N 0 1 280.328 0.466 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2cccn2)[C@H]1CC ZINC001087502717 813990469 /nfs/dbraw/zinc/99/04/69/813990469.db2.gz VEZVPXMHRUTVHP-QWHCGFSZSA-N 0 1 260.341 0.485 20 30 CCEDMN Cc1nc(N[C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)ccc1C#N ZINC001059276882 814052101 /nfs/dbraw/zinc/05/21/01/814052101.db2.gz NZGGOQNXZCNBRY-XYPYZODXSA-N 0 1 297.322 0.753 20 30 CCEDMN Cc1nc(N[C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)ccc1C#N ZINC001059276882 814052104 /nfs/dbraw/zinc/05/21/04/814052104.db2.gz NZGGOQNXZCNBRY-XYPYZODXSA-N 0 1 297.322 0.753 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)c3ccnn3C)[C@@H]2C1 ZINC001075804848 814080262 /nfs/dbraw/zinc/08/02/62/814080262.db2.gz CRUSJCOCCXHPPK-KCQAQPDRSA-N 0 1 286.379 0.690 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@H]2CCNC2=O)C1 ZINC001270966024 814102629 /nfs/dbraw/zinc/10/26/29/814102629.db2.gz UJPVMTZEQMYKBJ-CZUORRHYSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)/C=C/c2ccco2)C1 ZINC001271108356 814154875 /nfs/dbraw/zinc/15/48/75/814154875.db2.gz BKNPMQNDMFXQFA-NFAHFFEMSA-N 0 1 274.320 0.479 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)n2cccn2)C1 ZINC001271120794 814163379 /nfs/dbraw/zinc/16/33/79/814163379.db2.gz OHQPLBVULLWCLW-UKRRQHHQSA-N 0 1 290.367 0.020 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2COCCN2CC)[C@H]1CC ZINC001087633379 814178451 /nfs/dbraw/zinc/17/84/51/814178451.db2.gz YVCBTOMVDQNQJL-RRFJBIMHSA-N 0 1 293.411 0.309 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnon2)[C@H]1CC ZINC001087768832 814209175 /nfs/dbraw/zinc/20/91/75/814209175.db2.gz VZEJIBSJWZRRBF-CMPLNLGQSA-N 0 1 262.313 0.676 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@H]1CC ZINC001087776036 814209986 /nfs/dbraw/zinc/20/99/86/814209986.db2.gz IBPLVTYGXHUHSI-WCQYABFASA-N 0 1 288.351 0.330 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1C[C@H]2CCN(CC#N)C[C@H]2C1 ZINC001087908775 814268210 /nfs/dbraw/zinc/26/82/10/814268210.db2.gz NDTKISSZSPXUQS-HZSPNIEDSA-N 0 1 276.384 0.384 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(OC)no2)[C@@H](O)C1 ZINC001083419971 814281042 /nfs/dbraw/zinc/28/10/42/814281042.db2.gz IBVVGTMFHGMOFD-ZJUUUORDSA-N 0 1 281.312 0.034 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2c[nH]nn2)C1 ZINC001203212087 814441683 /nfs/dbraw/zinc/44/16/83/814441683.db2.gz FCIJLELQFXGMGP-SNVBAGLBSA-N 0 1 265.317 0.681 20 30 CCEDMN C#C[C@H](Oc1[nH]c(=O)nc2cc[nH]c21)C(=O)OCC ZINC001227810083 814533401 /nfs/dbraw/zinc/53/34/01/814533401.db2.gz SMNKHXPFCURAGE-QMMMGPOBSA-N 0 1 261.237 0.607 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)CC2CCOCC2)C1 ZINC001271952054 814646969 /nfs/dbraw/zinc/64/69/69/814646969.db2.gz ZYJWDDNVFNTBRK-INIZCTEOSA-N 0 1 296.411 0.932 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccnc4ccnn43)[C@@H]2C1 ZINC001075877327 814683259 /nfs/dbraw/zinc/68/32/59/814683259.db2.gz NOCHLISIHFKUJL-GXTWGEPZSA-N 0 1 295.346 0.509 20 30 CCEDMN C#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H]1C ZINC001088525729 814852501 /nfs/dbraw/zinc/85/25/01/814852501.db2.gz LBYKPPVJDUFJEO-ZJUUUORDSA-N 0 1 262.313 0.250 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H]1C ZINC001088525729 814852506 /nfs/dbraw/zinc/85/25/06/814852506.db2.gz LBYKPPVJDUFJEO-ZJUUUORDSA-N 0 1 262.313 0.250 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)Cc2cnc[nH]2)CCN1CC#N ZINC000947757607 815946377 /nfs/dbraw/zinc/94/63/77/815946377.db2.gz CIUUCOIYSMQMNM-MNOVXSKESA-N 0 1 261.329 0.445 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001030473111 816053469 /nfs/dbraw/zinc/05/34/69/816053469.db2.gz HDLNDZGKYGOYTF-VXGBXAGGSA-N 0 1 258.325 0.337 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001030492962 816064275 /nfs/dbraw/zinc/06/42/75/816064275.db2.gz GPOJBYSIOMVTCM-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C)[nH]c2=O)[C@H]1C ZINC001088882809 816157214 /nfs/dbraw/zinc/15/72/14/816157214.db2.gz KSVVFOFKBIJPMU-YPMHNXCESA-N 0 1 273.336 0.921 20 30 CCEDMN C#CCCN1CC(NC(=O)c2ccc3cncn3c2)C1 ZINC001030682105 816213441 /nfs/dbraw/zinc/21/34/41/816213441.db2.gz HXRKTFFOLOLESH-UHFFFAOYSA-N 0 1 268.320 0.772 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)CC(N)=O ZINC001099164334 816454314 /nfs/dbraw/zinc/45/43/14/816454314.db2.gz CJSZRSXPFAOSIF-OLZOCXBDSA-N 0 1 293.411 0.738 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CCn2cncn2)c1 ZINC000176394495 816467218 /nfs/dbraw/zinc/46/72/18/816467218.db2.gz MIPZYYDBAMABIF-UHFFFAOYSA-N 0 1 257.253 0.884 20 30 CCEDMN CCS(=O)(=O)CC(=O)Nc1cc(C#N)ccc1O ZINC000176403908 816470446 /nfs/dbraw/zinc/47/04/46/816470446.db2.gz GEBVYIXINVKWRF-UHFFFAOYSA-N 0 1 268.294 0.637 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccn(CC)c2C)[C@@H](O)C1 ZINC001083718196 816632600 /nfs/dbraw/zinc/63/26/00/816632600.db2.gz GNHFHHSYQPBHKQ-CABCVRRESA-N 0 1 289.379 0.615 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N(C)C[C@@H]1CC[N@H+]1CCO)OCC ZINC001234644950 816717236 /nfs/dbraw/zinc/71/72/36/816717236.db2.gz XHINNJBSZIGCIT-UONOGXRCSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N(C)C[C@@H]1CCN1CCO)OCC ZINC001234644950 816717241 /nfs/dbraw/zinc/71/72/41/816717241.db2.gz XHINNJBSZIGCIT-UONOGXRCSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](COC)OC ZINC001099220229 816746766 /nfs/dbraw/zinc/74/67/66/816746766.db2.gz JUGAAUVKPCHOTH-ZIAGYGMSSA-N 0 1 296.411 0.888 20 30 CCEDMN C[C@H](CCNc1ncccc1C#N)NC(=O)Cc1nnc[nH]1 ZINC001106435527 816769719 /nfs/dbraw/zinc/76/97/19/816769719.db2.gz RORYCZCYCPNXJZ-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN N#CC1CN(S(=O)(=O)C[C@@]23CC[N@@H+](C2)CCC3)C1 ZINC000915869990 816907670 /nfs/dbraw/zinc/90/76/70/816907670.db2.gz FZPFKVFVTISLJB-LBPRGKRZSA-N 0 1 269.370 0.257 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)COCCN(Cc1cc[nH]c1)C2 ZINC001272644770 816921902 /nfs/dbraw/zinc/92/19/02/816921902.db2.gz ROWGLIASWYVKPW-INIZCTEOSA-N 0 1 287.363 0.699 20 30 CCEDMN CN1C[C@@]2(CCN(Cc3cccc(C#N)c3)C2)OCC1=O ZINC001272650684 816936130 /nfs/dbraw/zinc/93/61/30/816936130.db2.gz BJPUHEKEFCXWQL-MRXNPFEDSA-N 0 1 285.347 0.991 20 30 CCEDMN C[C@H](CCNCc1cn(C)nn1)NC(=O)CSCC#N ZINC001272657433 816962011 /nfs/dbraw/zinc/96/20/11/816962011.db2.gz NGPYVINIHREXSH-SNVBAGLBSA-N 0 1 296.400 0.056 20 30 CCEDMN C[C@@H]1CN(C(=O)C(C)(C)c2c[nH]cn2)C[C@H]1CNCC#N ZINC001106874602 816971344 /nfs/dbraw/zinc/97/13/44/816971344.db2.gz MDAVAZAVFIHRKQ-VXGBXAGGSA-N 0 1 289.383 0.895 20 30 CCEDMN C[C@H](C[C@@H](C)Nc1ccncc1C#N)NC(=O)c1ncn[nH]1 ZINC001089374359 817028321 /nfs/dbraw/zinc/02/83/21/817028321.db2.gz IPBPJOSBSORQFK-NXEZZACHSA-N 0 1 299.338 0.502 20 30 CCEDMN C[C@H](C[C@@H](C)Nc1ccncc1C#N)NC(=O)c1nc[nH]n1 ZINC001089374359 817028326 /nfs/dbraw/zinc/02/83/26/817028326.db2.gz IPBPJOSBSORQFK-NXEZZACHSA-N 0 1 299.338 0.502 20 30 CCEDMN C#CCN(CC1CC1)C(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001123765057 817054067 /nfs/dbraw/zinc/05/40/67/817054067.db2.gz AAGUYZKGNGQCBZ-GXTWGEPZSA-N 0 1 261.369 0.247 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccn(C)c2C)[C@@H](O)C1 ZINC001083759955 817193728 /nfs/dbraw/zinc/19/37/28/817193728.db2.gz WYVABBYXMDOWAA-KGLIPLIRSA-N 0 1 277.368 0.685 20 30 CCEDMN CO[C@@H]1C[N@H+](C(C)C)C[C@H]1NC(=O)C#CC1CC1 ZINC001212250599 817233911 /nfs/dbraw/zinc/23/39/11/817233911.db2.gz CEZARHFRWJASJA-CHWSQXEVSA-N 0 1 250.342 0.624 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCCN(C(=O)CC)C2)C1 ZINC001031693369 817247945 /nfs/dbraw/zinc/24/79/45/817247945.db2.gz HQFAFRDMHKDZLS-AWEZNQCLSA-N 0 1 293.411 0.869 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](C[C@H]3CCOC3)C[C@@H]2O)C1 ZINC001083763740 817281088 /nfs/dbraw/zinc/28/10/88/817281088.db2.gz ZETBKDUVQDSNCT-MCIONIFRSA-N 0 1 294.395 0.541 20 30 CCEDMN CC#CCN1CC(CNC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001031717192 817281222 /nfs/dbraw/zinc/28/12/22/817281222.db2.gz FZFYGBARGMJOAJ-UHFFFAOYSA-N 0 1 284.323 0.038 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(NC(C)=O)cn2)C1 ZINC001031717746 817282641 /nfs/dbraw/zinc/28/26/41/817282641.db2.gz HKSYIEMDMRMHRA-UHFFFAOYSA-N 0 1 288.351 0.888 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1COC(=O)N1 ZINC001089637240 817286869 /nfs/dbraw/zinc/28/68/69/817286869.db2.gz KYXYAVMRLWOKDI-NWDGAFQWSA-N 0 1 293.367 0.335 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1ccn[nH]1 ZINC001089774701 817341224 /nfs/dbraw/zinc/34/12/24/817341224.db2.gz ZYHDYVRCNDDEMB-SFTQSGBHSA-N 0 1 285.351 0.716 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn3c(n2)COCC3)C1 ZINC001031768152 817352013 /nfs/dbraw/zinc/35/20/13/817352013.db2.gz XZBISVSMUZZLLD-UHFFFAOYSA-N 0 1 276.340 0.261 20 30 CCEDMN N#CCN1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1ccn[nH]1 ZINC001089787806 817354777 /nfs/dbraw/zinc/35/47/77/817354777.db2.gz OZCBJYLCPAILMV-ATGSNQNLSA-N 0 1 299.378 0.645 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn3cccnc3n2)C1 ZINC001031812162 817388645 /nfs/dbraw/zinc/38/86/45/817388645.db2.gz OKHPYHLVOUBWNZ-UHFFFAOYSA-N 0 1 283.335 0.414 20 30 CCEDMN N#CCN1CC(CNC(=O)c2ccc3cncn3c2)C1 ZINC001031846034 817412344 /nfs/dbraw/zinc/41/23/44/817412344.db2.gz HCUPFRIYJOBQMS-UHFFFAOYSA-N 0 1 269.308 0.519 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2coc(C(N)=O)c2)C1 ZINC001031872122 817434356 /nfs/dbraw/zinc/43/43/56/817434356.db2.gz CRSAFPBPFVCFJZ-UHFFFAOYSA-N 0 1 275.308 0.063 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001031916999 817463012 /nfs/dbraw/zinc/46/30/12/817463012.db2.gz LDJCUAVEBCNVNR-GFCCVEGCSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccc3[nH]c(=O)[nH]c3n2)C1 ZINC001031925992 817469431 /nfs/dbraw/zinc/46/94/31/817469431.db2.gz AFEATFHJMIGTOC-UHFFFAOYSA-N 0 1 299.334 0.348 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001031979439 817515686 /nfs/dbraw/zinc/51/56/86/817515686.db2.gz WJCKYHYOMUWIMN-QWHCGFSZSA-N 0 1 279.384 0.335 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(C)c(C(N)=O)cn2)C1 ZINC001031991763 817527851 /nfs/dbraw/zinc/52/78/51/817527851.db2.gz UJLIOCLQYAPCQP-UHFFFAOYSA-N 0 1 288.351 0.337 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cn(C)nc1OC ZINC001038598681 817529361 /nfs/dbraw/zinc/52/93/61/817529361.db2.gz WCZIMSRNDRRRRZ-NSHDSACASA-N 0 1 278.356 0.809 20 30 CCEDMN C=CCn1cc(C(=O)NCC2CN(CC(=C)C)C2)nn1 ZINC001032034849 817565857 /nfs/dbraw/zinc/56/58/57/817565857.db2.gz GVBAGUBHYKKNDK-UHFFFAOYSA-N 0 1 275.356 0.702 20 30 CCEDMN Cc1nc([C@@H](C)NCCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001124896210 817621999 /nfs/dbraw/zinc/62/19/99/817621999.db2.gz NFYAQMYXBQXTCC-JGVFFNPUSA-N 0 1 250.306 0.040 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3ccn(C)n3)C2)C1=O ZINC001272734525 817622102 /nfs/dbraw/zinc/62/21/02/817622102.db2.gz WLDIUMJNBKDOTH-OAHLLOKOSA-N 0 1 272.352 0.478 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)Cc1ccon1 ZINC001125103758 817705453 /nfs/dbraw/zinc/70/54/53/817705453.db2.gz NFINIBMCLMEMQY-UHFFFAOYSA-N 0 1 284.319 0.995 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccon1 ZINC001032325646 817873007 /nfs/dbraw/zinc/87/30/07/817873007.db2.gz OVEFJKBTBDCOQU-STQMWFEESA-N 0 1 259.309 0.526 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccn[nH]1 ZINC001032328669 817882977 /nfs/dbraw/zinc/88/29/77/817882977.db2.gz VBTIBBMQDSSZOT-RYUDHWBXSA-N 0 1 280.759 0.990 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C)cc2F)[C@@H](O)C1 ZINC001083807831 818063899 /nfs/dbraw/zinc/06/38/99/818063899.db2.gz XYNKEGJKYOAYEV-CABCVRRESA-N 0 1 290.338 0.932 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3ccccn3)C2)OCC1=O ZINC001272940445 818076619 /nfs/dbraw/zinc/07/66/19/818076619.db2.gz NTGZZMRJGVASFJ-INIZCTEOSA-N 0 1 285.347 0.518 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCNCc1n[nH]c(C)n1 ZINC001128245935 818128808 /nfs/dbraw/zinc/12/88/08/818128808.db2.gz MRSRCKLCTIOYPF-UHFFFAOYSA-N 0 1 265.361 0.921 20 30 CCEDMN C=C(C)CCC(=O)NCCNCc1n[nH]c(C)n1 ZINC001128409100 818225728 /nfs/dbraw/zinc/22/57/28/818225728.db2.gz SLSJPRKMVSOPGU-UHFFFAOYSA-N 0 1 251.334 0.675 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccccn2)[C@@H](O)C1 ZINC001090007183 818262665 /nfs/dbraw/zinc/26/26/65/818262665.db2.gz PSKBUBHCGRWKCD-YPMHNXCESA-N 0 1 261.325 0.433 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)C(=O)NCCCC#N)n[nH]1 ZINC001117388468 818343294 /nfs/dbraw/zinc/34/32/94/818343294.db2.gz HWZOCMATLJMBED-SECBINFHSA-N 0 1 277.328 0.185 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)noc2C)[C@@H](O)C1 ZINC001090060414 818426846 /nfs/dbraw/zinc/42/68/46/818426846.db2.gz JWHUYQSSSFQARC-RYUDHWBXSA-N 0 1 279.340 0.642 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2COCCN2C)C1 ZINC001033017582 818429103 /nfs/dbraw/zinc/42/91/03/818429103.db2.gz WAAXFOLQGFUFTN-STQMWFEESA-N 0 1 267.373 0.036 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnnn2CC)C1 ZINC001033053562 818467039 /nfs/dbraw/zinc/46/70/39/818467039.db2.gz KSEWMLNYGIYAAT-NSHDSACASA-N 0 1 263.345 0.630 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccccc2O)[C@H](O)C1 ZINC001090077291 818468666 /nfs/dbraw/zinc/46/86/66/818468666.db2.gz QOTXSUOHPLZNTR-TZMCWYRMSA-N 0 1 276.336 0.743 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(OC)ncn2)C1 ZINC001033081493 818497002 /nfs/dbraw/zinc/49/70/02/818497002.db2.gz NHZJSCQFBDARGM-LLVKDONJSA-N 0 1 276.340 0.818 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2nnn(C(C)(C)C)n2)C1 ZINC001033095481 818511548 /nfs/dbraw/zinc/51/15/48/818511548.db2.gz CLTGRTRSFXITBH-LLVKDONJSA-N 0 1 292.387 0.760 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cn2cc(C3CC3)nn2)C1 ZINC001033141343 818565919 /nfs/dbraw/zinc/56/59/19/818565919.db2.gz DOSVSGHJNQHQQU-CYBMUJFWSA-N 0 1 287.367 0.321 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnc3n[nH]nc3c2)C1 ZINC001033151732 818576651 /nfs/dbraw/zinc/57/66/51/818576651.db2.gz IVMGGSGBELVOHD-LLVKDONJSA-N 0 1 286.339 0.685 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2nc(CC)c[nH]2)C1 ZINC001033152970 818580042 /nfs/dbraw/zinc/58/00/42/818580042.db2.gz CIBVSMXRQVQQIX-CYBMUJFWSA-N 0 1 274.368 0.681 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C1 ZINC001033166651 818594517 /nfs/dbraw/zinc/59/45/17/818594517.db2.gz VMWIWYIPDHYOFU-JHJVBQTASA-N 0 1 272.352 0.679 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nn1)NC(=O)c1[nH]ncc1F ZINC001108091417 818626273 /nfs/dbraw/zinc/62/62/73/818626273.db2.gz GOMFTZPFUXDCIR-SSDOTTSWSA-N 0 1 289.274 0.441 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN2CCCCC2=O)C1 ZINC001033213421 818655287 /nfs/dbraw/zinc/65/52/87/818655287.db2.gz JHYXFFTZSPPSPT-CYBMUJFWSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccnc(OC)n2)C1 ZINC001033258231 818679032 /nfs/dbraw/zinc/67/90/32/818679032.db2.gz ZXTNGTAHMXCNOI-LLVKDONJSA-N 0 1 276.340 0.818 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2=COCCC2)[C@@H](O)C1 ZINC001090128052 818679783 /nfs/dbraw/zinc/67/97/83/818679783.db2.gz JGTKJKIFCHHKLH-STQMWFEESA-N 0 1 266.341 0.418 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cc(CC)nn2C)C1 ZINC001033282187 818691976 /nfs/dbraw/zinc/69/19/76/818691976.db2.gz KRDRSVVOWYKRBS-AWEZNQCLSA-N 0 1 288.395 0.691 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@]2(F)CCOC2)C1 ZINC001033364382 818734190 /nfs/dbraw/zinc/73/41/90/818734190.db2.gz DTKMTUJLXOIXAL-WCQYABFASA-N 0 1 256.321 0.834 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2n[nH]cc2F)C1 ZINC001033392996 818751555 /nfs/dbraw/zinc/75/15/55/818751555.db2.gz FRCZVMLTTAREBA-SNVBAGLBSA-N 0 1 264.304 0.718 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001033389517 818756568 /nfs/dbraw/zinc/75/65/68/818756568.db2.gz QANSMLLOLCMOMP-FZMZJTMJSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001033389517 818756573 /nfs/dbraw/zinc/75/65/73/818756573.db2.gz QANSMLLOLCMOMP-FZMZJTMJSA-N 0 1 265.357 0.374 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2cnc[nH]2)C1 ZINC001033454787 818790156 /nfs/dbraw/zinc/79/01/56/818790156.db2.gz GYGMORZSRGSLDZ-ZDUSSCGKSA-N 0 1 260.341 0.508 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2nc(CC)oc2C)[C@@H](O)C1 ZINC001083854235 818797330 /nfs/dbraw/zinc/79/73/30/818797330.db2.gz IWKRKUDTCDOHQZ-NEPJUHHUSA-N 0 1 293.367 0.896 20 30 CCEDMN C=CCC1(NC(=O)[C@@H](N)CCS(C)(=O)=O)CCCC1 ZINC000700728078 818830870 /nfs/dbraw/zinc/83/08/70/818830870.db2.gz GIRRIVZBLMSWJI-NSHDSACASA-N 0 1 288.413 0.754 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001033696230 818888656 /nfs/dbraw/zinc/88/86/56/818888656.db2.gz JJZWOEBAJNRIIH-UONOGXRCSA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001033688907 818889586 /nfs/dbraw/zinc/88/95/86/818889586.db2.gz RYFSLJBPIUIINI-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@H](C)N2CCCCC2=O)C1 ZINC001033689457 818890546 /nfs/dbraw/zinc/89/05/46/818890546.db2.gz ZDKVUXLNILFAOA-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H](C)N2CCCCC2=O)C1 ZINC001033689457 818890548 /nfs/dbraw/zinc/89/05/48/818890548.db2.gz ZDKVUXLNILFAOA-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001033688910 818890760 /nfs/dbraw/zinc/89/07/60/818890760.db2.gz RYFSLJBPIUIINI-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2nonc2C)C1 ZINC001033712195 818897707 /nfs/dbraw/zinc/89/77/07/818897707.db2.gz YAMAMECKXIETGV-JTQLQIEISA-N 0 1 250.302 0.710 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2COCCO2)C1 ZINC001033762991 818919663 /nfs/dbraw/zinc/91/96/63/818919663.db2.gz CODOVXYAULQMHT-KGLIPLIRSA-N 0 1 280.368 0.348 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033790358 818939877 /nfs/dbraw/zinc/93/98/77/818939877.db2.gz BVQXMBMSTZGVHE-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033790358 818939883 /nfs/dbraw/zinc/93/98/83/818939883.db2.gz BVQXMBMSTZGVHE-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cnn(C)c2N)C1 ZINC001033807343 818944288 /nfs/dbraw/zinc/94/42/88/818944288.db2.gz LQVCRONIYRTIKX-GFCCVEGCSA-N 0 1 289.383 0.562 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cn(C)nn2)C1 ZINC001033813029 818952110 /nfs/dbraw/zinc/95/21/10/818952110.db2.gz PVCXHIDQSAJPCG-NSHDSACASA-N 0 1 263.345 0.538 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001033923423 818998658 /nfs/dbraw/zinc/99/86/58/818998658.db2.gz TZHUSNYDZLVUSG-RYUDHWBXSA-N 0 1 280.372 0.119 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnccc2C)[C@@H](O)C1 ZINC001090159256 819001615 /nfs/dbraw/zinc/00/16/15/819001615.db2.gz RUUHDUDOGJYVBU-KGLIPLIRSA-N 0 1 275.352 0.741 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001033936181 819007878 /nfs/dbraw/zinc/00/78/78/819007878.db2.gz TYLBKNFCZPZHAY-KBXIAJHMSA-N 0 1 276.380 0.825 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)c2cc[nH]c2C)[C@H](O)C1 ZINC001090174225 819021453 /nfs/dbraw/zinc/02/14/53/819021453.db2.gz JCJQNWYPGQGPDC-ZIAGYGMSSA-N 0 1 275.352 0.511 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCCCN(CC#N)C2)[nH]n1 ZINC001034153660 819099500 /nfs/dbraw/zinc/09/95/00/819099500.db2.gz GCHRMFUWKGXSPJ-LBPRGKRZSA-N 0 1 275.356 0.755 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)CN2CCCCC2=O)C1 ZINC001034285019 819150457 /nfs/dbraw/zinc/15/04/57/819150457.db2.gz JVDVZLJIOVNJFQ-CQSZACIVSA-N 0 1 291.395 0.603 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CC)C[C@@H]1n1ccnn1 ZINC001128914131 819154092 /nfs/dbraw/zinc/15/40/92/819154092.db2.gz RPCHDJDASLIHGT-KGLIPLIRSA-N 0 1 289.383 0.833 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001034302685 819161381 /nfs/dbraw/zinc/16/13/81/819161381.db2.gz ZSSOUSMUIMWXHR-KGLIPLIRSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc3nnnn3c2)C1 ZINC001034310213 819163273 /nfs/dbraw/zinc/16/32/73/819163273.db2.gz SMQNYJNHVRCZKK-CYBMUJFWSA-N 0 1 298.350 0.342 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnn3cccnc23)C1 ZINC001034336414 819170659 /nfs/dbraw/zinc/17/06/59/819170659.db2.gz MSCICIRTGJTHLA-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001034341022 819171856 /nfs/dbraw/zinc/17/18/56/819171856.db2.gz YJOHTEMNQONUML-CYBMUJFWSA-N 0 1 298.350 0.342 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001034341022 819171859 /nfs/dbraw/zinc/17/18/59/819171859.db2.gz YJOHTEMNQONUML-CYBMUJFWSA-N 0 1 298.350 0.342 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@@H](NC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001034398905 819193868 /nfs/dbraw/zinc/19/38/68/819193868.db2.gz QEHPDBTULYNPEM-CJNGLKHVSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001034398905 819193875 /nfs/dbraw/zinc/19/38/75/819193875.db2.gz QEHPDBTULYNPEM-CJNGLKHVSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2nccn3ccnc23)C1 ZINC001034402824 819196027 /nfs/dbraw/zinc/19/60/27/819196027.db2.gz VAASZKQEWSSCEN-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001034478653 819214341 /nfs/dbraw/zinc/21/43/41/819214341.db2.gz VXNBYQBBKXHDMQ-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001034478653 819214349 /nfs/dbraw/zinc/21/43/49/819214349.db2.gz VXNBYQBBKXHDMQ-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC001034503509 819224439 /nfs/dbraw/zinc/22/44/39/819224439.db2.gz ODWRGSLZSWAJRL-CYBMUJFWSA-N 0 1 274.368 0.865 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)sn2)[C@@H](O)C1 ZINC001090227654 819278297 /nfs/dbraw/zinc/27/82/97/819278297.db2.gz MXCGJLMYVRKVRW-PWSUYJOCSA-N 0 1 281.381 0.803 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2[nH]ccc2C)[C@H](O)C1 ZINC001090234525 819284599 /nfs/dbraw/zinc/28/45/99/819284599.db2.gz PETDPTSKSOKORU-NWDGAFQWSA-N 0 1 263.341 0.674 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@H]2COCCO2)C1 ZINC001035294029 819440772 /nfs/dbraw/zinc/44/07/72/819440772.db2.gz HECZLVUFIRAYFC-ZIAGYGMSSA-N 0 1 298.383 0.185 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2ncc[nH]2)C1 ZINC001035365308 819489341 /nfs/dbraw/zinc/48/93/41/819489341.db2.gz SSDAXLNTGQTDJT-GFCCVEGCSA-N 0 1 278.356 0.807 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C1CC(OC)C1)CC2 ZINC001035727560 819615917 /nfs/dbraw/zinc/61/59/17/819615917.db2.gz ASORMTTVGPMBDC-UHFFFAOYSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CC[C@H]1C(N)=O)CC2 ZINC001035767945 819621376 /nfs/dbraw/zinc/62/13/76/819621376.db2.gz XYNNKBDFQGSJPG-OLZOCXBDSA-N 0 1 289.379 0.056 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccnnc1C)CC2 ZINC001035745317 819623120 /nfs/dbraw/zinc/62/31/20/819623120.db2.gz SPFLAJYCNAIVLI-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@]1(C)CCOC1)CC2 ZINC001035825638 819631347 /nfs/dbraw/zinc/63/13/47/819631347.db2.gz OEUAPNMKTFFTNG-HNNXBMFYSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@]13CCOC3)CC2 ZINC001035836581 819634519 /nfs/dbraw/zinc/63/45/19/819634519.db2.gz ZVSURKOUSHBGRK-YOEHRIQHSA-N 0 1 288.391 0.971 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)c1ccc(CN)o1 ZINC000707269491 819734105 /nfs/dbraw/zinc/73/41/05/819734105.db2.gz BVVNTGGBTLYLRC-UHFFFAOYSA-N 0 1 266.297 0.930 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1(NC(=O)c2cnn[nH]2)CCC1 ZINC001063920824 820172256 /nfs/dbraw/zinc/17/22/56/820172256.db2.gz JNYFHWYBWIENTQ-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)c2cnoc2C2CC2)[C@@H](O)C1 ZINC001083911407 820220639 /nfs/dbraw/zinc/22/06/39/820220639.db2.gz MPVVQKXLZJLRCS-OLZOCXBDSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnoc2C2CC2)[C@@H](O)C1 ZINC001083911407 820220646 /nfs/dbraw/zinc/22/06/46/820220646.db2.gz MPVVQKXLZJLRCS-OLZOCXBDSA-N 0 1 291.351 0.903 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(F)CCOCC2)[C@H](O)C1 ZINC001090307780 820244237 /nfs/dbraw/zinc/24/42/37/820244237.db2.gz PDPPXYDTPQGCPI-CHWSQXEVSA-N 0 1 298.358 0.080 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C)nc2CC)[C@H](O)C1 ZINC001090344562 820285087 /nfs/dbraw/zinc/28/50/87/820285087.db2.gz LFYUPFQLRCOCEA-UONOGXRCSA-N 0 1 292.383 0.334 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2nocc2C)[C@@H](O)C1 ZINC001083925459 820287552 /nfs/dbraw/zinc/28/75/52/820287552.db2.gz AINRQLXAJSEAFI-MNOVXSKESA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnsn2)C1 ZINC001079444216 820400514 /nfs/dbraw/zinc/40/05/14/820400514.db2.gz IPVIZEZTNZKBEP-PSASIEDQSA-N 0 1 252.343 0.774 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)[C@@H]1CCCN1C ZINC001079494455 820412986 /nfs/dbraw/zinc/41/29/86/820412986.db2.gz DKUFRZNESPEKAB-UTUOFQBUSA-N 0 1 250.346 0.041 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC001079630791 820437531 /nfs/dbraw/zinc/43/75/31/820437531.db2.gz NZVGKYADTDAPLF-NXEZZACHSA-N 0 1 265.313 0.214 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001079708872 820456081 /nfs/dbraw/zinc/45/60/81/820456081.db2.gz BXAUDUTVDMWLSE-ZYHUDNBSSA-N 0 1 276.340 0.721 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001079860412 820478357 /nfs/dbraw/zinc/47/83/57/820478357.db2.gz GCHKNOWCGANRAI-DGCLKSJQSA-N 0 1 288.351 0.349 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001079859293 820478476 /nfs/dbraw/zinc/47/84/76/820478476.db2.gz PHISWFRWQLLQSB-ZYHUDNBSSA-N 0 1 276.340 0.512 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCc3nccn32)C1 ZINC001079850584 820480673 /nfs/dbraw/zinc/48/06/73/820480673.db2.gz OGHWCVZALIKTMQ-MCIONIFRSA-N 0 1 286.379 0.830 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001079977981 820499677 /nfs/dbraw/zinc/49/96/77/820499677.db2.gz SAQDKFXFNOERHJ-DGCLKSJQSA-N 0 1 273.336 0.069 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2n[nH]c3c2CCC3)C1 ZINC001080027548 820503507 /nfs/dbraw/zinc/50/35/07/820503507.db2.gz OBKYCSLLBSAEJC-BXUZGUMPSA-N 0 1 286.379 0.972 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001080077793 820513937 /nfs/dbraw/zinc/51/39/37/820513937.db2.gz SLTJDGRPIPVBQS-VHDGCEQUSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccccc2C(N)=O)C1 ZINC001080248611 820537612 /nfs/dbraw/zinc/53/76/12/820537612.db2.gz ARXFMVPMTKPVQF-IUODEOHRSA-N 0 1 299.374 0.398 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCc3nc[nH]c32)C1 ZINC001080419242 820570923 /nfs/dbraw/zinc/57/09/23/820570923.db2.gz PYGQPPHEHFJPAA-MBNYWOFBSA-N 0 1 286.379 0.899 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2nccn3ccnc23)C1 ZINC001080467885 820579884 /nfs/dbraw/zinc/57/98/84/820579884.db2.gz LQLUXHMXBRGLHY-CHWSQXEVSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCCN1C[C@@H](C)[C@H](NC(=O)c2conc2COC)C1 ZINC001080764465 820634846 /nfs/dbraw/zinc/63/48/46/820634846.db2.gz VAXGZHXSDBETOU-DGCLKSJQSA-N 0 1 291.351 0.894 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3ccc(C)nc23)C1 ZINC001080798607 820637560 /nfs/dbraw/zinc/63/75/60/820637560.db2.gz QBXQRIDTDBPPFR-BXUZGUMPSA-N 0 1 297.362 0.721 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(C(=O)NC)c2)C1 ZINC001080966016 820665556 /nfs/dbraw/zinc/66/55/56/820665556.db2.gz FLIXRAVLGWEWHT-IUODEOHRSA-N 0 1 299.374 0.730 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001081076263 820675915 /nfs/dbraw/zinc/67/59/15/820675915.db2.gz ZAQRQNPLRWOSHN-YRGRVCCFSA-N 0 1 274.368 0.593 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@H](OC)C1 ZINC001081824503 820834743 /nfs/dbraw/zinc/83/47/43/820834743.db2.gz CUGDLZRIJSDSEH-GHMZBOCLSA-N 0 1 294.355 0.429 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2cncs2)[C@H](OC)C1 ZINC001081822433 820848376 /nfs/dbraw/zinc/84/83/76/820848376.db2.gz YVENDOMNCRXKQA-CHWSQXEVSA-N 0 1 293.392 0.524 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c[nH]cc2C)[C@H](OC)C1 ZINC001082367917 820948672 /nfs/dbraw/zinc/94/86/72/820948672.db2.gz CUHMOOLYFCEASJ-ZIAGYGMSSA-N 0 1 275.352 0.775 20 30 CCEDMN C=CCn1cc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)nn1 ZINC001118948884 821006680 /nfs/dbraw/zinc/00/66/80/821006680.db2.gz SYLFOZIKIAJDPS-VIFPVBQESA-N 0 1 273.300 0.212 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)C(F)F)[C@H]2C1 ZINC001082972918 821083242 /nfs/dbraw/zinc/08/32/42/821083242.db2.gz YHDMVOXXQLBHSM-VHSXEESVSA-N 0 1 260.284 0.739 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3cncn3C)[C@H]2C1 ZINC001083133025 821141359 /nfs/dbraw/zinc/14/13/59/821141359.db2.gz YXTVCOAQVQQNJO-UONOGXRCSA-N 0 1 290.367 0.521 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(c3ccccc3)CC2)[C@@H](O)C1 ZINC001084028388 821179255 /nfs/dbraw/zinc/17/92/55/821179255.db2.gz WFFRRPGXIFTWQW-CVEARBPZSA-N 0 1 298.386 0.903 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccnn3C)[C@@H]2C1 ZINC001084225458 821247163 /nfs/dbraw/zinc/24/71/63/821247163.db2.gz LKHZOCBAPHFMBF-DGCLKSJQSA-N 0 1 260.341 0.752 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@]34C[C@H]3COC4)[C@@H]2C1 ZINC001084358569 821279147 /nfs/dbraw/zinc/27/91/47/821279147.db2.gz LYKJLWYMGLGOKE-COMQUAJESA-N 0 1 262.353 0.742 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CC(=O)N(C)C3)[C@@H]2C1 ZINC001084386907 821281267 /nfs/dbraw/zinc/28/12/67/821281267.db2.gz UFMDLLUSYKYVOG-JHJVBQTASA-N 0 1 277.368 0.183 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CC(=O)N(C)C3)[C@@H]2C1 ZINC001084386907 821281275 /nfs/dbraw/zinc/28/12/75/821281275.db2.gz UFMDLLUSYKYVOG-JHJVBQTASA-N 0 1 277.368 0.183 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCNC3=O)[C@@H]2C1 ZINC001084427856 821286796 /nfs/dbraw/zinc/28/67/96/821286796.db2.gz UWLFQBJWRVUAIH-MGPQQGTHSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3csnn3)[C@@H]2C1 ZINC001084554093 821311074 /nfs/dbraw/zinc/31/10/74/821311074.db2.gz FUICLSURRYUWCV-ZYHUDNBSSA-N 0 1 276.365 0.708 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)[C@@H]2C1 ZINC001084587656 821319403 /nfs/dbraw/zinc/31/94/03/821319403.db2.gz IBBCZXPLGBZACR-RGDJUOJXSA-N 0 1 262.353 0.598 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3cn(C)c(=O)[nH]3)[C@@H]2C1 ZINC001084633715 821333771 /nfs/dbraw/zinc/33/37/71/821333771.db2.gz QNDUQZBODDNNBD-DGCLKSJQSA-N 0 1 288.351 0.295 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3cncn3C)[C@@H]2C1 ZINC001084775833 821385893 /nfs/dbraw/zinc/38/58/93/821385893.db2.gz WAEDEZAOYFNTFJ-UKRRQHHQSA-N 0 1 286.379 0.519 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CCN(CCOC)C[C@H]32)cn1 ZINC001084784167 821390833 /nfs/dbraw/zinc/39/08/33/821390833.db2.gz CSMGZBUAOUTBDD-GDBMZVCRSA-N 0 1 299.374 0.856 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3[nH]cnc3C)[C@@H]2C1 ZINC001084798911 821394211 /nfs/dbraw/zinc/39/42/11/821394211.db2.gz JDOGTAZZQZKJIC-UKRRQHHQSA-N 0 1 286.379 0.817 20 30 CCEDMN C#CC1(O)CCN([C@@H]2C[C@@H]3CCCC[C@H]3NC2=O)CC1 ZINC000820345859 821441396 /nfs/dbraw/zinc/44/13/96/821441396.db2.gz OWZQGJGHBDUMNO-BFHYXJOUSA-N 0 1 276.380 0.894 20 30 CCEDMN CO[C@@H](C)CN1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230814966 821451261 /nfs/dbraw/zinc/45/12/61/821451261.db2.gz JGKUKWHRICQDOK-NWDGAFQWSA-N 0 1 285.413 0.811 20 30 CCEDMN CNC(=O)c1cccc(C(=O)NCC#CCN(C)C)c1 ZINC000823836612 821543231 /nfs/dbraw/zinc/54/32/31/821543231.db2.gz XLJLGHIICVEMOT-UHFFFAOYSA-N 0 1 273.336 0.341 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(=O)[nH]n1 ZINC001085472531 821726489 /nfs/dbraw/zinc/72/64/89/821726489.db2.gz NTYFWRZLJINNCR-LLVKDONJSA-N 0 1 276.340 0.905 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(C)nn1C ZINC001085505195 821748251 /nfs/dbraw/zinc/74/82/51/821748251.db2.gz PLCMZFAZBNSHIW-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCOC1 ZINC001085507364 821753196 /nfs/dbraw/zinc/75/31/96/821753196.db2.gz FWCCROFCWZXNTQ-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCNC(=O)C1 ZINC001085544052 821778129 /nfs/dbraw/zinc/77/81/29/821778129.db2.gz JZABBUOBUIDRLN-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN CN(C[C@@H]1CCN1CCO)C(=O)c1ccc(C#N)[nH]1 ZINC001085553575 821784112 /nfs/dbraw/zinc/78/41/12/821784112.db2.gz PAKJXGUJJCSLOF-NSHDSACASA-N 0 1 262.313 0.025 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001085597420 821842309 /nfs/dbraw/zinc/84/23/09/821842309.db2.gz XRUWXDOYGHHWKP-RYUDHWBXSA-N 0 1 287.367 0.886 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCCN1C ZINC001085674447 821892135 /nfs/dbraw/zinc/89/21/35/821892135.db2.gz WHBIWGVHESQZMX-ZIAGYGMSSA-N 0 1 263.385 0.637 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ncc(OC)cn1 ZINC001085714028 821915380 /nfs/dbraw/zinc/91/53/80/821915380.db2.gz YZOSTLJXIOHTJX-LBPRGKRZSA-N 0 1 288.351 0.655 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1[nH]nnc1C ZINC001085758430 821935882 /nfs/dbraw/zinc/93/58/82/821935882.db2.gz IECHAOVVTOFSHG-LLVKDONJSA-N 0 1 263.345 0.836 20 30 CCEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1n[nH]nc1C ZINC001085758430 821935888 /nfs/dbraw/zinc/93/58/88/821935888.db2.gz IECHAOVVTOFSHG-LLVKDONJSA-N 0 1 263.345 0.836 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1c(C)cnn1C ZINC001085768630 821941139 /nfs/dbraw/zinc/94/11/39/821941139.db2.gz OVFPEESSQXMYET-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001085769260 821941633 /nfs/dbraw/zinc/94/16/33/821941633.db2.gz FAFNOJFOHBJFTK-IGQOVBAYSA-N 0 1 264.369 0.988 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)c1c(C)nc[nH]c1=O ZINC001085780646 821947085 /nfs/dbraw/zinc/94/70/85/821947085.db2.gz ZHOHIHSPEAZMMQ-LLVKDONJSA-N 0 1 276.340 0.823 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)C[C@H]2CCN2CCOC)c1 ZINC001085809971 821962837 /nfs/dbraw/zinc/96/28/37/821962837.db2.gz RLLYAKODDJQCEJ-OAHLLOKOSA-N 0 1 287.363 0.856 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCCC(=O)N1 ZINC001085814153 821967720 /nfs/dbraw/zinc/96/77/20/821967720.db2.gz QUKXOZINZKZYDX-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN CN(C)c1ccncc1C(=O)N(C)C[C@@H]1CCN1CC#N ZINC001085930509 822021026 /nfs/dbraw/zinc/02/10/26/822021026.db2.gz ZKSVWGXCSXQBBP-LBPRGKRZSA-N 0 1 287.367 0.817 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](NC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001273437090 822291340 /nfs/dbraw/zinc/29/13/40/822291340.db2.gz IBPJFEIILOCXKL-IHRRRGAJSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCOCCCC(=O)NCCCNCc1cnn(C)n1 ZINC001156160042 822328891 /nfs/dbraw/zinc/32/88/91/822328891.db2.gz MMHLFODMAXUZAD-UHFFFAOYSA-N 0 1 295.387 0.394 20 30 CCEDMN CC[C@@H](OC)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114010087 837404627 /nfs/dbraw/zinc/40/46/27/837404627.db2.gz VPUKYOSTDOWSGX-RQJABVFESA-N 0 1 280.368 0.108 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]nc2C)[C@@H](O)C1 ZINC001090475308 837448649 /nfs/dbraw/zinc/44/86/49/837448649.db2.gz IQLIHVGZBWZJMF-RYUDHWBXSA-N 0 1 264.329 0.069 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1[C@H]2CN(C[C@H](F)CC)C[C@H]21 ZINC001114268525 837493007 /nfs/dbraw/zinc/49/30/07/837493007.db2.gz NBHADNHZLUYYRW-CXTNEJHOSA-N 0 1 282.359 0.821 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN[C@H](C)c1ncccn1 ZINC001157874291 837529068 /nfs/dbraw/zinc/52/90/68/837529068.db2.gz MSSUMKFLPSWHCU-OLZOCXBDSA-N 0 1 290.367 0.672 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001130362148 837770559 /nfs/dbraw/zinc/77/05/59/837770559.db2.gz HQRJWABQKHYUGS-SNVBAGLBSA-N 0 1 273.764 0.313 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](CNCC#N)[C@H](C)C2)n[nH]1 ZINC001183985140 844130734 /nfs/dbraw/zinc/13/07/34/844130734.db2.gz GCUCVPBUDZUAMD-PWSUYJOCSA-N 0 1 275.356 0.930 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@H](C)NC(=O)c2ncn[nH]2)n1 ZINC001108475528 836274833 /nfs/dbraw/zinc/27/48/33/836274833.db2.gz LPUADMOLMPZYJR-VIFPVBQESA-N 0 1 285.311 0.610 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@H](C)NC(=O)c2nc[nH]n2)n1 ZINC001108475528 836274847 /nfs/dbraw/zinc/27/48/47/836274847.db2.gz LPUADMOLMPZYJR-VIFPVBQESA-N 0 1 285.311 0.610 20 30 CCEDMN CCOC(=O)C1(Nc2ncc(C#N)cn2)CCN(C)CC1 ZINC001169490852 836322521 /nfs/dbraw/zinc/32/25/21/836322521.db2.gz KTYQRBBNUGIZLP-UHFFFAOYSA-N 0 1 289.339 0.788 20 30 CCEDMN C=CCN1CCN([C@H]2CCCN(C(C)=O)C2)CC1 ZINC001169615241 836400002 /nfs/dbraw/zinc/40/00/02/836400002.db2.gz OMCZDQJXIWGHIB-AWEZNQCLSA-N 0 1 251.374 0.801 20 30 CCEDMN CC(C)CN1CC(N2C[C@@H](NC(=O)[C@@H](C)C#N)CC2=O)C1 ZINC001108528154 836422943 /nfs/dbraw/zinc/42/29/43/836422943.db2.gz USKURFOCXVBNIZ-RYUDHWBXSA-N 0 1 292.383 0.203 20 30 CCEDMN C[C@H](CCCCNCc1ncnn1C)NC(=O)[C@@H](C)C#N ZINC001169849065 836459074 /nfs/dbraw/zinc/45/90/74/836459074.db2.gz UDCLHOUDEPBBQG-NWDGAFQWSA-N 0 1 292.387 0.739 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+][C@@H]1CCCC2(C1)OCCO2 ZINC001169915664 836479333 /nfs/dbraw/zinc/47/93/33/836479333.db2.gz IJMDSZBXNITUBK-AXFHLTTASA-N 0 1 270.329 0.498 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2nc[nH]n2)CC[C@H]1CNCC#N ZINC001184161944 844173944 /nfs/dbraw/zinc/17/39/44/844173944.db2.gz OSQMVTDEZFIBDJ-RYUDHWBXSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@H](CN(C)c1ncnc2[nH]cnc21)NC(=O)C#CC1CC1 ZINC001108941555 836580721 /nfs/dbraw/zinc/58/07/21/836580721.db2.gz WUFMWGFIBQQYBZ-SNVBAGLBSA-N 0 1 298.350 0.707 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)OC)C2 ZINC001108995977 836593204 /nfs/dbraw/zinc/59/32/04/836593204.db2.gz NAUXQWZQUQRULZ-WOPDTQHZSA-N 0 1 279.340 0.087 20 30 CCEDMN C[C@@H](CN(C)c1ncccc1C#N)NC(=O)Cc1nnc[nH]1 ZINC001109081551 836612383 /nfs/dbraw/zinc/61/23/83/836612383.db2.gz WWUBASPBKSXJKZ-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN C[C@@H](CN(C)c1nccnc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001109255609 836647696 /nfs/dbraw/zinc/64/76/96/836647696.db2.gz UVZXTRDRRZSTSM-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN C[C@@H](CN(C)c1ccncc1C#N)NC(=O)c1cnn[nH]1 ZINC001109319254 836657439 /nfs/dbraw/zinc/65/74/39/836657439.db2.gz SCOWKYDJTHDXLL-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN Cc1cc(N(C)C[C@H](C)NC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001109318410 836657547 /nfs/dbraw/zinc/65/75/47/836657547.db2.gz DDLYMOHSUMOXHB-JTQLQIEISA-N 0 1 299.338 0.635 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001109824764 836735618 /nfs/dbraw/zinc/73/56/18/836735618.db2.gz KLLXTMVANOVTKR-GHYVTOPFSA-N 0 1 287.367 0.833 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(=O)NCC1CC1)C2 ZINC001109897707 836742487 /nfs/dbraw/zinc/74/24/87/836742487.db2.gz HLVOJEIDRRVBRC-RDBSUJKOSA-N 0 1 291.395 0.810 20 30 CCEDMN N#CCc1nc(Cl)cc(NC[C@@H]2COCCN2)n1 ZINC001170036356 836758119 /nfs/dbraw/zinc/75/81/19/836758119.db2.gz YKGHEBLRYARRAH-MRVPVSSYSA-N 0 1 267.720 0.596 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2ccc3cncn3c2)[C@@H](O)C1 ZINC001090435110 836772254 /nfs/dbraw/zinc/77/22/54/836772254.db2.gz FXOTYZGAOYKGRL-KGLIPLIRSA-N 0 1 299.334 0.023 20 30 CCEDMN N#Cc1ncc(NC[C@H]2COCCN2)cc1[N+](=O)[O-] ZINC001170042945 836774881 /nfs/dbraw/zinc/77/48/81/836774881.db2.gz GLLQDNVRJPGLRO-VIFPVBQESA-N 0 1 263.257 0.262 20 30 CCEDMN C=CCCCN1CCN(C(=O)CC(=O)NCC)CC1 ZINC001112779016 836907397 /nfs/dbraw/zinc/90/73/97/836907397.db2.gz BQYLPXAUCSNZJE-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C=CCCN1CCN(C(=O)CCNC(=O)[C@H]2C[C@H]2C)CC1 ZINC001112911465 836960820 /nfs/dbraw/zinc/96/08/20/836960820.db2.gz UFBCHPUICHAKFP-KGLIPLIRSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCOCCN1CCN(C(=O)CCc2cnc[nH]2)CC1 ZINC001112939024 836971880 /nfs/dbraw/zinc/97/18/80/836971880.db2.gz ZDIBZMXHSKVGFV-UHFFFAOYSA-N 0 1 292.383 0.689 20 30 CCEDMN C[C@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113114427 837026454 /nfs/dbraw/zinc/02/64/54/837026454.db2.gz HKDJHKKVZMLAKT-IUCAKERBSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113114427 837026466 /nfs/dbraw/zinc/02/64/66/837026466.db2.gz HKDJHKKVZMLAKT-IUCAKERBSA-N 0 1 285.311 0.690 20 30 CCEDMN Cc1ccc(C#N)c(N[C@@H](C)[C@H](C)NC(=O)c2ncn[nH]2)n1 ZINC001113114458 837027936 /nfs/dbraw/zinc/02/79/36/837027936.db2.gz ICHLSCLBGRVTNN-UWVGGRQHSA-N 0 1 299.338 0.999 20 30 CCEDMN Cc1ccc(C#N)c(N[C@@H](C)[C@H](C)NC(=O)c2nc[nH]n2)n1 ZINC001113114458 837027939 /nfs/dbraw/zinc/02/79/39/837027939.db2.gz ICHLSCLBGRVTNN-UWVGGRQHSA-N 0 1 299.338 0.999 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@@H](C)Nc1cnc(C#N)cn1 ZINC001113115029 837029741 /nfs/dbraw/zinc/02/97/41/837029741.db2.gz UHCSOUNKGBFTHI-HTQZYQBOSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@@H](C)Nc1cnc(C#N)cn1 ZINC001113115029 837029746 /nfs/dbraw/zinc/02/97/46/837029746.db2.gz UHCSOUNKGBFTHI-HTQZYQBOSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)Cc1cnc[nH]1)[C@H](C)Nc1nccnc1C#N ZINC001113313966 837085075 /nfs/dbraw/zinc/08/50/75/837085075.db2.gz OUIBLOGDEIWSSQ-ZJUUUORDSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC001113343642 837093366 /nfs/dbraw/zinc/09/33/66/837093366.db2.gz WFIVKINBRDITMI-QWHCGFSZSA-N 0 1 295.383 0.130 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1nccnc1C#N ZINC001113356273 837097129 /nfs/dbraw/zinc/09/71/29/837097129.db2.gz DMXZZFLLKKITKQ-JGVFFNPUSA-N 0 1 286.299 0.085 20 30 CCEDMN Cc1nc(N[C@@H](C)[C@H](C)NC(=O)c2cnn[nH]2)ccc1C#N ZINC001113358192 837099098 /nfs/dbraw/zinc/09/90/98/837099098.db2.gz XXTGKMSLUAKZFI-IUCAKERBSA-N 0 1 299.338 0.999 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC001113713561 837204490 /nfs/dbraw/zinc/20/44/90/837204490.db2.gz SQLFRDYAQOLPJY-ZIAGYGMSSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H](C)N2CCOCC2)CC1 ZINC001113740500 837210667 /nfs/dbraw/zinc/21/06/67/837210667.db2.gz HQLOSYIQAIEKKZ-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)F ZINC001113775755 837231053 /nfs/dbraw/zinc/23/10/53/837231053.db2.gz YTXOVGUFNGBLKB-WYUUTHIRSA-N 0 1 297.374 0.472 20 30 CCEDMN CON=CC(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001184481753 844251306 /nfs/dbraw/zinc/25/13/06/844251306.db2.gz AGKQADYPFQIOOA-UHFFFAOYSA-N 0 1 270.252 0.914 20 30 CCEDMN C=CCCCN1CC2(C1)COCC(=O)N2COCCOC ZINC001273487327 844254567 /nfs/dbraw/zinc/25/45/67/844254567.db2.gz GZOZNTNHXBYUQL-UHFFFAOYSA-N 0 1 298.383 0.486 20 30 CCEDMN CCOC(=O)C(C#N)=NC1=CNC2=NCCCC2C1 ZINC001170370042 837316339 /nfs/dbraw/zinc/31/63/39/837316339.db2.gz AHUMKMPCPUWLCI-LLVKDONJSA-N 0 1 260.297 0.595 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOC(C)C ZINC001113896973 837356455 /nfs/dbraw/zinc/35/64/55/837356455.db2.gz LRTSCIUDWCOXEC-NHAGDIPZSA-N 0 1 264.369 0.871 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)N(C)c1ccc(C#N)nc1 ZINC001113898016 837358600 /nfs/dbraw/zinc/35/86/00/837358600.db2.gz JBDFYSUBOQCWPC-LLVKDONJSA-N 0 1 298.350 0.860 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOC(C)C ZINC001113904446 837362094 /nfs/dbraw/zinc/36/20/94/837362094.db2.gz JDQQRQADSLEPEP-IMRBUKKESA-N 0 1 250.342 0.481 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C#CC(C)C)C[C@H]1c1cn(C)cn1 ZINC001130583660 837862884 /nfs/dbraw/zinc/86/28/84/837862884.db2.gz LGCKSNFAKOVZCI-GOEBONIOSA-N 0 1 298.390 0.597 20 30 CCEDMN C=CCCCN1CCNC(=O)CCN(C(=O)COC)CC1 ZINC001131316684 838038935 /nfs/dbraw/zinc/03/89/35/838038935.db2.gz RKVSEJCZUGNYAL-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2csc(C)n2)[C@@H](O)C1 ZINC001090501373 838152971 /nfs/dbraw/zinc/15/29/71/838152971.db2.gz XAGCGJIJWVQFPQ-PWSUYJOCSA-N 0 1 281.381 0.803 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCn2cccn2)CC[C@@H]1C ZINC001131820898 838230387 /nfs/dbraw/zinc/23/03/87/838230387.db2.gz KLLLGXXZORYKCK-UONOGXRCSA-N 0 1 274.368 0.876 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001131869742 838250677 /nfs/dbraw/zinc/25/06/77/838250677.db2.gz IHCHHDKCYMUULN-CQSZACIVSA-N 0 1 295.387 0.548 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCC(=O)NC)CC[C@H]1C ZINC001131984744 838290095 /nfs/dbraw/zinc/29/00/95/838290095.db2.gz OOCJFJLGTSMWDO-OLZOCXBDSA-N 0 1 279.384 0.505 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C(C)(C)C(N)=O)CC[C@@H]1C ZINC001132121054 838320780 /nfs/dbraw/zinc/32/07/80/838320780.db2.gz YSMFTWCYFHIMHA-WDEREUQCSA-N 0 1 267.373 0.653 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](C)[C@H](CNCC#N)C1 ZINC001133034530 838537548 /nfs/dbraw/zinc/53/75/48/838537548.db2.gz FDDCXUIEXDDIOR-GXSJLCMTSA-N 0 1 276.344 0.325 20 30 CCEDMN C[C@@H]1CCN(C(=O)CCc2c[nH]nn2)C[C@@H]1CNCC#N ZINC001133129945 838561481 /nfs/dbraw/zinc/56/14/81/838561481.db2.gz IEUNEXLNQLMYIW-NEPJUHHUSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@@H]1CCN(C(=O)CCc2cnn[nH]2)C[C@@H]1CNCC#N ZINC001133129945 838561485 /nfs/dbraw/zinc/56/14/85/838561485.db2.gz IEUNEXLNQLMYIW-NEPJUHHUSA-N 0 1 290.371 0.335 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@H](COC)OC ZINC001133513364 838658071 /nfs/dbraw/zinc/65/80/71/838658071.db2.gz ONNOALLEWUHUSO-VIFPVBQESA-N 0 1 295.177 0.262 20 30 CCEDMN CC(C)C[C@H](C#N)NC(=O)[C@@H]1CN(C)CCN1C ZINC001185267103 844390322 /nfs/dbraw/zinc/39/03/22/844390322.db2.gz MVWIAKJHWRTLJK-NEPJUHHUSA-N 0 1 252.362 0.287 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c(C)nn(C)c1OC ZINC001134594661 839022186 /nfs/dbraw/zinc/02/21/86/839022186.db2.gz VKEULFRTKAEOJE-UHFFFAOYSA-N 0 1 286.763 0.809 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)OCCOC ZINC001134659392 839045137 /nfs/dbraw/zinc/04/51/37/839045137.db2.gz ATOOPTORLYEJJL-SNVBAGLBSA-N 0 1 264.753 0.496 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@H](C)NCc1nnnn1C ZINC001135229834 839187851 /nfs/dbraw/zinc/18/78/51/839187851.db2.gz DVEQIRFDHYBSKW-QWRGUYRKSA-N 0 1 294.403 0.795 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)C1=NC(=O)N(C)C1)NCC#N ZINC001135386718 839238909 /nfs/dbraw/zinc/23/89/09/839238909.db2.gz JCSAMDOFXPXSJV-IUCAKERBSA-N 0 1 265.317 0.136 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)CCc1c[nH]nn1)NCC#N ZINC001135497159 839266439 /nfs/dbraw/zinc/26/64/39/839266439.db2.gz QZHNBULYZHRNNC-ZJUUUORDSA-N 0 1 264.333 0.134 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)CCc1cnn[nH]1)NCC#N ZINC001135497159 839266452 /nfs/dbraw/zinc/26/64/52/839266452.db2.gz QZHNBULYZHRNNC-ZJUUUORDSA-N 0 1 264.333 0.134 20 30 CCEDMN CC#CC[NH2+][C@@H](C)C[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001135895691 839462839 /nfs/dbraw/zinc/46/28/39/839462839.db2.gz MEVKYVARIBEYJC-QWRGUYRKSA-N 0 1 263.345 0.243 20 30 CCEDMN CC[C@@](N)(CO)Nc1ncc(C#N)cc1C(=O)OC ZINC001159748741 839567592 /nfs/dbraw/zinc/56/75/92/839567592.db2.gz RTYDXHGCJDUWHK-LBPRGKRZSA-N 0 1 264.285 0.209 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C)CC=CC2)[C@H](O)C1 ZINC001090548836 839630696 /nfs/dbraw/zinc/63/06/96/839630696.db2.gz DIOMNHJNZCVOIG-ZIAGYGMSSA-N 0 1 276.380 0.917 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C)[nH]c2=O)[C@H](O)C1 ZINC001090593470 839663531 /nfs/dbraw/zinc/66/35/31/839663531.db2.gz FAFCIDBPUKUIHI-CHWSQXEVSA-N 0 1 291.351 0.447 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccnc(C)c2)[C@@H](O)C1 ZINC001090666705 839721383 /nfs/dbraw/zinc/72/13/83/839721383.db2.gz YPXLNFMFCQADDS-GJZGRUSLSA-N 0 1 287.363 0.578 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(COC)n2)[C@@H](O)C1 ZINC001090687305 839734238 /nfs/dbraw/zinc/73/42/38/839734238.db2.gz VAPLPZVGYIFSTJ-JQWIXIFHSA-N 0 1 295.339 0.172 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)Cc2[nH]cnc2C)[C@@H](O)C1 ZINC001090764286 839786089 /nfs/dbraw/zinc/78/60/89/839786089.db2.gz QVKMUVFMBBYZSD-JSGCOSHPSA-N 0 1 292.383 0.388 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2csc(C)n2)[C@@H](O)C1 ZINC001090790605 839813256 /nfs/dbraw/zinc/81/32/56/839813256.db2.gz MYZLOIFLMWYYLE-STQMWFEESA-N 0 1 295.408 0.731 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)n(C)c2C)[C@H](O)C1 ZINC001090797188 839818033 /nfs/dbraw/zinc/81/80/33/839818033.db2.gz VJDWTBHHEDQYAV-HUUCEWRRSA-N 0 1 291.395 0.993 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CC)n(C)n2)[C@H](O)C1 ZINC001090848641 839851399 /nfs/dbraw/zinc/85/13/99/839851399.db2.gz HBPSZCBLVHHAQE-TZMCWYRMSA-N 0 1 292.383 0.334 20 30 CCEDMN O=C(C=CC(=O)c1ccccc1)NCc1c[nH]nn1 ZINC001144713999 840123036 /nfs/dbraw/zinc/12/30/36/840123036.db2.gz HCIFACSDTFYCQY-VOTSOKGWSA-N 0 1 256.265 0.860 20 30 CCEDMN Cc1nonc1CC(=O)NCCNCc1ccccc1C#N ZINC001146252208 840273747 /nfs/dbraw/zinc/27/37/47/840273747.db2.gz XVJYORVFZFVECC-UHFFFAOYSA-N 0 1 299.334 0.698 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CN(C(=O)CC)C2)C1 ZINC001146838007 840394851 /nfs/dbraw/zinc/39/48/51/840394851.db2.gz DMVLKNKKJVSTJV-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC2(CN(C(=O)CC)C2)C1 ZINC001146838006 840395539 /nfs/dbraw/zinc/39/55/39/840395539.db2.gz DMVLKNKKJVSTJV-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(C(=O)c2cnc[nH]2)C1 ZINC001147253285 840529732 /nfs/dbraw/zinc/52/97/32/840529732.db2.gz ZEIXVCWGFXGYDV-SNVBAGLBSA-N 0 1 278.312 0.927 20 30 CCEDMN C=CCOCC(=O)N1CC2(C1)CC[N@H+](CC#CCOC)C2 ZINC001147260956 840541328 /nfs/dbraw/zinc/54/13/28/840541328.db2.gz LGYLYCAUZGIHPK-UHFFFAOYSA-N 0 1 292.379 0.373 20 30 CCEDMN C=CCOCC(=O)N1CC2(C1)CCN(CC#CCOC)C2 ZINC001147260956 840541336 /nfs/dbraw/zinc/54/13/36/840541336.db2.gz LGYLYCAUZGIHPK-UHFFFAOYSA-N 0 1 292.379 0.373 20 30 CCEDMN C#CCCCC(=O)N1CC2(C1)CCN([C@@H](C)C(=O)NC)C2 ZINC001147428499 840580293 /nfs/dbraw/zinc/58/02/93/840580293.db2.gz UQEHMRNQVZZSOB-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CC3(COC)CC3)C2)C1 ZINC001147514078 840607314 /nfs/dbraw/zinc/60/73/14/840607314.db2.gz BFICZUKQJBGPHD-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC2(CN(C(=O)C#CC(C)C)C2)C1 ZINC001147523535 840615166 /nfs/dbraw/zinc/61/51/66/840615166.db2.gz VRGFEKRVTWKNEP-CYBMUJFWSA-N 0 1 291.395 0.444 20 30 CCEDMN C=CCCCC(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001148180823 840757838 /nfs/dbraw/zinc/75/78/38/840757838.db2.gz CGMJEHGTDSUNQA-LBPRGKRZSA-N 0 1 279.384 0.751 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN[C@@H](C)c2n[nH]c(C)n2)nc1 ZINC001148376578 840796548 /nfs/dbraw/zinc/79/65/48/840796548.db2.gz KUIJUHGHKQKDBJ-JTQLQIEISA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3(C(=O)N(C)C)CC3)C2)C1 ZINC001148571348 840846286 /nfs/dbraw/zinc/84/62/86/840846286.db2.gz IYSLIUBYFGFNEM-UHFFFAOYSA-N 0 1 289.379 0.022 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CCc3cnc[nH]3)CC[C@H]21 ZINC001036734110 841151627 /nfs/dbraw/zinc/15/16/27/841151627.db2.gz MVBAVWFGJUPYJL-TZMCWYRMSA-N 0 1 287.367 0.789 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H]3[C@@H](CCN3CC(N)=O)C2)C1 ZINC001036815234 841251233 /nfs/dbraw/zinc/25/12/33/841251233.db2.gz ASHMXUJLLWHUOQ-STQMWFEESA-N 0 1 291.395 0.751 20 30 CCEDMN Cc1cc(C#N)nc(NCCNC(=O)[C@H]2CCCN2C)n1 ZINC001093531497 841313169 /nfs/dbraw/zinc/31/31/69/841313169.db2.gz KGOKTRGYKXAQOJ-GFCCVEGCSA-N 0 1 288.355 0.279 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](CNCc2nncs2)[C@H](C)C1 ZINC001093678416 841426200 /nfs/dbraw/zinc/42/62/00/841426200.db2.gz KTJAEPVMIVHVLI-GMTAPVOTSA-N 0 1 293.396 0.882 20 30 CCEDMN CC(C)(C(=O)NCCNc1nccnc1C#N)c1cnc[nH]1 ZINC001093751025 841448998 /nfs/dbraw/zinc/44/89/98/841448998.db2.gz UCJHNNBKDRTVIO-UHFFFAOYSA-N 0 1 299.338 0.577 20 30 CCEDMN Cc1ccc(C#N)c(NCCNC(=O)c2ncn[nH]2)n1 ZINC001093793341 841460135 /nfs/dbraw/zinc/46/01/35/841460135.db2.gz OEVZMCLQLVWWEJ-UHFFFAOYSA-N 0 1 271.284 0.222 20 30 CCEDMN Cc1ccc(C#N)c(NCCNC(=O)c2nc[nH]n2)n1 ZINC001093793341 841460137 /nfs/dbraw/zinc/46/01/37/841460137.db2.gz OEVZMCLQLVWWEJ-UHFFFAOYSA-N 0 1 271.284 0.222 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NCCNc1nccnc1C#N ZINC001094188572 841560585 /nfs/dbraw/zinc/56/05/85/841560585.db2.gz CNKBLHGYIGYHBZ-JTQLQIEISA-N 0 1 299.338 0.478 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCCNc1nccnc1C#N ZINC001094339581 841608528 /nfs/dbraw/zinc/60/85/28/841608528.db2.gz ANQDGFDGCJECPT-LBPRGKRZSA-N 0 1 288.355 0.361 20 30 CCEDMN N#Cc1cccnc1NCCCNC(=O)c1ncn[nH]1 ZINC001094533043 841659460 /nfs/dbraw/zinc/65/94/60/841659460.db2.gz DMLZXDUEXFVUBR-UHFFFAOYSA-N 0 1 271.284 0.303 20 30 CCEDMN N#Cc1cccnc1NCCCNC(=O)c1nc[nH]n1 ZINC001094533043 841659465 /nfs/dbraw/zinc/65/94/65/841659465.db2.gz DMLZXDUEXFVUBR-UHFFFAOYSA-N 0 1 271.284 0.303 20 30 CCEDMN CCN1CC[C@H](N2CCC(C#N)(C(=O)OC)CC2)CC1=O ZINC001172051938 841830112 /nfs/dbraw/zinc/83/01/12/841830112.db2.gz WVXOPIMUXDETFR-LBPRGKRZSA-N 0 1 293.367 0.776 20 30 CCEDMN C[C@H](CCCC#N)N1CCN2C(=O)COC[C@@H]2C1 ZINC001172499551 841935256 /nfs/dbraw/zinc/93/52/56/841935256.db2.gz YFVSSCCEIBTCRB-NEPJUHHUSA-N 0 1 251.330 0.612 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)CCCF)C1 ZINC001150108534 841987506 /nfs/dbraw/zinc/98/75/06/841987506.db2.gz IKRHHJFZZCMWHO-ZDUSSCGKSA-N 0 1 270.348 0.967 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1cn(CC)nn1 ZINC001177260693 842538993 /nfs/dbraw/zinc/53/89/93/842538993.db2.gz SSBSCLPPXWHNQH-UHFFFAOYSA-N 0 1 295.387 0.487 20 30 CCEDMN COCc1[nH]nc2c1CN(C(=O)C#Cc1ccccn1)C2 ZINC001177801323 842680656 /nfs/dbraw/zinc/68/06/56/842680656.db2.gz YFTHYNPYYDSBLE-UHFFFAOYSA-N 0 1 282.303 0.845 20 30 CCEDMN NCc1ncccc1NC(=O)C#Cc1ccccn1 ZINC001177806115 842683015 /nfs/dbraw/zinc/68/30/15/842683015.db2.gz VAMRNVAWWWRGLP-UHFFFAOYSA-N 0 1 252.277 0.926 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC[C@H](O)[C@H](CO)C1 ZINC001177908879 842705913 /nfs/dbraw/zinc/70/59/13/842705913.db2.gz OSYGDQFGMQSVBG-GVXVVHGQSA-N 0 1 294.376 0.632 20 30 CCEDMN N#C[C@@H](Cc1cccs1)C(=O)Nc1cn[nH]c1C(N)=O ZINC001177905422 842706253 /nfs/dbraw/zinc/70/62/53/842706253.db2.gz ZJUPLSZZYPAGLS-SSDOTTSWSA-N 0 1 289.320 0.891 20 30 CCEDMN C=CCCOCC(=O)NC[C@H]1CN(CC#CC)CCCO1 ZINC001150811275 842887188 /nfs/dbraw/zinc/88/71/88/842887188.db2.gz PAIFNICMWZBOIS-HNNXBMFYSA-N 0 1 294.395 0.810 20 30 CCEDMN C=CCCOCC(=O)NC[C@H]1C[N@@H+](CC#CC)CCCO1 ZINC001150811275 842887181 /nfs/dbraw/zinc/88/71/81/842887181.db2.gz PAIFNICMWZBOIS-HNNXBMFYSA-N 0 1 294.395 0.810 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2cc(C)n(C)n2)C1 ZINC001181644259 843549558 /nfs/dbraw/zinc/54/95/58/843549558.db2.gz KLVWEXBXENOVSS-CYBMUJFWSA-N 0 1 292.383 0.622 20 30 CCEDMN C=C(CN1CCCC1)C(=O)n1c(Cl)ncc(N)c1=N ZINC001183038607 843955679 /nfs/dbraw/zinc/95/56/79/843955679.db2.gz CKMIABKSKFWVJO-UHFFFAOYSA-N 0 1 281.747 0.890 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CNC(=O)CCC)[C@@H]2C1 ZINC001187117262 844647015 /nfs/dbraw/zinc/64/70/15/844647015.db2.gz NJWYELHGGJFBMZ-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#Cc1cnc(NS(=O)(=O)C2CCC2)c(C#C)n1 ZINC001188104589 844830989 /nfs/dbraw/zinc/83/09/89/844830989.db2.gz HWWMQBWIRQGQKM-UHFFFAOYSA-N 0 1 261.306 0.733 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)CCC)C1 ZINC001188641533 844944966 /nfs/dbraw/zinc/94/49/66/844944966.db2.gz HTNHJAPGLHAKMF-OLZOCXBDSA-N 0 1 279.384 0.457 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C2=COCCO2)C1 ZINC001188718089 844960257 /nfs/dbraw/zinc/96/02/57/844960257.db2.gz QZLRLAIQLDPPPZ-CYBMUJFWSA-N 0 1 294.351 0.057 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCn1cncn1 ZINC001110402177 844971416 /nfs/dbraw/zinc/97/14/16/844971416.db2.gz ZGKFOMUKHRFKDP-LPWJVIDDSA-N 0 1 288.355 0.159 20 30 CCEDMN CCOCCCC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189039857 845026900 /nfs/dbraw/zinc/02/69/00/845026900.db2.gz FZGCAEWQQUKWQU-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)OC)C1 ZINC001189114894 845040193 /nfs/dbraw/zinc/04/01/93/845040193.db2.gz LFUCCZGISBPNIU-CHWSQXEVSA-N 0 1 268.357 0.204 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)nn2C)C1 ZINC001189123338 845060242 /nfs/dbraw/zinc/06/02/42/845060242.db2.gz OUPSNIISYQGYHR-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC)OCC)C1 ZINC001189188695 845070169 /nfs/dbraw/zinc/07/01/69/845070169.db2.gz NKVRTQLRUBVETP-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)OCC2CC2)C1 ZINC001189231255 845082527 /nfs/dbraw/zinc/08/25/27/845082527.db2.gz BBZWJKXTRFTHGA-TZMCWYRMSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(=O)N(C)C)C1 ZINC001189317846 845106989 /nfs/dbraw/zinc/10/69/89/845106989.db2.gz GCUIAIKSPPAEMN-QWHCGFSZSA-N 0 1 281.400 0.962 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@H](CC)C(N)=O)C1 ZINC001189318438 845107062 /nfs/dbraw/zinc/10/70/62/845107062.db2.gz LSQWZNPCAOJMLX-NWDGAFQWSA-N 0 1 267.373 0.749 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(=O)N(C)C)C1 ZINC001189317842 845108195 /nfs/dbraw/zinc/10/81/95/845108195.db2.gz GCUIAIKSPPAEMN-CHWSQXEVSA-N 0 1 281.400 0.962 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2cc(-c3ccccn3)n[nH]2)c1N ZINC001189463659 845145021 /nfs/dbraw/zinc/14/50/21/845145021.db2.gz BNQIJQBQJITFRB-UHFFFAOYSA-N 0 1 294.278 0.393 20 30 CCEDMN C=CCOCCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001189526400 845164704 /nfs/dbraw/zinc/16/47/04/845164704.db2.gz JCNFDHWPEQPTBU-CHWSQXEVSA-N 0 1 297.399 0.376 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@H](N(C)[C@H](C)C(=O)NC)C1 ZINC001189744657 845199740 /nfs/dbraw/zinc/19/97/40/845199740.db2.gz WRIJNUXAVBCQJG-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC(=O)NCC)C1 ZINC001189788417 845210083 /nfs/dbraw/zinc/21/00/83/845210083.db2.gz XCWHTMZXVLDHEF-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cncnc2)C1 ZINC001189788033 845211026 /nfs/dbraw/zinc/21/10/26/845211026.db2.gz SZOSGZKKCYWNJL-CYBMUJFWSA-N 0 1 258.325 0.646 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(=O)n(C)o2)C1 ZINC001189858706 845226995 /nfs/dbraw/zinc/22/69/95/845226995.db2.gz VGMIYNWCXUDPMR-NSHDSACASA-N 0 1 277.324 0.148 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2cncnc2)C1 ZINC001189873502 845243536 /nfs/dbraw/zinc/24/35/36/845243536.db2.gz GNIVNKMKKROYGR-CQSZACIVSA-N 0 1 272.352 0.575 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@H]2CCNC2=O)C1 ZINC001189911211 845266747 /nfs/dbraw/zinc/26/67/47/845266747.db2.gz YOQWYRGASUSWOI-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](OC)C2CC2)C1 ZINC001189917631 845267406 /nfs/dbraw/zinc/26/74/06/845267406.db2.gz ITMYUUSUFHGBPI-KBPBESRZSA-N 0 1 264.369 0.967 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001190036430 845313566 /nfs/dbraw/zinc/31/35/66/845313566.db2.gz MCJWGROUHSFHDI-OAHLLOKOSA-N 0 1 274.364 0.582 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001190104248 845322145 /nfs/dbraw/zinc/32/21/45/845322145.db2.gz FDNLIGCOROIAFA-GJZGRUSLSA-N 0 1 292.379 0.207 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCOCC(F)F)C1 ZINC001190196292 845363801 /nfs/dbraw/zinc/36/38/01/845363801.db2.gz XSTWOHKTOZDWDK-LLVKDONJSA-N 0 1 274.311 0.824 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cn3c(n2)CCC3)C1 ZINC001190239935 845371382 /nfs/dbraw/zinc/37/13/82/845371382.db2.gz XWBGNLPNBKGLTL-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H]([N@H+](C)[C@H](C)C(N)=O)C1 ZINC001190428468 845402810 /nfs/dbraw/zinc/40/28/10/845402810.db2.gz JILMDAFZSJJUDZ-NEPJUHHUSA-N 0 1 267.373 0.749 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001190428468 845402819 /nfs/dbraw/zinc/40/28/19/845402819.db2.gz JILMDAFZSJJUDZ-NEPJUHHUSA-N 0 1 267.373 0.749 20 30 CCEDMN C=C[C@@H]1C[C@]1(NS(=O)(=O)c1ncc[nH]1)C(=O)OCC ZINC001190748582 845490538 /nfs/dbraw/zinc/49/05/38/845490538.db2.gz DKRVFPXQZAJNBQ-LDYMZIIASA-N 0 1 285.325 0.196 20 30 CCEDMN C=CCC1(S(=O)(=O)Nc2cnn(C)c2C(N)=O)CC1 ZINC001190765679 845491694 /nfs/dbraw/zinc/49/16/94/845491694.db2.gz BTHITFFREIYWBF-UHFFFAOYSA-N 0 1 284.341 0.369 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CCCCC)C1 ZINC001191054114 845572133 /nfs/dbraw/zinc/57/21/33/845572133.db2.gz NVHYMLLKEBDIOT-ZIAGYGMSSA-N 0 1 284.400 0.931 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cccc3ncnn32)C1 ZINC001191177959 845610516 /nfs/dbraw/zinc/61/05/16/845610516.db2.gz OAQSWTGTNRUTPT-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(C(N)=O)o2)C1 ZINC001191248331 845618311 /nfs/dbraw/zinc/61/83/11/845618311.db2.gz PQDRHWCUPUXUSG-NSHDSACASA-N 0 1 289.335 0.548 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1n[nH]cc1-c1ccccn1 ZINC001191489828 845660419 /nfs/dbraw/zinc/66/04/19/845660419.db2.gz WTASJFKBYSKYQU-JTQLQIEISA-N 0 1 283.291 0.836 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(COC)CCOC2)C1 ZINC001191567438 845693769 /nfs/dbraw/zinc/69/37/69/845693769.db2.gz APNMSDSRKKPDKX-UKRRQHHQSA-N 0 1 280.368 0.205 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1C[N@@H+](CCCC)C[C@H]1O ZINC001191777013 845724279 /nfs/dbraw/zinc/72/42/79/845724279.db2.gz CEPYOPKFRBJBLC-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(CCCCCF)C[C@H]1O ZINC001191771858 845725615 /nfs/dbraw/zinc/72/56/15/845725615.db2.gz SGHGBOWUFFFFFE-CHWSQXEVSA-N 0 1 288.363 0.490 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001191901710 845754494 /nfs/dbraw/zinc/75/44/94/845754494.db2.gz KQJZTPFEAWKWEB-LBPRGKRZSA-N 0 1 288.351 0.660 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C2CC2)C1 ZINC001192037514 845779480 /nfs/dbraw/zinc/77/94/80/845779480.db2.gz LFHZLVHNOTUWNZ-MRVWCRGKSA-N 0 1 282.384 0.396 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC[C@@H]2CCOC2)C1 ZINC001192187876 845797695 /nfs/dbraw/zinc/79/76/95/845797695.db2.gz YUCAOBGKQOXPTC-MGPQQGTHSA-N 0 1 282.384 0.541 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C2(CF)CC2)C1 ZINC001192282518 845814971 /nfs/dbraw/zinc/81/49/71/845814971.db2.gz AQJZPWSCYFHKIN-CYBMUJFWSA-N 0 1 282.359 0.919 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)SC)C1 ZINC001192312899 845818703 /nfs/dbraw/zinc/81/87/03/845818703.db2.gz JGRVFCBPLPLBDN-IJLUTSLNSA-N 0 1 272.414 0.865 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)SC)C1 ZINC001192312899 845818692 /nfs/dbraw/zinc/81/86/92/845818692.db2.gz JGRVFCBPLPLBDN-IJLUTSLNSA-N 0 1 272.414 0.865 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001192298602 845819141 /nfs/dbraw/zinc/81/91/41/845819141.db2.gz UHWXROYFAOCGHH-UMVBOHGHSA-N 0 1 282.384 0.275 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001192298602 845819148 /nfs/dbraw/zinc/81/91/48/845819148.db2.gz UHWXROYFAOCGHH-UMVBOHGHSA-N 0 1 282.384 0.275 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001192385497 845833184 /nfs/dbraw/zinc/83/31/84/845833184.db2.gz HYCGZAZMTJRGFQ-NSHDSACASA-N 0 1 288.351 0.283 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001192801495 845911880 /nfs/dbraw/zinc/91/18/80/845911880.db2.gz QKJRDFPNESGRSV-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C[C@@H](F)CCN1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192878811 845917705 /nfs/dbraw/zinc/91/77/05/845917705.db2.gz MJBBCZNTTGMAIW-JHJVBQTASA-N 0 1 284.375 0.945 20 30 CCEDMN COC(=O)[C@@H](NS(=O)(=O)CC#N)c1ccccc1OC ZINC001193005245 845954182 /nfs/dbraw/zinc/95/41/82/845954182.db2.gz PKFXGNCHZPFKCD-NSHDSACASA-N 0 1 298.320 0.352 20 30 CCEDMN CN1CCN(c2cccc(NS(=O)(=O)CC#N)c2)CC1 ZINC001192972298 845957233 /nfs/dbraw/zinc/95/72/33/845957233.db2.gz REVLOLRXYWNTAH-UHFFFAOYSA-N 0 1 294.380 0.704 20 30 CCEDMN COCCN(C1CCN(C)CC1)S(=O)(=O)[C@H](C)C#N ZINC001193126975 845988745 /nfs/dbraw/zinc/98/87/45/845988745.db2.gz JGOVZFKJYITQGG-LLVKDONJSA-N 0 1 289.401 0.271 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cc(O)cc(C(N)=O)c1 ZINC001193160502 846010107 /nfs/dbraw/zinc/01/01/07/846010107.db2.gz DNHTUGWWDVLRNR-ZCFIWIBFSA-N 0 1 269.282 0.145 20 30 CCEDMN COc1cc(NS(=O)(=O)[C@@H](C)C#N)cc(C(N)=O)c1 ZINC001193161663 846010482 /nfs/dbraw/zinc/01/04/82/846010482.db2.gz SLEXETNTJPPHAZ-ZETCQYMHSA-N 0 1 283.309 0.448 20 30 CCEDMN N#Cc1ccnc(NS(=O)(=O)C[C@H]2CCCO2)c1 ZINC001193196340 846014302 /nfs/dbraw/zinc/01/43/02/846014302.db2.gz KLPPCMZQBDGMNG-SNVBAGLBSA-N 0 1 267.310 0.874 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001193312549 846038462 /nfs/dbraw/zinc/03/84/62/846038462.db2.gz GOGQIICQGHRMAV-NXEZZACHSA-N 0 1 260.765 0.946 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@H](CO)[C@@H](O)C2)ccc1O ZINC001193506403 846101029 /nfs/dbraw/zinc/10/10/29/846101029.db2.gz MGQSHCFVPFRYTH-MFKMUULPSA-N 0 1 276.292 0.079 20 30 CCEDMN N#Cc1cc(C(=O)N2CCNC[C@H]2C#N)ccc1O ZINC001193506864 846101695 /nfs/dbraw/zinc/10/16/95/846101695.db2.gz RFLUNXDTYWIJHI-LLVKDONJSA-N 0 1 256.265 0.201 20 30 CCEDMN COc1ccnc(C(=O)Nc2c[nH]nc2C#N)c1O ZINC001193525146 846106168 /nfs/dbraw/zinc/10/61/68/846106168.db2.gz WDGIRMNLMLIHSX-UHFFFAOYSA-N 0 1 259.225 0.643 20 30 CCEDMN COC(=O)N1CCN(C(=O)c2cccc(C#N)c2O)CC1 ZINC001193635374 846131476 /nfs/dbraw/zinc/13/14/76/846131476.db2.gz SUPRSCKJTNVKCG-UHFFFAOYSA-N 0 1 289.291 0.788 20 30 CCEDMN N#Cc1cccc(C(=O)NCCn2ccnn2)c1O ZINC001193634813 846131533 /nfs/dbraw/zinc/13/15/33/846131533.db2.gz JUYOUKBZIAHDOH-UHFFFAOYSA-N 0 1 257.253 0.285 20 30 CCEDMN C[C@@]1(CO)CN(C(=O)c2cccc(C#N)c2O)CC[C@@H]1O ZINC001193642949 846134961 /nfs/dbraw/zinc/13/49/61/846134961.db2.gz UEJTYFXXLYMOFA-WFASDCNBSA-N 0 1 290.319 0.469 20 30 CCEDMN CN1CCC[C@H](NC(=O)c2cccc(C#N)c2O)C1=O ZINC001193658947 846140018 /nfs/dbraw/zinc/14/00/18/846140018.db2.gz HOJHFQMFZTXDKA-NSHDSACASA-N 0 1 273.292 0.614 20 30 CCEDMN CC(=O)Nc1cccc(C(=O)Nc2nc[nH]c2C#N)n1 ZINC001193707254 846148474 /nfs/dbraw/zinc/14/84/74/846148474.db2.gz FKKLGKOZSHEDNI-UHFFFAOYSA-N 0 1 270.252 0.887 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001193788017 846153799 /nfs/dbraw/zinc/15/37/99/846153799.db2.gz WFZFGJBIDPKKIC-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cn2ccccc2=O)C1 ZINC001193939798 846176589 /nfs/dbraw/zinc/17/65/89/846176589.db2.gz DVENSCNTJWGTOS-AWEZNQCLSA-N 0 1 287.363 0.404 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)COCC(F)F)C1 ZINC001194942534 846420943 /nfs/dbraw/zinc/42/09/43/846420943.db2.gz OMPPMAJNUUNMHQ-NXEZZACHSA-N 0 1 278.299 0.006 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001195531663 846570265 /nfs/dbraw/zinc/57/02/65/846570265.db2.gz HCMWKPSCKLIWDD-JHJVBQTASA-N 0 1 270.373 0.396 20 30 CCEDMN COCCOCCN1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195753903 846608389 /nfs/dbraw/zinc/60/83/89/846608389.db2.gz FNANHRFCZUYGTJ-UHFFFAOYSA-N 0 1 294.395 0.597 20 30 CCEDMN CC(C)[C@](C)(NC(=O)c1ccc(C#N)cc1O)C(N)=O ZINC001195763688 846611465 /nfs/dbraw/zinc/61/14/65/846611465.db2.gz AZUYRAAOQBUMGQ-AWEZNQCLSA-N 0 1 275.308 0.894 20 30 CCEDMN N#Cc1ccc(C(=O)NC2(CO)CCOCC2)c(O)c1 ZINC001195763833 846612296 /nfs/dbraw/zinc/61/22/96/846612296.db2.gz DANYCAZRVGMWKD-UHFFFAOYSA-N 0 1 276.292 0.535 20 30 CCEDMN CC(=O)NC[C@@H](C)CNC(=O)c1ccc(C#N)cc1O ZINC001195767856 846614748 /nfs/dbraw/zinc/61/47/48/846614748.db2.gz AYSWAZXXIBEBJO-SECBINFHSA-N 0 1 275.308 0.766 20 30 CCEDMN C[C@]1(CO)CN(C(=O)c2ccc(C#N)cc2O)CC[C@H]1O ZINC001195741116 846618776 /nfs/dbraw/zinc/61/87/76/846618776.db2.gz OIVVCRLSYQQHJA-UKRRQHHQSA-N 0 1 290.319 0.469 20 30 CCEDMN COC(CN(C)C(=O)c1ccc(C#N)cc1O)OC ZINC001195740622 846619098 /nfs/dbraw/zinc/61/90/98/846619098.db2.gz BKVPDWPMRHWHAZ-UHFFFAOYSA-N 0 1 264.281 0.955 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(C(C)C)CC2)C1 ZINC001196075068 846681997 /nfs/dbraw/zinc/68/19/97/846681997.db2.gz GXLJJUPGODMWFO-CHWSQXEVSA-N 0 1 264.369 0.607 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2ccnnc2)CC1 ZINC001197244673 846887019 /nfs/dbraw/zinc/88/70/19/846887019.db2.gz BRKQXXGYLONRTP-UHFFFAOYSA-N 0 1 290.367 0.827 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CCOC ZINC001110496045 847000875 /nfs/dbraw/zinc/00/08/75/847000875.db2.gz SFURDRDWLXCQCW-CXTNEJHOSA-N 0 1 282.384 0.681 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(CC)CC)C1 ZINC001198854157 847184188 /nfs/dbraw/zinc/18/41/88/847184188.db2.gz KBWHQPSQMYYDIB-CHWSQXEVSA-N 0 1 266.385 0.997 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOCCO ZINC001110534047 847343514 /nfs/dbraw/zinc/34/35/14/847343514.db2.gz PNCPLEGSYPIALQ-KFWWJZLASA-N 0 1 294.395 0.376 20 30 CCEDMN Cc1cc(C(=O)N2CCCO[C@H](CNCC#N)C2)n[nH]1 ZINC001199956979 847488296 /nfs/dbraw/zinc/48/82/96/847488296.db2.gz JSITVQVWVBUZHB-LLVKDONJSA-N 0 1 277.328 0.062 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)c1ccc(C)o1 ZINC001273832916 847629933 /nfs/dbraw/zinc/62/99/33/847629933.db2.gz LZDZOUXBOKYJBF-SNAWJCMRSA-N 0 1 289.335 0.213 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#C ZINC001110553505 847630215 /nfs/dbraw/zinc/63/02/15/847630215.db2.gz SNZRSUGTVWMRFQ-MCIONIFRSA-N 0 1 260.337 0.381 20 30 CCEDMN C[C@@H](C#N)C(=O)NC/C=C/CNCc1cnn(C)c1 ZINC001273910380 847820455 /nfs/dbraw/zinc/82/04/55/847820455.db2.gz PKWAXVORJCJZDR-UFFNRZRYSA-N 0 1 261.329 0.342 20 30 CCEDMN C=C[C@](C)(O)CN1CCC(C#N)(C(=O)OC)CC1 ZINC001252556277 848003868 /nfs/dbraw/zinc/00/38/68/848003868.db2.gz FXZOKTFAULNNLA-LBPRGKRZSA-N 0 1 252.314 0.702 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ccnc(OC)n1 ZINC001252488212 847987073 /nfs/dbraw/zinc/98/70/73/847987073.db2.gz HYUXWMMMOHBSOY-LLVKDONJSA-N 0 1 253.302 0.138 20 30 CCEDMN C=C[C@@](C)(O)CN1CCO[C@@]2(CCCN(C(C)=O)C2)C1 ZINC001252549439 848001482 /nfs/dbraw/zinc/00/14/82/848001482.db2.gz FCQGQENNYUPCKZ-CABCVRRESA-N 0 1 282.384 0.637 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)Cn1ccc(C)n1 ZINC001114324278 848014582 /nfs/dbraw/zinc/01/45/82/848014582.db2.gz VMKDIROMKVHNCW-RZFFKMDDSA-N 0 1 286.379 0.507 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccns1 ZINC001114342748 848020576 /nfs/dbraw/zinc/02/05/76/848020576.db2.gz AVVJCIOUSOQMNR-PJXYFTJBSA-N 0 1 291.376 0.453 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN([C@H](CC)C(N)=O)C[C@H]21 ZINC001114352482 848022607 /nfs/dbraw/zinc/02/26/07/848022607.db2.gz BCWWYAZDPMQPMW-CZXHOFHRSA-N 0 1 279.384 0.653 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN1CCOCC1)C2 ZINC001095332316 848231472 /nfs/dbraw/zinc/23/14/72/848231472.db2.gz IOVTYUZHHOZRQA-ILXRZTDVSA-N 0 1 291.395 0.063 20 30 CCEDMN CCOC(=O)[C@H](C)N1CC[C@@]2(CCN(CCC#N)C2)C1=O ZINC001274030213 848309837 /nfs/dbraw/zinc/30/98/37/848309837.db2.gz GSPQXMWEEZWXTG-SWLSCSKDSA-N 0 1 293.367 0.776 20 30 CCEDMN C=CCN1C[C@]2(CC1=O)COCCN(Cc1nnc[nH]1)C2 ZINC001274354683 848457431 /nfs/dbraw/zinc/45/74/31/848457431.db2.gz RJEFXNZLQMQMTF-CQSZACIVSA-N 0 1 291.355 0.042 20 30 CCEDMN C#CCC[C@H](O)CNCc1cn(C(C)(C)C)nn1 ZINC000717860173 848523552 /nfs/dbraw/zinc/52/35/52/848523552.db2.gz AFFNGLCXMRUCJK-LBPRGKRZSA-N 0 1 250.346 0.897 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)CNCc1conc1C ZINC001274752437 848561467 /nfs/dbraw/zinc/56/14/67/848561467.db2.gz BSWOJXFPDNHAIV-LLVKDONJSA-N 0 1 279.340 0.617 20 30 CCEDMN C[C@H](CNCC#N)NC(=O)Cc1[nH]nc2ccccc21 ZINC001275038175 848618676 /nfs/dbraw/zinc/61/86/76/848618676.db2.gz HMISNLHITPJWPN-SNVBAGLBSA-N 0 1 271.324 0.723 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)CNCc1nccn1C ZINC001275150126 848650378 /nfs/dbraw/zinc/65/03/78/848650378.db2.gz WYWXTSQOTGHASA-LBPRGKRZSA-N 0 1 278.356 0.054 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)CNCc1cnnn1C ZINC001275167477 848654497 /nfs/dbraw/zinc/65/44/97/848654497.db2.gz BNKBSDIHQGGPOQ-NSHDSACASA-N 0 1 265.361 0.766 20 30 CCEDMN C#CCCCC(=O)NC1CC(CNCc2nnc(C)[nH]2)C1 ZINC001275219984 848669171 /nfs/dbraw/zinc/66/91/71/848669171.db2.gz CLODSUKRRRPCFF-UHFFFAOYSA-N 0 1 289.383 0.901 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)[C@@H](C)NCc1nccn1C ZINC001275364175 848699786 /nfs/dbraw/zinc/69/97/86/848699786.db2.gz CUIFLUBUEQQKSN-NEPJUHHUSA-N 0 1 280.372 0.606 20 30 CCEDMN C=CCCC(=O)N[C@H](C)[C@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001275366210 848701631 /nfs/dbraw/zinc/70/16/31/848701631.db2.gz MPSAAIWXJNIETM-VHSXEESVSA-N 0 1 281.360 0.057 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1c(C)cnn1C ZINC001275622404 848776474 /nfs/dbraw/zinc/77/64/74/848776474.db2.gz PFEZTVGPXNKQDB-ZDUSSCGKSA-N 0 1 292.383 0.428 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H]1CCCOC1 ZINC001275780056 848821013 /nfs/dbraw/zinc/82/10/13/848821013.db2.gz BPTLVVRIKOFOGW-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccc(-n2ccnc2)nn1 ZINC001275672725 848788764 /nfs/dbraw/zinc/78/87/64/848788764.db2.gz LHJPPIFDVIUXFA-GFCCVEGCSA-N 0 1 298.350 0.346 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1ocnc1C ZINC001275801405 848829125 /nfs/dbraw/zinc/82/91/25/848829125.db2.gz OUBYDRTZXOYZNF-LLVKDONJSA-N 0 1 279.340 0.683 20 30 CCEDMN C[C@H](CCC#N)C[N@H+]1CCN(C)C[C@@H](C(=O)[O-])C1 ZINC001592469831 978214133 /nfs/dbraw/zinc/21/41/33/978214133.db2.gz BAFZCTBRBVCWFU-VXGBXAGGSA-N 0 1 253.346 0.874 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CCc1cnn(C)c1C ZINC001275843857 848842338 /nfs/dbraw/zinc/84/23/38/848842338.db2.gz JFVMHSFFGNXIMK-GFCCVEGCSA-N 0 1 276.384 0.731 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnc2n1CCC2 ZINC001275850421 848845975 /nfs/dbraw/zinc/84/59/75/848845975.db2.gz OIAFQVFLOIITBM-NSHDSACASA-N 0 1 260.341 0.513 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)COCC(F)F ZINC001275888217 848858389 /nfs/dbraw/zinc/85/83/89/848858389.db2.gz VUEPSDWBKCTLQA-LLVKDONJSA-N 0 1 292.326 0.354 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)N(C)[C@H]1CCCNC1=O ZINC001275897658 848859686 /nfs/dbraw/zinc/85/96/86/848859686.db2.gz RPQLBAIJAQTLSQ-RYUDHWBXSA-N 0 1 281.400 0.914 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(C)[C@@H]2CCNC2=O)C1 ZINC001275928679 848869997 /nfs/dbraw/zinc/86/99/97/848869997.db2.gz QCQXBKWBXTXPQC-VXGBXAGGSA-N 0 1 279.384 0.668 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cncnc1CC ZINC001276003568 848887942 /nfs/dbraw/zinc/88/79/42/848887942.db2.gz SQTXRPSFLFWFBZ-NSHDSACASA-N 0 1 260.341 0.722 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCC(=O)NC1)C2 ZINC001095522901 848972717 /nfs/dbraw/zinc/97/27/17/848972717.db2.gz ONAPKMXQHSYSBF-MQYQWHSLSA-N 0 1 289.379 0.257 20 30 CCEDMN CN(CCCNC(=O)Cc1nnc[nH]1)c1ccncc1C#N ZINC001095636569 849003911 /nfs/dbraw/zinc/00/39/11/849003911.db2.gz ABCQBMLFUIIRIB-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCCC(=O)N1)C2 ZINC001095730402 849092650 /nfs/dbraw/zinc/09/26/50/849092650.db2.gz HLNKQOFGKZYUMH-CRWXNKLISA-N 0 1 289.379 0.400 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCC(=O)N1C)C2 ZINC001095860524 849204201 /nfs/dbraw/zinc/20/42/01/849204201.db2.gz XJEPGVWTBCJMPY-MQYQWHSLSA-N 0 1 289.379 0.352 20 30 CCEDMN CS(=O)(=O)CCNC[C@H](O)c1ccc(C#N)cc1 ZINC000037750310 849245889 /nfs/dbraw/zinc/24/58/89/849245889.db2.gz ZQTLWOPVYCGHIU-LBPRGKRZSA-N 0 1 268.338 0.226 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(COC)CC1 ZINC001114568390 849247204 /nfs/dbraw/zinc/24/72/04/849247204.db2.gz OQLHSCNIOBAJAI-ITGUQSILSA-N 0 1 262.353 0.483 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1cc(F)cc(C#N)c1)N(C)C ZINC000720077810 849333819 /nfs/dbraw/zinc/33/38/19/849333819.db2.gz MAYBMIYBPCYVQF-VIFPVBQESA-N 0 1 285.344 0.926 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CCC)OC ZINC001114669648 849355018 /nfs/dbraw/zinc/35/50/18/849355018.db2.gz XHJSWOIZWLZDIV-RFQIPJPRSA-N 0 1 264.369 0.871 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1C ZINC001114679296 849360187 /nfs/dbraw/zinc/36/01/87/849360187.db2.gz VTEORNRVAXNSRK-POQQGIQPSA-N 0 1 262.353 0.339 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114723297 849379177 /nfs/dbraw/zinc/37/91/77/849379177.db2.gz WCJZCCQSOTWEKB-CXTNEJHOSA-N 0 1 293.411 0.723 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001114721763 849380170 /nfs/dbraw/zinc/38/01/70/849380170.db2.gz VJOBCXLBMFJDEH-GHERTQFTSA-N 0 1 288.391 0.729 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OC)C(C)C ZINC001114785434 849393740 /nfs/dbraw/zinc/39/37/40/849393740.db2.gz OJUKUUHTYWFOGJ-DGAVXFQQSA-N 0 1 264.369 0.727 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OC)C(C)C ZINC001114781515 849394742 /nfs/dbraw/zinc/39/47/42/849394742.db2.gz SGRCWNNCUWSPOW-MROQNXINSA-N 0 1 250.342 0.337 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1OCC[C@H]1C ZINC001114856888 849442501 /nfs/dbraw/zinc/44/25/01/849442501.db2.gz PFWLSFZJWKPAJD-MRLBHPIUSA-N 0 1 292.379 0.108 20 30 CCEDMN CC[C@@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC[C@@H]1NCC#N ZINC001037917586 849605909 /nfs/dbraw/zinc/60/59/09/849605909.db2.gz CPCDTWMAJYYIPB-MNOVXSKESA-N 0 1 291.355 0.480 20 30 CCEDMN CC[C@H]1CN(C(=O)CN2CCCC2)CC[C@@H]1NCC#N ZINC001037978669 849616535 /nfs/dbraw/zinc/61/65/35/849616535.db2.gz MYMWCZZGVCIBGN-KBPBESRZSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@](C)(CC)C(=O)[O-] ZINC000380869576 849695058 /nfs/dbraw/zinc/69/50/58/849695058.db2.gz YCCFZEFKRDLAQH-CYBMUJFWSA-N 0 1 269.345 0.494 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1c(C)cnn1C ZINC001038611026 849701421 /nfs/dbraw/zinc/70/14/21/849701421.db2.gz NIYPOMHRHSBHLA-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ccc(C(=O)[O-])nc1 ZINC000381373366 849754619 /nfs/dbraw/zinc/75/46/19/849754619.db2.gz JGMWOHOHRDUTEC-UHFFFAOYSA-N 0 1 275.308 0.465 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)CC2(O)CCC2)C1 ZINC001276376449 849794508 /nfs/dbraw/zinc/79/45/08/849794508.db2.gz MXGPCJIULDZKAZ-LLVKDONJSA-N 0 1 252.358 0.914 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001038260902 849830959 /nfs/dbraw/zinc/83/09/59/849830959.db2.gz VHDGOKJCHOJTCW-FRRDWIJNSA-N 0 1 279.384 0.668 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnn2ccncc12 ZINC001038341430 849858005 /nfs/dbraw/zinc/85/80/05/849858005.db2.gz KZBPJXLZBRNANB-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnc2n[nH]cc2c1 ZINC001038393047 849877543 /nfs/dbraw/zinc/87/75/43/849877543.db2.gz DGUGJCNPWUECOA-ZDUSSCGKSA-N 0 1 283.335 0.785 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC001038447622 849903453 /nfs/dbraw/zinc/90/34/53/849903453.db2.gz VGINKYXHKLKYBX-SNVBAGLBSA-N 0 1 273.340 0.616 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001038715505 849993096 /nfs/dbraw/zinc/99/30/96/849993096.db2.gz ICGVTIYYPWHRSU-MGPQQGTHSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CC[C@@H](C)O1 ZINC001038718163 849995139 /nfs/dbraw/zinc/99/51/39/849995139.db2.gz FVOWIVODVLUSIA-FRRDWIJNSA-N 0 1 250.342 0.768 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)NC[C@H]3CCN3CC#N)c2C1 ZINC001038859944 850052875 /nfs/dbraw/zinc/05/28/75/850052875.db2.gz NFTWNOMYEPEJFE-WDEREUQCSA-N 0 1 287.367 0.862 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cccn2c(=O)[nH]nc12 ZINC001038916315 850081792 /nfs/dbraw/zinc/08/17/92/850081792.db2.gz XULCILKNYAJNEI-LLVKDONJSA-N 0 1 299.334 0.262 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(N(C)C)nn1 ZINC001038938603 850094827 /nfs/dbraw/zinc/09/48/27/850094827.db2.gz KOUBTCAOOIQUFM-GFCCVEGCSA-N 0 1 289.383 0.923 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cccnc1N(C)C ZINC001039017656 850121547 /nfs/dbraw/zinc/12/15/47/850121547.db2.gz HOVDXSMJGVSSHT-CYBMUJFWSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCOC1 ZINC001039336754 850175128 /nfs/dbraw/zinc/17/51/28/850175128.db2.gz OQAFIYAIXZKFGK-MJBXVCDLSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc[nH]n1 ZINC001039349611 850176657 /nfs/dbraw/zinc/17/66/57/850176657.db2.gz GCENOMFCEPUDRB-KGLIPLIRSA-N 0 1 272.352 0.651 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCNC1=O ZINC001039389931 850184817 /nfs/dbraw/zinc/18/48/17/850184817.db2.gz NVWWHKAKSMPXCH-RDBSUJKOSA-N 0 1 289.379 0.211 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@H]1C(N)=O ZINC001039436825 850191976 /nfs/dbraw/zinc/19/19/76/850191976.db2.gz JAYHWKGVEUMMDS-XQHKEYJVSA-N 0 1 277.368 0.359 20 30 CCEDMN N#CCN1CCC2(CCN(C(=O)[C@@H]3CC3[N+](=O)[O-])C2)CC1 ZINC001040699772 850314706 /nfs/dbraw/zinc/31/47/06/850314706.db2.gz FRKBGEHZBRTNDQ-VXGBXAGGSA-N 0 1 292.339 0.490 20 30 CCEDMN CNC(=O)CN1CC[C@]2(CCN(C(=O)C#CC3CC3)C2)C1 ZINC001041271807 850419588 /nfs/dbraw/zinc/41/95/88/850419588.db2.gz BWOPVHZIFVRINM-INIZCTEOSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc(C)nn3C)C[C@@H]21 ZINC001041964703 850542103 /nfs/dbraw/zinc/54/21/03/850542103.db2.gz RCOADQCNSHKKHB-HIFRSBDPSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cn4c(n3)CCC4)C[C@H]21 ZINC001042065379 850572559 /nfs/dbraw/zinc/57/25/59/850572559.db2.gz RYGYRQYKLWHOEZ-UKRRQHHQSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cn3ccc(C)n3)C[C@H]21 ZINC001042241257 850602464 /nfs/dbraw/zinc/60/24/64/850602464.db2.gz VJMRHQYTLRVMLG-HUUCEWRRSA-N 0 1 286.379 0.748 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cn(CC=C)nn3)C[C@H]21 ZINC001042262920 850604794 /nfs/dbraw/zinc/60/47/94/850604794.db2.gz QMBLPRRVWKIEID-DZGCQCFKSA-N 0 1 299.378 0.634 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3nonc3C)C[C@H]21 ZINC001042381236 850621554 /nfs/dbraw/zinc/62/15/54/850621554.db2.gz YOGMALXNJVFABU-VXGBXAGGSA-N 0 1 274.324 0.548 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cc(C(C)C)[nH]n2)C1 ZINC001043945821 850970347 /nfs/dbraw/zinc/97/03/47/850970347.db2.gz BTXXSJBGFQVYRZ-UHFFFAOYSA-N 0 1 260.341 0.923 20 30 CCEDMN CN(C(=O)c1cn[nH]c1-c1cnn(C)c1)C1CN(CC#N)C1 ZINC001044166579 851030571 /nfs/dbraw/zinc/03/05/71/851030571.db2.gz UPRSGCYOBABJNE-UHFFFAOYSA-N 0 1 299.338 0.090 20 30 CCEDMN CC(C)(C)OC(=O)[C@@H](O)CNCc1cncc(C#N)c1 ZINC001253706532 851063115 /nfs/dbraw/zinc/06/31/15/851063115.db2.gz QGYVOHZEMZQJCK-LBPRGKRZSA-N 0 1 277.324 0.745 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)N2CCOCC2)C1 ZINC001044377573 851089455 /nfs/dbraw/zinc/08/94/55/851089455.db2.gz ICDLLYQHKIFUFA-AWEZNQCLSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ncc(OC)cn2)CC1 ZINC001045498640 851270051 /nfs/dbraw/zinc/27/00/51/851270051.db2.gz SGPICUKVZCYOTE-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CCC(C)(NC(=O)c2cnn(C)n2)CC1 ZINC001045587988 851284514 /nfs/dbraw/zinc/28/45/14/851284514.db2.gz DWWRAHATILXCHP-UHFFFAOYSA-N 0 1 275.356 0.423 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2nn(CC)nc2C)CC1 ZINC001045654072 851292035 /nfs/dbraw/zinc/29/20/35/851292035.db2.gz NFPFHJHDJVTSDY-UHFFFAOYSA-N 0 1 289.383 0.824 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2COCCO2)C1 ZINC001046162245 851402781 /nfs/dbraw/zinc/40/27/81/851402781.db2.gz GQWJBDPLTOJVCB-OCCSQVGLSA-N 0 1 266.341 0.006 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cncnc2)C1 ZINC001046262733 851444478 /nfs/dbraw/zinc/44/44/78/851444478.db2.gz XMSOSFPGBFHJAI-AWEZNQCLSA-N 0 1 258.325 0.694 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2nn(C)cc2C)C1 ZINC001046311017 851462199 /nfs/dbraw/zinc/46/21/99/851462199.db2.gz FWLVLCYXKHNIRX-HNNXBMFYSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cn3c(n2)CCC3)C1 ZINC001046326826 851467806 /nfs/dbraw/zinc/46/78/06/851467806.db2.gz WQTALQMIDGALKT-HNNXBMFYSA-N 0 1 272.352 0.657 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnc[nH]c2=O)C1 ZINC001046407053 851490165 /nfs/dbraw/zinc/49/01/65/851490165.db2.gz DUXTWQFFLITWHU-CQSZACIVSA-N 0 1 274.324 0.400 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001046577390 851555975 /nfs/dbraw/zinc/55/59/75/851555975.db2.gz WTDAKTHTIDNHDY-XHDPSFHLSA-N 0 1 287.367 0.438 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cnc(OC)nc2)C1 ZINC001046746477 851603996 /nfs/dbraw/zinc/60/39/96/851603996.db2.gz KUDIZFXVDUZHHB-CQSZACIVSA-N 0 1 276.340 0.865 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001046766839 851610090 /nfs/dbraw/zinc/61/00/90/851610090.db2.gz BOSTZEWGEVRECZ-BLLLJJGKSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001046766839 851610098 /nfs/dbraw/zinc/61/00/98/851610098.db2.gz BOSTZEWGEVRECZ-BLLLJJGKSA-N 0 1 286.379 0.728 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001046808769 851617979 /nfs/dbraw/zinc/61/79/79/851617979.db2.gz AIWITPUFPQFVJG-CZUORRHYSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cnn(CCOC)c2)C1 ZINC001046805763 851619278 /nfs/dbraw/zinc/61/92/78/851619278.db2.gz MTKMKDPHUIFGQO-HNNXBMFYSA-N 0 1 292.383 0.910 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CN(CC)CCO2)C1 ZINC001046846383 851628339 /nfs/dbraw/zinc/62/83/39/851628339.db2.gz USUGQCMDROLQMI-HOCLYGCPSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccncc2)C1 ZINC001047282407 851696436 /nfs/dbraw/zinc/69/64/36/851696436.db2.gz ZQQMJSCNLJUHPK-KBPBESRZSA-N 0 1 273.336 0.222 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2C=CCC2)C1 ZINC001047297510 851702993 /nfs/dbraw/zinc/70/29/93/851702993.db2.gz QMSCSECMJQNFOC-ILXRZTDVSA-N 0 1 276.380 0.870 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2CCCO2)C1 ZINC001047313261 851712835 /nfs/dbraw/zinc/71/28/35/851712835.db2.gz QIVXGCKXNOQVEB-IHRRRGAJSA-N 0 1 280.368 0.082 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001047338358 851725829 /nfs/dbraw/zinc/72/58/29/851725829.db2.gz VVMNSRAPQMYTGM-KBPBESRZSA-N 0 1 288.351 0.580 20 30 CCEDMN CN(C(=O)c1ccc(C#N)[nH]1)[C@H]1CN(CCCF)C[C@@H]1O ZINC001047354596 851735210 /nfs/dbraw/zinc/73/52/10/851735210.db2.gz ZTZIELURFPBKEQ-STQMWFEESA-N 0 1 294.330 0.363 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2c[nH]nc2CC)C1 ZINC001047440602 851767660 /nfs/dbraw/zinc/76/76/60/851767660.db2.gz OALJHFTWSYWFEO-KBPBESRZSA-N 0 1 292.383 0.665 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2csc(C)n2)C1 ZINC001047620062 851838938 /nfs/dbraw/zinc/83/89/38/851838938.db2.gz JOFWEXFYRBXNMQ-STQMWFEESA-N 0 1 295.408 0.684 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ncc[nH]1)C2 ZINC001096243201 851864429 /nfs/dbraw/zinc/86/44/29/851864429.db2.gz STNGDOHRWZULOD-WOPDTQHZSA-N 0 1 258.325 0.307 20 30 CCEDMN C=C1CCC(C(=O)N2C[C@H]3CN(CC(N)=O)C[C@H]3C2)CC1 ZINC001049026781 852143056 /nfs/dbraw/zinc/14/30/56/852143056.db2.gz FZKZXISCTACIOS-OKILXGFUSA-N 0 1 291.395 0.608 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1nccnc1N ZINC001049381773 852252340 /nfs/dbraw/zinc/25/23/40/852252340.db2.gz KYYZKKLCWGSEBO-RYUDHWBXSA-N 0 1 285.351 0.371 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1[nH]c(=O)[nH]c1C ZINC001049539033 852308918 /nfs/dbraw/zinc/30/89/18/852308918.db2.gz WSAUFSMZOHXLLK-NWDGAFQWSA-N 0 1 288.351 0.736 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1coc(OC)n1 ZINC001049622592 852320291 /nfs/dbraw/zinc/32/02/91/852320291.db2.gz WAMUCTJACZGJHB-OLZOCXBDSA-N 0 1 289.335 0.995 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@]1(F)CCOC1 ZINC001049672366 852344048 /nfs/dbraw/zinc/34/40/48/852344048.db2.gz LMMUHUCSUJRHFW-NFAWXSAZSA-N 0 1 280.343 0.814 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1n[nH]cc1F ZINC001049703340 852355351 /nfs/dbraw/zinc/35/53/51/852355351.db2.gz JYBAALMBQXNMEB-NWDGAFQWSA-N 0 1 276.315 0.861 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049785719 852371710 /nfs/dbraw/zinc/37/17/10/852371710.db2.gz ZREFNBXGMXGFTJ-STQMWFEESA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccnn1 ZINC001049809807 852379726 /nfs/dbraw/zinc/37/97/26/852379726.db2.gz ZEUHJDFHJUMSFZ-ZIAGYGMSSA-N 0 1 270.336 0.789 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1nonc1C ZINC001049928987 852397330 /nfs/dbraw/zinc/39/73/30/852397330.db2.gz XVDLVBONHYDBDD-NWDGAFQWSA-N 0 1 274.324 0.690 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)[C@@H](CC)OC)[C@@H]2C1 ZINC001049994051 852417867 /nfs/dbraw/zinc/41/78/67/852417867.db2.gz VEVLFZOKFMVJIA-BFHYXJOUSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H](CC)OC)[C@@H]2C1 ZINC001049994051 852417873 /nfs/dbraw/zinc/41/78/73/852417873.db2.gz VEVLFZOKFMVJIA-BFHYXJOUSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)N1CCOCC1)C2 ZINC001096678840 852424705 /nfs/dbraw/zinc/42/47/05/852424705.db2.gz KCIYWBBEKOHELG-XGUBFFRZSA-N 0 1 293.411 0.615 20 30 CCEDMN N#Cc1nccnc1N[C@H](CNC(=O)c1ncn[nH]1)C1CC1 ZINC001096705468 852427798 /nfs/dbraw/zinc/42/77/98/852427798.db2.gz RQLZHFAAEZHKRP-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1nccnc1N[C@H](CNC(=O)c1nc[nH]n1)C1CC1 ZINC001096705468 852427808 /nfs/dbraw/zinc/42/78/08/852427808.db2.gz RQLZHFAAEZHKRP-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN COCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C#N)c[nH]1)C2 ZINC001096926371 852470435 /nfs/dbraw/zinc/47/04/35/852470435.db2.gz CJWWABMBSDTKHX-BZPMIXESSA-N 0 1 288.351 0.868 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCC(=O)N1C)C2 ZINC001097634207 852552479 /nfs/dbraw/zinc/55/24/79/852552479.db2.gz FNIFUQVHVJCSJO-DGAVXFQQSA-N 0 1 291.395 0.905 20 30 CCEDMN C=C(Cl)CN1CC2(C1)C[C@H](NC(=O)c1cnn[nH]1)CO2 ZINC001053857011 852834887 /nfs/dbraw/zinc/83/48/87/852834887.db2.gz SVLNMSUKXVCWRM-VIFPVBQESA-N 0 1 297.746 0.130 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ncn(C)n2)C[C@@H]1C ZINC001054614882 852981548 /nfs/dbraw/zinc/98/15/48/852981548.db2.gz BDEZUWLBNDATMN-WCBMZHEXSA-N 0 1 283.763 0.618 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2n[nH]nc2C)C[C@@H]1C ZINC001054960458 853044560 /nfs/dbraw/zinc/04/45/60/853044560.db2.gz BTUBKZZVBILICJ-XVKPBYJWSA-N 0 1 283.763 0.916 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccc3cncn3c2)C[C@@H]1NCC#N ZINC001054905354 853034357 /nfs/dbraw/zinc/03/43/57/853034357.db2.gz DITFADHCMOWFFL-FZMZJTMJSA-N 0 1 283.335 0.908 20 30 CCEDMN Cc1nc(N[C@H](C)CNC(=O)c2ncn[nH]2)ccc1C#N ZINC001097995441 853083283 /nfs/dbraw/zinc/08/32/83/853083283.db2.gz SMHWUCXVJOKXBK-MRVPVSSYSA-N 0 1 285.311 0.610 20 30 CCEDMN Cc1nc(N[C@H](C)CNC(=O)c2nc[nH]n2)ccc1C#N ZINC001097995441 853083290 /nfs/dbraw/zinc/08/32/90/853083290.db2.gz SMHWUCXVJOKXBK-MRVPVSSYSA-N 0 1 285.311 0.610 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN1CCC(NC(C)=O)CC1 ZINC001055567965 853086408 /nfs/dbraw/zinc/08/64/08/853086408.db2.gz VHVAZXXNLAEOLT-OAHLLOKOSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCCCC(=O)NCCN(CCO)C(=O)c1ccn[nH]1 ZINC001057046413 853262688 /nfs/dbraw/zinc/26/26/88/853262688.db2.gz SHORCEMIKFBOSQ-UHFFFAOYSA-N 0 1 294.355 0.317 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3CC(=O)N(C)C3)[C@@H]2C1 ZINC001050061290 853306609 /nfs/dbraw/zinc/30/66/09/853306609.db2.gz LQUFRGDAGTUHKO-MELADBBJSA-N 0 1 289.379 0.021 20 30 CCEDMN C#CCN1CCOC[C@H]1CNC(=O)c1cncc2nc[nH]c21 ZINC001050928590 853488047 /nfs/dbraw/zinc/48/80/47/853488047.db2.gz HAWYFZOYHMRFIC-LLVKDONJSA-N 0 1 299.334 0.022 20 30 CCEDMN C#CCN1CCOC[C@@H]1CNC(=O)c1ccc2cncn2c1 ZINC001051024165 853507544 /nfs/dbraw/zinc/50/75/44/853507544.db2.gz AFAAAHGOHTUNIE-HNNXBMFYSA-N 0 1 298.346 0.398 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)C(C)(C)F)C2)CC1 ZINC001051968973 853665570 /nfs/dbraw/zinc/66/55/70/853665570.db2.gz HIBCMHIKEXDOIR-AWEZNQCLSA-N 0 1 295.402 0.976 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](N2CCN(CCCF)CC2)C1 ZINC001051998681 853673248 /nfs/dbraw/zinc/67/32/48/853673248.db2.gz XXJVRIOTCVVCSL-KGLIPLIRSA-N 0 1 296.390 0.724 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](N2CCN(CCCF)CC2)C1 ZINC001051998682 853674272 /nfs/dbraw/zinc/67/42/72/853674272.db2.gz XXJVRIOTCVVCSL-UONOGXRCSA-N 0 1 296.390 0.724 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1CCCN(CC#N)CC1 ZINC001052250597 853703635 /nfs/dbraw/zinc/70/36/35/853703635.db2.gz HWTXIHFWLWTTLG-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)C2CS(=O)(=O)C2)CC1 ZINC001052412512 853747825 /nfs/dbraw/zinc/74/78/25/853747825.db2.gz XDNQIEQYTGQCHW-CYBMUJFWSA-N 0 1 298.408 0.025 20 30 CCEDMN N#Cc1cccnc1N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001058422618 853844158 /nfs/dbraw/zinc/84/41/58/853844158.db2.gz JKEDJIWVIVSAIV-JTQLQIEISA-N 0 1 283.295 0.080 20 30 CCEDMN N#Cc1cccnc1N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001058422618 853844162 /nfs/dbraw/zinc/84/41/62/853844162.db2.gz JKEDJIWVIVSAIV-JTQLQIEISA-N 0 1 283.295 0.080 20 30 CCEDMN N#Cc1ccc(NCC2CC(NC(=O)c3cnn[nH]3)C2)nn1 ZINC001067900864 853864718 /nfs/dbraw/zinc/86/47/18/853864718.db2.gz GPLCXKYIVFOHEG-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccn1)C2 ZINC001098082133 853929411 /nfs/dbraw/zinc/92/94/11/853929411.db2.gz BAHXNMPZAIXGGY-UTUOFQBUSA-N 0 1 258.325 0.998 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2C)[C@@H](n2ccnn2)C1 ZINC001069999304 854014443 /nfs/dbraw/zinc/01/44/43/854014443.db2.gz YZHFMTXUDUYCDC-SYQHCUMBSA-N 0 1 287.367 0.299 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)C2CC2)C1 ZINC001070388321 854052993 /nfs/dbraw/zinc/05/29/93/854052993.db2.gz DBUYDAQLVFBZRI-LBPRGKRZSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)c2cn[nH]c2)C1 ZINC001070399986 854057282 /nfs/dbraw/zinc/05/72/82/854057282.db2.gz QOFBMYJECVMRMY-LLVKDONJSA-N 0 1 298.350 0.485 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cc[nH]c2)C[C@H]1c1cn(C)cn1 ZINC001070496768 854068992 /nfs/dbraw/zinc/06/89/92/854068992.db2.gz ZDOIKNLLHAPYKG-DZGCQCFKSA-N 0 1 297.362 0.579 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(C1)CN(C(=O)C(C)(F)F)C[C@@H](C)O2 ZINC001071127435 854125722 /nfs/dbraw/zinc/12/57/22/854125722.db2.gz NWQUGXIMBRSSBE-RISCZKNCSA-N 0 1 286.322 0.967 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)C(C)(F)F)C[C@@H](C)O2 ZINC001071127435 854125729 /nfs/dbraw/zinc/12/57/29/854125729.db2.gz NWQUGXIMBRSSBE-RISCZKNCSA-N 0 1 286.322 0.967 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@H](C)O2 ZINC001071167481 854132703 /nfs/dbraw/zinc/13/27/03/854132703.db2.gz ZTKNIBGEJJBRIF-SWLSCSKDSA-N 0 1 290.367 0.901 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)c1nc[nH]n1)C[C@@H](C)O2 ZINC001071217873 854137323 /nfs/dbraw/zinc/13/73/23/854137323.db2.gz FLNDZPAWKITNKY-BXUZGUMPSA-N 0 1 291.355 0.296 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cc(C)n[nH]2)CC[C@H]1C ZINC001071428084 854190342 /nfs/dbraw/zinc/19/03/42/854190342.db2.gz WBMOSQXOAXXKHJ-OLZOCXBDSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cc(C)[nH]n2)CC[C@H]1C ZINC001071428084 854190339 /nfs/dbraw/zinc/19/03/39/854190339.db2.gz WBMOSQXOAXXKHJ-OLZOCXBDSA-N 0 1 274.368 0.863 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CN2CCOCC2)CC[C@H]1C ZINC001071439587 854196741 /nfs/dbraw/zinc/19/67/41/854196741.db2.gz FQQIFQXHHSRKQG-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C2CN(C(C)=O)C2)CC[C@@H]1C ZINC001071459630 854205790 /nfs/dbraw/zinc/20/57/90/854205790.db2.gz FOHVGPUTIFNYJJ-FZMZJTMJSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1C ZINC001071652291 854259271 /nfs/dbraw/zinc/25/92/71/854259271.db2.gz SQOWGEWYNXCMKA-CMPLNLGQSA-N 0 1 292.339 0.189 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2[nH]nnc2C)CC[C@@H]1C ZINC001071732578 854275421 /nfs/dbraw/zinc/27/54/21/854275421.db2.gz WVPOJPUBMYZWNI-ONGXEEELSA-N 0 1 263.345 0.882 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2nnn(C)n2)CC[C@H]1C ZINC001071743700 854276531 /nfs/dbraw/zinc/27/65/31/854276531.db2.gz CWZOZMPOOUKDAT-MNOVXSKESA-N 0 1 278.360 0.369 20 30 CCEDMN C=CCCC(=O)N1C[C@H](NC(=O)c2ncn[nH]2)[C@@H](C)C1 ZINC001071787596 854291243 /nfs/dbraw/zinc/29/12/43/854291243.db2.gz QEVYSTWKXKMPEF-UWVGGRQHSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CCCC(=O)N1C[C@H](NC(=O)c2nc[nH]n2)[C@@H](C)C1 ZINC001071787596 854291251 /nfs/dbraw/zinc/29/12/51/854291251.db2.gz QEVYSTWKXKMPEF-UWVGGRQHSA-N 0 1 277.328 0.348 20 30 CCEDMN C[C@H]1CC[C@H](NC(=O)C2=NC(=O)N(C)C2)CN1CC#N ZINC001071803016 854297031 /nfs/dbraw/zinc/29/70/31/854297031.db2.gz FQKOZBSHVVAPIP-UWVGGRQHSA-N 0 1 277.328 0.232 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@H]1C ZINC001071803696 854297928 /nfs/dbraw/zinc/29/79/28/854297928.db2.gz QAHYISSXSPWUBL-MNOVXSKESA-N 0 1 276.340 0.342 20 30 CCEDMN CC#CC[N@H+]1C[C@H](NC(=O)c2ncn[n-]2)CC[C@@H]1C ZINC001071911494 854317961 /nfs/dbraw/zinc/31/79/61/854317961.db2.gz NSHSHDCAIVNFLS-WDEREUQCSA-N 0 1 261.329 0.411 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2nonc2C)CC[C@@H]1C ZINC001071953991 854326512 /nfs/dbraw/zinc/32/65/12/854326512.db2.gz IGTLPJVTOHAIDG-AAEUAGOBSA-N 0 1 290.367 0.913 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2ccc(=O)n(C)n2)CC[C@@H]1C ZINC001072051762 854341760 /nfs/dbraw/zinc/34/17/60/854341760.db2.gz IWIABNOHQCJGTC-RYUDHWBXSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)n3cccn3)C2)C1 ZINC001072495894 854400013 /nfs/dbraw/zinc/40/00/13/854400013.db2.gz PBSMCKPMJJXNED-CYBMUJFWSA-N 0 1 272.352 0.612 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@H]3CCCO3)C2)C1 ZINC001072503192 854402007 /nfs/dbraw/zinc/40/20/07/854402007.db2.gz VPFWSOQGBQQSFV-CYBMUJFWSA-N 0 1 262.353 0.723 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cncs3)C2)C1 ZINC001072514388 854403823 /nfs/dbraw/zinc/40/38/23/854403823.db2.gz AZDQIHNERQGMTD-UHFFFAOYSA-N 0 1 261.350 0.924 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(C1)CCN(Cc1cncn1C)C2 ZINC001072559718 854416715 /nfs/dbraw/zinc/41/67/15/854416715.db2.gz PIAHUELPPQAZAA-LBPRGKRZSA-N 0 1 287.367 0.614 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CC[C@@H]3CCOC3)C2)C1 ZINC001072597650 854425804 /nfs/dbraw/zinc/42/58/04/854425804.db2.gz GMWBLLOCGFQFMC-CQSZACIVSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cc(C)n[nH]3)C2)C1 ZINC001072610011 854428649 /nfs/dbraw/zinc/42/86/49/854428649.db2.gz KOKHLRIKLYFFBJ-UHFFFAOYSA-N 0 1 272.352 0.428 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@@](C)(O)C3CC3)C2)C1 ZINC001072640129 854435485 /nfs/dbraw/zinc/43/54/85/854435485.db2.gz OUGRWLSXBQNEMW-OAHLLOKOSA-N 0 1 276.380 0.705 20 30 CCEDMN C=CCCN1CCC2(CN(C(=O)C3CS(=O)(=O)C3)C2)C1 ZINC001072776807 854460526 /nfs/dbraw/zinc/46/05/26/854460526.db2.gz JTTJKUVYUONLGE-UHFFFAOYSA-N 0 1 298.408 0.141 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCc3ccnn3C)C2)C1 ZINC001072789905 854462464 /nfs/dbraw/zinc/46/24/64/854462464.db2.gz ZYBSTEOPOVRPOM-UHFFFAOYSA-N 0 1 286.379 0.520 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc4n[nH]nc4n3)C2)C1 ZINC001072800173 854464765 /nfs/dbraw/zinc/46/47/65/854464765.db2.gz GOTKLAIRBNDKQR-UHFFFAOYSA-N 0 1 296.334 0.134 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc4n(n3)CCC4)C2)C1 ZINC001072802210 854466100 /nfs/dbraw/zinc/46/61/00/854466100.db2.gz QXFKHEVFWLETBH-UHFFFAOYSA-N 0 1 284.363 0.610 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CC2(C1)CCN(CCF)C2 ZINC001072822379 854472574 /nfs/dbraw/zinc/47/25/74/854472574.db2.gz DQNGBURDIGRCQT-AWEZNQCLSA-N 0 1 293.386 0.588 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnc4n[nH]cc4c3)C2)C1 ZINC001072844680 854477848 /nfs/dbraw/zinc/47/78/48/854477848.db2.gz KSIRLVIKDFUEEH-UHFFFAOYSA-N 0 1 295.346 0.739 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3ccc(OC)cn3)C2)C1 ZINC001072913757 854492870 /nfs/dbraw/zinc/49/28/70/854492870.db2.gz IYACEFPKCYXERJ-UHFFFAOYSA-N 0 1 299.374 0.800 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(C(C)C)nn3)C2)C1 ZINC001073087473 854528782 /nfs/dbraw/zinc/52/87/82/854528782.db2.gz VWUQKMDIYAWFHQ-UHFFFAOYSA-N 0 1 287.367 0.640 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N[C@H](C)C1CN(CCO)C1)OCC ZINC001276388451 854564238 /nfs/dbraw/zinc/56/42/38/854564238.db2.gz HQSPQZYQPXMORU-TZMCWYRMSA-N 0 1 284.400 0.787 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2cccn2C)C1 ZINC001073536759 854582016 /nfs/dbraw/zinc/58/20/16/854582016.db2.gz GDTNESIHSJDZBC-AWEZNQCLSA-N 0 1 289.379 0.869 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H]2CCCOC2)C1 ZINC001073549481 854590817 /nfs/dbraw/zinc/59/08/17/854590817.db2.gz ZIPHGAMUWITMET-GJZGRUSLSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2nnn(C)c2C)C1 ZINC001073561956 854595947 /nfs/dbraw/zinc/59/59/47/854595947.db2.gz GSDDPKNRNQAXBQ-LBPRGKRZSA-N 0 1 293.371 0.130 20 30 CCEDMN C#CCN1CCCO[C@@H](CNC(=O)c2c(C)ccn2C)C1 ZINC001073584839 854603806 /nfs/dbraw/zinc/60/38/06/854603806.db2.gz ZXCKYGMBDWIPOS-AWEZNQCLSA-N 0 1 289.379 0.787 20 30 CCEDMN C=C(C)CN1CCCO[C@H](CNC(=O)c2ncccn2)C1 ZINC001073823969 854649347 /nfs/dbraw/zinc/64/93/47/854649347.db2.gz MCOXDRJGHYIDLS-CYBMUJFWSA-N 0 1 290.367 0.873 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001074184809 854690753 /nfs/dbraw/zinc/69/07/53/854690753.db2.gz RXSIMGDLZFIBNT-KBPBESRZSA-N 0 1 290.367 0.819 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)Cn1nccn1 ZINC001099093340 854913707 /nfs/dbraw/zinc/91/37/07/854913707.db2.gz OQYIJELBQZQNLH-ZDUSSCGKSA-N 0 1 289.383 0.518 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](C)C#N)C[C@H]1C(F)(F)F ZINC001099225822 854922014 /nfs/dbraw/zinc/92/20/14/854922014.db2.gz ISQUPGYAGISVPD-OPRDCNLKSA-N 0 1 273.258 0.758 20 30 CCEDMN C[C@H](CCNC(=O)c1ncn[nH]1)Nc1cncc(C#N)n1 ZINC001099382730 854929535 /nfs/dbraw/zinc/92/95/35/854929535.db2.gz SABKGDLXOXLYHT-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CCNC(=O)c1nc[nH]n1)Nc1cncc(C#N)n1 ZINC001099382730 854929541 /nfs/dbraw/zinc/92/95/41/854929541.db2.gz SABKGDLXOXLYHT-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CCNC(=O)c1ncn[nH]1)Nc1ccc(C#N)cn1 ZINC001099381754 854930176 /nfs/dbraw/zinc/93/01/76/854930176.db2.gz FTDUXKXDQZUCDU-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@H](CCNC(=O)c1nc[nH]n1)Nc1ccc(C#N)cn1 ZINC001099381754 854930185 /nfs/dbraw/zinc/93/01/85/854930185.db2.gz FTDUXKXDQZUCDU-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(CC)CC)[C@H](O)C1 ZINC001099695416 854969713 /nfs/dbraw/zinc/96/97/13/854969713.db2.gz HOZFTTYIFRNOKL-ZIAGYGMSSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)C#CC2CC2)[C@H](O)C1 ZINC001099826646 855005723 /nfs/dbraw/zinc/00/57/23/855005723.db2.gz OEFAINGMLCHUOG-HUUCEWRRSA-N 0 1 292.379 0.154 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CF)CCC2)[C@H](O)C1 ZINC001100012798 855070751 /nfs/dbraw/zinc/07/07/51/855070751.db2.gz CXBAOFXHQBHSKT-NWDGAFQWSA-N 0 1 270.348 0.864 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cn[nH]c2)[C@H](O)C1 ZINC001100031642 855075969 /nfs/dbraw/zinc/07/59/69/855075969.db2.gz IDHHFXMXFBFAET-QWHCGFSZSA-N 0 1 278.356 0.080 20 30 CCEDMN CN(CCNC(=O)CCc1c[nH]nn1)c1ncccc1C#N ZINC001100334502 855150660 /nfs/dbraw/zinc/15/06/60/855150660.db2.gz CACZGAPEQOMUTI-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CN(CCNC(=O)CCc1cnn[nH]1)c1ncccc1C#N ZINC001100334502 855150663 /nfs/dbraw/zinc/15/06/63/855150663.db2.gz CACZGAPEQOMUTI-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CCN(CCNC(=O)Cc1nnc[nH]1)c1ccncc1C#N ZINC001100611924 855197476 /nfs/dbraw/zinc/19/74/76/855197476.db2.gz XXNMQYGAWUKDLP-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CN(CCNc1ncccc1C#N)C(=O)CCc1nc[nH]n1 ZINC001101553163 855314237 /nfs/dbraw/zinc/31/42/37/855314237.db2.gz FRBRPIZDHMFYBZ-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN CN(CCNc1nccnc1C#N)C(=O)Cc1c[nH]cn1 ZINC001101975470 855402360 /nfs/dbraw/zinc/40/23/60/855402360.db2.gz CUGFTJDTAVRBHG-UHFFFAOYSA-N 0 1 285.311 0.184 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCC#N)C[C@H]1CCNC(=O)C1CC1 ZINC001102631203 855456234 /nfs/dbraw/zinc/45/62/34/855456234.db2.gz RVKBMCSLRNGPKL-DGCLKSJQSA-N 0 1 292.383 0.110 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)c1cnn[nH]1 ZINC001103179375 855503452 /nfs/dbraw/zinc/50/34/52/855503452.db2.gz MMTFOSJCPJNJDQ-NXEZZACHSA-N 0 1 262.317 0.016 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCC(=O)NCCC ZINC001115132620 855643678 /nfs/dbraw/zinc/64/36/78/855643678.db2.gz MWFWJUBDQQDIJL-VIKVFOODSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COc1ccsc1 ZINC001115128189 855643950 /nfs/dbraw/zinc/64/39/50/855643950.db2.gz HOGWMQJPPSETIB-IMRBUKKESA-N 0 1 276.361 0.807 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COc1ccccc1F ZINC001115240312 855653620 /nfs/dbraw/zinc/65/36/20/855653620.db2.gz WZMLJMWNFOMEQU-ATCWAGBWSA-N 0 1 288.322 0.884 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CNC(=O)CC(C)(C)C ZINC001115259917 855656694 /nfs/dbraw/zinc/65/66/94/855656694.db2.gz YRHSKPWYILWSLV-JYAVWHMHSA-N 0 1 291.395 0.218 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CC)N1CCCC1=O ZINC001115261309 855656769 /nfs/dbraw/zinc/65/67/69/855656769.db2.gz OKRBIDBKGIMZIW-COMQUAJESA-N 0 1 289.379 0.067 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@H](C)Nc2nccnc2C#N)[nH]1 ZINC001115657029 855686334 /nfs/dbraw/zinc/68/63/34/855686334.db2.gz XWRBHPQSLUQMEO-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN Cc1[nH]n(CCN2CCOCC2)c(=O)c1CCC#N ZINC001115860710 855699867 /nfs/dbraw/zinc/69/98/67/855699867.db2.gz ALSSNDYSBJOVOA-GFCCVEGCSA-N 0 1 264.329 0.457 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)c1ccn(-c2ccncc2)n1 ZINC001116795580 855836866 /nfs/dbraw/zinc/83/68/66/855836866.db2.gz KUJBUYQVLAJCMC-CQSZACIVSA-N 0 1 285.307 0.926 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)CCCCC#N ZINC001116837349 855843199 /nfs/dbraw/zinc/84/31/99/855843199.db2.gz QEJNEOWZVPAPFB-UHFFFAOYSA-N 0 1 270.314 0.637 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC[C@@H](C#N)CCC#N)CC2 ZINC001118085611 856155310 /nfs/dbraw/zinc/15/53/10/856155310.db2.gz HXMNZCWNRCFLOM-NEPJUHHUSA-N 0 1 258.329 0.934 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC[C@H](C#N)CCC#N)CC2 ZINC001118085613 856155523 /nfs/dbraw/zinc/15/55/23/856155523.db2.gz HXMNZCWNRCFLOM-RYUDHWBXSA-N 0 1 258.329 0.934 20 30 CCEDMN C=CC[C@H]1NC(=O)N(C2CCN([C@@H]3CCOC3)CC2)C1=O ZINC001118578522 856354813 /nfs/dbraw/zinc/35/48/13/856354813.db2.gz HFEDCPREZIXNDA-CHWSQXEVSA-N 0 1 293.367 0.736 20 30 CCEDMN COCc1nc(CNc2ccc(C#N)c(N)n2)n[nH]1 ZINC001118600732 856361364 /nfs/dbraw/zinc/36/13/64/856361364.db2.gz NTNMYEHGKHMCHD-UHFFFAOYSA-N 0 1 259.273 0.412 20 30 CCEDMN COCc1nnc(CNc2ccc(C#N)c(N)n2)[nH]1 ZINC001118600732 856361367 /nfs/dbraw/zinc/36/13/67/856361367.db2.gz NTNMYEHGKHMCHD-UHFFFAOYSA-N 0 1 259.273 0.412 20 30 CCEDMN C#C[C@H](NC[C@@H]1[C@H](C(=O)OC)C1(F)F)[C@H]1CCCO1 ZINC001119513462 856713282 /nfs/dbraw/zinc/71/32/82/856713282.db2.gz RJOXXIDXGIYMPO-LMLFDSFASA-N 0 1 273.279 0.811 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CCC[N@H+]1C1CC1)C(=O)[O-] ZINC001119582459 856755281 /nfs/dbraw/zinc/75/52/81/856755281.db2.gz XRMMXGVXUUDFJX-QWRGUYRKSA-N 0 1 250.298 0.206 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@H]1CC[N@@H+](CC2CC2)C1)C(C)C ZINC001119764810 856869635 /nfs/dbraw/zinc/86/96/35/856869635.db2.gz LHVVQJSSYPYQCJ-AWEZNQCLSA-N 0 1 291.395 0.457 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC001119961340 856936726 /nfs/dbraw/zinc/93/67/26/856936726.db2.gz CDOLCUAEQZGWCJ-AWEZNQCLSA-N 0 1 294.355 0.420 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC001392928347 912270541 /nfs/dbraw/zinc/27/05/41/912270541.db2.gz MTDZHSHNLQMLJO-LBPRGKRZSA-N 0 1 285.775 0.646 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H](CC)N1CCCC1=O ZINC001323359087 912297041 /nfs/dbraw/zinc/29/70/41/912297041.db2.gz ZERKYRJPEDMKBE-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)[C@@H](C)C2CC2)CC1 ZINC001323410740 912330718 /nfs/dbraw/zinc/33/07/18/912330718.db2.gz BHUYAZMQPXVPAC-NSHDSACASA-N 0 1 277.368 0.020 20 30 CCEDMN CC(C)C#CC(=O)NCC1(NCC(=O)N(C)C2CC2)CC1 ZINC001323437068 912343847 /nfs/dbraw/zinc/34/38/47/912343847.db2.gz CRVTXEAOUNJWGP-UHFFFAOYSA-N 0 1 291.395 0.505 20 30 CCEDMN CNC(=O)[C@@H](C)NC1(CNC(=O)C#CC(C)(C)C)CC1 ZINC001323438035 912344653 /nfs/dbraw/zinc/34/46/53/912344653.db2.gz RBJXHZBKBRVZHB-LLVKDONJSA-N 0 1 279.384 0.409 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)[C@](C)(C=C)CC)CC1 ZINC001323530367 912395951 /nfs/dbraw/zinc/39/59/51/912395951.db2.gz LMDMRZBDUXIVRY-OAHLLOKOSA-N 0 1 291.395 0.577 20 30 CCEDMN Cc1cccnc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001323627756 912454060 /nfs/dbraw/zinc/45/40/60/912454060.db2.gz ZEGIUMMIZZEQAB-UHFFFAOYSA-N 0 1 261.310 0.821 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001323945823 912593326 /nfs/dbraw/zinc/59/33/26/912593326.db2.gz OKEWXZJLSBGISQ-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)Cc2cc[nH]n2)CCO1 ZINC001393579748 912661075 /nfs/dbraw/zinc/66/10/75/912661075.db2.gz KJYQLXZBRRXGLH-GFCCVEGCSA-N 0 1 298.774 0.522 20 30 CCEDMN CNC(=O)CN(C)CCCN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001393616055 912682428 /nfs/dbraw/zinc/68/24/28/912682428.db2.gz XBFAPMQKIWNUIN-UHFFFAOYSA-N 0 1 291.355 0.026 20 30 CCEDMN C=CC[C@@H]1NC(=O)N([C@H]2CCc3nc[nH]c3C2)C1=O ZINC001324236869 912733121 /nfs/dbraw/zinc/73/31/21/912733121.db2.gz ONDGIHKYHXDNTA-WPRPVWTQSA-N 0 1 260.297 0.764 20 30 CCEDMN COCC#CCN1CC[C@](C)(NC(=O)CCCOC)C1 ZINC001324586910 912923570 /nfs/dbraw/zinc/92/35/70/912923570.db2.gz FGVCOUQWTDNSDM-HNNXBMFYSA-N 0 1 282.384 0.643 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C[C@H]2CCCCO2)C1 ZINC001325087398 913205666 /nfs/dbraw/zinc/20/56/66/913205666.db2.gz UUSWUTUQIUVNOU-UKRRQHHQSA-N 0 1 280.368 0.132 20 30 CCEDMN C=C(C)CN1CC(O)(CNC(=O)CCc2cnc[nH]2)C1 ZINC001325225200 913286801 /nfs/dbraw/zinc/28/68/01/913286801.db2.gz UQHPHFQJIYDJRL-UHFFFAOYSA-N 0 1 278.356 0.081 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1ccncn1 ZINC001394751327 913402617 /nfs/dbraw/zinc/40/26/17/913402617.db2.gz HGCPKHVVGJENRJ-SNVBAGLBSA-N 0 1 284.747 0.252 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC001325474439 913422269 /nfs/dbraw/zinc/42/22/69/913422269.db2.gz JXJGELRDCIRYGD-QJPTWQEYSA-N 0 1 295.383 0.045 20 30 CCEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CN(CC(=O)NC)C[C@H]2C1 ZINC001325740204 913553733 /nfs/dbraw/zinc/55/37/33/913553733.db2.gz CHLLYYHMMWRRSV-BETUJISGSA-N 0 1 293.411 0.725 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)N(C)C(=O)Cc1ccn[nH]1 ZINC001395162652 913571853 /nfs/dbraw/zinc/57/18/53/913571853.db2.gz VSEWQKYYLQNYBD-ZJUUUORDSA-N 0 1 277.328 0.075 20 30 CCEDMN C#CCCCC(=O)N1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001348217870 891637122 /nfs/dbraw/zinc/63/71/22/891637122.db2.gz OXCVNGMPNWHPFF-VXGBXAGGSA-N 0 1 293.323 0.126 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ncn(C(C)(C)C)n1 ZINC001480914510 891769125 /nfs/dbraw/zinc/76/91/25/891769125.db2.gz ZYSLBPIUJRPDDQ-UHFFFAOYSA-N 0 1 263.345 0.328 20 30 CCEDMN C=C(Br)CNCCN(C)C(=O)c1ncc[nH]1 ZINC001267370525 891891296 /nfs/dbraw/zinc/89/12/96/891891296.db2.gz PAKCAJJFEAMLBP-UHFFFAOYSA-N 0 1 287.161 0.980 20 30 CCEDMN COCC#CCN(C)CCNC(=O)Cc1c(C)noc1C ZINC001480946491 891923424 /nfs/dbraw/zinc/92/34/24/891923424.db2.gz TWBVDDGGBLVAOS-UHFFFAOYSA-N 0 1 293.367 0.532 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1Cc2cccc(C)c2O1 ZINC001480955886 891954869 /nfs/dbraw/zinc/95/48/69/891954869.db2.gz HCVVBMSJWNKEKD-CQSZACIVSA-N 0 1 272.348 0.980 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)c2ccncn2)C1 ZINC001325861880 913616262 /nfs/dbraw/zinc/61/62/62/913616262.db2.gz VYKITINEJIBOEO-OAHLLOKOSA-N 0 1 288.351 0.057 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1CCc2nccn2C1 ZINC001480984111 892016306 /nfs/dbraw/zinc/01/63/06/892016306.db2.gz UAJPYVLYDJBXBL-CYBMUJFWSA-N 0 1 274.368 0.517 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@H]1CCO[C@H]1C)C1CC1 ZINC001481191234 892289346 /nfs/dbraw/zinc/28/93/46/892289346.db2.gz AGVOGIRPQMCDJH-ZFWWWQNUSA-N 0 1 294.395 0.642 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CCc1scnc1C ZINC001283815266 892435942 /nfs/dbraw/zinc/43/59/42/892435942.db2.gz CKEJDFHJUVXLPI-LBPRGKRZSA-N 0 1 295.408 0.474 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001283858022 892481864 /nfs/dbraw/zinc/48/18/64/892481864.db2.gz HFQNEHXZAYLMKX-ZDUSSCGKSA-N 0 1 288.351 0.473 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC001283863775 892485087 /nfs/dbraw/zinc/48/50/87/892485087.db2.gz CWHHCXUIYIJZRE-GHMZBOCLSA-N 0 1 293.371 0.154 20 30 CCEDMN C=CCOCC(=O)N1C[C@H](NCc2ccn(C)n2)C[C@H]1C ZINC001283966719 892520594 /nfs/dbraw/zinc/52/05/94/892520594.db2.gz VCJHBKKYADYAMW-TZMCWYRMSA-N 0 1 292.383 0.702 20 30 CCEDMN C=C[C@H](COC)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001325984398 913675179 /nfs/dbraw/zinc/67/51/79/913675179.db2.gz ZEVTWFYRYGRBTJ-SNVBAGLBSA-N 0 1 275.312 0.741 20 30 CCEDMN C=C(C)CN1CCN(CCN(C)C(=O)c2cc[nH]n2)CC1 ZINC001481463544 892704269 /nfs/dbraw/zinc/70/42/69/892704269.db2.gz NXJAYABIQHOADI-UHFFFAOYSA-N 0 1 291.399 0.675 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)CC(N)=O)C1 ZINC001481527412 892775019 /nfs/dbraw/zinc/77/50/19/892775019.db2.gz CQWMPSRCJVNKMJ-WDEREUQCSA-N 0 1 253.346 0.265 20 30 CCEDMN COCC#CCN1CC(CNC(=O)[C@H]2CCCCN2C)C1 ZINC001481630418 892931379 /nfs/dbraw/zinc/93/13/79/892931379.db2.gz JIGAQUFVVFSNIA-OAHLLOKOSA-N 0 1 293.411 0.169 20 30 CCEDMN C=CCN1CC(CNC(=O)C(=O)N2CCC[C@@H](C)C2)C1 ZINC001481648572 892966402 /nfs/dbraw/zinc/96/64/02/892966402.db2.gz WCKLYABLKZMGRU-GFCCVEGCSA-N 0 1 279.384 0.479 20 30 CCEDMN C=CCOCCCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@@H](C)O ZINC001481668873 892984003 /nfs/dbraw/zinc/98/40/03/892984003.db2.gz RPPOBTMMWZSHNE-RDBSUJKOSA-N 0 1 282.384 0.635 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1C[C@@H]2C[C@H]1CN2CC ZINC001481677757 892995385 /nfs/dbraw/zinc/99/53/85/892995385.db2.gz AQLVKTHVYKRDJG-MELADBBJSA-N 0 1 279.384 0.762 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CCN(CCN2CCNC2=O)C1 ZINC001481705275 893054526 /nfs/dbraw/zinc/05/45/26/893054526.db2.gz PZUOTZGWWZJBEB-CYBMUJFWSA-N 0 1 294.399 0.558 20 30 CCEDMN CC[C@H](CNC(=O)C#CC(C)C)NCc1cnnn1CC ZINC001481809973 893175226 /nfs/dbraw/zinc/17/52/26/893175226.db2.gz OBRWUBNKJDYHLR-CYBMUJFWSA-N 0 1 291.399 0.942 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC1(CNCC#N)CCCC1 ZINC001481901232 893249850 /nfs/dbraw/zinc/24/98/50/893249850.db2.gz CLNIRCMHXYKEBN-GFCCVEGCSA-N 0 1 264.373 0.623 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)C[C@@H](O)CNCc1nccn1C ZINC001284436508 893559420 /nfs/dbraw/zinc/55/94/20/893559420.db2.gz STFBUGUERJNDQY-LBPRGKRZSA-N 0 1 294.399 0.541 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)Cc1occc1C ZINC001284509538 893590529 /nfs/dbraw/zinc/59/05/29/893590529.db2.gz NXCUWUNEKGMELD-AWEZNQCLSA-N 0 1 292.379 0.905 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cccn1CC ZINC001284519730 893600707 /nfs/dbraw/zinc/60/07/07/893600707.db2.gz LGHDMNQNSWXNFH-ZDUSSCGKSA-N 0 1 277.368 0.506 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)C#Cc1ccccn1)C2 ZINC001270346363 893757543 /nfs/dbraw/zinc/75/75/43/893757543.db2.gz IRFNSECKXITSOH-OCCSQVGLSA-N 0 1 255.321 0.596 20 30 CCEDMN CC(C)C[C@H](CNCC#N)NC(=O)CCc1c[nH]nn1 ZINC001482393613 893952909 /nfs/dbraw/zinc/95/29/09/893952909.db2.gz QGSRGOMUDLNKBQ-GFCCVEGCSA-N 0 1 278.360 0.381 20 30 CCEDMN CC(C)C[C@H](CNCC#N)NC(=O)CCc1cnn[nH]1 ZINC001482393613 893952913 /nfs/dbraw/zinc/95/29/13/893952913.db2.gz QGSRGOMUDLNKBQ-GFCCVEGCSA-N 0 1 278.360 0.381 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001285783810 894099844 /nfs/dbraw/zinc/09/98/44/894099844.db2.gz IVEJGATZSIBSFX-JTQLQIEISA-N 0 1 279.344 0.324 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](C)[C@H]1CCCO1 ZINC001482627879 894426275 /nfs/dbraw/zinc/42/62/75/894426275.db2.gz VRPRKFQYYFPYLJ-CHWSQXEVSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CCNCc1ncnn1C ZINC001482829034 894632472 /nfs/dbraw/zinc/63/24/72/894632472.db2.gz WBIJOEHQNACPNL-LBPRGKRZSA-N 0 1 277.372 0.603 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](C)CCNCc1nncn1C ZINC001482828750 894633446 /nfs/dbraw/zinc/63/34/46/894633446.db2.gz SCYHXVOAGLWUTI-FZMZJTMJSA-N 0 1 295.387 0.127 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CN[C@H]1CCNC1=O ZINC001483043822 894829716 /nfs/dbraw/zinc/82/97/16/894829716.db2.gz XNIGETXMHWTDIC-FJOGCWAESA-N 0 1 279.384 0.739 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H](C)CNCc1conc1CC ZINC001483127916 894975130 /nfs/dbraw/zinc/97/51/30/894975130.db2.gz ZXXAUGAWCHHUMA-VXGBXAGGSA-N 0 1 293.367 0.870 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CN(C)Cc1n[nH]c(C2CC2)n1 ZINC001483320684 895447490 /nfs/dbraw/zinc/44/74/90/895447490.db2.gz XGCPIYFPRHVTSS-ZJUUUORDSA-N 0 1 290.371 0.778 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc(OCC)n[nH]1 ZINC001483350924 895489193 /nfs/dbraw/zinc/48/91/93/895489193.db2.gz BCLIYOWJYVDKNK-LLVKDONJSA-N 0 1 278.356 0.882 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccn(CC(F)F)n1 ZINC001483350741 895489981 /nfs/dbraw/zinc/48/99/81/895489981.db2.gz HPXLPSJOPQNSOG-SNVBAGLBSA-N 0 1 284.310 0.832 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H]2CC(C)(C)CO2)[C@@H](O)C1 ZINC001083634227 895491618 /nfs/dbraw/zinc/49/16/18/895491618.db2.gz HNTUQQFSNPLQPS-MJBXVCDLSA-N 0 1 294.395 0.376 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1cnc(C)n1C ZINC001483376531 895514328 /nfs/dbraw/zinc/51/43/28/895514328.db2.gz BRHDNCGGUGBFBP-LBPRGKRZSA-N 0 1 292.383 0.428 20 30 CCEDMN C=CCCN(C)C[C@H](C)NC(=O)C(C)(C)S(C)(=O)=O ZINC001483391537 895533212 /nfs/dbraw/zinc/53/32/12/895533212.db2.gz VBWHWQCNFZXFGM-NSHDSACASA-N 0 1 290.429 0.822 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)C1CCC(O)CC1 ZINC001483646208 895792158 /nfs/dbraw/zinc/79/21/58/895792158.db2.gz XYOFAMLEWPWWHI-SLTAFYQDSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001483672255 895832338 /nfs/dbraw/zinc/83/23/38/895832338.db2.gz RFQSCSLETLJRCN-STQMWFEESA-N 0 1 295.383 0.000 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2coc(C(N)=O)c2)CC1 ZINC001483755604 896009489 /nfs/dbraw/zinc/00/94/89/896009489.db2.gz XMQJBZHCNMNEHA-UHFFFAOYSA-N 0 1 297.742 0.983 20 30 CCEDMN CCn1ncc(CN[C@H]2C[C@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001397108710 914044476 /nfs/dbraw/zinc/04/44/76/914044476.db2.gz KNYYPGYEKKVIPP-SRVKXCTJSA-N 0 1 290.371 0.442 20 30 CCEDMN C#CC[N@@H+]1CC[C@](O)(CNC(=O)[C@H]2CCC2(F)F)C1 ZINC001484223147 896255291 /nfs/dbraw/zinc/25/52/91/896255291.db2.gz GKRQBLJSAGHBQW-PWSUYJOCSA-N 0 1 272.295 0.218 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H]2CCC2(F)F)C1 ZINC001484223147 896255311 /nfs/dbraw/zinc/25/53/11/896255311.db2.gz GKRQBLJSAGHBQW-PWSUYJOCSA-N 0 1 272.295 0.218 20 30 CCEDMN Cc1nnc([C@@H](C)N[C@H]2C[C@H](CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001397112928 914048930 /nfs/dbraw/zinc/04/89/30/914048930.db2.gz SLGVFBRBHUGXOR-QCMRWSPLSA-N 0 1 290.371 0.818 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](O)(CNC(=O)C2(C)CCC2)C1 ZINC001484229506 896262194 /nfs/dbraw/zinc/26/21/94/896262194.db2.gz BFNUBODKGBREQF-CQSZACIVSA-N 0 1 252.358 0.916 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001484252209 896290437 /nfs/dbraw/zinc/29/04/37/896290437.db2.gz UBGYXYSMYSVJAS-INIZCTEOSA-N 0 1 298.346 0.363 20 30 CCEDMN CC(C)C[C@H](C)CN1CC(O)(CNC(=O)[C@@H](C)C#N)C1 ZINC001484264662 896294539 /nfs/dbraw/zinc/29/45/39/896294539.db2.gz QVXNNXNHYHIPDC-STQMWFEESA-N 0 1 281.400 0.991 20 30 CCEDMN C#CC1(O)CCN(C(=O)NCc2n[nH]c(CC)n2)CC1 ZINC001520974947 896333647 /nfs/dbraw/zinc/33/36/47/896333647.db2.gz AADGAHQZWHTKED-UHFFFAOYSA-N 0 1 277.328 0.037 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(CC(N)=O)C2 ZINC001484317227 896337634 /nfs/dbraw/zinc/33/76/34/896337634.db2.gz MBHMSQQFOMVLSC-SRVKXCTJSA-N 0 1 265.357 0.407 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCCN(CC(=O)NC)CC1 ZINC001484713663 896556176 /nfs/dbraw/zinc/55/61/76/896556176.db2.gz HMLDTRXZXDUFNK-ZDUSSCGKSA-N 0 1 279.384 0.507 20 30 CCEDMN C=CCCC(=O)N(C)CCCN(C)[C@@H](C)C(=O)NC(N)=O ZINC001484735197 896578770 /nfs/dbraw/zinc/57/87/70/896578770.db2.gz PIDWLYFHOCTYLJ-NSHDSACASA-N 0 1 298.387 0.316 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCCN(C)CC#N ZINC001484753244 896589468 /nfs/dbraw/zinc/58/94/68/896589468.db2.gz QKPOXMQYRGPLBR-UHFFFAOYSA-N 0 1 250.306 0.031 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)CCCF)CC1 ZINC001485021668 896715946 /nfs/dbraw/zinc/71/59/46/896715946.db2.gz FWXOEVRLWXPTSK-UHFFFAOYSA-N 0 1 258.337 0.865 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2ccc(C)nn2)CC1 ZINC001485018881 896720436 /nfs/dbraw/zinc/72/04/36/896720436.db2.gz YQWOPSAMVVNJCY-UHFFFAOYSA-N 0 1 290.367 0.528 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001485063424 896752102 /nfs/dbraw/zinc/75/21/02/896752102.db2.gz OCPFQLPAMQDYLO-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001397203630 914096636 /nfs/dbraw/zinc/09/66/36/914096636.db2.gz GBJAFWFRUWREQD-YUSALJHKSA-N 0 1 289.339 0.265 20 30 CCEDMN C[C@H](NCC#N)[C@H](C)NC(=O)c1[nH]nc2c1CCC2 ZINC001485169976 896822538 /nfs/dbraw/zinc/82/25/38/896822538.db2.gz QXBNOQQTMOKQRC-IUCAKERBSA-N 0 1 261.329 0.518 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)COCc1nccn1C ZINC001485313931 896941962 /nfs/dbraw/zinc/94/19/62/896941962.db2.gz UJZBAAAADAWTQU-LBPRGKRZSA-N 0 1 278.356 0.006 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)Cn1ncc2cccnc21 ZINC001485328688 896963566 /nfs/dbraw/zinc/96/35/66/896963566.db2.gz FWMOYZHMTCQRBD-LBPRGKRZSA-N 0 1 285.351 0.501 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CC2OCCCO2)C1 ZINC001485505701 897089437 /nfs/dbraw/zinc/08/94/37/897089437.db2.gz RZDQWAGVHPUZND-LBPRGKRZSA-N 0 1 280.368 0.599 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H](C)C1CN(CC=C)C1 ZINC001485503924 897089466 /nfs/dbraw/zinc/08/94/66/897089466.db2.gz PMFHKGBBOSKYAC-VXGBXAGGSA-N 0 1 250.342 0.647 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CN(C)C(=O)C2CC2)C1 ZINC001485505202 897089996 /nfs/dbraw/zinc/08/99/96/897089996.db2.gz DZGJMEFVKDGYPM-LBPRGKRZSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)CC2OCCCO2)C1 ZINC001485506519 897094819 /nfs/dbraw/zinc/09/48/19/897094819.db2.gz WKFINMVUBLMWTR-NSHDSACASA-N 0 1 268.357 0.762 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@@H](C)C1CN(CCO)C1 ZINC001485534235 897112814 /nfs/dbraw/zinc/11/28/14/897112814.db2.gz XTIHARLZYFQBOK-ZDUSSCGKSA-N 0 1 286.375 0.639 20 30 CCEDMN CC1(C)C[N@@H+](CCO)C[C@@H]1NC(=O)C#CC1CC1 ZINC001485568444 897119215 /nfs/dbraw/zinc/11/92/15/897119215.db2.gz ADKDZHMILTZBEQ-LBPRGKRZSA-N 0 1 250.342 0.219 20 30 CCEDMN CC1(C)CN(CCO)C[C@@H]1NC(=O)C#CC1CC1 ZINC001485568444 897119224 /nfs/dbraw/zinc/11/92/24/897119224.db2.gz ADKDZHMILTZBEQ-LBPRGKRZSA-N 0 1 250.342 0.219 20 30 CCEDMN O=C(C#CC1CC1)NC[C@@H]1[C@H]2CN(CCF)C[C@H]21 ZINC001485599857 897139660 /nfs/dbraw/zinc/13/96/60/897139660.db2.gz OUJQSHUGYRMOHS-XYYAHUGASA-N 0 1 250.317 0.663 20 30 CCEDMN COCCCCN1CCO[C@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107821371 897325582 /nfs/dbraw/zinc/32/55/82/897325582.db2.gz NYGSQFJLAAXGGD-UKRRQHHQSA-N 0 1 297.399 0.780 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2Cc3ccccc3C2)C1 ZINC001077725175 897345015 /nfs/dbraw/zinc/34/50/15/897345015.db2.gz OFNAUQVBUKPFME-IAGOWNOFSA-N 0 1 298.386 0.586 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)c1ccncc1 ZINC001127901740 897431544 /nfs/dbraw/zinc/43/15/44/897431544.db2.gz CUEVLKVDVKTUHC-UHFFFAOYSA-N 0 1 296.758 0.270 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)COc1cnn(C)c1 ZINC001032589855 897787108 /nfs/dbraw/zinc/78/71/08/897787108.db2.gz ACJNSQYNGFHHGJ-STQMWFEESA-N 0 1 288.351 0.107 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)N1C[C@@H]2C[C@H]1CN2CC#N ZINC001032593083 897792176 /nfs/dbraw/zinc/79/21/76/897792176.db2.gz ZZIVJBJXXAYGPO-STQMWFEESA-N 0 1 288.351 0.763 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@H]2OC)CCC1 ZINC001212393133 897824142 /nfs/dbraw/zinc/82/41/42/897824142.db2.gz DYVMUMBHPRJVJC-BFHYXJOUSA-N 0 1 294.395 0.376 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)nc(C)n2)C1 ZINC001077832522 897890580 /nfs/dbraw/zinc/89/05/80/897890580.db2.gz QYERIKHSGMIZAX-ZIAGYGMSSA-N 0 1 290.367 0.444 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnsn1 ZINC001049343204 897971661 /nfs/dbraw/zinc/97/16/61/897971661.db2.gz YSGWCMBGHNSAQA-NWDGAFQWSA-N 0 1 276.365 0.850 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2nc(C)oc2C)C1 ZINC001077890961 897999307 /nfs/dbraw/zinc/99/93/07/897999307.db2.gz NSKATTXLBCXMPS-VXGBXAGGSA-N 0 1 279.340 0.642 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@]2(C)CCOC2)C1 ZINC001077899465 898010705 /nfs/dbraw/zinc/01/07/05/898010705.db2.gz HMBBGQQHOSTUOS-BZPMIXESSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(CCC)on2)C1 ZINC001077921592 898055167 /nfs/dbraw/zinc/05/51/67/898055167.db2.gz DPVNADNIRQDDIZ-ZIAGYGMSSA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC001077981982 898141403 /nfs/dbraw/zinc/14/14/03/898141403.db2.gz GSDUAVDRWYYXHS-ZIAGYGMSSA-N 0 1 292.383 0.183 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1COC(=O)N1 ZINC001485870224 898478955 /nfs/dbraw/zinc/47/89/55/898478955.db2.gz DZMXNZWKFMKUEZ-RKDXNWHRSA-N 0 1 275.736 0.284 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H](C)NCC#N)CC1 ZINC001485960747 898560677 /nfs/dbraw/zinc/56/06/77/898560677.db2.gz LGBWBDTUEBYZIG-CYBMUJFWSA-N 0 1 276.384 0.292 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(OC)cc1C ZINC001486030011 898617842 /nfs/dbraw/zinc/61/78/42/898617842.db2.gz GGEIWQGCNSAZEB-ZDUSSCGKSA-N 0 1 290.363 0.707 20 30 CCEDMN CC(=O)N(C)C[C@@H](O)CNCc1cc(C#N)ccc1F ZINC001486255101 898726401 /nfs/dbraw/zinc/72/64/01/898726401.db2.gz DIHXGYCIIQMLGZ-ZDUSSCGKSA-N 0 1 279.315 0.626 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cn[nH]c1 ZINC001486268168 898752545 /nfs/dbraw/zinc/75/25/45/898752545.db2.gz VNRUDDAICMUEMQ-JTQLQIEISA-N 0 1 272.736 0.185 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccc(C)o1 ZINC001486323379 898785100 /nfs/dbraw/zinc/78/51/00/898785100.db2.gz CGZFNFXNWBCVDP-GFCCVEGCSA-N 0 1 264.325 0.586 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)CCCOC ZINC001486325985 898788842 /nfs/dbraw/zinc/78/88/42/898788842.db2.gz HDICROOPDJGIAZ-CYBMUJFWSA-N 0 1 270.373 0.187 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)CC(C)C ZINC001486325549 898789240 /nfs/dbraw/zinc/78/92/40/898789240.db2.gz XUBRFCNODJLEBP-ZDUSSCGKSA-N 0 1 254.374 0.807 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H](C)C(F)(F)F ZINC001486344961 898820759 /nfs/dbraw/zinc/82/07/59/898820759.db2.gz MOJQFBZNVSRNBM-NXEZZACHSA-N 0 1 280.290 0.569 20 30 CCEDMN CN(CC#N)C[C@@H]1CCN(C(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001494172066 898929955 /nfs/dbraw/zinc/92/99/55/898929955.db2.gz MVPBXIPOAFGQJL-LBPRGKRZSA-N 0 1 289.383 0.991 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cccn1C ZINC001410985820 899649842 /nfs/dbraw/zinc/64/98/42/899649842.db2.gz NKEYUEMNYWHJRA-NSHDSACASA-N 0 1 285.775 0.800 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CCn2ccnc2)C1 ZINC001494849640 899882156 /nfs/dbraw/zinc/88/21/56/899882156.db2.gz WLDAKMOGUOZYJW-CQSZACIVSA-N 0 1 274.368 0.829 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N(C)C[C@H]1CCN1CC#N ZINC001488688656 900342870 /nfs/dbraw/zinc/34/28/70/900342870.db2.gz ITEMOGAAKIWJLL-ZIAGYGMSSA-N 0 1 294.399 0.011 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2conc2C)C1 ZINC001489171529 900439320 /nfs/dbraw/zinc/43/93/20/900439320.db2.gz ZASPYUYBBKDCIF-ZDUSSCGKSA-N 0 1 291.351 0.515 20 30 CCEDMN CN(CCF)CCCNC(=O)c1ccc(C#N)[nH]1 ZINC001490179402 900561171 /nfs/dbraw/zinc/56/11/71/900561171.db2.gz RBWFJFZNFBNJGK-UHFFFAOYSA-N 0 1 252.293 0.908 20 30 CCEDMN CN(CCCNC(=O)C(C)(C)C1CC1)CC(=O)NCC#N ZINC001490236942 900572453 /nfs/dbraw/zinc/57/24/53/900572453.db2.gz LCJVKBRGSDJMBP-UHFFFAOYSA-N 0 1 294.399 0.500 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C(C)(C)C ZINC001490271266 900583248 /nfs/dbraw/zinc/58/32/48/900583248.db2.gz RVSOSKVIYROZML-GFCCVEGCSA-N 0 1 281.400 0.609 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2ncccn2)C1 ZINC001490452841 900623915 /nfs/dbraw/zinc/62/39/15/900623915.db2.gz NGOKRRACCOWDBH-CYBMUJFWSA-N 0 1 290.367 0.873 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CC2(O)CCC2)C1 ZINC001490436596 900630003 /nfs/dbraw/zinc/63/00/03/900630003.db2.gz JPQSPXDZOUMCLT-ZDUSSCGKSA-N 0 1 264.369 0.895 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](CN(C)CC(=O)N(C)C)C1 ZINC001490750908 900715854 /nfs/dbraw/zinc/71/58/54/900715854.db2.gz BYOZTSCWMLMICI-OLZOCXBDSA-N 0 1 294.399 0.405 20 30 CCEDMN CCC[C@H](NC(=O)c1cc(C#N)ccn1)c1nn[nH]n1 ZINC001411855568 901291235 /nfs/dbraw/zinc/29/12/35/901291235.db2.gz HWEOJOJNZNWUOE-VIFPVBQESA-N 0 1 271.284 0.738 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cn1ccc2ccccc21 ZINC001327449531 914547028 /nfs/dbraw/zinc/54/70/28/914547028.db2.gz QBEBBCFSVSJVIH-QWHCGFSZSA-N 0 1 268.320 0.869 20 30 CCEDMN Cn1nccc1[C@@H]1c2[nH]cnc2CCN1C(=O)CC#N ZINC001412287371 901621655 /nfs/dbraw/zinc/62/16/55/901621655.db2.gz BYPHFZXLQDBGAZ-CYBMUJFWSA-N 0 1 270.296 0.531 20 30 CCEDMN CN(C[C@H](O)c1cccc(C#N)c1)C(=O)CCc1c[nH]nn1 ZINC001412323901 901640503 /nfs/dbraw/zinc/64/05/03/901640503.db2.gz ABZCFLNZCZITLJ-AWEZNQCLSA-N 0 1 299.334 0.801 20 30 CCEDMN CN(C[C@H](O)c1cccc(C#N)c1)C(=O)CCc1cnn[nH]1 ZINC001412323901 901640513 /nfs/dbraw/zinc/64/05/13/901640513.db2.gz ABZCFLNZCZITLJ-AWEZNQCLSA-N 0 1 299.334 0.801 20 30 CCEDMN COCc1nc(CNC(=O)c2ccsc2C#N)n[nH]1 ZINC001412324950 901641213 /nfs/dbraw/zinc/64/12/13/901641213.db2.gz LUTQVSRQAWUBJF-UHFFFAOYSA-N 0 1 277.309 0.814 20 30 CCEDMN COCc1nnc(CNC(=O)c2ccsc2C#N)[nH]1 ZINC001412324950 901641223 /nfs/dbraw/zinc/64/12/23/901641223.db2.gz LUTQVSRQAWUBJF-UHFFFAOYSA-N 0 1 277.309 0.814 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001412603145 901863082 /nfs/dbraw/zinc/86/30/82/901863082.db2.gz BBSHFWBHVFIXTH-JTQLQIEISA-N 0 1 299.338 0.505 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC001327521565 914589076 /nfs/dbraw/zinc/58/90/76/914589076.db2.gz UPYVGRGZQJVOBP-CYBMUJFWSA-N 0 1 279.384 0.363 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)NCCn1cnc(C#N)n1 ZINC001412938199 902377180 /nfs/dbraw/zinc/37/71/80/902377180.db2.gz SAPUBSRRSGETBM-RNCFNFMXSA-N 0 1 299.338 0.355 20 30 CCEDMN COC(=O)[C@@H](CF)NS(=O)(=O)CC1(CC#N)CC1 ZINC001413348415 902895246 /nfs/dbraw/zinc/89/52/46/902895246.db2.gz ATOVVFWHEYIXGF-MRVPVSSYSA-N 0 1 278.305 0.111 20 30 CCEDMN Cc1nc(CNC(=O)COc2cccc(C#N)c2)n[nH]1 ZINC001413768732 903252568 /nfs/dbraw/zinc/25/25/68/903252568.db2.gz CTJAMUFORHEKEO-UHFFFAOYSA-N 0 1 271.280 0.680 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1C[C@@H](NCc2nccn2C)C1 ZINC001491017887 903294992 /nfs/dbraw/zinc/29/49/92/903294992.db2.gz XWZRLIGSPDEZRP-BETUJISGSA-N 0 1 290.367 0.197 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)C1(C(=O)NC)CCC1 ZINC001491229248 903455983 /nfs/dbraw/zinc/45/59/83/903455983.db2.gz FFAZIJFJQRBYAH-CYBMUJFWSA-N 0 1 291.395 0.507 20 30 CCEDMN C=C(Br)CN[C@H](C)CNC(=O)COC ZINC001491371309 903512457 /nfs/dbraw/zinc/51/24/57/903512457.db2.gz DNSVHHNHFZBMRU-MRVPVSSYSA-N 0 1 265.151 0.636 20 30 CCEDMN COc1ccnc(CN[C@@H](C)CNC(=O)[C@@H](C)C#N)c1 ZINC001491413184 903562341 /nfs/dbraw/zinc/56/23/41/903562341.db2.gz HCQZJIWHCPMTMJ-QWRGUYRKSA-N 0 1 276.340 0.844 20 30 CCEDMN Cc1nccnc1CN[C@@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001491434865 903585698 /nfs/dbraw/zinc/58/56/98/903585698.db2.gz JYJJYDZHKKOILP-JTQLQIEISA-N 0 1 298.350 0.893 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCNC(=O)c1[nH]ncc1F ZINC001491499910 903623723 /nfs/dbraw/zinc/62/37/23/903623723.db2.gz JFUMQDNHNNAJDQ-UHFFFAOYSA-N 0 1 282.319 0.997 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CCn2nncc2C1 ZINC001156884578 903764453 /nfs/dbraw/zinc/76/44/53/903764453.db2.gz NFBZHOALAAHNKY-UHFFFAOYSA-N 0 1 293.290 0.682 20 30 CCEDMN Cc1cc(O)c([N+](=O)[O-])c(O[C@@H]2CCN(CC#N)C2)n1 ZINC001231265179 903935168 /nfs/dbraw/zinc/93/51/68/903935168.db2.gz ZSVUYIMORNMZNQ-SECBINFHSA-N 0 1 278.268 0.981 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001491560439 903956909 /nfs/dbraw/zinc/95/69/09/903956909.db2.gz SGATZAIEDSWLEY-GXFFZTMASA-N 0 1 287.791 0.750 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H](C)[C@H]2CCCO2)CC1 ZINC001281817263 903980673 /nfs/dbraw/zinc/98/06/73/903980673.db2.gz QQNSOKOSDNVUGV-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCC(=O)N(C)C[C@H](C)NC(=O)CCc1c[nH]nn1 ZINC001280983154 904190143 /nfs/dbraw/zinc/19/01/43/904190143.db2.gz FNZNHDRKHKEYSH-NSHDSACASA-N 0 1 293.371 0.667 20 30 CCEDMN C=CCCC(=O)N(C)C[C@H](C)NC(=O)CCc1cnn[nH]1 ZINC001280983154 904190145 /nfs/dbraw/zinc/19/01/45/904190145.db2.gz FNZNHDRKHKEYSH-NSHDSACASA-N 0 1 293.371 0.667 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CN(C(=O)c2cccc3c[nH]nc32)C1 ZINC001398697734 914790836 /nfs/dbraw/zinc/79/08/36/914790836.db2.gz UHDSNFFXNBCRAI-SECBINFHSA-N 0 1 297.318 0.663 20 30 CCEDMN C=CCCC(=O)N1C[C@H]([NH2+]Cc2nc(=O)n(C)[n-]2)[C@@H](C)C1 ZINC001327826069 914791940 /nfs/dbraw/zinc/79/19/40/914791940.db2.gz BMBFKBLVIYFOHE-QWRGUYRKSA-N 0 1 293.371 0.011 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)COCCOCC)C(C)(C)C1 ZINC001281567922 904306027 /nfs/dbraw/zinc/30/60/27/904306027.db2.gz RHVQJLDKUZYZBF-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](NC(C)=O)C[C@H]1C ZINC001281645453 904319164 /nfs/dbraw/zinc/31/91/64/904319164.db2.gz XOVDJPGHORYEJV-ZYHUDNBSSA-N 0 1 253.346 0.278 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)C(CC)CC)CC1 ZINC001281798687 904348939 /nfs/dbraw/zinc/34/89/39/904348939.db2.gz HSVYWZHPCUMKSY-UHFFFAOYSA-N 0 1 266.385 0.999 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cc[n+]([O-])cc2)CC1 ZINC001281798385 904350018 /nfs/dbraw/zinc/35/00/18/904350018.db2.gz BMTXYESJYKAYDW-UHFFFAOYSA-N 0 1 291.351 0.063 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CC(=O)NCC ZINC001281900796 904363717 /nfs/dbraw/zinc/36/37/17/904363717.db2.gz CTUJYIFDSPYJCZ-RHSMWYFYSA-N 0 1 299.374 0.518 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@H]1CN(C)CC#CCOC ZINC001281916348 904367164 /nfs/dbraw/zinc/36/71/64/904367164.db2.gz JPUBZNTYRTUBEN-HNNXBMFYSA-N 0 1 294.395 0.762 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccnc(OC)n1 ZINC001281951363 904370741 /nfs/dbraw/zinc/37/07/41/904370741.db2.gz ZCBKXEMQQZGLDN-GFCCVEGCSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccnc(OC)n1 ZINC001281951364 904371455 /nfs/dbraw/zinc/37/14/55/904371455.db2.gz ZCBKXEMQQZGLDN-LBPRGKRZSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@]1(F)CCOC1 ZINC001281951117 904374603 /nfs/dbraw/zinc/37/46/03/904374603.db2.gz DWWFSMPWHLDGNK-GXTWGEPZSA-N 0 1 268.332 0.671 20 30 CCEDMN O=C(C#CC1CC1)NC[C@H]1C[C@@H](NCc2cnns2)C1 ZINC001316616160 904379087 /nfs/dbraw/zinc/37/90/87/904379087.db2.gz SGCNMYOMDZAEJE-TXEJJXNPSA-N 0 1 290.392 0.936 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC1CC(CNCc2cnon2)C1 ZINC001282001963 904384701 /nfs/dbraw/zinc/38/47/01/904384701.db2.gz BJUZVCXHPDFTNN-UNXYVOJBSA-N 0 1 292.339 0.092 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)N(C)[C@H](C)C(=O)NC(=O)NC ZINC001282363615 904458150 /nfs/dbraw/zinc/45/81/50/904458150.db2.gz CQUQYCGZSGEOQD-GHMZBOCLSA-N 0 1 298.387 0.233 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CO[C@@H]2CCOC2)C(C)(C)C1 ZINC001282801191 904540404 /nfs/dbraw/zinc/54/04/04/904540404.db2.gz SHWUHJLYKSTIGP-OLZOCXBDSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@@H](C)OC)C(C)(C)C1 ZINC001282790902 904542192 /nfs/dbraw/zinc/54/21/92/904542192.db2.gz KIUXIKWBULGHQT-NEPJUHHUSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@H](C)OC)C(C)(C)C1 ZINC001282790904 904542739 /nfs/dbraw/zinc/54/27/39/904542739.db2.gz KIUXIKWBULGHQT-NWDGAFQWSA-N 0 1 252.358 0.871 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CCO)CC1(C)C ZINC001282801671 904544527 /nfs/dbraw/zinc/54/45/27/904544527.db2.gz VTSNNOUBKLKCFZ-GFCCVEGCSA-N 0 1 252.358 0.465 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COc2cc(C)on2)C(C)(C)C1 ZINC001282812091 904546507 /nfs/dbraw/zinc/54/65/07/904546507.db2.gz SCILBIZAOKFLQZ-LBPRGKRZSA-N 0 1 291.351 0.822 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H]1[C@H]2CN(CCF)C[C@H]21 ZINC001282858001 904556387 /nfs/dbraw/zinc/55/63/87/904556387.db2.gz GDVQYQZYWKFHRE-XYYAHUGASA-N 0 1 268.332 0.290 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CC[C@H]2C[N@H+](CC(N)=O)C[C@H]2C1 ZINC001282938968 904619967 /nfs/dbraw/zinc/61/99/67/904619967.db2.gz LTJWYICXJDTUOC-YNEHKIRRSA-N 0 1 279.384 0.655 20 30 CCEDMN C#CCCCC(=O)NCCNC(=O)c1ccc2cncn2c1 ZINC001282987900 904654541 /nfs/dbraw/zinc/65/45/41/904654541.db2.gz DRSMAODPQJBQLU-UHFFFAOYSA-N 0 1 298.346 0.984 20 30 CCEDMN CC(C)(C(=O)NCCNC(=O)C#CC1CC1)c1cnc[nH]1 ZINC001283003637 904667247 /nfs/dbraw/zinc/66/72/47/904667247.db2.gz YUVJZZGDUIORAT-UHFFFAOYSA-N 0 1 288.351 0.333 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)Cc1ccoc1 ZINC001283375118 904830499 /nfs/dbraw/zinc/83/04/99/904830499.db2.gz OXGVYQJSXWBLAH-UHFFFAOYSA-N 0 1 278.352 0.910 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCCN1CCN1CCCC1=O ZINC001377389434 904836047 /nfs/dbraw/zinc/83/60/47/904836047.db2.gz TWORZCVFDXNLHG-QWHCGFSZSA-N 0 1 292.383 0.349 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)C1=CCCCCC1 ZINC001283744771 904990836 /nfs/dbraw/zinc/99/08/36/904990836.db2.gz NNZSSIDRTOOAFH-OAHLLOKOSA-N 0 1 294.395 0.593 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1nsc2ccccc21 ZINC001283760397 905002830 /nfs/dbraw/zinc/00/28/30/905002830.db2.gz WBAAPXNDEFEJRA-JTQLQIEISA-N 0 1 289.360 0.610 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CCc1ccc(F)cc1 ZINC001283771107 905005860 /nfs/dbraw/zinc/00/58/60/905005860.db2.gz OAJAFAAXNZOMKS-OAHLLOKOSA-N 0 1 292.354 0.848 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](C)Cc1ccccc1 ZINC001283831549 905044080 /nfs/dbraw/zinc/04/40/80/905044080.db2.gz LONVVGKMINBMFF-DZGCQCFKSA-N 0 1 274.364 0.565 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001283830728 905044171 /nfs/dbraw/zinc/04/41/71/905044171.db2.gz FSCZGAGSVNYAFM-ARSDKDGVSA-N 0 1 276.380 0.369 20 30 CCEDMN C#CCCCC(=O)N[C@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001283946518 905090335 /nfs/dbraw/zinc/09/03/35/905090335.db2.gz IMHNUHVCFNUKTF-LLVKDONJSA-N 0 1 289.339 0.233 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)NC(=O)c1[nH]ncc1F ZINC001284210507 905195897 /nfs/dbraw/zinc/19/58/97/905195897.db2.gz YLPXHHLCQMJVHS-MRVPVSSYSA-N 0 1 268.292 0.750 20 30 CCEDMN C=CCCCC(=O)NC[C@@H](C)NC(=O)Cc1n[nH]c(C)n1 ZINC001284317938 905249708 /nfs/dbraw/zinc/24/97/08/905249708.db2.gz UAXBVLBDTNUXLQ-SNVBAGLBSA-N 0 1 293.371 0.633 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cnc(C2CC2)o1 ZINC001284502359 905335855 /nfs/dbraw/zinc/33/58/55/905335855.db2.gz NXHKORIKTKOSAI-GFCCVEGCSA-N 0 1 291.351 0.550 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C(C)(C)c1cnc[nH]1 ZINC001284517669 905351176 /nfs/dbraw/zinc/35/11/76/905351176.db2.gz YEXNCRJNXKBBPG-LBPRGKRZSA-N 0 1 292.383 0.072 20 30 CCEDMN C=CCCC(=O)NCC1=CCN([C@@H]2CCN(C)C2=O)CC1 ZINC001284890153 905466558 /nfs/dbraw/zinc/46/65/58/905466558.db2.gz QLAOGGCTXGBJJY-CQSZACIVSA-N 0 1 291.395 0.932 20 30 CCEDMN CC#CCCCC(=O)NC1CN(C(=O)c2cnc(C)[nH]2)C1 ZINC001284934438 905494202 /nfs/dbraw/zinc/49/42/02/905494202.db2.gz ZFARAUXIEOGEGT-UHFFFAOYSA-N 0 1 288.351 0.852 20 30 CCEDMN C#CCCCC(=O)NCC1CC(NC(=O)c2ncn[nH]2)C1 ZINC001285240570 905580452 /nfs/dbraw/zinc/58/04/52/905580452.db2.gz LWONLBZRSURMBP-UHFFFAOYSA-N 0 1 289.339 0.233 20 30 CCEDMN C#CCCCC(=O)NCC1CC(NC(=O)c2nc[nH]n2)C1 ZINC001285240570 905580466 /nfs/dbraw/zinc/58/04/66/905580466.db2.gz LWONLBZRSURMBP-UHFFFAOYSA-N 0 1 289.339 0.233 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](CNC(=O)c1cnn[nH]1)C(C)C ZINC001285327861 905596301 /nfs/dbraw/zinc/59/63/01/905596301.db2.gz VPFZJNUPYPSHTC-SNVBAGLBSA-N 0 1 293.371 0.888 20 30 CCEDMN C=CCOCC(=O)N(C)CCN(C)C(=O)c1cc(C)[nH]n1 ZINC001285354070 905606439 /nfs/dbraw/zinc/60/64/39/905606439.db2.gz MVHLEJVJGQQMGI-UHFFFAOYSA-N 0 1 294.355 0.451 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(C(F)F)nc1 ZINC001332928365 905818470 /nfs/dbraw/zinc/81/84/70/905818470.db2.gz HOJIZWQBAJYWMP-WCBMZHEXSA-N 0 1 266.251 0.861 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)CN(C)C(=O)Cc1ccn[nH]1 ZINC001287587601 905933837 /nfs/dbraw/zinc/93/38/37/905933837.db2.gz GUGXQZZFFVQNPR-LLVKDONJSA-N 0 1 294.355 0.118 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CC[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001288029767 905998310 /nfs/dbraw/zinc/99/83/10/905998310.db2.gz QDWVNUJWPQAWGB-GHMZBOCLSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CC[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001288029767 905998321 /nfs/dbraw/zinc/99/83/21/905998321.db2.gz QDWVNUJWPQAWGB-GHMZBOCLSA-N 0 1 291.355 0.786 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CNC(=O)[C@@H](C)C#N ZINC001379192123 906020228 /nfs/dbraw/zinc/02/02/28/906020228.db2.gz VVTRRBIERHNDEW-IONNQARKSA-N 0 1 290.161 0.121 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(=O)n(C)n1 ZINC001379316682 906139448 /nfs/dbraw/zinc/13/94/48/906139448.db2.gz VBLAXJUOJREXHT-JTQLQIEISA-N 0 1 298.774 0.583 20 30 CCEDMN N#Cc1cccc(CN[C@H](CO)CNC(=O)CC2CC2)c1 ZINC001379333739 906152859 /nfs/dbraw/zinc/15/28/59/906152859.db2.gz LNPHLADVCRTNKK-HNNXBMFYSA-N 0 1 287.363 0.925 20 30 CCEDMN C=C(CO)C(=O)N1CCC[N@@H+](C[C@H]2CCCO2)CC1 ZINC001292154217 906197612 /nfs/dbraw/zinc/19/76/12/906197612.db2.gz IHTYPUQAHQZJBQ-CYBMUJFWSA-N 0 1 268.357 0.248 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H]1CCN(C)C1=O ZINC001379382714 906199711 /nfs/dbraw/zinc/19/97/11/906199711.db2.gz YULVZHQJZMGYCY-MNOVXSKESA-N 0 1 287.791 0.702 20 30 CCEDMN COc1cc(CNC[C@@H](NC(=O)[C@@H](C)C#N)C2CC2)on1 ZINC001379851216 906508768 /nfs/dbraw/zinc/50/87/68/906508768.db2.gz MZULHPHGGQRJGD-JOYOIKCWSA-N 0 1 292.339 0.827 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cnn(CC)n2)CC1 ZINC001380083499 906628653 /nfs/dbraw/zinc/62/86/53/906628653.db2.gz CEYCTBVKQRCVEH-UHFFFAOYSA-N 0 1 283.763 0.903 20 30 CCEDMN C[C@H](CCNC(=O)c1cnn[nH]1)NC(=O)C#CC(C)(C)C ZINC001295882672 906818022 /nfs/dbraw/zinc/81/80/22/906818022.db2.gz FPQHYYKMPIVDGU-SNVBAGLBSA-N 0 1 291.355 0.479 20 30 CCEDMN C[C@H]1CC(NC(=O)c2ccc(S(C)(=O)=O)cc2N)=NO1 ZINC001295950624 906827676 /nfs/dbraw/zinc/82/76/76/906827676.db2.gz KMKRTVKHSALBBW-ZETCQYMHSA-N 0 1 297.336 0.524 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC001296815228 906961400 /nfs/dbraw/zinc/96/14/00/906961400.db2.gz VHAYZQUEZHPONE-OLZOCXBDSA-N 0 1 298.383 0.409 20 30 CCEDMN C=CCCCC(=O)N1CC(NC(=O)[C@H]2CCCN2C)C1 ZINC001297112649 907021522 /nfs/dbraw/zinc/02/15/22/907021522.db2.gz SUQIIQPSCFZYCM-CYBMUJFWSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCCCC(=O)NC[C@H](CC)NC(=O)c1[nH]ncc1F ZINC001297390704 907069863 /nfs/dbraw/zinc/06/98/63/907069863.db2.gz YOPXSAVJFMNYRK-JTQLQIEISA-N 0 1 294.330 0.977 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CNC(=O)NC)C[C@@H]1C ZINC001381094860 907071264 /nfs/dbraw/zinc/07/12/64/907071264.db2.gz CLMIGPVDTVKCMD-WPRPVWTQSA-N 0 1 288.779 0.104 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCN1CCC(O)CC1 ZINC001298013637 907141349 /nfs/dbraw/zinc/14/13/49/907141349.db2.gz QDOBRENHGQMJPY-OLZOCXBDSA-N 0 1 268.357 0.150 20 30 CCEDMN CC(C)C#CC(=O)NC/C=C\CNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001298385722 907234836 /nfs/dbraw/zinc/23/48/36/907234836.db2.gz IPZBKQYPXUQWSY-OPVGQWETSA-N 0 1 293.323 0.100 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](COC)OC ZINC001491578019 907415830 /nfs/dbraw/zinc/41/58/30/907415830.db2.gz VNGKPKAXGKOQOP-NXEZZACHSA-N 0 1 264.753 0.495 20 30 CCEDMN O=C(C#CC1CC1)NCCCNC(=O)CN1CCCC1 ZINC001491697276 907511644 /nfs/dbraw/zinc/51/16/44/907511644.db2.gz BHWCGOOVOHNLLF-UHFFFAOYSA-N 0 1 277.368 0.118 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCCN(C(=O)COC(C)C)C1 ZINC001491909188 907634216 /nfs/dbraw/zinc/63/42/16/907634216.db2.gz FBYFTMUJMJQIPW-OAHLLOKOSA-N 0 1 296.411 0.984 20 30 CCEDMN C[C@@H](C#N)C(=O)NCc1ccc(CNCC(N)=O)cc1F ZINC001382600380 907801459 /nfs/dbraw/zinc/80/14/59/907801459.db2.gz UXSAMGPALGSAIC-VIFPVBQESA-N 0 1 292.314 0.176 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H](OC)[C@H]1CCOC1 ZINC001492359189 907875274 /nfs/dbraw/zinc/87/52/74/907875274.db2.gz IKYRSFDYSYRUOF-STQMWFEESA-N 0 1 268.357 0.109 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)NCC(=O)Nc1nncs1 ZINC001317229819 907968347 /nfs/dbraw/zinc/96/83/47/907968347.db2.gz QMXMZBOCHSTOQV-SECBINFHSA-N 0 1 297.384 0.537 20 30 CCEDMN C=CCCCC(=O)N1CC[C@@H](CNCc2nnnn2C)C1 ZINC001317335164 908158372 /nfs/dbraw/zinc/15/83/72/908158372.db2.gz QFJRQMVOKDKNSQ-LBPRGKRZSA-N 0 1 292.387 0.505 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](NC(=O)[C@H](C)C#N)[C@H]2C)[nH]1 ZINC001385083782 908344444 /nfs/dbraw/zinc/34/44/44/908344444.db2.gz PTRPIVGVCHISDI-KKZNHRDASA-N 0 1 289.339 0.597 20 30 CCEDMN N#Cc1ccc(CN2[C@H](CO)CC[C@H]2CO)s1 ZINC001307881217 908365515 /nfs/dbraw/zinc/36/55/15/908365515.db2.gz JAQRFTALOXVBRI-UWVGGRQHSA-N 0 1 252.339 0.937 20 30 CCEDMN C#CC[N@@H+](CCC)CCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001588469723 983502858 /nfs/dbraw/zinc/50/28/58/983502858.db2.gz ORFNYJRTRKJLLC-WDEREUQCSA-N 0 1 252.314 0.169 20 30 CCEDMN CCCn1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(C)n1 ZINC001340264779 908513887 /nfs/dbraw/zinc/51/38/87/908513887.db2.gz GAPRFINLASHUIY-CMPLNLGQSA-N 0 1 261.329 0.443 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)Cc2ccon2)C1 ZINC001316744667 908564972 /nfs/dbraw/zinc/56/49/72/908564972.db2.gz RCNRYHMFMUDXCD-CQSZACIVSA-N 0 1 291.351 0.136 20 30 CCEDMN CC(=O)N1CCC(CNC(=O)NCC#CCN(C)C)CC1 ZINC001311904689 908574095 /nfs/dbraw/zinc/57/40/95/908574095.db2.gz ZZKPTZSPEGRJBY-UHFFFAOYSA-N 0 1 294.399 0.109 20 30 CCEDMN C#CCNCC(=O)N1CCC(NC(=O)CCCCC)CC1 ZINC001341407834 908595621 /nfs/dbraw/zinc/59/56/21/908595621.db2.gz ACQPBDVLROZAOV-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(OC)c([N+](=O)[O-])c1 ZINC001341493307 908598877 /nfs/dbraw/zinc/59/88/77/908598877.db2.gz MRTTWVIHBJCLIX-UHFFFAOYSA-N 0 1 277.280 0.442 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H]1CCCC12OCCO2 ZINC001313188837 908654306 /nfs/dbraw/zinc/65/43/06/908654306.db2.gz GOBYNOHVHBAZMV-LBPRGKRZSA-N 0 1 281.356 0.146 20 30 CCEDMN CC(C)(C(=O)NC[C@H](NCC#N)C1CC1)c1cnc[nH]1 ZINC001317031411 908720884 /nfs/dbraw/zinc/72/08/84/908720884.db2.gz UVERBKDSQFJSHL-NSHDSACASA-N 0 1 275.356 0.695 20 30 CCEDMN CC#CCNCc1cc(=O)[nH]c(CNC(=O)C(C)=CC)n1 ZINC001316715188 908758630 /nfs/dbraw/zinc/75/86/30/908758630.db2.gz IBXPXKCRNGWSAE-VZUCSPMQSA-N 0 1 288.351 0.878 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@@]2(C)CCOC2)C1 ZINC001316745896 908763337 /nfs/dbraw/zinc/76/33/37/908763337.db2.gz KWLKOFYSIXFNOV-HOCLYGCPSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN(C)Cc1n[nH]c(C)n1 ZINC001316824684 908791563 /nfs/dbraw/zinc/79/15/63/908791563.db2.gz LDOACRTVXWPWCQ-LLVKDONJSA-N 0 1 293.371 0.090 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1cocc1C ZINC001316842310 908803619 /nfs/dbraw/zinc/80/36/19/908803619.db2.gz YFYIMYPNMJOSME-UHFFFAOYSA-N 0 1 293.367 0.942 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@]2(F)CCOC2)CC1 ZINC001316967081 908872944 /nfs/dbraw/zinc/87/29/44/908872944.db2.gz PXJRDVYBBOVTDR-HNNXBMFYSA-N 0 1 299.390 0.425 20 30 CCEDMN C[C@@H](NC(=O)CCc1nn[nH]n1)c1ccc(C#N)cc1 ZINC001417608134 921448959 /nfs/dbraw/zinc/44/89/59/921448959.db2.gz FNODCFINAXPABX-SECBINFHSA-N 0 1 270.296 0.881 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1C[C@@H](NCc2ccn(C)n2)C1 ZINC001317127223 909002242 /nfs/dbraw/zinc/00/22/42/909002242.db2.gz FXNPMDNANBEEDZ-OKILXGFUSA-N 0 1 292.383 0.750 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)NCc1cc(C)ncn1 ZINC001317235683 909073277 /nfs/dbraw/zinc/07/32/77/909073277.db2.gz OCLUYCYQDPLAHZ-IUODEOHRSA-N 0 1 292.383 0.706 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H]1CCC1(F)F ZINC001317449839 909222881 /nfs/dbraw/zinc/22/28/81/909222881.db2.gz XPQNYEZVNOENRE-NSHDSACASA-N 0 1 274.311 0.730 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cccc2c1NC(=O)CO2 ZINC001317449971 909219397 /nfs/dbraw/zinc/21/93/97/909219397.db2.gz MLETXHADGJSYKW-UHFFFAOYSA-N 0 1 287.319 0.312 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CC12CCOCC2 ZINC001317474939 909249675 /nfs/dbraw/zinc/24/96/75/909249675.db2.gz FCOPWDXIWGRBPB-CYBMUJFWSA-N 0 1 264.369 0.874 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cnn2c1OCCC2 ZINC001317481115 909256238 /nfs/dbraw/zinc/25/62/38/909256238.db2.gz GANPBKKVRBZJSE-UHFFFAOYSA-N 0 1 290.367 0.741 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)c1ccnn1C ZINC001317538541 909305751 /nfs/dbraw/zinc/30/57/51/909305751.db2.gz MIPQWDHKEQSTNU-UHFFFAOYSA-N 0 1 292.383 0.512 20 30 CCEDMN N#CCN1CC[C@H](CCNC(=O)CCc2c[nH]nn2)C1 ZINC001317802241 909504405 /nfs/dbraw/zinc/50/44/05/909504405.db2.gz VFFOCCMLCZZXCO-NSHDSACASA-N 0 1 276.344 0.089 20 30 CCEDMN N#CCN1CC[C@H](CCNC(=O)CCc2cnn[nH]2)C1 ZINC001317802241 909504419 /nfs/dbraw/zinc/50/44/19/909504419.db2.gz VFFOCCMLCZZXCO-NSHDSACASA-N 0 1 276.344 0.089 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](CN(C)CC(N)=O)C1 ZINC001317840805 909519688 /nfs/dbraw/zinc/51/96/88/909519688.db2.gz VJMGKTJUKPPOSY-LBPRGKRZSA-N 0 1 281.400 0.854 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)COCc2nncn2C)C1 ZINC001317949345 909550520 /nfs/dbraw/zinc/55/05/20/909550520.db2.gz GPFIHYIWEBDYMS-GFCCVEGCSA-N 0 1 293.371 0.098 20 30 CCEDMN C=CCOCC(=O)NC1CN(C[C@@H]2C[C@]23CCOC3)C1 ZINC001318010653 909573752 /nfs/dbraw/zinc/57/37/52/909573752.db2.gz SKMOBOBVWPDOJR-WFASDCNBSA-N 0 1 280.368 0.416 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](COC)OC)C1 ZINC001318060003 909602928 /nfs/dbraw/zinc/60/29/28/909602928.db2.gz AOPFIGICHHWFOP-WCQYABFASA-N 0 1 270.373 0.803 20 30 CCEDMN C#CCCN1CC(NC(=O)[C@H](C)Cc2cnc[nH]2)C1 ZINC001318069147 909606023 /nfs/dbraw/zinc/60/60/23/909606023.db2.gz DMHFMAGBQVCTDJ-LLVKDONJSA-N 0 1 260.341 0.412 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001318169989 909650451 /nfs/dbraw/zinc/65/04/51/909650451.db2.gz WCYZUZNQWDEKCM-CQSZACIVSA-N 0 1 281.400 0.771 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2C(C)C ZINC001318198465 909661406 /nfs/dbraw/zinc/66/14/06/909661406.db2.gz UYRPCYYDIBFAHC-AGIUHOORSA-N 0 1 250.342 0.718 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@H](CC)OC)C1 ZINC001318320440 909706444 /nfs/dbraw/zinc/70/64/44/909706444.db2.gz YLBDQNZAMXJTSH-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@@H]1CCN(CCOCCO)C1 ZINC001318331151 909712798 /nfs/dbraw/zinc/71/27/98/909712798.db2.gz JZTLXTHYZJBINJ-CYBMUJFWSA-N 0 1 282.384 0.235 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCOCC(F)F)C1 ZINC001318403189 909745523 /nfs/dbraw/zinc/74/55/23/909745523.db2.gz PKKVFKFLBGBLLW-NSHDSACASA-N 0 1 274.311 0.824 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C2(C(=O)NC)CCC2)C1 ZINC001318446012 909759172 /nfs/dbraw/zinc/75/91/72/909759172.db2.gz PZGOXESEVLNZJX-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H](C)Cc2c[nH]cn2)C1 ZINC001318437354 909760023 /nfs/dbraw/zinc/76/00/23/909760023.db2.gz OIUSPZMQLUHXEC-OCCSQVGLSA-N 0 1 274.368 0.754 20 30 CCEDMN C=CCN(C(=O)c1cnc(C)[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC001318664918 909855273 /nfs/dbraw/zinc/85/52/73/909855273.db2.gz MFOXMQOYFNTYEA-SNVBAGLBSA-N 0 1 283.353 0.533 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001318992210 909947294 /nfs/dbraw/zinc/94/72/94/909947294.db2.gz PMSSCHRUEWYXGK-NSHDSACASA-N 0 1 252.362 0.288 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1COCCO1)C1CC1 ZINC001389597563 909993546 /nfs/dbraw/zinc/99/35/46/909993546.db2.gz YVLXWGBZQLIFFZ-VXGBXAGGSA-N 0 1 288.775 0.639 20 30 CCEDMN C[C@H](CNCc1csnn1)CNC(=O)C#CC1CC1 ZINC001319184677 910022431 /nfs/dbraw/zinc/02/24/31/910022431.db2.gz CVJKUIKVWTZJME-SNVBAGLBSA-N 0 1 278.381 0.793 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)CNCc1cnn(C)n1 ZINC001319224033 910044081 /nfs/dbraw/zinc/04/40/81/910044081.db2.gz DCSZEWGUBPIUNJ-NSHDSACASA-N 0 1 279.388 0.869 20 30 CCEDMN CC(=Nn1c(=O)c(C)n[nH]c1=S)c1nccn1C ZINC001319490860 910177646 /nfs/dbraw/zinc/17/76/46/910177646.db2.gz ROKJFKCWAJIQRW-UHFFFAOYSA-N 0 1 264.314 0.241 20 30 CCEDMN CCc1cc(C(=O)N2CC(N(C)C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001390106251 910228388 /nfs/dbraw/zinc/22/83/88/910228388.db2.gz BWPDMFZKDPKGSA-VIFPVBQESA-N 0 1 289.339 0.415 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)NCCc1nc[nH]n1 ZINC001320037355 910426109 /nfs/dbraw/zinc/42/61/09/910426109.db2.gz QQQRWHWPEZOXOT-UHFFFAOYSA-N 0 1 298.306 0.282 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(C)nc2cc(C)nn21 ZINC001320086110 910458050 /nfs/dbraw/zinc/45/80/50/910458050.db2.gz WXWQHFMMKFDOPT-UHFFFAOYSA-N 0 1 299.378 0.983 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(C(N)=O)ccn1 ZINC001390539209 910500609 /nfs/dbraw/zinc/50/06/09/910500609.db2.gz BPLBZUFIAHWEAO-SECBINFHSA-N 0 1 296.758 0.641 20 30 CCEDMN C=C[C@H](COC)NC(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC001320604852 910758084 /nfs/dbraw/zinc/75/80/84/910758084.db2.gz KRGXEZLCVBNCBV-DTWKUNHWSA-N 0 1 267.333 0.928 20 30 CCEDMN C#C[C@@H](NCc1c(C)nn(CCO)c1C)[C@H]1CCCO1 ZINC001320701113 910810719 /nfs/dbraw/zinc/81/07/19/910810719.db2.gz SLNMRXOAEYVKNS-HUUCEWRRSA-N 0 1 277.368 0.763 20 30 CCEDMN CC[C@H](C)NC(=O)CNC/C=C/CNC(=O)[C@@H](C)C#N ZINC001320996393 910995609 /nfs/dbraw/zinc/99/56/09/910995609.db2.gz DRJXAIGVZGHAPV-WTIVYXKASA-N 0 1 280.372 0.323 20 30 CCEDMN CN(CC#N)C(=O)c1cccc(C(=O)N(C)CC#N)c1O ZINC001321298907 911214259 /nfs/dbraw/zinc/21/42/59/911214259.db2.gz KJPLGFDXOPLMHO-UHFFFAOYSA-N 0 1 286.291 0.583 20 30 CCEDMN C=CCn1c(CCO)nnc1N1CCN(CC)CC1 ZINC001339095543 921651724 /nfs/dbraw/zinc/65/17/24/921651724.db2.gz PLMHFYJQZORIJX-UHFFFAOYSA-N 0 1 265.361 0.141 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)CN[C@@H](C)c1cnccn1 ZINC001321513809 911344140 /nfs/dbraw/zinc/34/41/40/911344140.db2.gz IHGKLWFAKUYKFY-OLZOCXBDSA-N 0 1 290.367 0.672 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](C)CC(N)=O ZINC001321610275 911399131 /nfs/dbraw/zinc/39/91/31/911399131.db2.gz XBSACTQQLIPHMK-VXNVDRBHSA-N 0 1 261.753 0.345 20 30 CCEDMN C[C@@H](CNCc1nccn1C)NC(=O)CSCC#N ZINC001321790609 911494500 /nfs/dbraw/zinc/49/45/00/911494500.db2.gz XZWMPYQZHVYGFQ-JTQLQIEISA-N 0 1 281.385 0.271 20 30 CCEDMN CCn1nncc1CNC[C@H](C)NC(=O)C#CC(C)C ZINC001321843399 911523711 /nfs/dbraw/zinc/52/37/11/911523711.db2.gz QRJZEDAQZWHYCX-LBPRGKRZSA-N 0 1 277.372 0.552 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CNC(=O)NC ZINC001392072357 911560247 /nfs/dbraw/zinc/56/02/47/911560247.db2.gz HJMCFHUELQZWMI-SECBINFHSA-N 0 1 276.768 0.104 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1c[nH]cn1 ZINC001322001416 911617338 /nfs/dbraw/zinc/61/73/38/911617338.db2.gz AIQLHTMXDYCCDN-VIFPVBQESA-N 0 1 256.737 0.799 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cn(C(C)(C)C)nn1 ZINC001322168089 911694214 /nfs/dbraw/zinc/69/42/14/911694214.db2.gz HPNIGDWPYMJVJH-LLVKDONJSA-N 0 1 277.372 0.716 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001322183946 911697844 /nfs/dbraw/zinc/69/78/44/911697844.db2.gz WETCUVNWRMDWJZ-WFASDCNBSA-N 0 1 279.384 0.363 20 30 CCEDMN C[C@@H]1COCCN1C1CCN(C(=O)[C@@H]2C[C@@H]2C#N)CC1 ZINC001417886378 921681971 /nfs/dbraw/zinc/68/19/71/921681971.db2.gz VFZAPWNEWRADAM-YRGRVCCFSA-N 0 1 277.368 0.858 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)COC[C@@H]1CCCO1 ZINC001322313423 911760528 /nfs/dbraw/zinc/76/05/28/911760528.db2.gz JEXOIKRFAZDQMI-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1nocc1C ZINC001392432248 911797535 /nfs/dbraw/zinc/79/75/35/911797535.db2.gz YXPFZGCUTLBTPC-VIFPVBQESA-N 0 1 273.720 0.416 20 30 CCEDMN CC(C)(C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001322653932 911900552 /nfs/dbraw/zinc/90/05/52/911900552.db2.gz DHDVRQATSXJRSA-ZHACJKMWSA-N 0 1 265.313 0.675 20 30 CCEDMN C#CCN(CC1CC1)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC001322953919 912036885 /nfs/dbraw/zinc/03/68/85/912036885.db2.gz XFRUAUHYSWSAKK-UHFFFAOYSA-N 0 1 298.350 0.661 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCC(=O)NCC ZINC001398885462 914916805 /nfs/dbraw/zinc/91/68/05/914916805.db2.gz VJCPWYHGQJNWJP-SNVBAGLBSA-N 0 1 275.780 0.750 20 30 CCEDMN CCOCC(=O)N[C@H]1CCN(CC#CCOC)[C@@H](C)C1 ZINC001328224517 915039661 /nfs/dbraw/zinc/03/96/61/915039661.db2.gz RLXSTEZQYIEXAR-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC[C@H](C)NCc1nonc1C ZINC001328331258 915117974 /nfs/dbraw/zinc/11/79/74/915117974.db2.gz GPNMCXXXPXGXIW-IINYFYTJSA-N 0 1 296.371 0.690 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)Cc2cscn2)CC1 ZINC001328555409 915272966 /nfs/dbraw/zinc/27/29/66/915272966.db2.gz YXARJYVUTSJGEX-UHFFFAOYSA-N 0 1 295.408 0.815 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C1=CCOCC1 ZINC001328718542 915380311 /nfs/dbraw/zinc/38/03/11/915380311.db2.gz ACOVRPMOHWWMPU-CQSZACIVSA-N 0 1 262.353 0.889 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@]1(CC)CCNC1=O ZINC001328733350 915398344 /nfs/dbraw/zinc/39/83/44/915398344.db2.gz OQXGBPWRVDQTIU-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1 ZINC001328748171 915406782 /nfs/dbraw/zinc/40/67/82/915406782.db2.gz ZPLHXINUGFPIBJ-RYUDHWBXSA-N 0 1 265.357 0.115 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC001329196354 915737919 /nfs/dbraw/zinc/73/79/19/915737919.db2.gz FNBJNIRHVBNLAC-GXSJLCMTSA-N 0 1 275.312 0.143 20 30 CCEDMN C=C[C@@H](COC)NC(=O)C(=O)N(CCC)[C@H]1CCN(C)C1 ZINC001329352485 915834948 /nfs/dbraw/zinc/83/49/48/915834948.db2.gz SSTQQYXDOFSTKE-STQMWFEESA-N 0 1 297.399 0.246 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CN1CCOCC1 ZINC001400814367 915947487 /nfs/dbraw/zinc/94/74/87/915947487.db2.gz OGTPQHCWKJLDRI-LBPRGKRZSA-N 0 1 289.807 0.556 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1C[C@H]1C(N)=O ZINC001400843268 915956222 /nfs/dbraw/zinc/95/62/22/915956222.db2.gz FAIFLCRIBNVGOU-BBBLOLIVSA-N 0 1 273.764 0.345 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCNC(=O)C(C)(C)C ZINC001329501532 915968058 /nfs/dbraw/zinc/96/80/58/915968058.db2.gz ROCQVPGMGHLKSG-CYBMUJFWSA-N 0 1 295.427 0.999 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@](C)(NC(=O)Cc2cnc[nH]2)C1 ZINC001400935743 915992694 /nfs/dbraw/zinc/99/26/94/915992694.db2.gz MIIRDIBQDLTEAH-YGRLFVJLSA-N 0 1 289.339 0.219 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](COC)OC ZINC001401086400 916013824 /nfs/dbraw/zinc/01/38/24/916013824.db2.gz GMPJCOFFGSUMAB-VXGBXAGGSA-N 0 1 290.791 0.981 20 30 CCEDMN C[C@H](C#N)CNC[C@H](O)CC1(C#N)CCOCC1 ZINC001329594515 916047510 /nfs/dbraw/zinc/04/75/10/916047510.db2.gz BMOZYPQIZAVCBQ-VXGBXAGGSA-N 0 1 251.330 0.807 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H]1COc2ccccc2O1 ZINC001329608786 916058819 /nfs/dbraw/zinc/05/88/19/916058819.db2.gz NGLNQRIFQGCWSF-IUODEOHRSA-N 0 1 288.347 0.896 20 30 CCEDMN C=C(Cl)C[NH2+]C[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001401509755 916299803 /nfs/dbraw/zinc/29/98/03/916299803.db2.gz ZYYLXDFDIAVGJU-VIFPVBQESA-N 0 1 285.731 0.220 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cnoc1C1CC1 ZINC001401567093 916331532 /nfs/dbraw/zinc/33/15/32/916331532.db2.gz NCKYIUOYQAWGKE-SNVBAGLBSA-N 0 1 299.758 0.985 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)C1 ZINC001329989237 916337591 /nfs/dbraw/zinc/33/75/91/916337591.db2.gz CEJKCKVWWREHSS-QWHCGFSZSA-N 0 1 289.379 0.211 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1COCCN1CC ZINC001401631626 916409164 /nfs/dbraw/zinc/40/91/64/916409164.db2.gz RJIRPDHJOKOPLU-VXGBXAGGSA-N 0 1 289.807 0.554 20 30 CCEDMN Cc1nn[nH]c1C(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001401701893 916494249 /nfs/dbraw/zinc/49/42/49/916494249.db2.gz RZLINKYJBGWCCG-JTQLQIEISA-N 0 1 298.350 0.893 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)C(=O)N1CCC(C)(C#N)CC1 ZINC001330393666 916607012 /nfs/dbraw/zinc/60/70/12/916607012.db2.gz LLBXKMRUYZAPTO-UHFFFAOYSA-N 0 1 289.339 0.520 20 30 CCEDMN Cn1cnnc1CNC[C@H]1C[C@H](NC(=O)C#CC2CC2)C1 ZINC001330595171 916729317 /nfs/dbraw/zinc/72/93/17/916729317.db2.gz QZTVDJNYIVTSLR-JOCQHMNTSA-N 0 1 287.367 0.213 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1C[C@H](CNCc2cnon2)C1 ZINC001330598385 916732745 /nfs/dbraw/zinc/73/27/45/916732745.db2.gz BJUZVCXHPDFTNN-SRVKXCTJSA-N 0 1 292.339 0.092 20 30 CCEDMN CCNC(=O)CN(C)C[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001402371844 916984969 /nfs/dbraw/zinc/98/49/69/916984969.db2.gz JRTRTGZINWAKNK-CHWSQXEVSA-N 0 1 294.399 0.453 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C[C@@H]1C=CCCC1 ZINC001331739029 917563625 /nfs/dbraw/zinc/56/36/25/917563625.db2.gz JMBVBBRVUJQJRE-KGLIPLIRSA-N 0 1 264.369 0.823 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1nc2cnccc2s1 ZINC001331740908 917565526 /nfs/dbraw/zinc/56/55/26/917565526.db2.gz RYJAEUPUMBSJJX-VIFPVBQESA-N 0 1 290.348 0.005 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)[C@H](C)C1CCCC1 ZINC001331823902 917641825 /nfs/dbraw/zinc/64/18/25/917641825.db2.gz FIDXSNUXLQQKRC-HIFRSBDPSA-N 0 1 296.411 0.529 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H](CO)NC[C@H](F)CC)nc1 ZINC001331826568 917643733 /nfs/dbraw/zinc/64/37/33/917643733.db2.gz ULRICACTQWNJJM-OLZOCXBDSA-N 0 1 293.342 0.491 20 30 CCEDMN C#CCC1(O)CCN(c2nc3[nH]ncc3c(=O)[nH]2)CC1 ZINC001331925914 917721496 /nfs/dbraw/zinc/72/14/96/917721496.db2.gz ZSZKYGXQMDYIJP-UHFFFAOYSA-N 0 1 273.296 0.413 20 30 CCEDMN N#C[C@]1(C(=O)N2CCc3nc[nH]c3C2)CCCOC1 ZINC001332135695 917892394 /nfs/dbraw/zinc/89/23/94/917892394.db2.gz JSKGBBWWUYDYJX-CYBMUJFWSA-N 0 1 260.297 0.615 20 30 CCEDMN C=CC[C@@H](NC(=O)CN1CCCC1)C(=O)OCC ZINC001332352592 918075614 /nfs/dbraw/zinc/07/56/14/918075614.db2.gz KELHENYBKVWHOX-LLVKDONJSA-N 0 1 254.330 0.706 20 30 CCEDMN C=CCn1nnnc1N1CCC(C)(N2CCOCC2)CC1 ZINC001332488215 918206141 /nfs/dbraw/zinc/20/61/41/918206141.db2.gz HKEFJENWFDTBQF-UHFFFAOYSA-N 0 1 292.387 0.550 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)C=C(C)C ZINC001332616191 918317426 /nfs/dbraw/zinc/31/74/26/918317426.db2.gz JACDARCIGNKCIZ-AWEZNQCLSA-N 0 1 282.384 0.354 20 30 CCEDMN C=CCOC[C@H](NC(=O)C[N@H+](C)C1CCC1)C(=O)[O-] ZINC001332625706 918324983 /nfs/dbraw/zinc/32/49/83/918324983.db2.gz YRHMFOHMPRFNSK-NSHDSACASA-N 0 1 270.329 0.243 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cc(C2CC2)n[nH]1 ZINC001332664952 918365333 /nfs/dbraw/zinc/36/53/33/918365333.db2.gz ORTMSEOZHHEMTP-LBPRGKRZSA-N 0 1 290.367 0.285 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C(=O)NCc2cc(C)[nH]n2)C1 ZINC001332995701 918586943 /nfs/dbraw/zinc/58/69/43/918586943.db2.gz DSJJYQZRWTTXHD-LLVKDONJSA-N 0 1 274.324 0.206 20 30 CCEDMN C[C@@H](CNC(=O)[C@H]1CCCN1C)N(C)C(=O)C#CC1CC1 ZINC001334192377 919413939 /nfs/dbraw/zinc/41/39/39/919413939.db2.gz LMCWNGQBBFRNSP-GXTWGEPZSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)NC(=O)CC)CC1 ZINC001406944163 919547686 /nfs/dbraw/zinc/54/76/86/919547686.db2.gz WSEHYISKASVLRD-SNVBAGLBSA-N 0 1 287.791 0.892 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@]1(O)CCN(CCC(F)(F)F)C1 ZINC001407348516 919715272 /nfs/dbraw/zinc/71/52/72/919715272.db2.gz GBINRDQJLZLRQI-GXSJLCMTSA-N 0 1 293.289 0.651 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CC(N2CCN(C)CC2)C1 ZINC001334918038 919834595 /nfs/dbraw/zinc/83/45/95/919834595.db2.gz JFEXYTQZJHMBIL-UHFFFAOYSA-N 0 1 263.385 0.494 20 30 CCEDMN CC#CCn1nnnc1N1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC001334918895 919839320 /nfs/dbraw/zinc/83/93/20/919839320.db2.gz FWVNXICLCARJTC-LLVKDONJSA-N 0 1 286.343 0.507 20 30 CCEDMN CC#CCn1nnnc1N1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC001334918895 919839325 /nfs/dbraw/zinc/83/93/25/919839325.db2.gz FWVNXICLCARJTC-LLVKDONJSA-N 0 1 286.343 0.507 20 30 CCEDMN N#Cc1csc(CNC2CCN(C=O)CC2)n1 ZINC001335132669 919976470 /nfs/dbraw/zinc/97/64/70/919976470.db2.gz UDRWTKNDFGSRCY-UHFFFAOYSA-N 0 1 250.327 0.725 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@]1(CC)CCNC1=O ZINC001492973029 919995838 /nfs/dbraw/zinc/99/58/38/919995838.db2.gz WIUXSWIJFYODRN-HNNXBMFYSA-N 0 1 279.384 0.364 20 30 CCEDMN C[C@@H](C#N)CNC(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001414608021 920424817 /nfs/dbraw/zinc/42/48/17/920424817.db2.gz OSTPUZHRZICUFI-VIFPVBQESA-N 0 1 285.311 0.826 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@@H](C)NC(=O)c1[nH]ncc1F ZINC001415423767 920703206 /nfs/dbraw/zinc/70/32/06/920703206.db2.gz PVJOBAKLSYEESB-HTQZYQBOSA-N 0 1 281.291 0.333 20 30 CCEDMN CC(C)C[N@@H+](C)CCCNc1[n-]c(=O)n(C)c(=O)c1C#N ZINC001336678752 920921584 /nfs/dbraw/zinc/92/15/84/920921584.db2.gz JCEVQQNNNRTROH-UHFFFAOYSA-N 0 1 293.371 0.335 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CNC(=O)C(C)(C)c1cnc[nH]1 ZINC001416940882 921068565 /nfs/dbraw/zinc/06/85/65/921068565.db2.gz VZLBRRGDJOYYQI-ZJUUUORDSA-N 0 1 291.355 0.468 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](O)c1cccc(OC(C)C)c1 ZINC001339955011 921894552 /nfs/dbraw/zinc/89/45/52/921894552.db2.gz KRNXKJLQYDIJGN-OAHLLOKOSA-N 0 1 290.363 0.846 20 30 CCEDMN COc1nccc(CN[C@H](C)CNC(=O)[C@@H](C)C#N)n1 ZINC001418210794 921916927 /nfs/dbraw/zinc/91/69/27/921916927.db2.gz HZOCKBMGIZVMBR-VHSXEESVSA-N 0 1 277.328 0.239 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cncnc2)CC1 ZINC001340075210 921945172 /nfs/dbraw/zinc/94/51/72/921945172.db2.gz YFPFTBNRKHGEDC-UHFFFAOYSA-N 0 1 258.325 0.438 20 30 CCEDMN CC(C)[C@@H]1C[C@H](C(=O)N[C@H]2CNC[C@@H]2C#N)CCO1 ZINC001340135024 921975016 /nfs/dbraw/zinc/97/50/16/921975016.db2.gz RTOIVNRCWNQTEN-VOAKCMCISA-N 0 1 265.357 0.665 20 30 CCEDMN C#CCNCC(=O)N1CCn2c(C(C)C)nnc2[C@@H]1C ZINC001340517303 922108936 /nfs/dbraw/zinc/10/89/36/922108936.db2.gz AEMRXNRJWJGKGK-NSHDSACASA-N 0 1 275.356 0.528 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)NCc1n[nH]c(CO)n1 ZINC001418528750 922219390 /nfs/dbraw/zinc/21/93/90/922219390.db2.gz GSWOHGVSHPDYLK-UHFFFAOYSA-N 0 1 271.280 0.407 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)NCc1nnc(CO)[nH]1 ZINC001418528750 922219396 /nfs/dbraw/zinc/21/93/96/922219396.db2.gz GSWOHGVSHPDYLK-UHFFFAOYSA-N 0 1 271.280 0.407 20 30 CCEDMN C#CCNCC(=O)N1Cc2c[nH]nc2CC(C)(C)C1 ZINC001340804654 922228859 /nfs/dbraw/zinc/22/88/59/922228859.db2.gz BCCHMOMJWXPNAD-UHFFFAOYSA-N 0 1 260.341 0.543 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc(OC)c(O)c1 ZINC001340825911 922231725 /nfs/dbraw/zinc/23/17/25/922231725.db2.gz YSLOIOLDMHOMQP-UHFFFAOYSA-N 0 1 262.309 0.282 20 30 CCEDMN C#CCNCC(=O)NC[C@](C)(Cc1ccccc1)[C@H](C)O ZINC001341044378 922326274 /nfs/dbraw/zinc/32/62/74/922326274.db2.gz ZTSXQXNWQRZBQN-YOEHRIQHSA-N 0 1 288.391 0.955 20 30 CCEDMN C#CCNCC(=O)N[C@H](C[C@H](C)CC)C(=O)OC ZINC001341166983 922364803 /nfs/dbraw/zinc/36/48/03/922364803.db2.gz SXAYIISOYWTXKM-GHMZBOCLSA-N 0 1 254.330 0.303 20 30 CCEDMN C[C@@H]1OC(=O)N[C@H]1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001418730620 922391367 /nfs/dbraw/zinc/39/13/67/922391367.db2.gz BOIIOGBJGCVJHU-QUBYGPBYSA-N 0 1 285.263 0.870 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCOc2c(OC)cccc21 ZINC001341268955 922403416 /nfs/dbraw/zinc/40/34/16/922403416.db2.gz CPTMFGNGXZPILH-GFCCVEGCSA-N 0 1 274.320 0.858 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1nncc2ccccc21 ZINC001341642478 922601917 /nfs/dbraw/zinc/60/19/17/922601917.db2.gz IOHWRZIFOKBJOO-JQWIXIFHSA-N 0 1 267.292 0.471 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc2ncccc2o1 ZINC001341749068 922654460 /nfs/dbraw/zinc/65/44/60/922654460.db2.gz WDQLWFPCKXKHHM-SCZZXKLOSA-N 0 1 256.265 0.669 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CO[C@H]1CCOC1 ZINC001341784503 922672607 /nfs/dbraw/zinc/67/26/07/922672607.db2.gz FJHKXRNCTYERIM-VHSXEESVSA-N 0 1 254.286 0.025 20 30 CCEDMN C#CCNCC(=O)N[C@@H](COC)c1cccc(OC)c1 ZINC001342113154 922811372 /nfs/dbraw/zinc/81/13/72/922811372.db2.gz OLEJBCPDBBVOCK-AWEZNQCLSA-N 0 1 276.336 0.722 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)C2CNCCS2(=O)=O)C1 ZINC001342203342 922860186 /nfs/dbraw/zinc/86/01/86/922860186.db2.gz LYUXHUDCTRZNGZ-NEPJUHHUSA-N 0 1 286.397 0.188 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)C1CNCCS1(=O)=O ZINC001342271586 922888116 /nfs/dbraw/zinc/88/81/16/922888116.db2.gz HESFLVNVUUNMGE-NSHDSACASA-N 0 1 288.413 0.482 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2cccc(F)c2)CC1 ZINC001342306113 922904250 /nfs/dbraw/zinc/90/42/50/922904250.db2.gz FRUOXPPCPNFWQC-UHFFFAOYSA-N 0 1 275.327 0.697 20 30 CCEDMN C=CCn1c(C[N+](=O)[O-])nnc1N1CCN(C(C)C)CC1 ZINC001342360257 922929472 /nfs/dbraw/zinc/92/94/72/922929472.db2.gz XUFNSTXXNDIATH-UHFFFAOYSA-N 0 1 294.359 0.771 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CC[C@H](c2nc(CC)no2)C1 ZINC001342809842 923097277 /nfs/dbraw/zinc/09/72/77/923097277.db2.gz GXYGDBXAZZZSLG-QWRGUYRKSA-N 0 1 276.340 0.607 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCN(C)Cc1n[nH]c(C2CC2)n1 ZINC001419952088 923183019 /nfs/dbraw/zinc/18/30/19/923183019.db2.gz GTYPQLYJYYVMHJ-JTQLQIEISA-N 0 1 290.371 0.732 20 30 CCEDMN N#CC(C(=O)CO[C@H]1CCOC1)C(=O)NC1CCCC1 ZINC001343348145 923280719 /nfs/dbraw/zinc/28/07/19/923280719.db2.gz PNZMOQKNHNSNHJ-RYUDHWBXSA-N 0 1 280.324 0.560 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc(F)c(F)c1 ZINC001343489061 923344123 /nfs/dbraw/zinc/34/41/23/923344123.db2.gz WDEOBZFUICKFMG-UHFFFAOYSA-N 0 1 252.264 0.846 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1c(C)cnn1C ZINC001420176876 923374175 /nfs/dbraw/zinc/37/41/75/923374175.db2.gz YIFAXMFVHNAZBI-JTQLQIEISA-N 0 1 286.763 0.161 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C[C@H]1CCCOC1 ZINC001420223792 923432931 /nfs/dbraw/zinc/43/29/31/923432931.db2.gz YWQBGXOGNZYJHU-NEPJUHHUSA-N 0 1 290.791 0.622 20 30 CCEDMN CCN(CCN[C@@H](C)c1n[nH]c(C)n1)C(=O)[C@@H](C)C#N ZINC001420244550 923451962 /nfs/dbraw/zinc/45/19/62/923451962.db2.gz XCFLZOAXJXEXLZ-UWVGGRQHSA-N 0 1 278.360 0.772 20 30 CCEDMN N#CCC1(CNC(=O)C(=O)N[C@H]2CCN(C3CC3)C2)CC1 ZINC001343798922 923472644 /nfs/dbraw/zinc/47/26/44/923472644.db2.gz BJGBMLFDSNOCLF-NSHDSACASA-N 0 1 290.367 0.149 20 30 CCEDMN CC#CCCCC(=O)NCCCN(C)[C@H](C)C(=O)NC ZINC001479790719 923574187 /nfs/dbraw/zinc/57/41/87/923574187.db2.gz QAGDUQIZSOXDRP-CYBMUJFWSA-N 0 1 281.400 0.753 20 30 CCEDMN CCN(CCNC(=O)[C@H](C)C#N)[C@@H]1CCCN(C)C1=O ZINC001420373359 923589957 /nfs/dbraw/zinc/58/99/57/923589957.db2.gz ZKNSVMYNMXEHAG-VXGBXAGGSA-N 0 1 280.372 0.205 20 30 CCEDMN C[C@@H](CNCc1ccccc1C#N)NC(=O)c1ncn(C)n1 ZINC001420413062 923641996 /nfs/dbraw/zinc/64/19/96/923641996.db2.gz HREUVJFUFDMCAP-NSHDSACASA-N 0 1 298.350 0.595 20 30 CCEDMN C#Cc1cccc(NC(=O)[C@@H]2CN3CCN2C[C@H]3C)c1 ZINC001345560304 923920087 /nfs/dbraw/zinc/92/00/87/923920087.db2.gz HALQVGZMMTXIQR-DOMZBBRYSA-N 0 1 269.348 0.995 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)Cn2cnnn2)C1 ZINC001420828790 923966657 /nfs/dbraw/zinc/96/66/57/923966657.db2.gz WFVFLOIBVLHEIB-LLVKDONJSA-N 0 1 298.778 0.254 20 30 CCEDMN C=CCN(C(=O)[C@@H]1CN2CCN1C[C@H]2C)C(C)C ZINC001346409573 924193544 /nfs/dbraw/zinc/19/35/44/924193544.db2.gz FOAZQJISHTXUNW-OLZOCXBDSA-N 0 1 251.374 0.798 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)CCCCC#N ZINC001346463012 924227409 /nfs/dbraw/zinc/22/74/09/924227409.db2.gz LAUUYNGXWFCILY-NSHDSACASA-N 0 1 278.312 0.694 20 30 CCEDMN COc1nccc(C(=O)[C@@H](C#N)c2nccn2C)n1 ZINC001346537260 924256921 /nfs/dbraw/zinc/25/69/21/924256921.db2.gz IYWQTZZVHHNTDJ-MRVPVSSYSA-N 0 1 257.253 0.709 20 30 CCEDMN COc1nccc(C(=O)C(C#N)c2nccn2C)n1 ZINC001346537260 924256929 /nfs/dbraw/zinc/25/69/29/924256929.db2.gz IYWQTZZVHHNTDJ-MRVPVSSYSA-N 0 1 257.253 0.709 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)NCC(C)(C)CC#N ZINC001347174189 924389829 /nfs/dbraw/zinc/38/98/29/924389829.db2.gz VTEPUMQEYDNHMA-NEPJUHHUSA-N 0 1 264.373 0.431 20 30 CCEDMN C#CCN(CC#CC)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001347187357 924393587 /nfs/dbraw/zinc/39/35/87/924393587.db2.gz QTILFIFMXUKHAQ-CABCVRRESA-N 0 1 290.411 0.289 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001347234000 924404003 /nfs/dbraw/zinc/40/40/03/924404003.db2.gz LRQQVXDHWSFVPC-LBPRGKRZSA-N 0 1 294.355 0.166 20 30 CCEDMN C[C@@H](CNC(=O)NCCCCC#N)N1CCN(C)CC1 ZINC001347345140 924427056 /nfs/dbraw/zinc/42/70/56/924427056.db2.gz SSJRWGDZUICRLB-ZDUSSCGKSA-N 0 1 281.404 0.615 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001348166150 924608772 /nfs/dbraw/zinc/60/87/72/924608772.db2.gz OXVNIZYTMRSCJR-TUAOUCFPSA-N 0 1 291.355 0.572 20 30 CCEDMN C#CCC1(NC(=O)N[C@H](C)[C@@H]2CN(C)CCN2C)CCC1 ZINC001348265768 924632216 /nfs/dbraw/zinc/63/22/16/924632216.db2.gz ZJPVZGASSZPCPT-KGLIPLIRSA-N 0 1 292.427 0.866 20 30 CCEDMN C=CCCOCCNC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001348725949 924786092 /nfs/dbraw/zinc/78/60/92/924786092.db2.gz MFEXTYMXSLLIRD-CQSZACIVSA-N 0 1 298.431 0.514 20 30 CCEDMN C#CCN1CCC(OC(=O)CCCNC(=O)OC)CC1 ZINC001349770370 925050916 /nfs/dbraw/zinc/05/09/16/925050916.db2.gz NNMFSJAAAWUZRL-UHFFFAOYSA-N 0 1 282.340 0.763 20 30 CCEDMN C#CCN1CCC(OC(=O)Cc2cnn(C)c2)CC1 ZINC001349770284 925051406 /nfs/dbraw/zinc/05/14/06/925051406.db2.gz KLDUZVJXELWRCT-UHFFFAOYSA-N 0 1 261.325 0.603 20 30 CCEDMN CCN(C(=O)[C@@H]1CN2CCN1C[C@@H]2C)[C@H](C)C#N ZINC001350091098 925128440 /nfs/dbraw/zinc/12/84/40/925128440.db2.gz JCOGIJPTOQNAJW-WOPDTQHZSA-N 0 1 250.346 0.135 20 30 CCEDMN C#CCn1ccc(CN(CCOC)Cc2nnc[nH]2)n1 ZINC001350371383 925182403 /nfs/dbraw/zinc/18/24/03/925182403.db2.gz LIPOBRNGSRLMRC-UHFFFAOYSA-N 0 1 274.328 0.283 20 30 CCEDMN C=CCC1(O)CN(C(=O)[C@H](C)CN2CCOCC2)C1 ZINC001350583910 925231189 /nfs/dbraw/zinc/23/11/89/925231189.db2.gz OVTRPPCAIIYTBL-GFCCVEGCSA-N 0 1 268.357 0.104 20 30 CCEDMN C#CC[N@H+]1C[C@H](C(=O)[O-])C2(CC(C(=O)OC)C2)C1 ZINC001350637572 925246290 /nfs/dbraw/zinc/24/62/90/925246290.db2.gz YEQKFNAKXVUOGI-RUETXSTFSA-N 0 1 251.282 0.205 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CC(=O)N(C)c2ccccc21 ZINC001350836103 925292493 /nfs/dbraw/zinc/29/24/93/925292493.db2.gz FZLSFXMEINMXKR-CQSZACIVSA-N 0 1 299.374 0.818 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001350980899 925328705 /nfs/dbraw/zinc/32/87/05/925328705.db2.gz QUYDZNZOALPFKJ-SECBINFHSA-N 0 1 251.286 0.543 20 30 CCEDMN CC(C)N(CCC#N)C(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001351113210 925363326 /nfs/dbraw/zinc/36/33/26/925363326.db2.gz PFGONIXEZNAGDA-CHWSQXEVSA-N 0 1 264.373 0.525 20 30 CCEDMN C#CCCN(CCOC)c1nnc(-c2[nH]cnc2C)n1C ZINC001351117425 925364323 /nfs/dbraw/zinc/36/43/23/925364323.db2.gz FFIQEUIKZWLWDJ-UHFFFAOYSA-N 0 1 288.355 0.990 20 30 CCEDMN C#CC1(O)CCN(C(=O)NCc2n[nH]c(C3CC3)n2)CC1 ZINC001351178080 925377940 /nfs/dbraw/zinc/37/79/40/925377940.db2.gz CEEKOPHNAFALMP-UHFFFAOYSA-N 0 1 289.339 0.352 20 30 CCEDMN C=CCN(CCOC)C[C@H]1CN=C(c2cnn(C)c2)O1 ZINC001351389523 925413502 /nfs/dbraw/zinc/41/35/02/925413502.db2.gz ZCXFGUOWLKICCA-CYBMUJFWSA-N 0 1 278.356 0.700 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@](COC)(C(=O)[O-])C2)C1=O ZINC001352178060 925539199 /nfs/dbraw/zinc/53/91/99/925539199.db2.gz JJKFCELDXUWWGQ-FZMZJTMJSA-N 0 1 282.340 0.196 20 30 CCEDMN C#CC1(NC(=O)NC[C@H](C)N2CCN(C)CC2)CCCC1 ZINC001352221645 925546253 /nfs/dbraw/zinc/54/62/53/925546253.db2.gz YEIVLGNTVTXPDG-AWEZNQCLSA-N 0 1 292.427 0.868 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2n[nH]cc2Br)CC1 ZINC001352375152 925568811 /nfs/dbraw/zinc/56/88/11/925568811.db2.gz KDOKRHKBMCLVRF-UHFFFAOYSA-N 0 1 298.140 0.773 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](NC(=O)C#CC(C)C)C2)n[nH]1 ZINC001352815945 925648696 /nfs/dbraw/zinc/64/86/96/925648696.db2.gz RRMLPHFHDBIZIS-LBPRGKRZSA-N 0 1 288.351 0.708 20 30 CCEDMN C#CCNCC(=O)N(C)[C@@H](CC(N)=O)c1ccccc1 ZINC001353833813 925941055 /nfs/dbraw/zinc/94/10/55/925941055.db2.gz UHMZEXMGBZYPDL-ZDUSSCGKSA-N 0 1 273.336 0.284 20 30 CCEDMN C#CCC1(O)CCN(C(=O)CCc2cnc[nH]2)CC1 ZINC001354129162 926021975 /nfs/dbraw/zinc/02/19/75/926021975.db2.gz VOFBSIRVCQRQHW-UHFFFAOYSA-N 0 1 261.325 0.719 20 30 CCEDMN O=C([O-])c1coc(C=NNCCC[NH+]2CCOCC2)c1 ZINC001356021625 926335826 /nfs/dbraw/zinc/33/58/26/926335826.db2.gz MIEVPAPLUKHLQJ-UHFFFAOYSA-N 0 1 281.312 0.624 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1ccc(C(N)=O)o1 ZINC001356044140 926337670 /nfs/dbraw/zinc/33/76/70/926337670.db2.gz YWSIRIGFKSEELA-MRVPVSSYSA-N 0 1 298.258 0.735 20 30 CCEDMN N#C[C@@H](C(=O)Nc1ccccn1)C(=O)c1ccc(C(N)=O)o1 ZINC001356044140 926337683 /nfs/dbraw/zinc/33/76/83/926337683.db2.gz YWSIRIGFKSEELA-MRVPVSSYSA-N 0 1 298.258 0.735 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1CCCN1C(C)C ZINC001356254765 926360332 /nfs/dbraw/zinc/36/03/32/926360332.db2.gz WNJKQJLSIQIFEX-NEPJUHHUSA-N 0 1 281.356 0.331 20 30 CCEDMN C=CCNC(=O)CNC[C@@](O)(CC)C(F)(F)F ZINC001356594496 926408014 /nfs/dbraw/zinc/40/80/14/926408014.db2.gz FRRBJQOEPQJZBT-VIFPVBQESA-N 0 1 254.252 0.582 20 30 CCEDMN C#CCNCC(=O)NCCCSc1nccs1 ZINC001357269372 926505047 /nfs/dbraw/zinc/50/50/47/926505047.db2.gz QVHVCHRYQJSJDF-UHFFFAOYSA-N 0 1 269.395 0.964 20 30 CCEDMN N#CCc1cccc(C(=O)N2CCNC[C@H]2CO)c1 ZINC001358029090 926622717 /nfs/dbraw/zinc/62/27/17/926622717.db2.gz PQXNDWSKYSQIDD-ZDUSSCGKSA-N 0 1 259.309 0.159 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CNC(=O)[C@@H]1CCCN1C ZINC001358094567 926636447 /nfs/dbraw/zinc/63/64/47/926636447.db2.gz LSFNSMSKQFUOAS-NEPJUHHUSA-N 0 1 267.373 0.668 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001358327675 926676710 /nfs/dbraw/zinc/67/67/10/926676710.db2.gz HPEHLOMGYDMMIX-ZJUUUORDSA-N 0 1 279.344 0.642 20 30 CCEDMN C[C@@H](CNC(=O)Cc1nnc[nH]1)NC(=O)C#CC(C)(C)C ZINC001358511114 926719737 /nfs/dbraw/zinc/71/97/37/926719737.db2.gz UPBYLAAMHJKQSZ-JTQLQIEISA-N 0 1 291.355 0.018 20 30 CCEDMN CO[C@@H]1CC[C@H]1[N@@H+](C)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001414056230 926906074 /nfs/dbraw/zinc/90/60/74/926906074.db2.gz BEYRVMMFUHWIAY-VXGBXAGGSA-N 0 1 287.323 0.916 20 30 CCEDMN N#Cc1ccnnc1NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001413891484 926929273 /nfs/dbraw/zinc/92/92/73/926929273.db2.gz JAVWXTIBUUUXCL-SECBINFHSA-N 0 1 271.284 0.582 20 30 CCEDMN N#Cc1ccnnc1NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001413891484 926929282 /nfs/dbraw/zinc/92/92/82/926929282.db2.gz JAVWXTIBUUUXCL-SECBINFHSA-N 0 1 271.284 0.582 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@@H](C(=O)NC)C1 ZINC001421947748 927006778 /nfs/dbraw/zinc/00/67/78/927006778.db2.gz JYIZBGQAPPLEBT-NWDGAFQWSA-N 0 1 280.372 0.062 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)c1ccc(F)c(C#N)c1 ZINC001361607421 927187277 /nfs/dbraw/zinc/18/72/77/927187277.db2.gz BROSPOWPFQMKIH-UHFFFAOYSA-N 0 1 288.242 0.427 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cncc(F)c1 ZINC001422280550 927191104 /nfs/dbraw/zinc/19/11/04/927191104.db2.gz CXCLYCFCNPGPSV-NSHDSACASA-N 0 1 287.722 0.654 20 30 CCEDMN Cc1nc(CC(=O)NCc2ccc(C#N)cc2F)n[nH]1 ZINC001362137475 927652361 /nfs/dbraw/zinc/65/23/61/927652361.db2.gz LOANPDVGBCKCMK-UHFFFAOYSA-N 0 1 273.271 0.983 20 30 CCEDMN COCCC(=O)NC[C@@H](CO)NCc1ccc(C#N)cc1 ZINC001423191143 927725298 /nfs/dbraw/zinc/72/52/98/927725298.db2.gz ZZUNTBABGYPOEF-AWEZNQCLSA-N 0 1 291.351 0.161 20 30 CCEDMN N#Cc1ccc(CN[C@@H](CO)CNC(=O)[C@@H]2CC23CC3)cc1 ZINC001423275507 927783898 /nfs/dbraw/zinc/78/38/98/927783898.db2.gz VWZBSUCXOOINBF-CABCVRRESA-N 0 1 299.374 0.925 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H](OC)C(C)C ZINC001423476236 927879495 /nfs/dbraw/zinc/87/94/95/927879495.db2.gz SCYQLOXTUJTRCR-VXGBXAGGSA-N 0 1 292.807 0.819 20 30 CCEDMN CNC(=O)CN1CCC[C@@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001423688355 927956821 /nfs/dbraw/zinc/95/68/21/927956821.db2.gz VERRZGJWVLXRFA-RISCZKNCSA-N 0 1 280.372 0.110 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)co1 ZINC001362563783 928181909 /nfs/dbraw/zinc/18/19/09/928181909.db2.gz SPKIWTDUQHCZNP-MRVPVSSYSA-N 0 1 272.268 0.684 20 30 CCEDMN N#Cc1cc(CNC(=O)C2CN([C@@H]3CCOC3)C2)ccn1 ZINC001362619099 928259208 /nfs/dbraw/zinc/25/92/08/928259208.db2.gz INAADRZMLRZCKD-CQSZACIVSA-N 0 1 286.335 0.290 20 30 CCEDMN CCc1ncc(CNS(=O)(=O)N(C)C[C@H](C)C#N)[nH]1 ZINC001424794839 928299410 /nfs/dbraw/zinc/29/94/10/928299410.db2.gz NRLFGNSEGYOHED-SECBINFHSA-N 0 1 285.373 0.398 20 30 CCEDMN CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)Cc1ncn[nH]1 ZINC001362646059 928300482 /nfs/dbraw/zinc/30/04/82/928300482.db2.gz FHBPMJBYKHUZLZ-GFCCVEGCSA-N 0 1 285.307 0.411 20 30 CCEDMN CC(C)(NC(=O)CC1(C#N)CCOCC1)c1c[nH]nn1 ZINC001362719050 928405279 /nfs/dbraw/zinc/40/52/79/928405279.db2.gz DKVZCLYJAZQTEO-UHFFFAOYSA-N 0 1 277.328 0.866 20 30 CCEDMN N#CC1(C(=O)NC[C@@H]2CCCCN2CCO)CCOCC1 ZINC001362742981 928433978 /nfs/dbraw/zinc/43/39/78/928433978.db2.gz RCPHTFBPUCSAHW-ZDUSSCGKSA-N 0 1 295.383 0.270 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CNC(=O)OC)C1 ZINC001425878785 928696176 /nfs/dbraw/zinc/69/61/76/928696176.db2.gz GEYMKPJJLDHTEA-NXEZZACHSA-N 0 1 289.763 0.674 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@@H](O)CN(C)Cc1ccccn1 ZINC001426070692 928751111 /nfs/dbraw/zinc/75/11/11/928751111.db2.gz NSQVWYXWOBZEMH-JSGCOSHPSA-N 0 1 290.367 0.492 20 30 CCEDMN N#Cc1ccc(CCNC(=O)CCc2nn[nH]n2)cc1 ZINC001363053304 928813440 /nfs/dbraw/zinc/81/34/40/928813440.db2.gz NVGAUIQOZOKTPX-UHFFFAOYSA-N 0 1 270.296 0.363 20 30 CCEDMN CCC(CC)(NC(=O)c1ccc(C#N)cn1)c1nn[nH]n1 ZINC001363137333 928910638 /nfs/dbraw/zinc/91/06/38/928910638.db2.gz QWAPVYFUMNTOJI-UHFFFAOYSA-N 0 1 285.311 0.912 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC001363208411 928977021 /nfs/dbraw/zinc/97/70/21/928977021.db2.gz YPAIEPFXARBTBM-UHFFFAOYSA-N 0 1 291.311 0.043 20 30 CCEDMN CN(C[C@H](O)c1cccc(C#N)c1)[C@]1(CO)CCOC1 ZINC001363225483 928994608 /nfs/dbraw/zinc/99/46/08/928994608.db2.gz LZVJDOURJLMEPA-GJZGRUSLSA-N 0 1 276.336 0.675 20 30 CCEDMN N#CC1(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)CCOCC1 ZINC001363433048 929225308 /nfs/dbraw/zinc/22/53/08/929225308.db2.gz NFYJQNBPXOJXBZ-SNVBAGLBSA-N 0 1 275.312 0.441 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CNC(=O)C(C)(C)c1cnc[nH]1 ZINC001428725850 929306916 /nfs/dbraw/zinc/30/69/16/929306916.db2.gz VZLBRRGDJOYYQI-NXEZZACHSA-N 0 1 291.355 0.468 20 30 CCEDMN Cc1cc(C(=O)N2CCC(NC(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001429651537 929499195 /nfs/dbraw/zinc/49/91/95/929499195.db2.gz ZFURLJKWADPQSW-SECBINFHSA-N 0 1 289.339 0.599 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CN(Cc2ccc3nonc3c2)C1 ZINC001443567045 929647095 /nfs/dbraw/zinc/64/70/95/929647095.db2.gz BUQVWNDSSPRNFE-JTQLQIEISA-N 0 1 299.334 0.930 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](C)NC(=O)c1[nH]ncc1F ZINC001443999170 929762724 /nfs/dbraw/zinc/76/27/24/929762724.db2.gz WSEFGYVZJYLXEC-HTQZYQBOSA-N 0 1 281.291 0.285 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@H](C)C#N)NCc1nccn1C ZINC001444868121 929962276 /nfs/dbraw/zinc/96/22/76/929962276.db2.gz PUPKOBKREBPZAP-VXGBXAGGSA-N 0 1 277.372 0.810 20 30 CCEDMN C[C@H](CNCc1ccccc1C#N)NC(=O)c1cnnn1C ZINC001445363847 930117541 /nfs/dbraw/zinc/11/75/41/930117541.db2.gz MNQMHZXUJYFXNP-LLVKDONJSA-N 0 1 298.350 0.595 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)CNC(=O)OC)C1CC1 ZINC001445934698 930289774 /nfs/dbraw/zinc/28/97/74/930289774.db2.gz LHSFIKYFIVLHDZ-SNVBAGLBSA-N 0 1 289.763 0.579 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](C)S(C)(=O)=O ZINC001374247098 930466094 /nfs/dbraw/zinc/46/60/94/930466094.db2.gz SKMBAIVZFUFWGB-NXEZZACHSA-N 0 1 296.820 0.609 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1CCC(=O)N1C ZINC001374271358 930474390 /nfs/dbraw/zinc/47/43/90/930474390.db2.gz MQIMMHPMOGUCDH-QWRGUYRKSA-N 0 1 287.791 0.796 20 30 CCEDMN C=CCOCC(=O)Nc1ccc(O)c(S(N)(=O)=O)c1 ZINC001447074460 930560155 /nfs/dbraw/zinc/56/01/55/930560155.db2.gz HYHMDJLWZHZSFP-UHFFFAOYSA-N 0 1 286.309 0.181 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CNCc1n[nH]c(C(C)(C)C)n1 ZINC001374740138 930642674 /nfs/dbraw/zinc/64/26/74/930642674.db2.gz ZRTCJXNSGWIFFJ-NXEZZACHSA-N 0 1 292.387 0.856 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1COC(=O)N1)C1CC1 ZINC001375226334 930824566 /nfs/dbraw/zinc/82/45/66/930824566.db2.gz HBLSFOHRMZLOCG-VHSXEESVSA-N 0 1 287.747 0.332 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CNC(=O)CC)CC1 ZINC001375402635 930878008 /nfs/dbraw/zinc/87/80/08/930878008.db2.gz JTMCQNPAYURCFD-UHFFFAOYSA-N 0 1 273.764 0.504 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CCn2cncn2)CC1(C)C ZINC001448409217 930880946 /nfs/dbraw/zinc/88/09/46/930880946.db2.gz SRWABCCCBSVLKK-VXGBXAGGSA-N 0 1 290.371 0.264 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001448978961 931041771 /nfs/dbraw/zinc/04/17/71/931041771.db2.gz UXBCICSCRAHGSP-GMTAPVOTSA-N 0 1 289.339 0.247 20 30 CCEDMN C[N@H+]1CCC[C@H](CCNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC001610950814 970803399 /nfs/dbraw/zinc/80/33/99/970803399.db2.gz VTXOESMTCYTEJO-RISCZKNCSA-N 0 1 281.356 0.839 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H]1CC[C@H]2CCCC[N@H+]21 ZINC001602683100 971272314 /nfs/dbraw/zinc/27/23/14/971272314.db2.gz YSBTZWQCLITADM-VXGBXAGGSA-N 0 1 264.325 0.550 20 30 CCEDMN C#Cc1ccccc1CC(=O)Nc1c[nH]nc1-c1nn[nH]n1 ZINC001573290632 946907478 /nfs/dbraw/zinc/90/74/78/946907478.db2.gz NIWWJICOERSIJS-UHFFFAOYSA-N 0 1 293.290 0.752 20 30 CCEDMN C#CCOCC[N@H+]1C[C@H](C(=O)[O-])C2(CC(C(=O)OC)C2)C1 ZINC001602701898 971327168 /nfs/dbraw/zinc/32/71/68/971327168.db2.gz BYEPEOCSMSSTTK-MQYJIDSJSA-N 0 1 295.335 0.222 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ccoc1CC(=O)[O-] ZINC000328229378 971455611 /nfs/dbraw/zinc/45/56/11/971455611.db2.gz CKXCWHKHTNADLJ-UHFFFAOYSA-N 0 1 278.308 0.592 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC000398941056 951623059 /nfs/dbraw/zinc/62/30/59/951623059.db2.gz VYWPGEJBWDVMCR-NXEZZACHSA-N 0 1 283.328 0.041 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC001589440722 954708453 /nfs/dbraw/zinc/70/84/53/954708453.db2.gz HZHYTLSIQVZODL-CYBMUJFWSA-N 0 1 289.335 0.969 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC001589440722 954708461 /nfs/dbraw/zinc/70/84/61/954708461.db2.gz HZHYTLSIQVZODL-CYBMUJFWSA-N 0 1 289.335 0.969 20 30 CCEDMN C[C@@H](C[N@@H+](C)CC(=O)NC1(C#N)CCCCC1)C(=O)[O-] ZINC001589033219 955535273 /nfs/dbraw/zinc/53/52/73/955535273.db2.gz ZKLKILRIVZHZLL-NSHDSACASA-N 0 1 281.356 0.982 20 30 CCEDMN N#C[C@H]1CC[C@@H]([NH2+]C2CCN(CC(=O)[O-])CC2)C1 ZINC001594606075 956177095 /nfs/dbraw/zinc/17/70/95/956177095.db2.gz QAMFJLVLHYOMNP-CMPLNLGQSA-N 0 1 251.330 0.817 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)OC[C@@H]1CCC[N@H+]1CC(=O)[O-] ZINC001594247257 959587599 /nfs/dbraw/zinc/58/75/99/959587599.db2.gz FGSIPBZQXQQSHW-NSHDSACASA-N 0 1 291.307 0.901 20 30 CCEDMN C[C@@H]1C[N@H+](C[C@@H](O)c2ccc(C#N)cc2)C[C@@H](C(=O)[O-])O1 ZINC001571037873 960545987 /nfs/dbraw/zinc/54/59/87/960545987.db2.gz CDVYRCVRIAMGPB-HONMWMINSA-N 0 1 290.319 0.766 20 30 CCEDMN C[C@@H]1C[N@@H+](C[C@@H](O)c2ccc(C#N)cc2)C[C@@H](C(=O)[O-])O1 ZINC001571037873 960545994 /nfs/dbraw/zinc/54/59/94/960545994.db2.gz CDVYRCVRIAMGPB-HONMWMINSA-N 0 1 290.319 0.766 20 30 CCEDMN CC[N@@H+](C)[C@H]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC001573875016 961241743 /nfs/dbraw/zinc/24/17/43/961241743.db2.gz CRANQVNAJMQULE-GXFFZTMASA-N 0 1 267.329 0.544 20 30 CCEDMN COCC[N@H+](CC(=O)[O-])C[C@H](O)c1cccc(C#N)c1 ZINC001574152997 962664348 /nfs/dbraw/zinc/66/43/48/962664348.db2.gz RWDZBGYOFIWYMW-ZDUSSCGKSA-N 0 1 278.308 0.625 20 30 CCEDMN C=CC[N@@H+](CC)CCCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001573360678 963040792 /nfs/dbraw/zinc/04/07/92/963040792.db2.gz VCDPSPKEIVKYPU-WDEREUQCSA-N 0 1 254.330 0.721 20 30 CCEDMN C=CC[N@H+](CCC(=O)N(C)CC(=O)[O-])[C@H](C)COC ZINC001573369094 963092533 /nfs/dbraw/zinc/09/25/33/963092533.db2.gz WVKJBFLILWQJKP-LLVKDONJSA-N 0 1 272.345 0.442 20 30 CCEDMN N#CCc1ccc(C[N@H+]2C[C@H](O)C[C@@H]2C(=O)[O-])cc1 ZINC000399114781 973192387 /nfs/dbraw/zinc/19/23/87/973192387.db2.gz MHBNTJXRMYOKKY-CHWSQXEVSA-N 0 1 260.293 0.772 20 30 CCEDMN N#Cc1cc(C(=O)OC[C@@H]2CCC[N@@H+]2CC(=O)[O-])ccn1 ZINC001605591973 973892258 /nfs/dbraw/zinc/89/22/58/973892258.db2.gz LNILLWDCBWVPMC-LBPRGKRZSA-N 0 1 289.291 0.659 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N1CC[N@@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588369892 983318123 /nfs/dbraw/zinc/31/81/23/983318123.db2.gz ZTWAKGBOQOBEES-RYUDHWBXSA-N 0 1 281.356 0.446 20 30 CCEDMN C#CC[C@@H]1CC[N@H+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])C1 ZINC001588434651 983471362 /nfs/dbraw/zinc/47/13/62/983471362.db2.gz PMNRMMSKEIPCSQ-CHWSQXEVSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1CC[C@H](C)C[C@@H]1C(=O)[O-] ZINC001588479548 983520730 /nfs/dbraw/zinc/52/07/30/983520730.db2.gz SGNIADQYTFZPNK-WDEREUQCSA-N 0 1 252.314 0.311 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588534515 983585778 /nfs/dbraw/zinc/58/57/78/983585778.db2.gz HIYSRXYRKUPUPK-KOLCDFICSA-N 0 1 266.301 0.160 20 30 CCEDMN CC[N@H+](CC(=O)[O-])C[C@H](O)COc1ccccc1C#N ZINC001596225236 983616785 /nfs/dbraw/zinc/61/67/85/983616785.db2.gz VOOYVMNFNFNKLP-LBPRGKRZSA-N 0 1 278.308 0.704 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[C@@H]2C[N@H+](C)C[C@@H]21 ZINC001588613652 983704848 /nfs/dbraw/zinc/70/48/48/983704848.db2.gz BCRLTYSWVVIIEQ-MNOVXSKESA-N 0 1 252.314 0.570 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](n2ccnn2)CC1 ZINC001588662953 983792625 /nfs/dbraw/zinc/79/26/25/983792625.db2.gz IWXMOHXSUFEEQT-MNOVXSKESA-N 0 1 250.302 0.944 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](NC(=O)N(C)C)C1 ZINC001588663784 983796764 /nfs/dbraw/zinc/79/67/64/983796764.db2.gz PNCGBCAGGIPWPX-NXEZZACHSA-N 0 1 255.318 0.361 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CC[C@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC001588664149 983798808 /nfs/dbraw/zinc/79/88/08/983798808.db2.gz UAUWRYWUWXBOPX-IONNQARKSA-N 0 1 265.269 0.316 20 30 CCEDMN C=C[C@H](C(=O)[O-])N1CCC([N@H+]2CC[C@H](O)C2)CC1 ZINC001588664156 983799112 /nfs/dbraw/zinc/79/91/12/983799112.db2.gz UHIZMYZXIGSRDS-NWDGAFQWSA-N 0 1 254.330 0.157 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC001588668908 983807941 /nfs/dbraw/zinc/80/79/41/983807941.db2.gz CJIBDNVQUIKIRR-YNEHKIRRSA-N 0 1 289.335 0.476 20 30 CCEDMN C=C[C@@H]1CCCC[N@@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001588719862 983963352 /nfs/dbraw/zinc/96/33/52/983963352.db2.gz ZQFIWUKHXHTCPS-LLVKDONJSA-N 0 1 254.330 0.960 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001588730988 984004489 /nfs/dbraw/zinc/00/44/89/984004489.db2.gz ATOVYDWXWLGBRF-KXUCPTDWSA-N 0 1 254.286 0.446 20 30 CCEDMN C=CCCOCC(=O)N1CC[N@@H+](CCC(=O)[O-])[C@H](C)C1 ZINC001588810505 984267721 /nfs/dbraw/zinc/26/77/21/984267721.db2.gz UULBWEPNBKMKLB-GFCCVEGCSA-N 0 1 284.356 0.587 20 30 CCEDMN C=CCOC[C@H](NC(=O)CC[N@H+]1CC[C@H](F)C1)C(=O)[O-] ZINC001588854014 984388288 /nfs/dbraw/zinc/38/82/88/984388288.db2.gz NXYOJEBFTKQWPG-QWRGUYRKSA-N 0 1 288.319 0.192 20 30 CCEDMN CC(=NN1CC[NH+](C)CC1)c1ncc(C(=O)[O-])s1 ZINC001589011130 984987333 /nfs/dbraw/zinc/98/73/33/984987333.db2.gz PWGAYLHCALWYAK-UHFFFAOYSA-N 0 1 268.342 0.813 20 30 CCEDMN C[N@@H+](CCC(N)=O)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001598470605 992019759 /nfs/dbraw/zinc/01/97/59/992019759.db2.gz KTGYDFPNBBWEJB-GFCCVEGCSA-N 0 1 261.281 0.491 20 30 CCEDMN C[N@@H+](CCOCCO)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001598497946 992389532 /nfs/dbraw/zinc/38/95/32/992389532.db2.gz LAZQCLHDGFXXHQ-CYBMUJFWSA-N 0 1 278.308 0.625 20 30 CCEDMN C[N@H+](CCOCCO)Cc1cccc(C(=O)[O-])c1C#N ZINC001598498063 992391105 /nfs/dbraw/zinc/39/11/05/992391105.db2.gz OHKKNXQHUBWQMY-UHFFFAOYSA-N 0 1 278.308 0.697 20 30 CCEDMN C[N@@H+](CCOCCO)Cc1cccc(C(=O)[O-])c1C#N ZINC001598498063 992391115 /nfs/dbraw/zinc/39/11/15/992391115.db2.gz OHKKNXQHUBWQMY-UHFFFAOYSA-N 0 1 278.308 0.697 20 30 CCEDMN CNC(=O)[C@@H]1CC[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC001598639580 994297598 /nfs/dbraw/zinc/29/75/98/994297598.db2.gz YMDCYMDMFHBBBF-CHWSQXEVSA-N 0 1 287.319 0.752 20 30 CCEDMN COC(=O)[C@@H]1CC[N@@H+](CCO[C@H](C)C#N)[C@H](C(=O)[O-])C1 ZINC001598800161 996133482 /nfs/dbraw/zinc/13/34/82/996133482.db2.gz NAAYBMCFLPLSML-MXWKQRLJSA-N 0 1 284.312 0.253 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](CCCSCC#N)C[C@H]1C(=O)[O-] ZINC001598820245 996317613 /nfs/dbraw/zinc/31/76/13/996317613.db2.gz HCPZPPXMHJROJF-ZJUUUORDSA-N 0 1 286.353 0.439 20 30 CCEDMN CC1(C#N)CC[NH+]([C@@H]2CCN(CCC(=O)[O-])C2=O)CC1 ZINC001593743380 996367902 /nfs/dbraw/zinc/36/79/02/996367902.db2.gz WEPXYLVFCAPSBM-LLVKDONJSA-N 0 1 279.340 0.688 20 30 CCEDMN COC(=O)CC[C@@H](C(=O)[O-])[N@@H+](C)CC(C)(C)C#N ZINC001598845707 996564528 /nfs/dbraw/zinc/56/45/28/996564528.db2.gz DYOFTLBMLRYBNL-VIFPVBQESA-N 0 1 256.302 0.874 20 30 CCEDMN COCC(COC)[NH2+][C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001599166944 998556150 /nfs/dbraw/zinc/55/61/50/998556150.db2.gz QWTIXJLSGLPMBX-CYBMUJFWSA-N 0 1 278.308 0.935 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CC[C@@H]2CC[C@@H](O)[C@@H]21)C1CC1 ZINC000599680914 361783900 /nfs/dbraw/zinc/78/39/00/361783900.db2.gz JRMYNMHFLIDHAJ-BTQDYEIMSA-N 0 1 277.368 0.640 20 30 CCEDMN COc1ccc([C@H](NCC(=O)NCC#N)C2CC2)cn1 ZINC000599698837 361792507 /nfs/dbraw/zinc/79/25/07/361792507.db2.gz LXSSVABVZQPMHC-CQSZACIVSA-N 0 1 274.324 0.771 20 30 CCEDMN C#CCN1CCC(Oc2ccnc(C(N)=O)c2)CC1 ZINC000093260117 349533681 /nfs/dbraw/zinc/53/36/81/349533681.db2.gz QSSZETVLVBIVFH-UHFFFAOYSA-N 0 1 259.309 0.657 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CC[C@H](N2CC[C@H](O)C2)C1 ZINC000599938675 361835400 /nfs/dbraw/zinc/83/54/00/361835400.db2.gz DNKGSWONLRGVQT-RYUDHWBXSA-N 0 1 289.335 0.741 20 30 CCEDMN N#Cc1cscc1C(=O)N1CC[C@H](N2CC[C@@H](O)C2)C1 ZINC000599940079 361836380 /nfs/dbraw/zinc/83/63/80/361836380.db2.gz KRXFNYCDUMJUID-NWDGAFQWSA-N 0 1 291.376 0.901 20 30 CCEDMN N#Cc1nc(NC[C@H]2COCCN2)ccc1Cl ZINC000600954331 362099748 /nfs/dbraw/zinc/09/97/48/362099748.db2.gz YIHJBCVPZPLRMF-QMMMGPOBSA-N 0 1 252.705 0.429 20 30 CCEDMN N#Cc1c[nH]c(=O)n(Cc2cccc3c2CCOC3)c1=O ZINC000601083332 362145923 /nfs/dbraw/zinc/14/59/23/362145923.db2.gz DRUGEDHDJVYHIY-UHFFFAOYSA-N 0 1 283.287 0.529 20 30 CCEDMN COC(=O)[C@H](C)NC(=O)[C@H](C)NCc1ccc(C#N)cc1 ZINC000601146908 362158216 /nfs/dbraw/zinc/15/82/16/362158216.db2.gz RPTIFDGHVZVSFW-QWRGUYRKSA-N 0 1 289.335 0.714 20 30 CCEDMN Cc1nc(CN2CCN(c3ccnc(C#N)c3)CC2)n[nH]1 ZINC000329173841 136379232 /nfs/dbraw/zinc/37/92/32/136379232.db2.gz ASTIAZPVKNPJFU-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN CCc1nc(CNC(=O)c2cc(C)c(C#N)cn2)n[nH]1 ZINC000457810219 529739581 /nfs/dbraw/zinc/73/95/81/529739581.db2.gz HRYNAYYTPTVTHS-UHFFFAOYSA-N 0 1 270.296 0.872 20 30 CCEDMN CNC(=O)CCCN(C)CC(=O)NC1(C#N)CCC1 ZINC000346965268 529851442 /nfs/dbraw/zinc/85/14/42/529851442.db2.gz BVHOUGZAMUHAPC-UHFFFAOYSA-N 0 1 266.345 0.007 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC000601651861 362341113 /nfs/dbraw/zinc/34/11/13/362341113.db2.gz JOYPHHUNPXIZOW-SNVBAGLBSA-N 0 1 288.229 0.919 20 30 CCEDMN COC(=O)[C@]1(C)C[C@H](OC)CN1C(=O)C(C)C#N ZINC000601738424 362373715 /nfs/dbraw/zinc/37/37/15/362373715.db2.gz YYOUVVAGIIYMJC-AUTRQRHGSA-N 0 1 254.286 0.325 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N[C@@H]1CCCn2nccc21 ZINC000601941811 362431044 /nfs/dbraw/zinc/43/10/44/362431044.db2.gz PUFMKGQCMOMYFT-GHMZBOCLSA-N 0 1 291.355 0.708 20 30 CCEDMN CNS(=O)(=O)CCCNCc1cccc(F)c1C#N ZINC000602017920 362459494 /nfs/dbraw/zinc/45/94/94/362459494.db2.gz STZYCZWWAIPPCL-UHFFFAOYSA-N 0 1 285.344 0.726 20 30 CCEDMN CN(CCc1ccccc1)CC(=O)N1CCO[C@@H](C#N)C1 ZINC000602099161 362486528 /nfs/dbraw/zinc/48/65/28/362486528.db2.gz WEHXFLUDORMRQE-HNNXBMFYSA-N 0 1 287.363 0.912 20 30 CCEDMN CN1CC(=O)N(CC(=O)N[C@@H]2CCCc3cn[nH]c32)C1=O ZINC000330123335 530046720 /nfs/dbraw/zinc/04/67/20/530046720.db2.gz KUFUTQVYQRXQOJ-SECBINFHSA-N 0 1 291.311 0.638 20 30 CCEDMN CN1CC[N@@H+](C)C[C@H]1CNC(=O)N=c1cn[n-]s1 ZINC000330204983 530071016 /nfs/dbraw/zinc/07/10/16/530071016.db2.gz CBCQZWJNGYNIBN-MRVPVSSYSA-N 0 1 270.362 0.110 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CCN(CCC#N)CC1 ZINC000602206130 362558144 /nfs/dbraw/zinc/55/81/44/362558144.db2.gz OGZADKVZQCSMDH-CQSZACIVSA-N 0 1 294.399 0.013 20 30 CCEDMN C=CCOCCCN(C)CC(=O)N1CCOCC1 ZINC000347966537 265138875 /nfs/dbraw/zinc/13/88/75/265138875.db2.gz NQYRUHITWTWWPL-UHFFFAOYSA-N 0 1 256.346 0.370 20 30 CCEDMN CCO[C@H](C(=O)N=c1[nH]n(C)c(C)c1C#N)C1CC1 ZINC000602347397 362594037 /nfs/dbraw/zinc/59/40/37/362594037.db2.gz GDFBSDVHAIKUHH-NSHDSACASA-N 0 1 262.313 0.776 20 30 CCEDMN C[C@@H](O)C(=O)N1CCN(C[C@@H](C)CCC#N)CC1 ZINC000602484065 362639824 /nfs/dbraw/zinc/63/98/24/362639824.db2.gz LBPHDCZYMPJJBV-NWDGAFQWSA-N 0 1 253.346 0.451 20 30 CCEDMN N#Cc1ncn(CCNCc2cc3c(cn2)OCCO3)n1 ZINC000602653593 362734469 /nfs/dbraw/zinc/73/44/69/362734469.db2.gz HCXHYOFQMYNQKC-UHFFFAOYSA-N 0 1 286.295 0.106 20 30 CCEDMN C[C@H]1OCC[C@H]1N(CCO)Cc1cccc(C#N)n1 ZINC000602683359 362746388 /nfs/dbraw/zinc/74/63/88/362746388.db2.gz VJPDRLAYSXZIIB-BXUZGUMPSA-N 0 1 261.325 0.925 20 30 CCEDMN CO[C@@H]1CN(CC2(CC#N)CC2)C[C@H]1c1c[nH]nn1 ZINC000602848325 362836301 /nfs/dbraw/zinc/83/63/01/362836301.db2.gz YMPZANGWDGRXKN-CMPLNLGQSA-N 0 1 261.329 0.913 20 30 CCEDMN CCOC(=O)[C@@](C)(O)CN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854385 362840878 /nfs/dbraw/zinc/84/08/78/362840878.db2.gz OOLRTRSTFXLUEJ-SCRDCRAPSA-N 0 1 283.372 0.219 20 30 CCEDMN CN(CC(=O)N1CCNCC1)c1nc2c(cc1C#N)CCC2 ZINC000261824576 137021661 /nfs/dbraw/zinc/02/16/61/137021661.db2.gz BAFGIPPXXMWPPI-UHFFFAOYSA-N 0 1 299.378 0.310 20 30 CCEDMN CN1C[C@@H](NCc2cnc3ccc(C#N)cn23)CC1=O ZINC000343886856 137164449 /nfs/dbraw/zinc/16/44/49/137164449.db2.gz JFUGGTZQTLOMLZ-NSHDSACASA-N 0 1 269.308 0.526 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)[C@H](C)n2cnc(C#N)n2)[C@@H](C)C1 ZINC000344470064 137196339 /nfs/dbraw/zinc/19/63/39/137196339.db2.gz VDSGBGHVDOWYPJ-SRVKXCTJSA-N 0 1 290.371 0.652 20 30 CCEDMN CN(C)c1noc(CNS(=O)(=O)c2ccc(C#N)o2)n1 ZINC000344671028 137207071 /nfs/dbraw/zinc/20/70/71/137207071.db2.gz TVFCPZPSCLNMMA-UHFFFAOYSA-N 0 1 297.296 0.079 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC2(CC1)OCCO2 ZINC000026938647 348095960 /nfs/dbraw/zinc/09/59/60/348095960.db2.gz CAVIGQPDBCLTBH-NSHDSACASA-N 0 1 297.355 0.226 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H](C)[C@H]1O ZINC000602884524 362862536 /nfs/dbraw/zinc/86/25/36/362862536.db2.gz BWOFLECMCLMBKD-JZYVYDRUSA-N 0 1 277.328 0.194 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2C[C@H]3COC[C@@]3(C)C2)[nH]1 ZINC000602886106 362864923 /nfs/dbraw/zinc/86/49/23/362864923.db2.gz JDGCYVPVAFWEQJ-GXFFZTMASA-N 0 1 275.312 0.214 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@H](F)C2)[nH]1 ZINC000602887715 362866324 /nfs/dbraw/zinc/86/63/24/362866324.db2.gz QQCQCZYMHMLPFI-VIFPVBQESA-N 0 1 251.265 0.679 20 30 CCEDMN N#Cc1csc(C(=O)N2CCc3[nH]nnc3C2)c1 ZINC000346030370 137265214 /nfs/dbraw/zinc/26/52/14/137265214.db2.gz VTVIGYVTWKXCQX-UHFFFAOYSA-N 0 1 259.294 0.936 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](C)C[C@H]1CO ZINC000602931282 362892157 /nfs/dbraw/zinc/89/21/57/362892157.db2.gz OPRGNQAHZCAELZ-OLZOCXBDSA-N 0 1 267.373 0.841 20 30 CCEDMN CO[C@H]1CN(Cc2ccc(C#N)cn2)[C@@](C)(CO)C1 ZINC000602964267 362910668 /nfs/dbraw/zinc/91/06/68/362910668.db2.gz ODKZQEYVOCEDSL-ZIAGYGMSSA-N 0 1 261.325 0.925 20 30 CCEDMN COc1ccc(CN2[C@H](CO)CC[C@H]2CO)cc1C#N ZINC000347315652 137330762 /nfs/dbraw/zinc/33/07/62/137330762.db2.gz QHDSMGWPXFJZSU-KBPBESRZSA-N 0 1 276.336 0.884 20 30 CCEDMN COC(=O)c1cc(CNCCn2cnc(C#N)n2)oc1C ZINC000348864874 137379627 /nfs/dbraw/zinc/37/96/27/137379627.db2.gz CHDOQMYHSRBXHA-UHFFFAOYSA-N 0 1 289.295 0.628 20 30 CCEDMN COC1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000053907060 348536412 /nfs/dbraw/zinc/53/64/12/348536412.db2.gz LCMFMPLGYWQZBV-NSHDSACASA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@@H](O)CCN(C)CC(=O)N(CCC#N)CCC#N ZINC000072712934 348929686 /nfs/dbraw/zinc/92/96/86/348929686.db2.gz VKZMZTLERLQRLH-GFCCVEGCSA-N 0 1 266.345 0.345 20 30 CCEDMN N#CCNC(=O)CSc1nc(-c2ccccn2)n[nH]1 ZINC000078768040 349152379 /nfs/dbraw/zinc/15/23/79/349152379.db2.gz UFINTTSIDPTGSA-UHFFFAOYSA-N 0 1 274.309 0.599 20 30 CCEDMN Cn1cc([C@H](CO)NCCOc2cccc(C#N)c2)cn1 ZINC000273997098 192395606 /nfs/dbraw/zinc/39/56/06/192395606.db2.gz KGEWWESPGVPONK-HNNXBMFYSA-N 0 1 286.335 0.994 20 30 CCEDMN C[C@@H](CNC(=O)c1cc(C#N)cn1C)N1CCN(C)CC1 ZINC000081758827 349282291 /nfs/dbraw/zinc/28/22/91/349282291.db2.gz UDJAKTUJXNRYHU-LBPRGKRZSA-N 0 1 289.383 0.262 20 30 CCEDMN C[C@@H](CNC(=O)c1cc(C#N)cs1)N1CCN(C)CC1 ZINC000084186894 349316775 /nfs/dbraw/zinc/31/67/75/349316775.db2.gz KGOTWNCBOXFKMD-NSHDSACASA-N 0 1 292.408 0.985 20 30 CCEDMN C=CCC[C@H](O)CN(CC(=O)OC)C1CCOCC1 ZINC000132523211 350310379 /nfs/dbraw/zinc/31/03/79/350310379.db2.gz FSXDDPJNGIRQCU-ZDUSSCGKSA-N 0 1 271.357 0.968 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)C(C)(C)CO ZINC000134845745 350378049 /nfs/dbraw/zinc/37/80/49/350378049.db2.gz IZDQJPPPADXBCQ-CYBMUJFWSA-N 0 1 253.346 0.498 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCC(=O)OC(C)(C)C ZINC000150899723 350486668 /nfs/dbraw/zinc/48/66/68/350486668.db2.gz IPOUEMHWHQGUPZ-LLVKDONJSA-N 0 1 268.357 0.788 20 30 CCEDMN CC#CCCN1CCN(c2ncnc3c2cnn3C)CC1 ZINC000155047554 350608595 /nfs/dbraw/zinc/60/85/95/350608595.db2.gz XLGJVKSUONYIFG-UHFFFAOYSA-N 0 1 284.367 0.899 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)c1[nH]nc2c1CCCC2 ZINC000156241427 350628157 /nfs/dbraw/zinc/62/81/57/350628157.db2.gz YMDKQVFRLSZXAL-CYBMUJFWSA-N 0 1 262.313 0.947 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC12CCOCC2 ZINC000618318344 365987388 /nfs/dbraw/zinc/98/73/88/365987388.db2.gz SJSRLUBHULLTIE-UHFFFAOYSA-N 0 1 267.329 0.253 20 30 CCEDMN Cc1ccc(C#N)c(N2CCN(C(=O)CN(C)C)CC2)n1 ZINC000160534216 350701799 /nfs/dbraw/zinc/70/17/99/350701799.db2.gz CFKZRNBTHKFIHT-UHFFFAOYSA-N 0 1 287.367 0.472 20 30 CCEDMN C=CCNC(=O)CN(C[C@H](C)C(=O)OC)C1CC1 ZINC000171301151 350899340 /nfs/dbraw/zinc/89/93/40/350899340.db2.gz YCGWWOUEYCMNCE-JTQLQIEISA-N 0 1 254.330 0.562 20 30 CCEDMN CN[C@H](C)c1cn(CC(=O)NCc2ccc(C#N)cc2)nn1 ZINC000603209377 363000919 /nfs/dbraw/zinc/00/09/19/363000919.db2.gz FEZHREBOALMMKW-LLVKDONJSA-N 0 1 298.350 0.747 20 30 CCEDMN C[C@H](CS(C)(=O)=O)NCc1cc(C#N)n(C)c1 ZINC000189594615 351692087 /nfs/dbraw/zinc/69/20/87/351692087.db2.gz XJJGFQJZKHYBGO-SECBINFHSA-N 0 1 255.343 0.419 20 30 CCEDMN CC[C@@H](O)CN1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC000191346308 351754676 /nfs/dbraw/zinc/75/46/76/351754676.db2.gz FFMNUONOQQQWCF-OAHLLOKOSA-N 0 1 276.384 0.785 20 30 CCEDMN C[C@@H](NC(=O)COc1ccc(C#N)cc1)c1nnc[nH]1 ZINC000225062963 351999330 /nfs/dbraw/zinc/99/93/30/351999330.db2.gz JZSSERHKMVNGNM-SECBINFHSA-N 0 1 271.280 0.933 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)CNCc2ccncn2)cc1 ZINC000236553362 352197186 /nfs/dbraw/zinc/19/71/86/352197186.db2.gz YJEJTYYKJYIFOT-CQSZACIVSA-N 0 1 284.319 0.878 20 30 CCEDMN COc1cc(CN(CCO)CCO)ccc1C#N ZINC000234809116 352172064 /nfs/dbraw/zinc/17/20/64/352172064.db2.gz OKHNWOBORLUJAY-UHFFFAOYSA-N 0 1 250.298 0.353 20 30 CCEDMN C[C@H]1CN(C(=O)NCCOc2ccc(C#N)cc2)CCN1 ZINC000417757490 234002866 /nfs/dbraw/zinc/00/28/66/234002866.db2.gz FUSXRVDTOMVNED-LBPRGKRZSA-N 0 1 288.351 0.940 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)CSc1n[nH]c(=S)s1 ZINC000273494653 192175554 /nfs/dbraw/zinc/17/55/54/192175554.db2.gz OWFRVTXTDZPKNT-LURJTMIESA-N 0 1 291.423 0.972 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(CC)C[C@H]1CCCO1 ZINC000270735675 352975840 /nfs/dbraw/zinc/97/58/40/352975840.db2.gz OZJWYCVVPSKVPT-NWDGAFQWSA-N 0 1 283.372 0.888 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1ccc(C(N)=O)cc1 ZINC000272889065 353104138 /nfs/dbraw/zinc/10/41/38/353104138.db2.gz XKBRVXUROHIEOH-UHFFFAOYSA-N 0 1 282.365 0.476 20 30 CCEDMN C#CCC(CC#C)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000277629528 353255347 /nfs/dbraw/zinc/25/53/47/353255347.db2.gz JFNKENFKIUMYRH-AWEZNQCLSA-N 0 1 275.396 0.401 20 30 CCEDMN C#CC[C@@H](NCc1cc(=O)n(C)c(=O)n1C)c1ccccc1 ZINC000271688568 191276935 /nfs/dbraw/zinc/27/69/35/191276935.db2.gz JURQRWRLYKJALL-OAHLLOKOSA-N 0 1 297.358 0.938 20 30 CCEDMN C#CC[C@@H](NCC(=O)N1CCNC(=O)C1)c1ccccc1 ZINC000271687445 191278011 /nfs/dbraw/zinc/27/80/11/191278011.db2.gz JRKDKZHNWOSSKN-CQSZACIVSA-N 0 1 285.347 0.299 20 30 CCEDMN CC[C@H](O)CN1CCN(c2ccnc(C#N)c2)CC1 ZINC000283974178 353540978 /nfs/dbraw/zinc/54/09/78/353540978.db2.gz ZOCONMPMCBFDPK-AWEZNQCLSA-N 0 1 260.341 0.846 20 30 CCEDMN N#Cc1nccnc1N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000285509728 353559779 /nfs/dbraw/zinc/55/97/79/353559779.db2.gz FVUZPDJFDPUVRO-MRVPVSSYSA-N 0 1 272.268 0.409 20 30 CCEDMN CN(C[C@H](O)c1ccc(C#N)cc1)[C@@H]1COC[C@H]1O ZINC000286176190 353566655 /nfs/dbraw/zinc/56/66/55/353566655.db2.gz WXXSIMYEKFIUCF-HZSPNIEDSA-N 0 1 262.309 0.283 20 30 CCEDMN C=CCN(C(=O)[C@H]1CCN(C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000521071512 260395694 /nfs/dbraw/zinc/39/56/94/260395694.db2.gz QNIGHGHXKCROMZ-NWDGAFQWSA-N 0 1 286.397 0.140 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C2)nc1 ZINC000293793861 353839847 /nfs/dbraw/zinc/83/98/47/353839847.db2.gz SKCGNAOEJVDMPV-CYBMUJFWSA-N 0 1 297.318 0.899 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](CC(C)(C)C(N)=O)C1 ZINC000295442415 353895010 /nfs/dbraw/zinc/89/50/10/353895010.db2.gz DQXGFCARYFMTIH-GFCCVEGCSA-N 0 1 281.400 0.902 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H](C)c1cnn(C)c1 ZINC000296303739 353940873 /nfs/dbraw/zinc/94/08/73/353940873.db2.gz FUECRICLKJDCCP-GFCCVEGCSA-N 0 1 277.372 0.735 20 30 CCEDMN CN(C[C@@H](O)COc1ccc(C#N)cc1)C(C)(C)C(N)=O ZINC000295565108 353904136 /nfs/dbraw/zinc/90/41/36/353904136.db2.gz YFFDAQSNLHEHOI-GFCCVEGCSA-N 0 1 291.351 0.494 20 30 CCEDMN N#Cc1ccc(N2CCN(C(=O)c3ccn[nH]3)CC2)nc1 ZINC000298947897 353979194 /nfs/dbraw/zinc/97/91/94/353979194.db2.gz JJZGKCGEWFTTHR-UHFFFAOYSA-N 0 1 282.307 0.639 20 30 CCEDMN CCN1CCC(NS(=O)(=O)c2ccc(C#N)nc2)CC1 ZINC000299659062 354037248 /nfs/dbraw/zinc/03/72/48/354037248.db2.gz YYMMLIUWYWHZSK-UHFFFAOYSA-N 0 1 294.380 0.716 20 30 CCEDMN N#Cc1nccnc1NCCCCN1CCOCC1 ZINC000301151410 354071356 /nfs/dbraw/zinc/07/13/56/354071356.db2.gz DFTRMBWTMQZIHM-UHFFFAOYSA-N 0 1 261.329 0.873 20 30 CCEDMN C#CCN(CC#C)C[C@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000304337536 354113743 /nfs/dbraw/zinc/11/37/43/354113743.db2.gz XKUREBSFYVQMCS-ZNMIVQPWSA-N 0 1 264.369 0.025 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N[C@H]1CCCN(C)[C@H]1C ZINC000336884908 355219377 /nfs/dbraw/zinc/21/93/77/355219377.db2.gz FCJWKCPRHOAQNJ-WOPDTQHZSA-N 0 1 288.417 0.395 20 30 CCEDMN C[C@H](CO)N(C)CC(=O)NCc1ccc(C#N)cc1 ZINC000338600850 355376745 /nfs/dbraw/zinc/37/67/45/355376745.db2.gz ZHDVMVWVHUUXMT-LLVKDONJSA-N 0 1 261.325 0.487 20 30 CCEDMN C[C@@H]1OCC[C@]1(O)CNCc1nc(C#N)cs1 ZINC000352907962 356109398 /nfs/dbraw/zinc/10/93/98/356109398.db2.gz WHXDVERWZCHCBA-KWQFWETISA-N 0 1 253.327 0.644 20 30 CCEDMN CSc1nc(=NC(=O)c2cc(C#N)ccn2)[nH][nH]1 ZINC000352804039 356085117 /nfs/dbraw/zinc/08/51/17/356085117.db2.gz KGDFWWRAWZYWOY-UHFFFAOYSA-N 0 1 260.282 0.467 20 30 CCEDMN C[C@@H]1CN(c2nccnc2C#N)CCN1CC1(C)COC1 ZINC000362465497 138061083 /nfs/dbraw/zinc/06/10/83/138061083.db2.gz UHCDCTAAQJBQAY-GFCCVEGCSA-N 0 1 287.367 0.895 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1cccc(C#N)c1)c1nn[nH]n1 ZINC000273596986 192223883 /nfs/dbraw/zinc/22/38/83/192223883.db2.gz WQCISIVIHBUGJO-VIFPVBQESA-N 0 1 270.296 0.947 20 30 CCEDMN C[C@H]1CCN(C)CCN1S(=O)(=O)CCCC#N ZINC000354490214 356424234 /nfs/dbraw/zinc/42/42/34/356424234.db2.gz QCOXBHHXZJWVGU-NSHDSACASA-N 0 1 259.375 0.646 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)c2ccn(C)c(=O)c2)[nH]1 ZINC000354899927 356524787 /nfs/dbraw/zinc/52/47/87/356524787.db2.gz WRAQANVOCGLISS-UHFFFAOYSA-N 0 1 285.307 0.709 20 30 CCEDMN N#Cc1cc(C(=O)NC2(c3nn[nH]n3)CC2)ccc1F ZINC000355026921 356552994 /nfs/dbraw/zinc/55/29/94/356552994.db2.gz YLRHUHGKNQDKJU-UHFFFAOYSA-N 0 1 272.243 0.630 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@H](CCO)C1 ZINC000609204280 363478319 /nfs/dbraw/zinc/47/83/19/363478319.db2.gz ZOVJJPDWMJCSJZ-NWDGAFQWSA-N 0 1 283.372 0.481 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2ccc(C#N)nc2)C1 ZINC000360211004 356971102 /nfs/dbraw/zinc/97/11/02/356971102.db2.gz ATTSIZSWKHOKFN-ZDUSSCGKSA-N 0 1 274.324 0.404 20 30 CCEDMN CC[C@@H](C[C@H](C)CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610564013 363577653 /nfs/dbraw/zinc/57/76/53/363577653.db2.gz JRIHQARBQADJON-ONGXEEELSA-N 0 1 279.344 0.632 20 30 CCEDMN Cn1cc(CN2C[C@@H]3CCC[C@H]3[C@H]2C(N)=O)cc1C#N ZINC000364586256 357123015 /nfs/dbraw/zinc/12/30/15/357123015.db2.gz WPOLTTBKMCHPEE-YUTCNCBUSA-N 0 1 272.352 0.983 20 30 CCEDMN COCCOCCCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610564345 363579026 /nfs/dbraw/zinc/57/90/26/363579026.db2.gz NPDODTUMLBOCMD-UHFFFAOYSA-N 0 1 295.343 0.278 20 30 CCEDMN CC[C@H](C#N)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000394797204 357758765 /nfs/dbraw/zinc/75/87/65/357758765.db2.gz LXBLXGOXMHWKHO-NEPJUHHUSA-N 0 1 250.346 0.042 20 30 CCEDMN N#CC[C@H]1CCCN(S(=O)(=O)c2ncc[nH]2)C1 ZINC000397676530 357771980 /nfs/dbraw/zinc/77/19/80/357771980.db2.gz RUNJARHCYYSYAQ-SECBINFHSA-N 0 1 254.315 0.724 20 30 CCEDMN Cn1cc(CN[C@H]2CCN(S(C)(=O)=O)C2)cc1C#N ZINC000400284046 357793464 /nfs/dbraw/zinc/79/34/64/357793464.db2.gz SJGPUFBLKNUQGI-NSHDSACASA-N 0 1 282.369 0.020 20 30 CCEDMN CC#CCN(C)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000294351627 199008670 /nfs/dbraw/zinc/00/86/70/199008670.db2.gz AYIOBQUFOHSUHP-UHFFFAOYSA-N 0 1 272.312 0.271 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCCO[C@@H]2CCOC2)[nH]1 ZINC000610698506 363600771 /nfs/dbraw/zinc/60/07/71/363600771.db2.gz MNSBWCGCSAMEDE-LLVKDONJSA-N 0 1 293.327 0.031 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC000511604009 358334863 /nfs/dbraw/zinc/33/48/63/358334863.db2.gz JQRVSLRHNPVMQL-YNEHKIRRSA-N 0 1 267.373 0.840 20 30 CCEDMN COC(=O)[C@]1(C)CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610744194 363606685 /nfs/dbraw/zinc/60/66/85/363606685.db2.gz NTCMQJGGCOOEJQ-CYBMUJFWSA-N 0 1 291.311 0.131 20 30 CCEDMN CC[C@H](CC#N)NC[C@H](O)CN1C[C@H](C)O[C@@H](C)C1 ZINC000572056278 358927010 /nfs/dbraw/zinc/92/70/10/358927010.db2.gz RGSRGBJDQOKABV-FQUUOJAGSA-N 0 1 269.389 0.738 20 30 CCEDMN C=CCn1cc(CNC(=O)N2CCN(C)C[C@H]2CC)nn1 ZINC000573319648 358955787 /nfs/dbraw/zinc/95/57/87/358955787.db2.gz WNWPVAUQASZLOP-CYBMUJFWSA-N 0 1 292.387 0.700 20 30 CCEDMN C[C@H](NC[C@H](C#N)CCC#N)[C@H]1CN(C)CCO1 ZINC000610897273 363628547 /nfs/dbraw/zinc/62/85/47/363628547.db2.gz ALFIQFPKXWDQFA-RWMBFGLXSA-N 0 1 250.346 0.739 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](c3nccn3C)C2)C1=O ZINC000584788708 359208838 /nfs/dbraw/zinc/20/88/38/359208838.db2.gz OYGLVXLTZBRROZ-QWHCGFSZSA-N 0 1 274.368 0.996 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2(CCO)CCC2)[nH]1 ZINC000610876827 363624682 /nfs/dbraw/zinc/62/46/82/363624682.db2.gz MFFHUGJEXWUETD-UHFFFAOYSA-N 0 1 277.328 0.388 20 30 CCEDMN CC[C@H]1CN(C)CCN1CC(=O)N(CC)CCC#N ZINC000584877412 359222702 /nfs/dbraw/zinc/22/27/02/359222702.db2.gz MMLSSYLECFNSTN-ZDUSSCGKSA-N 0 1 266.389 0.775 20 30 CCEDMN CS[C@H](C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611049977 363653330 /nfs/dbraw/zinc/65/33/30/363653330.db2.gz NHVIZCTWMCEPPF-SSDOTTSWSA-N 0 1 253.331 0.587 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@H]2CCN(C3CC3)C2)n1 ZINC000589334704 359378888 /nfs/dbraw/zinc/37/88/88/359378888.db2.gz BDRCCFCWZVEEIJ-NSHDSACASA-N 0 1 256.309 0.920 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCc2cn[nH]c2C1 ZINC000611009476 363647626 /nfs/dbraw/zinc/64/76/26/363647626.db2.gz ZYEYUJVUECETCQ-AWEZNQCLSA-N 0 1 275.356 0.822 20 30 CCEDMN N#Cc1csc(C(=O)N2CC[C@H](N3CC[C@@H](O)C3)C2)c1 ZINC000588861880 359343674 /nfs/dbraw/zinc/34/36/74/359343674.db2.gz VVVYQJJHQJDLJC-NWDGAFQWSA-N 0 1 291.376 0.901 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)CCCCC#N)[C@H]2C1 ZINC000589928960 359410421 /nfs/dbraw/zinc/41/04/21/359410421.db2.gz ISHRALLSXHVKFI-NEPJUHHUSA-N 0 1 271.386 0.646 20 30 CCEDMN CN1C[C@H]2[C@@H](CCN2S(=O)(=O)CCCCC#N)C1 ZINC000589928962 359410743 /nfs/dbraw/zinc/41/07/43/359410743.db2.gz ISHRALLSXHVKFI-RYUDHWBXSA-N 0 1 271.386 0.646 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)CC3(C#N)CC3)CC2)n[nH]1 ZINC000590259738 359433718 /nfs/dbraw/zinc/43/37/18/359433718.db2.gz XQDRKSVSGYFXOP-UHFFFAOYSA-N 0 1 288.355 0.451 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@H](c3nccn3C)C2)[nH]1 ZINC000611127448 363661827 /nfs/dbraw/zinc/66/18/27/363661827.db2.gz JLVMPSYWBIYENV-JTQLQIEISA-N 0 1 299.338 0.469 20 30 CCEDMN N#CC1(CC(=O)N2CCN(C[C@H]3CCOC3)CC2)CC1 ZINC000590294398 359435944 /nfs/dbraw/zinc/43/59/44/359435944.db2.gz QGBDLAUDHIQMOK-CYBMUJFWSA-N 0 1 277.368 0.861 20 30 CCEDMN C[C@@H]1CN(C(=O)CC2(C#N)CC2)CCN1CC(C)(C)O ZINC000590335631 359442063 /nfs/dbraw/zinc/44/20/63/359442063.db2.gz WKPFFRQQRKGVPN-GFCCVEGCSA-N 0 1 279.384 0.984 20 30 CCEDMN N#Cc1ccc(NCC(=O)NCCCc2nc[nH]n2)cc1 ZINC000590346722 359443034 /nfs/dbraw/zinc/44/30/34/359443034.db2.gz CYRHACMFDRGKOT-UHFFFAOYSA-N 0 1 284.323 0.837 20 30 CCEDMN C[C@@H](C(=O)N1CCN(c2cnccc2C#N)CC1)N(C)C ZINC000590448996 359470556 /nfs/dbraw/zinc/47/05/56/359470556.db2.gz MREAGTRECSYYPQ-LBPRGKRZSA-N 0 1 287.367 0.552 20 30 CCEDMN N#CC1(CC(=O)N2CCC[C@@H](c3nn[nH]n3)C2)CC1 ZINC000590312776 359438553 /nfs/dbraw/zinc/43/85/53/359438553.db2.gz XLZJOVYQYJXTON-SECBINFHSA-N 0 1 260.301 0.600 20 30 CCEDMN CCN1C[C@@H](NCc2cnc3ccc(C#N)cn23)CC1=O ZINC000590659552 359503786 /nfs/dbraw/zinc/50/37/86/359503786.db2.gz GJIMDOQAPQVKBM-LBPRGKRZSA-N 0 1 283.335 0.916 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCSC[C@H]1CC(=O)OC ZINC000590701236 359509655 /nfs/dbraw/zinc/50/96/55/359509655.db2.gz RWMPAJQIMGHSNY-GHMZBOCLSA-N 0 1 259.371 0.904 20 30 CCEDMN CC(C)[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CCCO1 ZINC000590819823 359527615 /nfs/dbraw/zinc/52/76/15/359527615.db2.gz WTTSYUJVZKCINW-LBPRGKRZSA-N 0 1 291.355 0.992 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H]2CCCC[C@H]2O)[nH]1 ZINC000590819620 359527659 /nfs/dbraw/zinc/52/76/59/359527659.db2.gz OOMDWWGGPIOWAX-GXSJLCMTSA-N 0 1 277.328 0.386 20 30 CCEDMN C[C@H]1CN(Cc2ccnc(C#N)c2)[C@@H](C)CN1CCO ZINC000591377864 359586906 /nfs/dbraw/zinc/58/69/06/359586906.db2.gz QRFYZHDNPHBWRQ-STQMWFEESA-N 0 1 274.368 0.840 20 30 CCEDMN CO[C@@H]1CN(c2ccc(C#N)nc2)C[C@H]1c1cn[nH]n1 ZINC000591629870 359616944 /nfs/dbraw/zinc/61/69/44/359616944.db2.gz OFOJRTWNSGRIRW-WCQYABFASA-N 0 1 270.296 0.690 20 30 CCEDMN N#Cc1ccc(CN2CCC[C@@](O)(CC(N)=O)C2)cc1F ZINC000591630769 359617021 /nfs/dbraw/zinc/61/70/21/359617021.db2.gz LMPRAJZEPIZANV-OAHLLOKOSA-N 0 1 291.326 0.900 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NCCCN1CCCC1=O ZINC000297055565 200073185 /nfs/dbraw/zinc/07/31/85/200073185.db2.gz XPVWGCPMMXNEES-UHFFFAOYSA-N 0 1 294.399 0.253 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)N2CC[C@@](O)(CC#N)C2)n[nH]1 ZINC000591989233 359721353 /nfs/dbraw/zinc/72/13/53/359721353.db2.gz AQBSCMZGYCCZOD-YGRLFVJLSA-N 0 1 291.355 0.709 20 30 CCEDMN C[C@@H]1CCN(Cc2cnc3c(C#N)cnn3c2)[C@@H]1CO ZINC000563148902 291199457 /nfs/dbraw/zinc/19/94/57/291199457.db2.gz CEJLUMQRAQPKAE-ZWNOBZJWSA-N 0 1 271.324 0.804 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@H](O)COc2ccc(F)cc2)C1 ZINC000592144883 359764050 /nfs/dbraw/zinc/76/40/50/359764050.db2.gz KABCHSFSQNQKQH-DZGCQCFKSA-N 0 1 294.326 0.916 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)Nc1nn[nH]c1C(=O)NC ZINC000297676379 200259090 /nfs/dbraw/zinc/25/90/90/200259090.db2.gz HKUGLYAMZIOWLR-ZETCQYMHSA-N 0 1 251.290 0.705 20 30 CCEDMN COC(=O)[C@@H]1CCN(Cc2cnc3c(C#N)cnn3c2)[C@@H]1C ZINC000592509346 359865296 /nfs/dbraw/zinc/86/52/96/359865296.db2.gz KGOKWXOWBXIDCK-ZWNOBZJWSA-N 0 1 299.334 0.984 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H](C)Cc2cnn(C)c2)[nH]n1C ZINC000592648693 359908916 /nfs/dbraw/zinc/90/89/16/359908916.db2.gz GZROIVQZNMOSRR-VIFPVBQESA-N 0 1 286.339 0.573 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCc3ncncc3C2)[nH]n1C ZINC000592649312 359909494 /nfs/dbraw/zinc/90/94/94/359909494.db2.gz BZLAOPROFWFDBS-SNVBAGLBSA-N 0 1 296.334 0.556 20 30 CCEDMN CN(CC1CCOCC1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611245817 363697010 /nfs/dbraw/zinc/69/70/10/363697010.db2.gz YLTMIHOZSLDFNU-UHFFFAOYSA-N 0 1 277.328 0.604 20 30 CCEDMN CCC1(O)CCC(NC(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000611323053 363707831 /nfs/dbraw/zinc/70/78/31/363707831.db2.gz SHKITKMQIBVHTF-UHFFFAOYSA-N 0 1 291.355 0.919 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N1CCN(C2CCCC2)CC1 ZINC000297989511 200313175 /nfs/dbraw/zinc/31/31/75/200313175.db2.gz HXJVLAGWIFSFRT-UHFFFAOYSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CC[C@@H](N2CC=CC2)C1 ZINC000298047913 200323408 /nfs/dbraw/zinc/32/34/08/200323408.db2.gz WSKPOGNVPUJRFB-CQSZACIVSA-N 0 1 291.395 0.884 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN(C[C@@H]3CCC(=O)N3)C2)nc1 ZINC000593030693 360019580 /nfs/dbraw/zinc/01/95/80/360019580.db2.gz OXKWFTQVGVWDOI-STQMWFEESA-N 0 1 286.335 0.685 20 30 CCEDMN N#Cc1ccc2ncc(CN3CC(N4CC[C@@H](O)C4)C3)n2c1 ZINC000593680136 360133999 /nfs/dbraw/zinc/13/39/99/360133999.db2.gz QSTHPCWDPYFWPG-OAHLLOKOSA-N 0 1 297.362 0.457 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N1CCCNC[C@H]1C(=O)OC ZINC000593906955 360204096 /nfs/dbraw/zinc/20/40/96/360204096.db2.gz GSXDGNFIAGQXFP-RYUDHWBXSA-N 0 1 268.357 0.952 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CCN(CCOC)C1 ZINC000593911497 360207512 /nfs/dbraw/zinc/20/75/12/360207512.db2.gz OTSQCHNPSBRUNY-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN CCCNC(=O)CCCN1CCN(CCC#N)CC1 ZINC000593968604 360225071 /nfs/dbraw/zinc/22/50/71/360225071.db2.gz IFWDCWMFKRBIOE-UHFFFAOYSA-N 0 1 266.389 0.824 20 30 CCEDMN CNC(=O)NC(=O)CN[C@H](C)c1cccc(C#N)c1 ZINC000611389061 363711590 /nfs/dbraw/zinc/71/15/90/363711590.db2.gz PPOSASLFQMBKDS-SECBINFHSA-N 0 1 260.297 0.664 20 30 CCEDMN C[C@H](NCc1cc(=O)n(C)c(=O)n1C)c1cccc(C#N)c1 ZINC000611387100 363712768 /nfs/dbraw/zinc/71/27/68/363712768.db2.gz DZPJWKCLFFRFKA-NSHDSACASA-N 0 1 298.346 0.806 20 30 CCEDMN C[C@@H](Cc1ccc(C#N)cc1)C(=O)NCc1nn[nH]n1 ZINC000594288362 360268710 /nfs/dbraw/zinc/26/87/10/360268710.db2.gz VCWOBWRJFNGKPA-VIFPVBQESA-N 0 1 270.296 0.566 20 30 CCEDMN CC[N@H+]1CCCN(C(=O)N=c2[n-]n(C)cc2C#N)CC1 ZINC000611358070 363710388 /nfs/dbraw/zinc/71/03/88/363710388.db2.gz ARDDMDWTIJPEMG-UHFFFAOYSA-N 0 1 276.344 0.273 20 30 CCEDMN N#Cc1csc(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)c1 ZINC000594392651 360290597 /nfs/dbraw/zinc/29/05/97/360290597.db2.gz JKJXWBLVVLDGSO-WDEREUQCSA-N 0 1 277.349 0.511 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)NCC2(C#N)CCCCC2)CCN1 ZINC000595278579 360475290 /nfs/dbraw/zinc/47/52/90/360475290.db2.gz PHYSYHXHRSBYBX-LBPRGKRZSA-N 0 1 292.383 0.397 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)CCCCC#N)CCN1 ZINC000595410047 360524327 /nfs/dbraw/zinc/52/43/27/360524327.db2.gz ACKLJIVUVSPHIF-GFCCVEGCSA-N 0 1 273.402 0.940 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)N(C)[C@@H](C)CC#N)CCN1 ZINC000595413539 360525755 /nfs/dbraw/zinc/52/57/55/360525755.db2.gz VCCLDINIRFYFMS-RYUDHWBXSA-N 0 1 288.417 0.395 20 30 CCEDMN CN1CC(=O)N(CCN2CCC(C)(C#N)CC2)C1=O ZINC000595431897 360530704 /nfs/dbraw/zinc/53/07/04/360530704.db2.gz SQUWKXDSOQKZAY-UHFFFAOYSA-N 0 1 264.329 0.506 20 30 CCEDMN CO[C@]1(CO)CCCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000595661786 360609794 /nfs/dbraw/zinc/60/97/94/360609794.db2.gz LQNXXKSTUWLVRD-TZMCWYRMSA-N 0 1 283.372 0.220 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)C(O)[C@H](C)C1 ZINC000595743764 360635997 /nfs/dbraw/zinc/63/59/97/360635997.db2.gz QLQAHGTVICFLJI-UTUOFQBUSA-N 0 1 279.384 0.886 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@H]3COC[C@H]3C2)CCC1 ZINC000595828582 360669007 /nfs/dbraw/zinc/66/90/07/360669007.db2.gz XZUHWCNSTZNQKG-NWDGAFQWSA-N 0 1 263.341 0.517 20 30 CCEDMN CCN(C(=O)c1cc(S(N)(=O)=O)ccc1O)[C@@H](C)C#N ZINC000598349444 361393823 /nfs/dbraw/zinc/39/38/23/361393823.db2.gz KKBMRDFAIPTNSL-QMMMGPOBSA-N 0 1 297.336 0.414 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1C[C@H](CO)OC(C)(C)C1 ZINC000598623619 361464012 /nfs/dbraw/zinc/46/40/12/361464012.db2.gz ZMVHYBOYWBKDPZ-NWDGAFQWSA-N 0 1 270.373 0.759 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N(CCC#N)CCC#N)C[C@@H]1CO ZINC000598645325 361471792 /nfs/dbraw/zinc/47/17/92/361471792.db2.gz GSWKZCRCXZBDJX-ZIAGYGMSSA-N 0 1 292.383 0.593 20 30 CCEDMN Cc1nc(C)c(C(=O)N=c2ccn(CCC#N)[nH]2)[nH]1 ZINC000598687185 361484202 /nfs/dbraw/zinc/48/42/02/361484202.db2.gz PIJRHEQSMRIFOD-UHFFFAOYSA-N 0 1 258.285 0.811 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCO[C@@H](C#N)C2)C1 ZINC000598933868 361534049 /nfs/dbraw/zinc/53/40/49/361534049.db2.gz KVRKGAYAJGAUIP-USWWRNFRSA-N 0 1 274.324 0.827 20 30 CCEDMN COC(=O)c1cc(S(=O)(=O)N[C@H](C)CC#N)on1 ZINC000599225323 361615221 /nfs/dbraw/zinc/61/52/21/361615221.db2.gz IFAPYJVLJSFZAH-ZCFIWIBFSA-N 0 1 273.270 0.042 20 30 CCEDMN CC[C@@](C)(C#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599233215 361616576 /nfs/dbraw/zinc/61/65/76/361616576.db2.gz OMYCLVITVJNONK-LBPRGKRZSA-N 0 1 296.352 0.875 20 30 CCEDMN Cn1cc([N+](=O)[O-])c(S(=O)(=O)NCCCCC#N)n1 ZINC000599230251 361616683 /nfs/dbraw/zinc/61/66/83/361616683.db2.gz OAFVZJZUYCDUDB-UHFFFAOYSA-N 0 1 287.301 0.300 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCCC[C@H]2C(N)=O)CCOCC1 ZINC000599261983 361623936 /nfs/dbraw/zinc/62/39/36/361623936.db2.gz ZOJLJHBIHHFKNY-OLZOCXBDSA-N 0 1 295.383 0.398 20 30 CCEDMN COCCC(C)(C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000612752076 364007903 /nfs/dbraw/zinc/00/79/03/364007903.db2.gz VRYIMZGJPVUSHB-UHFFFAOYSA-N 0 1 279.344 0.898 20 30 CCEDMN C=CC1CCN(S(=O)(=O)C[C@@H]2CNCCO2)CC1 ZINC000631918615 370759182 /nfs/dbraw/zinc/75/91/82/370759182.db2.gz HVWFQBHMGICPGU-LBPRGKRZSA-N 0 1 274.386 0.203 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000613790536 364374628 /nfs/dbraw/zinc/37/46/28/364374628.db2.gz POSBSNQTIWWSSX-FZMZJTMJSA-N 0 1 270.373 0.227 20 30 CCEDMN N#CCC(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000613914023 364439022 /nfs/dbraw/zinc/43/90/22/364439022.db2.gz INYZNMIVFZVNBL-LBPRGKRZSA-N 0 1 251.330 0.613 20 30 CCEDMN Cc1nnc(SCC(=O)N2CC[C@](F)(C#N)C2)[nH]1 ZINC000615825378 365097577 /nfs/dbraw/zinc/09/75/77/365097577.db2.gz KMSCISJUXWGKJC-JTQLQIEISA-N 0 1 269.305 0.669 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CC[C@](F)(C#N)C2)n1 ZINC000615825378 365097586 /nfs/dbraw/zinc/09/75/86/365097586.db2.gz KMSCISJUXWGKJC-JTQLQIEISA-N 0 1 269.305 0.669 20 30 CCEDMN C=CC(C)(C)CCNS(=O)(=O)C[C@@H]1CNCCO1 ZINC000631953691 370784939 /nfs/dbraw/zinc/78/49/39/370784939.db2.gz QAWLGHYMBMPYQX-NSHDSACASA-N 0 1 276.402 0.497 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000616100130 365177322 /nfs/dbraw/zinc/17/73/22/365177322.db2.gz HGFPHOJHZYPKBQ-ZJUUUORDSA-N 0 1 264.329 0.668 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000616505409 365348309 /nfs/dbraw/zinc/34/83/09/365348309.db2.gz MAPRIRRSYALJGW-UWVGGRQHSA-N 0 1 262.313 0.115 20 30 CCEDMN C=CC(C)(C)C(=O)Nc1nn2c(nc(C)cc2=O)[nH]1 ZINC000622870369 367379307 /nfs/dbraw/zinc/37/93/07/367379307.db2.gz ZYZWPMKJGJXDLO-UHFFFAOYSA-N 0 1 261.285 0.877 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(Cc2cn[nH]c2)CC1 ZINC000625475942 368215664 /nfs/dbraw/zinc/21/56/64/368215664.db2.gz NRQJOAXNGUNBSZ-AWEZNQCLSA-N 0 1 264.373 0.854 20 30 CCEDMN C=CCN(C)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000626518396 368571086 /nfs/dbraw/zinc/57/10/86/368571086.db2.gz KVPASEWPAFWPNP-UHFFFAOYSA-N 0 1 269.326 0.711 20 30 CCEDMN O=C(NCC#Cc1ccccc1)NC[C@@H]1COCCN1 ZINC000626929541 368684076 /nfs/dbraw/zinc/68/40/76/368684076.db2.gz XTBIRZDTIDVOEA-CQSZACIVSA-N 0 1 273.336 0.326 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)NC[C@H]2COCCN2)C1 ZINC000627190719 368861105 /nfs/dbraw/zinc/86/11/05/368861105.db2.gz CIYSNOVHIKMRMM-STQMWFEESA-N 0 1 267.373 0.973 20 30 CCEDMN C=CCCn1cc(C(=O)N2CCc3nc[nH]c3C2)nn1 ZINC000627373656 368972236 /nfs/dbraw/zinc/97/22/36/368972236.db2.gz XPQQCWWQLZKMKB-UHFFFAOYSA-N 0 1 272.312 0.776 20 30 CCEDMN C=CCOc1cccc(CNC[C@H]2CC(C(N)=O)=NO2)c1 ZINC000276556485 193380195 /nfs/dbraw/zinc/38/01/95/193380195.db2.gz ZYUXAOVFKLXANF-CYBMUJFWSA-N 0 1 289.335 0.971 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(Cc2n[nH]c(CC)n2)C1=O ZINC000630981801 370347864 /nfs/dbraw/zinc/34/78/64/370347864.db2.gz HHQQQSPBRUHTSX-QMMMGPOBSA-N 0 1 263.301 0.754 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@H]1C(=O)NCC[C@@H]1C ZINC000249473737 261253775 /nfs/dbraw/zinc/25/37/75/261253775.db2.gz HISBVMSGRJRGCP-NTZNESFSSA-N 0 1 280.372 0.109 20 30 CCEDMN CC#CC[C@H](CO)NS(=O)(=O)c1cc(O)cc(F)c1 ZINC000632506167 371109848 /nfs/dbraw/zinc/10/98/48/371109848.db2.gz SKCTWSREKJTOCY-SNVBAGLBSA-N 0 1 287.312 0.584 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCC[C@@H](c3n[nH]c(=O)o3)C2)c1 ZINC000331827781 204210665 /nfs/dbraw/zinc/21/06/65/204210665.db2.gz NLMMPEZUVTYALN-SECBINFHSA-N 0 1 287.279 0.995 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)o3)C2)c[nH]1 ZINC000331850403 204229416 /nfs/dbraw/zinc/22/94/16/204229416.db2.gz QREDHANKGIRMOK-MRVPVSSYSA-N 0 1 287.279 0.995 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@@H]1CCN1C1CCCC1 ZINC000636111665 373372949 /nfs/dbraw/zinc/37/29/49/373372949.db2.gz NRGBGTFUIYJFGA-OCCSQVGLSA-N 0 1 264.369 0.894 20 30 CCEDMN N#Cc1ccc(NCCN2C[C@H]3CC[C@@H](C2)O3)nc1N ZINC000574464295 335022071 /nfs/dbraw/zinc/02/20/71/335022071.db2.gz FHRYFUPNTINZQL-TXEJJXNPSA-N 0 1 273.340 0.232 20 30 CCEDMN COC(=O)[C@@H](Cc1ccncc1)NC(=O)C(C)C#N ZINC000574531913 335027773 /nfs/dbraw/zinc/02/77/73/335027773.db2.gz UPLMMDJQOGJAFP-GXSJLCMTSA-N 0 1 261.281 0.442 20 30 CCEDMN C=CCOCCNC(=O)[C@@H](N)Cc1cccc(OC)c1 ZINC000638426736 375025092 /nfs/dbraw/zinc/02/50/92/375025092.db2.gz WCZILWOXVFPLBU-AWEZNQCLSA-N 0 1 278.352 0.884 20 30 CCEDMN COCC#CCN1CCN(C(=O)c2cc(C)[nH]n2)C[C@H]1C ZINC000656632032 412836304 /nfs/dbraw/zinc/83/63/04/412836304.db2.gz JOOUWRAWRHUZKJ-CYBMUJFWSA-N 0 1 290.367 0.514 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H]2CCc3ncnn32)C1=O ZINC000639621614 375866584 /nfs/dbraw/zinc/86/65/84/375866584.db2.gz BGKKIXOHHRUHJI-NEPJUHHUSA-N 0 1 275.356 0.484 20 30 CCEDMN C=CCN(CC=C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000264724323 190131224 /nfs/dbraw/zinc/13/12/24/190131224.db2.gz FLDYOENTRKNTBG-CYBMUJFWSA-N 0 1 266.389 0.616 20 30 CCEDMN C=CCNC(=O)N[C@H]1CCCN(CCN2CCOCC2)C1 ZINC000641735243 377431083 /nfs/dbraw/zinc/43/10/83/377431083.db2.gz JEUWDUSAOLGDLF-AWEZNQCLSA-N 0 1 296.415 0.268 20 30 CCEDMN Cn1nccc1[C@H](CO)NCCOc1cccc(C#N)c1 ZINC000459743601 249058794 /nfs/dbraw/zinc/05/87/94/249058794.db2.gz FXABNDGBRFCJHO-AWEZNQCLSA-N 0 1 286.335 0.994 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000266926018 190291221 /nfs/dbraw/zinc/29/12/21/190291221.db2.gz NINZSKQQVSSNOD-NWDGAFQWSA-N 0 1 260.341 0.727 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](c2ncc[nH]2)C1 ZINC000266926015 190291325 /nfs/dbraw/zinc/29/13/25/190291325.db2.gz NINZSKQQVSSNOD-NEPJUHHUSA-N 0 1 260.341 0.727 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](N3CC[C@@H](CO)C3)C2=O)cc1 ZINC000266951623 190293346 /nfs/dbraw/zinc/29/33/46/190293346.db2.gz UIUBORRETIXDQO-HIFRSBDPSA-N 0 1 285.347 0.978 20 30 CCEDMN C=CCCn1cc(CNC[C@@]2(CCO)CCOC2)nn1 ZINC000657398431 412982749 /nfs/dbraw/zinc/98/27/49/412982749.db2.gz LCTRPPSSFZMHRU-CQSZACIVSA-N 0 1 280.372 0.733 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(CC(N)=O)CC1 ZINC000048025612 388885761 /nfs/dbraw/zinc/88/57/61/388885761.db2.gz ZXQNWNDINFRKDI-SNVBAGLBSA-N 0 1 253.346 0.265 20 30 CCEDMN C=CCN(C(=O)c1cc(C)[nH]n1)[C@H]1CCS(=O)(=O)C1 ZINC000048967834 388912771 /nfs/dbraw/zinc/91/27/71/388912771.db2.gz LQLPQHKSGOINQR-JTQLQIEISA-N 0 1 283.353 0.533 20 30 CCEDMN CCN(CCO)CC(=O)NC1(C#N)CCCCC1 ZINC000049754604 388923737 /nfs/dbraw/zinc/92/37/37/388923737.db2.gz NFVVXZQRZSSQDJ-UHFFFAOYSA-N 0 1 253.346 0.643 20 30 CCEDMN C#CCCOc1ccc(CNCCS(=O)(=O)NC)cc1 ZINC000190316313 388988842 /nfs/dbraw/zinc/98/88/42/388988842.db2.gz CVPDKJCJMNZIBZ-UHFFFAOYSA-N 0 1 296.392 0.728 20 30 CCEDMN CNC(=O)[C@@H](C)CN(C)CC(=O)NC1(C#N)CCCCC1 ZINC000081529378 389000167 /nfs/dbraw/zinc/00/01/67/389000167.db2.gz SVMFGOIFYZZVPS-LBPRGKRZSA-N 0 1 294.399 0.643 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](OCCOC)C1 ZINC000189735114 388970923 /nfs/dbraw/zinc/97/09/23/388970923.db2.gz UUNMPSGWTFXPJF-RYUDHWBXSA-N 0 1 256.346 0.414 20 30 CCEDMN CCN1CCC[C@@H]1CN(C)S(=O)(=O)[C@@H](C)C#N ZINC000092631548 389031279 /nfs/dbraw/zinc/03/12/79/389031279.db2.gz VVVUHUXYKYOEQP-WDEREUQCSA-N 0 1 259.375 0.644 20 30 CCEDMN CN(CCC#N)C(=O)CCN(CCO)Cc1cccnc1 ZINC000092801507 389032030 /nfs/dbraw/zinc/03/20/30/389032030.db2.gz NYRUNZFCTLIRAM-UHFFFAOYSA-N 0 1 290.367 0.638 20 30 CCEDMN CN(CC#Cc1ccccc1)C[C@H](O)CN1CCOCC1 ZINC000192063720 389025022 /nfs/dbraw/zinc/02/50/22/389025022.db2.gz SHKITWPOUOKQQT-KRWDZBQOSA-N 0 1 288.391 0.663 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCN2CCOC[C@H]2C)C1=O ZINC000337224691 389057013 /nfs/dbraw/zinc/05/70/13/389057013.db2.gz NREOFEHPQCNLHG-ZIAGYGMSSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](CNC(=O)CCC)C1 ZINC000101915497 389073724 /nfs/dbraw/zinc/07/37/24/389073724.db2.gz ROXYUBNJUXCUCO-CYBMUJFWSA-N 0 1 281.400 0.917 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@H]1C(=O)NCC[C@H]1C ZINC000193590182 389073947 /nfs/dbraw/zinc/07/39/47/389073947.db2.gz KEUHHLRDAUSTCT-QKCSRTOESA-N 0 1 280.372 0.155 20 30 CCEDMN C[C@@H]1CCNC(=O)[C@@H]1NCC(=O)Nc1ccc(C#N)cc1 ZINC000193660739 389076988 /nfs/dbraw/zinc/07/69/88/389076988.db2.gz WAUBPVPVISIESH-QMTHXVAHSA-N 0 1 286.335 0.611 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@@H]1[C@@H](C)O ZINC000106864787 389094410 /nfs/dbraw/zinc/09/44/10/389094410.db2.gz UPLJOZWQOTUZPE-GHMZBOCLSA-N 0 1 269.345 0.234 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN(C)C(C)C)C1=O ZINC000337103429 389045952 /nfs/dbraw/zinc/04/59/52/389045952.db2.gz XZZXOHARDSHNRX-LBPRGKRZSA-N 0 1 267.373 0.572 20 30 CCEDMN CN1C[C@H](C(=O)N[C@@H]2CCc3nc[nH]c3C2)CC1=O ZINC000328927921 389145498 /nfs/dbraw/zinc/14/54/98/389145498.db2.gz GEDRPEIVFSSRIT-RKDXNWHRSA-N 0 1 262.313 0.702 20 30 CCEDMN CCCO[C@H]1CCCN(CC(=O)NCC#N)CC1 ZINC000108868353 389098677 /nfs/dbraw/zinc/09/86/77/389098677.db2.gz FGYZVVSEDMSDQZ-LBPRGKRZSA-N 0 1 253.346 0.907 20 30 CCEDMN Cc1ncc(S(=O)(=O)NC2CCN(C)CC2)cc1C#N ZINC000312723421 389108940 /nfs/dbraw/zinc/10/89/40/389108940.db2.gz IQYACPDNZJNFKM-UHFFFAOYSA-N 0 1 294.380 0.634 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](S(=O)(=O)NCC)C1 ZINC000339064491 389124066 /nfs/dbraw/zinc/12/40/66/389124066.db2.gz HZJVYSCBNPIKSB-LLVKDONJSA-N 0 1 262.375 0.203 20 30 CCEDMN C=CCOCCN1CC[C@@H](S(=O)(=O)NCC)C1 ZINC000339064491 389124069 /nfs/dbraw/zinc/12/40/69/389124069.db2.gz HZJVYSCBNPIKSB-LLVKDONJSA-N 0 1 262.375 0.203 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](S(=O)(=O)NCC)C1 ZINC000339064492 389124237 /nfs/dbraw/zinc/12/42/37/389124237.db2.gz HZJVYSCBNPIKSB-NSHDSACASA-N 0 1 262.375 0.203 20 30 CCEDMN C=CCOCCN1CC[C@H](S(=O)(=O)NCC)C1 ZINC000339064492 389124241 /nfs/dbraw/zinc/12/42/41/389124241.db2.gz HZJVYSCBNPIKSB-NSHDSACASA-N 0 1 262.375 0.203 20 30 CCEDMN N#CCCOCCN1CC[C@H](NC(=O)NC2CC2)C1 ZINC000339092806 389124953 /nfs/dbraw/zinc/12/49/53/389124953.db2.gz CSVLNGYQPXANTI-LBPRGKRZSA-N 0 1 266.345 0.453 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)N2CCOC[C@H]2C)C1 ZINC000328601772 389128747 /nfs/dbraw/zinc/12/87/47/389128747.db2.gz GCFTWCFUHQZUGI-ZIAGYGMSSA-N 0 1 299.415 0.978 20 30 CCEDMN C[C@@H]1[C@H](C)N(CC(=O)N(CCC#N)CCC#N)CCN1C ZINC000357041198 389130375 /nfs/dbraw/zinc/13/03/75/389130375.db2.gz QINWGUQNFKQSDY-KGLIPLIRSA-N 0 1 291.399 0.667 20 30 CCEDMN CCNC(=O)[C@@H](NCC(=O)N[C@@](C)(C#N)C1CC1)C(C)C ZINC000339327011 389130660 /nfs/dbraw/zinc/13/06/60/389130660.db2.gz BUENXMGVUGGKFL-ZFWWWQNUSA-N 0 1 294.399 0.545 20 30 CCEDMN CN(C)CC(=O)N1CCN(Cc2ccccc2)[C@H](C#N)C1 ZINC000114558385 389130767 /nfs/dbraw/zinc/13/07/67/389130767.db2.gz NVLKIAHJXFRWLQ-OAHLLOKOSA-N 0 1 286.379 0.785 20 30 CCEDMN Cc1nc2n(n1)CCC[C@H]2NC(=O)NCCN1CCC1 ZINC000328692698 389132131 /nfs/dbraw/zinc/13/21/31/389132131.db2.gz FJARZMQNQZBFPK-LLVKDONJSA-N 0 1 278.360 0.631 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2cn3c(n2)CCCC3)C1 ZINC000328733130 389134660 /nfs/dbraw/zinc/13/46/60/389134660.db2.gz XUPJAUXQNVHWQI-GFCCVEGCSA-N 0 1 291.399 0.770 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](O)CC1 ZINC000339781561 389139995 /nfs/dbraw/zinc/13/99/95/389139995.db2.gz UVRNYPSTZBNGEX-LBPRGKRZSA-N 0 1 253.346 0.595 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(CCO)C1CCC1 ZINC000221192114 389140119 /nfs/dbraw/zinc/14/01/19/389140119.db2.gz HRYZBOIRIKOEKN-CQSZACIVSA-N 0 1 267.373 0.888 20 30 CCEDMN C[C@H](C[N@H+]1CCC[C@@H](C)C1)NC(=O)N(C)[C@@H]1COC[C@H]1O ZINC000329236541 227372996 /nfs/dbraw/zinc/37/29/96/227372996.db2.gz JOLJMLAFIBZFIH-AAVRWANBSA-N 0 1 299.415 0.712 20 30 CCEDMN CCn1cc(NC(=O)N2CCOC[C@@H]2c2nnc[nH]2)cn1 ZINC000328840801 389140874 /nfs/dbraw/zinc/14/08/74/389140874.db2.gz PBEHQCJOLXXOHJ-SNVBAGLBSA-N 0 1 291.315 0.640 20 30 CCEDMN C[C@H](Cn1ccnc1)[NH+]=C([O-])NC[C@H](O)C1CCOCC1 ZINC000329650374 389182190 /nfs/dbraw/zinc/18/21/90/389182190.db2.gz CHCWXSYLTWTMJG-YPMHNXCESA-N 0 1 296.371 0.563 20 30 CCEDMN C[C@H](Cn1ccnc1)NC([O-])=[NH+]C[C@H](O)C1CCOCC1 ZINC000329650374 389182191 /nfs/dbraw/zinc/18/21/91/389182191.db2.gz CHCWXSYLTWTMJG-YPMHNXCESA-N 0 1 296.371 0.563 20 30 CCEDMN C[S@](=O)C1(CNC(=O)N2CCn3cncc3C2)CC1 ZINC000329673813 389186062 /nfs/dbraw/zinc/18/60/62/389186062.db2.gz SYLQXLMYPGRFPK-IBGZPJMESA-N 0 1 282.369 0.524 20 30 CCEDMN C[C@H]1CN(C(=O)N[C@@H]2CCCOC2)CCN1CC(C)(C)O ZINC000329756630 389197147 /nfs/dbraw/zinc/19/71/47/389197147.db2.gz YUBBWOYRGGKDAU-QWHCGFSZSA-N 0 1 299.415 0.856 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)NC1(CO)CCC1 ZINC000329783215 389200189 /nfs/dbraw/zinc/20/01/89/389200189.db2.gz OIXQLZLIPAVDPO-LBPRGKRZSA-N 0 1 284.404 0.041 20 30 CCEDMN C[C@@H]1CN(CCCSCC#N)C[C@@H](C(N)=O)O1 ZINC000122053708 389150672 /nfs/dbraw/zinc/15/06/72/389150672.db2.gz XWARUUBZWPXVRW-ZJUUUORDSA-N 0 1 257.359 0.208 20 30 CCEDMN C=CCN(Cc1ccccc1F)C(=O)CNC(=O)CN ZINC000124651130 389161509 /nfs/dbraw/zinc/16/15/09/389161509.db2.gz ITKOSEXWAOAEIH-UHFFFAOYSA-N 0 1 279.315 0.415 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)N[C@@H]2CCCOC2)n[nH]1 ZINC000329436136 389164131 /nfs/dbraw/zinc/16/41/31/389164131.db2.gz LTWICUCDWIRBHH-IONNQARKSA-N 0 1 253.306 0.857 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H]2[C@H](CCC(=O)N2C2CC2)C1 ZINC000125348874 389165570 /nfs/dbraw/zinc/16/55/70/389165570.db2.gz ZUVPYGYMCDRZTP-OCCSQVGLSA-N 0 1 291.395 0.764 20 30 CCEDMN C[C@H](NC(=O)N1CCN(C2CCC2)CC1)c1nncn1C ZINC000329508874 389167316 /nfs/dbraw/zinc/16/73/16/389167316.db2.gz BIEUFHVICKLKMM-NSHDSACASA-N 0 1 292.387 0.960 20 30 CCEDMN CNC(=O)NC(=O)CN1CCCC[C@@H]1CC(N)=O ZINC000330588150 389244382 /nfs/dbraw/zinc/24/43/82/389244382.db2.gz OIKNCVSJSKHMME-MRVPVSSYSA-N 0 1 256.306 0.426 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N(C)[C@H]1CCCN(C)C1 ZINC000407955331 260039984 /nfs/dbraw/zinc/03/99/84/260039984.db2.gz JGHADNFRHIPLEO-NEPJUHHUSA-N 0 1 288.417 0.349 20 30 CCEDMN Cc1cnc(C(=O)NC[C@@H]2CN(C)CCN2C)c(O)c1 ZINC000330653529 389249481 /nfs/dbraw/zinc/24/94/81/389249481.db2.gz JPFGGDUHPZGBCW-LLVKDONJSA-N 0 1 278.356 0.646 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCN(C)C[C@@H]1C ZINC000330670592 389251129 /nfs/dbraw/zinc/25/11/29/389251129.db2.gz MCEVUWGCQFASHE-STQMWFEESA-N 0 1 266.389 0.630 20 30 CCEDMN CCc1nc([C@H](C)NS(=O)(=O)CCCC#N)n[nH]1 ZINC000342767980 389252866 /nfs/dbraw/zinc/25/28/66/389252866.db2.gz FILRJJKLVNLPMD-QMMMGPOBSA-N 0 1 271.346 0.651 20 30 CCEDMN CN1CCN(CCNC(=O)c2cnn3ncccc23)CC1 ZINC000329898979 389209720 /nfs/dbraw/zinc/20/97/20/389209720.db2.gz FDPSVUARBXCRQY-UHFFFAOYSA-N 0 1 288.355 0.281 20 30 CCEDMN C=CC[C@H](C)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000342483381 389219372 /nfs/dbraw/zinc/21/93/72/389219372.db2.gz DTRJGODZBWRXEF-NWDGAFQWSA-N 0 1 254.378 0.496 20 30 CCEDMN C=CCNC(=O)CN[C@H](CC(=O)NC)c1ccccc1 ZINC000361648604 389221929 /nfs/dbraw/zinc/22/19/29/389221929.db2.gz SRTGYMMJQBNZRD-CYBMUJFWSA-N 0 1 275.352 0.756 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H](C)[C@@H]1CO ZINC000330426122 389227998 /nfs/dbraw/zinc/22/79/98/389227998.db2.gz SNWHUSURWVFYQB-QWRGUYRKSA-N 0 1 269.345 0.295 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCN(C[C@H](C)O)[C@H](C)C1 ZINC000247065191 389236018 /nfs/dbraw/zinc/23/60/18/389236018.db2.gz GDWUNAXWFDWKFF-HZSPNIEDSA-N 0 1 296.415 0.134 20 30 CCEDMN CN(CC(=O)N1CCO[C@@H](C#N)C1)[C@@H]1CCSC1 ZINC000155644038 389320545 /nfs/dbraw/zinc/32/05/45/389320545.db2.gz KNSSUINITVSZDF-MNOVXSKESA-N 0 1 269.370 0.175 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCOC[C@H](C)C1 ZINC000332055123 389327691 /nfs/dbraw/zinc/32/76/91/389327691.db2.gz WZDREFAFKMEZJK-MNOVXSKESA-N 0 1 269.345 0.355 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC000346527128 389330587 /nfs/dbraw/zinc/33/05/87/389330587.db2.gz KEROONPKBKNTEF-TZMCWYRMSA-N 0 1 291.395 0.786 20 30 CCEDMN CC[C@]1(O)CCN([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346541509 389331677 /nfs/dbraw/zinc/33/16/77/389331677.db2.gz WCTRLLQUFQRCFY-FZMZJTMJSA-N 0 1 265.357 0.784 20 30 CCEDMN COC(=O)[C@@H](C)CN(CC(=O)NC1(C#N)CCC1)C1CC1 ZINC000346855996 389339550 /nfs/dbraw/zinc/33/95/50/389339550.db2.gz VRBZQHVQZQQWFN-NSHDSACASA-N 0 1 293.367 0.822 20 30 CCEDMN N#CC1(NC(=O)CN2CCCC[C@H]2CCO)CCC1 ZINC000346860685 389340685 /nfs/dbraw/zinc/34/06/85/389340685.db2.gz YAYHFTMRAITYTR-LBPRGKRZSA-N 0 1 265.357 0.786 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N(C)Cc1nnc[nH]1 ZINC000330994686 389276404 /nfs/dbraw/zinc/27/64/04/389276404.db2.gz UDXQMWZWHZGTJC-GWCFXTLKSA-N 0 1 278.360 0.679 20 30 CCEDMN C#CCCN1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000366116908 389292494 /nfs/dbraw/zinc/29/24/94/389292494.db2.gz IEORRDNIENLFJH-GFCCVEGCSA-N 0 1 256.371 0.510 20 30 CCEDMN C[C@H]1CCC[C@H](CO)N1CC(=O)NC1(C#N)CCC1 ZINC000346982982 389342671 /nfs/dbraw/zinc/34/26/71/389342671.db2.gz YRSWLFZPUJESCN-NWDGAFQWSA-N 0 1 265.357 0.784 20 30 CCEDMN CN(CC(=O)NCC#N)C1CC(OC(C)(C)C)C1 ZINC000421001826 389345995 /nfs/dbraw/zinc/34/59/95/389345995.db2.gz GEVZRPFHCXYGJN-UHFFFAOYSA-N 0 1 253.346 0.904 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCCN([C@H](C)CO)CC1 ZINC000347126877 389346878 /nfs/dbraw/zinc/34/68/78/389346878.db2.gz GEHNRLRDUNYVFE-KGLIPLIRSA-N 0 1 284.400 0.883 20 30 CCEDMN CC(C)[C@H]1CN(C)CCN1CC(=O)NC1(C#N)CCC1 ZINC000408467076 389356213 /nfs/dbraw/zinc/35/62/13/389356213.db2.gz KLDFKHKJQGVNNA-CYBMUJFWSA-N 0 1 278.400 0.821 20 30 CCEDMN C=CCOCCCN1CC[C@H](S(=O)(=O)NC(C)C)C1 ZINC000348052239 389364318 /nfs/dbraw/zinc/36/43/18/389364318.db2.gz NLPXWSNECFOMJP-ZDUSSCGKSA-N 0 1 290.429 0.981 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[N@H+](C2CCOCC2)C[C@H]1C ZINC000373028401 389462491 /nfs/dbraw/zinc/46/24/91/389462491.db2.gz INVDUOIMNCPWDF-ZIAGYGMSSA-N 0 1 295.427 0.862 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@](C)(C(=O)OC)C1 ZINC000412091946 389475986 /nfs/dbraw/zinc/47/59/86/389475986.db2.gz CPJCGTYNHGPYHT-BXUZGUMPSA-N 0 1 268.357 0.952 20 30 CCEDMN C=CCCCN(C)CN1C[C@@H](C(=O)OC)OC1=O ZINC000496747906 262353206 /nfs/dbraw/zinc/35/32/06/262353206.db2.gz HVGZPXJEFKLFDD-JTQLQIEISA-N 0 1 256.302 0.836 20 30 CCEDMN CC(C)c1[nH]nc(C(=O)N2CCO[C@@H](C#N)C2)c1[N+](=O)[O-] ZINC000269482792 389421156 /nfs/dbraw/zinc/42/11/56/389421156.db2.gz WKSUHDPVYRNRSE-QMMMGPOBSA-N 0 1 293.283 0.806 20 30 CCEDMN CC(C)N(CCN(C)C)S(=O)(=O)CC1(C#N)CC1 ZINC000166117071 389441404 /nfs/dbraw/zinc/44/14/04/389441404.db2.gz DNKBEIDPTKTNBC-UHFFFAOYSA-N 0 1 273.402 0.892 20 30 CCEDMN Cc1nn(C)c(N2CCN(CCCCCO)CC2)c1C#N ZINC000349898493 389454563 /nfs/dbraw/zinc/45/45/63/389454563.db2.gz UEZIOXZGCKNGAX-UHFFFAOYSA-N 0 1 291.399 0.885 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2ccc(C#N)c(C)n2)C1 ZINC000413497760 389522646 /nfs/dbraw/zinc/52/26/46/389522646.db2.gz LVLGXHAXGMXNFX-CYBMUJFWSA-N 0 1 288.351 0.803 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2C[C@@H](C)NC[C@@H]2C)CC1 ZINC000335050165 389534543 /nfs/dbraw/zinc/53/45/43/389534543.db2.gz KHXJYXGSGPXMCX-NEPJUHHUSA-N 0 1 279.384 0.620 20 30 CCEDMN N#Cc1c[nH]c(=O)n(C[C@@H]2CCC(F)(F)C2)c1=O ZINC000335480854 389570414 /nfs/dbraw/zinc/57/04/14/389570414.db2.gz XPYDLMUVNSURBV-SSDOTTSWSA-N 0 1 255.224 0.844 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000425319900 389644527 /nfs/dbraw/zinc/64/45/27/389644527.db2.gz KIDUWNNVXKBMCK-ZETCQYMHSA-N 0 1 250.258 0.641 20 30 CCEDMN CC[C@](C)(NCC(=O)NCc1ccc(C#N)cc1)C(N)=O ZINC000351474057 389585022 /nfs/dbraw/zinc/58/50/22/389585022.db2.gz XNUHHXNNYPSIMZ-HNNXBMFYSA-N 0 1 288.351 0.418 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](OCc2ccncc2)C1 ZINC000280949840 389605812 /nfs/dbraw/zinc/60/58/12/389605812.db2.gz IOGSUSHZFMYFBF-DZGCQCFKSA-N 0 1 287.363 0.810 20 30 CCEDMN N#Cc1ccc(OCCN2CCN3CCOC[C@H]3C2)cc1 ZINC000375237522 389652233 /nfs/dbraw/zinc/65/22/33/389652233.db2.gz OJAOWXNLGILJHU-OAHLLOKOSA-N 0 1 287.363 0.953 20 30 CCEDMN C=CCNC(=O)CNC(=O)NCC[N@@H+]1CCCC[C@@H]1CC ZINC000180655777 389673412 /nfs/dbraw/zinc/67/34/12/389673412.db2.gz HGMOWOZVWSRVMJ-ZDUSSCGKSA-N 0 1 296.415 0.852 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)cc2C#N)CCN1 ZINC000415429008 389683545 /nfs/dbraw/zinc/68/35/45/389683545.db2.gz HYIIJIROGKBGMH-SECBINFHSA-N 0 1 290.298 0.456 20 30 CCEDMN C=C(C)CN(C)CC(=O)NC(=O)NCC(F)(F)F ZINC000181306916 389684345 /nfs/dbraw/zinc/68/43/45/389684345.db2.gz NCNIYYCBCYBXTI-UHFFFAOYSA-N 0 1 267.251 0.882 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@@H]1CCCCN1CC ZINC000181739897 389693205 /nfs/dbraw/zinc/69/32/05/389693205.db2.gz OHMVZOJGDSZTKZ-NSHDSACASA-N 0 1 253.346 0.279 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1C[C@@H](C)[C@@H](O)C1 ZINC000351957673 389724621 /nfs/dbraw/zinc/72/46/21/389724621.db2.gz HOZNFJQRYIYLJY-NMKXLXIOSA-N 0 1 267.373 0.742 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1C[C@H](C)C[C@H](CO)C1 ZINC000398873126 389786674 /nfs/dbraw/zinc/78/66/74/389786674.db2.gz ZBNSBKMCNCQHSU-VNHYZAJKSA-N 0 1 281.400 0.991 20 30 CCEDMN CNC(=O)[C@]1(C)CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000430253792 389789627 /nfs/dbraw/zinc/78/96/27/389789627.db2.gz UAIYLSGSPLGARY-CABCVRRESA-N 0 1 292.383 0.253 20 30 CCEDMN CNC(=O)NC(=O)[C@H](C)N1CCC(CCC#N)CC1 ZINC000399278447 389790830 /nfs/dbraw/zinc/79/08/30/389790830.db2.gz AORARNSGNBUMEI-JTQLQIEISA-N 0 1 266.345 0.846 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1ccccc1C#N)c1nnc[nH]1 ZINC000183207035 389727816 /nfs/dbraw/zinc/72/78/16/389727816.db2.gz UJNHEJKZGPGQJS-MRVPVSSYSA-N 0 1 277.309 0.716 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N1CCc2n[nH]nc2C1 ZINC000429308175 389750206 /nfs/dbraw/zinc/75/02/06/389750206.db2.gz KHYDENPMPKYSAQ-IINYFYTJSA-N 0 1 290.371 0.606 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@@H]1CCS(=O)(=O)C1 ZINC000432539007 389883005 /nfs/dbraw/zinc/88/30/05/389883005.db2.gz SBAQQVGQGKOYLQ-QMMMGPOBSA-N 0 1 289.382 0.697 20 30 CCEDMN N#CCNC(=O)CN1CCSC[C@@H]1C1CCC1 ZINC000419356618 389891626 /nfs/dbraw/zinc/89/16/26/389891626.db2.gz GPVLFFLDNPVHGY-LLVKDONJSA-N 0 1 253.371 0.844 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ccc(CN(C)C)nc1 ZINC000491395165 390112838 /nfs/dbraw/zinc/11/28/38/390112838.db2.gz RABBCRQTEWVVLS-UHFFFAOYSA-N 0 1 288.395 0.828 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2ncc(OC)cn2)CC1 ZINC000491744287 390116390 /nfs/dbraw/zinc/11/63/90/390116390.db2.gz LSXOIIPGBUHHIM-UHFFFAOYSA-N 0 1 274.324 0.769 20 30 CCEDMN C=CCCCS(=O)(=O)NCCN1CCC(OC)CC1 ZINC000644189885 390159576 /nfs/dbraw/zinc/15/95/76/390159576.db2.gz BNCPSESDDKLOJT-UHFFFAOYSA-N 0 1 290.429 0.983 20 30 CCEDMN N#Cc1cnccc1NCCCCN1CCOCC1 ZINC000545947875 390337830 /nfs/dbraw/zinc/33/78/30/390337830.db2.gz NIDAOBDLROJMFG-UHFFFAOYSA-N 0 1 260.341 0.899 20 30 CCEDMN CNC(=O)[C@]1(C)CCN(Cc2ccncc2C#N)C1 ZINC000592121239 390362754 /nfs/dbraw/zinc/36/27/54/390362754.db2.gz QYUMBUPWHTZXOZ-CQSZACIVSA-N 0 1 258.325 0.911 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCCN(CC)C2)nn1 ZINC000648771590 390405949 /nfs/dbraw/zinc/40/59/49/390405949.db2.gz ZZWZQZPDMUXJLO-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCCN(CCOC)C2)nn1 ZINC000648773378 390406255 /nfs/dbraw/zinc/40/62/55/390406255.db2.gz FRGQXLUFBKQODW-LBPRGKRZSA-N 0 1 293.371 0.305 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)NCc1n[nH]c(C(C)C)n1 ZINC000645523299 390440934 /nfs/dbraw/zinc/44/09/34/390440934.db2.gz CVESRNFLIHJAGL-JTQLQIEISA-N 0 1 279.344 0.502 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)CCCCc1cn[nH]n1 ZINC000649182744 390512362 /nfs/dbraw/zinc/51/23/62/390512362.db2.gz DFEQYIXFKWFBCT-GFCCVEGCSA-N 0 1 264.329 0.408 20 30 CCEDMN C=C[C@H](O)C(=O)Nc1cccc(CN2CCN(C)CC2)c1 ZINC000646753621 390716674 /nfs/dbraw/zinc/71/66/74/390716674.db2.gz BOICTDIWFGLGPV-HNNXBMFYSA-N 0 1 289.379 0.919 20 30 CCEDMN C=C[C@@H](O)C(=O)Nc1cccc(CN2CCN(C)CC2)c1 ZINC000646753622 390716821 /nfs/dbraw/zinc/71/68/21/390716821.db2.gz BOICTDIWFGLGPV-OAHLLOKOSA-N 0 1 289.379 0.919 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NCc1n[nH]c(CC)n1 ZINC000567495939 390701629 /nfs/dbraw/zinc/70/16/29/390701629.db2.gz HXRRHEVOQVLIJF-UWVGGRQHSA-N 0 1 279.344 0.757 20 30 CCEDMN Cc1cc(C#N)cc(NC(=O)NC[C@@H]2COCCN2)c1 ZINC000528695134 390769374 /nfs/dbraw/zinc/76/93/74/390769374.db2.gz IEQXTDDECMNXPJ-CYBMUJFWSA-N 0 1 274.324 0.977 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNc1ccncc1C(N)=O ZINC000578012256 390859180 /nfs/dbraw/zinc/85/91/80/390859180.db2.gz SGTQDYJWFMLBGX-GXFFZTMASA-N 0 1 261.325 0.996 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3)C1 ZINC000578879744 390905702 /nfs/dbraw/zinc/90/57/02/390905702.db2.gz FXXRVELSYRUUIH-QNWHQSFQSA-N 0 1 290.367 0.148 20 30 CCEDMN C[C@@H]1CN(c2cnc(C#N)cn2)CCN1CC(C)(C)O ZINC000275400334 135106422 /nfs/dbraw/zinc/10/64/22/135106422.db2.gz BFKYSTLVWLOGII-LLVKDONJSA-N 0 1 275.356 0.630 20 30 CCEDMN C[C@H](O)[C@H]1CCN(CC(=O)NCc2ccc(C#N)cc2)C1 ZINC000356442112 144002847 /nfs/dbraw/zinc/00/28/47/144002847.db2.gz BBMYKGMHRVLGBR-WFASDCNBSA-N 0 1 287.363 0.877 20 30 CCEDMN C[C@H](Oc1ccc(C#N)cc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357092918 144059661 /nfs/dbraw/zinc/05/96/61/144059661.db2.gz CUFSLMAPVDEBPX-VIFPVBQESA-N 0 1 298.306 0.644 20 30 CCEDMN C[C@H](Oc1ccc(C#N)cc1)C(=O)NC1(c2nn[nH]n2)CC1 ZINC000357092918 144059662 /nfs/dbraw/zinc/05/96/62/144059662.db2.gz CUFSLMAPVDEBPX-VIFPVBQESA-N 0 1 298.306 0.644 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N1CCc2[nH]nnc2C1 ZINC000551156033 286116294 /nfs/dbraw/zinc/11/62/94/286116294.db2.gz NDNOAZUGJMMFKS-UHFFFAOYSA-N 0 1 268.280 0.578 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000551903386 286134644 /nfs/dbraw/zinc/13/46/44/286134644.db2.gz QRBLLRDJHDBAAO-NSHDSACASA-N 0 1 297.322 0.795 20 30 CCEDMN CN(CCC(=O)N(C)CCC#N)CC(=O)N1CCCC1 ZINC000048972059 411667313 /nfs/dbraw/zinc/66/73/13/411667313.db2.gz YKUNDZZXQJJCSH-UHFFFAOYSA-N 0 1 280.372 0.303 20 30 CCEDMN C=CCCn1cc(CN2C[C@@H](C)N(CCO)C[C@@H]2C)nn1 ZINC000653766575 412343790 /nfs/dbraw/zinc/34/37/90/412343790.db2.gz JZAVVYCTOKAHEJ-KGLIPLIRSA-N 0 1 293.415 0.741 20 30 CCEDMN CC#CCN(C)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000654993828 412458162 /nfs/dbraw/zinc/45/81/62/412458162.db2.gz PHPDUPRMVLUNGW-UHFFFAOYSA-N 0 1 280.416 0.677 20 30 CCEDMN CC(C)c1nc2c([nH]1)CC[C@@H](C(=O)NCC#CCO)C2 ZINC000655057254 412470045 /nfs/dbraw/zinc/47/00/45/412470045.db2.gz ABONYQVOQLDUEK-LLVKDONJSA-N 0 1 275.352 0.750 20 30 CCEDMN C=CCCNC(=O)N1CCC[C@@H](C(=O)N2CCNCC2)C1 ZINC000659563393 413658749 /nfs/dbraw/zinc/65/87/49/413658749.db2.gz VHQHXJJHVIOMFP-CYBMUJFWSA-N 0 1 294.399 0.416 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](COC)OC)C1=O ZINC000660463384 414336240 /nfs/dbraw/zinc/33/62/40/414336240.db2.gz BYCFZUOEIUTYJW-RYUDHWBXSA-N 0 1 256.346 0.367 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCc1ccccc1C ZINC000662167956 414695072 /nfs/dbraw/zinc/69/50/72/414695072.db2.gz VDISKLRUNHKNEA-CQSZACIVSA-N 0 1 262.353 0.790 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1ccccc1COCC ZINC000662167261 414695095 /nfs/dbraw/zinc/69/50/95/414695095.db2.gz XEYSDQAXCQKLTE-OAHLLOKOSA-N 0 1 292.379 0.976 20 30 CCEDMN C=CC[N@H+]([C@@H](C)COC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662218082 414697738 /nfs/dbraw/zinc/69/77/38/414697738.db2.gz UWIBCQFRKJKYIA-WDEREUQCSA-N 0 1 270.329 0.195 20 30 CCEDMN C=CCC1(NC(=O)C[C@@H]2COCCN2)CCOCC1 ZINC000662874482 414860171 /nfs/dbraw/zinc/86/01/71/414860171.db2.gz OBRMXUXGWODPMG-GFCCVEGCSA-N 0 1 268.357 0.606 20 30 CCEDMN C=CCOCCNC(=O)NCc1n[nH]c(C(C)C)n1 ZINC000664989663 415506303 /nfs/dbraw/zinc/50/63/03/415506303.db2.gz HAYGVBVJYDRDES-UHFFFAOYSA-N 0 1 267.333 0.930 20 30 CCEDMN CN1CCN(CCNC(=O)c2cccc(C#N)c2)CC1 ZINC000078264046 177329320 /nfs/dbraw/zinc/32/93/20/177329320.db2.gz DJFCCTGEUIVETB-UHFFFAOYSA-N 0 1 272.352 0.535 20 30 CCEDMN C[C@@H]1CCCN(CCNC(=O)N[C@@H]2CC[S@](=O)C2)C1 ZINC000330002310 533681191 /nfs/dbraw/zinc/68/11/91/533681191.db2.gz YSSSPPQFFNRMRD-CLUVUEOHSA-N 0 1 287.429 0.743 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000347099079 226048334 /nfs/dbraw/zinc/04/83/34/226048334.db2.gz AINSSYONJVNJCY-GFCCVEGCSA-N 0 1 265.357 0.717 20 30 CCEDMN N#CC1(C(=O)N2CCN(C[C@@H]3CCOC3)CC2)CCC1 ZINC000330184774 228059017 /nfs/dbraw/zinc/05/90/17/228059017.db2.gz ISODMWPLFCTFES-ZDUSSCGKSA-N 0 1 277.368 0.861 20 30 CCEDMN C[C@H](Cn1cncn1)NC(=O)N1CCN(C2CCC2)CC1 ZINC000329381295 545022357 /nfs/dbraw/zinc/02/23/57/545022357.db2.gz NXYAHBAAYLSVJE-GFCCVEGCSA-N 0 1 292.387 0.751 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2cccc3c2OCO3)C1 ZINC000329436003 545022591 /nfs/dbraw/zinc/02/25/91/545022591.db2.gz JDRPPKRIHGVKHR-NSHDSACASA-N 0 1 291.351 0.966 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)NC1CCN(C)CC1 ZINC000407952559 260023196 /nfs/dbraw/zinc/02/31/96/260023196.db2.gz IFHZGKPDFBDZMS-JTQLQIEISA-N 0 1 274.390 0.006 20 30 CCEDMN N#CC1(C(=O)NC[C@H]2C[N@H+]3CCC[C@@H]3CO2)CCC1 ZINC000083798086 260190504 /nfs/dbraw/zinc/19/05/04/260190504.db2.gz QBVYJRDAAUNLJI-NEPJUHHUSA-N 0 1 263.341 0.660 20 30 CCEDMN OCC#CCNC[C@@H](O)COc1ccc(Cl)cc1 ZINC000518185176 260352101 /nfs/dbraw/zinc/35/21/01/260352101.db2.gz MRDFHEWMBIIPAM-GFCCVEGCSA-N 0 1 269.728 0.665 20 30 CCEDMN C=CCN(Cc1cccs1)C(=O)CNC(=O)CN ZINC000237958281 260714405 /nfs/dbraw/zinc/71/44/05/260714405.db2.gz NOJITESANZSADF-UHFFFAOYSA-N 0 1 267.354 0.338 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC23CCC3)CC1 ZINC000490762052 261203694 /nfs/dbraw/zinc/20/36/94/261203694.db2.gz FDKUINUGGSCZRS-UHFFFAOYSA-N 0 1 261.369 0.392 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)c1cc(C(N)=O)cn1C ZINC000490873322 261233772 /nfs/dbraw/zinc/23/37/72/261233772.db2.gz IKHLTKISKAYCFZ-LBPRGKRZSA-N 0 1 289.335 0.378 20 30 CCEDMN CC[C@H](C#N)S(=O)(=O)Nc1cccc(C(N)=O)c1 ZINC000229899670 261235726 /nfs/dbraw/zinc/23/57/26/261235726.db2.gz VSNJVNSDMYKZTG-SNVBAGLBSA-N 0 1 267.310 0.829 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@H](O)C[C@H]1CO ZINC000249278889 261248281 /nfs/dbraw/zinc/24/82/81/261248281.db2.gz FMPNEDXZNUNACH-RWMBFGLXSA-N 0 1 268.357 0.003 20 30 CCEDMN C#C[C@@H](NC(=O)N[C@H](C)CN1CCN(C)CC1)C(C)C ZINC000490994858 261285179 /nfs/dbraw/zinc/28/51/79/261285179.db2.gz GJXWRBGOQOZZND-ZIAGYGMSSA-N 0 1 280.416 0.579 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@H]1CN(C)CCN1C)C(C)C ZINC000490996986 261290540 /nfs/dbraw/zinc/29/05/40/261290540.db2.gz QWDYQOJVWVPACN-STQMWFEESA-N 0 1 266.389 0.189 20 30 CCEDMN C#CCNC(=O)C1CCN(C/C=C(\C)C(=O)OC)CC1 ZINC000491155816 261353319 /nfs/dbraw/zinc/35/33/19/261353319.db2.gz VDYSXYBDLWILPQ-LFYBBSHMSA-N 0 1 278.352 0.567 20 30 CCEDMN N#Cc1ccccc1OC[C@H](O)CN1CCc2n[nH]nc2C1 ZINC000528478318 269837749 /nfs/dbraw/zinc/83/77/49/269837749.db2.gz YRKRGUZCNFRULR-GFCCVEGCSA-N 0 1 299.334 0.474 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2[nH]nc3ccccc32)CCO1 ZINC000106053206 261366704 /nfs/dbraw/zinc/36/67/04/261366704.db2.gz PEHPQKDECMZELC-SECBINFHSA-N 0 1 256.265 0.927 20 30 CCEDMN C=CC[C@@H](C)NC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000116971433 262206802 /nfs/dbraw/zinc/20/68/02/262206802.db2.gz WRVGDLOKCWKLHA-CHWSQXEVSA-N 0 1 268.405 0.886 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCOCC2(CCOCC2)C1 ZINC000184103132 262269391 /nfs/dbraw/zinc/26/93/91/262269391.db2.gz KKLMXINXAHQSJU-CYBMUJFWSA-N 0 1 282.384 0.806 20 30 CCEDMN C#CCCN1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000365176103 262282823 /nfs/dbraw/zinc/28/28/23/262282823.db2.gz GETNXOUXVJCEAR-CYBMUJFWSA-N 0 1 288.413 0.382 20 30 CCEDMN C=CCN(Cc1ccccc1)C(=O)C(=O)N=c1ncn(C)[nH]1 ZINC000339920861 262694172 /nfs/dbraw/zinc/69/41/72/262694172.db2.gz GYYJCTAXIDXNPU-UHFFFAOYSA-N 0 1 299.334 0.390 20 30 CCEDMN Cc1[nH]ncc1CNS(=O)(=O)N(C)[C@H](C)CC#N ZINC000286643641 263099104 /nfs/dbraw/zinc/09/91/04/263099104.db2.gz NVNSLQULSUUAKR-MRVPVSSYSA-N 0 1 271.346 0.287 20 30 CCEDMN N#CCN1CCN(C(=O)c2[nH]nc3ccccc32)CC1 ZINC000299676018 263252078 /nfs/dbraw/zinc/25/20/78/263252078.db2.gz RSYKVBYSCOZQLO-UHFFFAOYSA-N 0 1 269.308 0.844 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCCC(=O)NC)CC1 ZINC000120103457 263280653 /nfs/dbraw/zinc/28/06/53/263280653.db2.gz VZXUIEODBHKLIZ-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](CCO)c1ccccc1 ZINC000341229732 263328148 /nfs/dbraw/zinc/32/81/48/263328148.db2.gz QFBQKKUKSGXZAY-CYBMUJFWSA-N 0 1 291.351 0.712 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H](CCO)c1ccccc1 ZINC000341229742 263328412 /nfs/dbraw/zinc/32/84/12/263328412.db2.gz QFBQKKUKSGXZAY-ZDUSSCGKSA-N 0 1 291.351 0.712 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@H](C)CN1CCOCC1 ZINC000342189176 263397173 /nfs/dbraw/zinc/39/71/73/263397173.db2.gz YYDQVXCSMDJQJA-OLZOCXBDSA-N 0 1 270.373 0.256 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC(C)(C)C(N)=O)C1 ZINC000330717622 264023416 /nfs/dbraw/zinc/02/34/16/264023416.db2.gz DCQCTUJSTSPTHY-SNVBAGLBSA-N 0 1 285.392 0.297 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)NCCCC#N ZINC000330865544 264057214 /nfs/dbraw/zinc/05/72/14/264057214.db2.gz ZBGWPKFMGVLPDY-LBPRGKRZSA-N 0 1 267.377 0.430 20 30 CCEDMN CN(C(=O)NCCn1cncn1)C1CCC(CO)CC1 ZINC000330942960 264084012 /nfs/dbraw/zinc/08/40/12/264084012.db2.gz DHOSXCGRAOCKID-UHFFFAOYSA-N 0 1 281.360 0.675 20 30 CCEDMN Cc1cc(CC(=O)NCC2CCN(CC#N)CC2)[nH]n1 ZINC000330925304 264094431 /nfs/dbraw/zinc/09/44/31/264094431.db2.gz GZLVZLZXGQBHLC-UHFFFAOYSA-N 0 1 275.356 0.612 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC([C@@H](C)NC(C)=O)CC1 ZINC000331137374 264135662 /nfs/dbraw/zinc/13/56/62/264135662.db2.gz SLBUKXXIVXRFPX-VXGBXAGGSA-N 0 1 281.400 0.914 20 30 CCEDMN COC(=O)CCCCNC(=O)N1CCn2nc(C)nc2C1 ZINC000331275055 264170499 /nfs/dbraw/zinc/17/04/99/264170499.db2.gz XCYZEVBEVQZNHD-UHFFFAOYSA-N 0 1 295.343 0.659 20 30 CCEDMN COCc1nc2n(n1)C[C@@H]([NH+]=C([O-])NCCF)CC2 ZINC000331243349 264177722 /nfs/dbraw/zinc/17/77/22/264177722.db2.gz KTRBCOGMFGUPOS-QMMMGPOBSA-N 0 1 271.296 0.212 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](C)C[C@H]1[C@H](C)O ZINC000334099294 264231781 /nfs/dbraw/zinc/23/17/81/264231781.db2.gz FOJGISLBAXDWHZ-SRVKXCTJSA-N 0 1 283.372 0.480 20 30 CCEDMN C=CCCOCCNC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000128161698 264288804 /nfs/dbraw/zinc/28/88/04/264288804.db2.gz OAXHPAWFBGGPHT-UHFFFAOYSA-N 0 1 254.246 0.640 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)CN(C)[C@H]1CCSC1 ZINC000456768926 264332071 /nfs/dbraw/zinc/33/20/71/264332071.db2.gz PFQVAYRMLPOKQQ-NEPJUHHUSA-N 0 1 272.414 0.867 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@H](C)CN2CCOCC2)nn1 ZINC000424909084 264374701 /nfs/dbraw/zinc/37/47/01/264374701.db2.gz RYXDTTUCXZFGRA-LBPRGKRZSA-N 0 1 293.371 0.049 20 30 CCEDMN N#CC1(NC(=O)CN2C[C@@H]3CC[C@H](O)[C@@H]3C2)CCC1 ZINC000525886645 265036010 /nfs/dbraw/zinc/03/60/10/265036010.db2.gz KJPYYBWINYLIAW-TUAOUCFPSA-N 0 1 263.341 0.252 20 30 CCEDMN C=C[C@H](CO)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000356832308 266183488 /nfs/dbraw/zinc/18/34/88/266183488.db2.gz IXUSVODJMOZKKO-SECBINFHSA-N 0 1 258.281 0.748 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1n[nH]nc1-c1ccccc1 ZINC000356860669 266196449 /nfs/dbraw/zinc/19/64/49/266196449.db2.gz MHVMFUHIMFWIGP-JTQLQIEISA-N 0 1 258.281 0.748 20 30 CCEDMN N#CCCCS(=O)(=O)NC[C@@H]1CN2CCC[C@@H]2CO1 ZINC000362773166 267044512 /nfs/dbraw/zinc/04/45/12/267044512.db2.gz BAFBGGQFQBMASP-VXGBXAGGSA-N 0 1 287.385 0.073 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC2CCC1CC2 ZINC000367561832 267099946 /nfs/dbraw/zinc/09/99/46/267099946.db2.gz CXZJVMVUTIMTBV-UHFFFAOYSA-N 0 1 251.330 0.873 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@]2(CNC(=O)C2)C1 ZINC000369380201 267239150 /nfs/dbraw/zinc/23/91/50/267239150.db2.gz RYVOQTAOWFODML-DOMZBBRYSA-N 0 1 292.383 0.207 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2cccc(C#N)c2)[C@@H](C)CN1 ZINC000086468784 278791492 /nfs/dbraw/zinc/79/14/92/278791492.db2.gz ZIDFSWJTBBLDRD-MNOVXSKESA-N 0 1 279.365 0.929 20 30 CCEDMN C[C@@]1(NC(=O)c2cccn3c(O)nnc23)CCOC1 ZINC000329338266 289124570 /nfs/dbraw/zinc/12/45/70/289124570.db2.gz MZXMOSJQASOMEC-GFCCVEGCSA-N 0 1 262.269 0.919 20 30 CCEDMN C=CCNC(=S)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000747749881 581952005 /nfs/dbraw/zinc/95/20/05/581952005.db2.gz TZNVZNLALMISFR-GFCCVEGCSA-N 0 1 271.430 0.993 20 30 CCEDMN C#CCN(CC#CC)C(=O)NCCCCN1CCOCC1 ZINC000490674719 327640056 /nfs/dbraw/zinc/64/00/56/327640056.db2.gz CRYWAVIPNSONRI-UHFFFAOYSA-N 0 1 291.395 0.767 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(C(=O)NCCCC)CC1 ZINC000048042314 328037176 /nfs/dbraw/zinc/03/71/76/328037176.db2.gz NJTXOTMJSJGCQL-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN COCCN1C(=O)C[C@H](N2CCC(CCC#N)CC2)C1=O ZINC000571326757 328107661 /nfs/dbraw/zinc/10/76/61/328107661.db2.gz LOXRHSZNOXCLCV-ZDUSSCGKSA-N 0 1 293.367 0.776 20 30 CCEDMN C=CCCCNC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000413502725 328147399 /nfs/dbraw/zinc/14/73/99/328147399.db2.gz NTQNRVATVKRDHP-LBPRGKRZSA-N 0 1 283.372 0.699 20 30 CCEDMN C=CC1CCN(CCNS(=O)(=O)CCCOC)CC1 ZINC000175220632 329166711 /nfs/dbraw/zinc/16/67/11/329166711.db2.gz ROQIQXNHNXTLQY-UHFFFAOYSA-N 0 1 290.429 0.840 20 30 CCEDMN CCc1nc([C@H](C)NS(=O)(=O)CC2(C#N)CC2)n[nH]1 ZINC000274246007 328210356 /nfs/dbraw/zinc/21/03/56/328210356.db2.gz VUVCUPRWUUASEW-QMMMGPOBSA-N 0 1 283.357 0.651 20 30 CCEDMN N#CC1(CNC(=O)N[C@H]2CCc3nc[nH]c3C2)CC1 ZINC000558630031 327111099 /nfs/dbraw/zinc/11/10/99/327111099.db2.gz ANYHHGWUIYIBTN-VIFPVBQESA-N 0 1 259.313 0.870 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN([C@@H]2CCOC2)CC1 ZINC000378407298 329049421 /nfs/dbraw/zinc/04/94/21/329049421.db2.gz UXWMOAIHCCUALM-ZIAGYGMSSA-N 0 1 254.374 0.720 20 30 CCEDMN C=CCCCCCNC(=O)C(=O)N1CCNC[C@@H]1C ZINC000584361108 329102528 /nfs/dbraw/zinc/10/25/28/329102528.db2.gz CPLCNQVQISEMGN-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(OC[C@@H]2CCCO2)CC1 ZINC000177454933 329184258 /nfs/dbraw/zinc/18/42/58/329184258.db2.gz QOJXIGOODXBPRC-ZFWWWQNUSA-N 0 1 294.395 0.784 20 30 CCEDMN N#CCSCC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000178073382 329192255 /nfs/dbraw/zinc/19/22/55/329192255.db2.gz DTDRZIGDRFVXOI-GFCCVEGCSA-N 0 1 283.397 0.424 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)NCC#Cc2ccccc2)C[C@H](C)N1 ZINC000419124066 329708896 /nfs/dbraw/zinc/70/88/96/329708896.db2.gz YYSDUYBYCYKPLQ-KBPBESRZSA-N 0 1 299.374 0.363 20 30 CCEDMN Cc1c([C@H](C)NCC(=O)NC2(C#N)CCC2)cnn1C ZINC000527135970 330225742 /nfs/dbraw/zinc/22/57/42/330225742.db2.gz CROYGJHSIZDZAQ-JTQLQIEISA-N 0 1 275.356 0.942 20 30 CCEDMN C=CCCn1cc(C(=O)N2C[C@H]3CCC[C@@H](C2)N3)nn1 ZINC000424165154 330310718 /nfs/dbraw/zinc/31/07/18/330310718.db2.gz YGCBFQDQRCMOBW-TXEJJXNPSA-N 0 1 275.356 0.821 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCC[C@@H]2CC(N)=O)CC1 ZINC000119831465 330379278 /nfs/dbraw/zinc/37/92/78/330379278.db2.gz ZVRDZFJJJOKVJJ-CQSZACIVSA-N 0 1 291.395 0.588 20 30 CCEDMN Cc1ccoc1CNC[C@@H](O)CN(C)CCC#N ZINC000515638137 330480459 /nfs/dbraw/zinc/48/04/59/330480459.db2.gz SLYCGYPRJGORDW-GFCCVEGCSA-N 0 1 251.330 0.884 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@@H]1COC[C@H]1OCC ZINC000451946130 331047840 /nfs/dbraw/zinc/04/78/40/331047840.db2.gz YKOGOGTZVJNBRQ-BFHYXJOUSA-N 0 1 282.384 0.969 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCSCC2)CC1 ZINC000490555931 332091668 /nfs/dbraw/zinc/09/16/68/332091668.db2.gz NIQNSATVSHCFSX-UHFFFAOYSA-N 0 1 252.383 0.907 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](C(N)=O)C2)CC1 ZINC000490618520 332096122 /nfs/dbraw/zinc/09/61/22/332096122.db2.gz WQFFXVMHQZJYGI-ZDUSSCGKSA-N 0 1 277.368 0.056 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](C(=O)N2CCCC2)C1 ZINC000490672271 332101880 /nfs/dbraw/zinc/10/18/80/332101880.db2.gz YFJPDHDYRUUGRX-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](C(=O)N2CCCC2)C1 ZINC000490672272 332101980 /nfs/dbraw/zinc/10/19/80/332101980.db2.gz YFJPDHDYRUUGRX-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#C[C@@H](CCC)NS(=O)(=O)CCN1CCCC1 ZINC000490742447 332112383 /nfs/dbraw/zinc/11/23/83/332112383.db2.gz XWSMLICGHZGJIL-LBPRGKRZSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@]2(C)CO)CC1 ZINC000491013167 332138608 /nfs/dbraw/zinc/13/86/08/332138608.db2.gz IQCBVCUGBXBFBJ-OAHLLOKOSA-N 0 1 264.369 0.705 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](CO)C[C@@H]2C)CC1 ZINC000491071325 332146303 /nfs/dbraw/zinc/14/63/03/332146303.db2.gz JTAPRWRLCRIXNV-KBPBESRZSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC3(CCO3)CC2)CC1 ZINC000491145826 332156137 /nfs/dbraw/zinc/15/61/37/332156137.db2.gz MOVUEXOWBFPJDX-UHFFFAOYSA-N 0 1 291.395 0.019 20 30 CCEDMN C#CC(C)(C)N(C)CCn1nc2ccccn2c1=O ZINC000491166010 332160010 /nfs/dbraw/zinc/16/00/10/332160010.db2.gz OYGVYHOLJVGPLF-UHFFFAOYSA-N 0 1 258.325 0.840 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCCO[C@H](C(C)C)C1 ZINC000491167570 332160502 /nfs/dbraw/zinc/16/05/02/332160502.db2.gz YVAANRJNSJVVFJ-STQMWFEESA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CCC(C(=O)N(C2CC2)C2COC2)CC1 ZINC000491295303 332183484 /nfs/dbraw/zinc/18/34/84/332183484.db2.gz GNXLURATIKEKHN-UHFFFAOYSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)C[C@@](C)(O)c1ccccc1 ZINC000491483523 332219443 /nfs/dbraw/zinc/21/94/43/332219443.db2.gz CCRHRDURTIASHN-XJKSGUPXSA-N 0 1 274.364 0.964 20 30 CCEDMN C#CCNC(=O)CCNCc1cccnc1OCCOC ZINC000491486467 332220894 /nfs/dbraw/zinc/22/08/94/332220894.db2.gz WJKCXLIAFDRMAK-UHFFFAOYSA-N 0 1 291.351 0.336 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1ccc(CC#N)cc1 ZINC000491617015 332243952 /nfs/dbraw/zinc/24/39/52/332243952.db2.gz JRHPSIKVPJCXCO-KGLIPLIRSA-N 0 1 274.320 0.076 20 30 CCEDMN C=CCN(C(=O)/C=C\CN(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000491640833 332260531 /nfs/dbraw/zinc/26/05/31/332260531.db2.gz JRYJGQWZGCMSIN-FJOGCWAESA-N 0 1 286.397 0.306 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC(CF)CC2)C1=O ZINC000491655750 332267885 /nfs/dbraw/zinc/26/78/85/332267885.db2.gz CNUDVPCZDNLAML-NSHDSACASA-N 0 1 252.289 0.429 20 30 CCEDMN C#CCNC(=O)CCN(CCC)[C@@H](C)C(=O)OCC ZINC000491666258 332274687 /nfs/dbraw/zinc/27/46/87/332274687.db2.gz JSWZDZRKAGAHEH-LBPRGKRZSA-N 0 1 268.357 0.790 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H](CO)COC(C)C)CC1 ZINC000491669115 332276645 /nfs/dbraw/zinc/27/66/45/332276645.db2.gz WZJOKVGFLJBMNK-OAHLLOKOSA-N 0 1 296.411 0.576 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H](CO)COC(C)C)CC1 ZINC000491669085 332276663 /nfs/dbraw/zinc/27/66/63/332276663.db2.gz WZJOKVGFLJBMNK-HNNXBMFYSA-N 0 1 296.411 0.576 20 30 CCEDMN C#CCN(C)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000491697610 332292775 /nfs/dbraw/zinc/29/27/75/332292775.db2.gz BFESRCRCNMUWHF-UHFFFAOYSA-N 0 1 263.322 0.574 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1c(OC)cccc1OC ZINC000491741245 332319962 /nfs/dbraw/zinc/31/99/62/332319962.db2.gz VPDOMDPCJKLJMI-VXGBXAGGSA-N 0 1 295.335 0.027 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)c1cc(OC)ccc1OC ZINC000491743107 332321473 /nfs/dbraw/zinc/32/14/73/332321473.db2.gz MDMYLLGVYFJDOO-MFKMUULPSA-N 0 1 265.309 0.321 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000491746920 332324357 /nfs/dbraw/zinc/32/43/57/332324357.db2.gz VMPZKUBBQGIRGQ-OLZOCXBDSA-N 0 1 295.383 0.694 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1ccccc1CC=C ZINC000491750650 332327259 /nfs/dbraw/zinc/32/72/59/332327259.db2.gz ITOHWIITVFHZST-HUUCEWRRSA-N 0 1 275.348 0.739 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000491761906 332332025 /nfs/dbraw/zinc/33/20/25/332332025.db2.gz XYFDWRAATUDHAP-QWHCGFSZSA-N 0 1 281.400 0.885 20 30 CCEDMN C#CCN(CC#CC)C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000491803444 332357430 /nfs/dbraw/zinc/35/74/30/332357430.db2.gz JILAPGWFKLAVNZ-GFCCVEGCSA-N 0 1 270.336 0.935 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)NCc1ccc2cncn2c1 ZINC000491806962 332360170 /nfs/dbraw/zinc/36/01/70/332360170.db2.gz RWMONKLYSBNBNO-ZDUSSCGKSA-N 0 1 284.319 0.878 20 30 CCEDMN Cc1c(/C=C\C(=O)NC2(C#N)CCN(C)CC2)cnn1C ZINC000492250514 332389200 /nfs/dbraw/zinc/38/92/00/332389200.db2.gz UEPUCFUAKBSCKO-PLNGDYQASA-N 0 1 287.367 0.846 20 30 CCEDMN C[C@H](C#N)CN(C)CN1C[C@@]2(CN3CCC2CC3)OC1=O ZINC000496820068 332561330 /nfs/dbraw/zinc/56/13/30/332561330.db2.gz NDHDDTZCFAKXLQ-IUODEOHRSA-N 0 1 292.383 0.952 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC2(COC(=O)N2)CC1 ZINC000496907750 332564164 /nfs/dbraw/zinc/56/41/64/332564164.db2.gz KRMTUFLJNAVYSD-LLVKDONJSA-N 0 1 294.355 0.321 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000505348392 332738364 /nfs/dbraw/zinc/73/83/64/332738364.db2.gz HIWKXRDVHMIGAP-CQSZACIVSA-N 0 1 280.372 0.159 20 30 CCEDMN CC(C)(O)CN1CCN(C(=O)NCCCCC#N)CC1 ZINC000177501408 333059561 /nfs/dbraw/zinc/05/95/61/333059561.db2.gz UQQRAXOXCXFQJB-UHFFFAOYSA-N 0 1 282.388 0.778 20 30 CCEDMN COC(=O)[C@@H](C)CN(C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000217342182 333120593 /nfs/dbraw/zinc/12/05/93/333120593.db2.gz VIDSAODSXFVUMB-FZMZJTMJSA-N 0 1 283.372 0.782 20 30 CCEDMN C#CCNC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000187087411 333167841 /nfs/dbraw/zinc/16/78/41/333167841.db2.gz YLTQTYLGRKJVLP-NSHDSACASA-N 0 1 253.346 0.106 20 30 CCEDMN CN(CC(=O)N[C@]1(C#N)CCOC1)[C@H]1CCSC1 ZINC000548140521 334142144 /nfs/dbraw/zinc/14/21/44/334142144.db2.gz DKXVXBKUUDSSFN-JQWIXIFHSA-N 0 1 269.370 0.223 20 30 CCEDMN COC(=O)c1ncsc1S(=O)(=O)NC[C@H](C)C#N ZINC000136430581 334154882 /nfs/dbraw/zinc/15/48/82/334154882.db2.gz OYAUCULYPCHFMG-ZCFIWIBFSA-N 0 1 289.338 0.368 20 30 CCEDMN CC1CCN(CC(=O)N2CCO[C@H](C#N)C2)CC1 ZINC000136835280 334164465 /nfs/dbraw/zinc/16/44/65/334164465.db2.gz NMYBSOXNZRVWOT-GFCCVEGCSA-N 0 1 251.330 0.469 20 30 CCEDMN C=CCn1cc(CNC[C@H](O)CC(F)(F)F)nn1 ZINC000576339710 335191114 /nfs/dbraw/zinc/19/11/14/335191114.db2.gz GJYYLRXIYHHTFN-SECBINFHSA-N 0 1 264.251 0.867 20 30 CCEDMN C[C@](O)(CNC([O-])=[NH+][C@H]1CCn2ccnc2C1)C1CC1 ZINC000330301253 534155056 /nfs/dbraw/zinc/15/50/56/534155056.db2.gz NQJJTRTWOPZCLX-FZMZJTMJSA-N 0 1 278.356 0.863 20 30 CCEDMN C[C@](O)(C[NH+]=C([O-])N[C@H]1CCn2ccnc2C1)C1CC1 ZINC000330301253 534155059 /nfs/dbraw/zinc/15/50/59/534155059.db2.gz NQJJTRTWOPZCLX-FZMZJTMJSA-N 0 1 278.356 0.863 20 30 CCEDMN C[C@@H]1[C@H](C)N(CC(=O)N[C@@](C)(C#N)C2CC2)CCN1C ZINC000331789551 534249746 /nfs/dbraw/zinc/24/97/46/534249746.db2.gz GNNBIEBOGOBDMK-XUJVJEKNSA-N 0 1 278.400 0.819 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@@H](C)N1CCCCCC1 ZINC000181680670 517331966 /nfs/dbraw/zinc/33/19/66/517331966.db2.gz GZVWFWICXCCCMQ-GFCCVEGCSA-N 0 1 267.373 0.669 20 30 CCEDMN CNC(=O)[C@H]1CCC[C@@H]1NCc1ccncc1C#N ZINC000592114785 545102764 /nfs/dbraw/zinc/10/27/64/545102764.db2.gz YGKLSYOQTTWWDE-STQMWFEESA-N 0 1 258.325 0.958 20 30 CCEDMN CC#CCN(C)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000457630275 526349027 /nfs/dbraw/zinc/34/90/27/526349027.db2.gz VMLWIVMUPIXPRQ-OAHLLOKOSA-N 0 1 294.443 0.923 20 30 CCEDMN C=C(C)CN(C)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000352169052 526492543 /nfs/dbraw/zinc/49/25/43/526492543.db2.gz XLHYKYQXPSEHHC-LBPRGKRZSA-N 0 1 255.362 0.925 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@H]1CCCN1C1CC1 ZINC000331763796 526565328 /nfs/dbraw/zinc/56/53/28/526565328.db2.gz HMEVCVXIIIEOBT-LLVKDONJSA-N 0 1 251.330 0.032 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C1CC(OCC)C1 ZINC000347443785 526586542 /nfs/dbraw/zinc/58/65/42/526586542.db2.gz HYFMXWNCQXQCSZ-UHFFFAOYSA-N 0 1 269.345 0.498 20 30 CCEDMN N#Cc1ccc(NC(=O)C(=O)NCCN2CCCC2)nc1 ZINC000340036739 526648170 /nfs/dbraw/zinc/64/81/70/526648170.db2.gz AZDFJHOTOLOQRP-UHFFFAOYSA-N 0 1 287.323 0.104 20 30 CCEDMN N#Cc1ccc2ncc(CN3CC[C@@]4(CNC(=O)C4)C3)n2c1 ZINC000366034063 526693582 /nfs/dbraw/zinc/69/35/82/526693582.db2.gz ZNCDBTCZLDVEEI-MRXNPFEDSA-N 0 1 295.346 0.918 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000667423055 545146859 /nfs/dbraw/zinc/14/68/59/545146859.db2.gz GFQMCCALBPEIJZ-HIFRSBDPSA-N 0 1 282.384 0.637 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@H]1CN(C)CCN1C ZINC000336606035 527450615 /nfs/dbraw/zinc/45/06/15/527450615.db2.gz HNLIWJIPPQSBQU-KGLIPLIRSA-N 0 1 280.416 0.982 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000668284708 545170991 /nfs/dbraw/zinc/17/09/91/545170991.db2.gz UTXOWFLTCHBPGD-OAHLLOKOSA-N 0 1 291.351 0.676 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000668435876 545177230 /nfs/dbraw/zinc/17/72/30/545177230.db2.gz QRUKKSOWDJYEAO-ZIAGYGMSSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCOCCCC(=O)NC[C@H]1CN2CCN1CCC2 ZINC000670410040 545233524 /nfs/dbraw/zinc/23/35/24/545233524.db2.gz AGRXIVWKNNZEGA-AWEZNQCLSA-N 0 1 281.400 0.475 20 30 CCEDMN C(#CCN1CCc2cn[nH]c2C1)CN1CCc2c[nH]nc2C1 ZINC000671242333 545244642 /nfs/dbraw/zinc/24/46/42/545244642.db2.gz FJEPPVQGNIGTIJ-UHFFFAOYSA-N 0 1 296.378 0.553 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC000671698849 545258011 /nfs/dbraw/zinc/25/80/11/545258011.db2.gz NRSFKENCDCHKKM-QWHCGFSZSA-N 0 1 290.429 0.527 20 30 CCEDMN C=CCOCCNC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000679480704 545410047 /nfs/dbraw/zinc/41/00/47/545410047.db2.gz WXCOFMXBXLMUPK-OKILXGFUSA-N 0 1 299.415 0.988 20 30 CCEDMN C=CCOCCCC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000682011247 545469923 /nfs/dbraw/zinc/46/99/23/545469923.db2.gz IXCKMEUFZUWJCD-UHFFFAOYSA-N 0 1 284.400 0.884 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+](C)[C@H](C)CNC(=O)[O-] ZINC000738942728 581211514 /nfs/dbraw/zinc/21/15/14/581211514.db2.gz WZCRKMSPMDHWIB-MFKMUULPSA-N 0 1 284.360 0.629 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](C)[C@H](C)CNC(=O)[O-] ZINC000738942728 581211515 /nfs/dbraw/zinc/21/15/15/581211515.db2.gz WZCRKMSPMDHWIB-MFKMUULPSA-N 0 1 284.360 0.629 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cn(C(C)(C)C)nn2)=NO1 ZINC000790827661 581199680 /nfs/dbraw/zinc/19/96/80/581199680.db2.gz WDHPLHICLYGPPP-ZETCQYMHSA-N 0 1 251.290 0.885 20 30 CCEDMN C=C(CO)C(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000791353174 581216946 /nfs/dbraw/zinc/21/69/46/581216946.db2.gz OLKXNNSZCRFLIJ-UHFFFAOYSA-N 0 1 277.324 0.287 20 30 CCEDMN CN1CCC[C@H](NC(=O)[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000780931050 581249891 /nfs/dbraw/zinc/24/98/91/581249891.db2.gz GTWMCFOOUXWXDJ-KBPBESRZSA-N 0 1 273.336 0.802 20 30 CCEDMN C#CCOCCNC(=O)NCCN1CC=CCC1 ZINC000793151238 581285743 /nfs/dbraw/zinc/28/57/43/581285743.db2.gz RZSZCKMGDYHOSJ-UHFFFAOYSA-N 0 1 251.330 0.197 20 30 CCEDMN C#CCOCCNC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000784538774 581387095 /nfs/dbraw/zinc/38/70/95/581387095.db2.gz GBZFHYTXTFICSV-LLVKDONJSA-N 0 1 262.313 0.736 20 30 CCEDMN C#CCOCCNC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000784560289 581388868 /nfs/dbraw/zinc/38/88/68/581388868.db2.gz XZGPFYSBCKHCPW-CQSZACIVSA-N 0 1 297.399 0.292 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@@H]2CCCN2C2CC2)CCN1C1CC1 ZINC000787348570 581468542 /nfs/dbraw/zinc/46/85/42/581468542.db2.gz SLUHARSJRBGDGL-CABCVRRESA-N 0 1 288.395 0.812 20 30 CCEDMN N#CCCNC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000787359109 581469023 /nfs/dbraw/zinc/46/90/23/581469023.db2.gz XBNNWNUDPDIWGY-VXGBXAGGSA-N 0 1 266.345 0.141 20 30 CCEDMN N#Cc1cccc(OCC[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])c1 ZINC000739139971 581511002 /nfs/dbraw/zinc/51/10/02/581511002.db2.gz NJKJIUAFHIZXIF-YPMHNXCESA-N 0 1 276.292 0.457 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+](C)[C@H](C)CNC(=O)[O-] ZINC000739405609 581547417 /nfs/dbraw/zinc/54/74/17/581547417.db2.gz XUXIOHVZUYTYQC-WDEREUQCSA-N 0 1 284.360 0.582 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)[C@H](C)CNC(=O)[O-] ZINC000739405609 581547419 /nfs/dbraw/zinc/54/74/19/581547419.db2.gz XUXIOHVZUYTYQC-WDEREUQCSA-N 0 1 284.360 0.582 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)c1ccc2c(c1)n[nH]c2C=O ZINC000766173532 581555988 /nfs/dbraw/zinc/55/59/88/581555988.db2.gz MABBIGWBBGNIKQ-VIFPVBQESA-N 0 1 299.286 0.670 20 30 CCEDMN COCC[N@@H+](CCOc1cccc(C#N)c1)CC(=O)[O-] ZINC000737798126 581567504 /nfs/dbraw/zinc/56/75/04/581567504.db2.gz FGLJRTFPVKZKLG-UHFFFAOYSA-N 0 1 278.308 0.970 20 30 CCEDMN CC(C)N1CC(N=Nc2nc3[nH][nH]cc-3c(=O)n2)[C@@H](C)C1 ZINC000754259201 581583491 /nfs/dbraw/zinc/58/34/91/581583491.db2.gz MQVNPPSKVSAUCB-QMMMGPOBSA-N 0 1 289.343 0.560 20 30 CCEDMN Cc1cc(CC(=O)NCCNc2ncccc2C#N)[nH]n1 ZINC000755232666 581632334 /nfs/dbraw/zinc/63/23/34/581632334.db2.gz HQLPGLNBJBNSET-UHFFFAOYSA-N 0 1 284.323 0.756 20 30 CCEDMN O=C1N=NCN1N=Cc1cc(-c2cccnc2)[nH]n1 ZINC000770622642 581649242 /nfs/dbraw/zinc/64/92/42/581649242.db2.gz YRZWRQRQQIQNLK-UHFFFAOYSA-N 0 1 255.241 0.651 20 30 CCEDMN Cc1cc(CC(=O)O[C@@H](C(N)=O)c2ccc(C#N)cc2)n[nH]1 ZINC000756703672 581675789 /nfs/dbraw/zinc/67/57/89/581675789.db2.gz BANCUAYMJRYXDD-CQSZACIVSA-N 0 1 298.302 0.902 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000756759981 581677401 /nfs/dbraw/zinc/67/74/01/581677401.db2.gz VWGNDJOIVQOWGH-CYBMUJFWSA-N 0 1 285.307 0.445 20 30 CCEDMN C[C@@H]1CC(N=Nc2nccn(C)c2=O)CN1C1CC1 ZINC000753959090 581714927 /nfs/dbraw/zinc/71/49/27/581714927.db2.gz DECOELOFMJRSIP-SECBINFHSA-N 0 1 261.329 0.805 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1)C1CC1 ZINC000736204141 581715170 /nfs/dbraw/zinc/71/51/70/581715170.db2.gz YYLRWVQFWPBHGM-YGRLFVJLSA-N 0 1 279.340 0.591 20 30 CCEDMN N#Cc1cccn(CN2CCN(C3CC3)CC2)c1=O ZINC000758206764 581727153 /nfs/dbraw/zinc/72/71/53/581727153.db2.gz ZVIMNQMSSAZCTO-UHFFFAOYSA-N 0 1 258.325 0.457 20 30 CCEDMN C#CCOCCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000759116895 581783764 /nfs/dbraw/zinc/78/37/64/581783764.db2.gz MBXJMYOOHITNTF-UHFFFAOYSA-N 0 1 280.246 0.210 20 30 CCEDMN N#CC1(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)CCCC1 ZINC000731880331 581786704 /nfs/dbraw/zinc/78/67/04/581786704.db2.gz KJMKUGXJVQWVEK-SECBINFHSA-N 0 1 276.300 0.184 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H]2CCN(C)C2=O)CC1 ZINC000772113439 581843700 /nfs/dbraw/zinc/84/37/00/581843700.db2.gz FCZROTPPSHFMBG-GFCCVEGCSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)NCCOCC)CC1 ZINC000772113705 581843713 /nfs/dbraw/zinc/84/37/13/581843713.db2.gz QUCSPIBJBPTMLN-UHFFFAOYSA-N 0 1 296.367 0.028 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCN(C)[C@H](C)C1 ZINC000761072841 581886078 /nfs/dbraw/zinc/88/60/78/581886078.db2.gz FINWNCKBYQGBMQ-VXGBXAGGSA-N 0 1 252.362 0.383 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C[C@H](C)C(=O)[O-])C1CC1 ZINC000737094337 581901965 /nfs/dbraw/zinc/90/19/65/581901965.db2.gz PGGLCYSFSFPZLH-NSHDSACASA-N 0 1 281.356 0.934 20 30 CCEDMN Cn1cc(CN=Nc2ccccc2S(N)(=O)=O)cn1 ZINC000773093470 581908284 /nfs/dbraw/zinc/90/82/84/581908284.db2.gz GFGFKGICIXWPHL-UHFFFAOYSA-N 0 1 279.325 0.514 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(N(C)CC(F)F)CC1 ZINC000746480598 581915665 /nfs/dbraw/zinc/91/56/65/581915665.db2.gz CAKQURSFVXXOPQ-NSHDSACASA-N 0 1 287.354 0.786 20 30 CCEDMN C#CCNCC(=O)N1CCC(C(=O)N2CCCCC2)CC1 ZINC000746513949 581917759 /nfs/dbraw/zinc/91/77/59/581917759.db2.gz OHIABUSYRLNOGM-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(C(=O)N(C)C)cc1 ZINC000746513874 581917824 /nfs/dbraw/zinc/91/78/24/581917824.db2.gz MFMZTQTXDIQUJQ-UHFFFAOYSA-N 0 1 273.336 0.227 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000773700588 581938708 /nfs/dbraw/zinc/93/87/08/581938708.db2.gz KEBRWZJOOVYSOZ-ZIAGYGMSSA-N 0 1 264.369 0.874 20 30 CCEDMN C[C@@H]1CN(C)CC1N=Nc1nccc(N2CCOCC2)n1 ZINC000762607668 581953840 /nfs/dbraw/zinc/95/38/40/581953840.db2.gz SLJDPSXKQFUMJP-LLVKDONJSA-N 0 1 290.371 0.663 20 30 CCEDMN CN1CCCC(=NNCC(C)(C)S(C)(=O)=O)CC1 ZINC000774484384 581976111 /nfs/dbraw/zinc/97/61/11/581976111.db2.gz UYINNCVLYGJEEZ-UHFFFAOYSA-N 0 1 275.418 0.871 20 30 CCEDMN COC(=O)C[C@@H]1CCCN1CC(=O)NCCC#N ZINC000749466837 582010032 /nfs/dbraw/zinc/01/00/32/582010032.db2.gz XJFSWBIQFAYPLE-JTQLQIEISA-N 0 1 253.302 0.044 20 30 CCEDMN C#C[C@H](NC(=O)[C@H]1CCCCN1C)[C@H]1CCCO1 ZINC000775328187 582018538 /nfs/dbraw/zinc/01/85/38/582018538.db2.gz ZKBZTGKPQQOOQE-YNEHKIRRSA-N 0 1 250.342 0.768 20 30 CCEDMN C#C[C@@H](C)NC(=O)C(F)(F)C(F)(F)C(=O)N[C@H](C)C#C ZINC000775375929 582021666 /nfs/dbraw/zinc/02/16/66/582021666.db2.gz XUMVLRWQTUPQJO-HTQZYQBOSA-N 0 1 292.232 0.533 20 30 CCEDMN C#C[C@@H]([NH2+]Cc1cc(=O)n2[n-]ccc2n1)[C@@H]1CCCO1 ZINC000775790237 582038283 /nfs/dbraw/zinc/03/82/83/582038283.db2.gz HILAGYDGWHYLBR-NEPJUHHUSA-N 0 1 272.308 0.293 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC(=O)NC(C)(C)C)[C@@H]1CCCO1 ZINC000775798153 582039655 /nfs/dbraw/zinc/03/96/55/582039655.db2.gz IISDHZPWBSAVLP-WOPDTQHZSA-N 0 1 295.383 0.770 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC(CNC(=O)[O-])CC1 ZINC000738459917 582053294 /nfs/dbraw/zinc/05/32/94/582053294.db2.gz HPUASKDQQYHSLD-ZDUSSCGKSA-N 0 1 293.367 0.590 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738495707 582056527 /nfs/dbraw/zinc/05/65/27/582056527.db2.gz OBDRYIIKGWNLPL-WDEREUQCSA-N 0 1 269.345 0.751 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738495707 582056530 /nfs/dbraw/zinc/05/65/30/582056530.db2.gz OBDRYIIKGWNLPL-WDEREUQCSA-N 0 1 269.345 0.751 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](CCC(=O)OCC)C1 ZINC000752052464 582099731 /nfs/dbraw/zinc/09/97/31/582099731.db2.gz JCXQYILYOOVPJV-ZDUSSCGKSA-N 0 1 280.368 0.791 20 30 CCEDMN N#Cc1ccc(CN2CCC(C(=O)NCCO)CC2)s1 ZINC000768318716 582105692 /nfs/dbraw/zinc/10/56/92/582105692.db2.gz NXTSNGUZODLFOX-UHFFFAOYSA-N 0 1 293.392 0.940 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000768375241 582106027 /nfs/dbraw/zinc/10/60/27/582106027.db2.gz GGWRARGUTZTEDV-RISCZKNCSA-N 0 1 274.368 0.863 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)[C@]1(C)C[C@H](O)CN1C ZINC000908401647 616050988 /nfs/dbraw/zinc/05/09/88/616050988.db2.gz VUXWQMGGWIYQHM-GWCFXTLKSA-N 0 1 253.346 0.450 20 30 CCEDMN C#CCCCNS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914199243 612982754 /nfs/dbraw/zinc/98/27/54/612982754.db2.gz DEJCOVHKLCAFGH-ZDUSSCGKSA-N 0 1 270.398 0.805 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000054299575 597081796 /nfs/dbraw/zinc/08/17/96/597081796.db2.gz KBYOCPCVYSHZSL-SNVBAGLBSA-N 0 1 283.328 0.185 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000817727830 597530883 /nfs/dbraw/zinc/53/08/83/597530883.db2.gz KTTYKDLNBMFVCX-VHSXEESVSA-N 0 1 253.302 0.153 20 30 CCEDMN C#CCONC(=O)[C@H]1COc2cc(OC)ccc2C1 ZINC000812785239 612992990 /nfs/dbraw/zinc/99/29/90/612992990.db2.gz XDVPQXBVVMZVQY-LLVKDONJSA-N 0 1 261.277 0.927 20 30 CCEDMN C#CCO[C@@H](C)C(=O)OCCCN1CCO[C@@H](C)C1 ZINC000893386149 612998255 /nfs/dbraw/zinc/99/82/55/612998255.db2.gz RCIGLBCVVVMTRD-STQMWFEESA-N 0 1 269.341 0.679 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+](C)C(C)(C)C(=O)[O-] ZINC000061173667 600308801 /nfs/dbraw/zinc/30/88/01/600308801.db2.gz ZYAGWLWRNVFDRZ-UHFFFAOYSA-N 0 1 254.330 0.982 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+](C)C(C)(C)C(=O)[O-] ZINC000061173667 600308804 /nfs/dbraw/zinc/30/88/04/600308804.db2.gz ZYAGWLWRNVFDRZ-UHFFFAOYSA-N 0 1 254.330 0.982 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC([C@]2(C)COC(=O)N2)CC1 ZINC000932088599 612947521 /nfs/dbraw/zinc/94/75/21/612947521.db2.gz FSSXCNGIFJGHMM-ABAIWWIYSA-N 0 1 293.367 0.335 20 30 CCEDMN C#CCSCCNC(=O)NC1CCN(CC#C)CC1 ZINC000919875519 613016899 /nfs/dbraw/zinc/01/68/99/613016899.db2.gz VZSHIFNYENJZJR-UHFFFAOYSA-N 0 1 279.409 0.750 20 30 CCEDMN COc1cc(C=NN(C)C[C@H](C)O)cc([N+](=O)[O-])c1O ZINC000841656374 617179185 /nfs/dbraw/zinc/17/91/85/617179185.db2.gz RGXUNUVWMKTLHI-QMMMGPOBSA-N 0 1 283.284 0.956 20 30 CCEDMN C[C@@H](NC(=O)CCCC#N)[C@H]1CN(C)CCN1C ZINC000896537818 617190642 /nfs/dbraw/zinc/19/06/42/617190642.db2.gz BWZINTFTCNTNMV-VXGBXAGGSA-N 0 1 252.362 0.431 20 30 CCEDMN C#CCCNC(=O)N1CCc2sc(CN)nc2C1 ZINC000892882372 613047220 /nfs/dbraw/zinc/04/72/20/613047220.db2.gz JWYBJIZJZGXSJW-UHFFFAOYSA-N 0 1 264.354 0.693 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NCc1cc(C)[nH]n1 ZINC000858237969 613051140 /nfs/dbraw/zinc/05/11/40/613051140.db2.gz PMHJCGZVSPJVBN-LLVKDONJSA-N 0 1 264.329 0.946 20 30 CCEDMN C#CCNCC(=O)NCCNc1ccccc1[N+](=O)[O-] ZINC000912114005 612956297 /nfs/dbraw/zinc/95/62/97/612956297.db2.gz RDTBGLPJIZITDI-UHFFFAOYSA-N 0 1 276.296 0.346 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)C[N@@H+]1CCC[C@@H](C(=O)OCC)C1 ZINC000907773949 613079287 /nfs/dbraw/zinc/07/92/87/613079287.db2.gz HWVPQDMFXJTSDO-CHWSQXEVSA-N 0 1 280.368 0.742 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)CN1CCC[C@@H](C(=O)OCC)C1 ZINC000907773949 613079288 /nfs/dbraw/zinc/07/92/88/613079288.db2.gz HWVPQDMFXJTSDO-CHWSQXEVSA-N 0 1 280.368 0.742 20 30 CCEDMN C#C[C@@H](CC)NCc1cccc(S(N)(=O)=O)c1 ZINC000877512859 613084444 /nfs/dbraw/zinc/08/44/44/613084444.db2.gz DQCFEZUUMPTDFP-NSHDSACASA-N 0 1 252.339 0.835 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NC[C@H]1CCCC[N@H+]1CCO ZINC000820666402 613085623 /nfs/dbraw/zinc/08/56/23/613085623.db2.gz JUKQDWVDVZFFJY-UONOGXRCSA-N 0 1 281.400 0.934 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)N(C)C(C)C ZINC000922024987 613098056 /nfs/dbraw/zinc/09/80/56/613098056.db2.gz WCMCPMJIZOFADD-UHFFFAOYSA-N 0 1 287.429 0.601 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC(CCF)CC2)C1=O ZINC000864350132 613109377 /nfs/dbraw/zinc/10/93/77/613109377.db2.gz AESCPRZMQAOIHC-GFCCVEGCSA-N 0 1 266.316 0.819 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)NCCS(=O)(=O)CC ZINC000876645618 613389907 /nfs/dbraw/zinc/38/99/07/613389907.db2.gz MTTUAINEZDUBEL-QMMMGPOBSA-N 0 1 268.766 0.007 20 30 CCEDMN C#CCC1(O)CCN(CC(=O)N(CC)CCC#N)CC1 ZINC000880478830 612973329 /nfs/dbraw/zinc/97/33/29/612973329.db2.gz HQXMIKXVLKCJLB-UHFFFAOYSA-N 0 1 277.368 0.599 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C[C@H](O)C2(O)CCCC2)CC1 ZINC000930116277 614072348 /nfs/dbraw/zinc/07/23/48/614072348.db2.gz VFGYHGCFHRYJLZ-CABCVRRESA-N 0 1 295.427 0.818 20 30 CCEDMN COc1ccc(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1C ZINC000834480427 617276418 /nfs/dbraw/zinc/27/64/18/617276418.db2.gz PXVABDRBJZXFGF-WCQYABFASA-N 0 1 289.335 0.610 20 30 CCEDMN COc1ccc([C@@H](CO)N(C)C[C@H](O)CC#N)cc1 ZINC000809574046 617279161 /nfs/dbraw/zinc/27/91/61/617279161.db2.gz DQIIMCPGSXFNIV-TZMCWYRMSA-N 0 1 264.325 0.935 20 30 CCEDMN COc1ccc2ncc(C(=O)NC3=NO[C@H](C)C3)n2n1 ZINC000831399958 617287828 /nfs/dbraw/zinc/28/78/28/617287828.db2.gz VQTHEUAVIIVQIE-SSDOTTSWSA-N 0 1 275.268 0.590 20 30 CCEDMN COc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1[N+](=O)[O-] ZINC000834500751 617293617 /nfs/dbraw/zinc/29/36/17/617293617.db2.gz WUYFBEWENYRKTM-SCZZXKLOSA-N 0 1 290.279 0.445 20 30 CCEDMN COc1cccc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834512147 617295623 /nfs/dbraw/zinc/29/56/23/617295623.db2.gz SESUJVNBWZNJMK-WCQYABFASA-N 0 1 259.309 0.465 20 30 CCEDMN COc1cccc(COCC(=O)NCC#CCN(C)C)c1 ZINC000913516820 617300885 /nfs/dbraw/zinc/30/08/85/617300885.db2.gz HTJCVXXOXHOKMV-UHFFFAOYSA-N 0 1 290.363 0.893 20 30 CCEDMN C#CC1(O)CCN([C@H]2CCN(C3CCC3)C2=O)CC1 ZINC000931150879 612953048 /nfs/dbraw/zinc/95/30/48/612953048.db2.gz DEIRAWXIKXTXQX-ZDUSSCGKSA-N 0 1 262.353 0.600 20 30 CCEDMN C#CC1CCN(CC(=O)N(C)Cc2cnn(C)c2)CC1 ZINC000830321396 612964523 /nfs/dbraw/zinc/96/45/23/612964523.db2.gz JNNRNBFYJIDOTN-UHFFFAOYSA-N 0 1 274.368 0.724 20 30 CCEDMN C#CCC1(NC(=O)CSc2nnc(C)[nH]2)CCOCC1 ZINC000925435464 612968769 /nfs/dbraw/zinc/96/87/69/612968769.db2.gz RONAFXIFOGZDQB-UHFFFAOYSA-N 0 1 294.380 0.894 20 30 CCEDMN C#CCC1(NC(=O)CSc2nc(C)n[nH]2)CCOCC1 ZINC000925435464 612968771 /nfs/dbraw/zinc/96/87/71/612968771.db2.gz RONAFXIFOGZDQB-UHFFFAOYSA-N 0 1 294.380 0.894 20 30 CCEDMN C#CCC1(NC(=O)NC[C@@H]2CCN2C)CCOCC1 ZINC000925434115 612969367 /nfs/dbraw/zinc/96/93/67/612969367.db2.gz YMLOVXVOZRFGJF-LBPRGKRZSA-N 0 1 265.357 0.562 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1CCC(=O)N1 ZINC000960258912 612971562 /nfs/dbraw/zinc/97/15/62/612971562.db2.gz OUTLNNYNUATHAY-IIAWOOMASA-N 0 1 297.358 0.270 20 30 CCEDMN C#CCC1(O)CCN([C@H](C)C(=O)NC[C@H]2CCCO2)CC1 ZINC000880478072 612974098 /nfs/dbraw/zinc/97/40/98/612974098.db2.gz RXLAKXWNMKOWKH-ZIAGYGMSSA-N 0 1 294.395 0.520 20 30 CCEDMN C#CCC1(O)CCN([C@@H](C)C(=O)NC(=O)NC(C)C)CC1 ZINC000880478723 612974151 /nfs/dbraw/zinc/97/41/51/612974151.db2.gz JOCPRNXKCCQUGA-LBPRGKRZSA-N 0 1 295.383 0.459 20 30 CCEDMN C#CCOCCC(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000819968550 612977919 /nfs/dbraw/zinc/97/79/19/612977919.db2.gz DSKOBAJZGQMYRH-JTQLQIEISA-N 0 1 279.296 0.521 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C)C[C@H]1C(C)C ZINC000152865575 612978344 /nfs/dbraw/zinc/97/83/44/612978344.db2.gz WSXDMMQFARWMGK-ZDUSSCGKSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCCC[N@@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC000833362410 612978364 /nfs/dbraw/zinc/97/83/64/612978364.db2.gz QMCNEHHFUJBSSZ-GHMZBOCLSA-N 0 1 253.298 0.738 20 30 CCEDMN C#CCCCN1C[C@H]2[C@@H](C1)[C@H](OC)CS2(=O)=O ZINC000852593996 612978830 /nfs/dbraw/zinc/97/88/30/612978830.db2.gz QKQDQYQKANYMHT-TUAOUCFPSA-N 0 1 257.355 0.144 20 30 CCEDMN C#CCOCCC(=O)N1[C@H](C)CN(C)[C@H](C)[C@@H]1C ZINC000844641657 612979007 /nfs/dbraw/zinc/97/90/07/612979007.db2.gz DWHPVWXMAAWVAB-UPJWGTAASA-N 0 1 252.358 0.966 20 30 CCEDMN C#CCOCCN1CCC(NS(=O)(=O)CCC)CC1 ZINC000851727294 612982504 /nfs/dbraw/zinc/98/25/04/612982504.db2.gz JBNQHTWZNZNCAZ-UHFFFAOYSA-N 0 1 288.413 0.430 20 30 CCEDMN C#CCCN(CCOC)C(=O)[C@@H](C)CN1CCOCC1 ZINC000856389519 612988136 /nfs/dbraw/zinc/98/81/36/612988136.db2.gz USVJPVKRDYJJES-AWEZNQCLSA-N 0 1 282.384 0.453 20 30 CCEDMN C#CCCN(CCOC)C[C@H]1CN(C2CC2)C(=O)O1 ZINC000852749820 612989437 /nfs/dbraw/zinc/98/94/37/612989437.db2.gz GVVUQUVMLSWELD-ZDUSSCGKSA-N 0 1 266.341 0.941 20 30 CCEDMN C#CCOCCSc1nc2n[nH]cc2c(=O)[nH]1 ZINC000853648678 612991212 /nfs/dbraw/zinc/99/12/12/612991212.db2.gz NROGRIGIGRUXLP-UHFFFAOYSA-N 0 1 250.283 0.800 20 30 CCEDMN C#CCC[NH+]1CC([C@@H](C)NC(=O)[C@H]2C[N@H+](CC)CCO2)C1 ZINC000970911010 612994396 /nfs/dbraw/zinc/99/43/96/612994396.db2.gz RLNDKPSWENUHFZ-UKRRQHHQSA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000822878565 613001555 /nfs/dbraw/zinc/00/15/55/613001555.db2.gz JEVFSUXZNIXEPW-FRRDWIJNSA-N 0 1 266.341 0.004 20 30 CCEDMN C#CCO[C@H](C)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000835072426 613004733 /nfs/dbraw/zinc/00/47/33/613004733.db2.gz FVKKLHYWIHDLBN-PWSUYJOCSA-N 0 1 262.309 0.792 20 30 CCEDMN C#CCOc1ccc(CNC(=O)N2CCNCC2)cc1 ZINC000841913328 613006156 /nfs/dbraw/zinc/00/61/56/613006156.db2.gz PMTXKOJZTPVODV-UHFFFAOYSA-N 0 1 273.336 0.813 20 30 CCEDMN C#CCOc1ccc(CNC[C@@H](O)CS(C)(=O)=O)cc1 ZINC000922821304 613006524 /nfs/dbraw/zinc/00/65/24/613006524.db2.gz KLTYSKFMRMJZNY-CYBMUJFWSA-N 0 1 297.376 0.194 20 30 CCEDMN C#CCSCCNC(=O)N1CCNC[C@@H]1C(C)C ZINC000861591033 613016019 /nfs/dbraw/zinc/01/60/19/613016019.db2.gz YCWPBDILUFYWHP-GFCCVEGCSA-N 0 1 269.414 0.992 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C(C)(C)CNC(=O)[O-])CC1 ZINC000823445400 613009120 /nfs/dbraw/zinc/00/91/20/613009120.db2.gz ZNCQHPNOELXODR-UHFFFAOYSA-N 0 1 281.356 0.448 20 30 CCEDMN C#CCCN1CCN(C(=O)[C@@H](C)Cc2cnc[nH]2)CC1 ZINC000847416261 613009849 /nfs/dbraw/zinc/00/98/49/613009849.db2.gz JGTKTTXTNARIAG-ZDUSSCGKSA-N 0 1 274.368 0.756 20 30 CCEDMN C#CCSCC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000896856138 613010388 /nfs/dbraw/zinc/01/03/88/613010388.db2.gz OHYSTOMBJQDJJQ-CYBMUJFWSA-N 0 1 282.409 0.534 20 30 CCEDMN C#CCCN1CCN(c2nccn3cncc23)CC1 ZINC000892314178 613012309 /nfs/dbraw/zinc/01/23/09/613012309.db2.gz JVLPZKWUZMLQDL-UHFFFAOYSA-N 0 1 255.325 0.875 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000854542727 613028645 /nfs/dbraw/zinc/02/86/45/613028645.db2.gz QUOZROOBNJXJRN-NSHDSACASA-N 0 1 287.323 0.407 20 30 CCEDMN C#CC[C@@H](CO)NCc1c2c(nn1C)CCSC2 ZINC000883125508 613030098 /nfs/dbraw/zinc/03/00/98/613030098.db2.gz KYUQRSPSJSWKGV-JTQLQIEISA-N 0 1 265.382 0.683 20 30 CCEDMN C#CC[C@@H](CO)NCc1cc(C(=O)OC)oc1C ZINC000895489397 613030191 /nfs/dbraw/zinc/03/01/91/613030191.db2.gz BNAHEAIPDZTWOL-NSHDSACASA-N 0 1 251.282 0.848 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CCCN1C1CC1)C(=O)OC ZINC000837945803 613041308 /nfs/dbraw/zinc/04/13/08/613041308.db2.gz AEYFOIZLSLQPNK-VXGBXAGGSA-N 0 1 264.325 0.294 20 30 CCEDMN C#CC[C@@H]1NC(=O)N(C2CCN(CC=C)CC2)C1=O ZINC000927476875 613047463 /nfs/dbraw/zinc/04/74/63/613047463.db2.gz WEASUNLEQZDTHZ-LBPRGKRZSA-N 0 1 261.325 0.581 20 30 CCEDMN C#CC[C@H](CO)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000854541378 613053841 /nfs/dbraw/zinc/05/38/41/613053841.db2.gz FHKZIUBILCSICU-SNVBAGLBSA-N 0 1 261.325 0.331 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)NCc1cn[nH]c1C ZINC000798366231 613056895 /nfs/dbraw/zinc/05/68/95/613056895.db2.gz OSAFASSDLUXGOJ-LLVKDONJSA-N 0 1 250.302 0.292 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000816669326 613057944 /nfs/dbraw/zinc/05/79/44/613057944.db2.gz NYVYABSSCRMDGP-GFCCVEGCSA-N 0 1 270.292 0.586 20 30 CCEDMN C#CC[C@H](COC)NC(=O)[C@H](C)CN1CCOCC1 ZINC000863802609 613065444 /nfs/dbraw/zinc/06/54/44/613065444.db2.gz MNORYRJJXPQZGQ-CHWSQXEVSA-N 0 1 268.357 0.109 20 30 CCEDMN C#CC[C@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)C(=O)OC ZINC000837943520 613067773 /nfs/dbraw/zinc/06/77/73/613067773.db2.gz RLHUGVJACZASCT-TUAOUCFPSA-N 0 1 266.341 0.540 20 30 CCEDMN C#CC[C@H](NC(=O)CN1CCC(C)CC1)C(=O)OC ZINC000837945208 613067902 /nfs/dbraw/zinc/06/79/02/613067902.db2.gz XSSVQWFAABVHPW-LBPRGKRZSA-N 0 1 266.341 0.399 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H](CSC)C(=O)[O-] ZINC000909038204 613076496 /nfs/dbraw/zinc/07/64/96/613076496.db2.gz UKPYVHWJEDPADU-JTQLQIEISA-N 0 1 287.385 0.057 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCc2sc(CN)nc2C1 ZINC000892879588 613081072 /nfs/dbraw/zinc/08/10/72/613081072.db2.gz QMVHTIJRVVXVTF-MRVPVSSYSA-N 0 1 264.354 0.691 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2nnc(C)o2)C1 ZINC000971486836 613081681 /nfs/dbraw/zinc/08/16/81/613081681.db2.gz OFFCQRPSTIXBQO-LLVKDONJSA-N 0 1 262.313 0.086 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2ccn(CC)n2)C1 ZINC000971573211 613081986 /nfs/dbraw/zinc/08/19/86/613081986.db2.gz RCXCQRFNAVRXNC-CQSZACIVSA-N 0 1 274.368 0.611 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc3n(n2)CCCO3)C1 ZINC000971916927 613085335 /nfs/dbraw/zinc/08/53/35/613085335.db2.gz IVTHLKPUFUULLJ-GFCCVEGCSA-N 0 1 288.351 0.445 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc3ncn(C)c3n2)C1 ZINC000972326035 613086359 /nfs/dbraw/zinc/08/63/59/613086359.db2.gz GLFPJCGYWMCJFI-GFCCVEGCSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccn(CC)n2)C1 ZINC000972486519 613086791 /nfs/dbraw/zinc/08/67/91/613086791.db2.gz UAKYWNNUPDQFJU-GFCCVEGCSA-N 0 1 260.341 0.683 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cc(C)nn2C)C1 ZINC000971928696 613090277 /nfs/dbraw/zinc/09/02/77/613090277.db2.gz OCYIOXQYUPWMRP-ZDUSSCGKSA-N 0 1 274.368 0.437 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cn2nc(C)cc2C)C1 ZINC000971898237 613090839 /nfs/dbraw/zinc/09/08/39/613090839.db2.gz IPDRDATXTFFOEG-AWEZNQCLSA-N 0 1 274.368 0.666 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)Cn2ccc(C)n2)C1 ZINC000971600324 613091332 /nfs/dbraw/zinc/09/13/32/613091332.db2.gz SAHQLUBOOUFGJD-ZFWWWQNUSA-N 0 1 288.395 0.994 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC000972188224 613091907 /nfs/dbraw/zinc/09/19/07/613091907.db2.gz DEOPVLMKPSLOLI-NWDGAFQWSA-N 0 1 287.367 0.391 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC000972061100 613093348 /nfs/dbraw/zinc/09/33/48/613093348.db2.gz JFPPVBDQLHHWFG-LBPRGKRZSA-N 0 1 288.351 0.579 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc(OCC)cn2)C1 ZINC000980553117 613096133 /nfs/dbraw/zinc/09/61/33/613096133.db2.gz LZVVYZLEGDEPST-LBPRGKRZSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ncnc3[nH]ccc32)C1 ZINC000971867391 613097210 /nfs/dbraw/zinc/09/72/10/613097210.db2.gz QULPHTAJDHNEJM-NSHDSACASA-N 0 1 283.335 0.689 20 30 CCEDMN C#C[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)[C@H]1CCCO1 ZINC000822074453 613098366 /nfs/dbraw/zinc/09/83/66/613098366.db2.gz XHPMCEMKOMKMQP-PRULPYPASA-N 0 1 259.309 0.810 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)CCc1c[nH]nn1 ZINC000869247712 613104022 /nfs/dbraw/zinc/10/40/22/613104022.db2.gz NRBXMXOUBSCLKN-UHFFFAOYSA-N 0 1 292.339 0.541 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)CCc1cnn[nH]1 ZINC000869247712 613104023 /nfs/dbraw/zinc/10/40/23/613104023.db2.gz NRBXMXOUBSCLKN-UHFFFAOYSA-N 0 1 292.339 0.541 20 30 CCEDMN C#CCN(CC)C(=O)C(C)(C)CN1CCOCC1 ZINC000874166768 613105258 /nfs/dbraw/zinc/10/52/58/613105258.db2.gz QBCDWHCKEGXSDH-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN C#CCN([C@@H](C)C(=O)NC(=O)NCC)C(C)(C)C ZINC000932465653 613109477 /nfs/dbraw/zinc/10/94/77/613109477.db2.gz KMJHSUWJTFZAQF-JTQLQIEISA-N 0 1 253.346 0.954 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CC[C@H](c3ccco3)C2)C1=O ZINC000821771485 613109560 /nfs/dbraw/zinc/10/95/60/613109560.db2.gz ATJPMBNLQNKJGK-NWDGAFQWSA-N 0 1 272.304 0.830 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000880276154 613110768 /nfs/dbraw/zinc/11/07/68/613110768.db2.gz CYDHAOLPQLLLFE-GYSYKLTISA-N 0 1 273.336 0.936 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)CCC(=O)NC)CC1 ZINC000888261935 613128716 /nfs/dbraw/zinc/12/87/16/613128716.db2.gz HZRHODPTBCWHEC-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2ncc(CCO)s2)CC1 ZINC000808256343 613132049 /nfs/dbraw/zinc/13/20/49/613132049.db2.gz IJWDAHGHBXAZDK-UHFFFAOYSA-N 0 1 293.392 0.962 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(F)CCOCC2)CC1 ZINC000928660108 613132386 /nfs/dbraw/zinc/13/23/86/613132386.db2.gz HUPQKVDBHJWZTA-UHFFFAOYSA-N 0 1 268.332 0.719 20 30 CCEDMN C#C[C@H](NC(=O)C1CN([C@H]2CCOC2)C1)C1CCOCC1 ZINC000898978776 613132602 /nfs/dbraw/zinc/13/26/02/613132602.db2.gz YFSBNHAZNGWQRN-GJZGRUSLSA-N 0 1 292.379 0.252 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cc(CC)nn2C)CC1 ZINC000830168018 613133226 /nfs/dbraw/zinc/13/32/26/613133226.db2.gz BZNBSGBLRNGGPX-UHFFFAOYSA-N 0 1 288.395 0.739 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCC[C@H](C)CO)CC1 ZINC000921677091 613134034 /nfs/dbraw/zinc/13/40/34/613134034.db2.gz NAJWMJCEWZTQTC-ZDUSSCGKSA-N 0 1 281.400 0.792 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ccnc(C)n2)CC1 ZINC000914282446 613134344 /nfs/dbraw/zinc/13/43/44/613134344.db2.gz QEUGRLDWPRZAJI-UHFFFAOYSA-N 0 1 287.367 0.682 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cncn2C)CC1 ZINC000922516052 613134399 /nfs/dbraw/zinc/13/43/99/613134399.db2.gz QIBBWKYJYBLCSH-UHFFFAOYSA-N 0 1 275.356 0.317 20 30 CCEDMN C#CCN1CCC(NC(=O)NOCC(=C)C)CC1 ZINC000923079526 613134477 /nfs/dbraw/zinc/13/44/77/613134477.db2.gz DUQADEWIJRSIFQ-UHFFFAOYSA-N 0 1 251.330 0.891 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2C[C@@H](OCC)C2)CC1 ZINC000922827139 613134737 /nfs/dbraw/zinc/13/47/37/613134737.db2.gz GISLPHWROXTMLY-OKILXGFUSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCSC2)CC1 ZINC000920722564 613135466 /nfs/dbraw/zinc/13/54/66/613135466.db2.gz GXXATCWBYDKAQE-LBPRGKRZSA-N 0 1 267.398 0.889 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](OC)c2cnn(C)c2)CC1 ZINC000928653532 613135927 /nfs/dbraw/zinc/13/59/27/613135927.db2.gz PNOLDOCOPWKYGG-AWEZNQCLSA-N 0 1 290.367 0.321 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CSC(=O)N2)CC1 ZINC000928657314 613136313 /nfs/dbraw/zinc/13/63/13/613136313.db2.gz WQPBKFNOZLFNPS-JTQLQIEISA-N 0 1 267.354 0.025 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1)C(C)C ZINC000838595266 613136356 /nfs/dbraw/zinc/13/63/56/613136356.db2.gz DIUCNWFELDNCNR-RRFJBIMHSA-N 0 1 293.411 0.885 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](O)C2CCC2)CC1 ZINC000928648823 613136846 /nfs/dbraw/zinc/13/68/46/613136846.db2.gz DDTSEICVDZGDDI-CYBMUJFWSA-N 0 1 250.342 0.361 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2C[C@H]2OCC)CC1 ZINC000928657414 613136923 /nfs/dbraw/zinc/13/69/23/613136923.db2.gz HXZTVHPKANPLJU-QWHCGFSZSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(=O)[nH]c2)CC1 ZINC000928660845 613137120 /nfs/dbraw/zinc/13/71/20/613137120.db2.gz IWOVFONKMBLJDU-UHFFFAOYSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(C)nn(C)c2OC)CC1 ZINC000928654787 613137341 /nfs/dbraw/zinc/13/73/41/613137341.db2.gz DJLMOANDJNTJTB-UHFFFAOYSA-N 0 1 290.367 0.565 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccc(C(N)=O)c2)CC1 ZINC000928656559 613137607 /nfs/dbraw/zinc/13/76/07/613137607.db2.gz AQEWZFMNKAWQEY-UHFFFAOYSA-N 0 1 285.347 0.613 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccnc2OC)CC1 ZINC000928657391 613137624 /nfs/dbraw/zinc/13/76/24/613137624.db2.gz ZMJCQLGZHWOKGC-UHFFFAOYSA-N 0 1 273.336 0.918 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(CC)nn2)CC1 ZINC000830161969 613137721 /nfs/dbraw/zinc/13/77/21/613137721.db2.gz CRSHYRLSDBYIOJ-UHFFFAOYSA-N 0 1 261.329 0.125 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnnc(C)c2)CC1 ZINC000928652498 613138016 /nfs/dbraw/zinc/13/80/16/613138016.db2.gz AJRIUSULNGOMDS-UHFFFAOYSA-N 0 1 258.325 0.612 20 30 CCEDMN C#C[C@H](NC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1)[C@H]1CCCO1 ZINC000836411098 613138128 /nfs/dbraw/zinc/13/81/28/613138128.db2.gz ZPMGIQMKQDRVNV-RFQIPJPRSA-N 0 1 279.384 0.901 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnns2)CC1 ZINC000902946065 613138194 /nfs/dbraw/zinc/13/81/94/613138194.db2.gz VPMJAIDFVRGAHX-UHFFFAOYSA-N 0 1 250.327 0.366 20 30 CCEDMN C#CCN1CCC(NC(=O)c2coc(C(N)=O)c2)CC1 ZINC000928653841 613138326 /nfs/dbraw/zinc/13/83/26/613138326.db2.gz CLBUJUSPNSZLKD-UHFFFAOYSA-N 0 1 275.308 0.206 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CC[C@H](O)C1)C(C)C ZINC000875594873 613139640 /nfs/dbraw/zinc/13/96/40/613139640.db2.gz TTZOXRXCNKJAOO-RYUDHWBXSA-N 0 1 253.346 0.010 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CCc3ncc[nH]3)C2)C1 ZINC000981740630 613140835 /nfs/dbraw/zinc/14/08/35/613140835.db2.gz VNPUSQLFNWXQPF-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H](C)OC)C2)C1 ZINC000981652569 613142529 /nfs/dbraw/zinc/14/25/29/613142529.db2.gz JBMFFYRXQZQXNC-LBPRGKRZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cc(C)nn3C)C2)C1 ZINC000981648932 613143969 /nfs/dbraw/zinc/14/39/69/613143969.db2.gz MVWSWCQLUDJRAC-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ccn(C)c(=O)c3)C2)C1 ZINC000981851048 613144561 /nfs/dbraw/zinc/14/45/61/613144561.db2.gz BJJXVDHCCANEGO-UHFFFAOYSA-N 0 1 299.374 0.557 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ccnnc3C)C2)C1 ZINC000981874717 613144689 /nfs/dbraw/zinc/14/46/89/613144689.db2.gz UYGARFJCQYFDIG-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1[nH]nnc1C ZINC000968977250 613146116 /nfs/dbraw/zinc/14/61/16/613146116.db2.gz QDCBYLDKCYGKIW-GFCCVEGCSA-N 0 1 275.356 0.721 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC000968740582 613146900 /nfs/dbraw/zinc/14/69/00/613146900.db2.gz CNGBOWUZVSGCKK-OAHLLOKOSA-N 0 1 287.363 0.928 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CN(C)C(=O)N1 ZINC000968982341 613148358 /nfs/dbraw/zinc/14/83/58/613148358.db2.gz HICVFFIRGGGSKR-STQMWFEESA-N 0 1 292.383 0.004 20 30 CCEDMN C#C[C@](C)(CC)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000852102866 613164978 /nfs/dbraw/zinc/16/49/78/613164978.db2.gz RVXREWSUPVBDHR-GXTWGEPZSA-N 0 1 260.341 0.917 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)cc1 ZINC000900626510 613171177 /nfs/dbraw/zinc/17/11/77/613171177.db2.gz SCKZRAPCXPJWRL-GFCCVEGCSA-N 0 1 283.291 0.395 20 30 CCEDMN COc1cccn2ncc(C(=O)NCC#CCN(C)C)c12 ZINC000827972201 617320261 /nfs/dbraw/zinc/32/02/61/617320261.db2.gz VTFPPNKBVPGYJI-UHFFFAOYSA-N 0 1 286.335 0.638 20 30 CCEDMN C#Cc1ccc(CNCC(=O)NCC(=O)OC)cc1 ZINC000877706819 613194390 /nfs/dbraw/zinc/19/43/90/613194390.db2.gz SPOGQMPLCAPJRZ-UHFFFAOYSA-N 0 1 260.293 0.047 20 30 CCEDMN C#Cc1cccc(CNC(=O)CN2CCN(CC)CC2)c1 ZINC000837105504 613199985 /nfs/dbraw/zinc/19/99/85/613199985.db2.gz OXINGAOEDZTSIB-UHFFFAOYSA-N 0 1 285.391 0.922 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)[N-]O[C@H](CO)C(C)C ZINC000836898442 613200894 /nfs/dbraw/zinc/20/08/94/613200894.db2.gz WLFWZZZRJVIFAO-NWDGAFQWSA-N 0 1 254.330 0.149 20 30 CCEDMN C#CCN1CCC[C@](O)(C2(C(=O)OC)CCC2)C1 ZINC000933839978 613204315 /nfs/dbraw/zinc/20/43/15/613204315.db2.gz IOTAPKDVFXMGOV-CQSZACIVSA-N 0 1 251.326 0.790 20 30 CCEDMN C#CCN1CCN(C(=O)[C@H]2CCN2C(C)(C)C)CC1 ZINC000895898439 613207607 /nfs/dbraw/zinc/20/76/07/613207607.db2.gz RWWCXTXPHMNSCW-CYBMUJFWSA-N 0 1 263.385 0.637 20 30 CCEDMN C#Cc1cccnc1N1CCN(CC(C)(C)O)CC1 ZINC000853252058 613208543 /nfs/dbraw/zinc/20/85/43/613208543.db2.gz IEPRWAHFWZYCKZ-UHFFFAOYSA-N 0 1 259.353 0.956 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000967940319 613218671 /nfs/dbraw/zinc/21/86/71/613218671.db2.gz ADPABXCBDKCORC-ZYHUDNBSSA-N 0 1 288.351 0.564 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CCCN(CCOC)C2)c1 ZINC000806807405 613221174 /nfs/dbraw/zinc/22/11/74/613221174.db2.gz NVXVIKHRHYZHSW-HNNXBMFYSA-N 0 1 287.363 0.904 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2c[nH]cn2)C(C)(C)C1 ZINC000941061684 613227198 /nfs/dbraw/zinc/22/71/98/613227198.db2.gz PFXJTMIAASRRQH-CYBMUJFWSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2CCCNC2=O)C(C)(C)C1 ZINC000940813254 613228867 /nfs/dbraw/zinc/22/88/67/613228867.db2.gz UXPJQWJGNXZLMY-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000940785269 613229161 /nfs/dbraw/zinc/22/91/61/613229161.db2.gz IVMKNWBGIDBGJZ-CHWSQXEVSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(OC)n(C)n2)[C@H](C)C1 ZINC000942434429 613233835 /nfs/dbraw/zinc/23/38/35/613233835.db2.gz XUBAFRMEVFOMIN-VXGBXAGGSA-N 0 1 290.367 0.502 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](C)C1 ZINC000941960345 613243309 /nfs/dbraw/zinc/24/33/09/613243309.db2.gz VUTFAGJGWGGWMJ-MWLCHTKSSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC000966780152 613247185 /nfs/dbraw/zinc/24/71/85/613247185.db2.gz DKKYEGBOTGSYIP-WFASDCNBSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cc[nH]n2)C(C)(C)C1 ZINC000940640238 613259518 /nfs/dbraw/zinc/25/95/18/613259518.db2.gz NWSMYDYQVNETBB-ZDUSSCGKSA-N 0 1 274.368 0.802 20 30 CCEDMN C/C(=C\C[N@@H+](C)CCCc1[nH]nc(N)c1C#N)C(=O)[O-] ZINC000833385969 613260868 /nfs/dbraw/zinc/26/08/68/613260868.db2.gz YSHBHZXIGGRUGW-WEVVVXLNSA-N 0 1 277.328 0.759 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ncc[nH]2)C(C)(C)C1 ZINC000941127570 613261560 /nfs/dbraw/zinc/26/15/60/613261560.db2.gz UVUJSUCBYUIEQF-LBPRGKRZSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000940947347 613263518 /nfs/dbraw/zinc/26/35/18/613263518.db2.gz UVXUPWJZVGWJED-OLZOCXBDSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)n(C)n2)C[C@@H]1C ZINC000947109537 613265513 /nfs/dbraw/zinc/26/55/13/613265513.db2.gz XJJRYHSWONHRAC-STQMWFEESA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc(OC)nc2)[C@H](C)C1 ZINC000943951703 613274493 /nfs/dbraw/zinc/27/44/93/613274493.db2.gz WCISYDVDVUTEAI-YPMHNXCESA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncnc2)C[C@H]1C ZINC000947231579 613275228 /nfs/dbraw/zinc/27/52/28/613275228.db2.gz NASMOQXHUCSVIW-YPMHNXCESA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncc(OC)n2)C[C@H]1C ZINC000947778700 613275290 /nfs/dbraw/zinc/27/52/90/613275290.db2.gz IJJODIXYPCSARI-NEPJUHHUSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3ccncc23)C[C@@H]1C ZINC000947396375 613275893 /nfs/dbraw/zinc/27/58/93/613275893.db2.gz UIQPGDBFSDDFLD-STQMWFEESA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncccn2)[C@H](C)C1 ZINC000943737620 613277899 /nfs/dbraw/zinc/27/78/99/613277899.db2.gz DCIAAWWJUHWRBY-NEPJUHHUSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccc(C)n2)[C@@H](C)C1 ZINC000942008319 613277923 /nfs/dbraw/zinc/27/79/23/613277923.db2.gz HIEFBYWDSGZQDN-AAEUAGOBSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncn(C)n2)C[C@H]1C ZINC000947277588 613277974 /nfs/dbraw/zinc/27/79/74/613277974.db2.gz QNKKEKFKANRWEA-MNOVXSKESA-N 0 1 261.329 0.031 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cc(C)n[nH]2)C(C)(C)C1 ZINC000973025171 613280665 /nfs/dbraw/zinc/28/06/65/613280665.db2.gz ODNXILXHGNYFSG-CYBMUJFWSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cc(C)[nH]n2)C(C)(C)C1 ZINC000973025171 613280667 /nfs/dbraw/zinc/28/06/67/613280667.db2.gz ODNXILXHGNYFSG-CYBMUJFWSA-N 0 1 274.368 0.720 20 30 CCEDMN C=C(C)CCNC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000911407291 613281614 /nfs/dbraw/zinc/28/16/14/613281614.db2.gz ARCSPNBJPQVCMX-LLVKDONJSA-N 0 1 254.330 0.865 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2[nH]c(C)cc2C)C1 ZINC000958186525 613285497 /nfs/dbraw/zinc/28/54/97/613285497.db2.gz BYMXASALNRGHHH-QWHCGFSZSA-N 0 1 275.352 0.287 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2nccc3occc32)C1 ZINC000958281216 613287964 /nfs/dbraw/zinc/28/79/64/613287964.db2.gz IRCXPZFCYDJUSY-WCQYABFASA-N 0 1 299.330 0.484 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(Cl)c[nH]2)[C@H](O)C1 ZINC000957869223 613288810 /nfs/dbraw/zinc/28/88/10/613288810.db2.gz LTMCVJBKLDLOJZ-BXKDBHETSA-N 0 1 281.743 0.324 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc3c([nH]2)CCC3)[C@H](O)C1 ZINC000958574018 613288895 /nfs/dbraw/zinc/28/88/95/613288895.db2.gz MOADSMCBGDRHGG-IUODEOHRSA-N 0 1 287.363 0.159 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C)c(F)c2)[C@H](O)C1 ZINC000958235213 613289136 /nfs/dbraw/zinc/28/91/36/613289136.db2.gz HKRAVRRKZDEPFS-UKRRQHHQSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)n(C)c2C)[C@H](O)C1 ZINC000958652526 613289154 /nfs/dbraw/zinc/28/91/54/613289154.db2.gz ANJDMKATAPCVMR-UKRRQHHQSA-N 0 1 289.379 0.298 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C)nc2C)[C@H](O)C1 ZINC000958718440 613289193 /nfs/dbraw/zinc/28/91/93/613289193.db2.gz UTRCLTNXORCQKW-UKRRQHHQSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3ccnnc3)C[C@]2(C)C1 ZINC000982589975 613293870 /nfs/dbraw/zinc/29/38/70/613293870.db2.gz AZNRBZMJPPNAQF-IYBDPMFKSA-N 0 1 284.363 0.894 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3nc[nH]n3)C[C@]2(C)C1 ZINC000982655822 613294014 /nfs/dbraw/zinc/29/40/14/613294014.db2.gz MQWRUZZANWDXSN-OKILXGFUSA-N 0 1 273.340 0.222 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)c(C)cn2)C1 ZINC000958282217 613294764 /nfs/dbraw/zinc/29/47/64/613294764.db2.gz XDYYFYCUPGTACH-ZFWWWQNUSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C)cc2)C1 ZINC000958608871 613295025 /nfs/dbraw/zinc/29/50/25/613295025.db2.gz BRBIWZNMVLBLMV-GJZGRUSLSA-N 0 1 272.348 0.651 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc3c(c2)CCC3)C1 ZINC000958656915 613295182 /nfs/dbraw/zinc/29/51/82/613295182.db2.gz BCAUYLRKDIQTTQ-IRXDYDNUSA-N 0 1 298.386 0.831 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C(C)(C)C1 ZINC000974639448 613297626 /nfs/dbraw/zinc/29/76/26/613297626.db2.gz HPDPWHJZKGTMIE-OLZOCXBDSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2nccc2C)C(C)(C)C1 ZINC000975096520 613297683 /nfs/dbraw/zinc/29/76/83/613297683.db2.gz YDRAEWACQPFCGJ-ZDUSSCGKSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ccon2)C(C)(C)C1 ZINC000972863300 613297970 /nfs/dbraw/zinc/29/79/70/613297970.db2.gz CLNVMUSKVZYSSJ-LBPRGKRZSA-N 0 1 261.325 0.677 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C(C)(C)C1 ZINC000977526337 613299043 /nfs/dbraw/zinc/29/90/43/613299043.db2.gz LASHVCHGIWQSRJ-KBPBESRZSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(F)cc(F)c2)C1 ZINC000958649249 613302881 /nfs/dbraw/zinc/30/28/81/613302881.db2.gz JOTZCQMDUBVPFW-RISCZKNCSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2nc(C)sc2C)C1 ZINC000958175428 613304622 /nfs/dbraw/zinc/30/46/22/613304622.db2.gz LTXRTSGCVBVOOI-NEPJUHHUSA-N 0 1 293.392 0.416 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2COC(=O)N2)CC1 ZINC000981059465 613311548 /nfs/dbraw/zinc/31/15/48/613311548.db2.gz BRMQSXMTIJRWEM-LLVKDONJSA-N 0 1 267.329 0.205 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@]1(C)CCCOC1 ZINC000962163197 613317231 /nfs/dbraw/zinc/31/72/31/613317231.db2.gz GXGVEXGAQKWEFQ-UKTARXLSSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2[nH]c(=O)oc2c1 ZINC000962817449 613319683 /nfs/dbraw/zinc/31/96/83/613319683.db2.gz CJIGNQGXZGJVIY-YABSGUDNSA-N 0 1 297.314 0.827 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2nncn2c1 ZINC000962021273 613319886 /nfs/dbraw/zinc/31/98/86/613319886.db2.gz OGIPSQFKEHEDRE-IMRBUKKESA-N 0 1 281.319 0.023 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C2CC2)o1 ZINC000960332730 613320646 /nfs/dbraw/zinc/32/06/46/613320646.db2.gz WVLILWOJIFACPC-PJXYFTJBSA-N 0 1 271.320 0.845 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C(C)(C)C)c1 ZINC000960716259 613321278 /nfs/dbraw/zinc/32/12/78/613321278.db2.gz YKHLKMCMFRVXKV-WDNDVIMCSA-N 0 1 286.379 0.931 20 30 CCEDMN C#CCNC(=O)CCN1[C@H](C)C(=O)N(C)[C@H](C)[C@@H]1C ZINC000878753247 613325821 /nfs/dbraw/zinc/32/58/21/613325821.db2.gz XTABKRQYZJCBDS-GRYCIOLGSA-N 0 1 265.357 0.065 20 30 CCEDMN C#CCNC(=O)CN1CCCN2c3ccccc3C[C@H]2C1 ZINC000810052424 613328458 /nfs/dbraw/zinc/32/84/58/613328458.db2.gz ZAMRBNQCWQOGLR-HNNXBMFYSA-N 0 1 283.375 0.873 20 30 CCEDMN C#CCNC(=O)C[N@H+]1CCC[C@@H](C)[C@@H]1CNC(=O)[O-] ZINC000823456548 613328517 /nfs/dbraw/zinc/32/85/17/613328517.db2.gz NOXIPFYMFUEFJI-MNOVXSKESA-N 0 1 267.329 0.104 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCC[C@@H](C)[C@@H]1CNC(=O)[O-] ZINC000823456548 613328518 /nfs/dbraw/zinc/32/85/18/613328518.db2.gz NOXIPFYMFUEFJI-MNOVXSKESA-N 0 1 267.329 0.104 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC/C=C\C=C)CC1 ZINC000878050144 613329735 /nfs/dbraw/zinc/32/97/35/613329735.db2.gz RDEPEWJNYYIKIT-WAYWQWQTSA-N 0 1 261.369 0.486 20 30 CCEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cn(C)nn2)C1 ZINC000965986464 613334154 /nfs/dbraw/zinc/33/41/54/613334154.db2.gz YZCGBIFYJLINDS-NWDGAFQWSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)COCCNC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000909558555 613351951 /nfs/dbraw/zinc/35/19/51/613351951.db2.gz HISPEGUTJUKKAD-GFCCVEGCSA-N 0 1 284.356 0.492 20 30 CCEDMN C=C(C)C[C@H](CO)NCc1cn(C[C@@H]2CCCO2)nn1 ZINC000883399942 613358388 /nfs/dbraw/zinc/35/83/88/613358388.db2.gz ZJYLTVAQXRJYNH-OCCSQVGLSA-N 0 1 280.372 0.874 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@@H]1CC[N@@H+](C)[C@@H]1C)C(=O)[O-] ZINC000910291581 613358980 /nfs/dbraw/zinc/35/89/80/613358980.db2.gz JWGGTPQFIZWYNO-MXWKQRLJSA-N 0 1 254.330 0.862 20 30 CCEDMN C=C(C)C[C@H]1NC(=O)N(CCN2CCSCC2)C1=O ZINC000925286686 613359968 /nfs/dbraw/zinc/35/99/68/613359968.db2.gz RSQRRPOQDUOLTL-LLVKDONJSA-N 0 1 283.397 0.922 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCN(C[C@H]2CCCO2)CC1 ZINC000929128180 613360394 /nfs/dbraw/zinc/36/03/94/613360394.db2.gz GJTRMJFEQCHLSF-ZIAGYGMSSA-N 0 1 254.374 0.720 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000907102821 613361005 /nfs/dbraw/zinc/36/10/05/613361005.db2.gz AGUYRSBAQBLECO-SRVKXCTJSA-N 0 1 291.355 0.887 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC(N(C)S(C)(=O)=O)CC1 ZINC000929893562 613362470 /nfs/dbraw/zinc/36/24/70/613362470.db2.gz IGKLPWSBIAONAG-LBPRGKRZSA-N 0 1 276.402 0.279 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)c3ncn(C)n3)[C@@H]2C1 ZINC000978165950 613382131 /nfs/dbraw/zinc/38/21/31/613382131.db2.gz CAEYRDIAYOUZLY-RTCCRHLQSA-N 0 1 295.774 0.475 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)S(C)(=O)=O)C1 ZINC000969103040 613385028 /nfs/dbraw/zinc/38/50/28/613385028.db2.gz XYCKHBQHMKJZJK-NXEZZACHSA-N 0 1 294.804 0.363 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC000968610618 613386105 /nfs/dbraw/zinc/38/61/05/613386105.db2.gz GSPPNUPJLSTMMK-SECBINFHSA-N 0 1 254.721 0.966 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)c1cnn[nH]1)C(=O)OCC ZINC000870030329 613390789 /nfs/dbraw/zinc/39/07/89/613390789.db2.gz SNBGRBWRNDDYCJ-ZETCQYMHSA-N 0 1 272.692 0.609 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@@H](C(=O)NC)C1 ZINC000878094513 613396692 /nfs/dbraw/zinc/39/66/92/613396692.db2.gz QDAKQWNNNSTPHF-MNOVXSKESA-N 0 1 254.330 0.562 20 30 CCEDMN COc1cnc(F)c(C(=O)NCC#CCN(C)C)c1 ZINC000913523745 617331472 /nfs/dbraw/zinc/33/14/72/617331472.db2.gz KNHKWLOULCXGIL-UHFFFAOYSA-N 0 1 265.288 0.524 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1C[C@H](O)CC2(CCOCC2)C1 ZINC000880579072 613398974 /nfs/dbraw/zinc/39/89/74/613398974.db2.gz OXHJXUFIUNZVPR-OLZOCXBDSA-N 0 1 283.368 0.968 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@](C)(C(=O)OC)C1 ZINC000878191962 613412602 /nfs/dbraw/zinc/41/26/02/613412602.db2.gz WQXVNRIAFIDPOP-MFKMUULPSA-N 0 1 255.314 0.989 20 30 CCEDMN C=C1CN(C(=O)c2ccnc(N3CCN(C)CC3)c2)C1 ZINC000912448476 613417854 /nfs/dbraw/zinc/41/78/54/613417854.db2.gz VBCWPEJFOIPFDE-UHFFFAOYSA-N 0 1 272.352 0.845 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@](C)(NC(=O)c2ncn[nH]2)C1 ZINC000957311612 613441671 /nfs/dbraw/zinc/44/16/71/613441671.db2.gz AMMSIIHOORIHBZ-AWEZNQCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@](C)(NC(=O)c2nc[nH]n2)C1 ZINC000957311612 613441673 /nfs/dbraw/zinc/44/16/73/613441673.db2.gz AMMSIIHOORIHBZ-AWEZNQCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000913493636 613445098 /nfs/dbraw/zinc/44/50/98/613445098.db2.gz DVZWILHESZYCMS-VIFPVBQESA-N 0 1 265.317 0.702 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC000935785494 613447938 /nfs/dbraw/zinc/44/79/38/613447938.db2.gz SLAYDCWFVLVLTO-JTQLQIEISA-N 0 1 279.344 0.612 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCc1n[nH]c(C)n1 ZINC000889702729 613476837 /nfs/dbraw/zinc/47/68/37/613476837.db2.gz JEMVCCUPMOBORO-LBPRGKRZSA-N 0 1 252.318 0.958 20 30 CCEDMN C=C[C@H](C)ONC(=O)NCc1n[nH]c(C2CC2)n1 ZINC000845617365 613487171 /nfs/dbraw/zinc/48/71/71/613487171.db2.gz MJWRLEYFVSIOBW-ZETCQYMHSA-N 0 1 251.290 0.987 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC000951590782 613504251 /nfs/dbraw/zinc/50/42/51/613504251.db2.gz NZALRPHMPOHNRW-QMTHXVAHSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC000951590782 613504252 /nfs/dbraw/zinc/50/42/52/613504252.db2.gz NZALRPHMPOHNRW-QMTHXVAHSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCC(=O)N(C)C2)CC1 ZINC000957790130 613507339 /nfs/dbraw/zinc/50/73/39/613507339.db2.gz KRUZAYIAEMHROO-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC000949029804 613507412 /nfs/dbraw/zinc/50/74/12/613507412.db2.gz QHTPHIAULSJZIV-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCN1CCN(C(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000957669557 613509749 /nfs/dbraw/zinc/50/97/49/613509749.db2.gz KKBNTFOWYZCHCW-UHFFFAOYSA-N 0 1 276.340 0.448 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CCOC2)C1 ZINC000969381490 613536043 /nfs/dbraw/zinc/53/60/43/613536043.db2.gz NGIUIXSWCGVBPU-NWDGAFQWSA-N 0 1 250.342 0.483 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC000970769003 613536181 /nfs/dbraw/zinc/53/61/81/613536181.db2.gz MQTSFUHGBOECQK-WFASDCNBSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cccc(=O)n2C)C1 ZINC000970404614 613538507 /nfs/dbraw/zinc/53/85/07/613538507.db2.gz RGXFMHGGYRJQSH-LBPRGKRZSA-N 0 1 287.363 0.459 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cccc(=O)[nH]2)C1 ZINC000969453151 613539131 /nfs/dbraw/zinc/53/91/31/613539131.db2.gz UKXPPKXNBKUEMU-NSHDSACASA-N 0 1 273.336 0.861 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3cnon3)C2)C1 ZINC000982076415 613543798 /nfs/dbraw/zinc/54/37/98/613543798.db2.gz DHNFTORHOTXEJO-UHFFFAOYSA-N 0 1 274.324 0.631 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ncc[nH]2)C1 ZINC000968475687 613571429 /nfs/dbraw/zinc/57/14/29/613571429.db2.gz HZHGNDDSDXJBMO-OLZOCXBDSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cn2cncn2)C1 ZINC000968620055 613591180 /nfs/dbraw/zinc/59/11/80/613591180.db2.gz QZUJIWXXNIHSIK-STQMWFEESA-N 0 1 275.356 0.128 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966043354 613594028 /nfs/dbraw/zinc/59/40/28/613594028.db2.gz CKZAPQWOYHQHLJ-WCQYABFASA-N 0 1 289.383 0.506 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2[C@H]3CN(CC#CC)C[C@H]32)nn1 ZINC000962006176 613596262 /nfs/dbraw/zinc/59/62/62/613596262.db2.gz XKPREZXABDOFIW-NHAGDIPZSA-N 0 1 299.378 0.538 20 30 CCEDMN C=CCCn1cc(C(=O)O[C@H](C)c2nnc[nH]2)nn1 ZINC000853224431 613597976 /nfs/dbraw/zinc/59/79/76/613597976.db2.gz GBGBNTJZUPMXGL-MRVPVSSYSA-N 0 1 262.273 0.890 20 30 CCEDMN C=CCN(C(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1)C(C)C ZINC000817930316 613602573 /nfs/dbraw/zinc/60/25/73/613602573.db2.gz ANZQXKSOMHIDAG-TXEJJXNPSA-N 0 1 267.373 0.618 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccncc2)C1 ZINC000957820838 613613278 /nfs/dbraw/zinc/61/32/78/613613278.db2.gz LLTUWOCPCZUXAC-UONOGXRCSA-N 0 1 273.336 0.127 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccsc2)C1 ZINC000957863872 613613770 /nfs/dbraw/zinc/61/37/70/613613770.db2.gz NSRNNADNSVEPRW-QWHCGFSZSA-N 0 1 278.377 0.794 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ncoc2C)C1 ZINC000957905323 613614161 /nfs/dbraw/zinc/61/41/61/613614161.db2.gz GOTHJAYCLHJFRZ-NWDGAFQWSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2[nH]ccc2C)[C@H](O)C1 ZINC000958030648 613614480 /nfs/dbraw/zinc/61/44/80/613614480.db2.gz KJIJNBQXHOKACD-CHWSQXEVSA-N 0 1 275.352 0.369 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc[nH]c2C)[C@H](O)C1 ZINC000957952891 613615062 /nfs/dbraw/zinc/61/50/62/613615062.db2.gz ICLUOFHBCWSERB-TZMCWYRMSA-N 0 1 275.352 0.369 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cscn2)C1 ZINC000957919929 613625813 /nfs/dbraw/zinc/62/58/13/613625813.db2.gz YEDGMOWMKBFYAT-PWSUYJOCSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ncccc2C)C1 ZINC000957974677 613625892 /nfs/dbraw/zinc/62/58/92/613625892.db2.gz HODBSLJNPIVYER-KGLIPLIRSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1COC(=O)C1 ZINC000958575747 613634134 /nfs/dbraw/zinc/63/41/34/613634134.db2.gz FUZVQAJGMCTQRZ-FJJYHAOUSA-N 0 1 276.336 0.009 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc(C2CC2)nn1 ZINC000960274229 613635246 /nfs/dbraw/zinc/63/52/46/613635246.db2.gz OFXLAMUXDVSPHS-VIKVFOODSA-N 0 1 299.378 0.225 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]cnc1CC ZINC000962433481 613638189 /nfs/dbraw/zinc/63/81/89/613638189.db2.gz KWCCQFGGBBQCEC-PJXYFTJBSA-N 0 1 272.352 0.655 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C(N)=O)co1 ZINC000961768251 613638333 /nfs/dbraw/zinc/63/83/33/613638333.db2.gz YRIYZVLLCOPXGB-PJXYFTJBSA-N 0 1 287.319 0.062 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@H]1C[C@@H](C)[N@H+](C2CC2)C1 ZINC000909143367 613643218 /nfs/dbraw/zinc/64/32/18/613643218.db2.gz VRNJPPBXDVRMOB-MNOVXSKESA-N 0 1 281.356 0.894 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1snnc1C ZINC000958201194 613643396 /nfs/dbraw/zinc/64/33/96/613643396.db2.gz SLPWRIZAIRIREZ-URLYPYJESA-N 0 1 276.365 0.530 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)NCc1cc(N(C)C)ccn1 ZINC000924670432 613648645 /nfs/dbraw/zinc/64/86/45/613648645.db2.gz FCMDMVWTGZULEF-LBPRGKRZSA-N 0 1 290.367 0.721 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)NC[C@H]1CC[N@@H+]1CC ZINC000890059073 613651410 /nfs/dbraw/zinc/65/14/10/613651410.db2.gz BKKMTQYCGPIZBB-VXGBXAGGSA-N 0 1 253.346 0.154 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)NC[C@H]1CCN1CC ZINC000890059073 613651412 /nfs/dbraw/zinc/65/14/12/613651412.db2.gz BKKMTQYCGPIZBB-VXGBXAGGSA-N 0 1 253.346 0.154 20 30 CCEDMN CC(N=NC1=NCC(=O)[N-]1)[C@H]1CC[N@H+](Cc2ccccc2)C1 ZINC000863175614 613653757 /nfs/dbraw/zinc/65/37/57/613653757.db2.gz LRVLIRPSLGWFIS-AWEZNQCLSA-N 0 1 299.378 0.960 20 30 CCEDMN CC(N=NC1=NCC(=O)[N-]1)[C@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC000863175614 613653761 /nfs/dbraw/zinc/65/37/61/613653761.db2.gz LRVLIRPSLGWFIS-AWEZNQCLSA-N 0 1 299.378 0.960 20 30 CCEDMN CC(=NNCCN1CCCCC1)c1cn(C)nn1 ZINC000834943327 613655051 /nfs/dbraw/zinc/65/50/51/613655051.db2.gz UUYUPLVNHNTURB-UHFFFAOYSA-N 0 1 250.350 0.615 20 30 CCEDMN CC(=NN[C@@H]1CCS(=O)(=O)C1)C1CCN(C)CC1 ZINC000814680419 613656780 /nfs/dbraw/zinc/65/67/80/613656780.db2.gz QCLBYWNDEGFPIZ-GFCCVEGCSA-N 0 1 273.402 0.481 20 30 CCEDMN CC(N=Nc1cnn(C)c1)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000811649346 613657730 /nfs/dbraw/zinc/65/77/30/613657730.db2.gz JJNXUUCHBNUKOD-UHFFFAOYSA-N 0 1 298.306 0.786 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC000969479228 613661965 /nfs/dbraw/zinc/66/19/65/613661965.db2.gz JNFMKUMWASPSQQ-GHMZBOCLSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000970432486 613665444 /nfs/dbraw/zinc/66/54/44/613665444.db2.gz ZCHPWMOEPRFBTE-SECBINFHSA-N 0 1 276.340 0.350 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnc3cccnn32)C1 ZINC000970541009 613666999 /nfs/dbraw/zinc/66/69/99/613666999.db2.gz PLUZKVDVSNPCCP-LLVKDONJSA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnns2)C1 ZINC000969347748 613667633 /nfs/dbraw/zinc/66/76/33/613667633.db2.gz ZHRGTRSVYIJVSO-MRVPVSSYSA-N 0 1 252.343 0.774 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000970037292 613670979 /nfs/dbraw/zinc/67/09/79/613670979.db2.gz JLSCWJPZCOJFBO-NSHDSACASA-N 0 1 275.352 0.621 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC000980964147 613691269 /nfs/dbraw/zinc/69/12/69/613691269.db2.gz JZGZURCXGLLXSW-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCCCC(=O)N2)CC1 ZINC000981937257 613691960 /nfs/dbraw/zinc/69/19/60/613691960.db2.gz WBVAHVOMODUGGU-ZDUSSCGKSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCN1CCO[C@]2(CCN(C(=O)c3cnc(C)[nH]3)C2)C1 ZINC000972302917 613727127 /nfs/dbraw/zinc/72/71/27/613727127.db2.gz ZJBLAWXQAJGDHF-OAHLLOKOSA-N 0 1 290.367 0.821 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](O)CC2(O)CCCC2)C1=O ZINC000878549542 613738513 /nfs/dbraw/zinc/73/85/13/613738513.db2.gz HLHCYZFULOOZEM-UONOGXRCSA-N 0 1 296.411 0.761 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC([C@@H]3COC(=O)N3)CC2)C1=O ZINC000932773932 613738989 /nfs/dbraw/zinc/73/89/89/613738989.db2.gz SGGHQHQWDQPICA-QWHCGFSZSA-N 0 1 293.367 0.594 20 30 CCEDMN C=CCN1CC[C@@H](NC2(C(N)=O)CCCC2)C1=O ZINC000799140164 613763574 /nfs/dbraw/zinc/76/35/74/613763574.db2.gz WHRTYDXBLLMIRF-SNVBAGLBSA-N 0 1 251.330 0.161 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230563 613774807 /nfs/dbraw/zinc/77/48/07/613774807.db2.gz FTRFZWBFUCJQER-WDEREUQCSA-N 0 1 278.356 0.752 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ncn(C)n2)C1 ZINC000965996140 613775723 /nfs/dbraw/zinc/77/57/23/613775723.db2.gz OQKJWXOIPDWEMF-WDEREUQCSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](O)CC(C)(C)O)C1=O ZINC000878550530 613777092 /nfs/dbraw/zinc/77/70/92/613777092.db2.gz XVGRNWCCKMKEEX-NEPJUHHUSA-N 0 1 270.373 0.227 20 30 CCEDMN CC(=O)NC[C@@H]1CN(Cc2ccc(C#N)cc2)C[C@H]1O ZINC000957789675 613796984 /nfs/dbraw/zinc/79/69/84/613796984.db2.gz XFWUOEHOUKZAGY-HUUCEWRRSA-N 0 1 273.336 0.487 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C(=O)N2CC[C@H](C)C2)C(C)(C)C1 ZINC000977438586 613826195 /nfs/dbraw/zinc/82/61/95/613826195.db2.gz JBMZTROODAGRSU-STQMWFEESA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2c[nH]c(=O)cn2)C(C)(C)C1 ZINC000974976337 613828488 /nfs/dbraw/zinc/82/84/88/613828488.db2.gz OVFHNUQGBRYTTJ-NSHDSACASA-N 0 1 276.340 0.396 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2nnn(C)c2C)C(C)(C)C1 ZINC000972986864 613830238 /nfs/dbraw/zinc/83/02/38/613830238.db2.gz RWVBDOPXIHWDGN-NSHDSACASA-N 0 1 277.372 0.750 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](CF)C1 ZINC000880294882 613851618 /nfs/dbraw/zinc/85/16/18/613851618.db2.gz FOIHPVKPQRZMCM-VHSXEESVSA-N 0 1 257.309 0.678 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(CN2CCNC2=O)CC1 ZINC000933589661 613855014 /nfs/dbraw/zinc/85/50/14/613855014.db2.gz DUVQVCMIIUQUEO-LBPRGKRZSA-N 0 1 294.399 0.414 20 30 CCEDMN C=CCOC(=O)[C@@H](N)CC(=O)N1CCN(C2CCC2)CC1 ZINC000884221595 613877594 /nfs/dbraw/zinc/87/75/94/613877594.db2.gz VYXRDRKVEFUOEO-ZDUSSCGKSA-N 0 1 295.383 0.130 20 30 CCEDMN C=CCOC(=O)[C@@H](N)CC(=O)NOCC(F)(F)F ZINC000884175583 613878629 /nfs/dbraw/zinc/87/86/29/613878629.db2.gz YDXJSFNXRQAQFL-LURJTMIESA-N 0 1 270.207 0.043 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CCCN1C(C)C)C(=O)OC ZINC000935777515 613882419 /nfs/dbraw/zinc/88/24/19/613882419.db2.gz VTOCTQQUPYCYOI-STQMWFEESA-N 0 1 298.383 0.720 20 30 CCEDMN C=CCONC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000838706712 613883598 /nfs/dbraw/zinc/88/35/98/613883598.db2.gz ANLOBSNLYOGKTA-NEPJUHHUSA-N 0 1 269.345 0.345 20 30 CCEDMN C=CCO[N-]C(=O)[C@@H]1CC(=O)N(C[C@@H]2CCC[N@H+]2CC)C1 ZINC000837048515 613884390 /nfs/dbraw/zinc/88/43/90/613884390.db2.gz SXTQVTWERMASNX-OLZOCXBDSA-N 0 1 295.383 0.553 20 30 CCEDMN C=CCO[N-]C(=O)[C@@H]1CC(=O)N(C[C@@H]2CCC[N@@H+]2CC)C1 ZINC000837048515 613884391 /nfs/dbraw/zinc/88/43/91/613884391.db2.gz SXTQVTWERMASNX-OLZOCXBDSA-N 0 1 295.383 0.553 20 30 CCEDMN C=CCO[N-]C(=O)[C@H]1CC(=O)N(C[C@H]2CCC[N@H+]2CC)C1 ZINC000837048516 613884523 /nfs/dbraw/zinc/88/45/23/613884523.db2.gz SXTQVTWERMASNX-QWHCGFSZSA-N 0 1 295.383 0.553 20 30 CCEDMN C=CCO[N-]C(=O)[C@H]1CC(=O)N(C[C@H]2CCC[N@@H+]2CC)C1 ZINC000837048516 613884524 /nfs/dbraw/zinc/88/45/24/613884524.db2.gz SXTQVTWERMASNX-QWHCGFSZSA-N 0 1 295.383 0.553 20 30 CCEDMN C=CCS(=O)(=O)CC[NH2+]Cc1ccc(C(=O)[O-])o1 ZINC000833378023 613885774 /nfs/dbraw/zinc/88/57/74/613885774.db2.gz GEPFIYMCOWVJEM-UHFFFAOYSA-N 0 1 273.310 0.668 20 30 CCEDMN CC(C)[C@H]1OCC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913521888 614284112 /nfs/dbraw/zinc/28/41/12/614284112.db2.gz RKKJFKMSWNCCDH-QWHCGFSZSA-N 0 1 252.358 0.729 20 30 CCEDMN CC(C)C#CC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907479846 614326090 /nfs/dbraw/zinc/32/60/90/614326090.db2.gz JBIIOXHQHCMIBH-VIFPVBQESA-N 0 1 265.342 0.476 20 30 CCEDMN CC(C)[N@H+]1CCC[C@@H]1C(=O)N1CCOC[C@H]1C#N ZINC000933560961 614340558 /nfs/dbraw/zinc/34/05/58/614340558.db2.gz ZKFSWJVJNRDDIB-VXGBXAGGSA-N 0 1 251.330 0.610 20 30 CCEDMN CCCc1noc(Cn2cnc(-c3nn[nH]n3)c2C#N)n1 ZINC000825352113 614503270 /nfs/dbraw/zinc/50/32/70/614503270.db2.gz KBXKDJBUGNVIID-UHFFFAOYSA-N 0 1 285.271 0.319 20 30 CCEDMN CCCn1nc(C)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1C ZINC000843460698 614543250 /nfs/dbraw/zinc/54/32/50/614543250.db2.gz QLCIJVCDGPCWHE-NWDGAFQWSA-N 0 1 275.356 0.751 20 30 CCEDMN CC1(C)CCN(CCNS(=O)(=O)CCCC#N)C1 ZINC000908085646 614546018 /nfs/dbraw/zinc/54/60/18/614546018.db2.gz PEMHIIXEUIXZAF-UHFFFAOYSA-N 0 1 273.402 0.941 20 30 CCEDMN CCC(=O)N1CCCN(CCO[C@@H](C)C#N)CC1 ZINC000801912808 614550972 /nfs/dbraw/zinc/55/09/72/614550972.db2.gz DGCUVZDRSNPJFB-LBPRGKRZSA-N 0 1 253.346 0.859 20 30 CCEDMN CC1(C)CN(CCO)C[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000973047248 614667174 /nfs/dbraw/zinc/66/71/74/614667174.db2.gz IVMZGXCMZXRLSV-GFCCVEGCSA-N 0 1 276.340 0.319 20 30 CCEDMN CC1(C)CO[C@H](CCC(=O)N[C@H]2CNC[C@H]2C#N)C1 ZINC000884250898 614734059 /nfs/dbraw/zinc/73/40/59/614734059.db2.gz RAAPXPOJYRQSRI-UTUOFQBUSA-N 0 1 265.357 0.809 20 30 CCEDMN CC1(C)[C@@]2(C)CC[C@]1(C(=O)N[C@@H]1CNC[C@H]1C#N)OC2=O ZINC000876801852 614872125 /nfs/dbraw/zinc/87/21/25/614872125.db2.gz JTHSBNYHQBAQCD-ZMRCNFHJSA-N 0 1 291.351 0.336 20 30 CCEDMN CCCCCCN(C)CC(=O)N[C@@H]1CCN(O)C1=O ZINC000820156841 614906913 /nfs/dbraw/zinc/90/69/13/614906913.db2.gz OQHYMCBVWADUDD-LLVKDONJSA-N 0 1 271.361 0.605 20 30 CCEDMN CCN(CC(=O)N(C)C)C[C@H](O)CC1(C#N)CCC1 ZINC000885953967 614925721 /nfs/dbraw/zinc/92/57/21/614925721.db2.gz KLYPRAHLGPNWOW-GFCCVEGCSA-N 0 1 267.373 0.841 20 30 CCEDMN CCCCCc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)[nH]n1 ZINC000852828015 614946289 /nfs/dbraw/zinc/94/62/89/614946289.db2.gz SQPRXDVNUBKFGC-GXFFZTMASA-N 0 1 275.356 0.984 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@@H]1CCOC[C@@H]1OC ZINC000880429710 615021672 /nfs/dbraw/zinc/02/16/72/615021672.db2.gz WVELXUMEEACYRU-OLZOCXBDSA-N 0 1 283.372 0.484 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1C[C@@H](O)CC[C@H]1C ZINC000880521592 615061530 /nfs/dbraw/zinc/06/15/30/615061530.db2.gz JICVFYLWJHIKDV-XQQFMLRXSA-N 0 1 267.373 0.840 20 30 CCEDMN CCOC1CC2(C[C@@H]2C(=O)NCC#CCN(C)C)C1 ZINC000913525410 615220529 /nfs/dbraw/zinc/22/05/29/615220529.db2.gz WHUBDBUETKCVQI-JVWICGRDSA-N 0 1 264.369 0.873 20 30 CCEDMN CCOC1CC2(C[C@@H]2C(=O)C(C#N)C(=O)NCCOC)C1 ZINC000870139128 615222235 /nfs/dbraw/zinc/22/22/35/615222235.db2.gz RMQMLHODHCXFST-HFXYEQLZSA-N 0 1 294.351 0.663 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)CC1(C#N)CCOCC1 ZINC000882495384 615242653 /nfs/dbraw/zinc/24/26/53/615242653.db2.gz GLMQVNGTHNCRAH-GFCCVEGCSA-N 0 1 265.357 0.907 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@@H](C)CO1 ZINC000892458917 615245514 /nfs/dbraw/zinc/24/55/14/615245514.db2.gz NLEAKEVMAABONU-IJLUTSLNSA-N 0 1 282.340 0.663 20 30 CCEDMN CCOCCN1CCN(CCO[C@@H](C)C#N)CC1 ZINC000801918602 615255241 /nfs/dbraw/zinc/25/52/41/615255241.db2.gz SHCHYLLOHTWLCP-ZDUSSCGKSA-N 0 1 255.362 0.569 20 30 CCEDMN CCOC(=O)[C@@H]1[C@@H]2CCN(CC#CCOC)C[C@@H]21 ZINC000932058797 615390195 /nfs/dbraw/zinc/39/01/95/615390195.db2.gz ANVDSEDBYBTVDI-FRRDWIJNSA-N 0 1 251.326 0.767 20 30 CCEDMN CC[C@@H](CC#N)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897400921 615470409 /nfs/dbraw/zinc/47/04/09/615470409.db2.gz XJJIHIXMORVWFB-RYUDHWBXSA-N 0 1 251.330 0.516 20 30 CCEDMN CCc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1[N+](=O)[O-] ZINC000834480782 615670290 /nfs/dbraw/zinc/67/02/90/615670290.db2.gz WBWILAKBOUNMEV-RYUDHWBXSA-N 0 1 288.307 0.999 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C/c1cncc(F)c1 ZINC000913518640 615856996 /nfs/dbraw/zinc/85/69/96/615856996.db2.gz VXPPVVCVHWFKGF-AATRIKPKSA-N 0 1 261.300 0.915 20 30 CCEDMN CN(C)CC#CCNC(=O)CN(C)c1ccc(Cl)cn1 ZINC000913517070 615857637 /nfs/dbraw/zinc/85/76/37/615857637.db2.gz RAGGGJCARGCVHT-UHFFFAOYSA-N 0 1 294.786 0.852 20 30 CCEDMN CN(C)CC#CCNC(=O)C(=O)Nc1ccccc1 ZINC000913517030 615857857 /nfs/dbraw/zinc/85/78/57/615857857.db2.gz PMODHXYCROOQFF-UHFFFAOYSA-N 0 1 259.309 0.306 20 30 CCEDMN CN(C)CC#CCNC(=O)C(=O)Nc1c(F)cccc1F ZINC000913524378 615857887 /nfs/dbraw/zinc/85/78/87/615857887.db2.gz KQPJIBCVRGEZNX-UHFFFAOYSA-N 0 1 295.289 0.585 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@]12C[C@@H]1COC2(C)C ZINC000913524057 615859662 /nfs/dbraw/zinc/85/96/62/615859662.db2.gz YAZCRPZTKQYWPB-BXUZGUMPSA-N 0 1 250.342 0.483 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)CCO2 ZINC000913516197 615861120 /nfs/dbraw/zinc/86/11/20/615861120.db2.gz FXYUQSHLXVMNEZ-UHFFFAOYSA-N 0 1 258.321 0.916 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nccc2[nH]ccc21 ZINC000913523008 615861854 /nfs/dbraw/zinc/86/18/54/615861854.db2.gz JAJVPVVEHXINRZ-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C2CCC2)nn1 ZINC000913523737 615862156 /nfs/dbraw/zinc/86/21/56/615862156.db2.gz KDOAYUZQXQPJDQ-UHFFFAOYSA-N 0 1 261.329 0.298 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)C1CN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000953771204 615899062 /nfs/dbraw/zinc/89/90/62/615899062.db2.gz DTZMDDKPARSKQI-UHFFFAOYSA-N 0 1 298.306 0.206 20 30 CCEDMN CC[C@H]1OCCC[C@H]1C(=O)NCC#CCN(C)C ZINC000913521463 615937246 /nfs/dbraw/zinc/93/72/46/615937246.db2.gz AJYRLMSQYRIGCP-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CC[C@H]2[C@@H]1CCCN2CCO ZINC000889756775 615942031 /nfs/dbraw/zinc/94/20/31/615942031.db2.gz QFTMKSSFWNUDNL-KCQAQPDRSA-N 0 1 279.384 0.984 20 30 CCEDMN CN(C)c1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1F ZINC000834493221 616013451 /nfs/dbraw/zinc/01/34/51/616013451.db2.gz BXDLRMOCSMGYNY-JQWIXIFHSA-N 0 1 276.315 0.733 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000972684123 616040259 /nfs/dbraw/zinc/04/02/59/616040259.db2.gz LWOOBNHPIZDIML-VXGBXAGGSA-N 0 1 287.367 0.571 20 30 CCEDMN CN(CC(=O)N[C@H]1CNC[C@@H]1C#N)c1ccc(Cl)cn1 ZINC000834521889 616049219 /nfs/dbraw/zinc/04/92/19/616049219.db2.gz YBHZXSVCPDRERS-ONGXEEELSA-N 0 1 293.758 0.399 20 30 CCEDMN CN(CCCN(C)C(=O)NCC#CCO)Cc1ccco1 ZINC000923769413 616074045 /nfs/dbraw/zinc/07/40/45/616074045.db2.gz MCZIOAKCCSCAOY-UHFFFAOYSA-N 0 1 293.367 0.739 20 30 CCEDMN CN(CCN1CC2(C1)CCOCC2)C(=O)NCCC#N ZINC000875541224 616085425 /nfs/dbraw/zinc/08/54/25/616085425.db2.gz YBCOIQWNXDKSSM-UHFFFAOYSA-N 0 1 280.372 0.654 20 30 CCEDMN CN(CC[N@H+](C)CCO)C(=O)c1ccc(C#N)c([O-])c1 ZINC000912605451 616101369 /nfs/dbraw/zinc/10/13/69/616101369.db2.gz UOWWDTDTOZOUDI-UHFFFAOYSA-N 0 1 277.324 0.260 20 30 CCEDMN CN(CC[N@@H+](C)CCO)C(=O)c1ccc(C#N)c([O-])c1 ZINC000912605451 616101370 /nfs/dbraw/zinc/10/13/70/616101370.db2.gz UOWWDTDTOZOUDI-UHFFFAOYSA-N 0 1 277.324 0.260 20 30 CCEDMN Cn1nc2c(c1C(=O)NC1(C#N)CCN(C)CC1)CCC2 ZINC000906605970 616166143 /nfs/dbraw/zinc/16/61/43/616166143.db2.gz WSYLBDGRZMWGSR-UHFFFAOYSA-N 0 1 287.367 0.627 20 30 CCEDMN CN(C(=O)[C@@]1(C#N)CCCOC1)[C@@H]1CCCN(C)C1 ZINC000866068358 616188949 /nfs/dbraw/zinc/18/89/49/616188949.db2.gz MSZBRDSFOBYVDV-TZMCWYRMSA-N 0 1 265.357 0.859 20 30 CCEDMN CN(C(=O)[C@@]1(C#N)CCCOC1)[C@H]1CCCN(C)C1 ZINC000866068276 616205953 /nfs/dbraw/zinc/20/59/53/616205953.db2.gz MSZBRDSFOBYVDV-GXTWGEPZSA-N 0 1 265.357 0.859 20 30 CCEDMN C[N@H+]1CCC[C@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820620666 616207891 /nfs/dbraw/zinc/20/78/91/616207891.db2.gz QNSBERRBZLKJRM-JOYOIKCWSA-N 0 1 253.302 0.201 20 30 CCEDMN CN1CC[C@@H]1CN[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000905859990 616305449 /nfs/dbraw/zinc/30/54/49/616305449.db2.gz WXQBZIJDRHHRQB-CHWSQXEVSA-N 0 1 258.325 0.378 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCC(C)(C)CCC#N ZINC000908214234 616343030 /nfs/dbraw/zinc/34/30/30/616343030.db2.gz IXEIBEPEAHLVCV-SMDDNHRTSA-N 0 1 267.373 0.888 20 30 CCEDMN COC/C(C)=C/C(=O)NC1(C#N)CCN(C)CC1 ZINC000844456471 616365068 /nfs/dbraw/zinc/36/50/68/616365068.db2.gz URRALYHFSARRFW-DHZHZOJOSA-N 0 1 251.330 0.683 20 30 CCEDMN COC1(OC)CCN(CC2(CC#N)CC2)C[C@@H]1O ZINC000931637086 616468720 /nfs/dbraw/zinc/46/87/20/616468720.db2.gz HAPVXQOQAKREFQ-NSHDSACASA-N 0 1 254.330 0.736 20 30 CCEDMN COCCN1[C@H](C)CN(c2cc(C#N)cnn2)C[C@@H]1C ZINC000853709390 616481807 /nfs/dbraw/zinc/48/18/07/616481807.db2.gz ZMEYUTAJTGDHKU-TXEJJXNPSA-N 0 1 275.356 0.894 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)c1cnc(C)[nH]1 ZINC000871341430 616547071 /nfs/dbraw/zinc/54/70/71/616547071.db2.gz VFACVZIXVYASSN-UHFFFAOYSA-N 0 1 250.258 0.247 20 30 CCEDMN COC(=O)CNC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000876598863 616603696 /nfs/dbraw/zinc/60/36/96/616603696.db2.gz RTXYYEPLWCLTEH-CYBMUJFWSA-N 0 1 273.292 0.034 20 30 CCEDMN COC[C@@H](C)Oc1ccc(C=NNC2=NCCN2)cc1 ZINC000853357671 616836456 /nfs/dbraw/zinc/83/64/56/616836456.db2.gz JFBSXRZHTSZOGR-LLVKDONJSA-N 0 1 276.340 0.983 20 30 CCEDMN CNC(=O)[C@]1(C(F)(F)F)CCN(C[C@H](O)CC#N)C1 ZINC000930824068 616891016 /nfs/dbraw/zinc/89/10/16/616891016.db2.gz CAHTXTMKIKYWGO-SCZZXKLOSA-N 0 1 279.262 0.261 20 30 CCEDMN COCc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1F ZINC000876801607 616894832 /nfs/dbraw/zinc/89/48/32/616894832.db2.gz GGHMHEUDKGJGRW-DGCLKSJQSA-N 0 1 277.299 0.813 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@@H](C)CO1 ZINC000892462140 616900976 /nfs/dbraw/zinc/90/09/76/616900976.db2.gz OLNUHKARHIVZQE-MXWKQRLJSA-N 0 1 268.313 0.273 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1CCCCO1 ZINC000121927899 616901451 /nfs/dbraw/zinc/90/14/51/616901451.db2.gz LXGQSLLRCFDELV-MNOVXSKESA-N 0 1 268.313 0.417 20 30 CCEDMN COCC[N@@H+](CCC#N)C[C@@H]1CCN(C(=O)[O-])C1 ZINC000828980086 616992682 /nfs/dbraw/zinc/99/26/82/616992682.db2.gz SBQPVNNMKVTVIN-NSHDSACASA-N 0 1 255.318 0.848 20 30 CCEDMN COCC[N@H+](CCC#N)C[C@@H]1CCN(C(=O)[O-])C1 ZINC000828980086 616992677 /nfs/dbraw/zinc/99/26/77/616992677.db2.gz SBQPVNNMKVTVIN-NSHDSACASA-N 0 1 255.318 0.848 20 30 CCEDMN CNc1ccc(C(=O)NCC#CCN(C)C)cc1[N+](=O)[O-] ZINC000913515172 617062908 /nfs/dbraw/zinc/06/29/08/617062908.db2.gz MCSRWZZGXRKOEJ-UHFFFAOYSA-N 0 1 290.323 0.931 20 30 CCEDMN CON=C(C(=O)NC12CCN(CC1)C2)c1csc(N)n1 ZINC000913636093 617102576 /nfs/dbraw/zinc/10/25/76/617102576.db2.gz WLZOLYFXUYSGNY-UHFFFAOYSA-N 0 1 295.368 0.040 20 30 CCEDMN COc1cc(C#N)ccc1CN1CCN(C)[C@@H](CO)C1 ZINC000931404187 617152667 /nfs/dbraw/zinc/15/26/67/617152667.db2.gz WCIYSOREHWVHFX-CQSZACIVSA-N 0 1 275.352 0.675 20 30 CCEDMN COc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)ccc1F ZINC000834480566 617167520 /nfs/dbraw/zinc/16/75/20/617167520.db2.gz SDXMMDXPGUUFKT-KOLCDFICSA-N 0 1 263.272 0.676 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CC[C@@H](C)O1 ZINC001026006274 625320382 /nfs/dbraw/zinc/32/03/82/625320382.db2.gz RTKKJQWDLIHRPA-NTASLKFISA-N 0 1 262.353 0.624 20 30 CCEDMN C[C@H]1CN(c2ccc(C#N)cc2CO)CCN1CCO ZINC000893277774 625416460 /nfs/dbraw/zinc/41/64/60/625416460.db2.gz VUABZOPVPCMMDG-LBPRGKRZSA-N 0 1 275.352 0.553 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NCC[N@H+](CC)C1CC1 ZINC000219920227 625430509 /nfs/dbraw/zinc/43/05/09/625430509.db2.gz AJHPHVZNYSQWDX-UHFFFAOYSA-N 0 1 269.345 0.753 20 30 CCEDMN CN1CC(C(=O)NC2CCC(NCC#N)CC2)=NC1=O ZINC001026554110 625471861 /nfs/dbraw/zinc/47/18/61/625471861.db2.gz IYXVMASJLXLIAX-UHFFFAOYSA-N 0 1 277.328 0.280 20 30 CCEDMN CC(C)[C@@H]1CN(C)CCN1C(=O)NCc1cnnn1C ZINC000329699628 625472206 /nfs/dbraw/zinc/47/22/06/625472206.db2.gz GFBKDIAAPKOBSG-LBPRGKRZSA-N 0 1 280.376 0.501 20 30 CCEDMN C=CC[C@H]1CCN1CC(=O)NCCCN1CCCC1=O ZINC000934470330 622712832 /nfs/dbraw/zinc/71/28/32/622712832.db2.gz BSBHHWKLAIMPCH-ZDUSSCGKSA-N 0 1 279.384 0.766 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@]3(C2)CN(CC#N)CCO3)[nH]1 ZINC000972301951 622749535 /nfs/dbraw/zinc/74/95/35/622749535.db2.gz PXYQXELYBYVDBO-AWEZNQCLSA-N 0 1 289.339 0.159 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2nnn(C)c2C)C1 ZINC001023287029 622983563 /nfs/dbraw/zinc/98/35/63/622983563.db2.gz FISPESNOOQHKIA-GFCCVEGCSA-N 0 1 275.356 0.199 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2nnn(C)c2C)C1 ZINC001023287030 622983773 /nfs/dbraw/zinc/98/37/73/622983773.db2.gz FISPESNOOQHKIA-LBPRGKRZSA-N 0 1 275.356 0.199 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)c2c(C)nnn2CC)C1 ZINC001023411415 623025817 /nfs/dbraw/zinc/02/58/17/623025817.db2.gz FDJZTCJYFVSVQO-CYBMUJFWSA-N 0 1 289.383 0.681 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024390890 623308541 /nfs/dbraw/zinc/30/85/41/623308541.db2.gz MSMJPFRMRUBGRS-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024390890 623308549 /nfs/dbraw/zinc/30/85/49/623308549.db2.gz MSMJPFRMRUBGRS-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001024417503 623317314 /nfs/dbraw/zinc/31/73/14/623317314.db2.gz UUDMYOWTRKQAOM-NSHDSACASA-N 0 1 279.340 0.749 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cnn2ccncc12 ZINC001024483739 623334715 /nfs/dbraw/zinc/33/47/15/623334715.db2.gz ZFHHKDJYSPQTRJ-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001024495221 623338557 /nfs/dbraw/zinc/33/85/57/623338557.db2.gz HSDFICXKBSSSQE-GFCCVEGCSA-N 0 1 274.324 0.400 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001024817716 623452419 /nfs/dbraw/zinc/45/24/19/623452419.db2.gz FNIWGIYLXCHSDX-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN N#CC(C(=O)COC1CC1)C(=O)NC1CCCC1 ZINC000892529894 623485092 /nfs/dbraw/zinc/48/50/92/623485092.db2.gz FAAKVPXGWHFRRV-LLVKDONJSA-N 0 1 250.298 0.933 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCOC[C@H]1OC ZINC001025811690 623886570 /nfs/dbraw/zinc/88/65/70/623886570.db2.gz CJZVOJRALKRXGP-ZHMBSYLPSA-N 0 1 292.379 0.108 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCOC[C@H]1OC ZINC001025811690 623886574 /nfs/dbraw/zinc/88/65/74/623886574.db2.gz CJZVOJRALKRXGP-ZHMBSYLPSA-N 0 1 292.379 0.108 20 30 CCEDMN C=CC[C@@H]1CCN1C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000890563369 624481215 /nfs/dbraw/zinc/48/12/15/624481215.db2.gz SSNFLKMQIFJYTG-SNVBAGLBSA-N 0 1 286.339 0.966 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)N[C@H]1C[C@H](NCC#N)C1 ZINC001020519573 624501440 /nfs/dbraw/zinc/50/14/40/624501440.db2.gz MSKBIJJIBHLYIV-HAQNSBGRSA-N 0 1 276.340 0.715 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC000969905904 624554911 /nfs/dbraw/zinc/55/49/11/624554911.db2.gz KIDKDWJFXLAVNG-SSDOTTSWSA-N 0 1 269.255 0.675 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001020660851 624569055 /nfs/dbraw/zinc/56/90/55/624569055.db2.gz JLAWQDCTAGIDGC-MGCOHNPYSA-N 0 1 297.318 0.709 20 30 CCEDMN C[C@H](NC(=O)c1ccc2cncn2c1)C1CN(CC#N)C1 ZINC000970157109 624628699 /nfs/dbraw/zinc/62/86/99/624628699.db2.gz JGIBSIYEFXJHAP-NSHDSACASA-N 0 1 283.335 0.908 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)[C@H]2COCCO2)C1 ZINC001006812429 624648523 /nfs/dbraw/zinc/64/85/23/624648523.db2.gz PGGLGOMXNOPXTF-QWHCGFSZSA-N 0 1 266.341 0.006 20 30 CCEDMN N#CCN1CC[C@H]2[C@H](CCCN2C(=O)Cc2ccn[nH]2)C1 ZINC001021549871 624768196 /nfs/dbraw/zinc/76/81/96/624768196.db2.gz CMJPXBPQCYECRV-OCCSQVGLSA-N 0 1 287.367 0.789 20 30 CCEDMN C[C@H](NC(=O)c1ccc2[nH]nnc2c1)C1CN(CC#N)C1 ZINC000970860350 624773437 /nfs/dbraw/zinc/77/34/37/624773437.db2.gz DDTJVGDUIBEYGE-VIFPVBQESA-N 0 1 284.323 0.532 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H](CCCN2C(=O)c2cnon2)C1 ZINC001021692906 624810840 /nfs/dbraw/zinc/81/08/40/624810840.db2.gz KMPLFZNHRZTOQK-AAEUAGOBSA-N 0 1 274.324 0.629 20 30 CCEDMN Cc1nn(C)cc1CN(C)[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971221669 624828603 /nfs/dbraw/zinc/82/86/03/624828603.db2.gz QCQYGDKXPGEVPD-SMDDNHRTSA-N 0 1 289.383 0.921 20 30 CCEDMN N#Cc1cccn(CN2C[C@@H]3CCC[C@]3(C(N)=O)C2)c1=O ZINC000902617305 634414370 /nfs/dbraw/zinc/41/43/70/634414370.db2.gz PFYLDHHQHNGDIW-WFASDCNBSA-N 0 1 286.335 0.265 20 30 CCEDMN Cn1ncnc1CN[C@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001021983594 624858964 /nfs/dbraw/zinc/85/89/64/624858964.db2.gz AFZLRLQMSDWQGE-PHIMTYICSA-N 0 1 299.338 0.065 20 30 CCEDMN COC(=O)[C@@H]1CCC[C@H](C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC000176019514 624870719 /nfs/dbraw/zinc/87/07/19/624870719.db2.gz RGSLFPFSJAZBFY-HOSYDEDBSA-N 0 1 292.335 0.953 20 30 CCEDMN CCc1n[nH]c(C(=O)N[C@H]2C[C@@H](NCC#N)C2)c1Cl ZINC001022216762 624894305 /nfs/dbraw/zinc/89/43/05/624894305.db2.gz NTTIZTGCTWPBPR-OCAPTIKFSA-N 0 1 281.747 0.999 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001009245384 625790015 /nfs/dbraw/zinc/79/00/15/625790015.db2.gz LVGISHSRQIJIHK-QWRGUYRKSA-N 0 1 251.330 0.032 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027407882 625871135 /nfs/dbraw/zinc/87/11/35/625871135.db2.gz DCSHTXPNUCSYAW-ZIAGYGMSSA-N 0 1 291.395 0.587 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3CCc4[nH]cnc4C3)[C@@H]2C1 ZINC000978962013 630861616 /nfs/dbraw/zinc/86/16/16/630861616.db2.gz DEZOTWBEOWKFCD-LPWJVIDDSA-N 0 1 299.378 0.332 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCOC1 ZINC001027778551 630909452 /nfs/dbraw/zinc/90/94/52/630909452.db2.gz QAPSYICYIOZMDO-STQMWFEESA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cn(C)nc1C ZINC001027793906 630923199 /nfs/dbraw/zinc/92/31/99/630923199.db2.gz WFTBKUOEZVZXMG-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@H](NC(=O)CN3CCCC3)C[C@@H]2C1 ZINC000980236882 631004451 /nfs/dbraw/zinc/00/44/51/631004451.db2.gz FWQAFPXMBYIZNZ-ZNMIVQPWSA-N 0 1 290.411 0.822 20 30 CCEDMN N#CCN1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000981164855 631242533 /nfs/dbraw/zinc/24/25/33/631242533.db2.gz IUOGUJMTPMVCCT-GFCCVEGCSA-N 0 1 287.367 0.887 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000178993459 631938414 /nfs/dbraw/zinc/93/84/14/631938414.db2.gz YAUAMGARBKLETQ-AWEZNQCLSA-N 0 1 280.368 0.207 20 30 CCEDMN O=C1[C@@H](NCc2cccc3nccn32)CCCN1O ZINC000895161398 631993944 /nfs/dbraw/zinc/99/39/44/631993944.db2.gz GCGVUGVTRDJBHG-NSHDSACASA-N 0 1 260.297 0.804 20 30 CCEDMN C[C@H](Nc1ncccc1C#N)[C@H]1CN(C)CCN1C ZINC000895247995 632031946 /nfs/dbraw/zinc/03/19/46/632031946.db2.gz XXWFLKZKIBWQBV-WCQYABFASA-N 0 1 259.357 0.999 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(C[C@H](C)CCC)CC1 ZINC000895915773 632175142 /nfs/dbraw/zinc/17/51/42/632175142.db2.gz JXDGULOEUAQNHC-CYBMUJFWSA-N 0 1 287.429 0.508 20 30 CCEDMN C[C@H](NC(=O)c1cc(C#N)c[nH]1)[C@H]1C[N@@H+](C)CCN1C ZINC000896555217 632284584 /nfs/dbraw/zinc/28/45/84/632284584.db2.gz IFUIHGACFUODJP-GXFFZTMASA-N 0 1 275.356 0.250 20 30 CCEDMN C[C@H](NC(=O)c1cc(C#N)c[nH]1)[C@H]1CN(C)CCN1C ZINC000896555217 632284591 /nfs/dbraw/zinc/28/45/91/632284591.db2.gz IFUIHGACFUODJP-GXFFZTMASA-N 0 1 275.356 0.250 20 30 CCEDMN C=C(C)C[N@H+]1CCC[C@H](NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001007109461 650066362 /nfs/dbraw/zinc/06/63/62/650066362.db2.gz YAWPGTANGMCIAO-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001007109461 650066363 /nfs/dbraw/zinc/06/63/63/650066363.db2.gz YAWPGTANGMCIAO-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN Cc1[nH]nc(CN2C[C@@H]3CS(=O)(=O)C[C@@]3(C#N)C2)c1C ZINC000897291583 632470368 /nfs/dbraw/zinc/47/03/68/632470368.db2.gz TWBASGRNPKJFDY-DGCLKSJQSA-N 0 1 294.380 0.397 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cncnc2)C1 ZINC001007227533 650071514 /nfs/dbraw/zinc/07/15/14/650071514.db2.gz PWHLKYCITPQORV-CQSZACIVSA-N 0 1 272.352 0.623 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001007343905 650074896 /nfs/dbraw/zinc/07/48/96/650074896.db2.gz DHDHOBWJGGSIGS-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001007482863 650079888 /nfs/dbraw/zinc/07/98/88/650079888.db2.gz DVYLZUAEYFPGTR-CYBMUJFWSA-N 0 1 289.383 0.824 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001007523478 650081588 /nfs/dbraw/zinc/08/15/88/650081588.db2.gz ASBIMLXRECYVJE-AVGNSLFASA-N 0 1 268.357 0.557 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)C1 ZINC001007626507 650086680 /nfs/dbraw/zinc/08/66/80/650086680.db2.gz YDWAMHNHUOWTLC-RBSFLKMASA-N 0 1 293.411 0.309 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cn2cnnn2)[C@@H]1C ZINC000985940577 632681177 /nfs/dbraw/zinc/68/11/77/632681177.db2.gz FUAMVJKBPFDQHH-ZJUUUORDSA-N 0 1 284.751 0.005 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCn2ccnn2)[C@@H]1C ZINC000986219486 632729545 /nfs/dbraw/zinc/72/95/45/632729545.db2.gz QHYVUTBDSCOSIT-NEPJUHHUSA-N 0 1 297.790 1.000 20 30 CCEDMN C[C@@H]1[C@@H](NCc2cnnn2C)CCN1C(=O)C#CC1CC1 ZINC000986277157 632738039 /nfs/dbraw/zinc/73/80/39/632738039.db2.gz ISFPYZCVTUYQBR-RISCZKNCSA-N 0 1 287.367 0.308 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001007889375 650096228 /nfs/dbraw/zinc/09/62/28/650096228.db2.gz WMIJIJDTELTJCK-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnn(C)n2)[C@@H]1C ZINC000987182135 632836723 /nfs/dbraw/zinc/83/67/23/632836723.db2.gz AZYWLKRSNIGNRT-ZJUUUORDSA-N 0 1 283.763 0.760 20 30 CCEDMN N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000035083984 632998558 /nfs/dbraw/zinc/99/85/58/632998558.db2.gz YTLIYVYLYWQRKA-GFCCVEGCSA-N 0 1 292.339 0.439 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2ncn(C)n2)C1 ZINC000988787405 633113118 /nfs/dbraw/zinc/11/31/18/633113118.db2.gz UYWDIRLSPHSWRG-UWVGGRQHSA-N 0 1 283.763 0.760 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@H](C)N(C(=O)c2cccc3nn[n-]c32)C1 ZINC000988873735 633123839 /nfs/dbraw/zinc/12/38/39/633123839.db2.gz CKTGOFARJCNRPM-QWRGUYRKSA-N 0 1 283.335 0.784 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001008421400 650113486 /nfs/dbraw/zinc/11/34/86/650113486.db2.gz ISSXUKDPXCWBBD-JTQLQIEISA-N 0 1 264.329 0.506 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2nnn(C)n2)C1 ZINC000989309189 633188251 /nfs/dbraw/zinc/18/82/51/633188251.db2.gz SQYGSIAIXQKAIB-RKDXNWHRSA-N 0 1 284.751 0.155 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cn2cncn2)C1 ZINC000989752243 633351464 /nfs/dbraw/zinc/35/14/64/633351464.db2.gz LOSZDZZJPVOMQC-QWRGUYRKSA-N 0 1 283.763 0.610 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@]12CCC[C@@H]1N(CC#N)CC2 ZINC000990024992 633423880 /nfs/dbraw/zinc/42/38/80/633423880.db2.gz GFQWELCXYCUFPQ-VNHYZAJKSA-N 0 1 276.384 0.717 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cn(C)nn3)CCC[C@H]12 ZINC000990517023 633549045 /nfs/dbraw/zinc/54/90/45/633549045.db2.gz SBVKZAQDMXWPKZ-JSGCOSHPSA-N 0 1 273.340 0.175 20 30 CCEDMN C#CC[N@H+]1CC[C@]2(NC(=O)Cc3nnc(C)[nH]3)CCC[C@@H]12 ZINC000990638726 633573225 /nfs/dbraw/zinc/57/32/25/633573225.db2.gz OEMNNEQGMFPJPU-IUODEOHRSA-N 0 1 287.367 0.402 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cncc(OC)n2)C1 ZINC001008763522 650127142 /nfs/dbraw/zinc/12/71/42/650127142.db2.gz AHHLBNREVUYYGZ-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN COc1nn(C)cc1CNC[C@@H](O)CC(C)(C)C#N ZINC000905674235 634894242 /nfs/dbraw/zinc/89/42/42/634894242.db2.gz GGUABMRWAQYFIG-NSHDSACASA-N 0 1 266.345 0.819 20 30 CCEDMN C#CCN1CCN(C(=O)c2cccc3[nH]c(CO)nc32)CC1 ZINC000906675030 635020078 /nfs/dbraw/zinc/02/00/78/635020078.db2.gz PMONDIMEVFSQMT-UHFFFAOYSA-N 0 1 298.346 0.446 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1c1ccc(C#N)nc1 ZINC000910454062 635772243 /nfs/dbraw/zinc/77/22/43/635772243.db2.gz CMORNFGEUWDAOP-LLVKDONJSA-N 0 1 274.324 0.938 20 30 CCEDMN Cc1cc(C(=O)N2CCN(C(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001010515748 650236106 /nfs/dbraw/zinc/23/61/06/650236106.db2.gz LAIIYHCJNLXFLY-VIFPVBQESA-N 0 1 275.312 0.162 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001010570062 650242946 /nfs/dbraw/zinc/24/29/46/650242946.db2.gz HPPXQUKBVYXIER-GHMZBOCLSA-N 0 1 252.314 0.316 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001010570065 650242977 /nfs/dbraw/zinc/24/29/77/650242977.db2.gz HPPXQUKBVYXIER-WDEREUQCSA-N 0 1 252.314 0.316 20 30 CCEDMN Cc1onc(CC(=O)N2CC[C@@H](N3CCC3)C2)c1C#N ZINC000912912139 636699249 /nfs/dbraw/zinc/69/92/49/636699249.db2.gz XFTFDWXLLJRQGI-LLVKDONJSA-N 0 1 274.324 0.704 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC001010877355 650276615 /nfs/dbraw/zinc/27/66/15/650276615.db2.gz OSHDWDMDYLXCIZ-JTQLQIEISA-N 0 1 250.302 0.297 20 30 CCEDMN Cc1ccn(C[C@H](C)C(=O)NCC#CCN(C)C)n1 ZINC000913525244 636833079 /nfs/dbraw/zinc/83/30/79/636833079.db2.gz PDJJZEARTWPDEC-LBPRGKRZSA-N 0 1 262.357 0.509 20 30 CCEDMN Cc1nn(C)c(C)c1OCC(=O)NCC#CCN(C)C ZINC000913519784 636834879 /nfs/dbraw/zinc/83/48/79/636834879.db2.gz NSJLKVAVCBHUNY-UHFFFAOYSA-N 0 1 278.356 0.097 20 30 CCEDMN Cc1nc(C(=O)NCC#CCN(C)C)c(=O)[nH]c1C ZINC000913520253 636835989 /nfs/dbraw/zinc/83/59/89/636835989.db2.gz JUMIOQJRDNUHKO-UHFFFAOYSA-N 0 1 262.313 0.094 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(C(=O)[C@@H]2CCCCN2C)CC1 ZINC001011404397 650301556 /nfs/dbraw/zinc/30/15/56/650301556.db2.gz BQAZPQLRCXZXCI-OLZOCXBDSA-N 0 1 292.383 0.301 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cn(C)ccc3=O)CCC[C@@H]12 ZINC000991775426 637051797 /nfs/dbraw/zinc/05/17/97/637051797.db2.gz AAXOKTXZLMXOCL-NVXWUHKLSA-N 0 1 299.374 0.745 20 30 CCEDMN C[C@H](CC#N)NC(=O)c1nnc2ccccc2c1O ZINC000171867945 637150215 /nfs/dbraw/zinc/15/02/15/637150215.db2.gz ZKVZUCUXFUEGDR-MRVPVSSYSA-N 0 1 256.265 0.955 20 30 CCEDMN C#CCOc1ccccc1CNCCS(=O)(=O)N(C)C ZINC000230989578 637194503 /nfs/dbraw/zinc/19/45/03/637194503.db2.gz GAWPWLRITAPTRS-UHFFFAOYSA-N 0 1 296.392 0.680 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@@]23CCC[C@@H]2N(CC#N)CC3)C1 ZINC000992187134 637334708 /nfs/dbraw/zinc/33/47/08/637334708.db2.gz IAGJKBDRNFUHAA-IJEWVQPXSA-N 0 1 290.411 0.965 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ccnnc3)CCC[C@@H]12 ZINC000992257655 637350335 /nfs/dbraw/zinc/35/03/35/637350335.db2.gz JNHXZVWTZZYSHQ-UKRRQHHQSA-N 0 1 270.336 0.837 20 30 CCEDMN C=CCN1CC[C@@]2(NC(=O)c3cnon3)CCC[C@H]12 ZINC000992355654 637374191 /nfs/dbraw/zinc/37/41/91/637374191.db2.gz AVBDMXDBHUBBRA-AAEUAGOBSA-N 0 1 262.313 0.982 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@@H]3CCC(=O)N3)CCC[C@H]12 ZINC000992423516 637401524 /nfs/dbraw/zinc/40/15/24/637401524.db2.gz QSNNVRBBCSVBBR-HUBLWGQQSA-N 0 1 275.352 0.011 20 30 CCEDMN O=C(C#Cc1ccccc1)N1CCC(c2nn[nH]n2)CC1 ZINC000913743850 637427819 /nfs/dbraw/zinc/42/78/19/637427819.db2.gz NVIGBTCVOMTZDE-UHFFFAOYSA-N 0 1 281.319 0.957 20 30 CCEDMN C[C@@H](CNS(=O)(=O)CC(C)(C)C#N)N(C)C1CC1 ZINC000914056739 637499184 /nfs/dbraw/zinc/49/91/84/637499184.db2.gz RDMQXDXPAVKEBO-JTQLQIEISA-N 0 1 273.402 0.938 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2nnc3ccccc3n2)C1 ZINC001015291438 637544791 /nfs/dbraw/zinc/54/47/91/637544791.db2.gz TXTXXXATMKYLNU-LBPRGKRZSA-N 0 1 295.346 0.852 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)NCc2cnnn2C)C[C@@H]1C ZINC000329703644 637657934 /nfs/dbraw/zinc/65/79/34/637657934.db2.gz IWMMTWBHTKXFFD-QWRGUYRKSA-N 0 1 280.376 0.644 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)C2=COCCO2)[C@@H]1C ZINC000993003519 637662558 /nfs/dbraw/zinc/66/25/58/637662558.db2.gz CITVFYQZFDQTIG-NWDGAFQWSA-N 0 1 264.325 0.477 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccncc1Br ZINC000834480125 637680774 /nfs/dbraw/zinc/68/07/74/637680774.db2.gz LIZKDUXXVDMOTP-OIBJUYFYSA-N 0 1 295.140 0.685 20 30 CCEDMN C[C@H](C#N)OC(=O)C1CC[C@H](C(F)(F)F)NC1=O ZINC000914673004 637698543 /nfs/dbraw/zinc/69/85/43/637698543.db2.gz FJNOIYMFTIIGNP-DSYKOEDSSA-N 0 1 264.203 0.899 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cc[nH]n2)[C@@H]1C ZINC000993151317 637716319 /nfs/dbraw/zinc/71/63/19/637716319.db2.gz SLJZLXAPRAEYBA-WCQYABFASA-N 0 1 260.341 0.555 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cnn(C)c2C)[C@H]1C ZINC000993401644 637802424 /nfs/dbraw/zinc/80/24/24/637802424.db2.gz SSLYKYFHHKRMFY-HIFRSBDPSA-N 0 1 288.395 0.873 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnon2)[C@@H]1C ZINC000994040710 637863235 /nfs/dbraw/zinc/86/32/35/637863235.db2.gz MZSWLTNZDOWCGQ-UWVGGRQHSA-N 0 1 250.302 0.838 20 30 CCEDMN C[C@@H]1CN(C(=O)NC[C@@H]2CCN2C)C[C@H](C)N1CC#N ZINC000925427854 637890289 /nfs/dbraw/zinc/89/02/89/637890289.db2.gz IYFMVWCJSGQWBZ-XQQFMLRXSA-N 0 1 279.388 0.318 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](NC(C)=O)C[C@@H]2C)CC1 ZINC001012851631 650387230 /nfs/dbraw/zinc/38/72/30/650387230.db2.gz JJVCZPSUAIFITB-WFASDCNBSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnnn2CC)C1 ZINC001014372410 650444399 /nfs/dbraw/zinc/44/43/99/650444399.db2.gz XQNUILYBALNTDP-NSHDSACASA-N 0 1 261.329 0.125 20 30 CCEDMN C#CCC(C)(C)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000185494762 638771768 /nfs/dbraw/zinc/77/17/68/638771768.db2.gz DGHLEWWURSRHJC-CYBMUJFWSA-N 0 1 265.401 0.788 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cn2nccn2)CC1(C)C ZINC000995856478 638803579 /nfs/dbraw/zinc/80/35/79/638803579.db2.gz DQIHMTNABFCIAR-NSHDSACASA-N 0 1 297.790 0.857 20 30 CCEDMN N#CCNC1CCN(C(=O)[C@H]2CCCc3c[nH]nc32)CC1 ZINC000996526068 638820942 /nfs/dbraw/zinc/82/09/42/638820942.db2.gz XJGBXTUBPUTODZ-ZDUSSCGKSA-N 0 1 287.367 0.934 20 30 CCEDMN C#Cc1ccc(C(=O)NC2CN(C(=O)c3ccn[nH]3)C2)cn1 ZINC000997091203 638851659 /nfs/dbraw/zinc/85/16/59/638851659.db2.gz VOUCUVILNKIDRD-UHFFFAOYSA-N 0 1 295.302 0.040 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(CCOC)nc2C)C1 ZINC001014404048 650449001 /nfs/dbraw/zinc/44/90/01/650449001.db2.gz UMPQHVZCMRZSJX-CYBMUJFWSA-N 0 1 292.383 0.828 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(CNCc2ncccn2)CC1 ZINC000997619234 638878687 /nfs/dbraw/zinc/87/86/87/638878687.db2.gz VACLPEBBIMPSGK-GFCCVEGCSA-N 0 1 287.367 0.964 20 30 CCEDMN C#Cc1cncc(C(=O)NC2CN(C(=O)c3ccn[nH]3)C2)c1 ZINC000999304638 638972859 /nfs/dbraw/zinc/97/28/59/638972859.db2.gz QHGVFRLRWFRYQZ-UHFFFAOYSA-N 0 1 295.302 0.040 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001000103775 639040376 /nfs/dbraw/zinc/04/03/76/639040376.db2.gz JDQCFHGBUFIOAF-RISCZKNCSA-N 0 1 299.802 0.988 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001014635115 650471226 /nfs/dbraw/zinc/47/12/26/650471226.db2.gz PLAKHOVEHOJIFS-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC001014647555 650472597 /nfs/dbraw/zinc/47/25/97/650472597.db2.gz KONAZNCWROPECT-JTQLQIEISA-N 0 1 284.323 0.180 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001014647555 650472599 /nfs/dbraw/zinc/47/25/99/650472599.db2.gz KONAZNCWROPECT-JTQLQIEISA-N 0 1 284.323 0.180 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001000688384 639153244 /nfs/dbraw/zinc/15/32/44/639153244.db2.gz PCPZSMWKXMKLFX-QWRGUYRKSA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001000688384 639153252 /nfs/dbraw/zinc/15/32/52/639153252.db2.gz PCPZSMWKXMKLFX-QWRGUYRKSA-N 0 1 288.355 0.014 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2c(C)ncn2C)CC1 ZINC001000800186 639168597 /nfs/dbraw/zinc/16/85/97/639168597.db2.gz IFHZVVFQHNTEBK-UHFFFAOYSA-N 0 1 272.352 0.724 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cccn(C)c2=O)CC1 ZINC001001382338 639292209 /nfs/dbraw/zinc/29/22/09/639292209.db2.gz VOWVUUGWKOTTTP-UHFFFAOYSA-N 0 1 299.374 0.771 20 30 CCEDMN CCc1n[nH]c(C(=O)N[C@@H]2CCN(CC#N)C2)c1Cl ZINC001014757721 650486044 /nfs/dbraw/zinc/48/60/44/650486044.db2.gz PPYOWMLFAHZNCS-MRVPVSSYSA-N 0 1 281.747 0.953 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@H]1CCN(CC=C)C1 ZINC001014768283 650487232 /nfs/dbraw/zinc/48/72/32/650487232.db2.gz AZQSENCAFQKQGU-KBPBESRZSA-N 0 1 261.369 0.461 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccnc(C)n2)C1 ZINC001016283586 639349892 /nfs/dbraw/zinc/34/98/92/639349892.db2.gz MLRYQHUAVFYYDT-GFCCVEGCSA-N 0 1 258.325 0.612 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001014828256 650492135 /nfs/dbraw/zinc/49/21/35/650492135.db2.gz HLKGWAOPAKOQIQ-NSHDSACASA-N 0 1 260.297 0.010 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCC(=O)NC2)CC1 ZINC001003228450 639518753 /nfs/dbraw/zinc/51/87/53/639518753.db2.gz XDAMNSVDDJRICQ-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC(NC(=O)CC2=CCOCC2)CC1 ZINC001003565344 639568811 /nfs/dbraw/zinc/56/88/11/639568811.db2.gz ZMUGTXNJOVGLTH-UHFFFAOYSA-N 0 1 262.353 0.937 20 30 CCEDMN N#CCCNC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000922228994 639588994 /nfs/dbraw/zinc/58/89/94/639588994.db2.gz PHRLDTDFYZVFLR-WDEREUQCSA-N 0 1 268.386 0.779 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2n[nH]c3c2CCC3)C1 ZINC001015076651 650526339 /nfs/dbraw/zinc/52/63/39/650526339.db2.gz IAFOABOPIXPRJK-JTQLQIEISA-N 0 1 260.341 0.889 20 30 CCEDMN Cc1cc(CNC[C@H](O)CS(C)(=O)=O)ccc1C#N ZINC000922823813 639795684 /nfs/dbraw/zinc/79/56/84/639795684.db2.gz NXUOTWXDNXEVBG-ZDUSSCGKSA-N 0 1 282.365 0.362 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn3cncc3c2)C1 ZINC001015118580 650529348 /nfs/dbraw/zinc/52/93/48/650529348.db2.gz IHLKGALTTQHVRT-CYBMUJFWSA-N 0 1 268.320 0.772 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2COCCO2)CC1 ZINC001005129872 639879100 /nfs/dbraw/zinc/87/91/00/639879100.db2.gz LRRULPDWBZIATF-CQSZACIVSA-N 0 1 280.368 0.348 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC001005173281 639883843 /nfs/dbraw/zinc/88/38/43/639883843.db2.gz FGNZWWOARHJMPZ-KGLIPLIRSA-N 0 1 292.379 0.742 20 30 CCEDMN Cc1ncc(C(=O)N(C)C2CCN(CC#N)CC2)[nH]1 ZINC001005186640 639887121 /nfs/dbraw/zinc/88/71/21/639887121.db2.gz FSWUKJRYCFDKIG-UHFFFAOYSA-N 0 1 261.329 0.778 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2cn(C)nc2C)CC1 ZINC001005513776 639914996 /nfs/dbraw/zinc/91/49/96/639914996.db2.gz WWDRTDGMWZGRBJ-UHFFFAOYSA-N 0 1 288.395 0.827 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@]2(C)CCNC(=O)C2)CC1 ZINC001005583654 639941785 /nfs/dbraw/zinc/94/17/85/639941785.db2.gz XOWWQYDCCAUMOH-INIZCTEOSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(OC)n(C)n2)C1 ZINC001015214527 650539906 /nfs/dbraw/zinc/53/99/06/650539906.db2.gz BDODALOIZSKAEE-LLVKDONJSA-N 0 1 276.340 0.256 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001015213990 650539930 /nfs/dbraw/zinc/53/99/30/650539930.db2.gz BNVSORZPPHMCQV-ZDUSSCGKSA-N 0 1 295.346 0.705 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001005852737 639992248 /nfs/dbraw/zinc/99/22/48/639992248.db2.gz QZFGEMRXWPKPOW-FICVDOATSA-N 0 1 276.380 0.825 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001015311304 650552373 /nfs/dbraw/zinc/55/23/73/650552373.db2.gz RHNODPLKEJAHAK-GFCCVEGCSA-N 0 1 261.325 0.706 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1n[nH]c(C2CC2)n1)[C@@H]1CCCO1 ZINC000838557794 640259591 /nfs/dbraw/zinc/25/95/91/640259591.db2.gz CDXJTXDCWOXMPS-MNOVXSKESA-N 0 1 289.339 0.662 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001015405274 650563316 /nfs/dbraw/zinc/56/33/16/650563316.db2.gz VFUUDSNSQPAGCO-NEPJUHHUSA-N 0 1 265.357 0.279 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)[C@@H]2CC2[N+](=O)[O-])nc1 ZINC000839517480 640317003 /nfs/dbraw/zinc/31/70/03/640317003.db2.gz RUSHOQZPDHTSIT-NXEZZACHSA-N 0 1 275.268 0.147 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)Cc2ccccc2C(N)=O)C1 ZINC001015488786 650572218 /nfs/dbraw/zinc/57/22/18/650572218.db2.gz RTWBVDJQAZRJDU-AWEZNQCLSA-N 0 1 299.374 0.542 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccccc2C(N)=O)C1 ZINC001015488786 650572219 /nfs/dbraw/zinc/57/22/19/650572219.db2.gz RTWBVDJQAZRJDU-AWEZNQCLSA-N 0 1 299.374 0.542 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001015572724 650582700 /nfs/dbraw/zinc/58/27/00/650582700.db2.gz HJRFNZHSQPVJQN-QMMMGPOBSA-N 0 1 253.327 0.839 20 30 CCEDMN O=S1(=O)CC[C@@H](NN=Cc2cc(C(F)(F)F)n[nH]2)C1 ZINC000799462461 640572736 /nfs/dbraw/zinc/57/27/36/640572736.db2.gz PHRKEQJMXQVJTF-ZCFIWIBFSA-N 0 1 296.274 0.539 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc3c2nnn3C)C1 ZINC001015618870 650594108 /nfs/dbraw/zinc/59/41/08/650594108.db2.gz BMODXPDBAPYVOG-SNVBAGLBSA-N 0 1 286.339 0.353 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2occc2CN(C)C)C1 ZINC001015624027 650595155 /nfs/dbraw/zinc/59/51/55/650595155.db2.gz GUICYTSRPOYIFL-CYBMUJFWSA-N 0 1 275.352 0.779 20 30 CCEDMN C[C@H](O)C[N@H+]1CCN(CCO[C@@H](C)C#N)[C@H](C)C1 ZINC000801943619 640678144 /nfs/dbraw/zinc/67/81/44/640678144.db2.gz BLKFYDQBLTYKSE-AGIUHOORSA-N 0 1 255.362 0.302 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(CCn3cncn3)C2)C1 ZINC001015677750 650606591 /nfs/dbraw/zinc/60/65/91/650606591.db2.gz ZPFXOXMJVQLYNN-CYBMUJFWSA-N 0 1 289.383 0.825 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001015677643 650606637 /nfs/dbraw/zinc/60/66/37/650606637.db2.gz UAAPUMRZIQNRBI-GFCCVEGCSA-N 0 1 273.336 0.213 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001015723264 650616527 /nfs/dbraw/zinc/61/65/27/650616527.db2.gz OZNYJGACWIORMZ-JHJVBQTASA-N 0 1 274.368 0.900 20 30 CCEDMN Cc1nn(C)c(Cl)c1C=Nn1c(=O)c(C)n[nH]c1=S ZINC000812489482 641004483 /nfs/dbraw/zinc/00/44/83/641004483.db2.gz SJSRIRPGRWASGK-UHFFFAOYSA-N 0 1 298.759 0.813 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cn2nccc2C)C1 ZINC001015778990 650628033 /nfs/dbraw/zinc/62/80/33/650628033.db2.gz YCPHXYGCMBVDAC-CYBMUJFWSA-N 0 1 260.341 0.405 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(CC=C)nn2)C1 ZINC001015810297 650633509 /nfs/dbraw/zinc/63/35/09/650633509.db2.gz FKXAQVZSNXNWTP-GFCCVEGCSA-N 0 1 273.340 0.292 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001015834865 650636524 /nfs/dbraw/zinc/63/65/24/650636524.db2.gz MMPLDUKHZMPGSF-QWRGUYRKSA-N 0 1 251.330 0.032 20 30 CCEDMN N#CC1(NC(=O)C[N@@H+]2CC[C@@H](C(=O)[O-])C2)CCCCC1 ZINC000819084116 641378605 /nfs/dbraw/zinc/37/86/05/641378605.db2.gz AWJPKRGCZWWAND-LLVKDONJSA-N 0 1 279.340 0.736 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)N2CC[C@@H](c3nc[nH]n3)C2)cc1 ZINC000819725288 641426735 /nfs/dbraw/zinc/42/67/35/641426735.db2.gz VQUXCKLAOUCTEE-OLZOCXBDSA-N 0 1 297.318 0.726 20 30 CCEDMN C[C@@H](CC(=O)C(C#N)C(=O)NC1CC1)n1cccn1 ZINC000819945669 641442634 /nfs/dbraw/zinc/44/26/34/641442634.db2.gz WTNHYHFGXUQYDT-GXSJLCMTSA-N 0 1 260.297 0.822 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001015956295 650662443 /nfs/dbraw/zinc/66/24/43/650662443.db2.gz BAKRZWFSURPPCU-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001015958017 650664299 /nfs/dbraw/zinc/66/42/99/650664299.db2.gz GDAZUVLTEMZAJQ-QWRGUYRKSA-N 0 1 273.340 0.229 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001015989143 650671185 /nfs/dbraw/zinc/67/11/85/650671185.db2.gz MWBCXLYEPYXZNK-NEPJUHHUSA-N 0 1 287.367 0.123 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccc(C#C)cn2)C1 ZINC001016028461 650676556 /nfs/dbraw/zinc/67/65/56/650676556.db2.gz YKQZJGJKXMYXER-CQSZACIVSA-N 0 1 267.332 0.890 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc3nnn(C)c3c2)C1 ZINC001016101077 650682027 /nfs/dbraw/zinc/68/20/27/650682027.db2.gz NFKLTYXYTQFMNV-GFCCVEGCSA-N 0 1 285.351 0.958 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC001016112747 650683708 /nfs/dbraw/zinc/68/37/08/650683708.db2.gz FJKORLMMMVZESS-LBPRGKRZSA-N 0 1 260.341 0.474 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@H]2CN(C(C)=O)CCO2)C1 ZINC001016143206 650689681 /nfs/dbraw/zinc/68/96/81/650689681.db2.gz AEFPMZRHYVVLIW-KBPBESRZSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(CCOC)c2)C1 ZINC001016125299 650684855 /nfs/dbraw/zinc/68/48/55/650684855.db2.gz APCNXZQEDLESPQ-CYBMUJFWSA-N 0 1 278.356 0.520 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001016230898 650700968 /nfs/dbraw/zinc/70/09/68/650700968.db2.gz VVCBDNHTYZIGGT-LLVKDONJSA-N 0 1 271.324 0.948 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001016350750 650720708 /nfs/dbraw/zinc/72/07/08/650720708.db2.gz YMCYLDJBLSARRV-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(C(N)=O)cs2)C1 ZINC001016347866 650721196 /nfs/dbraw/zinc/72/11/96/650721196.db2.gz KTACZELVDPMKNA-NSHDSACASA-N 0 1 291.376 0.674 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829242672 642153576 /nfs/dbraw/zinc/15/35/76/642153576.db2.gz VWXCHILMBJPENO-OAHLLOKOSA-N 0 1 296.411 0.884 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@H](NC(=O)CCc1c[nH]nn1)C2 ZINC000829486261 642166747 /nfs/dbraw/zinc/16/67/47/642166747.db2.gz UKJCZXKEFBPDIB-CQSZACIVSA-N 0 1 281.319 0.893 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@H](NC(=O)CCc1cnn[nH]1)C2 ZINC000829486261 642166754 /nfs/dbraw/zinc/16/67/54/642166754.db2.gz UKJCZXKEFBPDIB-CQSZACIVSA-N 0 1 281.319 0.893 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccn(C2CCCC2)n1 ZINC000834479717 642573013 /nfs/dbraw/zinc/57/30/13/642573013.db2.gz HWQDASVZFKWFDL-MFKMUULPSA-N 0 1 273.340 0.840 20 30 CCEDMN Cc1c(CC(=O)N[C@H]2CNC[C@H]2C#N)cccc1[N+](=O)[O-] ZINC000834480501 642573047 /nfs/dbraw/zinc/57/30/47/642573047.db2.gz QYGMUDQRAKBEPD-NEPJUHHUSA-N 0 1 288.307 0.674 20 30 CCEDMN Cn1nc(CC(=O)N[C@@H]2CNC[C@H]2C#N)c2ccccc21 ZINC000834484160 642574691 /nfs/dbraw/zinc/57/46/91/642574691.db2.gz FJWKNBIWTSNGDW-ZWNOBZJWSA-N 0 1 283.335 0.344 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccncc1Cl ZINC000834481041 642575104 /nfs/dbraw/zinc/57/51/04/642575104.db2.gz ZLPFQGTZUCYDAW-XCBNKYQSSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1coc(=O)c2ccccc12 ZINC000834485052 642576023 /nfs/dbraw/zinc/57/60/23/642576023.db2.gz RCDPYPZNOAOAJK-NOZJJQNGSA-N 0 1 283.287 0.634 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ncn(-c2ccccc2)n1 ZINC000834484811 642576634 /nfs/dbraw/zinc/57/66/34/642576634.db2.gz NMNGOEPMHZZXDD-JQWIXIFHSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CSCc1ccccn1 ZINC000834488832 642578435 /nfs/dbraw/zinc/57/84/35/642578435.db2.gz HBMKGLWXWAHJSR-CMPLNLGQSA-N 0 1 276.365 0.543 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccnc(-n2ccnc2)c1 ZINC000834490099 642580498 /nfs/dbraw/zinc/58/04/98/642580498.db2.gz RVGJGZRTVHVSHB-RYUDHWBXSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc2c1OCO2 ZINC000834490962 642581452 /nfs/dbraw/zinc/58/14/52/642581452.db2.gz YQIWSHJVTKSMLT-PSASIEDQSA-N 0 1 259.265 0.257 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)nn1C(C)(C)C ZINC000834490313 642582141 /nfs/dbraw/zinc/58/21/41/642582141.db2.gz UEBAETZOEGXNSL-PWSUYJOCSA-N 0 1 275.356 0.788 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCNC(=O)C1CCCCC1 ZINC000834493537 642584082 /nfs/dbraw/zinc/58/40/82/642584082.db2.gz FGHTZJWGRAOMHM-CHWSQXEVSA-N 0 1 292.383 0.301 20 30 CCEDMN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834494584 642584658 /nfs/dbraw/zinc/58/46/58/642584658.db2.gz OGZOTKQEGSZRPH-SKDRFNHKSA-N 0 1 274.280 0.745 20 30 CCEDMN C[C@H](CC(=O)N[C@H]1CNC[C@@H]1C#N)NC(=O)C1CCCC1 ZINC000834495403 642584722 /nfs/dbraw/zinc/58/47/22/642584722.db2.gz VJBDBLCIYHYEBO-WXHSDQCUSA-N 0 1 292.383 0.299 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCn1cnc2ccccc21 ZINC000834498786 642586837 /nfs/dbraw/zinc/58/68/37/642586837.db2.gz BPACVHGHXBJARI-AAEUAGOBSA-N 0 1 283.335 0.654 20 30 CCEDMN Cc1nc(C2CC2)oc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834499075 642587116 /nfs/dbraw/zinc/58/71/16/642587116.db2.gz FBHGUVWBLIGBBS-NXEZZACHSA-N 0 1 260.297 0.702 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1scnc1Cl ZINC000834499068 642587681 /nfs/dbraw/zinc/58/76/81/642587681.db2.gz DZKDBFCGEIFKPI-PHDIDXHHSA-N 0 1 256.718 0.638 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000834505059 642591284 /nfs/dbraw/zinc/59/12/84/642591284.db2.gz HIECQTMJKBFQAF-PSASIEDQSA-N 0 1 260.253 0.436 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(F)cc(F)c1 ZINC000834504800 642592126 /nfs/dbraw/zinc/59/21/26/642592126.db2.gz FJSJFRRNAIXRTJ-GZMMTYOYSA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(Cc2ccccc2)n[nH]1 ZINC000834507102 642593926 /nfs/dbraw/zinc/59/39/26/642593926.db2.gz YDFLCDSLAZPXER-IUODEOHRSA-N 0 1 295.346 0.842 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000834506638 642594284 /nfs/dbraw/zinc/59/42/84/642594284.db2.gz UOKXPTXXDRVGSC-ONGXEEELSA-N 0 1 260.253 0.436 20 30 CCEDMN Cc1nc(C)c(C(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000834506802 642594385 /nfs/dbraw/zinc/59/43/85/642594385.db2.gz WCCAXQXLKYBWOQ-IUCAKERBSA-N 0 1 250.327 0.601 20 30 CCEDMN Cc1nn(C)c2nc(C)c(C(=O)N[C@H]3CNC[C@@H]3C#N)cc12 ZINC000834516063 642598987 /nfs/dbraw/zinc/59/89/87/642598987.db2.gz FEGDCCJADYJROC-GWCFXTLKSA-N 0 1 298.350 0.427 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)CCO2 ZINC000834517598 642600941 /nfs/dbraw/zinc/60/09/41/642600941.db2.gz XMABIXYFBOJDKD-NWDGAFQWSA-N 0 1 257.293 0.463 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(Cn2cccn2)o1 ZINC000834521400 642601866 /nfs/dbraw/zinc/60/18/66/642601866.db2.gz HVWCSXMBOYCRHB-PWSUYJOCSA-N 0 1 285.307 0.366 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1Cc2ccccc2S1 ZINC000834517688 642601994 /nfs/dbraw/zinc/60/19/94/642601994.db2.gz YEWCAXYVNJQNIE-WZRBSPASSA-N 0 1 273.361 0.931 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1cc2ccccc2[nH]c1=O ZINC000834521772 642602407 /nfs/dbraw/zinc/60/24/07/642602407.db2.gz SNIYROFJTKQHJG-OCCSQVGLSA-N 0 1 296.330 0.711 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccc(-n2nccn2)cc1 ZINC000841046944 642801075 /nfs/dbraw/zinc/80/10/75/642801075.db2.gz HQRAKZONDVYOQT-GWCFXTLKSA-N 0 1 297.322 0.500 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1nc(-c2ccccc2)no1 ZINC000841191109 642806566 /nfs/dbraw/zinc/80/65/66/642806566.db2.gz UIHTYEJTMZLEPD-GHMZBOCLSA-N 0 1 298.306 0.970 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccc(Cl)cn1 ZINC000841195710 642807182 /nfs/dbraw/zinc/80/71/82/642807182.db2.gz CGLKGUMABIMLQR-VXNVDRBHSA-N 0 1 265.704 0.968 20 30 CCEDMN CO[C@H](C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017420624 650801119 /nfs/dbraw/zinc/80/11/19/650801119.db2.gz AQKDHHATMKHJQQ-QNWHQSFQSA-N 0 1 265.357 0.856 20 30 CCEDMN C[C@@H](O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C#N)[nH]1 ZINC001017484141 650804981 /nfs/dbraw/zinc/80/49/81/650804981.db2.gz YTUHBPVXNUKXPT-RTXFEEFZSA-N 0 1 288.351 0.556 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCNC(=O)C1 ZINC001017472905 650804170 /nfs/dbraw/zinc/80/41/70/650804170.db2.gz ILUXVYCBWLGAKA-MCIONIFRSA-N 0 1 289.379 0.211 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cn1ccc(C(F)(F)F)n1 ZINC000843459712 643063090 /nfs/dbraw/zinc/06/30/90/643063090.db2.gz GRFRWUMEALJVCU-SFYZADRCSA-N 0 1 287.245 0.130 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc(NC(N)=O)c1 ZINC000843460353 643064736 /nfs/dbraw/zinc/06/47/36/643064736.db2.gz HJGQFXVEAKLEGE-MWLCHTKSSA-N 0 1 273.296 0.019 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(NC(N)=O)cc1 ZINC000843462410 643066072 /nfs/dbraw/zinc/06/60/72/643066072.db2.gz QDEWHQQNZULAGJ-KOLCDFICSA-N 0 1 273.296 0.019 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCOc1ccccc1 ZINC000843462320 643066420 /nfs/dbraw/zinc/06/64/20/643066420.db2.gz PMKHFCBVATYMQE-WCQYABFASA-N 0 1 259.309 0.683 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCSCc1ccccn1 ZINC000844195055 643177967 /nfs/dbraw/zinc/17/79/67/643177967.db2.gz PMRMSAXUXQAEER-DGCLKSJQSA-N 0 1 290.392 0.933 20 30 CCEDMN Cc1cc(C)n([C@H](C)CC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000844195685 643179310 /nfs/dbraw/zinc/17/93/10/643179310.db2.gz XZLFIWNSLAMQOS-UPJWGTAASA-N 0 1 275.356 0.679 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001018169883 650847015 /nfs/dbraw/zinc/84/70/15/650847015.db2.gz OJWACYDYZZCWKT-UPJWGTAASA-N 0 1 299.378 0.218 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001018169883 650847018 /nfs/dbraw/zinc/84/70/18/650847018.db2.gz OJWACYDYZZCWKT-UPJWGTAASA-N 0 1 299.378 0.218 20 30 CCEDMN Cc1nn(C(C)C)cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000848419471 643634030 /nfs/dbraw/zinc/63/40/30/643634030.db2.gz VIDAOWJOUTZILN-CMPLNLGQSA-N 0 1 261.329 0.614 20 30 CCEDMN N#Cc1nccc(N2CCN(CCCCO)CC2)n1 ZINC000848667136 643665260 /nfs/dbraw/zinc/66/52/60/643665260.db2.gz GTVYLBKPDLNIQB-UHFFFAOYSA-N 0 1 261.329 0.243 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2=COCCO2)C1 ZINC001018454950 650865994 /nfs/dbraw/zinc/86/59/94/650865994.db2.gz PGICHZBONINJKH-JTQLQIEISA-N 0 1 272.732 0.818 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccc2c(c1)CCNC2=O ZINC000850754127 643811454 /nfs/dbraw/zinc/81/14/54/643811454.db2.gz JRMRKXZUAQFUNM-ZWNOBZJWSA-N 0 1 299.334 0.206 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@@H](N2CCOC2=O)C1 ZINC000852438192 643965675 /nfs/dbraw/zinc/96/56/75/643965675.db2.gz NMUIGDAJINHOAV-GHMZBOCLSA-N 0 1 253.302 0.442 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnn2cccc(Cl)c12 ZINC000852828362 644014279 /nfs/dbraw/zinc/01/42/79/644014279.db2.gz WSVMJHGIZJLCEZ-LDYMZIIASA-N 0 1 289.726 0.829 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001018708078 650899625 /nfs/dbraw/zinc/89/96/25/650899625.db2.gz XXPFFVGJWSLNNN-MNOVXSKESA-N 0 1 285.775 0.456 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2nnc3ccccc3c2O)C1 ZINC001019455613 650974767 /nfs/dbraw/zinc/97/47/67/650974767.db2.gz CGFWNQWBUYIFTI-JTQLQIEISA-N 0 1 297.318 0.663 20 30 CCEDMN N#C[C@@]1(C(=O)N[C@H](CO)CN2CCCCC2)CCCOC1 ZINC000868243129 645735606 /nfs/dbraw/zinc/73/56/06/645735606.db2.gz FZKVZPKHZJQZQM-ZFWWWQNUSA-N 0 1 295.383 0.270 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@H]1C[C@H](NCC#N)C1 ZINC001020300909 651057745 /nfs/dbraw/zinc/05/77/45/651057745.db2.gz IFTRFBWOLJLJMU-IJLUTSLNSA-N 0 1 250.346 0.231 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(C(F)(F)F)ncn1 ZINC000870941320 646055311 /nfs/dbraw/zinc/05/53/11/646055311.db2.gz USVIHIPTFNJLOJ-POYBYMJQSA-N 0 1 285.229 0.337 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@H](NC(=O)[C@H]1CNCCO1)C2 ZINC000871012161 646076816 /nfs/dbraw/zinc/07/68/16/646076816.db2.gz JYYLBKWHQUFMIK-ZIAGYGMSSA-N 0 1 271.320 0.130 20 30 CCEDMN Cc1cccc(C#N)c1S(=O)(=O)NCCN(C)C ZINC000872429756 646259680 /nfs/dbraw/zinc/25/96/80/646259680.db2.gz JCIPXWPODPGOGK-UHFFFAOYSA-N 0 1 267.354 0.707 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1([C@@H]2CCCCO2)CCC1 ZINC000876801303 646667675 /nfs/dbraw/zinc/66/76/75/646667675.db2.gz ASYKMHPLFFRNAY-UPJWGTAASA-N 0 1 277.368 0.954 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccccc1-c1cn[nH]c1 ZINC000876801412 646669076 /nfs/dbraw/zinc/66/90/76/646669076.db2.gz BXHMJCMXNJHJAG-HZMBPMFUSA-N 0 1 281.319 0.918 20 30 CCEDMN N#Cc1cnc(Cl)c(CNCCOCCO)c1 ZINC000876890057 646690762 /nfs/dbraw/zinc/69/07/62/646690762.db2.gz VVLCTGWCMHQQMX-UHFFFAOYSA-N 0 1 255.705 0.705 20 30 CCEDMN N#Cc1cnc(Cl)c(CN2CC(N3CC[C@@H](O)C3)C2)c1 ZINC000877612420 646828041 /nfs/dbraw/zinc/82/80/41/646828041.db2.gz PTTLAOFTKPZCLD-CYBMUJFWSA-N 0 1 292.770 0.857 20 30 CCEDMN Cc1nc(CN2CCN(Cc3ccc(C#N)o3)CC2)n[nH]1 ZINC000878292062 646888438 /nfs/dbraw/zinc/88/84/38/646888438.db2.gz FPJXXMFYRUFBQI-UHFFFAOYSA-N 0 1 286.339 0.896 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H](O)CC2(O)CCCC2)C1 ZINC000878761431 646974948 /nfs/dbraw/zinc/97/49/48/646974948.db2.gz LHPJGQPJZIWVLZ-JSGCOSHPSA-N 0 1 268.357 0.393 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CC2(O)CCCC2)C[C@@H](C)N1CC#N ZINC000878771209 646976283 /nfs/dbraw/zinc/97/62/83/646976283.db2.gz GDBBKMLAEGSNHY-RBSFLKMASA-N 0 1 295.427 0.961 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCOC[C@@H]1C#N ZINC000878975049 647005127 /nfs/dbraw/zinc/00/51/27/647005127.db2.gz WORQAGHDEAWYQP-GBIKHYSHSA-N 0 1 274.324 0.827 20 30 CCEDMN C[C@@H](C(=O)NC(N)=O)N(C)CCc1cccc(C#N)c1 ZINC000879434461 647058308 /nfs/dbraw/zinc/05/83/08/647058308.db2.gz JGWKMSPVYLDXAE-JTQLQIEISA-N 0 1 274.324 0.616 20 30 CCEDMN N#Cc1cccc(OCCN2CC[C@@H](O)[C@@H](O)C2)c1 ZINC000879612560 647083552 /nfs/dbraw/zinc/08/35/52/647083552.db2.gz FCQBWCBJBGGQNV-KGLIPLIRSA-N 0 1 262.309 0.365 20 30 CCEDMN C[C@@H](NCC(=O)N[C@](C)(C#N)C1CC1)c1ccnn1C ZINC000879683160 647092421 /nfs/dbraw/zinc/09/24/21/647092421.db2.gz NBEPPTHZDFXHNQ-QMTHXVAHSA-N 0 1 275.356 0.879 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000880455728 647203226 /nfs/dbraw/zinc/20/32/26/647203226.db2.gz BHBMMCGAKWJGGQ-DTORHVGOSA-N 0 1 262.317 0.172 20 30 CCEDMN C#CC1(NC(=O)CN2CCN(CC)CC2)CCCC1 ZINC000882534424 647415553 /nfs/dbraw/zinc/41/55/53/647415553.db2.gz MOPSOIWVXCJVOQ-UHFFFAOYSA-N 0 1 263.385 0.686 20 30 CCEDMN C#CC1(NS(=O)(=O)c2cc(C(=O)OC)no2)CCCC1 ZINC000882776417 647443522 /nfs/dbraw/zinc/44/35/22/647443522.db2.gz XEQDZTIPFZHGHW-UHFFFAOYSA-N 0 1 298.320 0.686 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C1C[C@H]2CC[C@@H](C1)S2 ZINC000884249785 647680844 /nfs/dbraw/zinc/68/08/44/647680844.db2.gz RENXMPUTAABLFJ-YNNRYLGISA-N 0 1 265.382 0.888 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C[C@@H]1NC(=O)c2ccccc21 ZINC000884250910 647682224 /nfs/dbraw/zinc/68/22/24/647682224.db2.gz RIXUYFXGYOVFPY-JIMOISOXSA-N 0 1 284.319 0.089 20 30 CCEDMN N#CCC(=O)N1CCN(C[C@@H]2C[C@]23CCOC3)CC1 ZINC000886230019 647925304 /nfs/dbraw/zinc/92/53/04/647925304.db2.gz ZNSMXXFUUWPNGY-JSGCOSHPSA-N 0 1 263.341 0.471 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)[C@H]2CCCc3[nH]ncc32)CCO1 ZINC000887679089 648139736 /nfs/dbraw/zinc/13/97/36/648139736.db2.gz QKLMLNVYMJULSV-IINYFYTJSA-N 0 1 274.324 0.971 20 30 CCEDMN CN(C[C@H](O)CC#N)C[C@H](O)COCc1ccccc1 ZINC000929627089 648770410 /nfs/dbraw/zinc/77/04/10/648770410.db2.gz GJTPEPMYQAMJMW-CABCVRRESA-N 0 1 278.352 0.770 20 30 CCEDMN C[C@@H](O)[C@@H](O)CN1CCC(C#N)(c2ccccn2)CC1 ZINC000930333522 648850844 /nfs/dbraw/zinc/85/08/44/648850844.db2.gz OWVWTPSAYXGRPV-OLZOCXBDSA-N 0 1 275.352 0.680 20 30 CCEDMN Cc1nc2ccc(NC(=O)NCC#CCN(C)C)cn2n1 ZINC000931247305 648970291 /nfs/dbraw/zinc/97/02/91/648970291.db2.gz IIKZYAIWHQASPE-UHFFFAOYSA-N 0 1 286.339 0.724 20 30 CCEDMN N#CC[C@@H](NC(=O)NCCN1CC[C@@H](O)C1)C(F)(F)F ZINC000932893766 649098750 /nfs/dbraw/zinc/09/87/50/649098750.db2.gz CWHMDCAVPOEHPZ-RKDXNWHRSA-N 0 1 294.277 0.197 20 30 CCEDMN C#CCCCC(=O)N1CCN([C@H](CC)CO)CC1 ZINC000192181239 649500579 /nfs/dbraw/zinc/50/05/79/649500579.db2.gz UYQWDNPNCCWZSS-CYBMUJFWSA-N 0 1 252.358 0.705 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2[nH]cnc2C)CC1 ZINC001006483338 649571031 /nfs/dbraw/zinc/57/10/31/649571031.db2.gz VSVZWXRGMLEWKL-UHFFFAOYSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H](O)C2CC2)CC1 ZINC000304932065 649840489 /nfs/dbraw/zinc/84/04/89/649840489.db2.gz DLNMVRZIJMYYKM-AWEZNQCLSA-N 0 1 264.369 0.561 20 30 CCEDMN CN1CCO[C@H]2CN(c3nnc(Cl)cc3C#N)C[C@H]21 ZINC001164672671 719381415 /nfs/dbraw/zinc/38/14/15/719381415.db2.gz ZXQZFWGXMFHOTG-ZJUUUORDSA-N 0 1 279.731 0.521 20 30 CCEDMN CN1CCO[C@@H]2CN(c3[nH]ccc4ncc(C#N)c3-4)C[C@H]21 ZINC001164666492 719422480 /nfs/dbraw/zinc/42/24/80/719422480.db2.gz DEQDYQPCVAGNTA-CHWSQXEVSA-N 0 1 283.335 0.954 20 30 CCEDMN CN1CCN(C2CN(Cc3cncc(C#N)c3)C2)CC1 ZINC000721536216 805578505 /nfs/dbraw/zinc/57/85/05/805578505.db2.gz SJPZUVNBXDQPNL-UHFFFAOYSA-N 0 1 271.368 0.385 20 30 CCEDMN COCC#CCN1CCC[C@@]2(CCN(C(=O)COC)C2)C1 ZINC001277449166 805585728 /nfs/dbraw/zinc/58/57/28/805585728.db2.gz GTUGQCYNPOEHRP-MRXNPFEDSA-N 0 1 294.395 0.597 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@@H]1CCOC[C@@H]1OC ZINC001266280380 790390164 /nfs/dbraw/zinc/39/01/64/790390164.db2.gz CMPYXNKTBLRRHJ-KBPBESRZSA-N 0 1 282.384 0.499 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@H]2CCCOC2)CC1 ZINC001266281333 790391741 /nfs/dbraw/zinc/39/17/41/790391741.db2.gz LTPHNCXETKUNKA-OAHLLOKOSA-N 0 1 295.427 0.723 20 30 CCEDMN C=CCN1CCN(CCNC(=O)COC(C)C)CC1 ZINC001266281461 790392268 /nfs/dbraw/zinc/39/22/68/790392268.db2.gz PGRSXOCJIAVEQK-UHFFFAOYSA-N 0 1 269.389 0.331 20 30 CCEDMN COCC#CCN(C)CCNC(=O)Cc1cnccc1C ZINC001266284732 790403791 /nfs/dbraw/zinc/40/37/91/790403791.db2.gz JILATZIXGIGLTR-UHFFFAOYSA-N 0 1 289.379 0.630 20 30 CCEDMN C#Cc1ccc(C(=O)NCC[N@H+](C)CC#CCOC)cc1 ZINC001266306430 790477717 /nfs/dbraw/zinc/47/77/17/790477717.db2.gz RQPLYCDKVXOTAR-UHFFFAOYSA-N 0 1 284.359 0.979 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN(C)CC#CCOC)cc1 ZINC001266306430 790477723 /nfs/dbraw/zinc/47/77/23/790477723.db2.gz RQPLYCDKVXOTAR-UHFFFAOYSA-N 0 1 284.359 0.979 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H](OC)c1cnn(C)c1 ZINC001266304352 790473545 /nfs/dbraw/zinc/47/35/45/790473545.db2.gz RPTUQIXGVCHBOS-ZDUSSCGKSA-N 0 1 278.356 0.179 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001039049049 790478248 /nfs/dbraw/zinc/47/82/48/790478248.db2.gz DHWMFLCAQLBLIS-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@H]1SCC[C@H]1NC(=O)NCC#CCN(C)C ZINC001230650604 805640549 /nfs/dbraw/zinc/64/05/49/805640549.db2.gz XZDTUPJDSILDAF-GHMZBOCLSA-N 0 1 255.387 0.745 20 30 CCEDMN C#CCN(C)CCNC(=O)c1conc1COC ZINC001266348147 790560879 /nfs/dbraw/zinc/56/08/79/790560879.db2.gz XJWRYTFGRLWRSH-UHFFFAOYSA-N 0 1 251.286 0.116 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](OC)c1cnn(C)c1 ZINC001266406153 790647302 /nfs/dbraw/zinc/64/73/02/790647302.db2.gz WAJDYPVZYKTYPE-ZDUSSCGKSA-N 0 1 278.356 0.179 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001266428029 790683467 /nfs/dbraw/zinc/68/34/67/790683467.db2.gz IUOJGAVQUMOYBG-INIZCTEOSA-N 0 1 293.411 0.706 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)Cc1n[nH]c(C)n1 ZINC001266461888 790756748 /nfs/dbraw/zinc/75/67/48/790756748.db2.gz YPWGBGVRPOJXLR-GFCCVEGCSA-N 0 1 275.356 0.260 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)Cc1nnc(C)[nH]1 ZINC001266461888 790756768 /nfs/dbraw/zinc/75/67/68/790756768.db2.gz YPWGBGVRPOJXLR-GFCCVEGCSA-N 0 1 275.356 0.260 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCCCNC(N)=O ZINC001266471096 790764929 /nfs/dbraw/zinc/76/49/29/790764929.db2.gz IDXLVKCNPYRSKY-LBPRGKRZSA-N 0 1 280.372 0.039 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@]1(C)CCNC(=O)C1 ZINC001266468744 790762920 /nfs/dbraw/zinc/76/29/20/790762920.db2.gz XEEFSYMUUZOSFP-SWLSCSKDSA-N 0 1 279.384 0.669 20 30 CCEDMN CC#CCN(CCNC(=O)[C@@H]1COCCO1)C1CC1 ZINC001266583843 790982409 /nfs/dbraw/zinc/98/24/09/790982409.db2.gz LTXNIUQGPVETQM-ZDUSSCGKSA-N 0 1 266.341 0.006 20 30 CCEDMN Cc1nc(CN(CCNC(=O)C#CC(C)C)C2CC2)n[nH]1 ZINC001266590850 790993005 /nfs/dbraw/zinc/99/30/05/790993005.db2.gz RHVLJVNKSNINSV-UHFFFAOYSA-N 0 1 289.383 0.853 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)c1cnn(C)c1 ZINC001230714877 805655057 /nfs/dbraw/zinc/65/50/57/805655057.db2.gz LNWQRYZLSAZBLO-AWEZNQCLSA-N 0 1 292.383 0.769 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)c1ccnn1C ZINC001231070475 805720974 /nfs/dbraw/zinc/72/09/74/805720974.db2.gz NKIFWKDNDYTTEX-CYBMUJFWSA-N 0 1 292.383 0.769 20 30 CCEDMN C#CCN1CC[NH+](Cc2ccccc2OCC(=O)[O-])CC1 ZINC001231797475 805858085 /nfs/dbraw/zinc/85/80/85/805858085.db2.gz UWTLXIKLLXJULT-UHFFFAOYSA-N 0 1 288.347 0.901 20 30 CCEDMN N#C[C@H]1CN(Cc2cc(O)c(O)c(O)c2)CCC1=O ZINC001233014104 806007398 /nfs/dbraw/zinc/00/73/98/806007398.db2.gz ZGWFWVRULBFXAD-VIFPVBQESA-N 0 1 262.265 0.718 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCCN(CC(=O)N(C)C)C1 ZINC001266743614 791205195 /nfs/dbraw/zinc/20/51/95/791205195.db2.gz OYNIAPMBNQECQI-ZDUSSCGKSA-N 0 1 297.399 0.105 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H]3C[C@H]3C3CC3)[C@H]2C1 ZINC001083220220 791231965 /nfs/dbraw/zinc/23/19/65/791231965.db2.gz KPHXLUBVBDAMKO-XUWVNRHRSA-N 0 1 288.391 0.967 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1CCCCN1CCOCCO ZINC001266842346 791281882 /nfs/dbraw/zinc/28/18/82/791281882.db2.gz LUCXQVXVBCTHHO-OAHLLOKOSA-N 0 1 296.411 0.625 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)C[C@H]1CCNC1=O ZINC001266844315 791282474 /nfs/dbraw/zinc/28/24/74/791282474.db2.gz JEJRPHDDIBAPLH-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cn(C)nc3C)C[C@H]21 ZINC001041974806 791581890 /nfs/dbraw/zinc/58/18/90/791581890.db2.gz GSWLRGAPFQFEJL-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCC[C@@H](C)NCc1cnon1 ZINC001267145511 791590436 /nfs/dbraw/zinc/59/04/36/791590436.db2.gz REDGBLJUWGRSAG-RTXFEEFZSA-N 0 1 294.355 0.645 20 30 CCEDMN C#CCN(C)CCNC(=O)c1c(Cl)cnn1CC ZINC001267197372 791657610 /nfs/dbraw/zinc/65/76/10/791657610.db2.gz LSTBMYJFTWISTR-UHFFFAOYSA-N 0 1 268.748 0.851 20 30 CCEDMN C#CCN(C)CCNC(=O)COCc1ccnc(OC)c1 ZINC001267203582 791667316 /nfs/dbraw/zinc/66/73/16/791667316.db2.gz WGLVXGHRNYYWNU-UHFFFAOYSA-N 0 1 291.351 0.288 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1ncccc1OC ZINC001267213502 791681545 /nfs/dbraw/zinc/68/15/45/791681545.db2.gz OANFJLJMAWUZDQ-UHFFFAOYSA-N 0 1 261.325 0.314 20 30 CCEDMN C=CCCN(C)CCNC(=O)[C@@H]1CCCS1(=O)=O ZINC001267230734 791709329 /nfs/dbraw/zinc/70/93/29/791709329.db2.gz AJIRIYDTLCKINP-NSHDSACASA-N 0 1 274.386 0.188 20 30 CCEDMN C#CCNC(=O)CC(=O)N(C)C[C@H]1CCN1CC(=C)C ZINC001233809360 806124521 /nfs/dbraw/zinc/12/45/21/806124521.db2.gz IODSMQKDMCGHDN-CYBMUJFWSA-N 0 1 277.368 0.235 20 30 CCEDMN CN(CCCNC(=O)[C@H]1CC=CCC1)CC(=O)NCC#N ZINC001233834735 806128423 /nfs/dbraw/zinc/12/84/23/806128423.db2.gz BVXCURFVGFGHPP-ZDUSSCGKSA-N 0 1 292.383 0.421 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCn1ccnn1 ZINC001233907135 806145924 /nfs/dbraw/zinc/14/59/24/806145924.db2.gz OVEDIYKPAXAUCA-ZDUSSCGKSA-N 0 1 275.356 0.224 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C(C)C ZINC001234089734 806182450 /nfs/dbraw/zinc/18/24/50/806182450.db2.gz ZDCSXPXMJFKPAV-GFCCVEGCSA-N 0 1 267.373 0.218 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@@H](C)NC(N)=O ZINC001234276549 806214581 /nfs/dbraw/zinc/21/45/81/806214581.db2.gz PLPHDTTZDKTNAS-NEPJUHHUSA-N 0 1 282.388 0.542 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CO[C@H]1CCOC1 ZINC001234286394 806218481 /nfs/dbraw/zinc/21/84/81/806218481.db2.gz NCGMYRAZTBXPJG-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN CCN(CC#N)CCNC(=O)c1cncc2nc[nH]c21 ZINC001267241644 793229958 /nfs/dbraw/zinc/22/99/58/793229958.db2.gz FTJQADAYZAQSDI-UHFFFAOYSA-N 0 1 272.312 0.533 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccc2c(n1)OCCO2 ZINC001267245861 793235884 /nfs/dbraw/zinc/23/58/84/793235884.db2.gz UYZXZKIENCBYIR-UHFFFAOYSA-N 0 1 289.335 0.538 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001267255800 793252034 /nfs/dbraw/zinc/25/20/34/793252034.db2.gz DJUVOPWMFQWALP-LBPRGKRZSA-N 0 1 274.368 0.586 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H]1COc2ccccc2O1 ZINC001267254720 793252448 /nfs/dbraw/zinc/25/24/48/793252448.db2.gz AZVSWUBWZUUGHH-OAHLLOKOSA-N 0 1 288.347 0.898 20 30 CCEDMN N#Cc1ccc2nc(=O)[nH]c(OC[C@H]3COCO3)c2c1 ZINC001234529810 806267766 /nfs/dbraw/zinc/26/77/66/806267766.db2.gz GCYGWANFDKSDLL-SECBINFHSA-N 0 1 273.248 0.959 20 30 CCEDMN C#C[C@@H](Oc1nc(F)nc2nc[nH]c21)C(=O)OCC ZINC001234689275 806297951 /nfs/dbraw/zinc/29/79/51/806297951.db2.gz GHMLVICTOYTJNU-ZCFIWIBFSA-N 0 1 264.216 0.436 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCN(C)[C@@H](C)C(=O)NC ZINC001235229882 806391420 /nfs/dbraw/zinc/39/14/20/806391420.db2.gz QCAVMDVEILTVKC-STQMWFEESA-N 0 1 299.415 0.540 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H](CC)OC ZINC001235325485 806407072 /nfs/dbraw/zinc/40/70/72/806407072.db2.gz KKBSAFWPQAAQDX-LBPRGKRZSA-N 0 1 285.388 0.152 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)CCCCOC ZINC001235389104 806416897 /nfs/dbraw/zinc/41/68/97/806416897.db2.gz SEJRFKFXKFTWOA-UHFFFAOYSA-N 0 1 299.415 0.543 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)c1cocn1 ZINC001235755046 806499918 /nfs/dbraw/zinc/49/99/18/806499918.db2.gz APRPFSXVHVYECK-LLVKDONJSA-N 0 1 294.355 0.417 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](NCC2(C#N)CCC2)CN1 ZINC001246094561 807150900 /nfs/dbraw/zinc/15/09/00/807150900.db2.gz ZOMLZAYEOXUMRP-MNOVXSKESA-N 0 1 251.330 0.563 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C[C@H](C)c1c(C)n[nH]c1C ZINC001278105244 806675057 /nfs/dbraw/zinc/67/50/57/806675057.db2.gz HIKAPGYQSUSVLF-GWCFXTLKSA-N 0 1 292.383 0.220 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccnc(C2CC2)c1 ZINC001278104991 806675415 /nfs/dbraw/zinc/67/54/15/806675415.db2.gz DBYHRKJATKTOPV-ZDUSSCGKSA-N 0 1 273.336 0.273 20 30 CCEDMN C=CCN(C(=O)c1cnncc1O)[C@H](C)COC ZINC001120756786 798930370 /nfs/dbraw/zinc/93/03/70/798930370.db2.gz OOZLZFAUQNSURO-SECBINFHSA-N 0 1 251.286 0.845 20 30 CCEDMN C#Cc1ccc(NC[C@@]2(CO)COC[C@@H]3CCCN32)nc1 ZINC001120917075 798961590 /nfs/dbraw/zinc/96/15/90/798961590.db2.gz BBECWYHZPSOMPL-GOEBONIOSA-N 0 1 287.363 0.701 20 30 CCEDMN CON(C)c1nnc(-c2c[nH]c(=O)c(C#N)c2)n1C ZINC001121304493 799030388 /nfs/dbraw/zinc/03/03/88/799030388.db2.gz INNGPHMZYICTHI-UHFFFAOYSA-N 0 1 260.257 0.452 20 30 CCEDMN C=CCC1(O)CCN(c2nnc(-c3c[nH]nn3)n2C)CC1 ZINC001121373198 799037605 /nfs/dbraw/zinc/03/76/05/799037605.db2.gz AZCPOUTYQBXZTQ-UHFFFAOYSA-N 0 1 289.343 0.508 20 30 CCEDMN C#CCNCC(=O)NCCNC(=O)c1cccc(C)c1 ZINC001121851512 799077800 /nfs/dbraw/zinc/07/78/00/799077800.db2.gz NQDJFNBVVRIRHK-UHFFFAOYSA-N 0 1 273.336 0.064 20 30 CCEDMN C#CCNCC(=O)NCCCNC(=O)c1cccs1 ZINC001121864347 799078292 /nfs/dbraw/zinc/07/82/92/799078292.db2.gz AJTMIUAVIUUQQR-UHFFFAOYSA-N 0 1 279.365 0.207 20 30 CCEDMN C#CCNCC(=O)NCc1cn2ccc(C)cc2n1 ZINC001121910796 799089459 /nfs/dbraw/zinc/08/94/59/799089459.db2.gz NLIZBLLJNVYMNC-UHFFFAOYSA-N 0 1 256.309 0.482 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1ccc(OC)c(O)c1 ZINC001121932832 799099332 /nfs/dbraw/zinc/09/93/32/799099332.db2.gz LNCIVFJYHYUASW-UHFFFAOYSA-N 0 1 262.309 0.582 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)Cc1ccc(OC)c(OC)c1 ZINC001121951812 799106033 /nfs/dbraw/zinc/10/60/33/799106033.db2.gz VIPGOYFBXHTAAG-LBPRGKRZSA-N 0 1 290.363 0.974 20 30 CCEDMN C=C[C@@H](O)c1nnc(N2CCN(CC)CC2)n1CCOC ZINC001122337994 799203357 /nfs/dbraw/zinc/20/33/57/799203357.db2.gz WFDRRIKSROPMLK-GFCCVEGCSA-N 0 1 295.387 0.286 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(C)sn2)[C@@H](O)C1 ZINC001083432378 814933163 /nfs/dbraw/zinc/93/31/63/814933163.db2.gz MTYHTWDWMUYNKA-NEPJUHHUSA-N 0 1 281.381 0.803 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CN1CCCC1=O ZINC001123335123 799391524 /nfs/dbraw/zinc/39/15/24/799391524.db2.gz DRKKYRRDEPRGSR-UHFFFAOYSA-N 0 1 259.737 0.067 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)Cn1cccn1 ZINC001123514895 799426273 /nfs/dbraw/zinc/42/62/73/799426273.db2.gz IVHIZTCTMYCLEK-UHFFFAOYSA-N 0 1 283.335 0.661 20 30 CCEDMN C=CCOCCNC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001123544737 799430359 /nfs/dbraw/zinc/43/03/59/799430359.db2.gz PFXLHEAVUAOLLK-ZDUSSCGKSA-N 0 1 284.404 0.124 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccccc1OC ZINC001278187629 806749579 /nfs/dbraw/zinc/74/95/79/806749579.db2.gz VGOIVOHARFRDBS-LLVKDONJSA-N 0 1 262.309 0.009 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cn(C)nc1C(C)C ZINC001278194277 806756357 /nfs/dbraw/zinc/75/63/57/806756357.db2.gz HWVOGMSFTGIIOA-GFCCVEGCSA-N 0 1 292.383 0.247 20 30 CCEDMN C=CCOCC(=O)NCCNCc1snnc1C ZINC001124767474 799634001 /nfs/dbraw/zinc/63/40/01/799634001.db2.gz HGWUXPOCHGSGQO-UHFFFAOYSA-N 0 1 270.358 0.255 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(C[C@@H]2CCC(=O)N2)C1 ZINC001202911046 799800158 /nfs/dbraw/zinc/80/01/58/799800158.db2.gz ALDGBYMQILPZFQ-RYUDHWBXSA-N 0 1 281.356 0.642 20 30 CCEDMN N#C[C@H]1CN(Cc2cnc3c(c2)OCCO3)CCC1=O ZINC001139733554 799836569 /nfs/dbraw/zinc/83/65/69/799836569.db2.gz BLTZRUWWUFZSRN-NSHDSACASA-N 0 1 273.292 0.767 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2cnn(C)c2)C1 ZINC001149363645 800252114 /nfs/dbraw/zinc/25/21/14/800252114.db2.gz YZASFZGJCCPPAA-AWEZNQCLSA-N 0 1 292.383 0.356 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C[C@H]2CCOC2)C1 ZINC001149432456 800259620 /nfs/dbraw/zinc/25/96/20/800259620.db2.gz TUDOUANUPSOGNQ-CABCVRRESA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1CN(CC=C)CCCO1 ZINC001149701637 800290833 /nfs/dbraw/zinc/29/08/33/800290833.db2.gz UFMFLDDEDGYXRX-ZIAGYGMSSA-N 0 1 280.368 0.418 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C(C)(C)COC)C1 ZINC001149974035 800308442 /nfs/dbraw/zinc/30/84/42/800308442.db2.gz IPSIROVPMJOGHT-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC[C@H]1CN(CC#CC)CCCO1 ZINC001150810748 800368851 /nfs/dbraw/zinc/36/88/51/800368851.db2.gz HVTWHVUEXZNOIA-GJZGRUSLSA-N 0 1 294.395 0.808 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CCCC(N)=O)C1 ZINC001150818237 800370871 /nfs/dbraw/zinc/37/08/71/800370871.db2.gz OWVUYLBKSSOSSX-LBPRGKRZSA-N 0 1 283.372 0.035 20 30 CCEDMN Cc1nc(CNCCNC(=O)CC#Cc2ccccc2)n[nH]1 ZINC001151958910 800475263 /nfs/dbraw/zinc/47/52/63/800475263.db2.gz UUCNRYTXYVQBLW-UHFFFAOYSA-N 0 1 297.362 0.761 20 30 CCEDMN Cn1cc(NC(=O)c2cc3c[nH]cnc-3n2)c(C#N)n1 ZINC001152989671 800577812 /nfs/dbraw/zinc/57/78/12/800577812.db2.gz JKYLUCYWQVKQRS-UHFFFAOYSA-N 0 1 267.252 0.767 20 30 CCEDMN NC(=NC(=O)c1n[nH]c2cccnc21)c1nccs1 ZINC001153166680 800604212 /nfs/dbraw/zinc/60/42/12/800604212.db2.gz CXQGFPIAQDHMKG-UHFFFAOYSA-N 0 1 272.293 0.960 20 30 CCEDMN CC1(C)CCc2c(n[nH]c2C(=O)N[C@@H](C#N)C(N)=O)C1 ZINC001154506174 800790797 /nfs/dbraw/zinc/79/07/97/800790797.db2.gz CYHLREZCUGCOPZ-VIFPVBQESA-N 0 1 275.312 0.032 20 30 CCEDMN N#CCSCC(=O)NCCCNCc1ccon1 ZINC001154803040 800847679 /nfs/dbraw/zinc/84/76/79/800847679.db2.gz RSUBVCFFXBFSTC-UHFFFAOYSA-N 0 1 268.342 0.527 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2cnc(C#N)c(C)n2)CC1 ZINC001155160094 800921867 /nfs/dbraw/zinc/92/18/67/800921867.db2.gz PWBUNEJWWHLWEM-ZDUSSCGKSA-N 0 1 289.339 0.373 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1nnc2ccccn21 ZINC001155377488 800962351 /nfs/dbraw/zinc/96/23/51/800962351.db2.gz ZGYNAPRDIFTRMQ-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN C#CCCCCC(=O)NCCCNCc1ncnn1C ZINC001156811626 801239574 /nfs/dbraw/zinc/23/95/74/801239574.db2.gz HPNXAXHWCIBDJS-UHFFFAOYSA-N 0 1 277.372 0.605 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1nc(C#N)ccc1[N+](=O)[O-] ZINC001156829050 801243624 /nfs/dbraw/zinc/24/36/24/801243624.db2.gz HEDCBJWXADCZHV-UHFFFAOYSA-N 0 1 285.267 0.294 20 30 CCEDMN C#CCN(CC#C)c1cccc(N2CCOCC2)n1 ZINC001157562178 801419003 /nfs/dbraw/zinc/41/90/03/801419003.db2.gz DPYSHQAIZVGQFB-UHFFFAOYSA-N 0 1 255.321 0.991 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CN(C)C(C)=O ZINC001157573129 801424048 /nfs/dbraw/zinc/42/40/48/801424048.db2.gz IKFSNQJJTUZUJQ-CQSZACIVSA-N 0 1 279.384 0.411 20 30 CCEDMN CC(C)C#CC(=O)NCCCNCc1cn(C)nn1 ZINC001157639065 801443277 /nfs/dbraw/zinc/44/32/77/801443277.db2.gz WZWJSBBECKSUEB-UHFFFAOYSA-N 0 1 263.345 0.070 20 30 CCEDMN CN(CC#N)C[C@H]1CCCCN1C(=O)Cc1ccn[nH]1 ZINC001157728509 801464017 /nfs/dbraw/zinc/46/40/17/801464017.db2.gz DREYSYMTRZHRMK-CYBMUJFWSA-N 0 1 275.356 0.789 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1CC[C@@H](O)[C@H](F)C1 ZINC001157855794 801503616 /nfs/dbraw/zinc/50/36/16/801503616.db2.gz PRVNGXYEEQBVEK-HZSPNIEDSA-N 0 1 291.326 0.359 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NCCCNCc1cnon1 ZINC001158313843 801619707 /nfs/dbraw/zinc/61/97/07/801619707.db2.gz QJFJZLQKRPXFPG-OLZOCXBDSA-N 0 1 294.355 0.647 20 30 CCEDMN CN(C1CN(c2cncc(C#N)n2)C1)[C@H]1CCOC1 ZINC001158725224 801695706 /nfs/dbraw/zinc/69/57/06/801695706.db2.gz GRPFLOJLCGKZDQ-NSHDSACASA-N 0 1 259.313 0.258 20 30 CCEDMN N#Cc1c[nH]c2nc(NC[C@@H]3COCCN3)ccc12 ZINC001159044346 801750026 /nfs/dbraw/zinc/75/00/26/801750026.db2.gz VBVMQUIQPJXTOA-SNVBAGLBSA-N 0 1 257.297 0.835 20 30 CCEDMN C=CCNC(=O)c1cccnc1NC[C@@H]1COCCN1 ZINC001159048000 801751630 /nfs/dbraw/zinc/75/16/30/801751630.db2.gz XLJKSUWFOAEEIG-LLVKDONJSA-N 0 1 276.340 0.398 20 30 CCEDMN CCc1nc(CNC(=O)c2cnccc2C#N)n[nH]1 ZINC001159374138 801797639 /nfs/dbraw/zinc/79/76/39/801797639.db2.gz IWQXADQLDRLOHF-UHFFFAOYSA-N 0 1 256.269 0.564 20 30 CCEDMN C=C[C@H]1C[C@]1(Nc1ncc2c(n1)CNC2)C(=O)OCC ZINC001159801466 801873795 /nfs/dbraw/zinc/87/37/95/801873795.db2.gz KNPZJHAVRMOZBM-IINYFYTJSA-N 0 1 274.324 1.000 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001159811988 801874643 /nfs/dbraw/zinc/87/46/43/801874643.db2.gz UYXUNGDTROYCRL-AWEZNQCLSA-N 0 1 290.363 0.711 20 30 CCEDMN C#CC[NH2+]CCCNC(=O)c1[n-]cnc1C(F)(F)F ZINC001160003364 801897128 /nfs/dbraw/zinc/89/71/28/801897128.db2.gz LFRXMZOEHRENSZ-UHFFFAOYSA-N 0 1 274.246 0.771 20 30 CCEDMN CCCCN(CC#N)C(=O)[C@H](CC(C)C)NC(=O)CN ZINC001160731391 802012833 /nfs/dbraw/zinc/01/28/33/802012833.db2.gz VNUSAQUBYYXQOX-LBPRGKRZSA-N 0 1 282.388 0.628 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCc2nc(C3CC3)ncc2C1 ZINC001160835207 802024912 /nfs/dbraw/zinc/02/49/12/802024912.db2.gz PSXKHPKNQGAKTQ-HNNXBMFYSA-N 0 1 270.336 0.589 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@H](CC(=O)OC)C1 ZINC001160833456 802025025 /nfs/dbraw/zinc/02/50/25/802025025.db2.gz QLHKORATODEKQR-MFKMUULPSA-N 0 1 252.314 0.139 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)[C@H](N)Cc1cccc(O)c1 ZINC001160932847 802049434 /nfs/dbraw/zinc/04/94/34/802049434.db2.gz GHBFMEIDKPOBLA-DGCLKSJQSA-N 0 1 275.308 0.013 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2c[nH]c(=O)cn2)CC1 ZINC001161056267 802078211 /nfs/dbraw/zinc/07/82/11/802078211.db2.gz BKFUKEGOUXJCBG-UHFFFAOYSA-N 0 1 286.335 0.155 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cn2nccc2C)CC1 ZINC001161349975 802135099 /nfs/dbraw/zinc/13/50/99/802135099.db2.gz XGIQJORTPHVSES-UHFFFAOYSA-N 0 1 286.379 0.963 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cccc(CC#N)n1 ZINC001162537033 802363064 /nfs/dbraw/zinc/36/30/64/802363064.db2.gz DRSQXOYKZOKHAD-UHFFFAOYSA-N 0 1 285.307 0.969 20 30 CCEDMN CCOC(=O)C1CCC([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)CC1 ZINC001255254197 808336715 /nfs/dbraw/zinc/33/67/15/808336715.db2.gz JNQYKQOSQOAREC-XKGSQUFQSA-N 0 1 284.356 0.934 20 30 CCEDMN N#Cc1cc(NCc2nnc3n2CCCNC3)ncn1 ZINC001163011205 802455121 /nfs/dbraw/zinc/45/51/21/802455121.db2.gz FSSNROWFNQDVQI-UHFFFAOYSA-N 0 1 270.300 0.045 20 30 CCEDMN N#Cc1ncncc1NCc1nnc2n1CCCNC2 ZINC001163017556 802460904 /nfs/dbraw/zinc/46/09/04/802460904.db2.gz KCCOUKMRCZVUCA-UHFFFAOYSA-N 0 1 270.300 0.045 20 30 CCEDMN C=CCS(=O)(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC001259922236 808804697 /nfs/dbraw/zinc/80/46/97/808804697.db2.gz UUUCUWSROMNTLG-UHFFFAOYSA-N 0 1 296.396 0.761 20 30 CCEDMN Cc1nnc(CN)n1-c1ccc2c(C#N)c[nH]c2n1 ZINC001163348595 802541630 /nfs/dbraw/zinc/54/16/30/802541630.db2.gz IPWXVLFQJJVENW-UHFFFAOYSA-N 0 1 253.269 0.782 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCC1(CNCC#N)CC1 ZINC001163822180 802619128 /nfs/dbraw/zinc/61/91/28/802619128.db2.gz UTUHTCZBXSIIFP-LBPRGKRZSA-N 0 1 264.373 0.480 20 30 CCEDMN CC#CCCCC(=O)NCCCNCc1cnon1 ZINC001164244649 802696323 /nfs/dbraw/zinc/69/63/23/802696323.db2.gz KZTGCVLBIJDGRK-UHFFFAOYSA-N 0 1 264.329 0.859 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1ccsn1 ZINC001279738932 809995371 /nfs/dbraw/zinc/99/53/71/809995371.db2.gz ZTPGABIZFBKQBZ-UHFFFAOYSA-N 0 1 289.788 0.920 20 30 CCEDMN COCCCN1CCN(c2cncc(CC#N)n2)CC1 ZINC001165096032 802780100 /nfs/dbraw/zinc/78/01/00/802780100.db2.gz BIDFUZXJDQHGLL-UHFFFAOYSA-N 0 1 275.356 0.701 20 30 CCEDMN COc1ccnc(N2CC(N(C)[C@@H]3CCOC3)C2)c1C#N ZINC001165204099 802794444 /nfs/dbraw/zinc/79/44/44/802794444.db2.gz UCIZSNRDRXQVKE-LLVKDONJSA-N 0 1 288.351 0.871 20 30 CCEDMN N#Cc1ccc2ccnc(N3CCNC(=O)C34CNC4)c2c1 ZINC001165297508 802818250 /nfs/dbraw/zinc/81/82/50/802818250.db2.gz FSGDHWLEWVVBDY-UHFFFAOYSA-N 0 1 293.330 0.385 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1nccc(Cl)c1C#N ZINC001165436942 802841230 /nfs/dbraw/zinc/84/12/30/802841230.db2.gz MWQHFNIZFMERNF-SNVBAGLBSA-N 0 1 280.715 0.558 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1cc(C)c(C#N)cn1 ZINC001165438107 802842483 /nfs/dbraw/zinc/84/24/83/802842483.db2.gz CZPHKXVAUSCPNU-LLVKDONJSA-N 0 1 260.297 0.213 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1nc(OC)c(C#N)cc1F ZINC001165442799 802844430 /nfs/dbraw/zinc/84/44/30/802844430.db2.gz QQSWWODZMMVYCS-SNVBAGLBSA-N 0 1 294.286 0.052 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1ncc(Cl)cc1C#N ZINC001165442318 802845554 /nfs/dbraw/zinc/84/55/54/802845554.db2.gz MMVPKXLBHMYJNP-JTQLQIEISA-N 0 1 280.715 0.558 20 30 CCEDMN COCc1nn(-c2ncccc2C#N)c2c1CNC2 ZINC001165631794 802858016 /nfs/dbraw/zinc/85/80/16/802858016.db2.gz HIGXVKHQJOBHDL-UHFFFAOYSA-N 0 1 255.281 0.889 20 30 CCEDMN C#Cc1cncc(C(=O)NCCCNCc2nonc2C)c1 ZINC001166299586 802898828 /nfs/dbraw/zinc/89/88/28/802898828.db2.gz UDBIQUCYAZISJC-UHFFFAOYSA-N 0 1 299.334 0.664 20 30 CCEDMN N#CCNCC1CCN(C(=O)CCc2cnc[nH]2)CC1 ZINC001205432535 803140108 /nfs/dbraw/zinc/14/01/08/803140108.db2.gz DXQVYCZZQHAEGV-UHFFFAOYSA-N 0 1 275.356 0.694 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COCC2CC2)C1 ZINC001206225114 803217345 /nfs/dbraw/zinc/21/73/45/803217345.db2.gz JGULSEKCGUWRHS-TZMCWYRMSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCCOC)C1 ZINC001206253555 803220388 /nfs/dbraw/zinc/22/03/88/803220388.db2.gz QHBYCDXZZGMPTC-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](OC)C2CC2)C1 ZINC001206922740 803309216 /nfs/dbraw/zinc/30/92/16/803309216.db2.gz WWJLJPOAAXBWCL-BNOWGMLFSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)[C@H]1CC ZINC001087814227 814220518 /nfs/dbraw/zinc/22/05/18/814220518.db2.gz DRMZBZBQPFTIPF-MJBXVCDLSA-N 0 1 291.395 0.600 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCc2ccncn2)C1 ZINC001207230823 803370361 /nfs/dbraw/zinc/37/03/61/803370361.db2.gz DUAYQRKDAARYMG-UKRRQHHQSA-N 0 1 286.379 0.869 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@]2(C1)CN(CC)CCO2 ZINC001276539997 803417922 /nfs/dbraw/zinc/41/79/22/803417922.db2.gz ISWMDESMWHTPLC-OAHLLOKOSA-N 0 1 280.368 0.350 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COCc2ncc(C)o2)C1 ZINC001207933915 803468896 /nfs/dbraw/zinc/46/88/96/803468896.db2.gz VFXOLCQDIQWVOB-DGCLKSJQSA-N 0 1 291.351 0.569 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C2(COC)CC2)C1 ZINC001207996311 803477556 /nfs/dbraw/zinc/47/75/56/803477556.db2.gz JGMOAAZRGKTPKK-CHWSQXEVSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H](C)OC)C(C)(C)C1 ZINC001276561078 803495937 /nfs/dbraw/zinc/49/59/37/803495937.db2.gz JGALXNFRVOGDRG-NWDGAFQWSA-N 0 1 252.358 0.871 20 30 CCEDMN C=CCN(CCN1CC[C@@H](O)[C@H](CO)C1)C(=O)OCC ZINC001209015925 803563384 /nfs/dbraw/zinc/56/33/84/803563384.db2.gz BVSXYVKAKSTPGO-QWHCGFSZSA-N 0 1 286.372 0.306 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H]1CN(CC#N)C[C@H]1C ZINC001209327902 803595838 /nfs/dbraw/zinc/59/58/38/803595838.db2.gz SJMSNHZBCDSNJS-DMDPSCGWSA-N 0 1 275.356 0.548 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CCCC)NC(N)=O)C1 ZINC001211447827 803681571 /nfs/dbraw/zinc/68/15/71/803681571.db2.gz JNMFEUXJVBSRGQ-FRRDWIJNSA-N 0 1 294.399 0.283 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H](C)[C@H](NCc2ccn(C)n2)C1 ZINC001214331713 803735059 /nfs/dbraw/zinc/73/50/59/803735059.db2.gz NUXKMFDRKAOCJJ-TZMCWYRMSA-N 0 1 292.383 0.559 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H](CC)OCC)[C@H]2C1 ZINC001217451211 803890937 /nfs/dbraw/zinc/89/09/37/803890937.db2.gz VOAYOMRZTBBJKE-SOUVJXGZSA-N 0 1 294.395 0.736 20 30 CCEDMN CCN1C[C@H]2OCCN(C(=O)C#CC(C)C)[C@H]2C1 ZINC001217839052 803918853 /nfs/dbraw/zinc/91/88/53/803918853.db2.gz CKIXXCBQOQEXOV-QWHCGFSZSA-N 0 1 250.342 0.577 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCO[C@@H]2C[N@H+](C[C@H](C)O)C[C@@H]21 ZINC001218022660 803928844 /nfs/dbraw/zinc/92/88/44/803928844.db2.gz FSBVYFJKBBJMMB-MELADBBJSA-N 0 1 296.411 0.881 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCO[C@@H]2CN(C[C@H](C)O)C[C@@H]21 ZINC001218022660 803928851 /nfs/dbraw/zinc/92/88/51/803928851.db2.gz FSBVYFJKBBJMMB-MELADBBJSA-N 0 1 296.411 0.881 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCC=C)C[C@H]1OC ZINC001212022171 814979221 /nfs/dbraw/zinc/97/92/21/814979221.db2.gz CTOLMAXXIGWWMI-ZIAGYGMSSA-N 0 1 282.384 0.971 20 30 CCEDMN CC#CCCCC(=O)N1CCO[C@@H]2CN(CCOC)C[C@@H]21 ZINC001218503540 803970362 /nfs/dbraw/zinc/97/03/62/803970362.db2.gz JFCUNWKEYMEBLF-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN COCn1cc(NC(=O)[C@@H](N)Cc2ccccc2C#N)cn1 ZINC001218700907 804087285 /nfs/dbraw/zinc/08/72/85/804087285.db2.gz OYNZFQZTAZIOSM-AWEZNQCLSA-N 0 1 299.334 0.867 20 30 CCEDMN N=C(c1ccccc1N)N([O-])C(=O)[C@@H]1C[C@@H](F)CC[NH2+]1 ZINC001218965299 804166900 /nfs/dbraw/zinc/16/69/00/804166900.db2.gz JTBIKHZNKNEXLY-KWQFWETISA-N 0 1 280.303 0.902 20 30 CCEDMN COc1cccc(NC(=O)[C@@H]([NH3+])Cc2c[nH]cn2)c1C#N ZINC001218977993 804171871 /nfs/dbraw/zinc/17/18/71/804171871.db2.gz KJVBFGMEUNXCQL-NSHDSACASA-N 0 1 285.307 0.798 20 30 CCEDMN CC(C)=CCN1C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C1 ZINC001219381455 804291772 /nfs/dbraw/zinc/29/17/72/804291772.db2.gz SFGWQXJXPFINRJ-UTUOFQBUSA-N 0 1 251.330 0.274 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C(C)=C\C)[C@@H](O)C1 ZINC001219443195 804315264 /nfs/dbraw/zinc/31/52/64/804315264.db2.gz LDNRHIRFAOKSPU-JUPNIWIKSA-N 0 1 268.357 0.317 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CO[C@H](C)CC)[C@@H](O)C1 ZINC001219477772 804325747 /nfs/dbraw/zinc/32/57/47/804325747.db2.gz ATPISYORCZKMTF-UPJWGTAASA-N 0 1 270.373 0.539 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@@H]1O ZINC001219708871 804411710 /nfs/dbraw/zinc/41/17/10/804411710.db2.gz DYHRBVWQKLQSNR-XQQFMLRXSA-N 0 1 284.400 0.785 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(C(C)C)C[C@@H]2O)CCC1 ZINC001219785148 804423925 /nfs/dbraw/zinc/42/39/25/804423925.db2.gz FNRVFXJYHDVNDJ-OLZOCXBDSA-N 0 1 264.369 0.750 20 30 CCEDMN C#CCNC(=O)[C@H](N)Cc1ccnc2ccccc12 ZINC001219879448 804438111 /nfs/dbraw/zinc/43/81/11/804438111.db2.gz GJBZSZAKZPEFBL-CYBMUJFWSA-N 0 1 253.305 0.854 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccncc2C)[C@@H](O)C1 ZINC001083461327 815018765 /nfs/dbraw/zinc/01/87/65/815018765.db2.gz XECQMRQHVHHLNG-CABCVRRESA-N 0 1 289.379 0.670 20 30 CCEDMN CC(=O)OC[C@H](N)C(=O)NC(C)(C)c1ccc(C#N)cc1 ZINC001219987383 804466726 /nfs/dbraw/zinc/46/67/26/804466726.db2.gz MRQJWUXDLSAIOU-ZDUSSCGKSA-N 0 1 289.335 0.800 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)C=C)[C@@H](O)C1 ZINC001220142984 804511157 /nfs/dbraw/zinc/51/11/57/804511157.db2.gz POUTYMMMHZWZTC-NEPJUHHUSA-N 0 1 250.342 0.383 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cccc2cn(C)nc21 ZINC001220143621 804512697 /nfs/dbraw/zinc/51/26/97/804512697.db2.gz OYJXXXAXFKXCDX-AWEZNQCLSA-N 0 1 256.309 0.540 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CC)CCC2)[C@@H](O)C1 ZINC001220194157 804533513 /nfs/dbraw/zinc/53/35/13/804533513.db2.gz CTQLUXIRFIPSEC-OLZOCXBDSA-N 0 1 264.369 0.751 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@H](CO)Cc1ccccc1 ZINC001220406731 804597235 /nfs/dbraw/zinc/59/72/35/804597235.db2.gz WFIWZACUUGXDKR-HIFRSBDPSA-N 0 1 260.337 0.304 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H]2CC23CCC3)[C@@H](O)C1 ZINC001220429864 804602759 /nfs/dbraw/zinc/60/27/59/804602759.db2.gz BGYJQWAWRYBXCS-MCIONIFRSA-N 0 1 294.395 0.541 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cncnc1C(C)(C)F ZINC001220673519 804647682 /nfs/dbraw/zinc/64/76/82/804647682.db2.gz BHMULUPAFKYUHZ-ZDUSSCGKSA-N 0 1 264.304 0.648 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COc2ccsc2)[C@@H](O)C1 ZINC001220771641 804663291 /nfs/dbraw/zinc/66/32/91/804663291.db2.gz ARQLWRVQILCNAZ-OLZOCXBDSA-N 0 1 296.392 0.864 20 30 CCEDMN N#CC1(NC(=O)[C@@H](N)Cc2cccc(O)c2)CCOCC1 ZINC001220966874 804710130 /nfs/dbraw/zinc/71/01/30/804710130.db2.gz JKKOZFKAMPUIDT-ZDUSSCGKSA-N 0 1 289.335 0.451 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1C[N@@H+](CCCCC)C[C@@H]1O ZINC001221141747 804734109 /nfs/dbraw/zinc/73/41/09/804734109.db2.gz YHDKXXAHIZCUAH-KGLIPLIRSA-N 0 1 284.400 0.931 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CC(=C)C)C[C@@H]1O ZINC001221139840 804735767 /nfs/dbraw/zinc/73/57/67/804735767.db2.gz PRRMMGUCVDXLTK-OLZOCXBDSA-N 0 1 268.357 0.317 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H](CN1CCOCC1)C(C)(C)C ZINC001221358943 804786446 /nfs/dbraw/zinc/78/64/46/804786446.db2.gz SBLYYJOCICITCQ-DOMZBBRYSA-N 0 1 281.400 0.200 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@]1(C)CCOC1 ZINC001221957915 804855911 /nfs/dbraw/zinc/85/59/11/804855911.db2.gz LKNMHPMGZABPKI-ZDUSSCGKSA-N 0 1 253.346 0.277 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C(C)(C)NC(=O)NC)[C@@H]2C1 ZINC001222045965 804862263 /nfs/dbraw/zinc/86/22/63/804862263.db2.gz ZFBKSZZVFHXVOB-VXGBXAGGSA-N 0 1 294.399 0.413 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)CC)CC1 ZINC001222189552 804878773 /nfs/dbraw/zinc/87/87/73/804878773.db2.gz LHYMGTYGFWRCSU-UHFFFAOYSA-N 0 1 267.373 0.527 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)CCC)CC1 ZINC001222233357 804883812 /nfs/dbraw/zinc/88/38/12/804883812.db2.gz SJNVMOUVWGAJQT-UHFFFAOYSA-N 0 1 279.384 0.364 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)[C@H]1C ZINC001088625426 815042187 /nfs/dbraw/zinc/04/21/87/815042187.db2.gz JZXAKCMFGFFXPX-KOLCDFICSA-N 0 1 286.339 0.732 20 30 CCEDMN CC[C@H]1OC(C)=C(O[C@@H](COC)C(=O)OC)C1=O ZINC001222350196 804894311 /nfs/dbraw/zinc/89/43/11/804894311.db2.gz RGBFUAJMWKPJKI-BDAKNGLRSA-N 0 1 258.270 0.800 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCC1CCN(CC(N)=O)CC1 ZINC001222570308 804917426 /nfs/dbraw/zinc/91/74/26/804917426.db2.gz MUWNUYORHCHEKK-GFCCVEGCSA-N 0 1 297.399 0.281 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CCCC(=O)NC)C2)C1 ZINC001276855409 804944016 /nfs/dbraw/zinc/94/40/16/804944016.db2.gz MDLQDBREEYWQQW-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN N#Cc1cccnc1N1CCC(OCc2nn[nH]n2)CC1 ZINC001223028236 804950191 /nfs/dbraw/zinc/95/01/91/804950191.db2.gz ZJWQMTFYMFCOHU-UHFFFAOYSA-N 0 1 285.311 0.652 20 30 CCEDMN C[C@H](C(N)=O)N1CCC(CNC(=O)C#CC2CC2)CC1 ZINC001223130654 804955507 /nfs/dbraw/zinc/95/55/07/804955507.db2.gz OJWNDRNTQOKLOM-LLVKDONJSA-N 0 1 277.368 0.102 20 30 CCEDMN N#CCN1CCC(CNC(=O)CCc2cnc[nH]2)CC1 ZINC001223419179 804969589 /nfs/dbraw/zinc/96/95/89/804969589.db2.gz GFUIQGYBBZOBRM-UHFFFAOYSA-N 0 1 275.356 0.694 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C(C)(C)NC(C)=O)C[C@@H]21 ZINC001223690314 804985117 /nfs/dbraw/zinc/98/51/17/804985117.db2.gz DRKSIACEFADQFO-KGLIPLIRSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CNC(=O)CCC(C)C ZINC001276939016 805002986 /nfs/dbraw/zinc/00/29/86/805002986.db2.gz CFQQBZRNFPENHH-AWEZNQCLSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224198278 805015682 /nfs/dbraw/zinc/01/56/82/805015682.db2.gz NNCMQHSYBWGZFY-GFCCVEGCSA-N 0 1 281.400 0.902 20 30 CCEDMN C#CCCCN1CC[C@H]2C[C@]21C(=O)NCC(=O)N(C)C ZINC001276978961 805046803 /nfs/dbraw/zinc/04/68/03/805046803.db2.gz UBXYYTAQWYWUEX-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H](NC2(CNC(=O)CC)CC2)C1=O ZINC001276985260 805061667 /nfs/dbraw/zinc/06/16/67/805061667.db2.gz AKAVINYVRJMCHD-NSHDSACASA-N 0 1 265.357 0.422 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCC1CCN(CC#N)CC1 ZINC001224795085 805077850 /nfs/dbraw/zinc/07/78/50/805077850.db2.gz DETZZWLHSFWPGO-GFCCVEGCSA-N 0 1 289.383 0.940 20 30 CCEDMN C=CCCOCCNC(=O)NCC#CCN(C)C ZINC001225381651 805124972 /nfs/dbraw/zinc/12/49/72/805124972.db2.gz XGIKJHUNAPXUOB-UHFFFAOYSA-N 0 1 253.346 0.443 20 30 CCEDMN Cc1nnc(CN[C@@H]2CCCC[C@H]2NC(=O)[C@@H](C)C#N)[nH]1 ZINC001225427047 805127072 /nfs/dbraw/zinc/12/70/72/805127072.db2.gz OMNRTIGJBIJSJU-MVWJERBFSA-N 0 1 290.371 0.790 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)CC(C)(C)O)CC1 ZINC001277050684 805135575 /nfs/dbraw/zinc/13/55/75/805135575.db2.gz YTNUSASYRDXKCC-NSHDSACASA-N 0 1 297.399 0.077 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCCCN2C)CCN1CC#N ZINC001088666547 815053072 /nfs/dbraw/zinc/05/30/72/815053072.db2.gz KKKIBXYMIRVZEW-AGIUHOORSA-N 0 1 264.373 0.573 20 30 CCEDMN CC(=O)Nc1nc2nc[nH]c2c(O[C@@H]2C=CC(=O)C2)n1 ZINC001225825365 805165577 /nfs/dbraw/zinc/16/55/77/805165577.db2.gz AWWWLDVWRYBROP-MRVPVSSYSA-N 0 1 273.252 0.588 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn(C)c(=O)c2)[C@H]1C ZINC001088672268 815054431 /nfs/dbraw/zinc/05/44/31/815054431.db2.gz SPEZWSXOMQSWEY-YPMHNXCESA-N 0 1 273.336 0.211 20 30 CCEDMN C[C@@H](C[NH+]1CCOCC1)Oc1[n-]c(=O)c(F)cc1C#N ZINC001226014693 805186201 /nfs/dbraw/zinc/18/62/01/805186201.db2.gz ZHCZYWVBINKNSC-VIFPVBQESA-N 0 1 281.287 0.897 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCc3nccn3C2)[C@H]1C ZINC001088678124 815057079 /nfs/dbraw/zinc/05/70/79/815057079.db2.gz HOCLMTODNSOWNL-MCIONIFRSA-N 0 1 286.379 0.658 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H]2CCCOC2)CC1 ZINC001226527116 805241933 /nfs/dbraw/zinc/24/19/33/805241933.db2.gz DHCMYYPNYUKINK-CQSZACIVSA-N 0 1 294.395 0.643 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(CC)C[C@H]1C)[C@@H]1CCCO1 ZINC001226733841 805274512 /nfs/dbraw/zinc/27/45/12/805274512.db2.gz CENFVAHHHAHOMM-RDBSUJKOSA-N 0 1 279.384 0.903 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)[C@@H]2CC23CCC3)CC1 ZINC001277183271 805326677 /nfs/dbraw/zinc/32/66/77/805326677.db2.gz LJHGXMUFUHLIMM-NSHDSACASA-N 0 1 290.367 0.055 20 30 CCEDMN C[C@@H](CCNC(=O)[C@H]1CCCN1C)NC(=O)C#CC1CC1 ZINC001075940837 815068181 /nfs/dbraw/zinc/06/81/81/815068181.db2.gz FBHNLEISXBZHOZ-GXTWGEPZSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CCNC(=O)[C@H]1CCCN1C ZINC001075941536 815068970 /nfs/dbraw/zinc/06/89/70/815068970.db2.gz XNXINHNTCDVZBE-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN N#CCN1CC[C@H](Oc2nc(=O)[nH]c3c2COC3)C1 ZINC001227686107 805377264 /nfs/dbraw/zinc/37/72/64/805377264.db2.gz GJUIKIKDMGPAFQ-QMMMGPOBSA-N 0 1 262.269 0.189 20 30 CCEDMN C[C@@H]1OCc2c1[nH]c(=O)nc2O[C@@H]1CCN(CC#N)C1 ZINC001227713325 805382489 /nfs/dbraw/zinc/38/24/89/805382489.db2.gz NLWMCBRQHKOJJU-DTWKUNHWSA-N 0 1 276.296 0.750 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@@H](C)O ZINC001277432272 805567983 /nfs/dbraw/zinc/56/79/83/805567983.db2.gz WPXDPLASXSNRBJ-TUVASFSCSA-N 0 1 294.395 0.471 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C[C@H]2CCCO2)C1 ZINC001278363515 807033805 /nfs/dbraw/zinc/03/38/05/807033805.db2.gz ORVGFMNEASRPCU-OCCSQVGLSA-N 0 1 250.342 0.769 20 30 CCEDMN COCC#CCN1CC[C@@]2(NC(C)=O)CCC[C@@H]12 ZINC001278430579 807148274 /nfs/dbraw/zinc/14/82/74/807148274.db2.gz IIOPEMOJKFIQRH-KGLIPLIRSA-N 0 1 250.342 0.769 20 30 CCEDMN N#Cc1ccc(-c2noc(C[C@H]3COCCN3)n2)nc1 ZINC001248136664 807506983 /nfs/dbraw/zinc/50/69/83/807506983.db2.gz BCXGZWVAJJKQEO-JTQLQIEISA-N 0 1 271.280 0.534 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H]1CCCOC1 ZINC001248185590 807508826 /nfs/dbraw/zinc/50/88/26/807508826.db2.gz SXEMUZARXWVYFV-LBPRGKRZSA-N 0 1 253.346 0.277 20 30 CCEDMN N#CCCN(C[C@@H](O)CN1CCOCC1)C1CCCC1 ZINC001251014408 807641142 /nfs/dbraw/zinc/64/11/42/807641142.db2.gz CQWHWALLFXMALN-HNNXBMFYSA-N 0 1 281.400 0.838 20 30 CCEDMN N#C[C@@H]1CN(C[C@H](O)COc2ccccc2)CCC1=O ZINC001251189412 807673513 /nfs/dbraw/zinc/67/35/13/807673513.db2.gz KRZDQPOJJYUVJX-OLZOCXBDSA-N 0 1 274.320 0.841 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC001251642512 807691937 /nfs/dbraw/zinc/69/19/37/807691937.db2.gz SXYVNFAFXDCPRD-QWRGUYRKSA-N 0 1 278.356 0.893 20 30 CCEDMN CN(C)CC#CCNC(=O)NCC1CC(F)(F)C1 ZINC001251640586 807692308 /nfs/dbraw/zinc/69/23/08/807692308.db2.gz HEMBLMQOHCEFFA-UHFFFAOYSA-N 0 1 259.300 0.896 20 30 CCEDMN COC(=O)C[C@H]1CCCN1C(=O)NCC#CCN(C)C ZINC001251707681 807695937 /nfs/dbraw/zinc/69/59/37/807695937.db2.gz CPHBMIHWWZWSJU-GFCCVEGCSA-N 0 1 281.356 0.289 20 30 CCEDMN CN(C)CC#CCNC(=O)N(CCCO)CC(C)(C)C ZINC001251708607 807697195 /nfs/dbraw/zinc/69/71/95/807697195.db2.gz UNFJRMQVAUJXOF-UHFFFAOYSA-N 0 1 283.416 0.992 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(c2ncccc2C)CC1 ZINC001251821468 807708763 /nfs/dbraw/zinc/70/87/63/807708763.db2.gz PPGQCNSGCXSDDC-OAHLLOKOSA-N 0 1 289.379 0.523 20 30 CCEDMN C#CCOC[C@@H](O)CN(C)C1CN(C(=O)OC(C)(C)C)C1 ZINC001251851696 807720008 /nfs/dbraw/zinc/72/00/08/807720008.db2.gz QERQQOHVQGSZRA-ZDUSSCGKSA-N 0 1 298.383 0.548 20 30 CCEDMN C#CCOC[C@@H](O)CN1CC2(C1)C[C@@H](F)CS2 ZINC001251854694 807721237 /nfs/dbraw/zinc/72/12/37/807721237.db2.gz JZYODDROKRLWGB-MNOVXSKESA-N 0 1 259.346 0.527 20 30 CCEDMN CC(C)C#CC(=O)NCCNCc1n[nH]c(C(C)C)n1 ZINC001126828432 815142229 /nfs/dbraw/zinc/14/22/29/815142229.db2.gz TYEJACNYGPCTDP-UHFFFAOYSA-N 0 1 277.372 0.793 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(c2cccc(F)c2)CC1 ZINC001251858070 807725602 /nfs/dbraw/zinc/72/56/02/807725602.db2.gz QVIPMLPLCLJENL-INIZCTEOSA-N 0 1 292.354 0.958 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ccccc1S(C)(=O)=O ZINC001251893364 807737576 /nfs/dbraw/zinc/73/75/76/807737576.db2.gz CASCEVAPLUVCNB-CYBMUJFWSA-N 0 1 297.376 0.190 20 30 CCEDMN C#CCOC[C@H](O)CN[C@@H](CO)c1cc(F)cc(F)c1 ZINC001251900132 807742380 /nfs/dbraw/zinc/74/23/80/807742380.db2.gz JYJNXKWFWAIFRC-KGLIPLIRSA-N 0 1 285.290 0.599 20 30 CCEDMN C#CCCCC(=O)NC[C@]1(C)CCCN([C@@H](C)C(N)=O)C1 ZINC001278659308 807808224 /nfs/dbraw/zinc/80/82/24/807808224.db2.gz SXBYRAMBYVUMCT-BBRMVZONSA-N 0 1 293.411 0.882 20 30 CCEDMN COC(=O)[C@@H](O)CNC(=N)c1ccc2ccccc2n1 ZINC001252441847 807856840 /nfs/dbraw/zinc/85/68/40/807856840.db2.gz NGBUVGSSQYSCOY-LBPRGKRZSA-N 0 1 273.292 0.474 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@@H](C)c1nnc2ccccn21 ZINC001252451044 807868004 /nfs/dbraw/zinc/86/80/04/807868004.db2.gz LBIFNYPDABGTGO-RYUDHWBXSA-N 0 1 276.340 0.943 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c(C)c(C)n[nH]c2=O)[C@H]1C ZINC001088786907 815156781 /nfs/dbraw/zinc/15/67/81/815156781.db2.gz GJXHUOSHWFXABZ-NEPJUHHUSA-N 0 1 288.351 0.625 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cnc(Cl)cn1 ZINC001252497755 807896676 /nfs/dbraw/zinc/89/66/76/807896676.db2.gz YCKINVCKVLVITG-JTQLQIEISA-N 0 1 257.721 0.783 20 30 CCEDMN C=C[C@](C)(O)CN1CCO[C@@H]2C[C@@H](C(=O)NC(C)C)C[C@H]21 ZINC001252549747 807906620 /nfs/dbraw/zinc/90/66/20/807906620.db2.gz HOSODABQGHAKOU-NHIYQJMISA-N 0 1 296.411 0.927 20 30 CCEDMN C=CCC[C@@H](O)CNCc1ccnc(OCCOC)n1 ZINC001252623768 807921333 /nfs/dbraw/zinc/92/13/33/807921333.db2.gz QQMWDNPIYAQEEJ-CYBMUJFWSA-N 0 1 281.356 0.919 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@H]2OCCC[C@@H]2C1 ZINC001253182519 808021913 /nfs/dbraw/zinc/02/19/13/808021913.db2.gz QGQFZUFUYLBQOC-VXGBXAGGSA-N 0 1 251.330 0.517 20 30 CCEDMN C=CCCCCCC[C@H](O)CN1CCN(CC(N)=O)CC1 ZINC001253241025 808039613 /nfs/dbraw/zinc/03/96/13/808039613.db2.gz RFBUEQZQROYIIZ-HNNXBMFYSA-N 0 1 297.443 0.977 20 30 CCEDMN C=C[C@H](O)CN1CCN(CCNC(=O)OC(C)(C)C)CC1 ZINC001253571664 808080695 /nfs/dbraw/zinc/08/06/95/808080695.db2.gz IMOTXQITRFMHQJ-ZDUSSCGKSA-N 0 1 299.415 0.676 20 30 CCEDMN C=C[C@@H](O)CN1CCCn2cnc(Cn3cccn3)c2C1 ZINC001253582894 808090324 /nfs/dbraw/zinc/09/03/24/808090324.db2.gz UYAMKVXSIBMHQX-CYBMUJFWSA-N 0 1 287.367 0.881 20 30 CCEDMN C=C[C@H](O)CN1CCC(N2CCCCS2(=O)=O)CC1 ZINC001253586271 808092629 /nfs/dbraw/zinc/09/26/29/808092629.db2.gz IBIUADJFKTXSLP-ZDUSSCGKSA-N 0 1 288.413 0.423 20 30 CCEDMN C=C[C@H](O)CN[C@H](CC(=O)OC)c1ccccn1 ZINC001253606400 808096168 /nfs/dbraw/zinc/09/61/68/808096168.db2.gz GVYKIUCCAIAPBC-CMPLNLGQSA-N 0 1 250.298 0.822 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H](C)CSC)C1 ZINC001278766734 808194766 /nfs/dbraw/zinc/19/47/66/808194766.db2.gz MLODHNNIHAWRBQ-DGCLKSJQSA-N 0 1 270.398 0.172 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)c2cncnc2)[C@H]1C ZINC001088812877 815189393 /nfs/dbraw/zinc/18/93/93/815189393.db2.gz UKJWJPVUBLORCG-BZPMIXESSA-N 0 1 272.352 0.792 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)CCCOC(C)C)C1 ZINC001278828929 808301803 /nfs/dbraw/zinc/30/18/03/808301803.db2.gz RHDOKPKIUYFWFA-INIZCTEOSA-N 0 1 296.411 0.768 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)CC1(C)CC1 ZINC001278864624 808355053 /nfs/dbraw/zinc/35/50/53/808355053.db2.gz GUGBSMRIXLPPRC-ZDUSSCGKSA-N 0 1 266.385 0.951 20 30 CCEDMN Cc1cc(NC(=O)CNCCNC(=O)C#CC2CC2)no1 ZINC001126902972 815209715 /nfs/dbraw/zinc/20/97/15/815209715.db2.gz DQPIKSQXBAOGME-UHFFFAOYSA-N 0 1 290.323 0.041 20 30 CCEDMN CC[C@@]1(O)CCN(C(=O)NCC#CCN(C)C)C1 ZINC001256585413 808537716 /nfs/dbraw/zinc/53/77/16/808537716.db2.gz QKWRCCHSFCBJLF-CYBMUJFWSA-N 0 1 253.346 0.108 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC[C@@H](CO)CC1 ZINC001256585809 808538153 /nfs/dbraw/zinc/53/81/53/808538153.db2.gz VFMGXWNLTGXTPV-CYBMUJFWSA-N 0 1 267.373 0.355 20 30 CCEDMN C=CCCC(=O)N[C@H](C)C1CCN(CC(=O)NC)CC1 ZINC001279063942 808647042 /nfs/dbraw/zinc/64/70/42/808647042.db2.gz NFJCSBDXYNQWLO-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnc[nH]2)C[C@@H]1CNCC#N ZINC001279102592 808687439 /nfs/dbraw/zinc/68/74/39/808687439.db2.gz HWUXHPFTNTVXRO-NEPJUHHUSA-N 0 1 275.356 0.550 20 30 CCEDMN COC(=O)CS(=O)(=O)Nc1ccccc1CC#N ZINC001259026194 808723936 /nfs/dbraw/zinc/72/39/36/808723936.db2.gz KXADGVVOUQTVJE-UHFFFAOYSA-N 0 1 268.294 0.667 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1CCCCN1CCC)C(=O)OC ZINC001261293947 808946882 /nfs/dbraw/zinc/94/68/82/808946882.db2.gz DIQMRTPWDFOQGM-OLZOCXBDSA-N 0 1 280.368 0.932 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CCCN(O)C2=O)ccc2cncn21 ZINC001261550338 809017670 /nfs/dbraw/zinc/01/76/70/809017670.db2.gz VMXRDJMHNMIMHT-LBPRGKRZSA-N 0 1 288.307 0.753 20 30 CCEDMN C=C(C)C(=O)OC[C@H](C)OCCN1CCN(C)CC1 ZINC001224552751 815260150 /nfs/dbraw/zinc/26/01/50/815260150.db2.gz SNAQGQKIZVXTKL-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C=CC[C@@H](OC(=O)Cc1cc(C)[nH]n1)C(=O)OC ZINC001262059458 809177574 /nfs/dbraw/zinc/17/75/74/809177574.db2.gz ZKUWTJGNQGTYFX-SNVBAGLBSA-N 0 1 252.270 0.922 20 30 CCEDMN C=CCOC[C@H](NC(=O)C[C@@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC001262177315 809223384 /nfs/dbraw/zinc/22/33/84/809223384.db2.gz QRCGDVCVWQJZLG-QWRGUYRKSA-N 0 1 270.329 0.100 20 30 CCEDMN C=CCN(C(=O)C(=O)NCc1n[nH]c(C)n1)C(C)C ZINC001262328229 809276760 /nfs/dbraw/zinc/27/67/60/809276760.db2.gz DJMAEBHMUHQCEE-UHFFFAOYSA-N 0 1 265.317 0.152 20 30 CCEDMN C=CCO[C@@H]1CCN(Cc2c(CO)[nH]cc(OC)c2=O)C1 ZINC001262483098 809316960 /nfs/dbraw/zinc/31/69/60/809316960.db2.gz WAAPTQHQOGNTOH-LLVKDONJSA-N 0 1 294.351 0.653 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@H](C)Cc3cnc[nH]3)[C@@H]2C1 ZINC001076343483 815279895 /nfs/dbraw/zinc/27/98/95/815279895.db2.gz FDPHTPNAACUDFX-VNHYZAJKSA-N 0 1 286.379 0.754 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC001262616264 809374406 /nfs/dbraw/zinc/37/44/06/809374406.db2.gz KBSXXODBTKLUDZ-NSHDSACASA-N 0 1 265.313 0.966 20 30 CCEDMN C#CCNCC(=O)NCc1c(C2CCC2)cnn1C ZINC001263159012 809463806 /nfs/dbraw/zinc/46/38/06/809463806.db2.gz PJHIGCMMUAMOJY-UHFFFAOYSA-N 0 1 260.341 0.527 20 30 CCEDMN CCn1ccnc1C(C#N)C(=O)c1cc(=O)n(C)cn1 ZINC001263616571 809541133 /nfs/dbraw/zinc/54/11/33/809541133.db2.gz ZNBIURLLFNMAAX-VIFPVBQESA-N 0 1 271.280 0.487 20 30 CCEDMN CC[C@](C)(C#N)NC(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001263723140 809557490 /nfs/dbraw/zinc/55/74/90/809557490.db2.gz OWIRJFKHYAMJHM-DMDPSCGWSA-N 0 1 250.346 0.183 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCc4[nH]cnc4C3)[C@@H]2C1 ZINC001076439872 815298467 /nfs/dbraw/zinc/29/84/67/815298467.db2.gz KRTKKIIJORHEJS-SLEUVZQESA-N 0 1 299.378 0.571 20 30 CCEDMN CC#CCCCC(=O)N(C)C[C@H]1CCN1C[C@@H](O)COC ZINC001263806922 809573972 /nfs/dbraw/zinc/57/39/72/809573972.db2.gz GIWWVMSIEOXSCO-HUUCEWRRSA-N 0 1 296.411 0.720 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001263896380 809601475 /nfs/dbraw/zinc/60/14/75/809601475.db2.gz XEGRFVWIRDKXSF-PWSUYJOCSA-N 0 1 278.356 0.785 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NC[C@H](O)c1cnc[nH]1)OCC ZINC001263909896 809604140 /nfs/dbraw/zinc/60/41/40/809604140.db2.gz JKNNIHAGAYBCPH-NWDGAFQWSA-N 0 1 267.329 0.931 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C[C@H]2CCOC2)C1 ZINC001264074680 809628286 /nfs/dbraw/zinc/62/82/86/809628286.db2.gz HEDNXZXNIBHSJG-CABCVRRESA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001264183021 809636052 /nfs/dbraw/zinc/63/60/52/809636052.db2.gz FOGXNPPMYGYKIQ-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N(C)C[C@H]1CCN1CC#N ZINC001264375183 809647355 /nfs/dbraw/zinc/64/73/55/809647355.db2.gz VYFOIMBZTRFOPQ-DGCLKSJQSA-N 0 1 275.356 0.645 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc(C(N)=O)cc3)[C@@H]2C1 ZINC001076559204 815310256 /nfs/dbraw/zinc/31/02/56/815310256.db2.gz DQQCAYUYFCNPQE-LSDHHAIUSA-N 0 1 297.358 0.565 20 30 CCEDMN C=CCN1CCN(CCNC(=O)CC[C@H]2CCCO2)CC1 ZINC001265255841 809732555 /nfs/dbraw/zinc/73/25/55/809732555.db2.gz CHTZXOISKKSQAF-OAHLLOKOSA-N 0 1 295.427 0.865 20 30 CCEDMN Cn1ccc(CN[C@H]2C[C@@H](NC(=O)CSCC#N)C2)n1 ZINC001265528773 809796594 /nfs/dbraw/zinc/79/65/94/809796594.db2.gz FNPLYMSFAMZRCH-TXEJJXNPSA-N 0 1 293.396 0.414 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1C[C@@H](NCc2nccn2C)C1 ZINC001265538817 809800452 /nfs/dbraw/zinc/80/04/52/809800452.db2.gz NAWUTTDKJCDWQW-UPJWGTAASA-N 0 1 290.367 0.195 20 30 CCEDMN C[C@@H](N[C@@H](C)CNC(=O)CSCC#N)c1cnccn1 ZINC001265754428 809840211 /nfs/dbraw/zinc/84/02/11/809840211.db2.gz DOVWCIXODMREDS-WDEREUQCSA-N 0 1 293.396 0.889 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cn3ccnc3)[C@@H]2C1 ZINC001076632501 815323765 /nfs/dbraw/zinc/32/37/65/815323765.db2.gz AMOAHHMSXFYCFQ-QWHCGFSZSA-N 0 1 258.325 0.049 20 30 CCEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)NC[C@H](C)NCC#N ZINC001265800781 809857143 /nfs/dbraw/zinc/85/71/43/809857143.db2.gz KGUKYAJJJFWXOM-LURJTMIESA-N 0 1 289.261 0.968 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)/C(C)=C\C ZINC001265822670 809864160 /nfs/dbraw/zinc/86/41/60/809864160.db2.gz YYWMJYBRGBQWFH-SDQBBNPISA-N 0 1 265.357 0.140 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H]1CCCCO1 ZINC001265826819 809865416 /nfs/dbraw/zinc/86/54/16/809865416.db2.gz NULNMLRRFSZGSY-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C=C(Cl)CNCCOCCN(C)C(=O)c1cn[nH]c1 ZINC001279383666 809976649 /nfs/dbraw/zinc/97/66/49/809976649.db2.gz WMHKDKIQDOQGEJ-UHFFFAOYSA-N 0 1 286.763 0.840 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)[C@@H]2CCOC2)C1 ZINC001279470654 809981339 /nfs/dbraw/zinc/98/13/39/809981339.db2.gz HFHQIWFBGPWUCN-UKRRQHHQSA-N 0 1 282.384 0.542 20 30 CCEDMN C=C(C)CCC(=O)N[C@]1(CO)CCCN(CCOC)C1 ZINC001279490049 809984623 /nfs/dbraw/zinc/98/46/23/809984623.db2.gz JXCZGCCLPPQEAR-OAHLLOKOSA-N 0 1 284.400 0.932 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CCN(C)C2=O)CCC1 ZINC001280500093 810027100 /nfs/dbraw/zinc/02/71/00/810027100.db2.gz ZCSRYRJOHYJLRD-NSHDSACASA-N 0 1 299.802 0.846 20 30 CCEDMN CC#CCCCC(=O)N(C)[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001280529115 810029296 /nfs/dbraw/zinc/02/92/96/810029296.db2.gz CMBQKZRWUBKXOW-NSHDSACASA-N 0 1 291.355 0.575 20 30 CCEDMN CC#CCCCC(=O)N(C)[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001280529115 810029300 /nfs/dbraw/zinc/02/93/00/810029300.db2.gz CMBQKZRWUBKXOW-NSHDSACASA-N 0 1 291.355 0.575 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)Cc1cnoc1 ZINC001267275659 811081489 /nfs/dbraw/zinc/08/14/89/811081489.db2.gz ZNDJRIYWTJYPFI-ZDUSSCGKSA-N 0 1 261.325 0.821 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)Cc1nonc1C ZINC001267280904 811089232 /nfs/dbraw/zinc/08/92/32/811089232.db2.gz XXMKSBOVYSYWFK-NSHDSACASA-N 0 1 262.313 0.134 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](CNC(=O)CC)C1 ZINC001267284096 811096208 /nfs/dbraw/zinc/09/62/08/811096208.db2.gz WYZZNOMUUSHJAF-NWDGAFQWSA-N 0 1 267.373 0.525 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCN(CC(N)=O)C1 ZINC001267300097 811118772 /nfs/dbraw/zinc/11/87/72/811118772.db2.gz KNQNKUZNBOTZQX-VXGBXAGGSA-N 0 1 267.373 0.512 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cc2nc[nH]c2c(C#N)n1 ZINC001167672169 811119542 /nfs/dbraw/zinc/11/95/42/811119542.db2.gz YDQBFMCRRJPMEZ-UHFFFAOYSA-N 0 1 280.295 0.262 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@@H](C)CNc2ccncc2C#N)n[nH]1 ZINC001104486821 811130360 /nfs/dbraw/zinc/13/03/60/811130360.db2.gz MCPPRALHDJDFIB-NSHDSACASA-N 0 1 298.350 0.979 20 30 CCEDMN C#CC[N@H+](CCNC(=O)c1ccnc(OC)c1)C1CC1 ZINC001267328485 811159973 /nfs/dbraw/zinc/15/99/73/811159973.db2.gz XTIDZFNJRNLTCS-UHFFFAOYSA-N 0 1 273.336 0.918 20 30 CCEDMN C#CCN(CCNC(=O)c1ccnc(OC)c1)C1CC1 ZINC001267328485 811159978 /nfs/dbraw/zinc/15/99/78/811159978.db2.gz XTIDZFNJRNLTCS-UHFFFAOYSA-N 0 1 273.336 0.918 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@@H](C)CNc2ccncc2C#N)[nH]1 ZINC001104501348 811187549 /nfs/dbraw/zinc/18/75/49/811187549.db2.gz RTJYVIVZTOVHQZ-JTQLQIEISA-N 0 1 298.350 0.979 20 30 CCEDMN C=CCCC(=O)N(C)CCNCC(=O)Nc1cc(C)on1 ZINC001267353484 811197176 /nfs/dbraw/zinc/19/71/76/811197176.db2.gz PHEKPJVVHUZERM-UHFFFAOYSA-N 0 1 294.355 0.936 20 30 CCEDMN Cc1nonc1CNC[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001023893380 811278868 /nfs/dbraw/zinc/27/88/68/811278868.db2.gz NETJRCGDZGNLTL-CMPLNLGQSA-N 0 1 291.355 0.866 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](CNCc2cnn(C)n2)C1 ZINC001023894499 811278961 /nfs/dbraw/zinc/27/89/61/811278961.db2.gz COUBAADFXBQGKN-NEPJUHHUSA-N 0 1 290.371 0.303 20 30 CCEDMN CN(CCNCC#N)C(=O)c1cc(C(F)F)[nH]n1 ZINC001267426602 811300315 /nfs/dbraw/zinc/30/03/15/811300315.db2.gz YTVMORTUGIWEFC-UHFFFAOYSA-N 0 1 257.244 0.532 20 30 CCEDMN CC1(C)[C@H](NC(=O)c2ncn[nH]2)[C@@H]2CCCN(CC#N)[C@H]21 ZINC001087307535 811400431 /nfs/dbraw/zinc/40/04/31/811400431.db2.gz BEPHKFYZEKSAFC-HBNTYKKESA-N 0 1 288.355 0.547 20 30 CCEDMN CC1(C)[C@H](NC(=O)c2nc[nH]n2)[C@@H]2CCCN(CC#N)[C@H]21 ZINC001087307535 811400438 /nfs/dbraw/zinc/40/04/38/811400438.db2.gz BEPHKFYZEKSAFC-HBNTYKKESA-N 0 1 288.355 0.547 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@@]1(C)CCNC1=O)c1ccccc1 ZINC001267523139 811400952 /nfs/dbraw/zinc/40/09/52/811400952.db2.gz PMGANKQLKIKYDW-YOEHRIQHSA-N 0 1 299.374 0.593 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@@H](COC)OC)c1ccccc1 ZINC001267523180 811401311 /nfs/dbraw/zinc/40/13/11/811401311.db2.gz QHMVOFYOTAXRKL-HUUCEWRRSA-N 0 1 290.363 0.728 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCOC ZINC001267529530 811411210 /nfs/dbraw/zinc/41/12/10/811411210.db2.gz CISXQMPQNBUCIE-MCIONIFRSA-N 0 1 280.368 0.346 20 30 CCEDMN C#CCCNCc1cn([C@@H]2CCN(C(=O)C(F)F)C2)nn1 ZINC001098591555 811417299 /nfs/dbraw/zinc/41/72/99/811417299.db2.gz KQCROFHKYADODT-LLVKDONJSA-N 0 1 297.309 0.430 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cnccn3)[C@@H]2C1 ZINC001075506680 811429621 /nfs/dbraw/zinc/42/96/21/811429621.db2.gz VWGCKUUBJFANIZ-GXTWGEPZSA-N 0 1 270.336 0.646 20 30 CCEDMN C=C(C)CN1CCN(CCN(C)C(=O)[C@@H]2CCCO2)CC1 ZINC001267573260 811465142 /nfs/dbraw/zinc/46/51/42/811465142.db2.gz CVBDSYMMZUNMLR-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C1 ZINC001077169289 815454100 /nfs/dbraw/zinc/45/41/00/815454100.db2.gz ZDZSQDPTKISBTA-HBJVGIJOSA-N 0 1 290.367 0.251 20 30 CCEDMN CC#CC[N@H+](C)CC1CCN(C(=O)Cc2nnc[n-]2)CC1 ZINC001267604578 811534814 /nfs/dbraw/zinc/53/48/14/811534814.db2.gz WSGPSEDQTZDDDE-UHFFFAOYSA-N 0 1 289.383 0.541 20 30 CCEDMN CC#CC[N@@H+](C)CC1CCN(C(=O)Cc2nnc[n-]2)CC1 ZINC001267604578 811534817 /nfs/dbraw/zinc/53/48/17/811534817.db2.gz WSGPSEDQTZDDDE-UHFFFAOYSA-N 0 1 289.383 0.541 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCO3)[C@@H]2C1 ZINC001075521747 811545392 /nfs/dbraw/zinc/54/53/92/811545392.db2.gz ZHEGQZGUXBBTST-MJBXVCDLSA-N 0 1 262.353 0.721 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCN1CCN(CCCO)CC1 ZINC001267607609 811554406 /nfs/dbraw/zinc/55/44/06/811554406.db2.gz ODQZFLWHPYFOHQ-UHFFFAOYSA-N 0 1 295.427 0.104 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001267627840 811586361 /nfs/dbraw/zinc/58/63/61/811586361.db2.gz HQIIFZICPLATDB-HNNXBMFYSA-N 0 1 288.395 0.957 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCOC)C[C@H]1C(F)(F)F ZINC001112029083 811607977 /nfs/dbraw/zinc/60/79/77/811607977.db2.gz OZBJNZYHDJCPJE-NXEZZACHSA-N 0 1 278.274 0.635 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)[C@@H](C)CNc1ncccc1C#N ZINC001104753395 811691253 /nfs/dbraw/zinc/69/12/53/811691253.db2.gz ZQGNVHTYGVHLSV-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CNC(=O)N2CCCC2)C1 ZINC001267741540 811723715 /nfs/dbraw/zinc/72/37/15/811723715.db2.gz CJLZXCWTUZZPKK-CYBMUJFWSA-N 0 1 292.383 0.006 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CCc2ccn(C)n2)C1 ZINC001267784782 811783499 /nfs/dbraw/zinc/78/34/99/811783499.db2.gz AGVDSFWHZYZIIJ-HNNXBMFYSA-N 0 1 288.395 0.909 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H](CC)NC(C)=O)C1 ZINC001267788917 811787729 /nfs/dbraw/zinc/78/77/29/811787729.db2.gz BCZOAVKWVSNVHG-CABCVRRESA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnn(C)c3C)[C@@H]2C1 ZINC001075548815 811845727 /nfs/dbraw/zinc/84/57/27/811845727.db2.gz VUDOSEZDCRDPGJ-GXTWGEPZSA-N 0 1 272.352 0.508 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC(CCNCC#N)CC1 ZINC001267911796 811865468 /nfs/dbraw/zinc/86/54/68/811865468.db2.gz CVCRKDUVXWCQKC-UHFFFAOYSA-N 0 1 276.344 0.469 20 30 CCEDMN N#CCN1CCC(CCNC(=O)CCc2c[nH]nn2)CC1 ZINC001267947319 811882532 /nfs/dbraw/zinc/88/25/32/811882532.db2.gz QQBJZEGOJMWYGL-UHFFFAOYSA-N 0 1 290.371 0.479 20 30 CCEDMN N#CCN1CCC(CCNC(=O)CCc2cnn[nH]2)CC1 ZINC001267947319 811882541 /nfs/dbraw/zinc/88/25/41/811882541.db2.gz QQBJZEGOJMWYGL-UHFFFAOYSA-N 0 1 290.371 0.479 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cnc(Cl)n2C)C1 ZINC001077387658 815490840 /nfs/dbraw/zinc/49/08/40/815490840.db2.gz QARCUOKCAHBUSZ-MWLCHTKSSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccccn2)[C@@H](O)C1 ZINC001083308355 812026999 /nfs/dbraw/zinc/02/69/99/812026999.db2.gz YKICYSFSOMHLIP-OLZOCXBDSA-N 0 1 261.325 0.433 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)CNC(C)=O)CC2 ZINC001268042553 812079297 /nfs/dbraw/zinc/07/92/97/812079297.db2.gz RZLFRFAJSFOQIM-UHFFFAOYSA-N 0 1 277.368 0.070 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccco2)[C@@H](O)C1 ZINC001083312364 812080131 /nfs/dbraw/zinc/08/01/31/812080131.db2.gz KIXNGQRBSACEOL-MNOVXSKESA-N 0 1 250.298 0.631 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cncc2[nH]cnc21 ZINC001027897620 812128144 /nfs/dbraw/zinc/12/81/44/812128144.db2.gz CGTJVIOBYWXNNS-NSHDSACASA-N 0 1 283.335 0.785 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cccn2nnnc12 ZINC001027905993 812130909 /nfs/dbraw/zinc/13/09/09/812130909.db2.gz IRNSMUONRPDKCZ-LBPRGKRZSA-N 0 1 298.350 0.342 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnn2ccncc12 ZINC001027934187 812149361 /nfs/dbraw/zinc/14/93/61/812149361.db2.gz MCQWVGOLJBUUBI-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cn(C)nc1CC ZINC001027952897 812162502 /nfs/dbraw/zinc/16/25/02/812162502.db2.gz GCGSQLGCFPEFCI-GFCCVEGCSA-N 0 1 274.368 0.810 20 30 CCEDMN N#Cc1ccccc1CN1CC[C@H]1CNC(=O)c1ncn[nH]1 ZINC001038825732 812166439 /nfs/dbraw/zinc/16/64/39/812166439.db2.gz JVMGNOAKQHARQV-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN N#Cc1ccccc1CN1CC[C@H]1CNC(=O)c1nc[nH]n1 ZINC001038825732 812166444 /nfs/dbraw/zinc/16/64/44/812166444.db2.gz JVMGNOAKQHARQV-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccnn1CCOC ZINC001027957097 812166904 /nfs/dbraw/zinc/16/69/04/812166904.db2.gz QQVKQHMZRJJYBI-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001027990833 812201287 /nfs/dbraw/zinc/20/12/87/812201287.db2.gz QFZLFXNPEOERNT-CYBMUJFWSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001028103438 812280158 /nfs/dbraw/zinc/28/01/58/812280158.db2.gz OJPNKLKWTONNGQ-VXGBXAGGSA-N 0 1 287.367 0.438 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001038156978 812300106 /nfs/dbraw/zinc/30/01/06/812300106.db2.gz HDIJLTPGYDOYOM-RYUDHWBXSA-N 0 1 265.357 0.279 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cc3cnn(C)c3)[C@@H]2C1 ZINC001075610032 812366131 /nfs/dbraw/zinc/36/61/31/812366131.db2.gz NUVXTZBVCBGXMW-LSDHHAIUSA-N 0 1 286.379 0.519 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCNC(=O)CC(C)C ZINC001268259026 812427575 /nfs/dbraw/zinc/42/75/75/812427575.db2.gz JHSXYTBZGRGXHB-UHFFFAOYSA-N 0 1 281.400 0.562 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cn(CCC)nn1 ZINC001028231319 812441031 /nfs/dbraw/zinc/44/10/31/812441031.db2.gz ZXBGWHMIMZQLFA-CYBMUJFWSA-N 0 1 289.383 0.906 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CCN(CCF)C2)c[nH]1 ZINC001028488678 812756037 /nfs/dbraw/zinc/75/60/37/812756037.db2.gz DFFDCVAJWWADAP-SNVBAGLBSA-N 0 1 264.304 0.908 20 30 CCEDMN CCN(CC)C(=O)CNC/C=C\CNC(=O)[C@@H](C)C#N ZINC001268520423 812763847 /nfs/dbraw/zinc/76/38/47/812763847.db2.gz CFABVDRGYTWCLV-DGMVEKRQSA-N 0 1 280.372 0.276 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)[C@H]1CCCCO1 ZINC001268529107 812770485 /nfs/dbraw/zinc/77/04/85/812770485.db2.gz PJRZXEWNNZIAKE-DSYXLKISSA-N 0 1 295.383 0.120 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1CC[C@H]1C(N)=O ZINC001268571708 812846355 /nfs/dbraw/zinc/84/63/55/812846355.db2.gz DXMHPHJIYVOPAR-LVUHIJSRSA-N 0 1 285.775 0.512 20 30 CCEDMN CC1(C)CC[C@@H]1C(=O)NC/C=C\CNCC(=O)NCC#N ZINC001268581350 812866635 /nfs/dbraw/zinc/86/66/35/812866635.db2.gz XIEZAHUVSJQXEP-VSQXVHSFSA-N 0 1 292.383 0.324 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)oc2C)[C@@H](O)C1 ZINC001083335274 812883845 /nfs/dbraw/zinc/88/38/45/812883845.db2.gz HDUHNNFDYILKIE-KGLIPLIRSA-N 0 1 276.336 0.695 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2cnc(C)s2)[C@@H](O)C1 ZINC001083366146 812912764 /nfs/dbraw/zinc/91/27/64/812912764.db2.gz UJMDQXSOMAYKRH-MNOVXSKESA-N 0 1 281.381 0.803 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnc(C)s2)[C@@H](O)C1 ZINC001083366146 812912772 /nfs/dbraw/zinc/91/27/72/812912772.db2.gz UJMDQXSOMAYKRH-MNOVXSKESA-N 0 1 281.381 0.803 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cncc(F)c2)[C@@H](O)C1 ZINC001083398783 812941033 /nfs/dbraw/zinc/94/10/33/812941033.db2.gz XZAFYAGFXKCMMJ-OLZOCXBDSA-N 0 1 279.315 0.572 20 30 CCEDMN C#CCOCCC(=O)NCCNCC#Cc1ccccc1 ZINC001127027076 815564257 /nfs/dbraw/zinc/56/42/57/815564257.db2.gz HHXKVMCVTHSOMB-UHFFFAOYSA-N 0 1 284.359 0.784 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1nccnc1N ZINC001268682712 813005423 /nfs/dbraw/zinc/00/54/23/813005423.db2.gz NSIPRIBSPRPFHZ-QMMMGPOBSA-N 0 1 269.736 0.519 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H](C)CNCc1cc(C)ncn1 ZINC001268688379 813010493 /nfs/dbraw/zinc/01/04/93/813010493.db2.gz FIESWURQTWWEEH-QWHCGFSZSA-N 0 1 290.367 0.418 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)[C@@H](C)OC ZINC001268725298 813040029 /nfs/dbraw/zinc/04/00/29/813040029.db2.gz SNGRWRYGIMGVJL-VXGBXAGGSA-N 0 1 256.346 0.108 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CN(C)CC#CCOC ZINC001268729086 813048009 /nfs/dbraw/zinc/04/80/09/813048009.db2.gz LQIYIGXEYBMTLC-HIFRSBDPSA-N 0 1 282.384 0.400 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cnn(CCF)c1 ZINC001268735097 813049253 /nfs/dbraw/zinc/04/92/53/813049253.db2.gz DVJAAJUJVXIRQR-GFCCVEGCSA-N 0 1 280.347 0.926 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cc2c(cn1)nc[nH]c2=O ZINC001268754984 813065880 /nfs/dbraw/zinc/06/58/80/813065880.db2.gz NXKUZYHIBWQMNW-JTQLQIEISA-N 0 1 299.334 0.414 20 30 CCEDMN C#CCOCCC(=O)N1C[C@@H]2C[C@H]1CN2CCCOCC ZINC001268944335 813152482 /nfs/dbraw/zinc/15/24/82/813152482.db2.gz SKLUPMRJURQSOU-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H]2C[C@H]1CN2C[C@@H](C)O ZINC001268944378 813152758 /nfs/dbraw/zinc/15/27/58/813152758.db2.gz USVNKUDBXHYTPB-AGIUHOORSA-N 0 1 250.342 0.312 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCn2cccn2)C1 ZINC001269103819 813216626 /nfs/dbraw/zinc/21/66/26/813216626.db2.gz DXDIMMIDWURVKQ-CYBMUJFWSA-N 0 1 260.341 0.487 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1snnc1C ZINC001127046636 815603024 /nfs/dbraw/zinc/60/30/24/815603024.db2.gz TZANXEOTSFOWMS-SNVBAGLBSA-N 0 1 282.369 0.091 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCOC2CCOCC2)C1 ZINC001269187806 813255719 /nfs/dbraw/zinc/25/57/19/813255719.db2.gz XGBFHLMSTHXGKL-CQSZACIVSA-N 0 1 294.395 0.786 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001028681746 813304507 /nfs/dbraw/zinc/30/45/07/813304507.db2.gz BSCGUQVBFMQKGH-LBPRGKRZSA-N 0 1 275.352 0.623 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001075691930 813327771 /nfs/dbraw/zinc/32/77/71/813327771.db2.gz BMLPQOYPMOWXME-NWDGAFQWSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)C2CC(C)C2)CC1 ZINC001269398206 813356561 /nfs/dbraw/zinc/35/65/61/813356561.db2.gz HNCPWHYTCROZRI-CPCZMJQVSA-N 0 1 291.395 0.409 20 30 CCEDMN CC[C@H](C)NC(=O)CNC1(CNC(=O)C#CC2CC2)CC1 ZINC001269411927 813362514 /nfs/dbraw/zinc/36/25/14/813362514.db2.gz XVQWTJDXAQKDHZ-LBPRGKRZSA-N 0 1 291.395 0.553 20 30 CCEDMN CC#CCCCC(=O)NCC1(N[C@@H]2CCNC2=O)CC1 ZINC001269467942 813381598 /nfs/dbraw/zinc/38/15/98/813381598.db2.gz AZMNUCLXDCMRSJ-GFCCVEGCSA-N 0 1 277.368 0.307 20 30 CCEDMN N#CCC1CN(C(=O)[C@]23C[C@H]2CCN3C(=O)c2ccn[nH]2)C1 ZINC001269604234 813442498 /nfs/dbraw/zinc/44/24/98/813442498.db2.gz ZIHXHCQQXXCGAL-ABAIWWIYSA-N 0 1 299.334 0.386 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)Cn2ncnn2)C1 ZINC001269794079 813511654 /nfs/dbraw/zinc/51/16/54/813511654.db2.gz NEWYTNDZJYPBFG-NSHDSACASA-N 0 1 278.360 0.170 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](C)n3cncn3)[C@@H]2C1 ZINC001075707476 813530176 /nfs/dbraw/zinc/53/01/76/813530176.db2.gz YYMAXPDTBAGIHL-MELADBBJSA-N 0 1 287.367 0.395 20 30 CCEDMN C#CCCCC(=O)NC1(C)CCN([C@@H]2CCNC2=O)CC1 ZINC001270225661 813686075 /nfs/dbraw/zinc/68/60/75/813686075.db2.gz LTSUOWHFMLGCFJ-CYBMUJFWSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C(=O)NCC1CC1 ZINC001270287881 813709445 /nfs/dbraw/zinc/70/94/45/813709445.db2.gz STYLITLFEAAOSW-GFCCVEGCSA-N 0 1 299.802 0.846 20 30 CCEDMN CCn1cc(CNC[C@H](C)CNC(=O)C#CC(C)C)nn1 ZINC001270402140 813751080 /nfs/dbraw/zinc/75/10/80/813751080.db2.gz QPTRBYKUASLVSI-ZDUSSCGKSA-N 0 1 291.399 0.799 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)CN2CCCC2=O)C1 ZINC001270539556 813802807 /nfs/dbraw/zinc/80/28/07/813802807.db2.gz WQIYGWLNCUWPFU-HNNXBMFYSA-N 0 1 277.368 0.213 20 30 CCEDMN C=CCOCC(=O)N[C@@]1(C)CCN([C@H]2CCCNC2=O)C1 ZINC001270556161 813811742 /nfs/dbraw/zinc/81/17/42/813811742.db2.gz AZNLMEQGMAETMN-WFASDCNBSA-N 0 1 295.383 0.048 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cc2nnc(C)o2)C1 ZINC001270581899 813824340 /nfs/dbraw/zinc/82/43/40/813824340.db2.gz BMKLSBPNZJJOIW-CYBMUJFWSA-N 0 1 262.313 0.134 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)CNC(=O)OC)C1 ZINC001270620416 813841985 /nfs/dbraw/zinc/84/19/85/813841985.db2.gz MYUKDMGEGROFOB-GFCCVEGCSA-N 0 1 299.371 0.126 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2[C@@H]1CCCNC1=O ZINC001029245320 814013343 /nfs/dbraw/zinc/01/33/43/814013343.db2.gz UIGRIHWEIJKXIT-FVCCEPFGSA-N 0 1 290.367 0.100 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCOC2)[C@H]1CC ZINC001087515369 814046783 /nfs/dbraw/zinc/04/67/83/814046783.db2.gz MNECSDOWTHXGAV-RWMBFGLXSA-N 0 1 250.342 0.625 20 30 CCEDMN CC(C)N(C)C(=O)CN1CCC(NC(=O)[C@H](C)C#N)CC1 ZINC001226624653 814061965 /nfs/dbraw/zinc/06/19/65/814061965.db2.gz BXDWFHZFBVJNSG-GFCCVEGCSA-N 0 1 294.399 0.593 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cc[nH]n2)[C@H]1CC ZINC001087527386 814065774 /nfs/dbraw/zinc/06/57/74/814065774.db2.gz FRTJOPNNOKRWEF-QWHCGFSZSA-N 0 1 260.341 0.555 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cn4c(n3)CCC4)[C@@H]2C1 ZINC001075807723 814097321 /nfs/dbraw/zinc/09/73/21/814097321.db2.gz RYEFVVUVTATXJQ-DZGCQCFKSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnnn2C)[C@H]1CC ZINC001087559296 814158875 /nfs/dbraw/zinc/15/88/75/814158875.db2.gz BSWQGDXTXLSGLW-WDEREUQCSA-N 0 1 261.329 0.031 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)CN2CCC[C@@H]2C)CCN1CC#N ZINC001087725457 814198789 /nfs/dbraw/zinc/19/87/89/814198789.db2.gz PKGSGZKMZVQLIT-MELADBBJSA-N 0 1 278.400 0.963 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnn(C)n2)[C@H]1CC ZINC001087760270 814204636 /nfs/dbraw/zinc/20/46/36/814204636.db2.gz FUJVTHAUZILGDM-WCQYABFASA-N 0 1 275.356 0.421 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)N2)[C@H]1CC ZINC001087759352 814205244 /nfs/dbraw/zinc/20/52/44/814205244.db2.gz LEPSKURNAJRRRP-FRRDWIJNSA-N 0 1 277.368 0.257 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CSCC#N)[C@H](OC)C1 ZINC001211886229 814281338 /nfs/dbraw/zinc/28/13/38/814281338.db2.gz GWZUYFGZIHXAHF-VXGBXAGGSA-N 0 1 281.381 0.082 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)[C@@H]2CCOC2)C1 ZINC001271254784 814353564 /nfs/dbraw/zinc/35/35/64/814353564.db2.gz NDCBEYWKYFMMNW-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC1(O)CN([C@@H](C)CCC=C)C1 ZINC001271281862 814365477 /nfs/dbraw/zinc/36/54/77/814365477.db2.gz JZTQZBOUIKKIRN-KBPBESRZSA-N 0 1 294.395 0.542 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC1(O)CN([C@@H](C)CCC=C)C1 ZINC001271281864 814365657 /nfs/dbraw/zinc/36/56/57/814365657.db2.gz JZTQZBOUIKKIRN-UONOGXRCSA-N 0 1 294.395 0.542 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(CC(N)=O)C2 ZINC001271415009 814408866 /nfs/dbraw/zinc/40/88/66/814408866.db2.gz NZANBFJTFFSLGS-MJBXVCDLSA-N 0 1 291.395 0.634 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3C[C@@H](C)O)nc1 ZINC001029556722 814421987 /nfs/dbraw/zinc/42/19/87/814421987.db2.gz JPNGHSASFQVCSD-YUELXQCFSA-N 0 1 299.374 0.733 20 30 CCEDMN C=C1CC(C(=O)N2Cc3n[nH]c(C(=O)N(C)C)c3C2)C1 ZINC001271458652 814453416 /nfs/dbraw/zinc/45/34/16/814453416.db2.gz YOJPJSJWALXAQK-UHFFFAOYSA-N 0 1 274.324 0.920 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1c(C)noc1CC ZINC001127052106 815605598 /nfs/dbraw/zinc/60/55/98/815605598.db2.gz RRFLOJOLOCRTBB-LBPRGKRZSA-N 0 1 293.367 0.790 20 30 CCEDMN CCCOCC(=O)N(C)CCN(C)CC#CCOC ZINC001271976863 814659471 /nfs/dbraw/zinc/65/94/71/814659471.db2.gz AJXIERSPXVJCPY-UHFFFAOYSA-N 0 1 270.373 0.453 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c[nH]c(=O)cn2)[C@@H]1C ZINC000986744333 814765705 /nfs/dbraw/zinc/76/57/05/814765705.db2.gz AKBDPJCEJHKDJD-ZJUUUORDSA-N 0 1 296.758 0.715 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)Cc2cncnc2)[C@H]1C ZINC001088545406 814867700 /nfs/dbraw/zinc/86/77/00/814867700.db2.gz DWVHRACOUJMZGZ-OCCSQVGLSA-N 0 1 272.352 0.621 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cncnc2)[C@H]1C ZINC001088545406 814867704 /nfs/dbraw/zinc/86/77/04/814867704.db2.gz DWVHRACOUJMZGZ-OCCSQVGLSA-N 0 1 272.352 0.621 20 30 CCEDMN CCCN(CCNC(=O)c1ncn[nH]1)c1ccncc1C#N ZINC001101169834 815613221 /nfs/dbraw/zinc/61/32/21/815613221.db2.gz AAWHUSZITRLYNH-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN CCCN(CCNC(=O)c1nc[nH]n1)c1ccncc1C#N ZINC001101169834 815613227 /nfs/dbraw/zinc/61/32/27/815613227.db2.gz AAWHUSZITRLYNH-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCCc3[nH]cnc32)CCN1CC#N ZINC001088849507 816068220 /nfs/dbraw/zinc/06/82/20/816068220.db2.gz ULLHPPVWOLJDCI-UTUOFQBUSA-N 0 1 287.367 0.932 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@]2(C)CCNC2=O)[C@H]1C ZINC001088854543 816078573 /nfs/dbraw/zinc/07/85/73/816078573.db2.gz TYLIYJXDSSGLHT-TYNCELHUSA-N 0 1 277.368 0.115 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nc3ncccn3n2)[C@H]1C ZINC001088931255 816180995 /nfs/dbraw/zinc/18/09/95/816180995.db2.gz SLRSUXZPOJNGOJ-MNOVXSKESA-N 0 1 286.339 0.503 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC001030701574 816235457 /nfs/dbraw/zinc/23/54/57/816235457.db2.gz WCCNFRDOXLUQRR-NHYWBVRUSA-N 0 1 286.379 0.899 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001015077582 816336381 /nfs/dbraw/zinc/33/63/81/816336381.db2.gz NDWJQVMBKJURJI-SNVBAGLBSA-N 0 1 273.340 0.616 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)CC(N)=O ZINC001099164330 816454613 /nfs/dbraw/zinc/45/46/13/816454613.db2.gz CJSZRSXPFAOSIF-CHWSQXEVSA-N 0 1 293.411 0.738 20 30 CCEDMN C#CCNCC(=O)NC[C@H](OC)c1ccc(F)cc1 ZINC001121973246 816514304 /nfs/dbraw/zinc/51/43/04/816514304.db2.gz LIUNAZCNYQYSKS-ZDUSSCGKSA-N 0 1 264.300 0.852 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C(CC)CC ZINC001234197092 816517246 /nfs/dbraw/zinc/51/72/46/816517246.db2.gz KCRAAJHNVSAFEP-ZDUSSCGKSA-N 0 1 295.427 0.999 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CCN1CCOC ZINC001234266236 816590358 /nfs/dbraw/zinc/59/03/58/816590358.db2.gz OBDXXSDAZRQQFX-OLZOCXBDSA-N 0 1 268.357 0.204 20 30 CCEDMN N#Cc1cccc(CN2CC(NC(=O)c3nc[nH]n3)C2)c1 ZINC001031123266 816670061 /nfs/dbraw/zinc/67/00/61/816670061.db2.gz LSKPDPJYAVCXPV-UHFFFAOYSA-N 0 1 282.307 0.291 20 30 CCEDMN N#Cc1cccc(CN2CC(NC(=O)c3ncn[nH]3)C2)c1 ZINC001031123266 816670062 /nfs/dbraw/zinc/67/00/62/816670062.db2.gz LSKPDPJYAVCXPV-UHFFFAOYSA-N 0 1 282.307 0.291 20 30 CCEDMN CCN(CCNc1cnc(C#N)cn1)C(=O)c1cc(C)[nH]n1 ZINC001106692266 816852603 /nfs/dbraw/zinc/85/26/03/816852603.db2.gz QANOXKBLHQTWFB-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN CCN(CCNc1cncc(C#N)n1)C(=O)Cc1ccn[nH]1 ZINC001106713622 816863245 /nfs/dbraw/zinc/86/32/45/816863245.db2.gz SUPDSWTYFVIULX-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN N#CCN1CC(NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001031390081 817024475 /nfs/dbraw/zinc/02/44/75/817024475.db2.gz HLIUCIJIOPDQBO-UHFFFAOYSA-N 0 1 273.340 0.616 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCn1cccn1 ZINC001123712078 817029120 /nfs/dbraw/zinc/02/91/20/817029120.db2.gz AWBCLXRXXADKCB-UHFFFAOYSA-N 0 1 256.737 0.732 20 30 CCEDMN CC[C@@H](CN1C[C@@H](NC(=O)C#CC(C)C)[C@H](OC)C1)OC ZINC001212241044 817169805 /nfs/dbraw/zinc/16/98/05/817169805.db2.gz ITDJGTHGVVDRTO-RRFJBIMHSA-N 0 1 296.411 0.886 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnnc(C)c2)C1 ZINC001031635440 817205577 /nfs/dbraw/zinc/20/55/77/817205577.db2.gz SZOAHIUULPANPR-UHFFFAOYSA-N 0 1 258.325 0.470 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001031710490 817273819 /nfs/dbraw/zinc/27/38/19/817273819.db2.gz MNLYQGDUYZYGPI-CQSZACIVSA-N 0 1 293.411 0.867 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccnc3ccnn32)C1 ZINC001031726544 817292505 /nfs/dbraw/zinc/29/25/05/817292505.db2.gz CKCOPSNRKNMWNI-UHFFFAOYSA-N 0 1 285.351 0.967 20 30 CCEDMN CCc1n[nH]c(C(=O)NCC2CN(CC#N)C2)c1Cl ZINC001031738246 817302078 /nfs/dbraw/zinc/30/20/78/817302078.db2.gz LPUHNWHVBPEEMB-UHFFFAOYSA-N 0 1 281.747 0.811 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCNC1=O ZINC001089680734 817310420 /nfs/dbraw/zinc/31/04/20/817310420.db2.gz QVCMOHDULKSOMA-OLZOCXBDSA-N 0 1 291.395 0.363 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCCN(C(N)=O)C2)C1 ZINC001031826636 817398809 /nfs/dbraw/zinc/39/88/09/817398809.db2.gz PITYYYCREWCRRS-CYBMUJFWSA-N 0 1 294.399 0.401 20 30 CCEDMN C=CCN1C(=O)COCC12CN(CCC(C)(C)OC)C2 ZINC001272692915 817401865 /nfs/dbraw/zinc/40/18/65/817401865.db2.gz DZGOSNHTFAOIAL-UHFFFAOYSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001031969334 817506314 /nfs/dbraw/zinc/50/63/14/817506314.db2.gz HIFAHECOHIRFDV-GFCCVEGCSA-N 0 1 265.357 0.279 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001031969313 817506344 /nfs/dbraw/zinc/50/63/44/817506344.db2.gz GTWRVOJFURYVFU-CYBMUJFWSA-N 0 1 279.384 0.669 20 30 CCEDMN N#CCN1CC(CNC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001031968671 817506409 /nfs/dbraw/zinc/50/64/09/817506409.db2.gz DMCACUMUWWBEMC-NSHDSACASA-N 0 1 273.340 0.401 20 30 CCEDMN CCN(CCNc1nccnc1C#N)C(=O)Cc1c[nH]cn1 ZINC001106960947 817513174 /nfs/dbraw/zinc/51/31/74/817513174.db2.gz BMIDWHKPJIEBCW-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001032014898 817547385 /nfs/dbraw/zinc/54/73/85/817547385.db2.gz MERMNARCBXZXHQ-ZDUSSCGKSA-N 0 1 279.384 0.479 20 30 CCEDMN C=CCOCC(=O)NCCNCc1n[nH]c(C(C)(C)C)n1 ZINC001124765323 817567902 /nfs/dbraw/zinc/56/79/02/817567902.db2.gz SLRWIPVARZKOKO-UHFFFAOYSA-N 0 1 295.387 0.511 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cc(CO)ccc1F ZINC001124768160 817569961 /nfs/dbraw/zinc/56/99/61/817569961.db2.gz VHYNFBUQQKERDP-UHFFFAOYSA-N 0 1 296.342 0.726 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn3ccc(C)nc23)C1 ZINC001032133699 817661205 /nfs/dbraw/zinc/66/12/05/817661205.db2.gz QUSOLLLIBBONRZ-UHFFFAOYSA-N 0 1 297.362 0.723 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(CC)on2)C1 ZINC001032135601 817662466 /nfs/dbraw/zinc/66/24/66/817662466.db2.gz BZBXLGPUHDKFAK-UHFFFAOYSA-N 0 1 261.325 0.922 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2noc3c2COCC3)C1 ZINC001032197051 817692134 /nfs/dbraw/zinc/69/21/34/817692134.db2.gz DMSGFQCEZIAQTG-UHFFFAOYSA-N 0 1 291.351 0.985 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001032204065 817699600 /nfs/dbraw/zinc/69/96/00/817699600.db2.gz HXCGDLPRWHUNRW-LBPRGKRZSA-N 0 1 275.356 0.075 20 30 CCEDMN N#Cc1cccnc1NCC=CCNC(=O)c1ncn[nH]1 ZINC001107286834 817824661 /nfs/dbraw/zinc/82/46/61/817824661.db2.gz GIZQKMVTAPQPLO-UPHRSURJSA-N 0 1 283.295 0.469 20 30 CCEDMN N#Cc1cccnc1NCC=CCNC(=O)c1nc[nH]n1 ZINC001107286834 817824671 /nfs/dbraw/zinc/82/46/71/817824671.db2.gz GIZQKMVTAPQPLO-UPHRSURJSA-N 0 1 283.295 0.469 20 30 CCEDMN Cc1nc(NCC=CCNC(=O)c2cnn[nH]2)ccc1C#N ZINC001107586613 817889095 /nfs/dbraw/zinc/88/90/95/817889095.db2.gz FBVHALIWPKYQOV-IHWYPQMZSA-N 0 1 297.322 0.778 20 30 CCEDMN C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1nc[nH]n1 ZINC001032340017 817908265 /nfs/dbraw/zinc/90/82/65/817908265.db2.gz HHHGGXRYMNTHKQ-RYUDHWBXSA-N 0 1 273.340 0.046 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1nc[nH]n1 ZINC001032340017 817908269 /nfs/dbraw/zinc/90/82/69/817908269.db2.gz HHHGGXRYMNTHKQ-RYUDHWBXSA-N 0 1 273.340 0.046 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1nnc[nH]1 ZINC001032340017 817908271 /nfs/dbraw/zinc/90/82/71/817908271.db2.gz HHHGGXRYMNTHKQ-RYUDHWBXSA-N 0 1 273.340 0.046 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H](C)CNc2cncc(C#N)n2)c1C ZINC001107664013 817930593 /nfs/dbraw/zinc/93/05/93/817930593.db2.gz YPFAWCHMGWLAKO-MRVPVSSYSA-N 0 1 299.338 0.919 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@@H]2COCCO2)C1 ZINC001107757927 817988030 /nfs/dbraw/zinc/98/80/30/817988030.db2.gz XZLSJXYMEJZFOZ-DZGCQCFKSA-N 0 1 298.383 0.185 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)N1CCOCC1 ZINC001032821093 818067103 /nfs/dbraw/zinc/06/71/03/818067103.db2.gz QCENZFQPBYRNJI-KKUMJFAQSA-N 0 1 291.395 0.016 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCNCc1cnnn1C ZINC001128242307 818130403 /nfs/dbraw/zinc/13/04/03/818130403.db2.gz MSAZVLSYPRDTHT-UHFFFAOYSA-N 0 1 265.361 0.623 20 30 CCEDMN C=C(C)CCC(=O)NCCNCc1cnnn1C ZINC001128405064 818220289 /nfs/dbraw/zinc/22/02/89/818220289.db2.gz MEKCLBKBMJJWQC-UHFFFAOYSA-N 0 1 251.334 0.377 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CCCC2)[C@@H](O)C1 ZINC001090011599 818267595 /nfs/dbraw/zinc/26/75/95/818267595.db2.gz WPMMNHMAFHXZHV-KGLIPLIRSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cncn1C ZINC001272996621 818283313 /nfs/dbraw/zinc/28/33/13/818283313.db2.gz KLSNOKUTPJMUEA-ZIAGYGMSSA-N 0 1 272.352 0.619 20 30 CCEDMN C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NCCC#N ZINC000427647083 818301559 /nfs/dbraw/zinc/30/15/59/818301559.db2.gz UHZIMBOHFJFBRM-SECBINFHSA-N 0 1 285.307 0.711 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc[n+]([O-])cc2)C1 ZINC001032886336 818337490 /nfs/dbraw/zinc/33/74/90/818337490.db2.gz SQPKFTJZHATANF-ZDUSSCGKSA-N 0 1 261.325 0.652 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccoc2C)[C@H](O)C1 ZINC001090054093 818371763 /nfs/dbraw/zinc/37/17/63/818371763.db2.gz HPKGVQJLJBXJHU-CHWSQXEVSA-N 0 1 264.325 0.939 20 30 CCEDMN CN(C(=O)[C@@H]1CCCN1C)[C@@H]1CCN(CC#N)C1 ZINC001032946293 818382772 /nfs/dbraw/zinc/38/27/72/818382772.db2.gz VPNPCFDKCRSZRD-NEPJUHHUSA-N 0 1 250.346 0.137 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(Cl)c[nH]2)[C@H](O)C1 ZINC001090057444 818401738 /nfs/dbraw/zinc/40/17/38/818401738.db2.gz LQHVTWMZXHRTPH-DGCLKSJQSA-N 0 1 295.770 0.856 20 30 CCEDMN C=CCN1C(=O)COCC12CN(Cc1cnc[nH]1)C2 ZINC001273024168 818462121 /nfs/dbraw/zinc/46/21/21/818462121.db2.gz NYHGBPJZHGADMJ-UHFFFAOYSA-N 0 1 262.313 0.009 20 30 CCEDMN CN(C(=O)[C@@H]1CCCc2c[nH]nc21)[C@@H]1CCN(CC#N)C1 ZINC001033086256 818503306 /nfs/dbraw/zinc/50/33/06/818503306.db2.gz VGROQDUHJLRWJJ-CHWSQXEVSA-N 0 1 287.367 0.886 20 30 CCEDMN C=CCCN1CC[C@@H](N(C)C(=O)C2CS(=O)(=O)C2)C1 ZINC001033109766 818530181 /nfs/dbraw/zinc/53/01/81/818530181.db2.gz DXEUWAVAWGPORU-GFCCVEGCSA-N 0 1 286.397 0.140 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001033120558 818544535 /nfs/dbraw/zinc/54/45/35/818544535.db2.gz UUUQCZWZAYIMHP-KBPBESRZSA-N 0 1 293.411 0.962 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033172907 818604297 /nfs/dbraw/zinc/60/42/97/818604297.db2.gz WTWGBERUHCJSMW-NWDGAFQWSA-N 0 1 265.357 0.374 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033202169 818647057 /nfs/dbraw/zinc/64/70/57/818647057.db2.gz FONYIVCCBVTJSP-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)nn2C)[C@@H](O)C1 ZINC001090121541 818659328 /nfs/dbraw/zinc/65/93/28/818659328.db2.gz ZGTNWGNYINDCNS-AAEUAGOBSA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN2CCCNC2=O)C1 ZINC001033228842 818665179 /nfs/dbraw/zinc/66/51/79/818665179.db2.gz NWJADWMYBPGHHU-GFCCVEGCSA-N 0 1 280.372 0.120 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001033414263 818762414 /nfs/dbraw/zinc/76/24/14/818762414.db2.gz UGSBEJMJNCNTPW-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2ncc[nH]2)C1 ZINC001033530990 818817150 /nfs/dbraw/zinc/81/71/50/818817150.db2.gz BAVLBAGKSCOHCQ-LBPRGKRZSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001033577962 818837017 /nfs/dbraw/zinc/83/70/17/818837017.db2.gz RZAYIUYLOYZGEP-OCCSQVGLSA-N 0 1 286.379 0.681 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001033582224 818837647 /nfs/dbraw/zinc/83/76/47/818837647.db2.gz INNYPTQESGSRKL-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC001033623413 818857181 /nfs/dbraw/zinc/85/71/81/818857181.db2.gz RZJMMDYJZRLMDS-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN CCN(C(=O)c1cc(C)[nH]n1)[C@H]1CCN(CC#N)C1 ZINC001033766311 818929387 /nfs/dbraw/zinc/92/93/87/818929387.db2.gz LUKAYHSKUABJBS-NSHDSACASA-N 0 1 261.329 0.778 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)C2=CCOCC2)C1 ZINC001033812763 818952446 /nfs/dbraw/zinc/95/24/46/818952446.db2.gz CGQVKZHSQUODJA-AWEZNQCLSA-N 0 1 262.353 0.889 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033859125 818970678 /nfs/dbraw/zinc/97/06/78/818970678.db2.gz PDNFFBPVMLYFFC-GFCCVEGCSA-N 0 1 290.367 0.998 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033881729 818979063 /nfs/dbraw/zinc/97/90/63/818979063.db2.gz USTYIFGTFKFMFK-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033920820 818997437 /nfs/dbraw/zinc/99/74/37/818997437.db2.gz WLFRXUJUZAHULV-NSHDSACASA-N 0 1 276.340 0.295 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccncc2F)[C@@H](O)C1 ZINC001090156666 819000513 /nfs/dbraw/zinc/00/05/13/819000513.db2.gz WFKGBNOUIUMEKJ-KGLIPLIRSA-N 0 1 293.342 0.962 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033931692 819000819 /nfs/dbraw/zinc/00/08/19/819000819.db2.gz QSCJZLKKDOMAHQ-GFCCVEGCSA-N 0 1 288.351 0.660 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)c2nc[nH]n2)C1 ZINC001033993307 819025592 /nfs/dbraw/zinc/02/55/92/819025592.db2.gz TUURHTJZGCRPBT-LLVKDONJSA-N 0 1 263.345 0.917 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2nc[nH]n2)C1 ZINC001033993307 819025595 /nfs/dbraw/zinc/02/55/95/819025595.db2.gz TUURHTJZGCRPBT-LLVKDONJSA-N 0 1 263.345 0.917 20 30 CCEDMN C#CCCN1CC[C@H](N(CC)C(=O)c2cnon2)C1 ZINC001033997880 819028894 /nfs/dbraw/zinc/02/88/94/819028894.db2.gz XZKNDXXCTYKAMJ-NSHDSACASA-N 0 1 262.313 0.629 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CC(F)(F)C2)[C@@H](O)C1 ZINC001090182196 819041662 /nfs/dbraw/zinc/04/16/62/819041662.db2.gz GYPJZJDGZDDONV-RYUDHWBXSA-N 0 1 286.322 0.606 20 30 CCEDMN C=CCN1CCCC[C@@H](NC(=O)c2nccnc2N)C1 ZINC001034141628 819086116 /nfs/dbraw/zinc/08/61/16/819086116.db2.gz HDWSANVGTHCCGX-LLVKDONJSA-N 0 1 275.356 0.829 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001034142590 819086232 /nfs/dbraw/zinc/08/62/32/819086232.db2.gz PXTHZZFBLLMLBO-HNNXBMFYSA-N 0 1 288.395 0.957 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CN(C)CCO2)C1 ZINC001034148844 819096169 /nfs/dbraw/zinc/09/61/69/819096169.db2.gz PBYOTHVMTVJEQI-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2cn(C)nn2)C1 ZINC001034192132 819115154 /nfs/dbraw/zinc/11/51/54/819115154.db2.gz JMVACAMGVCIAPY-LBPRGKRZSA-N 0 1 275.356 0.423 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001034232830 819131266 /nfs/dbraw/zinc/13/12/66/819131266.db2.gz YUSMMRLJUBZTGF-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001034232418 819131848 /nfs/dbraw/zinc/13/18/48/819131848.db2.gz UETQFIIENAGSGT-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc2n(n1)CCC2 ZINC001128900132 819134917 /nfs/dbraw/zinc/13/49/17/819134917.db2.gz YSDPTZVLQMHEJG-UHFFFAOYSA-N 0 1 268.748 0.901 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001034266849 819148150 /nfs/dbraw/zinc/14/81/50/819148150.db2.gz ZRXULEJJJWDAIF-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCC#C)C[C@@H]1n1ccnn1 ZINC001128939166 819173227 /nfs/dbraw/zinc/17/32/27/819173227.db2.gz ILPAKAWXSYECHL-CABCVRRESA-N 0 1 299.378 0.446 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001034361686 819186150 /nfs/dbraw/zinc/18/61/50/819186150.db2.gz CFSZVAXHJQHCNE-CJNGLKHVSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2nonc2C)C1 ZINC001034465180 819208054 /nfs/dbraw/zinc/20/80/54/819208054.db2.gz MNDOOCDPPHCVAC-LBPRGKRZSA-N 0 1 276.340 0.524 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CC(=O)N[C@H](C)C2)CC1 ZINC001045485168 819253282 /nfs/dbraw/zinc/25/32/82/819253282.db2.gz FDCVVYMTLZGDQA-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C)nn2)[C@@H](O)C1 ZINC001090257694 819320879 /nfs/dbraw/zinc/32/08/79/819320879.db2.gz KEAKUTXZMZSCAQ-JSGCOSHPSA-N 0 1 290.367 0.526 20 30 CCEDMN COc1cc(CNC[C@H]2CCCN2C(=O)[C@H](C)C#N)on1 ZINC001034886607 819356050 /nfs/dbraw/zinc/35/60/50/819356050.db2.gz LNFADNCLHNVNIM-GHMZBOCLSA-N 0 1 292.339 0.923 20 30 CCEDMN C=C(C)CN1CCO[C@H](CNC(=O)[C@@H]2CCCN2C)C1 ZINC001035308102 819458885 /nfs/dbraw/zinc/45/88/85/819458885.db2.gz UPRZDHZDEBAARB-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN CC#CCN1CCO[C@H](CNC(=O)[C@H]2CCCCN2C)C1 ZINC001035417122 819520748 /nfs/dbraw/zinc/52/07/48/819520748.db2.gz PWVFZBICAYOHAH-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2[nH]nnc2C)C1 ZINC001035469301 819539088 /nfs/dbraw/zinc/53/90/88/819539088.db2.gz DVPPTRHMJYRRRD-GFCCVEGCSA-N 0 1 293.371 0.510 20 30 CCEDMN N#CCN1CC2(C1)CCN(C(=O)c1ccn[nH]1)CC2 ZINC001035655192 819592848 /nfs/dbraw/zinc/59/28/48/819592848.db2.gz FJUXGPJVRXBULF-UHFFFAOYSA-N 0 1 259.313 0.471 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](C)OC)CC2 ZINC001035665349 819606732 /nfs/dbraw/zinc/60/67/32/819606732.db2.gz ILHNJXOJAUGDSA-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)Cn1cncn1)CC2 ZINC001035848424 819633190 /nfs/dbraw/zinc/63/31/90/819633190.db2.gz WKXMCUFNERXHQW-UHFFFAOYSA-N 0 1 275.356 0.389 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCc1cnccn1)CC2 ZINC001035831866 819635020 /nfs/dbraw/zinc/63/50/20/819635020.db2.gz SOBBCFBPERVGKT-UHFFFAOYSA-N 0 1 298.390 0.967 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@H](C)N(C)C1CC1 ZINC000710261744 819824441 /nfs/dbraw/zinc/82/44/41/819824441.db2.gz SGPHNNKVWRDCGL-NSHDSACASA-N 0 1 258.387 0.802 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@@H]1CCN(c2nccnc2C#N)C1 ZINC001062890294 820120067 /nfs/dbraw/zinc/12/00/67/820120067.db2.gz MYMWGBZHXDITJF-SNVBAGLBSA-N 0 1 297.322 0.422 20 30 CCEDMN CC(C)C#CC(=O)NCC1(NC(=O)c2ncn[nH]2)CCC1 ZINC001062956791 820126540 /nfs/dbraw/zinc/12/65/40/820126540.db2.gz RGOQBDZFCSYLIE-UHFFFAOYSA-N 0 1 289.339 0.233 20 30 CCEDMN CC(C)C#CC(=O)NCC1(NC(=O)c2nc[nH]n2)CCC1 ZINC001062956791 820126544 /nfs/dbraw/zinc/12/65/44/820126544.db2.gz RGOQBDZFCSYLIE-UHFFFAOYSA-N 0 1 289.339 0.233 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc[nH]c2)[C@@H](O)C1 ZINC001090289387 820142540 /nfs/dbraw/zinc/14/25/40/820142540.db2.gz IJMOUWAXVRLWJJ-OLZOCXBDSA-N 0 1 261.325 0.203 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)[C@@]2(C)CCCOC2)[C@@H](O)C1 ZINC001083895809 820204082 /nfs/dbraw/zinc/20/40/82/820204082.db2.gz HFNPIWQTPKVBJM-IPYPFGDCSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccns2)[C@@H](O)C1 ZINC001090303127 820231445 /nfs/dbraw/zinc/23/14/45/820231445.db2.gz JCLDTQJOCPIURK-ZJUUUORDSA-N 0 1 267.354 0.494 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(F)CCOCC2)[C@@H](O)C1 ZINC001090307789 820244437 /nfs/dbraw/zinc/24/44/37/820244437.db2.gz PDPPXYDTPQGCPI-STQMWFEESA-N 0 1 298.358 0.080 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CN(C(=O)c2ccn[nH]2)CCO1 ZINC001064888050 820265653 /nfs/dbraw/zinc/26/56/53/820265653.db2.gz SFLMZSNOXWTJKK-LLVKDONJSA-N 0 1 292.339 0.333 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccsn2)[C@H](O)C1 ZINC001090353133 820291863 /nfs/dbraw/zinc/29/18/63/820291863.db2.gz XJPQMGFHYRZXKM-MWLCHTKSSA-N 0 1 267.354 0.494 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)n2cccn2)C1 ZINC001079438590 820397569 /nfs/dbraw/zinc/39/75/69/820397569.db2.gz AHWIULUIRYYOGR-JHJVBQTASA-N 0 1 260.341 0.514 20 30 CCEDMN C=CCCC(=O)N(CC)C1CN(C(=O)Cc2c[nH]cn2)C1 ZINC001079474201 820405862 /nfs/dbraw/zinc/40/58/62/820405862.db2.gz RNTMNOLGXQGHBR-UHFFFAOYSA-N 0 1 290.367 0.978 20 30 CCEDMN CCN(C(=O)Cc1ccn[nH]1)C1CN(C(=O)[C@@H](C)C#N)C1 ZINC001079542688 820423490 /nfs/dbraw/zinc/42/34/90/820423490.db2.gz BTRRMQSNJBNBJS-JTQLQIEISA-N 0 1 289.339 0.171 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001079601274 820435892 /nfs/dbraw/zinc/43/58/92/820435892.db2.gz QUFWDZYVLVEOHG-BXUZGUMPSA-N 0 1 270.336 0.960 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001079834380 820473892 /nfs/dbraw/zinc/47/38/92/820473892.db2.gz BMLUBWLWBPUCTJ-XJKCOSOUSA-N 0 1 298.390 0.651 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001079981970 820499907 /nfs/dbraw/zinc/49/99/07/820499907.db2.gz IYZGAXOPYXDHFP-UMVBOHGHSA-N 0 1 286.379 0.515 20 30 CCEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001080745642 820629678 /nfs/dbraw/zinc/62/96/78/820629678.db2.gz MEPSCCWFJBAPDQ-MGPQQGTHSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c(OC)cc[nH]c2=O)C1 ZINC001080792374 820641380 /nfs/dbraw/zinc/64/13/80/820641380.db2.gz XEEXMFYTIFDXET-GHMZBOCLSA-N 0 1 289.335 0.479 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001080814274 820642120 /nfs/dbraw/zinc/64/21/20/820642120.db2.gz ONGJWLFZMMCBLH-YUELXQCFSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCNCc1cnn(C)n1 ZINC001164223140 820672086 /nfs/dbraw/zinc/67/20/86/820672086.db2.gz PXIBUJGATSRDFZ-RYUDHWBXSA-N 0 1 279.388 0.869 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccncc2)[C@H](OC)C1 ZINC001081280543 820731002 /nfs/dbraw/zinc/73/10/02/820731002.db2.gz XYAISGQRTFZSFM-ZIAGYGMSSA-N 0 1 273.336 0.534 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(F)nc2)[C@H](OC)C1 ZINC001081451532 820764820 /nfs/dbraw/zinc/76/48/20/820764820.db2.gz HGDKPSSHWXZXFH-CHWSQXEVSA-N 0 1 291.326 0.673 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2nnn(C)c2C)[C@H](OC)C1 ZINC001081475847 820770811 /nfs/dbraw/zinc/77/08/11/820770811.db2.gz ZQCBQYAARDJBRO-VXGBXAGGSA-N 0 1 293.371 0.129 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccc(C)o2)[C@H](OC)C1 ZINC001081554503 820788770 /nfs/dbraw/zinc/78/87/70/820788770.db2.gz AXQVBTCJEZLUGT-HUUCEWRRSA-N 0 1 290.363 0.969 20 30 CCEDMN CO[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1ccn2cncc2c1 ZINC001081900043 820859435 /nfs/dbraw/zinc/85/94/35/820859435.db2.gz YBFXYAAVSHJILS-ZIAGYGMSSA-N 0 1 299.334 0.287 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2nc(C)c[nH]2)[C@H](OC)C1 ZINC001082217080 820917811 /nfs/dbraw/zinc/91/78/11/820917811.db2.gz IIPQZYTVHSXEFM-VXGBXAGGSA-N 0 1 276.340 0.170 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2[nH]cnc2CC)[C@H](OC)C1 ZINC001082349598 820942289 /nfs/dbraw/zinc/94/22/89/820942289.db2.gz JKNAARCQOILKEH-CHWSQXEVSA-N 0 1 292.383 0.977 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H](C)CC)[C@H]2C1 ZINC001083005967 821118126 /nfs/dbraw/zinc/11/81/26/821118126.db2.gz RANSGCBDQSMLQL-HZSPNIEDSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H]3C[C@@H]3C(F)F)[C@H]2C1 ZINC001083069729 821118396 /nfs/dbraw/zinc/11/83/96/821118396.db2.gz OCDVMVUJCAVJGQ-ZDEQEGDKSA-N 0 1 298.333 0.823 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(OCC)n2)[C@H](O)C1 ZINC001090395410 821144281 /nfs/dbraw/zinc/14/42/81/821144281.db2.gz BNRCJGCOTMMPAU-ZYHUDNBSSA-N 0 1 295.339 0.424 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c[nH]c3cccnc32)[C@@H](O)C1 ZINC001083956141 821162181 /nfs/dbraw/zinc/16/21/81/821162181.db2.gz ABJQCCXRCBIOFU-KGLIPLIRSA-N 0 1 298.346 0.361 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cn(C)nc2Cl)[C@@H](O)C1 ZINC001083942604 821163841 /nfs/dbraw/zinc/16/38/41/821163841.db2.gz YCTCEVAXIGMYRY-MNOVXSKESA-N 0 1 298.774 0.425 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCC)C[C@@H]2O)cn1 ZINC001083969008 821176588 /nfs/dbraw/zinc/17/65/88/821176588.db2.gz PESRMOUEDPPDAA-KGLIPLIRSA-N 0 1 273.336 0.248 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CC=C(C)C)C[C@@H]2O)cn1 ZINC001083969196 821176888 /nfs/dbraw/zinc/17/68/88/821176888.db2.gz YMVSPIOTDUZDOM-CVEARBPZSA-N 0 1 299.374 0.804 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccc[nH]2)[C@@H](O)C1 ZINC001084078866 821184871 /nfs/dbraw/zinc/18/48/71/821184871.db2.gz LFEKPDQAOBFAGW-OLZOCXBDSA-N 0 1 263.341 0.295 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c[nH]c3ncccc23)[C@@H](O)C1 ZINC001084071300 821193095 /nfs/dbraw/zinc/19/30/95/821193095.db2.gz VDSYWZQEVSAILA-KGLIPLIRSA-N 0 1 298.346 0.361 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cnnn3C)[C@@H]2C1 ZINC001084314918 821268754 /nfs/dbraw/zinc/26/87/54/821268754.db2.gz GBQZBJBLUGNUCL-ZYHUDNBSSA-N 0 1 261.329 0.147 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3ccn(C)n3)[C@@H]2C1 ZINC001084337376 821272989 /nfs/dbraw/zinc/27/29/89/821272989.db2.gz BSDJKTZLIUFEKH-TZMCWYRMSA-N 0 1 274.368 0.681 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H]2CN(C(=O)Cn3c[nH+]cc3C)[C@@H]2C1 ZINC001084522023 821303963 /nfs/dbraw/zinc/30/39/63/821303963.db2.gz GKPMIZJKMHJHPK-HUUCEWRRSA-N 0 1 286.379 0.748 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3ccnnc3)[C@@H]2C1 ZINC001084682183 821348125 /nfs/dbraw/zinc/34/81/25/821348125.db2.gz VZHZDZBGZRWMKF-ZIAGYGMSSA-N 0 1 270.336 0.646 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C#CC(C)C)[C@H](O)C1 ZINC001099820340 821362392 /nfs/dbraw/zinc/36/23/92/821362392.db2.gz CWQIHRDTQAPWIS-QWHCGFSZSA-N 0 1 250.342 0.383 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@H](C)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001119586323 821377853 /nfs/dbraw/zinc/37/78/53/821377853.db2.gz FBOPQAPCCUEHEN-QWHCGFSZSA-N 0 1 297.399 0.548 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCOCC2CC2)[C@@H](O)C1 ZINC001099836406 821407721 /nfs/dbraw/zinc/40/77/21/821407721.db2.gz ZKZGSUQMKDYRLW-CABCVRRESA-N 0 1 294.395 0.378 20 30 CCEDMN CN(C[C@@H]1CCN1CCCO)C(=O)c1cc(C#N)c[nH]1 ZINC001085535313 821774303 /nfs/dbraw/zinc/77/43/03/821774303.db2.gz GNNQCVWUSYAGSU-LBPRGKRZSA-N 0 1 276.340 0.415 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C1=CCOCC1 ZINC001085559517 821792343 /nfs/dbraw/zinc/79/23/43/821792343.db2.gz QVHLYADRPBPNPS-CQSZACIVSA-N 0 1 262.353 0.889 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N(C)C[C@@H]1CCN1CCO ZINC001085601583 821839234 /nfs/dbraw/zinc/83/92/34/821839234.db2.gz ATDVRIYGNGDZMM-MJBXVCDLSA-N 0 1 282.384 0.493 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)c1c[nH]cc2ncnc1-2 ZINC001085610364 821848618 /nfs/dbraw/zinc/84/86/18/821848618.db2.gz PYJYUIGPLOQORA-JTQLQIEISA-N 0 1 284.323 0.628 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1COCCN1CC ZINC001085683404 821898400 /nfs/dbraw/zinc/89/84/00/821898400.db2.gz PRTBZQVAPJTNMG-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1OCC[C@H]1C ZINC001085825787 821969128 /nfs/dbraw/zinc/96/91/28/821969128.db2.gz VSODNVVYZLIHDM-RDBSUJKOSA-N 0 1 264.369 0.967 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CCN1CCOC ZINC001085827134 821969635 /nfs/dbraw/zinc/96/96/35/821969635.db2.gz JCXIQSQUIGBLFK-MCIONIFRSA-N 0 1 282.384 0.757 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1nc2ncccn2n1 ZINC001085884094 821999050 /nfs/dbraw/zinc/99/90/50/821999050.db2.gz UTUOBQJZYKSPEZ-GFCCVEGCSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1nc2ncccn2n1 ZINC001085884094 821999057 /nfs/dbraw/zinc/99/90/57/821999057.db2.gz UTUOBQJZYKSPEZ-GFCCVEGCSA-N 0 1 298.350 0.294 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@@H]2CCN2CCOC)cn1 ZINC001085932824 822022006 /nfs/dbraw/zinc/02/20/06/822022006.db2.gz JJHLFQLHHCGRGQ-HNNXBMFYSA-N 0 1 287.363 0.856 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001085949174 822034363 /nfs/dbraw/zinc/03/43/63/822034363.db2.gz YRMBRYYJEAHBTP-HUUCEWRRSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001085949174 822034370 /nfs/dbraw/zinc/03/43/70/822034370.db2.gz YRMBRYYJEAHBTP-HUUCEWRRSA-N 0 1 293.411 0.964 20 30 CCEDMN CNC(=O)[C@H](C)N1CCC[C@H](NC(=O)C#CC2CC2)CC1 ZINC001273419541 822200155 /nfs/dbraw/zinc/20/01/55/822200155.db2.gz WRYLICBBDOBSJL-JSGCOSHPSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)CCOC)[C@H](O)C1 ZINC001100062520 822204386 /nfs/dbraw/zinc/20/43/86/822204386.db2.gz VGFLVMBTONFCGX-ZIAGYGMSSA-N 0 1 296.411 0.624 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1nnc(C(C)(C)C)[nH]1 ZINC001155836223 822257397 /nfs/dbraw/zinc/25/73/97/822257397.db2.gz LNJHOULOXXXCGJ-JTQLQIEISA-N 0 1 292.387 0.858 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001086460055 822266904 /nfs/dbraw/zinc/26/69/04/822266904.db2.gz GHTLZINNBDTWMI-GMTAPVOTSA-N 0 1 288.355 0.233 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(OC)nc2)[C@@H](O)C1 ZINC001090412673 822275793 /nfs/dbraw/zinc/27/57/93/822275793.db2.gz HVFIYTWHZHCQEN-OLZOCXBDSA-N 0 1 291.351 0.441 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H](C)CNc2nccnc2C#N)[nH]n1 ZINC001108304196 822349100 /nfs/dbraw/zinc/34/91/00/822349100.db2.gz NWOHKAGQFBGTDV-JTQLQIEISA-N 0 1 299.338 0.539 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CC)OCC ZINC001113976529 837392297 /nfs/dbraw/zinc/39/22/97/837392297.db2.gz HZHYISOWMMQYDL-RQJABVFESA-N 0 1 264.369 0.871 20 30 CCEDMN CCN(CC)C(=O)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C#N ZINC001114066445 837420541 /nfs/dbraw/zinc/42/05/41/837420541.db2.gz CLHUZOLFSRHNEN-CIQGVGRVSA-N 0 1 292.383 0.061 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1[C@H]2CN(Cc3ccccn3)C[C@H]21 ZINC001114063437 837424019 /nfs/dbraw/zinc/42/40/19/837424019.db2.gz CNFBIFCTZHCTSO-ZZVYKPCYSA-N 0 1 270.336 0.788 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOCC(F)F ZINC001114296422 837497952 /nfs/dbraw/zinc/49/79/52/837497952.db2.gz ODAOKQGOJLKIMK-YABSGUDNSA-N 0 1 286.322 0.728 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](NCC#CC)[C@@H](n2ccnn2)C1 ZINC001129671716 837541568 /nfs/dbraw/zinc/54/15/68/837541568.db2.gz XNQRCSSBXNWLSD-KGLIPLIRSA-N 0 1 287.367 0.609 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)[C@@H]2C[C@H]2C)C[C@@H]1n1ccnn1 ZINC001129956312 837593977 /nfs/dbraw/zinc/59/39/77/837593977.db2.gz CRWXQBIKEWKJDK-SYQHCUMBSA-N 0 1 287.367 0.299 20 30 CCEDMN C#CCCCS(=O)(=O)N1C[C@@H](SC)[C@H](N(C)C)C1 ZINC000805050020 837673519 /nfs/dbraw/zinc/67/35/19/837673519.db2.gz RFPIYIQIJJMSSD-VXGBXAGGSA-N 0 1 290.454 0.707 20 30 CCEDMN CC#CCCCC(=O)N(C)CCCN(C)[C@H]1CCNC1=O ZINC001273547691 844759796 /nfs/dbraw/zinc/75/97/96/844759796.db2.gz GMGXHFTWWYVEQO-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN CC(C)C[C@H](NC(=O)c1n[nH]cc1Cl)C(=O)NO ZINC001187850848 844782278 /nfs/dbraw/zinc/78/22/78/844782278.db2.gz AADIAWHXVDBPRR-ZETCQYMHSA-N 0 1 274.708 0.713 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(COC)o2)[C@@H](O)C1 ZINC001090417992 835980689 /nfs/dbraw/zinc/98/06/89/835980689.db2.gz WDLVOPFHTDOBFD-OLZOCXBDSA-N 0 1 294.351 0.777 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2ccn(C)n2)C1 ZINC001108396998 836011343 /nfs/dbraw/zinc/01/13/43/836011343.db2.gz KHAVPNMFIOEIFR-OAHLLOKOSA-N 0 1 292.383 0.817 20 30 CCEDMN C=C(C)CN1CCO[C@@](C)(CNC(=O)CN2CCCC2)C1 ZINC001108397693 836014999 /nfs/dbraw/zinc/01/49/99/836014999.db2.gz XMAWGWHJAOYACB-INIZCTEOSA-N 0 1 295.427 0.865 20 30 CCEDMN Cc1cc(-n2nnnc2CN)cc([N+](=O)[O-])c1C#N ZINC001168923135 836056763 /nfs/dbraw/zinc/05/67/63/836056763.db2.gz FVRANFXOMCWENT-UHFFFAOYSA-N 0 1 259.229 0.209 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](CNCC#N)[C@H](C)C2)[nH]1 ZINC001184053243 844147144 /nfs/dbraw/zinc/14/71/44/844147144.db2.gz GBKCYGAURSBMDK-ZYHUDNBSSA-N 0 1 275.356 0.930 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N2C[C@H](NC(C)=O)CC2=O)C1 ZINC001108490877 836288918 /nfs/dbraw/zinc/28/89/18/836288918.db2.gz CVNBSKPHOMWDEI-DGCLKSJQSA-N 0 1 279.384 0.762 20 30 CCEDMN CC(=O)N1CC[C@H](N2CCC3SC(=O)C=C3C2)C1 ZINC001169536970 836336595 /nfs/dbraw/zinc/33/65/95/836336595.db2.gz HIZRFTRZVDBJRC-NWDGAFQWSA-N 0 1 266.366 0.881 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@H](C)NC(=O)Cc2cnc[nH]2)n1 ZINC001108718633 836515523 /nfs/dbraw/zinc/51/55/23/836515523.db2.gz MLWRAWPQQJLBCW-JTQLQIEISA-N 0 1 299.338 0.539 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)NC(C)=O)C2 ZINC001108887350 836567617 /nfs/dbraw/zinc/56/76/17/836567617.db2.gz HRBPEHRTVVBMSD-RYDUCSDGSA-N 0 1 265.357 0.419 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1cncn1)C2 ZINC001108944189 836581389 /nfs/dbraw/zinc/58/13/89/836581389.db2.gz PCFFIEADTHSWFM-RDBSUJKOSA-N 0 1 287.367 0.413 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncc[nH]1)C2 ZINC001109240403 836646362 /nfs/dbraw/zinc/64/63/62/836646362.db2.gz ZYNDPDKFJKOSKN-AGIUHOORSA-N 0 1 290.367 0.947 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC(=O)N(C)C)C2 ZINC001109993542 836754817 /nfs/dbraw/zinc/75/48/17/836754817.db2.gz LKCMIWWIICVVFA-RDBSUJKOSA-N 0 1 291.395 0.600 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](C)NC(C)=O)CC1 ZINC001112603296 836817264 /nfs/dbraw/zinc/81/72/64/836817264.db2.gz OPRWLMGMGGMSIW-LLVKDONJSA-N 0 1 253.346 0.231 20 30 CCEDMN C=CCCCN1CCN(C(=O)CN(C)C(C)=O)CC1 ZINC001112627579 836829755 /nfs/dbraw/zinc/82/97/55/836829755.db2.gz OWIOWYPOGGLBPC-UHFFFAOYSA-N 0 1 267.373 0.575 20 30 CCEDMN C=CCOCCN1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC001112738923 836890617 /nfs/dbraw/zinc/89/06/17/836890617.db2.gz QNTIYQDFOYJFQK-UHFFFAOYSA-N 0 1 278.356 0.299 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2nnn(C)c2C)CC1 ZINC001112738963 836893446 /nfs/dbraw/zinc/89/34/46/836893446.db2.gz SPUIGBLFALHOOF-UHFFFAOYSA-N 0 1 277.372 0.848 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2COCCN2C)CC1 ZINC001112770196 836902342 /nfs/dbraw/zinc/90/23/42/836902342.db2.gz GDDVIGXYZUKFEW-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN C=CCCN1CCN(C(=O)CCNC(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001112911466 836960434 /nfs/dbraw/zinc/96/04/34/836960434.db2.gz UFBCHPUICHAKFP-UONOGXRCSA-N 0 1 293.411 0.869 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CN(C)C(=O)C2CC2)CC1 ZINC001112915441 836961972 /nfs/dbraw/zinc/96/19/72/836961972.db2.gz SLWVNOBWDGVQRR-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C[C@@H](CCCCNCC#N)NC(=O)CCc1nc[nH]n1 ZINC001170094930 836991161 /nfs/dbraw/zinc/99/11/61/836991161.db2.gz XSGRMJVANONBFP-NSHDSACASA-N 0 1 278.360 0.525 20 30 CCEDMN Cc1nc(N[C@@H](C)[C@@H](C)NC(=O)c2ncn[nH]2)ccc1C#N ZINC001113117252 837024482 /nfs/dbraw/zinc/02/44/82/837024482.db2.gz VZKFXJHAYRIQME-DTWKUNHWSA-N 0 1 299.338 0.999 20 30 CCEDMN Cc1nc(N[C@@H](C)[C@@H](C)NC(=O)c2nc[nH]n2)ccc1C#N ZINC001113117252 837024492 /nfs/dbraw/zinc/02/44/92/837024492.db2.gz VZKFXJHAYRIQME-DTWKUNHWSA-N 0 1 299.338 0.999 20 30 CCEDMN C[C@@H](Nc1cncc(C#N)n1)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001113116469 837024977 /nfs/dbraw/zinc/02/49/77/837024977.db2.gz IUUCNNXYSGQOJB-SFYZADRCSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](Nc1cncc(C#N)n1)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001113116469 837024986 /nfs/dbraw/zinc/02/49/86/837024986.db2.gz IUUCNNXYSGQOJB-SFYZADRCSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@H](NC(=O)Cc1cnc[nH]1)[C@H](C)Nc1ccncc1C#N ZINC001113315168 837087279 /nfs/dbraw/zinc/08/72/79/837087279.db2.gz YGLLKMCWOVPWJB-QWRGUYRKSA-N 0 1 298.350 0.646 20 30 CCEDMN Cc1nc(N[C@H](C)[C@H](C)NC(=O)c2cnn[nH]2)ccc1C#N ZINC001113358190 837100473 /nfs/dbraw/zinc/10/04/73/837100473.db2.gz XXTGKMSLUAKZFI-BDAKNGLRSA-N 0 1 299.338 0.999 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnco2)[C@@H](O)C1 ZINC001090461854 837239250 /nfs/dbraw/zinc/23/92/50/837239250.db2.gz DHDUBLQTPLVDNP-ZJUUUORDSA-N 0 1 251.286 0.026 20 30 CCEDMN C[Si](C)(C)C#Cc1ccnc(-n2nnnc2CN)c1 ZINC001158080716 837922915 /nfs/dbraw/zinc/92/29/15/837922915.db2.gz WOTNZGIYVJTRDA-UHFFFAOYSA-N 0 1 272.388 0.745 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@H]1CCS(=O)(=O)C1 ZINC001130987884 837966542 /nfs/dbraw/zinc/96/65/42/837966542.db2.gz SGFPTZZGLWKPNV-SNVBAGLBSA-N 0 1 294.804 0.270 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc2n(n1)CCCO2 ZINC001131181851 838018087 /nfs/dbraw/zinc/01/80/87/838018087.db2.gz MCOUOFPQRVCOGR-UHFFFAOYSA-N 0 1 284.747 0.738 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc2nnnn2c1 ZINC001131276095 838027184 /nfs/dbraw/zinc/02/71/84/838027184.db2.gz VYESTHWUUXFIQP-UHFFFAOYSA-N 0 1 280.719 0.196 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)NCCNCC#N ZINC001131513960 838122736 /nfs/dbraw/zinc/12/27/36/838122736.db2.gz OPNCWHJLWKJJIZ-CABZTGNLSA-N 0 1 261.329 0.305 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)COCC=C)C[C@H](C)O2 ZINC001131646485 838165495 /nfs/dbraw/zinc/16/54/95/838165495.db2.gz WCJLWLPGPVOGOI-HOCLYGCPSA-N 0 1 292.379 0.514 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CNC(=O)C2CC2)CC[C@@H]1C ZINC001131830645 838242779 /nfs/dbraw/zinc/24/27/79/838242779.db2.gz UQBZOLBOFILLLE-AAEUAGOBSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CNC(=O)CCC)CC[C@H]1C ZINC001131861071 838247434 /nfs/dbraw/zinc/24/74/34/838247434.db2.gz GDXSZNCYXSCVNJ-OLZOCXBDSA-N 0 1 279.384 0.505 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](OCC)[C@@H]1CCOC1 ZINC001131884375 838256025 /nfs/dbraw/zinc/25/60/25/838256025.db2.gz LLODRAGGTBXODK-VXGBXAGGSA-N 0 1 290.791 0.886 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCC(=O)NCC)CC[C@@H]1C ZINC001131904445 838262422 /nfs/dbraw/zinc/26/24/22/838262422.db2.gz QIGCJIODYFIEFM-QWHCGFSZSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCn2ccnn2)CC[C@@H]1C ZINC001131992518 838291092 /nfs/dbraw/zinc/29/10/92/838291092.db2.gz MLROCKBPFFOGFG-STQMWFEESA-N 0 1 275.356 0.271 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCn2cnnn2)CC[C@H]1C ZINC001132380746 838380937 /nfs/dbraw/zinc/38/09/37/838380937.db2.gz OSONTOOCMOZXEL-CHWSQXEVSA-N 0 1 290.371 0.056 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCN2CCCC2=O)CC[C@@H]1C ZINC001132494656 838419018 /nfs/dbraw/zinc/41/90/18/838419018.db2.gz VSDNGTBDFQPQCU-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](C)[C@H](CNCC#N)C1 ZINC001133034532 838537771 /nfs/dbraw/zinc/53/77/71/838537771.db2.gz FDDCXUIEXDDIOR-MWLCHTKSSA-N 0 1 276.344 0.325 20 30 CCEDMN C[C@@H]1CCN(C(=O)CN2CCCC2)C[C@@H]1CNCC#N ZINC001133309923 838593552 /nfs/dbraw/zinc/59/35/52/838593552.db2.gz IUELCZLFXIIOMZ-KGLIPLIRSA-N 0 1 278.400 0.680 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)CSCC#N)NCc1cnon1 ZINC001133737095 838704071 /nfs/dbraw/zinc/70/40/71/838704071.db2.gz WCAAMQZHLLNSDP-NXEZZACHSA-N 0 1 297.384 0.699 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1cc(C)ncn1 ZINC001134053314 838839584 /nfs/dbraw/zinc/83/95/84/838839584.db2.gz RVNMBLYMIHIZMP-KGLIPLIRSA-N 0 1 290.367 0.582 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)C[C@H](C)NCc1cnn(C)n1 ZINC001134201482 838909865 /nfs/dbraw/zinc/90/98/65/838909865.db2.gz PMGNJAUGJCKQFY-QWHCGFSZSA-N 0 1 291.399 0.992 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)C[C@H](C)NCc1cnon1 ZINC001134352707 838952576 /nfs/dbraw/zinc/95/25/76/838952576.db2.gz SLLFOLXVAKEEJB-NWDGAFQWSA-N 0 1 294.355 0.482 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)C[C@@H](C)NCc1cnon1 ZINC001134352709 838952667 /nfs/dbraw/zinc/95/26/67/838952667.db2.gz SLLFOLXVAKEEJB-VXGBXAGGSA-N 0 1 294.355 0.482 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)NCc2ccc(C#N)c(F)c2)C1 ZINC001185266976 844390150 /nfs/dbraw/zinc/39/01/50/844390150.db2.gz KEIHLXXGPJJSBK-CQSZACIVSA-N 0 1 290.342 0.559 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCCS(C)(=O)=O ZINC001135205689 839179119 /nfs/dbraw/zinc/17/91/19/839179119.db2.gz BJGSJXGOCBEECY-UHFFFAOYSA-N 0 1 282.793 0.270 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N[C@@H](C)C[C@H](C)NCC#N ZINC001135976804 839489769 /nfs/dbraw/zinc/48/97/69/839489769.db2.gz NVIWOTXPIDMTRL-RYUDHWBXSA-N 0 1 254.378 0.725 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2conc2CC)[C@@H](O)C1 ZINC001090555134 839639349 /nfs/dbraw/zinc/63/93/49/839639349.db2.gz HPBGMZIKWQJJGC-KBPBESRZSA-N 0 1 293.367 0.978 20 30 CCEDMN CC(=O)Nc1cnccc1C(=O)NCC#CCN(C)C ZINC001170993741 839675230 /nfs/dbraw/zinc/67/52/30/839675230.db2.gz MFZBKEQHBWGFFR-UHFFFAOYSA-N 0 1 274.324 0.335 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(C3CC3)n2)[C@H](O)C1 ZINC001090630114 839686980 /nfs/dbraw/zinc/68/69/80/839686980.db2.gz KTXPJUOCGVMOGZ-DGCLKSJQSA-N 0 1 291.351 0.903 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C)CCOCC2)[C@@H](O)C1 ZINC001090649468 839702974 /nfs/dbraw/zinc/70/29/74/839702974.db2.gz DWEULQXDFWDRLK-KGLIPLIRSA-N 0 1 294.395 0.378 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nocc2C)[C@H](O)C1 ZINC001090709332 839746917 /nfs/dbraw/zinc/74/69/17/839746917.db2.gz LMAFLMZZUSGNCT-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2c(C)noc2C)[C@@H](O)C1 ZINC001090798925 839818387 /nfs/dbraw/zinc/81/83/87/839818387.db2.gz PUVFMQAGEPGTRM-KGLIPLIRSA-N 0 1 293.367 0.571 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccn(C)n2)[C@@H](O)C1 ZINC001090808333 839828185 /nfs/dbraw/zinc/82/81/85/839828185.db2.gz JDQOJTAIVWFFHO-AAEUAGOBSA-N 0 1 278.356 0.161 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncnc2CC)[C@H](O)C1 ZINC001090817481 839832730 /nfs/dbraw/zinc/83/27/30/839832730.db2.gz IZNDYNZOMAASCW-ZIAGYGMSSA-N 0 1 290.367 0.390 20 30 CCEDMN C#C[C@H](C)NC(=O)[C@@H]1[C@H](O)CCN1Cc1ccccc1 ZINC001143673756 839869044 /nfs/dbraw/zinc/86/90/44/839869044.db2.gz ADEOLLIBAPDIGM-CFVMTHIKSA-N 0 1 272.348 0.760 20 30 CCEDMN N#Cc1ccc(CN2CCN(CCCO)CC2)nc1 ZINC001144153892 839961318 /nfs/dbraw/zinc/96/13/18/839961318.db2.gz QYPHHYZONXQRDN-UHFFFAOYSA-N 0 1 260.341 0.453 20 30 CCEDMN COc1cccc(C[C@H](N)C(=O)Nc2cnc(C#N)cn2)c1 ZINC001144931131 840196058 /nfs/dbraw/zinc/19/60/58/840196058.db2.gz WNGOFEVFGVONBA-ZDUSSCGKSA-N 0 1 297.318 0.865 20 30 CCEDMN COc1cccc(C[C@@H](N)C(=O)N2CCOC[C@H]2C#N)c1 ZINC001144936205 840197192 /nfs/dbraw/zinc/19/71/92/840197192.db2.gz OLVVBXNKYCOGJE-TZMCWYRMSA-N 0 1 289.335 0.316 20 30 CCEDMN COc1cccc(C[C@H](N)C(=O)N2CCO[C@@H](C#N)C2)c1 ZINC001144935656 840197538 /nfs/dbraw/zinc/19/75/38/840197538.db2.gz HSUPOUKCIMBSJX-KBPBESRZSA-N 0 1 289.335 0.316 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC(=O)N(C)C)C1 ZINC001186164191 844513186 /nfs/dbraw/zinc/51/31/86/844513186.db2.gz PNACFUSVSJSTEE-SNVBAGLBSA-N 0 1 273.764 0.408 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)OC)C2 ZINC001110376299 844515409 /nfs/dbraw/zinc/51/54/09/844515409.db2.gz NMRZVXXWVQVIFV-LOWDOPEQSA-N 0 1 250.342 0.766 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001146896746 840407884 /nfs/dbraw/zinc/40/78/84/840407884.db2.gz WQWUVCCVYZLDFD-NSHDSACASA-N 0 1 287.791 0.703 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCCC(=O)NC)C2)C1 ZINC001147387137 840575174 /nfs/dbraw/zinc/57/51/74/840575174.db2.gz RICPRPVAZPKFHM-UHFFFAOYSA-N 0 1 277.368 0.070 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)NC(=O)CC)C2)C1 ZINC001147603645 840632758 /nfs/dbraw/zinc/63/27/58/840632758.db2.gz NRJOGZJTMSHUTH-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cnc(C)cn2)cn1 ZINC001148389663 840791260 /nfs/dbraw/zinc/79/12/60/840791260.db2.gz QCVFXVWBXFSMNN-UHFFFAOYSA-N 0 1 295.346 0.681 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](CC(C)C)NC(C)=O ZINC001148485141 840815354 /nfs/dbraw/zinc/81/53/54/840815354.db2.gz NMPOFCWXMJLOQQ-GFCCVEGCSA-N 0 1 289.807 0.996 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCCN(C)C(C)=O)C2)C1 ZINC001148684818 840875160 /nfs/dbraw/zinc/87/51/60/840875160.db2.gz GVRYDBRKQNEMDW-UHFFFAOYSA-N 0 1 291.395 0.412 20 30 CCEDMN CN(C)c1ccncc1C(=O)NCCCNCC#N ZINC001171355081 840943382 /nfs/dbraw/zinc/94/33/82/840943382.db2.gz XWENXQBDUYCZIB-UHFFFAOYSA-N 0 1 261.329 0.381 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001091916899 840972104 /nfs/dbraw/zinc/97/21/04/840972104.db2.gz PPGGNOSFUHZKGF-ABAIWWIYSA-N 0 1 279.384 0.464 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCC(F)F)[C@H](O)C1 ZINC001099918992 841071342 /nfs/dbraw/zinc/07/13/42/841071342.db2.gz VELFKWNVKORRIJ-VHSXEESVSA-N 0 1 262.300 0.769 20 30 CCEDMN C#CCCCC(=O)N1CCN(c2ncnc3[nH]cnc32)CC1 ZINC001093148101 841156106 /nfs/dbraw/zinc/15/61/06/841156106.db2.gz XWPUBVVRWGPYSW-UHFFFAOYSA-N 0 1 298.350 0.805 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2(C(=O)N(C)C)CC2)C1 ZINC001186981009 844631016 /nfs/dbraw/zinc/63/10/16/844631016.db2.gz JFAZFOILHKOUOP-LLVKDONJSA-N 0 1 299.802 0.798 20 30 CCEDMN N#Cc1ccc(OCC(=O)NC23CCN(CC2)C3)cc1 ZINC000715509899 841359838 /nfs/dbraw/zinc/35/98/38/841359838.db2.gz WHNDPEBEFVDMNX-UHFFFAOYSA-N 0 1 271.320 0.901 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](CNCc2csnn2)[C@H](C)C1 ZINC001093677587 841426448 /nfs/dbraw/zinc/42/64/48/841426448.db2.gz AGLUVGQFQLXHIX-GMTAPVOTSA-N 0 1 293.396 0.882 20 30 CCEDMN N#Cc1cnc(NCCNC(=O)c2ncn[nH]2)c(Cl)c1 ZINC001093793160 841460239 /nfs/dbraw/zinc/46/02/39/841460239.db2.gz DHSVXYQSHKJNNJ-UHFFFAOYSA-N 0 1 291.702 0.567 20 30 CCEDMN N#Cc1cnc(NCCNC(=O)c2nc[nH]n2)c(Cl)c1 ZINC001093793160 841460240 /nfs/dbraw/zinc/46/02/40/841460240.db2.gz DHSVXYQSHKJNNJ-UHFFFAOYSA-N 0 1 291.702 0.567 20 30 CCEDMN N#Cc1cnccc1NCCNC(=O)CCc1cnc[nH]1 ZINC001094224873 841570346 /nfs/dbraw/zinc/57/03/46/841570346.db2.gz FTBZVGVHNTXLCI-UHFFFAOYSA-N 0 1 284.323 0.259 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2cn(C)nn2)cn1 ZINC001171594398 841737726 /nfs/dbraw/zinc/73/77/26/841737726.db2.gz CGZGOBDBBDBDEN-UHFFFAOYSA-N 0 1 298.350 0.101 20 30 CCEDMN N#C[C@H]1CN([C@H]2CCN(C3CC3)C(=O)C2)CCC1=O ZINC001172018781 841796546 /nfs/dbraw/zinc/79/65/46/841796546.db2.gz WSAGELHCSBSFHH-JQWIXIFHSA-N 0 1 261.325 0.554 20 30 CCEDMN CCN1CC[C@@H](NC2(C#N)CCN(C)CC2)CC1=O ZINC001172040566 841817503 /nfs/dbraw/zinc/81/75/03/841817503.db2.gz YLUJHYNGCIOPSJ-GFCCVEGCSA-N 0 1 264.373 0.575 20 30 CCEDMN N#CCN[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCc1nc[nH]n1)C2 ZINC001094892371 841901490 /nfs/dbraw/zinc/90/14/90/841901490.db2.gz LADDFCQBSXLMCY-TUAOUCFPSA-N 0 1 288.355 0.230 20 30 CCEDMN CC(=O)C=C(C)NC(=O)[C@@H](Cc1cnc[nH]1)NC(C)=O ZINC001176381442 842359181 /nfs/dbraw/zinc/35/91/81/842359181.db2.gz ZGCSFSODTFIAQK-HXUAIIEXSA-N 0 1 278.312 0.066 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)Cn1cc(Br)cn1 ZINC001176987132 842477441 /nfs/dbraw/zinc/47/74/41/842477441.db2.gz SWFBAKHMGIQKII-UHFFFAOYSA-N 0 1 295.100 0.879 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1nccc(C)n1 ZINC001177264382 842539468 /nfs/dbraw/zinc/53/94/68/842539468.db2.gz FVVGLVNLPYITGL-UHFFFAOYSA-N 0 1 292.383 0.974 20 30 CCEDMN C=C[C@@H]1C[C@]1(NC(=O)Cc1n[nH]c(C)n1)C(=O)OCC ZINC001177443381 842607666 /nfs/dbraw/zinc/60/76/66/842607666.db2.gz WXWVFNZXWURAFR-NOZJJQNGSA-N 0 1 278.312 0.280 20 30 CCEDMN CN1CC2(C1)CN(C(=O)Cc1ccnc(C#N)c1)C2 ZINC001177671433 842647718 /nfs/dbraw/zinc/64/77/18/842647718.db2.gz VUSOCESEGYKTRU-UHFFFAOYSA-N 0 1 256.309 0.270 20 30 CCEDMN CS(=O)(=O)CCNC(=O)C(C#N)Cc1cccs1 ZINC001177904269 842705560 /nfs/dbraw/zinc/70/55/60/842705560.db2.gz KTVKXDXSXIXIDM-SECBINFHSA-N 0 1 286.378 0.591 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC[C@@H](O)[C@H](CO)C1 ZINC001177908877 842706380 /nfs/dbraw/zinc/70/63/80/842706380.db2.gz OSYGDQFGMQSVBG-GMXVVIOVSA-N 0 1 294.376 0.632 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H]1CNC(=O)C1 ZINC001177914881 842707510 /nfs/dbraw/zinc/70/75/10/842707510.db2.gz GTRFUJKOTPVCNC-UWVGGRQHSA-N 0 1 277.349 0.683 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@H]1CNC(=O)CO1 ZINC001177916440 842707546 /nfs/dbraw/zinc/70/75/46/842707546.db2.gz WKXMISJYXFWYOO-VHSXEESVSA-N 0 1 293.348 0.062 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)[C@H](C)C#N)CC1 ZINC001179893752 843068347 /nfs/dbraw/zinc/06/83/47/843068347.db2.gz CHZXKGXNMHYJMS-ZJUUUORDSA-N 0 1 266.297 0.517 20 30 CCEDMN COC(=O)c1ncc(=O)[nH]c1NC(=O)C(C)C#N ZINC001179902285 843086093 /nfs/dbraw/zinc/08/60/93/843086093.db2.gz YDLLSNHVWYAEIJ-YFKPBYRVSA-N 0 1 250.214 0.067 20 30 CCEDMN N#CCNCCCCCCNC(=O)Cc1nnc[nH]1 ZINC001180026263 843097890 /nfs/dbraw/zinc/09/78/90/843097890.db2.gz FDXPNIGPGXZYSP-UHFFFAOYSA-N 0 1 264.333 0.137 20 30 CCEDMN C#CC1CCN(C(=O)CN2CCN(C(C)C)CC2)CC1 ZINC001180346860 843149417 /nfs/dbraw/zinc/14/94/17/843149417.db2.gz FJWGMYZAYYYZQX-UHFFFAOYSA-N 0 1 277.412 0.884 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2cnc(C)cn2)C1 ZINC001181617335 843556068 /nfs/dbraw/zinc/55/60/68/843556068.db2.gz AMDYPLSTWJEZPH-CYBMUJFWSA-N 0 1 290.367 0.678 20 30 CCEDMN N#Cc1nc(-c2cnn(CCO)c2)cc2[nH]cnc21 ZINC001206538167 843905549 /nfs/dbraw/zinc/90/55/49/843905549.db2.gz JKVGZEKSEQPAAS-UHFFFAOYSA-N 0 1 254.253 0.685 20 30 CCEDMN CC(=O)N1CSC[C@H]1C(=O)Nc1nc[nH]c1C#N ZINC001183135539 843969208 /nfs/dbraw/zinc/96/92/08/843969208.db2.gz UKZQSMMXKBTPOS-QMMMGPOBSA-N 0 1 265.298 0.141 20 30 CCEDMN O=C1C=C2CN(S(=O)(=O)c3c[nH]cn3)CCC2S1 ZINC001187741795 844760778 /nfs/dbraw/zinc/76/07/78/844760778.db2.gz ZYPVTZFOPDVLLZ-QMMMGPOBSA-N 0 1 285.350 0.373 20 30 CCEDMN CN(C)c1nccc(C(=O)Nc2nc[nH]c2C#N)n1 ZINC001187996232 844814126 /nfs/dbraw/zinc/81/41/26/844814126.db2.gz BKCHKYVGDWGEKB-UHFFFAOYSA-N 0 1 257.257 0.390 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C(C)(F)F)C1 ZINC001188600268 844917112 /nfs/dbraw/zinc/91/71/12/844917112.db2.gz NXWQKUADNGJELR-LLVKDONJSA-N 0 1 274.311 0.824 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)CC(C)C)C1 ZINC001188677038 844944759 /nfs/dbraw/zinc/94/47/59/844944759.db2.gz WCPAHSQYDTWCIK-UONOGXRCSA-N 0 1 293.411 0.703 20 30 CCEDMN Cc1nc(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@@H](C)C#N)C3)n[nH]1 ZINC001110401714 844967901 /nfs/dbraw/zinc/96/79/01/844967901.db2.gz MJVGYADSXQTVDG-GMNPVEAJSA-N 0 1 288.355 0.494 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)SC)C1 ZINC001189014200 845025609 /nfs/dbraw/zinc/02/56/09/845025609.db2.gz VWQRRBAJJOFLEX-CHWSQXEVSA-N 0 1 284.425 0.920 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)COCC2CC2)C1 ZINC001189066547 845031335 /nfs/dbraw/zinc/03/13/35/845031335.db2.gz GVLYNWKQYPFHIA-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COCC2CC2)C1 ZINC001189066547 845031346 /nfs/dbraw/zinc/03/13/46/845031346.db2.gz GVLYNWKQYPFHIA-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)n(C)n2)C1 ZINC001189116748 845039301 /nfs/dbraw/zinc/03/93/01/845039301.db2.gz XDFHASNEVZTMJE-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCOC2)C1 ZINC001189196236 845074808 /nfs/dbraw/zinc/07/48/08/845074808.db2.gz JAFMJLOWPXUYMC-STQMWFEESA-N 0 1 250.342 0.579 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C2=COCCC2)C1 ZINC001189271012 845103908 /nfs/dbraw/zinc/10/39/08/845103908.db2.gz WZWRDYLHTKWGCL-OAHLLOKOSA-N 0 1 292.379 0.863 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)COC)C1 ZINC001189363065 845128292 /nfs/dbraw/zinc/12/82/92/845128292.db2.gz KWFDSWGNTYTXIG-CHWSQXEVSA-N 0 1 252.358 0.825 20 30 CCEDMN NC(=NC(=O)c1cc(-c2ccccn2)n[nH]1)c1ncc[nH]1 ZINC001189474069 845138444 /nfs/dbraw/zinc/13/84/44/845138444.db2.gz PPACFEVKHKKMOI-UHFFFAOYSA-N 0 1 281.279 0.741 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)Cc2cc[nH]n2)C1 ZINC001189499938 845149613 /nfs/dbraw/zinc/14/96/13/845149613.db2.gz JRJVLSDTGJAGEH-AWEZNQCLSA-N 0 1 290.367 0.135 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ccn(C)c2)C1 ZINC001189652579 845190873 /nfs/dbraw/zinc/19/08/73/845190873.db2.gz RDQVUSBKSPGZQQ-OAHLLOKOSA-N 0 1 289.379 0.821 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCCC(N)=O)[C@@H]2C1 ZINC001189691140 845193177 /nfs/dbraw/zinc/19/31/77/845193177.db2.gz BZFFREJZEGPJMS-QWHCGFSZSA-N 0 1 277.368 0.198 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cncnc2)C1 ZINC001189788034 845210598 /nfs/dbraw/zinc/21/05/98/845210598.db2.gz SZOSGZKKCYWNJL-ZDUSSCGKSA-N 0 1 258.325 0.646 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC2(O)CCC2)C1 ZINC001190015740 845302174 /nfs/dbraw/zinc/30/21/74/845302174.db2.gz RMULUISCXPMSRU-ZDUSSCGKSA-N 0 1 264.369 0.848 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](N(C)[C@H]2CCNC2=O)C1 ZINC001190046161 845314721 /nfs/dbraw/zinc/31/47/21/845314721.db2.gz GKZSLBOJZCYRRG-OLZOCXBDSA-N 0 1 277.368 0.067 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001190046160 845315683 /nfs/dbraw/zinc/31/56/83/845315683.db2.gz GKZSLBOJZCYRRG-CHWSQXEVSA-N 0 1 277.368 0.067 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ccns2)C1 ZINC001190421709 845402284 /nfs/dbraw/zinc/40/22/84/845402284.db2.gz AWMWJHUNTCZKND-LBPRGKRZSA-N 0 1 293.392 0.939 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)OCC=C)[C@@H]2C1 ZINC001190441008 845402886 /nfs/dbraw/zinc/40/28/86/845402886.db2.gz NMBLAYCPJANNQJ-HZSPNIEDSA-N 0 1 262.353 0.743 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)OCC=C)[C@@H]2C1 ZINC001190441008 845402893 /nfs/dbraw/zinc/40/28/93/845402893.db2.gz NMBLAYCPJANNQJ-HZSPNIEDSA-N 0 1 262.353 0.743 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnn3ncccc23)C1 ZINC001190696036 845471555 /nfs/dbraw/zinc/47/15/55/845471555.db2.gz ISRJGAOMXSBNJY-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN N#Cc1ccc(CCNS(=O)(=O)c2ncc[nH]2)cc1 ZINC001190744327 845485561 /nfs/dbraw/zinc/48/55/61/845485561.db2.gz CGUOSCQLKXBRBM-UHFFFAOYSA-N 0 1 276.321 0.802 20 30 CCEDMN CC#CC[N@H+](C)[C@@H]1CCN(C(=O)[C@@H]2COCCN2CC)C1 ZINC001191060941 845572105 /nfs/dbraw/zinc/57/21/05/845572105.db2.gz HFHAXICAOJODHL-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2COCCN2CC)C1 ZINC001191060941 845572112 /nfs/dbraw/zinc/57/21/12/845572112.db2.gz HFHAXICAOJODHL-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCN(C(=O)[C@H](CCC)OC)C1 ZINC001191694064 845719149 /nfs/dbraw/zinc/71/91/49/845719149.db2.gz AANOWPZPARLAGF-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](CCC)OC)C1 ZINC001191694064 845719153 /nfs/dbraw/zinc/71/91/53/845719153.db2.gz AANOWPZPARLAGF-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@]2(COC)CCOC2)C1 ZINC001191569864 845695282 /nfs/dbraw/zinc/69/52/82/845695282.db2.gz SJARWLUOMHOVTD-GOEBONIOSA-N 0 1 294.395 0.596 20 30 CCEDMN N#Cc1cnn2c1ncc(C#N)c2NC(=O)c1ncon1 ZINC001192015415 845771958 /nfs/dbraw/zinc/77/19/58/845771958.db2.gz SSOYQMXTOFGBCT-UHFFFAOYSA-N 0 1 280.207 0.108 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2=CCCC2)C1 ZINC001192195678 845800686 /nfs/dbraw/zinc/80/06/86/845800686.db2.gz QVOYIMZENMMNIA-ZIAGYGMSSA-N 0 1 280.368 0.461 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001192376709 845832684 /nfs/dbraw/zinc/83/26/84/845832684.db2.gz CQBBYMBZIZTITQ-MCIONIFRSA-N 0 1 291.395 0.267 20 30 CCEDMN COC(=O)c1ccc(O)c(C(=O)N2CCOC[C@H]2C#N)c1 ZINC001192385923 845833492 /nfs/dbraw/zinc/83/34/92/845833492.db2.gz IQNIKEQNFVKJAT-SNVBAGLBSA-N 0 1 290.275 0.543 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CCCCCF)C[C@H]1O ZINC001192761707 845903954 /nfs/dbraw/zinc/90/39/54/845903954.db2.gz MXEIXFWBVYWQDJ-ZIAGYGMSSA-N 0 1 284.375 0.947 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2nc(C)c[nH]2)C1 ZINC001192777833 845905264 /nfs/dbraw/zinc/90/52/64/845905264.db2.gz HIBPTNZNCKAYDR-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C[C@H](O)CCCN1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192813113 845914250 /nfs/dbraw/zinc/91/42/50/845914250.db2.gz FAVDSUJNAOAOMO-BFHYXJOUSA-N 0 1 296.411 0.358 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@@H]1C[N@@H+](CC2CC2)C[C@H]1O ZINC001192871040 845917830 /nfs/dbraw/zinc/91/78/30/845917830.db2.gz JRIZFTIJFIDNTE-CHWSQXEVSA-N 0 1 264.369 0.607 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(C)(C)NC(C)=O)C1 ZINC001192889255 845925184 /nfs/dbraw/zinc/92/51/84/845925184.db2.gz UESDABOLOSQGTP-GFCCVEGCSA-N 0 1 265.357 0.067 20 30 CCEDMN Cc1nc2c(C)cc(NS(=O)(=O)CC#N)cn2n1 ZINC001192938540 845941981 /nfs/dbraw/zinc/94/19/81/845941981.db2.gz FNVHDHDDDUHXLQ-UHFFFAOYSA-N 0 1 265.298 0.611 20 30 CCEDMN COCc1[nH]nc2c1CN(C(=O)c1cnccc1C#N)C2 ZINC001192967106 845955467 /nfs/dbraw/zinc/95/54/67/845955467.db2.gz BUPVWVMLVRDPBJ-UHFFFAOYSA-N 0 1 283.291 0.979 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cncnc1Br ZINC001193103835 845977344 /nfs/dbraw/zinc/97/73/44/845977344.db2.gz BWJIPRWJMFXBIF-YFKPBYRVSA-N 0 1 291.130 0.893 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cnc2c(c1)CN(C)CC2 ZINC001193104974 845978721 /nfs/dbraw/zinc/97/87/21/845978721.db2.gz PGNVHLYUJJCJKI-SECBINFHSA-N 0 1 280.353 0.723 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CCC[C@@H]2CN(C)C[C@@H]21 ZINC001193129320 845993091 /nfs/dbraw/zinc/99/30/91/845993091.db2.gz WYUJUDVWDMXUTM-MXWKQRLJSA-N 0 1 257.359 0.254 20 30 CCEDMN CCOC(=O)CN(CCC#N)S(=O)(=O)[C@@H](C)C#N ZINC001193138210 846002274 /nfs/dbraw/zinc/00/22/74/846002274.db2.gz IMAZONAHIVAUHS-VIFPVBQESA-N 0 1 273.314 0.007 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccc2c(c1)CNC2=O ZINC001193147285 846004733 /nfs/dbraw/zinc/00/47/33/846004733.db2.gz ZRMYBMGKLVEYDV-SSDOTTSWSA-N 0 1 265.294 0.584 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C[C@H](C)COC)C1 ZINC001193195969 846015479 /nfs/dbraw/zinc/01/54/79/846015479.db2.gz SQWKDONWTLFLNO-LSDHHAIUSA-N 0 1 296.411 0.842 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnc(OC)nc2)C1 ZINC001193227447 846038261 /nfs/dbraw/zinc/03/82/61/846038261.db2.gz GCRJAYPVLIHFFG-ZDUSSCGKSA-N 0 1 288.351 0.655 20 30 CCEDMN COCCOC1CN(C(=O)c2ccc(O)c(C#N)c2)C1 ZINC001193506574 846101770 /nfs/dbraw/zinc/10/17/70/846101770.db2.gz UKEXVLXVEJABIG-UHFFFAOYSA-N 0 1 276.292 0.751 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H]2CCS(=O)(=O)C2)ccc1O ZINC001193511533 846101904 /nfs/dbraw/zinc/10/19/04/846101904.db2.gz BXCNSDUKDYBOOY-JTQLQIEISA-N 0 1 280.305 0.181 20 30 CCEDMN N#Cc1cc(C(=O)NC(=N)c2ncc[nH]2)ccc1O ZINC001193514805 846103161 /nfs/dbraw/zinc/10/31/61/846103161.db2.gz HLPWCHWGLAEXRT-UHFFFAOYSA-N 0 1 255.237 0.742 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCn3cnnc3C2)c1O ZINC001193634533 846131325 /nfs/dbraw/zinc/13/13/25/846131325.db2.gz FCIBHQXXFWRNLN-UHFFFAOYSA-N 0 1 269.264 0.511 20 30 CCEDMN CC(=O)N1CC(NC(=O)c2cccc(C#N)c2O)C1 ZINC001193660101 846139835 /nfs/dbraw/zinc/13/98/35/846139835.db2.gz SYIZARPBBOHLKK-UHFFFAOYSA-N 0 1 259.265 0.224 20 30 CCEDMN N#Cc1cccc(C(=O)NC2(CO)CCOCC2)c1O ZINC001193657975 846140057 /nfs/dbraw/zinc/14/00/57/846140057.db2.gz OHSDGRFLXAWSEH-UHFFFAOYSA-N 0 1 276.292 0.535 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)[C@@H](C)CC)C1 ZINC001194081475 846212494 /nfs/dbraw/zinc/21/24/94/846212494.db2.gz PXJXQOGNHSENBM-UONOGXRCSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCc2ccncc2)C1 ZINC001194359421 846275252 /nfs/dbraw/zinc/27/52/52/846275252.db2.gz PRGIIRVXROGMBF-HUUCEWRRSA-N 0 1 287.363 0.199 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H](N(C)[C@@H](C)C(=O)NC)C1 ZINC001194397807 846284924 /nfs/dbraw/zinc/28/49/24/846284924.db2.gz ZUZNNOKACLYAFS-STQMWFEESA-N 0 1 297.399 0.246 20 30 CCEDMN C#Cc1nccnc1NS(=O)(=O)C1CCC(=O)CC1 ZINC001194757711 846387923 /nfs/dbraw/zinc/38/79/23/846387923.db2.gz AOICQXMFLPGOBW-UHFFFAOYSA-N 0 1 279.321 0.711 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2ccccn2)C1 ZINC001195221839 846483185 /nfs/dbraw/zinc/48/31/85/846483185.db2.gz IWGUBKRSAXQMBK-HUUCEWRRSA-N 0 1 289.379 0.752 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1O ZINC001195277253 846491117 /nfs/dbraw/zinc/49/11/17/846491117.db2.gz CWFKAEYFDCJEKV-JHJVBQTASA-N 0 1 284.400 0.785 20 30 CCEDMN CC#CCN1CCCN(C(=O)CC(=O)NCC)CC1 ZINC001195581225 846578057 /nfs/dbraw/zinc/57/80/57/846578057.db2.gz VMRCPTGZSKKDDV-UHFFFAOYSA-N 0 1 265.357 0.070 20 30 CCEDMN N#Cc1ccc(C(=O)n2c(N)csc2=N)c(O)c1 ZINC001195733189 846604789 /nfs/dbraw/zinc/60/47/89/846604789.db2.gz CYRWINCDGAGAHO-UHFFFAOYSA-N 0 1 260.278 0.877 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2cn(C)nn2)CC1 ZINC001195702914 846606908 /nfs/dbraw/zinc/60/69/08/846606908.db2.gz NTOBCOGDOMCCKY-UHFFFAOYSA-N 0 1 293.371 0.166 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)CC)C1 ZINC001195762054 846611375 /nfs/dbraw/zinc/61/13/75/846611375.db2.gz KUKZIXBLAAZQJX-VXGBXAGGSA-N 0 1 252.358 0.607 20 30 CCEDMN CCC(CO)(CO)NC(=O)c1ccc(C#N)cc1O ZINC001195764326 846614082 /nfs/dbraw/zinc/61/40/82/846614082.db2.gz JWQOCXRRHVJMMN-UHFFFAOYSA-N 0 1 264.281 0.127 20 30 CCEDMN CN1C[C@H](NC(=O)c2ccc(C#N)cc2O)CCC1=O ZINC001195764163 846614160 /nfs/dbraw/zinc/61/41/60/846614160.db2.gz HHBXFVURWITXFV-SNVBAGLBSA-N 0 1 273.292 0.614 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)CC)C1 ZINC001195769864 846615414 /nfs/dbraw/zinc/61/54/14/846615414.db2.gz DCJQRUAQVAZWCO-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C[C@H]1COCCN1CCNC(=O)c1ccc(C#N)cc1O ZINC001195767882 846616075 /nfs/dbraw/zinc/61/60/75/846616075.db2.gz BDDYLSRETUYYOE-NSHDSACASA-N 0 1 289.335 0.714 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC(=O)N(C3CC3)C(=O)C2)c(O)c1 ZINC001195741189 846619040 /nfs/dbraw/zinc/61/90/40/846619040.db2.gz QKTJUHZPSPLRGW-UHFFFAOYSA-N 0 1 299.286 0.237 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCNC[C@H]2C#N)c(O)c1 ZINC001195740347 846620083 /nfs/dbraw/zinc/62/00/83/846620083.db2.gz DCONBBKEEYTIRC-SNVBAGLBSA-N 0 1 256.265 0.201 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(CCCOC)C[C@H]2O)CC1 ZINC001195905755 846647827 /nfs/dbraw/zinc/64/78/27/846647827.db2.gz BWHFOARKZDPWSH-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CCCN(C(=O)CCNC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001196034000 846679282 /nfs/dbraw/zinc/67/92/82/846679282.db2.gz WHPWABQWMPBGFC-ZIAGYGMSSA-N 0 1 293.411 0.869 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CCc2cncs2)C1 ZINC001196215240 846707238 /nfs/dbraw/zinc/70/72/38/846707238.db2.gz XWDRUKFHZANPPH-CHWSQXEVSA-N 0 1 293.392 0.260 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCc2cncs2)C1 ZINC001196215240 846707248 /nfs/dbraw/zinc/70/72/48/846707248.db2.gz XWDRUKFHZANPPH-CHWSQXEVSA-N 0 1 293.392 0.260 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1cc(Cl)ncc1O ZINC001196388647 846741680 /nfs/dbraw/zinc/74/16/80/846741680.db2.gz BGDNZIBHQYCMAT-SSDOTTSWSA-N 0 1 266.688 0.378 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)n2ccnc2)C1 ZINC001196498101 846764555 /nfs/dbraw/zinc/76/45/55/846764555.db2.gz ASGUHOLFWHQJMM-BFHYXJOUSA-N 0 1 292.383 0.572 20 30 CCEDMN N#Cc1ccc(CC(=O)NCCc2nc[nH]n2)cc1 ZINC000080626632 846778804 /nfs/dbraw/zinc/77/88/04/846778804.db2.gz RHORRMRTRKXBSH-UHFFFAOYSA-N 0 1 255.281 0.578 20 30 CCEDMN COC(=O)CCCCS(=O)(=O)Nc1cnc(C#N)cn1 ZINC001196912619 846818234 /nfs/dbraw/zinc/81/82/34/846818234.db2.gz BZOAUMOVXGLGAC-UHFFFAOYSA-N 0 1 298.324 0.433 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOCCO ZINC001110494375 846951406 /nfs/dbraw/zinc/95/14/06/846951406.db2.gz NYUVDTUXJWITPE-KFWWJZLASA-N 0 1 294.395 0.520 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CCCN(CCCO)CC1 ZINC001198343251 847091150 /nfs/dbraw/zinc/09/11/50/847091150.db2.gz HXMUNJABKFUFEK-ZDUSSCGKSA-N 0 1 270.373 0.494 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CN(C(C)C)C[C@H]1O ZINC001199331179 847304870 /nfs/dbraw/zinc/30/48/70/847304870.db2.gz KZFMKLRHFAWZAV-QJPTWQEYSA-N 0 1 256.346 0.147 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc2ccccn2n1 ZINC001251903638 847415344 /nfs/dbraw/zinc/41/53/44/847415344.db2.gz MQGAWSQQULAJCE-AWEZNQCLSA-N 0 1 259.309 0.435 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)COCC)CCCO1 ZINC001199753799 847418286 /nfs/dbraw/zinc/41/82/86/847418286.db2.gz ROXLVVFPOJXIED-GFCCVEGCSA-N 0 1 290.791 0.983 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cc(CCO)ccn1 ZINC001199754906 847420383 /nfs/dbraw/zinc/42/03/83/847420383.db2.gz ISKWPFGXFLGRTD-UHFFFAOYSA-N 0 1 257.253 0.463 20 30 CCEDMN C=CCOCC(=O)N1CCC(NCc2nncn2C)CC1 ZINC001199787866 847432510 /nfs/dbraw/zinc/43/25/10/847432510.db2.gz FYNNYAOLYGMECO-UHFFFAOYSA-N 0 1 293.371 0.098 20 30 CCEDMN NC(=NC(=O)c1[nH]nc2c1CCC2)c1nccs1 ZINC001201243260 847748517 /nfs/dbraw/zinc/74/85/17/847748517.db2.gz VZZZKBQBUWLSGI-UHFFFAOYSA-N 0 1 261.310 0.901 20 30 CCEDMN C[C@H](C#N)C(=O)NC/C=C\CNCC(=O)N(C)C1CC1 ZINC001273909901 847820404 /nfs/dbraw/zinc/82/04/04/847820404.db2.gz LEYPINPQHAIDIF-DLRQAJBASA-N 0 1 278.356 0.029 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1cccc(OC)n1 ZINC001153081840 847921356 /nfs/dbraw/zinc/92/13/56/847921356.db2.gz ZCGPUBHSOXIFIC-UHFFFAOYSA-N 0 1 293.367 0.889 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1cncs1 ZINC001153148950 847934776 /nfs/dbraw/zinc/93/47/76/847934776.db2.gz OXAKTJVABATWDW-JTQLQIEISA-N 0 1 269.370 0.940 20 30 CCEDMN C=CCOC[C@H](O)CN1CCO[C@@]2(CCOC2)C1 ZINC001252460296 847974123 /nfs/dbraw/zinc/97/41/23/847974123.db2.gz CVUZWIHAIWMBLG-OLZOCXBDSA-N 0 1 257.330 0.041 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCN(c2cccnn2)CC1 ZINC001252469017 847975778 /nfs/dbraw/zinc/97/57/78/847975778.db2.gz TXGIENKGYLZYEP-ZDUSSCGKSA-N 0 1 278.356 0.162 20 30 CCEDMN C=C[C@](C)(O)CN1CCOC[C@@](O)(C(F)(F)F)C1 ZINC001252547467 847999805 /nfs/dbraw/zinc/99/98/05/847999805.db2.gz IJGDJWJEDCQODQ-VHSXEESVSA-N 0 1 269.263 0.549 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(C2CC2)CC1 ZINC001114442403 848066154 /nfs/dbraw/zinc/06/61/54/848066154.db2.gz GQHNXHKTLQQPNS-FICVDOATSA-N 0 1 288.391 0.873 20 30 CCEDMN CCCCNC(=O)CNC/C=C/CNC(=O)C#CC1CC1 ZINC001274014754 848302537 /nfs/dbraw/zinc/30/25/37/848302537.db2.gz BZNGZZQYKCWDMG-SNAWJCMRSA-N 0 1 291.395 0.578 20 30 CCEDMN C=CCN1c2ccccc2[C@]2(CCN(CC(N)=O)C2)C1=O ZINC001274053649 848325973 /nfs/dbraw/zinc/32/59/73/848325973.db2.gz CLWJUBNBIBCVQH-MRXNPFEDSA-N 0 1 285.347 0.648 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)c1cc(C)co1 ZINC001274287485 848440896 /nfs/dbraw/zinc/44/08/96/848440896.db2.gz PZZOUYNSRZQQDN-SNAWJCMRSA-N 0 1 289.335 0.213 20 30 CCEDMN C=C(C)CN1C[C@]2(CCN(Cc3nnc[nH]3)C2)OCC1=O ZINC001274356692 848461455 /nfs/dbraw/zinc/46/14/55/848461455.db2.gz WKDZPPVCDNKBIZ-CQSZACIVSA-N 0 1 291.355 0.184 20 30 CCEDMN C[C@H](CNCc1cc(C#N)ccc1F)NC(=O)CC(N)=O ZINC001274709363 848547824 /nfs/dbraw/zinc/54/78/24/848547824.db2.gz MSFVTXVDCHXREU-SECBINFHSA-N 0 1 292.314 0.167 20 30 CCEDMN C#CCN1C[C@@]2(F)CN(c3cncn3C)C[C@@]2(F)C1=O ZINC001274759465 848562000 /nfs/dbraw/zinc/56/20/00/848562000.db2.gz VCZASDVUROBXAQ-CHWSQXEVSA-N 0 1 280.278 0.132 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)Cn2cc(C)cn2)CC1 ZINC001274897284 848587326 /nfs/dbraw/zinc/58/73/26/848587326.db2.gz PNMDNYWTEVINHF-UHFFFAOYSA-N 0 1 292.383 0.321 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC1 ZINC001274930290 848595523 /nfs/dbraw/zinc/59/55/23/848595523.db2.gz YIKKRFHBPSXDDX-MCIONIFRSA-N 0 1 294.395 0.683 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cc2ncccn2n1 ZINC001275150384 848652018 /nfs/dbraw/zinc/65/20/18/848652018.db2.gz GEMZSUFXVCLRAN-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(=O)[nH]c1 ZINC001275711830 848797969 /nfs/dbraw/zinc/79/79/69/848797969.db2.gz APGDEYFMHKKQJK-NSHDSACASA-N 0 1 261.325 0.861 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@@H](C)CNC(=O)CC)C1=O ZINC001275750632 848808263 /nfs/dbraw/zinc/80/82/63/848808263.db2.gz JVMDDVZIYKAKPX-RYUDHWBXSA-N 0 1 267.373 0.620 20 30 CCEDMN CCc1nc(C(=O)NC[C@@H](C)N(C)CC#CCOC)co1 ZINC001275811625 848833181 /nfs/dbraw/zinc/83/31/81/848833181.db2.gz QQCBVRKFYGZJSW-GFCCVEGCSA-N 0 1 293.367 0.937 20 30 CCEDMN C#CCCCN1CC2(CCN2C(=O)c2ccc(=O)[nH]n2)C1 ZINC001275975470 848877984 /nfs/dbraw/zinc/87/79/84/848877984.db2.gz FGDQAPONYYOIQN-UHFFFAOYSA-N 0 1 286.335 0.496 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCc1cn(C)nn1 ZINC001276020767 848892380 /nfs/dbraw/zinc/89/23/80/848892380.db2.gz DOATWEJSTFECCR-LBPRGKRZSA-N 0 1 277.372 0.208 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(=O)N(C)C)C2 ZINC001111118500 849073166 /nfs/dbraw/zinc/07/31/66/849073166.db2.gz RNKNNUBMRYZXQR-UPJWGTAASA-N 0 1 277.368 0.210 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cnccn1)C2 ZINC001111231533 849102548 /nfs/dbraw/zinc/10/25/48/849102548.db2.gz CLIJKYGDRDTXDX-KFWWJZLASA-N 0 1 284.363 0.764 20 30 CCEDMN COc1ccc(CN2CCN(C)[C@@H](CO)C2)cc1C#N ZINC000687596159 849125877 /nfs/dbraw/zinc/12/58/77/849125877.db2.gz WOYCEVZFKJEEFO-CQSZACIVSA-N 0 1 275.352 0.675 20 30 CCEDMN CN1CCN(CCOc2ccccc2C#N)C[C@@H]1CO ZINC000687627704 849131517 /nfs/dbraw/zinc/13/15/17/849131517.db2.gz JNCQCNYOBKXPKP-CQSZACIVSA-N 0 1 275.352 0.545 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CCO)cc1 ZINC001095855175 849203248 /nfs/dbraw/zinc/20/32/48/849203248.db2.gz TUXLJSJVQQGJLB-PMPSAXMXSA-N 0 1 284.359 0.995 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@@H](C2OCCO2)C1 ZINC000719060367 849292467 /nfs/dbraw/zinc/29/24/67/849292467.db2.gz YUUDKJQUIGUUNG-LLVKDONJSA-N 0 1 267.329 0.101 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnoc1)C2 ZINC001095906536 849440107 /nfs/dbraw/zinc/44/01/07/849440107.db2.gz AIBVZBDVCPSPDJ-RDBSUJKOSA-N 0 1 273.336 0.962 20 30 CCEDMN C=C[C@@H](O)CN1Cc2c(cnn2C)[C@@H](COCC)C1 ZINC001253577765 849528800 /nfs/dbraw/zinc/52/88/00/849528800.db2.gz YSJCFKIJWXFXOY-VXGBXAGGSA-N 0 1 265.357 0.903 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1csc(=O)[nH]1 ZINC001038620243 849671495 /nfs/dbraw/zinc/67/14/95/849671495.db2.gz JBNMYVLQGUYOHJ-VIFPVBQESA-N 0 1 265.338 0.676 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)[C@@H]1CN(C(C)C)CCO1 ZINC001038626751 849709012 /nfs/dbraw/zinc/70/90/12/849709012.db2.gz IJZCOJWCTUQGCT-GJZGRUSLSA-N 0 1 293.411 0.309 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001038264587 849834564 /nfs/dbraw/zinc/83/45/64/849834564.db2.gz CKGAQYAUEXGZPU-JHJVBQTASA-N 0 1 277.368 0.115 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001038413052 849885810 /nfs/dbraw/zinc/88/58/10/849885810.db2.gz QJTGMKVZKQQNSX-ZDUSSCGKSA-N 0 1 275.352 0.766 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001038420692 849889184 /nfs/dbraw/zinc/88/91/84/849889184.db2.gz ZUCGNBRFQAGLTO-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)c1ccc2cncn2c1 ZINC001038518778 849928540 /nfs/dbraw/zinc/92/85/40/849928540.db2.gz GRONRMXQVCFBTN-AWEZNQCLSA-N 0 1 268.320 0.772 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCN1CCCO ZINC001038714630 849995054 /nfs/dbraw/zinc/99/50/54/849995054.db2.gz REYOZFXZBBXATK-UPJWGTAASA-N 0 1 268.357 0.150 20 30 CCEDMN C=CC[N@@H+]1CC[C@H]1CNC(=O)c1c[n-]n2c1nccc2=O ZINC001038777583 850013366 /nfs/dbraw/zinc/01/33/66/850013366.db2.gz FERPWETZMNWEFO-JTQLQIEISA-N 0 1 287.323 0.013 20 30 CCEDMN C=CC[N@H+]1CC[C@H]1CNC(=O)c1c[n-]n2c1nccc2=O ZINC001038777583 850013369 /nfs/dbraw/zinc/01/33/69/850013369.db2.gz FERPWETZMNWEFO-JTQLQIEISA-N 0 1 287.323 0.013 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cnc(OC)nc1 ZINC001038877468 850062588 /nfs/dbraw/zinc/06/25/88/850062588.db2.gz GLFKFUWQIHBWSZ-LBPRGKRZSA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001038894367 850071165 /nfs/dbraw/zinc/07/11/65/850071165.db2.gz RECNSYQUNPGJRR-NWDGAFQWSA-N 0 1 272.352 0.338 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cnn(CCOC)c1 ZINC001038973940 850108948 /nfs/dbraw/zinc/10/89/48/850108948.db2.gz BWEPJPWLCCKBSV-CQSZACIVSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCc2nncn2C1 ZINC001039049828 850139081 /nfs/dbraw/zinc/13/90/81/850139081.db2.gz YVZKBGGRLISPLV-QWHCGFSZSA-N 0 1 287.367 0.054 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ncn(C)n1 ZINC001039370324 850181147 /nfs/dbraw/zinc/18/11/47/850181147.db2.gz FVBIOGWSXJADPZ-NEPJUHHUSA-N 0 1 275.356 0.680 20 30 CCEDMN N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCc1cnc[nH]1 ZINC001039384384 850182726 /nfs/dbraw/zinc/18/27/26/850182726.db2.gz SBBFBNMNBOXOHA-KGLIPLIRSA-N 0 1 287.367 0.931 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@]2(CCN(CC(=O)N(C)C)C2)C1 ZINC001041137916 850379943 /nfs/dbraw/zinc/37/99/43/850379943.db2.gz ZGDRSDDWEFIQBE-IUODEOHRSA-N 0 1 292.383 0.159 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@]3(CCN(CC#N)C3)C2)[nH]1 ZINC001041144683 850384419 /nfs/dbraw/zinc/38/44/19/850384419.db2.gz XWXISSONEGGQON-CQSZACIVSA-N 0 1 273.340 0.780 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cn4c(n3)CCC4)C[C@@H]21 ZINC001042065380 850571763 /nfs/dbraw/zinc/57/17/63/850571763.db2.gz RYGYRQYKLWHOEZ-ZFWWWQNUSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2ccc3cncn3c2)C1 ZINC001043355304 850859376 /nfs/dbraw/zinc/85/93/76/850859376.db2.gz PBGIXUAXCXHNHW-UHFFFAOYSA-N 0 1 268.320 0.724 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001044154807 851025012 /nfs/dbraw/zinc/02/50/12/851025012.db2.gz ZQQCRLSCHXKDIK-LLVKDONJSA-N 0 1 272.352 0.290 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(=O)n1C)C2 ZINC001095990227 851138203 /nfs/dbraw/zinc/13/82/03/851138203.db2.gz IQZHVUPRWINSCA-RDBSUJKOSA-N 0 1 299.374 0.744 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(C)CCNC1=O)C2 ZINC001096004571 851192336 /nfs/dbraw/zinc/19/23/36/851192336.db2.gz FVAPUHBGFRVAOQ-DVZHBHJUSA-N 0 1 289.379 0.257 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccc3nncn3c2)CC1 ZINC001045587105 851283221 /nfs/dbraw/zinc/28/32/21/851283221.db2.gz SEBXFIYZSXPXJQ-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCCN1CC[C@](C)(NC(=O)C2CS(=O)(=O)C2)C1 ZINC001046342846 851469304 /nfs/dbraw/zinc/46/93/04/851469304.db2.gz WNSCOBNIWYVSSM-ZDUSSCGKSA-N 0 1 286.397 0.188 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cnc3n2CCOC3)C1 ZINC001046392567 851485203 /nfs/dbraw/zinc/48/52/03/851485203.db2.gz XEYZUPAIZVGQEW-HNNXBMFYSA-N 0 1 290.367 0.794 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCNC2=O)C1 ZINC001046397966 851488770 /nfs/dbraw/zinc/48/87/70/851488770.db2.gz PUPSOEQJFISPMF-SWLSCSKDSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001046429452 851499345 /nfs/dbraw/zinc/49/93/45/851499345.db2.gz SIKOLJMZAAENJN-BBRMVZONSA-N 0 1 286.379 0.659 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cccc3ncnn32)C1 ZINC001046451590 851512797 /nfs/dbraw/zinc/51/27/97/851512797.db2.gz LLGLKVZTLVUQIU-MRXNPFEDSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cnn3cccnc23)C1 ZINC001046533030 851535086 /nfs/dbraw/zinc/53/50/86/851535086.db2.gz DKDITCSMWBKQSP-HNNXBMFYSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001046773582 851608122 /nfs/dbraw/zinc/60/81/22/851608122.db2.gz HDWHCXCOYSWGEG-OAHLLOKOSA-N 0 1 289.383 0.923 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001046863016 851633846 /nfs/dbraw/zinc/63/38/46/851633846.db2.gz ULFICNAXBRLROC-GDBMZVCRSA-N 0 1 291.395 0.601 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)c3ncn[nH]3)C2)cn1 ZINC001046871751 851636801 /nfs/dbraw/zinc/63/68/01/851636801.db2.gz DGMYVKFYEXAWKZ-OTCDBFHCSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)c3nc[nH]n3)C2)cn1 ZINC001046871751 851636807 /nfs/dbraw/zinc/63/68/07/851636807.db2.gz DGMYVKFYEXAWKZ-OTCDBFHCSA-N 0 1 297.322 0.692 20 30 CCEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001046872131 851636966 /nfs/dbraw/zinc/63/69/66/851636966.db2.gz AYNFVQMOBIFHPP-HNNXBMFYSA-N 0 1 288.351 0.561 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001046872131 851636971 /nfs/dbraw/zinc/63/69/71/851636971.db2.gz AYNFVQMOBIFHPP-HNNXBMFYSA-N 0 1 288.351 0.561 20 30 CCEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2cnnn2C)C1 ZINC001046934941 851647978 /nfs/dbraw/zinc/64/79/78/851647978.db2.gz MOBOJLKZRLZLOU-JTQLQIEISA-N 0 1 299.325 0.688 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@H]2CCCO2)C1 ZINC001047309694 851709061 /nfs/dbraw/zinc/70/90/61/851709061.db2.gz LUYPEKHBSANLMY-AGIUHOORSA-N 0 1 268.357 0.245 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccns2)C1 ZINC001047398428 851749586 /nfs/dbraw/zinc/74/95/86/851749586.db2.gz OGAQZKDWTFBJJN-QWRGUYRKSA-N 0 1 281.381 0.836 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)cnn2C)C1 ZINC001047492710 851784538 /nfs/dbraw/zinc/78/45/38/851784538.db2.gz VUZOWZIYHQEQTL-STQMWFEESA-N 0 1 292.383 0.422 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2conc2C)C1 ZINC001047511786 851796997 /nfs/dbraw/zinc/79/69/97/851796997.db2.gz SQTCLDJKWBBNTN-STQMWFEESA-N 0 1 279.340 0.676 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(Cl)[nH]2)C1 ZINC001047517167 851798738 /nfs/dbraw/zinc/79/87/38/851798738.db2.gz WYMCWXDWJLFACM-RYUDHWBXSA-N 0 1 295.770 0.809 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C[N@H+]2CC[C@@H](C)C2)C1 ZINC001047527282 851801464 /nfs/dbraw/zinc/80/14/64/851801464.db2.gz AVPVHQBAHAWGHD-ILXRZTDVSA-N 0 1 295.427 0.408 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]c(C)nc2C)C1 ZINC001047532727 851803670 /nfs/dbraw/zinc/80/36/70/851803670.db2.gz MEQSWSUXUDXDRM-STQMWFEESA-N 0 1 292.383 0.720 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cnc[nH]2)C1 ZINC001047545028 851810810 /nfs/dbraw/zinc/81/08/10/851810810.db2.gz NMAAOIUCKKZIPC-STQMWFEESA-N 0 1 278.356 0.032 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C[C@H]2CCCOC2)C1 ZINC001047632258 851840926 /nfs/dbraw/zinc/84/09/26/851840926.db2.gz ATIRFBNPJNXXGQ-ILXRZTDVSA-N 0 1 296.411 0.883 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1C[C@H]2CN(CC#N)C[C@H]2C1 ZINC001049174314 852186097 /nfs/dbraw/zinc/18/60/97/852186097.db2.gz RXTJSIXYJSLDKK-BETUJISGSA-N 0 1 299.378 0.675 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnccn1 ZINC001049303160 852222797 /nfs/dbraw/zinc/22/27/97/852222797.db2.gz QYYCHTRHCMUMNT-KBPBESRZSA-N 0 1 270.336 0.789 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)Cn1cccn1 ZINC001049329985 852230634 /nfs/dbraw/zinc/23/06/34/852230634.db2.gz DRHZYEJZQGDPCH-ZIAGYGMSSA-N 0 1 272.352 0.582 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1cnn(C)c1 ZINC001049375618 852248749 /nfs/dbraw/zinc/24/87/49/852248749.db2.gz YBJDCYDINSHQSW-LSDHHAIUSA-N 0 1 286.379 0.661 20 30 CCEDMN N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1ccn[nH]1 ZINC001049388308 852254563 /nfs/dbraw/zinc/25/45/63/852254563.db2.gz SLNIRGPRZNFEHT-QWHCGFSZSA-N 0 1 273.340 0.541 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCNC(=O)C1 ZINC001049414522 852262789 /nfs/dbraw/zinc/26/27/89/852262789.db2.gz DZWXAOGBRKDLTL-MELADBBJSA-N 0 1 289.379 0.211 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@@H]3[C@H]2CC[N@@H+]3CCO)[nH]1 ZINC001049424950 852268893 /nfs/dbraw/zinc/26/88/93/852268893.db2.gz IPDXKOHAFQHZEF-ZIAGYGMSSA-N 0 1 288.351 0.558 20 30 CCEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cn(C)nn1 ZINC001049462035 852287439 /nfs/dbraw/zinc/28/74/39/852287439.db2.gz NDMAMXBTMTZBRD-KGLIPLIRSA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1nnc(C)o1 ZINC001049460264 852288135 /nfs/dbraw/zinc/28/81/35/852288135.db2.gz DPEAKYUGSLQHKX-CHWSQXEVSA-N 0 1 288.351 0.619 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)Cc1ccn(C)n1 ZINC001049475985 852292385 /nfs/dbraw/zinc/29/23/85/852292385.db2.gz QAPHSKZDGWLCIT-CABCVRRESA-N 0 1 286.379 0.661 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1cnoc1 ZINC001049665175 852338637 /nfs/dbraw/zinc/33/86/37/852338637.db2.gz UICDIYWFAIOCCV-ZIAGYGMSSA-N 0 1 273.336 0.916 20 30 CCEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nnn(C)c1C)C2 ZINC001096909397 852464014 /nfs/dbraw/zinc/46/40/14/852464014.db2.gz SMGGGYRDKIWKBJ-UPJWGTAASA-N 0 1 287.367 0.482 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nnn(C)c1C)C2 ZINC001096909397 852464019 /nfs/dbraw/zinc/46/40/19/852464019.db2.gz SMGGGYRDKIWKBJ-UPJWGTAASA-N 0 1 287.367 0.482 20 30 CCEDMN C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(C)c1[O-])C2 ZINC001096962492 852473865 /nfs/dbraw/zinc/47/38/65/852473865.db2.gz JAPSQSNFUBKAIH-MXWKQRLJSA-N 0 1 274.324 0.392 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(C)c1[O-])C2 ZINC001096962492 852473867 /nfs/dbraw/zinc/47/38/67/852473867.db2.gz JAPSQSNFUBKAIH-MXWKQRLJSA-N 0 1 274.324 0.392 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(C)c1N)C2 ZINC001096986059 852479796 /nfs/dbraw/zinc/47/97/96/852479796.db2.gz XYZDZYGRDYMLQN-JLLWLGSASA-N 0 1 275.356 0.523 20 30 CCEDMN Cc1onc(CC(=O)N[C@H](C)c2nnc[nH]2)c1C#N ZINC001254339483 852531193 /nfs/dbraw/zinc/53/11/93/852531193.db2.gz XIJXMBYCBSJYHC-ZCFIWIBFSA-N 0 1 260.257 0.393 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]ncc1F)C2 ZINC001097796838 852617624 /nfs/dbraw/zinc/61/76/24/852617624.db2.gz HYIKVYLMNSWXHC-QNSHHTMESA-N 0 1 263.276 0.407 20 30 CCEDMN C[C@H](CNC(=O)CN1CCCC1)Nc1cncc(C#N)n1 ZINC001097862474 852632687 /nfs/dbraw/zinc/63/26/87/852632687.db2.gz BZMBOFIMACQURE-LLVKDONJSA-N 0 1 288.355 0.361 20 30 CCEDMN C[C@@H](CNC(=O)CN1CCCC1)Nc1nccnc1C#N ZINC001097863276 852634834 /nfs/dbraw/zinc/63/48/34/852634834.db2.gz JYMXNAKNOGCYEE-NSHDSACASA-N 0 1 288.355 0.361 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)CN2CCCC2)CC1 ZINC001052938465 852673958 /nfs/dbraw/zinc/67/39/58/852673958.db2.gz YLEMFEJEIPMIQI-CYBMUJFWSA-N 0 1 264.373 0.576 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)Cc1cc(C)n[nH]1)CO2 ZINC001053772136 852814517 /nfs/dbraw/zinc/81/45/17/852814517.db2.gz GUMUNZIBZLIFJH-ZDUSSCGKSA-N 0 1 290.367 0.406 20 30 CCEDMN CC#CCN1CC2(C1)C[C@H](NC(=O)CN1CCCC1)CO2 ZINC001054009052 852868883 /nfs/dbraw/zinc/86/88/83/852868883.db2.gz NZACIIALBATXIV-AWEZNQCLSA-N 0 1 291.395 0.065 20 30 CCEDMN CCCC(=O)NCCN1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001055497811 853083799 /nfs/dbraw/zinc/08/37/99/853083799.db2.gz HXLHAZIPMPRWLK-LBPRGKRZSA-N 0 1 294.399 0.643 20 30 CCEDMN CC(C)C(=O)NCCN1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001055520948 853084323 /nfs/dbraw/zinc/08/43/23/853084323.db2.gz DWFKZEAXKHMAQD-LBPRGKRZSA-N 0 1 294.399 0.499 20 30 CCEDMN CC(=O)NC1CCN(CCNC(=O)C#CC2CC2)CC1 ZINC001055575557 853088668 /nfs/dbraw/zinc/08/86/68/853088668.db2.gz XPSQSMQAPJWIQP-UHFFFAOYSA-N 0 1 277.368 0.117 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(c3ccc(C#N)nn3)CC2)[nH]1 ZINC001055746328 853114524 /nfs/dbraw/zinc/11/45/24/853114524.db2.gz REGYGSLINJBZDT-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN N#Cc1cccnc1N[C@@H]1CCN(C(=O)Cc2ncn[nH]2)C1 ZINC001056697899 853183654 /nfs/dbraw/zinc/18/36/54/853183654.db2.gz DUCYGFWVNRHNOT-LLVKDONJSA-N 0 1 297.322 0.327 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3coc(OC)n3)[C@@H]2C1 ZINC001050104690 853314070 /nfs/dbraw/zinc/31/40/70/853314070.db2.gz XYPIHXXEVMDTMZ-WCQYABFASA-N 0 1 289.335 0.853 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3c[nH]c(=O)n3C)[C@@H]2C1 ZINC001050113143 853317049 /nfs/dbraw/zinc/31/70/49/853317049.db2.gz NTTBDEWQBPIZCO-WCQYABFASA-N 0 1 288.351 0.295 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cccc(=O)n3C)[C@@H]2C1 ZINC001050137389 853322891 /nfs/dbraw/zinc/32/28/91/853322891.db2.gz VJPKROBZGZCEFW-DZGCQCFKSA-N 0 1 299.374 0.555 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cccn(C)c3=O)[C@@H]2C1 ZINC001050184117 853332461 /nfs/dbraw/zinc/33/24/61/853332461.db2.gz INNDTFASJJJYIM-DZGCQCFKSA-N 0 1 299.374 0.555 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC2(C1)CCN(CC(N)=O)CC2 ZINC001050617267 853404392 /nfs/dbraw/zinc/40/43/92/853404392.db2.gz FMFANAQJNSKUTD-UHFFFAOYSA-N 0 1 279.384 0.608 20 30 CCEDMN C=CCN1CCOC[C@@H]1CNC(=O)[C@H]1CCCCN1C ZINC001050981446 853500977 /nfs/dbraw/zinc/50/09/77/853500977.db2.gz GWCYSHOFLUAZHE-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN C=CCN1CCOC[C@@H]1CNC(=O)[C@H]1CCCCN1CC ZINC001051256543 853556243 /nfs/dbraw/zinc/55/62/43/853556243.db2.gz XDBXYZVFBZTZIL-LSDHHAIUSA-N 0 1 295.427 0.864 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCO[C@@H](CNCc2ccccn2)C1 ZINC001051495464 853596235 /nfs/dbraw/zinc/59/62/35/853596235.db2.gz VBMUBDWARCCOTG-JSGCOSHPSA-N 0 1 288.351 0.558 20 30 CCEDMN N#Cc1cnccc1NC[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001068618331 853907082 /nfs/dbraw/zinc/90/70/82/853907082.db2.gz IYNBFSYHOQAQKK-HOMQSWHASA-N 0 1 297.322 0.114 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nc3ncccn3n1)C2 ZINC001098078902 853920874 /nfs/dbraw/zinc/92/08/74/853920874.db2.gz SUOYWGVPLBIQQI-UTUOFQBUSA-N 0 1 298.350 0.645 20 30 CCEDMN CCN1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@@H](n2ccnn2)C1 ZINC001069908705 853997723 /nfs/dbraw/zinc/99/77/23/853997723.db2.gz LTWOFIIXSJMTFV-OLZOCXBDSA-N 0 1 299.338 0.153 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CC)C[C@@H]2n2ccnn2)C1 ZINC001070018091 854017796 /nfs/dbraw/zinc/01/77/96/854017796.db2.gz SEUUTCZTOANBHY-OLZOCXBDSA-N 0 1 289.383 0.996 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H]2CC23CCC3)C[C@@H]1n1ccnn1 ZINC001070285759 854040657 /nfs/dbraw/zinc/04/06/57/854040657.db2.gz ITFNXQUAWLBINT-MJBXVCDLSA-N 0 1 299.378 0.443 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)COC)C[C@@H](C)O2 ZINC001071110129 854121764 /nfs/dbraw/zinc/12/17/64/854121764.db2.gz IOKNSLRAJIBNCX-OCCSQVGLSA-N 0 1 268.357 0.511 20 30 CCEDMN CC#CCN1CC[C@@]2(C1)CN(C(=O)COC)C[C@H](C)O2 ZINC001071113341 854122302 /nfs/dbraw/zinc/12/23/02/854122302.db2.gz JWQDTONDJHQFLV-DZGCQCFKSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)CSC)C[C@H](C)O2 ZINC001071132236 854127075 /nfs/dbraw/zinc/12/70/75/854127075.db2.gz SVUPKYDTSGTMCM-JSGCOSHPSA-N 0 1 282.409 0.674 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2nccnc2N)CC[C@@H]1C ZINC001071396120 854175072 /nfs/dbraw/zinc/17/50/72/854175072.db2.gz HSPSNOAFIIOMFE-QWRGUYRKSA-N 0 1 275.356 0.828 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2cc[nH]n2)CC[C@@H]1C ZINC001071400708 854175729 /nfs/dbraw/zinc/17/57/29/854175729.db2.gz AIKRVNFKDMXHPK-GXTWGEPZSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC2OCCCO2)CC[C@H]1C ZINC001071457543 854205301 /nfs/dbraw/zinc/20/53/01/854205301.db2.gz JPIQWKDKHFQELB-CHWSQXEVSA-N 0 1 280.368 0.742 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2nnc(C)o2)CC[C@H]1C ZINC001071490641 854220514 /nfs/dbraw/zinc/22/05/14/854220514.db2.gz OZBNULVGQIXPIH-PWSUYJOCSA-N 0 1 276.340 0.523 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccnc3n[nH]nc32)CC[C@@H]1C ZINC001071674049 854262579 /nfs/dbraw/zinc/26/25/79/854262579.db2.gz NTIYHKWOOBXELE-QWRGUYRKSA-N 0 1 298.350 0.569 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001071787597 854290211 /nfs/dbraw/zinc/29/02/11/854290211.db2.gz QEVYSTWKXKMPEF-VHSXEESVSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001071787597 854290221 /nfs/dbraw/zinc/29/02/21/854290221.db2.gz QEVYSTWKXKMPEF-VHSXEESVSA-N 0 1 277.328 0.348 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CC[C@@H](C)N(CCO)C2)c1 ZINC001071813660 854300553 /nfs/dbraw/zinc/30/05/53/854300553.db2.gz CWDQVTQEFVHRSV-IUODEOHRSA-N 0 1 287.363 0.638 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001072056615 854342214 /nfs/dbraw/zinc/34/22/14/854342214.db2.gz MPMYMECVIJBUGZ-CMPLNLGQSA-N 0 1 289.339 0.041 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cn2ccnc2)CC[C@@H]1C ZINC001072122895 854349380 /nfs/dbraw/zinc/34/93/80/854349380.db2.gz LKJOPKSHABXVDJ-KBPBESRZSA-N 0 1 274.368 0.876 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnn(C)c3C)C2)C1 ZINC001072473806 854394648 /nfs/dbraw/zinc/39/46/48/854394648.db2.gz UDMBMQSWJSJKCL-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(C)nc3C)C2)C1 ZINC001072553763 854416056 /nfs/dbraw/zinc/41/60/56/854416056.db2.gz JYQXYABPKOLPRB-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cncnc3)C2)C1 ZINC001072670437 854442312 /nfs/dbraw/zinc/44/23/12/854442312.db2.gz VDMGQPBXHOYKGP-UHFFFAOYSA-N 0 1 270.336 0.187 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccns3)C2)C1 ZINC001072789123 854462738 /nfs/dbraw/zinc/46/27/38/854462738.db2.gz ADGYSPKPZHCTEY-UHFFFAOYSA-N 0 1 261.350 0.924 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCC[C@H]3OC)C2)C1 ZINC001072871760 854484459 /nfs/dbraw/zinc/48/44/59/854484459.db2.gz MQFLMFRJEJXQGN-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C2CCOCC2)C1 ZINC001073508146 854570309 /nfs/dbraw/zinc/57/03/09/854570309.db2.gz ONTIFXVFWZZKCO-HNNXBMFYSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2cccnc2)C1 ZINC001073517336 854572775 /nfs/dbraw/zinc/57/27/75/854572775.db2.gz MHNBWGGNOVBUIL-HNNXBMFYSA-N 0 1 287.363 0.926 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001073546123 854586733 /nfs/dbraw/zinc/58/67/33/854586733.db2.gz YRTMVGFAHXXWLB-QWHCGFSZSA-N 0 1 268.357 0.416 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2nc(C)c[nH]2)C1 ZINC001073807229 854645741 /nfs/dbraw/zinc/64/57/41/854645741.db2.gz JEYSQIMLBNFIAA-ZDUSSCGKSA-N 0 1 290.367 0.562 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H]3OCCN(CC#N)[C@H]3C2)[nH]1 ZINC001074194977 854694182 /nfs/dbraw/zinc/69/41/82/854694182.db2.gz NQXCBEVUEMQFHO-QWHCGFSZSA-N 0 1 289.339 0.157 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCNC(=O)C2)[C@H]1C ZINC001074600453 854754659 /nfs/dbraw/zinc/75/46/59/854754659.db2.gz OYEDFZKLOQEJOZ-TUAOUCFPSA-N 0 1 299.802 0.844 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001074652693 854762240 /nfs/dbraw/zinc/76/22/40/854762240.db2.gz AFMLTALOEFFGIM-LOWVWBTDSA-N 0 1 287.367 0.932 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(C)c1OC)C2 ZINC001098290454 854854713 /nfs/dbraw/zinc/85/47/13/854854713.db2.gz IDTDUAJRBOGQBA-RTXFEEFZSA-N 0 1 290.367 0.950 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc(C)nn2C)C1 ZINC001098748350 854883879 /nfs/dbraw/zinc/88/38/79/854883879.db2.gz WATHDVRNAHFDBL-BBRMVZONSA-N 0 1 286.379 0.946 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2c[nH]c(=O)n2C)C1 ZINC001098978113 854902030 /nfs/dbraw/zinc/90/20/30/854902030.db2.gz TXNRFBRXFVWTGF-NHYWBVRUSA-N 0 1 288.351 0.343 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CC(C)(C)O)C[C@H]1C(F)(F)F ZINC001099246888 854924595 /nfs/dbraw/zinc/92/45/95/854924595.db2.gz JKZMSPMCRKTPHA-NXEZZACHSA-N 0 1 292.301 0.760 20 30 CCEDMN C[C@H](CCNC(=O)Cc1nnc[nH]1)Nc1ccncc1C#N ZINC001099483167 854934062 /nfs/dbraw/zinc/93/40/62/854934062.db2.gz HVKHXVPZVHDABP-SNVBAGLBSA-N 0 1 299.338 0.043 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2ccon2)[C@@H](O)C1 ZINC001099702181 854970955 /nfs/dbraw/zinc/97/09/55/854970955.db2.gz PZYQMHYPJQKVMR-AAEUAGOBSA-N 0 1 295.339 0.042 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C/C2CC2)[C@@H](O)C1 ZINC001099769214 854991075 /nfs/dbraw/zinc/99/10/75/854991075.db2.gz OWDQCRFMXWPRSI-AGKLADILSA-N 0 1 262.353 0.527 20 30 CCEDMN Cc1cc(N[C@H](C)CCNC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001099777054 854992532 /nfs/dbraw/zinc/99/25/32/854992532.db2.gz DDMDQAPFDNXULU-SECBINFHSA-N 0 1 299.338 0.422 20 30 CCEDMN C[C@@H](CCNC(=O)c1cnn[nH]1)Nc1ccc(C#N)nn1 ZINC001099776981 854992774 /nfs/dbraw/zinc/99/27/74/854992774.db2.gz AZZTZZTWGGOLRV-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(C(F)F)CC2)[C@@H](O)C1 ZINC001099828929 855006002 /nfs/dbraw/zinc/00/60/02/855006002.db2.gz APFWBRDGHLJXJZ-UWVGGRQHSA-N 0 1 274.311 0.769 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(CCOC)CC2)[C@H](O)C1 ZINC001099874514 855017252 /nfs/dbraw/zinc/01/72/52/855017252.db2.gz MKVDYMKXGUUWAF-UONOGXRCSA-N 0 1 294.395 0.378 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCN(C)c2cncc(C#N)n2)c1C ZINC001099987550 855061514 /nfs/dbraw/zinc/06/15/14/855061514.db2.gz DREZKFUSDLXKSP-UHFFFAOYSA-N 0 1 299.338 0.554 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CN(CC(=O)N(C)C)C[C@@]2(C)C1 ZINC001101167713 855271729 /nfs/dbraw/zinc/27/17/29/855271729.db2.gz QFYCXVFPUJROJM-CJNGLKHVSA-N 0 1 293.411 0.821 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)/C(C)=C/C)[C@H](C)C1 ZINC001101862356 855382606 /nfs/dbraw/zinc/38/26/06/855382606.db2.gz WQDXRQDEJQSYLR-BARLUBHISA-N 0 1 291.395 0.386 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H]1CN(CC(=O)NC)C[C@H]1C ZINC001102010862 855406410 /nfs/dbraw/zinc/40/64/10/855406410.db2.gz RVWYDLKLUHPBCC-CHWSQXEVSA-N 0 1 281.400 0.773 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)Cc2nc[nH]n2)[C@H](C)C1 ZINC001102505732 855441950 /nfs/dbraw/zinc/44/19/50/855441950.db2.gz ARRRQEOMIHJITF-MWLCHTKSSA-N 0 1 297.790 0.784 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)CO[C@@H]2CCOC2)C1 ZINC001111745966 855591387 /nfs/dbraw/zinc/59/13/87/855591387.db2.gz CSNAFYYTOWOEAH-LZWOXQAQSA-N 0 1 292.379 0.396 20 30 CCEDMN N#Cc1nccc(NC2(CNC(=O)c3ncn[nH]3)CCC2)n1 ZINC001111830102 855596920 /nfs/dbraw/zinc/59/69/20/855596920.db2.gz KENDUHNFAZSXQI-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN N#Cc1nccc(NC2(CNC(=O)c3nc[nH]n3)CCC2)n1 ZINC001111830102 855596922 /nfs/dbraw/zinc/59/69/22/855596922.db2.gz KENDUHNFAZSXQI-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN N#Cc1cccnc1NC1(CNC(=O)c2cnn[nH]2)CCC1 ZINC001111926203 855605054 /nfs/dbraw/zinc/60/50/54/855605054.db2.gz CNYCKUJPNCUUFV-UHFFFAOYSA-N 0 1 297.322 0.836 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)n1cccn1 ZINC001115087735 855640332 /nfs/dbraw/zinc/64/03/32/855640332.db2.gz AHQZCHVBKRDNHI-CXTNEJHOSA-N 0 1 272.352 0.514 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@](C)(CO)C2)C1=O ZINC001116916633 855866978 /nfs/dbraw/zinc/86/69/78/855866978.db2.gz CKFITTPNBSYSCV-OCCSQVGLSA-N 0 1 252.358 0.868 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC001117375584 855959411 /nfs/dbraw/zinc/95/94/11/855959411.db2.gz QTYUJYJYVRYVJT-TZMCWYRMSA-N 0 1 291.395 0.505 20 30 CCEDMN CCn1nncc1C(=O)NC1(C#N)CCN(C)CC1 ZINC001117749268 856070760 /nfs/dbraw/zinc/07/07/60/856070760.db2.gz ZRYNPAPZCVBZMT-UHFFFAOYSA-N 0 1 262.317 0.016 20 30 CCEDMN CCC1(N2CCOCC2)CCN(C(=O)C#CCOC)CC1 ZINC001118956563 856507547 /nfs/dbraw/zinc/50/75/47/856507547.db2.gz FAUTXPKVAPQKOC-UHFFFAOYSA-N 0 1 294.395 0.740 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CC[N@@H+](C)[C@@H]1CC)C(=O)[O-] ZINC001119645443 856804942 /nfs/dbraw/zinc/80/49/42/856804942.db2.gz SFPFGUJTSKMSHA-HBNTYKKESA-N 0 1 252.314 0.309 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NCC(=O)NCC2CCC2)CC1 ZINC001392888452 912231282 /nfs/dbraw/zinc/23/12/82/912231282.db2.gz FULBITLQIGHEEZ-LLVKDONJSA-N 0 1 292.383 0.301 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](NC(N)=O)C(C)(C)C ZINC001323338441 912281073 /nfs/dbraw/zinc/28/10/73/912281073.db2.gz ZKUCQDHRKWWOQF-VXGBXAGGSA-N 0 1 296.415 0.836 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@H]2CCCO2)CC1 ZINC001323385828 912311784 /nfs/dbraw/zinc/31/17/84/912311784.db2.gz XQKWPRSITOJIBW-VXGBXAGGSA-N 0 1 295.383 0.095 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cnon2)CC1 ZINC001323579252 912426304 /nfs/dbraw/zinc/42/63/04/912426304.db2.gz GLQYVKFNEBYGCO-UHFFFAOYSA-N 0 1 256.693 0.674 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)COCC(F)F)CC1 ZINC001324322810 912779591 /nfs/dbraw/zinc/77/95/91/912779591.db2.gz NBFTXJYZDAQVSP-UHFFFAOYSA-N 0 1 274.311 0.872 20 30 CCEDMN C#CCN(C(=O)[C@@H]1CO[C@@H](C)C1)C1CCN(CC#C)CC1 ZINC001324397121 912823202 /nfs/dbraw/zinc/82/32/02/912823202.db2.gz VIIMGBFDIRAMPH-GJZGRUSLSA-N 0 1 288.391 0.971 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCc2ccncn2)C1 ZINC001324618988 912946223 /nfs/dbraw/zinc/94/62/23/912946223.db2.gz GLQJYJDUEQSNQC-OAHLLOKOSA-N 0 1 272.352 0.623 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)CCNC(=O)NC)C1 ZINC001324926367 913098949 /nfs/dbraw/zinc/09/89/49/913098949.db2.gz IHMVZTFZOBFENG-HNNXBMFYSA-N 0 1 294.399 0.157 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C[C@@H]2CCCCO2)C1 ZINC001325087396 913202945 /nfs/dbraw/zinc/20/29/45/913202945.db2.gz UUSWUTUQIUVNOU-DZGCQCFKSA-N 0 1 280.368 0.132 20 30 CCEDMN C[C@H](CN(C)C(=O)c1nc[nH]n1)NCc1ccccc1C#N ZINC001394532007 913240347 /nfs/dbraw/zinc/24/03/47/913240347.db2.gz KNMKXNPMFAVAIO-LLVKDONJSA-N 0 1 298.350 0.927 20 30 CCEDMN C#CC[N@@H+](CC1CC1)[C@H](C)C(=O)NC(=O)NC1CC1 ZINC001325223307 913287588 /nfs/dbraw/zinc/28/75/88/913287588.db2.gz XAMBQGHXKDWWOC-SNVBAGLBSA-N 0 1 263.341 0.708 20 30 CCEDMN C#CCN(CC1CC1)[C@H](C)C(=O)NC(=O)NC1CC1 ZINC001325223307 913287597 /nfs/dbraw/zinc/28/75/97/913287597.db2.gz XAMBQGHXKDWWOC-SNVBAGLBSA-N 0 1 263.341 0.708 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC001325633831 913498534 /nfs/dbraw/zinc/49/85/34/913498534.db2.gz CHRBUPJIJCEZCP-ZIAGYGMSSA-N 0 1 297.399 0.551 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC001325633829 913500626 /nfs/dbraw/zinc/50/06/26/913500626.db2.gz CHRBUPJIJCEZCP-KGLIPLIRSA-N 0 1 297.399 0.551 20 30 CCEDMN C=CC[C@@H]1CCN1C(=O)C1CN([C@H]2CCOC2)C1 ZINC000890550015 891416656 /nfs/dbraw/zinc/41/66/56/891416656.db2.gz RXQIKTADDUFJAB-OLZOCXBDSA-N 0 1 250.342 0.884 20 30 CCEDMN CC#CCN(CCNC(=O)[C@@H]1CC[C@@H]1C(N)=O)C1CC1 ZINC001493402440 891434906 /nfs/dbraw/zinc/43/49/06/891434906.db2.gz ZSUZXKPHLSXFML-QWHCGFSZSA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC001480867839 891435236 /nfs/dbraw/zinc/43/52/36/891435236.db2.gz VMOJYURITNIDAL-AWEZNQCLSA-N 0 1 291.395 0.316 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)NCCNC(=O)C#CC1CC1 ZINC001283002965 891607093 /nfs/dbraw/zinc/60/70/93/891607093.db2.gz OEBVQRDXWYRUGV-CYBMUJFWSA-N 0 1 297.374 0.312 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CN(C)CC(=O)N(C)C)C1 ZINC001418921021 891836840 /nfs/dbraw/zinc/83/68/40/891836840.db2.gz GEMIDUYRCGWTKN-NWDGAFQWSA-N 0 1 280.372 0.015 20 30 CCEDMN CN(CCNCc1ccccc1C#N)C(=O)c1ncn(C)n1 ZINC001267369077 891889465 /nfs/dbraw/zinc/88/94/65/891889465.db2.gz OUUAVCVKJQQJSY-UHFFFAOYSA-N 0 1 298.350 0.549 20 30 CCEDMN COCC[N@@H+](CCC(=O)OC)Cc1cncc(C#N)c1 ZINC000721573193 891892089 /nfs/dbraw/zinc/89/20/89/891892089.db2.gz STQKGURJADJLMZ-UHFFFAOYSA-N 0 1 277.324 0.965 20 30 CCEDMN COCCN(CCC(=O)OC)Cc1cncc(C#N)c1 ZINC000721573193 891892098 /nfs/dbraw/zinc/89/20/98/891892098.db2.gz STQKGURJADJLMZ-UHFFFAOYSA-N 0 1 277.324 0.965 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)c2cccnn2)C1 ZINC001325859532 913611547 /nfs/dbraw/zinc/61/15/47/913611547.db2.gz FMIAFNPRAUVTSI-OAHLLOKOSA-N 0 1 290.367 0.609 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnc2n[nH]cc2c1 ZINC001480982347 892011729 /nfs/dbraw/zinc/01/17/29/892011729.db2.gz RTVIRGMBGIJWQJ-UHFFFAOYSA-N 0 1 271.324 0.643 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CCc1nc(C)no1 ZINC001480991382 892027539 /nfs/dbraw/zinc/02/75/39/892027539.db2.gz VDTZFAGEVSROGL-UHFFFAOYSA-N 0 1 278.356 0.772 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001480996494 892032161 /nfs/dbraw/zinc/03/21/61/892032161.db2.gz YXFOYEBHRJQIJD-LBPRGKRZSA-N 0 1 289.383 0.686 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)CCCF ZINC001481054249 892117773 /nfs/dbraw/zinc/11/77/73/892117773.db2.gz XRUCMDOLKKXMDE-ZDUSSCGKSA-N 0 1 270.348 0.967 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCN(CC(=O)NC(C)C)C1 ZINC001481081955 892142409 /nfs/dbraw/zinc/14/24/09/892142409.db2.gz AISRDMYACNEBSZ-CYBMUJFWSA-N 0 1 297.399 0.152 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2c[nH]nc2C)C1 ZINC001481101882 892164365 /nfs/dbraw/zinc/16/43/65/892164365.db2.gz FAWQYHLRONGANS-ZDUSSCGKSA-N 0 1 290.367 0.420 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)C2CN(C(C)=O)C2)C1 ZINC001481553909 892826527 /nfs/dbraw/zinc/82/65/27/892826527.db2.gz XFTAMFZRXJWIFG-LLVKDONJSA-N 0 1 279.384 0.620 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C)Oc1ccccc1OC ZINC001343297435 892871196 /nfs/dbraw/zinc/87/11/96/892871196.db2.gz DTVFJGINYHYRJL-LBPRGKRZSA-N 0 1 276.336 0.802 20 30 CCEDMN C#CCNCC(=O)NCCOc1cc(OC)cc(OC)c1 ZINC001343338561 892883015 /nfs/dbraw/zinc/88/30/15/892883015.db2.gz CBOUFWWYPGOEKX-UHFFFAOYSA-N 0 1 292.335 0.422 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2CCN[C@@H](C(C)C)C2)CC1 ZINC001326036007 913709495 /nfs/dbraw/zinc/70/94/95/913709495.db2.gz NQQJFKOTHUOUHG-CQSZACIVSA-N 0 1 293.411 0.867 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCc2n[nH]nc2C1 ZINC001038918187 892933172 /nfs/dbraw/zinc/93/31/72/892933172.db2.gz WCWCIBYITJWCBN-VXGBXAGGSA-N 0 1 289.383 0.676 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCn2c(C)csc2=O)C1 ZINC001481689723 893005955 /nfs/dbraw/zinc/00/59/55/893005955.db2.gz OKIBZVKGSZAIQF-LBPRGKRZSA-N 0 1 295.408 0.985 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(CCN2CCNC2=O)C1 ZINC001481686461 893006467 /nfs/dbraw/zinc/00/64/67/893006467.db2.gz XNVAOKQGNXYRIK-LBPRGKRZSA-N 0 1 280.372 0.168 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)C(=O)NCC2CC2)C1 ZINC001481743241 893108718 /nfs/dbraw/zinc/10/87/18/893108718.db2.gz MIXIQHIFSOZOSL-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H](C)NC(=O)CC)C1 ZINC001481769357 893133702 /nfs/dbraw/zinc/13/37/02/893133702.db2.gz RMYTZKDJCQDRED-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN CC(C)(C#N)CC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC001361986380 893492917 /nfs/dbraw/zinc/49/29/17/893492917.db2.gz ZAAZNTJTSWMGOS-STQMWFEESA-N 0 1 279.384 0.984 20 30 CCEDMN C#CCN(C)CCN(C(=O)Cc1nnc(C)o1)C(C)C ZINC001284533258 893609396 /nfs/dbraw/zinc/60/93/96/893609396.db2.gz HUNKKDVQMWJKMM-UHFFFAOYSA-N 0 1 278.356 0.723 20 30 CCEDMN C[C@H](CNCc1cnnn1C)N(C)C(=O)C#CC1CC1 ZINC001482280263 893754706 /nfs/dbraw/zinc/75/47/06/893754706.db2.gz FSRDBMONQVFPRD-LLVKDONJSA-N 0 1 275.356 0.165 20 30 CCEDMN C=CCN(C)CCN(C)C(=O)c1cn(C)c(C)cc1=O ZINC001482606350 894393347 /nfs/dbraw/zinc/39/33/47/894393347.db2.gz RZDGLBPCTHEKHJ-UHFFFAOYSA-N 0 1 277.368 0.884 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H]1CN(C)C(=O)CN1CCCC1 ZINC001396477068 913895205 /nfs/dbraw/zinc/89/52/05/913895205.db2.gz HSXMLTDHUZNWBF-QWHCGFSZSA-N 0 1 292.383 0.301 20 30 CCEDMN CCn1nnc(C)c1CN[C@@H](C)CN(C)C(=O)[C@@H](C)C#N ZINC001425549552 895161631 /nfs/dbraw/zinc/16/16/31/895161631.db2.gz WEKWBGCZTCUFBH-QWRGUYRKSA-N 0 1 292.387 0.703 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cn(C)nc1C1CC1 ZINC001483341456 895480814 /nfs/dbraw/zinc/48/08/14/895480814.db2.gz CTVQJSUPYCYCDT-NSHDSACASA-N 0 1 274.368 0.981 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCCNC(=O)C1CC1 ZINC001483346274 895484265 /nfs/dbraw/zinc/48/42/65/895484265.db2.gz UAXSHIDEQAKVOL-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C=CCOC[C@H](CS(=O)(=O)[O-])OC[C@@H]1CCC[N@@H+]1C ZINC001224817171 895488890 /nfs/dbraw/zinc/48/88/90/895488890.db2.gz IVMTZRXWUNRBEH-NWDGAFQWSA-N 0 1 293.385 0.556 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H]2CC(C)(C)CO2)[C@@H](O)C1 ZINC001083634226 895492480 /nfs/dbraw/zinc/49/24/80/895492480.db2.gz HNTUQQFSNPLQPS-MCIONIFRSA-N 0 1 294.395 0.376 20 30 CCEDMN CCC1(C(=O)NC[C@H](CO)NCC#CCOC)CCC1 ZINC001507224665 895493094 /nfs/dbraw/zinc/49/30/94/895493094.db2.gz GIUKMZJIHNHERB-CYBMUJFWSA-N 0 1 282.384 0.283 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1c(C)nn(C)c1OC ZINC001483388359 895528989 /nfs/dbraw/zinc/52/89/89/895528989.db2.gz FEOFYYLYZVZTTF-JTQLQIEISA-N 0 1 278.356 0.420 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnn(C)n3)CCC[C@H]12 ZINC000992333418 895907603 /nfs/dbraw/zinc/90/76/03/895907603.db2.gz WAMYSTVMEZBRFB-GXTWGEPZSA-N 0 1 273.340 0.175 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@@H](NCc2nccn2C)C1 ZINC001397052416 914028387 /nfs/dbraw/zinc/02/83/87/914028387.db2.gz HTTJTNHTNBLAND-SDDRHHMPSA-N 0 1 275.356 0.564 20 30 CCEDMN Cc1nnc([C@H](C)N[C@H]2C[C@@H](CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001397059806 914029369 /nfs/dbraw/zinc/02/93/69/914029369.db2.gz SLGVFBRBHUGXOR-DMWPJOQESA-N 0 1 290.371 0.818 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CN(C[C@@H]2CCNC(=O)CC2)C1 ZINC001483856558 896079966 /nfs/dbraw/zinc/07/99/66/896079966.db2.gz OPHQOPNKOUGMOU-NEPJUHHUSA-N 0 1 292.383 0.205 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCn2cccn2)C1 ZINC001484030680 896156062 /nfs/dbraw/zinc/15/60/62/896156062.db2.gz WZIUBTVPTMVKFO-AWEZNQCLSA-N 0 1 260.341 0.487 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@@H](CC)SC)C1 ZINC001484214547 896247336 /nfs/dbraw/zinc/24/73/36/896247336.db2.gz KMQJTKDIRQHFCG-TZMCWYRMSA-N 0 1 284.425 0.704 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](O)(CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001484225772 896251801 /nfs/dbraw/zinc/25/18/01/896251801.db2.gz PSNPVKXQSUTNMU-MRXNPFEDSA-N 0 1 298.346 0.363 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001484225772 896251816 /nfs/dbraw/zinc/25/18/16/896251816.db2.gz PSNPVKXQSUTNMU-MRXNPFEDSA-N 0 1 298.346 0.363 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(CC(N)=O)C2 ZINC001484317263 896337501 /nfs/dbraw/zinc/33/75/01/896337501.db2.gz DKLZKLUXESSZPP-XQQFMLRXSA-N 0 1 279.384 0.797 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)[C@@H](C)SC)C1 ZINC001484428224 896400655 /nfs/dbraw/zinc/40/06/55/896400655.db2.gz MWKFUQIEGJXZHF-OCCSQVGLSA-N 0 1 284.425 0.704 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1cc(C)no1 ZINC001484474762 896426538 /nfs/dbraw/zinc/42/65/38/896426538.db2.gz AOIJNWBIXWHRSG-UHFFFAOYSA-N 0 1 287.747 0.760 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H]2CC2(F)F)CC1 ZINC001485017218 896720668 /nfs/dbraw/zinc/72/06/68/896720668.db2.gz CTUQYKCHDSMXAD-JTQLQIEISA-N 0 1 274.311 0.771 20 30 CCEDMN CC[C@H](OC)C(=O)N1CCC[C@@H]1CN(C)CC#CCOC ZINC001485052119 896737899 /nfs/dbraw/zinc/73/78/99/896737899.db2.gz VYPVLQLOUDLFKT-CABCVRRESA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001485063427 896750295 /nfs/dbraw/zinc/75/02/95/896750295.db2.gz OCPFQLPAMQDYLO-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)C[N@H+](C)[C@@H](C)CNC(=O)C(=O)NCC(F)F ZINC001485324073 896961853 /nfs/dbraw/zinc/96/18/53/896961853.db2.gz XNENRXPXGZBRLV-VIFPVBQESA-N 0 1 277.315 0.380 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001485369123 896998968 /nfs/dbraw/zinc/99/89/68/896998968.db2.gz IEXCOTXJNWPZDJ-STQMWFEESA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001485369119 897003103 /nfs/dbraw/zinc/00/31/03/897003103.db2.gz IEXCOTXJNWPZDJ-CHWSQXEVSA-N 0 1 279.384 0.315 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)CCc2nc(C)no2)C1 ZINC001485517827 897098384 /nfs/dbraw/zinc/09/83/84/897098384.db2.gz NTFIOHXIEAMWGI-JTQLQIEISA-N 0 1 278.356 0.933 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)Cn2ccccc2=O)C1 ZINC001485536944 897113436 /nfs/dbraw/zinc/11/34/36/897113436.db2.gz YSUKTXPWMIBQEI-GFCCVEGCSA-N 0 1 275.352 0.471 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001485596424 897137637 /nfs/dbraw/zinc/13/76/37/897137637.db2.gz AWSMPVHIWVJPDT-ZSOGYDGISA-N 0 1 291.395 0.172 20 30 CCEDMN C#CC[NH2+]C[C@H](C1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001485808785 897262085 /nfs/dbraw/zinc/26/20/85/897262085.db2.gz RLFCRFMOTIFBDI-GFCCVEGCSA-N 0 1 273.336 0.861 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCNC(=O)[C@H]1CCCN1C ZINC001077748052 897403930 /nfs/dbraw/zinc/40/39/30/897403930.db2.gz SSXBQLXAPXJXGP-GRYCIOLGSA-N 0 1 280.372 0.251 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)CC(=O)NCC)C1 ZINC001107885048 897434425 /nfs/dbraw/zinc/43/44/25/897434425.db2.gz QNFRDOPUKFYXOU-OAHLLOKOSA-N 0 1 297.399 0.296 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@]1(C)CN(C(C)C)CCO1 ZINC001107903774 897474952 /nfs/dbraw/zinc/47/49/52/897474952.db2.gz XLACAOZNVVDHHM-GJZGRUSLSA-N 0 1 284.400 0.929 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCNC1=O ZINC001032442411 897574400 /nfs/dbraw/zinc/57/44/00/897574400.db2.gz VGDRSMIJQSEKOX-AVGNSLFASA-N 0 1 277.368 0.374 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCNC1=O ZINC001032442411 897574415 /nfs/dbraw/zinc/57/44/15/897574415.db2.gz VGDRSMIJQSEKOX-AVGNSLFASA-N 0 1 277.368 0.374 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCCC(=O)N1 ZINC001032510477 897673910 /nfs/dbraw/zinc/67/39/10/897673910.db2.gz VRVCUFZYFGDLNL-RDBSUJKOSA-N 0 1 291.395 0.906 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2cncn2c1 ZINC001032521069 897698258 /nfs/dbraw/zinc/69/82/58/897698258.db2.gz TZRWXOLLKQVZRS-KBPBESRZSA-N 0 1 281.319 0.757 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(=O)n1C ZINC001032618302 897835034 /nfs/dbraw/zinc/83/50/34/897835034.db2.gz QUTYHBGYQGSNEO-STQMWFEESA-N 0 1 285.347 0.307 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]c(C)nc1C ZINC001032634453 897860432 /nfs/dbraw/zinc/86/04/32/897860432.db2.gz NSEXKQTYNYICMR-STQMWFEESA-N 0 1 272.352 0.949 20 30 CCEDMN C=CCn1cc(C(=O)N2C[C@@H]3C[C@H]2CN3CCC)nn1 ZINC001032670323 897913951 /nfs/dbraw/zinc/91/39/51/897913951.db2.gz UJXRTCGKFBLZPD-RYUDHWBXSA-N 0 1 275.356 0.773 20 30 CCEDMN CC#CCNCc1cccc(N2CC[C@H](NC(C)=O)C2)n1 ZINC001128071482 897941065 /nfs/dbraw/zinc/94/10/65/897941065.db2.gz VVXQNZKSVJZGCL-HNNXBMFYSA-N 0 1 286.379 0.909 20 30 CCEDMN C=CCC(CC=C)C(=O)NCCNCc1cnnn1C ZINC001128084930 897974113 /nfs/dbraw/zinc/97/41/13/897974113.db2.gz GQOULHZQCQRHNV-UHFFFAOYSA-N 0 1 277.372 0.789 20 30 CCEDMN C#CCN1C[C@@H](O)[C@H](NC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001077966690 898119581 /nfs/dbraw/zinc/11/95/81/898119581.db2.gz CMQUDJJGYJOMAI-VXGBXAGGSA-N 0 1 290.367 0.250 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C2CCCC2)C1 ZINC001077980395 898138125 /nfs/dbraw/zinc/13/81/25/898138125.db2.gz VEGYNHCQSXAALJ-NWANDNLSSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(=O)[nH]c1 ZINC001032764554 898140043 /nfs/dbraw/zinc/14/00/43/898140043.db2.gz ZOQSTZZPEDZSPC-STQMWFEESA-N 0 1 271.320 0.709 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc3[nH]ccc32)[C@@H](O)C1 ZINC001083797590 898186531 /nfs/dbraw/zinc/18/65/31/898186531.db2.gz KSQPYEAFFRRWKG-CVEARBPZSA-N 0 1 297.358 0.966 20 30 CCEDMN CCC(=O)NC[C@H](CO)NCc1ccc(OC)c(C#N)c1 ZINC001485963809 898529254 /nfs/dbraw/zinc/52/92/54/898529254.db2.gz CQNFTOGOBCXORE-CYBMUJFWSA-N 0 1 291.351 0.544 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@H](CO)NCc1n[nH]c(C)n1 ZINC001485999755 898586819 /nfs/dbraw/zinc/58/68/19/898586819.db2.gz DVBRBOSYAJCLNX-LLVKDONJSA-N 0 1 295.387 0.282 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(CC)ccn1 ZINC001485998265 898587507 /nfs/dbraw/zinc/58/75/07/898587507.db2.gz FQABKCLUJZXVGO-ZDUSSCGKSA-N 0 1 275.352 0.348 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)COCC)CC1 ZINC001486502901 898904340 /nfs/dbraw/zinc/90/43/40/898904340.db2.gz SHPJBASOTXTXRL-UHFFFAOYSA-N 0 1 250.342 0.795 20 30 CCEDMN COc1cc(CNC[C@H](C)N(C)C(=O)[C@H](C)C#N)on1 ZINC001438642191 899708120 /nfs/dbraw/zinc/70/81/20/899708120.db2.gz ZJBLETRFKDKUHG-ZJUUUORDSA-N 0 1 280.328 0.779 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nc1)NC(=O)CCc1nc[nH]n1 ZINC001108327187 899772930 /nfs/dbraw/zinc/77/29/30/899772930.db2.gz PFRJALGTAPUZHW-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CN(C)C(=O)c1ccn[nH]1 ZINC001487714531 900108458 /nfs/dbraw/zinc/10/84/58/900108458.db2.gz LXMZBJLIWHPCON-NSHDSACASA-N 0 1 276.340 0.646 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](C)N(C(=O)c2[nH]nnc2C)C1 ZINC001488203025 900245118 /nfs/dbraw/zinc/24/51/18/900245118.db2.gz OFZOMVUJJFVZLT-ONGXEEELSA-N 0 1 291.355 0.799 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H]1CC1(C)C ZINC001490179850 900560832 /nfs/dbraw/zinc/56/08/32/900560832.db2.gz YZSFRJSMCSTTOE-GFCCVEGCSA-N 0 1 281.400 0.773 20 30 CCEDMN CN(CCCNC(=O)C#CC1CC1)[C@@H]1CCN(C)C1=O ZINC001490193819 900563562 /nfs/dbraw/zinc/56/35/62/900563562.db2.gz YUSKHQIRNDZNDH-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCCn1ccnc1 ZINC001493162347 900573350 /nfs/dbraw/zinc/57/33/50/900573350.db2.gz PMBYKIKIKWZPSF-CQSZACIVSA-N 0 1 274.368 0.877 20 30 CCEDMN Cc1nnc(CNCC[C@@H](C)NC(=O)[C@H](C)C#N)[nH]1 ZINC001320372796 900925336 /nfs/dbraw/zinc/92/53/36/900925336.db2.gz RDZGPTUMLLWOMD-RKDXNWHRSA-N 0 1 264.333 0.257 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@]1(C)CCC(=O)N1 ZINC001322239098 901001139 /nfs/dbraw/zinc/00/11/39/901001139.db2.gz YUGYSLOTNGKUPJ-FZMZJTMJSA-N 0 1 265.357 0.115 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](CNC(=O)[C@H]2CCCN2C)C1 ZINC001412261071 901605381 /nfs/dbraw/zinc/60/53/81/901605381.db2.gz VFJLYUSVSNBXGF-ZDEQEGDKSA-N 0 1 292.383 0.251 20 30 CCEDMN N#CCc1ccc(C(=O)N2CCOC[C@H]2c2nn[nH]n2)cc1 ZINC001412435191 901720289 /nfs/dbraw/zinc/72/02/89/901720289.db2.gz ZCAAYWPHBGHDRJ-LBPRGKRZSA-N 0 1 298.306 0.479 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCCN([C@@H](C)C(N)=O)CC1 ZINC001327111075 902034503 /nfs/dbraw/zinc/03/45/03/902034503.db2.gz NWKGBKUMFZHSAV-NWDGAFQWSA-N 0 1 267.373 0.797 20 30 CCEDMN CC1(C)CN(Cc2cc(C#N)ccn2)CCN1CCO ZINC001412975906 902422306 /nfs/dbraw/zinc/42/23/06/902422306.db2.gz BLGZMPRSNVGRKF-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@H]1C[C@H](NCC#N)C1 ZINC001490934598 903264577 /nfs/dbraw/zinc/26/45/77/903264577.db2.gz OKVQOKKOJRFGNJ-GMTAPVOTSA-N 0 1 261.329 0.349 20 30 CCEDMN Cc1nonc1CNC[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001495654304 903525415 /nfs/dbraw/zinc/52/54/15/903525415.db2.gz FCMDKLFASLQZFT-IUCAKERBSA-N 0 1 265.317 0.380 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)NCc1cc(C)ncn1 ZINC001491414692 903562594 /nfs/dbraw/zinc/56/25/94/903562594.db2.gz MTOMHUNUPJXNMM-LBPRGKRZSA-N 0 1 278.356 0.582 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1c(Cl)cnn1CC ZINC001331724874 904010653 /nfs/dbraw/zinc/01/06/53/904010653.db2.gz LRELRHXJLLDLDF-SNVBAGLBSA-N 0 1 298.774 0.260 20 30 CCEDMN C=CCCC(=O)N1CC([C@@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001280652236 904133082 /nfs/dbraw/zinc/13/30/82/904133082.db2.gz CGRBIQYFKDNAGQ-SNVBAGLBSA-N 0 1 291.355 0.277 20 30 CCEDMN CC(C)C#CC(=O)N(C)C[C@H](C)NC(=O)[C@H]1CCCN1C ZINC001281002891 904199115 /nfs/dbraw/zinc/19/91/15/904199115.db2.gz YQOSRBYZONYDFM-UONOGXRCSA-N 0 1 293.411 0.703 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@@H](NC(=O)c2ncn[nH]2)C[C@H]1C ZINC001281169353 904237850 /nfs/dbraw/zinc/23/78/50/904237850.db2.gz FCFLMJDGMUWOJL-MNOVXSKESA-N 0 1 291.355 0.880 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@@H](NC(=O)c2nc[nH]n2)C[C@H]1C ZINC001281169353 904237859 /nfs/dbraw/zinc/23/78/59/904237859.db2.gz FCFLMJDGMUWOJL-MNOVXSKESA-N 0 1 291.355 0.880 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCCC[C@H]1CNCC#N ZINC001281877930 904359884 /nfs/dbraw/zinc/35/98/84/904359884.db2.gz MGKCWFGFLQCJOA-KBPBESRZSA-N 0 1 278.400 0.965 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CCCC(=O)N(C)C ZINC001281967664 904378726 /nfs/dbraw/zinc/37/87/26/904378726.db2.gz FVKBSFBMZJXZBB-CQSZACIVSA-N 0 1 293.411 0.801 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)N(C)[C@H](CC)C(N)=O ZINC001282379024 904465678 /nfs/dbraw/zinc/46/56/78/904465678.db2.gz YMYCZNGEHNTWFT-VXGBXAGGSA-N 0 1 267.373 0.490 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001282412354 904470585 /nfs/dbraw/zinc/47/05/85/904470585.db2.gz NTYBSZMSLTUXLO-ZIAGYGMSSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H](OCC)C1CCOCC1 ZINC001282432952 904473252 /nfs/dbraw/zinc/47/32/52/904473252.db2.gz KGACABBOYYDADW-ZFWWWQNUSA-N 0 1 296.411 0.888 20 30 CCEDMN C[C@H](CNC(=O)c1ccn(-c2ccncc2)n1)N(C)CC#N ZINC001282432249 904473266 /nfs/dbraw/zinc/47/32/66/904473266.db2.gz YYPDWXPCPVCENY-GFCCVEGCSA-N 0 1 298.350 0.841 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](CC)NC(C)=O ZINC001282462776 904483740 /nfs/dbraw/zinc/48/37/40/904483740.db2.gz ZCFSOXDEEGQNQO-DGCLKSJQSA-N 0 1 267.373 0.361 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)COC[C@H]2CCCO2)C1 ZINC001282742056 904536312 /nfs/dbraw/zinc/53/63/12/904536312.db2.gz XAGYCYMSJXVGCA-DZGCQCFKSA-N 0 1 294.395 0.642 20 30 CCEDMN C[C@H](C#N)C(=O)NCCOCCN(C)Cc1cnn(C)c1 ZINC001283360087 904819544 /nfs/dbraw/zinc/81/95/44/904819544.db2.gz QCHZQAQWPDLKPF-GFCCVEGCSA-N 0 1 293.371 0.144 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc2cccnn21 ZINC001283814131 905031773 /nfs/dbraw/zinc/03/17/73/905031773.db2.gz SVSXXVZKAQBRCO-GFCCVEGCSA-N 0 1 286.335 0.038 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CCC1(C)CC1 ZINC001283819801 905038718 /nfs/dbraw/zinc/03/87/18/905038718.db2.gz ZZTOFEMNINPMLY-GFCCVEGCSA-N 0 1 252.358 0.657 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CC(C)(C)C(F)(F)F ZINC001283826462 905040709 /nfs/dbraw/zinc/04/07/09/905040709.db2.gz WVFLJTODASJOQN-VIFPVBQESA-N 0 1 280.290 0.665 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001284100936 905148195 /nfs/dbraw/zinc/14/81/95/905148195.db2.gz VFLVGHZDPHBCLO-RBZYPMLTSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001284100936 905148205 /nfs/dbraw/zinc/14/82/05/905148205.db2.gz VFLVGHZDPHBCLO-RBZYPMLTSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cnn(C)n1 ZINC001377874423 905206757 /nfs/dbraw/zinc/20/67/57/905206757.db2.gz UHIFUVXQEZIQLE-BDAKNGLRSA-N 0 1 271.752 0.664 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)Cc1cnc(C)o1 ZINC001284503256 905333693 /nfs/dbraw/zinc/33/36/93/905333693.db2.gz YZBHIZLFROTRBI-ZDUSSCGKSA-N 0 1 293.367 0.300 20 30 CCEDMN C#CCN(C)CCN(C(=O)c1ccc(=O)n(C)n1)C(C)C ZINC001284557290 905369376 /nfs/dbraw/zinc/36/93/76/905369376.db2.gz BBOMKJGPJGPIHP-UHFFFAOYSA-N 0 1 290.367 0.196 20 30 CCEDMN CC#CCN(C)CCN(C(=O)CCCC(N)=O)C(C)C ZINC001284561380 905371938 /nfs/dbraw/zinc/37/19/38/905371938.db2.gz BVPGMXFRNKSFLO-UHFFFAOYSA-N 0 1 281.400 0.834 20 30 CCEDMN N#CCCN1CCN(C[C@@H]2C[C@@H]3COC[C@@H]3O2)CC1 ZINC001332827798 905556088 /nfs/dbraw/zinc/55/60/88/905556088.db2.gz BNFKTLVKSHBOOE-RDBSUJKOSA-N 0 1 265.357 0.322 20 30 CCEDMN C[C@H](CNC(=O)C#CC(C)(C)C)CNC(=O)c1cnn[nH]1 ZINC001285225493 905580277 /nfs/dbraw/zinc/58/02/77/905580277.db2.gz GKEVUONRNCMWFN-SNVBAGLBSA-N 0 1 291.355 0.336 20 30 CCEDMN C=CCCC(=O)N(C)[C@H](C)CNC(=O)Cc1n[nH]c(C)n1 ZINC001285673831 905714503 /nfs/dbraw/zinc/71/45/03/905714503.db2.gz NUNXWUDTIWLMEP-SNVBAGLBSA-N 0 1 293.371 0.585 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)N(C)C(=O)Cc1ncn[nH]1 ZINC001285707237 905728402 /nfs/dbraw/zinc/72/84/02/905728402.db2.gz NLNCLVROWAIIJL-LLVKDONJSA-N 0 1 293.371 0.667 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H]1CNC(=O)CN1CCCC1 ZINC001285862372 905791158 /nfs/dbraw/zinc/79/11/58/905791158.db2.gz BOBAXHLZONHERI-CQSZACIVSA-N 0 1 291.395 0.603 20 30 CCEDMN CCn1cc(CN[C@H](CNC(=O)[C@H](C)C#N)C(C)C)nn1 ZINC001378958575 905899656 /nfs/dbraw/zinc/89/96/56/905899656.db2.gz CBLLOQUHTCQSLZ-DGCLKSJQSA-N 0 1 292.387 0.688 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1CCNC(=O)C1 ZINC001379105324 905997970 /nfs/dbraw/zinc/99/79/70/905997970.db2.gz SXRVRSWNMOPSOD-WDEREUQCSA-N 0 1 287.791 0.702 20 30 CCEDMN CN1CCN(CCNC(=O)C#CC(C)(C)C)CC1 ZINC001290409798 906122832 /nfs/dbraw/zinc/12/28/32/906122832.db2.gz XQWNMDYDJNLUMZ-UHFFFAOYSA-N 0 1 251.374 0.400 20 30 CCEDMN COc1ncccc1CNC[C@@H](C)NC(=O)[C@@H](C)C#N ZINC001379344932 906171444 /nfs/dbraw/zinc/17/14/44/906171444.db2.gz WHQMLNXBVCAFMQ-WDEREUQCSA-N 0 1 276.340 0.844 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CC1CS(=O)(=O)C1 ZINC001379381206 906199803 /nfs/dbraw/zinc/19/98/03/906199803.db2.gz OPRQSEWXPYUFII-SECBINFHSA-N 0 1 294.804 0.268 20 30 CCEDMN CC(C)C#CC(=O)NCCCNC(=O)C1=NC(=O)N(C)C1 ZINC001293299280 906458403 /nfs/dbraw/zinc/45/84/03/906458403.db2.gz CMZWGVBXIAXWBV-UHFFFAOYSA-N 0 1 292.339 0.021 20 30 CCEDMN C=CCCC(=O)NC1CCN(C(=O)c2[nH]nnc2C)CC1 ZINC001293788654 906514687 /nfs/dbraw/zinc/51/46/87/906514687.db2.gz SAZBAOXVDYPDFU-UHFFFAOYSA-N 0 1 291.355 0.800 20 30 CCEDMN O=C(NCC#C[C@@H]1CCCCO1)c1cnncc1O ZINC001337460701 921254437 /nfs/dbraw/zinc/25/44/37/921254437.db2.gz ZTYFEMNXTBYFEF-JTQLQIEISA-N 0 1 261.281 0.485 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001295178004 906690748 /nfs/dbraw/zinc/69/07/48/906690748.db2.gz ULKOVIDLPMEWDK-XQQFMLRXSA-N 0 1 279.384 0.810 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)N1CC(NC(=O)c2[nH]ncc2F)C1 ZINC001297137533 907029613 /nfs/dbraw/zinc/02/96/13/907029613.db2.gz JHCWVTGDQOFGAC-DTWKUNHWSA-N 0 1 294.330 0.948 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)CNC(=O)CN1CCCC1 ZINC001297744219 907113318 /nfs/dbraw/zinc/11/33/18/907113318.db2.gz JQDOWNSOVBFFOD-AWEZNQCLSA-N 0 1 293.411 0.754 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)Cc1nnc(C)o1 ZINC001317171093 907398426 /nfs/dbraw/zinc/39/84/26/907398426.db2.gz NDEWPDPJBBNDPA-LBPRGKRZSA-N 0 1 276.340 0.524 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCCNC(=O)c1cnn[nH]1 ZINC001491707170 907532124 /nfs/dbraw/zinc/53/21/24/907532124.db2.gz ASOSMEBIVDTUOS-UHFFFAOYSA-N 0 1 279.344 0.643 20 30 CCEDMN CCCC(=O)NC[C@@H](CO)NCc1ccc(C#N)cc1 ZINC001382177234 907594495 /nfs/dbraw/zinc/59/44/95/907594495.db2.gz SZKCSJYRDSSIDE-AWEZNQCLSA-N 0 1 275.352 0.925 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H](CNCc2cnon2)C1 ZINC001491859127 907607580 /nfs/dbraw/zinc/60/75/80/907607580.db2.gz QDMRKCIDIOFKQO-GFCCVEGCSA-N 0 1 294.355 0.600 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001491939150 907644050 /nfs/dbraw/zinc/64/40/50/907644050.db2.gz VWVKSPVORJQHCV-JQWIXIFHSA-N 0 1 289.339 0.171 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001491939171 907645132 /nfs/dbraw/zinc/64/51/32/907645132.db2.gz VWVKSPVORJQHCV-ZYHUDNBSSA-N 0 1 289.339 0.171 20 30 CCEDMN C=CC[NH2+][C@H](C)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001492110390 907705057 /nfs/dbraw/zinc/70/50/57/907705057.db2.gz FEMHDKHCDBARAP-MRVPVSSYSA-N 0 1 296.327 0.180 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)NCCN(C)CC#N ZINC001492278918 907825232 /nfs/dbraw/zinc/82/52/32/907825232.db2.gz ZNNZJXQADSRDRZ-LLVKDONJSA-N 0 1 258.341 0.238 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@H]1CCOC[C@H]1OC ZINC001492278290 907825567 /nfs/dbraw/zinc/82/55/67/907825567.db2.gz LOCCPGFPNRSSGC-CHWSQXEVSA-N 0 1 268.357 0.109 20 30 CCEDMN C#CCC[N@@H+](C)CCNC(=O)c1cccc(C(N)=O)c1 ZINC001492305209 907834216 /nfs/dbraw/zinc/83/42/16/907834216.db2.gz KDSSGXFQKJGZLR-UHFFFAOYSA-N 0 1 273.336 0.470 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H]1CC[C@@H](F)C1 ZINC001492389908 907896689 /nfs/dbraw/zinc/89/66/89/907896689.db2.gz SQKFLDSZBPMUEF-QWHCGFSZSA-N 0 1 270.348 0.822 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2cc(O)cc([N+](=O)[O-])c2)CC1 ZINC001301219917 907958951 /nfs/dbraw/zinc/95/89/51/907958951.db2.gz RVUBUBLJBBYJEL-UHFFFAOYSA-N 0 1 290.275 0.901 20 30 CCEDMN Cc1cc(CN[C@@H](C)CNC(=O)C#CC2CC2)nn1C ZINC001317240620 907989893 /nfs/dbraw/zinc/98/98/93/907989893.db2.gz YKSWGCZVTGFYGV-NSHDSACASA-N 0 1 274.368 0.736 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H]1CC12CC2 ZINC001316849638 908218926 /nfs/dbraw/zinc/21/89/26/908218926.db2.gz DWQKZBZGTJTIMS-GFCCVEGCSA-N 0 1 279.384 0.527 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H](c3nc[nH]n3)C2)nn1 ZINC001307202551 908294924 /nfs/dbraw/zinc/29/49/24/908294924.db2.gz XTPHTEAESALJHI-SECBINFHSA-N 0 1 255.285 0.850 20 30 CCEDMN C#CCN1CCC(Nc2cnc(C#N)c(C#N)n2)CC1 ZINC001307214194 908296460 /nfs/dbraw/zinc/29/64/60/908296460.db2.gz YZVHCYLPCOWVPV-UHFFFAOYSA-N 0 1 266.308 0.729 20 30 CCEDMN C#CCN(C)CCNC(=O)c1c[nH]nc1-c1ccco1 ZINC001317472295 908339902 /nfs/dbraw/zinc/33/99/02/908339902.db2.gz XAQLRDWNQQJBGZ-UHFFFAOYSA-N 0 1 272.308 0.965 20 30 CCEDMN N#Cc1csc(CNCCC2CS(=O)(=O)C2)n1 ZINC001308372844 908409932 /nfs/dbraw/zinc/40/99/32/908409932.db2.gz GMWYPHBGXUSYJP-UHFFFAOYSA-N 0 1 271.367 0.539 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)C[C@H](C)OC ZINC001317477678 908460262 /nfs/dbraw/zinc/46/02/62/908460262.db2.gz VYNBCYFNFLOKKA-ZDUSSCGKSA-N 0 1 270.373 0.499 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1[C@@H]2CCO[C@@H]2C1(C)C ZINC001312066544 908582291 /nfs/dbraw/zinc/58/22/91/908582291.db2.gz ZKCRWNCWLMXQMW-XQQFMLRXSA-N 0 1 279.384 0.664 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001386036351 908582576 /nfs/dbraw/zinc/58/25/76/908582576.db2.gz YPKWEFXBXUPMTM-WPRPVWTQSA-N 0 1 275.312 0.209 20 30 CCEDMN Cn1cc(CN2CC[C@@H](CNC(=O)C#CC3CC3)C2)cn1 ZINC001317522174 908589740 /nfs/dbraw/zinc/58/97/40/908589740.db2.gz HFVXIFJLXXFKRE-AWEZNQCLSA-N 0 1 286.379 0.772 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](CNC(=O)C(C)(C)C)C1 ZINC001317532802 908602705 /nfs/dbraw/zinc/60/27/05/908602705.db2.gz OKQSQZKVLKGBLS-QWHCGFSZSA-N 0 1 293.411 0.609 20 30 CCEDMN C[C@H]1CC[C@H](CNC(=O)NCC#CCN(C)C)O1 ZINC001312829815 908635422 /nfs/dbraw/zinc/63/54/22/908635422.db2.gz KUAJYEIJGOYTMI-NWDGAFQWSA-N 0 1 253.346 0.418 20 30 CCEDMN COc1ccc(CCNC(=O)NCC#CCN(C)C)cn1 ZINC001313115852 908652783 /nfs/dbraw/zinc/65/27/83/908652783.db2.gz XFOUSAJFWZMMER-UHFFFAOYSA-N 0 1 290.367 0.497 20 30 CCEDMN C#CC[N@H+](CCO)[C@@H]1CCCN(C(=O)c2cc(C)on2)C1 ZINC001316745181 908661743 /nfs/dbraw/zinc/66/17/43/908661743.db2.gz UZUKGVVNSYOWFP-CYBMUJFWSA-N 0 1 291.351 0.515 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2cc(C)on2)C1 ZINC001316745181 908661748 /nfs/dbraw/zinc/66/17/48/908661748.db2.gz UZUKGVVNSYOWFP-CYBMUJFWSA-N 0 1 291.351 0.515 20 30 CCEDMN C[C@H](NC(=O)NCC#CCN(C)C)c1csnn1 ZINC001313439878 908665518 /nfs/dbraw/zinc/66/55/18/908665518.db2.gz WUYJINCTTDZGEG-VIFPVBQESA-N 0 1 267.358 0.463 20 30 CCEDMN CO[C@H]1CC[C@H]1N(C)C(=O)NCC#CCN(C)C ZINC001313439898 908668086 /nfs/dbraw/zinc/66/80/86/908668086.db2.gz XIKOMLDTHZPKEP-NEPJUHHUSA-N 0 1 253.346 0.370 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)[C@H](C)NC(N)=O)CC(C)(C)C1 ZINC001316811384 908733133 /nfs/dbraw/zinc/73/31/33/908733133.db2.gz QBGPFYCTKULZEX-RYUDHWBXSA-N 0 1 294.399 0.283 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](NC(C)=O)[C@H]1CC ZINC001316724082 908759500 /nfs/dbraw/zinc/75/95/00/908759500.db2.gz YRERTQAUEANXEI-NWDGAFQWSA-N 0 1 253.346 0.278 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)C(C)=CCC)n1 ZINC001316717061 908760285 /nfs/dbraw/zinc/76/02/85/908760285.db2.gz YMBMXIBHIHMSJU-WDZFZDKYSA-N 0 1 288.351 0.878 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2cnn(C)n2)[C@H]1C ZINC001316793151 908772741 /nfs/dbraw/zinc/77/27/41/908772741.db2.gz QMMFMDDZOGVJNI-OCCSQVGLSA-N 0 1 289.383 0.350 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCC(=O)NC)[C@H]1C ZINC001316781710 908775629 /nfs/dbraw/zinc/77/56/29/908775629.db2.gz VAJLXMHCRCFPAZ-NEPJUHHUSA-N 0 1 265.357 0.115 20 30 CCEDMN CCCNC(=O)CN(C)CCCNC(=O)C#CC(C)C ZINC001316822291 908785131 /nfs/dbraw/zinc/78/51/31/908785131.db2.gz OHQLENBSGZVVLC-UHFFFAOYSA-N 0 1 281.400 0.610 20 30 CCEDMN C/C=C(/C)C(=O)NCCCN(CC)CC(=O)NCC#N ZINC001316887046 908820216 /nfs/dbraw/zinc/82/02/16/908820216.db2.gz KCTOIHOLZXPUIG-QCDXTXTGSA-N 0 1 280.372 0.421 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(CCOCCO)C1 ZINC001316974955 908892049 /nfs/dbraw/zinc/89/20/49/908892049.db2.gz WHGFKOIZSINKJV-GFCCVEGCSA-N 0 1 256.346 0.152 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)Cn1ccnc1 ZINC001317177204 909027319 /nfs/dbraw/zinc/02/73/19/909027319.db2.gz QBYHQUYPMXJLRU-CYBMUJFWSA-N 0 1 260.341 0.487 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](C)n1cncn1 ZINC001317170296 909030420 /nfs/dbraw/zinc/03/04/20/909030420.db2.gz XKFJBMSAMMJBAZ-QWHCGFSZSA-N 0 1 277.372 0.996 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCCN(C(=O)c2ncc[nH]2)C1 ZINC001317374167 909159806 /nfs/dbraw/zinc/15/98/06/909159806.db2.gz UEMKRJZDYQUOAN-CYBMUJFWSA-N 0 1 290.367 0.596 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC[C@H](C)NCc1nnc(C)[nH]1 ZINC001317390541 909170222 /nfs/dbraw/zinc/17/02/22/909170222.db2.gz QJWFQAZUJJTIIV-HZMBPMFUSA-N 0 1 295.387 0.425 20 30 CCEDMN C[C@H](CCNC(=O)c1ccn(-c2ccncc2)n1)NCC#N ZINC001317398791 909175641 /nfs/dbraw/zinc/17/56/41/909175641.db2.gz HEQXGMSRBPJEAS-GFCCVEGCSA-N 0 1 298.350 0.889 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001317459417 909237268 /nfs/dbraw/zinc/23/72/68/909237268.db2.gz WOIRHWPVRRNEAP-LLVKDONJSA-N 0 1 275.356 0.296 20 30 CCEDMN CC#CCCCC(=O)NCCN(CC)[C@@H]1CCN(C)C1=O ZINC001317485519 909257753 /nfs/dbraw/zinc/25/77/53/909257753.db2.gz VHMZWANGHFKDFV-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@H]1CNC(=O)CN(C)C(C)=O ZINC001317495043 909266678 /nfs/dbraw/zinc/26/66/78/909266678.db2.gz RTBXCYHUJCHHSV-AWEZNQCLSA-N 0 1 297.399 0.248 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)CCc1cn[nH]c1 ZINC001317511707 909278654 /nfs/dbraw/zinc/27/86/54/909278654.db2.gz TXVWBDKZXGTMNO-AWEZNQCLSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCOCCN1CCC[C@H]1CNC(=O)c1cnn(C)n1 ZINC001317510487 909280438 /nfs/dbraw/zinc/28/04/38/909280438.db2.gz MXLLNIJOCCKBSD-LBPRGKRZSA-N 0 1 293.371 0.212 20 30 CCEDMN C#CCCCC(=O)NCCN(CCC)[C@H]1CCCNC1=O ZINC001317541582 909308151 /nfs/dbraw/zinc/30/81/51/909308151.db2.gz BELVPVMRPJJGNV-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@H](C)COC)C1CC1 ZINC001317548861 909315708 /nfs/dbraw/zinc/31/57/08/909315708.db2.gz DDCVZGSZMJJQNP-CYBMUJFWSA-N 0 1 282.384 0.499 20 30 CCEDMN CC#CCN(CCNC(=O)c1cncn1C)C1CC1 ZINC001317554418 909333531 /nfs/dbraw/zinc/33/35/31/909333531.db2.gz VQKWLWQIITWJPU-UHFFFAOYSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@H](C)OC ZINC001317735779 909481160 /nfs/dbraw/zinc/48/11/60/909481160.db2.gz VVORPURZZZEXSC-ZQDZILKHSA-N 0 1 294.395 0.735 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)C(N)=O)C1 ZINC001317797214 909500587 /nfs/dbraw/zinc/50/05/87/909500587.db2.gz LQZLEDCNFGZDFB-SECBINFHSA-N 0 1 259.737 0.052 20 30 CCEDMN CC(C)(C)C(=O)NCC[C@@H]1CCN(CC(=O)NCC#N)C1 ZINC001317808779 909503832 /nfs/dbraw/zinc/50/38/32/909503832.db2.gz HRPNUOLFEGXKLL-GFCCVEGCSA-N 0 1 294.399 0.500 20 30 CCEDMN CC(C)C[C@@H](CNC(=O)[C@@H](C)C#N)NC(=O)c1cnn[nH]1 ZINC001388534691 909505761 /nfs/dbraw/zinc/50/57/61/909505761.db2.gz LGGXGCMOGPTRIX-UWVGGRQHSA-N 0 1 292.343 0.225 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)C[C@H](C)OC)CC1 ZINC001317817048 909512136 /nfs/dbraw/zinc/51/21/36/909512136.db2.gz UOCLJMUTKUWATJ-AWEZNQCLSA-N 0 1 283.416 0.673 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2n[nH]cc2F)CC1 ZINC001317823438 909512415 /nfs/dbraw/zinc/51/24/15/909512415.db2.gz XHHCJXWOFZEWAR-UHFFFAOYSA-N 0 1 295.362 0.424 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)COCC1CC1 ZINC001318188390 909658839 /nfs/dbraw/zinc/65/88/39/909658839.db2.gz VKGNQDZODAHZSQ-KBPBESRZSA-N 0 1 262.353 0.721 20 30 CCEDMN CC(C)=CC(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001318396830 909739801 /nfs/dbraw/zinc/73/98/01/909739801.db2.gz JCPDZKZVDBXLNX-SEYXRHQNSA-N 0 1 263.297 0.595 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CNC(=O)C(C)(C)C)C1 ZINC001318445534 909760314 /nfs/dbraw/zinc/76/03/14/909760314.db2.gz MVJIECITZDCSQV-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN CCN(C(=O)C[C@H](C)OC)[C@H]1CCN(CC#CCOC)C1 ZINC001318457035 909764472 /nfs/dbraw/zinc/76/44/72/909764472.db2.gz GLXOPYGCFWAAOJ-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN CCN(C(=O)CCc1cnc[nH]1)[C@H]1CCN(CC#N)C1 ZINC001318474519 909775647 /nfs/dbraw/zinc/77/56/47/909775647.db2.gz UTVILMZXCNBHMQ-ZDUSSCGKSA-N 0 1 275.356 0.789 20 30 CCEDMN CCn1cc(CNCCNC(=O)C2N=CC=CC2=O)cn1 ZINC001318566258 909817746 /nfs/dbraw/zinc/81/77/46/909817746.db2.gz KMRAFUMMNJMFIL-BUHFOSPRSA-N 0 1 289.339 0.519 20 30 CCEDMN C[C@H](CNCc1csnn1)CNC(=O)CSCC#N ZINC001319155066 910008678 /nfs/dbraw/zinc/00/86/78/910008678.db2.gz DVMRUHYWCCGHHI-SECBINFHSA-N 0 1 299.425 0.637 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC[C@](C)(CO)C1 ZINC001319425062 910140152 /nfs/dbraw/zinc/14/01/52/910140152.db2.gz KZGWBOUKBMWEFM-JSGCOSHPSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N(C)[C@@H](C)CNCC#N ZINC001319467842 910167289 /nfs/dbraw/zinc/16/72/89/910167289.db2.gz WSMUMUDKSXENNP-RYUDHWBXSA-N 0 1 272.368 0.626 20 30 CCEDMN C#CCOCCN(C)[C@@H](C)C(=O)NC(=O)NC(C)C ZINC001319656596 910242549 /nfs/dbraw/zinc/24/25/49/910242549.db2.gz NYHSJUUURNYZRB-NSHDSACASA-N 0 1 269.345 0.191 20 30 CCEDMN CCOC(=O)c1csc(C(C#N)C(=O)NC)n1 ZINC001319676455 910256611 /nfs/dbraw/zinc/25/66/11/910256611.db2.gz VULKCJASCQKIFM-LURJTMIESA-N 0 1 253.283 0.673 20 30 CCEDMN Cc1cc(CN[C@@H](C)CNC(=O)c2ccc(C#N)[nH]2)ncn1 ZINC001390340706 910361731 /nfs/dbraw/zinc/36/17/31/910361731.db2.gz MRRAHWVICUWNNG-NSHDSACASA-N 0 1 298.350 0.893 20 30 CCEDMN CN(CC#N)CCN(C)C(=O)[C@H]1CCCCN1C ZINC001320020704 910419428 /nfs/dbraw/zinc/41/94/28/910419428.db2.gz KZGUTMQFSSMIBA-GFCCVEGCSA-N 0 1 252.362 0.384 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCc1cc(C)on1 ZINC001320042168 910435633 /nfs/dbraw/zinc/43/56/33/910435633.db2.gz MXOVFVCVLPMEPJ-UHFFFAOYSA-N 0 1 263.341 0.939 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1c[nH]c(=O)n1C ZINC001390461041 910437454 /nfs/dbraw/zinc/43/74/54/910437454.db2.gz OYGMLQVIVWWUHR-QMMMGPOBSA-N 0 1 272.736 0.586 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H]2[C@H](CCN2CC(N)=O)C1 ZINC001320104319 910466599 /nfs/dbraw/zinc/46/65/99/910466599.db2.gz QOFXUKBRVZSLGJ-CHWSQXEVSA-N 0 1 279.384 0.751 20 30 CCEDMN CCn1cc(CNCC[C@@H](C)NC(=O)[C@@H](C)C#N)nn1 ZINC001320371913 910638432 /nfs/dbraw/zinc/63/84/32/910638432.db2.gz MZJJJJHIBZAOGC-WDEREUQCSA-N 0 1 278.360 0.442 20 30 CCEDMN Cc1nc(CN(C)CCNC(=O)c2ccc(C#N)[nH]2)n[nH]1 ZINC001390750639 910645764 /nfs/dbraw/zinc/64/57/64/910645764.db2.gz GVRJGIIVQPDWAC-UHFFFAOYSA-N 0 1 287.327 0.175 20 30 CCEDMN C=C[C@H](COC)NCc1ccc(OC)c(OCC(N)=O)c1 ZINC001320592638 910755460 /nfs/dbraw/zinc/75/54/60/910755460.db2.gz SEVAFQFEPAKXTO-GFCCVEGCSA-N 0 1 294.351 0.850 20 30 CCEDMN Cc1nc(CN2CC[C@@H](CNC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001391080385 910858050 /nfs/dbraw/zinc/85/80/50/910858050.db2.gz WGJXMRDKFVBIEZ-ONGXEEELSA-N 0 1 276.344 0.211 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCC=CC[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001321229912 911175294 /nfs/dbraw/zinc/17/52/94/911175294.db2.gz APAXRKISCDYUJP-PSKZRQQASA-N 0 1 293.371 0.875 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H](C)C#N)NCc1ccnc(OC)n1 ZINC001391670480 911311984 /nfs/dbraw/zinc/31/19/84/911311984.db2.gz QNKDBNIXIXXUDS-QWRGUYRKSA-N 0 1 291.355 0.629 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CCc1cnn(C)n1 ZINC001391721996 911362455 /nfs/dbraw/zinc/36/24/55/911362455.db2.gz PQNCDRICTPRKPJ-LLVKDONJSA-N 0 1 299.806 0.985 20 30 CCEDMN Cc1cc(CNC[C@H](C)NC(=O)[C@H](C)C#N)ncn1 ZINC001321813741 911505887 /nfs/dbraw/zinc/50/58/87/911505887.db2.gz JMBISBHQJOTMQT-KOLCDFICSA-N 0 1 261.329 0.539 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)COc1cccnc1 ZINC001322260706 911734022 /nfs/dbraw/zinc/73/40/22/911734022.db2.gz CTOKLWQKXMKRCT-CYBMUJFWSA-N 0 1 275.352 0.920 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccc(C#N)[nH]1 ZINC001392364011 911760996 /nfs/dbraw/zinc/76/09/96/911760996.db2.gz FDZKUGUNTFJQQH-JTQLQIEISA-N 0 1 282.731 0.319 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc(Cl)no1 ZINC001392423794 911794493 /nfs/dbraw/zinc/79/44/93/911794493.db2.gz RLUHTEFEXDKADB-SSDOTTSWSA-N 0 1 294.138 0.761 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cn2c(n1)COCC2 ZINC001398946439 914968094 /nfs/dbraw/zinc/96/80/94/914968094.db2.gz GMDHQQVLFOFMQO-SNVBAGLBSA-N 0 1 298.774 0.874 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)[C@@H](C)CC#N ZINC001328337701 915121179 /nfs/dbraw/zinc/12/11/79/915121179.db2.gz JQKXMJULXUGKTA-WPRPVWTQSA-N 0 1 264.285 0.160 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC[C@H](C)NCc1nnc(C)[nH]1 ZINC001328342921 915124511 /nfs/dbraw/zinc/12/45/11/915124511.db2.gz PKBZUZYHYMDFLS-WDEREUQCSA-N 0 1 293.371 0.136 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC[C@H](C)NCc1ccon1 ZINC001328342740 915125029 /nfs/dbraw/zinc/12/50/29/915125029.db2.gz JYQZAEZNCCQMJW-NWDGAFQWSA-N 0 1 279.340 0.697 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)C[C@@H]2CCOC2)CC1 ZINC001328519560 915250782 /nfs/dbraw/zinc/25/07/82/915250782.db2.gz JKTLGVBPYXVAIL-AWEZNQCLSA-N 0 1 294.395 0.379 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC001328541745 915264528 /nfs/dbraw/zinc/26/45/28/915264528.db2.gz HEZDOKHEIHKXOD-WDNDVIMCSA-N 0 1 276.380 0.609 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H](CC)NC(C)=O)CC1 ZINC001328555229 915272880 /nfs/dbraw/zinc/27/28/80/915272880.db2.gz SWVJWYLQXKFOOE-ZDUSSCGKSA-N 0 1 297.399 0.030 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C1=COCCO1 ZINC001328702317 915363112 /nfs/dbraw/zinc/36/31/12/915363112.db2.gz HTVIDIBHRRFWEU-GFCCVEGCSA-N 0 1 264.325 0.431 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H](O)C(C)C ZINC001328719041 915382171 /nfs/dbraw/zinc/38/21/71/915382171.db2.gz DLNZHSAYSWTUFY-CHWSQXEVSA-N 0 1 252.358 0.559 20 30 CCEDMN C#CCOCCC(=O)N1CCC[C@H]1CN(C)CC#CC ZINC001328719324 915383367 /nfs/dbraw/zinc/38/33/67/915383367.db2.gz GGUUVBYEWCXLKX-HNNXBMFYSA-N 0 1 276.380 0.972 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CN(CCc2ccnn2C)C1 ZINC001400463969 915756828 /nfs/dbraw/zinc/75/68/28/915756828.db2.gz GGVGYKJCUSOSHO-NSHDSACASA-N 0 1 275.356 0.170 20 30 CCEDMN C[C@@H](NC(=O)CC1(C#N)CCOCC1)c1nnc[nH]1 ZINC001329227884 915759174 /nfs/dbraw/zinc/75/91/74/915759174.db2.gz NAKSPRZYALQNBB-SECBINFHSA-N 0 1 263.301 0.692 20 30 CCEDMN C=CC[C@H](NC(=O)[C@@H]1CCC[N@H+]1C1CC1)C(=O)[O-] ZINC001329289182 915797681 /nfs/dbraw/zinc/79/76/81/915797681.db2.gz UMTGMJPFTHPQQM-QWRGUYRKSA-N 0 1 252.314 0.759 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1cn(C)nc1C ZINC001329397712 915876012 /nfs/dbraw/zinc/87/60/12/915876012.db2.gz QWLDABIMBQDUPN-LBPRGKRZSA-N 0 1 292.383 0.428 20 30 CCEDMN COCC#CC[N@@H+](C)[C@@H](C)CNC(=O)c1n[nH]cc1C ZINC001329431148 915910490 /nfs/dbraw/zinc/91/04/90/915910490.db2.gz JIOHLLZUSCJAJS-LBPRGKRZSA-N 0 1 278.356 0.418 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1n[nH]cc1C ZINC001329431148 915910499 /nfs/dbraw/zinc/91/04/99/915910499.db2.gz JIOHLLZUSCJAJS-LBPRGKRZSA-N 0 1 278.356 0.418 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H]1CCc2nccn2C1 ZINC001329474740 915948095 /nfs/dbraw/zinc/94/80/95/915948095.db2.gz NJFRYJKDYIASAP-OLZOCXBDSA-N 0 1 274.368 0.515 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H](NC(C)=O)C1CCCC1 ZINC001329489318 915959976 /nfs/dbraw/zinc/95/99/76/915959976.db2.gz SFKADGRCYSXVNV-DOMZBBRYSA-N 0 1 293.411 0.751 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCCC(=O)NCC ZINC001329591806 916043840 /nfs/dbraw/zinc/04/38/40/916043840.db2.gz GHWKJLHDJYGPBU-CYBMUJFWSA-N 0 1 281.400 0.753 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001329628681 916078157 /nfs/dbraw/zinc/07/81/57/916078157.db2.gz SJGZVKIONHBBIR-XJKSGUPXSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccnc(OC)c1 ZINC001401555332 916323861 /nfs/dbraw/zinc/32/38/61/916323861.db2.gz IBTMXELKYVTKKI-NSHDSACASA-N 0 1 299.758 0.523 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCc2cncnc2)C1 ZINC001330012169 916353533 /nfs/dbraw/zinc/35/35/33/916353533.db2.gz HFLDGWSKKGBEHA-ZDUSSCGKSA-N 0 1 286.379 0.869 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H](O)C(C)C)C(C)(C)C1 ZINC001330189819 916462662 /nfs/dbraw/zinc/46/26/62/916462662.db2.gz FPZHJTYIOVFIJQ-CHWSQXEVSA-N 0 1 266.385 0.853 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2ncccn2)C(C)(C)C1 ZINC001330242200 916503266 /nfs/dbraw/zinc/50/32/66/916503266.db2.gz KTSZOMXRIWXCAX-CYBMUJFWSA-N 0 1 286.379 0.869 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CN(C)CCN1CCCC1=O ZINC001401738936 916539667 /nfs/dbraw/zinc/53/96/67/916539667.db2.gz WDRQJLIDNPZORD-VXGBXAGGSA-N 0 1 280.372 0.205 20 30 CCEDMN Cc1nc(CNC2(CNC(=O)c3cc(C#N)c[nH]3)CC2)n[nH]1 ZINC001401941073 916717024 /nfs/dbraw/zinc/71/70/24/916717024.db2.gz IWKPCXHYRCKZNJ-UHFFFAOYSA-N 0 1 299.338 0.365 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)C1=CCOCC1 ZINC001331117809 917100001 /nfs/dbraw/zinc/10/00/01/917100001.db2.gz LZMGRINYASCIJF-UHFFFAOYSA-N 0 1 280.368 0.421 20 30 CCEDMN Cc1cc(CN[C@H]2C[C@@H](NC(=O)[C@@H](C)C#N)C2)nn1C ZINC001402574933 917105501 /nfs/dbraw/zinc/10/55/01/917105501.db2.gz HDVBYQWGZUTXLU-ZMLRMANQSA-N 0 1 275.356 0.625 20 30 CCEDMN CCC(C)(C)N1CCN(C(=O)C#CCOC)CC1 ZINC001331222208 917194905 /nfs/dbraw/zinc/19/49/05/917194905.db2.gz YDIDQDPTLHQZDW-UHFFFAOYSA-N 0 1 252.358 0.969 20 30 CCEDMN COCC1(C(=O)N[C@H]2CNC[C@@H]2C#N)CCCCC1 ZINC001331343235 917272670 /nfs/dbraw/zinc/27/26/70/917272670.db2.gz ZMQXLBZAUKNODT-RYUDHWBXSA-N 0 1 265.357 0.811 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@]1(C)CCC(=O)N1 ZINC001402998609 917412981 /nfs/dbraw/zinc/41/29/81/917412981.db2.gz GNVQQWDGISJAIH-CABZTGNLSA-N 0 1 273.764 0.502 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC001331620457 917455913 /nfs/dbraw/zinc/45/59/13/917455913.db2.gz KIUYUTVYNFNMHW-KBPBESRZSA-N 0 1 280.368 0.511 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N(C)Cc2nnc[nH]2)C1 ZINC001331627876 917462583 /nfs/dbraw/zinc/46/25/83/917462583.db2.gz DMVYKIKXPMUVCN-SNVBAGLBSA-N 0 1 277.328 0.188 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)/C=C/c1ccc[nH]1 ZINC001331707752 917535570 /nfs/dbraw/zinc/53/55/70/917535570.db2.gz OOOYWKKKKFGOGE-YBJDMEARSA-N 0 1 261.325 0.118 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1c(F)cncc1F ZINC001331726511 917552802 /nfs/dbraw/zinc/55/28/02/917552802.db2.gz TVNDTYSJHDLZGW-SECBINFHSA-N 0 1 283.278 0.063 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1nc(C)sc1C ZINC001331737986 917563575 /nfs/dbraw/zinc/56/35/75/917563575.db2.gz DMYTUDSKIQFOME-LLVKDONJSA-N 0 1 281.381 0.002 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C[C@H]1CC(C)(C)CO1 ZINC001331755772 917576766 /nfs/dbraw/zinc/57/67/66/917576766.db2.gz DDZVKYWFKNUHGH-STQMWFEESA-N 0 1 282.384 0.282 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](CO)NCc1cnn(C)c1 ZINC001331770719 917594488 /nfs/dbraw/zinc/59/44/88/917594488.db2.gz IMBHVVMYVUHWIF-LBPRGKRZSA-N 0 1 280.372 0.199 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCc1ccccc1OC ZINC001331818328 917633377 /nfs/dbraw/zinc/63/33/77/917633377.db2.gz GPGVZHJXCUKSDZ-CQSZACIVSA-N 0 1 290.363 0.328 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1csc(Cl)n1 ZINC001331824392 917641520 /nfs/dbraw/zinc/64/15/20/917641520.db2.gz HUKNZKCCIZNPNH-ZETCQYMHSA-N 0 1 273.745 0.110 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@H](C(=O)[O-])[C@@H](C)C2)C1=O ZINC001331849796 917659663 /nfs/dbraw/zinc/65/96/63/917659663.db2.gz JZVZXYKUAAUUPA-SRVKXCTJSA-N 0 1 266.341 0.816 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cc1nnc(C)[nH]1 ZINC001403543061 917795240 /nfs/dbraw/zinc/79/52/40/917795240.db2.gz DOSVUPJBLYGORQ-SECBINFHSA-N 0 1 285.779 0.845 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@H]1CC[N@@H+](C)[C@@H]1CC)C(=O)[O-] ZINC001332172589 917931431 /nfs/dbraw/zinc/93/14/31/917931431.db2.gz OYXZLBSLFAGMLT-HBNTYKKESA-N 0 1 254.330 0.862 20 30 CCEDMN Cc1noc(Cl)c1CC(=O)NCC#CCN(C)C ZINC001332262381 918005058 /nfs/dbraw/zinc/00/50/58/918005058.db2.gz NVDUZTBENZDCCT-UHFFFAOYSA-N 0 1 269.732 0.860 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cncs1 ZINC001403822691 918041379 /nfs/dbraw/zinc/04/13/79/918041379.db2.gz GVXHGGIZFAJBTC-VIFPVBQESA-N 0 1 289.788 0.918 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)C1=O ZINC001332333823 918058167 /nfs/dbraw/zinc/05/81/67/918058167.db2.gz COYFOHAISMRWBU-XQQFMLRXSA-N 0 1 278.352 0.816 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCc3ncncc3C2)CC1 ZINC001332466998 918178743 /nfs/dbraw/zinc/17/87/43/918178743.db2.gz AIPZDIOBYRWKRI-GFCCVEGCSA-N 0 1 299.378 0.686 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)C#CC1CC1 ZINC001332505260 918228884 /nfs/dbraw/zinc/22/88/84/918228884.db2.gz VUHDDVOZLCGILN-GFCCVEGCSA-N 0 1 270.760 0.561 20 30 CCEDMN N#C[C@@]1(C(=O)N2CCc3[nH]nnc3C2)CCCOC1 ZINC001333039503 918613756 /nfs/dbraw/zinc/61/37/56/918613756.db2.gz NHSXUZLUUWJTPF-LBPRGKRZSA-N 0 1 261.285 0.010 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)Nc1nc(C(C)C)n[nH]1 ZINC001333073541 918635172 /nfs/dbraw/zinc/63/51/72/918635172.db2.gz KUVIPQXPCGDDOD-JTQLQIEISA-N 0 1 261.329 0.964 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)Nc1n[nH]c(C(C)C)n1 ZINC001333073541 918635183 /nfs/dbraw/zinc/63/51/83/918635183.db2.gz KUVIPQXPCGDDOD-JTQLQIEISA-N 0 1 261.329 0.964 20 30 CCEDMN CC[C@H](C(N)=O)N(CC)CCNC(=O)c1c[nH]c(C#N)c1 ZINC001492942349 918805921 /nfs/dbraw/zinc/80/59/21/918805921.db2.gz TYWGRWSIJUXFIY-GFCCVEGCSA-N 0 1 291.355 0.202 20 30 CCEDMN COc1ccc(CNC[C@@H](C)N(C)C(=O)[C@@H](C)C#N)nn1 ZINC001405803162 918915053 /nfs/dbraw/zinc/91/50/53/918915053.db2.gz ZTKZYPSWPUBLQH-WDEREUQCSA-N 0 1 291.355 0.581 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1CC2(CC2)C1 ZINC001406288616 919155682 /nfs/dbraw/zinc/15/56/82/919155682.db2.gz ZTHMGTJSYOSARH-NSHDSACASA-N 0 1 272.776 0.996 20 30 CCEDMN CCN(CCNC(=O)C#CC1CC1)[C@H]1CCCNC1=O ZINC001492950366 919184335 /nfs/dbraw/zinc/18/43/35/919184335.db2.gz PJSKRYKOHHFPRP-ZDUSSCGKSA-N 0 1 277.368 0.117 20 30 CCEDMN CC(C)C#CC(=O)N(C)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001334381987 919541184 /nfs/dbraw/zinc/54/11/84/919541184.db2.gz JNENMLOQHOZJGW-SNVBAGLBSA-N 0 1 277.328 0.041 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC001406949543 919551489 /nfs/dbraw/zinc/55/14/89/919551489.db2.gz COURSAXQQZZGCG-UWVGGRQHSA-N 0 1 285.775 0.489 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@@H]1CCN1C(C)(C)C ZINC001334603798 919675572 /nfs/dbraw/zinc/67/55/72/919675572.db2.gz DHASDVHJVWKMGU-NEPJUHHUSA-N 0 1 252.358 0.750 20 30 CCEDMN N#CCCNC(=O)CN1CCC(c2nc[nH]n2)CC1 ZINC001334679352 919706571 /nfs/dbraw/zinc/70/65/71/919706571.db2.gz DBBORFSKITTZGU-UHFFFAOYSA-N 0 1 262.317 0.014 20 30 CCEDMN CCN1CCCN(C(=O)C(=O)N2CCC[C@H](C#N)C2)CC1 ZINC001334931872 919845436 /nfs/dbraw/zinc/84/54/36/919845436.db2.gz HRGNZOIKMJWFFY-CYBMUJFWSA-N 0 1 292.383 0.303 20 30 CCEDMN C#CCN(C(=O)CCc1nc[nH]n1)C1CSC1 ZINC001335064376 919930415 /nfs/dbraw/zinc/93/04/15/919930415.db2.gz MXKHTRPYLNXJKP-UHFFFAOYSA-N 0 1 250.327 0.315 20 30 CCEDMN C#C[C@H](CC)NCCS(=O)(=O)CC(=O)OC(C)(C)C ZINC001335477276 920222571 /nfs/dbraw/zinc/22/25/71/920222571.db2.gz NQPWIYKPUXLUPK-LLVKDONJSA-N 0 1 289.397 0.744 20 30 CCEDMN Cn1nnc2c1CC[C@H](NC[C@H](C#N)CCC#N)C2 ZINC001335501005 920240469 /nfs/dbraw/zinc/24/04/69/920240469.db2.gz QHLOYMRCWKRRCO-QWRGUYRKSA-N 0 1 258.329 0.706 20 30 CCEDMN C=CCCCNC(=O)N1CCNC[C@@H]1CCOC ZINC001335522015 920250417 /nfs/dbraw/zinc/25/04/17/920250417.db2.gz MQLGXMSGJLQVSQ-LBPRGKRZSA-N 0 1 255.362 0.973 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)CC(C)(C)CC#N ZINC001414262881 920265532 /nfs/dbraw/zinc/26/55/32/920265532.db2.gz GQUJCTSJYZIHHK-UHFFFAOYSA-N 0 1 271.346 0.506 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)NCC(C)(C)CC#N ZINC001414497968 920354711 /nfs/dbraw/zinc/35/47/11/920354711.db2.gz WXSCRJZQYOBGGS-UHFFFAOYSA-N 0 1 279.304 0.226 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCSC[C@@H]2CO)CC1 ZINC001335846809 920479465 /nfs/dbraw/zinc/47/94/65/920479465.db2.gz JLMJPHLJHSYGRZ-ZDUSSCGKSA-N 0 1 282.409 0.268 20 30 CCEDMN N#CC1(C[C@H](O)CNCc2nncn2C2CC2)CC1 ZINC001336756632 920984335 /nfs/dbraw/zinc/98/43/35/920984335.db2.gz XUMSMCNMLMQRBM-NSHDSACASA-N 0 1 261.329 0.757 20 30 CCEDMN C#CCNCC(=O)N[C@H]1C[C@@H](OC)C1(CC)CC ZINC001340004352 921911219 /nfs/dbraw/zinc/91/12/19/921911219.db2.gz UJAQDYRTGAQKBE-NWDGAFQWSA-N 0 1 252.358 0.919 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1C[C@@H](OC)C1(CC)CC ZINC001340004354 921912489 /nfs/dbraw/zinc/91/24/89/921912489.db2.gz UJAQDYRTGAQKBE-VXGBXAGGSA-N 0 1 252.358 0.919 20 30 CCEDMN Cc1cnc(CN[C@H](C)CNC(=O)c2c[nH]c(C#N)c2)cn1 ZINC001418208407 921912868 /nfs/dbraw/zinc/91/28/68/921912868.db2.gz ISOHAMDRVKMKOG-LLVKDONJSA-N 0 1 298.350 0.893 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1CNc2ccccc21 ZINC001340266607 922034048 /nfs/dbraw/zinc/03/40/48/922034048.db2.gz NQMJUSGKWLHYOR-CDMKHQONSA-N 0 1 256.309 0.423 20 30 CCEDMN C#CCNCC(=O)N1CC(C)(C)[C@H]1c1nccn1C ZINC001340670133 922160689 /nfs/dbraw/zinc/16/06/89/922160689.db2.gz DIZLNMUJTGDVIT-GFCCVEGCSA-N 0 1 260.341 0.552 20 30 CCEDMN C#CCNCC(=O)NC[C@](C)(Cc1ccccc1)[C@@H](C)O ZINC001341044375 922327509 /nfs/dbraw/zinc/32/75/09/922327509.db2.gz ZTSXQXNWQRZBQN-PBHICJAKSA-N 0 1 288.391 0.955 20 30 CCEDMN C#CCNCC(=O)N[C@H](c1ccccc1)[C@@H](C)C(=O)OC ZINC001341519455 922529266 /nfs/dbraw/zinc/52/92/66/922529266.db2.gz YDZNENYAOVLHNH-DOMZBBRYSA-N 0 1 288.347 0.876 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCN(C(=O)C2CCCC2)C1 ZINC001341596678 922572758 /nfs/dbraw/zinc/57/27/58/922572758.db2.gz ZGHDXOPVSYLKOS-CYBMUJFWSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(CCF)CCOC ZINC001342193502 922856813 /nfs/dbraw/zinc/85/68/13/922856813.db2.gz LIOUPTGABMOQOY-UHFFFAOYSA-N 0 1 295.322 0.672 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C1CNCCS1(=O)=O ZINC001342199576 922857638 /nfs/dbraw/zinc/85/76/38/922857638.db2.gz NSZPLJKKAYPKFT-SNVBAGLBSA-N 0 1 274.386 0.092 20 30 CCEDMN N#Cc1cnn(C(=O)C(=O)c2ccc(O)cc2)c1N ZINC001342252149 922877884 /nfs/dbraw/zinc/87/78/84/922877884.db2.gz AHRYDWAOGWAFOY-UHFFFAOYSA-N 0 1 256.221 0.566 20 30 CCEDMN C#CCNCC(=O)NCCn1nc(C(F)(F)F)cc1C ZINC001342537528 922992365 /nfs/dbraw/zinc/99/23/65/922992365.db2.gz ZGNBWYKLJBECKR-UHFFFAOYSA-N 0 1 288.273 0.549 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001419737234 923054288 /nfs/dbraw/zinc/05/42/88/923054288.db2.gz OXJIQSHTQOYKQY-UHFFFAOYSA-N 0 1 276.325 0.797 20 30 CCEDMN CSCc1nnc(CNC(=O)c2ccc(C#N)[nH]2)[nH]1 ZINC001419737234 923054298 /nfs/dbraw/zinc/05/42/98/923054298.db2.gz OXJIQSHTQOYKQY-UHFFFAOYSA-N 0 1 276.325 0.797 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)CN1CCCCC1=O ZINC001342925457 923136640 /nfs/dbraw/zinc/13/66/40/923136640.db2.gz SZRKIMYNEKMUEO-SNVBAGLBSA-N 0 1 260.297 0.609 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](C(=O)NCCCC)C1 ZINC001343214167 923239933 /nfs/dbraw/zinc/23/99/33/923239933.db2.gz QPKFGTHBZLAGGP-CYBMUJFWSA-N 0 1 279.384 0.364 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CC12CC2 ZINC001343473363 923336530 /nfs/dbraw/zinc/33/65/30/923336530.db2.gz JRTICSSVDXDYGQ-NXEZZACHSA-N 0 1 250.298 0.648 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cn(C)ccc1=O ZINC001420314519 923534046 /nfs/dbraw/zinc/53/40/46/923534046.db2.gz QHTRVUBZOXKVAB-SNVBAGLBSA-N 0 1 283.759 0.846 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1C[C@@H](C)NC[C@@H]1C ZINC001345170300 923818746 /nfs/dbraw/zinc/81/87/46/923818746.db2.gz OIQXMKFGJRQWEW-NEPJUHHUSA-N 0 1 265.357 0.115 20 30 CCEDMN CC#CCCNC(=O)N[C@@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC001345858183 923996294 /nfs/dbraw/zinc/99/62/94/923996294.db2.gz SAAQQTRUYVPRLP-STQMWFEESA-N 0 1 266.389 0.333 20 30 CCEDMN N#CC(C(=O)CC1(C#N)CCOCC1)C(=O)NC1CC1 ZINC001346111558 924073916 /nfs/dbraw/zinc/07/39/16/924073916.db2.gz RXCOOSYIDNLKNE-NSHDSACASA-N 0 1 275.308 0.684 20 30 CCEDMN Cc1nc(C(=O)N[C@@H]2CNC[C@H]2C#N)ccc1C#N ZINC001346319466 924159371 /nfs/dbraw/zinc/15/93/71/924159371.db2.gz KPEZKNITUOGJQC-ZYHUDNBSSA-N 0 1 255.281 0.103 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001346482396 924235920 /nfs/dbraw/zinc/23/59/20/924235920.db2.gz YIWFSQRUWLKXLJ-ZANVPECISA-N 0 1 280.328 0.600 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)N[C@@H](C)[C@H]2CN(C)CCN2C)C1 ZINC001346767800 924306849 /nfs/dbraw/zinc/30/68/49/924306849.db2.gz UJZOMDCSSKRYQA-RRFJBIMHSA-N 0 1 292.427 0.676 20 30 CCEDMN C=CCC1(O)CN(C(=O)c2ccc(CN(C)C)nc2)C1 ZINC001347378374 924436523 /nfs/dbraw/zinc/43/65/23/924436523.db2.gz JRRGRIJALVBJCA-UHFFFAOYSA-N 0 1 275.352 0.906 20 30 CCEDMN C=C(C)CN(C)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001347622195 924494836 /nfs/dbraw/zinc/49/48/36/924494836.db2.gz XZZHJPAQUVUQQO-CYBMUJFWSA-N 0 1 268.405 0.840 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001348166152 924608879 /nfs/dbraw/zinc/60/88/79/924608879.db2.gz OXVNIZYTMRSCJR-UTUOFQBUSA-N 0 1 291.355 0.572 20 30 CCEDMN C=CC[C@H]1CCN(CCS(=O)(=O)CCC(N)=O)C1 ZINC001348206768 924618602 /nfs/dbraw/zinc/61/86/02/924618602.db2.gz OCFCSKOWNNUKCF-NSHDSACASA-N 0 1 274.386 0.175 20 30 CCEDMN C#CCCCC(=O)N1CCN(C(=O)Cc2c[nH]cn2)CC1 ZINC001348217708 924620613 /nfs/dbraw/zinc/62/06/13/924620613.db2.gz OJKAVLWUEYBPMK-UHFFFAOYSA-N 0 1 288.351 0.427 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNC(=O)c1cccc2nc[nH]c21 ZINC001349516982 924980844 /nfs/dbraw/zinc/98/08/44/924980844.db2.gz FDZUQYSMHDUSEI-VIFPVBQESA-N 0 1 285.307 0.569 20 30 CCEDMN CNCc1cn([C@H](C)C(=O)NC2(C#N)CCC2)nn1 ZINC001349750737 925043022 /nfs/dbraw/zinc/04/30/22/925043022.db2.gz MGGGAKXHMSANIH-SECBINFHSA-N 0 1 262.317 0.121 20 30 CCEDMN CC(=NNCCN1CCCCC1)[C@@H]1COCCO1 ZINC001349824416 925071779 /nfs/dbraw/zinc/07/17/79/925071779.db2.gz RSMNNBWBZZITHH-ZDUSSCGKSA-N 0 1 255.362 0.853 20 30 CCEDMN C=CCC1(O)CN(C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001350583422 925230815 /nfs/dbraw/zinc/23/08/15/925230815.db2.gz MFGCAGGOUGAGKV-SNVBAGLBSA-N 0 1 261.325 0.664 20 30 CCEDMN C=CCCC(=O)N1CC(N2CCN(CC)CC2)C1 ZINC001350718162 925261431 /nfs/dbraw/zinc/26/14/31/925261431.db2.gz ZATHQTSEBPPTRR-UHFFFAOYSA-N 0 1 251.374 0.801 20 30 CCEDMN C#C[C@H](NC[C@@H]1CCCS1(=O)=O)[C@@H]1CCCO1 ZINC001351319094 925403368 /nfs/dbraw/zinc/40/33/68/925403368.db2.gz HFZUZTIMCZTWHE-SRVKXCTJSA-N 0 1 257.355 0.334 20 30 CCEDMN C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001351724175 925463130 /nfs/dbraw/zinc/46/31/30/925463130.db2.gz NNVWEBVCJQUUDK-PHIMTYICSA-N 0 1 289.339 0.439 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)C[C@@H](O)c1ccccc1 ZINC001353394517 925819370 /nfs/dbraw/zinc/81/93/70/925819370.db2.gz TVASEERTNKFCRU-GXTWGEPZSA-N 0 1 260.337 0.838 20 30 CCEDMN C#Cc1ccc(N2C[C@@H](C)N(CCO)[C@@H](C)C2)nc1 ZINC001354214454 926044354 /nfs/dbraw/zinc/04/43/54/926044354.db2.gz ZPUMFIMVUAQGNB-BETUJISGSA-N 0 1 259.353 0.954 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CCNC(=O)C1=NC(=O)N(C)C1 ZINC001355292569 926237169 /nfs/dbraw/zinc/23/71/69/926237169.db2.gz OJYPKIFKTFUILX-SNVBAGLBSA-N 0 1 294.355 0.717 20 30 CCEDMN C=CC1CCN(c2nnc(C3=NO[C@@H](CO)C3)n2C)CC1 ZINC001355583664 926287985 /nfs/dbraw/zinc/28/79/85/926287985.db2.gz ZSGAJDWVPMENCG-LLVKDONJSA-N 0 1 291.355 0.703 20 30 CCEDMN C#C[C@@H]1CCCN(c2nnc(C3=NO[C@@H](CO)C3)n2C)C1 ZINC001355583142 926289103 /nfs/dbraw/zinc/28/91/03/926289103.db2.gz NEOVFEOICFLTLL-GHMZBOCLSA-N 0 1 289.339 0.150 20 30 CCEDMN C=CCOCC(=O)NCCN(CC)C(=O)c1cnc(C)[nH]1 ZINC001356001171 926332952 /nfs/dbraw/zinc/33/29/52/926332952.db2.gz VSWVRTJHVVOPEH-UHFFFAOYSA-N 0 1 294.355 0.499 20 30 CCEDMN CCN(CCNC(=O)C#CC1CC1)C(=O)c1cnc(C)[nH]1 ZINC001356043956 926337186 /nfs/dbraw/zinc/33/71/86/926337186.db2.gz OQROHFYMHDVKDL-UHFFFAOYSA-N 0 1 288.351 0.710 20 30 CCEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)Cc1ncn[nH]1 ZINC001356839581 926449316 /nfs/dbraw/zinc/44/93/16/926449316.db2.gz AKWSDIVVAOCJPW-QWRGUYRKSA-N 0 1 289.339 0.125 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)COC(C)C)CCO1 ZINC001421798096 926916250 /nfs/dbraw/zinc/91/62/50/926916250.db2.gz JDJYFNYLLYFLFA-LBPRGKRZSA-N 0 1 290.791 0.981 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1cccnc1C ZINC001422370326 927250167 /nfs/dbraw/zinc/25/01/67/927250167.db2.gz QGNQRYKXTULKMB-ZDUSSCGKSA-N 0 1 297.786 0.752 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)CN3CCOCC3=O)[nH]c2c1 ZINC001361830861 927366115 /nfs/dbraw/zinc/36/61/15/927366115.db2.gz RLJLXOUKKMRFOT-UHFFFAOYSA-N 0 1 299.290 0.232 20 30 CCEDMN C[C@@H](NC(=O)C1(C#N)CCOCC1)[C@@H]1CN(C)CCN1C ZINC001361900509 927431631 /nfs/dbraw/zinc/43/16/31/927431631.db2.gz JMNSUAQBPDAOJS-OLZOCXBDSA-N 0 1 294.399 0.057 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cnccn1 ZINC001423431485 927855603 /nfs/dbraw/zinc/85/56/03/927855603.db2.gz PKCXUZUADFVQKC-JTQLQIEISA-N 0 1 284.747 0.252 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cncnc1 ZINC001423444599 927862317 /nfs/dbraw/zinc/86/23/17/927862317.db2.gz MNRGKWPTCLSTHC-LLVKDONJSA-N 0 1 284.747 0.252 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1ccc(C)nn1 ZINC001423451270 927867164 /nfs/dbraw/zinc/86/71/64/927867164.db2.gz YCTNXEWZVMXOOZ-LLVKDONJSA-N 0 1 298.774 0.560 20 30 CCEDMN C[C@@H](CN(C)C(=O)[C@]1(C#N)CCCOC1)c1nn[nH]n1 ZINC001362487047 928085313 /nfs/dbraw/zinc/08/53/13/928085313.db2.gz CFONCXHQNVOAHZ-CABZTGNLSA-N 0 1 278.316 0.082 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCNC(N)=O)C[C@@H]1C ZINC001424490539 928198765 /nfs/dbraw/zinc/19/87/65/928198765.db2.gz SIQYMCHIUWAEFZ-WPRPVWTQSA-N 0 1 288.779 0.234 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CN(C)C(C)=O ZINC001424771433 928287891 /nfs/dbraw/zinc/28/78/91/928287891.db2.gz OBMHUJCFANMXBT-NXEZZACHSA-N 0 1 275.780 0.700 20 30 CCEDMN CC(C)[C@@H](CCNC(=O)[C@@H](C)C#N)NC(=O)c1ncn[nH]1 ZINC001424871208 928327707 /nfs/dbraw/zinc/32/77/07/928327707.db2.gz YBFFTQVCQKZEBX-VHSXEESVSA-N 0 1 292.343 0.225 20 30 CCEDMN CC(C)[C@@H](CCNC(=O)[C@@H](C)C#N)NC(=O)c1nc[nH]n1 ZINC001424871208 928327711 /nfs/dbraw/zinc/32/77/11/928327711.db2.gz YBFFTQVCQKZEBX-VHSXEESVSA-N 0 1 292.343 0.225 20 30 CCEDMN CC(C)(NC(=O)Cc1ccc(C#N)nc1)c1c[nH]nn1 ZINC001362719562 928405865 /nfs/dbraw/zinc/40/58/65/928405865.db2.gz YVBDHXMROHEKDM-UHFFFAOYSA-N 0 1 270.296 0.665 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](C)S(C)(=O)=O ZINC001425607707 928606001 /nfs/dbraw/zinc/60/60/01/928606001.db2.gz WGMRZCVHFFYGBJ-ZJUUUORDSA-N 0 1 296.820 0.609 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CCC(=O)NC3)[nH]c2c1 ZINC001363166270 928937600 /nfs/dbraw/zinc/93/76/00/928937600.db2.gz FBYQMIFKHAEZKV-SECBINFHSA-N 0 1 283.291 0.899 20 30 CCEDMN CCc1nc(CNC(=O)CNc2ccc(C#N)cn2)n[nH]1 ZINC001363336720 929127927 /nfs/dbraw/zinc/12/79/27/929127927.db2.gz JQBOTYGBBUTRJO-UHFFFAOYSA-N 0 1 285.311 0.362 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@@H](Cc3nn[nH]n3)C2)[nH]1 ZINC001363432961 929225283 /nfs/dbraw/zinc/22/52/83/929225283.db2.gz JIDADSQSDGUGRF-VIFPVBQESA-N 0 1 285.311 0.494 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@@H](Cc3nn[nH]n3)C2)o1 ZINC001363437205 929230867 /nfs/dbraw/zinc/23/08/67/929230867.db2.gz UCAAEXSTZUMWGZ-VIFPVBQESA-N 0 1 286.295 0.759 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@@H](Cc3nn[nH]n3)C2)nc1 ZINC001363437809 929231663 /nfs/dbraw/zinc/23/16/63/929231663.db2.gz WMBWKSJARHVZSE-JTQLQIEISA-N 0 1 297.322 0.561 20 30 CCEDMN COC(=O)C1(C#N)CCN(c2nccnc2CN)CC1 ZINC001363723279 929520129 /nfs/dbraw/zinc/52/01/29/929520129.db2.gz CJIINKYPMMHOJL-UHFFFAOYSA-N 0 1 275.312 0.218 20 30 CCEDMN CCc1nc(CN2CCC(C#N)(C(=O)OC)CC2)n[nH]1 ZINC001363792022 929579464 /nfs/dbraw/zinc/57/94/64/929579464.db2.gz RCQHMZHONINNOX-UHFFFAOYSA-N 0 1 277.328 0.646 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](NC(=O)c1[nH]ncc1F)C1CC1 ZINC001372441613 929838271 /nfs/dbraw/zinc/83/82/71/929838271.db2.gz GCRSLUZEBIVPHU-OIBJUYFYSA-N 0 1 293.302 0.333 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccc(F)cn1 ZINC001445154445 930054297 /nfs/dbraw/zinc/05/42/97/930054297.db2.gz SYRRTYRJSBLYTQ-JTQLQIEISA-N 0 1 287.722 0.654 20 30 CCEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)CNC(C)=O)C1 ZINC001373271427 930123254 /nfs/dbraw/zinc/12/32/54/930123254.db2.gz IBUSPNYUXJCKST-GFCCVEGCSA-N 0 1 287.791 0.655 20 30 CCEDMN N#CCc1ccnc(NC(=O)CCc2c[nH]nn2)c1 ZINC001445579844 930175529 /nfs/dbraw/zinc/17/55/29/930175529.db2.gz APVBHBHBYRHVPB-UHFFFAOYSA-N 0 1 256.269 0.837 20 30 CCEDMN N#CCc1ccnc(NC(=O)CCc2cnn[nH]2)c1 ZINC001445579844 930175535 /nfs/dbraw/zinc/17/55/35/930175535.db2.gz APVBHBHBYRHVPB-UHFFFAOYSA-N 0 1 256.269 0.837 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@](C)(CNCc2ncnn2C)C1 ZINC001446576967 930443032 /nfs/dbraw/zinc/44/30/32/930443032.db2.gz CAEKNJYHZUPZCF-FZMZJTMJSA-N 0 1 290.371 0.303 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1CCC(=O)NC1 ZINC001374245883 930463978 /nfs/dbraw/zinc/46/39/78/930463978.db2.gz HAJKFWXWMYMFGH-GHMZBOCLSA-N 0 1 287.791 0.702 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@@H](C)C#N)NCc1cnns1 ZINC001374414234 930502515 /nfs/dbraw/zinc/50/25/15/930502515.db2.gz ILZNTCCUVXEPMN-ONGXEEELSA-N 0 1 281.385 0.928 20 30 CCEDMN Cc1nc(C)c(CNC[C@H](O)CNC(=O)[C@H](C)C#N)o1 ZINC001374591777 930579873 /nfs/dbraw/zinc/57/98/73/930579873.db2.gz SQJVXAJTUOZJCF-KCJUWKMLSA-N 0 1 280.328 0.018 20 30 CCEDMN C[C@H](CNCc1ccn(C)n1)NC(=O)c1cc(C#N)c[nH]1 ZINC001374740778 930643882 /nfs/dbraw/zinc/64/38/82/930643882.db2.gz FDEIXYDBAWSBDO-SNVBAGLBSA-N 0 1 286.339 0.528 20 30 CCEDMN N#C[C@@]1(NC(=O)c2ncn[nH]2)CCc2ccccc2C1 ZINC001447867527 930739851 /nfs/dbraw/zinc/73/98/51/930739851.db2.gz OOAAMZGWTDNXNV-CQSZACIVSA-N 0 1 267.292 0.986 20 30 CCEDMN N#C[C@@]1(NC(=O)c2nc[nH]n2)CCc2ccccc2C1 ZINC001447867527 930739856 /nfs/dbraw/zinc/73/98/56/930739856.db2.gz OOAAMZGWTDNXNV-CQSZACIVSA-N 0 1 267.292 0.986 20 30 CCEDMN CC(C)[C@@H](CNC(=O)CN1CCCC1)NC(=O)[C@H](C)C#N ZINC001375987618 931012494 /nfs/dbraw/zinc/01/24/94/931012494.db2.gz IMRIVQNFTWDFFH-CHWSQXEVSA-N 0 1 294.399 0.499 20 30 CCEDMN C=C(C)COCCNc1cnc(C#N)c(-c2nn[nH]n2)n1 ZINC001573293905 946971493 /nfs/dbraw/zinc/97/14/93/946971493.db2.gz NSFRFWIUWXMDQM-UHFFFAOYSA-N 0 1 286.299 0.533 20 30 CCEDMN CC(C)(C)[N@H+]1CC[C@@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC001602781200 971522504 /nfs/dbraw/zinc/52/25/04/971522504.db2.gz SUQXJFUVFMXMMS-QMTHXVAHSA-N 0 1 281.356 0.980 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000328062635 951121725 /nfs/dbraw/zinc/12/17/25/951121725.db2.gz BUFMSAUOQJKQMG-CQSZACIVSA-N 0 1 281.356 0.448 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1C[C@H](C(=O)[O-])C[C@H](C)C1 ZINC000398771356 951608435 /nfs/dbraw/zinc/60/84/35/951608435.db2.gz KRCZYLYPEQPDTH-QWHCGFSZSA-N 0 1 295.383 0.694 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCC[C@](C)(C(=O)[O-])C1 ZINC000382389613 971810711 /nfs/dbraw/zinc/81/07/11/971810711.db2.gz ROLVIYVVQNMRNP-HNNXBMFYSA-N 0 1 295.383 0.838 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](N2CCOCC2)C1 ZINC000382769290 971831697 /nfs/dbraw/zinc/83/16/97/971831697.db2.gz UOHLXSGHHJYHKP-NEPJUHHUSA-N 0 1 254.330 0.422 20 30 CCEDMN C[C@@H]1CCC[N@@H+](CCC(=O)N(C)CCC#N)[C@@H]1C(=O)[O-] ZINC001589334331 953978077 /nfs/dbraw/zinc/97/80/77/953978077.db2.gz NLDWPIHEASMXOZ-YPMHNXCESA-N 0 1 281.356 0.934 20 30 CCEDMN C[C@H]1CC[N@H+](Cn2cccc(C#N)c2=O)C[C@H]1C(=O)[O-] ZINC001589356060 954143456 /nfs/dbraw/zinc/14/34/56/954143456.db2.gz YUGGJGRBKNMYFO-CMPLNLGQSA-N 0 1 275.308 0.720 20 30 CCEDMN C[C@H]1CC[N@@H+](Cn2cccc(C#N)c2=O)C[C@H]1C(=O)[O-] ZINC001589356060 954143464 /nfs/dbraw/zinc/14/34/64/954143464.db2.gz YUGGJGRBKNMYFO-CMPLNLGQSA-N 0 1 275.308 0.720 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC001593729193 954306912 /nfs/dbraw/zinc/30/69/12/954306912.db2.gz SRFRHNDMIYQJMG-ZDUSSCGKSA-N 0 1 267.329 0.688 20 30 CCEDMN C[N@H+]1CCCC[C@@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC001593780516 954647676 /nfs/dbraw/zinc/64/76/76/954647676.db2.gz GHJJYXWSNWLQEB-SMDDNHRTSA-N 0 1 281.356 0.839 20 30 CCEDMN C[C@@H](C[N@@H+](C)C[C@@H](O)CC1(C#N)CCOCC1)C(=O)[O-] ZINC001589032418 955525628 /nfs/dbraw/zinc/52/56/28/955525628.db2.gz AJBQIASZWNLPBJ-RYUDHWBXSA-N 0 1 284.356 0.710 20 30 CCEDMN N#CC1(C[C@H](O)C[N@H+]2CCC[C@@H]2C(=O)[O-])CCC1 ZINC001594603627 956157312 /nfs/dbraw/zinc/15/73/12/956157312.db2.gz HMCWDDLYGOKFMQ-WDEREUQCSA-N 0 1 252.314 0.980 20 30 CCEDMN N#Cc1ccc(C(=O)OCC[N@@H+]2CCC[C@@H]2C(=O)[O-])o1 ZINC001594617556 956250644 /nfs/dbraw/zinc/25/06/44/956250644.db2.gz FDTXEJVUWACUDA-SNVBAGLBSA-N 0 1 278.264 0.857 20 30 CCEDMN CC[N@H+](CC(=O)N(CCC#N)CCC#N)C(C)(C)C(=O)[O-] ZINC000061175548 957576807 /nfs/dbraw/zinc/57/68/07/957576807.db2.gz CSHZHMIFTGLXNS-UHFFFAOYSA-N 0 1 294.355 0.827 20 30 CCEDMN CC[N@@H+](CC(=O)N(CCC#N)CCC#N)C(C)(C)C(=O)[O-] ZINC000061175548 957576810 /nfs/dbraw/zinc/57/68/10/957576810.db2.gz CSHZHMIFTGLXNS-UHFFFAOYSA-N 0 1 294.355 0.827 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)C1(C(=O)[O-])CC=CC1 ZINC001588395715 958043393 /nfs/dbraw/zinc/04/33/93/958043393.db2.gz QEPRUGOFWLSGEZ-UHFFFAOYSA-N 0 1 264.325 0.479 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@H]1CCCC[N@@H+]1CC ZINC001588408201 958104797 /nfs/dbraw/zinc/10/47/97/958104797.db2.gz AQXMFSKIIPOADC-GFCCVEGCSA-N 0 1 281.356 0.590 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H]1CCC2(CCOCC2)O1 ZINC001588424096 958209493 /nfs/dbraw/zinc/20/94/93/958209493.db2.gz JOOXRFXWIAWWRA-LBPRGKRZSA-N 0 1 267.325 0.734 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H]1CCC2(CCOCC2)O1 ZINC001588424096 958209502 /nfs/dbraw/zinc/20/95/02/958209502.db2.gz JOOXRFXWIAWWRA-LBPRGKRZSA-N 0 1 267.325 0.734 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)C2(C(=O)[O-])CC=CC2)C1 ZINC001588430019 958249843 /nfs/dbraw/zinc/24/98/43/958249843.db2.gz FYTQYYVEZXUYJN-LBPRGKRZSA-N 0 1 276.336 0.621 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)C2(C(=O)[O-])CC=CC2)C1 ZINC001588430019 958249859 /nfs/dbraw/zinc/24/98/59/958249859.db2.gz FYTQYYVEZXUYJN-LBPRGKRZSA-N 0 1 276.336 0.621 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N[C@@H](C)CC(=O)[O-])C1 ZINC001588429855 958250297 /nfs/dbraw/zinc/25/02/97/958250297.db2.gz FJZGBJVHMUSGRU-WDEREUQCSA-N 0 1 267.329 0.246 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N[C@@H](C)CC(=O)[O-])C1 ZINC001588429855 958250313 /nfs/dbraw/zinc/25/03/13/958250313.db2.gz FJZGBJVHMUSGRU-WDEREUQCSA-N 0 1 267.329 0.246 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)C2(CC(=O)[O-])CCC2)C1 ZINC001588431315 958269004 /nfs/dbraw/zinc/26/90/04/958269004.db2.gz WFWMHVNOOCBCIF-GFCCVEGCSA-N 0 1 278.352 0.845 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)C2(CC(=O)[O-])CCC2)C1 ZINC001588431315 958269020 /nfs/dbraw/zinc/26/90/20/958269020.db2.gz WFWMHVNOOCBCIF-GFCCVEGCSA-N 0 1 278.352 0.845 20 30 CCEDMN C=C(Br)C[N@@H+]1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC001588439005 958309340 /nfs/dbraw/zinc/30/93/40/958309340.db2.gz DDTOUQMPDZJFNW-PSASIEDQSA-N 0 1 276.130 0.928 20 30 CCEDMN C=C(C)[C@H](CO)n1cc(C[NH+]2CCC(C(=O)[O-])CC2)nn1 ZINC001588441254 958335477 /nfs/dbraw/zinc/33/54/77/958335477.db2.gz HXNYRCIUSNNLBJ-ZDUSSCGKSA-N 0 1 294.355 0.684 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C(=O)[O-] ZINC001573879622 961267247 /nfs/dbraw/zinc/26/72/47/961267247.db2.gz LXQPHUXCOSVGJW-GHMZBOCLSA-N 0 1 267.329 0.686 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1[C@H](C)CC[C@@H]1C(=O)[O-] ZINC001573879622 961267262 /nfs/dbraw/zinc/26/72/62/961267262.db2.gz LXQPHUXCOSVGJW-GHMZBOCLSA-N 0 1 267.329 0.686 20 30 CCEDMN C=C(Cl)C[N@H+]1CCC[C@@H]1CNC(=O)CNC(=O)[O-] ZINC001573338755 962879839 /nfs/dbraw/zinc/87/98/39/962879839.db2.gz HQOZFOGBRRUNER-SECBINFHSA-N 0 1 275.736 0.587 20 30 CCEDMN C=C(Cl)C[N@@H+]1CCC[C@@H]1CNC(=O)CNC(=O)[O-] ZINC001573338755 962879848 /nfs/dbraw/zinc/87/98/48/962879848.db2.gz HQOZFOGBRRUNER-SECBINFHSA-N 0 1 275.736 0.587 20 30 CCEDMN C#CCCC[N@@H+]1C[C@H](C(=O)[O-])CC[C@@H]1C(=O)OC ZINC001588446444 964073830 /nfs/dbraw/zinc/07/38/30/964073830.db2.gz MDLYIMXIYSPOFM-GHMZBOCLSA-N 0 1 253.298 0.738 20 30 CCEDMN C[N@H+]1CC[C@@H]2CC[C@H](C1)N2C(=O)[C@](C)(C#N)CC(=O)[O-] ZINC001604227336 972723942 /nfs/dbraw/zinc/72/39/42/972723942.db2.gz AYVUPQLVGRBNGI-WDMOLILDSA-N 0 1 279.340 0.686 20 30 CCEDMN C[C@@H]1CC[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C[C@H]1C(=O)[O-] ZINC001603426147 973155397 /nfs/dbraw/zinc/15/53/97/973155397.db2.gz AVXGNGLRUPELFF-HCKVZZMMSA-N 0 1 293.367 0.837 20 30 CCEDMN N#Cc1cc(C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](O)C2)ccc1F ZINC000401924955 973539181 /nfs/dbraw/zinc/53/91/81/973539181.db2.gz QLTXVSLKMKTWDG-NEPJUHHUSA-N 0 1 278.283 0.965 20 30 CCEDMN C[C@H](CC#N)C(=O)O[C@@H]1C[N@@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001592221525 977400516 /nfs/dbraw/zinc/40/05/16/977400516.db2.gz JKGDJIKEOCTOAK-PTRXPTGYSA-N 0 1 254.286 0.627 20 30 CCEDMN CC[C@@H](CC#N)[NH2+][C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001595030077 979163773 /nfs/dbraw/zinc/16/37/73/979163773.db2.gz LBTOZMBZWCLTIE-QWRGUYRKSA-N 0 1 267.329 0.734 20 30 CCEDMN C#CC[C@H]1CC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)C1 ZINC001588434501 983470632 /nfs/dbraw/zinc/47/06/32/983470632.db2.gz LPADJLVXXAPSAE-AAEUAGOBSA-N 0 1 266.341 0.557 20 30 CCEDMN C#CCN(C(=O)[C@@H]1C[C@H]1C(=O)[O-])C1CC[NH+](CCF)CC1 ZINC001588459599 983484880 /nfs/dbraw/zinc/48/48/80/983484880.db2.gz DMVNRCKVQKQDOV-CHWSQXEVSA-N 0 1 296.342 0.603 20 30 CCEDMN C#CC[N@@H+](CC1CC1)[C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001588468179 983500465 /nfs/dbraw/zinc/50/04/65/983500465.db2.gz QKQWJKADVQWEKF-ZDUSSCGKSA-N 0 1 278.352 0.797 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(=O)[O-] ZINC001588479337 983519279 /nfs/dbraw/zinc/51/92/79/983519279.db2.gz JQCWFWOPYGWARK-LOWVWBTDSA-N 0 1 264.325 0.311 20 30 CCEDMN C#CC[NH2+]CC(=O)Nc1ccc(CC(=O)[O-])c(F)c1 ZINC001588485231 983524499 /nfs/dbraw/zinc/52/44/99/983524499.db2.gz JHSRMJLLPXFHKG-UHFFFAOYSA-N 0 1 264.256 0.614 20 30 CCEDMN C#CCOCC[N@@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588491515 983536393 /nfs/dbraw/zinc/53/63/93/983536393.db2.gz OINBOILZONVXML-NSHDSACASA-N 0 1 278.312 0.263 20 30 CCEDMN C#CCOc1ccc(C[N@H+]2C[C@H](O)C[C@@H](C(=O)[O-])C2)cc1 ZINC001588497505 983538995 /nfs/dbraw/zinc/53/89/95/983538995.db2.gz KDHFEVVZIKZWOS-ZIAGYGMSSA-N 0 1 289.331 0.966 20 30 CCEDMN C#CCSCCNC(=O)N1CC[N@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588506573 983552150 /nfs/dbraw/zinc/55/21/50/983552150.db2.gz XMSSSHNWOWLFFD-LLVKDONJSA-N 0 1 299.396 0.011 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1C[C@@H](C)[N@H+](CCO)C[C@H]1C ZINC001588612300 983702682 /nfs/dbraw/zinc/70/26/82/983702682.db2.gz LSXSCNOMZBZRHP-VXGBXAGGSA-N 0 1 284.356 0.321 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC001588664652 983802949 /nfs/dbraw/zinc/80/29/49/983802949.db2.gz YOCJGOOFWBXOOD-MNOVXSKESA-N 0 1 290.385 0.229 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](n2ccnn2)C1 ZINC001588728531 983982637 /nfs/dbraw/zinc/98/26/37/983982637.db2.gz CVIVTZDFWHZPKT-QWRGUYRKSA-N 0 1 250.302 0.944 20 30 CCEDMN C=CCC[C@@H](O)C[NH2+][C@H](C(=O)[O-])c1ccnn1C ZINC001588778744 984162552 /nfs/dbraw/zinc/16/25/52/984162552.db2.gz KXRRGECTRCVYST-KOLCDFICSA-N 0 1 253.302 0.463 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)C(=O)[C@H]1CC[C@@H](C(=O)[O-])O1 ZINC001588822266 984288867 /nfs/dbraw/zinc/28/88/67/984288867.db2.gz CKKAVPWOFJZTFN-NEPJUHHUSA-N 0 1 284.356 0.585 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)C(=O)Cn1ccc(C(=O)[O-])n1 ZINC001588822376 984289478 /nfs/dbraw/zinc/28/94/78/984289478.db2.gz JHFFTXICYXCGKC-UHFFFAOYSA-N 0 1 294.355 0.548 20 30 CCEDMN C=CC[N@H+](CCC(=O)NCC(=O)[O-])[C@@H](C)COC ZINC001588826588 984304813 /nfs/dbraw/zinc/30/48/13/984304813.db2.gz LMHIGTUZXQHSGD-JTQLQIEISA-N 0 1 258.318 0.100 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2CC[C@H](C(=O)[O-])[C@@H]2C)C1=O ZINC001588838398 984337106 /nfs/dbraw/zinc/33/71/06/984337106.db2.gz FCDOWELGEGYYPI-QWRGUYRKSA-N 0 1 267.329 0.663 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CC[N@H+]1C(C)(C)C)C(=O)[O-] ZINC001588855668 984394562 /nfs/dbraw/zinc/39/45/62/984394562.db2.gz NNHDLISIZFSYJD-WDEREUQCSA-N 0 1 284.356 0.631 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CC[N@@H+]1C(C)(C)C)C(=O)[O-] ZINC001588855668 984394571 /nfs/dbraw/zinc/39/45/71/984394571.db2.gz NNHDLISIZFSYJD-WDEREUQCSA-N 0 1 284.356 0.631 20 30 CCEDMN CC#CC[C@@H](CO)[NH2+]C1CCN(CCCC(=O)[O-])CC1 ZINC001588926387 984593806 /nfs/dbraw/zinc/59/38/06/984593806.db2.gz VPLOTYRLNFWOIT-AWEZNQCLSA-N 0 1 282.384 0.680 20 30 CCEDMN CC#CC[C@@H](CO)NC1CC[NH+](CCCC(=O)[O-])CC1 ZINC001588926387 984593807 /nfs/dbraw/zinc/59/38/07/984593807.db2.gz VPLOTYRLNFWOIT-AWEZNQCLSA-N 0 1 282.384 0.680 20 30 CCEDMN C[C@@]1(C(=O)[O-])CCC[N@H+]1C[C@H](O)CC1(C#N)CCOCC1 ZINC001589189230 985584663 /nfs/dbraw/zinc/58/46/63/985584663.db2.gz YUEKUYFEZIKGOS-OCCSQVGLSA-N 0 1 296.367 0.997 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccc(C#N)o2)CC[N@@H+]1CCC(=O)[O-] ZINC001594493677 985617027 /nfs/dbraw/zinc/61/70/27/985617027.db2.gz WMTWQCQHNWDFMM-JTQLQIEISA-N 0 1 291.307 0.772 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccc(C#N)o2)CC[N@H+]1CCC(=O)[O-] ZINC001594493677 985617033 /nfs/dbraw/zinc/61/70/33/985617033.db2.gz WMTWQCQHNWDFMM-JTQLQIEISA-N 0 1 291.307 0.772 20 30 CCEDMN CCC#C[C@H](C)[N@H+]1C[C@H](C(=O)[O-])[C@@H](C(=O)OC)C1 ZINC001594690830 987083603 /nfs/dbraw/zinc/08/36/03/987083603.db2.gz CWJHYHOPMHPISP-DCAQKATOSA-N 0 1 253.298 0.594 20 30 CCEDMN CC[C@@H]1[C@@H](C(=O)N(CC#N)CCC(=O)[O-])CC[N@H+]1C ZINC001597309196 987428935 /nfs/dbraw/zinc/42/89/35/987428935.db2.gz SJZUDLWONRHJFT-WDEREUQCSA-N 0 1 267.329 0.544 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@@H+](CC(=O)[O-])C1CC1 ZINC001598469232 991998296 /nfs/dbraw/zinc/99/82/96/991998296.db2.gz YXYIVLRVOSGMLM-UHFFFAOYSA-N 0 1 253.302 0.298 20 30 CCEDMN CC(C)C[N@@H+](CC(=O)NCC#N)CC(C)(C)C(=O)[O-] ZINC001591290129 992237295 /nfs/dbraw/zinc/23/72/95/992237295.db2.gz XMWVCDXQOVFEQH-UHFFFAOYSA-N 0 1 269.345 0.695 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)c2ccc(C#N)o2)C[C@@H]1C(=O)[O-] ZINC001598574318 993250114 /nfs/dbraw/zinc/25/01/14/993250114.db2.gz KACRVEKDBGTWPO-DTWKUNHWSA-N 0 1 264.237 0.465 20 30 CCEDMN C[C@H](C(=O)N(CC#N)CC(=O)[O-])[N@@H+]1[C@H](C)CC[C@@H]1C ZINC001594299866 999158238 /nfs/dbraw/zinc/15/82/38/999158238.db2.gz KPAZOBQSZIYFPG-OUAUKWLOSA-N 0 1 267.329 0.684 20 30 CCEDMN C=CCSCCNC(=O)NC[C@@H]1COCCN1 ZINC000599910831 361828082 /nfs/dbraw/zinc/82/80/82/361828082.db2.gz VQOLHFPREZOLTN-SNVBAGLBSA-N 0 1 259.375 0.193 20 30 CCEDMN N#CC1(CNC(=O)NC[C@@H]2COCCN2)CCCCC1 ZINC000599921686 361829647 /nfs/dbraw/zinc/82/96/47/361829647.db2.gz BEHXAIGHVJUBLK-GFCCVEGCSA-N 0 1 280.372 0.748 20 30 CCEDMN C#CCNC(=O)NCc1nc(-c2ccc(OC)cc2)n[nH]1 ZINC000111785640 349776214 /nfs/dbraw/zinc/77/62/14/349776214.db2.gz RZABYPYINMPBSV-UHFFFAOYSA-N 0 1 285.307 0.913 20 30 CCEDMN C#CCNC(=O)NCc1n[nH]c(-c2ccc(OC)cc2)n1 ZINC000111785640 349776217 /nfs/dbraw/zinc/77/62/17/349776217.db2.gz RZABYPYINMPBSV-UHFFFAOYSA-N 0 1 285.307 0.913 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCN(CC(C)(C)O)CC1 ZINC000112478015 349779985 /nfs/dbraw/zinc/77/99/85/349779985.db2.gz ONHTXJNQDCYUAI-UHFFFAOYSA-N 0 1 297.443 0.800 20 30 CCEDMN N#Cc1c(F)cccc1C(=O)NCCN1CC[C@H](O)C1 ZINC000600809218 362066187 /nfs/dbraw/zinc/06/61/87/362066187.db2.gz WNDHFWZEZRXTMX-JTQLQIEISA-N 0 1 277.299 0.494 20 30 CCEDMN N#Cc1cscc1C(=O)NCCN1CC[C@H](O)C1 ZINC000600809225 362067084 /nfs/dbraw/zinc/06/70/84/362067084.db2.gz WTGWKOBSFPVXBU-JTQLQIEISA-N 0 1 265.338 0.416 20 30 CCEDMN CN(CC(=O)N1CCOC[C@@H]1C#N)[C@H]1CCSC1 ZINC000408030338 260184882 /nfs/dbraw/zinc/18/48/82/260184882.db2.gz GDGIECGKUNKTGI-QWRGUYRKSA-N 0 1 269.370 0.175 20 30 CCEDMN CN1CC[C@H](NC(=O)N2CCN(C3CCC3)CC2)C1=O ZINC000329473120 529802622 /nfs/dbraw/zinc/80/26/22/529802622.db2.gz ZOPMPMYSXUAFSX-LBPRGKRZSA-N 0 1 280.372 0.301 20 30 CCEDMN Cc1cc(C[C@H](C)NS(=O)(=O)CCCC#N)n[nH]1 ZINC000601426042 362267589 /nfs/dbraw/zinc/26/75/89/362267589.db2.gz NGTLXJYLNPWXSB-JTQLQIEISA-N 0 1 270.358 0.872 20 30 CCEDMN C[C@H](CN(C)C(=O)C1(C#N)CC2(CC2)C1)c1nn[nH]n1 ZINC000601436410 362272385 /nfs/dbraw/zinc/27/23/85/362272385.db2.gz GNTQUMPVUVTGPN-SECBINFHSA-N 0 1 274.328 0.846 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)NCC1(N(C)C)CC1 ZINC000601450211 362276142 /nfs/dbraw/zinc/27/61/42/362276142.db2.gz MMQGWLXYYKQHAT-JTQLQIEISA-N 0 1 274.390 0.006 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N(C)[C@H]1CN2CCC1CC2 ZINC000601446735 362276790 /nfs/dbraw/zinc/27/67/90/362276790.db2.gz BGUKIIPCTPDCQW-LBPRGKRZSA-N 0 1 286.401 0.103 20 30 CCEDMN CC1(C)CCCN(C(=O)CCc2nn[nH]n2)[C@@H]1C#N ZINC000601532461 362302811 /nfs/dbraw/zinc/30/28/11/362302811.db2.gz BQIUOSIEJQJGTK-SECBINFHSA-N 0 1 262.317 0.673 20 30 CCEDMN C=CCCSCCNC(=O)CCc1nn[nH]n1 ZINC000601665366 362346804 /nfs/dbraw/zinc/34/68/04/362346804.db2.gz VSWJIQDQCYJMQR-UHFFFAOYSA-N 0 1 255.347 0.558 20 30 CCEDMN N#CCc1ccccc1C(=O)NCC[N@@H+]1CC[C@@H](O)C1 ZINC000601683752 362355063 /nfs/dbraw/zinc/35/50/63/362355063.db2.gz JQJNMYFBNCYJEQ-CYBMUJFWSA-N 0 1 273.336 0.549 20 30 CCEDMN N#CCc1ccccc1C(=O)NCCN1CC[C@@H](O)C1 ZINC000601683752 362355066 /nfs/dbraw/zinc/35/50/66/362355066.db2.gz JQJNMYFBNCYJEQ-CYBMUJFWSA-N 0 1 273.336 0.549 20 30 CCEDMN N#CCc1ccccc1C(=O)NCCN1CC[C@H](O)C1 ZINC000601686593 362355864 /nfs/dbraw/zinc/35/58/64/362355864.db2.gz JQJNMYFBNCYJEQ-ZDUSSCGKSA-N 0 1 273.336 0.549 20 30 CCEDMN Cc1ccc(CNC[C@@H](O)CN(C)CCC#N)o1 ZINC000601968739 362441816 /nfs/dbraw/zinc/44/18/16/362441816.db2.gz NEPRQLULRGPBJQ-GFCCVEGCSA-N 0 1 251.330 0.884 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCC(C)(C)C#N)C1 ZINC000353091432 530070359 /nfs/dbraw/zinc/07/03/59/530070359.db2.gz WMSULWJJVMXZJX-NSHDSACASA-N 0 1 267.377 0.081 20 30 CCEDMN CN1CCN(CC(=O)NCC(=O)N2CCCC2)C(C)(C)C1 ZINC000329092783 530071195 /nfs/dbraw/zinc/07/11/95/530071195.db2.gz XFRZBEBLZTWXTI-UHFFFAOYSA-N 0 1 296.415 0.591 20 30 CCEDMN N#C[C@@H]1CSCCN1C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000602132722 362511776 /nfs/dbraw/zinc/51/17/76/362511776.db2.gz LBQHTUPXQRKUPZ-OPRDCNLKSA-N 0 1 262.338 0.981 20 30 CCEDMN C[C@@H](C#N)CN(C(=O)CCCc1nn[nH]n1)C1CC1 ZINC000602124550 362505625 /nfs/dbraw/zinc/50/56/25/362505625.db2.gz HBNJCCMRRWOVMR-VIFPVBQESA-N 0 1 262.317 0.673 20 30 CCEDMN C=CCC[C@@H](NC(=O)[C@@H](C)N(C)C)C(=O)OCC ZINC000602159497 362535080 /nfs/dbraw/zinc/53/50/80/362535080.db2.gz HXPMZXIBFJTUSR-GHMZBOCLSA-N 0 1 256.346 0.951 20 30 CCEDMN N#Cc1cccc(CN2CC[C@@]3(CNC(=O)C3)C2)n1 ZINC000602638775 362726995 /nfs/dbraw/zinc/72/69/95/362726995.db2.gz DTOREIXSSRFNBG-CQSZACIVSA-N 0 1 256.309 0.665 20 30 CCEDMN COc1cc(CNCCn2cnc(C#N)n2)sn1 ZINC000602656210 362736130 /nfs/dbraw/zinc/73/61/30/362736130.db2.gz SHXOFCIHODWZRW-UHFFFAOYSA-N 0 1 264.314 0.405 20 30 CCEDMN CN(C)C(=O)[C@@H]1CC[N@@H+](Cc2cccc(C#N)n2)C1 ZINC000602689369 362750720 /nfs/dbraw/zinc/75/07/20/362750720.db2.gz GCWYPSFHGZGPHV-LLVKDONJSA-N 0 1 258.325 0.863 20 30 CCEDMN CN(C)C(=O)[C@@H]1CCN(Cc2cccc(C#N)n2)C1 ZINC000602689369 362750724 /nfs/dbraw/zinc/75/07/24/362750724.db2.gz GCWYPSFHGZGPHV-LLVKDONJSA-N 0 1 258.325 0.863 20 30 CCEDMN C[C@@H]1CN(Cc2cccc(C#N)n2)[C@H](C)CN1CCO ZINC000602695775 362755359 /nfs/dbraw/zinc/75/53/59/362755359.db2.gz NVVGTCZQYVFIDO-CHWSQXEVSA-N 0 1 274.368 0.840 20 30 CCEDMN N#Cc1cc(N2CCN([C@H]3CCC[C@@H]3O)CC2)ncn1 ZINC000617948619 365854909 /nfs/dbraw/zinc/85/49/09/365854909.db2.gz CKKFXHUNUMXLOG-STQMWFEESA-N 0 1 273.340 0.384 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC23CCOCC3)[nH]1 ZINC000617995286 365865183 /nfs/dbraw/zinc/86/51/83/365865183.db2.gz AUKPEVXLZNIEGK-UHFFFAOYSA-N 0 1 275.312 0.500 20 30 CCEDMN N#Cc1nccnc1N1CCC(c2nc(=O)[nH][nH]2)CC1 ZINC000280976704 136653414 /nfs/dbraw/zinc/65/34/14/136653414.db2.gz MTGXKRCCPWADDW-UHFFFAOYSA-N 0 1 271.284 0.144 20 30 CCEDMN CCOC(=O)COCCN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602848672 362835774 /nfs/dbraw/zinc/83/57/74/362835774.db2.gz HSCXIEBWVWXDKC-CHWSQXEVSA-N 0 1 283.372 0.484 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)C[C@](C)(O)C2CC2)C[C@H](C)N1CC#N ZINC000602850105 362838129 /nfs/dbraw/zinc/83/81/29/362838129.db2.gz OSDVYFWJOAROOU-CLWVCHIJSA-N 0 1 295.427 0.817 20 30 CCEDMN CN1CCN(C(=O)N=c2ccn(CCC#N)[nH]2)CC1(C)C ZINC000602852882 362839317 /nfs/dbraw/zinc/83/93/17/362839317.db2.gz SWEJHXGOUYINRC-UHFFFAOYSA-N 0 1 290.371 0.777 20 30 CCEDMN CCc1nc(CN2C[C@@H](C)N(CC#N)[C@H](C)C2)n[nH]1 ZINC000602855111 362841540 /nfs/dbraw/zinc/84/15/40/362841540.db2.gz SBDCWZDOAGGONJ-GHMZBOCLSA-N 0 1 262.361 0.785 20 30 CCEDMN C[C@H]1CN(CCN2C[C@H]3CC[C@@H](C2)O3)C[C@H](C)N1CC#N ZINC000602855397 362841940 /nfs/dbraw/zinc/84/19/40/362841940.db2.gz TXXBSZZXDYJOIK-YHUYYLMFSA-N 0 1 292.427 0.768 20 30 CCEDMN C[C@H]1CN(CCN2CCCC2=O)C[C@H](C)N1CC#N ZINC000602856526 362843212 /nfs/dbraw/zinc/84/32/12/362843212.db2.gz MWSVPYMBSGONPE-STQMWFEESA-N 0 1 264.373 0.527 20 30 CCEDMN CCOC[C@H](O)CN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602858955 362845097 /nfs/dbraw/zinc/84/50/97/362845097.db2.gz WGVFGHWFXWVSCX-FRRDWIJNSA-N 0 1 255.362 0.302 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1C[C@@H](F)C[C@H]1C#N ZINC000103241558 185819262 /nfs/dbraw/zinc/81/92/62/185819262.db2.gz VYTUASFSWGVHCR-IHRRRGAJSA-N 0 1 286.310 0.891 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)CNc2ccc(C#N)cn2)n[nH]1 ZINC000343355984 137130587 /nfs/dbraw/zinc/13/05/87/137130587.db2.gz XMMWCOFUKNJAMF-MRVPVSSYSA-N 0 1 285.311 0.091 20 30 CCEDMN CO[C@H]1C[C@H](CN(C)C(=O)c2cnn(C)c2C#N)N(C)C1 ZINC000344488693 137197016 /nfs/dbraw/zinc/19/70/16/137197016.db2.gz CEXBCNGDWCTJAF-MNOVXSKESA-N 0 1 291.355 0.083 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1cc(C#N)c[nH]1 ZINC000344998301 137226972 /nfs/dbraw/zinc/22/69/72/137226972.db2.gz CYSIZFZAMOHATD-NSHDSACASA-N 0 1 287.279 0.124 20 30 CCEDMN CN1CCN(Cc2ccc(F)c(C#N)c2)[C@H](CO)C1 ZINC000602904180 362877345 /nfs/dbraw/zinc/87/73/45/362877345.db2.gz NDHWCLVXTCYKCK-ZDUSSCGKSA-N 0 1 263.316 0.806 20 30 CCEDMN CN1CCN(CCOc2ccc(C#N)cc2)[C@@H](CO)C1 ZINC000602906625 362880762 /nfs/dbraw/zinc/88/07/62/362880762.db2.gz YEEKAFYYNCNHQR-CQSZACIVSA-N 0 1 275.352 0.545 20 30 CCEDMN COC(=O)CNC(=O)CCN(C)[C@H]1CCC[C@H]1C#N ZINC000602976006 362918266 /nfs/dbraw/zinc/91/82/66/362918266.db2.gz JQWAYYLLPPNYAM-QWRGUYRKSA-N 0 1 267.329 0.290 20 30 CCEDMN CCNC(=O)CN(C)CCC(=O)Nc1cccc(C#N)c1 ZINC000048400436 348407763 /nfs/dbraw/zinc/40/77/63/348407763.db2.gz WSYPWYALQNKXRS-UHFFFAOYSA-N 0 1 288.351 0.955 20 30 CCEDMN CCNC(=O)[C@@H](C)NCc1cnc2ccc(C#N)cn12 ZINC000347674156 137349286 /nfs/dbraw/zinc/34/92/86/137349286.db2.gz KZNDNASDDRYMPF-SNVBAGLBSA-N 0 1 271.324 0.820 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(C)=O)CC1 ZINC000050991696 348482665 /nfs/dbraw/zinc/48/26/65/348482665.db2.gz NIFCWOGWYUUPHT-JTQLQIEISA-N 0 1 253.346 0.278 20 30 CCEDMN C=CC[C@H](CO)NC(=O)CCSc1nnc(C)[nH]1 ZINC000273461789 192160741 /nfs/dbraw/zinc/16/07/41/192160741.db2.gz IHBZQNSCGRGEJE-SECBINFHSA-N 0 1 270.358 0.649 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(CCO)CC1CC1 ZINC000064516626 348701099 /nfs/dbraw/zinc/70/10/99/348701099.db2.gz SOAQEOCEXHVECN-AWEZNQCLSA-N 0 1 267.373 0.745 20 30 CCEDMN N#CCCN(CCN1CCOCC1)C(=O)c1cnc[nH]1 ZINC000076587647 349086622 /nfs/dbraw/zinc/08/66/22/349086622.db2.gz WBMRCJFJUMKFFA-UHFFFAOYSA-N 0 1 277.328 0.098 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCN([C@H](CC)CO)CC1 ZINC000075961385 349060334 /nfs/dbraw/zinc/06/03/34/349060334.db2.gz FRYHRBSOEZLWIA-CHWSQXEVSA-N 0 1 269.389 0.066 20 30 CCEDMN C#CCNC(=O)NC[C@@H](O)CN1CCc2ccccc2C1 ZINC000078682572 349150595 /nfs/dbraw/zinc/15/05/95/349150595.db2.gz HZEJRJTVMPLDKH-OAHLLOKOSA-N 0 1 287.363 0.338 20 30 CCEDMN COC1CCN(CCNC(=O)c2cc(C#N)cn2C)CC1 ZINC000081808939 349284551 /nfs/dbraw/zinc/28/45/51/349284551.db2.gz GJJROSDJIOEDNY-UHFFFAOYSA-N 0 1 290.367 0.737 20 30 CCEDMN Cc1nc(CNS(=O)(=O)c2ccc(F)cc2C#N)no1 ZINC000122152176 350062364 /nfs/dbraw/zinc/06/23/64/350062364.db2.gz BEKKJAIXIYVIOA-UHFFFAOYSA-N 0 1 296.283 0.867 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)c1ccccc1C#N ZINC000168807691 350831659 /nfs/dbraw/zinc/83/16/59/350831659.db2.gz JFCRHCJOTLBTPC-SNVBAGLBSA-N 0 1 267.354 0.787 20 30 CCEDMN CCN(CCNS(=O)(=O)c1ccc(C#N)nc1)C1CC1 ZINC000170873324 350870548 /nfs/dbraw/zinc/87/05/48/350870548.db2.gz UBNOILJSJRPBFG-UHFFFAOYSA-N 0 1 294.380 0.716 20 30 CCEDMN CNC(C)(C)c1cn(CC(=O)N[C@](C)(C#N)C2CC2)nn1 ZINC000603184793 362988158 /nfs/dbraw/zinc/98/81/58/362988158.db2.gz WYWPCXVVXXOXEX-CQSZACIVSA-N 0 1 290.371 0.541 20 30 CCEDMN CCNCc1cn(CC(=O)NCc2cccc(C#N)c2)nn1 ZINC000603211228 363002207 /nfs/dbraw/zinc/00/22/07/363002207.db2.gz PFKPLQANNRVODX-UHFFFAOYSA-N 0 1 298.350 0.576 20 30 CCEDMN CNCc1cn(CCOc2cccc(C#N)c2)nn1 ZINC000603214019 363002940 /nfs/dbraw/zinc/00/29/40/363002940.db2.gz NSUZXVVCSWBGQB-UHFFFAOYSA-N 0 1 257.297 0.948 20 30 CCEDMN C=C[C@H](C(=O)OC)n1cc([C@@H](N)[C@H]2CCCO2)nn1 ZINC000603233695 363014547 /nfs/dbraw/zinc/01/45/47/363014547.db2.gz JVBDOBJUFMQMFP-GMTAPVOTSA-N 0 1 266.301 0.357 20 30 CCEDMN CN[C@H](C)c1cn(C[C@@H](O)CC(C)(C)C#N)nn1 ZINC000603266161 363035103 /nfs/dbraw/zinc/03/51/03/363035103.db2.gz CUOKVRURZHQXEV-ZJUUUORDSA-N 0 1 251.334 0.859 20 30 CCEDMN N#CCN1CCN(C(=O)c2c(O)cccc2F)CC1 ZINC000186847700 351517894 /nfs/dbraw/zinc/51/78/94/351517894.db2.gz JSOJODOYFMPSMD-UHFFFAOYSA-N 0 1 263.272 0.813 20 30 CCEDMN N#Cc1ccc(CN2CCC[C@@]3(C2)NC(=O)NC3=O)cc1 ZINC000192640913 351791999 /nfs/dbraw/zinc/79/19/99/351791999.db2.gz YCKQXUBKNYEIMQ-HNNXBMFYSA-N 0 1 284.319 0.732 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000603886162 363166482 /nfs/dbraw/zinc/16/64/82/363166482.db2.gz SGCNYEQRDMNAIK-NSHDSACASA-N 0 1 290.367 0.101 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC(O)(COC)CC1 ZINC000270344157 352924187 /nfs/dbraw/zinc/92/41/87/352924187.db2.gz FSKUBGPVBBEZQC-UHFFFAOYSA-N 0 1 282.384 0.660 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N[C@@H](C)c1cn[nH]c1 ZINC000275259102 353200267 /nfs/dbraw/zinc/20/02/67/353200267.db2.gz ZUBYXMAXOIIJQZ-DTWKUNHWSA-N 0 1 265.317 0.461 20 30 CCEDMN C=CCOc1ccc(CN2C[C@H](O)[C@@H](O)C2)cc1OC ZINC000278357114 353295815 /nfs/dbraw/zinc/29/58/15/353295815.db2.gz JFFKXPPGSVBFML-STQMWFEESA-N 0 1 279.336 0.797 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cc(C#N)oc2C)C1 ZINC000280574989 353370047 /nfs/dbraw/zinc/37/00/47/353370047.db2.gz GZHDPNINOPKPDB-NSHDSACASA-N 0 1 277.324 0.910 20 30 CCEDMN Cc1nc(CN2CCN(c3nccnc3C#N)C[C@@H]2C)n[nH]1 ZINC000292418733 353784254 /nfs/dbraw/zinc/78/42/54/353784254.db2.gz KSZWXIAYIWZPQU-JTQLQIEISA-N 0 1 298.354 0.486 20 30 CCEDMN C#CCN(C)CCCNC(=O)c1c[nH]c(C)cc1=O ZINC000294013350 353859665 /nfs/dbraw/zinc/85/96/65/353859665.db2.gz PWAABJBHIVADGC-UHFFFAOYSA-N 0 1 261.325 0.781 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CC[C@@H](n2cccn2)C1 ZINC000296721292 353957006 /nfs/dbraw/zinc/95/70/06/353957006.db2.gz CDLXFDFIDSLCQR-CQSZACIVSA-N 0 1 289.383 0.795 20 30 CCEDMN CCCN(CC(N)=O)C[C@@H](O)COc1ccccc1C#N ZINC000313838498 354219470 /nfs/dbraw/zinc/21/94/70/354219470.db2.gz FLWLLSGYLFIMJI-CYBMUJFWSA-N 0 1 291.351 0.495 20 30 CCEDMN CCN1CCN([C@H]2CCN(c3cnc(C#N)cn3)C2)CC1 ZINC000330007797 354284002 /nfs/dbraw/zinc/28/40/02/354284002.db2.gz DWOCQUVXSMHNAT-AWEZNQCLSA-N 0 1 286.383 0.564 20 30 CCEDMN Cn1cccc(N/C([O-])=N/[C@@H]2CCn3cc[nH+]c3C2)c1=O ZINC000330236209 354311116 /nfs/dbraw/zinc/31/11/16/354311116.db2.gz HFYRWQFAAWTNRS-SNVBAGLBSA-N 0 1 287.323 0.923 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@](C)(O)CC2)[nH]1 ZINC000619320245 366235974 /nfs/dbraw/zinc/23/59/74/366235974.db2.gz YOMGHCKTZSIATM-ZDUSSCGKSA-N 0 1 277.328 0.482 20 30 CCEDMN CC(C)[C@@H]1CN(C)CCN1C(=O)[C@H](C)n1cnc(C#N)n1 ZINC000337009255 355247572 /nfs/dbraw/zinc/24/75/72/355247572.db2.gz DPQCEFOQBFCICB-RYUDHWBXSA-N 0 1 290.371 0.509 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCCC[C@@H]1CC(N)=O ZINC000339137961 355493799 /nfs/dbraw/zinc/49/37/99/355493799.db2.gz WIGZHGKEFJZLFN-QWHCGFSZSA-N 0 1 294.399 0.724 20 30 CCEDMN COCC(C)(C)N1CCN(c2cnc(C#N)cn2)CC1 ZINC000425285283 235280295 /nfs/dbraw/zinc/28/02/95/235280295.db2.gz WSPFBDXVURMOIG-UHFFFAOYSA-N 0 1 275.356 0.895 20 30 CCEDMN N#Cc1ncn(CCNC(=O)c2ccc3cncn3c2)n1 ZINC000342895900 355766298 /nfs/dbraw/zinc/76/62/98/355766298.db2.gz XAANYANTBANAFF-UHFFFAOYSA-N 0 1 281.279 0.227 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CCc3nc[nH]c3C2)cn1 ZINC000378317190 283131583 /nfs/dbraw/zinc/13/15/83/283131583.db2.gz YWUOGIZOOOQVFG-JTQLQIEISA-N 0 1 267.292 0.964 20 30 CCEDMN CC(C)(NC(=O)CNc1ccc(C#N)cc1)c1nn[nH]n1 ZINC000354776773 356495380 /nfs/dbraw/zinc/49/53/80/356495380.db2.gz RFECTIZLKNMZLC-UHFFFAOYSA-N 0 1 285.311 0.535 20 30 CCEDMN N#Cc1cccc(-n2ccc(C(=O)NCc3c[nH]nn3)n2)c1 ZINC000619613932 366300188 /nfs/dbraw/zinc/30/01/88/366300188.db2.gz ZIZNUYPHIBWCGD-UHFFFAOYSA-N 0 1 293.290 0.792 20 30 CCEDMN COC[C@H](O)CN1CCN(c2cccc(C#N)n2)CC1 ZINC000355075580 356568841 /nfs/dbraw/zinc/56/88/41/356568841.db2.gz AETAAVJGRWOFID-CYBMUJFWSA-N 0 1 276.340 0.083 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H](N2CCN(CC)CC2)C1 ZINC000609310832 363488240 /nfs/dbraw/zinc/48/82/40/363488240.db2.gz PFCSPFKWFKOUML-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](CCO)C1 ZINC000609579123 363507102 /nfs/dbraw/zinc/50/71/02/363507102.db2.gz WRFXWYSUAPTJGN-ZDUSSCGKSA-N 0 1 267.373 0.843 20 30 CCEDMN Cc1cc(CNC(=O)CNC(=O)c2ccc(C#N)cc2)n[nH]1 ZINC000609599900 363508824 /nfs/dbraw/zinc/50/88/24/363508824.db2.gz RACWGORGSCNZBT-UHFFFAOYSA-N 0 1 297.318 0.636 20 30 CCEDMN Cn1ncc(C(=O)Nc2ccc3[nH]nnc3c2)c1C#N ZINC000356291963 356741422 /nfs/dbraw/zinc/74/14/22/356741422.db2.gz YOPHVBHJMBLFJV-UHFFFAOYSA-N 0 1 267.252 0.815 20 30 CCEDMN C=CCCS(=O)(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC000360134593 356955317 /nfs/dbraw/zinc/95/53/17/356955317.db2.gz XGCSFGUWQIXOFB-JQWIXIFHSA-N 0 1 258.387 0.965 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCc2ccccn2)[nH]1 ZINC000610528146 363572543 /nfs/dbraw/zinc/57/25/43/363572543.db2.gz QRJSXYVBEAVSTC-UHFFFAOYSA-N 0 1 270.296 0.473 20 30 CCEDMN CC(C)C[C@@H]1COCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000610561072 363576176 /nfs/dbraw/zinc/57/61/76/363576176.db2.gz PBYXJEKJHZSPDU-GFCCVEGCSA-N 0 1 291.355 0.992 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CC1)[C@H](OCC)C[C@@H]2O ZINC000365523012 357157090 /nfs/dbraw/zinc/15/70/90/357157090.db2.gz CZEPMPRYWXYMBP-MELADBBJSA-N 0 1 294.395 0.376 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCCc3c2cnn3C)[nH]1 ZINC000610561987 363576317 /nfs/dbraw/zinc/57/63/17/363576317.db2.gz GTDCADYTDBTITN-NSHDSACASA-N 0 1 299.338 0.646 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H]2CCC[C@H](O)C2)[nH]1 ZINC000610564305 363579776 /nfs/dbraw/zinc/57/97/76/363579776.db2.gz HEGWPQDNLSPYHJ-ONGXEEELSA-N 0 1 277.328 0.386 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](n3cncn3)C2)C1=O ZINC000374172454 357396886 /nfs/dbraw/zinc/39/68/86/357396886.db2.gz NKVFYASTRAKREH-NWDGAFQWSA-N 0 1 261.329 0.312 20 30 CCEDMN COCCN1CCCN(C(=O)c2cc(C#N)[nH]c2C)CC1 ZINC000448790643 236003068 /nfs/dbraw/zinc/00/30/68/236003068.db2.gz BEPSVUDWWOYFLM-UHFFFAOYSA-N 0 1 290.367 0.989 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(C)C[C@H]1CC ZINC000655783808 412660136 /nfs/dbraw/zinc/66/01/36/412660136.db2.gz KZEBMLQIDNUJBI-GFCCVEGCSA-N 0 1 255.362 0.925 20 30 CCEDMN CCc1nc(CNS(=O)(=O)c2ccc(C#N)s2)n[nH]1 ZINC000392572203 357749300 /nfs/dbraw/zinc/74/93/00/357749300.db2.gz CRTJDJKVMRUQTI-UHFFFAOYSA-N 0 1 297.365 0.779 20 30 CCEDMN Cc1nnc(N2CCN3C[C@H](O)C[C@H]3C2)c(C#N)c1C ZINC000405654779 357827113 /nfs/dbraw/zinc/82/71/13/357827113.db2.gz HFEPCDZYNNIARQ-NWDGAFQWSA-N 0 1 273.340 0.220 20 30 CCEDMN CN(CCC1CCOCC1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610713666 363601890 /nfs/dbraw/zinc/60/18/90/363601890.db2.gz VFADKZBEEVXVNS-UHFFFAOYSA-N 0 1 291.355 0.994 20 30 CCEDMN C#CCN(CC#CC)C[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000490778572 358291102 /nfs/dbraw/zinc/29/11/02/358291102.db2.gz HOFFLCDYNWFACT-AWEZNQCLSA-N 0 1 282.409 0.617 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)C[C@@H](O)C1CC1 ZINC000610739431 363605215 /nfs/dbraw/zinc/60/52/15/363605215.db2.gz QYEZQBSUKWICLJ-WCQYABFASA-N 0 1 267.373 0.697 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N=c1ccn(CCC#N)[nH]1 ZINC000573624561 358986392 /nfs/dbraw/zinc/98/63/92/358986392.db2.gz YXIYNWAIRFPNHP-SNVBAGLBSA-N 0 1 272.312 0.759 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](CC(N)=O)C1 ZINC000588976809 359355045 /nfs/dbraw/zinc/35/50/45/359355045.db2.gz YZVWIFQLYACVJB-MNOVXSKESA-N 0 1 278.356 0.135 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCc2cn[nH]c2C1 ZINC000611009235 363648093 /nfs/dbraw/zinc/64/80/93/363648093.db2.gz VOKJSMGLEKEHGG-SECBINFHSA-N 0 1 277.328 0.168 20 30 CCEDMN CC1(C)CN(C(=O)NCC2(C#N)CC2)CCN1CCO ZINC000588670039 359329643 /nfs/dbraw/zinc/32/96/43/359329643.db2.gz IRPNUCUQEPYJEQ-UHFFFAOYSA-N 0 1 280.372 0.388 20 30 CCEDMN CN1C[C@@H]2CCN(S(=O)(=O)c3ccc(C#N)cc3)[C@@H]2C1 ZINC000589925685 359410522 /nfs/dbraw/zinc/41/05/22/359410522.db2.gz ODHOXFNYAXXYHQ-GXTWGEPZSA-N 0 1 291.376 0.883 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2CC(F)(F)C2)[nH]1 ZINC000590121331 359425924 /nfs/dbraw/zinc/42/59/24/359425924.db2.gz DWVGETGTVMUXQQ-UHFFFAOYSA-N 0 1 269.255 0.880 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[n-]n(C)cc2C#N)C[C@H](C)[N@@H+]1C ZINC000590819218 359525447 /nfs/dbraw/zinc/52/54/47/359525447.db2.gz AFAZNDTUOGIGAG-AOOOYVTPSA-N 0 1 276.344 0.270 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000590737010 359512974 /nfs/dbraw/zinc/51/29/74/359512974.db2.gz IEFGWMLYTQTOTP-RKDXNWHRSA-N 0 1 267.285 0.682 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCc3n[nH]cc3C2)[nH]1 ZINC000611125797 363662412 /nfs/dbraw/zinc/66/24/12/363662412.db2.gz SIVCNTOKXJBZPZ-JTQLQIEISA-N 0 1 285.311 0.116 20 30 CCEDMN CC[C@](C)(CNC(=O)N=c1[nH]n(C)cc1C#N)OC ZINC000590819507 359527288 /nfs/dbraw/zinc/52/72/88/359527288.db2.gz LSTMKICINKIYAR-GFCCVEGCSA-N 0 1 265.317 0.650 20 30 CCEDMN C[C@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)CS1 ZINC000591338149 359579453 /nfs/dbraw/zinc/57/94/53/359579453.db2.gz NHBDBZNYRIFHTJ-IONNQARKSA-N 0 1 265.342 0.729 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@@H](C3CCC3)C2)[nH]1 ZINC000591338195 359579465 /nfs/dbraw/zinc/57/94/65/359579465.db2.gz WGPNKXPHEYTBHI-GFCCVEGCSA-N 0 1 289.339 0.746 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CCN(C(C)=O)C[C@H]1C ZINC000297055817 200073200 /nfs/dbraw/zinc/07/32/00/200073200.db2.gz XSMFYVYNMXJMBC-CYBMUJFWSA-N 0 1 294.399 0.204 20 30 CCEDMN COC(=O)CC1(CN2CC[C@@](O)(CC#N)C2)CC1 ZINC000592154874 359771891 /nfs/dbraw/zinc/77/18/91/359771891.db2.gz VJHBYZPCAIPAPO-ZDUSSCGKSA-N 0 1 252.314 0.680 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@H](O)COc2ccccc2F)C1 ZINC000592148528 359767969 /nfs/dbraw/zinc/76/79/69/359767969.db2.gz WEDSTUGHIAWVBC-SWLSCSKDSA-N 0 1 294.326 0.916 20 30 CCEDMN N#Cc1ccc(NCC(=O)N[C@H]2CC[N@@H+](CC3CC3)C2)nc1 ZINC000611219814 363685429 /nfs/dbraw/zinc/68/54/29/363685429.db2.gz MYXNGQIPCWEVQW-AWEZNQCLSA-N 0 1 299.378 0.388 20 30 CCEDMN CCO[C@@H](CC)C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592646450 359907971 /nfs/dbraw/zinc/90/79/71/359907971.db2.gz ORDPOSDZFYJRIQ-JTQLQIEISA-N 0 1 250.302 0.776 20 30 CCEDMN CSC[C@@H](C)C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592646751 359908438 /nfs/dbraw/zinc/90/84/38/359908438.db2.gz QIERWQLBKDXLMF-SSDOTTSWSA-N 0 1 252.343 0.960 20 30 CCEDMN COC[C@H](C)[C@H](C)C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592650127 359909185 /nfs/dbraw/zinc/90/91/85/359909185.db2.gz OERNDJKFTAJMAX-IUCAKERBSA-N 0 1 264.329 0.879 20 30 CCEDMN N#CCc1ccc(CNC(=O)[C@@H]2CNCCO2)cc1 ZINC000592568777 359894846 /nfs/dbraw/zinc/89/48/46/359894846.db2.gz YVDZWDLHDVSIKH-ZDUSSCGKSA-N 0 1 259.309 0.357 20 30 CCEDMN C=C(C)[C@@H](CC(=O)Nc1nn[nH]c1C(=O)NC)OCC ZINC000297864314 200295033 /nfs/dbraw/zinc/29/50/33/200295033.db2.gz YXOJLEXLTMYGGZ-MRVPVSSYSA-N 0 1 281.316 0.474 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC(C2(O)CC2)CC1 ZINC000593051042 360021726 /nfs/dbraw/zinc/02/17/26/360021726.db2.gz SDGGCZFADABYBC-LBPRGKRZSA-N 0 1 279.384 0.984 20 30 CCEDMN CC[C@@H](C#N)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000593465015 360094704 /nfs/dbraw/zinc/09/47/04/360094704.db2.gz MUNFFSWREIBPTI-UONOGXRCSA-N 0 1 280.416 0.924 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCCN(CCOC)CC1 ZINC000593421620 360085884 /nfs/dbraw/zinc/08/58/84/360085884.db2.gz VJKPRMCKENXPBW-GFCCVEGCSA-N 0 1 253.346 0.717 20 30 CCEDMN CC[C@@H](C#N)C(=O)NC[C@H]1CCCCN1CCO ZINC000593731724 360142879 /nfs/dbraw/zinc/14/28/79/360142879.db2.gz AOPGDRMRVGDVGZ-NWDGAFQWSA-N 0 1 253.346 0.499 20 30 CCEDMN N#Cc1ccc(CN2CC(N3CC[C@@H](O)C3)C2)c(F)c1 ZINC000593680132 360133984 /nfs/dbraw/zinc/13/39/84/360133984.db2.gz QJIMCAHQRXXSOS-CQSZACIVSA-N 0 1 275.327 0.948 20 30 CCEDMN N#Cc1ccncc1C(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000593857362 360177240 /nfs/dbraw/zinc/17/72/40/360177240.db2.gz YHIWLGTXCAWBEX-UHFFFAOYSA-N 0 1 297.322 0.029 20 30 CCEDMN Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@H]1CNCCO1 ZINC000593916032 360210321 /nfs/dbraw/zinc/21/03/21/360210321.db2.gz BJWPWTUHXRJNKC-GFCCVEGCSA-N 0 1 285.307 0.092 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2scc3c2OCCO3)[nH]1 ZINC000593990027 360228830 /nfs/dbraw/zinc/22/88/30/360228830.db2.gz VMYLRCFLWXJFDM-UHFFFAOYSA-N 0 1 290.304 0.799 20 30 CCEDMN N#CCCCCC(=O)NCCN1CCCOCC1 ZINC000594345723 360277877 /nfs/dbraw/zinc/27/78/77/360277877.db2.gz HJRVUYCNYNOISE-UHFFFAOYSA-N 0 1 253.346 0.909 20 30 CCEDMN N#Cc1ccsc1C(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000594392399 360290547 /nfs/dbraw/zinc/29/05/47/360290547.db2.gz GMZATDFIKAOKMK-WDEREUQCSA-N 0 1 277.349 0.511 20 30 CCEDMN CC#CC[C@H](CO)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594797661 360385041 /nfs/dbraw/zinc/38/50/41/360385041.db2.gz XOJPWJZOHVQUGY-SECBINFHSA-N 0 1 299.308 0.042 20 30 CCEDMN CC(C)[C@H]([NH3+])CC[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000595120599 360440710 /nfs/dbraw/zinc/44/07/10/360440710.db2.gz JDNQDSDTXPFDIU-SNVBAGLBSA-N 0 1 271.342 0.803 20 30 CCEDMN C=CCn1cc(CN[C@H](C)[C@H]2C[C@@H]2C(=O)OC)nn1 ZINC000594948860 360425715 /nfs/dbraw/zinc/42/57/15/360425715.db2.gz BUQIHEDWNIFBSY-JLLWLGSASA-N 0 1 264.329 0.751 20 30 CCEDMN C=CCCSCCNCc1cn(CC(N)=O)nn1 ZINC000594966386 360427882 /nfs/dbraw/zinc/42/78/82/360427882.db2.gz IANFMAAOEYLGMZ-UHFFFAOYSA-N 0 1 269.374 0.162 20 30 CCEDMN N#Cc1ccsc1NC(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC000595241597 360454507 /nfs/dbraw/zinc/45/45/07/360454507.db2.gz CJDKARHWCSIMES-RKDXNWHRSA-N 0 1 296.327 0.456 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)N(C)[C@H](C)CC#N)CCN1 ZINC000595413540 360525089 /nfs/dbraw/zinc/52/50/89/360525089.db2.gz VCCLDINIRFYFMS-VXGBXAGGSA-N 0 1 288.417 0.395 20 30 CCEDMN COC[C@@H](CN1CCN([C@H](C#N)C(C)C)CC1)OC ZINC000595399430 360518825 /nfs/dbraw/zinc/51/88/25/360518825.db2.gz WQUKYHQMWUFCAU-ZIAGYGMSSA-N 0 1 269.389 0.814 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CC[C@](C)(C#N)C2)C1 ZINC000595518088 360559404 /nfs/dbraw/zinc/55/94/04/360559404.db2.gz ZASCKWLACDVBPB-GXTWGEPZSA-N 0 1 280.372 0.652 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)Cc1cnc[nH]1 ZINC000595595223 360592284 /nfs/dbraw/zinc/59/22/84/360592284.db2.gz DVWOWGNJQIOHPB-SECBINFHSA-N 0 1 265.317 0.242 20 30 CCEDMN COC(=O)CCN1CC[C@H](N2CCC[C@H](CC#N)C2)C1=O ZINC000595613749 360597198 /nfs/dbraw/zinc/59/71/98/360597198.db2.gz AQKZUJWLFSQMCH-OLZOCXBDSA-N 0 1 293.367 0.776 20 30 CCEDMN C[C@@H]1C[C@H]([N-]S(=O)(=O)c2ccc(C#N)o2)CC[NH2+]1 ZINC000563445705 291230772 /nfs/dbraw/zinc/23/07/72/291230772.db2.gz JAKJWSVJYXYKRC-RKDXNWHRSA-N 0 1 269.326 0.570 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@@H](C)C1O ZINC000595732845 360633108 /nfs/dbraw/zinc/63/31/08/360633108.db2.gz PIQQUODJIUSSSY-GHMZBOCLSA-N 0 1 265.357 0.498 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@H](C)[C@H]1O ZINC000595732846 360633125 /nfs/dbraw/zinc/63/31/25/360633125.db2.gz PIQQUODJIUSSSY-PJXYFTJBSA-N 0 1 265.357 0.498 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)NC(=O)Nc1ccccc1F ZINC000595863952 360683680 /nfs/dbraw/zinc/68/36/80/360683680.db2.gz LDVCLXYKXGQSAO-VHSXEESVSA-N 0 1 295.314 0.999 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CC[C@@H]2COC[C@@H]2C1 ZINC000595836701 360674718 /nfs/dbraw/zinc/67/47/18/360674718.db2.gz YIDKFAPBYUZCST-KGLIPLIRSA-N 0 1 290.367 0.611 20 30 CCEDMN C[C@H](CC#N)C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000597256571 361043656 /nfs/dbraw/zinc/04/36/56/361043656.db2.gz NOVXCSLUNAQEGL-KGLIPLIRSA-N 0 1 294.399 0.059 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000597302474 361057008 /nfs/dbraw/zinc/05/70/08/361057008.db2.gz XZXADAPGXQQEOM-CHWSQXEVSA-N 0 1 265.357 0.717 20 30 CCEDMN N#CC1(CC(=O)NC[C@@H]2CN3CCN2CCC3)CC1 ZINC000597626375 361167388 /nfs/dbraw/zinc/16/73/88/361167388.db2.gz SRKHGUWPLKBIAT-GFCCVEGCSA-N 0 1 262.357 0.186 20 30 CCEDMN N#CCC(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000597619377 361164482 /nfs/dbraw/zinc/16/44/82/361164482.db2.gz GLVOMNMNNQXMJV-GFCCVEGCSA-N 0 1 251.330 0.613 20 30 CCEDMN N#C[C@H](CO)NC(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000597744754 361228571 /nfs/dbraw/zinc/22/85/71/361228571.db2.gz XHKVIDNTQFTFQJ-ZIAGYGMSSA-N 0 1 273.336 0.652 20 30 CCEDMN C[C@H]1C(=O)NCCCN1C[C@@H](O)CC(C)(C)C#N ZINC000598617400 361460044 /nfs/dbraw/zinc/46/00/44/361460044.db2.gz SYHJSPBAQUQMSB-QWRGUYRKSA-N 0 1 253.346 0.498 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](C)[C@H](CO)C1 ZINC000598644957 361471181 /nfs/dbraw/zinc/47/11/81/361471181.db2.gz BOWAXGFRXMXNOC-WOPDTQHZSA-N 0 1 283.372 0.337 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC(C)(C)CC[C@@H]1CO ZINC000598648209 361473089 /nfs/dbraw/zinc/47/30/89/361473089.db2.gz OVXPIBSRBVJFMZ-LLVKDONJSA-N 0 1 283.372 0.481 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCN2C(=O)N=NC2C1 ZINC000598605673 361456109 /nfs/dbraw/zinc/45/61/09/361456109.db2.gz SVTODPNXYUWZKG-VIFPVBQESA-N 0 1 265.317 0.100 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N(C)Cc1n[nH]c(=O)o1 ZINC000598743628 361506540 /nfs/dbraw/zinc/50/65/40/361506540.db2.gz BUTZAUCZOYMGKL-UHFFFAOYSA-N 0 1 273.252 0.623 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2cnc3n2CCCCC3)[nH]1 ZINC000598817022 361520732 /nfs/dbraw/zinc/52/07/32/361520732.db2.gz FINHZMKWRJLFDJ-UHFFFAOYSA-N 0 1 284.323 0.889 20 30 CCEDMN COC(=O)c1ccc(NCCN2CC[C@@H](O)C2)c(C#N)n1 ZINC000599175549 361598531 /nfs/dbraw/zinc/59/85/31/361598531.db2.gz FKIRWRKSRQTTNW-SNVBAGLBSA-N 0 1 290.323 0.218 20 30 CCEDMN N#Cc1ccc([N+](=O)[O-])c(NCCN2CC[C@@H](O)C2)c1 ZINC000599175718 361598914 /nfs/dbraw/zinc/59/89/14/361598914.db2.gz JDXXAOROQIULOJ-LLVKDONJSA-N 0 1 276.296 0.945 20 30 CCEDMN N#Cc1cc2cccnc2nc1NCCN1CC[C@H](O)C1 ZINC000599199225 361608795 /nfs/dbraw/zinc/60/87/95/361608795.db2.gz HLNXFIUIOKWGIH-ZDUSSCGKSA-N 0 1 283.335 0.980 20 30 CCEDMN C=C(C)CNS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599280953 361629645 /nfs/dbraw/zinc/62/96/45/361629645.db2.gz FDJVGTGZTDBDEU-UHFFFAOYSA-N 0 1 260.271 0.316 20 30 CCEDMN CCC[C@H](C#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599234013 361617689 /nfs/dbraw/zinc/61/76/89/361617689.db2.gz DJVMXDDLUFMJMS-SNVBAGLBSA-N 0 1 296.352 0.875 20 30 CCEDMN C[C@H](CC#N)N(C)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599329376 361654073 /nfs/dbraw/zinc/65/40/73/361654073.db2.gz IHFSXFOPMPCDBX-SECBINFHSA-N 0 1 296.352 0.827 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC(CCOC)CC1 ZINC000599505254 361722346 /nfs/dbraw/zinc/72/23/46/361722346.db2.gz XZNJMTIIUTVBIR-UHFFFAOYSA-N 0 1 283.372 0.747 20 30 CCEDMN C[C@@]1(C#N)CCCN(CCCC(=O)NC(N)=O)C1 ZINC000612165468 363858508 /nfs/dbraw/zinc/85/85/08/363858508.db2.gz NCGRKOIRIYHDEV-LBPRGKRZSA-N 0 1 252.318 0.587 20 30 CCEDMN C=C(C)COCCNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000612436097 363919656 /nfs/dbraw/zinc/91/96/56/363919656.db2.gz MANWSLXVYJBNFB-SNVBAGLBSA-N 0 1 264.329 0.934 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000612697509 363994173 /nfs/dbraw/zinc/99/41/73/363994173.db2.gz WJNDFCMVDZAGPT-RISCZKNCSA-N 0 1 290.367 0.854 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@]2(C)CCCO)[nH]1 ZINC000612573635 363961857 /nfs/dbraw/zinc/96/18/57/363961857.db2.gz XDTYRAOQIDAASD-CQSZACIVSA-N 0 1 291.355 0.873 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC(CCCN2CCOCC2)CC1 ZINC000613351746 364220822 /nfs/dbraw/zinc/22/08/22/364220822.db2.gz CDMPFXYDRLMEBR-HNNXBMFYSA-N 0 1 296.411 0.884 20 30 CCEDMN C/C=C/C[C@@H](CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000613772718 364367784 /nfs/dbraw/zinc/36/77/84/364367784.db2.gz HVESFCPDLHXPIO-FSIBCCDJSA-N 0 1 263.301 0.162 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCN(C2CCOCC2)C[C@@H]1C ZINC000614130310 364510583 /nfs/dbraw/zinc/51/05/83/364510583.db2.gz NDOCPQLYMPCMAO-AAEUAGOBSA-N 0 1 268.357 0.245 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCCCc1nc[nH]n1 ZINC000614365614 364585796 /nfs/dbraw/zinc/58/57/96/364585796.db2.gz HURQGTCXKRSNDM-NSHDSACASA-N 0 1 279.344 0.324 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000614440633 364605244 /nfs/dbraw/zinc/60/52/44/364605244.db2.gz OGNVHPSTKBCJKY-CQSZACIVSA-N 0 1 282.384 0.902 20 30 CCEDMN CCO[C@@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)[C@H]1OC ZINC000614325636 364570537 /nfs/dbraw/zinc/57/05/37/364570537.db2.gz AIEQGTSFYDIXTD-HBNTYKKESA-N 0 1 293.327 0.028 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N2CC[C@](F)(C#N)C2)C1 ZINC000615540614 364997754 /nfs/dbraw/zinc/99/77/54/364997754.db2.gz VTSQTTNOQGSHRH-YPMHNXCESA-N 0 1 284.335 0.354 20 30 CCEDMN Cc1[nH]nc(C(=O)N2CC[C@@](F)(C#N)C2)c1[N+](=O)[O-] ZINC000615825431 365097659 /nfs/dbraw/zinc/09/76/59/365097659.db2.gz QLVSADQGBNMVLU-SNVBAGLBSA-N 0 1 267.220 0.704 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCOCC(F)F)[nH]1 ZINC000615979603 365148646 /nfs/dbraw/zinc/14/86/46/365148646.db2.gz IWKXHXQZXGOCBD-UHFFFAOYSA-N 0 1 273.243 0.117 20 30 CCEDMN N#Cc1ccc(CNC2(C(N)=O)CCOCC2)cc1 ZINC000616141881 365188333 /nfs/dbraw/zinc/18/83/33/365188333.db2.gz SVLBFJDQBMPRLU-UHFFFAOYSA-N 0 1 259.309 0.682 20 30 CCEDMN C=CCNC(=O)CN1CCC(N2CCOCC2)CC1 ZINC000625550926 368242427 /nfs/dbraw/zinc/24/24/27/368242427.db2.gz BULWAWZVUGQDJU-UHFFFAOYSA-N 0 1 267.373 0.085 20 30 CCEDMN C=CCOCCNC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000626404186 368536906 /nfs/dbraw/zinc/53/69/06/368536906.db2.gz VKJQISGTOWSYKY-UHFFFAOYSA-N 0 1 299.352 0.386 20 30 CCEDMN C=CCCCCCN(C)C(=O)C(=O)NC[C@@H]1COCCN1 ZINC000627469679 369013522 /nfs/dbraw/zinc/01/35/22/369013522.db2.gz BFZDFEHVFBEAIQ-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)CCc1nn[nH]n1 ZINC000632840597 371295477 /nfs/dbraw/zinc/29/54/77/371295477.db2.gz HJBSDODETYWTAM-BXKDBHETSA-N 0 1 265.317 0.230 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)C(C)(C)CN1CCOCC1 ZINC000635765513 372876907 /nfs/dbraw/zinc/87/69/07/372876907.db2.gz GODFALYJARHKBO-CYBMUJFWSA-N 0 1 284.400 0.646 20 30 CCEDMN C=CCC1(NC(=O)CCCc2nn[nH]n2)CCOCC1 ZINC000636181671 373439445 /nfs/dbraw/zinc/43/94/45/373439445.db2.gz FYNPPXWOCWBKPU-UHFFFAOYSA-N 0 1 279.344 0.764 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N[C@H]1CCCN(C)C1 ZINC000637039979 373895345 /nfs/dbraw/zinc/89/53/45/373895345.db2.gz HFIHLKBSXPBWAM-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN COCC#CC[NH+]1CCC(c2n[n-]c(=N)o2)CC1 ZINC000639740991 375965931 /nfs/dbraw/zinc/96/59/31/375965931.db2.gz ZITLWTYIZNVFGU-UHFFFAOYSA-N 0 1 250.302 0.311 20 30 CCEDMN C=CCN(CC=C)C(=O)Cn1cc([C@@H](C)NC)nn1 ZINC000640791726 376542777 /nfs/dbraw/zinc/54/27/77/376542777.db2.gz JPOKXFZDSRLOEP-LLVKDONJSA-N 0 1 263.345 0.759 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000264464015 190111435 /nfs/dbraw/zinc/11/14/35/190111435.db2.gz FGPLWHRWLJZQNV-CHWSQXEVSA-N 0 1 297.399 0.424 20 30 CCEDMN C=CCC[C@@H]1NC(=O)N(Cc2n[nH]c(COC)n2)C1=O ZINC000656834736 412857044 /nfs/dbraw/zinc/85/70/44/412857044.db2.gz ZSRBHXNRZYPXKG-QMMMGPOBSA-N 0 1 279.300 0.338 20 30 CCEDMN C=CCC[C@H](O)Cn1cc([C@@H](N)[C@@H]2CCCO2)nn1 ZINC000641212657 376892335 /nfs/dbraw/zinc/89/23/35/376892335.db2.gz OCSMDWWEBXOFEY-WCFLWFBJSA-N 0 1 266.345 0.784 20 30 CCEDMN C#CCCN(C)CC(=O)N1CCC[C@@H](C(=O)OCC)C1 ZINC000265068907 190155919 /nfs/dbraw/zinc/15/59/19/190155919.db2.gz STVWSLXDACDORO-CYBMUJFWSA-N 0 1 280.368 0.743 20 30 CCEDMN CCN(CCO)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000265516439 190187387 /nfs/dbraw/zinc/18/73/87/190187387.db2.gz RZXZCNCXWZZKQH-AWEZNQCLSA-N 0 1 273.336 0.978 20 30 CCEDMN CNC(=O)CCN1CCN(c2cnccc2C#N)CC1 ZINC000518050681 249239710 /nfs/dbraw/zinc/23/97/10/249239710.db2.gz AUSANIRFDUFVPW-UHFFFAOYSA-N 0 1 273.340 0.211 20 30 CCEDMN C=CCCCN(C)C(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000265619376 190194464 /nfs/dbraw/zinc/19/44/64/190194464.db2.gz PNZRCTOOZLILND-CYBMUJFWSA-N 0 1 296.415 0.934 20 30 CCEDMN C=CCOCCCC(=O)NCCc1nc(C)n[nH]1 ZINC000267207291 190311734 /nfs/dbraw/zinc/31/17/34/190311734.db2.gz RMZUBOQNMNMKLX-UHFFFAOYSA-N 0 1 252.318 0.755 20 30 CCEDMN Cc1cccc(S(=O)(=O)N(C)Cc2nnc[nH]2)c1C#N ZINC000279307085 194377664 /nfs/dbraw/zinc/37/76/64/194377664.db2.gz DIRSHZFGOJSUTB-UHFFFAOYSA-N 0 1 291.336 0.806 20 30 CCEDMN CO[C@H](C)CN(C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000353219115 533080199 /nfs/dbraw/zinc/08/01/99/533080199.db2.gz MCSNJQLXMUYNSH-GHMZBOCLSA-N 0 1 253.346 0.904 20 30 CCEDMN C=CCCn1cc(CN[C@H]2CC[C@@H](C(N)=O)C2)nn1 ZINC000657484004 413003697 /nfs/dbraw/zinc/00/36/97/413003697.db2.gz IIEOVWKQSKYZOY-MNOVXSKESA-N 0 1 263.345 0.598 20 30 CCEDMN COC[C@](C)(CC(=O)OC)NCC(=O)NC1(C#N)CCC1 ZINC000346978450 533186490 /nfs/dbraw/zinc/18/64/90/533186490.db2.gz JHTHTCQSJCUDJZ-ZDUSSCGKSA-N 0 1 297.355 0.107 20 30 CCEDMN CCN(C)CCNS(=O)(=O)N(C)[C@@H](C)CC#N ZINC000332427473 260007714 /nfs/dbraw/zinc/00/77/14/260007714.db2.gz AKPFMBFQUBOOFF-JTQLQIEISA-N 0 1 262.379 0.006 20 30 CCEDMN C=CCCCCNC(=O)C(=O)NCc1cn[nH]c1C ZINC000283845999 388771157 /nfs/dbraw/zinc/77/11/57/388771157.db2.gz DGGXKZZEHSGUOS-UHFFFAOYSA-N 0 1 264.329 0.807 20 30 CCEDMN C=C(C)CS(=O)(=O)NCCCN1CCC(O)CC1 ZINC000184430755 388773970 /nfs/dbraw/zinc/77/39/70/388773970.db2.gz MEQLRNKNNIQROF-UHFFFAOYSA-N 0 1 276.402 0.329 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@@H](C)CN1CCN(C)CC1 ZINC000184435994 388773984 /nfs/dbraw/zinc/77/39/84/388773984.db2.gz ZRANRORUMPSHMR-CYBMUJFWSA-N 0 1 289.445 0.365 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCN(C)[C@H](Cc2ccccc2)C1 ZINC000284795548 388783859 /nfs/dbraw/zinc/78/38/59/388783859.db2.gz OZWCQLBBSLIDMV-NVXWUHKLSA-N 0 1 299.418 0.983 20 30 CCEDMN C[C@@H](N[C@H](C)c1ccc(C#N)cc1)C(=O)NC(N)=O ZINC000037502308 388846585 /nfs/dbraw/zinc/84/65/85/388846585.db2.gz PBGBEMLPFAEAHF-RKDXNWHRSA-N 0 1 260.297 0.792 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)c1c[nH]c(C#N)c1 ZINC000186743329 388849137 /nfs/dbraw/zinc/84/91/37/388849137.db2.gz RKKNIEJBYGUCEC-LLVKDONJSA-N 0 1 290.367 0.804 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(CC(N)=O)CC1 ZINC000048025610 388885383 /nfs/dbraw/zinc/88/53/83/388885383.db2.gz ZXQNWNDINFRKDI-JTQLQIEISA-N 0 1 253.346 0.265 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC(CC(N)=O)CC1 ZINC000048025655 388885742 /nfs/dbraw/zinc/88/57/42/388885742.db2.gz RCFHMLCFEFBBTB-LLVKDONJSA-N 0 1 280.372 0.334 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(CO)CC1 ZINC000046091526 388875769 /nfs/dbraw/zinc/87/57/69/388875769.db2.gz MOVSBNDVZIPVDW-JTQLQIEISA-N 0 1 269.345 0.091 20 30 CCEDMN C#CCNC(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000072488211 388965763 /nfs/dbraw/zinc/96/57/63/388965763.db2.gz MEEHGWNNZBFBHO-UHFFFAOYSA-N 0 1 279.384 0.954 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@@H](O)CC1 ZINC000293092949 388979260 /nfs/dbraw/zinc/97/92/60/388979260.db2.gz KMPOKYFHIIFYGR-WDEREUQCSA-N 0 1 269.345 0.234 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@@H]1CCCN(C)[C@@H]1C ZINC000336896125 389028431 /nfs/dbraw/zinc/02/84/31/389028431.db2.gz NFWIXWOHBFJHDC-VXGBXAGGSA-N 0 1 288.417 0.539 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@@H+](C)C1(CO)CCOCC1 ZINC000191019204 389003808 /nfs/dbraw/zinc/00/38/08/389003808.db2.gz LHFYABMDZMCFMH-UHFFFAOYSA-N 0 1 284.400 0.884 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@H]1CCCN(CC)C1 ZINC000336919772 389031718 /nfs/dbraw/zinc/03/17/18/389031718.db2.gz QLEQFJLJLYEXJE-LBPRGKRZSA-N 0 1 288.417 0.541 20 30 CCEDMN CC(C)[C@](C)(NCC(=O)Nc1cccc(C#N)c1)C(N)=O ZINC000297559823 389011951 /nfs/dbraw/zinc/01/19/51/389011951.db2.gz HATNSOSVPBAJMT-HNNXBMFYSA-N 0 1 288.351 0.986 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](O)COC(C)C)C1=O ZINC000337202465 389052958 /nfs/dbraw/zinc/05/29/58/389052958.db2.gz FDLGKMOFLBXNSI-CHWSQXEVSA-N 0 1 270.373 0.491 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C[C@@H](C)O)C[C@@H]2C)C1=O ZINC000337438094 389060925 /nfs/dbraw/zinc/06/09/25/389060925.db2.gz YAAPVLLFCJKJPZ-MJBXVCDLSA-N 0 1 281.400 0.160 20 30 CCEDMN CN1CCN(CCNC(=O)C2(C#N)CCCCC2)CC1 ZINC000194327632 389093091 /nfs/dbraw/zinc/09/30/91/389093091.db2.gz UORSQODVKOFHPU-UHFFFAOYSA-N 0 1 278.400 0.824 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C[C@@H]1CCC[C@H]1O ZINC000305295446 389086258 /nfs/dbraw/zinc/08/62/58/389086258.db2.gz GHWQMEJLOBFZCR-QWHCGFSZSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000336946608 389035147 /nfs/dbraw/zinc/03/51/47/389035147.db2.gz OMBFPOSDXGJLAA-NSHDSACASA-N 0 1 297.384 0.857 20 30 CCEDMN C#CCOc1ccccc1CN(CCO)CCCO ZINC000093324059 389037048 /nfs/dbraw/zinc/03/70/48/389037048.db2.gz KTPPZMDJESZYHN-UHFFFAOYSA-N 0 1 263.337 0.875 20 30 CCEDMN Cc1cc(NC(=O)CN2CCOC[C@H]2c2nnc[nH]2)on1 ZINC000328931564 389145719 /nfs/dbraw/zinc/14/57/19/389145719.db2.gz ZROHFVHXGLZNGT-VIFPVBQESA-N 0 1 292.299 0.763 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@H](C)N(C)[C@@H](C)C2)C1=O ZINC000339626436 389136576 /nfs/dbraw/zinc/13/65/76/389136576.db2.gz BMSARFHBCJEIQW-AVGNSLFASA-N 0 1 251.374 0.798 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(CCO)C1CCC1 ZINC000221192172 389139996 /nfs/dbraw/zinc/13/99/96/389139996.db2.gz HRYZBOIRIKOEKN-AWEZNQCLSA-N 0 1 267.373 0.888 20 30 CCEDMN O=C(NCCn1cccn1)N[C@@H]1CCO[C@@H]1C1CC1 ZINC000328841380 389141105 /nfs/dbraw/zinc/14/11/05/389141105.db2.gz PIMSGNFMHOCZTJ-VXGBXAGGSA-N 0 1 264.329 0.954 20 30 CCEDMN [O-]C(N[C@@H]1CCO[C@@H]1C1CC1)=[NH+]CCn1cccn1 ZINC000328841380 389141108 /nfs/dbraw/zinc/14/11/08/389141108.db2.gz PIMSGNFMHOCZTJ-VXGBXAGGSA-N 0 1 264.329 0.954 20 30 CCEDMN Cc1nc(C)c(C(=O)NC[C@H]2CN(C)CCN2C)nc1C ZINC000329639028 389181440 /nfs/dbraw/zinc/18/14/40/389181440.db2.gz WIMRAZJBKOCRSA-ZDUSSCGKSA-N 0 1 291.399 0.952 20 30 CCEDMN CC[C@@H](C(=O)NCCN1CCN(C)CC1)N1CCCC1=O ZINC000329675498 389186206 /nfs/dbraw/zinc/18/62/06/389186206.db2.gz UKTKAVCBKHVZPJ-ZDUSSCGKSA-N 0 1 296.415 0.591 20 30 CCEDMN COC[C@@H]1CN(C([O-])=[NH+][C@H]2CCc3[nH]cnc3C2)CCO1 ZINC000329687988 389188243 /nfs/dbraw/zinc/18/82/43/389188243.db2.gz FYJVWIRYNINQIZ-QWRGUYRKSA-N 0 1 294.355 0.528 20 30 CCEDMN CC(=O)NC1CN(C(=O)N[C@@H]2CCn3ccnc3C2)C1 ZINC000329844395 389206115 /nfs/dbraw/zinc/20/61/15/389206115.db2.gz PWJHNLUWQSRWTD-SNVBAGLBSA-N 0 1 277.328 0.773 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)CCSc1nnc(C)[nH]1 ZINC000342158039 389199203 /nfs/dbraw/zinc/19/92/03/389199203.db2.gz HVNLQBRSMHKLIS-SNVBAGLBSA-N 0 1 284.385 0.896 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N1CC[C@@H](N2CC=CC2)C1 ZINC000360573064 389199811 /nfs/dbraw/zinc/19/98/11/389199811.db2.gz ZJLQXPJUFZZUEH-GFCCVEGCSA-N 0 1 284.385 0.023 20 30 CCEDMN C=CCOC[C@@H](NC(=O)Cc1cc(C)n[nH]1)C(=O)OC ZINC000360739882 389202951 /nfs/dbraw/zinc/20/29/51/389202951.db2.gz UGCKZRSHLKAUEN-LLVKDONJSA-N 0 1 281.312 0.121 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)CCn2cccn2)C1 ZINC000329401869 389161896 /nfs/dbraw/zinc/16/18/96/389161896.db2.gz ZDLQTBUQGVZBMY-GFCCVEGCSA-N 0 1 265.361 0.476 20 30 CCEDMN C[C@@]1(O)CC[N@@H+](C[C@@H](O)COc2ccccc2C#N)C1 ZINC000235459204 389166235 /nfs/dbraw/zinc/16/62/35/389166235.db2.gz NPGGRFOPYWMSEY-UKRRQHHQSA-N 0 1 276.336 0.755 20 30 CCEDMN COCc1nc2n(n1)C[C@@H](NC(=O)N1CCC1)CC2 ZINC000329820760 389204629 /nfs/dbraw/zinc/20/46/29/389204629.db2.gz YJLQDNAFCYEYTE-VIFPVBQESA-N 0 1 265.317 0.359 20 30 CCEDMN CN(C([O-])=[NH+]C1Cc2ccccc2C1)[C@@H]1COC[C@H]1O ZINC000329531965 389169508 /nfs/dbraw/zinc/16/95/08/389169508.db2.gz JQQKVZASUFGZNO-ZIAGYGMSSA-N 0 1 276.336 0.759 20 30 CCEDMN Cc1nccn1CC[NH+]=C([O-])N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000329562837 389171261 /nfs/dbraw/zinc/17/12/61/389171261.db2.gz NFYFWTGMDUARHJ-AVGNSLFASA-N 0 1 278.356 0.808 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](CNC(=O)C(C)C)C1 ZINC000245389601 389204988 /nfs/dbraw/zinc/20/49/88/389204988.db2.gz IRLKCNOJQDEMSP-ZIAGYGMSSA-N 0 1 293.411 0.609 20 30 CCEDMN C[C@@H]1C(=O)NCCN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329627435 389178631 /nfs/dbraw/zinc/17/86/31/389178631.db2.gz PIFQKXHRNSYKFK-RKDXNWHRSA-N 0 1 277.328 0.842 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC[C@@](O)(C(N)=O)C1 ZINC000330570750 389242437 /nfs/dbraw/zinc/24/24/37/389242437.db2.gz ORWGAMYBQWKWKN-GWCFXTLKSA-N 0 1 282.344 0.109 20 30 CCEDMN C[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)[C@@H](C)CN1C ZINC000330602381 389245906 /nfs/dbraw/zinc/24/59/06/389245906.db2.gz WNENEBLDXBAMJB-KGLIPLIRSA-N 0 1 291.399 0.667 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H]([C@H](C)O)C1 ZINC000248609350 389247915 /nfs/dbraw/zinc/24/79/15/389247915.db2.gz VWSLPHCXVZNCRO-AGIUHOORSA-N 0 1 267.373 0.697 20 30 CCEDMN COC(=O)c1ncsc1S(=O)(=O)N[C@H](C)CC#N ZINC000134703288 389247975 /nfs/dbraw/zinc/24/79/75/389247975.db2.gz YSFWAUKNCHKGEC-ZCFIWIBFSA-N 0 1 289.338 0.510 20 30 CCEDMN COC(=O)[C@@H](NC(=O)C(C)C#N)c1cccc(O)c1 ZINC000343136932 389258587 /nfs/dbraw/zinc/25/85/87/389258587.db2.gz YXSWGKPWBPVKER-KCJUWKMLSA-N 0 1 262.265 0.882 20 30 CCEDMN C[C@@H](NC(=O)N1CCn2ncnc2C1)C1CCOCC1 ZINC000329916241 389210755 /nfs/dbraw/zinc/21/07/55/389210755.db2.gz DPUUXCOZZWMQGS-SNVBAGLBSA-N 0 1 279.344 0.823 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CCC[C@@](C)(O)CC2)C1 ZINC000329934791 389212423 /nfs/dbraw/zinc/21/24/23/389212423.db2.gz JZOVPLXOHDBLCG-UKRRQHHQSA-N 0 1 298.431 0.383 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCC[C@H]1C(=O)NC1CC1 ZINC000131582955 389215214 /nfs/dbraw/zinc/21/52/14/389215214.db2.gz VOHKTXWJKLZMQI-ZDUSSCGKSA-N 0 1 292.383 0.492 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N(C)C[C@H](C)O ZINC000361515443 389218242 /nfs/dbraw/zinc/21/82/42/389218242.db2.gz XIOOXQYJTSCJHE-LOWVWBTDSA-N 0 1 255.362 0.742 20 30 CCEDMN C=CC[C@@H](C)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000342483385 389218966 /nfs/dbraw/zinc/21/89/66/389218966.db2.gz DTRJGODZBWRXEF-VXGBXAGGSA-N 0 1 254.378 0.496 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@H](OCCO)C2)C1=O ZINC000330377390 389222254 /nfs/dbraw/zinc/22/22/54/389222254.db2.gz FGSAEQYBSOSMIW-CHWSQXEVSA-N 0 1 268.357 0.247 20 30 CCEDMN C=CCOCCCC(=O)NC[C@H]1C[N@H+](C)CCN1C ZINC000361807580 389225029 /nfs/dbraw/zinc/22/50/29/389225029.db2.gz BIFOJBUKLKQHEY-ZDUSSCGKSA-N 0 1 269.389 0.331 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC[C@@H](OCCO)C1 ZINC000246942662 389233488 /nfs/dbraw/zinc/23/34/88/389233488.db2.gz XSXZYFPCXJSGOE-QWHCGFSZSA-N 0 1 283.372 0.220 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000362416405 389236477 /nfs/dbraw/zinc/23/64/77/389236477.db2.gz QPLWQQWAOQFVCG-WFASDCNBSA-N 0 1 292.383 0.349 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@@]2(CCOC2)C1)C1CC1 ZINC000247360690 389238965 /nfs/dbraw/zinc/23/89/65/389238965.db2.gz OMTQHLPSGZCPQW-HUUCEWRRSA-N 0 1 277.368 0.907 20 30 CCEDMN CNC(=O)CCCN(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000154851560 389315773 /nfs/dbraw/zinc/31/57/73/389315773.db2.gz GAFZRZDPLLHMOL-AWEZNQCLSA-N 0 1 280.372 0.253 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCSC[C@@H](C(=O)OC)C1 ZINC000331914501 389316103 /nfs/dbraw/zinc/31/61/03/389316103.db2.gz GFXRZBMBFIEBLQ-QWRGUYRKSA-N 0 1 286.397 0.515 20 30 CCEDMN CCOC(=O)CCN(C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000346249368 389325227 /nfs/dbraw/zinc/32/52/27/389325227.db2.gz WLVLDXGDILUDKF-NSHDSACASA-N 0 1 281.356 0.822 20 30 CCEDMN CCOC(=O)CCN(C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000346249366 389325435 /nfs/dbraw/zinc/32/54/35/389325435.db2.gz WLVLDXGDILUDKF-LLVKDONJSA-N 0 1 281.356 0.822 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)C[C@H]1CCN(C(C)=O)C1 ZINC000156727788 389327334 /nfs/dbraw/zinc/32/73/34/389327334.db2.gz IXDYZBPUFJAVKP-OAHLLOKOSA-N 0 1 293.411 0.987 20 30 CCEDMN CN1CCN(c2cccc(C(=O)NCCCC#N)n2)CC1 ZINC000345142565 389290658 /nfs/dbraw/zinc/29/06/58/389290658.db2.gz CGIAYCUTKCVLJG-UHFFFAOYSA-N 0 1 287.367 0.867 20 30 CCEDMN COc1ccc(O)cc1C(=O)NCCN1CCN(C)CC1 ZINC000331238484 389301254 /nfs/dbraw/zinc/30/12/54/389301254.db2.gz VQSGECFPFDDCDT-UHFFFAOYSA-N 0 1 293.367 0.953 20 30 CCEDMN CC(C)c1[nH]nc(C(=O)N2CCOC[C@@H]2C#N)c1[N+](=O)[O-] ZINC000269334547 389413987 /nfs/dbraw/zinc/41/39/87/389413987.db2.gz AZSAUCCYEMDWFT-QMMMGPOBSA-N 0 1 293.283 0.806 20 30 CCEDMN C=CCCOCCCCC(=O)Nc1nn[nH]c1C(=O)NC ZINC000411093057 389414595 /nfs/dbraw/zinc/41/45/95/389414595.db2.gz BDBXOEPHDAVSTQ-UHFFFAOYSA-N 0 1 295.343 0.866 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@H](C)C(=O)N(C)CCC#N)[C@H]1C ZINC000347219430 389349432 /nfs/dbraw/zinc/34/94/32/389349432.db2.gz SJKORLROBFLPDQ-QJPTWQEYSA-N 0 1 281.356 0.630 20 30 CCEDMN CC(C)[C@H](C#N)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000264801659 389355564 /nfs/dbraw/zinc/35/55/64/389355564.db2.gz UFTXABUVOACKAS-VIFPVBQESA-N 0 1 287.327 0.453 20 30 CCEDMN CC(C)[C@@H](C#N)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000264801657 389355681 /nfs/dbraw/zinc/35/56/81/389355681.db2.gz UFTXABUVOACKAS-SECBINFHSA-N 0 1 287.327 0.453 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@@H]1CCCN(C)[C@@H]1C ZINC000347766387 389358250 /nfs/dbraw/zinc/35/82/50/389358250.db2.gz SATHRYWWAXZBQC-GHMZBOCLSA-N 0 1 253.346 0.230 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(c2cn[nH]c2)CC1 ZINC000372943430 389460502 /nfs/dbraw/zinc/46/05/02/389460502.db2.gz BJBOEUTXNBMWAS-LLVKDONJSA-N 0 1 260.341 0.727 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@@H](O)C[C@H]1COC ZINC000411926250 389463649 /nfs/dbraw/zinc/46/36/49/389463649.db2.gz VACQPBVUGATVRH-IHRRRGAJSA-N 0 1 282.384 0.657 20 30 CCEDMN N#CC1(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)CCCCC1 ZINC000370868636 389421477 /nfs/dbraw/zinc/42/14/77/389421477.db2.gz OIAOLWMZIBFKKP-SNVBAGLBSA-N 0 1 290.327 0.574 20 30 CCEDMN COC(=O)[C@]12CN(C[C@H](C#N)CCC#N)C[C@H]1COCC2 ZINC000373799908 389517069 /nfs/dbraw/zinc/51/70/69/389517069.db2.gz XDUPBIJLZBSZKJ-KCQAQPDRSA-N 0 1 291.351 0.941 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCCO[C@H](CC)C1 ZINC000281332055 389614430 /nfs/dbraw/zinc/61/44/30/389614430.db2.gz JKNIJRNFMYJINB-NWDGAFQWSA-N 0 1 283.372 0.888 20 30 CCEDMN C=CCn1cc(CNC(=O)Cc2cc(C)n[nH]2)nn1 ZINC000424908252 389635299 /nfs/dbraw/zinc/63/52/99/389635299.db2.gz NPDRSLPRXXNGOX-UHFFFAOYSA-N 0 1 260.301 0.355 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC000375151418 389643674 /nfs/dbraw/zinc/64/36/74/389643674.db2.gz JCPKHAURELZQDY-LLVKDONJSA-N 0 1 262.313 0.725 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000425312971 389644464 /nfs/dbraw/zinc/64/44/64/389644464.db2.gz ZSVUUMLQEHCLDL-SFYZADRCSA-N 0 1 250.258 0.641 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CSc1c[nH]nn1 ZINC000177380581 389580914 /nfs/dbraw/zinc/58/09/14/389580914.db2.gz UPXOUMOPMVGWNG-UHFFFAOYSA-N 0 1 264.314 0.553 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(=O)N(CC3CC3)C2)CC1 ZINC000279528721 389585259 /nfs/dbraw/zinc/58/52/59/389585259.db2.gz RHSWQXNVVPUIOM-UHFFFAOYSA-N 0 1 289.379 0.370 20 30 CCEDMN C=CCNC(=O)CNC(=O)NCCCc1cn[nH]c1C ZINC000182422111 389708072 /nfs/dbraw/zinc/70/80/72/389708072.db2.gz VAQRHVYAOFIOCD-UHFFFAOYSA-N 0 1 279.344 0.252 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000375815484 389716033 /nfs/dbraw/zinc/71/60/33/389716033.db2.gz PJGALTGGNWNUFE-MJBXVCDLSA-N 0 1 281.400 0.208 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@H](OCCOC)C1 ZINC000179338349 389653338 /nfs/dbraw/zinc/65/33/38/389653338.db2.gz HCWUCNBBTJVRLB-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NC1(c2nn[nH]n2)CC1 ZINC000427499063 389681874 /nfs/dbraw/zinc/68/18/74/389681874.db2.gz ZWIIRPQWDPXJPX-RKDXNWHRSA-N 0 1 263.301 0.286 20 30 CCEDMN C[C@@H]1NCCN(S(=O)(=O)c2cccnc2C#N)[C@H]1C ZINC000379452535 389761478 /nfs/dbraw/zinc/76/14/78/389761478.db2.gz KCEZAUCYNXGTPV-UWVGGRQHSA-N 0 1 280.353 0.324 20 30 CCEDMN C[C@@H]1CN(C(=O)CCCC#N)[C@@H](C)CN1CCO ZINC000416307029 389731197 /nfs/dbraw/zinc/73/11/97/389731197.db2.gz KHKNDFUUQHWUOY-NEPJUHHUSA-N 0 1 253.346 0.594 20 30 CCEDMN N#Cc1ccc(CNC(=O)CCc2nc[nH]n2)cc1 ZINC000429083859 389736473 /nfs/dbraw/zinc/73/64/73/389736473.db2.gz VMGFAAXEVCMQQR-UHFFFAOYSA-N 0 1 255.281 0.925 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N(CCN(C)C)Cc1ccco1 ZINC000416422053 389740576 /nfs/dbraw/zinc/74/05/76/389740576.db2.gz IGHOWLVONKQXPI-LLVKDONJSA-N 0 1 285.369 0.885 20 30 CCEDMN C[C@@H](NCC(=O)NCC#N)[C@@H]1OCCc2sccc21 ZINC000429166017 389742225 /nfs/dbraw/zinc/74/22/25/389742225.db2.gz GXJXLPHENCRWSQ-RNCFNFMXSA-N 0 1 279.365 0.980 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NCCN1CCC[C@@H](C)C1 ZINC000352412582 389806733 /nfs/dbraw/zinc/80/67/33/389806733.db2.gz GWXDCRLTJKUYLA-GHMZBOCLSA-N 0 1 259.375 0.550 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NC[C@H](C)N1CCC[C@@H](C)C1 ZINC000352412155 389806909 /nfs/dbraw/zinc/80/69/09/389806909.db2.gz CLKAUVHVSXGNDV-WOPDTQHZSA-N 0 1 273.402 0.938 20 30 CCEDMN N#Cc1cccc2c1CN(C(=O)[C@H]1CNCCO1)C2 ZINC000405089650 389813187 /nfs/dbraw/zinc/81/31/87/389813187.db2.gz MDYFOQWTUBJUNS-CYBMUJFWSA-N 0 1 257.293 0.389 20 30 CCEDMN N#Cc1ccc(CNC(=O)CN(CCO)CC2CC2)cc1 ZINC000352466522 389815464 /nfs/dbraw/zinc/81/54/64/389815464.db2.gz CWHANMNGASBCGF-UHFFFAOYSA-N 0 1 287.363 0.879 20 30 CCEDMN CCN1CCN(CC(=O)NC2(C#N)CCC2)[C@H](C)C1 ZINC000352660370 389834196 /nfs/dbraw/zinc/83/41/96/389834196.db2.gz NRUIOKNFHFKGBC-GFCCVEGCSA-N 0 1 264.373 0.575 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(CC)C[C@@H]2C)C1=O ZINC000352698123 389836055 /nfs/dbraw/zinc/83/60/55/389836055.db2.gz LWRSUZISHVSAJR-QWHCGFSZSA-N 0 1 251.374 0.799 20 30 CCEDMN CNC(=O)CC[C@@H]1CCCCN1CC(=O)NCC#N ZINC000353173685 389847045 /nfs/dbraw/zinc/84/70/45/389847045.db2.gz WEACPUSWKDOZNA-NSHDSACASA-N 0 1 266.345 0.007 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)C(=O)NCC1CCC(C#N)CC1 ZINC000418890407 389885248 /nfs/dbraw/zinc/88/52/48/389885248.db2.gz JTDDSDWFJJEBAJ-PNESKVBLSA-N 0 1 292.383 0.253 20 30 CCEDMN C=CCNC(=O)[C@H](C)N[C@H](COC)[C@@H]1CCCO1 ZINC000432653874 389886567 /nfs/dbraw/zinc/88/65/67/389886567.db2.gz OMQFIFOHBPNLKQ-TUAOUCFPSA-N 0 1 256.346 0.461 20 30 CCEDMN CCc1[nH]nc(C(=O)N(CC#N)CC(=O)OC)c1[N+](=O)[O-] ZINC000444244712 389897986 /nfs/dbraw/zinc/89/79/86/389897986.db2.gz JTSNMMDLVJOWEL-UHFFFAOYSA-N 0 1 295.255 0.019 20 30 CCEDMN CN(CCC(=O)N1CCN(C)CC1)CC(C)(C)C#N ZINC000433311500 389908267 /nfs/dbraw/zinc/90/82/67/389908267.db2.gz HNKFSXYNHMZBRC-UHFFFAOYSA-N 0 1 266.389 0.632 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC000435441452 389957542 /nfs/dbraw/zinc/95/75/42/389957542.db2.gz FTTCDWUORIVOPH-JQWIXIFHSA-N 0 1 258.387 0.965 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@H](COC)[C@H]1CCCO1 ZINC000434798897 389947056 /nfs/dbraw/zinc/94/70/56/389947056.db2.gz MSLDVUCJMNRLMB-HZSPNIEDSA-N 0 1 297.399 0.778 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)CN1CCN(CC)CC1 ZINC000437473389 389994055 /nfs/dbraw/zinc/99/40/55/389994055.db2.gz LXITYIBFYVOATO-GOEBONIOSA-N 0 1 295.427 0.721 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CCCN(C(C)=O)C1 ZINC000490619069 390106479 /nfs/dbraw/zinc/10/64/79/390106479.db2.gz ZQZFVNSCLWILMP-GJZGRUSLSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@]1(COC)CCOC1 ZINC000491374757 390112682 /nfs/dbraw/zinc/11/26/82/390112682.db2.gz SPKRCYZYKGVBLK-DZGCQCFKSA-N 0 1 281.352 0.680 20 30 CCEDMN C#CCN(C)CCCNC(=O)[C@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC000491748680 390116505 /nfs/dbraw/zinc/11/65/05/390116505.db2.gz YIBYECOYBDZMOA-XQQFMLRXSA-N 0 1 262.353 0.673 20 30 CCEDMN COCCN1C(=O)C[C@H](N2CCC(CC#N)CC2)C1=O ZINC000540977241 390233515 /nfs/dbraw/zinc/23/35/15/390233515.db2.gz IVTNEQDFIWHULV-LBPRGKRZSA-N 0 1 279.340 0.386 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000582231736 390270948 /nfs/dbraw/zinc/27/09/48/390270948.db2.gz UAIRZTURTCODCI-UTUOFQBUSA-N 0 1 268.361 0.243 20 30 CCEDMN C=CCN1CC[C@@H](N(C)c2nc3[nH]ncc3c(=O)[nH]2)C1=O ZINC000542843312 390274517 /nfs/dbraw/zinc/27/45/17/390274517.db2.gz SAJDTFRNHDIBLN-SECBINFHSA-N 0 1 288.311 0.282 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000645539672 390443362 /nfs/dbraw/zinc/44/33/62/390443362.db2.gz FIWDCUIOAFMDSD-JTQLQIEISA-N 0 1 293.371 0.676 20 30 CCEDMN Cc1nc([C@H](C)NS(=O)(=O)CC2(C#N)CCC2)n[nH]1 ZINC000516605135 390483589 /nfs/dbraw/zinc/48/35/89/390483589.db2.gz SPRUWSDWRGZDCL-QMMMGPOBSA-N 0 1 283.357 0.787 20 30 CCEDMN CC#CC[C@@H](CO)NS(=O)(=O)CCN(CC)CC ZINC000645884431 390519128 /nfs/dbraw/zinc/51/91/28/390519128.db2.gz FPSFLCXFPNWWCG-LBPRGKRZSA-N 0 1 276.402 0.022 20 30 CCEDMN C=C(C)[C@H](CO)N1CCN(CCOC(C)C)CC1 ZINC000649334362 390590126 /nfs/dbraw/zinc/59/01/26/390590126.db2.gz UFUUYEJHODNITF-AWEZNQCLSA-N 0 1 256.390 0.966 20 30 CCEDMN C[C@H](CNc1ccc(C#N)c(N)n1)N1CCN(C)CC1 ZINC000566477851 390619487 /nfs/dbraw/zinc/61/94/87/390619487.db2.gz IWWLVODXBOYNNK-LLVKDONJSA-N 0 1 274.372 0.005 20 30 CCEDMN Cc1nc([C@H]2CCN(c3cncc(C#N)n3)C2)n[nH]1 ZINC000566735315 390642435 /nfs/dbraw/zinc/64/24/35/390642435.db2.gz OBBHEQDVDWRGTP-VIFPVBQESA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@H]2CCN(c3cncc(C#N)n3)C2)[nH]1 ZINC000566735315 390642437 /nfs/dbraw/zinc/64/24/37/390642437.db2.gz OBBHEQDVDWRGTP-VIFPVBQESA-N 0 1 255.285 0.769 20 30 CCEDMN CN(CCNS(=O)(=O)CCC(C)(C)C#N)C1CC1 ZINC000569769729 390716451 /nfs/dbraw/zinc/71/64/51/390716451.db2.gz PCENKKXJZKYJBA-UHFFFAOYSA-N 0 1 273.402 0.940 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000570712105 390719321 /nfs/dbraw/zinc/71/93/21/390719321.db2.gz IMWGPKHDDQGDNC-MJBXVCDLSA-N 0 1 296.415 0.123 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC000570825210 390720228 /nfs/dbraw/zinc/72/02/28/390720228.db2.gz SLHHNNDOEPEORC-VIFPVBQESA-N 0 1 250.302 0.007 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NC[C@H]1CCN1C1CCCC1 ZINC000528548676 390756663 /nfs/dbraw/zinc/75/66/63/390756663.db2.gz JFHCUMWQUXHEPP-ZYHUDNBSSA-N 0 1 271.386 0.835 20 30 CCEDMN COc1ccc(C#N)cc1NC(=O)NC[C@H]1COCCN1 ZINC000528676742 390767263 /nfs/dbraw/zinc/76/72/63/390767263.db2.gz WNJGHCXQKZROPE-NSHDSACASA-N 0 1 290.323 0.677 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN3C(=O)CC[C@@H]3C2)CC1 ZINC000528434150 390744171 /nfs/dbraw/zinc/74/41/71/390744171.db2.gz VQIKYRXHHUAXKQ-VXGBXAGGSA-N 0 1 263.341 0.348 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN3CCOC[C@@H]3C2)CC1 ZINC000528446782 390744782 /nfs/dbraw/zinc/74/47/82/390744782.db2.gz VVGNLNQPHAFLNQ-STQMWFEESA-N 0 1 265.357 0.058 20 30 CCEDMN CC1(C)CN(C(=O)C(=O)N2CCC(CC#N)CC2)CCN1 ZINC000578126649 390865344 /nfs/dbraw/zinc/86/53/44/390865344.db2.gz MYQPUEDCRGIOCS-UHFFFAOYSA-N 0 1 292.383 0.349 20 30 CCEDMN N#CCCC1CCN(C(=O)C[C@@H]2COCCN2)CC1 ZINC000578324813 390877218 /nfs/dbraw/zinc/87/72/18/390877218.db2.gz XLVBEJMMLZULKD-CYBMUJFWSA-N 0 1 265.357 0.907 20 30 CCEDMN C[C@@H](O)CCN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000450487797 533615802 /nfs/dbraw/zinc/61/58/02/533615802.db2.gz WCKBSXBJDSASGC-NWDGAFQWSA-N 0 1 275.356 0.630 20 30 CCEDMN N#Cc1ccc(CN2CCCN([C@H]3CCNC3=O)CC2)cc1 ZINC000269252049 132142384 /nfs/dbraw/zinc/14/23/84/132142384.db2.gz ABTUVOPXTGZYAP-INIZCTEOSA-N 0 1 298.390 0.954 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccc(C#N)cn2)[C@@H](C)C1 ZINC000275405020 135106152 /nfs/dbraw/zinc/10/61/52/135106152.db2.gz RROQURUWXNPMJT-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+](C)CCOCCCOC ZINC000091102674 397399185 /nfs/dbraw/zinc/39/91/85/397399185.db2.gz NSZJCKBNXQYTRW-GFCCVEGCSA-N 0 1 256.346 0.109 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCOCCCOC ZINC000091102674 397399189 /nfs/dbraw/zinc/39/91/89/397399189.db2.gz NSZJCKBNXQYTRW-GFCCVEGCSA-N 0 1 256.346 0.109 20 30 CCEDMN N#Cc1ccc(NCC(=O)NCc2nnc[nH]2)cc1 ZINC000358573402 144163361 /nfs/dbraw/zinc/16/33/61/144163361.db2.gz NYKQTPZSRCPECI-UHFFFAOYSA-N 0 1 256.269 0.405 20 30 CCEDMN N#Cc1ccc(OC2CN(C(=O)[C@@H]3CC3[N+](=O)[O-])C2)cc1 ZINC000372803967 144381797 /nfs/dbraw/zinc/38/17/97/144381797.db2.gz PIIFXZJSJXEGOP-CHWSQXEVSA-N 0 1 287.275 0.813 20 30 CCEDMN N#Cc1ccncc1N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000373179209 144395570 /nfs/dbraw/zinc/39/55/70/144395570.db2.gz YBGXVBYLOCXYRP-ZFWWWQNUSA-N 0 1 272.352 0.989 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC[C@H]2CCOC2)CC1 ZINC000437592505 397535063 /nfs/dbraw/zinc/53/50/63/397535063.db2.gz RJFQPVZBCRBXJS-AWEZNQCLSA-N 0 1 281.400 0.333 20 30 CCEDMN CO[C@H]1C[C@@H](CO)N(C(=O)N[C@H]2CCn3ccnc3C2)C1 ZINC000329177554 286095358 /nfs/dbraw/zinc/09/53/58/286095358.db2.gz MANLITVAVQYLPF-SRVKXCTJSA-N 0 1 294.355 0.194 20 30 CCEDMN Cn1nnnc1N1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000551659830 286122736 /nfs/dbraw/zinc/12/27/36/286122736.db2.gz JCFFFGIMRCVHKT-UHFFFAOYSA-N 0 1 297.366 0.794 20 30 CCEDMN C=CCN(C(=O)[C@@H](N)COC(C)C)[C@H](C)COC ZINC000659339599 413435440 /nfs/dbraw/zinc/43/54/40/413435440.db2.gz JUXKNFIAKFUCKN-NEPJUHHUSA-N 0 1 258.362 0.788 20 30 CCEDMN C=CCCn1cc(CN2C[C@H](C)N(CCO)C[C@H]2C)nn1 ZINC000653766576 412343335 /nfs/dbraw/zinc/34/33/35/412343335.db2.gz JZAVVYCTOKAHEJ-UONOGXRCSA-N 0 1 293.415 0.741 20 30 CCEDMN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1ccc(C#N)cn1 ZINC000285280095 196239463 /nfs/dbraw/zinc/23/94/63/196239463.db2.gz QFQWZFHYVFKJTB-QMMMGPOBSA-N 0 1 282.325 0.172 20 30 CCEDMN N#Cc1ncn(CCNCc2cccnc2Cl)n1 ZINC000285343717 196255603 /nfs/dbraw/zinc/25/56/03/196255603.db2.gz AIUTURVSIQAVFS-UHFFFAOYSA-N 0 1 262.704 0.988 20 30 CCEDMN N#Cc1ncn(CCNCc2csc([N+](=O)[O-])c2)n1 ZINC000285449753 196287536 /nfs/dbraw/zinc/28/75/36/196287536.db2.gz IYZQHGQPVWCHIM-UHFFFAOYSA-N 0 1 278.297 0.909 20 30 CCEDMN C=CCCNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000660856121 414511560 /nfs/dbraw/zinc/51/15/60/414511560.db2.gz YKLOZYIUSKHJML-GFCCVEGCSA-N 0 1 254.378 0.498 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC(=O)N(C)CC1 ZINC000661807470 414677023 /nfs/dbraw/zinc/67/70/23/414677023.db2.gz DMSKWTIEJXHMQY-ZDUSSCGKSA-N 0 1 279.384 0.740 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1ccccc1F ZINC000662167961 414695164 /nfs/dbraw/zinc/69/51/64/414695164.db2.gz VIQUMTVXJKIEDS-LLVKDONJSA-N 0 1 252.289 0.578 20 30 CCEDMN C=CC[N@H+]([C@H](C)COC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662218077 414697342 /nfs/dbraw/zinc/69/73/42/414697342.db2.gz UWIBCQFRKJKYIA-MNOVXSKESA-N 0 1 270.329 0.195 20 30 CCEDMN C=CC[C@@H]1CCC[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662217250 414697500 /nfs/dbraw/zinc/69/75/00/414697500.db2.gz QWMYBEKMVGTZMY-VXGBXAGGSA-N 0 1 266.341 0.960 20 30 CCEDMN C=CCOCCCNC(=O)NCc1n[nH]c(CC)n1 ZINC000664971936 415503967 /nfs/dbraw/zinc/50/39/67/415503967.db2.gz XHEYQTHXZASKBM-UHFFFAOYSA-N 0 1 267.333 0.759 20 30 CCEDMN O=C(NCCn1cccn1)N1CCO[C@]2(CCCOC2)C1 ZINC000329250822 227382523 /nfs/dbraw/zinc/38/25/23/227382523.db2.gz XVKRDVNSRZWRCI-CQSZACIVSA-N 0 1 294.355 0.679 20 30 CCEDMN CN(C)CC(=O)N1CCN(C(=O)CC(C)(C)C#N)CC1 ZINC000350561562 227035339 /nfs/dbraw/zinc/03/53/39/227035339.db2.gz JUPCUFWUWTZRIG-UHFFFAOYSA-N 0 1 280.372 0.159 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2(C#N)CC2)[C@@H](C)C1 ZINC000575206974 335081087 /nfs/dbraw/zinc/08/10/87/335081087.db2.gz BMFQJSYZNFXNBD-RYUDHWBXSA-N 0 1 280.372 0.387 20 30 CCEDMN O=C(NCCN1CCCOCC1)[C@@H]1CCc2n[nH]nc2C1 ZINC000329028786 227263598 /nfs/dbraw/zinc/26/35/98/227263598.db2.gz KRHUPGSBCFHSHH-LLVKDONJSA-N 0 1 293.371 0.588 20 30 CCEDMN Cc1nnc(CCNC(=O)[C@@H](C)CN2CCOCC2)[nH]1 ZINC000329077895 227285584 /nfs/dbraw/zinc/28/55/84/227285584.db2.gz BIJSGGQUGBRJGX-JTQLQIEISA-N 0 1 281.360 0.580 20 30 CCEDMN CO[C@H]1C[C@@H](CO)N(C(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000329080497 227287147 /nfs/dbraw/zinc/28/71/47/227287147.db2.gz RGRFYUKUPZUVGC-SRVKXCTJSA-N 0 1 294.355 0.783 20 30 CCEDMN CCc1nn(C)cc1NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000329137701 227322715 /nfs/dbraw/zinc/32/27/15/227322715.db2.gz DJPMSKPPMPZSFV-LLVKDONJSA-N 0 1 294.403 0.554 20 30 CCEDMN COCc1ncc(C(=O)N[C@H]2CCN(C3CC3)C2)c(N)n1 ZINC000329970811 228003122 /nfs/dbraw/zinc/00/31/22/228003122.db2.gz VFSYRHHFQMBXBW-VIFPVBQESA-N 0 1 291.355 0.577 20 30 CCEDMN Cc1nnccc1NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000330156057 228051588 /nfs/dbraw/zinc/05/15/88/228051588.db2.gz OLGUPPWQDBOHRF-LLVKDONJSA-N 0 1 278.360 0.357 20 30 CCEDMN C[C@H](Cn1cncn1)NC(=O)N[C@@H]1CCOC[C@H]1C ZINC000330341226 228160198 /nfs/dbraw/zinc/16/01/98/228160198.db2.gz YZLZZKVRZHJQFB-GMTAPVOTSA-N 0 1 267.333 0.595 20 30 CCEDMN N#CCN1CCC(CNC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000332568155 228163365 /nfs/dbraw/zinc/16/33/65/228163365.db2.gz VDJCNSOWPGTTFD-UHFFFAOYSA-N 0 1 298.350 0.923 20 30 CCEDMN N#CC1(CCCN2CCn3c(n[nH]c3=O)C2)CCOCC1 ZINC000332566303 228163444 /nfs/dbraw/zinc/16/34/44/228163444.db2.gz UETVLPPHOOBAQW-UHFFFAOYSA-N 0 1 291.355 0.900 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(OCCCO)CC1 ZINC000305014814 544986596 /nfs/dbraw/zinc/98/65/96/544986596.db2.gz LPWRZIVLKNUSOP-GFCCVEGCSA-N 0 1 270.373 0.541 20 30 CCEDMN Cc1nccn1CC[NH+]=C([O-])N1CCCOCC1 ZINC000328811666 545019017 /nfs/dbraw/zinc/01/90/17/545019017.db2.gz MWGCCOBWXIYLEA-UHFFFAOYSA-N 0 1 252.318 0.828 20 30 CCEDMN C[N@@H+](CC(=O)NCc1n[nH]c(=O)[n-]1)[C@@H]1CCSC1 ZINC000328933554 545019975 /nfs/dbraw/zinc/01/99/75/545019975.db2.gz IQWFHPPODVVTLC-SSDOTTSWSA-N 0 1 271.346 0.404 20 30 CCEDMN C=CCOc1ccccc1CNCCS(=O)(=O)NC ZINC000221004942 260143424 /nfs/dbraw/zinc/14/34/24/260143424.db2.gz JZVKWBIWGKYVMG-UHFFFAOYSA-N 0 1 284.381 0.890 20 30 CCEDMN CN(CCC#N)S(=O)(=O)NC1CCN(C2CC2)CC1 ZINC000408155617 260302031 /nfs/dbraw/zinc/30/20/31/260302031.db2.gz SDGDULGJXAOVLO-UHFFFAOYSA-N 0 1 286.401 0.293 20 30 CCEDMN C#CCNC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000490893384 261238723 /nfs/dbraw/zinc/23/87/23/261238723.db2.gz TXDXUNGRVVQBIA-UHFFFAOYSA-N 0 1 258.325 0.196 20 30 CCEDMN N#Cc1cccc(CN2C[C@@H](CO)[C@H](CO)C2)c1F ZINC000528603603 269842792 /nfs/dbraw/zinc/84/27/92/269842792.db2.gz LWCIJDWCRVNAFK-STQMWFEESA-N 0 1 264.300 0.730 20 30 CCEDMN CC(C)(C)OC(=O)NC[C@H](O)CNC[C@H](C#N)CCC#N ZINC000497306442 262140650 /nfs/dbraw/zinc/14/06/50/262140650.db2.gz RENAAYZDFJCADS-NWDGAFQWSA-N 0 1 296.371 0.905 20 30 CCEDMN CC(C)(C)OC(=O)NC[C@@H](O)CNC[C@@H](C#N)CCC#N ZINC000497306441 262140712 /nfs/dbraw/zinc/14/07/12/262140712.db2.gz RENAAYZDFJCADS-NEPJUHHUSA-N 0 1 296.371 0.905 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCC[C@@H]1CCO)C1CC1 ZINC000311565853 262339092 /nfs/dbraw/zinc/33/90/92/262339092.db2.gz DQZBWEOGXCDWGA-TZMCWYRMSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@H](CC#N)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275632753 263033787 /nfs/dbraw/zinc/03/37/87/263033787.db2.gz UDIZNGPOUPJTNM-MRVPVSSYSA-N 0 1 258.285 0.842 20 30 CCEDMN CCOC(=O)CNC(=O)C(C#N)Cc1ccc(C#N)cc1 ZINC000279498960 263055798 /nfs/dbraw/zinc/05/57/98/263055798.db2.gz JVOMVTKEHBZLRS-ZDUSSCGKSA-N 0 1 285.303 0.920 20 30 CCEDMN COC(=O)CNC(=O)C(C#N)Cc1ccc(C#N)cc1 ZINC000280128009 263059233 /nfs/dbraw/zinc/05/92/33/263059233.db2.gz YXTATQZCISVPGA-GFCCVEGCSA-N 0 1 271.276 0.530 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@H](O)[C@H](CC)C1 ZINC000341056504 263319841 /nfs/dbraw/zinc/31/98/41/263319841.db2.gz ZCRZZSNVWDKGBG-AXFHLTTASA-N 0 1 269.345 0.089 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)CCC(F)(F)F ZINC000341374056 263334599 /nfs/dbraw/zinc/33/45/99/263334599.db2.gz MWDRMJCDGGBKMD-UHFFFAOYSA-N 0 1 285.247 0.896 20 30 CCEDMN COCC1(C#N)CCN(CCN2CCOC[C@H]2C)CC1 ZINC000342000525 263375147 /nfs/dbraw/zinc/37/51/47/263375147.db2.gz WTHZJIJUAVVDQV-CQSZACIVSA-N 0 1 281.400 0.959 20 30 CCEDMN Cn1cc([C@H](NCC(=O)NCC#N)C(C)(C)C)cn1 ZINC000330703573 264018686 /nfs/dbraw/zinc/01/86/86/264018686.db2.gz LEAIOKUSIQRSMS-LBPRGKRZSA-N 0 1 263.345 0.737 20 30 CCEDMN Cn1cc([C@@H](NCC(=O)NCC#N)C(C)(C)C)cn1 ZINC000330703570 264018745 /nfs/dbraw/zinc/01/87/45/264018745.db2.gz LEAIOKUSIQRSMS-GFCCVEGCSA-N 0 1 263.345 0.737 20 30 CCEDMN C[C@H](C[S@](C)=O)NC(=O)N[C@H]1CCc2n[nH]cc2C1 ZINC000330822168 264047715 /nfs/dbraw/zinc/04/77/15/264047715.db2.gz GEQSRLKWKBZJJR-OYHJMPOTSA-N 0 1 284.385 0.538 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC[C@@H](c2nc[nH]n2)C1 ZINC000330870001 264058461 /nfs/dbraw/zinc/05/84/61/264058461.db2.gz QHCGWMGORDPDJX-ZWNOBZJWSA-N 0 1 264.329 0.838 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(CC2CC2)CC1 ZINC000052164448 264068367 /nfs/dbraw/zinc/06/83/67/264068367.db2.gz HXSJDBSBUVMEIH-UHFFFAOYSA-N 0 1 280.372 0.026 20 30 CCEDMN C[C@@H](CNC(=O)CCCC#N)N1CCN(C)CC1 ZINC000330908318 264074763 /nfs/dbraw/zinc/07/47/63/264074763.db2.gz WQYUWDDTFHXKIX-LBPRGKRZSA-N 0 1 252.362 0.432 20 30 CCEDMN N#CCCNC(=O)N1CCC[C@@H](Cn2ccnn2)C1 ZINC000331120967 264129936 /nfs/dbraw/zinc/12/99/36/264129936.db2.gz AYWCUYPFUPZMOJ-LLVKDONJSA-N 0 1 262.317 0.818 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N2CC[C@@H](CO)C2)n[nH]1 ZINC000331122350 264131069 /nfs/dbraw/zinc/13/10/69/264131069.db2.gz BSSQNJRUDRDUAU-GXSJLCMTSA-N 0 1 266.345 0.877 20 30 CCEDMN CNC(=O)NC(=O)[C@H](C)N1CCC([C@H](C)NC(C)=O)CC1 ZINC000331152108 264139563 /nfs/dbraw/zinc/13/95/63/264139563.db2.gz XHVCHWQJYBNJQL-UWVGGRQHSA-N 0 1 298.387 0.272 20 30 CCEDMN CCCNC(=O)NC(=O)CN1CC[C@H](OC)C[C@@H]1C ZINC000331271458 264168837 /nfs/dbraw/zinc/16/88/37/264168837.db2.gz NIQUBDUPUWCDAE-QWRGUYRKSA-N 0 1 271.361 0.926 20 30 CCEDMN COCCCCNC(=O)N1CCOC[C@H]1c1nnc[nH]1 ZINC000331247529 264179107 /nfs/dbraw/zinc/17/91/07/264179107.db2.gz WDWUDRZGVOZWMQ-JTQLQIEISA-N 0 1 283.332 0.519 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)NCCF)C1 ZINC000331258433 264183985 /nfs/dbraw/zinc/18/39/85/264183985.db2.gz ZIMQZJCQHWEDCL-NSHDSACASA-N 0 1 261.341 0.816 20 30 CCEDMN C[C@@H]1C[C@H](NS(=O)(=O)CCCC#N)CN1C1CC1 ZINC000126166046 264211088 /nfs/dbraw/zinc/21/10/88/264211088.db2.gz NTXJIDILLRUOCB-MNOVXSKESA-N 0 1 271.386 0.835 20 30 CCEDMN COCCO[C@H]1CCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000189662106 264317722 /nfs/dbraw/zinc/31/77/22/264317722.db2.gz HQHALLQZMJQOAP-STQMWFEESA-N 0 1 283.372 0.484 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](C)[C@@H](n2ccnc2)C1 ZINC000129590092 264328242 /nfs/dbraw/zinc/32/82/42/264328242.db2.gz KGUQJTAJYLKZDA-IHRRRGAJSA-N 0 1 274.368 0.904 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](OC)C1 ZINC000076343922 264337160 /nfs/dbraw/zinc/33/71/60/264337160.db2.gz BEGAVCBGHDAFFG-LBPRGKRZSA-N 0 1 253.346 0.859 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)CC1(CO)CC1 ZINC000190006374 264345232 /nfs/dbraw/zinc/34/52/32/264345232.db2.gz OTUUVCIXAJHDNG-ZDUSSCGKSA-N 0 1 265.357 0.499 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@@H](CC(N)=O)C2)CCCCC1 ZINC000367989081 267132780 /nfs/dbraw/zinc/13/27/80/267132780.db2.gz OFADWSIBFHUNJV-LBPRGKRZSA-N 0 1 292.383 0.526 20 30 CCEDMN Cn1ncc(C(=O)NCCN2CC=CCC2)c1C#N ZINC000527267354 268133140 /nfs/dbraw/zinc/13/31/40/268133140.db2.gz ZPLXLMOFEHXGPT-UHFFFAOYSA-N 0 1 259.313 0.283 20 30 CCEDMN N#Cc1ccc(CN2C[C@@H](CO)[C@H](CO)C2)s1 ZINC000528597662 268223576 /nfs/dbraw/zinc/22/35/76/268223576.db2.gz FFRCSACIFWIQJS-UWVGGRQHSA-N 0 1 252.339 0.652 20 30 CCEDMN Cc1nc([C@@H]2CN(c3ccncc3C#N)CCO2)n[nH]1 ZINC000363699342 279107168 /nfs/dbraw/zinc/10/71/68/279107168.db2.gz WHJCGPZTNGAWIP-LBPRGKRZSA-N 0 1 270.296 0.958 20 30 CCEDMN CN(C)Cc1ccc(C(=O)N2CCOC[C@H]2C#N)cn1 ZINC000339284627 328005053 /nfs/dbraw/zinc/00/50/53/328005053.db2.gz SISMYLJJDDBFEV-CYBMUJFWSA-N 0 1 274.324 0.508 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](CO)[C@H]1C ZINC000412065252 328103487 /nfs/dbraw/zinc/10/34/87/328103487.db2.gz CPQXFQJKNKXZBT-OLZOCXBDSA-N 0 1 267.373 0.841 20 30 CCEDMN CN1CCN(c2cc(C(=O)NCC3(C#N)CC3)ccn2)CC1 ZINC000571503419 328128886 /nfs/dbraw/zinc/12/88/86/328128886.db2.gz KCHHYHWLIHIUGD-UHFFFAOYSA-N 0 1 299.378 0.867 20 30 CCEDMN CCCCN(CCO)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000049800394 328378474 /nfs/dbraw/zinc/37/84/74/328378474.db2.gz GWFUXGOMDNGSBI-AWEZNQCLSA-N 0 1 267.373 0.889 20 30 CCEDMN CC[C@@H](CO)N(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000345827347 327257672 /nfs/dbraw/zinc/25/76/72/327257672.db2.gz HIKPYDCEWQPZBS-AAEUAGOBSA-N 0 1 253.346 0.498 20 30 CCEDMN OCCO/N=C/c1ccc(N2CCOCC2)cc1 ZINC000111997563 327283358 /nfs/dbraw/zinc/28/33/58/327283358.db2.gz ZXJQXAQVSQNVEV-SDNWHVSQSA-N 0 1 250.298 0.866 20 30 CCEDMN C=CCCCCN1CCO[C@@H](CNC(=O)NC)C1 ZINC000528624573 327382108 /nfs/dbraw/zinc/38/21/08/327382108.db2.gz VMHRZFRXZKETCV-LBPRGKRZSA-N 0 1 255.362 0.973 20 30 CCEDMN COC(=O)[C@@H](C)CC(=O)NC1(C#N)CCN(C)CC1 ZINC000532139658 328700460 /nfs/dbraw/zinc/70/04/60/328700460.db2.gz JFIZCVJVMXCFJY-JTQLQIEISA-N 0 1 267.329 0.290 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[C@H](CC(N)=O)C1 ZINC000377605606 329020143 /nfs/dbraw/zinc/02/01/43/329020143.db2.gz UNRKRPKAHUFXIF-CHWSQXEVSA-N 0 1 279.384 0.773 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCC)CC(=O)OC)CC1 ZINC000171538781 329076748 /nfs/dbraw/zinc/07/67/48/329076748.db2.gz UWFKXSBARCSODM-UHFFFAOYSA-N 0 1 280.368 0.743 20 30 CCEDMN C=CC1CCN(CCNS(=O)(=O)c2cnn(C)c2)CC1 ZINC000173723832 329147770 /nfs/dbraw/zinc/14/77/70/329147770.db2.gz QOFYBRUNFAOJKI-UHFFFAOYSA-N 0 1 298.412 0.596 20 30 CCEDMN C=C(C)CN(C)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000181425728 329236615 /nfs/dbraw/zinc/23/66/15/329236615.db2.gz ZGQCHGCNRDRFDW-CYBMUJFWSA-N 0 1 268.405 0.840 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000186083712 329337384 /nfs/dbraw/zinc/33/73/84/329337384.db2.gz SGQGPOMQZVWKBT-UONOGXRCSA-N 0 1 279.384 0.762 20 30 CCEDMN C=CCNC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000078410698 329958842 /nfs/dbraw/zinc/95/88/42/329958842.db2.gz QAHLYRPJZGZCHE-VXGBXAGGSA-N 0 1 255.362 0.971 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1C[C@H](C)NC[C@H]1C ZINC000423623218 330213070 /nfs/dbraw/zinc/21/30/70/330213070.db2.gz BOJMFBGJMWLOHT-NWDGAFQWSA-N 0 1 267.373 0.620 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)C2(C#N)CCC2)C1 ZINC000083798351 330359553 /nfs/dbraw/zinc/35/95/53/330359553.db2.gz RUGHCMAEJMHWJG-LLVKDONJSA-N 0 1 250.346 0.042 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000424584370 330389078 /nfs/dbraw/zinc/38/90/78/330389078.db2.gz OVXIJTGRLBXCTQ-UHFFFAOYSA-N 0 1 281.400 0.821 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCN1CC=CCC1 ZINC000451354768 331025462 /nfs/dbraw/zinc/02/54/62/331025462.db2.gz SPURDAKGHCQJES-OLZOCXBDSA-N 0 1 250.342 0.956 20 30 CCEDMN CO[C@H]1C[C@@H](CN(C)C(=O)[C@]2(C#N)C[C@@H]2C)N(C)C1 ZINC000451607322 331036450 /nfs/dbraw/zinc/03/64/50/331036450.db2.gz KNOWKBOBWXCECP-MNXVOIDGSA-N 0 1 265.357 0.714 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1C[C@@H](O)[C@H](OC)C1 ZINC000451749035 331041189 /nfs/dbraw/zinc/04/11/89/331041189.db2.gz KVCSBBHWGSEVJB-JHJVBQTASA-N 0 1 268.357 0.267 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NC[C@H](C)N(C)C ZINC000451940332 331047703 /nfs/dbraw/zinc/04/77/03/331047703.db2.gz XQVBIDSKSUDRHO-ZJUUUORDSA-N 0 1 262.379 0.005 20 30 CCEDMN C=CCn1cccc1C(=O)NCCN1CCC(O)CC1 ZINC000456582118 331195742 /nfs/dbraw/zinc/19/57/42/331195742.db2.gz LYRWQJKGBZJAPP-UHFFFAOYSA-N 0 1 277.368 0.861 20 30 CCEDMN CC#CCN(C)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000458427578 331251165 /nfs/dbraw/zinc/25/11/65/331251165.db2.gz LKMAXCDPTIMKCG-LBPRGKRZSA-N 0 1 253.346 0.372 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000488846983 332029206 /nfs/dbraw/zinc/02/92/06/332029206.db2.gz WOPGRZPTNMSWHK-VXGBXAGGSA-N 0 1 251.330 0.327 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H](C)CC(=O)OC ZINC000490872429 332123236 /nfs/dbraw/zinc/12/32/36/332123236.db2.gz FLTCFZVTHWZTJL-VXGBXAGGSA-N 0 1 267.325 0.826 20 30 CCEDMN C#CCNC(=O)CCN1CCO[C@]2(CCCOC2)C1 ZINC000491069205 332145459 /nfs/dbraw/zinc/14/54/59/332145459.db2.gz UOEJQKHDYQHFKH-CQSZACIVSA-N 0 1 266.341 0.007 20 30 CCEDMN C#CCNC(=O)CCN(CC(=O)OCC)C1CCCC1 ZINC000491201820 332164705 /nfs/dbraw/zinc/16/47/05/332164705.db2.gz JYPAKFCPBFIBFW-UHFFFAOYSA-N 0 1 280.368 0.934 20 30 CCEDMN C#CCNC(=O)CCN1CCNC(=O)[C@]1(C)CCC ZINC000491201848 332164772 /nfs/dbraw/zinc/16/47/72/332164772.db2.gz KHKOVTGJPBDXSZ-AWEZNQCLSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCNC(=O)[C@H](C)ON=C(N)CN1[C@H](C)CCC[C@H]1C ZINC000491210141 332166882 /nfs/dbraw/zinc/16/68/82/332166882.db2.gz QLOKDTGWGSSRIR-UPJWGTAASA-N 0 1 294.399 0.886 20 30 CCEDMN C#C[C@@H](NC(=O)NC[C@H]1CN(C)CCN1C)C(C)(C)C ZINC000491339131 332192953 /nfs/dbraw/zinc/19/29/53/332192953.db2.gz NUYGKHXBCJDWOD-QWHCGFSZSA-N 0 1 280.416 0.579 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H](C)C(=O)OCC)CC1 ZINC000491348525 332194191 /nfs/dbraw/zinc/19/41/91/332194191.db2.gz CWSUHGDWXFQWHU-LBPRGKRZSA-N 0 1 280.368 0.742 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NCCCCN1CCOCC1 ZINC000491351375 332195329 /nfs/dbraw/zinc/19/53/29/332195329.db2.gz SXDPMATUXKNZRL-CYBMUJFWSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)C[C@]1(O)CCc2ccccc21 ZINC000491386605 332202453 /nfs/dbraw/zinc/20/24/53/332202453.db2.gz VTMGBBJTGMGTLS-SUMWQHHRSA-N 0 1 286.375 0.890 20 30 CCEDMN C#CCN(C)C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000491440814 332212283 /nfs/dbraw/zinc/21/22/83/332212283.db2.gz RDBCSFIQJCQVEX-BETUJISGSA-N 0 1 267.373 0.760 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000491505869 332225000 /nfs/dbraw/zinc/22/50/00/332225000.db2.gz NLPIMULFRIVILO-ZWNOBZJWSA-N 0 1 276.340 0.693 20 30 CCEDMN C#CCNC(=O)CCNCc1ccc([C@H](C)O)c(C)n1 ZINC000491635276 332256396 /nfs/dbraw/zinc/25/63/96/332256396.db2.gz WAQFONCPIRBWIY-LBPRGKRZSA-N 0 1 275.352 0.672 20 30 CCEDMN C#C[C@H](C)N(C)CC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000491657552 332268819 /nfs/dbraw/zinc/26/88/19/332268819.db2.gz GLLUPCHLKWLTEN-LSDHHAIUSA-N 0 1 295.427 0.413 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CC[C@@H](c3cccnc3)C2)C1=O ZINC000491664470 332273306 /nfs/dbraw/zinc/27/33/06/332273306.db2.gz SZAIVFLBXDHFDT-ZIAGYGMSSA-N 0 1 283.331 0.632 20 30 CCEDMN C#CCNC(=O)CCN(CCC)[C@H](C)C(=O)OCC ZINC000491666257 332274637 /nfs/dbraw/zinc/27/46/37/332274637.db2.gz JSWZDZRKAGAHEH-GFCCVEGCSA-N 0 1 268.357 0.790 20 30 CCEDMN C#CCN(CC(=O)Nc1nn[nH]n1)[C@@H]1CCc2ccccc21 ZINC000491727953 332310783 /nfs/dbraw/zinc/31/07/83/332310783.db2.gz CPVNVPCSPKNXBH-CYBMUJFWSA-N 0 1 296.334 0.761 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000491731694 332313279 /nfs/dbraw/zinc/31/32/79/332313279.db2.gz JBJLQJSWCDSOOM-SECBINFHSA-N 0 1 272.312 0.269 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1ccc(C(C)=O)cc1 ZINC000491804351 332358157 /nfs/dbraw/zinc/35/81/57/332358157.db2.gz LMARVVSNBZMYOP-KGLIPLIRSA-N 0 1 277.320 0.213 20 30 CCEDMN C#CCNC(=O)CCNCc1cn2ccc(C)cc2n1 ZINC000491808183 332361120 /nfs/dbraw/zinc/36/11/20/332361120.db2.gz VMPPMPHTQQMBQL-UHFFFAOYSA-N 0 1 270.336 0.872 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCO)C2CC2)CC1 ZINC000305053677 332982295 /nfs/dbraw/zinc/98/22/95/332982295.db2.gz BEBZHJXJXVGYEG-UHFFFAOYSA-N 0 1 250.342 0.315 20 30 CCEDMN CO[C@H]1CN(CC(=O)N(CCC#N)CCC#N)CC[C@@H]1C ZINC000189365032 333191151 /nfs/dbraw/zinc/19/11/51/333191151.db2.gz PGXUNXZHDNIQSK-KBPBESRZSA-N 0 1 292.383 0.999 20 30 CCEDMN N#CCNC(=O)CN[C@@H]1CCO[C@H]1c1ccccc1 ZINC000191947345 333227474 /nfs/dbraw/zinc/22/74/74/333227474.db2.gz GAWFENIRTHBKPN-OCCSQVGLSA-N 0 1 259.309 0.746 20 30 CCEDMN C=CCCCCCN1CCN(CCS(N)(=O)=O)CC1 ZINC000193433031 333249835 /nfs/dbraw/zinc/24/98/35/333249835.db2.gz KLWGPGPFZDJUFR-UHFFFAOYSA-N 0 1 289.445 0.639 20 30 CCEDMN Cn1ccnc1N1CCN(C[C@@H](O)CC2(C#N)CC2)CC1 ZINC000528426608 333436250 /nfs/dbraw/zinc/43/62/50/333436250.db2.gz VGCLIASNNPHKAX-ZDUSSCGKSA-N 0 1 289.383 0.597 20 30 CCEDMN C=CCN1CC[C@H](n2[nH]c(SC)nc2=O)C1=O ZINC000539708352 333686623 /nfs/dbraw/zinc/68/66/23/333686623.db2.gz SUEMRWSBADJWBP-ZETCQYMHSA-N 0 1 254.315 0.253 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCCS2)CC1 ZINC000070436415 333810357 /nfs/dbraw/zinc/81/03/57/333810357.db2.gz XZUDOOIQKIQSDR-JTQLQIEISA-N 0 1 253.371 0.986 20 30 CCEDMN C=CCCN1CCN(CC(=O)N2CCCC2)CC1 ZINC000132375074 333978632 /nfs/dbraw/zinc/97/86/32/333978632.db2.gz KGXBDGJVEMSOMC-UHFFFAOYSA-N 0 1 251.374 0.803 20 30 CCEDMN C[C@@H]1C[C@@H](C(=O)NC2(C#N)CCN(C)CC2)CO1 ZINC000132463959 333980734 /nfs/dbraw/zinc/98/07/34/333980734.db2.gz HUQHYONLKHQPLJ-GHMZBOCLSA-N 0 1 251.330 0.516 20 30 CCEDMN N#CCSCCCN1CCN(CC(=O)NC2CC2)CC1 ZINC000080626039 334172656 /nfs/dbraw/zinc/17/26/56/334172656.db2.gz RQHQQUDIVBHPBZ-UHFFFAOYSA-N 0 1 296.440 0.529 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC[C@](C)(O)C1 ZINC000245960503 334225883 /nfs/dbraw/zinc/22/58/83/334225883.db2.gz USKXGHBDMZQBKP-YPMHNXCESA-N 0 1 253.346 0.594 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000182840773 335846159 /nfs/dbraw/zinc/84/61/59/335846159.db2.gz TZGXXSPTMKOJNW-UONOGXRCSA-N 0 1 294.399 0.900 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H](C)C(=O)OC)CC1 ZINC000068082677 518266461 /nfs/dbraw/zinc/26/64/61/518266461.db2.gz MKAVFNQYMNQKJZ-LBPRGKRZSA-N 0 1 280.368 0.599 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)N[C@H]2[C@@H]3COC[C@@H]32)n[nH]1 ZINC000329249107 534533601 /nfs/dbraw/zinc/53/36/01/534533601.db2.gz APHIABXHUJQKIU-ZLNHGNLKSA-N 0 1 251.290 0.323 20 30 CCEDMN CN(C)c1cc(C#N)cc(C(=O)N2CCc3[nH]nnc3C2)c1 ZINC000340868291 522113381 /nfs/dbraw/zinc/11/33/81/522113381.db2.gz JAHQEPCBBUVARL-UHFFFAOYSA-N 0 1 296.334 0.941 20 30 CCEDMN COC(=O)C(C)(C)CN[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000151811032 522791291 /nfs/dbraw/zinc/79/12/91/522791291.db2.gz IRWWJHZQHIHRHR-GFCCVEGCSA-N 0 1 289.335 0.873 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)NCCN1CCCOCC1 ZINC000451006240 534676682 /nfs/dbraw/zinc/67/66/82/534676682.db2.gz QVSZIEWJWGTEJB-UHFFFAOYSA-N 0 1 276.340 0.349 20 30 CCEDMN N#Cc1ncn(CC(=O)Nc2nc3ccc(F)cc3[nH]2)n1 ZINC000428044534 534832033 /nfs/dbraw/zinc/83/20/33/534832033.db2.gz UCCMDIRDENZINV-UHFFFAOYSA-N 0 1 285.242 0.804 20 30 CCEDMN C=CCCNC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000665943569 545110284 /nfs/dbraw/zinc/11/02/84/545110284.db2.gz WBPADBJWEAJMNS-VXGBXAGGSA-N 0 1 255.362 0.659 20 30 CCEDMN C=CCOCC(=O)N1CCCN(CCOC)CC1 ZINC000354895719 526692997 /nfs/dbraw/zinc/69/29/97/526692997.db2.gz INQANADAXKURFG-UHFFFAOYSA-N 0 1 256.346 0.370 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000667423152 545146891 /nfs/dbraw/zinc/14/68/91/545146891.db2.gz IMBJEEXLRBPODD-CHWSQXEVSA-N 0 1 268.357 0.247 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@H]1CCCOC1 ZINC000352203811 528354832 /nfs/dbraw/zinc/35/48/32/528354832.db2.gz VNLBTURSJHZWMV-LBPRGKRZSA-N 0 1 253.346 0.859 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)N[C@@H]2CC23CC3)[nH]1 ZINC000425795625 528546785 /nfs/dbraw/zinc/54/67/85/528546785.db2.gz GFGZGNCAGLLQJC-SNVBAGLBSA-N 0 1 287.323 0.365 20 30 CCEDMN CC(C)N1C[C@@H](NC(=O)CCc2nc[nH]n2)CC1=O ZINC000330263261 529084123 /nfs/dbraw/zinc/08/41/23/529084123.db2.gz WHHFPGYDXVGCSB-VIFPVBQESA-N 0 1 265.317 0.703 20 30 CCEDMN CC[C@H]1CN(CC)CCN1C(=O)Cn1cnc(C#N)n1 ZINC000456377526 529287391 /nfs/dbraw/zinc/28/73/91/529287391.db2.gz LOWKLNBUAWUFLN-NSHDSACASA-N 0 1 276.344 0.092 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC(N(CC)S(C)(=O)=O)CC1 ZINC000677479023 545366030 /nfs/dbraw/zinc/36/60/30/545366030.db2.gz IAVOHKFIIQMZDK-CYBMUJFWSA-N 0 1 290.429 0.669 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(Cc2nnc(C)[nH]2)CC1 ZINC000678890435 545399705 /nfs/dbraw/zinc/39/97/05/545399705.db2.gz GGFORTPOOODZDV-ZDUSSCGKSA-N 0 1 279.388 0.558 20 30 CCEDMN CC#CCCNC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000681542552 545455580 /nfs/dbraw/zinc/45/55/80/545455580.db2.gz OCDMAAMRIVTNDN-KBPBESRZSA-N 0 1 279.384 0.640 20 30 CCEDMN C=CCN(CCOC)CC[C@@H]1CCS(=O)(=O)C1 ZINC000683040857 545495115 /nfs/dbraw/zinc/49/51/15/545495115.db2.gz GZLDMOSFAHAAQZ-GFCCVEGCSA-N 0 1 261.387 0.946 20 30 CCEDMN C[C@H]1OC(=O)N[C@H]1C(=O)Nc1cc(C#N)ccc1O ZINC000788662816 581120996 /nfs/dbraw/zinc/12/09/96/581120996.db2.gz ZRNDNLZGOAPAPK-LHLIQPBNSA-N 0 1 261.237 0.699 20 30 CCEDMN C/C=C(/CC)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000789760382 581155786 /nfs/dbraw/zinc/15/57/86/581155786.db2.gz RTEHEFKMBBXZGO-GZOGOTFESA-N 0 1 277.324 0.985 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCN(c2cc(C#N)ccn2)CC1 ZINC000789888128 581160862 /nfs/dbraw/zinc/16/08/62/581160862.db2.gz PHRPVKQYYBOCMV-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN Cn1ccnc(N=NCc2cn(C(C)(C)C)nn2)c1=O ZINC000790166733 581178955 /nfs/dbraw/zinc/17/89/55/581178955.db2.gz AFENTKYQWQYKQD-UHFFFAOYSA-N 0 1 275.316 0.573 20 30 CCEDMN C#CCN1CCN(C(=O)c2cn[nH]c2-c2cnn(C)c2)CC1 ZINC000780691417 581239947 /nfs/dbraw/zinc/23/99/47/581239947.db2.gz ZFYBWGADNNBCGY-UHFFFAOYSA-N 0 1 298.350 0.201 20 30 CCEDMN COC(=O)[C@H](C)CN(CC(=O)NCCC#N)C1CC1 ZINC000726844012 581254795 /nfs/dbraw/zinc/25/47/95/581254795.db2.gz LCPUVKIGOFJYMT-SNVBAGLBSA-N 0 1 267.329 0.290 20 30 CCEDMN COC(=O)COc1ccc(C=NNC2=NC[C@@H](C)N2)cc1 ZINC000782227755 581293665 /nfs/dbraw/zinc/29/36/65/581293665.db2.gz RTQXCDBQQFXGSR-SNVBAGLBSA-N 0 1 290.323 0.510 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@@H]2C[C@]2(CO)C1 ZINC000782605977 581312755 /nfs/dbraw/zinc/31/27/55/581312755.db2.gz GZNHJLUPNIQRTA-KCQAQPDRSA-N 0 1 264.369 0.890 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CCCOCC1)[C@H]1CCCO1 ZINC000782990032 581331828 /nfs/dbraw/zinc/33/18/28/581331828.db2.gz DCUBWAURHPXMAM-ZIAGYGMSSA-N 0 1 295.383 0.189 20 30 CCEDMN C#CCOc1ccc(NC(=O)C(=O)NCc2c[nH]nn2)cc1 ZINC000783935527 581367745 /nfs/dbraw/zinc/36/77/45/581367745.db2.gz BJCPSWXDIFTXRW-UHFFFAOYSA-N 0 1 299.290 0.072 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@H]1CCCc2nn(C)cc21 ZINC000794815254 581388758 /nfs/dbraw/zinc/38/87/58/581388758.db2.gz GSGNAZKFGROUES-LBPRGKRZSA-N 0 1 283.397 0.988 20 30 CCEDMN COCc1cc(C=NNc2ncnc3nc[nH]c32)no1 ZINC000794902404 581390417 /nfs/dbraw/zinc/39/04/17/581390417.db2.gz XHEFQYHBVLSQII-UHFFFAOYSA-N 0 1 273.256 0.933 20 30 CCEDMN C#C[C@@H](C)NC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000784849813 581396053 /nfs/dbraw/zinc/39/60/53/581396053.db2.gz XFYXCXLLJADPFH-SNVBAGLBSA-N 0 1 283.291 0.344 20 30 CCEDMN CC[C@H](C)[C@H](OC)C(=O)C(C#N)C(=O)NCCCOC ZINC000796634155 581446728 /nfs/dbraw/zinc/44/67/28/581446728.db2.gz XMLDDDGOWOSGLM-LOWVWBTDSA-N 0 1 284.356 0.909 20 30 CCEDMN C=C(C)[C@@H](CC(=O)OCc1nn(C)c(=O)[nH]1)OCC ZINC000765498286 581521212 /nfs/dbraw/zinc/52/12/12/581521212.db2.gz VAVQNIKYCCTLBU-SECBINFHSA-N 0 1 269.301 0.523 20 30 CCEDMN C#CCCNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000733854859 581526440 /nfs/dbraw/zinc/52/64/40/581526440.db2.gz UMTKQHFVSDCUAL-UHFFFAOYSA-N 0 1 283.291 0.345 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](NC(=O)[O-])[C@@H](C)C1 ZINC000739413767 581549570 /nfs/dbraw/zinc/54/95/70/581549570.db2.gz BZEQYXXNOFVPRS-RYUDHWBXSA-N 0 1 296.371 0.727 20 30 CCEDMN C(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1cn2cccnc2n1 ZINC000753934256 581565459 /nfs/dbraw/zinc/56/54/59/581565459.db2.gz HDNODDYYNBJPFV-TXEJJXNPSA-N 0 1 283.339 0.923 20 30 CCEDMN COC(=O)c1cc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)c[nH]1 ZINC000753941176 581566223 /nfs/dbraw/zinc/56/62/23/581566223.db2.gz YHGPLPBOZQDEHU-GHMZBOCLSA-N 0 1 289.339 0.995 20 30 CCEDMN C#CCCCN1CCN(CC(=O)N[C@@H](C)CC)CC1 ZINC000766895731 581585292 /nfs/dbraw/zinc/58/52/92/581585292.db2.gz GIHIBNHQAQWNPG-AWEZNQCLSA-N 0 1 265.401 0.932 20 30 CCEDMN C#CCNC(=O)CN[C@H](CCO)c1cccs1 ZINC000754389135 581589699 /nfs/dbraw/zinc/58/96/99/581589699.db2.gz ZRAHKBAQDDQYBC-SNVBAGLBSA-N 0 1 252.339 0.511 20 30 CCEDMN C[N@@H+](CCCNC(=O)[O-])CC(=O)N[C@](C)(C#N)C1CC1 ZINC000739681542 581592383 /nfs/dbraw/zinc/59/23/83/581592383.db2.gz GHSMHQZNUZKMGI-CYBMUJFWSA-N 0 1 282.344 0.384 20 30 CCEDMN N#CCOc1ccc(CC(=O)NCc2c[nH]nn2)cc1 ZINC000735042121 581605251 /nfs/dbraw/zinc/60/52/51/581605251.db2.gz MAQATZUUFDPUES-UHFFFAOYSA-N 0 1 271.280 0.566 20 30 CCEDMN C[NH+]1CCN(N=C2CCC[C@H]2CNC(=O)[O-])CC1 ZINC000739735874 581606573 /nfs/dbraw/zinc/60/65/73/581606573.db2.gz GAFDOBCMNZACID-JTQLQIEISA-N 0 1 254.334 0.658 20 30 CCEDMN COC(=O)N(C)CCCC(=O)C(C#N)C(=O)NC(C)C ZINC000729887766 581618187 /nfs/dbraw/zinc/61/81/87/581618187.db2.gz CAUWCBWJWJTJSP-SNVBAGLBSA-N 0 1 283.328 0.698 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1C=NN1CCOCC1 ZINC000755489941 581642084 /nfs/dbraw/zinc/64/20/84/581642084.db2.gz AKXZUXOCLYYUTD-UHFFFAOYSA-N 0 1 293.371 0.231 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](CCC)c2nn[nH]n2)nc1 ZINC000735390225 581642188 /nfs/dbraw/zinc/64/21/88/581642188.db2.gz TXNDKXNTGXDYOS-SNVBAGLBSA-N 0 1 270.296 0.847 20 30 CCEDMN N#C[C@H](C(=O)CC1CCOCC1)C(=O)NC1CC1 ZINC000735399519 581644289 /nfs/dbraw/zinc/64/42/89/581644289.db2.gz MZXBVAVVTGSPAN-LLVKDONJSA-N 0 1 250.298 0.791 20 30 CCEDMN C#CCCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000768142798 581645991 /nfs/dbraw/zinc/64/59/91/581645991.db2.gz HQSXFJVOMIBPDI-UHFFFAOYSA-N 0 1 296.348 0.396 20 30 CCEDMN C#CCOCCNC(=O)CCCCc1cn[nH]n1 ZINC000768726354 581653587 /nfs/dbraw/zinc/65/35/87/581653587.db2.gz XYTVTZYHTFYNDZ-UHFFFAOYSA-N 0 1 250.302 0.284 20 30 CCEDMN N#CCCNC(=O)C[N@H+]1CCC[C@H]2COCC[C@H]21 ZINC000756903054 581684123 /nfs/dbraw/zinc/68/41/23/581684123.db2.gz ZAAJADKVFQZOJF-NWDGAFQWSA-N 0 1 251.330 0.517 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)C[C@@H]1CCOC1 ZINC000740528961 581692108 /nfs/dbraw/zinc/69/21/08/581692108.db2.gz DLBOAKWCNPWJSO-NWDGAFQWSA-N 0 1 282.340 0.665 20 30 CCEDMN CC[C@@H]1CC[C@@H](C(=O)C(C#N)C(=O)NC2CC2)O1 ZINC000757307674 581700026 /nfs/dbraw/zinc/70/00/26/581700026.db2.gz XMRFQTRPVOXKGH-MXWKQRLJSA-N 0 1 250.298 0.931 20 30 CCEDMN COC(=O)NCCCC(=O)C(C#N)C(=O)NC(C)C ZINC000730920536 581746603 /nfs/dbraw/zinc/74/66/03/581746603.db2.gz NHZNZMXUGWQMBD-SECBINFHSA-N 0 1 269.301 0.356 20 30 CCEDMN CCS(=O)(=O)NCC(=O)Nc1cc(C#N)ccc1O ZINC000759713237 581817283 /nfs/dbraw/zinc/81/72/83/581817283.db2.gz JQAAGWYEWPWRPP-UHFFFAOYSA-N 0 1 283.309 0.142 20 30 CCEDMN COC[C@](C)(O)C(=O)Nc1cc(C#N)ccc1O ZINC000759720446 581817805 /nfs/dbraw/zinc/81/78/05/581817805.db2.gz FXESCWQKFUYZPB-LBPRGKRZSA-N 0 1 250.254 0.600 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)N2CCCC2=O)CC1 ZINC000772124412 581844119 /nfs/dbraw/zinc/84/41/19/581844119.db2.gz XTTLMDGMIFYAJX-UHFFFAOYSA-N 0 1 292.335 0.024 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H]2CCCNC2=O)CC1 ZINC000772134340 581844571 /nfs/dbraw/zinc/84/45/71/581844571.db2.gz SEYUWRUCHZLMKX-GFCCVEGCSA-N 0 1 264.325 0.153 20 30 CCEDMN COCCOCC(C)N=Nc1oc(C)nc1-c1nn[nH]n1 ZINC000737005548 581884060 /nfs/dbraw/zinc/88/40/60/581884060.db2.gz IDJCVOPCMVVKKA-UHFFFAOYSA-N 0 1 295.303 0.614 20 30 CCEDMN COC(=O)Cn1cc(C(C)=NN=c2cccc[nH]2)nn1 ZINC000772837428 581893123 /nfs/dbraw/zinc/89/31/23/581893123.db2.gz TXKAEDCBPQZMGW-UHFFFAOYSA-N 0 1 274.284 0.682 20 30 CCEDMN C=CCN1CC(=O)N(C[C@H]2CN3CCC[C@H]3CO2)C1=O ZINC000761201798 581895112 /nfs/dbraw/zinc/89/51/12/581895112.db2.gz QYHIREDTOYESIM-NWDGAFQWSA-N 0 1 279.340 0.300 20 30 CCEDMN CC[N@@H+](CCC(=O)N(C)CC(=O)[O-])C[C@@H](C)C#N ZINC000737094606 581902808 /nfs/dbraw/zinc/90/28/08/581902808.db2.gz YWFXBYOBJFFLMV-JTQLQIEISA-N 0 1 255.318 0.401 20 30 CCEDMN C#CCNCC(=O)NCc1nncn1-c1ccccc1 ZINC000746513173 581917464 /nfs/dbraw/zinc/91/74/64/581917464.db2.gz FLILPODHNVJCSX-UHFFFAOYSA-N 0 1 269.308 0.106 20 30 CCEDMN CC(=NNC(=N)N)c1nnn(-c2ccccc2)c1C ZINC000747602369 581946592 /nfs/dbraw/zinc/94/65/92/581946592.db2.gz LQRGXTQIUNFRKQ-UHFFFAOYSA-N 0 1 257.301 0.783 20 30 CCEDMN Cc1[nH]nc(C(=O)N2CCOC[C@@H]2C#N)c1Br ZINC000748342698 581969554 /nfs/dbraw/zinc/96/95/54/581969554.db2.gz PSRKOSAWWPVGOT-ZETCQYMHSA-N 0 1 299.128 0.845 20 30 CCEDMN N#Cc1ncn(-c2c3ccccc3nnc2-c2nn[nH]n2)n1 ZINC000737729734 581997105 /nfs/dbraw/zinc/99/71/05/581997105.db2.gz CJEJOFSEHORNPQ-UHFFFAOYSA-N 0 1 290.250 0.262 20 30 CCEDMN COc1ccc([C@@H](CCO)NCC(=O)NCCC#N)cc1 ZINC000774847207 581999439 /nfs/dbraw/zinc/99/94/39/581999439.db2.gz LZRUYMZVHIKGBU-CQSZACIVSA-N 0 1 291.351 0.738 20 30 CCEDMN C#C[C@@H](C)NC(=O)Cc1c(C)nc(-c2ncccn2)[nH]c1=O ZINC000775382492 582021973 /nfs/dbraw/zinc/02/19/73/582021973.db2.gz UYHPFVBBGPWOOJ-SECBINFHSA-N 0 1 297.318 0.628 20 30 CCEDMN N#Cc1ccc(N2CCN(CCCCO)CC2)cn1 ZINC000750182757 582037822 /nfs/dbraw/zinc/03/78/22/582037822.db2.gz YEFCDDFHBIHHDB-UHFFFAOYSA-N 0 1 260.341 0.848 20 30 CCEDMN C#C[C@@H](NCCn1c(C)csc1=O)[C@H]1CCCO1 ZINC000775789017 582038225 /nfs/dbraw/zinc/03/82/25/582038225.db2.gz AFBYKGGYGOKGGN-VXGBXAGGSA-N 0 1 266.366 0.989 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)c1nnc[nH]1)[C@@H]1CCCO1 ZINC000776224632 582061234 /nfs/dbraw/zinc/06/12/34/582061234.db2.gz MKBQKMKBZVKOTH-GUBZILKMSA-N 0 1 263.301 0.346 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)c1n[nH]c(CC)n1)[C@@H]1CCCO1 ZINC000776226342 582061803 /nfs/dbraw/zinc/06/18/03/582061803.db2.gz GVTLBFOWJRADKA-DCAQKATOSA-N 0 1 291.355 0.908 20 30 CCEDMN C=C(Br)CNC(=O)NCCN1CC[C@@H](O)C1 ZINC000875160239 613236972 /nfs/dbraw/zinc/23/69/72/613236972.db2.gz JNHFZEWOKZEPPK-SECBINFHSA-N 0 1 292.177 0.261 20 30 CCEDMN C#CCCCNC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000840314016 612981398 /nfs/dbraw/zinc/98/13/98/612981398.db2.gz CZEAVBJBRRYJTB-LBPRGKRZSA-N 0 1 281.356 0.146 20 30 CCEDMN CNC(=O)OC[C@H]1CCCCN1C[C@H](O)CC#N ZINC000930494984 616774995 /nfs/dbraw/zinc/77/49/95/616774995.db2.gz WKLROWBTDSHQLK-GHMZBOCLSA-N 0 1 255.318 0.471 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000054299577 597081744 /nfs/dbraw/zinc/08/17/44/597081744.db2.gz KBYOCPCVYSHZSL-JTQLQIEISA-N 0 1 283.328 0.185 20 30 CCEDMN C#CCCCS(=O)(=O)Nc1c(C#N)cnn1CC ZINC000840946805 612985392 /nfs/dbraw/zinc/98/53/92/612985392.db2.gz OOAMXJDIHLBNOT-UHFFFAOYSA-N 0 1 266.326 0.930 20 30 CCEDMN COc1cc(C(C)N=Nc2nnc(C)n2C)on1 ZINC000853430452 617173467 /nfs/dbraw/zinc/17/34/67/617173467.db2.gz ARFACWPVPSRGEI-UHFFFAOYSA-N 0 1 250.262 0.956 20 30 CCEDMN C#CCCCS(=O)(=O)Nc1c[nH]c(C(=O)OCC)n1 ZINC000840947267 612985573 /nfs/dbraw/zinc/98/55/73/612985573.db2.gz STCPTEDMKODXLA-UHFFFAOYSA-N 0 1 285.325 0.742 20 30 CCEDMN C#CCCN(CCOC)[C@@H](C)C(=O)NC(=O)NCC ZINC000852753060 612989808 /nfs/dbraw/zinc/98/98/08/612989808.db2.gz VIOHYPNTURNWHO-NSHDSACASA-N 0 1 269.345 0.192 20 30 CCEDMN CS(=O)(=O)NCC[C@H]1CCCCN1C[C@H](O)CC#N ZINC000809572028 617175500 /nfs/dbraw/zinc/17/55/00/617175500.db2.gz GXIUNUJNAVHMTL-VXGBXAGGSA-N 0 1 289.401 0.055 20 30 CCEDMN C#CC(C)(C)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000881889283 612946168 /nfs/dbraw/zinc/94/61/68/612946168.db2.gz XDWPNLWYZITRFU-UHFFFAOYSA-N 0 1 272.282 0.151 20 30 CCEDMN C#CCSCC(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000897640107 613009512 /nfs/dbraw/zinc/00/95/12/613009512.db2.gz IUYWROXSAACETD-SECBINFHSA-N 0 1 281.337 0.848 20 30 CCEDMN COCC[N@@H+](CCOc1ccc(C#N)cc1)CC(=O)[O-] ZINC000049607477 600394160 /nfs/dbraw/zinc/39/41/60/600394160.db2.gz IBZLUKBACUFCSC-UHFFFAOYSA-N 0 1 278.308 0.970 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC2(CCNC(=O)O2)CC1 ZINC000932767624 612947766 /nfs/dbraw/zinc/94/77/66/612947766.db2.gz ZNBFMUQSRNORMA-LLVKDONJSA-N 0 1 279.340 0.089 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](CN2CCCC2=O)C1 ZINC000852681032 612948043 /nfs/dbraw/zinc/94/80/43/612948043.db2.gz CSJBRDFQTDRTCQ-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1CCC(=O)[O-] ZINC000818112675 601664138 /nfs/dbraw/zinc/66/41/38/601664138.db2.gz HJEJEEOMMLWJJY-JTQLQIEISA-N 0 1 275.312 0.333 20 30 CCEDMN C#CCSCCNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000151861627 613016111 /nfs/dbraw/zinc/01/61/11/613016111.db2.gz PIUMNBZYIBDVQX-UHFFFAOYSA-N 0 1 299.440 0.451 20 30 CCEDMN C#CCSCCNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000828459908 613017864 /nfs/dbraw/zinc/01/78/64/613017864.db2.gz UCBHPOJUWLMLDR-JTQLQIEISA-N 0 1 263.366 0.997 20 30 CCEDMN C#CC1(NC(=O)NC[C@H]2CN(C)CCN2C)CCCC1 ZINC000883385333 612949952 /nfs/dbraw/zinc/94/99/52/612949952.db2.gz JWLWRGRONYLQGG-ZDUSSCGKSA-N 0 1 278.400 0.477 20 30 CCEDMN C#CC1(O)CCN(C[C@@H]2CN=C(c3cnn(C)c3)O2)CC1 ZINC000931151346 612952175 /nfs/dbraw/zinc/95/21/75/612952175.db2.gz LLMUOGWGZDIZPY-ZDUSSCGKSA-N 0 1 288.351 0.026 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@@H+](C)CCCc1[nH]nc(N)c1C#N ZINC000819889054 604924812 /nfs/dbraw/zinc/92/48/12/604924812.db2.gz JTYOOHAIPUADGR-ZETCQYMHSA-N 0 1 251.290 0.201 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC000888251997 613045708 /nfs/dbraw/zinc/04/57/08/613045708.db2.gz VDTZGRKYLUOFJK-ZIAGYGMSSA-N 0 1 278.400 0.287 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)NCc2n[nH]c(COC)n2)C1 ZINC000889345166 613045913 /nfs/dbraw/zinc/04/59/13/613045913.db2.gz FIQWNPFSKTTYMY-SNVBAGLBSA-N 0 1 277.328 0.506 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)NCc2nnc(COC)[nH]2)C1 ZINC000889345166 613045914 /nfs/dbraw/zinc/04/59/14/613045914.db2.gz FIQWNPFSKTTYMY-SNVBAGLBSA-N 0 1 277.328 0.506 20 30 CCEDMN C[C@@H]1[C@H](C)[N@@H+](CCC(=O)N(C)CCC#N)CCN1C(=O)[O-] ZINC000833826034 606044213 /nfs/dbraw/zinc/04/42/13/606044213.db2.gz FKQDXAVUKGWKHO-NWDGAFQWSA-N 0 1 296.371 0.821 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1ccc(Cl)nc1 ZINC000912227378 612956308 /nfs/dbraw/zinc/95/63/08/612956308.db2.gz XHHXANMMVROZKW-UHFFFAOYSA-N 0 1 251.717 0.916 20 30 CCEDMN C#CCNCC(=O)NCCNC(=O)c1ccc(Cl)cc1 ZINC000912221987 612956374 /nfs/dbraw/zinc/95/63/74/612956374.db2.gz UXRRTYJMZYSGHT-UHFFFAOYSA-N 0 1 293.754 0.409 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCC[C@H]2CCOC2)o1 ZINC000826323297 608228865 /nfs/dbraw/zinc/22/88/65/608228865.db2.gz YVFMJIRBNFWDCW-QMMMGPOBSA-N 0 1 277.288 0.987 20 30 CCEDMN C#CCN(CCC(=O)N1CCN(C)CC1)C1CSC1 ZINC000878909533 613107225 /nfs/dbraw/zinc/10/72/25/613107225.db2.gz FLIZUEZPVOHWCP-UHFFFAOYSA-N 0 1 281.425 0.201 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)NCc1cc(CC)[nH]n1 ZINC000838485496 613109982 /nfs/dbraw/zinc/10/99/82/613109982.db2.gz RELROSNNZUKYLK-GFCCVEGCSA-N 0 1 262.313 0.516 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896547448 612975813 /nfs/dbraw/zinc/97/58/13/612975813.db2.gz BPOQTZTTWYMDHH-KBPBESRZSA-N 0 1 265.401 0.931 20 30 CCEDMN C#CCOCCC(=O)N1C[C@@H](C)N(CC)[C@@H](C)C1 ZINC000880610421 612978947 /nfs/dbraw/zinc/97/89/47/612978947.db2.gz PLLYYZSUIYCMSL-BETUJISGSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H]1CC[N@@H+](CC2CC2)C1 ZINC000804729290 612980711 /nfs/dbraw/zinc/98/07/11/612980711.db2.gz ICKZHHNVRARYEQ-ZDUSSCGKSA-N 0 1 277.368 0.117 20 30 CCEDMN CSc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)co1 ZINC000876802973 617278827 /nfs/dbraw/zinc/27/88/27/617278827.db2.gz SZNAHPPWFQZQDU-BDAKNGLRSA-N 0 1 251.311 0.843 20 30 CCEDMN CSc1nsc(NC(=O)NCC#CCN(C)C)n1 ZINC000930297797 617286784 /nfs/dbraw/zinc/28/67/84/617286784.db2.gz ULMVRYHFGTYPQP-UHFFFAOYSA-N 0 1 285.398 0.947 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](N(C)C(=O)Cc2ccn[nH]2)C1 ZINC000939395690 617292537 /nfs/dbraw/zinc/29/25/37/617292537.db2.gz GENKTCITSOWPFM-JQWIXIFHSA-N 0 1 289.339 0.171 20 30 CCEDMN C#CC[NH2+]C1CC2(CC(NC(=O)c3[n-]nnc3C)C2)C1 ZINC000964601009 612954955 /nfs/dbraw/zinc/95/49/55/612954955.db2.gz ZPFGBXVLKPAJQK-UHFFFAOYSA-N 0 1 273.340 0.377 20 30 CCEDMN C#CC1(O)CN(C(=O)c2cc(-c3cccc(OC)c3)[nH]n2)C1 ZINC000890522337 612958871 /nfs/dbraw/zinc/95/88/71/612958871.db2.gz KIPKFYLWJOUYGX-UHFFFAOYSA-N 0 1 297.314 0.905 20 30 CCEDMN C#CC1CCN(CC(=O)N2CCC(C(=O)OC)CC2)CC1 ZINC000830301154 612964431 /nfs/dbraw/zinc/96/44/31/612964431.db2.gz GZODXAGIWZGVPB-UHFFFAOYSA-N 0 1 292.379 0.743 20 30 CCEDMN C#CCC(C)(C)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896550027 612966033 /nfs/dbraw/zinc/96/60/33/612966033.db2.gz WSKJYHQNNFOFIF-QWHCGFSZSA-N 0 1 265.401 0.786 20 30 CCEDMN C#CCC1(NC(=O)NC[C@@H]2CCN2CC)CCOCC1 ZINC000890055709 612969237 /nfs/dbraw/zinc/96/92/37/612969237.db2.gz FEXIJAVYMIBGTJ-ZDUSSCGKSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CCC1(NC(=O)NC[C@H]2CCN2CC)CCOCC1 ZINC000890055708 612969355 /nfs/dbraw/zinc/96/93/55/612969355.db2.gz FEXIJAVYMIBGTJ-CYBMUJFWSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CCC1(O)CCN(C(=O)[C@@H](C)CN2CCOCC2)CC1 ZINC000882526884 612972494 /nfs/dbraw/zinc/97/24/94/612972494.db2.gz SJRVGEKOYFXBHT-AWEZNQCLSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCC1(O)CCN(CC(=O)N2CCCCC2)CC1 ZINC000880478251 612973135 /nfs/dbraw/zinc/97/31/35/612973135.db2.gz YIYAJQRTZLZCOJ-UHFFFAOYSA-N 0 1 264.369 0.849 20 30 CCEDMN C#CCOCCC(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000819968552 612977895 /nfs/dbraw/zinc/97/78/95/612977895.db2.gz DSKOBAJZGQMYRH-SNVBAGLBSA-N 0 1 279.296 0.521 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC000827500106 612978155 /nfs/dbraw/zinc/97/81/55/612978155.db2.gz ZETJPCRTTMZYRW-CYBMUJFWSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCOCCC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833363893 612978270 /nfs/dbraw/zinc/97/82/70/612978270.db2.gz FLIBVOAWUKBVEZ-LBPRGKRZSA-N 0 1 282.340 0.034 20 30 CCEDMN C#CCOCCN1CCC[C@H](NC(=O)OCC)C1 ZINC000851729934 612983060 /nfs/dbraw/zinc/98/30/60/612983060.db2.gz SNDPWAAKXNNOBY-LBPRGKRZSA-N 0 1 254.330 0.847 20 30 CCEDMN C#CCOCCNC(=O)NCc1ccc2cncn2c1 ZINC000838547758 612987539 /nfs/dbraw/zinc/98/75/39/612987539.db2.gz GPCQZWHWOTULIO-UHFFFAOYSA-N 0 1 272.308 0.783 20 30 CCEDMN C#CCOCCNCCS(=O)(=O)c1cccc(C#N)c1 ZINC000819230637 612989381 /nfs/dbraw/zinc/98/93/81/612989381.db2.gz JTYPBHOOTUNKPE-UHFFFAOYSA-N 0 1 292.360 0.571 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H]1CC(=O)N(C[C@H]2CCC[N@H+]2CC)C1 ZINC000812786746 612992393 /nfs/dbraw/zinc/99/23/93/612992393.db2.gz UKBRQMSXJFCEHU-CHWSQXEVSA-N 0 1 293.367 0.000 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H]1CC(=O)N(C[C@H]2CCC[N@@H+]2CC)C1 ZINC000812786746 612992395 /nfs/dbraw/zinc/99/23/95/612992395.db2.gz UKBRQMSXJFCEHU-CHWSQXEVSA-N 0 1 293.367 0.000 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829235437 612998748 /nfs/dbraw/zinc/99/87/48/612998748.db2.gz JYIHVRHZWUAGBL-CQSZACIVSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCCN1CCC(NC(=O)[C@@H]2CCC(=O)C2)CC1 ZINC000843803478 612998812 /nfs/dbraw/zinc/99/88/12/612998812.db2.gz OLTFLVLRNGRIEN-GFCCVEGCSA-N 0 1 262.353 0.960 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2c(C)cnn2C)CC1 ZINC000981786035 613005388 /nfs/dbraw/zinc/00/53/88/613005388.db2.gz DUISEFXJVAWFRB-UHFFFAOYSA-N 0 1 274.368 0.900 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnc(OC)nc2)CC1 ZINC000983586290 613006479 /nfs/dbraw/zinc/00/64/79/613006479.db2.gz OXPBBQSTDSLCSX-UHFFFAOYSA-N 0 1 288.351 0.656 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2coc(C(N)=O)c2)CC1 ZINC000981685570 613006695 /nfs/dbraw/zinc/00/66/95/613006695.db2.gz UBTVTJVFCOPEPB-UHFFFAOYSA-N 0 1 289.335 0.550 20 30 CCEDMN C#CCOc1ccccc1C[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC000901900325 613007774 /nfs/dbraw/zinc/00/77/74/613007774.db2.gz FYBGKFIYSXRGMM-HNNXBMFYSA-N 0 1 275.304 0.720 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H]2CC[C@H](NC(=O)[O-])C2)CC1 ZINC000823444502 613009615 /nfs/dbraw/zinc/00/96/15/613009615.db2.gz KUHMNAIQHCAUPM-OLZOCXBDSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H](CC)C(=O)[O-])CC1 ZINC000911251603 613010004 /nfs/dbraw/zinc/01/00/04/613010004.db2.gz CKXZSJQRDKHYRK-NSHDSACASA-N 0 1 252.314 0.265 20 30 CCEDMN C#CCCN1CCN(C(=O)c2c[nH]cc3ncnc2-3)CC1 ZINC000895887122 613011215 /nfs/dbraw/zinc/01/12/15/613011215.db2.gz ZGPGNNODEBILKE-UHFFFAOYSA-N 0 1 283.335 0.739 20 30 CCEDMN C#CCCN1CCN(Cc2nc(C3CC3)nn2C)CC1 ZINC000828103697 613012024 /nfs/dbraw/zinc/01/20/24/613012024.db2.gz WTJVJCJUFSPPGI-UHFFFAOYSA-N 0 1 273.384 0.833 20 30 CCEDMN C#CCCN1CCOC2(CCN(C(=O)C(F)F)CC2)C1 ZINC000949262736 613012269 /nfs/dbraw/zinc/01/22/69/613012269.db2.gz CIDCCHJBPZCGGW-UHFFFAOYSA-N 0 1 286.322 0.968 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NCCN1CC=CCC1 ZINC000875917019 613020729 /nfs/dbraw/zinc/02/07/29/613020729.db2.gz RNZQYFWVQZXDQV-AWEZNQCLSA-N 0 1 279.384 0.976 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NC[C@@H]1CCN1C ZINC000923488564 613020854 /nfs/dbraw/zinc/02/08/54/613020854.db2.gz SLLIJEUHXZXIOO-RYUDHWBXSA-N 0 1 253.346 0.418 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1c[nH]c2c(C)cccc2c1=O ZINC000857262874 613028651 /nfs/dbraw/zinc/02/86/51/613028651.db2.gz KDWQUUVFBZEFMT-NSHDSACASA-N 0 1 284.315 0.951 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000876079766 613032432 /nfs/dbraw/zinc/03/24/32/613032432.db2.gz LREDQYJOYREEGK-QMMMGPOBSA-N 0 1 294.273 0.598 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)[C@]2(F)CCOC2)C(C)(C)C1 ZINC000974978060 613038601 /nfs/dbraw/zinc/03/86/01/613038601.db2.gz YGPBLRKQDZPVAJ-WFASDCNBSA-N 0 1 282.359 0.965 20 30 CCEDMN C#CC[C@@H](NC(=O)C(C)(C)CN1CCOCC1)C(=O)OC ZINC000823520679 613039225 /nfs/dbraw/zinc/03/92/25/613039225.db2.gz YCZJEPNICKBXMT-GFCCVEGCSA-N 0 1 296.367 0.026 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)[C@]2(C)C[C@H](O)CN2C)C1 ZINC000911514745 613044666 /nfs/dbraw/zinc/04/46/66/613044666.db2.gz ADSGWNOXPKMIFH-IPYPFGDCSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCCOC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000858952788 613050457 /nfs/dbraw/zinc/05/04/57/613050457.db2.gz NCDKWWYIMAEZPO-LBPRGKRZSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCCS(=O)(=O)NCCN1CCCC[C@H]1C ZINC000808281478 613054132 /nfs/dbraw/zinc/05/41/32/613054132.db2.gz HMGCFPSXOJXXAL-GFCCVEGCSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)NCc1cc(N(C)C)ccn1 ZINC000924668948 613056786 /nfs/dbraw/zinc/05/67/86/613056786.db2.gz STQIQVJEUXEINF-CQSZACIVSA-N 0 1 290.367 0.721 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1n[nH]nc1-c1ccccc1 ZINC000816669981 613057287 /nfs/dbraw/zinc/05/72/87/613057287.db2.gz ITBUFZFCNDSHMC-LLVKDONJSA-N 0 1 270.292 0.586 20 30 CCEDMN C#CC[C@H](CO)NCc1cnc(N2CCCC2)nc1 ZINC000883123516 613058918 /nfs/dbraw/zinc/05/89/18/613058918.db2.gz AJHFUFSPAGUQJY-CYBMUJFWSA-N 0 1 260.341 0.551 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000875927768 613061886 /nfs/dbraw/zinc/06/18/86/613061886.db2.gz HQZQDMCNBUIJBQ-CYBMUJFWSA-N 0 1 297.399 0.123 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000875926154 613062504 /nfs/dbraw/zinc/06/25/04/613062504.db2.gz BZZALAAOMLABCI-HZSPNIEDSA-N 0 1 297.399 0.121 20 30 CCEDMN C#CCN(C(=O)C(=O)NCc1cc(C)[nH]n1)C1CCCC1 ZINC000805739647 613065133 /nfs/dbraw/zinc/06/51/33/613065133.db2.gz CGTQZAUMTKUNMF-UHFFFAOYSA-N 0 1 288.351 0.739 20 30 CCEDMN C#CC[C@H](COC)NCc1cc2c(cn1)OCCO2 ZINC000877662094 613066307 /nfs/dbraw/zinc/06/63/07/613066307.db2.gz MTJYAJAURRIUJJ-LLVKDONJSA-N 0 1 262.309 0.981 20 30 CCEDMN C#CC[C@H](COC)NCc1cnn(CCOC)c1 ZINC000858335388 613066433 /nfs/dbraw/zinc/06/64/33/613066433.db2.gz SRPNAJZKLIKCQJ-CYBMUJFWSA-N 0 1 251.330 0.657 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CCc2c[nH+]c(C)n2C1)C(=O)[O-] ZINC000910049573 613069660 /nfs/dbraw/zinc/06/96/60/613069660.db2.gz XYFRKZJMEWNOTG-JQWIXIFHSA-N 0 1 275.308 0.347 20 30 CCEDMN C#CC[C@H]1CCN(CC(=O)NCC(=O)N2CCCC2)C1 ZINC000886355733 613074368 /nfs/dbraw/zinc/07/43/68/613074368.db2.gz MXPWRCPTNJBITQ-ZDUSSCGKSA-N 0 1 277.368 0.070 20 30 CCEDMN C#CCn1ccc(CN(CCOC)C(=O)[C@@H](C)N(C)C)n1 ZINC000822531057 613078937 /nfs/dbraw/zinc/07/89/37/613078937.db2.gz HWPDXENNZRLJRI-CYBMUJFWSA-N 0 1 292.383 0.441 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2CC(OC)C2)C1 ZINC000971746220 613080110 /nfs/dbraw/zinc/08/01/10/613080110.db2.gz AXLZVLDLVFWTRE-OTTFEQOBSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)c2ccnn2C)C1 ZINC000971555435 613082140 /nfs/dbraw/zinc/08/21/40/613082140.db2.gz FSEYSOHCMTWWQI-QWHCGFSZSA-N 0 1 274.368 0.690 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000854078142 613083371 /nfs/dbraw/zinc/08/33/71/613083371.db2.gz HZTDYSBSAMKDDE-RYUDHWBXSA-N 0 1 281.356 0.144 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)Cc2cnn(C)c2)C1 ZINC000972637276 613083512 /nfs/dbraw/zinc/08/35/12/613083512.db2.gz JUOBULMNATVJSD-UKRRQHHQSA-N 0 1 288.395 0.765 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC000972009283 613084236 /nfs/dbraw/zinc/08/42/36/613084236.db2.gz NZIOMLFTZAPOMQ-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn3ccc(C)nc23)C1 ZINC000972749622 613087826 /nfs/dbraw/zinc/08/78/26/613087826.db2.gz WKPJATQOWKMCLM-CYBMUJFWSA-N 0 1 297.362 0.817 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn3ccncc23)C1 ZINC000971689508 613088057 /nfs/dbraw/zinc/08/80/57/613088057.db2.gz JVBFZGDNVHZAHS-GFCCVEGCSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nccn2CC)C1 ZINC000971426050 613088553 /nfs/dbraw/zinc/08/85/53/613088553.db2.gz HGKJWPDDVGXUPM-GFCCVEGCSA-N 0 1 260.341 0.683 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC000980447362 613091817 /nfs/dbraw/zinc/09/18/17/613091817.db2.gz DTHZOWJOKICJTF-OCCSQVGLSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)Cn2ccc(C)n2)C1 ZINC000971600322 613092318 /nfs/dbraw/zinc/09/23/18/613092318.db2.gz SAHQLUBOOUFGJD-HIFRSBDPSA-N 0 1 288.395 0.994 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(OC)ccn2)C1 ZINC000972062470 613094448 /nfs/dbraw/zinc/09/44/48/613094448.db2.gz AXDCGELBXUEZGH-LBPRGKRZSA-N 0 1 273.336 0.870 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnc(OC)c2)C1 ZINC000971844581 613095681 /nfs/dbraw/zinc/09/56/81/613095681.db2.gz PSYPWHLVLJGPRO-ZDUSSCGKSA-N 0 1 273.336 0.870 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nccn2CC)C1 ZINC000971426051 613097176 /nfs/dbraw/zinc/09/71/76/613097176.db2.gz HGKJWPDDVGXUPM-LBPRGKRZSA-N 0 1 260.341 0.683 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nc3ncc(C)cn3n2)C1 ZINC000971759221 613097368 /nfs/dbraw/zinc/09/73/68/613097368.db2.gz PFKJJDZQLQUQLX-LBPRGKRZSA-N 0 1 298.350 0.212 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)NC1CC1 ZINC000922024706 613098177 /nfs/dbraw/zinc/09/81/77/613098177.db2.gz RYVMMMBUWHPKIT-UHFFFAOYSA-N 0 1 271.386 0.013 20 30 CCEDMN C#CC[N@@H+](CC(=O)NCC(=O)[O-])[C@@H]1CCc2ccccc21 ZINC000819700887 613102640 /nfs/dbraw/zinc/10/26/40/613102640.db2.gz NFTMNBYUNQGUEQ-CQSZACIVSA-N 0 1 286.331 0.810 20 30 CCEDMN C#CC[N@H+](CC(=O)NCC(=O)[O-])[C@@H]1CCc2ccccc21 ZINC000819700887 613102641 /nfs/dbraw/zinc/10/26/41/613102641.db2.gz NFTMNBYUNQGUEQ-CQSZACIVSA-N 0 1 286.331 0.810 20 30 CCEDMN C#CCN(CC(=O)NC(=O)NC1CC1)C(C)(C)C ZINC000932465615 613102654 /nfs/dbraw/zinc/10/26/54/613102654.db2.gz JTQCAANOWQRWSU-UHFFFAOYSA-N 0 1 251.330 0.708 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)C1(N(C)C)CC1 ZINC000855498251 613104031 /nfs/dbraw/zinc/10/40/31/613104031.db2.gz SIFQSZDDMKGPCA-UHFFFAOYSA-N 0 1 280.368 0.884 20 30 CCEDMN C#C[C@@H]1COCCN1Cc1n[nH]c(C)c1C(=O)OCC ZINC000852162444 613112059 /nfs/dbraw/zinc/11/20/59/613112059.db2.gz JYCOQDUCWQIDIU-LLVKDONJSA-N 0 1 277.324 0.729 20 30 CCEDMN C#C[C@H](CC)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000834381748 613122102 /nfs/dbraw/zinc/12/21/02/613122102.db2.gz TXOYLCLACWDLFR-MRVPVSSYSA-N 0 1 282.321 0.181 20 30 CCEDMN C#CCN1CC([C@H](C)NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC000969846646 613127183 /nfs/dbraw/zinc/12/71/83/613127183.db2.gz YNKORIXEUDVTGI-VIFPVBQESA-N 0 1 284.323 0.036 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(N(C)C(C)=O)C2)CC1 ZINC000953448561 613128783 /nfs/dbraw/zinc/12/87/83/613128783.db2.gz VRUSWHONZKAFFJ-UHFFFAOYSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H]2CN(C)C(C)=O)CC1 ZINC000977265532 613129655 /nfs/dbraw/zinc/12/96/55/613129655.db2.gz MHQBXPGDVUZMRA-HNNXBMFYSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H]3COC[C@]3(C)C2)CC1 ZINC000855837020 613129993 /nfs/dbraw/zinc/12/99/93/613129993.db2.gz FSXMJEGBGCTBGN-HOCLYGCPSA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2nc(CCO)cs2)CC1 ZINC000816706043 613131996 /nfs/dbraw/zinc/13/19/96/613131996.db2.gz GNJGNMWIYQXFGK-UHFFFAOYSA-N 0 1 293.392 0.962 20 30 CCEDMN C#CCN1CCC(NC(=O)CCC(=O)NCC)CC1 ZINC000928658013 613132815 /nfs/dbraw/zinc/13/28/15/613132815.db2.gz IXDAESYYQVKMKK-UHFFFAOYSA-N 0 1 265.357 0.117 20 30 CCEDMN C#C[C@H](NC(=O)CN1CCCC1)C1CCOCC1 ZINC000824693120 613133558 /nfs/dbraw/zinc/13/35/58/613133558.db2.gz LUHOMZSXOQVPDA-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H]2CCC(=O)NC2)CC1 ZINC000928649410 613133581 /nfs/dbraw/zinc/13/35/81/613133581.db2.gz KCSZDMXUGWQGHC-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cc(C)on2)CC1 ZINC000928655172 613133603 /nfs/dbraw/zinc/13/36/03/613133603.db2.gz MMASWZXPXIWCGC-UHFFFAOYSA-N 0 1 261.325 0.739 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCOCC=C)CC1 ZINC000921869855 613134046 /nfs/dbraw/zinc/13/40/46/613134046.db2.gz HLRDNQFJSJAUCZ-UHFFFAOYSA-N 0 1 265.357 0.586 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC(=O)N(CC)CC)CC1 ZINC000914395135 613134060 /nfs/dbraw/zinc/13/40/60/613134060.db2.gz CTZPVBPMFRZRER-UHFFFAOYSA-N 0 1 294.399 0.252 20 30 CCEDMN C#C[C@H](NC(=O)N(C)Cc1cnc[nH]1)C1CCOCC1 ZINC000852637943 613134584 /nfs/dbraw/zinc/13/45/84/613134584.db2.gz JNIOOVCRDXZCIZ-ZDUSSCGKSA-N 0 1 276.340 0.980 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ccnn2CC)CC1 ZINC000923356302 613134626 /nfs/dbraw/zinc/13/46/26/613134626.db2.gz KFQFPNATOISJFG-UHFFFAOYSA-N 0 1 289.383 0.800 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)C(=O)N(C)CC)CC1 ZINC000922159892 613135054 /nfs/dbraw/zinc/13/50/54/613135054.db2.gz IXQIGVSMRXCDEQ-GFCCVEGCSA-N 0 1 294.399 0.250 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)c2ncn(C)n2)CC1 ZINC000922109159 613135351 /nfs/dbraw/zinc/13/53/51/613135351.db2.gz YVFDQPJTGHWMDU-LLVKDONJSA-N 0 1 290.371 0.273 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCSC2)CC1 ZINC000928656570 613135723 /nfs/dbraw/zinc/13/57/23/613135723.db2.gz ZETCFEXBZDHETL-LLVKDONJSA-N 0 1 252.383 0.953 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](CC)NC(C)=O)CC1 ZINC000928660067 613135779 /nfs/dbraw/zinc/13/57/79/613135779.db2.gz GJNPFDYXAGYHOF-CYBMUJFWSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC000928649850 613135789 /nfs/dbraw/zinc/13/57/89/613135789.db2.gz TZRCCTBJKIQJKB-AAEUAGOBSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](CC(C)C)C(N)=O)CC1 ZINC000928648773 613135834 /nfs/dbraw/zinc/13/58/34/613135834.db2.gz BVNPHYLZPUUWHJ-ZDUSSCGKSA-N 0 1 279.384 0.348 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)CC1 ZINC000928650071 613136251 /nfs/dbraw/zinc/13/62/51/613136251.db2.gz XPTQVPQBLDBSKK-LSDHHAIUSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@]2(C)CCN(C)C2=O)CC1 ZINC000928648259 613136458 /nfs/dbraw/zinc/13/64/58/613136458.db2.gz HYCNMJZTLQFSFM-OAHLLOKOSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCO[C@H]2C)CC1 ZINC000928654337 613136665 /nfs/dbraw/zinc/13/66/65/613136665.db2.gz XGUKQGPSIWWYRD-AAEUAGOBSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(=O)n(C)c2)CC1 ZINC000928657055 613137173 /nfs/dbraw/zinc/13/71/73/613137173.db2.gz NXCNLXPHWZKNIP-UHFFFAOYSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(OC)ns2)CC1 ZINC000928649750 613137249 /nfs/dbraw/zinc/13/72/49/613137249.db2.gz QUBWLJGLMMCRRX-UHFFFAOYSA-N 0 1 279.365 0.979 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nccc(C)n2)CC1 ZINC000928653369 613138144 /nfs/dbraw/zinc/13/81/44/613138144.db2.gz JZVSGZGZEJOEMA-UHFFFAOYSA-N 0 1 258.325 0.612 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)CSC)CCO2 ZINC000949018169 613139587 /nfs/dbraw/zinc/13/95/87/613139587.db2.gz UQNRTZJYGXDBDB-UHFFFAOYSA-N 0 1 282.409 0.676 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)c1ncc[nH]1)CCO2 ZINC000949089834 613139925 /nfs/dbraw/zinc/13/99/25/613139925.db2.gz QKNPDRKAVLJWQO-UHFFFAOYSA-N 0 1 288.351 0.350 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cn3ccccc3=O)C2)C1 ZINC000982144415 613141338 /nfs/dbraw/zinc/14/13/38/613141338.db2.gz NLWQJNLHYIKXGI-UHFFFAOYSA-N 0 1 299.374 0.406 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CCO[C@H]3C)C2)C1 ZINC000982084164 613143166 /nfs/dbraw/zinc/14/31/66/613143166.db2.gz GBKULAKZPCJQDC-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CCCOC3)C2)C1 ZINC000981658813 613143437 /nfs/dbraw/zinc/14/34/37/613143437.db2.gz VMSKAZSVJYLPIL-AWEZNQCLSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3C[C@]34CCOC4)C2)C1 ZINC000982107101 613143448 /nfs/dbraw/zinc/14/34/48/613143448.db2.gz FGFLXYLQBPSEDF-PBHICJAKSA-N 0 1 288.391 0.971 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3C[C@@H]3C(=O)OC)C2)C1 ZINC000981666226 613143508 /nfs/dbraw/zinc/14/35/08/613143508.db2.gz MORCJOKDDAENMU-STQMWFEESA-N 0 1 290.363 0.353 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnn(C)c3)C2)C1 ZINC000981613153 613144581 /nfs/dbraw/zinc/14/45/81/613144581.db2.gz IBQNZFSBWNSPJR-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN C#CCN1CCCN(C(=O)[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000981164630 613153691 /nfs/dbraw/zinc/15/36/91/613153691.db2.gz DDQBTGBDZZUEFR-CQSZACIVSA-N 0 1 286.379 0.997 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000892871709 613154811 /nfs/dbraw/zinc/15/48/11/613154811.db2.gz OKRMQBQUYSWDNO-GJZGRUSLSA-N 0 1 292.427 0.820 20 30 CCEDMN C#CCN1CCCN(C(=O)c2c[nH]cc3ncnc2-3)CC1 ZINC000981207775 613159872 /nfs/dbraw/zinc/15/98/72/613159872.db2.gz WOQPEDZYGBELNM-UHFFFAOYSA-N 0 1 283.335 0.739 20 30 CCEDMN C#CCN1CCC[C@@H](NCc2ccc(S(N)(=O)=O)o2)C1 ZINC000886209078 613175640 /nfs/dbraw/zinc/17/56/40/613175640.db2.gz DEOAEMPXYUUAHF-LLVKDONJSA-N 0 1 297.380 0.114 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)[C@@H]2CN(C)CCN2C)cn1 ZINC000896558702 613188282 /nfs/dbraw/zinc/18/82/82/613188282.db2.gz BDUQQZFFYLOBIR-DOMZBBRYSA-N 0 1 286.379 0.427 20 30 CCEDMN C#Cc1ccc(CN2CCC[C@H]2CS(N)(=O)=O)cc1 ZINC000809576576 613193476 /nfs/dbraw/zinc/19/34/76/613193476.db2.gz NSQCNIOEHDNTBQ-AWEZNQCLSA-N 0 1 278.377 0.921 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CC(N2CCCC2)C1 ZINC000898609929 613194630 /nfs/dbraw/zinc/19/46/30/613194630.db2.gz GRGNQNIFLBVNII-AWEZNQCLSA-N 0 1 261.369 0.391 20 30 CCEDMN C#CCN1CCOC2(CCN(C(=O)c3ccn[nH]3)CC2)C1 ZINC000949307841 613210120 /nfs/dbraw/zinc/21/01/20/613210120.db2.gz RUXUNENIPRYUAL-UHFFFAOYSA-N 0 1 288.351 0.350 20 30 CCEDMN C#Cc1cnc(N2C[C@H](C)N(CCO)C[C@H]2C)nc1 ZINC000842046559 613210162 /nfs/dbraw/zinc/21/01/62/613210162.db2.gz ZKTHYILPWXXKRE-NWDGAFQWSA-N 0 1 260.341 0.349 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN(CCO)CC[C@@H]2C)c1 ZINC000968317303 613220579 /nfs/dbraw/zinc/22/05/79/613220579.db2.gz JIWIHTWCAYORSL-SWLSCSKDSA-N 0 1 287.363 0.495 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H](CO)CN2CCCCC2)c1 ZINC000840454936 613221396 /nfs/dbraw/zinc/22/13/96/613221396.db2.gz WELRTKODQMNJGK-HNNXBMFYSA-N 0 1 287.363 0.640 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3nncn3c2)C[C@H]1C ZINC000947810960 613236220 /nfs/dbraw/zinc/23/62/20/613236220.db2.gz TWRWZMCBOZVIPN-TZMCWYRMSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnnc2)C(C)(C)C1 ZINC000941054212 613239073 /nfs/dbraw/zinc/23/90/73/613239073.db2.gz DGDSHIYWOXTFLD-CYBMUJFWSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CCC)nn2)[C@H](C)C1 ZINC000943797316 613240877 /nfs/dbraw/zinc/24/08/77/613240877.db2.gz HIAQDFFRRGEIDP-CHWSQXEVSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CC=C)nn2)C[C@@H]1C ZINC000947791888 613240895 /nfs/dbraw/zinc/24/08/95/613240895.db2.gz HCONWCJDGLYMGG-QWHCGFSZSA-N 0 1 287.367 0.680 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3ncccc23)C[C@@H]1C ZINC000947400521 613242443 /nfs/dbraw/zinc/24/24/43/613242443.db2.gz YGNVLXRCAIYGND-QWHCGFSZSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnnn2CC)[C@@H](C)C1 ZINC000942023280 613242763 /nfs/dbraw/zinc/24/27/63/613242763.db2.gz YQUQTNGSQROGMS-NWDGAFQWSA-N 0 1 275.356 0.371 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncc(OC)cn2)C[C@@H]1C ZINC000947533940 613244009 /nfs/dbraw/zinc/24/40/09/613244009.db2.gz WEMPLCBSQAXIGE-NWDGAFQWSA-N 0 1 288.351 0.701 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC000950028248 613261944 /nfs/dbraw/zinc/26/19/44/613261944.db2.gz FICCRWWPTHZBMZ-UHFFFAOYSA-N 0 1 278.356 0.850 20 30 CCEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)CC(N)=O)C2)C1 ZINC000972302040 613263233 /nfs/dbraw/zinc/26/32/33/613263233.db2.gz QMKGBBLPQZZMNG-OAHLLOKOSA-N 0 1 295.383 0.131 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000940672227 613263693 /nfs/dbraw/zinc/26/36/93/613263693.db2.gz HWOIWUWIQKJSSF-STQMWFEESA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)no2)C[C@@H]1C ZINC000947210647 613267095 /nfs/dbraw/zinc/26/70/95/613267095.db2.gz JBAJCSBQPFWPLR-QWRGUYRKSA-N 0 1 277.324 0.899 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)n(C)n2)[C@H](C)C1 ZINC000942434426 613267373 /nfs/dbraw/zinc/26/73/73/613267373.db2.gz XUBAFRMEVFOMIN-NEPJUHHUSA-N 0 1 290.367 0.502 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)o2)[C@@H](C)C1 ZINC000942405852 613268752 /nfs/dbraw/zinc/26/87/52/613268752.db2.gz IFQNZHXYGUSZNE-QWRGUYRKSA-N 0 1 289.335 0.452 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CC)cn2)[C@H](C)C1 ZINC000944191248 613273606 /nfs/dbraw/zinc/27/36/06/613273606.db2.gz AEWGYJGHPBNPBW-OLZOCXBDSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)C[C@@H]1C ZINC000947399030 613274535 /nfs/dbraw/zinc/27/45/35/613274535.db2.gz BRTJVYLDGHJTQQ-JQWIXIFHSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2)C(C)(C)C1 ZINC000940566562 613275270 /nfs/dbraw/zinc/27/52/70/613275270.db2.gz PUPJFGSUOLGTDI-ZDUSSCGKSA-N 0 1 274.368 0.884 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(CC)n2)C[C@@H]1C ZINC000947287572 613275849 /nfs/dbraw/zinc/27/58/49/613275849.db2.gz XILBHDJFYLWHJP-RYUDHWBXSA-N 0 1 275.356 0.514 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnsn2)C[C@H]1C ZINC000947080117 613276752 /nfs/dbraw/zinc/27/67/52/613276752.db2.gz HBPZSYZHTKQKDJ-ZJUUUORDSA-N 0 1 264.354 0.754 20 30 CCEDMN C=C(C)CCNC(=O)NCCN1CCC(O)CC1 ZINC000895146755 613282030 /nfs/dbraw/zinc/28/20/30/613282030.db2.gz JRDVLIKVOLWWDU-UHFFFAOYSA-N 0 1 255.362 0.709 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cnccc2C)C1 ZINC000957953924 613287298 /nfs/dbraw/zinc/28/72/98/613287298.db2.gz UXBLVBQJTRZXIK-GXTWGEPZSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cocc2C)C1 ZINC000958313204 613288004 /nfs/dbraw/zinc/28/80/04/613288004.db2.gz LGSBWMQKZVSBAX-WCQYABFASA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccccc2C)[C@H](O)C1 ZINC000957834858 613289380 /nfs/dbraw/zinc/28/93/80/613289380.db2.gz ODERGAMLWJDBLS-UKRRQHHQSA-N 0 1 272.348 0.651 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc3[nH]ccc3c2)[C@H](O)C1 ZINC000958363493 613289714 /nfs/dbraw/zinc/28/97/14/613289714.db2.gz BYWCTPMCFCTOTC-GDBMZVCRSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccn3ccccc23)[C@H](O)C1 ZINC000958250212 613289783 /nfs/dbraw/zinc/28/97/83/613289783.db2.gz YNFZTQKPJHXNGD-CZUORRHYSA-N 0 1 297.358 0.595 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2conc2C2CC2)[C@H](O)C1 ZINC000958385100 613290933 /nfs/dbraw/zinc/29/09/33/613290933.db2.gz NMSLTPIQBJJJQM-DGCLKSJQSA-N 0 1 289.335 0.208 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000860158151 613294066 /nfs/dbraw/zinc/29/40/66/613294066.db2.gz IOIWBZRCSQYKSQ-YNEHKIRRSA-N 0 1 280.368 0.904 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(C)cncc2C)C1 ZINC000958263334 613294241 /nfs/dbraw/zinc/29/42/41/613294241.db2.gz PAODOISYVPINJJ-KBPBESRZSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)[nH]c2C)C1 ZINC000958438706 613294377 /nfs/dbraw/zinc/29/43/77/613294377.db2.gz LWMJFOUTTWSRTJ-JSGCOSHPSA-N 0 1 275.352 0.287 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C)c(F)c2)C1 ZINC000958235214 613294550 /nfs/dbraw/zinc/29/45/50/613294550.db2.gz HKRAVRRKZDEPFS-ZFWWWQNUSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C)cc2C)C1 ZINC000958644548 613295287 /nfs/dbraw/zinc/29/52/87/613295287.db2.gz JQTRLXAFHUDSPF-HOCLYGCPSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(COC)o2)C1 ZINC000958236339 613295318 /nfs/dbraw/zinc/29/53/18/613295318.db2.gz SRHPETYGFNLVPU-AAEUAGOBSA-N 0 1 292.335 0.082 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccsc2)C1 ZINC000957837707 613295783 /nfs/dbraw/zinc/29/57/83/613295783.db2.gz CTXXTSKMQVPBGR-RYUDHWBXSA-N 0 1 264.350 0.404 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2nc(Cl)cs2)C1 ZINC000958328676 613296133 /nfs/dbraw/zinc/29/61/33/613296133.db2.gz KYPLHVJOUHISRB-IUCAKERBSA-N 0 1 299.783 0.452 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2nnc(C)[nH]2)C(C)(C)C1 ZINC000974598043 613297587 /nfs/dbraw/zinc/29/75/87/613297587.db2.gz OUNHBUDWAPNPAE-NSHDSACASA-N 0 1 275.356 0.115 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cnc(C)o2)C(C)(C)C1 ZINC000974668400 613297998 /nfs/dbraw/zinc/29/79/98/613297998.db2.gz YMUWSBUUFJZVBL-ZDUSSCGKSA-N 0 1 275.352 0.985 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H](C)N2CCOCC2)C(C)(C)C1 ZINC000977683215 613298667 /nfs/dbraw/zinc/29/86/67/613298667.db2.gz PZEJIIMBNFOUFG-KBPBESRZSA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C(C)(C)C1 ZINC000974751225 613298753 /nfs/dbraw/zinc/29/87/53/613298753.db2.gz IUGNVMOHNGXVBD-OLZOCXBDSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c(C)noc2CC)C1 ZINC000958662272 613302459 /nfs/dbraw/zinc/30/24/59/613302459.db2.gz QGANINIWUHIBFP-NEPJUHHUSA-N 0 1 291.351 0.201 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(CCC)on2)C1 ZINC000958551405 613302863 /nfs/dbraw/zinc/30/28/63/613302863.db2.gz KWBOSJNZLHZZKE-RISCZKNCSA-N 0 1 291.351 0.283 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC000958214915 613303323 /nfs/dbraw/zinc/30/33/23/613303323.db2.gz OLBOTVOYVGLUOW-HIFRSBDPSA-N 0 1 299.374 0.615 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2CC[C@@H](NC(=O)c3[n-]nnc3C)C[C@@H]2C1 ZINC000980151084 613307494 /nfs/dbraw/zinc/30/74/94/613307494.db2.gz FBCTZJFVXMUZPM-YNEHKIRRSA-N 0 1 287.367 0.577 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CC[C@@H](NC(=O)c3[n-]nnc3C)C[C@@H]2C1 ZINC000980151084 613307495 /nfs/dbraw/zinc/30/74/95/613307495.db2.gz FBCTZJFVXMUZPM-YNEHKIRRSA-N 0 1 287.367 0.577 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)c1ccnn1C ZINC000959954068 613315153 /nfs/dbraw/zinc/31/51/53/613315153.db2.gz OJCOMXBFQOJZKS-CIQGVGRVSA-N 0 1 272.352 0.203 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc2ccccc2n1 ZINC000962322990 613315231 /nfs/dbraw/zinc/31/52/31/613315231.db2.gz JJUSJHCEZCNGHI-HALDLXJZSA-N 0 1 294.358 0.716 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)n[nH]c1C ZINC000962058537 613317584 /nfs/dbraw/zinc/31/75/84/613317584.db2.gz KYORLQILIYLECK-PJXYFTJBSA-N 0 1 258.325 0.320 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)sn1 ZINC000958686764 613317801 /nfs/dbraw/zinc/31/78/01/613317801.db2.gz SRUBEIKUORZTHE-IAZYJMLFSA-N 0 1 261.350 0.745 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(Cl)cn1C ZINC000960942073 613318042 /nfs/dbraw/zinc/31/80/42/613318042.db2.gz YMWCGIBHKXSWJL-PJXYFTJBSA-N 0 1 277.755 0.972 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(CCC)n1 ZINC000962281304 613322005 /nfs/dbraw/zinc/32/20/05/613322005.db2.gz PLXLDTKEPZNRJD-YABSGUDNSA-N 0 1 273.336 0.920 20 30 CCEDMN C#CCNC(=O)CCNCc1c(C)cc(C)nc1OC ZINC000819010981 613326710 /nfs/dbraw/zinc/32/67/10/613326710.db2.gz JNYQMLATVFHELZ-UHFFFAOYSA-N 0 1 275.352 0.936 20 30 CCEDMN C#CCNC(=O)C[NH+]1CCC(N(CC2CC2)C(=O)[O-])CC1 ZINC000823457105 613328332 /nfs/dbraw/zinc/32/83/32/613328332.db2.gz UYSPJLSJZKJFLB-UHFFFAOYSA-N 0 1 293.367 0.590 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000957992644 613339962 /nfs/dbraw/zinc/33/99/62/613339962.db2.gz ZBECTMOZQZADNN-DGCLKSJQSA-N 0 1 291.351 0.386 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000957992644 613339963 /nfs/dbraw/zinc/33/99/63/613339963.db2.gz ZBECTMOZQZADNN-DGCLKSJQSA-N 0 1 291.351 0.386 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2cnn(C)n2)C(C)(C)C1 ZINC000977340002 613342429 /nfs/dbraw/zinc/34/24/29/613342429.db2.gz XKFRHGVZRUHTAZ-LBPRGKRZSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992646 613342917 /nfs/dbraw/zinc/34/29/17/613342917.db2.gz ZBECTMOZQZADNN-YPMHNXCESA-N 0 1 291.351 0.386 20 30 CCEDMN C=C(C)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992646 613342918 /nfs/dbraw/zinc/34/29/18/613342918.db2.gz ZBECTMOZQZADNN-YPMHNXCESA-N 0 1 291.351 0.386 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)CN(C)[C@H]1CCSC1 ZINC000882897806 613354422 /nfs/dbraw/zinc/35/44/22/613354422.db2.gz TZGXQQMICFUPSI-RYUDHWBXSA-N 0 1 272.414 0.867 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)CN1CCN(CC)CC1 ZINC000882895622 613354542 /nfs/dbraw/zinc/35/45/42/613354542.db2.gz PVJJUDGRMXXQDQ-ZDUSSCGKSA-N 0 1 269.389 0.067 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)OCc1c[nH]nn1 ZINC000851017073 613359690 /nfs/dbraw/zinc/35/96/90/613359690.db2.gz AJBOFINSEZHMPB-JTQLQIEISA-N 0 1 252.274 0.319 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCN1CCC(O)CC1 ZINC000909272535 613361312 /nfs/dbraw/zinc/36/13/12/613361312.db2.gz KHIFJEMLDITVAZ-KBPBESRZSA-N 0 1 282.384 0.541 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)c3cnn(C)n3)[C@@H]2C1 ZINC000978841780 613382178 /nfs/dbraw/zinc/38/21/78/613382178.db2.gz PAWFGBSTBXJPMR-RTCCRHLQSA-N 0 1 295.774 0.475 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cn2ccnc2)C1 ZINC000970858276 613384879 /nfs/dbraw/zinc/38/48/79/613384879.db2.gz XAKVNVKRFKTAFB-LLVKDONJSA-N 0 1 268.748 0.826 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCCC(=O)N2)C1 ZINC000969026798 613385264 /nfs/dbraw/zinc/38/52/64/613385264.db2.gz RJRDKJWGBOEDDD-GHMZBOCLSA-N 0 1 285.775 0.598 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC000969970201 613385364 /nfs/dbraw/zinc/38/53/64/613385364.db2.gz NOMBFDYNIIJYQF-UTUOFQBUSA-N 0 1 299.802 0.654 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)Cn1cc[nH+]c1C)C(=O)[O-] ZINC000910266714 613390713 /nfs/dbraw/zinc/39/07/13/613390713.db2.gz OBJPKDQWCOSCKV-VIFPVBQESA-N 0 1 271.704 0.904 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)c1cncc2nc[nH]c21 ZINC000897307457 613403242 /nfs/dbraw/zinc/40/32/42/613403242.db2.gz PEDBBJJLMVABGC-SECBINFHSA-N 0 1 260.297 0.872 20 30 CCEDMN C=C1CCC(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)CC1 ZINC000900626000 613405448 /nfs/dbraw/zinc/40/54/48/613405448.db2.gz PDGXOOKJCOBMRR-NSHDSACASA-N 0 1 277.328 0.846 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN(C[C@@H](C)O)CC1 ZINC000878048590 613411297 /nfs/dbraw/zinc/41/12/97/613411297.db2.gz RPFWJRIPUURPFU-VXGBXAGGSA-N 0 1 256.346 0.103 20 30 CCEDMN C=C1CCN(C(=O)NCCCN2CCC[C@H]2C(N)=O)CC1 ZINC000895968719 613414517 /nfs/dbraw/zinc/41/45/17/613414517.db2.gz RIRWFQNRXFUDAW-ZDUSSCGKSA-N 0 1 294.399 0.688 20 30 CCEDMN C=CC[C@H](NC(=O)[C@@H]1CNCCO1)c1ccncc1 ZINC000829689873 613418701 /nfs/dbraw/zinc/41/87/01/613418701.db2.gz FASUEABDERYWQU-STQMWFEESA-N 0 1 261.325 0.803 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(C2CN(C[C@H](C)O)C2)CC1 ZINC000941515894 613433016 /nfs/dbraw/zinc/43/30/16/613433016.db2.gz HMKBZGUOTDOUTQ-ZDUSSCGKSA-N 0 1 295.427 0.408 20 30 CCEDMN C=CCn1cc(CNC2CCN(OC)CC2)nn1 ZINC000926616352 613435636 /nfs/dbraw/zinc/43/56/36/613435636.db2.gz UBXKQTLJCKRABA-UHFFFAOYSA-N 0 1 251.334 0.580 20 30 CCEDMN C=CCn1cc(CN[C@H]2CCO[C@]23CCOC3)nn1 ZINC000903192888 613436010 /nfs/dbraw/zinc/43/60/10/613436010.db2.gz RFMBYOAVARVUEG-STQMWFEESA-N 0 1 264.329 0.502 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCc1cc(N)ccn1 ZINC000891938817 613471355 /nfs/dbraw/zinc/47/13/55/613471355.db2.gz ZHVUCCIRTKMDLL-NEPJUHHUSA-N 0 1 262.313 0.807 20 30 CCEDMN C=CCCC[C@H]([NH3+])C(=O)[N-]S(=O)(=O)[C@H]1CCCOC1 ZINC000901038405 613472929 /nfs/dbraw/zinc/47/29/29/613472929.db2.gz FDAVNSNJJNDGHW-QWRGUYRKSA-N 0 1 290.385 0.295 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H]1CNC(=O)c1ncn[nH]1 ZINC000951590778 613475801 /nfs/dbraw/zinc/47/58/01/613475801.db2.gz NZALRPHMPOHNRW-HZMBPMFUSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H]1CNC(=O)c1nc[nH]n1 ZINC000951590778 613475802 /nfs/dbraw/zinc/47/58/02/613475802.db2.gz NZALRPHMPOHNRW-HZMBPMFUSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)CC)CC2)C1 ZINC000941255670 613482164 /nfs/dbraw/zinc/48/21/64/613482164.db2.gz HNUXODQPUQZGTG-UHFFFAOYSA-N 0 1 251.374 0.801 20 30 CCEDMN C=C[C@H](CO)NS(=O)(=O)c1cc2cn[nH]c2cc1C ZINC000867532656 613492977 /nfs/dbraw/zinc/49/29/77/613492977.db2.gz BITKRAMMHPICFY-SNVBAGLBSA-N 0 1 281.337 0.697 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CC(=O)N(C(C)C)C2)CC1 ZINC000948624789 613505191 /nfs/dbraw/zinc/50/51/91/613505191.db2.gz RXXFFGPUULPPJX-CQSZACIVSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](OC)[C@@H]2CCOC2)CC1 ZINC000949483607 613507755 /nfs/dbraw/zinc/50/77/55/613507755.db2.gz GXXABYCWZVVTEF-ZIAGYGMSSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2COCCN2CC)CC1 ZINC000949005242 613509227 /nfs/dbraw/zinc/50/92/27/613509227.db2.gz FRMFXHYJISMLSC-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)COC)C2)C1 ZINC000972192843 613513592 /nfs/dbraw/zinc/51/35/92/613513592.db2.gz BVXVJJTYBZSZKJ-AWEZNQCLSA-N 0 1 268.357 0.512 20 30 CCEDMN CC#CCN1CC(N(CC)C(=O)c2cc(C)[nH]n2)C1 ZINC000949737382 613526973 /nfs/dbraw/zinc/52/69/73/613526973.db2.gz KNONSAHPMNRCQD-UHFFFAOYSA-N 0 1 260.341 0.888 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2[nH]nnc2C)C1 ZINC000970257432 613531286 /nfs/dbraw/zinc/53/12/86/613531286.db2.gz YCGKAVXOWXSKBC-SECBINFHSA-N 0 1 261.329 0.187 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2csc(=O)[nH]2)C1 ZINC000970308424 613534576 /nfs/dbraw/zinc/53/45/76/613534576.db2.gz CYVIYMBDPZMRSZ-SECBINFHSA-N 0 1 279.365 0.922 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC000970411910 613536695 /nfs/dbraw/zinc/53/66/95/613536695.db2.gz LIZJQGSUUADKDU-GXTWGEPZSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC000969874950 613536710 /nfs/dbraw/zinc/53/67/10/613536710.db2.gz XKJJXEPGILMLRJ-OBJOEFQTSA-N 0 1 280.368 0.250 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cnc(C)n2C)C1 ZINC000970329412 613539995 /nfs/dbraw/zinc/53/99/95/613539995.db2.gz QCQHEVZOXUSEMK-NSHDSACASA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCCn1cc(C(=O)N2CC(N3CCCC3)C2)nn1 ZINC000898601457 613593187 /nfs/dbraw/zinc/59/31/87/613593187.db2.gz OTZHFAAHACSYQS-UHFFFAOYSA-N 0 1 275.356 0.774 20 30 CCEDMN C=CCN(C(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1)C1CC1 ZINC000909562476 613604637 /nfs/dbraw/zinc/60/46/37/613604637.db2.gz LSVNSGDTENZIKO-LLVKDONJSA-N 0 1 266.341 0.960 20 30 CCEDMN C=CCN(C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1)[C@H](C)COC ZINC000909954997 613604661 /nfs/dbraw/zinc/60/46/61/613604661.db2.gz PSWGBCMXYYHEKX-CHWSQXEVSA-N 0 1 298.383 0.833 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)C(C)(C)C1 ZINC000974958187 613609932 /nfs/dbraw/zinc/60/99/32/613609932.db2.gz CGLQAOUGQGCMDH-GFCCVEGCSA-N 0 1 288.351 0.233 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccnc(C)c2)C1 ZINC000958507970 613613332 /nfs/dbraw/zinc/61/33/32/613613332.db2.gz ZGOSDAMZYNIBLG-LSDHHAIUSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccoc2C)[C@H](O)C1 ZINC000957851129 613615265 /nfs/dbraw/zinc/61/52/65/613615265.db2.gz GFWBOXUXWXXJTN-TZMCWYRMSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccco2)[C@H](O)C1 ZINC000957830704 613615351 /nfs/dbraw/zinc/61/53/51/613615351.db2.gz PJTZUVODGVOZER-VXGBXAGGSA-N 0 1 262.309 0.325 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ocnc2CC)[C@H](O)C1 ZINC000958389329 613616325 /nfs/dbraw/zinc/61/63/25/613616325.db2.gz DUYLAIJYBFKTSN-DGCLKSJQSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C[C@H]2CCNC2=O)C(C)(C)C1 ZINC000974667455 613620933 /nfs/dbraw/zinc/62/09/33/613620933.db2.gz WFGQNEOPRSHQOM-OLZOCXBDSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(F)cn2)C1 ZINC000958000428 613624889 /nfs/dbraw/zinc/62/48/89/613624889.db2.gz WOAJCFQDWCYEFE-RISCZKNCSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(CC)o2)C1 ZINC000958191040 613625130 /nfs/dbraw/zinc/62/51/30/613625130.db2.gz RJOLPYBKCCLJJM-OCCSQVGLSA-N 0 1 290.363 0.888 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C)o2)C1 ZINC000957851938 613625215 /nfs/dbraw/zinc/62/52/15/613625215.db2.gz PBHGDHXOMGXAOG-OLZOCXBDSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cncc(C)c2)C1 ZINC000957953716 613625901 /nfs/dbraw/zinc/62/59/01/613625901.db2.gz QOCUWTNOZFNBHN-CABCVRRESA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2oncc2C)C1 ZINC000958563281 613626534 /nfs/dbraw/zinc/62/65/34/613626534.db2.gz LKLIJARGELVYNS-NEPJUHHUSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CC[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])[C@@H]2C1 ZINC000977979963 613631577 /nfs/dbraw/zinc/63/15/77/613631577.db2.gz MIXBWDPNLOLYPT-CNDDSTCGSA-N 0 1 288.351 0.355 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCCC(=O)N1 ZINC000960953208 613634526 /nfs/dbraw/zinc/63/45/26/613634526.db2.gz RUHBRYPECUKXRE-BCUIYNNISA-N 0 1 289.379 0.115 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cnccc1OC ZINC000960547208 613635724 /nfs/dbraw/zinc/63/57/24/613635724.db2.gz KLBTYNOXGPZNDL-HALDLXJZSA-N 0 1 299.374 0.702 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC000962649967 613636272 /nfs/dbraw/zinc/63/62/72/613636272.db2.gz CQDAOWOXCQKKPR-UYHMYPTGSA-N 0 1 298.390 0.584 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1OCC[C@H]1CC ZINC000960369254 613637626 /nfs/dbraw/zinc/63/76/26/613637626.db2.gz WSPJTGBWKKWSTL-MRLBHPIUSA-N 0 1 276.380 0.871 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(C)n1 ZINC000962587131 613641037 /nfs/dbraw/zinc/64/10/37/613641037.db2.gz JPRZPIZBLUEFKG-PJXYFTJBSA-N 0 1 258.325 0.103 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(CC)cn1 ZINC000962322791 613641600 /nfs/dbraw/zinc/64/16/00/613641600.db2.gz IPFREDMREPKEJF-IMRBUKKESA-N 0 1 272.352 0.586 20 30 CCEDMN C=CCN(CC(=O)NCC(=O)N1CCCC1)C(C)C ZINC000917168723 613641855 /nfs/dbraw/zinc/64/18/55/613641855.db2.gz YCQDDMXHZVTYNP-UHFFFAOYSA-N 0 1 267.373 0.621 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2ccncc12 ZINC000960308659 613642176 /nfs/dbraw/zinc/64/21/76/613642176.db2.gz OOJMUTFEXIQGQO-NHAGDIPZSA-N 0 1 295.346 0.413 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C)c1OC ZINC000962417908 613642396 /nfs/dbraw/zinc/64/23/96/613642396.db2.gz FWHKNHMFNVUTSM-ITGUQSILSA-N 0 1 288.351 0.112 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)[C@H]1CCOC[C@@H]1OC ZINC000880426263 613646539 /nfs/dbraw/zinc/64/65/39/613646539.db2.gz SOPFVHJSAQCSHG-KBPBESRZSA-N 0 1 282.384 0.923 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@H]1C(=O)NCC1(C)C ZINC000931664161 613647932 /nfs/dbraw/zinc/64/79/32/613647932.db2.gz PZCXAPFGFVSJCI-NEPJUHHUSA-N 0 1 279.384 0.690 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2COCCN2CC)C1 ZINC000970001726 613662479 /nfs/dbraw/zinc/66/24/79/613662479.db2.gz RQUGKTCFCSZMKA-OCCSQVGLSA-N 0 1 281.400 0.330 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC000970338206 613663364 /nfs/dbraw/zinc/66/33/64/613663364.db2.gz KCXGREWSTGHTPL-PWSUYJOCSA-N 0 1 289.383 0.847 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC000970946917 613669629 /nfs/dbraw/zinc/66/96/29/613669629.db2.gz ZSLLJCRKZKKGIG-SMDDNHRTSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC000970932964 613669658 /nfs/dbraw/zinc/66/96/58/613669658.db2.gz XPHYAOAHYWOCGZ-RYUDHWBXSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@H]2CCC(=O)N2C)CC1 ZINC000981736522 613690368 /nfs/dbraw/zinc/69/03/68/613690368.db2.gz YUKAUSGJZRSUIJ-CYBMUJFWSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCN1CCN(C(=O)[C@@H](C)CN2CCOCC2)CC1 ZINC000957665299 613709907 /nfs/dbraw/zinc/70/99/07/613709907.db2.gz QXPWTNSDFBPKEE-AWEZNQCLSA-N 0 1 281.400 0.285 20 30 CCEDMN C=CCN1CCN(C(=O)C2=NC(=O)N(C)C2)CC1 ZINC000950023666 613717386 /nfs/dbraw/zinc/71/73/86/613717386.db2.gz VPWJTFOQPCBPIW-UHFFFAOYSA-N 0 1 250.302 0.070 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cn(C)c(=O)n2C)C1 ZINC000968685708 613730429 /nfs/dbraw/zinc/73/04/29/613730429.db2.gz YAIYPURMBRKPLX-NEPJUHHUSA-N 0 1 292.383 0.350 20 30 CCEDMN C=CCN1CC[C@@H](N[C@@]2(CC(=O)OC)CCCOC2)C1=O ZINC000799164370 613763618 /nfs/dbraw/zinc/76/36/18/613763618.db2.gz JWMCAAAHQKOUTC-IUODEOHRSA-N 0 1 296.367 0.475 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000964877443 613773007 /nfs/dbraw/zinc/77/30/07/613773007.db2.gz UGZSYEFFAJPXFH-GXTWGEPZSA-N 0 1 275.352 0.946 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC000965996847 613774665 /nfs/dbraw/zinc/77/46/65/613774665.db2.gz UQACRZXQSLQOFR-WCQYABFASA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H]2CCC(=O)O2)C1=O ZINC000852006749 613777178 /nfs/dbraw/zinc/77/71/78/613777178.db2.gz CWISBDVNUFIRED-MNOVXSKESA-N 0 1 252.314 0.411 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H]3[C@@H](C(=O)OCC)[C@H]3C2)C1=O ZINC000932058278 613778740 /nfs/dbraw/zinc/77/87/40/613778740.db2.gz GRZXAICOQMZWJQ-ZOBORPQBSA-N 0 1 292.379 0.904 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cn2ccccc2=O)C(C)(C)C1 ZINC000977567179 613806961 /nfs/dbraw/zinc/80/69/61/613806961.db2.gz OOCFZFVKRUODAC-CYBMUJFWSA-N 0 1 289.379 0.861 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cc(=O)n(C)cn2)C(C)(C)C1 ZINC000974701470 613809138 /nfs/dbraw/zinc/80/91/38/613809138.db2.gz MRJZBIWVOLQAJR-GFCCVEGCSA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC000958444713 613824409 /nfs/dbraw/zinc/82/44/09/613824409.db2.gz RQXVGTDUMNNOAN-AAEUAGOBSA-N 0 1 291.351 0.761 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C(C)(C)C1 ZINC000974693292 613828458 /nfs/dbraw/zinc/82/84/58/613828458.db2.gz ROTRYAHAQKIZTR-AVGNSLFASA-N 0 1 282.384 0.803 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000978070601 613836712 /nfs/dbraw/zinc/83/67/12/613836712.db2.gz YOSPXFCKONRKSJ-CNDDSTCGSA-N 0 1 273.336 0.881 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000978070601 613836713 /nfs/dbraw/zinc/83/67/13/613836713.db2.gz YOSPXFCKONRKSJ-CNDDSTCGSA-N 0 1 273.336 0.881 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC[C@@H](O)C(C)C)CC1 ZINC000877892960 613845614 /nfs/dbraw/zinc/84/56/14/613845614.db2.gz IIPIMFOBGUMFCK-CQSZACIVSA-N 0 1 283.416 0.313 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823506933 613851875 /nfs/dbraw/zinc/85/18/75/613851875.db2.gz PIZFAUWLUUNHMV-VHSXEESVSA-N 0 1 298.343 0.071 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823506933 613851876 /nfs/dbraw/zinc/85/18/76/613851876.db2.gz PIZFAUWLUUNHMV-VHSXEESVSA-N 0 1 298.343 0.071 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000825687584 613851972 /nfs/dbraw/zinc/85/19/72/613851972.db2.gz QETFOBNBSWRKQS-UTLUCORTSA-N 0 1 283.328 0.039 20 30 CCEDMN C=CCNC(=S)N[C@@H](C)CN1CCN(C)CC1 ZINC000730157086 613857689 /nfs/dbraw/zinc/85/76/89/613857689.db2.gz OIKQDYCHIUBIGA-NSHDSACASA-N 0 1 256.419 0.272 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC000896556713 613879815 /nfs/dbraw/zinc/87/98/15/613879815.db2.gz QABIUPUHJVTYJU-KBPBESRZSA-N 0 1 283.416 0.720 20 30 CCEDMN C=CCOc1cccc(NS(=O)(=O)[C@@H]2COC[C@H]2O)c1 ZINC000914331564 613884650 /nfs/dbraw/zinc/88/46/50/613884650.db2.gz SKGJFQJNTXCZIE-CHWSQXEVSA-N 0 1 299.348 0.753 20 30 CCEDMN CC(C)(C)CNC(=O)C(C)(C)NC[C@H](O)CC#N ZINC000930154943 613916851 /nfs/dbraw/zinc/91/68/51/613916851.db2.gz IQYFWMLHFBOQIP-SNVBAGLBSA-N 0 1 255.362 0.791 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)Cc1nnn(C(C)(C)C)n1 ZINC000850761431 613934124 /nfs/dbraw/zinc/93/41/24/613934124.db2.gz MHPKCNHYMCPCOR-SECBINFHSA-N 0 1 292.343 0.204 20 30 CCEDMN CC(C)(C)N1CC[C@H]1C(=O)N1CCN(C(=O)CC#N)CC1 ZINC000896994210 613938680 /nfs/dbraw/zinc/93/86/80/613938680.db2.gz YNGSAKLPLOMHSY-LBPRGKRZSA-N 0 1 292.383 0.444 20 30 CCEDMN CC(C)(C)c1nc[nH]c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000876802961 614046570 /nfs/dbraw/zinc/04/65/70/614046570.db2.gz SWZQIWSYAKVGEC-BDAKNGLRSA-N 0 1 261.329 0.549 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)C#CC2CC2)C1 ZINC000837318781 614099259 /nfs/dbraw/zinc/09/92/59/614099259.db2.gz YNKBLOQYFHUWNZ-CQSZACIVSA-N 0 1 264.369 0.873 20 30 CCEDMN CC(=O)N[C@H](CCC(C)C)C(=O)NCC#CCN(C)C ZINC000913523468 614115954 /nfs/dbraw/zinc/11/59/54/614115954.db2.gz AWQMMPTZTLPFIJ-CQSZACIVSA-N 0 1 281.400 0.609 20 30 CCEDMN CC(=O)Nc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)ccc1C ZINC000834521939 614198746 /nfs/dbraw/zinc/19/87/46/614198746.db2.gz ZKEBLDNUHUEWRB-JSGCOSHPSA-N 0 1 286.335 0.795 20 30 CCEDMN CC(=O)c1ccccc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000843462729 614258899 /nfs/dbraw/zinc/25/88/99/614258899.db2.gz SGJCBMMTJMQVBD-GWCFXTLKSA-N 0 1 257.293 0.731 20 30 CCEDMN CC(C)(C(=O)N[C@@H]1CNC[C@@H]1C#N)[C@@H]1CCCCO1 ZINC000876801604 614301766 /nfs/dbraw/zinc/30/17/66/614301766.db2.gz GEXORPXGXWZVAR-TUAOUCFPSA-N 0 1 265.357 0.809 20 30 CCEDMN CC(C)C(=O)N1CCCC[C@@H]1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834489295 614353767 /nfs/dbraw/zinc/35/37/67/614353767.db2.gz LMNCRQWXNDPBEN-YNEHKIRRSA-N 0 1 292.383 0.251 20 30 CCEDMN CCCCn1cc(C(=O)N[C@H]2CNC[C@H]2C#N)c(C)n1 ZINC000834499318 614493641 /nfs/dbraw/zinc/49/36/41/614493641.db2.gz HCDFAWOWMKSBRG-YPMHNXCESA-N 0 1 275.356 0.833 20 30 CCEDMN CCCN(CCC)C(=O)CCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834484953 614575277 /nfs/dbraw/zinc/57/52/77/614575277.db2.gz PWDZVNPHMBWRSZ-STQMWFEESA-N 0 1 294.399 0.643 20 30 CCEDMN CCN(C(=O)[C@H]1CCCc2[nH]ncc21)C1CN(CC#N)C1 ZINC000950089873 614675761 /nfs/dbraw/zinc/67/57/61/614675761.db2.gz PFDOYZPZYKUJDL-LBPRGKRZSA-N 0 1 287.367 0.886 20 30 CCEDMN CCN(C(=O)c1ccn[nH]1)C1CN(C(=O)[C@@H](C)C#N)C1 ZINC000967804543 614722084 /nfs/dbraw/zinc/72/20/84/614722084.db2.gz ICVHGEKELXHPQO-VIFPVBQESA-N 0 1 275.312 0.242 20 30 CCEDMN CC1(C)CO[C@H](CCC(=O)N[C@@H]2CNC[C@@H]2C#N)C1 ZINC000884250896 614733866 /nfs/dbraw/zinc/73/38/66/614733866.db2.gz RAAPXPOJYRQSRI-QJPTWQEYSA-N 0 1 265.357 0.809 20 30 CCEDMN CC[N@@H+](C)C[C@H]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820333099 614881244 /nfs/dbraw/zinc/88/12/44/614881244.db2.gz RNEIETOKXTYHFR-BXUZGUMPSA-N 0 1 281.356 0.791 20 30 CCEDMN CCN(CC(=O)NCC#CCN(C)C)C(=O)OC(C)(C)C ZINC000913519087 614938424 /nfs/dbraw/zinc/93/84/24/614938424.db2.gz MZXKFMOSWMFYRS-UHFFFAOYSA-N 0 1 297.399 0.925 20 30 CCEDMN CCN(CCC(=O)NC)CC(=O)N(CC)C[C@@H](C)C#N ZINC000933868289 615030703 /nfs/dbraw/zinc/03/07/03/615030703.db2.gz ULFUXQGGBSHFCI-LBPRGKRZSA-N 0 1 282.388 0.453 20 30 CCEDMN CCN(CCC(N)=O)CC(=O)NCc1ccc(C#N)cc1 ZINC000932480351 615040647 /nfs/dbraw/zinc/04/06/47/615040647.db2.gz ICCKHQNFWQTLPE-UHFFFAOYSA-N 0 1 288.351 0.372 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)Cn1cc(CNC(C)C)nn1 ZINC000905794662 615061753 /nfs/dbraw/zinc/06/17/53/615061753.db2.gz ICCULXUOCFUQIU-LBPRGKRZSA-N 0 1 292.387 0.784 20 30 CCEDMN CCOC(=O)C1(C(=O)NCC#CCN(C)C)CC1 ZINC000913518966 615154044 /nfs/dbraw/zinc/15/40/44/615154044.db2.gz IEIGSDAPQZHTEA-UHFFFAOYSA-N 0 1 252.314 0.011 20 30 CCEDMN CCOC(=O)N(C)CCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000892496652 615224149 /nfs/dbraw/zinc/22/41/49/615224149.db2.gz QDOOCWMYMNRTBJ-LLVKDONJSA-N 0 1 295.339 0.842 20 30 CCEDMN CCOC(=O)N1CCC[C@@H](C(=O)NCC#CCN(C)C)C1 ZINC000913516423 615228060 /nfs/dbraw/zinc/22/80/60/615228060.db2.gz PGRHXEKRURCJSK-CYBMUJFWSA-N 0 1 295.383 0.536 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000924023402 615247962 /nfs/dbraw/zinc/24/79/62/615247962.db2.gz XMCAQPSIAZRVJO-MELADBBJSA-N 0 1 293.415 0.708 20 30 CCEDMN CCO[C@@H]1C[C@@H](N[C@@H]2CCCN(O)C2=O)[C@H]1OC ZINC000895194426 615435575 /nfs/dbraw/zinc/43/55/75/615435575.db2.gz CVZYBPWZYITWJU-GWOFURMSSA-N 0 1 258.318 0.149 20 30 CCEDMN CC[C@@H](CNS(C)(=O)=O)N[C@@H](C)c1cc(C#N)ccn1 ZINC000903007356 615485035 /nfs/dbraw/zinc/48/50/35/615485035.db2.gz XXCOJYFMJWZQHB-JQWIXIFHSA-N 0 1 296.396 0.932 20 30 CCEDMN CC[C@H](C#N)C(=O)NCc1nc(CSC)n[nH]1 ZINC000899474066 615599771 /nfs/dbraw/zinc/59/97/71/615599771.db2.gz XGKUKBRLSGZGTP-SSDOTTSWSA-N 0 1 253.331 0.834 20 30 CCEDMN CC[C@H](C#N)C(=O)NCc1nnc(CSC)[nH]1 ZINC000899474066 615599772 /nfs/dbraw/zinc/59/97/72/615599772.db2.gz XGKUKBRLSGZGTP-SSDOTTSWSA-N 0 1 253.331 0.834 20 30 CCEDMN CCc1c(C(=O)N[C@H]2CNC[C@@H]2C#N)cnn1CC(C)C ZINC000834480689 615606977 /nfs/dbraw/zinc/60/69/77/615606977.db2.gz UWTHOXPZTKWDOJ-AAEUAGOBSA-N 0 1 289.383 0.943 20 30 CCEDMN CCc1nc(C)c(CC(=O)N[C@H]2CNC[C@@H]2C#N)c(C)n1 ZINC000884249758 615736118 /nfs/dbraw/zinc/73/61/18/615736118.db2.gz QXDDGKGLQZBXDI-AAEUAGOBSA-N 0 1 287.367 0.426 20 30 CCEDMN CCc1nn(C)c(CC)c1CC(=O)NCC#CCN(C)C ZINC000913522057 615792421 /nfs/dbraw/zinc/79/24/21/615792421.db2.gz YBBICTPEDNCVNW-UHFFFAOYSA-N 0 1 290.411 0.769 20 30 CCEDMN CCc1n[nH]c(=O)c(C(=O)NCC#CCN(C)C)c1CC ZINC000913519626 615817179 /nfs/dbraw/zinc/81/71/79/615817179.db2.gz HTUVZUSNURURSO-UHFFFAOYSA-N 0 1 290.367 0.602 20 30 CCEDMN CN(C(=O)Cc1ccn[nH]1)C1CCC(NCC#N)CC1 ZINC000963171588 615824175 /nfs/dbraw/zinc/82/41/75/615824175.db2.gz IOPIOUIJVJDURI-UHFFFAOYSA-N 0 1 275.356 0.835 20 30 CCEDMN CN(C)CC#CCNC(=O)CCC1CCOCC1 ZINC000913518878 615857722 /nfs/dbraw/zinc/85/77/22/615857722.db2.gz DUUNERHSYSBEKJ-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cccc2c1CNC2=O ZINC000931411354 615858794 /nfs/dbraw/zinc/85/87/94/615858794.db2.gz HVXFXQIWGVVYNU-UHFFFAOYSA-N 0 1 286.335 0.617 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cccc(-n2ccnn2)c1 ZINC000930445144 615858823 /nfs/dbraw/zinc/85/88/23/615858823.db2.gz HGAJEBCMXWUXAG-UHFFFAOYSA-N 0 1 298.350 0.954 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cncc(N(C)C)c1 ZINC000932108552 615859157 /nfs/dbraw/zinc/85/91/57/615859157.db2.gz ICSODFOIWXIGMN-UHFFFAOYSA-N 0 1 275.356 0.834 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CC(=O)N(C(C)(C)C)C1 ZINC000913516349 615859476 /nfs/dbraw/zinc/85/94/76/615859476.db2.gz LZGBMFNAQMHJAY-GFCCVEGCSA-N 0 1 279.384 0.315 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c2c(nn1C)CCC2 ZINC000913524260 615860178 /nfs/dbraw/zinc/86/01/78/615860178.db2.gz FZEONNLOCCSQAZ-UHFFFAOYSA-N 0 1 260.341 0.204 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c(F)cncc1F ZINC000913525381 615860408 /nfs/dbraw/zinc/86/04/08/615860408.db2.gz UVYBGBYHDNIRJU-UHFFFAOYSA-N 0 1 253.252 0.655 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc2nc[nH]c2cc1F ZINC000913522676 615860729 /nfs/dbraw/zinc/86/07/29/615860729.db2.gz UFFIBDVRZDVANG-UHFFFAOYSA-N 0 1 274.299 0.997 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(OC(F)F)cn1 ZINC000913521247 615860842 /nfs/dbraw/zinc/86/08/42/615860842.db2.gz QGPVILAEERSROV-UHFFFAOYSA-N 0 1 283.278 0.978 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc2c([nH]c1=O)CCCC2 ZINC000913518296 615860852 /nfs/dbraw/zinc/86/08/52/615860852.db2.gz LTEJMWUFSXFHIQ-UHFFFAOYSA-N 0 1 287.363 0.961 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(Cn2ccnn2)o1 ZINC000827971599 615861004 /nfs/dbraw/zinc/86/10/04/615861004.db2.gz BCDLRZCXEWAYPM-UHFFFAOYSA-N 0 1 287.323 0.214 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nn(C)c2c1CCCC2 ZINC000913523293 615862672 /nfs/dbraw/zinc/86/26/72/615862672.db2.gz UESSDIFWTFQLNW-UHFFFAOYSA-N 0 1 274.368 0.594 20 30 CCEDMN CN(C)CC(=O)N1CCN(Cc2cncc(C#N)c2)CC1 ZINC000929258975 615864052 /nfs/dbraw/zinc/86/40/52/615864052.db2.gz LHRJKRYKIJCJDO-UHFFFAOYSA-N 0 1 287.367 0.159 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN1CC[C@@H](NC(N)=O)C1 ZINC000876816144 616011754 /nfs/dbraw/zinc/01/17/54/616011754.db2.gz ICLDXHCSCDYRGZ-CYBMUJFWSA-N 0 1 287.367 0.867 20 30 CCEDMN CN(C)c1cccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000841183215 616022574 /nfs/dbraw/zinc/02/25/74/616022574.db2.gz MVSXUESBUYMPNF-VHSXEESVSA-N 0 1 274.328 0.381 20 30 CCEDMN CN1C(=O)[C@H]2CN(Cc3csc(C#N)c3)C[C@H]2C1=O ZINC000895830307 616159017 /nfs/dbraw/zinc/15/90/17/616159017.db2.gz YAMAVJZXGDSSCH-PHIMTYICSA-N 0 1 275.333 0.666 20 30 CCEDMN C[N@H+]1CCC[C@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820620664 616208093 /nfs/dbraw/zinc/20/80/93/616208093.db2.gz QNSBERRBZLKJRM-CABZTGNLSA-N 0 1 253.302 0.201 20 30 CCEDMN CN1CC[C@@H](C(=O)NOc2cccc(C#N)c2)CC1=O ZINC000870693335 616266834 /nfs/dbraw/zinc/26/68/34/616266834.db2.gz CNAQIQXEDPHLJG-LLVKDONJSA-N 0 1 273.292 0.837 20 30 CCEDMN CN(C[C@@H]1CC[N@@H+](C)C1)C(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820620213 616270048 /nfs/dbraw/zinc/27/00/48/616270048.db2.gz JAKWFGDSCHRAJX-ZWNOBZJWSA-N 0 1 267.329 0.401 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)C[C@@H]1CC[C@@H]2C[C@@H]21 ZINC000835063005 616495778 /nfs/dbraw/zinc/49/57/78/616495778.db2.gz YHNVDPGHEFQFNP-NOOOWODRSA-N 0 1 264.325 0.894 20 30 CCEDMN COCC#CCN1CCC(C(=O)N(C)OC)CC1 ZINC000812870758 616527763 /nfs/dbraw/zinc/52/77/63/616527763.db2.gz BOZANVMFLOUOHN-UHFFFAOYSA-N 0 1 254.330 0.368 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000900770910 616587158 /nfs/dbraw/zinc/58/71/58/616587158.db2.gz LVOSCQKOXXIRHJ-MWLCHTKSSA-N 0 1 290.323 0.601 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1N[C@H](C)C(=O)N(C)CCC#N ZINC000934042592 616675576 /nfs/dbraw/zinc/67/55/76/616675576.db2.gz WTWOMHYTWBZAIT-IJLUTSLNSA-N 0 1 269.345 0.140 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H](C)[C@@H](C)COC ZINC000813058339 616901970 /nfs/dbraw/zinc/90/19/70/616901970.db2.gz ICQVCDGDFACKNP-QJPTWQEYSA-N 0 1 284.356 0.767 20 30 CCEDMN COC[C@@H](OC)C(=O)Nc1cc(C#N)ccc1O ZINC000831272109 616933613 /nfs/dbraw/zinc/93/36/13/616933613.db2.gz QMXFATTURXLQOF-LLVKDONJSA-N 0 1 250.254 0.864 20 30 CCEDMN COC(=O)Nc1ccccc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000843460973 616936744 /nfs/dbraw/zinc/93/67/44/616936744.db2.gz JGQGXQYIIOZSBT-CABZTGNLSA-N 0 1 288.307 0.706 20 30 CCEDMN COC(=O)c1ccnc(C(=O)NC2=NO[C@@H](C)C2)c1 ZINC000841412012 616946976 /nfs/dbraw/zinc/94/69/76/616946976.db2.gz IYMQFWOGIWXKRC-ZETCQYMHSA-N 0 1 263.253 0.720 20 30 CCEDMN COC(=O)c1ccnc(NC(=O)NCC#CCN(C)C)c1 ZINC000930782281 616948396 /nfs/dbraw/zinc/94/83/96/616948396.db2.gz QLCGOMPYMYHHMO-UHFFFAOYSA-N 0 1 290.323 0.555 20 30 CCEDMN CNS(=O)(=O)CCCN(C)Cc1cc(C#N)cs1 ZINC000933777133 617008310 /nfs/dbraw/zinc/00/83/10/617008310.db2.gz GDZGUDMXVDIEEI-UHFFFAOYSA-N 0 1 287.410 0.991 20 30 CCEDMN CO[C@H](C)CN1CC(N2CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000941347877 617055304 /nfs/dbraw/zinc/05/53/04/617055304.db2.gz FTGGPRCBGRMZJC-CHWSQXEVSA-N 0 1 294.399 0.009 20 30 CCEDMN CO[C@H](CC(=O)N[C@H]1CNC[C@H]1C#N)C(F)(F)F ZINC000846677477 617081076 /nfs/dbraw/zinc/08/10/76/617081076.db2.gz MZJJYZJBELRBFI-GJMOJQLCSA-N 0 1 265.235 0.182 20 30 CCEDMN CON=CC(=O)NCc1n[nH]c(COc2ccccc2)n1 ZINC000809770205 617105513 /nfs/dbraw/zinc/10/55/13/617105513.db2.gz SJJTZHYOXXCCAN-UHFFFAOYSA-N 0 1 289.295 0.632 20 30 CCEDMN CON=CC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000928083663 617106112 /nfs/dbraw/zinc/10/61/12/617106112.db2.gz AIJSIAWWRPGEPT-VHSXEESVSA-N 0 1 257.359 0.315 20 30 CCEDMN COc1c(C(=O)NCC#CCN(C)C)c(C)nn1C ZINC000913518246 617143040 /nfs/dbraw/zinc/14/30/40/617143040.db2.gz JTOMPSVATYVLDU-UHFFFAOYSA-N 0 1 264.329 0.032 20 30 CCEDMN C#CCCCNS(=O)(=O)c1occc1C(=O)OC ZINC000866886109 625338419 /nfs/dbraw/zinc/33/84/19/625338419.db2.gz RVGPJGYOQJYGET-UHFFFAOYSA-N 0 1 271.294 0.758 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCC[C@H]1OC ZINC001026152206 625343506 /nfs/dbraw/zinc/34/35/06/625343506.db2.gz BYTHXZGDQDODBK-QMIVOQANSA-N 0 1 276.380 0.871 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)CCc3nc[nH]n3)C[C@@H]12 ZINC001026233066 625365762 /nfs/dbraw/zinc/36/57/62/625365762.db2.gz OMEXJJNAHURHTH-IJLUTSLNSA-N 0 1 288.355 0.087 20 30 CCEDMN Cc1cc([C@@H]2CCCN(C(=O)NCC#CCO)C2)n[nH]1 ZINC000923769163 625399051 /nfs/dbraw/zinc/39/90/51/625399051.db2.gz YINZBTGTFJISMU-GFCCVEGCSA-N 0 1 276.340 0.603 20 30 CCEDMN Cc1ccnc(CNC[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001026733510 625580429 /nfs/dbraw/zinc/58/04/29/625580429.db2.gz VZHMPIJBWNVWSP-YPMHNXCESA-N 0 1 287.367 0.883 20 30 CCEDMN C=CC[C@H]1CCN1CC(=O)NC(=O)N[C@@H](C)COC ZINC000934472557 622713023 /nfs/dbraw/zinc/71/30/23/622713023.db2.gz RZKUWLCOPFCZHZ-QWRGUYRKSA-N 0 1 269.345 0.498 20 30 CCEDMN C#C[C@H]1CCCCN1CCN1C(=O)NC(C)(C)C1=O ZINC000934510829 622730572 /nfs/dbraw/zinc/73/05/72/622730572.db2.gz CPGMZEXJRWUDPE-NSHDSACASA-N 0 1 263.341 0.805 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@]2(C1)CN(CC1CC1)CCO2 ZINC000972297424 622748677 /nfs/dbraw/zinc/74/86/77/622748677.db2.gz IXEJBZYSYRVFCL-WFASDCNBSA-N 0 1 277.368 0.859 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N[C@H]2C[C@@H](NCC#N)C2)cn1 ZINC001022960026 622867704 /nfs/dbraw/zinc/86/77/04/622867704.db2.gz YQPCOCAGJAUWFK-PHIMTYICSA-N 0 1 299.338 0.184 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2nc3ncccn3n2)C1 ZINC001023658811 623097290 /nfs/dbraw/zinc/09/72/90/623097290.db2.gz VKICECVLDXJRDY-GFCCVEGCSA-N 0 1 298.350 0.199 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](CNCc2ncnn2C)C1 ZINC001023894880 623166637 /nfs/dbraw/zinc/16/66/37/623166637.db2.gz HIMXPESCTXYURK-NWDGAFQWSA-N 0 1 290.371 0.303 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024390892 623309643 /nfs/dbraw/zinc/30/96/43/623309643.db2.gz MSMJPFRMRUBGRS-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024390892 623309649 /nfs/dbraw/zinc/30/96/49/623309649.db2.gz MSMJPFRMRUBGRS-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1COC(=O)N1 ZINC001024414772 623316288 /nfs/dbraw/zinc/31/62/88/623316288.db2.gz JUHHSFLKMILGAT-NEPJUHHUSA-N 0 1 279.340 0.089 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1COCCN1CC ZINC001024517447 623347852 /nfs/dbraw/zinc/34/78/52/623347852.db2.gz WCHOOJXBRZHQIX-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCN(C)C1=O ZINC001024597688 623387634 /nfs/dbraw/zinc/38/76/34/623387634.db2.gz VQBNQQADNONHQE-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1CN(C)C(=O)N1 ZINC001024595625 623387951 /nfs/dbraw/zinc/38/79/51/623387951.db2.gz KOSDOAXRRRDKMS-RYUDHWBXSA-N 0 1 280.372 0.167 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001024608489 623396452 /nfs/dbraw/zinc/39/64/52/623396452.db2.gz PZQVLWKQHOCBHA-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)c1cnn[n-]1 ZINC001025247957 623673581 /nfs/dbraw/zinc/67/35/81/623673581.db2.gz SINNCKBGWFKZTP-UONOGXRCSA-N 0 1 287.367 0.897 20 30 CCEDMN C[C@H](NC(=O)c1cnn[nH]1)[C@H]1CCCN(CC#N)C1 ZINC001025470414 623810399 /nfs/dbraw/zinc/81/03/99/623810399.db2.gz XJTVFRPOGHGSJU-UWVGGRQHSA-N 0 1 262.317 0.159 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H](CCCC)C(=O)[O-] ZINC000328080608 623855108 /nfs/dbraw/zinc/85/51/08/623855108.db2.gz GQBJHPXGUUPEDP-GFCCVEGCSA-N 0 1 283.372 0.884 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NC(=O)CN2CCCC2)[C@@H]1C ZINC001020535554 624503472 /nfs/dbraw/zinc/50/34/72/624503472.db2.gz LYXDAKSTIVEWHG-AVGNSLFASA-N 0 1 292.383 0.347 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001010568027 624567267 /nfs/dbraw/zinc/56/72/67/624567267.db2.gz RRWVFIHVKVLXGL-VXGBXAGGSA-N 0 1 264.325 0.153 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](N(C)Cc2cnn(C)c2)C1 ZINC000971219162 624828781 /nfs/dbraw/zinc/82/87/81/624828781.db2.gz WZLIDBSNSFUSDO-YPMHNXCESA-N 0 1 275.356 0.612 20 30 CCEDMN N#CC1(CNC(=O)N2CCc3sc(CN)nc3C2)CC1 ZINC000891874293 624949402 /nfs/dbraw/zinc/94/94/02/624949402.db2.gz DNNLXSXROWOTOX-UHFFFAOYSA-N 0 1 291.380 0.973 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cccnn2)C1 ZINC001008947428 625745415 /nfs/dbraw/zinc/74/54/15/625745415.db2.gz WEFROXRLNPVWFB-LBPRGKRZSA-N 0 1 258.325 0.694 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC001009168248 625771629 /nfs/dbraw/zinc/77/16/29/625771629.db2.gz LREOBPTUSUTFHP-OLZOCXBDSA-N 0 1 294.399 0.686 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001027380942 625861735 /nfs/dbraw/zinc/86/17/35/625861735.db2.gz OZGFSCJBINWPNA-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN CN([C@@H]1CCNC1=O)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405102 625872172 /nfs/dbraw/zinc/87/21/72/625872172.db2.gz BEQIAPCKVMTOOS-ZIAGYGMSSA-N 0 1 289.379 0.211 20 30 CCEDMN N#Cc1cnccc1NS(=O)(=O)CCC1CC1 ZINC000903149668 634538511 /nfs/dbraw/zinc/53/85/11/634538511.db2.gz CKYVZTFVHFTQCE-UHFFFAOYSA-N 0 1 251.311 0.917 20 30 CCEDMN CN(CC#N)[C@@H]1CCCN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001027556880 630751153 /nfs/dbraw/zinc/75/11/53/630751153.db2.gz IIQDVNRABOTQLQ-SNVBAGLBSA-N 0 1 277.328 0.186 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)C1=COCCO1 ZINC001027726757 630877923 /nfs/dbraw/zinc/87/79/23/630877923.db2.gz VGWBSBWORIKCIY-LLVKDONJSA-N 0 1 250.298 0.088 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCOC1 ZINC001027778548 630909500 /nfs/dbraw/zinc/90/95/00/630909500.db2.gz QAPSYICYIOZMDO-OLZOCXBDSA-N 0 1 250.342 0.627 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@@H]1CCCN1CC#N ZINC001027792754 630923643 /nfs/dbraw/zinc/92/36/43/630923643.db2.gz VHHULBXOKATJDJ-RYUDHWBXSA-N 0 1 250.346 0.185 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1cncnc1 ZINC001027840222 630968880 /nfs/dbraw/zinc/96/88/80/630968880.db2.gz GGJZUBHFPFZMQU-ZDUSSCGKSA-N 0 1 258.325 0.694 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cncnc1 ZINC001027840222 630968886 /nfs/dbraw/zinc/96/88/86/630968886.db2.gz GGJZUBHFPFZMQU-ZDUSSCGKSA-N 0 1 258.325 0.694 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001027851102 630979119 /nfs/dbraw/zinc/97/91/19/630979119.db2.gz FHZVXIWWQNUICL-SNVBAGLBSA-N 0 1 265.313 0.359 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCN(CCc2cnn(C)c2)CC1 ZINC000980838148 631066206 /nfs/dbraw/zinc/06/62/06/631066206.db2.gz HRUAXPZIHWTDPZ-CYBMUJFWSA-N 0 1 289.383 0.657 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCCN(CCCO)CC2)[nH]1 ZINC000980979565 631088185 /nfs/dbraw/zinc/08/81/85/631088185.db2.gz NGUBPYKOZNJVID-UHFFFAOYSA-N 0 1 276.340 0.417 20 30 CCEDMN Cc1nnsc1CN[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000967973762 650005915 /nfs/dbraw/zinc/00/59/15/650005915.db2.gz CYNMFYJJQNYFQA-PSASIEDQSA-N 0 1 279.369 0.697 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000192106868 631933641 /nfs/dbraw/zinc/93/36/41/631933641.db2.gz RQAQLDWCQUFSHA-ZDUSSCGKSA-N 0 1 251.374 0.542 20 30 CCEDMN O=C1[C@H](N[C@H]2CCC3(C[C@H]2F)OCCO3)CCCN1O ZINC000895198282 632021928 /nfs/dbraw/zinc/02/19/28/632021928.db2.gz ZUSKOUGPMBXCNB-OUAUKWLOSA-N 0 1 288.319 0.590 20 30 CCEDMN C[C@H](Nc1ccc(C#N)nn1)[C@H]1CN(C)CCN1C ZINC000895246352 632034567 /nfs/dbraw/zinc/03/45/67/632034567.db2.gz NGDGHENRWIQCAG-CMPLNLGQSA-N 0 1 260.345 0.394 20 30 CCEDMN Cc1cc(N[C@H](C)[C@H]2C[N@@H+](C)CCN2C)c(C#N)cn1 ZINC000895249836 632035971 /nfs/dbraw/zinc/03/59/71/632035971.db2.gz WFGNFMMRKCOEPI-IUODEOHRSA-N 0 1 273.384 0.730 20 30 CCEDMN C[C@H](Nc1ccc(C#N)cn1)[C@@H]1CN(C)CC[N@@H+]1C ZINC000895246996 632036663 /nfs/dbraw/zinc/03/66/63/632036663.db2.gz FUCGLADQYLTQSO-AAEUAGOBSA-N 0 1 259.357 0.999 20 30 CCEDMN C[C@H](Nc1ccc(C#N)cn1)[C@@H]1CN(C)CCN1C ZINC000895246996 632036671 /nfs/dbraw/zinc/03/66/71/632036671.db2.gz FUCGLADQYLTQSO-AAEUAGOBSA-N 0 1 259.357 0.999 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CCCN(C)C2)cnn1CCC#N ZINC000895835092 632162448 /nfs/dbraw/zinc/16/24/48/632162448.db2.gz YWSAMQFJGXZOOW-GFCCVEGCSA-N 0 1 275.356 0.929 20 30 CCEDMN N#Cc1ccc([O-])c(NC(=O)C2C[NH+]([C@@H]3CCOC3)C2)c1 ZINC000896821960 632328727 /nfs/dbraw/zinc/32/87/27/632328727.db2.gz XVENSVUTFWZEPP-GFCCVEGCSA-N 0 1 287.319 0.923 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC([N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985339069 632440125 /nfs/dbraw/zinc/44/01/25/632440125.db2.gz QHCOHOKCYILQKO-VIFPVBQESA-N 0 1 292.343 0.093 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001007583049 650085233 /nfs/dbraw/zinc/08/52/33/650085233.db2.gz QHSKDAJFLAUORZ-LLVKDONJSA-N 0 1 274.324 0.400 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC000986214564 632729519 /nfs/dbraw/zinc/72/95/19/632729519.db2.gz FQXOMUFIRDLMHQ-UWVGGRQHSA-N 0 1 299.758 0.923 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001007757230 650091943 /nfs/dbraw/zinc/09/19/43/650091943.db2.gz YZFHOUXERHDEFS-ZDUSSCGKSA-N 0 1 275.352 0.766 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cn2nccn2)[C@H]1C ZINC000986338979 632747637 /nfs/dbraw/zinc/74/76/37/632747637.db2.gz RXZKPRFHXOBADP-QWRGUYRKSA-N 0 1 283.763 0.610 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001007963252 650098373 /nfs/dbraw/zinc/09/83/73/650098373.db2.gz SWPKYFLZHGHMCK-AWEZNQCLSA-N 0 1 287.363 0.934 20 30 CCEDMN C[C@@H]1[C@@H](NCc2ccccc2C#N)CCN1C(=O)C(N)=O ZINC000986784745 632798127 /nfs/dbraw/zinc/79/81/27/632798127.db2.gz YEXJVJVNBBPHNK-MFKMUULPSA-N 0 1 286.335 0.123 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cn(C)nc2Br)N1 ZINC000863105358 632926979 /nfs/dbraw/zinc/92/69/79/632926979.db2.gz NRBXJKGYLRIBNF-LURJTMIESA-N 0 1 285.149 0.454 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001008161964 650103545 /nfs/dbraw/zinc/10/35/45/650103545.db2.gz JSGKGAIBOFOHEH-LBPRGKRZSA-N 0 1 286.339 0.505 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001008279330 650108722 /nfs/dbraw/zinc/10/87/22/650108722.db2.gz BOCANKVHTYZIEC-VXGBXAGGSA-N 0 1 265.357 0.374 20 30 CCEDMN N#CC1(CNC(=O)C2CN([C@H]3CCOC3)C2)CCCC1 ZINC000898269650 633292912 /nfs/dbraw/zinc/29/29/12/633292912.db2.gz KHZADLWDUBZTHI-ZDUSSCGKSA-N 0 1 277.368 0.907 20 30 CCEDMN C=CC[N@@H+]1CCCN(C(=O)C2CCN(C(C)=O)CC2)CC1 ZINC000989631845 633337700 /nfs/dbraw/zinc/33/77/00/633337700.db2.gz CJRHHFZYYCXJGP-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCN1CCCN(C(=O)C2CCN(C(C)=O)CC2)CC1 ZINC000989631845 633337702 /nfs/dbraw/zinc/33/77/02/633337702.db2.gz CJRHHFZYYCXJGP-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)[C@H]2C[NH+](CC)CCO2)CC1 ZINC000989707685 633346988 /nfs/dbraw/zinc/34/69/88/633346988.db2.gz HFXVLZNKCALQMR-OAHLLOKOSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cc[n+]([O-])cc3)CCC[C@H]12 ZINC000989927707 633386003 /nfs/dbraw/zinc/38/60/03/633386003.db2.gz CAKGTEXKHBBTLM-GOEBONIOSA-N 0 1 285.347 0.680 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3CCC(=O)N3)CCC[C@@H]12 ZINC000990043077 633436398 /nfs/dbraw/zinc/43/63/98/633436398.db2.gz QSNNVRBBCSVBBR-LALPHHSUSA-N 0 1 275.352 0.011 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H](C)n3cncn3)CCC[C@H]12 ZINC000990306373 633505314 /nfs/dbraw/zinc/50/53/14/633505314.db2.gz JSUIRLXDINZWCM-VNHYZAJKSA-N 0 1 287.367 0.586 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001008490912 650116737 /nfs/dbraw/zinc/11/67/37/650116737.db2.gz WHBZNPRPELZNDL-NWDGAFQWSA-N 0 1 287.367 0.934 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001008515371 650117903 /nfs/dbraw/zinc/11/79/03/650117903.db2.gz DRNXRZLDRYYBIM-WFASDCNBSA-N 0 1 279.384 0.669 20 30 CCEDMN N#CCn1cc(NC(=O)C2CN([C@@H]3CCOC3)C2)cn1 ZINC000900440718 634021673 /nfs/dbraw/zinc/02/16/73/634021673.db2.gz VCFZLTLXNLPZQS-GFCCVEGCSA-N 0 1 275.312 0.066 20 30 CCEDMN c1ccc2c(c1)O[C@]1(CCOC1)CC2=NNC1=NCCN1 ZINC000901252880 634215245 /nfs/dbraw/zinc/21/52/45/634215245.db2.gz QOONZUNMHIYPFS-OAHLLOKOSA-N 0 1 286.335 0.881 20 30 CCEDMN Cc1nc(S(=O)(=O)N[C@H](CC#N)C(F)(F)F)c[nH]1 ZINC000901775715 634275587 /nfs/dbraw/zinc/27/55/87/634275587.db2.gz WHKMZPHXUKHMQC-ZCFIWIBFSA-N 0 1 282.247 0.841 20 30 CCEDMN N#CC[C@H](NS(=O)(=O)c1ncc[nH]1)C(F)(F)F ZINC000901770948 634275796 /nfs/dbraw/zinc/27/57/96/634275796.db2.gz FLZZDHRNFOUXFG-YFKPBYRVSA-N 0 1 268.220 0.533 20 30 CCEDMN Cc1nc(S(=O)(=O)N[C@@H](CC#N)C(F)(F)F)cn1C ZINC000901771286 634276287 /nfs/dbraw/zinc/27/62/87/634276287.db2.gz CGKMKTWFBGDKKQ-ZETCQYMHSA-N 0 1 296.274 0.851 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N[C@H]1CCCN(CC#N)C1 ZINC001009640758 650152729 /nfs/dbraw/zinc/15/27/29/650152729.db2.gz FUDANMIXKDLPJQ-UONOGXRCSA-N 0 1 278.400 0.965 20 30 CCEDMN N#CCC[C@H](C#N)CN[C@@H](CO)C[C@@H]1CCCO1 ZINC000905474070 634865393 /nfs/dbraw/zinc/86/53/93/634865393.db2.gz OFNNACGRFVJKND-UPJWGTAASA-N 0 1 251.330 0.950 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC001009760613 650158574 /nfs/dbraw/zinc/15/85/74/650158574.db2.gz KBVGCOGGQRVFLW-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1csc(Cl)n1 ZINC000906540779 635006110 /nfs/dbraw/zinc/00/61/10/635006110.db2.gz PYQRZTHGPVIOJI-PHDIDXHHSA-N 0 1 256.718 0.638 20 30 CCEDMN O=C(C#Cc1ccccc1)N1CCC(O)(c2nn[nH]n2)CC1 ZINC000907943986 635248125 /nfs/dbraw/zinc/24/81/25/635248125.db2.gz OSUROOZJMPWYBN-UHFFFAOYSA-N 0 1 297.318 0.061 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@H]2CCCO2)C1 ZINC001010300146 650198404 /nfs/dbraw/zinc/19/84/04/650198404.db2.gz SPBILRVRONCZBF-CHWSQXEVSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cn2cc(C)cn2)C1 ZINC001010343907 650203229 /nfs/dbraw/zinc/20/32/29/650203229.db2.gz IXCJOZXIQRMOCG-CYBMUJFWSA-N 0 1 260.341 0.405 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2OCCCO2)C1 ZINC001010757831 650261425 /nfs/dbraw/zinc/26/14/25/650261425.db2.gz FUQOXIYBBWFDQJ-LBPRGKRZSA-N 0 1 266.341 0.353 20 30 CCEDMN C[C@@H]1CCn2ncc(C(=O)NCC#CCN(C)C)c2C1 ZINC000913523688 636831891 /nfs/dbraw/zinc/83/18/91/636831891.db2.gz ITXKHBIAIKRQLS-GFCCVEGCSA-N 0 1 274.368 0.760 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)NCC#CCN(C)C)C1 ZINC000913524091 636833091 /nfs/dbraw/zinc/83/30/91/636833091.db2.gz ZLADILYBGYDYNO-YPMHNXCESA-N 0 1 274.368 0.757 20 30 CCEDMN C[C@@H](NC(=O)c1cccs1)C(=O)NCC#CCN(C)C ZINC000913515978 636833781 /nfs/dbraw/zinc/83/37/81/636833781.db2.gz VKHICAGBSPYALQ-LLVKDONJSA-N 0 1 293.392 0.548 20 30 CCEDMN Cc1cn2cc(CC(=O)NCC#CCN(C)C)nc2s1 ZINC000913525048 636834013 /nfs/dbraw/zinc/83/40/13/636834013.db2.gz IDSAAJATBPWSCC-UHFFFAOYSA-N 0 1 290.392 0.928 20 30 CCEDMN Cc1ncc(Cl)c(C(=O)NCC#CCN(C)C)n1 ZINC000913519020 636835193 /nfs/dbraw/zinc/83/51/93/636835193.db2.gz KCMPJOPBWBTCIC-UHFFFAOYSA-N 0 1 266.732 0.733 20 30 CCEDMN C[C@@H](CNC(=O)OC(C)(C)C)C(=O)NCC#CCN(C)C ZINC000913522298 636835858 /nfs/dbraw/zinc/83/58/58/636835858.db2.gz CTHRWTPOMROWDM-LBPRGKRZSA-N 0 1 297.399 0.828 20 30 CCEDMN N#CC1(CS(=O)(=O)NC[C@@H]2CN3CCC[C@H]3CO2)CC1 ZINC000164971011 636891495 /nfs/dbraw/zinc/89/14/95/636891495.db2.gz ZBGGKSWFZJTCDK-NWDGAFQWSA-N 0 1 299.396 0.073 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3nonc3C)CCC[C@@H]12 ZINC000992530821 637464211 /nfs/dbraw/zinc/46/42/11/637464211.db2.gz JZIRBDMPHNAWMC-RISCZKNCSA-N 0 1 274.324 0.738 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)C2=COCCO2)[C@H]1C ZINC000993003518 637661745 /nfs/dbraw/zinc/66/17/45/637661745.db2.gz CITVFYQZFDQTIG-NEPJUHHUSA-N 0 1 264.325 0.477 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cn2cccn2)[C@@H]1C ZINC000993040208 637674930 /nfs/dbraw/zinc/67/49/30/637674930.db2.gz QQDVMBIGBVICCY-KBPBESRZSA-N 0 1 274.368 0.876 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cnn(C)c2)[C@@H]1C ZINC000993127608 637706664 /nfs/dbraw/zinc/70/66/64/637706664.db2.gz URVDIZWBUVUPTC-ZFWWWQNUSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)C2=CCOCC2)[C@H]1C ZINC000993213386 637746892 /nfs/dbraw/zinc/74/68/92/637746892.db2.gz IQGWVBPTVZELEU-TZMCWYRMSA-N 0 1 262.353 0.935 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)[C@@H]1C ZINC000993336847 637791729 /nfs/dbraw/zinc/79/17/29/637791729.db2.gz VMWJQAYZNLROTD-CMPLNLGQSA-N 0 1 275.356 0.258 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc3nn[n-]c3n2)[C@H]1C ZINC000993412743 637802678 /nfs/dbraw/zinc/80/26/78/637802678.db2.gz JUZCCDOYYHCDLU-GHMZBOCLSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc3n[nH]nc3n2)[C@H]1C ZINC000993412743 637802680 /nfs/dbraw/zinc/80/26/80/637802680.db2.gz JUZCCDOYYHCDLU-GHMZBOCLSA-N 0 1 298.350 0.569 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCCN(CCO)[C@H]2C)nn1 ZINC000993952983 637857943 /nfs/dbraw/zinc/85/79/43/637857943.db2.gz QVOIGBZNXXPXRR-RYUDHWBXSA-N 0 1 293.371 0.039 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(CCO)[C@@H]2C)cn1 ZINC000994250787 637893793 /nfs/dbraw/zinc/89/37/93/637893793.db2.gz LNFIKPMVYLGPBE-DOMZBBRYSA-N 0 1 287.363 0.638 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N1CCC[C@H](C#N)C1 ZINC000917223168 637959904 /nfs/dbraw/zinc/95/99/04/637959904.db2.gz BKEKATUQKFAIHH-ZIAGYGMSSA-N 0 1 293.415 0.567 20 30 CCEDMN O=C1Nc2cc(O)ccc2C1=NNc1ncnc2[nH]cnc21 ZINC000917555217 637975863 /nfs/dbraw/zinc/97/58/63/637975863.db2.gz HXYLOFUYTNDXOB-UHFFFAOYSA-N 0 1 295.262 0.827 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2nonc2C)[C@@H]1C ZINC000994534839 637982582 /nfs/dbraw/zinc/98/25/82/637982582.db2.gz ODXNEJLRCOWKLJ-RYUDHWBXSA-N 0 1 276.340 0.984 20 30 CCEDMN Cc1nc(C)c(Cn2c(=O)c(C#N)c(C)n(C3CC3)c2=O)[nH]1 ZINC000918473848 638016992 /nfs/dbraw/zinc/01/69/92/638016992.db2.gz GAZIBGTVOODTOH-UHFFFAOYSA-N 0 1 299.334 0.913 20 30 CCEDMN O=C(C#CC1CC1)N1CC(NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC000994818285 638219366 /nfs/dbraw/zinc/21/93/66/638219366.db2.gz QOGIWDHABYBBER-CHWSQXEVSA-N 0 1 298.346 0.254 20 30 CCEDMN COC[C@H](C)N1CC(N2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000941348611 638528591 /nfs/dbraw/zinc/52/85/91/638528591.db2.gz QGYCZZJMRDNQQL-STQMWFEESA-N 0 1 294.399 0.009 20 30 CCEDMN C[C@@H](CS(C)(=O)=O)NC[C@H](O)c1ccc(C#N)cc1 ZINC000081903811 638756185 /nfs/dbraw/zinc/75/61/85/638756185.db2.gz FTELNJYNDRDVFS-GWCFXTLKSA-N 0 1 282.365 0.614 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](NCc2cnnn2C)C(C)(C)C1 ZINC000995587680 638779576 /nfs/dbraw/zinc/77/95/76/638779576.db2.gz ZTPLWIXGFHYSAU-CMPLNLGQSA-N 0 1 290.371 0.301 20 30 CCEDMN C#CCN1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000185648807 638783123 /nfs/dbraw/zinc/78/31/23/638783123.db2.gz LWSGBLCJSKTQHB-UHFFFAOYSA-N 0 1 295.346 0.863 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cn[nH]n2)CC1(C)C ZINC000995985102 638806421 /nfs/dbraw/zinc/80/64/21/638806421.db2.gz AMMKQVJBIJKPKC-SNVBAGLBSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(N)=O)CC1(C)C ZINC000996212081 638811811 /nfs/dbraw/zinc/81/18/11/638811811.db2.gz AFHQJZVGFQKALL-QMMMGPOBSA-N 0 1 259.737 0.051 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2nc[nH]n2)CC1(C)C ZINC000996421534 638818788 /nfs/dbraw/zinc/81/87/88/638818788.db2.gz GALHJJFUKLRQKA-VIFPVBQESA-N 0 1 283.763 0.997 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(CNCc2cn(C)nn2)CC1 ZINC000997622857 638878726 /nfs/dbraw/zinc/87/87/26/638878726.db2.gz FCSHGXOLEODAPJ-NSHDSACASA-N 0 1 290.371 0.303 20 30 CCEDMN C[C@H](NC(=O)c1[nH]ncc1F)C1CCN(CC#N)CC1 ZINC000997743238 638881922 /nfs/dbraw/zinc/88/19/22/638881922.db2.gz VNIFVRCCKQYEGZ-VIFPVBQESA-N 0 1 279.319 0.903 20 30 CCEDMN O=C(C#CC1CC1)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn[nH]1)C2 ZINC000998155567 638897113 /nfs/dbraw/zinc/89/71/13/638897113.db2.gz IHXAFLDARPTLBE-MDZLAQPJSA-N 0 1 299.334 0.080 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCC[C@@H](NCC#N)C1 ZINC000998724988 638936861 /nfs/dbraw/zinc/93/68/61/638936861.db2.gz VHBZBRYLQHQFPS-VXGBXAGGSA-N 0 1 250.346 0.185 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](NCc2cnnn2C)C1 ZINC000998744808 638938713 /nfs/dbraw/zinc/93/87/13/638938713.db2.gz CYORRXOECMAFAW-QWRGUYRKSA-N 0 1 276.344 0.055 20 30 CCEDMN C=C1CC(C)(C(=O)NC2CN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC000999233223 638968991 /nfs/dbraw/zinc/96/89/91/638968991.db2.gz NYIAGNNFFNIBAU-UHFFFAOYSA-N 0 1 288.351 0.636 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC000999410980 638980381 /nfs/dbraw/zinc/98/03/81/638980381.db2.gz IVYIMYKYRWSHNI-IJLUTSLNSA-N 0 1 273.340 0.617 20 30 CCEDMN C#CCN1CC[C@H](n2cc(CNC(=O)C3CC3)nn2)C1 ZINC000999941079 639022919 /nfs/dbraw/zinc/02/29/19/639022919.db2.gz YBZSGYDJRRWKHP-ZDUSSCGKSA-N 0 1 273.340 0.184 20 30 CCEDMN C#CCN1CC[C@H](n2cc(CNC(=O)C3=CCCC3)nn2)C1 ZINC000999977983 639023509 /nfs/dbraw/zinc/02/35/09/639023509.db2.gz CUEZAOJUFZVPNG-HNNXBMFYSA-N 0 1 299.378 0.885 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnn(C)c2C)CC1 ZINC001000445132 639089313 /nfs/dbraw/zinc/08/93/13/639089313.db2.gz LEHNAZZTWAIECA-UHFFFAOYSA-N 0 1 272.352 0.724 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001014643223 650472924 /nfs/dbraw/zinc/47/29/24/650472924.db2.gz MGIHKTVNUAQGEN-SECBINFHSA-N 0 1 272.312 0.343 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001000906221 639188836 /nfs/dbraw/zinc/18/88/36/639188836.db2.gz UPLSTMHHXVHDSO-NSHDSACASA-N 0 1 284.323 0.676 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001014673524 650477128 /nfs/dbraw/zinc/47/71/28/650477128.db2.gz NGDNMQBCUJFGDT-NSHDSACASA-N 0 1 296.334 0.799 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001014689672 650478281 /nfs/dbraw/zinc/47/82/81/650478281.db2.gz SDXVZBPQGTUTDN-DZGCQCFKSA-N 0 1 298.390 0.795 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn(C)nc2OC)CC1 ZINC001001037875 639221619 /nfs/dbraw/zinc/22/16/19/639221619.db2.gz UNFAUULEJWKMOM-UHFFFAOYSA-N 0 1 288.351 0.424 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001001054970 639222456 /nfs/dbraw/zinc/22/24/56/639222456.db2.gz ABQBODZZECPSHL-WDNDVIMCSA-N 0 1 260.337 0.260 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001014716380 650481161 /nfs/dbraw/zinc/48/11/61/650481161.db2.gz LSDTWRCJDVRWDA-LBPRGKRZSA-N 0 1 275.356 0.532 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCO[C@H]2C)CC1 ZINC001001307371 639279190 /nfs/dbraw/zinc/27/91/90/639279190.db2.gz UAAAMZKXWGHLFN-GXTWGEPZSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc3nccnc3c2)C1 ZINC001014751983 650485503 /nfs/dbraw/zinc/48/55/03/650485503.db2.gz KGIUBSVWJVYMED-ZDUSSCGKSA-N 0 1 295.346 0.852 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(NC(=O)CC)CC2)C1=O ZINC001002193236 639373312 /nfs/dbraw/zinc/37/33/12/639373312.db2.gz BCVCPDVWTWALOK-CYBMUJFWSA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@H](C#N)CNC(=O)NC[C@@H]1CC[N@H+]1C1CCOCC1 ZINC000921885523 639390592 /nfs/dbraw/zinc/39/05/92/639390592.db2.gz UMTXHXPIVNFDRB-YPMHNXCESA-N 0 1 280.372 0.699 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001014831764 650493796 /nfs/dbraw/zinc/49/37/96/650493796.db2.gz LYSYACVCTAICDR-SECBINFHSA-N 0 1 250.302 0.414 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(C)cnn2C)CC1 ZINC001003458675 639547650 /nfs/dbraw/zinc/54/76/50/639547650.db2.gz QMSHXDAAGRTJOY-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@H]1CCN(CC#N)C1 ZINC001014956292 650507552 /nfs/dbraw/zinc/50/75/52/650507552.db2.gz ULPUFAYFEUMSNY-RYUDHWBXSA-N 0 1 250.346 0.185 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCCCC(=O)N2)CC1 ZINC001003605823 639578541 /nfs/dbraw/zinc/57/85/41/639578541.db2.gz UAQUQRUFHDVCRY-AWEZNQCLSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)N2CCC[C@@H]2CC)CC1 ZINC001003929964 639651243 /nfs/dbraw/zinc/65/12/43/639651243.db2.gz FHLSNBIXWDUQRS-AWEZNQCLSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H]2CCCCC(=O)N2)CC1 ZINC001004051480 639669344 /nfs/dbraw/zinc/66/93/44/639669344.db2.gz ZOLHRNSBCKNGOV-AWEZNQCLSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cn2cc(C)cn2)CC1 ZINC001005152043 639883276 /nfs/dbraw/zinc/88/32/76/639883276.db2.gz JEHDDWJAVFXGHS-UHFFFAOYSA-N 0 1 274.368 0.748 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2COCCN2C)CC1 ZINC001005272991 639895032 /nfs/dbraw/zinc/89/50/32/639895032.db2.gz GJYJZEJGVMNEOM-HNNXBMFYSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnn(C)c2N)CC1 ZINC001005304835 639896785 /nfs/dbraw/zinc/89/67/85/639896785.db2.gz YUGBCOUSFLKOLP-UHFFFAOYSA-N 0 1 275.356 0.172 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCCC(=O)N2)CC1 ZINC001005544888 639921786 /nfs/dbraw/zinc/92/17/86/639921786.db2.gz HCEPGWSQRKVXEP-CQSZACIVSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001015231202 650541682 /nfs/dbraw/zinc/54/16/82/650541682.db2.gz LCVQFVGZARWASE-JTQLQIEISA-N 0 1 262.313 0.475 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@]2(C)CCC(=O)NC2)CC1 ZINC001005866104 640001596 /nfs/dbraw/zinc/00/15/96/640001596.db2.gz PYEWDBIPIHCNMD-INIZCTEOSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC001006029334 640074216 /nfs/dbraw/zinc/07/42/16/640074216.db2.gz RPJYVNCFQWPDLO-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnc3cccnn32)CC1 ZINC001006100992 640152892 /nfs/dbraw/zinc/15/28/92/640152892.db2.gz BNCCMZZQJURELE-UHFFFAOYSA-N 0 1 297.362 0.899 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1n[nH]c(C(C)C)n1)[C@@H]1CCCO1 ZINC000838559412 640260265 /nfs/dbraw/zinc/26/02/65/640260265.db2.gz MDGGWRNKQCRROQ-MNOVXSKESA-N 0 1 291.355 0.908 20 30 CCEDMN N#Cc1ccc(CNC(=O)[C@@H]2CC2[N+](=O)[O-])c(F)c1 ZINC000839659353 640347785 /nfs/dbraw/zinc/34/77/85/640347785.db2.gz ZCFUTKIUPUUVCY-MWLCHTKSSA-N 0 1 263.228 0.979 20 30 CCEDMN N#CC(C(=O)COC[C@@H]1CCCO1)C(=O)NC1CCCC1 ZINC000113654060 650566118 /nfs/dbraw/zinc/56/61/18/650566118.db2.gz HDDLLHPBSHIJRY-STQMWFEESA-N 0 1 294.351 0.950 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(C)nc2OC)C1 ZINC001015494780 650573255 /nfs/dbraw/zinc/57/32/55/650573255.db2.gz GMMQOHYIUDJHLG-NSHDSACASA-N 0 1 276.340 0.256 20 30 CCEDMN O=C1C=C(NNc2ccc(-n3cccn3)nn2)[C@H]2CCCN12 ZINC000799477182 640574574 /nfs/dbraw/zinc/57/45/74/640574574.db2.gz KAJOIPWJPBJGQG-LLVKDONJSA-N 0 1 297.322 0.825 20 30 CCEDMN Cc1ccc(C(=O)NCCc2nc[nH]n2)cc1C#N ZINC000799644410 640588804 /nfs/dbraw/zinc/58/88/04/640588804.db2.gz JBIYFWZHHZXYRT-UHFFFAOYSA-N 0 1 255.281 0.957 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001015677644 650606620 /nfs/dbraw/zinc/60/66/20/650606620.db2.gz UAAPUMRZIQNRBI-LBPRGKRZSA-N 0 1 273.336 0.213 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(CCn3cncn3)C2)C1 ZINC001015677751 650606958 /nfs/dbraw/zinc/60/69/58/650606958.db2.gz ZPFXOXMJVQLYNN-ZDUSSCGKSA-N 0 1 289.383 0.825 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccnc(OC)c2)C1 ZINC001015712612 650614185 /nfs/dbraw/zinc/61/41/85/650614185.db2.gz HWTUFYYGUAFUMK-ZDUSSCGKSA-N 0 1 273.336 0.456 20 30 CCEDMN Cc1nccc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)n1 ZINC000814886345 641079227 /nfs/dbraw/zinc/07/92/27/641079227.db2.gz YSIDNVLFTKJLGM-TXEJJXNPSA-N 0 1 258.329 0.979 20 30 CCEDMN Cc1nccc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)n1 ZINC000814886344 641079939 /nfs/dbraw/zinc/07/99/39/641079939.db2.gz YSIDNVLFTKJLGM-RYUDHWBXSA-N 0 1 258.329 0.979 20 30 CCEDMN Cn1cnn(CCC(=O)Nc2cc(C#N)ccc2O)c1=O ZINC000815877868 641127659 /nfs/dbraw/zinc/12/76/59/641127659.db2.gz JYOCMGNENYQQLQ-UHFFFAOYSA-N 0 1 287.279 0.188 20 30 CCEDMN Cc1cc(F)ccc1[C@H](O)CNCC(=O)NCC#N ZINC000819312449 641399447 /nfs/dbraw/zinc/39/94/47/641399447.db2.gz UCNCCUINJKNFJM-GFCCVEGCSA-N 0 1 265.288 0.397 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001015940419 650660409 /nfs/dbraw/zinc/66/04/09/650660409.db2.gz KEJIYKFPMJXTJL-LLVKDONJSA-N 0 1 262.313 0.475 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC001016102572 650682096 /nfs/dbraw/zinc/68/20/96/650682096.db2.gz XDIQNUHWQMGFMJ-KBPBESRZSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2noc3c2COCC3)C1 ZINC001016301101 650713499 /nfs/dbraw/zinc/71/34/99/650713499.db2.gz SAYDXSFMIVKNTA-LLVKDONJSA-N 0 1 289.335 0.575 20 30 CCEDMN CN1CC(C(=O)N2CCSC[C@@H]2C#N)=NC1=O ZINC000831691226 642385812 /nfs/dbraw/zinc/38/58/12/642385812.db2.gz QAUGWEHLFFSWAW-ZETCQYMHSA-N 0 1 252.299 0.207 20 30 CCEDMN Cc1ccc(CNC(=O)[C@]2(C)C[C@@H](O)CN2C)cc1C#N ZINC000831781116 642394655 /nfs/dbraw/zinc/39/46/55/642394655.db2.gz HXZIJLJKZVWUPI-ZBFHGGJFSA-N 0 1 287.363 0.938 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccn(-c2ccccc2)n1 ZINC000834480992 642572368 /nfs/dbraw/zinc/57/23/68/642572368.db2.gz YTCQABMIXOGPRO-DOMZBBRYSA-N 0 1 295.346 0.643 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccc(F)c(F)c1 ZINC000834481049 642574186 /nfs/dbraw/zinc/57/41/86/642574186.db2.gz ZMZMFAHNMHXDQD-BXKDBHETSA-N 0 1 265.263 0.735 20 30 CCEDMN Cn1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)c1-c1ccccc1 ZINC000834485146 642575861 /nfs/dbraw/zinc/57/58/61/642575861.db2.gz SFOQLIDZBRKEDD-OCCSQVGLSA-N 0 1 295.346 0.928 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnn2c1CCCC2 ZINC000834485301 642576866 /nfs/dbraw/zinc/57/68/66/642576866.db2.gz UXHVLFMSPQXNNX-MWLCHTKSSA-N 0 1 259.313 0.061 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnn2ccccc12 ZINC000834485583 642578395 /nfs/dbraw/zinc/57/83/95/642578395.db2.gz YWQRYEVKNJKUHC-GXSJLCMTSA-N 0 1 255.281 0.176 20 30 CCEDMN Cc1ncc(Cl)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000834489506 642579142 /nfs/dbraw/zinc/57/91/42/642579142.db2.gz NBALDRUCCBODNS-IONNQARKSA-N 0 1 265.704 0.280 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc2c1OCO2 ZINC000834490964 642582206 /nfs/dbraw/zinc/58/22/06/642582206.db2.gz YQIWSHJVTKSMLT-WCBMZHEXSA-N 0 1 259.265 0.257 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(-n2cccn2)ccn1 ZINC000834490338 642582261 /nfs/dbraw/zinc/58/22/61/642582261.db2.gz UFCXXHGQIIAAQG-MFKMUULPSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2nccn2c1 ZINC000834494411 642583537 /nfs/dbraw/zinc/58/35/37/642583537.db2.gz MVTVDMPAKLSAQY-GHMZBOCLSA-N 0 1 255.281 0.176 20 30 CCEDMN Cn1cc(C(=O)N[C@H]2CNC[C@H]2C#N)c(-c2cccnc2)n1 ZINC000834494104 642584185 /nfs/dbraw/zinc/58/41/85/642584185.db2.gz KDQIQCVWZZFMGK-YPMHNXCESA-N 0 1 296.334 0.323 20 30 CCEDMN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834494581 642585854 /nfs/dbraw/zinc/58/58/54/642585854.db2.gz OGZOTKQEGSZRPH-BXKDBHETSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1=Cc2cc(F)ccc2OC1 ZINC000834499152 642586671 /nfs/dbraw/zinc/58/66/71/642586671.db2.gz FGDZJCKLGPXBEQ-YPMHNXCESA-N 0 1 287.294 0.829 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(NC2CC2)nc1 ZINC000834495696 642587243 /nfs/dbraw/zinc/58/72/43/642587243.db2.gz YGECXEBRLBHVAQ-ZYHUDNBSSA-N 0 1 271.324 0.497 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COc1ccc(F)cc1 ZINC000834505850 642591520 /nfs/dbraw/zinc/59/15/20/642591520.db2.gz OSFFWDNHYAULPY-BXKDBHETSA-N 0 1 263.272 0.432 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(F)cc(F)c1 ZINC000834504805 642591820 /nfs/dbraw/zinc/59/18/20/642591820.db2.gz FJSJFRRNAIXRTJ-LDYMZIIASA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000834505382 642591906 /nfs/dbraw/zinc/59/19/06/642591906.db2.gz KFQXYGDUUDQYPL-WPRPVWTQSA-N 0 1 275.268 0.018 20 30 CCEDMN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834510892 642594615 /nfs/dbraw/zinc/59/46/15/642594615.db2.gz GPFUXMUXHXYWEA-KCJUWKMLSA-N 0 1 275.268 0.140 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnn(-c2ccccc2)n1 ZINC000834511164 642596077 /nfs/dbraw/zinc/59/60/77/642596077.db2.gz IYWKREKGSCJXAR-JQWIXIFHSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCNC(=O)c1ccsc1 ZINC000834512548 642598147 /nfs/dbraw/zinc/59/81/47/642598147.db2.gz YOENGUVNRWDXHG-QWRGUYRKSA-N 0 1 292.364 0.096 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COc1ccc(F)cc1F ZINC000834517522 642599970 /nfs/dbraw/zinc/59/99/70/642599970.db2.gz WPQHQMPWJJRSIU-KCJUWKMLSA-N 0 1 281.262 0.571 20 30 CCEDMN C[C@H](Oc1ccccc1F)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834517679 642600121 /nfs/dbraw/zinc/60/01/21/642600121.db2.gz YDZOZXZAMLHWLJ-NHCYSSNCSA-N 0 1 277.299 0.821 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(C(F)(F)F)nc1 ZINC000834517274 642600516 /nfs/dbraw/zinc/60/05/16/642600516.db2.gz TVAZKRHLXYJNJC-DTWKUNHWSA-N 0 1 284.241 0.942 20 30 CCEDMN Cc1noc(C)c1CCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834516491 642600821 /nfs/dbraw/zinc/60/08/21/642600821.db2.gz IXYWSIOPSKQTNA-JQWIXIFHSA-N 0 1 262.313 0.452 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc2ccccc2[nH]c1=O ZINC000834517256 642600898 /nfs/dbraw/zinc/60/08/98/642600898.db2.gz SWJITEBXJUAFKA-ZWNOBZJWSA-N 0 1 282.303 0.782 20 30 CCEDMN COc1ncc(C)cc1NC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000841133542 642804320 /nfs/dbraw/zinc/80/43/20/642804320.db2.gz HHUJQXUKPHAHDG-GXSJLCMTSA-N 0 1 275.312 0.632 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1cnc(CC2CC2)nc1 ZINC000841233922 642808502 /nfs/dbraw/zinc/80/85/02/642808502.db2.gz BYHPEMQTDFLLJV-PWSUYJOCSA-N 0 1 286.339 0.662 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CC(N)=O ZINC001017430838 650801710 /nfs/dbraw/zinc/80/17/10/650801710.db2.gz UDEBGYDCYVDGSZ-PHIMTYICSA-N 0 1 251.330 0.113 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc[nH]n1 ZINC001017434367 650802511 /nfs/dbraw/zinc/80/25/11/650802511.db2.gz COIIOIBPFJWBHY-OKILXGFUSA-N 0 1 272.352 0.651 20 30 CCEDMN O=C(CCn1c(=O)c2ccccc2[nH]c1=S)NO ZINC000843045978 642981518 /nfs/dbraw/zinc/98/15/18/642981518.db2.gz RRNQSRNLWJHZRW-UHFFFAOYSA-N 0 1 265.294 0.581 20 30 CCEDMN Cc1cncc(/C=C\C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000843459029 643063404 /nfs/dbraw/zinc/06/34/04/643063404.db2.gz BTLBMVOYXKMPST-LLGAQUFESA-N 0 1 256.309 0.631 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(-c2ccco2)on1 ZINC000843459354 643063683 /nfs/dbraw/zinc/06/36/83/643063683.db2.gz DSRGBECHENAGFK-WPRPVWTQSA-N 0 1 272.264 0.776 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCOc1ccccc1F ZINC000843459101 643063860 /nfs/dbraw/zinc/06/38/60/643063860.db2.gz BVMATAWBBXDIHA-JQWIXIFHSA-N 0 1 277.299 0.822 20 30 CCEDMN Cc1noc(C)c1CSCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000843460953 643064821 /nfs/dbraw/zinc/06/48/21/643064821.db2.gz JFWKAZWSIWOJOP-CMPLNLGQSA-N 0 1 294.380 0.752 20 30 CCEDMN Cc1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(C(C)C)n1 ZINC000843462099 643066563 /nfs/dbraw/zinc/06/65/63/643066563.db2.gz ZUKVGTCLIMEXPP-CMPLNLGQSA-N 0 1 273.340 0.750 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1cnn(-c2ccccc2)n1 ZINC000843462830 643067982 /nfs/dbraw/zinc/06/79/82/643067982.db2.gz SXFNBDQSQBQENV-FZMZJTMJSA-N 0 1 296.334 0.038 20 30 CCEDMN Cc1cc(C)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c(=O)[nH]1 ZINC000843463519 643068119 /nfs/dbraw/zinc/06/81/19/643068119.db2.gz XAPXTDZTERIYLV-NXEZZACHSA-N 0 1 260.297 0.245 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1c(F)cc(F)cc1F ZINC000843463995 643068217 /nfs/dbraw/zinc/06/82/17/643068217.db2.gz YSFXLPBKWCEFDJ-LDWIPMOCSA-N 0 1 269.226 0.945 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccnc1-n1cccn1 ZINC000844195164 643179663 /nfs/dbraw/zinc/17/96/63/643179663.db2.gz QTVIAAALZIQTJJ-ZYHUDNBSSA-N 0 1 282.307 0.109 20 30 CCEDMN C[C@H](CO)C1CCN(CC(=O)NCCC#N)CC1 ZINC000844420313 643214003 /nfs/dbraw/zinc/21/40/03/643214003.db2.gz WBRHAGHAZKUJDL-LLVKDONJSA-N 0 1 253.346 0.357 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001018156313 650846297 /nfs/dbraw/zinc/84/62/97/650846297.db2.gz LFVRICKQUXWQJA-MELADBBJSA-N 0 1 298.390 0.823 20 30 CCEDMN Cc1nn(C(C)C)cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000848419475 643634337 /nfs/dbraw/zinc/63/43/37/643634337.db2.gz VIDAOWJOUTZILN-ZYHUDNBSSA-N 0 1 261.329 0.614 20 30 CCEDMN CC#CCNCc1cn(CCNC(=O)C(F)(F)F)nn1 ZINC000849141260 643710003 /nfs/dbraw/zinc/71/00/03/643710003.db2.gz OGFWXMKXSMQTTC-UHFFFAOYSA-N 0 1 289.261 0.070 20 30 CCEDMN C#CCCCNS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000849378960 643735142 /nfs/dbraw/zinc/73/51/42/643735142.db2.gz LLGPJCCVWMQRGJ-UHFFFAOYSA-N 0 1 269.282 0.682 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(C)n1-c1ccon1 ZINC000852875730 644037290 /nfs/dbraw/zinc/03/72/90/644037290.db2.gz OMUUZWZKSLQNIY-DGCLKSJQSA-N 0 1 299.334 0.924 20 30 CCEDMN Cc1ncoc1CN[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001018631766 650887348 /nfs/dbraw/zinc/88/73/48/650887348.db2.gz KBBYLIPHGUTXIV-ONGXEEELSA-N 0 1 262.313 0.833 20 30 CCEDMN C[C@@H](O)CN(C)N=Cc1ccnn1-c1ccncc1 ZINC000853357089 644101686 /nfs/dbraw/zinc/10/16/86/644101686.db2.gz PAOQIZSZJWCFKG-LLVKDONJSA-N 0 1 259.313 0.914 20 30 CCEDMN Cn1nc(C(F)(F)F)cc1C=NNC1=NCCN1 ZINC000853357985 644101724 /nfs/dbraw/zinc/10/17/24/644101724.db2.gz QQVVOPQOCXPWRR-UHFFFAOYSA-N 0 1 260.223 0.322 20 30 CCEDMN C[C@H](O)CN(C)N=Cc1ccnn1-c1ccncc1 ZINC000853357091 644101784 /nfs/dbraw/zinc/10/17/84/644101784.db2.gz PAOQIZSZJWCFKG-NSHDSACASA-N 0 1 259.313 0.914 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(=O)n(C)o2)C1 ZINC001018772511 650905925 /nfs/dbraw/zinc/90/59/25/650905925.db2.gz NKQUCKUOXAKGEK-VIFPVBQESA-N 0 1 285.731 0.535 20 30 CCEDMN N#Cc1cnn(C(=O)[C@@H]2CCc3[nH]cnc3C2)c1N ZINC000857039995 644458116 /nfs/dbraw/zinc/45/81/16/644458116.db2.gz LOXUCUJEUGGFDB-SSDOTTSWSA-N 0 1 256.269 0.505 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H]2CCNC2=O)C1 ZINC001018959091 650924904 /nfs/dbraw/zinc/92/49/04/650924904.db2.gz KAOBWRNJLZPESH-MNOVXSKESA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001019073512 650936246 /nfs/dbraw/zinc/93/62/46/650936246.db2.gz ANSIISKRUMJWFU-QWRGUYRKSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2n[nH]nc2C)C1 ZINC001019224669 650949093 /nfs/dbraw/zinc/94/90/93/650949093.db2.gz BRLZVHWSIYHFMR-VIFPVBQESA-N 0 1 269.736 0.670 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001019229033 650949801 /nfs/dbraw/zinc/94/98/01/650949801.db2.gz SOJVIHYHBIJSLL-NWDGAFQWSA-N 0 1 299.802 0.940 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2cnn(C)n2)C1 ZINC001019392628 650968591 /nfs/dbraw/zinc/96/85/91/650968591.db2.gz HJVQMOOCBCOMDT-LBPRGKRZSA-N 0 1 297.790 0.691 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC001019538044 650984151 /nfs/dbraw/zinc/98/41/51/650984151.db2.gz KVSQBMSBPRUJKJ-QMMMGPOBSA-N 0 1 255.709 0.361 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001019623599 650993640 /nfs/dbraw/zinc/99/36/40/650993640.db2.gz GVWOXEBVOIDYQX-PWSUYJOCSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2nnc[nH]2)C1 ZINC001019628508 650994160 /nfs/dbraw/zinc/99/41/60/650994160.db2.gz CPUBGRYJVNPPPN-VIFPVBQESA-N 0 1 269.736 0.290 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001019826588 651009017 /nfs/dbraw/zinc/00/90/17/651009017.db2.gz OBIQYIYZKZOGNM-RYUDHWBXSA-N 0 1 299.802 0.798 20 30 CCEDMN CCc1n[nH]c(C(=O)N[C@H]2C[C@H](NCC#N)C2)c1Cl ZINC001020248546 651052769 /nfs/dbraw/zinc/05/27/69/651052769.db2.gz NTTIZTGCTWPBPR-ZKCHVHJHSA-N 0 1 281.747 0.999 20 30 CCEDMN N#Cc1cccc(ONC(=O)[C@H]2CCNC(=O)C2)c1 ZINC000870691201 646018179 /nfs/dbraw/zinc/01/81/79/646018179.db2.gz HCTROWODHSNQKQ-JTQLQIEISA-N 0 1 259.265 0.494 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ncc2n1CCCC2 ZINC000870941149 646053963 /nfs/dbraw/zinc/05/39/63/646053963.db2.gz RKZQDGCBVCSPNU-ONGXEEELSA-N 0 1 259.313 0.061 20 30 CCEDMN C[C@H](N[C@@H](C)c1ccnn1C)C(=O)N(C)CCC#N ZINC000871927713 646197235 /nfs/dbraw/zinc/19/72/35/646197235.db2.gz OXUOBARSTLRILI-QWRGUYRKSA-N 0 1 263.345 0.831 20 30 CCEDMN C[C@H](C(=O)N1CCN(C2CC2)[C@@H](C#N)C1)N(C)C ZINC000874461034 646467440 /nfs/dbraw/zinc/46/74/40/646467440.db2.gz PZGBBXWSMZGDIK-PWSUYJOCSA-N 0 1 250.346 0.135 20 30 CCEDMN C[C@@H](C#N)Oc1ccc(CN2CC[C@@H](O)[C@@H](O)C2)cc1 ZINC000877748920 646837805 /nfs/dbraw/zinc/83/78/05/646837805.db2.gz MNEPLCDLDZDBNE-GLQYFDAESA-N 0 1 276.336 0.905 20 30 CCEDMN N#Cc1ccc(N2CCN(CC3(CO)COC3)CC2)cc1 ZINC000879586112 647078576 /nfs/dbraw/zinc/07/85/76/647078576.db2.gz YWGYSJNRFOWURA-UHFFFAOYSA-N 0 1 287.363 0.689 20 30 CCEDMN N#Cc1ccccc1OCCN1CC[C@@H](O)[C@@H](O)C1 ZINC000879613055 647084407 /nfs/dbraw/zinc/08/44/07/647084407.db2.gz XEHYLHAKGQSUFD-OLZOCXBDSA-N 0 1 262.309 0.365 20 30 CCEDMN C[C@@H](NCC(=O)N[C@@](C)(C#N)C1CC1)c1ccnn1C ZINC000879683161 647092720 /nfs/dbraw/zinc/09/27/20/647092720.db2.gz NBEPPTHZDFXHNQ-YGRLFVJLSA-N 0 1 275.356 0.879 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CC[C@](O)(CC#N)C1 ZINC000880412701 647197662 /nfs/dbraw/zinc/19/76/62/647197662.db2.gz BEYOTRPGUZGCDA-RIEGTJTDSA-N 0 1 288.351 0.953 20 30 CCEDMN Cc1nc(C)c(CC(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000884249879 647680764 /nfs/dbraw/zinc/68/07/64/647680764.db2.gz SVECTRLPCSHMJW-NXEZZACHSA-N 0 1 264.354 0.530 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ncccc1-c1ccccn1 ZINC000884250946 647682120 /nfs/dbraw/zinc/68/21/20/647682120.db2.gz SECHJCBDNYTSJI-FZMZJTMJSA-N 0 1 293.330 0.985 20 30 CCEDMN Cc1cnc(Cl)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000884251119 647682326 /nfs/dbraw/zinc/68/23/26/647682326.db2.gz VZEJNBRUAFAIBG-PSASIEDQSA-N 0 1 264.716 0.885 20 30 CCEDMN C[C@H]1C[N@H+](C[C@@H](O)COc2ccccc2C#N)CCN1C ZINC000216557299 647832338 /nfs/dbraw/zinc/83/23/38/647832338.db2.gz IJLDDDJLBIUYJD-DZGCQCFKSA-N 0 1 289.379 0.934 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CC[C@@H]3OCC(=O)N[C@H]3C2)CCC1 ZINC000886239443 647927275 /nfs/dbraw/zinc/92/72/75/647927275.db2.gz XBTHQIUVZVAQOZ-AGIUHOORSA-N 0 1 293.367 0.021 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCc3nc[nH]c3C2)n1 ZINC000929079238 648700256 /nfs/dbraw/zinc/70/02/56/648700256.db2.gz HAXDCYBCRNNSKM-UHFFFAOYSA-N 0 1 253.265 0.875 20 30 CCEDMN N#CC[C@@H](O)C[N@@H+]1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000930519188 648871527 /nfs/dbraw/zinc/87/15/27/648871527.db2.gz HZWAUGQARCVZHT-NXEZZACHSA-N 0 1 293.289 0.651 20 30 CCEDMN N#CC[C@@H](O)C[N@H+]1CCC[C@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000930519188 648871531 /nfs/dbraw/zinc/87/15/31/648871531.db2.gz HZWAUGQARCVZHT-NXEZZACHSA-N 0 1 293.289 0.651 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCc2nccc(N)c2C1 ZINC000931518529 649000549 /nfs/dbraw/zinc/00/05/49/649000549.db2.gz KTNOXJRWFISIDG-LLVKDONJSA-N 0 1 299.378 0.973 20 30 CCEDMN Cc1nc(N2CCN(CCCSCC#N)CC2)n[nH]1 ZINC000931626421 649011226 /nfs/dbraw/zinc/01/12/26/649011226.db2.gz PNLVCVDEYDRLAS-UHFFFAOYSA-N 0 1 280.401 0.882 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)[C@@H]1CCCN(CC#N)CC1 ZINC000948669273 649387316 /nfs/dbraw/zinc/38/73/16/649387316.db2.gz DPTGKWUPJIPMDO-LLVKDONJSA-N 0 1 276.344 0.563 20 30 CCEDMN Cc1nc(N2C[C@H]3OCCN(C)[C@@H]3C2)ccc1C#N ZINC000488111269 719410934 /nfs/dbraw/zinc/41/09/34/719410934.db2.gz FTAQEGGVHSIPCE-CHWSQXEVSA-N 0 1 258.325 0.781 20 30 CCEDMN Cc1cnc(N2C[C@@H]3OCCN(C)[C@H]3C2)c(C#N)n1 ZINC001164675057 719426906 /nfs/dbraw/zinc/42/69/06/719426906.db2.gz YIHHLYDGMDFASX-RYUDHWBXSA-N 0 1 259.313 0.176 20 30 CCEDMN Cc1ccc(CC#N)c(N2C[C@@H]3[C@H](C2)OCCN3C)n1 ZINC001164666884 719453781 /nfs/dbraw/zinc/45/37/81/719453781.db2.gz DRPGOAPYTDGPLC-KGLIPLIRSA-N 0 1 272.352 0.975 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1N1C[C@H]2OCCN(C)[C@@H]2C1 ZINC001164668001 719477643 /nfs/dbraw/zinc/47/76/43/719477643.db2.gz NLUIAXDRBWHPNY-ZIAGYGMSSA-N 0 1 298.350 0.302 20 30 CCEDMN C#C[C@H](Oc1nc[nH]c(=O)c1Cl)C(=O)OCC ZINC001230017324 805575968 /nfs/dbraw/zinc/57/59/68/805575968.db2.gz KKQLLLQZIYVNTI-LURJTMIESA-N 0 1 256.645 0.779 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCCC(N)=O)CC1 ZINC001230455901 805602866 /nfs/dbraw/zinc/60/28/66/805602866.db2.gz NWLUCZDSNBWUKT-UHFFFAOYSA-N 0 1 265.357 0.246 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)COC ZINC001230544446 805615546 /nfs/dbraw/zinc/61/55/46/805615546.db2.gz OGUJMEVVIZTEOW-GFCCVEGCSA-N 0 1 256.346 0.368 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001266313998 790490670 /nfs/dbraw/zinc/49/06/70/790490670.db2.gz QRHFPTAJFSGZRS-CYBMUJFWSA-N 0 1 290.367 0.946 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN(CCn2cccn2)C1 ZINC001266317919 790494392 /nfs/dbraw/zinc/49/43/92/790494392.db2.gz WOUXZRSKTLUMAV-CQSZACIVSA-N 0 1 274.368 0.877 20 30 CCEDMN CCO[C@@H](C)C(=O)NCCN(CC)CC#CCOC ZINC001266378050 790612926 /nfs/dbraw/zinc/61/29/26/790612926.db2.gz OHPUXRZMKKIQSH-ZDUSSCGKSA-N 0 1 270.373 0.499 20 30 CCEDMN CCN(CC#N)CCNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001266390667 790623573 /nfs/dbraw/zinc/62/35/73/790623573.db2.gz HBYIOSYLQBRBBV-LLVKDONJSA-N 0 1 275.356 0.791 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cc2n(n1)CCO2 ZINC001266394484 790628237 /nfs/dbraw/zinc/62/82/37/790628237.db2.gz RJRHJEPQXUPOAX-UHFFFAOYSA-N 0 1 276.340 0.351 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CCCn1cncn1 ZINC001266427997 790682930 /nfs/dbraw/zinc/68/29/30/790682930.db2.gz HYCFIEDMOGDDGK-UHFFFAOYSA-N 0 1 277.372 0.520 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1C[C@]12CCOC2 ZINC001266428559 790687015 /nfs/dbraw/zinc/68/70/15/790687015.db2.gz RPOUUHDRJMYWKZ-JSGCOSHPSA-N 0 1 250.342 0.484 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)C(C)(C)F)C1 ZINC001266497442 790791806 /nfs/dbraw/zinc/79/18/06/790791806.db2.gz FUEHQNMSQYKUJZ-NEPJUHHUSA-N 0 1 299.390 0.863 20 30 CCEDMN CCCN(CCNC(=O)C#CC1CC1)[C@@H](CC)C(N)=O ZINC001266560185 790929583 /nfs/dbraw/zinc/92/95/83/790929583.db2.gz CINSEMRSFJTHAD-ZDUSSCGKSA-N 0 1 279.384 0.492 20 30 CCEDMN C#CCN(CCNC(=O)c1cnc2n1CCOC2)C1CC1 ZINC001266596554 791000370 /nfs/dbraw/zinc/00/03/70/791000370.db2.gz JBKSMIHJJLIXFZ-UHFFFAOYSA-N 0 1 288.351 0.241 20 30 CCEDMN C#CCN(CCNC(=O)c1ccc2c(c1)nnn2C)C1CC1 ZINC001266596369 791002173 /nfs/dbraw/zinc/00/21/73/791002173.db2.gz BTRPPHWUTPKVNO-UHFFFAOYSA-N 0 1 297.362 0.796 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)COCC)C1 ZINC001279460833 791030128 /nfs/dbraw/zinc/03/01/28/791030128.db2.gz FYJXPRSUIXLPKH-AWEZNQCLSA-N 0 1 270.373 0.542 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCCO1 ZINC001230676630 805644599 /nfs/dbraw/zinc/64/45/99/805644599.db2.gz RMGKPXQGCFSTLV-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN CCC(=O)NCCOCC[N@@H+](C)CC#CCOC ZINC001277461997 805644938 /nfs/dbraw/zinc/64/49/38/805644938.db2.gz YYLFSJVAKSMPJQ-UHFFFAOYSA-N 0 1 256.346 0.111 20 30 CCEDMN CCC(=O)NCCOCCN(C)CC#CCOC ZINC001277461997 805644945 /nfs/dbraw/zinc/64/49/45/805644945.db2.gz YYLFSJVAKSMPJQ-UHFFFAOYSA-N 0 1 256.346 0.111 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)NC(C)=O ZINC001230697663 805651109 /nfs/dbraw/zinc/65/11/09/805651109.db2.gz KZAORGOJAZMTHL-DGCLKSJQSA-N 0 1 267.373 0.620 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCCOC ZINC001230888420 805682984 /nfs/dbraw/zinc/68/29/84/805682984.db2.gz BBTYZPMACISSHT-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1ccccn1 ZINC001231175510 805742879 /nfs/dbraw/zinc/74/28/79/805742879.db2.gz GUVDSBMLRACAIT-UHFFFAOYSA-N 0 1 290.367 0.436 20 30 CCEDMN N#Cc1cccc(CN2CC[C@H]3CNC(=O)[C@H]3C2)n1 ZINC001232925687 805996620 /nfs/dbraw/zinc/99/66/20/805996620.db2.gz KUBQZRYJYPGBHC-GWCFXTLKSA-N 0 1 256.309 0.521 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@@]1(F)CCOC1 ZINC001266815460 791259829 /nfs/dbraw/zinc/25/98/29/791259829.db2.gz UNENAYBNQBHQDF-LLVKDONJSA-N 0 1 264.728 0.916 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CCNC1=O ZINC001039434607 791287000 /nfs/dbraw/zinc/28/70/00/791287000.db2.gz JOWIOVSSPIWVIU-DVOMOZLQSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnccn3)C[C@H]21 ZINC001041911777 791319080 /nfs/dbraw/zinc/31/90/80/791319080.db2.gz YHCMTEUZVKTRAM-TZMCWYRMSA-N 0 1 270.336 0.646 20 30 CCEDMN C=CCOCCCC(=O)N(C)C[C@@H]1CCN1C[C@@H](C)O ZINC001233490443 806064116 /nfs/dbraw/zinc/06/41/16/806064116.db2.gz QXZQWZWBYWMXOU-KGLIPLIRSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H](CNCc2ccon2)C1 ZINC001267038288 791470297 /nfs/dbraw/zinc/47/02/97/791470297.db2.gz BQQLBMBXJVASLV-CHWSQXEVSA-N 0 1 291.351 0.651 20 30 CCEDMN N#Cc1cnc2cc(N[C@H]3CCC(=O)NC3=O)c[nH]c1-2 ZINC001167572391 791559000 /nfs/dbraw/zinc/55/90/00/791559000.db2.gz CQHNIEBRZBQIMM-VIFPVBQESA-N 0 1 269.264 0.652 20 30 CCEDMN CC[C@H](C)OCC(=O)NCCN(C)CC#CCOC ZINC001267192088 791650315 /nfs/dbraw/zinc/65/03/15/791650315.db2.gz GVHLTRVYEZXRAC-ZDUSSCGKSA-N 0 1 270.373 0.499 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cncc(COC)c1 ZINC001267199089 791662049 /nfs/dbraw/zinc/66/20/49/791662049.db2.gz GHSIUISTEHKGIL-UHFFFAOYSA-N 0 1 275.352 0.913 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cn(C)nc1COC ZINC001267203595 791670076 /nfs/dbraw/zinc/67/00/76/791670076.db2.gz WTKYPXYYMXWQDP-UHFFFAOYSA-N 0 1 278.356 0.251 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CN1CCOCC1 ZINC001233750578 806113362 /nfs/dbraw/zinc/11/33/62/806113362.db2.gz GQWDUKHXHUUSAV-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)CC(=O)NCC ZINC001233827972 806127237 /nfs/dbraw/zinc/12/72/37/806127237.db2.gz LMKOXGVMMUQMRG-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN CCOCCN1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234195454 806202905 /nfs/dbraw/zinc/20/29/05/806202905.db2.gz KYUHMXDXMOVOOI-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CCN1CCCF ZINC001234266691 806212842 /nfs/dbraw/zinc/21/28/42/806212842.db2.gz SISNOZUOQIVMHO-STQMWFEESA-N 0 1 270.348 0.917 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)C#CC(C)C ZINC001267240962 793227700 /nfs/dbraw/zinc/22/77/00/793227700.db2.gz FXDACJGJHVZPAM-UHFFFAOYSA-N 0 1 264.369 0.734 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1[nH]c(=O)[nH]c1C ZINC001267243364 793233282 /nfs/dbraw/zinc/23/32/82/793233282.db2.gz VORLKZDPYMGWRH-UHFFFAOYSA-N 0 1 250.302 0.109 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1coc(C(N)=O)c1 ZINC001267246918 793240968 /nfs/dbraw/zinc/24/09/68/793240968.db2.gz SBDDTAGNKDHBMW-UHFFFAOYSA-N 0 1 277.324 0.454 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCC(=O)NC1 ZINC001235247816 806395232 /nfs/dbraw/zinc/39/52/32/806395232.db2.gz ZMGPWVLILDIAFX-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN N#CCCN1CC2(C1)CN(C(=O)[C@@H]1CC3CCN1CC3)C2 ZINC001277936611 806405022 /nfs/dbraw/zinc/40/50/22/806405022.db2.gz NAYMNMHKHBNQFI-AWEZNQCLSA-N 0 1 288.395 0.529 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)C(C)(C)C(N)=O ZINC001235615517 806472945 /nfs/dbraw/zinc/47/29/45/806472945.db2.gz FHHKKTSAPALTDC-LBPRGKRZSA-N 0 1 297.399 0.233 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235703275 806494874 /nfs/dbraw/zinc/49/48/74/806494874.db2.gz TWZBGUANSNWWPE-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235703275 806494877 /nfs/dbraw/zinc/49/48/77/806494877.db2.gz TWZBGUANSNWWPE-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1csc(C)c1C ZINC001278084756 806653439 /nfs/dbraw/zinc/65/34/39/806653439.db2.gz HAYJNYPXTREDOC-NSHDSACASA-N 0 1 266.366 0.678 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1C[C@@H]2COC[C@@H]2C1 ZINC001121650006 799063861 /nfs/dbraw/zinc/06/38/61/799063861.db2.gz FTNAUTMMISQDFB-AOOOYVTPSA-N 0 1 287.327 0.332 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1nccn1CC(F)(F)F ZINC001121916798 799092993 /nfs/dbraw/zinc/09/29/93/799092993.db2.gz KDHCLSKGSBHWCV-UHFFFAOYSA-N 0 1 288.273 0.627 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)c1nc2ccccc2n1C ZINC001121923340 799093908 /nfs/dbraw/zinc/09/39/08/799093908.db2.gz YFQPJZAQHQADPL-LLVKDONJSA-N 0 1 270.336 0.973 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCOc2ccc(F)cc21 ZINC001121953635 799106532 /nfs/dbraw/zinc/10/65/32/799106532.db2.gz HCSWSKHMDJMGFW-LBPRGKRZSA-N 0 1 262.284 0.988 20 30 CCEDMN C#CCNCC(=O)N1C[C@H](CC)[C@@](F)(C(=O)OCC)C1 ZINC001122134485 799151210 /nfs/dbraw/zinc/15/12/10/799151210.db2.gz QXHYTRIVCDTQCT-SMDDNHRTSA-N 0 1 284.331 0.349 20 30 CCEDMN N#CC(C(=O)CCCCNC(N)=O)C(=O)N1CCCC1 ZINC001122493063 799248468 /nfs/dbraw/zinc/24/84/68/799248468.db2.gz GHPZRQSDODMTEC-JTQLQIEISA-N 0 1 280.328 0.156 20 30 CCEDMN COc1c(C(=O)[C@H](C#N)c2nccn2C)cnn1C ZINC001122609511 799273785 /nfs/dbraw/zinc/27/37/85/799273785.db2.gz KWSKMWHTPWEZEY-QMMMGPOBSA-N 0 1 259.269 0.652 20 30 CCEDMN COc1c(C(=O)C(C#N)c2nccn2C)cnn1C ZINC001122609511 799273788 /nfs/dbraw/zinc/27/37/88/799273788.db2.gz KWSKMWHTPWEZEY-QMMMGPOBSA-N 0 1 259.269 0.652 20 30 CCEDMN N#C[C@H](C(=O)CN1CCOCC1)c1cccc(F)n1 ZINC001122644107 799280279 /nfs/dbraw/zinc/28/02/79/799280279.db2.gz OSUIUFUISHWSKW-JTQLQIEISA-N 0 1 263.272 0.729 20 30 CCEDMN CCN1C[C@@H]2C(C(=O)NC3(C#N)CCC3)=NO[C@@H]2C1 ZINC001278161010 806734051 /nfs/dbraw/zinc/73/40/51/806734051.db2.gz SVYMYZIOOUTDKV-VHSXEESVSA-N 0 1 262.313 0.255 20 30 CCEDMN C#Cc1cccc(NC(=O)CNCCNC(=O)[C@@H]2C[C@@H]2C)c1 ZINC001122932822 799315413 /nfs/dbraw/zinc/31/54/13/799315413.db2.gz WTWDBOOWTTZEJZ-SWLSCSKDSA-N 0 1 299.374 0.968 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)C1=COCCO1 ZINC001123026333 799341070 /nfs/dbraw/zinc/34/10/70/799341070.db2.gz IQQXMXMVUHIBEV-UHFFFAOYSA-N 0 1 287.319 0.652 20 30 CCEDMN C[C@H](C#N)CNC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001123546640 799430448 /nfs/dbraw/zinc/43/04/48/799430448.db2.gz OQZMRPNWTLYHLJ-GRYCIOLGSA-N 0 1 267.377 0.080 20 30 CCEDMN O=C(CCn1cncn1)NCCNCC#Cc1ccccc1 ZINC001123659059 799450483 /nfs/dbraw/zinc/45/04/83/799450483.db2.gz BTHINBRMMAEWJP-UHFFFAOYSA-N 0 1 297.362 0.426 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C[C@@H](C)c1ccco1 ZINC001278187616 806748910 /nfs/dbraw/zinc/74/89/10/806748910.db2.gz VAIWHXFMBJOHKV-VXGBXAGGSA-N 0 1 264.325 0.473 20 30 CCEDMN C#CCSCC(=O)N1CC(N2CCN(CC)CC2)C1 ZINC001123965318 799517991 /nfs/dbraw/zinc/51/79/91/799517991.db2.gz VZUQOOOLCQHXJD-UHFFFAOYSA-N 0 1 281.425 0.201 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](C)Oc1ccc(C)cc1 ZINC001278195437 806757201 /nfs/dbraw/zinc/75/72/01/806757201.db2.gz SIUXNXBXIHMMLG-UONOGXRCSA-N 0 1 290.363 0.462 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(C)c(CC)s1 ZINC001278195881 806757687 /nfs/dbraw/zinc/75/76/87/806757687.db2.gz VCLZOYXKXVTTNT-NSHDSACASA-N 0 1 280.393 0.932 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1C[C@@H]1C(=O)OC ZINC001124663595 799624282 /nfs/dbraw/zinc/62/42/82/799624282.db2.gz QSHMFZMLASYFKQ-BDAKNGLRSA-N 0 1 260.721 0.254 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCc1cnnn1-c1ccccc1 ZINC001124895003 799644721 /nfs/dbraw/zinc/64/47/21/799644721.db2.gz CBDWIDXMGMUYDC-LBPRGKRZSA-N 0 1 298.350 0.633 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)Nc1cc(S(N)(=O)=O)ccc1[O-] ZINC001137775331 799687016 /nfs/dbraw/zinc/68/70/16/799687016.db2.gz VXSKMYMFEZUANI-UHFFFAOYSA-N 0 1 299.352 0.096 20 30 CCEDMN C=CCn1cc(CN2CC[C@](C)(CO)[C@H](O)C2)cn1 ZINC001139767811 799839421 /nfs/dbraw/zinc/83/94/21/799839421.db2.gz BPJPCSKINPYCKO-ZIAGYGMSSA-N 0 1 265.357 0.634 20 30 CCEDMN N#Cc1ccc(CN2CCc3nncn3CC2)cn1 ZINC001140539842 799920659 /nfs/dbraw/zinc/92/06/59/799920659.db2.gz AYRIRPXSDHCGFS-UHFFFAOYSA-N 0 1 254.297 0.603 20 30 CCEDMN N#Cc1ccc(CN2CC[C@H](N3CCOCC3)C2)cn1 ZINC001140544574 799921532 /nfs/dbraw/zinc/92/15/32/799921532.db2.gz UOQQPROTDJJDPL-HNNXBMFYSA-N 0 1 272.352 0.860 20 30 CCEDMN CN(CCN1CCOCC1)Cc1ccc(C#N)nc1 ZINC001140540798 799922083 /nfs/dbraw/zinc/92/20/83/799922083.db2.gz MLZHXRQPJHRRKO-UHFFFAOYSA-N 0 1 260.341 0.717 20 30 CCEDMN CC(C)(NC(=O)c1cccc(C#N)n1)c1c[nH]nn1 ZINC001142026329 800059323 /nfs/dbraw/zinc/05/93/23/800059323.db2.gz ODCZVPMIAYOBIY-UHFFFAOYSA-N 0 1 256.269 0.736 20 30 CCEDMN CCOC(=O)[C@@H]1c2[nH]cnc2CCN1C(=O)CCC#N ZINC001143196859 800205473 /nfs/dbraw/zinc/20/54/73/800205473.db2.gz JPNLWKGGVYVNPJ-LBPRGKRZSA-N 0 1 276.296 0.702 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCn2ccnc2)C1 ZINC001276405171 800227993 /nfs/dbraw/zinc/22/79/93/800227993.db2.gz JAPNQUKNVSDDSS-ZDUSSCGKSA-N 0 1 274.368 0.733 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1C[N@@H+](CCCF)CCCO1 ZINC001149409073 800256168 /nfs/dbraw/zinc/25/61/68/800256168.db2.gz STSQOBTWILJPQH-VXGBXAGGSA-N 0 1 271.336 0.713 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001149654648 800288926 /nfs/dbraw/zinc/28/89/26/800288926.db2.gz ZXSKOYWVXGNFMI-UONOGXRCSA-N 0 1 282.384 0.234 20 30 CCEDMN COCCN1CCCO[C@H](CNC(=O)C#CC(C)C)C1 ZINC001149682383 800290137 /nfs/dbraw/zinc/29/01/37/800290137.db2.gz XCVJPBCDOPKVPK-CQSZACIVSA-N 0 1 282.384 0.499 20 30 CCEDMN C=C[C@@H](C)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001150695045 800360621 /nfs/dbraw/zinc/36/06/21/800360621.db2.gz FDIJUKYJPNQYLC-SSDOTTSWSA-N 0 1 270.310 0.344 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2ccc[nH]2)C1 ZINC001150737020 800364431 /nfs/dbraw/zinc/36/44/31/800364431.db2.gz BRUNULIARFSZTN-AWEZNQCLSA-N 0 1 277.368 0.950 20 30 CCEDMN Cn1nncc1CNCCNC(=O)CC#Cc1ccccc1 ZINC001151953316 800474093 /nfs/dbraw/zinc/47/40/93/800474093.db2.gz YSFXUMVQQXOGLF-UHFFFAOYSA-N 0 1 297.362 0.463 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCc2nncn2C1 ZINC001152145637 800495423 /nfs/dbraw/zinc/49/54/23/800495423.db2.gz CVDTTYZIUPWCMT-JTQLQIEISA-N 0 1 283.763 0.299 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)N(CC)Cc1n[nH]c(C)n1 ZINC001152371010 800514023 /nfs/dbraw/zinc/51/40/23/800514023.db2.gz YTHZYGYVWAKTPB-LLVKDONJSA-N 0 1 295.387 0.642 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)CCc1nc[nH]n1 ZINC001152627896 800544010 /nfs/dbraw/zinc/54/40/10/800544010.db2.gz KWUVMYRJTWMWEG-SNVBAGLBSA-N 0 1 264.333 0.087 20 30 CCEDMN N#CCNCCNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001152652307 800545413 /nfs/dbraw/zinc/54/54/13/800545413.db2.gz FAEGIXIVKCJXNS-UHFFFAOYSA-N 0 1 270.296 0.315 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1cnc(C)cn1 ZINC001153091395 800595661 /nfs/dbraw/zinc/59/56/61/800595661.db2.gz LTWGOXRLDRGPEJ-UHFFFAOYSA-N 0 1 278.356 0.584 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)CCCC(N)=O ZINC001153456407 800632030 /nfs/dbraw/zinc/63/20/30/800632030.db2.gz ZGUALTRKTFXVGP-UHFFFAOYSA-N 0 1 288.351 0.420 20 30 CCEDMN Cc1nonc1C(=O)NCCNCC#Cc1ccccc1 ZINC001153560313 800641567 /nfs/dbraw/zinc/64/15/67/800641567.db2.gz GLWDMKPWOMPOGJ-UHFFFAOYSA-N 0 1 284.319 0.749 20 30 CCEDMN N#Cc1cnc(NC(=O)c2cnc3cccnc3c2O)cn1 ZINC001153854377 800679383 /nfs/dbraw/zinc/67/93/83/800679383.db2.gz YJOIHLIJGDUJJU-UHFFFAOYSA-N 0 1 292.258 0.837 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)C(CC)CC ZINC001153949744 800694511 /nfs/dbraw/zinc/69/45/11/800694511.db2.gz ZVJAWNFPYGTKOI-UHFFFAOYSA-N 0 1 289.807 0.997 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1[nH]nc2cc(F)ccc21 ZINC001154346176 800765638 /nfs/dbraw/zinc/76/56/38/800765638.db2.gz DNXABGHIZIZPGF-SECBINFHSA-N 0 1 273.271 0.640 20 30 CCEDMN N#Cc1cccc(NC(=O)NC[C@]2(O)CCNC[C@@H]2F)c1 ZINC001239211031 806864040 /nfs/dbraw/zinc/86/40/40/806864040.db2.gz XEDAVWCPVQJLIZ-GXTWGEPZSA-N 0 1 292.314 0.742 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@H](O)c2cnc[nH]2)c1 ZINC001155084062 800905223 /nfs/dbraw/zinc/90/52/23/800905223.db2.gz RSFBWRWCHLHOOW-LBPRGKRZSA-N 0 1 256.265 0.249 20 30 CCEDMN Cc1ccc(C#N)c(NCc2nnc3n2CCCNC3)n1 ZINC001155424930 800973497 /nfs/dbraw/zinc/97/34/97/800973497.db2.gz LUCCUDYSYBIMNP-UHFFFAOYSA-N 0 1 283.339 0.959 20 30 CCEDMN Cc1conc1CNCCCNC(=O)[C@H](C)C#N ZINC001155848336 801065603 /nfs/dbraw/zinc/06/56/03/801065603.db2.gz JUNVPQNTFNQWBF-SECBINFHSA-N 0 1 250.302 0.739 20 30 CCEDMN COc1nscc1CNCCCNC(=O)[C@@H](C)C#N ZINC001155847564 801065788 /nfs/dbraw/zinc/06/57/88/801065788.db2.gz ACGZLMSEFAUPBD-VIFPVBQESA-N 0 1 282.369 0.907 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)C1CCN(C(N)=O)CC1 ZINC001156814068 801239561 /nfs/dbraw/zinc/23/95/61/801239561.db2.gz KPUNAGIPEONSTR-GFCCVEGCSA-N 0 1 294.399 0.237 20 30 CCEDMN C=CCOc1cc(C)nc(-n2c(C)ncc(CN)c2=N)n1 ZINC001156829083 801243340 /nfs/dbraw/zinc/24/33/40/801243340.db2.gz HUZIBLGPUKXDIN-UHFFFAOYSA-N 0 1 286.339 0.782 20 30 CCEDMN COC(=O)c1cc(C#N)cnc1-n1c(C)ncc(CN)c1=N ZINC001156831460 801245621 /nfs/dbraw/zinc/24/56/21/801245621.db2.gz XGDZYMJINCQALV-UHFFFAOYSA-N 0 1 298.306 0.172 20 30 CCEDMN C#CCCCC(=O)NCCCN[C@H](C)c1nnnn1C ZINC001157170754 801317157 /nfs/dbraw/zinc/31/71/57/801317157.db2.gz OXGKAKAWEVXOPN-LLVKDONJSA-N 0 1 278.360 0.171 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1COCCO1 ZINC001157568596 801423762 /nfs/dbraw/zinc/42/37/62/801423762.db2.gz SMSVNRACWOBTIU-ZIAGYGMSSA-N 0 1 280.368 0.348 20 30 CCEDMN Cn1cnnc1CNCCCNC(=O)C#CC(C)(C)C ZINC001157718741 801462887 /nfs/dbraw/zinc/46/28/87/801462887.db2.gz IBDYYPDKTMRYQX-UHFFFAOYSA-N 0 1 277.372 0.461 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CC(N)=O ZINC001157729606 801464467 /nfs/dbraw/zinc/46/44/67/801464467.db2.gz YEOWIHFXUQAZOG-GFCCVEGCSA-N 0 1 265.357 0.198 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)n1cncn1 ZINC001157822931 801497182 /nfs/dbraw/zinc/49/71/82/801497182.db2.gz WSMWZHWHDXLEDP-ZIAGYGMSSA-N 0 1 289.383 0.785 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CC(=O)NCC ZINC001157835667 801497539 /nfs/dbraw/zinc/49/75/39/801497539.db2.gz LGUSXWHULYLQBM-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCC(=O)NC1 ZINC001158179974 801584873 /nfs/dbraw/zinc/58/48/73/801584873.db2.gz CODRZFQKNYQWMC-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCC(=O)N1C ZINC001158369766 801629339 /nfs/dbraw/zinc/62/93/39/801629339.db2.gz LLRAAVVZJQSKFS-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN CN(C1CN(c2cncc(CC#N)n2)C1)[C@H]1CCOC1 ZINC001158736381 801697419 /nfs/dbraw/zinc/69/74/19/801697419.db2.gz LFOMVLYQUBLWQR-LBPRGKRZSA-N 0 1 273.340 0.452 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001158887226 801720649 /nfs/dbraw/zinc/72/06/49/801720649.db2.gz SDVJCYMTYBFICR-UHFFFAOYSA-N 0 1 295.387 0.307 20 30 CCEDMN N#Cc1ccc([N+](=O)[O-])c(NC[C@H]2COCCN2)n1 ZINC001159043541 801749241 /nfs/dbraw/zinc/74/92/41/801749241.db2.gz UMQARNHXJCENSW-VIFPVBQESA-N 0 1 263.257 0.262 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CN(C)C(C)=O)CC1 ZINC001159332573 801794471 /nfs/dbraw/zinc/79/44/71/801794471.db2.gz FLJNXKZSUNWBQD-UHFFFAOYSA-N 0 1 277.368 0.236 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)COCC=C)CC1 ZINC001159601157 801837331 /nfs/dbraw/zinc/83/73/31/801837331.db2.gz LQGMDEPFMAPEMZ-UHFFFAOYSA-N 0 1 262.353 0.961 20 30 CCEDMN C#C[C@H](CO)NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001159765267 801865792 /nfs/dbraw/zinc/86/57/92/801865792.db2.gz XUIBYQJRKAAGCE-LLVKDONJSA-N 0 1 256.265 0.196 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2CCCC(=O)N2)CC1 ZINC001160444672 801941688 /nfs/dbraw/zinc/94/16/88/801941688.db2.gz JBXABNOKGBTOLV-CQSZACIVSA-N 0 1 289.379 0.427 20 30 CCEDMN CN(CC1(C)COC1)C(=O)[C@@H](N)Cc1ccccc1C#N ZINC001160545066 801967473 /nfs/dbraw/zinc/96/74/73/801967473.db2.gz PLTBHOZKBCMCNM-AWEZNQCLSA-N 0 1 287.363 0.923 20 30 CCEDMN C#CC[N@@H+]1CC=C(CCNC(=O)c2cnn[n-]2)CC1 ZINC001160574625 801972956 /nfs/dbraw/zinc/97/29/56/801972956.db2.gz ZMKLQGKLXAAWCT-UHFFFAOYSA-N 0 1 259.313 0.190 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(c2ccc(Cl)cn2)CC1 ZINC001160689856 802002164 /nfs/dbraw/zinc/00/21/64/802002164.db2.gz UXEIPJOZECYYMX-AWEZNQCLSA-N 0 1 292.770 0.734 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCO[C@@H](Cc2ccccc2)C1 ZINC001160876362 802038993 /nfs/dbraw/zinc/03/89/93/802038993.db2.gz WIZHBQFKYZLPIA-HOCLYGCPSA-N 0 1 272.348 0.807 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CC(=O)N(C)C)CC1 ZINC001161411497 802147747 /nfs/dbraw/zinc/14/77/47/802147747.db2.gz UCUVTVVRMVYVAA-UHFFFAOYSA-N 0 1 277.368 0.236 20 30 CCEDMN Cc1cnc(-n2c(CN)nc3cnccc32)c(C#N)n1 ZINC001162220391 802291405 /nfs/dbraw/zinc/29/14/05/802291405.db2.gz VRMAIPDIYWHUAO-UHFFFAOYSA-N 0 1 265.280 0.849 20 30 CCEDMN CN1CCC(C#N)(Nc2ccc3c(n2)OCC(=O)N3)CC1 ZINC001162227018 802293025 /nfs/dbraw/zinc/29/30/25/802293025.db2.gz HUHQJGGXNNANJD-UHFFFAOYSA-N 0 1 287.323 0.812 20 30 CCEDMN Cn1cnc2ncnc(NC3(C#N)CCN(C)CC3)c21 ZINC001162226198 802293975 /nfs/dbraw/zinc/29/39/75/802293975.db2.gz ZERFTJFNMZTSLN-UHFFFAOYSA-N 0 1 271.328 0.763 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cc(C#N)ccn1 ZINC001162529458 802359286 /nfs/dbraw/zinc/35/92/86/802359286.db2.gz ALCOOUBOWUVJPZ-UHFFFAOYSA-N 0 1 271.280 0.774 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1ncncc1C#N ZINC001162532519 802360167 /nfs/dbraw/zinc/36/01/67/802360167.db2.gz SWDJTZYZPNXIIO-UHFFFAOYSA-N 0 1 272.268 0.169 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CC(C)(C)C=C ZINC001278859652 808347568 /nfs/dbraw/zinc/34/75/68/808347568.db2.gz FTLUHFWINAAKKZ-ZDUSSCGKSA-N 0 1 266.385 0.973 20 30 CCEDMN Cc1nnc(CN)n1-c1nc2c(cc1C#N)COCC2 ZINC001163351722 802543904 /nfs/dbraw/zinc/54/39/04/802543904.db2.gz URICNBBZCJAYDL-UHFFFAOYSA-N 0 1 270.296 0.374 20 30 CCEDMN N#C[C@@H]1CNCCN1c1ncccc1CN1CCCC1 ZINC001164662290 802777258 /nfs/dbraw/zinc/77/72/58/802777258.db2.gz VDMRKURCVCXUHQ-CQSZACIVSA-N 0 1 271.368 0.979 20 30 CCEDMN COCCCN1CCN(c2cnc(C#N)c(C#N)n2)CC1 ZINC001165096523 802780794 /nfs/dbraw/zinc/78/07/94/802780794.db2.gz BHVRUZKKXLSUPC-UHFFFAOYSA-N 0 1 286.339 0.378 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1nc(OC)c(C#N)cc1F ZINC001165442787 802844635 /nfs/dbraw/zinc/84/46/35/802844635.db2.gz QQSWWODZMMVYCS-JTQLQIEISA-N 0 1 294.286 0.052 20 30 CCEDMN COCc1nn(-c2nccc(OC)c2C#N)c2c1CNC2 ZINC001165631784 802857632 /nfs/dbraw/zinc/85/76/32/802857632.db2.gz HCYCIPFKMFSNNC-UHFFFAOYSA-N 0 1 285.307 0.897 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCCNCc1nnnn1C ZINC001165921487 802875401 /nfs/dbraw/zinc/87/54/01/802875401.db2.gz MKMCVRKVKXCJBC-ZDUSSCGKSA-N 0 1 280.376 0.408 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H](C)COC ZINC001268301222 812459944 /nfs/dbraw/zinc/45/99/44/812459944.db2.gz RZLCJWLMMDGMEA-WCBMZHEXSA-N 0 1 264.753 0.088 20 30 CCEDMN N#CCNCC1CCN(C(=O)c2c[nH]cc3ncnc2-3)CC1 ZINC001205345592 803135676 /nfs/dbraw/zinc/13/56/76/803135676.db2.gz RXLVNISOFHQYIU-UHFFFAOYSA-N 0 1 298.350 0.923 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)C(C)C)C1 ZINC001206001645 803196158 /nfs/dbraw/zinc/19/61/58/803196158.db2.gz DHVFVXCLTLRFLI-CHWSQXEVSA-N 0 1 252.358 0.729 20 30 CCEDMN N#CCNCC1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC001206921865 803307787 /nfs/dbraw/zinc/30/77/87/803307787.db2.gz MOJYPPHBYYSVJB-UHFFFAOYSA-N 0 1 298.350 0.923 20 30 CCEDMN C[C@@H]1CN(CCn2cncn2)C[C@H]1NC(=O)C#CC1CC1 ZINC001206951884 803316872 /nfs/dbraw/zinc/31/68/72/803316872.db2.gz NLSJGHQLVRAEAL-TZMCWYRMSA-N 0 1 287.367 0.128 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCc4nccn43)[C@@H]2C1 ZINC001075883269 814763419 /nfs/dbraw/zinc/76/34/19/814763419.db2.gz VXUJMOHMKSKIGZ-RRFJBIMHSA-N 0 1 298.390 0.926 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC2(O)CCC2)C(C)(C)C1 ZINC001276579252 803536312 /nfs/dbraw/zinc/53/63/12/803536312.db2.gz LRZSJDAGRGQDHA-LBPRGKRZSA-N 0 1 264.369 0.751 20 30 CCEDMN C[C@@H]1NC=C2C(=O)OCCC2=C1CN1CC(CC#N)C1 ZINC001209326589 803596042 /nfs/dbraw/zinc/59/60/42/803596042.db2.gz RKOXDCVQBSOKLL-JTQLQIEISA-N 0 1 273.336 0.951 20 30 CCEDMN N#Cc1cncc(NS(=O)(=O)c2cc(N)ccc2N)c1 ZINC001210088953 803637958 /nfs/dbraw/zinc/63/79/58/803637958.db2.gz KDVINNRYKGYVCH-UHFFFAOYSA-N 0 1 289.320 0.918 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001210433642 803650146 /nfs/dbraw/zinc/65/01/46/803650146.db2.gz VRVPMURYLDJMBV-BPLDGKMQSA-N 0 1 293.411 0.607 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCCO)C[C@H]2OC)CCC1 ZINC001213397470 803692343 /nfs/dbraw/zinc/69/23/43/803692343.db2.gz OEMNAOUDUOLMJF-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CF)CC2)[C@H](OC)C1 ZINC001213428519 803693883 /nfs/dbraw/zinc/69/38/83/803693883.db2.gz MAKAFURIZJMYSH-VXGBXAGGSA-N 0 1 268.332 0.575 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCO[C@@H]2CN(CCCF)C[C@@H]21 ZINC001217869226 803922095 /nfs/dbraw/zinc/92/20/95/803922095.db2.gz SQOCZIPHYZEUMU-MELADBBJSA-N 0 1 298.358 0.296 20 30 CCEDMN COc1nn(C)cc1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001218504236 803971251 /nfs/dbraw/zinc/97/12/51/803971251.db2.gz DDMKFIVNTWNJMS-LBPRGKRZSA-N 0 1 299.334 0.809 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1cccnn1 ZINC001218509209 803974925 /nfs/dbraw/zinc/97/49/25/803974925.db2.gz JJBCIHJUSSAEPM-LBPRGKRZSA-N 0 1 267.292 0.857 20 30 CCEDMN C#Cc1cc(NC(=O)[C@@H](N)Cc2ccccc2C#N)ccn1 ZINC001218522876 803983756 /nfs/dbraw/zinc/98/37/56/803983756.db2.gz BESHOXQLFJXVLZ-INIZCTEOSA-N 0 1 290.326 0.865 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1nccnc1N ZINC001038109799 814984142 /nfs/dbraw/zinc/98/41/42/814984142.db2.gz MJTFKXWZLQCPNU-SNVBAGLBSA-N 0 1 261.329 0.439 20 30 CCEDMN N#Cc1sccc1NC(=O)C[C@@H]1COCCN1 ZINC001218624396 804040386 /nfs/dbraw/zinc/04/03/86/804040386.db2.gz IOUPUWRGATUZCP-MRVPVSSYSA-N 0 1 251.311 0.937 20 30 CCEDMN N#Cc1ccnnc1NC(=O)[C@H](N)Cc1cccc(O)c1 ZINC001218700532 804085558 /nfs/dbraw/zinc/08/55/58/804085558.db2.gz CUSCEARPYBYFEK-GFCCVEGCSA-N 0 1 283.291 0.562 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCSC)[C@@H](O)C1 ZINC001219165088 804224761 /nfs/dbraw/zinc/22/47/61/804224761.db2.gz FDOOAQJHXYFHIO-NEPJUHHUSA-N 0 1 288.413 0.103 20 30 CCEDMN C[C@H](F)CCN1C[C@@H](NC(=O)CSCC#N)[C@@H](O)C1 ZINC001219216733 804241782 /nfs/dbraw/zinc/24/17/82/804241782.db2.gz VLNXIQYTVUMUDZ-AXFHLTTASA-N 0 1 289.376 0.153 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCCCC)C[C@@H]1O ZINC001219326820 804273481 /nfs/dbraw/zinc/27/34/81/804273481.db2.gz YNLDMRVFLKZSIL-KGLIPLIRSA-N 0 1 284.400 0.931 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@H](C)c2n[nH]c(C)n2)C[C@@H]1O ZINC001219344530 804279106 /nfs/dbraw/zinc/27/91/06/804279106.db2.gz OQNSBKQWJDOJSJ-JLLWLGSASA-N 0 1 293.371 0.302 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C=C/C2CC2)[C@H](OC)C1 ZINC001212089257 815007468 /nfs/dbraw/zinc/00/74/68/815007468.db2.gz BCWJRPABQFXYDA-WLBISTCBSA-N 0 1 294.395 0.971 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001219532223 804337710 /nfs/dbraw/zinc/33/77/10/804337710.db2.gz PDOLCSYPSDXDHF-OLZOCXBDSA-N 0 1 282.384 0.683 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)CCCC)[C@@H](O)C1 ZINC001219562944 804349735 /nfs/dbraw/zinc/34/97/35/804349735.db2.gz WLEOLZUOUOVJFN-MCIONIFRSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C=C(\C)CC)[C@@H](O)C1 ZINC001219582486 804358505 /nfs/dbraw/zinc/35/85/05/804358505.db2.gz BXODOLSYLDPMIM-NEKXEHSPSA-N 0 1 282.384 0.707 20 30 CCEDMN CC(C)=CCN1C[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001219587605 804361808 /nfs/dbraw/zinc/36/18/08/804361808.db2.gz NKQORJBWDSMXEW-KGLIPLIRSA-N 0 1 264.369 0.773 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](OCC)C(C)C)[C@@H](O)C1 ZINC001219602539 804369883 /nfs/dbraw/zinc/36/98/83/804369883.db2.gz VTVZXCCWYCZEGZ-RDBSUJKOSA-N 0 1 282.384 0.232 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@@H]1O ZINC001220180972 804528637 /nfs/dbraw/zinc/52/86/37/804528637.db2.gz WJZFJJIVHUGPAF-MCIONIFRSA-N 0 1 282.384 0.376 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@@H]1O ZINC001220247774 804548215 /nfs/dbraw/zinc/54/82/15/804548215.db2.gz SWSPHGRRXKLOIP-MCIONIFRSA-N 0 1 284.400 0.665 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C(F)(F)F)CC2)[C@@H](O)C1 ZINC001220410594 804598965 /nfs/dbraw/zinc/59/89/65/804598965.db2.gz VWXRAUDVIRVJAJ-ZJUUUORDSA-N 0 1 290.285 0.514 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H]2CC23CC3)[C@@H](O)C1 ZINC001220418703 804600727 /nfs/dbraw/zinc/60/07/27/804600727.db2.gz WPAAGXGSMOILPM-UPJWGTAASA-N 0 1 280.368 0.150 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1nc(SC)ncc1Cl ZINC001220714171 804653458 /nfs/dbraw/zinc/65/34/58/804653458.db2.gz ANFUHWDDLFIIBE-NSHDSACASA-N 0 1 284.772 0.819 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H]1CC[C@H](NC(=O)C(C)C)CC1 ZINC001221008157 804717114 /nfs/dbraw/zinc/71/71/14/804717114.db2.gz LPAYGSBSWWIFQT-HUBLWGQQSA-N 0 1 279.384 0.537 20 30 CCEDMN C=C[C@@H](C(=O)N[C@@H]1C[N@@H+](CCO)C[C@@H]1O)c1ccccc1 ZINC001221044534 804723223 /nfs/dbraw/zinc/72/32/23/804723223.db2.gz ATHXGAYVFBPGRD-KFWWJZLASA-N 0 1 290.363 0.110 20 30 CCEDMN C=C[C@@H](C(=O)N[C@@H]1CN(CCO)C[C@@H]1O)c1ccccc1 ZINC001221044534 804723228 /nfs/dbraw/zinc/72/32/28/804723228.db2.gz ATHXGAYVFBPGRD-KFWWJZLASA-N 0 1 290.363 0.110 20 30 CCEDMN CC(C)C[C@](C)(C#N)NC(=O)C[C@H]1COCCN1 ZINC001221292338 804767993 /nfs/dbraw/zinc/76/79/93/804767993.db2.gz JXRHPFJCIQTVAO-WCQYABFASA-N 0 1 253.346 0.809 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCOCC)[C@@H]2C1 ZINC001221437504 804817460 /nfs/dbraw/zinc/81/74/60/804817460.db2.gz KETLJLMHVJHBMG-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H](C)COC)[C@@H]2C1 ZINC001221441814 804818772 /nfs/dbraw/zinc/81/87/72/804818772.db2.gz VDAJOBGMNQKHRM-MGPQQGTHSA-N 0 1 264.369 0.825 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CC(=O)NCC)[C@@H]2C1 ZINC001221496386 804823302 /nfs/dbraw/zinc/82/33/02/804823302.db2.gz WJVXIQFNTDELFJ-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CCN(CCOCCO)C[C@H]21 ZINC001221731348 804837989 /nfs/dbraw/zinc/83/79/89/804837989.db2.gz BXAYJODKNFCABA-ZIAGYGMSSA-N 0 1 296.411 0.740 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCOCCOC)[C@@H]2C1 ZINC001222064098 804862070 /nfs/dbraw/zinc/86/20/70/804862070.db2.gz CRGVUISTNPNVHM-ZIAGYGMSSA-N 0 1 282.384 0.758 20 30 CCEDMN CN(C)C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ccc(C#N)cc1O ZINC001276864835 804954947 /nfs/dbraw/zinc/95/49/47/804954947.db2.gz KOHAUDNPXAGGCO-MEDUHNTESA-N 0 1 299.330 0.957 20 30 CCEDMN CN(C)C(=O)[C@@H]1CC12CN(C(=O)c1ccc(C#N)cc1O)C2 ZINC001276864687 804955091 /nfs/dbraw/zinc/95/50/91/804955091.db2.gz GEQVVBWAUUWEAJ-LBPRGKRZSA-N 0 1 299.330 0.814 20 30 CCEDMN C#CCC1(C(=O)NCC2CCN(CC(N)=O)CC2)CCC1 ZINC001223437530 804971691 /nfs/dbraw/zinc/97/16/91/804971691.db2.gz ZBOSNAPSLQXAQB-UHFFFAOYSA-N 0 1 291.395 0.494 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224165924 805012660 /nfs/dbraw/zinc/01/26/60/805012660.db2.gz VMDLIQGCOIIXAR-VXGBXAGGSA-N 0 1 281.400 0.758 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)C(C)C)CC1 ZINC001277002258 805087206 /nfs/dbraw/zinc/08/72/06/805087206.db2.gz NSSURTZDHVCEAW-NSHDSACASA-N 0 1 267.373 0.572 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)[C@@H](C)C2CC2)CC1 ZINC001277028050 805116008 /nfs/dbraw/zinc/11/60/08/805116008.db2.gz MNMRVKQJNOUERN-RYUDHWBXSA-N 0 1 293.411 0.962 20 30 CCEDMN CCN(CC)C(=O)CNC1(CNC(=O)C#CC2CC2)CC1 ZINC001277055902 805141681 /nfs/dbraw/zinc/14/16/81/805141681.db2.gz WSMOBJCRPQTHOE-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCC1CCN([C@@H](C)C(N)=O)CC1 ZINC001225631327 805146468 /nfs/dbraw/zinc/14/64/68/805146468.db2.gz MEDLJZWVQGVTJG-RYUDHWBXSA-N 0 1 297.399 0.280 20 30 CCEDMN C=CC(C)(C)CC(=O)NCC1(NCC(=O)NC(N)=O)CC1 ZINC001277066001 805152110 /nfs/dbraw/zinc/15/21/10/805152110.db2.gz MCCDBENHPSJXSU-UHFFFAOYSA-N 0 1 296.371 0.022 20 30 CCEDMN C[N@H+]1[C@@H]2C[C@@H](Oc3[n-]c(=O)c(F)cc3C#N)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226008573 805185728 /nfs/dbraw/zinc/18/57/28/805185728.db2.gz JAJFGUOEVSMAQR-ZQLNZSQWSA-N 0 1 291.282 0.789 20 30 CCEDMN C[N@@H+]1[C@@H]2C[C@@H](Oc3[n-]c(=O)c(F)cc3C#N)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226008573 805185735 /nfs/dbraw/zinc/18/57/35/805185735.db2.gz JAJFGUOEVSMAQR-ZQLNZSQWSA-N 0 1 291.282 0.789 20 30 CCEDMN C=CCCC(=O)NC1CCN([C@@H](C)C(=O)NC)CC1 ZINC001226535934 805242061 /nfs/dbraw/zinc/24/20/61/805242061.db2.gz ZAZYETMUWNRLDO-NSHDSACASA-N 0 1 267.373 0.668 20 30 CCEDMN CCCC[C@H](C(N)=O)N1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226641175 805259991 /nfs/dbraw/zinc/25/99/91/805259991.db2.gz WPTNKNHJEDBXLV-WCQYABFASA-N 0 1 294.399 0.771 20 30 CCEDMN Cc1nc(CN2CCC(NC(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001226640354 805260143 /nfs/dbraw/zinc/26/01/43/805260143.db2.gz MMXHQQCLVHIOLQ-VIFPVBQESA-N 0 1 276.344 0.353 20 30 CCEDMN C#C[C@H](NC(=O)NCC#CCN(C)C)[C@H]1CCCO1 ZINC001226724760 805273779 /nfs/dbraw/zinc/27/37/79/805273779.db2.gz MZJYLVKNKGUASY-QWHCGFSZSA-N 0 1 263.341 0.031 20 30 CCEDMN C[C@H](CCCNCC#N)NC(=O)CCc1nc[nH]n1 ZINC001277156091 805283466 /nfs/dbraw/zinc/28/34/66/805283466.db2.gz IHUDCPGVDJLKNY-SNVBAGLBSA-N 0 1 264.333 0.135 20 30 CCEDMN CC#CCN1CCC(NC(=O)CC(=O)NCC)CC1 ZINC001227007070 805309976 /nfs/dbraw/zinc/30/99/76/805309976.db2.gz VMENJVQHPBDUIG-UHFFFAOYSA-N 0 1 265.357 0.117 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H]2CC2(F)F)CC1 ZINC001227193152 805329701 /nfs/dbraw/zinc/32/97/01/805329701.db2.gz ZXQDFKIQZBXTFQ-LBPRGKRZSA-N 0 1 286.322 0.872 20 30 CCEDMN CO[C@H](CNC(=O)NCC#CCN(C)C)C(F)(F)F ZINC001227316733 805341191 /nfs/dbraw/zinc/34/11/91/805341191.db2.gz SCEIGWDKUMFWIZ-SECBINFHSA-N 0 1 281.278 0.428 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1ncnc2nc[nH]c21 ZINC001227533377 805363015 /nfs/dbraw/zinc/36/30/15/805363015.db2.gz AROKPHYDSVIAQK-QMMMGPOBSA-N 0 1 260.253 0.687 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCCn2ccnn2)CC1 ZINC001227775161 805387614 /nfs/dbraw/zinc/38/76/14/805387614.db2.gz RQKDRXDYUKBTTK-UHFFFAOYSA-N 0 1 289.383 0.662 20 30 CCEDMN CC#CCN1CCC(NC(=O)COc2cc(C)on2)CC1 ZINC001227890407 805399648 /nfs/dbraw/zinc/39/96/48/805399648.db2.gz INTYFQQZPQVBEJ-UHFFFAOYSA-N 0 1 291.351 0.966 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)NCc1n[nH]c(CC)n1 ZINC001229002005 805496078 /nfs/dbraw/zinc/49/60/78/805496078.db2.gz CTEXDTOECZVWAF-VIFPVBQESA-N 0 1 267.333 0.493 20 30 CCEDMN Cc1cc(CN2CC3(C[C@H]3C(=O)N3CC(CC#N)C3)C2)n[nH]1 ZINC001277349835 805497392 /nfs/dbraw/zinc/49/73/92/805497392.db2.gz AOYVKSABKWOBLC-AWEZNQCLSA-N 0 1 299.378 0.912 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ccnnc2)CC1 ZINC001229330398 805521825 /nfs/dbraw/zinc/52/18/25/805521825.db2.gz NWOQTYFAWWTONM-UHFFFAOYSA-N 0 1 288.351 0.321 20 30 CCEDMN Cc1nc2[nH]cnc2c(O[C@@H]2CCN(CC#N)C2)n1 ZINC001229438749 805535183 /nfs/dbraw/zinc/53/51/83/805535183.db2.gz VBBFAVPLNBIWPJ-SECBINFHSA-N 0 1 258.285 0.638 20 30 CCEDMN C#CCCCC(=O)N[C@@]1(C)CCN([C@@H]2CCCNC2=O)C1 ZINC001278388602 807060745 /nfs/dbraw/zinc/06/07/45/807060745.db2.gz MKXNSKGYSIPJNM-CJNGLKHVSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cn3cccnc3n2)[C@H]1C ZINC001088702181 815101861 /nfs/dbraw/zinc/10/18/61/815101861.db2.gz HQKJTBFNAGNMRQ-OLZOCXBDSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCCCC(=O)N[C@]12CCC[C@@H]1N(CC(=O)NC)CC2 ZINC001278444758 807239272 /nfs/dbraw/zinc/23/92/72/807239272.db2.gz FYIABNBDGRDTPV-BBRMVZONSA-N 0 1 291.395 0.649 20 30 CCEDMN N#Cc1ccc(-c2noc([C@H]3CNCCO3)n2)nc1 ZINC001247730508 807484646 /nfs/dbraw/zinc/48/46/46/807484646.db2.gz KSQIYHBFCXXXSU-SNVBAGLBSA-N 0 1 257.253 0.664 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@H]1CC(C)(C)CO1 ZINC001248448793 807516110 /nfs/dbraw/zinc/51/61/10/807516110.db2.gz NTZOHSOSUPOKIL-GFCCVEGCSA-N 0 1 267.373 0.666 20 30 CCEDMN C=CCN1CCC[C@H](NC[C@H](O)C(F)(F)F)C1=O ZINC001250993330 807631600 /nfs/dbraw/zinc/63/16/00/807631600.db2.gz SFDUCJIQSOLDRW-IUCAKERBSA-N 0 1 266.263 0.676 20 30 CCEDMN CC(C)C[C@H](NC[C@H](O)COc1ccccc1)C(=O)NO ZINC001251260409 807677728 /nfs/dbraw/zinc/67/77/28/807677728.db2.gz UEDZIERVJPMNDE-JSGCOSHPSA-N 0 1 296.367 0.936 20 30 CCEDMN COC(=O)C[C@@H]1CCCN1C(=O)NCC#CCN(C)C ZINC001251707682 807695897 /nfs/dbraw/zinc/69/58/97/807695897.db2.gz CPHBMIHWWZWSJU-LBPRGKRZSA-N 0 1 281.356 0.289 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1C[C@H](O)C12CCC2 ZINC001251708174 807696978 /nfs/dbraw/zinc/69/69/78/807696978.db2.gz MEUJZWPEGVDQPW-NEPJUHHUSA-N 0 1 265.357 0.154 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H]1C[C@H](O)C12CCC2 ZINC001251708176 807697009 /nfs/dbraw/zinc/69/70/09/807697009.db2.gz MEUJZWPEGVDQPW-RYUDHWBXSA-N 0 1 265.357 0.154 20 30 CCEDMN COc1ncc(CNCCNC(=O)C#CC(C)C)c(C)n1 ZINC001126827935 815140890 /nfs/dbraw/zinc/14/08/90/815140890.db2.gz GJWWOBBKIDKBSI-UHFFFAOYSA-N 0 1 290.367 0.659 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(C)C[C@@H]1c1ccccc1 ZINC001251821389 807708439 /nfs/dbraw/zinc/70/84/39/807708439.db2.gz NOUXWRMVTUHLBR-DLBZAZTESA-N 0 1 288.391 0.986 20 30 CCEDMN C#CCOC[C@@H](O)CNc1ncnc2[nH]c(C)nc21 ZINC001251832278 807714246 /nfs/dbraw/zinc/71/42/46/807714246.db2.gz GUCPOGIRZPGPAK-VIFPVBQESA-N 0 1 261.285 0.084 20 30 CCEDMN C#CCOC[C@H](O)CN1CCc2cc3c(cc2C1)OCO3 ZINC001251835773 807715847 /nfs/dbraw/zinc/71/58/47/807715847.db2.gz PDYAJIOQUAFFAF-CQSZACIVSA-N 0 1 289.331 0.784 20 30 CCEDMN CCn1nnc(C)c1CNCCNC(=O)C#CC(C)C ZINC001126828264 815141755 /nfs/dbraw/zinc/14/17/55/815141755.db2.gz QQSQDLJWPGHEFP-UHFFFAOYSA-N 0 1 277.372 0.472 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN2CC(F)(F)C[C@H]2C1 ZINC001251854312 807721622 /nfs/dbraw/zinc/72/16/22/807721622.db2.gz ASNTYXCRVCTUKS-RYUDHWBXSA-N 0 1 274.311 0.022 20 30 CCEDMN COc1ccnc(CNCCNC(=O)C#CC(C)C)c1 ZINC001126828519 815142477 /nfs/dbraw/zinc/14/24/77/815142477.db2.gz XMAGVVGQDHHZHH-UHFFFAOYSA-N 0 1 275.352 0.955 20 30 CCEDMN C#CCOC[C@H](O)CNc1cccc2ncn(C)c21 ZINC001251871573 807728993 /nfs/dbraw/zinc/72/89/93/807728993.db2.gz NCFCOWGPKKCNOS-LLVKDONJSA-N 0 1 259.309 0.996 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(Br)no1 ZINC001251884845 807733536 /nfs/dbraw/zinc/73/35/36/807733536.db2.gz IGYMHKKXYKZZTN-QMMMGPOBSA-N 0 1 289.129 0.537 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@H]1Cc2ccccc2NC1=O ZINC001251884511 807733724 /nfs/dbraw/zinc/73/37/24/807733724.db2.gz AFYQUFBBJBTURT-JSGCOSHPSA-N 0 1 274.320 0.150 20 30 CCEDMN C#CCOC[C@H](O)CNC(=N)c1ccc(Cl)cn1 ZINC001251887729 807734718 /nfs/dbraw/zinc/73/47/18/807734718.db2.gz HXTANXIBABPDOK-SNVBAGLBSA-N 0 1 267.716 0.451 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(OC(C)C)ncn1 ZINC001251900511 807742597 /nfs/dbraw/zinc/74/25/97/807742597.db2.gz SUTYCXLNSNDGAK-CYBMUJFWSA-N 0 1 279.340 0.364 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@](C)(CNC(=O)CNC(=O)C2CC2)C1 ZINC001278648179 807798085 /nfs/dbraw/zinc/79/80/85/807798085.db2.gz PGFATHBLWHXJNF-INIZCTEOSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)CNC(=O)C2CC2)C1 ZINC001278648179 807798089 /nfs/dbraw/zinc/79/80/89/807798089.db2.gz PGFATHBLWHXJNF-INIZCTEOSA-N 0 1 291.395 0.364 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ncccc1OC ZINC001252488571 807891205 /nfs/dbraw/zinc/89/12/05/807891205.db2.gz PRUQSKBSUASFAG-NSHDSACASA-N 0 1 252.314 0.743 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@@H](CC(=O)OC)c1ccccn1 ZINC001252489969 807891446 /nfs/dbraw/zinc/89/14/46/807891446.db2.gz BLLBHWBYIHEETE-JSGCOSHPSA-N 0 1 294.351 0.839 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@@H](CO)c1ccccn1 ZINC001252491670 807891912 /nfs/dbraw/zinc/89/19/12/807891912.db2.gz IAVJYWISGBEAFZ-AAEUAGOBSA-N 0 1 252.314 0.268 20 30 CCEDMN C=CCC[C@H](O)CNCc1ccnc(OCCOC)n1 ZINC001252623772 807921472 /nfs/dbraw/zinc/92/14/72/807921472.db2.gz QQMWDNPIYAQEEJ-ZDUSSCGKSA-N 0 1 281.356 0.919 20 30 CCEDMN C=C[C@H](O)CN1CCN(c2ccc(OC)cn2)CC1 ZINC001253587884 808092885 /nfs/dbraw/zinc/09/28/85/808092885.db2.gz PWBGCWBYDZACEC-LBPRGKRZSA-N 0 1 263.341 0.759 20 30 CCEDMN CC(C)(C)OC(=O)[C@@H](O)CNCc1ccc(C#N)nc1 ZINC001253707784 808124907 /nfs/dbraw/zinc/12/49/07/808124907.db2.gz BZNAVVOMJYZJLU-LBPRGKRZSA-N 0 1 277.324 0.745 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)[C@H]1C ZINC001088808504 815177070 /nfs/dbraw/zinc/17/70/70/815177070.db2.gz JPZXOQUEFPJVNZ-XUJVJEKNSA-N 0 1 279.384 0.668 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2ccccc2O)C1 ZINC001278759052 808171058 /nfs/dbraw/zinc/17/10/58/808171058.db2.gz CQIRKLOQOOPERM-INIZCTEOSA-N 0 1 288.347 0.582 20 30 CCEDMN N#Cc1cn(-c2cc3ccc(O)cc3oc2=O)nn1 ZINC001254163561 808192464 /nfs/dbraw/zinc/19/24/64/808192464.db2.gz DCTZKJJDMMUVKP-UHFFFAOYSA-N 0 1 254.205 0.951 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cnoc2)[C@H]1C ZINC001088811706 815184466 /nfs/dbraw/zinc/18/44/66/815184466.db2.gz RCIOQARBEVFTNE-YPMHNXCESA-N 0 1 261.325 0.819 20 30 CCEDMN C=CCOCc1nn([C@@H]2CCS(=O)(=O)C2)c2c1CNC2 ZINC001254331405 808212826 /nfs/dbraw/zinc/21/28/26/808212826.db2.gz KXTZZNCEJODFSZ-SNVBAGLBSA-N 0 1 297.380 0.549 20 30 CCEDMN COC(=O)C1(O)CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC001254676657 808273234 /nfs/dbraw/zinc/27/32/34/808273234.db2.gz MWEGIWGZJIEWCN-GHMZBOCLSA-N 0 1 252.314 0.679 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)CCOCC ZINC001278852257 808334588 /nfs/dbraw/zinc/33/45/88/808334588.db2.gz ZIXPCARWLONTCX-ZDUSSCGKSA-N 0 1 270.373 0.187 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cccnc1C ZINC001278868729 808389611 /nfs/dbraw/zinc/38/96/11/808389611.db2.gz HUPHPBNKIIJAQP-ZDUSSCGKSA-N 0 1 275.352 0.388 20 30 CCEDMN COc1cccc(CNCCNC(=O)C#CC2CC2)n1 ZINC001126899012 815203703 /nfs/dbraw/zinc/20/37/03/815203703.db2.gz FQVJSAGMVXYGLH-UHFFFAOYSA-N 0 1 273.336 0.709 20 30 CCEDMN O=C(C#CC1CC1)NCCNCC(=O)Nc1ccccc1 ZINC001126899665 815204412 /nfs/dbraw/zinc/20/44/12/815204412.db2.gz WZPJAACPLOVABV-UHFFFAOYSA-N 0 1 285.347 0.744 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N(C)Cc1nnc[nH]1 ZINC001256205018 808479995 /nfs/dbraw/zinc/47/99/95/808479995.db2.gz JOSYHZDPQIHTPH-SECBINFHSA-N 0 1 262.317 0.187 20 30 CCEDMN CN(C(=O)CNCCNC(=O)C#CC1CC1)c1ccccc1 ZINC001126904368 815211422 /nfs/dbraw/zinc/21/14/22/815211422.db2.gz WMWBICCCIIZPCS-UHFFFAOYSA-N 0 1 299.374 0.769 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@@H](C(C)(C)C)[C@@H](O)C1 ZINC001256584771 808538001 /nfs/dbraw/zinc/53/80/01/808538001.db2.gz KCNPAYVMPMYUML-KGLIPLIRSA-N 0 1 295.427 0.990 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)NCCCCC#N ZINC001257177626 808595837 /nfs/dbraw/zinc/59/58/37/808595837.db2.gz WBZYCMCPXTZSOZ-UHFFFAOYSA-N 0 1 263.301 0.144 20 30 CCEDMN C=CCC[C@@H](O)C[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001261521170 809005877 /nfs/dbraw/zinc/00/58/77/809005877.db2.gz PRWNTODZSYIYNG-VXGBXAGGSA-N 0 1 294.355 0.940 20 30 CCEDMN N#Cc1cccc(CN2C[C@@H]3CCN(C(=O)C(N)=O)[C@@H]3C2)c1 ZINC001076193171 815256872 /nfs/dbraw/zinc/25/68/72/815256872.db2.gz FRYGEJXLXYIOPO-UONOGXRCSA-N 0 1 298.346 0.076 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N1CCNC[C@@H]1C(C)C ZINC001261820374 809097457 /nfs/dbraw/zinc/09/74/57/809097457.db2.gz YOPMJPJQVHBQQY-CHWSQXEVSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cccc(=O)n3C)[C@@H]2C1 ZINC001076225711 815261735 /nfs/dbraw/zinc/26/17/35/815261735.db2.gz YEYGNSARORHFIJ-GXTWGEPZSA-N 0 1 285.347 0.165 20 30 CCEDMN CON1CCC(C(=O)NC2(C#N)CCN(C)CC2)CC1 ZINC001261848067 809108555 /nfs/dbraw/zinc/10/85/55/809108555.db2.gz MTDUYBIRXRLPDZ-UHFFFAOYSA-N 0 1 280.372 0.364 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccnnc3)[C@@H]2C1 ZINC001076283839 815271728 /nfs/dbraw/zinc/27/17/28/815271728.db2.gz OCXUHLLJYFDAPJ-UONOGXRCSA-N 0 1 270.336 0.646 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001262180937 809225850 /nfs/dbraw/zinc/22/58/50/809225850.db2.gz VLKPRYBRHOOHAH-WDEREUQCSA-N 0 1 252.314 0.263 20 30 CCEDMN C#CCC1(O)CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC001262188806 809231997 /nfs/dbraw/zinc/23/19/97/809231997.db2.gz DQUSOQUMLHSFTH-VXGBXAGGSA-N 0 1 273.336 0.890 20 30 CCEDMN C=CCCS(=O)(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001262191141 809233068 /nfs/dbraw/zinc/23/30/68/809233068.db2.gz LNTYCWHRXDAOQV-SECBINFHSA-N 0 1 286.357 0.304 20 30 CCEDMN C=CCCS(=O)(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001262191141 809233074 /nfs/dbraw/zinc/23/30/74/809233074.db2.gz LNTYCWHRXDAOQV-SECBINFHSA-N 0 1 286.357 0.304 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnc3[nH]ccc3c2)[C@@H](O)C1 ZINC001083545783 815272741 /nfs/dbraw/zinc/27/27/41/815272741.db2.gz IBQNRYPHSRWRJH-KGLIPLIRSA-N 0 1 298.346 0.313 20 30 CCEDMN O=C(CCc1nc[nH]n1)NCC#C[C@@H]1CCCCO1 ZINC001262351336 809288227 /nfs/dbraw/zinc/28/82/27/809288227.db2.gz ZLHCUZXWLKXCGY-NSHDSACASA-N 0 1 262.313 0.426 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1CCNC[C@H]1CCOC ZINC001262542970 809333109 /nfs/dbraw/zinc/33/31/09/809333109.db2.gz NITCKWHLJCSBFY-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C)CCCOC ZINC001262962047 809424603 /nfs/dbraw/zinc/42/46/03/809424603.db2.gz CJFFAQMCHYJUSN-UHFFFAOYSA-N 0 1 277.332 0.722 20 30 CCEDMN CO[C@@H]1COCC[C@H]1CC(=O)C(C#N)C(=O)NC1CC1 ZINC001263307068 809506943 /nfs/dbraw/zinc/50/69/43/809506943.db2.gz QJIQGZYYKJVZDK-XHVZSJERSA-N 0 1 280.324 0.415 20 30 CCEDMN COc1cc(C(=O)[C@@H](C#N)c2nccn2C)nn1C ZINC001263332640 809512003 /nfs/dbraw/zinc/51/20/03/809512003.db2.gz VEYCZZFKTISVEO-MRVPVSSYSA-N 0 1 259.269 0.652 20 30 CCEDMN COc1cc(C(=O)C(C#N)c2nccn2C)nn1C ZINC001263332640 809512008 /nfs/dbraw/zinc/51/20/08/809512008.db2.gz VEYCZZFKTISVEO-MRVPVSSYSA-N 0 1 259.269 0.652 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CCC[N@@H+]1CC(C)C)C(=O)[O-] ZINC001263631352 809543910 /nfs/dbraw/zinc/54/39/10/809543910.db2.gz NVNVFUHJMZURJV-NWDGAFQWSA-N 0 1 266.341 0.700 20 30 CCEDMN C=C(C)CCNC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001263729311 809559024 /nfs/dbraw/zinc/55/90/24/809559024.db2.gz SBPLKNXGCDJNKY-CYBMUJFWSA-N 0 1 268.405 0.888 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](CCC)NC(N)=O ZINC001263819564 809578909 /nfs/dbraw/zinc/57/89/09/809578909.db2.gz XCLUVFJLHHCVLD-CHWSQXEVSA-N 0 1 294.399 0.379 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@H]2CC2(F)F)C1 ZINC001264074773 809627806 /nfs/dbraw/zinc/62/78/06/809627806.db2.gz IUQJSLMKPBPNGQ-VXGBXAGGSA-N 0 1 286.322 0.560 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C[C@H]2CCOC2)C1 ZINC001264074682 809627957 /nfs/dbraw/zinc/62/79/57/809627957.db2.gz HEDNXZXNIBHSJG-HUUCEWRRSA-N 0 1 294.395 0.332 20 30 CCEDMN CC#CCN(CCO)[C@@H]1CCCN(C(=O)COCCC)C1 ZINC001264075553 809628939 /nfs/dbraw/zinc/62/89/39/809628939.db2.gz YIQNPMWAVYHFKO-OAHLLOKOSA-N 0 1 296.411 0.722 20 30 CCEDMN CC#CC[N@@H+](C)C[C@@H]1CCCCN1C(=O)Cn1ncnn1 ZINC001264182453 809636327 /nfs/dbraw/zinc/63/63/27/809636327.db2.gz OMFNVOKABMJSJC-ZDUSSCGKSA-N 0 1 290.371 0.009 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)Cn1ncnn1 ZINC001264182453 809636332 /nfs/dbraw/zinc/63/63/32/809636332.db2.gz OMFNVOKABMJSJC-ZDUSSCGKSA-N 0 1 290.371 0.009 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)CC(C)C)C1 ZINC001264629012 809666374 /nfs/dbraw/zinc/66/63/74/809666374.db2.gz WWLAWSPGBIGOKP-AWEZNQCLSA-N 0 1 266.385 0.951 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCN(C)CC(=O)NCC#N ZINC001265021452 809693467 /nfs/dbraw/zinc/69/34/67/809693467.db2.gz PRFSUNHMRVFTCH-UHFFFAOYSA-N 0 1 280.372 0.276 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)CN3CCCC3)[C@@H]2C1 ZINC001076573729 815311143 /nfs/dbraw/zinc/31/11/43/815311143.db2.gz XQDRIXUPVVUTSV-QWHCGFSZSA-N 0 1 262.357 0.138 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2[nH]cnc2CC)CC1 ZINC001265266306 809736615 /nfs/dbraw/zinc/73/66/15/809736615.db2.gz IBLOBKANBAGCEK-UHFFFAOYSA-N 0 1 291.399 0.506 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cn[nH]c2)C1 ZINC001265271217 809739105 /nfs/dbraw/zinc/73/91/05/809739105.db2.gz UMTWUARTIQRFEJ-LBPRGKRZSA-N 0 1 264.329 0.416 20 30 CCEDMN CCn1nncc1CN[C@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001265429106 809777112 /nfs/dbraw/zinc/77/71/12/809777112.db2.gz MNDZZMMRDUGPLM-ZDUSSCGKSA-N 0 1 289.383 0.648 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2CCN(C(=O)[C@@H]3C[NH+](CC)CCO3)[C@@H]2C1 ZINC001076594330 815316248 /nfs/dbraw/zinc/31/62/48/815316248.db2.gz LTOFAACQWZDGPX-ZNMIVQPWSA-N 0 1 293.411 0.426 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CSCC#N)CC1 ZINC000701248723 809803556 /nfs/dbraw/zinc/80/35/56/809803556.db2.gz KTKJLORZCHTNNN-UHFFFAOYSA-N 0 1 252.343 0.347 20 30 CCEDMN CC[C@H](OC)C(=O)NC[C@@H]1CCCN(CC#CCOC)C1 ZINC001265577188 809807492 /nfs/dbraw/zinc/80/74/92/809807492.db2.gz CWZKPMMAZZKRAV-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OCC)[C@@H]1CCOC1 ZINC001265719535 809830758 /nfs/dbraw/zinc/83/07/58/809830758.db2.gz NSTQOKBHUUFEFV-NIFZNCRKSA-N 0 1 292.379 0.108 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H](C)NCc1cc(C)n(C)n1 ZINC001265775169 809850119 /nfs/dbraw/zinc/85/01/19/809850119.db2.gz OBFHOEYGJPJNFD-YPMHNXCESA-N 0 1 292.383 0.361 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN(C)CC(=O)NCC1CC1 ZINC001265814971 809861265 /nfs/dbraw/zinc/86/12/65/809861265.db2.gz KPLGTMFAVVYNTF-LLVKDONJSA-N 0 1 280.372 0.110 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2cnnn2CC)C1 ZINC001265895916 809887696 /nfs/dbraw/zinc/88/76/96/809887696.db2.gz WJTGEWOPYSZKRU-LBPRGKRZSA-N 0 1 293.371 0.191 20 30 CCEDMN CCN(CC#N)CCCNC(=O)c1ccc2[nH]nnc2c1 ZINC001266108727 809937524 /nfs/dbraw/zinc/93/75/24/809937524.db2.gz DLEKXESIUGEYEH-UHFFFAOYSA-N 0 1 286.339 0.923 20 30 CCEDMN CO[C@@H](C)CCC(=O)NCCN1CCC(NCC#N)CC1 ZINC001279425200 809978771 /nfs/dbraw/zinc/97/87/71/809978771.db2.gz WICFZOVOIARYOX-ZDUSSCGKSA-N 0 1 296.415 0.495 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)c2[nH]ccc2C)C1 ZINC001279478638 809983335 /nfs/dbraw/zinc/98/33/35/809983335.db2.gz BJJSRKYOKCJNQK-MRXNPFEDSA-N 0 1 289.379 0.903 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CCNCc2cnn(C)n2)C1 ZINC001279990868 810002221 /nfs/dbraw/zinc/00/22/21/810002221.db2.gz LRPTZCPGIOAJGL-NWDGAFQWSA-N 0 1 290.371 0.303 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H]2C=CCC2)C1 ZINC001076725652 815353831 /nfs/dbraw/zinc/35/38/31/815353831.db2.gz DIYBFWIQCRAJHG-BFHYXJOUSA-N 0 1 262.353 0.527 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccon2)C1 ZINC001076779113 815371505 /nfs/dbraw/zinc/37/15/05/815371505.db2.gz DAMWKODFWICTGI-GHMZBOCLSA-N 0 1 251.286 0.026 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2CN(CCCF)C[C@H]2O)c[nH]1 ZINC001076907567 815410226 /nfs/dbraw/zinc/41/02/26/815410226.db2.gz TZMMONNQTKWAJP-VXGBXAGGSA-N 0 1 280.303 0.021 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)C(=O)NCC(F)F ZINC001267269775 811073752 /nfs/dbraw/zinc/07/37/52/811073752.db2.gz DSOKNKXHHCDMKW-VIFPVBQESA-N 0 1 275.299 0.134 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H](CC(C)C)C(N)=O ZINC001267282656 811092632 /nfs/dbraw/zinc/09/26/32/811092632.db2.gz GCNGWCZEBGBJCG-ZIAGYGMSSA-N 0 1 293.411 0.738 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccsn2)[C@@H](O)C1 ZINC001083558070 815421455 /nfs/dbraw/zinc/42/14/55/815421455.db2.gz QPVIFHMWFRPGLD-MNOVXSKESA-N 0 1 267.354 0.494 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)CCN2CCOCC2)C1 ZINC001267304782 811125769 /nfs/dbraw/zinc/12/57/69/811125769.db2.gz MAUWKHAYIZRDOB-HNNXBMFYSA-N 0 1 293.411 0.170 20 30 CCEDMN COc1ccc(CNCCN(C)C(=O)[C@@H](C)C#N)nn1 ZINC001267355135 811203754 /nfs/dbraw/zinc/20/37/54/811203754.db2.gz JFYMRKGEQAJNMC-JTQLQIEISA-N 0 1 277.328 0.193 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](CNCc2cnn(C)n2)C1 ZINC001023894502 811279516 /nfs/dbraw/zinc/27/95/16/811279516.db2.gz COUBAADFXBQGKN-VXGBXAGGSA-N 0 1 290.371 0.303 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)N2[C@@H]1CCNC1=O ZINC001267410067 811285837 /nfs/dbraw/zinc/28/58/37/811285837.db2.gz MOLRLIIWYKIMCZ-HZSPNIEDSA-N 0 1 291.395 0.906 20 30 CCEDMN C#CCN1CC[C@H](N(CCC)C(=O)CC(=O)NCC)C1 ZINC001267454511 811315581 /nfs/dbraw/zinc/31/55/81/811315581.db2.gz OCCJRPGREHDSOA-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN N#Cc1cnccc1N1CC[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001058562053 811318739 /nfs/dbraw/zinc/31/87/39/811318739.db2.gz AZAXMUHXJSGGMK-LBPRGKRZSA-N 0 1 296.334 0.614 20 30 CCEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2COC(=O)N2)C1 ZINC001267459890 811323322 /nfs/dbraw/zinc/32/33/22/811323322.db2.gz OWYPFUZSGPDQTJ-OLZOCXBDSA-N 0 1 293.367 0.431 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cn(C)nn2)C1 ZINC001267460667 811325815 /nfs/dbraw/zinc/32/58/15/811325815.db2.gz XOINWAUCADJZCQ-LBPRGKRZSA-N 0 1 277.372 0.928 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CC(N)=O)C1 ZINC001267514192 811389719 /nfs/dbraw/zinc/38/97/19/811389719.db2.gz TUAKVLCMQCAGBJ-JTQLQIEISA-N 0 1 273.764 0.443 20 30 CCEDMN C#CCN[C@H](CNC(=O)CNC(=O)CC)c1ccccc1 ZINC001267517293 811394445 /nfs/dbraw/zinc/39/44/45/811394445.db2.gz OBEAWHGCIQSLCQ-CQSZACIVSA-N 0 1 287.363 0.593 20 30 CCEDMN C#CCN[C@@H](CNC(=O)CN(C)C(C)=O)c1ccccc1 ZINC001267518288 811397076 /nfs/dbraw/zinc/39/70/76/811397076.db2.gz ZLNAVVSZMXSIIZ-HNNXBMFYSA-N 0 1 287.363 0.545 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@H](C)n1cncn1)c1ccccc1 ZINC001267521359 811400418 /nfs/dbraw/zinc/40/04/18/811400418.db2.gz SNHTVPOQMMOGER-ZFWWWQNUSA-N 0 1 297.362 0.919 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@]1(C)CCNC1=O)c1ccccc1 ZINC001267523138 811401477 /nfs/dbraw/zinc/40/14/77/811401477.db2.gz PMGANKQLKIKYDW-WMLDXEAASA-N 0 1 299.374 0.593 20 30 CCEDMN C#CCOCCC(=O)N1C[C@H]2CC[C@@H](C1)N2CC=C ZINC001267530149 811411861 /nfs/dbraw/zinc/41/18/61/811411861.db2.gz MJVKZMRTAFBFLN-OKILXGFUSA-N 0 1 262.353 0.888 20 30 CCEDMN N#Cc1cccnc1N1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001058599710 811423821 /nfs/dbraw/zinc/42/38/21/811423821.db2.gz YADLLDRDXPCXJV-SNVBAGLBSA-N 0 1 283.295 0.080 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@H]1CCN(CC(N)=O)C1 ZINC001267561821 811450172 /nfs/dbraw/zinc/45/01/72/811450172.db2.gz QTDOUBIIHMFFJI-NSHDSACASA-N 0 1 267.373 0.512 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cnns2)C1 ZINC001267674771 811640332 /nfs/dbraw/zinc/64/03/32/811640332.db2.gz UNFVFGVLASJEIH-UHFFFAOYSA-N 0 1 282.369 0.402 20 30 CCEDMN C=CCOCC(=O)NCC1CN([C@H](C)c2n[nH]c(C)n2)C1 ZINC001267679075 811643798 /nfs/dbraw/zinc/64/37/98/811643798.db2.gz LCEYJVPLLKZMNW-SNVBAGLBSA-N 0 1 293.371 0.425 20 30 CCEDMN C=CCN1CC(CNC(=O)Cc2cncs2)C1 ZINC001267685601 811651777 /nfs/dbraw/zinc/65/17/77/811651777.db2.gz IGDVEGOZAYUZID-UHFFFAOYSA-N 0 1 251.355 0.920 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1CCN(C[C@H]2CCc3ncnn32)C1 ZINC001267723711 811689468 /nfs/dbraw/zinc/68/94/68/811689468.db2.gz HHAHCGSTYGIBGH-UONOGXRCSA-N 0 1 299.378 0.369 20 30 CCEDMN COCCOCCN1CC[C@@H](N(C)C(=O)[C@H](C)C#N)C1 ZINC001267760533 811756587 /nfs/dbraw/zinc/75/65/87/811756587.db2.gz ULAOAIVMWDXQIL-CHWSQXEVSA-N 0 1 283.372 0.342 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CCOCCOC)C1 ZINC001267778463 811779062 /nfs/dbraw/zinc/77/90/62/811779062.db2.gz BGFKOOIUUNFVHS-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C=CCCNCc1cn(C2CN(C(=O)CC)C2)nn1 ZINC001105125162 811848483 /nfs/dbraw/zinc/84/84/83/811848483.db2.gz CYVYSRVPAJMEBF-UHFFFAOYSA-N 0 1 263.345 0.737 20 30 CCEDMN C=CCCNCc1cn(C2CN(C(=O)CCOC)C2)nn1 ZINC001105130486 811853669 /nfs/dbraw/zinc/85/36/69/811853669.db2.gz NWZBYGPUGDSBMD-UHFFFAOYSA-N 0 1 293.371 0.364 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)CC3CC3)C2)nn1 ZINC001105133286 811854891 /nfs/dbraw/zinc/85/48/91/811854891.db2.gz ZTROBZBDYJYWNC-UHFFFAOYSA-N 0 1 287.367 0.574 20 30 CCEDMN Cn1ccc(CC(=O)NCCNCC#Cc2ccccc2)n1 ZINC001126971912 815483307 /nfs/dbraw/zinc/48/33/07/815483307.db2.gz WXIUOGSHUFBZPG-UHFFFAOYSA-N 0 1 296.374 0.720 20 30 CCEDMN C[C@@H](CNCc1cn(C)nn1)CNC(=O)C#CC(C)(C)C ZINC001267987073 811927706 /nfs/dbraw/zinc/92/77/06/811927706.db2.gz ZKHMTQIHDVMQKT-LBPRGKRZSA-N 0 1 291.399 0.707 20 30 CCEDMN CC[C@@H]1OC(C)=C(OCC(=O)N2CCN(C)CC2)C1=O ZINC001222543667 811934491 /nfs/dbraw/zinc/93/44/91/811934491.db2.gz TWYIHWQGVOIOEA-NSHDSACASA-N 0 1 282.340 0.386 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001268022419 811973656 /nfs/dbraw/zinc/97/36/56/811973656.db2.gz RFROTPSTBMXNQK-LZWOXQAQSA-N 0 1 294.395 0.806 20 30 CCEDMN C=C(C)CN1CCO[C@@H](CNC(=O)CCc2cnc[nH]2)C1 ZINC001268024582 811985381 /nfs/dbraw/zinc/98/53/81/811985381.db2.gz IUFVQCHNGLHFIP-AWEZNQCLSA-N 0 1 292.383 0.735 20 30 CCEDMN C#CCN1CCO[C@@H](CNC(=O)CN2CCC(C)CC2)C1 ZINC001268030637 812036223 /nfs/dbraw/zinc/03/62/23/812036223.db2.gz SXNAOPCOWPTODR-HNNXBMFYSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCNCC(=O)N[C@H](Cn1ccnc1)C(C)(C)C ZINC000092492228 812048345 /nfs/dbraw/zinc/04/83/45/812048345.db2.gz MUCYURLKUXJBEI-GFCCVEGCSA-N 0 1 262.357 0.637 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001028054996 812237557 /nfs/dbraw/zinc/23/75/57/812237557.db2.gz MPFUFQIFMPTMOL-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001028088512 812268046 /nfs/dbraw/zinc/26/80/46/812268046.db2.gz KMWXEWOKKRDIOS-GFCCVEGCSA-N 0 1 288.351 0.708 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1csc(=O)[nH]1 ZINC001028090271 812269137 /nfs/dbraw/zinc/26/91/37/812269137.db2.gz SRIOUCDADZDVLW-VIFPVBQESA-N 0 1 265.338 0.676 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001038878951 812290226 /nfs/dbraw/zinc/29/02/26/812290226.db2.gz HINCCRGZSOBTJB-CQSZACIVSA-N 0 1 295.346 0.909 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccnc(N(C)C)c1 ZINC001028176408 812334074 /nfs/dbraw/zinc/33/40/74/812334074.db2.gz FDWNCJWZHVWWAZ-AWEZNQCLSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccnc(N(C)C)c1 ZINC001028176408 812334082 /nfs/dbraw/zinc/33/40/82/812334082.db2.gz FDWNCJWZHVWWAZ-AWEZNQCLSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCCCN1C(N)=O ZINC001038910553 812335147 /nfs/dbraw/zinc/33/51/47/812335147.db2.gz WEIXWRHXSATTBO-STQMWFEESA-N 0 1 292.383 0.133 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1cn(CC)cn1 ZINC001038924128 812347759 /nfs/dbraw/zinc/34/77/59/812347759.db2.gz BOFVRFXPPTZDMY-LBPRGKRZSA-N 0 1 260.341 0.730 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cn(CC)cn1 ZINC001038924128 812347768 /nfs/dbraw/zinc/34/77/68/812347768.db2.gz BOFVRFXPPTZDMY-LBPRGKRZSA-N 0 1 260.341 0.730 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CCn2cnccc2=O)C1 ZINC001268228447 812383950 /nfs/dbraw/zinc/38/39/50/812383950.db2.gz ARCSZHDJWDHYBB-ZDUSSCGKSA-N 0 1 290.367 0.400 20 30 CCEDMN CCc1nc(C(=O)N(C)CCN(C)CC#CCOC)co1 ZINC001268233854 812395581 /nfs/dbraw/zinc/39/55/81/812395581.db2.gz AYIXFIJVAMAEEZ-UHFFFAOYSA-N 0 1 293.367 0.891 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1ccon1 ZINC001268234385 812398523 /nfs/dbraw/zinc/39/85/23/812398523.db2.gz XOFQSBRVKRAABU-UHFFFAOYSA-N 0 1 265.313 0.328 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccc(-n2ccnc2)nc1 ZINC001268246368 812412754 /nfs/dbraw/zinc/41/27/54/812412754.db2.gz ZNMJHCNGRCZWEN-UHFFFAOYSA-N 0 1 297.362 0.904 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001028227868 812433854 /nfs/dbraw/zinc/43/38/54/812433854.db2.gz DQFLMARPNGJNHD-NSHDSACASA-N 0 1 276.340 0.494 20 30 CCEDMN C#CCCCC(=O)NCCNCC(=O)Nc1cc(C)on1 ZINC001126339124 812458856 /nfs/dbraw/zinc/45/88/56/812458856.db2.gz QTWUZKIQWLRQTG-UHFFFAOYSA-N 0 1 292.339 0.431 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H]3CN(CC#N)C[C@H]32)[nH]1 ZINC001075619860 812475473 /nfs/dbraw/zinc/47/54/73/812475473.db2.gz RBYRRNCOMQXMTK-CMPLNLGQSA-N 0 1 259.313 0.388 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCCN1C(N)=O ZINC001028254441 812510990 /nfs/dbraw/zinc/51/09/90/812510990.db2.gz VGWBBKCTCYMCMI-OLZOCXBDSA-N 0 1 292.383 0.133 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCNCc1ncnn1CCF ZINC001268348404 812512042 /nfs/dbraw/zinc/51/20/42/812512042.db2.gz GPKVWIJUENOFGI-QWRGUYRKSA-N 0 1 296.350 0.392 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)NCC1CC(NCC#N)C1 ZINC001100378050 812513345 /nfs/dbraw/zinc/51/33/45/812513345.db2.gz QJJXGPZVNVXVDS-WXRRBKDZSA-N 0 1 284.379 0.674 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc2nnn(C)c2c1 ZINC001028288590 812584016 /nfs/dbraw/zinc/58/40/16/812584016.db2.gz HVYHQWAPZXKEMO-ZDUSSCGKSA-N 0 1 297.362 0.796 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)nc1 ZINC001028348347 812636276 /nfs/dbraw/zinc/63/62/76/812636276.db2.gz QDFBNGZJEHAUAL-LBPRGKRZSA-N 0 1 288.351 0.561 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1ncccc1C ZINC001126366071 812683036 /nfs/dbraw/zinc/68/30/36/812683036.db2.gz QKFVNBVAFUINJI-OAHLLOKOSA-N 0 1 277.368 0.923 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)c1cccs1 ZINC001268507156 812730912 /nfs/dbraw/zinc/73/09/12/812730912.db2.gz NRPORNBUNJGMKB-ONEGZZNKSA-N 0 1 291.376 0.373 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC/C=C/CNCc1cnn(C)c1 ZINC001268533395 812776594 /nfs/dbraw/zinc/77/65/94/812776594.db2.gz POVZNIAEDVTUIT-LLYBFZRZSA-N 0 1 292.383 0.509 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001028542441 812829659 /nfs/dbraw/zinc/82/96/59/812829659.db2.gz QEROEYMJUKCJKS-TZMCWYRMSA-N 0 1 286.379 0.901 20 30 CCEDMN N#CCNC/C=C/CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001268581638 812866567 /nfs/dbraw/zinc/86/65/67/812866567.db2.gz JTWLOXRQKAWDKT-TXXBHVLJSA-N 0 1 274.328 0.010 20 30 CCEDMN C=CCCCC(=O)NC/C=C/CN[C@@H]1CCNC1=O ZINC001268583193 812867942 /nfs/dbraw/zinc/86/79/42/812867942.db2.gz DSAFOAUSLDXQCD-BTDICHCPSA-N 0 1 265.357 0.493 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N(C2CCN(CC=C)CC2)C1=O ZINC000348421372 815565535 /nfs/dbraw/zinc/56/55/35/815565535.db2.gz NQTWAOZFSDTGGP-CYBMUJFWSA-N 0 1 293.367 0.760 20 30 CCEDMN Cc1nccnc1CNC[C@@H](C)NC(=O)C#CC1CC1 ZINC001268645131 812972498 /nfs/dbraw/zinc/97/24/98/812972498.db2.gz UOBWYHBIFHNMFC-LLVKDONJSA-N 0 1 272.352 0.793 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001028624630 812986853 /nfs/dbraw/zinc/98/68/53/812986853.db2.gz DIRADSVETKOGMT-LBPRGKRZSA-N 0 1 288.351 0.484 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)C[C@H]1CCCO1 ZINC001268722515 813037159 /nfs/dbraw/zinc/03/71/59/813037159.db2.gz UNUVNXPNQPNPAL-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)CC[C@@H](C)OC ZINC001268727877 813044818 /nfs/dbraw/zinc/04/48/18/813044818.db2.gz SUPOKYREEHOKPH-UONOGXRCSA-N 0 1 284.400 0.888 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CN(C)[C@H]1CCCN(C)C1=O ZINC001268729411 813045766 /nfs/dbraw/zinc/04/57/66/813045766.db2.gz GNEXAVZGEHRSAK-KGLIPLIRSA-N 0 1 293.411 0.703 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001268741647 813058103 /nfs/dbraw/zinc/05/81/03/813058103.db2.gz WBPDUSWDALQSBQ-CHWSQXEVSA-N 0 1 295.427 0.997 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CNC(=O)CCC(C)C ZINC001268750564 813063784 /nfs/dbraw/zinc/06/37/84/813063784.db2.gz NPVAENSIGLZWNZ-ZDUSSCGKSA-N 0 1 281.400 0.609 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1oncc1C ZINC001268751512 813064051 /nfs/dbraw/zinc/06/40/51/813064051.db2.gz DCMUPJSBQXQMPT-GFCCVEGCSA-N 0 1 279.340 0.683 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H](CCC(C)C)NC(C)=O ZINC001268763793 813073144 /nfs/dbraw/zinc/07/31/44/813073144.db2.gz MXGOVHSVAXLLMO-UKRRQHHQSA-N 0 1 295.427 0.997 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H](OC)[C@@H]2CCOC2)C1 ZINC001268859154 813118265 /nfs/dbraw/zinc/11/82/65/813118265.db2.gz XZMMFGPLUWTKCI-OLZOCXBDSA-N 0 1 268.357 0.272 20 30 CCEDMN O=C(CCS)N1CC2(C[C@@H]2C(=O)NCc2cnc[nH]2)C1 ZINC001268891557 813135684 /nfs/dbraw/zinc/13/56/84/813135684.db2.gz KHZQQFOIQKLKER-SNVBAGLBSA-N 0 1 294.380 0.194 20 30 CCEDMN C#CCOCCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@@H](C)OC ZINC001268943928 813152778 /nfs/dbraw/zinc/15/27/78/813152778.db2.gz CBSZKLBUTCCGKF-RDBSUJKOSA-N 0 1 280.368 0.346 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1conc1CC ZINC001127031441 815580661 /nfs/dbraw/zinc/58/06/61/815580661.db2.gz CFHARNUULDJSRJ-UHFFFAOYSA-N 0 1 279.340 0.483 20 30 CCEDMN N#CCCC(=O)N1CCc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001269025128 813181440 /nfs/dbraw/zinc/18/14/40/813181440.db2.gz GOIJVBXMHCCCRY-UHFFFAOYSA-N 0 1 299.334 0.610 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2n[nH]cc2F)C1 ZINC001269061517 813198926 /nfs/dbraw/zinc/19/89/26/813198926.db2.gz KCGRILRVASGZFT-JTQLQIEISA-N 0 1 282.319 0.556 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cn(C)nn2)C1 ZINC001269101347 813217696 /nfs/dbraw/zinc/21/76/96/813217696.db2.gz YFETYCVAOKDFGB-NSHDSACASA-N 0 1 263.345 0.124 20 30 CCEDMN C=CCN1C[C@@]2(F)CN(C(=O)c3ccn[nH]3)C[C@@]2(F)C1=O ZINC001269131626 813231724 /nfs/dbraw/zinc/23/17/24/813231724.db2.gz HUEQMUTWPJQNGV-CHWSQXEVSA-N 0 1 296.277 0.310 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)CNC(=O)C1CC1 ZINC001269201066 813260774 /nfs/dbraw/zinc/26/07/74/813260774.db2.gz RDZBELJVWUJYCB-LBPRGKRZSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2C(=O)Cc2ccn[nH]2)C1=O ZINC001269663440 813463708 /nfs/dbraw/zinc/46/37/08/813463708.db2.gz BDAPIRZTTSFWLE-HNNXBMFYSA-N 0 1 288.351 0.732 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001028797544 813522304 /nfs/dbraw/zinc/52/23/04/813522304.db2.gz OWNYSEGPWJNDBC-VXGBXAGGSA-N 0 1 287.367 0.791 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2cnc3cccnn32)C1 ZINC001028849598 813581474 /nfs/dbraw/zinc/58/14/74/813581474.db2.gz GDTFLENQPKBFTR-CYBMUJFWSA-N 0 1 297.362 0.804 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CC(C)(C)O)[C@@H]2C1 ZINC001075740698 813683529 /nfs/dbraw/zinc/68/35/29/813683529.db2.gz CLNBISNWPZURLJ-NWDGAFQWSA-N 0 1 250.342 0.313 20 30 CCEDMN C#CCN(C(=O)[C@H]1C[C@@H]1OCC)C1CCN(CC#C)CC1 ZINC001270290654 813711320 /nfs/dbraw/zinc/71/13/20/813711320.db2.gz NWJZILVDUPBUQM-HOTGVXAUSA-N 0 1 288.391 0.971 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](CNC(=O)[C@@H]2C[NH+](CC)CCO2)C1 ZINC001028996328 813736055 /nfs/dbraw/zinc/73/60/55/813736055.db2.gz DWQIJTPHOJOLQE-CABCVRRESA-N 0 1 293.411 0.169 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](NC(C)=O)[C@H]2CC)C1=O ZINC001087478904 813900679 /nfs/dbraw/zinc/90/06/79/813900679.db2.gz ODZRDHMKQBFJOH-BFHYXJOUSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)n[nH]2)[C@H]1CC ZINC001087513066 814042805 /nfs/dbraw/zinc/04/28/05/814042805.db2.gz YEJFPDWCXBTIFS-WCQYABFASA-N 0 1 260.341 0.934 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H]1CC ZINC001087544041 814127267 /nfs/dbraw/zinc/12/72/67/814127267.db2.gz QGIHDPHZUNAFAF-WDEREUQCSA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3ccncc23)[C@H]1CC ZINC001087603468 814174338 /nfs/dbraw/zinc/17/43/38/814174338.db2.gz RDXNYDQLPWFTKC-UONOGXRCSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)NC2)[C@H]1CC ZINC001087635179 814177038 /nfs/dbraw/zinc/17/70/38/814177038.db2.gz NXQQKCDVMJBFTQ-RWMBFGLXSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cn(CC)nn2)[C@H]1CC ZINC001087668268 814182789 /nfs/dbraw/zinc/18/27/89/814182789.db2.gz LUGLUAKWZUNQHR-UONOGXRCSA-N 0 1 289.383 0.443 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)[C@H]1CC ZINC001087803376 814218717 /nfs/dbraw/zinc/21/87/17/814218717.db2.gz SRHHILPPESJPBQ-ZNMIVQPWSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1CC ZINC001087830685 814225794 /nfs/dbraw/zinc/22/57/94/814225794.db2.gz UBBLDFYQDSFXGC-WCQYABFASA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc(C)nnc3C)[C@@H]2C1 ZINC001075834130 814353707 /nfs/dbraw/zinc/35/37/07/814353707.db2.gz PUIJNNZEVPLZJH-DZGCQCFKSA-N 0 1 284.363 0.873 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)Cc2ccco2)CC1 ZINC001271925419 814632170 /nfs/dbraw/zinc/63/21/70/814632170.db2.gz MWHQYGCRHNWLSB-UHFFFAOYSA-N 0 1 290.367 0.516 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)c2cnc(C)[nH]2)C1 ZINC001271949420 814641772 /nfs/dbraw/zinc/64/17/72/814641772.db2.gz ILPJVZONXFZWFL-HNNXBMFYSA-N 0 1 292.383 0.851 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@]1(CO)CCCN(CC(=C)C)C1 ZINC001271956881 814649474 /nfs/dbraw/zinc/64/94/74/814649474.db2.gz GIYNUNOJIQWGCC-HOCLYGCPSA-N 0 1 294.395 0.544 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CCCOCC1 ZINC001272005801 814700463 /nfs/dbraw/zinc/70/04/63/814700463.db2.gz YFIKANCZLJRYKK-ZDUSSCGKSA-N 0 1 252.358 0.827 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2cc(C)cn2)[C@H]1C ZINC001088480966 814730501 /nfs/dbraw/zinc/73/05/01/814730501.db2.gz FRKHNZXYLNEPKS-OLZOCXBDSA-N 0 1 260.341 0.404 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccnn2C)[C@H]1C ZINC001088486126 814737828 /nfs/dbraw/zinc/73/78/28/814737828.db2.gz SSZSRRHSCXHECR-NEPJUHHUSA-N 0 1 260.341 0.636 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nnn(C)c2C)[C@H]1C ZINC001088503486 814759239 /nfs/dbraw/zinc/75/92/39/814759239.db2.gz JTDIKPQKQHSREA-KOLCDFICSA-N 0 1 263.345 0.502 20 30 CCEDMN C#CCOCCC(=O)N1CCC[C@H]2CN(CCF)C[C@H]21 ZINC001272072506 814906989 /nfs/dbraw/zinc/90/69/89/814906989.db2.gz YEWHLLGXYVOOHK-UONOGXRCSA-N 0 1 282.359 0.919 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cccc(Cl)n1 ZINC001127040097 815589742 /nfs/dbraw/zinc/58/97/42/815589742.db2.gz YGSDIYJBNFFNFX-LLVKDONJSA-N 0 1 295.770 0.979 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COC(C)(C)C)[C@H](OC)C1 ZINC001212152735 815689508 /nfs/dbraw/zinc/68/95/08/815689508.db2.gz ZORAFPUAJUNULP-CHWSQXEVSA-N 0 1 282.384 0.640 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](CNCc2nncn2C)[C@H](C)C1 ZINC001105926708 816002421 /nfs/dbraw/zinc/00/24/21/816002421.db2.gz CLRYPOZWIYJGPU-CHWSQXEVSA-N 0 1 291.399 0.965 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC1CN(CCC(C)C)C1 ZINC001030463563 816048992 /nfs/dbraw/zinc/04/89/92/816048992.db2.gz QAHUKGZDTNDRHL-HNNXBMFYSA-N 0 1 277.412 0.931 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc3nncn3c2)[C@H]1C ZINC001088917911 816173141 /nfs/dbraw/zinc/17/31/41/816173141.db2.gz WTODQARDCSFTHN-OCCSQVGLSA-N 0 1 297.362 0.945 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC001089041968 816222957 /nfs/dbraw/zinc/22/29/57/816222957.db2.gz ZPGWLYSBRQXXBP-KOLCDFICSA-N 0 1 276.340 0.492 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)N2CCCCC2=O)[C@H]1C ZINC001089055926 816225965 /nfs/dbraw/zinc/22/59/65/816225965.db2.gz IGJTTYYEISANGK-MCIONIFRSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3cocn3)C2)C1=O ZINC001272374337 816372087 /nfs/dbraw/zinc/37/20/87/816372087.db2.gz CILCSHWECCODJF-CQSZACIVSA-N 0 1 259.309 0.732 20 30 CCEDMN C#CCCCNC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000176372349 816455486 /nfs/dbraw/zinc/45/54/86/816455486.db2.gz PTFFUGSSFDUDBY-CQSZACIVSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnn(C)c2N)C1 ZINC001046260173 816466031 /nfs/dbraw/zinc/46/60/31/816466031.db2.gz WUUPQZSTJKILAO-CQSZACIVSA-N 0 1 275.356 0.220 20 30 CCEDMN C=CCN1C(=O)C[C@]2(CCCN(Cc3cc[nH]n3)C2)C1=O ZINC001272539573 816562768 /nfs/dbraw/zinc/56/27/68/816562768.db2.gz YBODGBKJHWEWAT-HNNXBMFYSA-N 0 1 288.351 0.937 20 30 CCEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCCN(C(N)=O)C2)C1 ZINC001046461026 816596656 /nfs/dbraw/zinc/59/66/56/816596656.db2.gz LFVNXZJDYCPHIZ-DOMZBBRYSA-N 0 1 294.399 0.544 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H](C)C1CC1 ZINC000176523560 816634710 /nfs/dbraw/zinc/63/47/10/816634710.db2.gz OOAGTSIAWWDMKW-KOLCDFICSA-N 0 1 252.314 0.894 20 30 CCEDMN C[C@@H](CCNc1ncccc1C#N)NC(=O)c1ncn[nH]1 ZINC001106412244 816763192 /nfs/dbraw/zinc/76/31/92/816763192.db2.gz KSNBHLVJFGLESR-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@@H](CCNc1ncccc1C#N)NC(=O)c1nc[nH]n1 ZINC001106412244 816763195 /nfs/dbraw/zinc/76/31/95/816763195.db2.gz KSNBHLVJFGLESR-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN CCN(CCNc1ncnc2[nH]cnc21)C(=O)C#CC1CC1 ZINC001106751851 816895199 /nfs/dbraw/zinc/89/51/99/816895199.db2.gz OCFHVDVZWCKBTG-UHFFFAOYSA-N 0 1 298.350 0.978 20 30 CCEDMN C=CCN1CC(NC(=O)c2cnccc2N(C)C)C1 ZINC001031268538 816916788 /nfs/dbraw/zinc/91/67/88/816916788.db2.gz OCOOAQXQYGSKKS-UHFFFAOYSA-N 0 1 260.341 0.748 20 30 CCEDMN CC#CCCNC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001123637608 816996341 /nfs/dbraw/zinc/99/63/41/816996341.db2.gz IGRDHIHFXNJFTP-ZDUSSCGKSA-N 0 1 266.389 0.335 20 30 CCEDMN C=CCN1CC(CNC(=O)c2snnc2C)C1 ZINC001031543457 817122341 /nfs/dbraw/zinc/12/23/41/817122341.db2.gz UTGUDRGSBAQZFP-UHFFFAOYSA-N 0 1 252.343 0.694 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2nccnc2N)C1 ZINC001031588359 817168591 /nfs/dbraw/zinc/16/85/91/817168591.db2.gz BGIPHOBIBAUMKL-UHFFFAOYSA-N 0 1 261.329 0.297 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001031678004 817239200 /nfs/dbraw/zinc/23/92/00/817239200.db2.gz JDGKFLBYTTZQGG-DGCLKSJQSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1CC(CNC(=O)c2nn(C)cc2Cl)C1 ZINC001031695787 817250077 /nfs/dbraw/zinc/25/00/77/817250077.db2.gz YVVPWTIJUQOZDG-UHFFFAOYSA-N 0 1 268.748 0.921 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2nn(C)cc2Cl)C1 ZINC001031695427 817250250 /nfs/dbraw/zinc/25/02/50/817250250.db2.gz ISUWVQZEMZUGQI-UHFFFAOYSA-N 0 1 280.759 0.758 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCCNC2=O)C1 ZINC001031747467 817331203 /nfs/dbraw/zinc/33/12/03/817331203.db2.gz ILBZBJVSYLIIBJ-GFCCVEGCSA-N 0 1 265.357 0.137 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C(N)=O)o2)C1 ZINC001031832675 817401391 /nfs/dbraw/zinc/40/13/91/817401391.db2.gz STYACDJUQJXQPI-UHFFFAOYSA-N 0 1 277.324 0.616 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc(OC)n(C)n2)C1 ZINC001031835039 817404073 /nfs/dbraw/zinc/40/40/73/817404073.db2.gz YMSBDNUOYYLNIZ-UHFFFAOYSA-N 0 1 278.356 0.666 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001212345072 817408344 /nfs/dbraw/zinc/40/83/44/817408344.db2.gz ZHXIFBCYPWOYJT-CHWSQXEVSA-N 0 1 270.373 0.541 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2scnc2COC)C1 ZINC001032035828 817568145 /nfs/dbraw/zinc/56/81/45/817568145.db2.gz MSMWBJSCWQWBAG-UHFFFAOYSA-N 0 1 293.392 0.974 20 30 CCEDMN C=CCOCC(=O)NCCNCc1ocnc1C ZINC001124768388 817569650 /nfs/dbraw/zinc/56/96/50/817569650.db2.gz YVLCWUYBZJUKFO-UHFFFAOYSA-N 0 1 253.302 0.391 20 30 CCEDMN C#CCN1CCC2(CCN(Cc3cn(C)cn3)CC2)C1=O ZINC001272732181 817622094 /nfs/dbraw/zinc/62/20/94/817622094.db2.gz YXOHRKCXYMTNIK-UHFFFAOYSA-N 0 1 286.379 0.868 20 30 CCEDMN Cc1nnsc1CNCCNC(=O)[C@H](C)C#N ZINC001124898242 817622733 /nfs/dbraw/zinc/62/27/33/817622733.db2.gz YWRMZKZDYUOHEW-SSDOTTSWSA-N 0 1 253.331 0.212 20 30 CCEDMN C=CCN1CC(CNC(=O)c2nccn3cc(C)nc23)C1 ZINC001032111613 817640199 /nfs/dbraw/zinc/64/01/99/817640199.db2.gz LAXYKGDVCRDPCH-UHFFFAOYSA-N 0 1 285.351 0.885 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccn(C)c2=O)C1 ZINC001032134731 817660897 /nfs/dbraw/zinc/66/08/97/817660897.db2.gz PILHUWFWTOAMHT-UHFFFAOYSA-N 0 1 261.325 0.233 20 30 CCEDMN C#Cc1ccc(C(=O)NCC2CN(CC=C)C2)cn1 ZINC001032137679 817662037 /nfs/dbraw/zinc/66/20/37/817662037.db2.gz XEMDCQFCJDYWON-UHFFFAOYSA-N 0 1 255.321 0.911 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001032155338 817670027 /nfs/dbraw/zinc/67/00/27/817670027.db2.gz BPZABTAOBAYCER-AWEZNQCLSA-N 0 1 279.384 0.479 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001032226426 817730475 /nfs/dbraw/zinc/73/04/75/817730475.db2.gz JJDFBFZDDSEWQC-LBPRGKRZSA-N 0 1 280.372 0.154 20 30 CCEDMN C=C(C)CCN1CC(n2cc(CNC(=O)C(F)F)nn2)C1 ZINC001107114506 817759038 /nfs/dbraw/zinc/75/90/38/817759038.db2.gz WEDFWDVNRKUJHM-UHFFFAOYSA-N 0 1 299.325 0.982 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1=COCCO1 ZINC001032262738 817762144 /nfs/dbraw/zinc/76/21/44/817762144.db2.gz FOWOCHDWFODCTI-RYUDHWBXSA-N 0 1 262.309 0.183 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)c2cnn[nH]2)nc1 ZINC001107587283 817888936 /nfs/dbraw/zinc/88/89/36/817888936.db2.gz QTORZDXSPJUAPF-OWOJBTEDSA-N 0 1 283.295 0.469 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ocnc2C2CC2)C1 ZINC001077671942 817979027 /nfs/dbraw/zinc/97/90/27/817979027.db2.gz LQMARRXCQJXQOQ-VXGBXAGGSA-N 0 1 291.351 0.903 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CC23CC3)[C@@H](O)C1 ZINC001083807147 818061941 /nfs/dbraw/zinc/06/19/41/818061941.db2.gz BIJSSNRWMWPRPZ-TUAOUCFPSA-N 0 1 250.342 0.524 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CCC2)[C@H](O)C1 ZINC001090007300 818261937 /nfs/dbraw/zinc/26/19/37/818261937.db2.gz QUUNYUDMUKXWBE-CHWSQXEVSA-N 0 1 250.342 0.361 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnccn2)[C@H](O)C1 ZINC001090031515 818295022 /nfs/dbraw/zinc/29/50/22/818295022.db2.gz OJCYEDIGJOWHPL-DGCLKSJQSA-N 0 1 276.340 0.218 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C2CCOCC2)C1 ZINC001032859152 818320319 /nfs/dbraw/zinc/32/03/19/818320319.db2.gz QNBUXPFLNCCYBZ-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCCO2)C1 ZINC001032921851 818360477 /nfs/dbraw/zinc/36/04/77/818360477.db2.gz YHVJGGPZZAKEJL-QWHCGFSZSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCOC2)C1 ZINC001032954427 818384573 /nfs/dbraw/zinc/38/45/73/818384573.db2.gz WEEYDMZSJRWKMZ-STQMWFEESA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033011303 818420463 /nfs/dbraw/zinc/42/04/63/818420463.db2.gz ATLANFBYVQFCSJ-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H](N(C)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001033011303 818420471 /nfs/dbraw/zinc/42/04/71/818420471.db2.gz ATLANFBYVQFCSJ-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001033011303 818420478 /nfs/dbraw/zinc/42/04/78/818420478.db2.gz ATLANFBYVQFCSJ-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033011306 818421190 /nfs/dbraw/zinc/42/11/90/818421190.db2.gz ATLANFBYVQFCSJ-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](N(C)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001033011306 818421195 /nfs/dbraw/zinc/42/11/95/818421195.db2.gz ATLANFBYVQFCSJ-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001033011306 818421196 /nfs/dbraw/zinc/42/11/96/818421196.db2.gz ATLANFBYVQFCSJ-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001033009295 818422610 /nfs/dbraw/zinc/42/26/10/818422610.db2.gz GYRMBORNPSDFQZ-NWDGAFQWSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2Cc2cnn(C)c2C)C1=O ZINC001273015643 818422993 /nfs/dbraw/zinc/42/29/93/818422993.db2.gz HQBRSXWLCJXVNK-MRXNPFEDSA-N 0 1 286.379 0.929 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccco2)[C@@H](O)C1 ZINC001099653267 818450167 /nfs/dbraw/zinc/45/01/67/818450167.db2.gz DAFTUBVCPIYQOC-KBPBESRZSA-N 0 1 278.352 0.950 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cn(C)nn2)C1 ZINC001033053761 818467021 /nfs/dbraw/zinc/46/70/21/818467021.db2.gz BKKGIZXOWHUMQL-LLVKDONJSA-N 0 1 263.345 0.538 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc[nH]2)[C@@H](O)C1 ZINC001090077268 818468364 /nfs/dbraw/zinc/46/83/64/818468364.db2.gz QFKVTJQADDBUFX-AAEUAGOBSA-N 0 1 261.325 0.203 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2ccn(C)n2)C1 ZINC001033079697 818496784 /nfs/dbraw/zinc/49/67/84/818496784.db2.gz UDZJBNUPTMLSIB-AWEZNQCLSA-N 0 1 274.368 0.519 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(C)n2)[C@@H](O)C1 ZINC001090095759 818508640 /nfs/dbraw/zinc/50/86/40/818508640.db2.gz ZULBSBKHRUIHIX-JSGCOSHPSA-N 0 1 275.352 0.741 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cnn3c2CCC3)C1 ZINC001033133487 818557539 /nfs/dbraw/zinc/55/75/39/818557539.db2.gz GOIYJANJGGWGNJ-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001033142614 818568719 /nfs/dbraw/zinc/56/87/19/818568719.db2.gz SQXFKPMWYWGOOY-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cnn3ncccc23)C1 ZINC001033149364 818572338 /nfs/dbraw/zinc/57/23/38/818572338.db2.gz SOXIOUYCUMKLSB-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnsn2)[C@@H](O)C1 ZINC001090106794 818593938 /nfs/dbraw/zinc/59/39/38/818593938.db2.gz DZWLKRABVAEMOI-ONGXEEELSA-N 0 1 282.369 0.279 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033220041 818658662 /nfs/dbraw/zinc/65/86/62/818658662.db2.gz DZGCZESBVRQIPE-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C2CCC(C(N)=O)CC2)C1 ZINC001033222023 818659505 /nfs/dbraw/zinc/65/95/05/818659505.db2.gz YNMBNPBMMSMKIX-JXQTWKCFSA-N 0 1 291.395 0.444 20 30 CCEDMN Cc1nc(C#N)cc(-n2c(CN)nc3cnccc32)n1 ZINC001162220053 818661956 /nfs/dbraw/zinc/66/19/56/818661956.db2.gz GXUFOLQZOVYPFY-UHFFFAOYSA-N 0 1 265.280 0.849 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001033283778 818689835 /nfs/dbraw/zinc/68/98/35/818689835.db2.gz VZPPRMKKRBBDOA-AYCBFJCHSA-N 0 1 288.391 0.966 20 30 CCEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2n[nH]nc2C)C1 ZINC001033317424 818707071 /nfs/dbraw/zinc/70/70/71/818707071.db2.gz DKCWTWYDHZERGY-NSHDSACASA-N 0 1 263.345 0.836 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001033322892 818708909 /nfs/dbraw/zinc/70/89/09/818708909.db2.gz ORWQHMZHIRIUPF-LBPRGKRZSA-N 0 1 288.351 0.579 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001033345213 818729048 /nfs/dbraw/zinc/72/90/48/818729048.db2.gz VTJPSSIAEGDBMU-IUODEOHRSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H](C)c2cncnc2)C1 ZINC001033356906 818736369 /nfs/dbraw/zinc/73/63/69/818736369.db2.gz RJZYNPOYESACAN-GXTWGEPZSA-N 0 1 272.352 0.746 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033430221 818777952 /nfs/dbraw/zinc/77/79/52/818777952.db2.gz YJVBSMFLZBIVEJ-RDBSUJKOSA-N 0 1 291.395 0.444 20 30 CCEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cnn(C)n2)C1 ZINC001033501299 818796996 /nfs/dbraw/zinc/79/69/96/818796996.db2.gz CSSSBILJMJEIKN-NSHDSACASA-N 0 1 263.345 0.538 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)CCn1ccccc1=O ZINC000700582140 818807271 /nfs/dbraw/zinc/80/72/71/818807271.db2.gz SOEABSATBLYECF-UHFFFAOYSA-N 0 1 275.352 0.310 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CCN(C(C)=O)C2)C1 ZINC001033561080 818833083 /nfs/dbraw/zinc/83/30/83/818833083.db2.gz FUYREAMKMYEIRH-GDBMZVCRSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC001033575992 818834578 /nfs/dbraw/zinc/83/45/78/818834578.db2.gz SZFKPLWRJUTAAD-STQMWFEESA-N 0 1 292.383 0.086 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cn2nc(C)ccc2=O)C1 ZINC001033603893 818849250 /nfs/dbraw/zinc/84/92/50/818849250.db2.gz WQQHQHMCGMQMDN-CYBMUJFWSA-N 0 1 290.367 0.270 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc(=O)[nH]c2)C1 ZINC001033637436 818863419 /nfs/dbraw/zinc/86/34/19/818863419.db2.gz YFZPQVFPGOINBG-ZDUSSCGKSA-N 0 1 273.336 0.957 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCc3nncn3C2)C1 ZINC001033678044 818884604 /nfs/dbraw/zinc/88/46/04/818884604.db2.gz BAHHHYBMOLNSJP-QWHCGFSZSA-N 0 1 289.383 0.559 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cc(C)on2)[C@@H](O)C1 ZINC001090142451 818887870 /nfs/dbraw/zinc/88/78/70/818887870.db2.gz VLFZHAPTIPXPGP-KGLIPLIRSA-N 0 1 291.351 0.100 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2nonc2C)C1 ZINC001033705298 818893673 /nfs/dbraw/zinc/89/36/73/818893673.db2.gz HRZHOMSTARKDDL-NSHDSACASA-N 0 1 262.313 0.548 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033804523 818951294 /nfs/dbraw/zinc/95/12/94/818951294.db2.gz YFYDWWPKBZLPHV-GFCCVEGCSA-N 0 1 290.367 0.983 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(CC)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001033804523 818951305 /nfs/dbraw/zinc/95/13/05/818951305.db2.gz YFYDWWPKBZLPHV-GFCCVEGCSA-N 0 1 290.367 0.983 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2COC(=O)N2)C1 ZINC001033815515 818955641 /nfs/dbraw/zinc/95/56/41/818955641.db2.gz JRHRUQBKMYXCMS-QWRGUYRKSA-N 0 1 267.329 0.204 20 30 CCEDMN CN(C)c1nc(NC(=O)CCC#N)c(N=O)c(=O)[nH]1 ZINC001143189593 818957711 /nfs/dbraw/zinc/95/77/11/818957711.db2.gz RXGWBHJQFUIYJO-UHFFFAOYSA-N 0 1 264.245 0.888 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cn[nH]n2)C1 ZINC001033888949 818976833 /nfs/dbraw/zinc/97/68/33/818976833.db2.gz STMVPHTZYKLLIM-LLVKDONJSA-N 0 1 263.345 0.917 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033923369 818998797 /nfs/dbraw/zinc/99/87/97/818998797.db2.gz QWVLUDQTQNSEOJ-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccncc2F)[C@H](O)C1 ZINC001090156667 819000034 /nfs/dbraw/zinc/00/00/34/819000034.db2.gz WFKGBNOUIUMEKJ-UONOGXRCSA-N 0 1 293.342 0.962 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncc(C)c2)[C@H](O)C1 ZINC001090163805 819005246 /nfs/dbraw/zinc/00/52/46/819005246.db2.gz QWRDSORFQHUYSH-UONOGXRCSA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2CCC2)[C@H](O)C1 ZINC001090166037 819007354 /nfs/dbraw/zinc/00/73/54/819007354.db2.gz NWRKVBIPJLWUIU-QWHCGFSZSA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cccc(=O)n2C)C1 ZINC001033947831 819009001 /nfs/dbraw/zinc/00/90/01/819009001.db2.gz WKQWXIWCTCFIEH-CYBMUJFWSA-N 0 1 287.363 0.555 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ocnc2C)[C@H](O)C1 ZINC001090173255 819018406 /nfs/dbraw/zinc/01/84/06/819018406.db2.gz AQYYHPPIJFJQBU-GHMZBOCLSA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cnon2)C1 ZINC001033998132 819028576 /nfs/dbraw/zinc/02/85/76/819028576.db2.gz YVDVELFVYGMSNG-JTQLQIEISA-N 0 1 250.302 0.792 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001034041362 819042814 /nfs/dbraw/zinc/04/28/14/819042814.db2.gz CGBWQQUFRNNWEK-GFCCVEGCSA-N 0 1 290.367 0.836 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cn(C)cn2)[C@@H](O)C1 ZINC001090188588 819068488 /nfs/dbraw/zinc/06/84/88/819068488.db2.gz FTZDCYUIHVFEHK-YPMHNXCESA-N 0 1 278.356 0.161 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccnn2C)C1 ZINC001034127513 819088362 /nfs/dbraw/zinc/08/83/62/819088362.db2.gz LZIDQFAJGASVPK-GFCCVEGCSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC001034145897 819096209 /nfs/dbraw/zinc/09/62/09/819096209.db2.gz MHUOCNSRVWTHLE-CYBMUJFWSA-N 0 1 289.383 0.731 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001034165595 819105187 /nfs/dbraw/zinc/10/51/87/819105187.db2.gz OXLSQQBOSCONQS-ZIAGYGMSSA-N 0 1 292.379 0.934 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001034168547 819105816 /nfs/dbraw/zinc/10/58/16/819105816.db2.gz IFHOALPUFBFSFT-NSHDSACASA-N 0 1 276.340 0.641 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C)ncn2)[C@@H](O)C1 ZINC001090202511 819149635 /nfs/dbraw/zinc/14/96/35/819149635.db2.gz YRYNZKFSCFBXRC-JSGCOSHPSA-N 0 1 290.367 0.526 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001034302683 819160916 /nfs/dbraw/zinc/16/09/16/819160916.db2.gz ZSSOUSMUIMWXHR-KBPBESRZSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(C)n2)[C@H](O)C1 ZINC001090206072 819162282 /nfs/dbraw/zinc/16/22/82/819162282.db2.gz MSRGVYVZXXJCGX-CMPLNLGQSA-N 0 1 265.313 0.334 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccoc2)[C@@H](O)C1 ZINC001090206323 819162945 /nfs/dbraw/zinc/16/29/45/819162945.db2.gz NIXUAMJZYYQBDR-KGLIPLIRSA-N 0 1 276.336 0.397 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(OC)no2)[C@@H](O)C1 ZINC001090207010 819164247 /nfs/dbraw/zinc/16/42/47/819164247.db2.gz SFIGSKPYKCDSPJ-ZJUUUORDSA-N 0 1 281.312 0.034 20 30 CCEDMN C=C(C)C[N@@H+]1CCCC[C@H](NC(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001034340487 819172153 /nfs/dbraw/zinc/17/21/53/819172153.db2.gz ORQOYEFCKDSMSN-STQMWFEESA-N 0 1 294.399 0.557 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)Cn2cncn2)C1 ZINC001034517429 819226539 /nfs/dbraw/zinc/22/65/39/819226539.db2.gz MCWNLUMPKPBAOT-CYBMUJFWSA-N 0 1 275.356 0.272 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)ccn2C)[C@@H](O)C1 ZINC001090219814 819228226 /nfs/dbraw/zinc/22/82/26/819228226.db2.gz XLNQOESVJUMEFX-STQMWFEESA-N 0 1 277.368 0.685 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)Cc2cncnc2)[C@H](O)C1 ZINC001090232033 819279052 /nfs/dbraw/zinc/27/90/52/819279052.db2.gz RGOVDVUQQWVYTN-ZIAGYGMSSA-N 0 1 290.367 0.147 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nccn2CC)[C@@H](O)C1 ZINC001090231164 819282093 /nfs/dbraw/zinc/28/20/93/819282093.db2.gz NXPQBEVLEWDPIB-RYUDHWBXSA-N 0 1 278.356 0.254 20 30 CCEDMN C#CCC1(C(=O)NCCNCc2cnnn2CC)CCC1 ZINC001129121313 819419868 /nfs/dbraw/zinc/41/98/68/819419868.db2.gz RSZWUWJUZDCGJQ-UHFFFAOYSA-N 0 1 289.383 0.697 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC2(CCN(CC(N)=O)C2)CC1 ZINC001035214038 819424160 /nfs/dbraw/zinc/42/41/60/819424160.db2.gz WBKQJVIALVJIGE-UHFFFAOYSA-N 0 1 293.411 0.998 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@H]2COCCO2)C1 ZINC001035294028 819440512 /nfs/dbraw/zinc/44/05/12/819440512.db2.gz HECZLVUFIRAYFC-UONOGXRCSA-N 0 1 298.383 0.185 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2cnnn2C)C1 ZINC001035355697 819496852 /nfs/dbraw/zinc/49/68/52/819496852.db2.gz ZJNBNIXDRIZPGX-GFCCVEGCSA-N 0 1 293.371 0.212 20 30 CCEDMN C=C(C)CN1CCO[C@H](CNC(=O)[C@H]2CCCCN2C)C1 ZINC001035414597 819517174 /nfs/dbraw/zinc/51/71/74/819517174.db2.gz RXQPOKKDGCSXLU-HUUCEWRRSA-N 0 1 295.427 0.864 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001035480052 819532570 /nfs/dbraw/zinc/53/25/70/819532570.db2.gz VKOYPWWQCZMMBV-BYNSBNAKSA-N 0 1 294.395 0.662 20 30 CCEDMN C=CCN1CCO[C@H](CNC(=O)[C@@H]2CCCCN2CC)C1 ZINC001035614768 819591103 /nfs/dbraw/zinc/59/11/03/819591103.db2.gz RYSFBGULUBGYAW-CABCVRRESA-N 0 1 295.427 0.864 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H]1CCOC1)CC2 ZINC001035676833 819600631 /nfs/dbraw/zinc/60/06/31/819600631.db2.gz IYSKOECMYPUEQS-CQSZACIVSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccnn1C)CC2 ZINC001035668107 819605831 /nfs/dbraw/zinc/60/58/31/819605831.db2.gz RKKLOCDAWSZGPY-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@H]1C(=O)OC)CC2 ZINC001035668537 819606489 /nfs/dbraw/zinc/60/64/89/819606489.db2.gz XGINMTQTAUOKTN-QWHCGFSZSA-N 0 1 292.379 0.906 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1ccnn1C)CC2 ZINC001035693937 819608694 /nfs/dbraw/zinc/60/86/94/819608694.db2.gz LGYOKUIGELYRLN-UHFFFAOYSA-N 0 1 286.379 0.520 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCn1ccnn1)CC2 ZINC001035695959 819609769 /nfs/dbraw/zinc/60/97/69/819609769.db2.gz VHCNMGHEGOHOIC-UHFFFAOYSA-N 0 1 287.367 0.226 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCN(C)C1=O)CC2 ZINC001035772993 819628009 /nfs/dbraw/zinc/62/80/09/819628009.db2.gz NROJADFMKBWKQR-ZDUSSCGKSA-N 0 1 291.395 0.575 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCc1cn[nH]c1)CC2 ZINC001035812524 819632472 /nfs/dbraw/zinc/63/24/72/819632472.db2.gz PUSPZUCNJXZHMB-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2nc[nH]n2)CC[C@H]1NCC#N ZINC001035976231 819664422 /nfs/dbraw/zinc/66/44/22/819664422.db2.gz ZOXFHTMMQSXBRT-GHMZBOCLSA-N 0 1 276.344 0.087 20 30 CCEDMN C[C@@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC[C@H]1NCC#N ZINC001036169128 819696839 /nfs/dbraw/zinc/69/68/39/819696839.db2.gz UUNGWQPFPZNJHK-NXEZZACHSA-N 0 1 277.328 0.089 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)Cc3ccn[nH]3)CC[C@@H]21 ZINC001036677287 819989880 /nfs/dbraw/zinc/98/98/80/819989880.db2.gz ZBXGHGLTKWPRGB-AAEUAGOBSA-N 0 1 273.340 0.399 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C2(C(N)=O)CC2)C1 ZINC001108175382 820032958 /nfs/dbraw/zinc/03/29/58/820032958.db2.gz XUCQCPIQHVEQHB-AWEZNQCLSA-N 0 1 295.383 0.035 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccn(CC)n2)[C@@H](O)C1 ZINC001090272952 820039121 /nfs/dbraw/zinc/03/91/21/820039121.db2.gz AOYFNNHXDKPKIW-KGLIPLIRSA-N 0 1 292.383 0.183 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc3n(n2)CCC3)[C@@H](O)C1 ZINC001090314340 820248691 /nfs/dbraw/zinc/24/86/91/820248691.db2.gz ZRMHWLANXVRTFI-JSGCOSHPSA-N 0 1 290.367 0.180 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(F)CCOCC2)[C@H](O)C1 ZINC001090315390 820249557 /nfs/dbraw/zinc/24/95/57/820249557.db2.gz ISRFTSLUWTVNRV-NWDGAFQWSA-N 0 1 286.347 0.243 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cnc(C)o2)[C@H](O)C1 ZINC001090321142 820256692 /nfs/dbraw/zinc/25/66/92/820256692.db2.gz CMBNOKJMYQMBLY-CHWSQXEVSA-N 0 1 279.340 0.263 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(CC)n2)[C@H](O)C1 ZINC001090327438 820265752 /nfs/dbraw/zinc/26/57/52/820265752.db2.gz ROCJYXUOROBJNZ-UKRRQHHQSA-N 0 1 289.379 0.995 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccsn2)[C@H](O)C1 ZINC001090352911 820292267 /nfs/dbraw/zinc/29/22/67/820292267.db2.gz RYESGGNRIWYWRK-CMPLNLGQSA-N 0 1 281.381 0.884 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CSCCC)[C@@H](O)C1 ZINC001099701773 820402006 /nfs/dbraw/zinc/40/20/06/820402006.db2.gz JMXMONFJVHPHNG-NEPJUHHUSA-N 0 1 272.414 0.867 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC001079607311 820433157 /nfs/dbraw/zinc/43/31/57/820433157.db2.gz OGCQWFJWYBDLBN-ZYHUDNBSSA-N 0 1 275.356 0.076 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001079994853 820495768 /nfs/dbraw/zinc/49/57/68/820495768.db2.gz VKBODSJRKNBQMP-IUODEOHRSA-N 0 1 286.379 0.830 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001080096010 820514471 /nfs/dbraw/zinc/51/44/71/820514471.db2.gz NNBQOIJWFDPRBO-ZYHUDNBSSA-N 0 1 276.340 0.721 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnc3nccn3c2)C1 ZINC001080130106 820518536 /nfs/dbraw/zinc/51/85/36/820518536.db2.gz BONHIVYYKOWPCO-DGCLKSJQSA-N 0 1 285.351 0.965 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(C)c(=O)cn2)C1 ZINC001080159815 820521325 /nfs/dbraw/zinc/52/13/25/820521325.db2.gz WXSBZIVLFROJBN-GHMZBOCLSA-N 0 1 276.340 0.016 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001080529401 820589299 /nfs/dbraw/zinc/58/92/99/820589299.db2.gz GJNZJDBMSMHIPB-ZYHUDNBSSA-N 0 1 280.759 0.606 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001080961096 820663196 /nfs/dbraw/zinc/66/31/96/820663196.db2.gz VBXLGFUTNIWCKU-ZWNOBZJWSA-N 0 1 288.351 0.417 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CCCO2)[C@H](OC)C1 ZINC001081327020 820730348 /nfs/dbraw/zinc/73/03/48/820730348.db2.gz VIPBDHCKECZIQZ-JHJVBQTASA-N 0 1 268.357 0.557 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H]2CCOC2)[C@H](OC)C1 ZINC001081470065 820768709 /nfs/dbraw/zinc/76/87/09/820768709.db2.gz MYJLERZTBCGCDW-BFHYXJOUSA-N 0 1 280.368 0.252 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cc(C)[nH]n2)[C@H](OC)C1 ZINC001081519700 820782838 /nfs/dbraw/zinc/78/28/38/820782838.db2.gz GQVSHKYZINPGNE-ZIAGYGMSSA-N 0 1 292.383 0.652 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cc(C)n[nH]2)[C@H](OC)C1 ZINC001081519700 820782844 /nfs/dbraw/zinc/78/28/44/820782844.db2.gz GQVSHKYZINPGNE-ZIAGYGMSSA-N 0 1 292.383 0.652 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H]2COC(=O)C2)[C@H](OC)C1 ZINC001081535222 820786585 /nfs/dbraw/zinc/78/65/85/820786585.db2.gz VFTHVKARKJNOPO-JHJVBQTASA-N 0 1 296.367 0.331 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cncnc2)[C@H](OC)C1 ZINC001081572634 820789594 /nfs/dbraw/zinc/78/95/94/820789594.db2.gz JQZZRSGQAGXYAU-CHWSQXEVSA-N 0 1 276.340 0.482 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)C2(C)CC2)[C@H](OC)C1 ZINC001081712302 820821041 /nfs/dbraw/zinc/82/10/41/820821041.db2.gz MJVIWSHJRYZVIC-VXGBXAGGSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C)CC2)[C@H](OC)C1 ZINC001081712302 820821048 /nfs/dbraw/zinc/82/10/48/820821048.db2.gz MJVIWSHJRYZVIC-VXGBXAGGSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2csc(C)n2)[C@H](OC)C1 ZINC001082059211 820892170 /nfs/dbraw/zinc/89/21/70/820892170.db2.gz COHMTFQLOQXXNG-DGCLKSJQSA-N 0 1 293.392 0.904 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cn2nccc2C)[C@H](OC)C1 ZINC001082189950 820913043 /nfs/dbraw/zinc/91/30/43/820913043.db2.gz WLZVMMLHIRJJFI-ZIAGYGMSSA-N 0 1 290.367 0.030 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](NC(=O)C2(F)CCCC2)[C@H](O)C1 ZINC001090371413 820914496 /nfs/dbraw/zinc/91/44/96/820914496.db2.gz JKWPHCBCCOUYCY-QWHCGFSZSA-N 0 1 282.359 0.843 20 30 CCEDMN CO[C@@H]1CN(CC#N)C[C@H]1NC(=O)C(C)(C)c1cnc[nH]1 ZINC001082327312 820940181 /nfs/dbraw/zinc/94/01/81/820940181.db2.gz NEEICDZDDUZDBP-GHMZBOCLSA-N 0 1 291.355 0.026 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2ccc[nH]2)[C@H](OC)C1 ZINC001082377497 820950721 /nfs/dbraw/zinc/95/07/21/820950721.db2.gz SGUUFRDUBZSPTN-CHWSQXEVSA-N 0 1 263.341 0.559 20 30 CCEDMN C=CCn1cc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)nn1 ZINC001118948883 821006598 /nfs/dbraw/zinc/00/65/98/821006598.db2.gz SYLFOZIKIAJDPS-SECBINFHSA-N 0 1 273.300 0.212 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H]3CC3(C)C)[C@H]2C1 ZINC001083053612 821128793 /nfs/dbraw/zinc/12/87/93/821128793.db2.gz FSTSJIYWDDQLRS-MELADBBJSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)c2cccnc2)[C@@H](O)C1 ZINC001083965012 821172637 /nfs/dbraw/zinc/17/26/37/821172637.db2.gz XIZZGGZWHGHGHF-YUELXQCFSA-N 0 1 287.363 0.370 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2cc(CC)n(C)n2)[C@@H](O)C1 ZINC001084122980 821194799 /nfs/dbraw/zinc/19/47/99/821194799.db2.gz MRQGBWFKOQFTOW-KGLIPLIRSA-N 0 1 292.383 0.334 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(CC)n(C)n2)[C@@H](O)C1 ZINC001084122980 821194811 /nfs/dbraw/zinc/19/48/11/821194811.db2.gz MRQGBWFKOQFTOW-KGLIPLIRSA-N 0 1 292.383 0.334 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cnsn3)[C@@H]2C1 ZINC001084210156 821222651 /nfs/dbraw/zinc/22/26/51/821222651.db2.gz AYJGNOFBSUJWOB-ZYHUDNBSSA-N 0 1 276.365 0.708 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3cc(C)n[nH]3)[C@@H]2C1 ZINC001084273175 821259419 /nfs/dbraw/zinc/25/94/19/821259419.db2.gz WMWBLWDXYCAGJG-TZMCWYRMSA-N 0 1 274.368 0.979 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)/C=C/c2ccc[nH]2)[C@H](O)C1 ZINC001099799179 821263288 /nfs/dbraw/zinc/26/32/88/821263288.db2.gz JGHQIXRUZDMAGT-BUHQSOCUSA-N 0 1 275.352 0.765 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001084674486 821347737 /nfs/dbraw/zinc/34/77/37/821347737.db2.gz FZNAVBUAQJFMPE-SYQHCUMBSA-N 0 1 280.368 0.103 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cnon3)[C@@H]2C1 ZINC001084731462 821365913 /nfs/dbraw/zinc/36/59/13/821365913.db2.gz ZYIPFZINOVAMNY-ZYHUDNBSSA-N 0 1 262.313 0.792 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cn3ccccc3=O)[C@@H]2C1 ZINC001084822279 821402284 /nfs/dbraw/zinc/40/22/84/821402284.db2.gz QVRNSSMBTSJYNF-ZIAGYGMSSA-N 0 1 287.363 0.567 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1ncc(Cl)nc1C(N)=O ZINC001230873445 821455736 /nfs/dbraw/zinc/45/57/36/821455736.db2.gz DSQIWXGQJQORKT-BYPYZUCNSA-N 0 1 283.671 0.827 20 30 CCEDMN C#CC(C)(C)C(=O)N1Cc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001273252681 821457808 /nfs/dbraw/zinc/45/78/08/821457808.db2.gz XYTBKJBESNAJLA-UHFFFAOYSA-N 0 1 298.346 0.923 20 30 CCEDMN C[C@@]1(NC(=O)c2cnn[nH]2)CCN(c2ccc(C#N)nc2)C1 ZINC001065359141 821557530 /nfs/dbraw/zinc/55/75/30/821557530.db2.gz QOOZSKMLMGDAOL-CQSZACIVSA-N 0 1 297.322 0.470 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCNC(=O)C1 ZINC001085544467 821779656 /nfs/dbraw/zinc/77/96/56/821779656.db2.gz QYOFDRDUNDGYNW-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CC(=O)N(CC)C1 ZINC001085627845 821858768 /nfs/dbraw/zinc/85/87/68/821858768.db2.gz NCKBEOALCCJMOI-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001085626022 821862790 /nfs/dbraw/zinc/86/27/90/821862790.db2.gz DKUVLMPTMVLUTP-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001085626022 821862796 /nfs/dbraw/zinc/86/27/96/821862796.db2.gz DKUVLMPTMVLUTP-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C=C(C)CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CN(C)C(=O)N1 ZINC001085763839 821943639 /nfs/dbraw/zinc/94/36/39/821943639.db2.gz KZJMIVIOACLPCH-STQMWFEESA-N 0 1 294.399 0.509 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)c1cnccc1N(C)C ZINC001085930081 822026504 /nfs/dbraw/zinc/02/65/04/822026504.db2.gz NOIVBUTYXVGLAX-ZDUSSCGKSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCCN([C@@H]2CCNC2=O)CC1 ZINC001273411882 822163043 /nfs/dbraw/zinc/16/30/43/822163043.db2.gz VVXIZSYZTOOYQT-ZIAGYGMSSA-N 0 1 291.395 0.649 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001086416174 822246153 /nfs/dbraw/zinc/24/61/53/822246153.db2.gz ACNOEKFKXJFAKI-XYPYZODXSA-N 0 1 287.367 0.910 20 30 CCEDMN Cc1nc(N(C)[C@@H](C)CNC(=O)c2cnn[nH]2)ccc1C#N ZINC001113969754 837387140 /nfs/dbraw/zinc/38/71/40/837387140.db2.gz AQIRBFQLKQUTKS-VIFPVBQESA-N 0 1 299.338 0.635 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COC(C)C ZINC001113993156 837398860 /nfs/dbraw/zinc/39/88/60/837398860.db2.gz UFFUXKLBWQZMAQ-IMRBUKKESA-N 0 1 250.342 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CO[C@H](C)CC ZINC001114144795 837455294 /nfs/dbraw/zinc/45/52/94/837455294.db2.gz CUHMTRNBXIOBPS-NMKXLXIOSA-N 0 1 250.342 0.481 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)N(C)C)C[C@H]21 ZINC001114248243 837486189 /nfs/dbraw/zinc/48/61/89/837486189.db2.gz VVRWGRVYDYEKOQ-CXTNEJHOSA-N 0 1 291.395 0.169 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1[C@H]2CN(Cc3cccnc3)C[C@H]21 ZINC001114265241 837494632 /nfs/dbraw/zinc/49/46/32/837494632.db2.gz BKJRMEQIBABLDL-QLPKVWCKSA-N 0 1 299.374 0.668 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@]1(CC)CCNC1=O ZINC001129865141 837570416 /nfs/dbraw/zinc/57/04/16/837570416.db2.gz DWINKBYHUFNMTD-GFCCVEGCSA-N 0 1 273.764 0.361 20 30 CCEDMN N#CC(Cc1ccc(O)cc1)C(=O)N1C[C@@H]2C[C@H]1C(=O)O2 ZINC001183611081 844044284 /nfs/dbraw/zinc/04/42/84/844044284.db2.gz YUFZFKYOGYWTJO-DRZSPHRISA-N 0 1 286.287 0.601 20 30 CCEDMN N#C[C@@H](Cc1ccc(O)cc1)C(=O)NCc1c[nH]nn1 ZINC001183613721 844057368 /nfs/dbraw/zinc/05/73/68/844057368.db2.gz RTAPSAGWPBAMGE-SNVBAGLBSA-N 0 1 271.280 0.509 20 30 CCEDMN N#Cc1nccc2cc(-n3nnnc3CN)ccc21 ZINC001168923209 836057738 /nfs/dbraw/zinc/05/77/38/836057738.db2.gz HGEASFCXEUJZJC-UHFFFAOYSA-N 0 1 251.253 0.541 20 30 CCEDMN C=CCCCN1CC(N2C[C@H](NC(=O)COC)CC2=O)C1 ZINC001108493906 836310833 /nfs/dbraw/zinc/31/08/33/836310833.db2.gz AWUYIRCZAIWGBQ-GFCCVEGCSA-N 0 1 295.383 0.000 20 30 CCEDMN CCCCN1CC(N2C[C@H](NC(=O)[C@@H](C)C#N)CC2=O)C1 ZINC001108527639 836422790 /nfs/dbraw/zinc/42/27/90/836422790.db2.gz MIPOPIQKAOPFIO-NWDGAFQWSA-N 0 1 292.383 0.347 20 30 CCEDMN COC(=O)n1ncc(C#N)c1Nc1ncc2c(n1)NC(=O)C2 ZINC001169961809 836489914 /nfs/dbraw/zinc/48/99/14/836489914.db2.gz ATWVZLMTQJYGLG-UHFFFAOYSA-N 0 1 299.250 0.397 20 30 CCEDMN Cc1nsc(NC[C@H](C)NC(=O)c2cnn[nH]2)c1C#N ZINC001108783538 836527584 /nfs/dbraw/zinc/52/75/84/836527584.db2.gz UWTWMPMSVRZVRE-LURJTMIESA-N 0 1 291.340 0.672 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)OC)C2 ZINC001109052023 836608670 /nfs/dbraw/zinc/60/86/70/836608670.db2.gz NMRZVXXWVQVIFV-NDBYEHHHSA-N 0 1 250.342 0.766 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCCOC)C2 ZINC001109090931 836617604 /nfs/dbraw/zinc/61/76/04/836617604.db2.gz IGWLECOOJCTYRB-RDBSUJKOSA-N 0 1 280.368 0.394 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(=O)NCC)C2 ZINC001109175336 836630363 /nfs/dbraw/zinc/63/03/63/836630363.db2.gz GQQUKILIVYNBQO-WOPDTQHZSA-N 0 1 265.357 0.420 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCCO ZINC001109262862 836652014 /nfs/dbraw/zinc/65/20/14/836652014.db2.gz UCRNFAQASAIDKS-RDBSUJKOSA-N 0 1 280.368 0.130 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1nc(C)no1)C2 ZINC001109582478 836700381 /nfs/dbraw/zinc/70/03/81/836700381.db2.gz XYNGIRHNAAMXPO-AGIUHOORSA-N 0 1 288.351 0.665 20 30 CCEDMN N#Cc1cnccc1NC[C@@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001109884569 836742248 /nfs/dbraw/zinc/74/22/48/836742248.db2.gz BIRHXGOFRNYVBV-GFCCVEGCSA-N 0 1 297.322 0.114 20 30 CCEDMN N#Cc1cnccc1NC[C@@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001109884569 836742259 /nfs/dbraw/zinc/74/22/59/836742259.db2.gz BIRHXGOFRNYVBV-GFCCVEGCSA-N 0 1 297.322 0.114 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCCC(=O)NC)[C@H](O)C1 ZINC001100135903 836763242 /nfs/dbraw/zinc/76/32/42/836763242.db2.gz DOUDWVGIDSBXDR-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc3c[nH+]cn3c2)[C@@H](O)C1 ZINC001090436381 836781074 /nfs/dbraw/zinc/78/10/74/836781074.db2.gz QDDDSIPDDJZVEI-CABCVRRESA-N 0 1 298.346 0.133 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CN(C)CCO2)CC1 ZINC001112739674 836892919 /nfs/dbraw/zinc/89/29/19/836892919.db2.gz INKYSOAGZKHHAM-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCN(CCCCCC)CC1 ZINC001112779103 836906529 /nfs/dbraw/zinc/90/65/29/836906529.db2.gz HZESXOVVOVUBOE-UHFFFAOYSA-N 0 1 293.411 0.850 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)NC(=O)COC)CC1 ZINC001112877822 836948643 /nfs/dbraw/zinc/94/86/43/836948643.db2.gz YBIBHUXGZHMKDJ-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CC(=O)N(CC)C2)CC1 ZINC001112911482 836961914 /nfs/dbraw/zinc/96/19/14/836961914.db2.gz ULQJFEXRXLAJIU-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C[C@H](CCCCNCC#N)NC(=O)CCc1nc[nH]n1 ZINC001170094929 836992275 /nfs/dbraw/zinc/99/22/75/836992275.db2.gz XSGRMJVANONBFP-LLVKDONJSA-N 0 1 278.360 0.525 20 30 CCEDMN C#CCCCS(=O)(=O)N1C[C@@H](N(C)C)[C@@H](SC)C1 ZINC000805050017 837045026 /nfs/dbraw/zinc/04/50/26/837045026.db2.gz RFPIYIQIJJMSSD-NEPJUHHUSA-N 0 1 290.454 0.707 20 30 CCEDMN COc1nccc(CNCCNc2ncccc2C#N)n1 ZINC001184439756 844232427 /nfs/dbraw/zinc/23/24/27/844232427.db2.gz OTIORHCTAIIVIQ-UHFFFAOYSA-N 0 1 284.323 0.954 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H](C)[C@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001113357063 837099872 /nfs/dbraw/zinc/09/98/72/837099872.db2.gz PVSWSEVCJXJRQM-ZJUUUORDSA-N 0 1 299.338 0.999 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2coc(OC)n2)[C@H](O)C1 ZINC001090457111 837182375 /nfs/dbraw/zinc/18/23/75/837182375.db2.gz YVSBQKACERTBEB-ZYHUDNBSSA-N 0 1 295.339 0.424 20 30 CCEDMN Cc1nnc(CNCCCNC(=O)C#CC2CC2)[nH]1 ZINC001157682762 837235701 /nfs/dbraw/zinc/23/57/01/837235701.db2.gz PAHYSCBALNXOHV-UHFFFAOYSA-N 0 1 261.329 0.122 20 30 CCEDMN CCOC(=O)[C@H](C#N)Nc1cc2[nH]cnc2c(C#N)n1 ZINC001170365855 837310387 /nfs/dbraw/zinc/31/03/87/837310387.db2.gz DLIDHKCDADOXQI-VIFPVBQESA-N 0 1 270.252 0.697 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)nn(C)c2C)[C@@H](O)C1 ZINC001090472194 837376230 /nfs/dbraw/zinc/37/62/30/837376230.db2.gz KDKYWFAISQMKRF-STQMWFEESA-N 0 1 292.383 0.388 20 30 CCEDMN C#CC[NH2+]CCNC(=O)c1cnc2ccccc2c1[O-] ZINC001130737116 837908241 /nfs/dbraw/zinc/90/82/41/837908241.db2.gz XZQBDIWORUNDCV-UHFFFAOYSA-N 0 1 269.304 0.481 20 30 CCEDMN CCC(=O)NCc1n[nH]c([C@H]2CC[C@@H](CNCC#N)O2)n1 ZINC001130745386 837912976 /nfs/dbraw/zinc/91/29/76/837912976.db2.gz ZAOQEEHHPPSHEN-VHSXEESVSA-N 0 1 292.343 0.164 20 30 CCEDMN CN1CCN(CCNC(=O)Nc2ccc(C#N)cc2)CC1 ZINC001184762090 844284198 /nfs/dbraw/zinc/28/41/98/844284198.db2.gz PKLJWICMJKHYIJ-UHFFFAOYSA-N 0 1 287.367 0.927 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN(C)C(C)=O)CC[C@@H]1C ZINC001131830928 838242387 /nfs/dbraw/zinc/24/23/87/838242387.db2.gz WSXBMSHZVPRFJF-WCQYABFASA-N 0 1 265.357 0.067 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CNC(=O)C2CC2)CC[C@@H]1C ZINC001131850208 838248442 /nfs/dbraw/zinc/24/84/42/838248442.db2.gz XWGSKPRZEPGSSE-GXTWGEPZSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COCC=C)CC[C@H]1C ZINC001131943754 838273361 /nfs/dbraw/zinc/27/33/61/838273361.db2.gz UKLBQIMFDGYQSA-CHWSQXEVSA-N 0 1 250.342 0.791 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC2(O)CCC2)CC[C@@H]1C ZINC001132016051 838300570 /nfs/dbraw/zinc/30/05/70/838300570.db2.gz DFRAFQMYRJQNOP-QWHCGFSZSA-N 0 1 264.369 0.894 20 30 CCEDMN C[C@@H]1CC[C@@H](NC(=O)CCc2c[nH]nn2)CN1CC#N ZINC001132304362 838359105 /nfs/dbraw/zinc/35/91/05/838359105.db2.gz HAOGWNAYROAKSL-ZYHUDNBSSA-N 0 1 276.344 0.230 20 30 CCEDMN C[C@@H]1CC[C@@H](NC(=O)CCc2cnn[nH]2)CN1CC#N ZINC001132304362 838359110 /nfs/dbraw/zinc/35/91/10/838359110.db2.gz HAOGWNAYROAKSL-ZYHUDNBSSA-N 0 1 276.344 0.230 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@@H](NCc2cnnn2C)C1 ZINC001185019271 844323321 /nfs/dbraw/zinc/32/33/21/844323321.db2.gz DUYOHJZSURIDPN-CYBMUJFWSA-N 0 1 289.383 0.699 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC(=O)NCC2CC2)CC[C@@H]1C ZINC001132417416 838391758 /nfs/dbraw/zinc/39/17/58/838391758.db2.gz XDVRVGDNHYTMAD-JSGCOSHPSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCC(=O)NCCC)CC[C@H]1C ZINC001132440792 838403169 /nfs/dbraw/zinc/40/31/69/838403169.db2.gz FYAIZYSKTNTLNM-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CNC(=O)C(C)(C)C)CC[C@@H]1C ZINC001132481709 838413414 /nfs/dbraw/zinc/41/34/14/838413414.db2.gz JSFIKBOVLZVEFJ-QWHCGFSZSA-N 0 1 293.411 0.751 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)NC(=O)NC)CC[C@@H]1C ZINC001132513024 838421725 /nfs/dbraw/zinc/42/17/25/838421725.db2.gz NEAIDCFLQKUWHI-RYUDHWBXSA-N 0 1 296.415 0.849 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CNC(=O)C2CCC2)CC[C@@H]1C ZINC001132523155 838426313 /nfs/dbraw/zinc/42/63/13/838426313.db2.gz YJLFVFIXPHBDOR-JSGCOSHPSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001133381125 838614856 /nfs/dbraw/zinc/61/48/56/838614856.db2.gz WODPBQPOGMWYQU-CYBMUJFWSA-N 0 1 265.361 0.921 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC001133721662 838700155 /nfs/dbraw/zinc/70/01/55/838700155.db2.gz QOWHDFJGPPSJCD-DDHJBXDOSA-N 0 1 265.382 0.842 20 30 CCEDMN C[C@@]1(C(=O)NCCNCC#Cc2ccccc2)CCNC1=O ZINC001133753959 838708472 /nfs/dbraw/zinc/70/84/72/838708472.db2.gz ORZSGCLOBJWVLI-QGZVFWFLSA-N 0 1 299.374 0.270 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)CCc1nc[nH]n1)NCC#N ZINC001134044382 838842817 /nfs/dbraw/zinc/84/28/17/838842817.db2.gz XXVHIPOQIBOWNI-ZJUUUORDSA-N 0 1 264.333 0.134 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)Nc2ccc(C#N)cc2C#N)C1 ZINC001185255102 844378233 /nfs/dbraw/zinc/37/82/33/844378233.db2.gz KQARAHKRMGPQCU-AWEZNQCLSA-N 0 1 283.335 0.614 20 30 CCEDMN C#CC[NH2+][C@@H](C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001134188811 838900697 /nfs/dbraw/zinc/90/06/97/838900697.db2.gz DZPGFYFOGRLRRX-QWRGUYRKSA-N 0 1 261.325 0.907 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C1CCS(=O)(=O)CC1 ZINC001134663192 839047298 /nfs/dbraw/zinc/04/72/98/839047298.db2.gz BUUBNVVNJWTDDK-UHFFFAOYSA-N 0 1 294.804 0.270 20 30 CCEDMN C[C@H](C[C@H](C)NCC#N)NC(=O)c1[nH]nc2c1CCC2 ZINC001134830602 839083648 /nfs/dbraw/zinc/08/36/48/839083648.db2.gz PQXZXARWMXCMFV-VHSXEESVSA-N 0 1 275.356 0.908 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)c1[nH]ncc1F)NCC#N ZINC001135407109 839241983 /nfs/dbraw/zinc/24/19/83/839241983.db2.gz DIXYNHPTRVWZAD-HTQZYQBOSA-N 0 1 253.281 0.559 20 30 CCEDMN CC[C@](N)(CO)Nc1nc(SC)ncc1C#N ZINC001170857867 839437506 /nfs/dbraw/zinc/43/75/06/839437506.db2.gz HZYCYYRGHBZVPB-SNVBAGLBSA-N 0 1 253.331 0.539 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2occc2C)[C@@H](O)C1 ZINC001090562102 839643827 /nfs/dbraw/zinc/64/38/27/839643827.db2.gz HELLZQQBTIWAIV-KBPBESRZSA-N 0 1 290.363 0.705 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ocnc2C2CC2)[C@@H](O)C1 ZINC001090571618 839650480 /nfs/dbraw/zinc/65/04/80/839650480.db2.gz YOIDTRJPSXXOLN-NEPJUHHUSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnoc2CC)[C@@H](O)C1 ZINC001090614740 839678052 /nfs/dbraw/zinc/67/80/52/839678052.db2.gz ZYUSZYSKVPCRAO-RYUDHWBXSA-N 0 1 279.340 0.588 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ncccc2F)[C@@H](O)C1 ZINC001090676604 839729084 /nfs/dbraw/zinc/72/90/84/839729084.db2.gz QHCGSMGYXKHUKB-STQMWFEESA-N 0 1 293.342 0.962 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2oncc2C)[C@@H](O)C1 ZINC001090691047 839739136 /nfs/dbraw/zinc/73/91/36/839739136.db2.gz XXRNYLRHSMXESC-MNOVXSKESA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CC)on2)[C@H](O)C1 ZINC001090739055 839764065 /nfs/dbraw/zinc/76/40/65/839764065.db2.gz DVLXDWGPWFKAKN-DGCLKSJQSA-N 0 1 279.340 0.588 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)Cc2[nH]cnc2C)[C@H](O)C1 ZINC001090764290 839785952 /nfs/dbraw/zinc/78/59/52/839785952.db2.gz QVKMUVFMBBYZSD-TZMCWYRMSA-N 0 1 292.383 0.388 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2[nH]cnc2CC)[C@@H](O)C1 ZINC001090769039 839793503 /nfs/dbraw/zinc/79/35/03/839793503.db2.gz ZOUZVVKCWBWSOJ-RYUDHWBXSA-N 0 1 278.356 0.323 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccnc(C)n2)[C@@H](O)C1 ZINC001090819501 839835096 /nfs/dbraw/zinc/83/50/96/839835096.db2.gz BUBSOPACBKRIJZ-JSGCOSHPSA-N 0 1 290.367 0.526 20 30 CCEDMN N#CCNC1CC(CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001091027867 839994232 /nfs/dbraw/zinc/99/42/32/839994232.db2.gz QZFKVHURANGIPM-HTAVTVPLSA-N 0 1 287.367 0.838 20 30 CCEDMN COc1ccc([C@@H](O)C(=O)Nc2nc[nH]c2C#N)cc1 ZINC001144771361 840135248 /nfs/dbraw/zinc/13/52/48/840135248.db2.gz AXVMCERECQYSRA-LLVKDONJSA-N 0 1 272.264 0.962 20 30 CCEDMN N#CCNC1CC(CNC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001091315857 840174142 /nfs/dbraw/zinc/17/41/42/840174142.db2.gz QDDSCYGUCDITAH-CXQJBGSLSA-N 0 1 287.367 0.523 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](CC)OCC)C2)C1 ZINC001147094849 840497947 /nfs/dbraw/zinc/49/79/47/840497947.db2.gz MTAOQRBEBMQDRT-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCCC(=O)N1CC2(C1)CCN(CC(=O)N(C)C)C2 ZINC001147427968 840581020 /nfs/dbraw/zinc/58/10/20/840581020.db2.gz FLIBACMVLGFSLO-UHFFFAOYSA-N 0 1 291.395 0.412 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)CCc1cc(C)[nH]n1 ZINC001147601245 840630515 /nfs/dbraw/zinc/63/05/15/840630515.db2.gz HZBGNWHMLZMOPU-UHFFFAOYSA-N 0 1 264.285 0.176 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccnc2nc(C)nn21 ZINC001147638998 840643200 /nfs/dbraw/zinc/64/32/00/840643200.db2.gz JSLDZFMLIPLXNM-UHFFFAOYSA-N 0 1 294.746 0.505 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001147652500 840650797 /nfs/dbraw/zinc/65/07/97/840650797.db2.gz MLRDVUNCYATZEK-LBPRGKRZSA-N 0 1 293.411 0.997 20 30 CCEDMN Cn1cncc1CC(=O)NCCNCc1ccccc1C#N ZINC001147871838 840709021 /nfs/dbraw/zinc/70/90/21/840709021.db2.gz OFIUQEBWCRDVDX-UHFFFAOYSA-N 0 1 297.362 0.740 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@@H](C)COC)C2)C1 ZINC001148511697 840815863 /nfs/dbraw/zinc/81/58/63/840815863.db2.gz OGQFARILRXJVMN-CYBMUJFWSA-N 0 1 264.369 0.827 20 30 CCEDMN CC#CC[NH2+][C@@H]1C[C@H](NC(=O)c2[n-]nnc2C)C12CCC2 ZINC001203249717 840971907 /nfs/dbraw/zinc/97/19/07/840971907.db2.gz OBYJLIWAKSWHPD-NEPJUHHUSA-N 0 1 287.367 0.767 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CC(Nc2ncnc3[nH]cnc32)C1 ZINC001092206174 840999876 /nfs/dbraw/zinc/99/98/76/840999876.db2.gz PRKJOYRLEXDQJT-IDKOKCKLSA-N 0 1 299.338 0.819 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)CCc3cnc[nH]3)CC[C@@H]21 ZINC001036734108 841151006 /nfs/dbraw/zinc/15/10/06/841151006.db2.gz MVBAVWFGJUPYJL-JSGCOSHPSA-N 0 1 287.367 0.789 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(N)=O)CC[C@@H]21 ZINC001036804866 841235213 /nfs/dbraw/zinc/23/52/13/841235213.db2.gz QRTXHQLHMXSLTG-ZJUUUORDSA-N 0 1 271.748 0.147 20 30 CCEDMN Cc1cc(CC(=O)NCCNc2ccc(C#N)nn2)[nH]n1 ZINC001093551630 841336331 /nfs/dbraw/zinc/33/63/31/841336331.db2.gz MPVYXHJNSMQRNX-UHFFFAOYSA-N 0 1 285.311 0.151 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)CCc2nc[nH]n2)cn1 ZINC001094363630 841622544 /nfs/dbraw/zinc/62/25/44/841622544.db2.gz UIPDSTMTYRTZOB-UHFFFAOYSA-N 0 1 299.338 0.622 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@@H]1CCCOC1 ZINC001115046919 841832596 /nfs/dbraw/zinc/83/25/96/841832596.db2.gz UKOOMQYJRXFBGP-TTZDDIAXSA-N 0 1 276.380 0.873 20 30 CCEDMN C[C@@H](Cc1ccc(C#N)cc1)NC1CS(=O)(=O)C1 ZINC001172292475 841921396 /nfs/dbraw/zinc/92/13/96/841921396.db2.gz PFMIIHIMWVHCME-JTQLQIEISA-N 0 1 264.350 0.876 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)NCC1(C#N)CCC1 ZINC001176379759 842360258 /nfs/dbraw/zinc/36/02/58/842360258.db2.gz HXWAZGLOKCLRIY-GFCCVEGCSA-N 0 1 289.339 0.267 20 30 CCEDMN N#CCNCCCNC(=O)Cc1[nH]nc2ccccc21 ZINC001176902604 842461792 /nfs/dbraw/zinc/46/17/92/842461792.db2.gz QKNBOTQPXHNUJS-UHFFFAOYSA-N 0 1 271.324 0.725 20 30 CCEDMN N#Cc1cnc(NC(=O)[C@@H]2CCc3[nH]cnc3C2)cn1 ZINC001177170973 842508829 /nfs/dbraw/zinc/50/88/29/842508829.db2.gz CPHJFPWHBHJKAW-MRVPVSSYSA-N 0 1 268.280 0.815 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N1CC[C@@H]2OCCN(CC#N)[C@@H]2C1 ZINC001177137779 842511155 /nfs/dbraw/zinc/51/11/55/842511155.db2.gz GJYOBJDCGGIHCK-KGLIPLIRSA-N 0 1 294.399 0.153 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1cn(C)nn1 ZINC001177264418 842539541 /nfs/dbraw/zinc/53/95/41/842539541.db2.gz HYRWTMRWVGSSEZ-UHFFFAOYSA-N 0 1 281.360 0.004 20 30 CCEDMN C#CCC1(NC(=O)c2n[nH]cc2[N+](=O)[O-])CCOCC1 ZINC001178168742 842791302 /nfs/dbraw/zinc/79/13/02/842791302.db2.gz FLDBFYYTARQEDD-UHFFFAOYSA-N 0 1 278.268 0.620 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NCc2cnnn2C)[C@@H]1C ZINC001178324511 842823878 /nfs/dbraw/zinc/82/38/78/842823878.db2.gz RKLZSYZHLBZRLM-AAEUAGOBSA-N 0 1 277.372 0.860 20 30 CCEDMN N#CCNCCCCCCNC(=O)CCc1c[nH]nn1 ZINC001179625902 843031050 /nfs/dbraw/zinc/03/10/50/843031050.db2.gz OKVRWRIAEHTBIT-UHFFFAOYSA-N 0 1 278.360 0.527 20 30 CCEDMN N#CCNCCCCCCNC(=O)CCc1cnn[nH]1 ZINC001179625902 843031058 /nfs/dbraw/zinc/03/10/58/843031058.db2.gz OKVRWRIAEHTBIT-UHFFFAOYSA-N 0 1 278.360 0.527 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2cnoc2C)C1 ZINC001181618068 843556889 /nfs/dbraw/zinc/55/68/89/843556889.db2.gz KAOVBFBPDHDJFU-CYBMUJFWSA-N 0 1 279.340 0.876 20 30 CCEDMN N#Cc1c(N)nn(C(O)=C2COc3ccccc3O2)c1N ZINC001181896840 843639999 /nfs/dbraw/zinc/63/99/99/843639999.db2.gz QZUFNTWXBFJVOH-JTQLQIEISA-N 0 1 285.263 0.399 20 30 CCEDMN COc1ccc(C#CC(=O)N2CC(N(C)C)C2)cc1 ZINC001182045444 843688080 /nfs/dbraw/zinc/68/80/80/843688080.db2.gz PSFJEKKLVZMUJX-UHFFFAOYSA-N 0 1 258.321 0.819 20 30 CCEDMN COc1ccc(C#CC(=O)N2CCN3CC[C@@H]3C2)cc1 ZINC001182048684 843698132 /nfs/dbraw/zinc/69/81/32/843698132.db2.gz QAONOPYBICMIRQ-CQSZACIVSA-N 0 1 270.332 0.963 20 30 CCEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CN(C)C(C)=O)C2 ZINC001110304148 843828139 /nfs/dbraw/zinc/82/81/39/843828139.db2.gz OWSGIPRYGUHUDW-MCIONIFRSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@H](NCc2cnc(C)o2)C1 ZINC001182505471 843846701 /nfs/dbraw/zinc/84/67/01/843846701.db2.gz ZKKLAOFSWRNPLM-WCQYABFASA-N 0 1 291.351 0.712 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@H](O)c1ccc2c(c1)CCO2 ZINC001182655925 843892853 /nfs/dbraw/zinc/89/28/53/843892853.db2.gz ZJOFJHDWFXJJNO-GFCCVEGCSA-N 0 1 284.275 0.888 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2ncc(C(F)(F)F)[nH]2)CCO1 ZINC001188288216 844855519 /nfs/dbraw/zinc/85/55/19/844855519.db2.gz NVNUYDOTUDKUBY-ZCFIWIBFSA-N 0 1 274.202 0.793 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C2CC2)C1 ZINC001188572422 844905457 /nfs/dbraw/zinc/90/54/57/844905457.db2.gz IFZRQPTVXDRJNZ-DGCLKSJQSA-N 0 1 279.384 0.620 20 30 CCEDMN C#Cc1ccc(C(=O)Nc2cn[nH]c2C(N)=O)cc1 ZINC001188719946 844962088 /nfs/dbraw/zinc/96/20/88/844962088.db2.gz QORMOQHWSXPFJJ-UHFFFAOYSA-N 0 1 254.249 0.742 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001188974576 845013224 /nfs/dbraw/zinc/01/32/24/845013224.db2.gz RCMYFLZQKPBLBY-QWRGUYRKSA-N 0 1 298.412 0.040 20 30 CCEDMN C=C(C)CCN(C)[C@@H]1CCN(C(=O)CS(C)(=O)=O)C1 ZINC001189162163 845069046 /nfs/dbraw/zinc/06/90/46/845069046.db2.gz YXGPUCBVKDRVML-GFCCVEGCSA-N 0 1 288.413 0.530 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@H]2CCC(=O)NC2=O)C1 ZINC001189317955 845107113 /nfs/dbraw/zinc/10/71/13/845107113.db2.gz GOIRTDGPVMEPKG-NEPJUHHUSA-N 0 1 293.367 0.291 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](N(C)Cc2cnn(C)c2)C1 ZINC001189372295 845118113 /nfs/dbraw/zinc/11/81/13/845118113.db2.gz WLNNRHRYZJPVEY-AWEZNQCLSA-N 0 1 292.383 0.655 20 30 CCEDMN C=C[C@@H]1C[C@@]1(NC(=O)c1cnn[nH]1)C(=O)OCC ZINC001189355597 845126401 /nfs/dbraw/zinc/12/64/01/845126401.db2.gz PDLVZEHDAWLDIV-HQJQHLMTSA-N 0 1 250.258 0.042 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)COC)C1 ZINC001189363036 845127833 /nfs/dbraw/zinc/12/78/33/845127833.db2.gz KQRSMKCUWRKPPW-KBPBESRZSA-N 0 1 282.384 0.451 20 30 CCEDMN CN(C)c1ncc(C(=O)Nc2nc[nH]c2C#N)cn1 ZINC001189835753 845220828 /nfs/dbraw/zinc/22/08/28/845220828.db2.gz BPDVCTVLKVSIHS-UHFFFAOYSA-N 0 1 257.257 0.390 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCN(C(=O)CC[C@H](C)OC)C1 ZINC001189798540 845223196 /nfs/dbraw/zinc/22/31/96/845223196.db2.gz UAJKSARMCHMOEH-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC[C@H](C)OC)C1 ZINC001189798540 845223207 /nfs/dbraw/zinc/22/32/07/845223207.db2.gz UAJKSARMCHMOEH-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CC[C@@](C)(NC(=O)c1nnc(C)[nH]1)C(=O)OC ZINC001189876500 845245637 /nfs/dbraw/zinc/24/56/37/845245637.db2.gz QOWFQXXXZIZRCP-LLVKDONJSA-N 0 1 252.274 0.351 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC2(F)F)C1 ZINC001189996303 845306934 /nfs/dbraw/zinc/30/69/34/845306934.db2.gz SXKWMNAYKHFNST-VXGBXAGGSA-N 0 1 286.322 0.824 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2n[nH]cc2C)C1 ZINC001190024003 845312653 /nfs/dbraw/zinc/31/26/53/845312653.db2.gz HHBDBVSXJMVRMX-ZDUSSCGKSA-N 0 1 290.367 0.514 20 30 CCEDMN Cc1nc(CN(C)[C@H]2CCN(C(=O)C#CC(C)C)C2)n[nH]1 ZINC001190053933 845319594 /nfs/dbraw/zinc/31/95/94/845319594.db2.gz MVWIQFIIBSKYNU-ZDUSSCGKSA-N 0 1 289.383 0.805 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CO[C@@H]2CCOC2)C1 ZINC001190121132 845340608 /nfs/dbraw/zinc/34/06/08/845340608.db2.gz OMQXPYGKEJRXIJ-ZIAGYGMSSA-N 0 1 280.368 0.348 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cc[nH]c2)C1 ZINC001190290432 845387556 /nfs/dbraw/zinc/38/75/56/845387556.db2.gz LJZHLIQMLCJGJN-AWEZNQCLSA-N 0 1 275.352 0.811 20 30 CCEDMN N#CCNS(=O)(=O)c1cc(F)cc(F)c1F ZINC001190404896 845397536 /nfs/dbraw/zinc/39/75/36/845397536.db2.gz OMGSQIKHSPFLMH-UHFFFAOYSA-N 0 1 250.201 0.906 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCc2ccnn2C)C1 ZINC001190415793 845401033 /nfs/dbraw/zinc/40/10/33/845401033.db2.gz RHUUDFCKBWGIOR-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001190428464 845404236 /nfs/dbraw/zinc/40/42/36/845404236.db2.gz JBVMNHCMMITQPQ-LLVKDONJSA-N 0 1 293.371 0.899 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001190428464 845404241 /nfs/dbraw/zinc/40/42/41/845404241.db2.gz JBVMNHCMMITQPQ-LLVKDONJSA-N 0 1 293.371 0.899 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CN(C)C(=O)C2CC2)C1 ZINC001190471980 845408334 /nfs/dbraw/zinc/40/83/34/845408334.db2.gz HEBPFTRLEGBXHI-ZDUSSCGKSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CN(C)C(=O)C(C)C)C1 ZINC001190463286 845408433 /nfs/dbraw/zinc/40/84/33/845408433.db2.gz RYNMMGHADWTLSW-CQSZACIVSA-N 0 1 293.411 0.657 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CCC)C1 ZINC001190693116 845469702 /nfs/dbraw/zinc/46/97/02/845469702.db2.gz PZAMTGYDWOALCC-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(OCC)n[nH]2)C1 ZINC001190856594 845525027 /nfs/dbraw/zinc/52/50/27/845525027.db2.gz MGYZKUSJEBUVOD-LBPRGKRZSA-N 0 1 290.367 0.978 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)CCCC(C)=O)C1 ZINC001191027143 845566429 /nfs/dbraw/zinc/56/64/29/845566429.db2.gz CTDFUEAYZCWGDO-CHWSQXEVSA-N 0 1 268.357 0.483 20 30 CCEDMN C=CCC[N@H+](C)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001191163551 845598583 /nfs/dbraw/zinc/59/85/83/845598583.db2.gz WPBFONLQJMBZLW-SECBINFHSA-N 0 1 293.327 0.005 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC[C@@H]2CCCO2)C1 ZINC001191204219 845607551 /nfs/dbraw/zinc/60/75/51/845607551.db2.gz RYCBUWGGNNMASS-BFHYXJOUSA-N 0 1 280.368 0.130 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)OCCC=C)C1 ZINC001191614917 845697435 /nfs/dbraw/zinc/69/74/35/845697435.db2.gz QYYMSTIOPNSKEK-BFHYXJOUSA-N 0 1 280.368 0.152 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1C[N@H+](CC2CC2)C[C@H]1O ZINC001192320152 845819047 /nfs/dbraw/zinc/81/90/47/845819047.db2.gz BFVGWOYUELCAFZ-ZIAGYGMSSA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001192303784 845824483 /nfs/dbraw/zinc/82/44/83/845824483.db2.gz FVKZIGVVKJLSPI-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001192366740 845829545 /nfs/dbraw/zinc/82/95/45/845829545.db2.gz DBKQREBZLDYTKF-HZSPNIEDSA-N 0 1 291.395 0.444 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CC2OCCCO2)C1 ZINC001192420645 845838638 /nfs/dbraw/zinc/83/86/38/845838638.db2.gz UCNOFBQAAPBWGE-CHWSQXEVSA-N 0 1 298.383 0.267 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)[C@H]2OCC[C@H]2C)C1 ZINC001192416151 845839711 /nfs/dbraw/zinc/83/97/11/845839711.db2.gz KJQIMUPVCDDHML-RDBSUJKOSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2OCC[C@H]2C)C1 ZINC001192416151 845839718 /nfs/dbraw/zinc/83/97/18/845839718.db2.gz KJQIMUPVCDDHML-RDBSUJKOSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2ccnnc2)C1 ZINC001192522804 845863242 /nfs/dbraw/zinc/86/32/42/845863242.db2.gz KUUHRVXGVBEVOW-CYBMUJFWSA-N 0 1 258.325 0.646 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccnnc2)C1 ZINC001192522804 845863248 /nfs/dbraw/zinc/86/32/48/845863248.db2.gz KUUHRVXGVBEVOW-CYBMUJFWSA-N 0 1 258.325 0.646 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cccnn2)C1 ZINC001192998568 845951425 /nfs/dbraw/zinc/95/14/25/845951425.db2.gz LFHWASLHDWHVIF-CYBMUJFWSA-N 0 1 288.351 0.273 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc2c(c1)C(=O)OC2 ZINC001192972020 845957190 /nfs/dbraw/zinc/95/71/90/845957190.db2.gz FPCBXAQXUMFEJF-UHFFFAOYSA-N 0 1 252.251 0.622 20 30 CCEDMN CN1CCN(c2ccccc2NS(=O)(=O)CC#N)CC1 ZINC001192972397 845958303 /nfs/dbraw/zinc/95/83/03/845958303.db2.gz VSPBBBXBTRVFDU-UHFFFAOYSA-N 0 1 294.380 0.704 20 30 CCEDMN CNC(=O)c1ccc(NS(=O)(=O)[C@@H](C)C#N)cc1 ZINC001193097747 845976390 /nfs/dbraw/zinc/97/63/90/845976390.db2.gz RITWFNZOMMEJEK-QMMMGPOBSA-N 0 1 267.310 0.700 20 30 CCEDMN CSc1nc(NS(=O)(=O)[C@H](C)C#N)cc(=O)n1C ZINC001193172595 845994936 /nfs/dbraw/zinc/99/49/36/845994936.db2.gz DROPKBROXZBZGM-ZCFIWIBFSA-N 0 1 288.354 0.156 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccc2c(c1)CCNC2=O ZINC001193151982 846006316 /nfs/dbraw/zinc/00/63/16/846006316.db2.gz XZMXNXUNYMGNGP-MRVPVSSYSA-N 0 1 279.321 0.626 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1O ZINC001193232175 846030917 /nfs/dbraw/zinc/03/09/17/846030917.db2.gz UIAWEUJZIVMUPH-NWANDNLSSA-N 0 1 296.411 0.951 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCc2cnccn2)C1 ZINC001193280811 846039761 /nfs/dbraw/zinc/03/97/61/846039761.db2.gz YGNDICHDCUWVFP-HNNXBMFYSA-N 0 1 286.379 0.965 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C2(C(=O)NC)CCC2)C1 ZINC001193630625 846130605 /nfs/dbraw/zinc/13/06/05/846130605.db2.gz LUGUAABZDPTRKQ-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001193695904 846146063 /nfs/dbraw/zinc/14/60/63/846146063.db2.gz MORZGFCUMTWJJU-CHWSQXEVSA-N 0 1 294.399 0.379 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(C(C)C)C[C@H]2O)CCC1 ZINC001193710653 846150008 /nfs/dbraw/zinc/15/00/08/846150008.db2.gz FNRVFXJYHDVNDJ-CHWSQXEVSA-N 0 1 264.369 0.750 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1ccc(F)c(O)c1F ZINC001193793166 846153414 /nfs/dbraw/zinc/15/34/14/846153414.db2.gz LAGAYAYJYFAYHI-ZETCQYMHSA-N 0 1 291.275 0.872 20 30 CCEDMN C#C[C@H](C)NC(=O)c1cncc(N2CCN(C)CC2)c1 ZINC001193951259 846186698 /nfs/dbraw/zinc/18/66/98/846186698.db2.gz FLHUDDKPGLEIEW-LBPRGKRZSA-N 0 1 272.352 0.585 20 30 CCEDMN CS(=O)(=O)c1cncc(C(=O)Nc2nc[nH]c2C#N)c1 ZINC001194121129 846217835 /nfs/dbraw/zinc/21/78/35/846217835.db2.gz GRBWLOYFXHELKQ-UHFFFAOYSA-N 0 1 291.292 0.332 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C(C)(C)C)C1 ZINC001194143631 846235502 /nfs/dbraw/zinc/23/55/02/846235502.db2.gz NAINOBINLWEIJB-JHJVBQTASA-N 0 1 266.385 0.853 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1cnc(C(F)(F)F)[nH]1 ZINC001194773475 846394649 /nfs/dbraw/zinc/39/46/49/846394649.db2.gz SEUYHPAZGPGRFL-LURJTMIESA-N 0 1 273.218 0.366 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(CCF)CC2)C1 ZINC001194908826 846414046 /nfs/dbraw/zinc/41/40/46/846414046.db2.gz IRIKCJYVBKXKTB-VXGBXAGGSA-N 0 1 270.348 0.864 20 30 CCEDMN CCOCCN1CCCN(C(=O)CSCC#N)CC1 ZINC001194926609 846418713 /nfs/dbraw/zinc/41/87/13/846418713.db2.gz RVQHQUBMSRHWSW-UHFFFAOYSA-N 0 1 285.413 0.814 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@](C)(C=C)CCOC)C1 ZINC001195020545 846447939 /nfs/dbraw/zinc/44/79/39/846447939.db2.gz MIAJUGSKRFVASV-IIAWOOMASA-N 0 1 294.395 0.400 20 30 CCEDMN CCOCCN1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195321826 846492769 /nfs/dbraw/zinc/49/27/69/846492769.db2.gz PXEMXAZIIQEJDB-LBPRGKRZSA-N 0 1 253.346 0.717 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1O ZINC001195312591 846502936 /nfs/dbraw/zinc/50/29/36/846502936.db2.gz RTVMBAGRYGVJOH-QJPTWQEYSA-N 0 1 270.373 0.395 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001195333338 846519690 /nfs/dbraw/zinc/51/96/90/846519690.db2.gz GGEAGKQBIVOQLH-VXGBXAGGSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCN1CCCN(C(=O)CCCC(=O)NC)CC1 ZINC001195588236 846580024 /nfs/dbraw/zinc/58/00/24/846580024.db2.gz DKIWWJDZJDDPJW-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN CN1C[C@@H](NS(=O)(=O)CCCC#N)C(C)(C)C1 ZINC001195643647 846592129 /nfs/dbraw/zinc/59/21/29/846592129.db2.gz YHHKORSKUCUDHQ-SNVBAGLBSA-N 0 1 259.375 0.550 20 30 CCEDMN CC1(C)C(=O)NCCN1C(=O)c1ccc(C#N)cc1O ZINC001195740702 846619957 /nfs/dbraw/zinc/61/99/57/846619957.db2.gz DBPQCFGZURKEJH-UHFFFAOYSA-N 0 1 273.292 0.614 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(CF)CCC2)C1 ZINC001196306824 846721193 /nfs/dbraw/zinc/72/11/93/846721193.db2.gz JRDGJLWOPUDLEN-VXGBXAGGSA-N 0 1 268.332 0.311 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2CC)C1 ZINC001196284602 846723869 /nfs/dbraw/zinc/72/38/69/846723869.db2.gz WDMOSTQTUFASFW-AAVRWANBSA-N 0 1 282.384 0.396 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cc(Cl)ncc2O)CCO1 ZINC001196396551 846742219 /nfs/dbraw/zinc/74/22/19/846742219.db2.gz VAFXJQHNGIBXOF-SSDOTTSWSA-N 0 1 267.672 0.805 20 30 CCEDMN C=CC1(CC(=O)N[C@@H]2CN(CCO)C[C@H]2O)CCCCC1 ZINC001196861359 846813373 /nfs/dbraw/zinc/81/33/73/846813373.db2.gz SMQZECVRZMCXKC-ZIAGYGMSSA-N 0 1 296.411 0.667 20 30 CCEDMN C=C(C)C[N@@H+]1CCCN(C(=O)[C@H](COC)OC)CC1 ZINC001197094246 846835922 /nfs/dbraw/zinc/83/59/22/846835922.db2.gz ZMKYSGKCXXTYQJ-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H](COC)OC)CC1 ZINC001197094246 846835926 /nfs/dbraw/zinc/83/59/26/846835926.db2.gz ZMKYSGKCXXTYQJ-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN CCc1cc(C(=O)NCc2nn[nH]n2)ccc1C#N ZINC001197292603 846894310 /nfs/dbraw/zinc/89/43/10/846894310.db2.gz REFYKHQTXOIMMF-UHFFFAOYSA-N 0 1 256.269 0.564 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2ccncn2)CC1 ZINC001197492378 846924050 /nfs/dbraw/zinc/92/40/50/846924050.db2.gz QCHQHNHVVFIBQB-UHFFFAOYSA-N 0 1 290.367 0.827 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(C)(C)O)C2 ZINC001110502122 847053987 /nfs/dbraw/zinc/05/39/87/847053987.db2.gz GHBCGKUERNUMIA-UTUOFQBUSA-N 0 1 250.342 0.502 20 30 CCEDMN C=CCN1C(=O)COCC12CN(CCCCCC(N)=O)C2 ZINC001273761076 847060161 /nfs/dbraw/zinc/06/01/61/847060161.db2.gz AUVQQYXFJCBTGI-UHFFFAOYSA-N 0 1 295.383 0.131 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(CCOC)CCC2)C1 ZINC001198171740 847060778 /nfs/dbraw/zinc/06/07/78/847060778.db2.gz QHMJNXNAVPDOEG-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cncn2-c2ccncc2)CCO1 ZINC001198549712 847133073 /nfs/dbraw/zinc/13/30/73/847133073.db2.gz SMEIOAOTPBKQFY-LBPRGKRZSA-N 0 1 283.291 0.632 20 30 CCEDMN CN(C)c1ccncc1C(=O)Nc1nc[nH]c1C#N ZINC001199349214 847293966 /nfs/dbraw/zinc/29/39/66/847293966.db2.gz LVLONUPUMVXXFX-UHFFFAOYSA-N 0 1 256.269 0.995 20 30 CCEDMN C=CCCC(=O)N1CCC(N[C@@H](C)c2nnnn2C)CC1 ZINC001199725796 847410836 /nfs/dbraw/zinc/41/08/36/847410836.db2.gz ATHYZPNFGFOTPE-NSHDSACASA-N 0 1 292.387 0.818 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)NC(N)=O)C2 ZINC001110557401 847701029 /nfs/dbraw/zinc/70/10/29/847701029.db2.gz PGKLKVHZWWSOLP-QCNOEVLYSA-N 0 1 280.372 0.341 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2cn[nH]n2)CCCO1 ZINC001200881241 847702602 /nfs/dbraw/zinc/70/26/02/847702602.db2.gz PHMCWUPUCJKGFB-JTQLQIEISA-N 0 1 299.762 0.378 20 30 CCEDMN Cc1nc([C@H](C)NCC=CCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001273911498 847822938 /nfs/dbraw/zinc/82/29/38/847822938.db2.gz UBBBIVWXNMGVLQ-NDVXOHMPSA-N 0 1 276.344 0.596 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1nccnc1C ZINC001153148654 847933951 /nfs/dbraw/zinc/93/39/51/847933951.db2.gz IQRRTDREVIXDPZ-GFCCVEGCSA-N 0 1 278.356 0.582 20 30 CCEDMN C#CCN1CC[C@]2(CCN(COCCOC)C2)C1=O ZINC001273990095 848210941 /nfs/dbraw/zinc/21/09/41/848210941.db2.gz KXUICXQYCZNOKO-AWEZNQCLSA-N 0 1 266.341 0.165 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(C)c1N)C2 ZINC001095343195 848254164 /nfs/dbraw/zinc/25/41/64/848254164.db2.gz QUWFDKOIZTVZRX-WXHSDQCUSA-N 0 1 289.383 0.914 20 30 CCEDMN Cn1cncc1CN1CC[C@]2(CCN(CCC#N)C2)C1=O ZINC001274032879 848313380 /nfs/dbraw/zinc/31/33/80/848313380.db2.gz YDLXFDHIJVUZJM-HNNXBMFYSA-N 0 1 287.367 0.758 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncn(C)n1)C2 ZINC001095386589 848382096 /nfs/dbraw/zinc/38/20/96/848382096.db2.gz LHTBNUNKNAUHEC-WOPDTQHZSA-N 0 1 273.340 0.174 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(CC)n1)C2 ZINC001095387709 848384944 /nfs/dbraw/zinc/38/49/44/848384944.db2.gz PUFRFJSLWAODDN-MDZLAQPJSA-N 0 1 275.356 0.819 20 30 CCEDMN C=CCN1CCC2(CCN(Cc3nnc[nH]3)CC2)C1=O ZINC001274354582 848457787 /nfs/dbraw/zinc/45/77/87/848457787.db2.gz OBRWTVVXIJYCLJ-UHFFFAOYSA-N 0 1 275.356 0.805 20 30 CCEDMN N#CCCCN1CC[C@@]2(CCN(Cc3nnc[nH]3)C2)C1=O ZINC001274355324 848458123 /nfs/dbraw/zinc/45/81/23/848458123.db2.gz DUUXBRKAHQTPSW-CQSZACIVSA-N 0 1 288.355 0.533 20 30 CCEDMN N#CCCCN1CC[C@]2(CCCN2Cc2nnc[nH]2)C1=O ZINC001274355956 848461273 /nfs/dbraw/zinc/46/12/73/848461273.db2.gz NWDJEJGWEYEBMV-CQSZACIVSA-N 0 1 288.355 0.675 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3ncc(C)cn3)C2)C1=O ZINC001274442117 848476590 /nfs/dbraw/zinc/47/65/90/848476590.db2.gz MJDUEPGSVXNYKO-INIZCTEOSA-N 0 1 284.363 0.843 20 30 CCEDMN C#CCCCC(=O)N1CCN(C2CN(CCCO)C2)CC1 ZINC001274468359 848484477 /nfs/dbraw/zinc/48/44/77/848484477.db2.gz UDTNGVUJDHVMHE-UHFFFAOYSA-N 0 1 293.411 0.001 20 30 CCEDMN Cc1oncc1CNC[C@@H](C)NC(=O)CSCC#N ZINC001274678048 848537950 /nfs/dbraw/zinc/53/79/50/848537950.db2.gz AKMQOTQPNNRUCO-SECBINFHSA-N 0 1 282.369 0.834 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cnc[nH]c1=O ZINC001275121047 848643408 /nfs/dbraw/zinc/64/34/08/848643408.db2.gz JHEWRFUGXNOXGJ-GFCCVEGCSA-N 0 1 288.351 0.742 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C(C)(C)NC(C)=O ZINC001275149986 848652464 /nfs/dbraw/zinc/65/24/64/848652464.db2.gz ADMZROYSDSZMNT-ZDUSSCGKSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cccc(C(N)=O)c1 ZINC001275592791 848770364 /nfs/dbraw/zinc/77/03/64/848770364.db2.gz RPKQEZCVEAEECJ-GFCCVEGCSA-N 0 1 287.363 0.859 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CCCC(=O)NCC ZINC001275696864 848795393 /nfs/dbraw/zinc/79/53/93/848795393.db2.gz BJGJNGQLKAIDBF-ZDUSSCGKSA-N 0 1 281.400 0.753 20 30 CCEDMN CCc1nc(C(=O)NC[C@H](C)N(C)CC#CCOC)co1 ZINC001275811628 848832530 /nfs/dbraw/zinc/83/25/30/848832530.db2.gz QQCBVRKFYGZJSW-LBPRGKRZSA-N 0 1 293.367 0.937 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)CCCF ZINC001275904189 848863091 /nfs/dbraw/zinc/86/30/91/848863091.db2.gz DECZHEZUFMSLMG-GFCCVEGCSA-N 0 1 258.337 0.822 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)Cc1cscn1 ZINC001275944516 848871439 /nfs/dbraw/zinc/87/14/39/848871439.db2.gz GZRGYFBOSIXNGX-JTQLQIEISA-N 0 1 251.355 0.755 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cc2ncccn2n1 ZINC001275963391 848875785 /nfs/dbraw/zinc/87/57/85/848875785.db2.gz POGGHGGBUSFJJA-LLVKDONJSA-N 0 1 271.324 0.413 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)COCc1cccnc1 ZINC001275986344 848882167 /nfs/dbraw/zinc/88/21/67/848882167.db2.gz JSYSWYFKVFWATK-ZDUSSCGKSA-N 0 1 275.352 0.668 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)COCc1cccnc1 ZINC001275986341 848882227 /nfs/dbraw/zinc/88/22/27/848882227.db2.gz JSYSWYFKVFWATK-CYBMUJFWSA-N 0 1 275.352 0.668 20 30 CCEDMN C=CCC[C@H](C)N1CC(CCO)(NC(=O)COC)C1 ZINC001276021409 848893566 /nfs/dbraw/zinc/89/35/66/848893566.db2.gz QCEWZQWCDAZLHZ-LBPRGKRZSA-N 0 1 270.373 0.541 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1C[C@@H](C)CC(=O)N1)C2 ZINC001095430046 848906509 /nfs/dbraw/zinc/90/65/09/848906509.db2.gz BNGNJFIJMCMZFE-RGDJUOJXSA-N 0 1 291.395 0.809 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC3(C[C@H]3C(N)=O)CC2)ccc1O ZINC001276156523 848942384 /nfs/dbraw/zinc/94/23/84/848942384.db2.gz UOKMEACXZJOAFP-LBPRGKRZSA-N 0 1 299.330 0.991 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C(=O)NC)CC1)C2 ZINC001111139782 849078270 /nfs/dbraw/zinc/07/82/70/849078270.db2.gz GPLPBSMIKOFCJD-UTUOFQBUSA-N 0 1 277.368 0.420 20 30 CCEDMN C[C@@H]1CO[C@@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000718560165 849268756 /nfs/dbraw/zinc/26/87/56/849268756.db2.gz RUOUIPFSIGARRI-WDEREUQCSA-N 0 1 251.330 0.516 20 30 CCEDMN CCC[C@@H](OC)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114672661 849356360 /nfs/dbraw/zinc/35/63/60/849356360.db2.gz SLAQYAFHDGZZNV-BARDWOONSA-N 0 1 294.395 0.498 20 30 CCEDMN N#CC1(C(=O)N2CCC(c3nn[nH]n3)CC2)CCC1 ZINC000720446309 849464116 /nfs/dbraw/zinc/46/41/16/849464116.db2.gz VXAVOPAPSUUDJN-UHFFFAOYSA-N 0 1 260.301 0.600 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)o1 ZINC000720462234 849465216 /nfs/dbraw/zinc/46/52/16/849465216.db2.gz IQWIGCQXGRLPKF-MRVPVSSYSA-N 0 1 272.268 0.684 20 30 CCEDMN CC[C@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC[C@@H]1NCC#N ZINC001037917587 849605737 /nfs/dbraw/zinc/60/57/37/849605737.db2.gz CPCDTWMAJYYIPB-QWRGUYRKSA-N 0 1 291.355 0.480 20 30 CCEDMN CC[C@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC[C@H]1NCC#N ZINC001037917588 849606100 /nfs/dbraw/zinc/60/61/00/849606100.db2.gz CPCDTWMAJYYIPB-WDEREUQCSA-N 0 1 291.355 0.480 20 30 CCEDMN C=CCN1CC[C@@H]1CNC(=O)[C@@H]1CN(C(C)C)CCO1 ZINC001038621107 849676994 /nfs/dbraw/zinc/67/69/94/849676994.db2.gz HERUIQPCHPSAGZ-KGLIPLIRSA-N 0 1 281.400 0.472 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001038637782 849765310 /nfs/dbraw/zinc/76/53/10/849765310.db2.gz DSUNRIOACXFQFP-NEPJUHHUSA-N 0 1 289.383 0.991 20 30 CCEDMN COC[C@H](C)N1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038174463 849809461 /nfs/dbraw/zinc/80/94/61/849809461.db2.gz UCZKFWGPEYITQR-CMPLNLGQSA-N 0 1 276.340 0.725 20 30 CCEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1ncn(C)n1 ZINC001038235806 849825741 /nfs/dbraw/zinc/82/57/41/849825741.db2.gz QDUZCVIEQSYSID-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccnc(OC)c1 ZINC001038433482 849897161 /nfs/dbraw/zinc/89/71/61/849897161.db2.gz CHTULQGNPYLMJR-CYBMUJFWSA-N 0 1 273.336 0.918 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC001038447618 849902789 /nfs/dbraw/zinc/90/27/89/849902789.db2.gz VGINKYXHKLKYBX-JTQLQIEISA-N 0 1 273.340 0.616 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001038733963 850000103 /nfs/dbraw/zinc/00/01/03/850000103.db2.gz ZDZLDFQYVVIFSE-SOUVJXGZSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnc2cccnn21 ZINC001038777670 850015437 /nfs/dbraw/zinc/01/54/37/850015437.db2.gz GVXGORWJNATCJR-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001038965408 850101964 /nfs/dbraw/zinc/10/19/64/850101964.db2.gz ZRGYKANQQAHMSQ-NSHDSACASA-N 0 1 271.324 0.490 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@@H]1CCN1CC#N ZINC001039047201 850136388 /nfs/dbraw/zinc/13/63/88/850136388.db2.gz CEBNMHGTJNERLZ-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001039052899 850140771 /nfs/dbraw/zinc/14/07/71/850140771.db2.gz QGQBJPBTWZJTMY-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(C(N)=O)ccn1 ZINC001039094674 850155905 /nfs/dbraw/zinc/15/59/05/850155905.db2.gz FKVDNXZDYWEJPP-LBPRGKRZSA-N 0 1 288.351 0.561 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H]3CC[C@@H](C2)N3C(C)=O)C1=O ZINC001039314731 850171013 /nfs/dbraw/zinc/17/10/13/850171013.db2.gz IVZRNXFSDLUOEV-ILXRZTDVSA-N 0 1 291.395 0.859 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCO ZINC001039530868 850211488 /nfs/dbraw/zinc/21/14/88/850211488.db2.gz DOPHRCXMMOVTAH-RWMBFGLXSA-N 0 1 265.357 0.594 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cn[nH]c3)C[C@@H]21 ZINC001041948923 850537488 /nfs/dbraw/zinc/53/74/88/850537488.db2.gz ACEQPONWVRLKEU-YPMHNXCESA-N 0 1 258.325 0.579 20 30 CCEDMN C#CC[N@H+]1CC[C@H]2CCN(C(=O)c3cc[nH]n3)C[C@H]21 ZINC001041959023 850540433 /nfs/dbraw/zinc/54/04/33/850540433.db2.gz VLHFBQLTQFIFFI-WCQYABFASA-N 0 1 258.325 0.579 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3cc[nH]n3)C[C@H]21 ZINC001041959023 850540439 /nfs/dbraw/zinc/54/04/39/850540439.db2.gz VLHFBQLTQFIFFI-WCQYABFASA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc[nH]n3)C[C@H]21 ZINC001041959023 850540447 /nfs/dbraw/zinc/54/04/47/850540447.db2.gz VLHFBQLTQFIFFI-WCQYABFASA-N 0 1 258.325 0.579 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]3CCN(CC#N)[C@H]3C2)n[nH]1 ZINC001041962891 850541770 /nfs/dbraw/zinc/54/17/70/850541770.db2.gz ZQKJCLMZYAOAFE-YPMHNXCESA-N 0 1 273.340 0.778 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cc3ccon3)C[C@@H]21 ZINC001041975273 850547943 /nfs/dbraw/zinc/54/79/43/850547943.db2.gz MCXOYNJJZPRVGU-JSGCOSHPSA-N 0 1 273.336 0.773 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc(C)ncn3)C[C@H]21 ZINC001042016968 850558326 /nfs/dbraw/zinc/55/83/26/850558326.db2.gz YAWXZWGSPWLMOX-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C=CCN1CC[C@H]2CCN(C(=O)c3cnon3)C[C@H]21 ZINC001042278724 850607316 /nfs/dbraw/zinc/60/73/16/850607316.db2.gz ZRSOGFZNUMXVRJ-CMPLNLGQSA-N 0 1 262.313 0.792 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)CN3CCCC3)C[C@@H]21 ZINC001042358291 850619782 /nfs/dbraw/zinc/61/97/82/850619782.db2.gz QAGGXTLCELTDHL-KGLIPLIRSA-N 0 1 276.384 0.529 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001042724917 850746371 /nfs/dbraw/zinc/74/63/71/850746371.db2.gz GTXVOIPTQYTUJZ-GFCCVEGCSA-N 0 1 272.352 0.605 20 30 CCEDMN C=CCN1CC(N(C)C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001044180677 851034952 /nfs/dbraw/zinc/03/49/52/851034952.db2.gz KTZHXZNBQFYCOP-SNVBAGLBSA-N 0 1 275.356 0.238 20 30 CCEDMN C=CCN1CC(N(C)C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001044180677 851034957 /nfs/dbraw/zinc/03/49/57/851034957.db2.gz KTZHXZNBQFYCOP-SNVBAGLBSA-N 0 1 275.356 0.238 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](NCC#N)C[C@H]2C)[nH]1 ZINC001044507524 851114734 /nfs/dbraw/zinc/11/47/34/851114734.db2.gz HQEWBWPMWJFGGH-MWLCHTKSSA-N 0 1 261.329 0.824 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H]1CNCc1cnns1 ZINC001044960444 851194977 /nfs/dbraw/zinc/19/49/77/851194977.db2.gz DCKRVJNAPNEEBE-UWVGGRQHSA-N 0 1 279.369 0.778 20 30 CCEDMN C[C@H]1CCN(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CC#N)C1 ZINC001096015255 851222268 /nfs/dbraw/zinc/22/22/68/851222268.db2.gz ZMZASZTYKVJJQT-CRWXNKLISA-N 0 1 276.384 0.573 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cc[n+]([O-])cc2)CC1 ZINC001045353515 851241126 /nfs/dbraw/zinc/24/11/26/851241126.db2.gz GWQXGLLUGSLAHC-UHFFFAOYSA-N 0 1 273.336 0.538 20 30 CCEDMN C=CCCCC(=O)NCC1(O)CN(C(=O)c2ccn[nH]2)C1 ZINC001045451431 851260731 /nfs/dbraw/zinc/26/07/31/851260731.db2.gz QZUYCZGORNUIPG-UHFFFAOYSA-N 0 1 292.339 0.069 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cccc(=O)n2C)CC1 ZINC001045550234 851277580 /nfs/dbraw/zinc/27/75/80/851277580.db2.gz NCSFIAZHOAZSTB-UHFFFAOYSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2nc3ncccn3n2)CC1 ZINC001045590933 851283342 /nfs/dbraw/zinc/28/33/42/851283342.db2.gz BLTXQWPASGPWCC-UHFFFAOYSA-N 0 1 298.350 0.342 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cccn(C)c2=O)CC1 ZINC001045618815 851288559 /nfs/dbraw/zinc/28/85/59/851288559.db2.gz WLCMOLKLEVHCQI-UHFFFAOYSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCN(C(C)=O)C2)CC1 ZINC001045630415 851290946 /nfs/dbraw/zinc/29/09/46/851290946.db2.gz BIOQNCDHGWYQSE-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cnn(C)c2C)C1 ZINC001046148218 851396200 /nfs/dbraw/zinc/39/62/00/851396200.db2.gz UBFUKHBGADMUAT-AWEZNQCLSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCCO2)C1 ZINC001046235583 851431878 /nfs/dbraw/zinc/43/18/78/851431878.db2.gz ICPRRTHRQDMWHE-JSGCOSHPSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2nn(C)cc2C)C1 ZINC001046311018 851461903 /nfs/dbraw/zinc/46/19/03/851461903.db2.gz FWLVLCYXKHNIRX-OAHLLOKOSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccnc3ccnn32)C1 ZINC001046369060 851478675 /nfs/dbraw/zinc/47/86/75/851478675.db2.gz ODTOTZMJAMNMQD-MRXNPFEDSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cnc[nH]c2=O)C1 ZINC001046408857 851492280 /nfs/dbraw/zinc/49/22/80/851492280.db2.gz BIALRRHPHBOFEH-ZDUSSCGKSA-N 0 1 260.297 0.010 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001046429453 851499874 /nfs/dbraw/zinc/49/98/74/851499874.db2.gz SIKOLJMZAAENJN-CJNGLKHVSA-N 0 1 286.379 0.659 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ncc(OC)cn2)C1 ZINC001046472370 851518949 /nfs/dbraw/zinc/51/89/49/851518949.db2.gz GUYSULFWDGVZGV-OAHLLOKOSA-N 0 1 288.351 0.703 20 30 CCEDMN C[C@@]1(NC(=O)[C@H]2CCCc3n[nH]nc32)CCN(CC#N)C1 ZINC001046576943 851551964 /nfs/dbraw/zinc/55/19/64/851551964.db2.gz UITGWNDMBPBDFA-IINYFYTJSA-N 0 1 288.355 0.329 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccoc2CC(N)=O)C1 ZINC001046575366 851554014 /nfs/dbraw/zinc/55/40/14/851554014.db2.gz PFMSHSISULMEML-OAHLLOKOSA-N 0 1 291.351 0.688 20 30 CCEDMN C[C@@]1(NC(=O)[C@@H]2CCCc3[nH]cnc32)CCN(CC#N)C1 ZINC001046612588 851564230 /nfs/dbraw/zinc/56/42/30/851564230.db2.gz RMVZYNXEIAPBFH-IAQYHMDHSA-N 0 1 287.367 0.934 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001046663926 851579178 /nfs/dbraw/zinc/57/91/78/851579178.db2.gz XQIINUXEJVSWLK-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001046663926 851579183 /nfs/dbraw/zinc/57/91/83/851579183.db2.gz XQIINUXEJVSWLK-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001046729808 851599362 /nfs/dbraw/zinc/59/93/62/851599362.db2.gz WLRJDZWMDMVUGF-OAHLLOKOSA-N 0 1 288.351 0.331 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc3nnn(C)c3c2)C1 ZINC001046807903 851619177 /nfs/dbraw/zinc/61/91/77/851619177.db2.gz MRGOOOOLGNHHID-MRXNPFEDSA-N 0 1 297.362 0.796 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)c3ncn[nH]3)C2)nc1 ZINC001046872310 851637130 /nfs/dbraw/zinc/63/71/30/851637130.db2.gz VEVARSPADYXXIT-XYPYZODXSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)c3nc[nH]n3)C2)nc1 ZINC001046872310 851637136 /nfs/dbraw/zinc/63/71/36/851637136.db2.gz VEVARSPADYXXIT-XYPYZODXSA-N 0 1 297.322 0.692 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)n(C)n2)C1 ZINC001047314338 851711169 /nfs/dbraw/zinc/71/11/69/851711169.db2.gz PCKJABWIBRIBOE-KBPBESRZSA-N 0 1 292.383 0.422 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cocn2)C1 ZINC001047323946 851716232 /nfs/dbraw/zinc/71/62/32/851716232.db2.gz CDDPKBIORKJMFZ-RYUDHWBXSA-N 0 1 265.313 0.368 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccn(C)n2)C1 ZINC001047375448 851742770 /nfs/dbraw/zinc/74/27/70/851742770.db2.gz UBVNKHSYIQKQRG-KBPBESRZSA-N 0 1 292.383 0.042 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H](C)C2CCC2)C1 ZINC001047424314 851758451 /nfs/dbraw/zinc/75/84/51/851758451.db2.gz GVLOCKYZQRTPFR-QEJZJMRPSA-N 0 1 278.396 0.949 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2C[C@H]2C)C1 ZINC001047485346 851782615 /nfs/dbraw/zinc/78/26/15/851782615.db2.gz OOHODFQSQLYEDR-NDBYEHHHSA-N 0 1 250.342 0.169 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnon1)C2 ZINC001096208290 851806236 /nfs/dbraw/zinc/80/62/36/851806236.db2.gz LYDISKMQXIUSPK-SCVCMEIPSA-N 0 1 260.297 0.428 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001047537414 851806322 /nfs/dbraw/zinc/80/63/22/851806322.db2.gz ILVFGXZAAAMSNM-MRLBHPIUSA-N 0 1 294.395 0.634 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2nocc2C)C1 ZINC001047590927 851828688 /nfs/dbraw/zinc/82/86/88/851828688.db2.gz OECZGMOTPFGBJM-RYUDHWBXSA-N 0 1 277.324 0.123 20 30 CCEDMN C[C@H]1CN(C(=O)C#CC2CC2)C[C@H]1CNCc1cnon1 ZINC001048253245 851922629 /nfs/dbraw/zinc/92/26/29/851922629.db2.gz OCYNFGXOZYRCAU-WCQYABFASA-N 0 1 288.351 0.667 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCC(=O)N1C)C2 ZINC001096455832 852127267 /nfs/dbraw/zinc/12/72/67/852127267.db2.gz NMECESVCPXSNKB-ZOBORPQBSA-N 0 1 291.395 0.905 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1cncn1)C2 ZINC001096494263 852182755 /nfs/dbraw/zinc/18/27/55/852182755.db2.gz HTXDBDUTEBBZRT-WOPDTQHZSA-N 0 1 261.329 0.186 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCOC1 ZINC001049360419 852240678 /nfs/dbraw/zinc/24/06/78/852240678.db2.gz OLQCLVLGJBLJGV-HZSPNIEDSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccnn1C ZINC001049374605 852246734 /nfs/dbraw/zinc/24/67/34/852246734.db2.gz SGXBKLVOYKLQCE-QWHCGFSZSA-N 0 1 272.352 0.732 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCNC(=O)C1 ZINC001049414521 852262856 /nfs/dbraw/zinc/26/28/56/852262856.db2.gz DZWXAOGBRKDLTL-HZSPNIEDSA-N 0 1 289.379 0.211 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1COCCN1C ZINC001049430066 852267315 /nfs/dbraw/zinc/26/73/15/852267315.db2.gz ZLRGTIRXAFMKMO-RRFJBIMHSA-N 0 1 293.411 0.568 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnnn1CC ZINC001049465769 852290048 /nfs/dbraw/zinc/29/00/48/852290048.db2.gz VGHQIMXGTRAQEO-OLZOCXBDSA-N 0 1 287.367 0.610 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCCC(=O)N1 ZINC001049539433 852309015 /nfs/dbraw/zinc/30/90/15/852309015.db2.gz CMOYISNCAUURHZ-HZSPNIEDSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCC(=O)NC1 ZINC001049569475 852317569 /nfs/dbraw/zinc/31/75/69/852317569.db2.gz ZDNWATBEJVSTGX-MGPQQGTHSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001049654822 852337377 /nfs/dbraw/zinc/33/73/77/852337377.db2.gz MBCGXPQYMYFBBF-AIEDFZFUSA-N 0 1 274.364 0.577 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cn(C)c(=O)[nH]1 ZINC001049688892 852351184 /nfs/dbraw/zinc/35/11/84/852351184.db2.gz GGURYCIHXAGUCA-QWHCGFSZSA-N 0 1 288.351 0.438 20 30 CCEDMN C#CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049835042 852384359 /nfs/dbraw/zinc/38/43/59/852384359.db2.gz NQUKPOVUBONILP-NEPJUHHUSA-N 0 1 273.340 0.046 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049835042 852384369 /nfs/dbraw/zinc/38/43/69/852384369.db2.gz NQUKPOVUBONILP-NEPJUHHUSA-N 0 1 273.340 0.046 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cccn(C)c1=O ZINC001049855945 852386596 /nfs/dbraw/zinc/38/65/96/852386596.db2.gz WAHNVFOHTGYLPF-CABCVRRESA-N 0 1 299.374 0.697 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnn2cc[nH]c12 ZINC001049865051 852388880 /nfs/dbraw/zinc/38/88/80/852388880.db2.gz VHQKBQKNTJOSIR-ZIAGYGMSSA-N 0 1 297.362 0.975 20 30 CCEDMN N#Cc1cnccc1N[C@H](CNC(=O)c1ncn[nH]1)C1CC1 ZINC001096705023 852427353 /nfs/dbraw/zinc/42/73/53/852427353.db2.gz GWYNBDJLMQXGJC-GFCCVEGCSA-N 0 1 297.322 0.114 20 30 CCEDMN N#Cc1cnccc1N[C@H](CNC(=O)c1nc[nH]n1)C1CC1 ZINC001096705023 852427356 /nfs/dbraw/zinc/42/73/56/852427356.db2.gz GWYNBDJLMQXGJC-GFCCVEGCSA-N 0 1 297.322 0.114 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncn(C)n1)C2 ZINC001097038804 852491545 /nfs/dbraw/zinc/49/15/45/852491545.db2.gz YTKYFSIJDPXENB-UTUOFQBUSA-N 0 1 275.356 0.726 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCC(=O)N1)C2 ZINC001097287528 852522727 /nfs/dbraw/zinc/52/27/27/852522727.db2.gz FHKQPRWNMRRYKZ-SYQHCUMBSA-N 0 1 289.379 0.400 20 30 CCEDMN C[C@H](CNC(=O)[C@H]1CCCN1C)Nc1ccc(C#N)nc1 ZINC001097730066 852592780 /nfs/dbraw/zinc/59/27/80/852592780.db2.gz QRZITDOLTMEYKZ-BXUZGUMPSA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@H](CNC(=O)[C@H]1CCCN1C)Nc1ccc(C#N)nn1 ZINC001097729285 852593321 /nfs/dbraw/zinc/59/33/21/852593321.db2.gz HVRMSIFYMPVQOM-ZYHUDNBSSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@@H](CNC(=O)[C@H]1CCCN1C)Nc1ccncc1C#N ZINC001097730682 852595352 /nfs/dbraw/zinc/59/53/52/852595352.db2.gz WYXAVUWYZCWOSK-SMDDNHRTSA-N 0 1 287.367 0.386 20 30 CCEDMN Cc1cc(CC(=O)NC[C@H](C)Nc2cnc(C#N)cn2)[nH]n1 ZINC001097738450 852597157 /nfs/dbraw/zinc/59/71/57/852597157.db2.gz MBXIVTJJVYETDF-JTQLQIEISA-N 0 1 299.338 0.539 20 30 CCEDMN C=C(C)CN1CC2(C1)CN(C(=O)c1ccn[nH]1)CCO2 ZINC001053168843 852698370 /nfs/dbraw/zinc/69/83/70/852698370.db2.gz DWKGRJGIALTSOD-UHFFFAOYSA-N 0 1 276.340 0.513 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)Cc1cnc[nH]1)CO2 ZINC001053941296 852853047 /nfs/dbraw/zinc/85/30/47/852853047.db2.gz VWNRRQWKQUSURH-LBPRGKRZSA-N 0 1 276.340 0.098 20 30 CCEDMN N#CCN1Cc2ccccc2C[C@H]1CNC(=O)c1ncn[nH]1 ZINC001054056530 852874778 /nfs/dbraw/zinc/87/47/78/852874778.db2.gz KEJSHASIZKVSSK-ZDUSSCGKSA-N 0 1 296.334 0.485 20 30 CCEDMN N#CCN1Cc2ccccc2C[C@H]1CNC(=O)c1nc[nH]n1 ZINC001054056530 852874782 /nfs/dbraw/zinc/87/47/82/852874782.db2.gz KEJSHASIZKVSSK-ZDUSSCGKSA-N 0 1 296.334 0.485 20 30 CCEDMN CNC(=O)CN1CC[C@]2(C1)CCCN(C(=O)[C@@H](C)C#N)C2 ZINC001054101959 852883814 /nfs/dbraw/zinc/88/38/14/852883814.db2.gz IQTGLESHTWJOHJ-WFASDCNBSA-N 0 1 292.383 0.207 20 30 CCEDMN C#CC[NH2+][C@@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001054588476 852974825 /nfs/dbraw/zinc/97/48/25/852974825.db2.gz IXKBTBXTMNOHLB-WDEREUQCSA-N 0 1 259.309 0.471 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cc2nnc(C)[nH]2)C[C@@H]1C ZINC001054634672 852985370 /nfs/dbraw/zinc/98/53/70/852985370.db2.gz KHMBRVXBQVDTJP-GZMMTYOYSA-N 0 1 297.790 0.845 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cc2nnc(C)[nH]2)C[C@H]1C ZINC001054634681 852985510 /nfs/dbraw/zinc/98/55/10/852985510.db2.gz KHMBRVXBQVDTJP-LDYMZIIASA-N 0 1 297.790 0.845 20 30 CCEDMN C[C@@H]1CN(C(=O)C#CC2CC2)C[C@H]1NCc1ccn(C)n1 ZINC001054639666 852987284 /nfs/dbraw/zinc/98/72/84/852987284.db2.gz VAFGUTNJTWMPAD-IUODEOHRSA-N 0 1 286.379 0.770 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CC=C)nn1 ZINC001097997437 853077563 /nfs/dbraw/zinc/07/75/63/853077563.db2.gz COCYHWNWHSSRFL-BZPMIXESSA-N 0 1 287.367 0.985 20 30 CCEDMN CC#CCCCC(=O)NC1CCN(CCNC(C)=O)CC1 ZINC001055477335 853077710 /nfs/dbraw/zinc/07/77/10/853077710.db2.gz LDAHABMQZWIHFS-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN CC#CCCCC(=O)NCCN1CCC(NC(C)=O)CC1 ZINC001055618686 853089652 /nfs/dbraw/zinc/08/96/52/853089652.db2.gz NSTJLMDNZNPZKL-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN N#Cc1nccnc1N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001056558308 853170509 /nfs/dbraw/zinc/17/05/09/853170509.db2.gz WPTRQSYVXLBSAG-SECBINFHSA-N 0 1 283.295 0.398 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H]3CCNC3=O)[C@@H]2C1 ZINC001050063277 853305720 /nfs/dbraw/zinc/30/57/20/853305720.db2.gz VRKAOHCKGKJDES-MELADBBJSA-N 0 1 289.379 0.069 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)CN3CCCC3)C2)CC1 ZINC001050755025 853423591 /nfs/dbraw/zinc/42/35/91/853423591.db2.gz GVLCECUYWJQEJS-UHFFFAOYSA-N 0 1 276.384 0.530 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCO[C@H](CNCc2cnns2)C1 ZINC001051495211 853597033 /nfs/dbraw/zinc/59/70/33/853597033.db2.gz SHJBSQKBRMAIDU-NXEZZACHSA-N 0 1 295.368 0.015 20 30 CCEDMN CCN1CCN([C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001051997761 853668422 /nfs/dbraw/zinc/66/84/22/853668422.db2.gz HUCHJFVLEMGLDV-QWHCGFSZSA-N 0 1 264.373 0.384 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC001051984785 853670360 /nfs/dbraw/zinc/67/03/60/853670360.db2.gz NFIPFPLZZYSYGX-OAHLLOKOSA-N 0 1 277.412 0.884 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CCCN(CC#N)CC2)n[nH]1 ZINC001052367081 853742403 /nfs/dbraw/zinc/74/24/03/853742403.db2.gz LPSSSHUZFZHQFB-LLVKDONJSA-N 0 1 276.344 0.150 20 30 CCEDMN C[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001058314406 853823434 /nfs/dbraw/zinc/82/34/34/853823434.db2.gz JCHZWKMDBQEARM-HIFRSBDPSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001058314406 853823438 /nfs/dbraw/zinc/82/34/38/853823438.db2.gz JCHZWKMDBQEARM-HIFRSBDPSA-N 0 1 299.378 0.742 20 30 CCEDMN N#Cc1cnccc1N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001058422412 853842684 /nfs/dbraw/zinc/84/26/84/853842684.db2.gz DGMQIZMETKVERN-JTQLQIEISA-N 0 1 283.295 0.080 20 30 CCEDMN N#Cc1cnccc1N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001058422412 853842686 /nfs/dbraw/zinc/84/26/86/853842686.db2.gz DGMQIZMETKVERN-JTQLQIEISA-N 0 1 283.295 0.080 20 30 CCEDMN N#Cc1cnc(NC[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)cn1 ZINC001068619000 853907647 /nfs/dbraw/zinc/90/76/47/853907647.db2.gz ZUMDYAQJSPHTMN-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)C2CC2)[C@@H](n2ccnn2)C1 ZINC001069887265 853993353 /nfs/dbraw/zinc/99/33/53/853993353.db2.gz PMOLGQFVJUYNRH-OLZOCXBDSA-N 0 1 275.356 0.606 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CC(C)(C)C)C[C@@H]1n1ccnn1 ZINC001070142091 854030066 /nfs/dbraw/zinc/03/00/66/854030066.db2.gz HKSWZDVJNPKJOJ-OLZOCXBDSA-N 0 1 289.383 0.689 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H](C)C(C)C)C[C@@H]1n1ccnn1 ZINC001070287012 854040623 /nfs/dbraw/zinc/04/06/23/854040623.db2.gz KSGWWUKVIQVJOZ-MJBXVCDLSA-N 0 1 289.383 0.545 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)COC)C[C@@H](C)O2 ZINC001071110130 854122031 /nfs/dbraw/zinc/12/20/31/854122031.db2.gz IOKNSLRAJIBNCX-TZMCWYRMSA-N 0 1 268.357 0.511 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)CC)C[C@H](C)O2 ZINC001071113818 854123207 /nfs/dbraw/zinc/12/32/07/854123207.db2.gz LLVIPZNSKRZIBT-JSGCOSHPSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(C1)CN(C(=O)C(C)(F)F)C[C@H](C)O2 ZINC001071127436 854125817 /nfs/dbraw/zinc/12/58/17/854125817.db2.gz NWQUGXIMBRSSBE-SMDDNHRTSA-N 0 1 286.322 0.967 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)C(C)(F)F)C[C@H](C)O2 ZINC001071127436 854125821 /nfs/dbraw/zinc/12/58/21/854125821.db2.gz NWQUGXIMBRSSBE-SMDDNHRTSA-N 0 1 286.322 0.967 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CN2CCCC2=O)CC[C@@H]1C ZINC001071337230 854159950 /nfs/dbraw/zinc/15/99/50/854159950.db2.gz AVGZKRMHSFAHRJ-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C2=COCCO2)CC[C@H]1C ZINC001071331674 854160063 /nfs/dbraw/zinc/16/00/63/854160063.db2.gz HRUJWRZXDQDCIM-CHWSQXEVSA-N 0 1 278.352 0.867 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2=CCOCC2)CC[C@@H]1C ZINC001071455360 854203362 /nfs/dbraw/zinc/20/33/62/854203362.db2.gz ZJTMPTLVOIPTCF-JSGCOSHPSA-N 0 1 262.353 0.935 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cn(C)nn2)CC[C@@H]1C ZINC001071467422 854209948 /nfs/dbraw/zinc/20/99/48/854209948.db2.gz RBLGLYIKCYJICA-WDEREUQCSA-N 0 1 263.345 0.584 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2ccn(C)c(=O)c2)CC[C@H]1C ZINC001071619858 854250361 /nfs/dbraw/zinc/25/03/61/854250361.db2.gz VUBBDUAUGKMFQA-TZMCWYRMSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2ccc(=O)n(C)c2)CC[C@@H]1C ZINC001071641803 854256001 /nfs/dbraw/zinc/25/60/01/854256001.db2.gz GBODYMRJXRIUOM-GXTWGEPZSA-N 0 1 287.363 0.601 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C ZINC001071652297 854258142 /nfs/dbraw/zinc/25/81/42/854258142.db2.gz SQOWGEWYNXCMKA-PWSUYJOCSA-N 0 1 292.339 0.189 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2ccnc3n[nH]nc32)CC[C@H]1C ZINC001071674047 854262542 /nfs/dbraw/zinc/26/25/42/854262542.db2.gz NTIYHKWOOBXELE-GHMZBOCLSA-N 0 1 298.350 0.569 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC[C@@H]1C ZINC001071738509 854276455 /nfs/dbraw/zinc/27/64/55/854276455.db2.gz DGVFASKETQSLMV-XPABHHOTSA-N 0 1 276.380 0.871 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001071787598 854290744 /nfs/dbraw/zinc/29/07/44/854290744.db2.gz QEVYSTWKXKMPEF-ZJUUUORDSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001071787598 854290751 /nfs/dbraw/zinc/29/07/51/854290751.db2.gz QEVYSTWKXKMPEF-ZJUUUORDSA-N 0 1 277.328 0.348 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2nonc2C)CC[C@H]1C ZINC001071953992 854326186 /nfs/dbraw/zinc/32/61/86/854326186.db2.gz IGTLPJVTOHAIDG-DGCLKSJQSA-N 0 1 290.367 0.913 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cn2cncn2)CC[C@@H]1C ZINC001072053691 854341859 /nfs/dbraw/zinc/34/18/59/854341859.db2.gz VASHZZPCGNQODQ-NWDGAFQWSA-N 0 1 263.345 0.433 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3=COCCO3)C2)C1 ZINC001072434767 854387165 /nfs/dbraw/zinc/38/71/65/854387165.db2.gz LMNDEAWFKRGTOA-UHFFFAOYSA-N 0 1 262.309 0.042 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cccn3C)C2)C1 ZINC001072477454 854394510 /nfs/dbraw/zinc/39/45/10/854394510.db2.gz NPXXJWDBVWCULP-UHFFFAOYSA-N 0 1 257.337 0.806 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cnn(C)c3)C2)C1 ZINC001072532379 854408109 /nfs/dbraw/zinc/40/81/09/854408109.db2.gz ISTHPXRZGMWNHG-UHFFFAOYSA-N 0 1 272.352 0.130 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(C1)CCN([C@@H](C)C(=O)N(C)C)C2 ZINC001072552289 854414647 /nfs/dbraw/zinc/41/46/47/854414647.db2.gz NRCOKHBXXFDLJQ-RYUDHWBXSA-N 0 1 292.383 0.157 20 30 CCEDMN C#CC[N@@H+]1CCC2(CN(C(=O)CC3OCCCO3)C2)C1 ZINC001072662846 854440545 /nfs/dbraw/zinc/44/05/45/854440545.db2.gz BIXIKBJSNHGNTE-UHFFFAOYSA-N 0 1 278.352 0.307 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CC3OCCCO3)C2)C1 ZINC001072662846 854440547 /nfs/dbraw/zinc/44/05/47/854440547.db2.gz BIXIKBJSNHGNTE-UHFFFAOYSA-N 0 1 278.352 0.307 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)[C@@H]3CCC(=O)N3)C2)C1 ZINC001073005870 854514390 /nfs/dbraw/zinc/51/43/90/854514390.db2.gz IEXQPHFGPXOFMH-STQMWFEESA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(C)nc3Cl)C2)C1 ZINC001073119512 854533417 /nfs/dbraw/zinc/53/34/17/854533417.db2.gz SPIJHFWCZFUZEA-UHFFFAOYSA-N 0 1 292.770 0.855 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cn3ccccc3=O)C2)C1 ZINC001073416880 854555448 /nfs/dbraw/zinc/55/54/48/854555448.db2.gz ZZNQHWOMLOJOQX-UHFFFAOYSA-N 0 1 285.347 0.016 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCc3cnn(C)c3)C2)C1 ZINC001073455399 854559961 /nfs/dbraw/zinc/55/99/61/854559961.db2.gz HEOWBBKYBUXWHN-UHFFFAOYSA-N 0 1 286.379 0.520 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCc2ccnn2C)C1 ZINC001276388165 854562225 /nfs/dbraw/zinc/56/22/25/854562225.db2.gz DNVJINYMPWDZLN-CYBMUJFWSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2cnn(C)c2)C1 ZINC001073530588 854578034 /nfs/dbraw/zinc/57/80/34/854578034.db2.gz VGUKBVUGNRNWKY-CQSZACIVSA-N 0 1 290.367 0.264 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cc(C)no2)C1 ZINC001073528177 854578666 /nfs/dbraw/zinc/57/86/66/854578666.db2.gz FKDKLAGQYKWHQD-LBPRGKRZSA-N 0 1 279.340 0.990 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001073649088 854625384 /nfs/dbraw/zinc/62/53/84/854625384.db2.gz LQTGUGRFQKINGI-NSHDSACASA-N 0 1 294.355 0.430 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cn(CC)cn2)C1 ZINC001073859568 854655963 /nfs/dbraw/zinc/65/59/63/854655963.db2.gz NUWZXKYMBYBOCC-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCCN1CCO[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001074181438 854689037 /nfs/dbraw/zinc/68/90/37/854689037.db2.gz UZSSTSGDAJWOMA-KGLIPLIRSA-N 0 1 288.351 0.348 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)c3[nH]nnc3C)C[C@@H]21 ZINC001074311700 854709892 /nfs/dbraw/zinc/70/98/92/854709892.db2.gz ATFDYVNEHRYOJN-NWDGAFQWSA-N 0 1 291.355 0.214 20 30 CCEDMN C#CCN1CCO[C@H]2CCN(C(=O)CN3CCCC3)C[C@@H]21 ZINC001074405054 854726348 /nfs/dbraw/zinc/72/63/48/854726348.db2.gz LLODSNFDMJVKBK-GJZGRUSLSA-N 0 1 291.395 0.017 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3[nH]nnc3c1)C2 ZINC001098375212 854860177 /nfs/dbraw/zinc/86/01/77/854860177.db2.gz IXZDYKPUMMLCKT-HONMWMINSA-N 0 1 296.334 0.817 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1ccnc1)C2 ZINC001098491118 854869429 /nfs/dbraw/zinc/86/94/29/854869429.db2.gz ZLIIFJVYLCNJQV-UPJWGTAASA-N 0 1 260.341 0.791 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC(N)=O ZINC001098993015 854904198 /nfs/dbraw/zinc/90/41/98/854904198.db2.gz KGJLLLPQLPCEKZ-NSHDSACASA-N 0 1 265.357 0.102 20 30 CCEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCOCC)C2 ZINC001111468487 854920547 /nfs/dbraw/zinc/92/05/47/854920547.db2.gz BSVHECXVBYGKSZ-KFWWJZLASA-N 0 1 294.395 0.784 20 30 CCEDMN C[C@@H](CCNC(=O)c1ncn[nH]1)Nc1ccc(C#N)nc1 ZINC001099381565 854930352 /nfs/dbraw/zinc/93/03/52/854930352.db2.gz CRBHNGZBTBOIHT-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@@H](CCNC(=O)c1nc[nH]n1)Nc1ccc(C#N)nc1 ZINC001099381565 854930355 /nfs/dbraw/zinc/93/03/55/854930355.db2.gz CRBHNGZBTBOIHT-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCCOC)[C@@H](O)C1 ZINC001099735522 854980363 /nfs/dbraw/zinc/98/03/63/854980363.db2.gz HJSDNKYLMNTJBC-KBPBESRZSA-N 0 1 282.384 0.378 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2ccoc2)[C@H](O)C1 ZINC001099777751 854991711 /nfs/dbraw/zinc/99/17/11/854991711.db2.gz BRMTXRSATBXTKS-LSDHHAIUSA-N 0 1 290.363 0.787 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C(C)=C\C)[C@H](O)C1 ZINC001099779207 854994248 /nfs/dbraw/zinc/99/42/48/854994248.db2.gz XVCFEIVIBGGSRI-DBUDNELGSA-N 0 1 250.342 0.527 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001099807883 854998758 /nfs/dbraw/zinc/99/87/58/854998758.db2.gz XGVHXHQMCASMRO-KGLIPLIRSA-N 0 1 294.395 0.520 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001099807883 854998764 /nfs/dbraw/zinc/99/87/64/854998764.db2.gz XGVHXHQMCASMRO-KGLIPLIRSA-N 0 1 294.395 0.520 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001099807050 854998893 /nfs/dbraw/zinc/99/88/93/854998893.db2.gz KBRYVBNRAKBWAA-KBPBESRZSA-N 0 1 294.395 0.520 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001099820886 855003889 /nfs/dbraw/zinc/00/38/89/855003889.db2.gz MIIQKFUXRPDNGF-KBPBESRZSA-N 0 1 264.369 0.773 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001099826645 855005431 /nfs/dbraw/zinc/00/54/31/855005431.db2.gz OEFAINGMLCHUOG-GJZGRUSLSA-N 0 1 292.379 0.154 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCC(F)F)[C@H](O)C1 ZINC001099934979 855037420 /nfs/dbraw/zinc/03/74/20/855037420.db2.gz HEIIBQZUBYDTBV-NXEZZACHSA-N 0 1 278.299 0.006 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCOC(C)C)[C@@H](O)C1 ZINC001100138299 855106714 /nfs/dbraw/zinc/10/67/14/855106714.db2.gz HDBAAJLVBWIWMU-KBPBESRZSA-N 0 1 284.400 0.929 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)Cn2cncn2)[C@H](C)C1 ZINC001102558576 855445361 /nfs/dbraw/zinc/44/53/61/855445361.db2.gz AFCVEWCABQIKER-ZYHUDNBSSA-N 0 1 297.790 0.715 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)CN1CCCC1 ZINC001102579755 855447882 /nfs/dbraw/zinc/44/78/82/855447882.db2.gz OAUXFAOLODUPCC-CHWSQXEVSA-N 0 1 264.373 0.290 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001102701772 855464980 /nfs/dbraw/zinc/46/49/80/855464980.db2.gz HZXVLDNYFWRJMK-ZJUUUORDSA-N 0 1 299.338 0.550 20 30 CCEDMN CC[C@@H](CNC(=O)c1ncn[nH]1)Nc1ncccc1C#N ZINC001103170826 855501342 /nfs/dbraw/zinc/50/13/42/855501342.db2.gz PMESAQZFEJRAPC-JTQLQIEISA-N 0 1 285.311 0.692 20 30 CCEDMN CC[C@@H](CNC(=O)c1nc[nH]n1)Nc1ncccc1C#N ZINC001103170826 855501348 /nfs/dbraw/zinc/50/13/48/855501348.db2.gz PMESAQZFEJRAPC-JTQLQIEISA-N 0 1 285.311 0.692 20 30 CCEDMN CC[C@@H](CNC(=O)Cc1cnc[nH]1)Nc1ccc(C#N)nn1 ZINC001103334703 855512913 /nfs/dbraw/zinc/51/29/13/855512913.db2.gz KJTLALIUPOJRLE-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@@H]1C[N@@H+](CC#N)C[C@H]1CCNC(=O)c1nnc[n-]1 ZINC001103551694 855523379 /nfs/dbraw/zinc/52/33/79/855523379.db2.gz JAQSXQSMAUSBAA-NXEZZACHSA-N 0 1 262.317 0.016 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)OCCOCC ZINC001115288890 855658550 /nfs/dbraw/zinc/65/85/50/855658550.db2.gz ZXORSNSPCQNVRI-MQYQWHSLSA-N 0 1 280.368 0.108 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCCOCC ZINC001115309813 855660644 /nfs/dbraw/zinc/66/06/44/855660644.db2.gz UFVVZYMNJLDPQZ-NHAGDIPZSA-N 0 1 280.368 0.109 20 30 CCEDMN CN(C)C1CN(C(=O)COc2cccc(C#N)c2)C1 ZINC001116422826 855763378 /nfs/dbraw/zinc/76/33/78/855763378.db2.gz JYOLRRZYABVSBZ-UHFFFAOYSA-N 0 1 259.309 0.709 20 30 CCEDMN Cn1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1C(F)F ZINC001118264980 856231550 /nfs/dbraw/zinc/23/15/50/856231550.db2.gz QCCWCRLLYGOEOV-XPUUQOCRSA-N 0 1 269.255 0.199 20 30 CCEDMN CCN(C(=O)C(=O)N[C@@H]1CC[C@H](C#N)C1)[C@H]1CCN(C)C1 ZINC001118723127 856412280 /nfs/dbraw/zinc/41/22/80/856412280.db2.gz ZCHRSOHQVMEFNC-XQQFMLRXSA-N 0 1 292.383 0.347 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)o1 ZINC001118947040 856503020 /nfs/dbraw/zinc/50/30/20/856503020.db2.gz BCWBFUYBOVABBU-QMMMGPOBSA-N 0 1 257.253 0.899 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CC=C)C(=O)[O-] ZINC001119580378 856753445 /nfs/dbraw/zinc/75/34/45/856753445.db2.gz MBQMKDPLDSLECD-MNOVXSKESA-N 0 1 250.298 0.230 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CC=C)C(=O)[O-] ZINC001119580378 856753446 /nfs/dbraw/zinc/75/34/46/856753446.db2.gz MBQMKDPLDSLECD-MNOVXSKESA-N 0 1 250.298 0.230 20 30 CCEDMN Cc1cc(CNC(=O)N2CCO[C@](C)(C#N)C2)n[nH]1 ZINC001120035610 856966306 /nfs/dbraw/zinc/96/63/06/856966306.db2.gz YGXNQKHHVZKLCI-GFCCVEGCSA-N 0 1 263.301 0.542 20 30 CCEDMN Cc1cccc(NC(=O)C(=O)N[C@H]2CCN(C)C2)c1C#N ZINC001336930218 921110453 /nfs/dbraw/zinc/11/04/53/921110453.db2.gz XGZUPZIZJQHSMC-NSHDSACASA-N 0 1 286.335 0.626 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)[C@H](COC)OC ZINC001323301609 912256821 /nfs/dbraw/zinc/25/68/21/912256821.db2.gz JITZWSVCSMFKMW-RYUDHWBXSA-N 0 1 256.346 0.414 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001323372287 912301705 /nfs/dbraw/zinc/30/17/05/912301705.db2.gz UHJYRZKCVRCJCH-BBRMVZONSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@@H]2CC2(F)F)CC1 ZINC001323438028 912345670 /nfs/dbraw/zinc/34/56/70/912345670.db2.gz QYLRMXYRLJMIQE-VIFPVBQESA-N 0 1 287.310 0.182 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCC1(N[C@H]2CCNC2=O)CC1 ZINC001323518827 912386313 /nfs/dbraw/zinc/38/63/13/912386313.db2.gz KRFXHSKYKCEYRU-RYUDHWBXSA-N 0 1 279.384 0.716 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnn2ccc(C)nc12 ZINC001492819088 912511298 /nfs/dbraw/zinc/51/12/98/912511298.db2.gz MFNTZWCCQOWAJB-UHFFFAOYSA-N 0 1 285.351 0.723 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)CCn2ccnc2)C1 ZINC001323958062 912602983 /nfs/dbraw/zinc/60/29/83/912602983.db2.gz MRYCKQUKAQZVEZ-UHFFFAOYSA-N 0 1 262.357 0.992 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2CCNC2=O)C1 ZINC001323965119 912605606 /nfs/dbraw/zinc/60/56/06/912605606.db2.gz YYTVIMCBHCJPBP-LBPRGKRZSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)CCCC(=O)N(C)C)C1 ZINC001324005602 912622947 /nfs/dbraw/zinc/62/29/47/912622947.db2.gz YYWCTKABGVTWDW-UHFFFAOYSA-N 0 1 281.400 0.964 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCN(CC(=O)N(C)C)CC1 ZINC001393601825 912669974 /nfs/dbraw/zinc/66/99/74/912669974.db2.gz OUTBJLDEUZTMSG-NWDGAFQWSA-N 0 1 280.372 0.205 20 30 CCEDMN CCN(C)C(=O)CN(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001393613374 912673918 /nfs/dbraw/zinc/67/39/18/912673918.db2.gz NOOPXAMCSYIFAX-LBPRGKRZSA-N 0 1 282.388 0.405 20 30 CCEDMN CCCn1nnnc1CN[C@@H](C)CCNC(=O)[C@@H](C)C#N ZINC001393796080 912766691 /nfs/dbraw/zinc/76/66/91/912766691.db2.gz CLVRAHLYNQRQMX-QWRGUYRKSA-N 0 1 293.375 0.227 20 30 CCEDMN C#CCN(CC1CC1)[C@H](C)C(=O)NC(=O)NCC ZINC001324381035 912813044 /nfs/dbraw/zinc/81/30/44/912813044.db2.gz BKHJJYXFHRWAPD-SNVBAGLBSA-N 0 1 251.330 0.566 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(=O)n(C)n1 ZINC001394005431 912914046 /nfs/dbraw/zinc/91/40/46/912914046.db2.gz ZBTJNQPXHBTGLR-ZJUUUORDSA-N 0 1 298.774 0.629 20 30 CCEDMN COCC#CCN1CC[C@@](C)(NC(=O)CCCOC)C1 ZINC001324586911 912923376 /nfs/dbraw/zinc/92/33/76/912923376.db2.gz FGVCOUQWTDNSDM-OAHLLOKOSA-N 0 1 282.384 0.643 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)COCC(F)F)C1 ZINC001324633401 912956362 /nfs/dbraw/zinc/95/63/62/912956362.db2.gz SRXKVDHIMNBOTQ-GFCCVEGCSA-N 0 1 260.284 0.482 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CC[C@@]2(C)CCC(=O)N2)C1 ZINC001324681968 912982492 /nfs/dbraw/zinc/98/24/92/912982492.db2.gz YXXTWPSPIIWTJX-CVEARBPZSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CC[NH+]1CCC(C(=O)N2CCc3nc[nH]c3C2)CC1 ZINC001325022044 913154882 /nfs/dbraw/zinc/15/48/82/913154882.db2.gz AEUGMLFLUZUASE-UHFFFAOYSA-N 0 1 272.352 0.640 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccc(C)n2C)C1 ZINC001325069979 913185717 /nfs/dbraw/zinc/18/57/17/913185717.db2.gz VHTIRTCZGHZGRU-OAHLLOKOSA-N 0 1 275.352 0.133 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001325116116 913224938 /nfs/dbraw/zinc/22/49/38/913224938.db2.gz ZLRDDVOXOFYSLZ-YQQAZPJKSA-N 0 1 290.407 0.999 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)C2CCOCC2)C1 ZINC001325168662 913256181 /nfs/dbraw/zinc/25/61/81/913256181.db2.gz KSRBEEJWNUKRHQ-ZDUSSCGKSA-N 0 1 296.411 0.931 20 30 CCEDMN CC[C@@H](C)CN1CC(O)(CNC(=O)[C@@H](C)C#N)C1 ZINC001325188670 913267076 /nfs/dbraw/zinc/26/70/76/913267076.db2.gz DAQFQJCYTOEVBD-MNOVXSKESA-N 0 1 253.346 0.355 20 30 CCEDMN Cc1ncsc1CN[C@@H](CO)CNC(=O)[C@H](C)C#N ZINC001394577488 913286359 /nfs/dbraw/zinc/28/63/59/913286359.db2.gz JSUOIKAAULYRQP-PSASIEDQSA-N 0 1 282.369 0.178 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](CNCc2nncn2C)C1 ZINC001325491345 913433076 /nfs/dbraw/zinc/43/30/76/913433076.db2.gz CLRYPOZWIYJGPU-OLZOCXBDSA-N 0 1 291.399 0.965 20 30 CCEDMN CC#CCCNC(=O)C(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC001325494177 913433880 /nfs/dbraw/zinc/43/38/80/913433880.db2.gz CYASWSDMQHMWEZ-YPMHNXCESA-N 0 1 277.368 0.115 20 30 CCEDMN C[C@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)C[C@@H]1CNCC#N ZINC001325510518 913445904 /nfs/dbraw/zinc/44/59/04/913445904.db2.gz WLXXSWRTVPALPI-QWRGUYRKSA-N 0 1 298.350 0.779 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(C2CCOCC2)CC1 ZINC001325620230 913495822 /nfs/dbraw/zinc/49/58/22/913495822.db2.gz SOFPMBHEYRPEIB-CYBMUJFWSA-N 0 1 297.399 0.694 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC001325627551 913498029 /nfs/dbraw/zinc/49/80/29/913498029.db2.gz NMOINSRUTRRLEI-NEPJUHHUSA-N 0 1 279.384 0.810 20 30 CCEDMN C[C@]1(C(=O)NCCN2CCC(NCC#N)CC2)CCOC1 ZINC001325806089 913582403 /nfs/dbraw/zinc/58/24/03/913582403.db2.gz UZWCWZVEVALDHE-HNNXBMFYSA-N 0 1 294.399 0.107 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)[C@H](C)C#N)C1 ZINC001325818299 913591828 /nfs/dbraw/zinc/59/18/28/913591828.db2.gz ILAQKYBAQWBFGI-OCCSQVGLSA-N 0 1 265.357 0.665 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)c2cnsn2)C1 ZINC001325820419 913590422 /nfs/dbraw/zinc/59/04/22/913590422.db2.gz SABRDXFLKYJWPH-ZDUSSCGKSA-N 0 1 294.380 0.118 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)c2cccnn2)C1 ZINC001325860647 913614696 /nfs/dbraw/zinc/61/46/96/913614696.db2.gz OBEZSMRKVBOQSU-OAHLLOKOSA-N 0 1 288.351 0.057 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1n[nH]c2c1CCCC2 ZINC001480985688 892013488 /nfs/dbraw/zinc/01/34/88/892013488.db2.gz QRLCRVYCODDLQA-UHFFFAOYSA-N 0 1 274.368 0.973 20 30 CCEDMN CC(C)[C@@H](CCNC(=O)c1cnn[nH]1)NC(=O)[C@@H](C)C#N ZINC001395467761 913621092 /nfs/dbraw/zinc/62/10/92/913621092.db2.gz BDMDZFUUOQDXKS-VHSXEESVSA-N 0 1 292.343 0.225 20 30 CCEDMN COc1cccc(CN[C@H](C)CNC(=O)[C@H](C)C#N)n1 ZINC001419720247 892065638 /nfs/dbraw/zinc/06/56/38/892065638.db2.gz NCAPWRQPGABYQN-GHMZBOCLSA-N 0 1 276.340 0.844 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCC(=O)NCC ZINC001481031471 892092066 /nfs/dbraw/zinc/09/20/66/892092066.db2.gz XMLHSWUWOKYXCI-GFCCVEGCSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CNC(=O)CC(C)(C)C ZINC001481070688 892129436 /nfs/dbraw/zinc/12/94/36/892129436.db2.gz IDMNABMGLUEHHL-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)[C@H](C)CCC)C1 ZINC001481082291 892141290 /nfs/dbraw/zinc/14/12/90/892141290.db2.gz CNYAAQYTRPQFAK-KGLIPLIRSA-N 0 1 293.411 0.610 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN(CC(=O)NC(C)C)C1 ZINC001481083642 892146467 /nfs/dbraw/zinc/14/64/67/892146467.db2.gz OBFVQPGHWBSGOM-ZDUSSCGKSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)Cc2ncccc2OC)C1 ZINC001481108382 892175890 /nfs/dbraw/zinc/17/58/90/892175890.db2.gz VVYORCVBTOKJGT-ZDUSSCGKSA-N 0 1 287.363 0.704 20 30 CCEDMN CCCN(CCNC(=O)C#CC(C)(C)C)[C@@H](C)C(N)=O ZINC001481135556 892212219 /nfs/dbraw/zinc/21/22/19/892212219.db2.gz RWIBBDCHNSLWLF-LBPRGKRZSA-N 0 1 281.400 0.738 20 30 CCEDMN C#CCN(CCNC(=O)[C@H](OCC)[C@H]1CCOC1)C1CC1 ZINC001481180073 892278014 /nfs/dbraw/zinc/27/80/14/892278014.db2.gz LMRVCHHPXZYAEL-DZGCQCFKSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN(CCNC(=O)c1cncc(OC)n1)C1CC1 ZINC001481189356 892282954 /nfs/dbraw/zinc/28/29/54/892282954.db2.gz KCLQWJHNZPMOII-UHFFFAOYSA-N 0 1 274.324 0.313 20 30 CCEDMN CCn1nncc1CNCCN(C)C(=O)C#CC(C)C ZINC001481228156 892396519 /nfs/dbraw/zinc/39/65/19/892396519.db2.gz SUCNEURLECOBEP-UHFFFAOYSA-N 0 1 277.372 0.505 20 30 CCEDMN C#CCN1CC[C@H](N(CCC)C(=O)C[C@H](C)NC(N)=O)C1 ZINC001481399649 892613601 /nfs/dbraw/zinc/61/36/01/892613601.db2.gz MRNIREHTZRDLFK-STQMWFEESA-N 0 1 294.399 0.379 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](CN(C)CC(=O)NC)C1 ZINC001481478742 892725162 /nfs/dbraw/zinc/72/51/62/892725162.db2.gz AYQDTULDSFOMQL-GFCCVEGCSA-N 0 1 267.373 0.479 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](CN(C)CC#N)C2)[nH]1 ZINC001481480134 892725774 /nfs/dbraw/zinc/72/57/74/892725774.db2.gz QHDZJTHGOVJFGQ-LLVKDONJSA-N 0 1 261.329 0.636 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](CN(C)CC#N)C2)[nH]1 ZINC001481480135 892725970 /nfs/dbraw/zinc/72/59/70/892725970.db2.gz QHDZJTHGOVJFGQ-NSHDSACASA-N 0 1 261.329 0.636 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@H]1c1c(C)n[nH]c1C ZINC001343626788 892946160 /nfs/dbraw/zinc/94/61/60/892946160.db2.gz XDZNIWFRLIGICK-LBPRGKRZSA-N 0 1 276.340 0.149 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2ncccn2)C1 ZINC001481694346 893016435 /nfs/dbraw/zinc/01/64/35/893016435.db2.gz KMJSUJSHEYWXDX-ZDUSSCGKSA-N 0 1 272.352 0.623 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CNC(=O)c2ccccc2)C1 ZINC001481695441 893027327 /nfs/dbraw/zinc/02/73/27/893027327.db2.gz JJGOGIXNNSULAR-HNNXBMFYSA-N 0 1 299.374 0.630 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCCOCC=C)C1 ZINC001481700777 893038408 /nfs/dbraw/zinc/03/84/08/893038408.db2.gz TYCFJFUPHCMIBN-CYBMUJFWSA-N 0 1 250.342 0.793 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCC(=O)NC)C1 ZINC001481700483 893040396 /nfs/dbraw/zinc/04/03/96/893040396.db2.gz JFHMLVWPRDDSRK-GFCCVEGCSA-N 0 1 265.357 0.117 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](C)NC(=O)c2ccoc2)C1 ZINC001481702770 893044424 /nfs/dbraw/zinc/04/44/24/893044424.db2.gz XANSJBGYYYGZMZ-DGCLKSJQSA-N 0 1 291.351 0.774 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)Cn2ccnc2)C1 ZINC001481780928 893150035 /nfs/dbraw/zinc/15/00/35/893150035.db2.gz QIQWRKOYEOVRSO-ZDUSSCGKSA-N 0 1 260.341 0.439 20 30 CCEDMN C[C@H](CNCc1ncnn1CCF)CNC(=O)[C@@H](C)C#N ZINC001482091667 893391813 /nfs/dbraw/zinc/39/18/13/893391813.db2.gz VXIZRAKCIIUQTK-MNOVXSKESA-N 0 1 296.350 0.249 20 30 CCEDMN N#CCC[C@H](C#N)CNC[C@@H](O)C1CCOCC1 ZINC001326230695 913833926 /nfs/dbraw/zinc/83/39/26/913833926.db2.gz DMGFYQHAWQKTBZ-DGCLKSJQSA-N 0 1 251.330 0.807 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)Cc1cnn(C)c1 ZINC001482584962 894289641 /nfs/dbraw/zinc/28/96/41/894289641.db2.gz SSHHZEHJIBPTMT-UHFFFAOYSA-N 0 1 292.383 0.003 20 30 CCEDMN CCc1nc(C)c(CNC[C@@H](O)CNC(=O)[C@H](C)C#N)o1 ZINC001482716353 894513243 /nfs/dbraw/zinc/51/32/43/894513243.db2.gz MXFNDEIECMZYEG-MWLCHTKSSA-N 0 1 294.355 0.272 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc(C2CC2)no1 ZINC001482772045 894589129 /nfs/dbraw/zinc/58/91/29/894589129.db2.gz BXBROCNFBDSHLU-JTQLQIEISA-N 0 1 299.758 0.985 20 30 CCEDMN N#CCNC(=O)CNC/C=C\CNC(=O)C[C@H]1C=CCC1 ZINC001482980609 894752839 /nfs/dbraw/zinc/75/28/39/894752839.db2.gz GJRXSCUQRMFKFO-SCOBNMCVSA-N 0 1 290.367 0.244 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cnc[nH]c1=O ZINC001483022614 894808910 /nfs/dbraw/zinc/80/89/10/894808910.db2.gz DMEVAEPXKCJROG-IHWYPQMZSA-N 0 1 282.731 0.810 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C/CN[C@H]1CCNC1=O ZINC001483043919 894830270 /nfs/dbraw/zinc/83/02/70/894830270.db2.gz MGMMFVUVMHTVIQ-QRGHLMKCSA-N 0 1 265.357 0.349 20 30 CCEDMN COc1nccc(CNC[C@@H](C)NC(=O)[C@H](C)C#N)n1 ZINC001483116684 894939793 /nfs/dbraw/zinc/93/97/93/894939793.db2.gz MPRUPHZQQQEWGF-NXEZZACHSA-N 0 1 277.328 0.239 20 30 CCEDMN C#CCCCC(=O)N(C)CCNCc1ccc(OC)nn1 ZINC001493525956 894965571 /nfs/dbraw/zinc/96/55/71/894965571.db2.gz DNSVYIVYSSKZTN-UHFFFAOYSA-N 0 1 290.367 0.837 20 30 CCEDMN C[C@@H](CNCc1nccn1C)NC(=O)c1c[nH]c(C#N)c1 ZINC001483220550 895129637 /nfs/dbraw/zinc/12/96/37/895129637.db2.gz GKNYIKNSKPXUAO-JTQLQIEISA-N 0 1 286.339 0.528 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1nn(C)cc1C ZINC001483338441 895477040 /nfs/dbraw/zinc/47/70/40/895477040.db2.gz XVWPDULMHNOMMK-ZDUSSCGKSA-N 0 1 292.383 0.428 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccn(CC(F)F)n1 ZINC001483350740 895489283 /nfs/dbraw/zinc/48/92/83/895489283.db2.gz HPXLPSJOPQNSOG-JTQLQIEISA-N 0 1 284.310 0.832 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1c(C)nn(C)c1OC ZINC001483388360 895528811 /nfs/dbraw/zinc/52/88/11/895528811.db2.gz FEOFYYLYZVZTTF-SNVBAGLBSA-N 0 1 278.356 0.420 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnc(OC)nc1 ZINC001483401092 895540783 /nfs/dbraw/zinc/54/07/83/895540783.db2.gz GRDPEARGKLCQDG-SNVBAGLBSA-N 0 1 262.313 0.169 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@@H]1CCNC1=O ZINC001483655166 895801453 /nfs/dbraw/zinc/80/14/53/895801453.db2.gz LVUFGBGWWSFWHL-NWDGAFQWSA-N 0 1 265.357 0.279 20 30 CCEDMN CCN(CC(=O)N1CCC(C#N)(C(=O)OC)CC1)C1CC1 ZINC001433988512 895846725 /nfs/dbraw/zinc/84/67/25/895846725.db2.gz SAHOLEFRUXYDRB-UHFFFAOYSA-N 0 1 293.367 0.776 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)C2CC=CC2)CC1 ZINC001483725913 895921218 /nfs/dbraw/zinc/92/12/18/895921218.db2.gz XNWQURVWWKZQCG-LBPRGKRZSA-N 0 1 289.379 0.329 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)Cn2cc(C)cn2)C1 ZINC001484032996 896156249 /nfs/dbraw/zinc/15/62/49/896156249.db2.gz TXKVNTAUMGAKCA-HNNXBMFYSA-N 0 1 274.368 0.795 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cc2cnccc2OC)C1 ZINC001484048877 896175670 /nfs/dbraw/zinc/17/56/70/896175670.db2.gz HNEJZEMIXNDZLI-MRXNPFEDSA-N 0 1 287.363 0.847 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCOCCOC)C1 ZINC001484072701 896182809 /nfs/dbraw/zinc/18/28/09/896182809.db2.gz AGWCBGSMNGOCCL-AWEZNQCLSA-N 0 1 268.357 0.253 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C(C)=C2CCC2)C1 ZINC001484219573 896257709 /nfs/dbraw/zinc/25/77/09/896257709.db2.gz YILWJJCOCYCTKJ-HNNXBMFYSA-N 0 1 262.353 0.673 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)Cc2ccc[nH]2)C1 ZINC001484250173 896283646 /nfs/dbraw/zinc/28/36/46/896283646.db2.gz CNTBSKPSJNAANF-HNNXBMFYSA-N 0 1 275.352 0.134 20 30 CCEDMN CN(CC#N)Cc1ccccc1CNC(=O)Cc1nnc[nH]1 ZINC001484557711 896470716 /nfs/dbraw/zinc/47/07/16/896470716.db2.gz RBYDNFWJQXRGNX-UHFFFAOYSA-N 0 1 298.350 0.619 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@@H]1CCNC1=O)CC2 ZINC001484576911 896478963 /nfs/dbraw/zinc/47/89/63/896478963.db2.gz OCRDEEVRWMXGHN-CYBMUJFWSA-N 0 1 291.395 0.766 20 30 CCEDMN CCc1cc(C(=O)N2CCC[C@@](CO)(NCC#N)C2)n[nH]1 ZINC001484832475 896624055 /nfs/dbraw/zinc/62/40/55/896624055.db2.gz KAARIOSSLBFGJK-CQSZACIVSA-N 0 1 291.355 0.052 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN([C@@H](C)C(N)=O)CC1(C)C ZINC001484935557 896671731 /nfs/dbraw/zinc/67/17/31/896671731.db2.gz WBFKCNMJJFVICE-QWHCGFSZSA-N 0 1 293.411 0.880 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)CN(C)C2CCC2)CCN1CC#N ZINC001484976527 896692232 /nfs/dbraw/zinc/69/22/32/896692232.db2.gz CRLWXODWWLBHCB-OLZOCXBDSA-N 0 1 278.400 0.963 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C2=COCCC2)CC1 ZINC001485016061 896720684 /nfs/dbraw/zinc/72/06/84/896720684.db2.gz WTGHYEQWWLXTEV-UHFFFAOYSA-N 0 1 280.368 0.810 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cncnc1C ZINC001485049182 896730420 /nfs/dbraw/zinc/73/04/20/896730420.db2.gz SKYYSTIBYVTZEQ-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H](COC)OC ZINC001485069699 896747338 /nfs/dbraw/zinc/74/73/38/896747338.db2.gz RBIXRRHGCXXTRD-KGLIPLIRSA-N 0 1 282.384 0.594 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)c1ccc(C(N)=O)cc1 ZINC001485075726 896754437 /nfs/dbraw/zinc/75/44/37/896754437.db2.gz HEYRQOQNQCDREG-OAHLLOKOSA-N 0 1 299.374 0.955 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccc(C(N)=O)cc1 ZINC001485075726 896754443 /nfs/dbraw/zinc/75/44/43/896754443.db2.gz HEYRQOQNQCDREG-OAHLLOKOSA-N 0 1 299.374 0.955 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C(=O)NCC1CC1 ZINC001485208593 896868010 /nfs/dbraw/zinc/86/80/10/896868010.db2.gz GSXDSUKVVRPUBY-VHSXEESVSA-N 0 1 287.791 0.748 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cccc(C(N)=O)c1 ZINC001485319998 896946483 /nfs/dbraw/zinc/94/64/83/896946483.db2.gz LSFFHIRLGWVVSV-LBPRGKRZSA-N 0 1 287.363 0.859 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccnc2c1nnn2C ZINC001485343539 896968835 /nfs/dbraw/zinc/96/88/35/896968835.db2.gz GJMPDTZCRUKZMQ-JTQLQIEISA-N 0 1 286.339 0.047 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)Cn2nc(C)cc2C)C1 ZINC001485509782 897094619 /nfs/dbraw/zinc/09/46/19/897094619.db2.gz BGAJGXTULJURPJ-AWEZNQCLSA-N 0 1 288.395 0.960 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)[C@H](CC)[NH+](C)C)C(C)(C)C1 ZINC001485581627 897128494 /nfs/dbraw/zinc/12/84/94/897128494.db2.gz HDHPQCLSVGZPGV-QWHCGFSZSA-N 0 1 265.401 0.786 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H]1[C@H]2CN(CCF)C[C@H]21 ZINC001485626979 897156327 /nfs/dbraw/zinc/15/63/27/897156327.db2.gz CUJPOICVZYUWRO-XYYAHUGASA-N 0 1 270.348 0.843 20 30 CCEDMN CC(C)N1CCO[C@@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107820737 897325792 /nfs/dbraw/zinc/32/57/92/897325792.db2.gz BWKHMMXFEGZDHZ-AAEUAGOBSA-N 0 1 253.346 0.762 20 30 CCEDMN COCCCN1CCO[C@@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107821332 897326927 /nfs/dbraw/zinc/32/69/27/897326927.db2.gz NEVLRJFIZZGASN-JSGCOSHPSA-N 0 1 283.372 0.390 20 30 CCEDMN C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1cncnc1 ZINC001032378588 897363252 /nfs/dbraw/zinc/36/32/52/897363252.db2.gz KMYAWNDFHOLINE-KBPBESRZSA-N 0 1 272.352 0.880 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001077737882 897378946 /nfs/dbraw/zinc/37/89/46/897378946.db2.gz BDUBHVPKJXIOBG-CHWSQXEVSA-N 0 1 291.351 0.447 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nn1 ZINC001032389033 897410973 /nfs/dbraw/zinc/41/09/73/897410973.db2.gz OJQZWTRVSFPQBI-QWRGUYRKSA-N 0 1 261.329 0.290 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2cncnc2)C1 ZINC001107888853 897439939 /nfs/dbraw/zinc/43/99/39/897439939.db2.gz WRLUCUPPFSWDEM-HNNXBMFYSA-N 0 1 290.367 0.873 20 30 CCEDMN C#CCCNCc1nnc2n1CC[C@@H](NC(=O)CC)CC2 ZINC001127909599 897453728 /nfs/dbraw/zinc/45/37/28/897453728.db2.gz BNLHLQDHHDTWIF-LBPRGKRZSA-N 0 1 289.383 0.622 20 30 CCEDMN N#Cc1cncc(NC[C@@H]2CCN(C(=O)c3ccn[nH]3)C2)n1 ZINC001060821449 897529566 /nfs/dbraw/zinc/52/95/66/897529566.db2.gz YPQBDYWNKWOPML-JTQLQIEISA-N 0 1 297.322 0.646 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001032432303 897561058 /nfs/dbraw/zinc/56/10/58/897561058.db2.gz BJTMIHXBFAPUEI-RDBSUJKOSA-N 0 1 291.395 0.716 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2ncccc12 ZINC001032449580 897578747 /nfs/dbraw/zinc/57/87/47/897578747.db2.gz NKVAVWBQVSHNPW-STQMWFEESA-N 0 1 295.346 0.651 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnc[nH]c1=O ZINC001032466746 897603889 /nfs/dbraw/zinc/60/38/89/897603889.db2.gz WFNOVYBDVFOWHG-QWRGUYRKSA-N 0 1 272.308 0.104 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(C(C)C)C[C@H]2O)nc1 ZINC001078032263 898193702 /nfs/dbraw/zinc/19/37/02/898193702.db2.gz FYTWWCYRTPFCPR-ZIAGYGMSSA-N 0 1 273.336 0.246 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)cn2)C1 ZINC001078043692 898197212 /nfs/dbraw/zinc/19/72/12/898197212.db2.gz MDQHWXYTVHXESV-CHWSQXEVSA-N 0 1 293.754 0.533 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001032803705 898391625 /nfs/dbraw/zinc/39/16/25/898391625.db2.gz JYTFCXLEKOZRRK-RDBSUJKOSA-N 0 1 289.379 0.163 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)CCC(C)C ZINC001485971896 898544820 /nfs/dbraw/zinc/54/48/20/898544820.db2.gz DJCYIPMJZNGLLA-ZDUSSCGKSA-N 0 1 270.373 0.139 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@]12CCC[C@H]1OCC2 ZINC001486015432 898588763 /nfs/dbraw/zinc/58/87/63/898588763.db2.gz VUUSTALCNMMEPA-NFAWXSAZSA-N 0 1 280.368 0.036 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1(C(F)F)CCCC1 ZINC001486028030 898617813 /nfs/dbraw/zinc/61/78/13/898617813.db2.gz RXGPBGFVMDYHNL-SNVBAGLBSA-N 0 1 274.311 0.512 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCc1ccc(C)s1 ZINC001486068756 898636227 /nfs/dbraw/zinc/63/62/27/898636227.db2.gz YRAIJQBIHVOBIO-LBPRGKRZSA-N 0 1 280.393 0.689 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)Cc1ccc(C)o1 ZINC001486330196 898793747 /nfs/dbraw/zinc/79/37/47/898793747.db2.gz KBWYSAVEIHXTPY-CQSZACIVSA-N 0 1 292.379 0.905 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C1(COC)CCC1 ZINC001486343023 898820229 /nfs/dbraw/zinc/82/02/29/898820229.db2.gz KGNNRLMHAPSZEN-CQSZACIVSA-N 0 1 296.411 0.578 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C(C)(C)COC ZINC001486342828 898821415 /nfs/dbraw/zinc/82/14/15/898821415.db2.gz IJPPKNADKAKMGY-CYBMUJFWSA-N 0 1 284.400 0.433 20 30 CCEDMN N#Cc1ccc(CN2CC=C(CNC(=O)C(N)=O)CC2)cc1 ZINC001486514942 898920549 /nfs/dbraw/zinc/92/05/49/898920549.db2.gz NIQQYQMPTQJVEM-UHFFFAOYSA-N 0 1 298.346 0.292 20 30 CCEDMN CC#CCCCC(=O)NCC1(N[C@@H](C)C(N)=O)CC1 ZINC001323520107 899444924 /nfs/dbraw/zinc/44/49/24/899444924.db2.gz RJNXIYYTTBXDEU-NSHDSACASA-N 0 1 265.357 0.292 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)N(C)CC(C)(C)C#N ZINC001434772770 899500157 /nfs/dbraw/zinc/50/01/57/899500157.db2.gz LLHIMNYSUOPYLQ-ZDUSSCGKSA-N 0 1 295.431 0.813 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2c[nH]nc2CC)C1 ZINC001494761889 899618669 /nfs/dbraw/zinc/61/86/69/899618669.db2.gz ALJNTUVPDBGDQO-GFCCVEGCSA-N 0 1 292.383 0.979 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1coc(C)n1 ZINC001410999280 899654995 /nfs/dbraw/zinc/65/49/95/899654995.db2.gz YYQXHQJGGDSPNI-SNVBAGLBSA-N 0 1 287.747 0.758 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@@H](N(C)[C@H](CC)C(N)=O)C1 ZINC001191868039 900020620 /nfs/dbraw/zinc/02/06/20/900020620.db2.gz NAPXMKUSXUKXMG-ZIAGYGMSSA-N 0 1 293.411 0.977 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@H](N(C)[C@H](CC)C(N)=O)C1 ZINC001191868038 900020907 /nfs/dbraw/zinc/02/09/07/900020907.db2.gz NAPXMKUSXUKXMG-UONOGXRCSA-N 0 1 293.411 0.977 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)N1CCCN(CCO)CC1 ZINC001196829005 900056411 /nfs/dbraw/zinc/05/64/11/900056411.db2.gz BSOPGFCYSDHWLO-CHWSQXEVSA-N 0 1 254.374 0.971 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCCN(C)C(C)=O)C1 ZINC001193765909 900040638 /nfs/dbraw/zinc/04/06/38/900040638.db2.gz DVPAUZREEAZFGI-OAHLLOKOSA-N 0 1 293.411 0.801 20 30 CCEDMN Cc1[nH]nc(C(=O)N2CC[C@](O)(CC#N)C2)c1[N+](=O)[O-] ZINC001327172014 914405076 /nfs/dbraw/zinc/40/50/76/914405076.db2.gz SZUJXSKNROFSHH-LLVKDONJSA-N 0 1 279.256 0.117 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001196832050 900056587 /nfs/dbraw/zinc/05/65/87/900056587.db2.gz VTKHJFJBXFSFJC-FICVDOATSA-N 0 1 294.395 0.616 20 30 CCEDMN C=CCCC(=O)NC1CC(N(C)C(=O)c2[nH]nnc2C)C1 ZINC001488588196 900320534 /nfs/dbraw/zinc/32/05/34/900320534.db2.gz JWQGYJDKXWAHII-UHFFFAOYSA-N 0 1 291.355 0.799 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1C[C@@H](NCc2cn(C)nn2)C1 ZINC001488730355 900357253 /nfs/dbraw/zinc/35/72/53/900357253.db2.gz QMZVCGHDEQBMBQ-BETUJISGSA-N 0 1 289.383 0.459 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2nc(C)no2)[C@H]1CC ZINC001489126358 900434473 /nfs/dbraw/zinc/43/44/73/900434473.db2.gz FPMXZZNCVYECRE-QWHCGFSZSA-N 0 1 290.367 0.913 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H]1CCN1C(=O)c1ccn[nH]1 ZINC001489780579 900518561 /nfs/dbraw/zinc/51/85/61/900518561.db2.gz DTVFBVNIINECFU-ZJUUUORDSA-N 0 1 275.312 0.242 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]1CN(C)C(=O)Cc1c[nH]cn1 ZINC001489791169 900522731 /nfs/dbraw/zinc/52/27/31/900522731.db2.gz CPJFZYQYJFKEII-ZDUSSCGKSA-N 0 1 290.367 0.978 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C1=CCCC1 ZINC001490175668 900556602 /nfs/dbraw/zinc/55/66/02/900556602.db2.gz IHTKBIQZFOECSZ-CYBMUJFWSA-N 0 1 291.395 0.673 20 30 CCEDMN CN(CCCNC(=O)[C@H]1CCC1(C)C)CC(=O)NCC#N ZINC001490237521 900575599 /nfs/dbraw/zinc/57/55/99/900575599.db2.gz PWLZAXPSBCKTQE-GFCCVEGCSA-N 0 1 294.399 0.500 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCN(CCc2cnn(C)c2)C1 ZINC001490529929 900648469 /nfs/dbraw/zinc/64/84/69/900648469.db2.gz MDGDFQXXXCYOMH-AWEZNQCLSA-N 0 1 292.383 0.356 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001490537216 900650067 /nfs/dbraw/zinc/65/00/67/900650067.db2.gz JHPKXVPFDKRLHE-TZMCWYRMSA-N 0 1 250.342 0.361 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001490537216 900650075 /nfs/dbraw/zinc/65/00/75/900650075.db2.gz JHPKXVPFDKRLHE-TZMCWYRMSA-N 0 1 250.342 0.361 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)CNC(=O)CC(C)(C)C ZINC001327291605 914465829 /nfs/dbraw/zinc/46/58/29/914465829.db2.gz QKHKQDVGEPUNLA-UHFFFAOYSA-N 0 1 295.427 0.952 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](NCc1nccn1C)C1CC1 ZINC001490645683 900677755 /nfs/dbraw/zinc/67/77/55/900677755.db2.gz WAOQBULLURDQEA-ZYHUDNBSSA-N 0 1 275.356 0.564 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)CC(=O)NCC)C1CC1 ZINC001490651557 900693321 /nfs/dbraw/zinc/69/33/21/900693321.db2.gz GRAKCPNVHRMYFF-LLVKDONJSA-N 0 1 287.791 0.750 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCN(C)C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001296176609 900941463 /nfs/dbraw/zinc/94/14/63/900941463.db2.gz CNAQHXZHMIDTPB-GHMZBOCLSA-N 0 1 297.355 0.829 20 30 CCEDMN Cc1ncoc1CNC[C@@H](C)NC(=O)C#CC1CC1 ZINC001321511223 900977595 /nfs/dbraw/zinc/97/75/95/900977595.db2.gz NNEFYRONBLSIEO-SNVBAGLBSA-N 0 1 261.325 0.991 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)Cc1ccccc1CC#N ZINC001411441131 901062004 /nfs/dbraw/zinc/06/20/04/901062004.db2.gz WJLXYCKSFHUTCB-UHFFFAOYSA-N 0 1 298.306 0.412 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCNC(=O)[C@H]1CCCCN1C ZINC001415539494 901271400 /nfs/dbraw/zinc/27/14/00/901271400.db2.gz OEXGECHMORHMPC-NWDGAFQWSA-N 0 1 280.372 0.205 20 30 CCEDMN C#CCN(CC1CC1)[C@@H]1CCCN(CCC(=O)OC)C1=O ZINC001327520345 902192850 /nfs/dbraw/zinc/19/28/50/902192850.db2.gz RSQHUZVUMLGCDU-CQSZACIVSA-N 0 1 292.379 0.886 20 30 CCEDMN CCC(CC)(NC(=O)c1ccc(C#N)[nH]1)c1nn[nH]n1 ZINC001412934541 902375163 /nfs/dbraw/zinc/37/51/63/902375163.db2.gz JKJRIPSSDLNXIP-UHFFFAOYSA-N 0 1 273.300 0.845 20 30 CCEDMN C[C@H]1CN(CCO)CCN1C[C@@H](O)c1ccc(C#N)cc1 ZINC001412994123 902446779 /nfs/dbraw/zinc/44/67/79/902446779.db2.gz JMHOBRJEYTXBNN-XJKSGUPXSA-N 0 1 289.379 0.590 20 30 CCEDMN C=CCCn1cc(CNCCN2C[C@H]3CC[C@@H](C2)O3)nn1 ZINC001327578849 914628479 /nfs/dbraw/zinc/62/84/79/914628479.db2.gz XLDJYNFATJJNLE-GASCZTMLSA-N 0 1 291.399 0.807 20 30 CCEDMN C[C@@]1(C#N)CCN(C(=O)c2[nH]nc3c2CNCC3)C1 ZINC001327581717 914632729 /nfs/dbraw/zinc/63/27/29/914632729.db2.gz BORDHFFERWGPIX-ZDUSSCGKSA-N 0 1 259.313 0.431 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CC[C@@H](C(N)=O)C1)C2 ZINC001096023496 902685649 /nfs/dbraw/zinc/68/56/49/902685649.db2.gz SCZGYBUJLMSFAU-BJJPWKGXSA-N 0 1 291.395 0.796 20 30 CCEDMN C=CCN(C(=O)C(=O)N(C)CC1CN(C)C1)C(C)C ZINC001327646459 914676996 /nfs/dbraw/zinc/67/69/96/914676996.db2.gz PDASCMKYNRLFGL-UHFFFAOYSA-N 0 1 267.373 0.429 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1C[C@H](NCc2nncn2C)C1 ZINC001490889570 903143097 /nfs/dbraw/zinc/14/30/97/903143097.db2.gz XFUFVHOSTVZRDM-HAQNSBGRSA-N 0 1 275.356 0.211 20 30 CCEDMN C=CCOCC(=O)NCCNC(=O)CN1CCC(C)CC1 ZINC001491175413 903420408 /nfs/dbraw/zinc/42/04/08/903420408.db2.gz BKYVPPPZDZBLPC-UHFFFAOYSA-N 0 1 297.399 0.153 20 30 CCEDMN C=CC[N@@H+]1CCCC[C@H]1CNC(=O)Cc1nnc[nH]1 ZINC001491229431 903456151 /nfs/dbraw/zinc/45/61/51/903456151.db2.gz GXYNNEBBQXGXDR-NSHDSACASA-N 0 1 263.345 0.504 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](COC)OC ZINC001329538202 903806874 /nfs/dbraw/zinc/80/68/74/903806874.db2.gz AKPULHGIVOZQHQ-NWDGAFQWSA-N 0 1 256.346 0.108 20 30 CCEDMN C=CCOC[C@@H]([NH2+]Cc1c(C)cnn1C)C(=O)[O-] ZINC001331964195 904035018 /nfs/dbraw/zinc/03/50/18/904035018.db2.gz WATYXJURRKLFIK-SNVBAGLBSA-N 0 1 253.302 0.474 20 30 CCEDMN C[C@@H](C(N)=O)N1CCC[C@H](NC(=O)C#CC(C)(C)C)CC1 ZINC001280733309 904143477 /nfs/dbraw/zinc/14/34/77/904143477.db2.gz NWQRWEMXNFSYOG-STQMWFEESA-N 0 1 293.411 0.880 20 30 CCEDMN C#CCNC(=O)C[N@H+](C)CCCN(C)C(=O)C#CC(C)C ZINC001280805549 904156655 /nfs/dbraw/zinc/15/66/55/904156655.db2.gz MYJZGQXHNOXFBA-UHFFFAOYSA-N 0 1 291.395 0.176 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)C#CC(C)C ZINC001280805549 904156661 /nfs/dbraw/zinc/15/66/61/904156661.db2.gz MYJZGQXHNOXFBA-UHFFFAOYSA-N 0 1 291.395 0.176 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)C[C@H](C)NC(=O)c1cnn[nH]1 ZINC001281034317 904201842 /nfs/dbraw/zinc/20/18/42/904201842.db2.gz IBLGOZZYMTUTQZ-VIFPVBQESA-N 0 1 279.344 0.594 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](NC(C)=O)C[C@H]1C ZINC001281645452 904317303 /nfs/dbraw/zinc/31/73/03/904317303.db2.gz XOVDJPGHORYEJV-PWSUYJOCSA-N 0 1 253.346 0.278 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001281940570 904371073 /nfs/dbraw/zinc/37/10/73/904371073.db2.gz UYWUXTFJFQAABW-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@](C)(O)C1CC1 ZINC001282370794 904461802 /nfs/dbraw/zinc/46/18/02/904461802.db2.gz AEIGVSFKOUZETH-WFASDCNBSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)Cc1cnn2c1CCCC2 ZINC001282401865 904469717 /nfs/dbraw/zinc/46/97/17/904469717.db2.gz KFAOPFFYYNBJBI-ZDUSSCGKSA-N 0 1 288.395 0.832 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H](C)CC(N)=O ZINC001282665508 904514799 /nfs/dbraw/zinc/51/47/99/904514799.db2.gz NIUDTEDWPIVXJD-OLZOCXBDSA-N 0 1 279.384 0.492 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)CCCCC(=O)[O-])CC1 ZINC001332652654 904555314 /nfs/dbraw/zinc/55/53/14/904555314.db2.gz GLRYZMKRTGQHHK-UHFFFAOYSA-N 0 1 266.341 0.799 20 30 CCEDMN C#CCCCCCC(=O)NC[C@@H]1[C@H]2CN(CC(N)=O)C[C@H]21 ZINC001282878662 904560965 /nfs/dbraw/zinc/56/09/65/904560965.db2.gz UMYNZMLRHZRPQZ-ZSOGYDGISA-N 0 1 291.395 0.349 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](C)NC(C)=O)C2)C1 ZINC001282953038 904630549 /nfs/dbraw/zinc/63/05/49/904630549.db2.gz CWQKDJNHFZKZKB-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN Cc1nonc1CNCCC[C@H](C)NC(=O)[C@H](C)C#N ZINC001283146541 904708952 /nfs/dbraw/zinc/70/89/52/904708952.db2.gz RJNFCCJLBMQEOL-ZJUUUORDSA-N 0 1 279.344 0.912 20 30 CCEDMN CC(C)C#CC(=O)NCCCNC(=O)c1[nH]ncc1F ZINC001283240885 904776015 /nfs/dbraw/zinc/77/60/15/904776015.db2.gz ADYIKWLGEJJQRE-UHFFFAOYSA-N 0 1 280.303 0.444 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(C(F)F)nc1 ZINC001283765650 905003321 /nfs/dbraw/zinc/00/33/21/905003321.db2.gz UXBOPJVHKXDWPJ-JTQLQIEISA-N 0 1 283.278 0.333 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](CO)NCC(=O)NC(C)(C)C ZINC001283792616 905017212 /nfs/dbraw/zinc/01/72/12/905017212.db2.gz MLUSWAGLNXSOTK-LLVKDONJSA-N 0 1 299.415 0.180 20 30 CCEDMN CCCC(C)(C)C(=O)NC[C@@H](CO)NCC#CCOC ZINC001283797076 905019225 /nfs/dbraw/zinc/01/92/25/905019225.db2.gz LKDOJOXTBZQJCF-ZDUSSCGKSA-N 0 1 284.400 0.529 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1scnc1C1CC1 ZINC001283814552 905032416 /nfs/dbraw/zinc/03/24/16/905032416.db2.gz YDXGVMXLWIPXHT-NSHDSACASA-N 0 1 293.392 0.724 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C(C)(C)CC(C)C ZINC001283816668 905032469 /nfs/dbraw/zinc/03/24/69/905032469.db2.gz KJCSYRIJWIOYDD-GFCCVEGCSA-N 0 1 254.374 0.759 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H]1CCN(Cc2nccn2C)C1 ZINC001377666570 905080792 /nfs/dbraw/zinc/08/07/92/905080792.db2.gz AWLFKVYUVLWEAL-STQMWFEESA-N 0 1 289.383 0.908 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001284341466 905252474 /nfs/dbraw/zinc/25/24/74/905252474.db2.gz COQJEGWAPGFZTB-NSHDSACASA-N 0 1 276.340 0.377 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H](C)OC ZINC001284387522 905266086 /nfs/dbraw/zinc/26/60/86/905266086.db2.gz MDTYNLBLOIPMOH-UWVGGRQHSA-N 0 1 264.753 0.183 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)C1(C)CC1 ZINC001284419053 905284513 /nfs/dbraw/zinc/28/45/13/905284513.db2.gz YCBVUAAUSKTJKN-SNVBAGLBSA-N 0 1 260.765 0.948 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccncc1 ZINC001284477941 905314502 /nfs/dbraw/zinc/31/45/02/905314502.db2.gz RMWGHASKOCDREW-CQSZACIVSA-N 0 1 275.352 0.470 20 30 CCEDMN C#CCC[N@@H+](C)C[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001284492906 905325636 /nfs/dbraw/zinc/32/56/36/905325636.db2.gz QDVADJCKOFLMSX-GFCCVEGCSA-N 0 1 291.351 0.175 20 30 CCEDMN C#CCC[N@H+](C)C[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001284492906 905325655 /nfs/dbraw/zinc/32/56/55/905325655.db2.gz QDVADJCKOFLMSX-GFCCVEGCSA-N 0 1 291.351 0.175 20 30 CCEDMN Cc1cnc(CNC[C@H](C)CNC(=O)[C@H](C)C#N)nc1 ZINC001378551293 905678845 /nfs/dbraw/zinc/67/88/45/905678845.db2.gz JIJIHOUQKTYQHN-CMPLNLGQSA-N 0 1 275.356 0.787 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CNC(=O)Cc1cc(C)n[nH]1 ZINC001285630360 905699167 /nfs/dbraw/zinc/69/91/67/905699167.db2.gz FHRKSFCEIDJKST-LLVKDONJSA-N 0 1 278.356 0.848 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CN(C)C(=O)c1[nH]nnc1C ZINC001287681389 905945618 /nfs/dbraw/zinc/94/56/18/905945618.db2.gz WWHFOPJDKVFBCC-VIFPVBQESA-N 0 1 279.344 0.656 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)[C@@H]1C ZINC001287876024 905983900 /nfs/dbraw/zinc/98/39/00/905983900.db2.gz MTHZHVUZBJBSQR-WDEREUQCSA-N 0 1 289.339 0.183 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)[C@@H]1C ZINC001287876024 905983905 /nfs/dbraw/zinc/98/39/05/905983905.db2.gz MTHZHVUZBJBSQR-WDEREUQCSA-N 0 1 289.339 0.183 20 30 CCEDMN CC(F)(F)C(=O)NC[C@H](O)CNCc1ccccc1C#N ZINC001379158611 906027678 /nfs/dbraw/zinc/02/76/78/906027678.db2.gz XZDHYQVBHNTJCV-GFCCVEGCSA-N 0 1 297.305 0.780 20 30 CCEDMN N#Cc1ccc(CN[C@H](CO)CNC(=O)CC2CC2)s1 ZINC001379336865 906157516 /nfs/dbraw/zinc/15/75/16/906157516.db2.gz UOMPUHGTTPVXMI-NSHDSACASA-N 0 1 293.392 0.987 20 30 CCEDMN C=C(CO)C(=O)N1CCC[N@@H+](C[C@@H]2CCCO2)CC1 ZINC001292154218 906196968 /nfs/dbraw/zinc/19/69/68/906196968.db2.gz IHTYPUQAHQZJBQ-ZDUSSCGKSA-N 0 1 268.357 0.248 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001294733189 906624674 /nfs/dbraw/zinc/62/46/74/906624674.db2.gz WGDAEKNTMIWAOQ-QMTHXVAHSA-N 0 1 292.339 0.068 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001295025322 906666812 /nfs/dbraw/zinc/66/68/12/906666812.db2.gz GIYDGPHEAVMJGW-KBPBESRZSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCCC(=O)NCC[C@@H](C)NC(=O)Cc1n[nH]c(C)n1 ZINC001295831713 906809884 /nfs/dbraw/zinc/80/98/84/906809884.db2.gz IGGAHBGXVQFFOJ-SNVBAGLBSA-N 0 1 293.371 0.633 20 30 CCEDMN C=C[C@H](COC)NC(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001296765603 906958066 /nfs/dbraw/zinc/95/80/66/906958066.db2.gz MQBOVAOFLBKXGQ-IJLUTSLNSA-N 0 1 256.346 0.149 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CCNC(N)=O)CCC1 ZINC001380895518 906982635 /nfs/dbraw/zinc/98/26/35/906982635.db2.gz GPEDAHDMIGJDDW-UHFFFAOYSA-N 0 1 288.779 0.426 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC1CN(C(=O)c2[nH]nnc2C)C1 ZINC001297124014 907025898 /nfs/dbraw/zinc/02/58/98/907025898.db2.gz JUINGRSAQPBWFS-SNVBAGLBSA-N 0 1 291.355 0.656 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)CNC(=O)CN1CCCC1 ZINC001297744220 907112978 /nfs/dbraw/zinc/11/29/78/907112978.db2.gz JQDOWNSOVBFFOD-CQSZACIVSA-N 0 1 293.411 0.754 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC[C@@H](O)COCC)c1 ZINC001335940804 907123547 /nfs/dbraw/zinc/12/35/47/907123547.db2.gz GOHDRCSZMKLYCT-CQSZACIVSA-N 0 1 276.336 0.593 20 30 CCEDMN N#C[C@@H](CO)NC(=O)c1n[nH]c2ccc(Cl)cc21 ZINC001297880361 907125163 /nfs/dbraw/zinc/12/51/63/907125163.db2.gz MCXQNUCZLHDJIX-ZETCQYMHSA-N 0 1 264.672 0.831 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H](C)CNC(=O)c2ncn[nH]2)C1 ZINC001298871401 907347519 /nfs/dbraw/zinc/34/75/19/907347519.db2.gz RLIMRXPGGIHORU-VIFPVBQESA-N 0 1 277.328 0.396 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H](C)CNC(=O)c2nc[nH]n2)C1 ZINC001298871401 907347537 /nfs/dbraw/zinc/34/75/37/907347537.db2.gz RLIMRXPGGIHORU-VIFPVBQESA-N 0 1 277.328 0.396 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]1CNC(=O)[C@@H]1CCCN1C ZINC001298998128 907366686 /nfs/dbraw/zinc/36/66/86/907366686.db2.gz UQUYHKDVIFJDAZ-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1ccn[nH]1)NC(=O)[C@@H](C)C#N ZINC001381910999 907454128 /nfs/dbraw/zinc/45/41/28/907454128.db2.gz IMMFSFSWFBOQRS-VHSXEESVSA-N 0 1 277.328 0.075 20 30 CCEDMN C[C@@H](CNC(=O)c1[nH]nc2c1CCCCC2)NCC#N ZINC001491673462 907527751 /nfs/dbraw/zinc/52/77/51/907527751.db2.gz ITFTTYKXILTTOY-JTQLQIEISA-N 0 1 275.356 0.910 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CN(C)C(C)=O)[C@@H]1C ZINC001382286489 907662872 /nfs/dbraw/zinc/66/28/72/907662872.db2.gz ZSUCTUYVBGISCO-ZYHUDNBSSA-N 0 1 287.791 0.796 20 30 CCEDMN CCn1cc(CN[C@H](C)CCNC(=O)[C@H](C)C#N)nn1 ZINC001491999128 907667735 /nfs/dbraw/zinc/66/77/35/907667735.db2.gz YMCNCZPVIPFETO-GHMZBOCLSA-N 0 1 278.360 0.442 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCCC(N)=O)[C@@H]1C ZINC001382303927 907675627 /nfs/dbraw/zinc/67/56/27/907675627.db2.gz IMMZJVUHNFDJGP-GHMZBOCLSA-N 0 1 287.791 0.974 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCc1n[nH]c(CO)n1 ZINC001301193085 907958520 /nfs/dbraw/zinc/95/85/20/907958520.db2.gz WSESLZRYMUDWEB-LBPRGKRZSA-N 0 1 268.317 0.142 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCc1nnc(CO)[nH]1 ZINC001301193085 907958526 /nfs/dbraw/zinc/95/85/26/907958526.db2.gz WSESLZRYMUDWEB-LBPRGKRZSA-N 0 1 268.317 0.142 20 30 CCEDMN COc1ccc(C(=O)NCC#CCN(C)C)c(N)c1F ZINC001302295391 908015432 /nfs/dbraw/zinc/01/54/32/908015432.db2.gz MFUNJJBOBQOIGM-UHFFFAOYSA-N 0 1 279.315 0.711 20 30 CCEDMN C#CCC1(O)CCN(C(=O)CCN2CC[C@@H](F)C2)CC1 ZINC001303027494 908059125 /nfs/dbraw/zinc/05/91/25/908059125.db2.gz PWSUWEQVNALPBR-CYBMUJFWSA-N 0 1 282.359 0.797 20 30 CCEDMN COCC#CCN(C)[C@H]1CCCN(C(=O)[C@@H](C)OC)C1 ZINC001317372125 908186255 /nfs/dbraw/zinc/18/62/55/908186255.db2.gz RKEKRMPKKTUVFW-KGLIPLIRSA-N 0 1 282.384 0.594 20 30 CCEDMN CC(=O)c1[nH]c(CCN2CC(O)(CC#N)C2)nc1C ZINC001307711207 908337093 /nfs/dbraw/zinc/33/70/93/908337093.db2.gz RSMHGVFBMXMJHA-UHFFFAOYSA-N 0 1 262.313 0.424 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(Cc2ccnn2C)CC1 ZINC001308161794 908392546 /nfs/dbraw/zinc/39/25/46/908392546.db2.gz UEWPMDSQXWLJEE-OAHLLOKOSA-N 0 1 278.400 0.865 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CC[C@@]2(CCOC2=O)C1 ZINC001308171377 908395397 /nfs/dbraw/zinc/39/53/97/908395397.db2.gz VKDHJBHOBAOIAK-GXFFZTMASA-N 0 1 253.298 0.743 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@@H]1CNC(=O)[C@H](O)C(C)C ZINC001317499375 908543325 /nfs/dbraw/zinc/54/33/25/908543325.db2.gz MXOURROKMRTWSY-ZIAGYGMSSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN([C@@H](C)C(=O)NC)C2)C1 ZINC001317529949 908598336 /nfs/dbraw/zinc/59/83/36/908598336.db2.gz DCBGFBGUDXGFJR-QWHCGFSZSA-N 0 1 293.411 0.915 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001313442385 908669962 /nfs/dbraw/zinc/66/99/62/908669962.db2.gz PXTAFHMALSZMPA-UHFFFAOYSA-N 0 1 276.340 0.906 20 30 CCEDMN CCC(C)(C)NC(=O)CN(C)CCCNC(=O)[C@@H](C)C#N ZINC001316815409 908738890 /nfs/dbraw/zinc/73/88/90/908738890.db2.gz NPGLGWHECWXRBY-LBPRGKRZSA-N 0 1 296.415 0.889 20 30 CCEDMN C=CCCCC(=O)N1C[C@H]2CCN(CC(N)=O)C[C@H]2C1 ZINC001316756441 908762755 /nfs/dbraw/zinc/76/27/55/908762755.db2.gz WNNUVHWNPPOAAU-OLZOCXBDSA-N 0 1 279.384 0.608 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(=O)[C@H](C)C#N)C1=O ZINC001316815120 908781068 /nfs/dbraw/zinc/78/10/68/908781068.db2.gz FYOULUMMVVYBCZ-CHWSQXEVSA-N 0 1 292.383 0.371 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN(C)CC(=O)N1CCCC1 ZINC001316815386 908782391 /nfs/dbraw/zinc/78/23/91/908782391.db2.gz NGBAMICDTLIGOT-GFCCVEGCSA-N 0 1 280.372 0.207 20 30 CCEDMN Cc1nc(CN(C)CCCNC(=O)C#CC(C)C)n[nH]1 ZINC001316822556 908785841 /nfs/dbraw/zinc/78/58/41/908785841.db2.gz RJJXCGBGARLVSF-UHFFFAOYSA-N 0 1 277.372 0.711 20 30 CCEDMN C#CCNC(=O)CN(CC)CCCNC(=O)[C@@H]1CC12CC2 ZINC001316903084 908828400 /nfs/dbraw/zinc/82/84/00/908828400.db2.gz FWBRCCKVLUKJAQ-ZDUSSCGKSA-N 0 1 291.395 0.364 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)[C@@H]1CCCN(CC(N)=O)C1 ZINC001317211007 909047478 /nfs/dbraw/zinc/04/74/78/909047478.db2.gz WFLDGCPTLIWKRB-UONOGXRCSA-N 0 1 293.411 0.882 20 30 CCEDMN Cc1cnc(CN[C@@H](C)CNC(=O)[C@@H](C)C#N)cn1 ZINC001317227588 909060184 /nfs/dbraw/zinc/06/01/84/909060184.db2.gz AUWMPAINUIIZKP-ONGXEEELSA-N 0 1 261.329 0.539 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H]1CCC[N@@H+]1CCOCC ZINC001317498351 909265398 /nfs/dbraw/zinc/26/53/98/909265398.db2.gz HTJGRNKQBPFIKX-HIFRSBDPSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)C(C)(C)NC(C)=O ZINC001317508972 909278085 /nfs/dbraw/zinc/27/80/85/909278085.db2.gz ODSHJWAKDNKQPX-GFCCVEGCSA-N 0 1 265.357 0.115 20 30 CCEDMN CC[C@@H](C(N)=O)N1CC[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001317524061 909292091 /nfs/dbraw/zinc/29/20/91/909292091.db2.gz XUMPCIFZLSKOLU-OLZOCXBDSA-N 0 1 293.411 0.738 20 30 CCEDMN C=CCSCCNC(=O)N1CCNC[C@@H]1COC ZINC001338488645 921481961 /nfs/dbraw/zinc/48/19/61/921481961.db2.gz NPCKAFIASPGUAR-LLVKDONJSA-N 0 1 273.402 0.535 20 30 CCEDMN CCCN(CC#N)CCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001317540964 909302895 /nfs/dbraw/zinc/30/28/95/909302895.db2.gz RZFHJOIKKUVROB-NXEZZACHSA-N 0 1 254.290 0.003 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)c1cnsn1 ZINC001317540069 909303616 /nfs/dbraw/zinc/30/36/16/909303616.db2.gz HWWKTJCWFFPCGV-UHFFFAOYSA-N 0 1 296.396 0.630 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)C(=O)Nc2ccc(C#N)cc2)CCN1C ZINC001338484090 921483389 /nfs/dbraw/zinc/48/33/89/921483389.db2.gz PWINDACRXIXHSB-MFKMUULPSA-N 0 1 286.335 0.706 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@@H]1CCCO1)C1CC1 ZINC001317547637 909317301 /nfs/dbraw/zinc/31/73/01/909317301.db2.gz IZXBMHDQUHSMKG-AWEZNQCLSA-N 0 1 280.368 0.396 20 30 CCEDMN CN(CCNCCS(C)(=O)=O)C(=O)C#CC(C)(C)C ZINC001317586139 909385543 /nfs/dbraw/zinc/38/55/43/909385543.db2.gz ZIMFWFYBGXAIFQ-UHFFFAOYSA-N 0 1 288.413 0.129 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CNC(=O)c2ncn[nH]2)CCCC1 ZINC001388236687 909423646 /nfs/dbraw/zinc/42/36/46/909423646.db2.gz GMGADDXBFUYJIV-SECBINFHSA-N 0 1 290.327 0.123 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CNC(=O)c2nc[nH]n2)CCCC1 ZINC001388236687 909423659 /nfs/dbraw/zinc/42/36/59/909423659.db2.gz GMGADDXBFUYJIV-SECBINFHSA-N 0 1 290.327 0.123 20 30 CCEDMN CC#CCN[C@H](CNC(=O)c1cn(C)nn1)c1ccccc1 ZINC001317718681 909476359 /nfs/dbraw/zinc/47/63/59/909476359.db2.gz PGCRTHZQAGMOFM-CQSZACIVSA-N 0 1 297.362 0.899 20 30 CCEDMN CC[C@H](C)C(=O)NCC[C@H]1CCN(CC(=O)NCC#N)C1 ZINC001317774972 909490932 /nfs/dbraw/zinc/49/09/32/909490932.db2.gz NBSRSNTYNGFFKM-STQMWFEESA-N 0 1 294.399 0.500 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCN1CCN(CC=C)CC1 ZINC001317818598 909509968 /nfs/dbraw/zinc/50/99/68/909509968.db2.gz DUMVYLRAESIGRN-HNNXBMFYSA-N 0 1 293.411 0.287 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001317961803 909555108 /nfs/dbraw/zinc/55/51/08/909555108.db2.gz RKYLPWFQJHWFNL-OLZOCXBDSA-N 0 1 265.357 0.929 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)CN2CCCNC2=O)C1 ZINC001318043082 909597253 /nfs/dbraw/zinc/59/72/53/909597253.db2.gz OKBDWNHTVMZDRP-GFCCVEGCSA-N 0 1 294.399 0.557 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@H](C(=O)NC2CC2)C1 ZINC001318141061 909638478 /nfs/dbraw/zinc/63/84/78/909638478.db2.gz NZKKHSIIXUJCSI-RYUDHWBXSA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCN1CC(CNC(=O)CN2CCCCC2=O)C1 ZINC001318135488 909641004 /nfs/dbraw/zinc/64/10/04/909641004.db2.gz FINPHPYVMAHDKV-UHFFFAOYSA-N 0 1 265.357 0.233 20 30 CCEDMN Cc1ncoc1CNC[C@@H](O)CN(C)CCC#N ZINC001338568221 921513754 /nfs/dbraw/zinc/51/37/54/921513754.db2.gz WCDPFSOUKVNKKD-LLVKDONJSA-N 0 1 252.318 0.279 20 30 CCEDMN CC#CCCCC(=O)N(C)[C@H]1CCN([C@H](CC)C(N)=O)C1 ZINC001318425544 909753982 /nfs/dbraw/zinc/75/39/82/909753982.db2.gz QMWYSFMIFZYEAQ-UONOGXRCSA-N 0 1 293.411 0.977 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CCn2cccn2)C1 ZINC001318461065 909768682 /nfs/dbraw/zinc/76/86/82/909768682.db2.gz WMABZGFKSCAHJP-AWEZNQCLSA-N 0 1 274.368 0.829 20 30 CCEDMN CCN(C(=O)CCc1cnc[nH]1)[C@@H]1CCN(CC#N)C1 ZINC001318474505 909773363 /nfs/dbraw/zinc/77/33/63/909773363.db2.gz UTVILMZXCNBHMQ-CYBMUJFWSA-N 0 1 275.356 0.789 20 30 CCEDMN C#CC[NH+]1CC[C@@H](N(CC)C(=O)C[N@@H+]2CC[C@@H](C)C2)C1 ZINC001318482616 909775924 /nfs/dbraw/zinc/77/59/24/909775924.db2.gz KOVJHRGYWZTGDG-HUUCEWRRSA-N 0 1 277.412 0.884 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)COCCOCC)C1 ZINC001318491985 909777266 /nfs/dbraw/zinc/77/72/66/909777266.db2.gz MTBZECZCMNMOEH-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN CCNC(=O)CN1CCC2(C[C@H]2NC(=O)[C@@H](C)C#N)CC1 ZINC001389529638 909956212 /nfs/dbraw/zinc/95/62/12/909956212.db2.gz RASBAJLNESFCQM-NWDGAFQWSA-N 0 1 292.383 0.253 20 30 CCEDMN CN(CC#N)CCN(C)C(=O)[C@@H]1CCCCN1C ZINC001320020705 910412320 /nfs/dbraw/zinc/41/23/20/910412320.db2.gz KZGUTMQFSSMIBA-LBPRGKRZSA-N 0 1 252.362 0.384 20 30 CCEDMN C=CCO[C@@H]1CCN(CC(=O)N2C[C@@H](C)O[C@H](C)C2)C1 ZINC001320038420 910429946 /nfs/dbraw/zinc/42/99/46/910429946.db2.gz RUPIWENWVSGCKX-MGPQQGTHSA-N 0 1 282.384 0.899 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001390538014 910500727 /nfs/dbraw/zinc/50/07/27/910500727.db2.gz ZCEPCFIEEBBARK-GHMZBOCLSA-N 0 1 297.790 0.687 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1CC(C)C1 ZINC001320214598 910526158 /nfs/dbraw/zinc/52/61/58/910526158.db2.gz CSGARVDKERCJKG-RFBVYIQQSA-N 0 1 260.765 0.852 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)CCc2nc[nH]n2)C1 ZINC001320933642 910943099 /nfs/dbraw/zinc/94/30/99/910943099.db2.gz YAUQKMKCDZUVGD-SNVBAGLBSA-N 0 1 250.302 0.541 20 30 CCEDMN Cn1nccc1CNC/C=C/CNC(=O)CSCC#N ZINC001320970911 910972536 /nfs/dbraw/zinc/97/25/36/910972536.db2.gz NJXGKYKNPUAHON-NSCUHMNNSA-N 0 1 293.396 0.439 20 30 CCEDMN Cc1cc(CNC/C=C\CNC(=O)[C@@H](C)C#N)ncn1 ZINC001321000517 911002580 /nfs/dbraw/zinc/00/25/80/911002580.db2.gz ZHEGQPKODMZGOW-BYCRGOAPSA-N 0 1 273.340 0.707 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)CCNC(N)=O ZINC001321390085 911275140 /nfs/dbraw/zinc/27/51/40/911275140.db2.gz VLLQUFPHLYKLBV-NSCUHMNNSA-N 0 1 274.752 0.059 20 30 CCEDMN C#CCNC(=O)C1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001321456798 911309066 /nfs/dbraw/zinc/30/90/66/911309066.db2.gz GKLQFVODJUELGE-UHFFFAOYSA-N 0 1 260.297 0.011 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CNCc1cnns1 ZINC001321500069 911332061 /nfs/dbraw/zinc/33/20/61/911332061.db2.gz NNZLVOZFFNWSIJ-SKDRFNHKSA-N 0 1 284.385 0.460 20 30 CCEDMN O=C(NCCNCc1ccc(CO)o1)C1N=CC=CC1=O ZINC001322089242 911648564 /nfs/dbraw/zinc/64/85/64/911648564.db2.gz LOGKNIGKVLVQEQ-YPKPFQOOSA-N 0 1 291.307 0.388 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)CN(C)[C@@H]1CCN(C)C1=O ZINC001322222513 911710919 /nfs/dbraw/zinc/71/09/19/911710919.db2.gz GIXRQYVCYVIDNF-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN C=CCOCCCC(=O)N1CC(N2CCN(C)CC2)C1 ZINC001322358565 911772002 /nfs/dbraw/zinc/77/20/02/911772002.db2.gz QHFDVWGIJNALEY-UHFFFAOYSA-N 0 1 281.400 0.427 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H]1CCN1C[C@@H]1CCCCO1 ZINC001323227977 912203310 /nfs/dbraw/zinc/20/33/10/912203310.db2.gz VAMRFPBVSCTTGZ-GJZGRUSLSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CCc1ccn(C)n1 ZINC001323241741 912210511 /nfs/dbraw/zinc/21/05/11/912210511.db2.gz KHFJMXYLXOXBFY-AWEZNQCLSA-N 0 1 274.368 0.567 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)NCC(=O)Nc1ccccc1 ZINC001398863367 914888778 /nfs/dbraw/zinc/88/87/78/914888778.db2.gz BFDCHKWAVGAAAE-VXGBXAGGSA-N 0 1 288.351 0.879 20 30 CCEDMN COCC#CC(=O)N1CCN(CC2CCOCC2)CC1 ZINC001327989607 914906533 /nfs/dbraw/zinc/90/65/33/914906533.db2.gz XKEPPBZDFYYRKI-UHFFFAOYSA-N 0 1 280.368 0.207 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H]2CCO[C@@H](C)C2)CC1 ZINC001328554831 915269347 /nfs/dbraw/zinc/26/93/47/915269347.db2.gz NJXPIVJAPPMHEJ-UONOGXRCSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CC(C)(C)O ZINC001328720049 915381965 /nfs/dbraw/zinc/38/19/65/915381965.db2.gz LOPDMADIFULHQP-LBPRGKRZSA-N 0 1 252.358 0.703 20 30 CCEDMN CC(C)c1nnc(CN[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001418049578 921789484 /nfs/dbraw/zinc/78/94/84/921789484.db2.gz JGSKABXNRDCLDX-DCAQKATOSA-N 0 1 290.371 0.825 20 30 CCEDMN C[C@H](NC(=O)CC1(C#N)CCOCC1)c1nnc[nH]1 ZINC001329227885 915758871 /nfs/dbraw/zinc/75/88/71/915758871.db2.gz NAKSPRZYALQNBB-VIFPVBQESA-N 0 1 263.301 0.692 20 30 CCEDMN CC#CCN(C)CCNC(=O)COC[C@@H]1CCCO1 ZINC001492895352 915853604 /nfs/dbraw/zinc/85/36/04/915853604.db2.gz YIXPRHCXWZFJOM-ZDUSSCGKSA-N 0 1 268.357 0.253 20 30 CCEDMN CC#CCN(C)CCNC(=O)COC[C@H]1CCCO1 ZINC001492895351 915855445 /nfs/dbraw/zinc/85/54/45/915855445.db2.gz YIXPRHCXWZFJOM-CYBMUJFWSA-N 0 1 268.357 0.253 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H](C)C#N)NCc1nccnc1C ZINC001400799239 915937165 /nfs/dbraw/zinc/93/71/65/915937165.db2.gz JXDUCZZCLMLEPZ-CMPLNLGQSA-N 0 1 275.356 0.929 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CNC(=O)CC(C)(C)C ZINC001329612584 916062250 /nfs/dbraw/zinc/06/22/50/916062250.db2.gz FINLRAPPEJZPDI-LBPRGKRZSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CCOCC[N@H+](C)[C@@H]1CCCN(CCC(=O)OC)C1=O ZINC001329875687 916248975 /nfs/dbraw/zinc/24/89/75/916248975.db2.gz CXKOSGQOBVZQLQ-CYBMUJFWSA-N 0 1 296.367 0.122 20 30 CCEDMN C#CCOCCN(C)[C@@H]1CCCN(CCC(=O)OC)C1=O ZINC001329875687 916248994 /nfs/dbraw/zinc/24/89/94/916248994.db2.gz CXKOSGQOBVZQLQ-CYBMUJFWSA-N 0 1 296.367 0.122 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)Cc1nnc(C)o1 ZINC001329919529 916278756 /nfs/dbraw/zinc/27/87/56/916278756.db2.gz CYEUYPCONNDIHK-CYBMUJFWSA-N 0 1 290.367 0.915 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc(CC)on1 ZINC001401588381 916349507 /nfs/dbraw/zinc/34/95/07/916349507.db2.gz SPBPNBMRVKCJTD-SECBINFHSA-N 0 1 287.747 0.670 20 30 CCEDMN CC(C)NC(=O)NCCN(C)C[C@@H](C)NC(=O)[C@@H](C)C#N ZINC001401729634 916519008 /nfs/dbraw/zinc/51/90/08/916519008.db2.gz BINJPHYISHGHBO-NWDGAFQWSA-N 0 1 297.403 0.290 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1[C@H]2CN(CC(=O)NC)C[C@H]21 ZINC001330327434 916553810 /nfs/dbraw/zinc/55/38/10/916553810.db2.gz KUSRWUQQECQSIH-NFFDBFGFSA-N 0 1 293.411 0.629 20 30 CCEDMN CC(C)CNC(=O)[C@@H](C)NC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001401937675 916712026 /nfs/dbraw/zinc/71/20/26/916712026.db2.gz HVIFLNJIKCAODS-VXGBXAGGSA-N 0 1 294.399 0.545 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCN(CCc2ccnn2C)C1 ZINC001402167241 916844430 /nfs/dbraw/zinc/84/44/30/916844430.db2.gz AIZJOOBBIJROBW-RYUDHWBXSA-N 0 1 275.356 0.313 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)C[C@@H]1COCCN1)C(=O)OCC ZINC001330933235 916974537 /nfs/dbraw/zinc/97/45/37/916974537.db2.gz CKDUGONUFJTRSR-VXGBXAGGSA-N 0 1 284.356 0.379 20 30 CCEDMN C=CC[N@H+](C)CCOCCNC(=O)c1ncccc1[O-] ZINC001331119353 917103408 /nfs/dbraw/zinc/10/34/08/917103408.db2.gz YQQJASDQJWKPSV-UHFFFAOYSA-N 0 1 279.340 0.651 20 30 CCEDMN C=CC[N@@H+](C)CCOCCNC(=O)c1ncccc1[O-] ZINC001331119353 917103415 /nfs/dbraw/zinc/10/34/15/917103415.db2.gz YQQJASDQJWKPSV-UHFFFAOYSA-N 0 1 279.340 0.651 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2ncc[nH]2)CCO1 ZINC001402588897 917111788 /nfs/dbraw/zinc/11/17/88/917111788.db2.gz HTTAOZXXNUHNIA-SNVBAGLBSA-N 0 1 284.747 0.593 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@@H](C)NCc1cnns1 ZINC001331440579 917337551 /nfs/dbraw/zinc/33/75/51/917337551.db2.gz SYCRUGGKTOIVLY-MNOVXSKESA-N 0 1 296.396 0.513 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1c[nH]cc2ncnc1-2 ZINC001331582104 917431891 /nfs/dbraw/zinc/43/18/91/917431891.db2.gz OIORYQYTYULUKS-MRVPVSSYSA-N 0 1 257.253 0.322 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001331724496 917547788 /nfs/dbraw/zinc/54/77/88/917547788.db2.gz GCGPCIHWIKQZJJ-SECBINFHSA-N 0 1 296.758 0.254 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1c[nH]nc1CCC ZINC001331740199 917565667 /nfs/dbraw/zinc/56/56/67/917565667.db2.gz PBLFJBGBIGKSBX-NSHDSACASA-N 0 1 278.356 0.066 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)N2CC[C@@H](F)[C@H](N)C2)C1 ZINC001331780933 917603316 /nfs/dbraw/zinc/60/33/16/917603316.db2.gz SHTFWVANJLTQPJ-QJPTWQEYSA-N 0 1 283.347 0.309 20 30 CCEDMN C=C1CCC(C(=O)NC[C@H](CO)N[C@H](CC)C(N)=O)CC1 ZINC001331787578 917606915 /nfs/dbraw/zinc/60/69/15/917606915.db2.gz KGRMDGWDSDYNCN-CHWSQXEVSA-N 0 1 297.399 0.063 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H](C)c1ccsc1 ZINC001331788410 917610857 /nfs/dbraw/zinc/61/08/57/917610857.db2.gz VNBGMIVHIGUROY-YPMHNXCESA-N 0 1 280.393 0.942 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1c[nH]c2c1cccc2C ZINC001331805335 917622452 /nfs/dbraw/zinc/62/24/52/917622452.db2.gz RSPFHVVCAMVZIM-AWEZNQCLSA-N 0 1 299.374 0.719 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(OC(C)C)cn1 ZINC001331840699 917654628 /nfs/dbraw/zinc/65/46/28/917654628.db2.gz JEUCRQWBDYTLFM-LBPRGKRZSA-N 0 1 291.351 0.182 20 30 CCEDMN N#Cc1ccc(CN[C@@H](CO)CNC(=O)C(F)F)s1 ZINC001403630992 917864479 /nfs/dbraw/zinc/86/44/79/917864479.db2.gz ZNHOLTWJDSZDIU-SSDOTTSWSA-N 0 1 289.307 0.452 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCNC(N)=O)[C@@H]1C ZINC001332217802 917965400 /nfs/dbraw/zinc/96/54/00/917965400.db2.gz IVEUGMIKVQWUJV-NWDGAFQWSA-N 0 1 280.372 0.037 20 30 CCEDMN N#CCNC[C@H]1CC[C@H](NC(=O)CCc2c[nH]nn2)C1 ZINC001332303017 918032853 /nfs/dbraw/zinc/03/28/53/918032853.db2.gz SUTJKKDHIWLQCH-QWRGUYRKSA-N 0 1 276.344 0.135 20 30 CCEDMN N#CCNC[C@H]1CC[C@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001332303017 918032868 /nfs/dbraw/zinc/03/28/68/918032868.db2.gz SUTJKKDHIWLQCH-QWRGUYRKSA-N 0 1 276.344 0.135 20 30 CCEDMN CN(C[C@@H](O)CNCC#N)C(=O)c1cccc2c[nH]nc21 ZINC001332549388 918269344 /nfs/dbraw/zinc/26/93/44/918269344.db2.gz BHYGDEAQZORBIO-NSHDSACASA-N 0 1 287.323 0.109 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccccc1C ZINC001332616331 918316180 /nfs/dbraw/zinc/31/61/80/918316180.db2.gz LUHWEDZOETYQPW-AWEZNQCLSA-N 0 1 274.364 0.993 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)Cc1ccsc1 ZINC001332616857 918318540 /nfs/dbraw/zinc/31/85/40/918318540.db2.gz PBVGETLOIQUCOY-ZDUSSCGKSA-N 0 1 280.393 0.675 20 30 CCEDMN CC[C@H](F)C(=O)N(C)C[C@@H](O)CN(C)CC#CCOC ZINC001332647305 918349150 /nfs/dbraw/zinc/34/91/50/918349150.db2.gz JGLLMCSTXVASTB-STQMWFEESA-N 0 1 288.363 0.135 20 30 CCEDMN C=C(CO)C(=O)NCc1ccc(N2CCN(C)CC2)cc1 ZINC001332763630 918425189 /nfs/dbraw/zinc/42/51/89/918425189.db2.gz BWJVKLKSEDYABW-UHFFFAOYSA-N 0 1 289.379 0.603 20 30 CCEDMN C=CCN(CCNC(=O)[C@@H]1CCCC(=O)N1C)CCOC ZINC001332927266 918536999 /nfs/dbraw/zinc/53/69/99/918536999.db2.gz DXLQGHLCOQSVDJ-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)C1CCN(CC(N)=O)CC1 ZINC001332959695 918562743 /nfs/dbraw/zinc/56/27/43/918562743.db2.gz DPSAYZJSRLOXAP-LLVKDONJSA-N 0 1 281.400 0.901 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC001333156189 918696155 /nfs/dbraw/zinc/69/61/55/918696155.db2.gz IIPULPNYUKVRLV-CYBMUJFWSA-N 0 1 288.351 0.603 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C[C@@H]1CCNC1=O ZINC001405836629 918939321 /nfs/dbraw/zinc/93/93/21/918939321.db2.gz TWEBSMFFEMGVRA-MNOVXSKESA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(CO)C(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC001333642351 919064561 /nfs/dbraw/zinc/06/45/61/919064561.db2.gz BIMFYOGAZIRFAG-QWRGUYRKSA-N 0 1 256.371 0.231 20 30 CCEDMN C=CCCC(=O)N(C)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001334385379 919542537 /nfs/dbraw/zinc/54/25/37/919542537.db2.gz YFGJVKSOGOKJCE-SECBINFHSA-N 0 1 265.317 0.348 20 30 CCEDMN Cn1c(CNC(=O)N2CCC[C@@H](CC#N)C2)n[nH]c1=O ZINC001413916797 920216272 /nfs/dbraw/zinc/21/62/72/920216272.db2.gz KTKHXZORVFSPAG-VIFPVBQESA-N 0 1 278.316 0.356 20 30 CCEDMN C[C@@H]1CN(CC[N@@H+](C)C[C@H](O)CC#N)C[C@H](C)O1 ZINC001335965289 920531213 /nfs/dbraw/zinc/53/12/13/920531213.db2.gz CPJSLACGDNSDAR-FRRDWIJNSA-N 0 1 255.362 0.302 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)C(=O)[C@H]1CCCCN1C ZINC001415598195 920779192 /nfs/dbraw/zinc/77/91/92/920779192.db2.gz MDFXGMQEQIDPPX-VXGBXAGGSA-N 0 1 280.372 0.205 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)C(=O)c1cc(C)[nH]n1 ZINC001415821770 920838423 /nfs/dbraw/zinc/83/84/23/920838423.db2.gz MOIBRIQASFQPMU-JTQLQIEISA-N 0 1 291.355 0.846 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN3CCC2CC3)cn1 ZINC001336627797 920887059 /nfs/dbraw/zinc/88/70/59/920887059.db2.gz JTPYMSWLVOGGJB-CQSZACIVSA-N 0 1 255.321 0.887 20 30 CCEDMN C#CCNCC(=O)N(C[C@H](C)C(=O)OC)C1CC1 ZINC001340341093 922059554 /nfs/dbraw/zinc/05/95/54/922059554.db2.gz SEBAMBRUVYIHNY-JTQLQIEISA-N 0 1 252.314 0.009 20 30 CCEDMN C#CCN1CCN(c2nnc(-c3c[nH]nn3)n2CC=C)CC1 ZINC001340380525 922070008 /nfs/dbraw/zinc/07/00/08/922070008.db2.gz SZAZNVZQENLXQQ-UHFFFAOYSA-N 0 1 298.354 0.004 20 30 CCEDMN Cc1nnc(CN[C@H](C)CCNC(=O)[C@H](C)C#N)s1 ZINC001418392122 922077005 /nfs/dbraw/zinc/07/70/05/922077005.db2.gz DNNOSQBKUBNNGU-RKDXNWHRSA-N 0 1 281.385 0.991 20 30 CCEDMN Cc1cc(C#N)cc(NC(=O)C(=O)N[C@@H]2CCN(C)C2)c1 ZINC001340562106 922122638 /nfs/dbraw/zinc/12/26/38/922122638.db2.gz FYCXIZSGJGPCEL-GFCCVEGCSA-N 0 1 286.335 0.626 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C(=O)OC)C1CCCCC1 ZINC001340708403 922177594 /nfs/dbraw/zinc/17/75/94/922177594.db2.gz IZLFZVCRSMKSRT-ZDUSSCGKSA-N 0 1 280.368 0.695 20 30 CCEDMN CCc1nc([C@@H](C)NCCN(C)C(=O)[C@@H](C)C#N)n[nH]1 ZINC001418816367 922436669 /nfs/dbraw/zinc/43/66/69/922436669.db2.gz QDSCNAPHNIQCIC-VHSXEESVSA-N 0 1 278.360 0.636 20 30 CCEDMN C#CCNCC(=O)N1CCCN(c2nccs2)CC1 ZINC001341568642 922558474 /nfs/dbraw/zinc/55/84/74/922558474.db2.gz BVEDSSFCLYUCEY-UHFFFAOYSA-N 0 1 278.381 0.405 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc2ncccc2o1 ZINC001341749069 922654002 /nfs/dbraw/zinc/65/40/02/922654002.db2.gz WDQLWFPCKXKHHM-WCBMZHEXSA-N 0 1 256.265 0.669 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(N2CCOC2=O)cc1 ZINC001341787912 922671634 /nfs/dbraw/zinc/67/16/34/922671634.db2.gz UHFIVHCQLGXVMG-UHFFFAOYSA-N 0 1 287.319 0.482 20 30 CCEDMN CO[C@@H]1CCC[C@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC001341948118 922732593 /nfs/dbraw/zinc/73/25/93/922732593.db2.gz PRBKQLYIMMMTEM-GMTAPVOTSA-N 0 1 250.298 0.789 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(C(C)(C)C)[nH]c1=O ZINC001342266834 922883324 /nfs/dbraw/zinc/88/33/24/922883324.db2.gz LAQGXBSNUZQIFS-UHFFFAOYSA-N 0 1 275.352 0.924 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)C1CNCCS1(=O)=O ZINC001342271584 922887801 /nfs/dbraw/zinc/88/78/01/922887801.db2.gz HESFLVNVUUNMGE-LLVKDONJSA-N 0 1 288.413 0.482 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001342722363 923066560 /nfs/dbraw/zinc/06/65/60/923066560.db2.gz NHRKWAFYBPCYNZ-MRBYEJRBSA-N 0 1 280.324 0.271 20 30 CCEDMN C[C@H](C(=O)C(C#N)C(=O)NC1CC1)[C@@H]1CCCO1 ZINC001342723356 923068971 /nfs/dbraw/zinc/06/89/71/923068971.db2.gz XJKLDEZFULAWFK-LSJOCFKGSA-N 0 1 250.298 0.789 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)CN1CCCCC1=O ZINC001342925449 923134781 /nfs/dbraw/zinc/13/47/81/923134781.db2.gz SZRKIMYNEKMUEO-JTQLQIEISA-N 0 1 260.297 0.609 20 30 CCEDMN C#CCNCC(=O)N1CCCSC[C@@H]1C1CC1 ZINC001343010287 923166607 /nfs/dbraw/zinc/16/66/07/923166607.db2.gz QJVYWYVRGLLKFE-GFCCVEGCSA-N 0 1 252.383 0.953 20 30 CCEDMN Cn1cc(C(=O)[C@@H](C#N)C(=O)NC2CCCC2)n(C)c1=O ZINC001343410732 923306305 /nfs/dbraw/zinc/30/63/05/923306305.db2.gz GMZZQDOXTWAZMG-SNVBAGLBSA-N 0 1 290.323 0.105 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)Cc1ccnc(N(C)C)c1 ZINC001420194508 923394937 /nfs/dbraw/zinc/39/49/37/923394937.db2.gz XYBULAKTYSTVBS-LBPRGKRZSA-N 0 1 289.383 0.855 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1CCCN1CC(F)F ZINC001344128227 923555156 /nfs/dbraw/zinc/55/51/56/923555156.db2.gz MXXNSUUNFZSEDR-JTQLQIEISA-N 0 1 259.300 0.055 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCCN(C)[C@H]1CCNC1=O ZINC001479794524 923576452 /nfs/dbraw/zinc/57/64/52/923576452.db2.gz MVUSTPHYORRUSV-WFASDCNBSA-N 0 1 281.400 0.915 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CCc2cn(C)nn2)CC1 ZINC001421005658 924086769 /nfs/dbraw/zinc/08/67/69/924086769.db2.gz XNRKPOODQIZJDV-UHFFFAOYSA-N 0 1 297.790 0.739 20 30 CCEDMN C#CC1(O)CCN(C(=O)NCc2n[nH]c(C(C)C)n2)CC1 ZINC001346422120 924202060 /nfs/dbraw/zinc/20/20/60/924202060.db2.gz XVJIFWMQKXRRPS-UHFFFAOYSA-N 0 1 291.355 0.598 20 30 CCEDMN C=CC(C)(C)NC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001346767200 924307235 /nfs/dbraw/zinc/30/72/35/924307235.db2.gz ODAINAVGIKOHQR-VXGBXAGGSA-N 0 1 268.405 0.885 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N1CC[C@@](C)(C#N)C1 ZINC001347335295 924425102 /nfs/dbraw/zinc/42/51/02/924425102.db2.gz SICBDQJQFMOCRO-BZPMIXESSA-N 0 1 262.357 0.137 20 30 CCEDMN CC(C)C#CC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001347808135 924542863 /nfs/dbraw/zinc/54/28/63/924542863.db2.gz ZZVUDBMMDISGLP-JTQLQIEISA-N 0 1 262.313 0.932 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNC(=O)Cc1[nH]nc2ccccc21 ZINC001349173820 924898002 /nfs/dbraw/zinc/89/80/02/924898002.db2.gz MHFBPRCVOQLZQS-JTQLQIEISA-N 0 1 299.334 0.497 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNC(=O)Cc1cnc[nH]1 ZINC001349453884 924963597 /nfs/dbraw/zinc/96/35/97/924963597.db2.gz VZQXFWGZLIQGBM-UHFFFAOYSA-N 0 1 264.329 0.397 20 30 CCEDMN C=CCCC1(C(=O)NCCCNC(=O)c2cnn[nH]2)CC1 ZINC001350265570 925157977 /nfs/dbraw/zinc/15/79/77/925157977.db2.gz GZYYTVXFYJULLY-UHFFFAOYSA-N 0 1 291.355 0.787 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001350980901 925327489 /nfs/dbraw/zinc/32/74/89/925327489.db2.gz QUYDZNZOALPFKJ-VIFPVBQESA-N 0 1 251.286 0.543 20 30 CCEDMN COCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001480092478 925448869 /nfs/dbraw/zinc/44/88/69/925448869.db2.gz VOHRQPNJPSMSSS-UPJWGTAASA-N 0 1 265.357 0.858 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)NCC(C)(C)C#N ZINC001351745352 925464638 /nfs/dbraw/zinc/46/46/38/925464638.db2.gz NPPCQZHRBPFMLC-QWRGUYRKSA-N 0 1 250.346 0.041 20 30 CCEDMN C=C[C@@H](C)ONC(=O)C1=CN(C)S(=O)(=O)N=C1C ZINC001300213250 925743769 /nfs/dbraw/zinc/74/37/69/925743769.db2.gz NMQVGPWWBJEVDB-SSDOTTSWSA-N 0 1 273.314 0.144 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC[C@@H](O)COC(C)C)c1 ZINC001353275694 925779001 /nfs/dbraw/zinc/77/90/01/925779001.db2.gz AATKBSVJCQAVNM-OAHLLOKOSA-N 0 1 290.363 0.982 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001353568410 925874602 /nfs/dbraw/zinc/87/46/02/925874602.db2.gz ULXAHVIVEYKXPJ-KYZUINATSA-N 0 1 263.301 0.148 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001353568410 925874609 /nfs/dbraw/zinc/87/46/09/925874609.db2.gz ULXAHVIVEYKXPJ-KYZUINATSA-N 0 1 263.301 0.148 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@@H](C)C1=CC[N@@H+](C)CC1 ZINC001354294023 926073564 /nfs/dbraw/zinc/07/35/64/926073564.db2.gz QZDYRFCSWAKTQK-NSHDSACASA-N 0 1 281.356 0.919 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)NC(=O)[C@H]1CCCCN1C ZINC001354378989 926098027 /nfs/dbraw/zinc/09/80/27/926098027.db2.gz COABLLUQARACTM-GXTWGEPZSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CCCCC(=O)N(C)CCNC(=O)Cc1nnc[nH]1 ZINC001355932907 926327725 /nfs/dbraw/zinc/32/77/25/926327725.db2.gz CBTIKDXQRYWZAV-UHFFFAOYSA-N 0 1 279.344 0.278 20 30 CCEDMN C=C(C)Cn1c(CCO)nnc1N1CCN(CC)CC1 ZINC001356164660 926348855 /nfs/dbraw/zinc/34/88/55/926348855.db2.gz DQURIUPAPBCVOL-UHFFFAOYSA-N 0 1 279.388 0.531 20 30 CCEDMN C=CCCCC(=O)N1CC(CNC(=O)Cc2nnc[nH]2)C1 ZINC001356835470 926449214 /nfs/dbraw/zinc/44/92/14/926449214.db2.gz KVVKJVARCGNDRN-UHFFFAOYSA-N 0 1 291.355 0.278 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)CNC(=O)[C@H]1CCCN1C ZINC001357012663 926475226 /nfs/dbraw/zinc/47/52/26/926475226.db2.gz IKWWVPMHJZATBI-UONOGXRCSA-N 0 1 293.411 0.609 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001357030319 926478742 /nfs/dbraw/zinc/47/87/42/926478742.db2.gz BUDKFPFRAJFFPC-SECBINFHSA-N 0 1 279.344 0.499 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1ccc(C(=O)OC)cc1 ZINC001357127946 926486658 /nfs/dbraw/zinc/48/66/58/926486658.db2.gz IHTGPDUNZVFNSA-UHFFFAOYSA-N 0 1 274.320 0.654 20 30 CCEDMN COC[C@H](N)C(=O)NCc1ccc(OC)c(C#N)c1 ZINC001358051836 926627669 /nfs/dbraw/zinc/62/76/69/926627669.db2.gz KJTQQWYDHBKBBA-NSHDSACASA-N 0 1 263.297 0.157 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CNC(=O)CCc1cnc[nH]1 ZINC001358510129 926719827 /nfs/dbraw/zinc/71/98/27/926719827.db2.gz RZENSBVHBHSOQX-LBPRGKRZSA-N 0 1 290.367 0.623 20 30 CCEDMN CCn1cc(CNC[C@@H](C)CNC(=O)[C@@H](C)C#N)nn1 ZINC001421677791 926847003 /nfs/dbraw/zinc/84/70/03/926847003.db2.gz QFMRRWFSACXAHY-MNOVXSKESA-N 0 1 278.360 0.300 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)CC(N)=O)CCC1 ZINC001421759363 926897541 /nfs/dbraw/zinc/89/75/41/926897541.db2.gz XJOBSJUBZKGRCR-SECBINFHSA-N 0 1 287.791 0.879 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@H](C(=O)NC)C1 ZINC001421947750 927004760 /nfs/dbraw/zinc/00/47/60/927004760.db2.gz JYIZBGQAPPLEBT-RYUDHWBXSA-N 0 1 280.372 0.062 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCn1cnnn1 ZINC001421961579 927013594 /nfs/dbraw/zinc/01/35/94/927013594.db2.gz QFZOCMCGEPVADZ-JTQLQIEISA-N 0 1 286.767 0.252 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cnn(CC)c1 ZINC001422264714 927181040 /nfs/dbraw/zinc/18/10/40/927181040.db2.gz LPZCQCVDJSCKQU-LLVKDONJSA-N 0 1 286.763 0.336 20 30 CCEDMN C=C(Br)CNC[C@H](O)CNC(=O)C1(C)CC1 ZINC001422318458 927217615 /nfs/dbraw/zinc/21/76/15/927217615.db2.gz NYVPJIUDIVKDEL-VIFPVBQESA-N 0 1 291.189 0.762 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CN(C)C(C)=O ZINC001423034226 927638590 /nfs/dbraw/zinc/63/85/90/927638590.db2.gz RVXBTPNEXXZBCT-SNVBAGLBSA-N 0 1 275.780 0.654 20 30 CCEDMN C=C(Cl)C[NH2+]C[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001423450589 927866776 /nfs/dbraw/zinc/86/67/76/927866776.db2.gz WJDCMZPTVYIHFM-SNVBAGLBSA-N 0 1 299.758 0.562 20 30 CCEDMN CCc1nc([C@@H](C)NC(=O)[C@]2(C#N)CCCOC2)n[nH]1 ZINC001362414166 927982759 /nfs/dbraw/zinc/98/27/59/927982759.db2.gz NXGRTAAMLYVSKT-RNCFNFMXSA-N 0 1 277.328 0.865 20 30 CCEDMN CN1CC[C@@H]2CN(S(=O)(=O)c3ccc(C#N)cn3)C[C@@H]21 ZINC001424098709 928095527 /nfs/dbraw/zinc/09/55/27/928095527.db2.gz BOPVVFFWMOWNRK-NEPJUHHUSA-N 0 1 292.364 0.278 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCn1cncn1 ZINC001424744138 928271381 /nfs/dbraw/zinc/27/13/81/928271381.db2.gz AGSIAHATYPQUDI-GHMZBOCLSA-N 0 1 285.779 0.904 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1cn[nH]n1)NCc1ccccc1C#N ZINC001425585451 928602847 /nfs/dbraw/zinc/60/28/47/928602847.db2.gz JYANUSTVWKDLNB-NSHDSACASA-N 0 1 298.350 0.927 20 30 CCEDMN Cc1cncc(CN[C@H](CO)CNC(=O)[C@@H](C)C#N)c1 ZINC001425717579 928638010 /nfs/dbraw/zinc/63/80/10/928638010.db2.gz YFNPNHVNJCGLHL-AAEUAGOBSA-N 0 1 276.340 0.116 20 30 CCEDMN Cc1cncc(CN[C@@H](CO)CNC(=O)[C@@H](C)C#N)c1 ZINC001425717581 928638074 /nfs/dbraw/zinc/63/80/74/928638074.db2.gz YFNPNHVNJCGLHL-WCQYABFASA-N 0 1 276.340 0.116 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)C(F)(F)F)CCCN1C(=O)CC#N ZINC001362966233 928705942 /nfs/dbraw/zinc/70/59/42/928705942.db2.gz BOBDPVOHRJVFQB-YUMQZZPRSA-N 0 1 277.246 0.958 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1ncccn1 ZINC001426027942 928735619 /nfs/dbraw/zinc/73/56/19/928735619.db2.gz ROQIUDQJLLGTSX-SNVBAGLBSA-N 0 1 284.747 0.252 20 30 CCEDMN CC[C@@]1(O)CC[N@@H+](Cc2cc(=O)n3[n-]cc(C#N)c3n2)C1 ZINC001363218361 928986371 /nfs/dbraw/zinc/98/63/71/928986371.db2.gz ARGDYIAIELZVAD-CQSZACIVSA-N 0 1 287.323 0.653 20 30 CCEDMN C[C@H](Cc1ccc(C#N)cc1)C(=O)NCc1n[nH]c(CO)n1 ZINC001363482321 929285145 /nfs/dbraw/zinc/28/51/45/929285145.db2.gz LCIGKXNJLRETFW-SNVBAGLBSA-N 0 1 299.334 0.664 20 30 CCEDMN C[C@H](Cc1ccc(C#N)cc1)C(=O)NCc1nnc(CO)[nH]1 ZINC001363482321 929285158 /nfs/dbraw/zinc/28/51/58/929285158.db2.gz LCIGKXNJLRETFW-SNVBAGLBSA-N 0 1 299.334 0.664 20 30 CCEDMN N#Cc1ccncc1C(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001363580645 929384496 /nfs/dbraw/zinc/38/44/96/929384496.db2.gz FUGFLNXLHMFVOS-JTQLQIEISA-N 0 1 297.322 0.561 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@H+]1CC[C@@H]3OCC[C@@H]3C1)cc2=O ZINC001363801615 929590055 /nfs/dbraw/zinc/59/00/55/929590055.db2.gz AWXBNRFJURHWQD-MFKMUULPSA-N 0 1 299.334 0.917 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CN(C)C(=O)[C@@H](C)C#N)c1C ZINC001443986993 929760927 /nfs/dbraw/zinc/76/09/27/929760927.db2.gz CHTTTXZLEJURDS-IUCAKERBSA-N 0 1 291.355 0.763 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCN(CC(=O)N(C)C2CC2)C1 ZINC001372594812 929890513 /nfs/dbraw/zinc/89/05/13/929890513.db2.gz MWWMGMXDMOCBHG-NEPJUHHUSA-N 0 1 292.383 0.205 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@H]1CCCOC1 ZINC001445151852 930052342 /nfs/dbraw/zinc/05/23/42/930052342.db2.gz JOTDFSJTLKSNMT-QWRGUYRKSA-N 0 1 276.764 0.232 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1occc1C ZINC001445234310 930070072 /nfs/dbraw/zinc/07/00/72/930070072.db2.gz LGJONBIAZSWBDK-NSHDSACASA-N 0 1 286.759 0.950 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1COC(=O)N1)C1CC1 ZINC001375226331 930822934 /nfs/dbraw/zinc/82/29/34/930822934.db2.gz HBLSFOHRMZLOCG-NXEZZACHSA-N 0 1 287.747 0.332 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1COC(=O)N1)C1CC1 ZINC001375226333 930824036 /nfs/dbraw/zinc/82/40/36/930824036.db2.gz HBLSFOHRMZLOCG-UWVGGRQHSA-N 0 1 287.747 0.332 20 30 CCEDMN Cc1nnc(CNC[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)s1 ZINC001448494829 930901516 /nfs/dbraw/zinc/90/15/16/930901516.db2.gz FBUDSPBOBIBRAZ-FBIMIBRVSA-N 0 1 293.396 0.991 20 30 CCEDMN Cc1cccnc1CN[C@@H](CO)CNC(=O)[C@@H](C)C#N ZINC001448820749 930996129 /nfs/dbraw/zinc/99/61/29/930996129.db2.gz HBUNKKWRKGQITM-NWDGAFQWSA-N 0 1 276.340 0.116 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)C(=O)c1cccc2[nH]cnc21 ZINC001449181850 931093364 /nfs/dbraw/zinc/09/33/64/931093364.db2.gz AALUWAWKHXOTLS-JTQLQIEISA-N 0 1 299.334 0.911 20 30 CCEDMN CCN(CCNC(=O)[C@@H]1CCCN1C)C(=O)[C@@H](C)C#N ZINC001449345426 931130090 /nfs/dbraw/zinc/13/00/90/931130090.db2.gz SXVMJVXHZYPMIE-RYUDHWBXSA-N 0 1 280.372 0.205 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H]1CNC(=O)CN1CCCC1 ZINC001449582313 931164508 /nfs/dbraw/zinc/16/45/08/931164508.db2.gz DGGWYQRUQIWXLT-STQMWFEESA-N 0 1 292.383 0.349 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)NCc1n[nH]c(CC)n1 ZINC001450180148 931244209 /nfs/dbraw/zinc/24/42/09/931244209.db2.gz MCEGAMVRLIJJNP-UHFFFAOYSA-N 0 1 266.301 0.493 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@H](C)NC(=O)[C@@H](C)C#N)n[nH]1 ZINC001450952795 931363653 /nfs/dbraw/zinc/36/36/53/931363653.db2.gz CYWFDZBOYCPTFG-WPRPVWTQSA-N 0 1 277.328 0.455 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001451589456 931457995 /nfs/dbraw/zinc/45/79/95/931457995.db2.gz ICDPFBWZPSXNJQ-AWEZNQCLSA-N 0 1 287.323 0.810 20 30 CCEDMN C=C(C)C[C@H](CO)Nc1cnc(C#N)c(-c2nn[nH]n2)n1 ZINC001573298726 947078698 /nfs/dbraw/zinc/07/86/98/947078698.db2.gz GHFAQSPNSBUMGU-MRVPVSSYSA-N 0 1 286.299 0.267 20 30 CCEDMN CC#CCC[N@H+]1C[C@H](C(=O)[O-])C2(CC(C(=O)OC)C2)C1 ZINC001602735282 971417804 /nfs/dbraw/zinc/41/78/04/971417804.db2.gz XLLIJEQAEJVQFV-MQYJIDSJSA-N 0 1 279.336 0.986 20 30 CCEDMN CC[N@H+]1CCC[C@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC001589744747 950667092 /nfs/dbraw/zinc/66/70/92/950667092.db2.gz CIBLJMWIEPGYLG-BXUZGUMPSA-N 0 1 281.356 0.839 20 30 CCEDMN C#CCN(CC[N@@H+]1C[C@H](C)C[C@H](C)C1)CC(=O)[O-] ZINC000319146733 950950046 /nfs/dbraw/zinc/95/00/46/950950046.db2.gz VOVJJXFBSLWBIK-BETUJISGSA-N 0 1 252.358 0.984 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CC[N@@H+](CCO[C@@H](C)C#N)C1 ZINC000385754697 951284647 /nfs/dbraw/zinc/28/46/47/951284647.db2.gz SJOZQSJPFBSLOI-CMPLNLGQSA-N 0 1 256.302 0.338 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N(CC)C[C@H](C)C#N ZINC001589440785 954710593 /nfs/dbraw/zinc/71/05/93/954710593.db2.gz LCKOSNAKWCYLJX-MNOVXSKESA-N 0 1 269.345 0.790 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N(CC)C[C@H](C)C#N ZINC001589440785 954710602 /nfs/dbraw/zinc/71/06/02/954710602.db2.gz LCKOSNAKWCYLJX-MNOVXSKESA-N 0 1 269.345 0.790 20 30 CCEDMN N#CC1(NC(=O)C[NH2+][C@]2(C(=O)[O-])CCOC2)CCCCC1 ZINC001594602310 956145605 /nfs/dbraw/zinc/14/56/05/956145605.db2.gz LRCLSBQGVVBCKY-CQSZACIVSA-N 0 1 295.339 0.162 20 30 CCEDMN N#CC1(CCC[N@H+]2CCO[C@@H](C(=O)[O-])C2)CCOCC1 ZINC001594603492 956156267 /nfs/dbraw/zinc/15/62/67/956156267.db2.gz DHCLPKBZQDADGY-GFCCVEGCSA-N 0 1 282.340 0.872 20 30 CCEDMN N#CC1(C[C@H](O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)CCOCC1 ZINC001594604442 956162934 /nfs/dbraw/zinc/16/29/34/956162934.db2.gz VFFROTLEPFOWQZ-STQMWFEESA-N 0 1 296.367 0.854 20 30 CCEDMN N#Cc1cccc([N+](=O)[O-])c1C[NH2+][C@]1(C(=O)[O-])CCOC1 ZINC001594628530 956332269 /nfs/dbraw/zinc/33/22/69/956332269.db2.gz ATHMGRVMCPEUEG-CYBMUJFWSA-N 0 1 291.263 0.800 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CC[N@@H+](Cc2cccc(C#N)n2)C1 ZINC001593967952 956581816 /nfs/dbraw/zinc/58/18/16/956581816.db2.gz YMWNPLLFDZMMQV-CQSZACIVSA-N 0 1 275.308 0.876 20 30 CCEDMN COC[C@]1(C(=O)[O-])CC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC001593968836 956591292 /nfs/dbraw/zinc/59/12/92/956591292.db2.gz XLIPTSOKCATNAL-FZMZJTMJSA-N 0 1 297.355 0.170 20 30 CCEDMN COCC[N@@H+](CCC(=O)[O-])Cc1cc(C#N)ccn1 ZINC001594011344 957000825 /nfs/dbraw/zinc/00/08/25/957000825.db2.gz JZRZFLPWQMJFDQ-UHFFFAOYSA-N 0 1 263.297 0.876 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H]1CCC[N@H+]1C1CC1 ZINC001588399468 958072459 /nfs/dbraw/zinc/07/24/59/958072459.db2.gz LDIQLDZOGDJQJF-LLVKDONJSA-N 0 1 250.298 0.160 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)C(C)(C)C(=O)[O-])C1 ZINC001588431000 958264219 /nfs/dbraw/zinc/26/42/19/958264219.db2.gz RPSOLLOVMDSFSN-SNVBAGLBSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)C(C)(C)C(=O)[O-])C1 ZINC001588431000 958264233 /nfs/dbraw/zinc/26/42/33/958264233.db2.gz RPSOLLOVMDSFSN-SNVBAGLBSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)NC[C@@H](C)C(=O)[O-])C1 ZINC001588431528 958271765 /nfs/dbraw/zinc/27/17/65/958271765.db2.gz ZWEBMBWKVFQOCH-GHMZBOCLSA-N 0 1 267.329 0.104 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)NC[C@@H](C)C(=O)[O-])C1 ZINC001588431528 958271768 /nfs/dbraw/zinc/27/17/68/958271768.db2.gz ZWEBMBWKVFQOCH-GHMZBOCLSA-N 0 1 267.329 0.104 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2C[C@@H](C)C[C@H](C(=O)[O-])C2)C1=O ZINC001588464522 958493513 /nfs/dbraw/zinc/49/35/13/958493513.db2.gz YHPATSODJAYNPN-SRVKXCTJSA-N 0 1 266.341 0.816 20 30 CCEDMN C[C@@H](C#N)OCC[N@@H+](CC(=O)[O-])C1CCOCC1 ZINC001588543271 958978327 /nfs/dbraw/zinc/97/83/27/958978327.db2.gz JQECHQVRJDOOMN-JTQLQIEISA-N 0 1 256.302 0.481 20 30 CCEDMN C[N@@H+](CCCc1[nH]nc(N)c1C#N)[C@H](C(=O)[O-])C1CC1 ZINC001574013387 961929118 /nfs/dbraw/zinc/92/91/18/961929118.db2.gz YIIZCQHJXAOLDF-NSHDSACASA-N 0 1 277.328 0.591 20 30 CCEDMN C#CCC[N@@H+](C)CCCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001573302700 962659228 /nfs/dbraw/zinc/65/92/28/962659228.db2.gz ZEGPIELRGURGOW-MNOVXSKESA-N 0 1 252.314 0.169 20 30 CCEDMN C=C(Cl)C[NH+]1CCC(NC(=O)CNC(=O)[O-])CC1 ZINC001573338998 962886106 /nfs/dbraw/zinc/88/61/06/962886106.db2.gz MJEUWJJTEVNYAN-UHFFFAOYSA-N 0 1 275.736 0.587 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@H](CCC(=O)[O-])C1 ZINC000043585219 967526140 /nfs/dbraw/zinc/52/61/40/967526140.db2.gz NDRBVRZLBNLOTI-LLVKDONJSA-N 0 1 297.355 0.575 20 30 CCEDMN N#Cc1ccc(C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](O)C2)cc1 ZINC000401936693 973545535 /nfs/dbraw/zinc/54/55/35/973545535.db2.gz MXYMHINVXVJCJF-OLZOCXBDSA-N 0 1 260.293 0.826 20 30 CCEDMN C#C[C@H](CO)[NH2+]Cc1c(C)nn(CCC(=O)[O-])c1C ZINC001588370693 983321696 /nfs/dbraw/zinc/32/16/96/983321696.db2.gz LGXWTWNUSGQIKL-LLVKDONJSA-N 0 1 265.313 0.058 20 30 CCEDMN C#C[C@@H]1CCCC[N@@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001588382856 983375410 /nfs/dbraw/zinc/37/54/10/983375410.db2.gz UYGJODDRPRWKNF-CYBMUJFWSA-N 0 1 278.352 0.797 20 30 CCEDMN C#CC[N@@H+](C)CCCN1C(=O)CS/C1=C\C(=O)[O-] ZINC001588461693 983490906 /nfs/dbraw/zinc/49/09/06/983490906.db2.gz XKVMVNLKAQWPCE-FLIBITNWSA-N 0 1 268.338 0.443 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)C[C@H](C)CC(=O)[O-])C1 ZINC001588475324 983508396 /nfs/dbraw/zinc/50/83/96/983508396.db2.gz BZDJPUHZXQCTEV-NWDGAFQWSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)C[C@H](C)CC(=O)[O-])C1 ZINC001588475324 983508399 /nfs/dbraw/zinc/50/83/99/983508399.db2.gz BZDJPUHZXQCTEV-NWDGAFQWSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)CCSCC(=O)[O-])C1 ZINC001588475976 983509761 /nfs/dbraw/zinc/50/97/61/983509761.db2.gz KGGWBDOPVWZZTL-LLVKDONJSA-N 0 1 284.381 0.408 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)CCSCC(=O)[O-])C1 ZINC001588475976 983509763 /nfs/dbraw/zinc/50/97/63/983509763.db2.gz KGGWBDOPVWZZTL-LLVKDONJSA-N 0 1 284.381 0.408 20 30 CCEDMN C#CCOCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@@H]1[C@H]1CCCO1 ZINC001588490995 983534895 /nfs/dbraw/zinc/53/48/95/983534895.db2.gz BKPYFQLZFBPNAS-BFHYXJOUSA-N 0 1 281.352 0.980 20 30 CCEDMN C=C(C)CC[N@@H+](C)CCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001588559969 983641011 /nfs/dbraw/zinc/64/10/11/983641011.db2.gz WNBJZBMPPFRGBF-WDEREUQCSA-N 0 1 254.330 0.721 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)[O-] ZINC001596299379 983723350 /nfs/dbraw/zinc/72/33/50/983723350.db2.gz FHDUMXGXQHTCGJ-OUCADQQQSA-N 0 1 293.367 0.934 20 30 CCEDMN C=C(Cl)C[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588641877 983763541 /nfs/dbraw/zinc/76/35/41/983763541.db2.gz KUYFQKUZRHJWFJ-MRVPVSSYSA-N 0 1 256.693 0.976 20 30 CCEDMN C=C(Cl)C[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588641877 983763544 /nfs/dbraw/zinc/76/35/44/983763544.db2.gz KUYFQKUZRHJWFJ-MRVPVSSYSA-N 0 1 256.693 0.976 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](C(=O)NCC2CC2)C1 ZINC001588662544 983789699 /nfs/dbraw/zinc/78/96/99/983789699.db2.gz BMFROKOKUUUXSQ-NWDGAFQWSA-N 0 1 266.341 0.864 20 30 CCEDMN C=C[C@@H]([NH2+][C@H]1CCN(c2cc(C)nn2C)C1=O)C(=O)[O-] ZINC001588696559 983903061 /nfs/dbraw/zinc/90/30/61/983903061.db2.gz OWNNVHAAQPRLNS-ZJUUUORDSA-N 0 1 278.312 0.063 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](CCO)Cc1cccnc1 ZINC001588728380 983981949 /nfs/dbraw/zinc/98/19/49/983981949.db2.gz JEGNDLXKANQGAH-LBPRGKRZSA-N 0 1 250.298 0.905 20 30 CCEDMN C=CCC[N@@H+]1CCCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588807693 984260580 /nfs/dbraw/zinc/26/05/80/984260580.db2.gz SILVCYRJZVEPBO-NEPJUHHUSA-N 0 1 266.341 0.818 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001588825468 984300831 /nfs/dbraw/zinc/30/08/31/984300831.db2.gz QUVVKMDNKCQHLA-WUHRBBMRSA-N 0 1 278.352 0.838 20 30 CCEDMN C=CC[N@H+](CCO)C1CCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588828751 984309044 /nfs/dbraw/zinc/30/90/44/984309044.db2.gz MIUFVXSUYDOUDB-QWHCGFSZSA-N 0 1 296.367 0.178 20 30 CCEDMN C=CC[N@@H+](CCc1ccco1)[C@H](C)C(=O)NCC(=O)[O-] ZINC001588829180 984312467 /nfs/dbraw/zinc/31/24/67/984312467.db2.gz HIKGHLIKECUTRS-LLVKDONJSA-N 0 1 280.324 0.899 20 30 CCEDMN C=CC[N@H+](CCc1ccco1)[C@H](C)C(=O)NCC(=O)[O-] ZINC001588829180 984312470 /nfs/dbraw/zinc/31/24/70/984312470.db2.gz HIKGHLIKECUTRS-LLVKDONJSA-N 0 1 280.324 0.899 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001588869209 984438669 /nfs/dbraw/zinc/43/86/69/984438669.db2.gz ZYGNUXUGMAKCNA-GFCCVEGCSA-N 0 1 254.286 0.494 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1c1ccc(C#N)cn1 ZINC001594527715 986087679 /nfs/dbraw/zinc/08/76/79/986087679.db2.gz JNCLBUCYWCXLRO-NSHDSACASA-N 0 1 274.324 0.938 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC001589389090 986500538 /nfs/dbraw/zinc/50/05/38/986500538.db2.gz VHPGGXGVLMGUCX-GXFFZTMASA-N 0 1 279.340 0.734 20 30 CCEDMN C[N@@H+](CCNC(=O)c1cc(C#N)ccc1Cl)CC(=O)[O-] ZINC001598492439 992316715 /nfs/dbraw/zinc/31/67/15/992316715.db2.gz LVZBCVDUPSUTEU-UHFFFAOYSA-N 0 1 295.726 0.958 20 30 CCEDMN C[N@H+]1CCN(c2ncccc2C#N)C[C@H](C(=O)[O-])C1 ZINC001598626484 994102892 /nfs/dbraw/zinc/10/28/92/994102892.db2.gz YDRVLFFHPRNQEL-LLVKDONJSA-N 0 1 260.297 0.406 20 30 CCEDMN CO[C@@H]1CC[N@@H+](CCO[C@@H](C)C#N)[C@H](C(=O)[O-])C1 ZINC001599124979 997823731 /nfs/dbraw/zinc/82/37/31/997823731.db2.gz XOTYFUONOWSANC-AXFHLTTASA-N 0 1 256.302 0.479 20 30 CCEDMN CN(C)CC(=O)N1CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC000407988070 260151471 /nfs/dbraw/zinc/15/14/71/260151471.db2.gz CABQSPKRHXMKBK-QWHCGFSZSA-N 0 1 264.373 0.384 20 30 CCEDMN CN(CCOCCO)Cc1cnc2ccc(C#N)cn12 ZINC000337523038 214137482 /nfs/dbraw/zinc/13/74/82/214137482.db2.gz AJEXGFGSVGBHPY-UHFFFAOYSA-N 0 1 274.324 0.647 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)C[C@H]1C[C@@H](O)C1 ZINC000599671608 361780774 /nfs/dbraw/zinc/78/07/74/361780774.db2.gz IRGVZPQSBQTLGK-SCRDCRAPSA-N 0 1 267.373 0.744 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCN(CCCF)CC1 ZINC000599666767 361779193 /nfs/dbraw/zinc/77/91/93/361779193.db2.gz CAYCOAZFPQJAFQ-ZDUSSCGKSA-N 0 1 284.379 0.724 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1ccc(F)cc1C#N ZINC000269785717 190551934 /nfs/dbraw/zinc/55/19/34/190551934.db2.gz IWPMZBQHRVIBDD-UHFFFAOYSA-N 0 1 285.344 0.879 20 30 CCEDMN N#Cc1cc(C(=O)NCCN2CC[C@@H](O)C2)ccc1F ZINC000600532049 362005323 /nfs/dbraw/zinc/00/53/23/362005323.db2.gz GCPDYNRNYNYDPM-GFCCVEGCSA-N 0 1 277.299 0.494 20 30 CCEDMN N#Cc1cscc1C(=O)NCCN1CC[C@@H](O)C1 ZINC000600536101 362006880 /nfs/dbraw/zinc/00/68/80/362006880.db2.gz WTGWKOBSFPVXBU-SNVBAGLBSA-N 0 1 265.338 0.416 20 30 CCEDMN C#CC[C@H](NCc1cn(C)c(=O)n(C)c1=O)c1ccccc1 ZINC000270153637 190604837 /nfs/dbraw/zinc/60/48/37/190604837.db2.gz DGXAEASOKNXSJF-HNNXBMFYSA-N 0 1 297.358 0.938 20 30 CCEDMN C[C@@H]1C[C@@H](C(=O)NC2(C#N)CCN(C)CC2)[C@@H](C)O1 ZINC000601042270 362140696 /nfs/dbraw/zinc/14/06/96/362140696.db2.gz CHRGSZYJCCJHJO-IJLUTSLNSA-N 0 1 265.357 0.904 20 30 CCEDMN N#Cc1cc(NCc2n[nH]c(C3CCOCC3)n2)ccn1 ZINC000601223037 362182821 /nfs/dbraw/zinc/18/28/21/362182821.db2.gz VTPDGJZJZGDHCT-UHFFFAOYSA-N 0 1 284.323 0.999 20 30 CCEDMN N#CC1(C(=O)N2CCc3[nH]nnc3C2)CC2(CC2)C1 ZINC000601258082 362192874 /nfs/dbraw/zinc/19/28/74/362192874.db2.gz VOOCMIQSKCILBU-UHFFFAOYSA-N 0 1 257.297 0.773 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)N[C@H]2[C@@H]3COC[C@@H]32)n[nH]1 ZINC000330024401 529745951 /nfs/dbraw/zinc/74/59/51/529745951.db2.gz JTOKTKDBMKGSQU-QHOPCYEYSA-N 0 1 265.317 0.577 20 30 CCEDMN CN1CC[C@@H](N2CCC(NC(=O)[C@H]3CCCO3)CC2)C1=O ZINC000329195029 529794526 /nfs/dbraw/zinc/79/45/26/529794526.db2.gz TYXYZHVPDOMIFI-CHWSQXEVSA-N 0 1 295.383 0.817 20 30 CCEDMN CN1C[C@H](NC([O-])=[NH+][C@H]2CCn3ccnc3C2)CCC1=O ZINC000330199116 529827650 /nfs/dbraw/zinc/82/76/50/529827650.db2.gz UXLPSWSDJPHGBE-WDEREUQCSA-N 0 1 291.355 0.322 20 30 CCEDMN CN1C[C@H]([NH+]=C([O-])N[C@H]2CCn3ccnc3C2)CCC1=O ZINC000330199116 529827651 /nfs/dbraw/zinc/82/76/51/529827651.db2.gz UXLPSWSDJPHGBE-WDEREUQCSA-N 0 1 291.355 0.322 20 30 CCEDMN CN(CCOc1ccccc1C#N)[C@@H]1COC[C@@H]1O ZINC000352796754 529927407 /nfs/dbraw/zinc/92/74/07/529927407.db2.gz HPWCDKNIXJLDRJ-OLZOCXBDSA-N 0 1 262.309 0.629 20 30 CCEDMN CN1CC(=O)N(CC(=O)N[C@H]2CCCc3cn[nH]c32)C1=O ZINC000330123336 530046693 /nfs/dbraw/zinc/04/66/93/530046693.db2.gz KUFUTQVYQRXQOJ-VIFPVBQESA-N 0 1 291.311 0.638 20 30 CCEDMN CC(C)(CCC#N)CNC(=O)NCCN1CC[C@@H](O)C1 ZINC000602317600 362584325 /nfs/dbraw/zinc/58/43/25/362584325.db2.gz XAMKKLFPVQOSMB-GFCCVEGCSA-N 0 1 282.388 0.682 20 30 CCEDMN C[C@@H](CCC#N)CN1CCN(CC(=O)NC2CC2)CC1 ZINC000602433785 362613603 /nfs/dbraw/zinc/61/36/03/362613603.db2.gz DAJRGSGINWFUJS-ZDUSSCGKSA-N 0 1 278.400 0.822 20 30 CCEDMN CNC(=O)[C@H]1CCCN(Cc2cccc(C#N)n2)C1 ZINC000602470659 362633997 /nfs/dbraw/zinc/63/39/97/362633997.db2.gz BKAFCHLDPRUTTC-NSHDSACASA-N 0 1 258.325 0.911 20 30 CCEDMN CNC(=O)[C@@H]1CCCN(Cc2cccc(C#N)n2)C1 ZINC000602470658 362634032 /nfs/dbraw/zinc/63/40/32/362634032.db2.gz BKAFCHLDPRUTTC-LLVKDONJSA-N 0 1 258.325 0.911 20 30 CCEDMN N#Cc1ccc(CN2CCC[C@@](O)(C(N)=O)C2)s1 ZINC000367494041 232363837 /nfs/dbraw/zinc/36/38/37/232363837.db2.gz YCWFTTYCBRFFDF-LBPRGKRZSA-N 0 1 265.338 0.432 20 30 CCEDMN N#Cc1cccc(CN[C@@H]2CCC[N@@H+](CCCO)C2)n1 ZINC000602675171 362743340 /nfs/dbraw/zinc/74/33/40/362743340.db2.gz SAAHYVQFXWUPPL-OAHLLOKOSA-N 0 1 274.368 0.890 20 30 CCEDMN COc1cc(CN2CCN(C)C[C@@H]2CO)ccc1C#N ZINC000602715494 362767187 /nfs/dbraw/zinc/76/71/87/362767187.db2.gz NWKUWUODFKJCTJ-CQSZACIVSA-N 0 1 275.352 0.675 20 30 CCEDMN COc1cc(CN2CCN(C)C[C@H]2CO)ccc1C#N ZINC000602715493 362767509 /nfs/dbraw/zinc/76/75/09/362767509.db2.gz NWKUWUODFKJCTJ-AWEZNQCLSA-N 0 1 275.352 0.675 20 30 CCEDMN C[C@@H](CCC#N)CN1CCN(c2nccnn2)CC1 ZINC000602721364 362771203 /nfs/dbraw/zinc/77/12/03/362771203.db2.gz QEZQYQJQRUPJKS-LBPRGKRZSA-N 0 1 260.345 0.933 20 30 CCEDMN C[C@@H]1[C@@H](C)N(CCC(=O)N(C)CCC#N)[C@@H](C)C(=O)N1C ZINC000602847781 362835090 /nfs/dbraw/zinc/83/50/90/362835090.db2.gz KNLZKNRKJUNDQB-UPJWGTAASA-N 0 1 294.399 0.688 20 30 CCEDMN C[C@H]1CN(CCc2ccnn2C)C[C@H](C)N1CC#N ZINC000602850045 362837556 /nfs/dbraw/zinc/83/75/56/362837556.db2.gz OGAHSFNFHXLTQE-STQMWFEESA-N 0 1 261.373 0.881 20 30 CCEDMN CCOC[C@H](O)CN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602858959 362844820 /nfs/dbraw/zinc/84/48/20/362844820.db2.gz WGVFGHWFXWVSCX-RWMBFGLXSA-N 0 1 255.362 0.302 20 30 CCEDMN CCc1nc(C(=O)N=c2[nH]n(C)cc2C#N)c(C)o1 ZINC000342513009 137098370 /nfs/dbraw/zinc/09/83/70/137098370.db2.gz JCMUCRCUWKJAQG-UHFFFAOYSA-N 0 1 259.269 0.825 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2cn3ccnc3s2)[nH]1 ZINC000344037789 137174171 /nfs/dbraw/zinc/17/41/71/137174171.db2.gz DEQCPHAMZAJNFU-UHFFFAOYSA-N 0 1 272.293 0.675 20 30 CCEDMN C#CCNC(=O)[C@H](C)Sc1nc(C2CC2)n[nH]1 ZINC000028759463 348108320 /nfs/dbraw/zinc/10/83/20/348108320.db2.gz OYZWHKMMBIWYCZ-ZETCQYMHSA-N 0 1 250.327 0.912 20 30 CCEDMN C#CCNC(=O)[C@H](C)Sc1n[nH]c(C2CC2)n1 ZINC000028759463 348108325 /nfs/dbraw/zinc/10/83/25/348108325.db2.gz OYZWHKMMBIWYCZ-ZETCQYMHSA-N 0 1 250.327 0.912 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1ccc(C#N)[nH]1 ZINC000344985248 137226323 /nfs/dbraw/zinc/22/63/23/137226323.db2.gz YPAAUFOMQKEMMG-NSHDSACASA-N 0 1 287.279 0.124 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2C[C@H]3CC[C@@H]2O3)[nH]1 ZINC000602886051 362864753 /nfs/dbraw/zinc/86/47/53/362864753.db2.gz IRLYGIPFWIXIFG-BBBLOLIVSA-N 0 1 261.285 0.155 20 30 CCEDMN COCC1(CCNC(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000602887250 362866228 /nfs/dbraw/zinc/86/62/28/362866228.db2.gz NTHHVRNOOJQGPH-UHFFFAOYSA-N 0 1 277.328 0.652 20 30 CCEDMN COC[C@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000602878920 362859110 /nfs/dbraw/zinc/85/91/10/362859110.db2.gz COTUMCTWZVVGCW-NSHDSACASA-N 0 1 291.355 0.994 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@H]3COC[C@@H]32)[nH]1 ZINC000602880957 362860519 /nfs/dbraw/zinc/86/05/19/362860519.db2.gz OZGDPEXGWMAKSM-KOLCDFICSA-N 0 1 275.312 0.356 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)c2cc(C(F)F)[nH]n2)CC1 ZINC000602939368 362899850 /nfs/dbraw/zinc/89/98/50/362899850.db2.gz SWXTYWLQINHBEM-UHFFFAOYSA-N 0 1 297.265 0.545 20 30 CCEDMN CN(CCC(=O)N1CCOCC1)[C@@H]1CCC[C@H]1C#N ZINC000602976546 362918853 /nfs/dbraw/zinc/91/88/53/362918853.db2.gz QVOZXPNRWKATSB-QWHCGFSZSA-N 0 1 265.357 0.859 20 30 CCEDMN CC[C@]1(O)CCCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000602982191 362920264 /nfs/dbraw/zinc/92/02/64/362920264.db2.gz OVRSXVSNBKAXBP-HNNXBMFYSA-N 0 1 292.383 0.879 20 30 CCEDMN Cc1nnc(N2CCN(CCCSCC#N)CC2)n1C ZINC000602939358 362900515 /nfs/dbraw/zinc/90/05/15/362900515.db2.gz AUEPWERJLAHKLP-UHFFFAOYSA-N 0 1 294.428 0.892 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(C(=O)NC)CC1 ZINC000053847075 348534976 /nfs/dbraw/zinc/53/49/76/348534976.db2.gz NWKXUIINPLLGIN-JTQLQIEISA-N 0 1 253.346 0.135 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@H](CNC(C)=O)C1 ZINC000067415833 348773832 /nfs/dbraw/zinc/77/38/32/348773832.db2.gz YDXMRZVANNRUTA-WCQYABFASA-N 0 1 267.373 0.525 20 30 CCEDMN CN(C)C(=O)CCN1CCN(c2ncccc2C#N)CC1 ZINC000067457018 348775091 /nfs/dbraw/zinc/77/50/91/348775091.db2.gz LCPKPEPRQOJRDX-UHFFFAOYSA-N 0 1 287.367 0.554 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)OC[C@H]2C)CC1 ZINC000068063989 348795533 /nfs/dbraw/zinc/79/55/33/348795533.db2.gz RWVHKRBSYMQWGV-CHWSQXEVSA-N 0 1 264.369 0.967 20 30 CCEDMN N#Cc1cccc(CS(=O)(=O)NCCc2nc[nH]n2)c1 ZINC000080624000 349222969 /nfs/dbraw/zinc/22/29/69/349222969.db2.gz LUDGZXWDZIAHHM-UHFFFAOYSA-N 0 1 291.336 0.338 20 30 CCEDMN CS(=O)(=O)N[C@@H]1CCCN(CCCSCC#N)C1 ZINC000080627999 349223709 /nfs/dbraw/zinc/22/37/09/349223709.db2.gz ZKEDCSCVIBTSBR-LLVKDONJSA-N 0 1 291.442 0.647 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(c2nnc[nH]2)CC1 ZINC000083443517 349305375 /nfs/dbraw/zinc/30/53/75/349305375.db2.gz JDWATHMOQWKVHK-JTQLQIEISA-N 0 1 263.345 0.675 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](CNC(C)=O)C1 ZINC000126183060 350139808 /nfs/dbraw/zinc/13/98/08/350139808.db2.gz JRMYMFLEKKZBGI-AWEZNQCLSA-N 0 1 294.399 0.597 20 30 CCEDMN CCC[C@H](NC(=O)c1cc(C#N)c[nH]1)c1nn[nH]n1 ZINC000136648718 350425943 /nfs/dbraw/zinc/42/59/43/350425943.db2.gz FBOBWGPSRSGCCA-QMMMGPOBSA-N 0 1 259.273 0.671 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2nc[nH]n2)C[C@H](C)N1CC#N ZINC000603102662 362964116 /nfs/dbraw/zinc/96/41/16/362964116.db2.gz XSWWGSQKIIKLRQ-QWRGUYRKSA-N 0 1 276.344 0.182 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCCCC[C@H]1CO ZINC000156980959 350637542 /nfs/dbraw/zinc/63/75/42/350637542.db2.gz GSRCDRVNUXVGDR-AWEZNQCLSA-N 0 1 292.383 0.879 20 30 CCEDMN Cc1cc(C#N)ccc1CN1CCN(CCO)CC1 ZINC000165444774 350790059 /nfs/dbraw/zinc/79/00/59/350790059.db2.gz AJGFGSJVFZQDBB-UHFFFAOYSA-N 0 1 259.353 0.977 20 30 CCEDMN N#CCCN(CCN1CCOCC1)Cc1cnc[nH]1 ZINC000178856212 351249406 /nfs/dbraw/zinc/24/94/06/351249406.db2.gz WWDWSGDMTUZPNR-UHFFFAOYSA-N 0 1 263.345 0.458 20 30 CCEDMN Cc1ccc(C#N)cc1OC[C@@H](O)CN1CCN(C)CC1 ZINC000414155824 233647898 /nfs/dbraw/zinc/64/78/98/233647898.db2.gz VPIJGIUKABGPDB-HNNXBMFYSA-N 0 1 289.379 0.854 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1 ZINC000603408904 363116997 /nfs/dbraw/zinc/11/69/97/363116997.db2.gz GVINZHPSBACZHR-ZIAGYGMSSA-N 0 1 294.399 0.059 20 30 CCEDMN Cc1cc(S(=O)(=O)NCCc2nc[nH]n2)ccc1C#N ZINC000235857905 352189657 /nfs/dbraw/zinc/18/96/57/352189657.db2.gz WAXKVHOWYPTXQX-UHFFFAOYSA-N 0 1 291.336 0.506 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2cccc(C#N)n2)CC1 ZINC000265450062 352639938 /nfs/dbraw/zinc/63/99/38/352639938.db2.gz ARTKDLYBZBQBBB-UHFFFAOYSA-N 0 1 273.340 0.163 20 30 CCEDMN CCOC[C@@H](O)CN1CCN(c2ccc(C#N)nc2)CC1 ZINC000267343545 352761138 /nfs/dbraw/zinc/76/11/38/352761138.db2.gz DYILSYBMAKEIHP-HNNXBMFYSA-N 0 1 290.367 0.473 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CN2CCCCCC2=O)CC1 ZINC000604437381 363196273 /nfs/dbraw/zinc/19/62/73/363196273.db2.gz JAYUAUJSKHANNN-UHFFFAOYSA-N 0 1 292.383 0.493 20 30 CCEDMN C[C@H]1CN(c2ccc(C#N)nc2)[C@@H](C)CN1CCO ZINC000418994897 234281312 /nfs/dbraw/zinc/28/13/12/234281312.db2.gz CEPFZDCVDQGWSQ-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN(Cc3nc(=O)[nH][nH]3)C2)nc1 ZINC000292364431 198259707 /nfs/dbraw/zinc/25/97/07/198259707.db2.gz YDFGJNMFSFVMNY-JTQLQIEISA-N 0 1 286.295 0.018 20 30 CCEDMN COC(=O)CNC(=O)[C@H](C)N[C@@H](C)c1ccc(C#N)cc1 ZINC000271673498 191270179 /nfs/dbraw/zinc/27/01/79/191270179.db2.gz OCXWXVLCUVEOCG-QWRGUYRKSA-N 0 1 289.335 0.887 20 30 CCEDMN CC[C@@H]1CN(C(=O)c2ccc(C#N)[nH]2)CCN1C[C@@H](C)O ZINC000157073872 188296221 /nfs/dbraw/zinc/29/62/21/188296221.db2.gz GUTWBMCJYLUTOR-DGCLKSJQSA-N 0 1 290.367 0.804 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000294021922 353860941 /nfs/dbraw/zinc/86/09/41/353860941.db2.gz KSHOYHSZNBFGJL-UHFFFAOYSA-N 0 1 289.326 0.430 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](CC(C)(C)C(N)=O)C1 ZINC000295721831 353914601 /nfs/dbraw/zinc/91/46/01/353914601.db2.gz VIXUVTCRUAAXTB-OLZOCXBDSA-N 0 1 293.411 0.738 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@H](SC)C1 ZINC000296204459 353936799 /nfs/dbraw/zinc/93/67/99/353936799.db2.gz JKPNECWQEHICBE-ZJUUUORDSA-N 0 1 271.386 0.824 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N(C)C[C@@H](O)C1CC1 ZINC000305750815 354159135 /nfs/dbraw/zinc/15/91/35/354159135.db2.gz RLGLYPZTUOPQDE-CMPLNLGQSA-N 0 1 253.346 0.450 20 30 CCEDMN CC(=O)Nc1ccn([C@@H]2CCN([C@@H]3CCN(C)C3=O)C2)n1 ZINC000328644913 354245421 /nfs/dbraw/zinc/24/54/21/354245421.db2.gz ZNEDUDNWSGYNNT-VXGBXAGGSA-N 0 1 291.355 0.969 20 30 CCEDMN Cc1ncc(S(=O)(=O)NCCN(C)C)cc1C#N ZINC000330367407 354333420 /nfs/dbraw/zinc/33/34/20/354333420.db2.gz XXZLRQCVSORNSZ-UHFFFAOYSA-N 0 1 268.342 0.102 20 30 CCEDMN N#Cc1cc(CN2CC[C@@]3(CNC(=O)C3)C2)ccn1 ZINC000619057092 366172996 /nfs/dbraw/zinc/17/29/96/366172996.db2.gz WISHBIFPHCMQAF-CQSZACIVSA-N 0 1 256.309 0.665 20 30 CCEDMN N#Cc1ccnc(C(=O)N[C@@H]2CCN(C3CC3)C2)c1 ZINC000331448573 354577071 /nfs/dbraw/zinc/57/70/71/354577071.db2.gz FJRCFPJARLLMJF-LLVKDONJSA-N 0 1 256.309 0.920 20 30 CCEDMN C[C@H]1C[C@H](NC(=O)C(=O)NCCCCC#N)CN1C1CC1 ZINC000343337394 355769168 /nfs/dbraw/zinc/76/91/68/355769168.db2.gz MKXZILGREJISRQ-RYUDHWBXSA-N 0 1 292.383 0.538 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CCC(=O)OCC ZINC000343413605 355769873 /nfs/dbraw/zinc/76/98/73/355769873.db2.gz ADSCARZWTANWTO-SNVBAGLBSA-N 0 1 285.344 0.272 20 30 CCEDMN CC(C)(O)CCN[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000358665912 535292786 /nfs/dbraw/zinc/29/27/86/535292786.db2.gz WNONNAJZPNOMDM-LBPRGKRZSA-N 0 1 261.325 0.835 20 30 CCEDMN COc1cc(CN(C)[C@H]2COC[C@@H]2O)ccc1C#N ZINC000348639355 355958422 /nfs/dbraw/zinc/95/84/22/355958422.db2.gz DIXCNCIOBKYLHG-STQMWFEESA-N 0 1 262.309 0.758 20 30 CCEDMN CCOc1cccc(CNCCn2cnc(C#N)n2)n1 ZINC000353048835 356146578 /nfs/dbraw/zinc/14/65/78/356146578.db2.gz QVNKHFSQOVKAPQ-UHFFFAOYSA-N 0 1 272.312 0.733 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)Cc2noc(C)c2C#N)n[nH]1 ZINC000354616440 356453111 /nfs/dbraw/zinc/45/31/11/356453111.db2.gz DUFANTBPUSPXDK-ZETCQYMHSA-N 0 1 288.311 0.955 20 30 CCEDMN COCCN1CCCN(C(=O)c2ccc(C#N)nc2)CC1 ZINC000363066111 138085065 /nfs/dbraw/zinc/08/50/65/138085065.db2.gz KPAUZWCPUXXPAI-UHFFFAOYSA-N 0 1 288.351 0.748 20 30 CCEDMN Cn1ccnc1-c1cc(=NC(=O)c2cc(C#N)ccn2)[nH][nH]1 ZINC000355047182 356558718 /nfs/dbraw/zinc/55/87/18/356558718.db2.gz QTVIIVWCOVIEOG-UHFFFAOYSA-N 0 1 293.290 0.751 20 30 CCEDMN CO[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)[C@H](C)C1 ZINC000619662356 366315573 /nfs/dbraw/zinc/31/55/73/366315573.db2.gz CNFNZPYLGWKXJS-MWLCHTKSSA-N 0 1 277.328 0.745 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN([C@H](CC)CO)CC1 ZINC000619718741 366338704 /nfs/dbraw/zinc/33/87/04/366338704.db2.gz ZAPUHEFSMXDHDI-VXGBXAGGSA-N 0 1 256.346 0.103 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CC[C@@H](CN2CCOCC2)C1 ZINC000619718261 366338307 /nfs/dbraw/zinc/33/83/07/366338307.db2.gz DMHAKGBFEIDIOZ-QWHCGFSZSA-N 0 1 268.357 0.368 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CSC2(C)C)[nH]1 ZINC000619795481 366355755 /nfs/dbraw/zinc/35/57/55/366355755.db2.gz NTCOPAUPHDNLGQ-QMMMGPOBSA-N 0 1 265.342 0.729 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@H](OC(F)F)C2)[nH]1 ZINC000619795447 366355770 /nfs/dbraw/zinc/35/57/70/366355770.db2.gz LWWGNMRVJLJEBB-QMMMGPOBSA-N 0 1 285.254 0.559 20 30 CCEDMN CCN1CC[C@@H](NS(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000359945736 356923927 /nfs/dbraw/zinc/92/39/27/356923927.db2.gz SSJSXNBSIUPESW-GFCCVEGCSA-N 0 1 279.365 0.931 20 30 CCEDMN COC(=O)[C@H](CC(F)(F)F)NC(=O)C(C)C#N ZINC000361335825 357045499 /nfs/dbraw/zinc/04/54/99/357045499.db2.gz MUUGFBWRIYKMHW-RITPCOANSA-N 0 1 252.192 0.756 20 30 CCEDMN COc1ccc(CNC(=O)N=c2[nH]n(C)cc2C#N)cn1 ZINC000610527859 363572962 /nfs/dbraw/zinc/57/29/62/363572962.db2.gz UBXIEQLQBWLQSF-UHFFFAOYSA-N 0 1 286.295 0.439 20 30 CCEDMN CO[C@@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610560385 363575247 /nfs/dbraw/zinc/57/52/47/363575247.db2.gz BLXFKOVHARRIET-SNVBAGLBSA-N 0 1 263.301 0.356 20 30 CCEDMN Cc1noc([C@@H](C)NC(=O)N=c2[nH]n(C)cc2C#N)n1 ZINC000610561066 363576775 /nfs/dbraw/zinc/57/67/75/363576775.db2.gz CXTADSJUBZAPRE-ZCFIWIBFSA-N 0 1 275.272 0.288 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCCCC1)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000367830200 357281197 /nfs/dbraw/zinc/28/11/97/357281197.db2.gz ARAVPXFDBGZDGV-MQYQWHSLSA-N 0 1 291.395 0.888 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCSC2)[nH]1 ZINC000610569844 363580437 /nfs/dbraw/zinc/58/04/37/363580437.db2.gz BJFXUNORXATKBO-MRVPVSSYSA-N 0 1 251.315 0.341 20 30 CCEDMN CCC(CC)(CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610584871 363582345 /nfs/dbraw/zinc/58/23/45/363582345.db2.gz AMJSVCBYDHFHQL-UHFFFAOYSA-N 0 1 265.317 0.386 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000394797170 357758935 /nfs/dbraw/zinc/75/89/35/357758935.db2.gz LWANSOINFCCYQC-TZMCWYRMSA-N 0 1 264.373 0.432 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1c1ccc(C#N)c([N+](=O)[O-])c1 ZINC000450951165 236123767 /nfs/dbraw/zinc/12/37/67/236123767.db2.gz FIWZKBGVLHNQLH-LLVKDONJSA-N 0 1 290.323 0.969 20 30 CCEDMN COC(=O)C(C)(C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610698475 363600780 /nfs/dbraw/zinc/60/07/80/363600780.db2.gz HXDRUNYWCKTESA-UHFFFAOYSA-N 0 1 279.300 0.034 20 30 CCEDMN CNC(=O)NC(=O)CN[C@H](C)c1ccc(C#N)cc1 ZINC000271652153 283358179 /nfs/dbraw/zinc/35/81/79/283358179.db2.gz JFZWOAVOLPTNMQ-SECBINFHSA-N 0 1 260.297 0.664 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H](C)c2cnccn2)CC1 ZINC000491202099 358292475 /nfs/dbraw/zinc/29/24/75/358292475.db2.gz LSDZFVKUMMMACC-LBPRGKRZSA-N 0 1 272.352 0.999 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCc3c[nH]nc3C2)[nH]1 ZINC000610718293 363604365 /nfs/dbraw/zinc/60/43/65/363604365.db2.gz KQQLQJJFSRLGOB-SNVBAGLBSA-N 0 1 285.311 0.116 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C[C@](C)(O)C2CC2)CC1 ZINC000533707979 358546645 /nfs/dbraw/zinc/54/66/45/358546645.db2.gz YVNVWNYJABFJHX-ZDUSSCGKSA-N 0 1 265.357 0.642 20 30 CCEDMN CC1CC(C#N)(C(=O)N[C@H](CO)CN2CCCCC2)C1 ZINC000556853366 358553948 /nfs/dbraw/zinc/55/39/48/358553948.db2.gz RVENNOCSUPVECY-OWYJLGKBSA-N 0 1 279.384 0.889 20 30 CCEDMN Cn1cnc(=NC(=O)N2CCC(CCC#N)CC2)[nH]1 ZINC000563098272 358556171 /nfs/dbraw/zinc/55/61/71/358556171.db2.gz PNYSAHQSOABQIG-UHFFFAOYSA-N 0 1 262.317 0.785 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCSC[C@H]2CCO)[nH]1 ZINC000610876660 363625113 /nfs/dbraw/zinc/62/51/13/363625113.db2.gz LLIOZUONDGMNIV-SNVBAGLBSA-N 0 1 295.368 0.045 20 30 CCEDMN C[C@@H](O)C[N@H+]1CCN(c2cc(C#N)ccn2)C[C@H]1C ZINC000585706784 359265831 /nfs/dbraw/zinc/26/58/31/359265831.db2.gz GFUAMBVUMORPOQ-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cc(C#N)ccn2)C[C@H]1C ZINC000585706784 359265836 /nfs/dbraw/zinc/26/58/36/359265836.db2.gz GFUAMBVUMORPOQ-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H](O)C[N@H+]1CCN(c2cc(C#N)ccn2)C[C@@H]1C ZINC000585706782 359266025 /nfs/dbraw/zinc/26/60/25/359266025.db2.gz GFUAMBVUMORPOQ-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cc(C#N)ccn2)C[C@@H]1C ZINC000585706782 359266029 /nfs/dbraw/zinc/26/60/29/359266029.db2.gz GFUAMBVUMORPOQ-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)c1cccc(CC#N)c1 ZINC000585739432 359269043 /nfs/dbraw/zinc/26/90/43/359269043.db2.gz FOFOJQMLPOFNCX-UHFFFAOYSA-N 0 1 291.336 0.691 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@]3(C2)CCCOC3)[nH]1 ZINC000585797237 359277044 /nfs/dbraw/zinc/27/70/44/359277044.db2.gz JJWMHZIYZKGPCN-CQSZACIVSA-N 0 1 289.339 0.748 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N(CCC#N)CCOC ZINC000589278816 359376538 /nfs/dbraw/zinc/37/65/38/359376538.db2.gz VFRYRRXFBXXUMH-UHFFFAOYSA-N 0 1 291.417 0.520 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCc2cn[nH]c2C1)C1CC1 ZINC000611009072 363648538 /nfs/dbraw/zinc/64/85/38/363648538.db2.gz SCPLLUREUTUDAJ-CQSZACIVSA-N 0 1 273.340 0.576 20 30 CCEDMN CC(C)(C#N)C(=O)N1CC[C@@H](N2CC[C@@H](O)C2)C1 ZINC000588863993 359343888 /nfs/dbraw/zinc/34/38/88/359343888.db2.gz VLJIRGRWYSMDIV-GHMZBOCLSA-N 0 1 251.330 0.204 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)CC1(C#N)CC1 ZINC000590225177 359431593 /nfs/dbraw/zinc/43/15/93/359431593.db2.gz JFAIIWCTWKWWLL-LBPRGKRZSA-N 0 1 264.373 0.432 20 30 CCEDMN N#CCCCC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000589699903 359398488 /nfs/dbraw/zinc/39/84/88/359398488.db2.gz SUDWGKZTLCGFQZ-OLZOCXBDSA-N 0 1 265.357 0.738 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)CC2(C#N)CC2)[C@H](C)C1 ZINC000590332270 359440587 /nfs/dbraw/zinc/44/05/87/359440587.db2.gz BBRXTFTYIHBPSI-VXGBXAGGSA-N 0 1 265.357 0.594 20 30 CCEDMN CN1CC[C@@H]2CN(S(=O)(=O)c3cccc(C#N)c3)C[C@@H]21 ZINC000590762584 359519835 /nfs/dbraw/zinc/51/98/35/359519835.db2.gz RMTAFCNCQGPIMY-OCCSQVGLSA-N 0 1 291.376 0.883 20 30 CCEDMN CN1CC[C@@H]2CN(S(=O)(=O)c3ccc(C#N)cc3)C[C@@H]21 ZINC000590762554 359520093 /nfs/dbraw/zinc/52/00/93/359520093.db2.gz ISYJPWWADKGURU-OCCSQVGLSA-N 0 1 291.376 0.883 20 30 CCEDMN CC[C@H](C)[C@@H](CNC(=O)N=c1[nH]n(C)cc1C#N)OC ZINC000590819569 359526619 /nfs/dbraw/zinc/52/66/19/359526619.db2.gz NEWGPHNBHVSITH-GXSJLCMTSA-N 0 1 279.344 0.896 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCN(C(C)(C)COC)CC1 ZINC000591850305 359664956 /nfs/dbraw/zinc/66/49/56/359664956.db2.gz RHRDQGVZUVTCCG-LBPRGKRZSA-N 0 1 270.373 0.757 20 30 CCEDMN Cc1[nH]ncc1CCCNC(=O)N1CC[C@](O)(CC#N)C1 ZINC000591903753 359687543 /nfs/dbraw/zinc/68/75/43/359687543.db2.gz TWSGRWMTRIESCE-CQSZACIVSA-N 0 1 291.355 0.711 20 30 CCEDMN N#Cc1cnccc1CN[C@H](CO)[C@@H]1CCCOC1 ZINC000592124432 359760053 /nfs/dbraw/zinc/76/00/53/359760053.db2.gz COFYKCPGMBQWFG-TZMCWYRMSA-N 0 1 261.325 0.830 20 30 CCEDMN C[C@H]1CN(Cc2ccncc2C#N)[C@@H](C)CN1CCO ZINC000592125405 359760185 /nfs/dbraw/zinc/76/01/85/359760185.db2.gz WJNLWESJHVYGEZ-STQMWFEESA-N 0 1 274.368 0.840 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H](C)OC[C@H]2CCCO2)[nH]n1C ZINC000592647330 359908363 /nfs/dbraw/zinc/90/83/63/359908363.db2.gz TYCRTZQIUUYQBX-WDEREUQCSA-N 0 1 292.339 0.545 20 30 CCEDMN CC[C@@H]1CC[C@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)O1 ZINC000592655076 359910281 /nfs/dbraw/zinc/91/02/81/359910281.db2.gz VLFLMJDVFCVOMO-MWLCHTKSSA-N 0 1 262.313 0.918 20 30 CCEDMN C=CCCCC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297854211 200293592 /nfs/dbraw/zinc/29/35/92/200293592.db2.gz XUVITBMXUAQFJE-UHFFFAOYSA-N 0 1 263.301 0.992 20 30 CCEDMN CCN(CC(=O)N1CC[C@@](O)(CC#N)C1)C1CC1 ZINC000592844027 359951272 /nfs/dbraw/zinc/95/12/72/359951272.db2.gz AIVMPLPITUVGRF-ZDUSSCGKSA-N 0 1 251.330 0.348 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)c2ccc3cncn3c2)C1 ZINC000592851266 359955195 /nfs/dbraw/zinc/95/51/95/359955195.db2.gz BQZQYRGEOXQNSE-CQSZACIVSA-N 0 1 270.292 0.825 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC([C@@H](C)CO)CC1 ZINC000593084077 360030425 /nfs/dbraw/zinc/03/04/25/360030425.db2.gz MHYVJMBWYVWSKH-NSHDSACASA-N 0 1 283.372 0.339 20 30 CCEDMN N#Cc1cccnc1N1CCN(Cc2nnc[nH]2)CC1 ZINC000593507083 360102353 /nfs/dbraw/zinc/10/23/53/360102353.db2.gz BFOHPYGPJQUOCX-UHFFFAOYSA-N 0 1 269.312 0.394 20 30 CCEDMN C[C@H](C(=O)N1[C@@H](C)CN(C)[C@H](C)[C@@H]1C)n1cnc(C#N)n1 ZINC000593189474 360051822 /nfs/dbraw/zinc/05/18/22/360051822.db2.gz QOMSTHKTTYFDQT-WHOHXGKFSA-N 0 1 290.371 0.650 20 30 CCEDMN N#Cc1ccc(N2CCN(Cc3nnc[nH]3)CC2)nc1 ZINC000593507179 360102557 /nfs/dbraw/zinc/10/25/57/360102557.db2.gz FAZINNHJCVCUSF-UHFFFAOYSA-N 0 1 269.312 0.394 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)cc1 ZINC000593858771 360178311 /nfs/dbraw/zinc/17/83/11/360178311.db2.gz KXPXNISTHFPJMF-UHFFFAOYSA-N 0 1 296.334 0.634 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[N@H+]3C[C@H](O)C[C@H]3C2)cc1[O-] ZINC000594391738 360290808 /nfs/dbraw/zinc/29/08/08/360290808.db2.gz CRDXADRPEWLDNM-QWHCGFSZSA-N 0 1 287.319 0.155 20 30 CCEDMN N#Cc1ccc(N2CCN(C[C@@H]3CCCO3)CC2)nc1N ZINC000594539379 360326917 /nfs/dbraw/zinc/32/69/17/360326917.db2.gz FGQCERGBQSXTLQ-ZDUSSCGKSA-N 0 1 287.367 0.836 20 30 CCEDMN Cc1onc(CC(=O)N2CCN(CC3CC3)CC2)c1C#N ZINC000611524025 363733356 /nfs/dbraw/zinc/73/33/56/363733356.db2.gz OSCDDTMQCRNBJO-UHFFFAOYSA-N 0 1 288.351 0.951 20 30 CCEDMN CC[C@H]1CN(C(=O)c2cc(C#N)c[nH]2)CCN1C[C@@H](C)O ZINC000177900419 189077723 /nfs/dbraw/zinc/07/77/23/189077723.db2.gz ARNBCARJGUDXLR-YPMHNXCESA-N 0 1 290.367 0.804 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCN(CCc2ccc(C#N)cc2)C1 ZINC000595394360 360517731 /nfs/dbraw/zinc/51/77/31/360517731.db2.gz HYLJWNICHHBCQJ-CQSZACIVSA-N 0 1 293.392 0.724 20 30 CCEDMN CCOC(=O)c1c(C)[nH]nc1CN(CC#N)CCOC ZINC000595523819 360561462 /nfs/dbraw/zinc/56/14/62/360561462.db2.gz NJLJVJOIGOVBAH-UHFFFAOYSA-N 0 1 280.328 0.867 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N1CC[C@](C)(C#N)C1 ZINC000595552256 360577232 /nfs/dbraw/zinc/57/72/32/360577232.db2.gz RKQOFMJMFPDCTN-UKRRQHHQSA-N 0 1 293.415 0.567 20 30 CCEDMN CNS(=O)(=O)CCCN1CCC[C@H](CC#N)C1 ZINC000595617384 360599683 /nfs/dbraw/zinc/59/96/83/360599683.db2.gz ZLIRDZPUPUGEDM-LLVKDONJSA-N 0 1 259.375 0.551 20 30 CCEDMN C[C@@]1(C#N)CCN(C(=O)NCCN2CCCOCC2)C1 ZINC000595639355 360603610 /nfs/dbraw/zinc/60/36/10/360603610.db2.gz UMAZHMQLZNTQDM-AWEZNQCLSA-N 0 1 280.372 0.654 20 30 CCEDMN C[C@@]12COC[C@H]1CN(CC(=O)N(CCC#N)CCC#N)C2 ZINC000595761508 360644512 /nfs/dbraw/zinc/64/45/12/360644512.db2.gz XIUICEPPKHUPEX-UKRRQHHQSA-N 0 1 290.367 0.611 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@@H]2NC(=O)CC[C@@H]2C1 ZINC000595737163 360634677 /nfs/dbraw/zinc/63/46/77/360634677.db2.gz GOZCMYXCVBKDEX-UPJWGTAASA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@@H](C)C1O ZINC000595747611 360637550 /nfs/dbraw/zinc/63/75/50/360637550.db2.gz AIIPMZDQCWINOJ-UEKVPHQBSA-N 0 1 279.384 0.744 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC1(C(=O)OC)CCCCC1 ZINC000595864038 360682957 /nfs/dbraw/zinc/68/29/57/360682957.db2.gz NOHPECQVFMTVNP-LLVKDONJSA-N 0 1 284.356 0.115 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@H](C)[C@@](C)(CO)C1 ZINC000595870483 360686128 /nfs/dbraw/zinc/68/61/28/360686128.db2.gz GPOXFSKSYSKBMX-OUCADQQQSA-N 0 1 279.384 0.888 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@@]1(C)CO ZINC000595878929 360688466 /nfs/dbraw/zinc/68/84/66/360688466.db2.gz WQVVJBMHQSZCIY-UGFHNGPFSA-N 0 1 279.384 0.745 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC2(COC2)C1 ZINC000595836225 360674207 /nfs/dbraw/zinc/67/42/07/360674207.db2.gz VVHGTNFJTXNZRF-SNVBAGLBSA-N 0 1 267.329 0.109 20 30 CCEDMN Cn1ncc(C#N)c1N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000596111684 360797590 /nfs/dbraw/zinc/79/75/90/360797590.db2.gz ARDMYYRXXGBJOE-OLZOCXBDSA-N 0 1 275.356 0.327 20 30 CCEDMN CCc1nc(C#N)cc(N2CCN(CCO)C(C)(C)C2)n1 ZINC000596220964 360839691 /nfs/dbraw/zinc/83/96/91/360839691.db2.gz FWSSRDAFXJMKBI-UHFFFAOYSA-N 0 1 289.383 0.804 20 30 CCEDMN CCc1nc(C#N)cc(N2CCN(C[C@@H](C)O)C[C@@H]2C)n1 ZINC000596200364 360832462 /nfs/dbraw/zinc/83/24/62/360832462.db2.gz NATYXOCCDSAJFF-NWDGAFQWSA-N 0 1 289.383 0.802 20 30 CCEDMN CN(CC1CN(C)C1)c1nccc(C#N)c1[N+](=O)[O-] ZINC000596452804 360899315 /nfs/dbraw/zinc/89/93/15/360899315.db2.gz GOXPOCZAKJIYEV-UHFFFAOYSA-N 0 1 261.285 0.859 20 30 CCEDMN CN(C)C1(C(=O)N2CCN(c3ccc(C#N)cn3)CC2)CC1 ZINC000596886783 360973298 /nfs/dbraw/zinc/97/32/98/360973298.db2.gz QHWMOMGYTGGRMV-UHFFFAOYSA-N 0 1 299.378 0.696 20 30 CCEDMN CN(C)C1(C(=O)NCC2CCN(CC#N)CC2)CC1 ZINC000597295317 361054931 /nfs/dbraw/zinc/05/49/31/361054931.db2.gz XKFGTAPALBYTAS-UHFFFAOYSA-N 0 1 264.373 0.432 20 30 CCEDMN N#C[C@@]1(F)CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC000597543555 361134299 /nfs/dbraw/zinc/13/42/99/361134299.db2.gz AFOAPIBBZNKLAF-ZANVPECISA-N 0 1 262.288 0.979 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000597624444 361166972 /nfs/dbraw/zinc/16/69/72/361166972.db2.gz JHIAISIPKIISRK-LBPRGKRZSA-N 0 1 288.351 0.579 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000597677528 361188295 /nfs/dbraw/zinc/18/82/95/361188295.db2.gz FXIGJWYZCSJGQD-VXGBXAGGSA-N 0 1 265.357 0.858 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(C[C@@H](O)CC(C)(C)C#N)C1 ZINC000598619523 361461621 /nfs/dbraw/zinc/46/16/21/361461621.db2.gz SEZHVTAKJABBBH-TUAOUCFPSA-N 0 1 293.371 0.867 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](C)[C@@H](CO)C1 ZINC000598647145 361472347 /nfs/dbraw/zinc/47/23/47/361472347.db2.gz CAJVKTNAZXXFKB-QWHCGFSZSA-N 0 1 267.373 0.699 20 30 CCEDMN COCCCNC(=O)CN(C)C[C@@H](O)CC(C)(C)C#N ZINC000598590741 361451189 /nfs/dbraw/zinc/45/11/89/361451189.db2.gz WFGULZUGRUSDIJ-LBPRGKRZSA-N 0 1 285.388 0.372 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)C(=O)c1ccc(C#N)n1C ZINC000598745787 361508148 /nfs/dbraw/zinc/50/81/48/361508148.db2.gz PVRLZTDXFPFOLZ-UHFFFAOYSA-N 0 1 261.241 0.258 20 30 CCEDMN CN1CCOC[C@H]1CNCc1nc(C#N)cs1 ZINC000599004640 361550488 /nfs/dbraw/zinc/55/04/88/361550488.db2.gz VOTBZYXGWOOSMD-SNVBAGLBSA-N 0 1 252.343 0.435 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)NC2(C#N)CCC2)cn1 ZINC000599182864 361602345 /nfs/dbraw/zinc/60/23/45/361602345.db2.gz NLFFRNMPEQKPHT-UHFFFAOYSA-N 0 1 294.336 0.629 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599141954 361588000 /nfs/dbraw/zinc/58/80/00/361588000.db2.gz JXFXLSCODMBGDA-VIFPVBQESA-N 0 1 296.352 0.685 20 30 CCEDMN C=CC[C@H](C)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599237462 361617648 /nfs/dbraw/zinc/61/76/48/361617648.db2.gz RHJCXVJUQJMNRJ-ZETCQYMHSA-N 0 1 274.302 0.571 20 30 CCEDMN COC(=O)c1cc(S(=O)(=O)NCC2(C#N)CCC2)on1 ZINC000599325328 361652003 /nfs/dbraw/zinc/65/20/03/361652003.db2.gz DJCPWOVYZOWTKI-UHFFFAOYSA-N 0 1 299.308 0.433 20 30 CCEDMN CCO[C@H](CN1CCN(C(=O)CC#N)CC1)C1CC1 ZINC000599433649 361698901 /nfs/dbraw/zinc/69/89/01/361698901.db2.gz KFCYNENPDBBNEZ-CYBMUJFWSA-N 0 1 265.357 0.859 20 30 CCEDMN Cc1nc(NS(C)(=O)=O)n(-c2ccc(C#N)cc2)n1 ZINC000614079738 364496898 /nfs/dbraw/zinc/49/68/98/364496898.db2.gz SIGJGZOBXNPDPS-UHFFFAOYSA-N 0 1 277.309 0.241 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000614387303 364591228 /nfs/dbraw/zinc/59/12/28/364591228.db2.gz AFUWJCQTDIANCQ-JGVFFNPUSA-N 0 1 253.258 0.028 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@H]2CCO)[nH]1 ZINC000614688557 364698434 /nfs/dbraw/zinc/69/84/34/364698434.db2.gz DVJBKAXTCFFSTK-SNVBAGLBSA-N 0 1 263.301 0.092 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000614793795 364732275 /nfs/dbraw/zinc/73/22/75/364732275.db2.gz BVMSEABWJLVAKG-KCJUWKMLSA-N 0 1 279.344 0.761 20 30 CCEDMN CN(CC(=O)N1CC[C@](F)(C#N)C1)[C@@H]1CCSC1 ZINC000615664719 365043052 /nfs/dbraw/zinc/04/30/52/365043052.db2.gz PRCVWFRKWIBQTI-PWSUYJOCSA-N 0 1 271.361 0.888 20 30 CCEDMN C=CC[C@H](C)NS(=O)(=O)CCCN1CCN(C)CC1 ZINC000631982477 370809845 /nfs/dbraw/zinc/80/98/45/370809845.db2.gz YVKRHLOOXPVOTH-ZDUSSCGKSA-N 0 1 289.445 0.508 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NCc2ccc(C#N)cc2)[C@@H]1CO ZINC000275985056 193180823 /nfs/dbraw/zinc/18/08/23/193180823.db2.gz FKCAHERHBFZPOA-IUODEOHRSA-N 0 1 287.363 0.877 20 30 CCEDMN C[C@H](CNC(=O)C1(C#N)CCCC1)N1CCN(C)CC1 ZINC000171133519 260936647 /nfs/dbraw/zinc/93/66/47/260936647.db2.gz RPBZPKVYCJKPCD-CYBMUJFWSA-N 0 1 278.400 0.822 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1CCc2nc[nH]c2C1 ZINC000627373903 368973285 /nfs/dbraw/zinc/97/32/85/368973285.db2.gz FJNBMHDNBLYDQR-LBPRGKRZSA-N 0 1 276.340 0.765 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000627434296 369000773 /nfs/dbraw/zinc/00/07/73/369000773.db2.gz CEDJSVVYFXEZRR-TXEJJXNPSA-N 0 1 281.400 0.914 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC(N2CCOCC2)CC1 ZINC000628123129 369185104 /nfs/dbraw/zinc/18/51/04/369185104.db2.gz BMTHZYNKGDIXCY-HNNXBMFYSA-N 0 1 282.384 0.637 20 30 CCEDMN C=CCCOCC(=O)N1CCC(N2CCOCC2)CC1 ZINC000628126416 369187807 /nfs/dbraw/zinc/18/78/07/369187807.db2.gz PRJPHHYHPUVACV-UHFFFAOYSA-N 0 1 282.384 0.902 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](C)[C@H]1CO ZINC000248861105 261205944 /nfs/dbraw/zinc/20/59/44/261205944.db2.gz ACWALGAUJDVZNB-JHJVBQTASA-N 0 1 267.373 0.697 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)CCc1c[nH]nn1 ZINC000633320359 371486165 /nfs/dbraw/zinc/48/61/65/371486165.db2.gz MQBVXMJMUYLWFH-MNOVXSKESA-N 0 1 250.302 0.587 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)CCc1cnn[nH]1 ZINC000633320359 371486170 /nfs/dbraw/zinc/48/61/70/371486170.db2.gz MQBVXMJMUYLWFH-MNOVXSKESA-N 0 1 250.302 0.587 20 30 CCEDMN C=CCn1c(Cc2cc(C)n[nH]2)nnc1N1CCOCC1 ZINC000634338201 372018092 /nfs/dbraw/zinc/01/80/92/372018092.db2.gz LDRAABWOMQIADR-UHFFFAOYSA-N 0 1 288.355 0.923 20 30 CCEDMN CC#CCCNC(=O)C(C)(C)CN1CCOCC1 ZINC000635777037 372893577 /nfs/dbraw/zinc/89/35/77/372893577.db2.gz CDRZAHFTIQGIHV-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@H](OC)[C@@H](C)CC)CC1 ZINC000637880958 374444035 /nfs/dbraw/zinc/44/40/35/374444035.db2.gz YBUCGVYVODHQBO-LSDHHAIUSA-N 0 1 297.443 0.967 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@H](OC)[C@H](C)CC)CC1 ZINC000637880957 374445060 /nfs/dbraw/zinc/44/50/60/374445060.db2.gz YBUCGVYVODHQBO-HUUCEWRRSA-N 0 1 297.443 0.967 20 30 CCEDMN C=CCCCCN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000574638869 335036202 /nfs/dbraw/zinc/03/62/02/335036202.db2.gz KZJVFWOHYHHSFH-UHFFFAOYSA-N 0 1 295.427 0.819 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639822741 376032125 /nfs/dbraw/zinc/03/21/25/376032125.db2.gz XAOYDDUULUDJAB-QWRGUYRKSA-N 0 1 266.345 0.989 20 30 CCEDMN C[C@@]1(CO)C[C@@H](O)CN1CC#Cc1ccc(F)cc1 ZINC000639851804 376073015 /nfs/dbraw/zinc/07/30/15/376073015.db2.gz BMJVZCALEUVZMK-CABCVRRESA-N 0 1 263.312 0.995 20 30 CCEDMN C=CCOCCN1CCN(CCc2cnccn2)CC1 ZINC000640104230 376237432 /nfs/dbraw/zinc/23/74/32/376237432.db2.gz XSIRLZBXCHIIMT-UHFFFAOYSA-N 0 1 276.384 0.839 20 30 CCEDMN C=C(C)Cn1cc(Cn2ccc(CNCCOC)n2)nn1 ZINC000640803636 376552165 /nfs/dbraw/zinc/55/21/65/376552165.db2.gz MXTJZPSVVSLVFN-UHFFFAOYSA-N 0 1 290.371 0.835 20 30 CCEDMN C=CCN(C(=O)/C=C/CN(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000264616649 190122841 /nfs/dbraw/zinc/12/28/41/190122841.db2.gz JRYJGQWZGCMSIN-FYJFLYSWSA-N 0 1 286.397 0.306 20 30 CCEDMN C=CCC[C@H](O)Cn1cc(CN2CCN(C)CC2)nn1 ZINC000641212862 376892016 /nfs/dbraw/zinc/89/20/16/376892016.db2.gz SJYFICHMFBRPLA-AWEZNQCLSA-N 0 1 279.388 0.353 20 30 CCEDMN CC#CC[C@@H](CO)NCc1cc(C(N)=O)cs1 ZINC000641767443 377452842 /nfs/dbraw/zinc/45/28/42/377452842.db2.gz LBAKQCSELUCFTI-JTQLQIEISA-N 0 1 252.339 0.711 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(C[C@@H]2C(=O)OC)CC1 ZINC000265041407 190154003 /nfs/dbraw/zinc/15/40/03/190154003.db2.gz KCACIWOKZZYMBC-VXGBXAGGSA-N 0 1 280.368 0.952 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC(c3n[nH]c(=O)o3)CC2)c[nH]1 ZINC000339188135 249005227 /nfs/dbraw/zinc/00/52/27/249005227.db2.gz FARNBGUBVZBHFH-UHFFFAOYSA-N 0 1 287.279 0.995 20 30 CCEDMN COc1ccc(CN(CCO)CCOCCO)cc1C#N ZINC000459803177 249063577 /nfs/dbraw/zinc/06/35/77/249063577.db2.gz YYVLKKBQACBVCV-UHFFFAOYSA-N 0 1 294.351 0.370 20 30 CCEDMN CCN1C[C@H](NCc2cnc3c(C#N)cnn3c2)CC1=O ZINC000515384652 249132263 /nfs/dbraw/zinc/13/22/63/249132263.db2.gz KYSUOBYAFMWYAV-GFCCVEGCSA-N 0 1 284.323 0.311 20 30 CCEDMN C=CC[C@H](C)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000265339795 190175536 /nfs/dbraw/zinc/17/55/36/190175536.db2.gz PEFMBCVLLKLCBN-VIFPVBQESA-N 0 1 274.328 0.870 20 30 CCEDMN CC#CC[C@H](CO)NS(=O)(=O)c1ccccc1O ZINC000657021151 412874584 /nfs/dbraw/zinc/87/45/84/412874584.db2.gz OGFQROQGLPNWGS-SNVBAGLBSA-N 0 1 269.322 0.445 20 30 CCEDMN C#CC[NH+]1CCC(C(=O)N[C@@H](CCC)c2nn[n-]n2)CC1 ZINC000267702010 190348143 /nfs/dbraw/zinc/34/81/43/190348143.db2.gz QFZCTWTUPKLAQK-LBPRGKRZSA-N 0 1 290.371 0.502 20 30 CCEDMN C[C@@H](NC(=O)C(=O)N1CCNCC1)c1ccc(C#N)cc1 ZINC000419272351 533028957 /nfs/dbraw/zinc/02/89/57/533028957.db2.gz AZDRPOKMBBSFBQ-LLVKDONJSA-N 0 1 286.335 0.167 20 30 CCEDMN C=CCCn1cc(CNC[C@@H](O)C2CCOCC2)nn1 ZINC000657368331 412975990 /nfs/dbraw/zinc/97/59/90/412975990.db2.gz OLMMFKBEHGATOZ-CQSZACIVSA-N 0 1 280.372 0.731 20 30 CCEDMN CN(C)C[C@H]1CCCN1S(=O)(=O)c1ccc(C#N)o1 ZINC000288368469 197060080 /nfs/dbraw/zinc/06/00/80/197060080.db2.gz YVRASFFEZZAQPA-SNVBAGLBSA-N 0 1 283.353 0.866 20 30 CCEDMN C#CCSCCNC(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000287575902 388854030 /nfs/dbraw/zinc/85/40/30/388854030.db2.gz WAHVFJQGRLESBW-MRVPVSSYSA-N 0 1 267.358 0.840 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000045943947 388874732 /nfs/dbraw/zinc/87/47/32/388874732.db2.gz CWUCFMZAYPWTOV-BETUJISGSA-N 0 1 264.369 0.967 20 30 CCEDMN COC(=O)[C@H](C)CN(C)CC(=O)N(CCC#N)CCC#N ZINC000050069413 388925307 /nfs/dbraw/zinc/92/53/07/388925307.db2.gz YUNOUFGUOIPYHW-GFCCVEGCSA-N 0 1 294.355 0.383 20 30 CCEDMN C#CCNC(=O)CCN1CCN(C2=NC[C@H](C)S2)CC1 ZINC000293681912 388986602 /nfs/dbraw/zinc/98/66/02/388986602.db2.gz BBBVCCBNZUFPCL-LBPRGKRZSA-N 0 1 294.424 0.235 20 30 CCEDMN C#CCNC(=O)CCN1CCN(Cc2ccncc2)CC1 ZINC000293708480 388987635 /nfs/dbraw/zinc/98/76/35/388987635.db2.gz DLOZRJJMCZEQPZ-UHFFFAOYSA-N 0 1 286.379 0.339 20 30 CCEDMN C=CCNC(=O)CCN1CCN(c2ccccn2)CC1 ZINC000078029156 388989297 /nfs/dbraw/zinc/98/92/97/388989297.db2.gz IATVFLALNCAUAF-UHFFFAOYSA-N 0 1 274.368 0.896 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@H]2C[C@@H](C1)Cn1c(=O)cccc12 ZINC000295672047 389008366 /nfs/dbraw/zinc/00/83/66/389008366.db2.gz RJPCDQJVGLWQJJ-MELADBBJSA-N 0 1 299.374 0.405 20 30 CCEDMN CC(C)C[C@@]1(CO)CCC[N@H+]1CC(=O)NCC#N ZINC000191659389 389014932 /nfs/dbraw/zinc/01/49/32/389014932.db2.gz BSJGTGMMWYNAFC-CYBMUJFWSA-N 0 1 253.346 0.499 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@H](N2CCN(CC)CC2)C1 ZINC000354279191 389019686 /nfs/dbraw/zinc/01/96/86/389019686.db2.gz MUIHUWGEGQKICA-HOCLYGCPSA-N 0 1 295.427 0.552 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)NCCN1CCCC1 ZINC000336880697 389027251 /nfs/dbraw/zinc/02/72/51/389027251.db2.gz ACUCHDLRBHCXSK-LLVKDONJSA-N 0 1 274.390 0.008 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(CC(C)(C)O)CC2)C1=O ZINC000337142058 389047091 /nfs/dbraw/zinc/04/70/91/389047091.db2.gz SSYPMXXQOSJZKT-CYBMUJFWSA-N 0 1 281.400 0.162 20 30 CCEDMN CC[C@@H](CO)N1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000304302287 389082103 /nfs/dbraw/zinc/08/21/03/389082103.db2.gz IIKQCISZMGZUHK-KBPBESRZSA-N 0 1 296.415 0.135 20 30 CCEDMN C=CCC[C@H](O)CN(C)CC(=O)N1CCOCC1 ZINC000305075678 389083770 /nfs/dbraw/zinc/08/37/70/389083770.db2.gz KXVSUAHNBAMHIW-LBPRGKRZSA-N 0 1 256.346 0.104 20 30 CCEDMN C=CCC[C@H](O)CN1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000305060399 389083937 /nfs/dbraw/zinc/08/39/37/389083937.db2.gz GIPULGKBTOYQAT-ZFWWWQNUSA-N 0 1 295.427 0.598 20 30 CCEDMN CS(=O)(=O)N1CC[C@H](CN(CCC#N)CC2CC2)C1 ZINC000338309077 389107687 /nfs/dbraw/zinc/10/76/87/389107687.db2.gz STQHOSLUMKKWBD-CYBMUJFWSA-N 0 1 285.413 0.894 20 30 CCEDMN C=CCOCCN1CCCC[C@H]1CNS(C)(=O)=O ZINC000338902282 389118837 /nfs/dbraw/zinc/11/88/37/389118837.db2.gz JMPBYDJGXOYGMX-LBPRGKRZSA-N 0 1 276.402 0.593 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C[C@H](C)C(=O)OC)C1CC1 ZINC000338940748 389119236 /nfs/dbraw/zinc/11/92/36/389119236.db2.gz WGRNYOMOWJRXAW-JTQLQIEISA-N 0 1 297.355 0.272 20 30 CCEDMN C[C@@H](C(=O)N(CCC#N)CCCN(C)C)S(C)(=O)=O ZINC000114682514 389131209 /nfs/dbraw/zinc/13/12/09/389131209.db2.gz OSBUAFYDBYQUMM-NSHDSACASA-N 0 1 289.401 0.113 20 30 CCEDMN C=CCCCN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000118003065 389136341 /nfs/dbraw/zinc/13/63/41/389136341.db2.gz GSURUCDRGPIGII-UHFFFAOYSA-N 0 1 293.367 0.246 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)C[C@@](C)(O)C2CC2)C1 ZINC000328795426 389138701 /nfs/dbraw/zinc/13/87/01/389138701.db2.gz TZUZQMALXBBQFD-TZMCWYRMSA-N 0 1 269.389 0.740 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N[C@@H]2CCO[C@@H]2C2CC2)C1 ZINC000328851237 389141854 /nfs/dbraw/zinc/14/18/54/389141854.db2.gz UZZCUVDJIDIWMJ-MGPQQGTHSA-N 0 1 296.415 0.303 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)N[C@@H]2CCCOC2)[C@@H](C)C1 ZINC000329674899 389186527 /nfs/dbraw/zinc/18/65/27/389186527.db2.gz UDJKICYGKRMWHD-RWMBFGLXSA-N 0 1 285.388 0.466 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2=CCCOC2)[C@@H](C)C1 ZINC000329712445 389191116 /nfs/dbraw/zinc/19/11/16/389191116.db2.gz QCJIGKROQZSRSY-STQMWFEESA-N 0 1 297.399 0.634 20 30 CCEDMN C[C@H](NC(=O)NCCN1CCCOCC1)[C@@H]1CCOC1 ZINC000329804871 389203151 /nfs/dbraw/zinc/20/31/51/389203151.db2.gz MMQLKNNRFFGPQN-QWHCGFSZSA-N 0 1 285.388 0.637 20 30 CCEDMN C[C@@H](NC(=O)NCCN1CCOCC1)C1=CC[N@@H+](C)CC1 ZINC000329318822 389157012 /nfs/dbraw/zinc/15/70/12/389157012.db2.gz IHYINPCHWXSATO-CYBMUJFWSA-N 0 1 296.415 0.473 20 30 CCEDMN Cn1nnc2cc(C(=O)N[C@H]3CCN(C4CC4)C3)cnc21 ZINC000329346918 389158774 /nfs/dbraw/zinc/15/87/74/389158774.db2.gz ROHWQBFKDLGBGK-JTQLQIEISA-N 0 1 286.339 0.905 20 30 CCEDMN O=C(N[C@H]1CCCOC1)c1cccn2c(O)nnc12 ZINC000329363638 389160087 /nfs/dbraw/zinc/16/00/87/389160087.db2.gz ZOPKUGZNRHGHJE-QMMMGPOBSA-N 0 1 262.269 0.919 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+](C)CC1(O)CCCC1 ZINC000124267985 389160481 /nfs/dbraw/zinc/16/04/81/389160481.db2.gz NRBJRDASLKDYFD-UHFFFAOYSA-N 0 1 269.345 0.235 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)CC1(O)CCCC1 ZINC000124267985 389160484 /nfs/dbraw/zinc/16/04/84/389160484.db2.gz NRBJRDASLKDYFD-UHFFFAOYSA-N 0 1 269.345 0.235 20 30 CCEDMN Cc1nnc2n1C[C@H](C[NH+]=C([O-])N1CCC[C@H]1CO)CC2 ZINC000329572476 389171719 /nfs/dbraw/zinc/17/17/19/389171719.db2.gz RAMRCDNFZDIWIQ-RYUDHWBXSA-N 0 1 293.371 0.520 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)CN(C)[C@H]1CCSC1 ZINC000330565394 389241716 /nfs/dbraw/zinc/24/17/16/389241716.db2.gz KOHRKEWNXUSUIG-CMPLNLGQSA-N 0 1 271.386 0.469 20 30 CCEDMN COc1cc2c(cc1F)[nH]cc(C(=O)NCC#N)c2=O ZINC000343284652 389262219 /nfs/dbraw/zinc/26/22/19/389262219.db2.gz UQDIIHYEOJLCNQ-UHFFFAOYSA-N 0 1 275.239 0.929 20 30 CCEDMN CC#CCNC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000135976574 389263507 /nfs/dbraw/zinc/26/35/07/389263507.db2.gz RHVGHTMVSMFUDZ-AWEZNQCLSA-N 0 1 279.384 0.764 20 30 CCEDMN O=C(NCCn1cncn1)N1CC[C@H]([C@H]2CCOC2)C1 ZINC000329881969 389208539 /nfs/dbraw/zinc/20/85/39/389208539.db2.gz PGCJJJBTHKANHR-RYUDHWBXSA-N 0 1 279.344 0.551 20 30 CCEDMN CNC(=O)N[C@H]1CCN(CCOc2cccc(C#N)c2)C1 ZINC000342354081 389213711 /nfs/dbraw/zinc/21/37/11/389213711.db2.gz LIRJFRLMSYHMLX-ZDUSSCGKSA-N 0 1 288.351 0.940 20 30 CCEDMN CCN(CC(=O)N(CCC#N)CCC#N)C[C@H](C)OC ZINC000361558286 389219194 /nfs/dbraw/zinc/21/91/94/389219194.db2.gz GQFOGZUUIXINDL-ZDUSSCGKSA-N 0 1 280.372 0.999 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000330547536 389240214 /nfs/dbraw/zinc/24/02/14/389240214.db2.gz CPDWRWCGDPYYLS-ZJUUUORDSA-N 0 1 264.329 0.210 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000330547536 389240218 /nfs/dbraw/zinc/24/02/18/389240218.db2.gz CPDWRWCGDPYYLS-ZJUUUORDSA-N 0 1 264.329 0.210 20 30 CCEDMN CNS(=O)(=O)[C@H]1CCN(CCCSCC#N)C1 ZINC000155907618 389321942 /nfs/dbraw/zinc/32/19/42/389321942.db2.gz UNYYPLHYEWYRCX-JTQLQIEISA-N 0 1 277.415 0.257 20 30 CCEDMN C=C(C)CNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000156763452 389327023 /nfs/dbraw/zinc/32/70/23/389327023.db2.gz ONCMVIZIDINKEB-LBPRGKRZSA-N 0 1 254.378 0.498 20 30 CCEDMN CCOCCO[C@H]1CCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000157699173 389332381 /nfs/dbraw/zinc/33/23/81/389332381.db2.gz ZHEFADHDNYOGOZ-KBPBESRZSA-N 0 1 297.399 0.874 20 30 CCEDMN CO[C@H](C)[C@H](C)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000330977721 389270352 /nfs/dbraw/zinc/27/03/52/389270352.db2.gz LFLFUPGUVACIIB-QJPTWQEYSA-N 0 1 272.393 0.159 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](CO)C[C@@H]1C ZINC000344131606 389279005 /nfs/dbraw/zinc/27/90/05/389279005.db2.gz NUNSIIGENGVSBQ-QWHCGFSZSA-N 0 1 267.373 0.841 20 30 CCEDMN C=CCOCCCC(=O)NC1(c2nn[n-]n2)CC1 ZINC000348299000 389373833 /nfs/dbraw/zinc/37/38/33/389373833.db2.gz VMECYNUOAWTYTC-UHFFFAOYSA-N 0 1 251.290 0.288 20 30 CCEDMN C=CCOCCCC(=O)NC1(c2nn[nH]n2)CC1 ZINC000348299000 389373837 /nfs/dbraw/zinc/37/38/37/389373837.db2.gz VMECYNUOAWTYTC-UHFFFAOYSA-N 0 1 251.290 0.288 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C)CC1(O)CCOCC1 ZINC000162257223 389416759 /nfs/dbraw/zinc/41/67/59/389416759.db2.gz PCDOHLYTFFJKBF-UHFFFAOYSA-N 0 1 284.400 0.884 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2nccc(OC)n2)CC1 ZINC000268557178 389382452 /nfs/dbraw/zinc/38/24/52/389382452.db2.gz OGBHTVLMVQOLMR-CYBMUJFWSA-N 0 1 292.383 0.934 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2nccc(OC)n2)CC1 ZINC000268557180 389382487 /nfs/dbraw/zinc/38/24/87/389382487.db2.gz OGBHTVLMVQOLMR-ZDUSSCGKSA-N 0 1 292.383 0.934 20 30 CCEDMN N#Cc1ccc(CNCCN2CCCS2(=O)=O)c(F)c1 ZINC000269463118 389419073 /nfs/dbraw/zinc/41/90/73/389419073.db2.gz YOEBOTDMFGOIJQ-UHFFFAOYSA-N 0 1 297.355 0.822 20 30 CCEDMN CN(CCC#N)C(=O)CCN1C[C@H](CO)OC(C)(C)C1 ZINC000163111397 389425098 /nfs/dbraw/zinc/42/50/98/389425098.db2.gz YMUKJIZLMDITSI-GFCCVEGCSA-N 0 1 283.372 0.220 20 30 CCEDMN C#CCCN1CCN(c2nccn3cnnc23)[C@@H](C)C1 ZINC000270522390 389433264 /nfs/dbraw/zinc/43/32/64/389433264.db2.gz MNQOHHNBLOAJSA-LBPRGKRZSA-N 0 1 270.340 0.658 20 30 CCEDMN COCCCN(C)CCNS(=O)(=O)CC1(C#N)CC1 ZINC000165684395 389440171 /nfs/dbraw/zinc/44/01/71/389440171.db2.gz OCJXWCFZFGEKDY-UHFFFAOYSA-N 0 1 289.401 0.178 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCSC[C@@H]2C#N)CC1 ZINC000271745205 389444752 /nfs/dbraw/zinc/44/47/52/389444752.db2.gz QTZGGXGTBBEPIF-ZDUSSCGKSA-N 0 1 277.393 0.799 20 30 CCEDMN C#CCOCCC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000372302122 389447495 /nfs/dbraw/zinc/44/74/95/389447495.db2.gz NBRFFHXQJFSNGS-KGLIPLIRSA-N 0 1 280.368 0.084 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000334077640 389454066 /nfs/dbraw/zinc/45/40/66/389454066.db2.gz KFVFIFNNWLXXMG-RQJABVFESA-N 0 1 297.399 0.426 20 30 CCEDMN C=C(C)CN(C)CC(=O)N1CCC(C(=O)OC)CC1 ZINC000351100537 389564478 /nfs/dbraw/zinc/56/44/78/389564478.db2.gz MMUNTVIJZHIDGC-UHFFFAOYSA-N 0 1 268.357 0.906 20 30 CCEDMN Cc1noc([C@H](C)NS(=O)(=O)c2cccnc2C#N)n1 ZINC000428242359 389688169 /nfs/dbraw/zinc/68/81/69/389688169.db2.gz LXOWEHDHZFPPPZ-ZETCQYMHSA-N 0 1 293.308 0.684 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2cccc(C#N)c2)C[C@@H]1O ZINC000351818009 389689591 /nfs/dbraw/zinc/68/95/91/389689591.db2.gz XNESHDJHOGFDNS-FZMZJTMJSA-N 0 1 273.336 0.487 20 30 CCEDMN CC(C)(CO)[C@@H](NCC(=O)NCC#N)c1ccccc1 ZINC000352351338 389795618 /nfs/dbraw/zinc/79/56/18/389795618.db2.gz XMDSDJBFBJWIPB-AWEZNQCLSA-N 0 1 275.352 0.976 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NC[C@@H]1N(C)CCCC1(C)C ZINC000416475308 389746935 /nfs/dbraw/zinc/74/69/35/389746935.db2.gz JFOFUXVHISCGOT-QWRGUYRKSA-N 0 1 273.402 0.938 20 30 CCEDMN N#Cc1cccc2c1CN(C(=O)[C@@H]1CNCCO1)C2 ZINC000405089653 389813290 /nfs/dbraw/zinc/81/32/90/389813290.db2.gz MDYFOQWTUBJUNS-ZDUSSCGKSA-N 0 1 257.293 0.389 20 30 CCEDMN N#Cc1ccc(CNCCN2CCCS2(=O)=O)cc1F ZINC000405315532 389813658 /nfs/dbraw/zinc/81/36/58/389813658.db2.gz JAXAJTYRQGRODW-UHFFFAOYSA-N 0 1 297.355 0.822 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@H]([C@@H]2CCOC2)C1 ZINC000441289193 389829540 /nfs/dbraw/zinc/82/95/40/389829540.db2.gz CZBMGAUQSCRMGR-NWDGAFQWSA-N 0 1 251.330 0.375 20 30 CCEDMN C=CCC[C@H](O)CN1C[C@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000352989589 389843654 /nfs/dbraw/zinc/84/36/54/389843654.db2.gz PWOROFPJOYPSOD-GRYCIOLGSA-N 0 1 259.371 0.432 20 30 CCEDMN C[C@H]1CCN(CC(=O)NCc2cccc(C#N)c2)[C@H]1CO ZINC000442890376 389865266 /nfs/dbraw/zinc/86/52/66/389865266.db2.gz HRSFAAPXCINGKR-WFASDCNBSA-N 0 1 287.363 0.877 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1C[C@H](C)NC[C@H]1C ZINC000418892181 389885310 /nfs/dbraw/zinc/88/53/10/389885310.db2.gz VRPULIOHIBMDAV-WDEREUQCSA-N 0 1 253.346 0.278 20 30 CCEDMN CC(C)(C#N)CCCCN1CCN(CC(N)=O)CC1 ZINC000444593296 389909796 /nfs/dbraw/zinc/90/97/96/389909796.db2.gz HYJKOWGUICPKHQ-UHFFFAOYSA-N 0 1 266.389 0.809 20 30 CCEDMN Cc1noc(CNS(=O)(=O)c2ccc(F)cc2C#N)n1 ZINC000447870954 389967282 /nfs/dbraw/zinc/96/72/82/389967282.db2.gz GPIRVTUNOMQBMZ-UHFFFAOYSA-N 0 1 296.283 0.867 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@H](COC)[C@H]1CCCO1 ZINC000434795947 389946686 /nfs/dbraw/zinc/94/66/86/389946686.db2.gz RRHJIDRYSZSYLY-CHWSQXEVSA-N 0 1 283.372 0.532 20 30 CCEDMN C=C[C@@H](C)Nc1nc(NCCOC)nc(N[C@H](C)C=C)n1 ZINC000448133537 389979294 /nfs/dbraw/zinc/97/92/94/389979294.db2.gz XOYVIXVBDYRXSB-GHMZBOCLSA-N 0 1 292.387 0.168 20 30 CCEDMN C[C@@H]1[C@@H](CO)CCN1CC(=O)NCc1ccc(C#N)cc1 ZINC000488770238 390096219 /nfs/dbraw/zinc/09/62/19/390096219.db2.gz UPIKAFWEXMJVNF-IUODEOHRSA-N 0 1 287.363 0.877 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)[C@H](C)[NH+]1CC(C)C1 ZINC000491386001 390112735 /nfs/dbraw/zinc/11/27/35/390112735.db2.gz UCNQAIFXGWFXCQ-ZDUSSCGKSA-N 0 1 251.374 0.398 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H]1CO[C@H](C)C1 ZINC000491453377 390113515 /nfs/dbraw/zinc/11/35/15/390113515.db2.gz QWBKUPNANJOBDI-VXGBXAGGSA-N 0 1 253.346 0.418 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN2C(=O)OCC[C@@H]2C1 ZINC000494035279 390142457 /nfs/dbraw/zinc/14/24/57/390142457.db2.gz XMKHELDRMXHXTN-VXGBXAGGSA-N 0 1 254.330 0.840 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)[nH]1 ZINC000538225347 390189825 /nfs/dbraw/zinc/18/98/25/390189825.db2.gz UNULCJYNQLYORI-MRVPVSSYSA-N 0 1 271.284 0.419 20 30 CCEDMN CNS(=O)(=O)NC1CCN([C@H](C)CCC#N)CC1 ZINC000579481155 390178502 /nfs/dbraw/zinc/17/85/02/390178502.db2.gz VGDTZWYVSUQKMK-SNVBAGLBSA-N 0 1 274.390 0.197 20 30 CCEDMN CC[C@]1(O)CCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000495208575 390225728 /nfs/dbraw/zinc/22/57/28/390225728.db2.gz FSLDCJIHJYRRIZ-AWEZNQCLSA-N 0 1 278.356 0.489 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cccc3nn[nH]c32)CCO1 ZINC000543750064 390291542 /nfs/dbraw/zinc/29/15/42/390291542.db2.gz PIFOJXFBGFBIDY-MRVPVSSYSA-N 0 1 257.253 0.322 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)NCC1(C#N)CC1 ZINC000583256488 390276578 /nfs/dbraw/zinc/27/65/78/390276578.db2.gz MGMLGLRIJWFIDM-LBPRGKRZSA-N 0 1 279.388 0.225 20 30 CCEDMN C=CCN(C)CN1C[C@]2(CN3CCC2CC3)OC1=O ZINC000496295221 390334871 /nfs/dbraw/zinc/33/48/71/390334871.db2.gz OLQLVXUPCAQLIB-AWEZNQCLSA-N 0 1 265.357 0.978 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(C)C[C@@H]2CC)C1=O ZINC000644938383 390350071 /nfs/dbraw/zinc/35/00/71/390350071.db2.gz CTCRRGXWXHPIKC-QWHCGFSZSA-N 0 1 251.374 0.799 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C)C[C@@H]2CC)C1=O ZINC000644938384 390350111 /nfs/dbraw/zinc/35/01/11/390350111.db2.gz CTCRRGXWXHPIKC-STQMWFEESA-N 0 1 251.374 0.799 20 30 CCEDMN C=CCn1cc(C(=O)NCc2n[nH]c(C3CC3)n2)nn1 ZINC000648918064 390444029 /nfs/dbraw/zinc/44/40/29/390444029.db2.gz LDBOOFKPCVJMKJ-UHFFFAOYSA-N 0 1 273.300 0.390 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CN2CCOC2=O)C1 ZINC000496864378 390376616 /nfs/dbraw/zinc/37/66/16/390376616.db2.gz LAMSOVIZEYHNSC-NSHDSACASA-N 0 1 267.329 0.063 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CCN(C3CC3)C2)nn1 ZINC000562994558 390491973 /nfs/dbraw/zinc/49/19/73/390491973.db2.gz CXZNZEJBLXCVAQ-LLVKDONJSA-N 0 1 275.356 0.821 20 30 CCEDMN CC[C@H](CC#N)NCCc1cn(CC(=O)OC)nn1 ZINC000564666784 390496455 /nfs/dbraw/zinc/49/64/55/390496455.db2.gz PSFZEZZBSXYNIO-SNVBAGLBSA-N 0 1 265.317 0.275 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H](C)c2nnc[nH]2)nn1 ZINC000564861415 390497525 /nfs/dbraw/zinc/49/75/25/390497525.db2.gz DVEYVWODPYWPDL-MRVPVSSYSA-N 0 1 261.289 0.463 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000565303390 390501722 /nfs/dbraw/zinc/50/17/22/390501722.db2.gz NJHXWWLSRWPVLQ-VWYCJHECSA-N 0 1 297.355 0.429 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)[C@@H]1CCCCN1CC ZINC000649188386 390516422 /nfs/dbraw/zinc/51/64/22/390516422.db2.gz JMKWJPAZKVZRCK-STQMWFEESA-N 0 1 252.358 0.751 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N[C@H](C)c1nnc[nH]1 ZINC000556432168 390480459 /nfs/dbraw/zinc/48/04/59/390480459.db2.gz IKUQROIYZUSLQD-MRVPVSSYSA-N 0 1 256.269 0.871 20 30 CCEDMN CC[NH2+]CCC[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000566502807 390624592 /nfs/dbraw/zinc/62/45/92/390624592.db2.gz BATYSUUKCYPBIM-UHFFFAOYSA-N 0 1 257.315 0.429 20 30 CCEDMN Cc1nc(CN2CCN(c3ncncc3C#N)CC2)n[nH]1 ZINC000566853617 390653672 /nfs/dbraw/zinc/65/36/72/390653672.db2.gz YRCRFFNOQOAWME-UHFFFAOYSA-N 0 1 284.327 0.097 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000646118397 390606989 /nfs/dbraw/zinc/60/69/89/390606989.db2.gz DXUQVTZNEWJZBI-CQSZACIVSA-N 0 1 263.385 0.686 20 30 CCEDMN COC[C@]1(C(=O)OC)CCN(Cc2ccc(C#N)cn2)C1 ZINC000567624418 390707426 /nfs/dbraw/zinc/70/74/26/390707426.db2.gz OLRWLRCHHXRDJF-HNNXBMFYSA-N 0 1 289.335 0.965 20 30 CCEDMN C=CCn1cc(CNC(=O)N2C[C@@H](C)N(C)[C@@H](C)C2)nn1 ZINC000567734206 390711175 /nfs/dbraw/zinc/71/11/75/390711175.db2.gz VQYKFQYOIDOLGB-TXEJJXNPSA-N 0 1 292.387 0.698 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)ccn1 ZINC000527000935 390688376 /nfs/dbraw/zinc/68/83/76/390688376.db2.gz SUCICEWYEXJFLX-JTQLQIEISA-N 0 1 283.295 0.486 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)NC[C@@H]1COCCN1 ZINC000528687822 390769015 /nfs/dbraw/zinc/76/90/15/390769015.db2.gz QUZCOBQKYZJROK-GFCCVEGCSA-N 0 1 274.324 0.977 20 30 CCEDMN C[C@H]1CCN(CC(=O)NC2(C#N)CCC2)[C@H]1CO ZINC000347178974 533636818 /nfs/dbraw/zinc/63/68/18/533636818.db2.gz ZAUUQUXGLJUXSE-QWRGUYRKSA-N 0 1 251.330 0.252 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)NC[C@@H]2COCCO2)C[C@H]1C ZINC000328967460 397449976 /nfs/dbraw/zinc/44/99/76/397449976.db2.gz NKPRSWHBXXPKFL-FRRDWIJNSA-N 0 1 285.388 0.730 20 30 CCEDMN COCCCN1C(=O)N=NC1SC[C@@H](O)CC#N ZINC000352161233 397463666 /nfs/dbraw/zinc/46/36/66/397463666.db2.gz VZOXNOKSUDKHMP-QMMMGPOBSA-N 0 1 272.330 0.387 20 30 CCEDMN CN(C)CC(=O)NC1CCN(c2ccc(C#N)cn2)CC1 ZINC000368909988 144249409 /nfs/dbraw/zinc/24/94/09/144249409.db2.gz LWFQLKXITSANEA-UHFFFAOYSA-N 0 1 287.367 0.600 20 30 CCEDMN Cc1nc([C@H](C)NS(=O)(=O)c2ccc(C#N)nc2)n[nH]1 ZINC000285319613 196249300 /nfs/dbraw/zinc/24/93/00/196249300.db2.gz IVHPGESNOQZHMD-ZETCQYMHSA-N 0 1 292.324 0.419 20 30 CCEDMN C=C[C@H](CO)N[C@H]1CCCN(c2cnn(C)c2)C1=O ZINC000662165475 414694812 /nfs/dbraw/zinc/69/48/12/414694812.db2.gz HYBXOVGQJBMCKE-PWSUYJOCSA-N 0 1 264.329 0.052 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)Nc1ccnn1C(C)C ZINC000662166281 414695291 /nfs/dbraw/zinc/69/52/91/414695291.db2.gz YPLPEUVVZPXVIQ-GHMZBOCLSA-N 0 1 266.345 0.928 20 30 CCEDMN CC#CCCNC(=O)NCc1n[nH]c(COC)n1 ZINC000664704206 415450010 /nfs/dbraw/zinc/45/00/10/415450010.db2.gz RJRGHIIHBXAZIJ-UHFFFAOYSA-N 0 1 251.290 0.164 20 30 CCEDMN CC#CCCNC(=O)NCc1nnc(COC)[nH]1 ZINC000664704206 415450013 /nfs/dbraw/zinc/45/00/13/415450013.db2.gz RJRGHIIHBXAZIJ-UHFFFAOYSA-N 0 1 251.290 0.164 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@H]1CCC(=O)N(C)C1 ZINC000329094043 227293876 /nfs/dbraw/zinc/29/38/76/227293876.db2.gz XMEIVWZEWWFEHU-NEPJUHHUSA-N 0 1 283.372 0.532 20 30 CCEDMN O=C(CNC(=O)N[C@H]1CCCc2cn[nH]c21)N1CCCC1 ZINC000330148629 228049737 /nfs/dbraw/zinc/04/97/37/228049737.db2.gz JOVKUSMHPGGLLA-NSHDSACASA-N 0 1 291.355 0.913 20 30 CCEDMN O=C(N[C@@H]1CC[S@@](=O)C1)c1csc(=NC2CC2)[nH]1 ZINC000330232539 228074595 /nfs/dbraw/zinc/07/45/95/228074595.db2.gz RQFGSNAFWCYWRC-CVJBHZAOSA-N 0 1 285.394 0.965 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NC[C@@H](C)N(C)C1CC1 ZINC000331798111 228128682 /nfs/dbraw/zinc/12/86/82/228128682.db2.gz WNQIXABUXHXYDN-GHMZBOCLSA-N 0 1 288.417 0.537 20 30 CCEDMN N#CC1(CNC(=O)N2CC[C@@H](c3nc[nH]n3)C2)CCC1 ZINC000333318890 228197145 /nfs/dbraw/zinc/19/71/45/228197145.db2.gz AREMPBVULPLNNF-SNVBAGLBSA-N 0 1 274.328 0.997 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN[C@H]1CCCc2c[nH]nc21 ZINC000516862506 260193970 /nfs/dbraw/zinc/19/39/70/260193970.db2.gz FMBRYKYVZCXECS-STQMWFEESA-N 0 1 277.372 0.583 20 30 CCEDMN C=C(C)[C@H](CC(=O)N1CCO[C@@H](c2nn[nH]n2)C1)OCC ZINC000363443603 260260692 /nfs/dbraw/zinc/26/06/92/260260692.db2.gz XDJJDPSJJLQZJG-WDEREUQCSA-N 0 1 295.343 0.471 20 30 CCEDMN CN([C@H]1CCCN(C)C1)S(=O)(=O)CCCC#N ZINC000408239580 260697007 /nfs/dbraw/zinc/69/70/07/260697007.db2.gz YXCRROAOXJASNI-NSHDSACASA-N 0 1 259.375 0.646 20 30 CCEDMN C=CCOC[C@@H](NC(=O)c1[nH]nc(C)c1C)C(=O)OC ZINC000360736281 261098395 /nfs/dbraw/zinc/09/83/95/261098395.db2.gz JGXJHSMGNZYYOL-SNVBAGLBSA-N 0 1 281.312 0.501 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](c2ccnn2C)C1 ZINC000490556843 261162468 /nfs/dbraw/zinc/16/24/68/261162468.db2.gz RWRASKIPNDOION-OLZOCXBDSA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](c2ccnn2C)C1 ZINC000490556800 261162654 /nfs/dbraw/zinc/16/26/54/261162654.db2.gz RWRASKIPNDOION-CHWSQXEVSA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H](C)C(=O)NCCCC)CC1 ZINC000491154375 261352345 /nfs/dbraw/zinc/35/23/45/261352345.db2.gz JCDWAFGKSLMVKM-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN N#CCCCCNC(=O)NCCN1CCCOCC1 ZINC000187147090 262385324 /nfs/dbraw/zinc/38/53/24/262385324.db2.gz NXQNWQJYYGMQBX-UHFFFAOYSA-N 0 1 268.361 0.702 20 30 CCEDMN CNC(=O)[C@@]1(C)CCN(CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000430265916 263012942 /nfs/dbraw/zinc/01/29/42/263012942.db2.gz WOTYVZBFQFUMOS-LSDHHAIUSA-N 0 1 294.399 0.499 20 30 CCEDMN C[C@H](CN1CCCCC1)NS(=O)(=O)[C@@H](C)C#N ZINC000088476947 263054129 /nfs/dbraw/zinc/05/41/29/263054129.db2.gz SKXWFCDXPWQDJO-MNOVXSKESA-N 0 1 259.375 0.692 20 30 CCEDMN C=CCN1C[C@@H](N(C)CC(=O)OC(C)(C)C)[C@@H](O)C1 ZINC000340215759 263095925 /nfs/dbraw/zinc/09/59/25/263095925.db2.gz SSCWPVHZISRSRX-NEPJUHHUSA-N 0 1 270.373 0.491 20 30 CCEDMN CC(C)[C@@](C)(NCC(=O)N(CCC#N)CCC#N)C(N)=O ZINC000289419806 263110085 /nfs/dbraw/zinc/11/00/85/263110085.db2.gz UPDDFFOYPRAIGT-CQSZACIVSA-N 0 1 293.371 0.132 20 30 CCEDMN CC1(C)C[C@]1(C#N)NC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000292612728 263133176 /nfs/dbraw/zinc/13/31/76/263133176.db2.gz XNECMHVRIIRTJG-AMIZOPFISA-N 0 1 259.313 0.718 20 30 CCEDMN CC1(C)C[C@]1(C#N)NC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000292612728 263133177 /nfs/dbraw/zinc/13/31/77/263133177.db2.gz XNECMHVRIIRTJG-AMIZOPFISA-N 0 1 259.313 0.718 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@H](Cc1cnc[nH]1)C(=O)OC ZINC000340590281 263214526 /nfs/dbraw/zinc/21/45/26/263214526.db2.gz PHYUSSOQAWSSLO-ZYHUDNBSSA-N 0 1 295.339 0.591 20 30 CCEDMN CN(C)C(=O)CO/N=C/c1ccc(N2CCOCC2)cc1 ZINC000298143500 263215553 /nfs/dbraw/zinc/21/55/53/263215553.db2.gz JUTZARJKGHSZDS-LFIBNONCSA-N 0 1 291.351 0.962 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NCCCCN1CCOCC1 ZINC000341857939 263356157 /nfs/dbraw/zinc/35/61/57/263356157.db2.gz FRPLKEITRNNQKC-AWEZNQCLSA-N 0 1 299.415 0.583 20 30 CCEDMN CC(C)N1CC[C@H](N(C)S(=O)(=O)[C@H](C)C#N)C1 ZINC000416497855 263731380 /nfs/dbraw/zinc/73/13/80/263731380.db2.gz UWZSNRMMZWYJOI-MNOVXSKESA-N 0 1 259.375 0.643 20 30 CCEDMN N#CC1(C(=O)N[C@@H](CO)CN2CCCCC2)CCOCC1 ZINC000330600209 263982970 /nfs/dbraw/zinc/98/29/70/263982970.db2.gz FQWRFWBYYZAJJY-CYBMUJFWSA-N 0 1 295.383 0.270 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC[C@H]1COCCO1 ZINC000330803992 264042829 /nfs/dbraw/zinc/04/28/29/264042829.db2.gz FWCLFHJCYVYJDN-JTQLQIEISA-N 0 1 265.313 0.868 20 30 CCEDMN CC(C)OCCNC(=O)NC[C@H]1CN(C)CCN1C ZINC000330827396 264048782 /nfs/dbraw/zinc/04/87/82/264048782.db2.gz IWOJLOYZOCDZMY-LBPRGKRZSA-N 0 1 272.393 0.161 20 30 CCEDMN NC(=O)[C@@H]1CCC[C@@H](NC([O-])=[NH+]CCn2cncn2)C1 ZINC000330874535 264059791 /nfs/dbraw/zinc/05/97/91/264059791.db2.gz DMWAGHRLZRQLMO-NXEZZACHSA-N 0 1 280.332 0.876 20 30 CCEDMN NC(=O)[C@@H]1CCC[C@@H](NC(=O)NCCn2cncn2)C1 ZINC000330874535 264059792 /nfs/dbraw/zinc/05/97/92/264059792.db2.gz DMWAGHRLZRQLMO-NXEZZACHSA-N 0 1 280.332 0.876 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)CCCC#N)C[C@H]1C ZINC000330886863 264063301 /nfs/dbraw/zinc/06/33/01/264063301.db2.gz GUVSFNHXGIWPDO-VXGBXAGGSA-N 0 1 253.346 0.594 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)CCCC#N)C[C@@H]1C ZINC000330886862 264063447 /nfs/dbraw/zinc/06/34/47/264063447.db2.gz GUVSFNHXGIWPDO-RYUDHWBXSA-N 0 1 253.346 0.594 20 30 CCEDMN CCc1nc2n(n1)C[C@H](NC([O-])=[NH+]CCC(N)=O)CC2 ZINC000330845266 264066273 /nfs/dbraw/zinc/06/62/73/264066273.db2.gz YAIMGBVIQCSCPO-MRVPVSSYSA-N 0 1 280.332 0.584 20 30 CCEDMN CCc1nc2n(n1)C[C@H](NC(=O)NCCC(N)=O)CC2 ZINC000330845266 264066275 /nfs/dbraw/zinc/06/62/75/264066275.db2.gz YAIMGBVIQCSCPO-MRVPVSSYSA-N 0 1 280.332 0.584 20 30 CCEDMN CN(C)[C@@H]1CCN(S(=O)(=O)CC2(C#N)CC2)C1 ZINC000165186963 264079041 /nfs/dbraw/zinc/07/90/41/264079041.db2.gz NOXOKZPZDCKAMU-SNVBAGLBSA-N 0 1 257.359 0.256 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(CCC(=O)OC(C)(C)C)C1 ZINC000330942759 264084229 /nfs/dbraw/zinc/08/42/29/264084229.db2.gz GWVNTUQJOAENTN-SNVBAGLBSA-N 0 1 271.361 0.926 20 30 CCEDMN CN(C)C(=O)NCCNC(=O)[C@@H]1CCCc2[nH]cnc21 ZINC000330948492 264085871 /nfs/dbraw/zinc/08/58/71/264085871.db2.gz BWVXUGANCGYCHK-SECBINFHSA-N 0 1 279.344 0.421 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)c2cnnn2C)C1 ZINC000330955512 264087317 /nfs/dbraw/zinc/08/73/17/264087317.db2.gz UAFJDWWGYXTHTN-NSHDSACASA-N 0 1 281.360 0.477 20 30 CCEDMN CC(C)[C@H](CCO)[NH+]=C([O-])N[C@@H]1CCc2ncnn2C1 ZINC000330933645 264097488 /nfs/dbraw/zinc/09/74/88/264097488.db2.gz DVVDLFLKNQUSRG-MNOVXSKESA-N 0 1 281.360 0.504 20 30 CCEDMN CC(C)[C@H](CCO)NC(=O)N[C@@H]1CCc2ncnn2C1 ZINC000330933645 264097490 /nfs/dbraw/zinc/09/74/90/264097490.db2.gz DVVDLFLKNQUSRG-MNOVXSKESA-N 0 1 281.360 0.504 20 30 CCEDMN CCC(=O)N1CC[C@@H](NC(=O)NCc2cn[nH]c2C)C1 ZINC000331011320 264107268 /nfs/dbraw/zinc/10/72/68/264107268.db2.gz VVZORMOYJOVKQF-LLVKDONJSA-N 0 1 279.344 0.733 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCn2c(n[nH]c2=O)C1 ZINC000331077812 264115072 /nfs/dbraw/zinc/11/50/72/264115072.db2.gz XHYULNASXVZUTP-LLVKDONJSA-N 0 1 293.371 0.612 20 30 CCEDMN C=CCCCCCN1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000331136695 264135609 /nfs/dbraw/zinc/13/56/09/264135609.db2.gz VWUPBCNHSBJOAF-LLVKDONJSA-N 0 1 251.330 0.969 20 30 CCEDMN CC[C@@]1(CO)CCCN(C(=O)NCCn2cncn2)C1 ZINC000331149133 264138529 /nfs/dbraw/zinc/13/85/29/264138529.db2.gz BJGDJIUIRZFPEW-CYBMUJFWSA-N 0 1 281.360 0.677 20 30 CCEDMN COCCNC(=O)N1CCO[C@H](c2n[nH]c(C)n2)C1 ZINC000331189719 264157214 /nfs/dbraw/zinc/15/72/14/264157214.db2.gz QETOGNBOWDFANI-VIFPVBQESA-N 0 1 269.305 0.047 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)NCCF)C1 ZINC000331258432 264183790 /nfs/dbraw/zinc/18/37/90/264183790.db2.gz ZIMQZJCQHWEDCL-LLVKDONJSA-N 0 1 261.341 0.816 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000131291600 264368195 /nfs/dbraw/zinc/36/81/95/264368195.db2.gz XQGWUFPOYFQMHV-GFCCVEGCSA-N 0 1 275.418 0.118 20 30 CCEDMN CC(C)[C@H]1C(=O)NCCN1CCC(=O)N(C)CCC#N ZINC000131551079 264373377 /nfs/dbraw/zinc/37/33/77/264373377.db2.gz GKUQTHTZETXGSA-ZDUSSCGKSA-N 0 1 280.372 0.205 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000357452995 266299363 /nfs/dbraw/zinc/29/93/63/266299363.db2.gz MDHUNMSQDSORKN-QMMMGPOBSA-N 0 1 258.347 0.924 20 30 CCEDMN N#CCCCS(=O)(=O)NC[C@@H]1CN2CCC[C@H]2CO1 ZINC000362773164 267044579 /nfs/dbraw/zinc/04/45/79/267044579.db2.gz BAFBGGQFQBMASP-NWDGAFQWSA-N 0 1 287.385 0.073 20 30 CCEDMN C=CCCCN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000117872024 267224165 /nfs/dbraw/zinc/22/41/65/267224165.db2.gz WOBORMOZHNSFBL-UHFFFAOYSA-N 0 1 281.400 0.429 20 30 CCEDMN C#CCCNC(=O)NC[C@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000369285610 267232228 /nfs/dbraw/zinc/23/22/28/267232228.db2.gz PZVPFXPEODZLCQ-RYUDHWBXSA-N 0 1 251.330 0.172 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cccc2c[nH]nc21 ZINC000120550553 267474864 /nfs/dbraw/zinc/47/48/64/267474864.db2.gz DPRIWBCBYNYLBN-UHFFFAOYSA-N 0 1 272.264 0.702 20 30 CCEDMN CCc1nc(C)c(CNC[C@@H](O)CN(C)CCC#N)o1 ZINC000563001571 327741488 /nfs/dbraw/zinc/74/14/88/327741488.db2.gz WXIFTWBALLHTNP-GFCCVEGCSA-N 0 1 280.372 0.841 20 30 CCEDMN C=CCCCN1CCN(CC(=O)NC2CC2)CC1 ZINC000117871360 327933532 /nfs/dbraw/zinc/93/35/32/327933532.db2.gz OEBYZVFTHXELAX-UHFFFAOYSA-N 0 1 251.374 0.849 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000563639290 328080206 /nfs/dbraw/zinc/08/02/06/328080206.db2.gz CHEBYYKXUWBDMT-FQUUOJAGSA-N 0 1 297.399 0.426 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](CO)[C@@H]1C ZINC000412065255 328103697 /nfs/dbraw/zinc/10/36/97/328103697.db2.gz CPQXFQJKNKXZBT-QWHCGFSZSA-N 0 1 267.373 0.841 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCC[C@]1(C)CO)C1CC1 ZINC000546103265 328186723 /nfs/dbraw/zinc/18/67/23/328186723.db2.gz KRSRTOFOAJJVIB-KGLIPLIRSA-N 0 1 265.357 0.642 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(C)(CO)CC1 ZINC000186889520 326814151 /nfs/dbraw/zinc/81/41/51/326814151.db2.gz KOZHGMGIBCXYCP-UHFFFAOYSA-N 0 1 267.373 0.843 20 30 CCEDMN CC1(C)NC(=O)N(CCCN2CCC(C#N)CC2)C1=O ZINC000141983248 327131838 /nfs/dbraw/zinc/13/18/38/327131838.db2.gz LTYXPVMOOBASOS-UHFFFAOYSA-N 0 1 278.356 0.942 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N(C)Cc1cnc[nH]1 ZINC000569379954 327170090 /nfs/dbraw/zinc/17/00/90/327170090.db2.gz XFLDSHTVMQHBLB-VIFPVBQESA-N 0 1 271.346 0.320 20 30 CCEDMN Cc1ccc(Cl)c(OC[C@@H](O)CNCC#CCO)c1 ZINC000578070561 327297983 /nfs/dbraw/zinc/29/79/83/327297983.db2.gz XXKUVGAHVQWFLC-LBPRGKRZSA-N 0 1 283.755 0.973 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@@H]2CCCC[C@@H]2C[NH3+])o1 ZINC000579259400 327361003 /nfs/dbraw/zinc/36/10/03/327361003.db2.gz TYOLRNBBWGPGIN-MWLCHTKSSA-N 0 1 283.353 0.947 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN2CC[C@@H](C)C2)C1=O ZINC000566459213 327376689 /nfs/dbraw/zinc/37/66/89/327376689.db2.gz HJUIJGDGALYGRO-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN CN1CCN(C(=O)CN2CCC(CC#N)CC2)CC1 ZINC000397339408 329349896 /nfs/dbraw/zinc/34/98/96/329349896.db2.gz CILTYVPZSMICQR-UHFFFAOYSA-N 0 1 264.373 0.386 20 30 CCEDMN CCCN1CCCN(CCC(=O)N(C)CCC#N)CC1=O ZINC000189383884 329380705 /nfs/dbraw/zinc/38/07/05/329380705.db2.gz ARICVKRVILTNJC-UHFFFAOYSA-N 0 1 294.399 0.693 20 30 CCEDMN C[C@@H]1[C@H](C)NCCN1S(=O)(=O)c1ccc(C#N)s1 ZINC000379504229 329567597 /nfs/dbraw/zinc/56/75/97/329567597.db2.gz ZERFUMMBNBNWQU-DTWKUNHWSA-N 0 1 285.394 0.991 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H](CC(C)C)OC)CC1 ZINC000419172986 329710068 /nfs/dbraw/zinc/71/00/68/329710068.db2.gz KTZKIWHCNZPOHE-HNNXBMFYSA-N 0 1 297.443 0.967 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C(C)C)CC1 ZINC000079955323 330072291 /nfs/dbraw/zinc/07/22/91/330072291.db2.gz GZHFIIYRSOBYTF-UHFFFAOYSA-N 0 1 266.389 0.775 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000423477763 330205923 /nfs/dbraw/zinc/20/59/23/330205923.db2.gz UDKSEROWIHDFKB-PHIMTYICSA-N 0 1 253.346 0.230 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC(C)(C#N)CC2)[C@H](C)CN1 ZINC000423633075 330213276 /nfs/dbraw/zinc/21/32/76/330213276.db2.gz OYFFHJYRUZMIQI-VXGBXAGGSA-N 0 1 292.383 0.347 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)N(C)C[C@@H](C)C#N ZINC000423738608 330214851 /nfs/dbraw/zinc/21/48/51/330214851.db2.gz HJEKRGIKQIQECW-NWDGAFQWSA-N 0 1 280.372 0.205 20 30 CCEDMN C=CCCn1cc(C(=O)N2C[C@@H](C)N[C@@H](C)C2)nn1 ZINC000424075091 330220410 /nfs/dbraw/zinc/22/04/10/330220410.db2.gz IRJXDJCCAQIXFS-PHIMTYICSA-N 0 1 263.345 0.677 20 30 CCEDMN CC(C)[C@@H]1CNCCN1S(=O)(=O)N(C)CCC#N ZINC000528048793 330305988 /nfs/dbraw/zinc/30/59/88/330305988.db2.gz WEFUKMOEHQCWBF-NSHDSACASA-N 0 1 274.390 0.006 20 30 CCEDMN C[C@H]1COCCN1CCNC[C@@H](C#N)CCC#N ZINC000572511523 330842525 /nfs/dbraw/zinc/84/25/25/330842525.db2.gz CPMFGJWZPQCRDZ-QWHCGFSZSA-N 0 1 250.346 0.740 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C)[C@@H](C)C(C)(C)O ZINC000451362748 331025934 /nfs/dbraw/zinc/02/59/34/331025934.db2.gz QIOBWLKHILEJMN-NSHDSACASA-N 0 1 255.362 0.840 20 30 CCEDMN C=CCNC(=O)NC(C)(C)CN1CCN(CC)CC1 ZINC000457405847 331221579 /nfs/dbraw/zinc/22/15/79/331221579.db2.gz KEEAWOZBOLGVIR-UHFFFAOYSA-N 0 1 268.405 0.888 20 30 CCEDMN C#CCNS(=O)(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000310473431 333058690 /nfs/dbraw/zinc/05/86/90/333058690.db2.gz ZZAPDJMPQQUZNB-UHFFFAOYSA-N 0 1 258.230 0.645 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](COC)C2)CC1 ZINC000490657366 332100765 /nfs/dbraw/zinc/10/07/65/332100765.db2.gz VGKYIGODGWCEAK-CYBMUJFWSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCNC(=O)C1 ZINC000490892836 332125880 /nfs/dbraw/zinc/12/58/80/332125880.db2.gz OWSSQWOAYCBQDI-NWDGAFQWSA-N 0 1 264.325 0.153 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)COC1CCOCC1 ZINC000490899664 332127370 /nfs/dbraw/zinc/12/73/70/332127370.db2.gz PLBWRUSLKNIQFQ-CYBMUJFWSA-N 0 1 281.352 0.823 20 30 CCEDMN C#CC[N@H+](C)CCCNS(=O)(=O)N(C)CCC ZINC000491123024 332152886 /nfs/dbraw/zinc/15/28/86/332152886.db2.gz ZCUHZJHHHXVKQH-UHFFFAOYSA-N 0 1 261.391 0.118 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1C[C@H](C)O[C@]2(CCOC2)C1 ZINC000491122590 332152910 /nfs/dbraw/zinc/15/29/10/332152910.db2.gz WWQSAYVTOFOPBA-GXTWGEPZSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2ccc(OC)nn2)CC1 ZINC000491193343 332163745 /nfs/dbraw/zinc/16/37/45/332163745.db2.gz YENFIJCBPCEYGI-UHFFFAOYSA-N 0 1 289.335 0.874 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@@H]2C#C)CC1 ZINC000491406153 332206114 /nfs/dbraw/zinc/20/61/14/332206114.db2.gz MDWNBBKLTJUSPU-AWEZNQCLSA-N 0 1 260.337 0.192 20 30 CCEDMN C#CCN(C)CCCNC(=O)N1CC[S@](=O)[C@@H](C)[C@@H]1C ZINC000491427848 332209815 /nfs/dbraw/zinc/20/98/15/332209815.db2.gz MJJXULKUEREWLS-QAJFTPDKSA-N 0 1 299.440 0.492 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@@H](OC)[C@H](C)C2)C1=O ZINC000491507848 332225847 /nfs/dbraw/zinc/22/58/47/332225847.db2.gz XQUYEYLHLOTJGK-GRYCIOLGSA-N 0 1 264.325 0.104 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1cc(C)cc(C)c1 ZINC000491546504 332235584 /nfs/dbraw/zinc/23/55/84/332235584.db2.gz WEHBUKXSAGPEJR-KGLIPLIRSA-N 0 1 263.337 0.627 20 30 CCEDMN C#CCN(C(=O)N[C@H](C)CN1CCN(C)CC1)C1CC1 ZINC000491655369 332267338 /nfs/dbraw/zinc/26/73/38/332267338.db2.gz HJFDHBFHSSKMPD-CYBMUJFWSA-N 0 1 278.400 0.430 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CCCOCC1)C(C)C ZINC000491745108 332323066 /nfs/dbraw/zinc/32/30/66/332323066.db2.gz MZAYBEATDGCXCO-CYBMUJFWSA-N 0 1 267.373 0.666 20 30 CCEDMN C=CCCS(=O)(=O)NCCCN1CCC(O)CC1 ZINC000305211544 332987171 /nfs/dbraw/zinc/98/71/71/332987171.db2.gz PNGNEJSQGLRZJV-UHFFFAOYSA-N 0 1 276.402 0.329 20 30 CCEDMN CCOCCNC(=O)CN1CCC(CC#N)CC1 ZINC000541952162 333804002 /nfs/dbraw/zinc/80/40/02/333804002.db2.gz RCEFHQLLVFQHEE-UHFFFAOYSA-N 0 1 253.346 0.765 20 30 CCEDMN CCOC(=O)CN(C)C(=O)CN1CCC(CC#N)CC1 ZINC000542880804 333847620 /nfs/dbraw/zinc/84/76/20/333847620.db2.gz VNFTUVKVXBLENY-UHFFFAOYSA-N 0 1 281.356 0.634 20 30 CCEDMN COC(=O)c1ccc(NCCc2nc[nH]n2)c(C#N)n1 ZINC000576240685 335176778 /nfs/dbraw/zinc/17/67/78/335176778.db2.gz FVUSBRVJLLSIIE-UHFFFAOYSA-N 0 1 272.268 0.513 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NCCN1CC[C@H](C)C1 ZINC000548879102 334178143 /nfs/dbraw/zinc/17/81/43/334178143.db2.gz ATQOGOLVYGPJSX-NWDGAFQWSA-N 0 1 288.417 0.397 20 30 CCEDMN COC(=O)[C@@H](C)CN(C)CC(=O)N[C@](C)(C#N)C(C)C ZINC000252418328 334379315 /nfs/dbraw/zinc/37/93/15/334379315.db2.gz VIDSAODSXFVUMB-SMDDNHRTSA-N 0 1 283.372 0.782 20 30 CCEDMN Cn1ccc(-c2cc(C(=O)N3CCOC[C@H]3C#N)n[nH]2)c1 ZINC000553493140 334388341 /nfs/dbraw/zinc/38/83/41/334388341.db2.gz SMMCMGXWLDBVBG-LLVKDONJSA-N 0 1 285.307 0.780 20 30 CCEDMN N#Cc1cccc(OCCCn2nnnc2CN)c1 ZINC000565713790 334590294 /nfs/dbraw/zinc/59/02/94/334590294.db2.gz AGPFFFOQNWWBQO-UHFFFAOYSA-N 0 1 258.285 0.473 20 30 CCEDMN CNC(=O)CCCN1CCN(c2cc(C#N)ccn2)CC1 ZINC000576408114 335200133 /nfs/dbraw/zinc/20/01/33/335200133.db2.gz DNOXTCIXKNAFQK-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN C=C(C)CN(CC)C(=O)NCC(=O)N1CCNCC1 ZINC000577355650 335341935 /nfs/dbraw/zinc/34/19/35/335341935.db2.gz NLEHGKACJIABKQ-UHFFFAOYSA-N 0 1 268.361 0.026 20 30 CCEDMN N#Cc1ccnc(N2CCC[C@H](C(=O)N3CCNCC3)C2)c1 ZINC000577489752 335358109 /nfs/dbraw/zinc/35/81/09/335358109.db2.gz RAOSGZNEWCYPMY-AWEZNQCLSA-N 0 1 299.378 0.601 20 30 CCEDMN C=CCNc1ncc(C(=O)N2CCNC[C@@H]2C)s1 ZINC000579121075 335712234 /nfs/dbraw/zinc/71/22/34/335712234.db2.gz DUCXFNBPESBPIJ-VIFPVBQESA-N 0 1 266.370 0.597 20 30 CCEDMN CCN(CCC#N)C(=O)CNC1(CC(=O)OC)CC1 ZINC000581373085 336063667 /nfs/dbraw/zinc/06/36/67/336063667.db2.gz IZCAWESHASMEPX-UHFFFAOYSA-N 0 1 267.329 0.434 20 30 CCEDMN COCC[N@@H+](C)C[C@@H](O)CN1CCC(C#N)CC1 ZINC000385879741 336159079 /nfs/dbraw/zinc/15/90/79/336159079.db2.gz BNBDASJRQMBLBX-CYBMUJFWSA-N 0 1 255.362 0.161 20 30 CCEDMN C[C@@H]1C[C@H]([NH+]=C([O-])N[C@@H]2CCc3ncnn3C2)CCO1 ZINC000329112437 533763463 /nfs/dbraw/zinc/76/34/63/533763463.db2.gz RIPBXXVIGBSPCX-GMTAPVOTSA-N 0 1 279.344 0.664 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)N[C@@H]2CCc3ncnn3C2)CCO1 ZINC000329112437 533763469 /nfs/dbraw/zinc/76/34/69/533763469.db2.gz RIPBXXVIGBSPCX-GMTAPVOTSA-N 0 1 279.344 0.664 20 30 CCEDMN Cc1ccc(N2CCN(CCO)C[C@H]2C)nc1C#N ZINC000450957210 534166565 /nfs/dbraw/zinc/16/65/65/534166565.db2.gz TYYJOGHVDUBDIP-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN CC#CCN(C)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000339757507 517347258 /nfs/dbraw/zinc/34/72/58/517347258.db2.gz ULNOGSRKDGHWTG-UHFFFAOYSA-N 0 1 277.349 0.964 20 30 CCEDMN C[C@H](C(=O)NC(N)=O)N1CCC(CCC#N)CC1 ZINC000399348874 518181652 /nfs/dbraw/zinc/18/16/52/518181652.db2.gz ZBVKDHVIUOZWKU-SECBINFHSA-N 0 1 252.318 0.586 20 30 CCEDMN N#CCC1(O)CN(C(=O)CCCCc2cn[nH]n2)C1 ZINC000331367578 534591160 /nfs/dbraw/zinc/59/11/60/534591160.db2.gz GGAMFBRSWKEOES-UHFFFAOYSA-N 0 1 263.301 0.004 20 30 CCEDMN Cc1nnc(NC[C@@H]2CN(C)CCN2C)c(C#N)c1C ZINC000068808344 526369706 /nfs/dbraw/zinc/36/97/06/526369706.db2.gz ROJVMLYTKXBMKN-GFCCVEGCSA-N 0 1 274.372 0.623 20 30 CCEDMN C=CCCNC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000665946823 545110472 /nfs/dbraw/zinc/11/04/72/545110472.db2.gz XVMSGDDICSVANO-ZDUSSCGKSA-N 0 1 267.373 0.926 20 30 CCEDMN C=C(C)CN(C)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000352169051 526492159 /nfs/dbraw/zinc/49/21/59/526492159.db2.gz XLHYKYQXPSEHHC-GFCCVEGCSA-N 0 1 255.362 0.925 20 30 CCEDMN C#CCOc1ccc(CN[C@H]2CCS(=O)(=O)C2)cc1 ZINC000113315126 527107061 /nfs/dbraw/zinc/10/70/61/527107061.db2.gz IHUYOFMDDNFZCI-ZDUSSCGKSA-N 0 1 279.361 0.975 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)N1CCN[C@@H](C)C1 ZINC000333563833 527469746 /nfs/dbraw/zinc/46/97/46/527469746.db2.gz LRGKWBZIHGWMHT-TUAOUCFPSA-N 0 1 253.346 0.723 20 30 CCEDMN CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)N[C@@H]1CC[S@@](=O)C1 ZINC000330037151 527891859 /nfs/dbraw/zinc/89/18/59/527891859.db2.gz YXDROVFPFKGREO-SKOKTSIMSA-N 0 1 299.440 0.980 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)CC1(O)CCC1 ZINC000353488414 528616629 /nfs/dbraw/zinc/61/66/29/528616629.db2.gz GVYIGESWPVSUTC-LBPRGKRZSA-N 0 1 267.373 0.841 20 30 CCEDMN C=CCCOCC(=O)N[C@@H](CCC)c1nn[n-]n1 ZINC000675145440 545322545 /nfs/dbraw/zinc/32/25/45/545322545.db2.gz SETWUSMPHRZPGJ-VIFPVBQESA-N 0 1 253.306 0.750 20 30 CCEDMN C=CCCOCC(=O)N[C@@H](CCC)c1nn[nH]n1 ZINC000675145440 545322546 /nfs/dbraw/zinc/32/25/46/545322546.db2.gz SETWUSMPHRZPGJ-VIFPVBQESA-N 0 1 253.306 0.750 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@H](CO)[C@H](O)C1 ZINC000676560640 545346416 /nfs/dbraw/zinc/34/64/16/545346416.db2.gz GAEHVAWRUUILAD-BFHYXJOUSA-N 0 1 284.400 0.475 20 30 CCEDMN COCC#CCN[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000679935054 545419211 /nfs/dbraw/zinc/41/92/11/545419211.db2.gz LTPAOUOEHPENDM-LLVKDONJSA-N 0 1 282.365 0.634 20 30 CCEDMN C=CCCOCC(=O)NCCN1CCCOCC1 ZINC000682171689 545474590 /nfs/dbraw/zinc/47/45/90/545474590.db2.gz RIXQOCIMCVNIOM-UHFFFAOYSA-N 0 1 256.346 0.418 20 30 CCEDMN C=CCN1CC[C@@H](N(C)Cc2ccn(C)c(=O)c2)C1=O ZINC000685004174 545783871 /nfs/dbraw/zinc/78/38/71/545783871.db2.gz IYOCGOYWZBKLIS-CYBMUJFWSA-N 0 1 275.352 0.604 20 30 CCEDMN CC#CC(=O)N1CCN(CC2CCOCC2)CC1 ZINC000788305375 581105011 /nfs/dbraw/zinc/10/50/11/581105011.db2.gz IAKYSBRQAVNBOW-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN C[N@H+](CC(=O)[O-])Cc1nc2ccccc2c(=O)n1CC#N ZINC000737988375 581133488 /nfs/dbraw/zinc/13/34/88/581133488.db2.gz YHUMMSNUKUZADJ-UHFFFAOYSA-N 0 1 286.291 0.436 20 30 CCEDMN C[N@@H+](CC(=O)[O-])Cc1nc2ccccc2c(=O)n1CC#N ZINC000737988375 581133492 /nfs/dbraw/zinc/13/34/92/581133492.db2.gz YHUMMSNUKUZADJ-UHFFFAOYSA-N 0 1 286.291 0.436 20 30 CCEDMN CC(=NNC1=[NH+]C[C@@H](C)N1)c1cc([N+](=O)[O-])ccc1[O-] ZINC000779794193 581205594 /nfs/dbraw/zinc/20/55/94/581205594.db2.gz DLWCPLFDWHLFDC-SSDOTTSWSA-N 0 1 277.284 0.962 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2ccc([O-])c([N+](=O)[O-])c2)N1 ZINC000779793193 581205684 /nfs/dbraw/zinc/20/56/84/581205684.db2.gz XSSOWLRDJPSISP-SSDOTTSWSA-N 0 1 263.257 0.572 20 30 CCEDMN COc1ccc(C=NNC2=NC[C@H](C)N2)c(OC)c1 ZINC000779806051 581207854 /nfs/dbraw/zinc/20/78/54/581207854.db2.gz ZGCOVHOCDZHTJD-VIFPVBQESA-N 0 1 262.313 0.975 20 30 CCEDMN N#Cc1ccc(CNc2ccncc2C(N)=O)nc1 ZINC000780613147 581236513 /nfs/dbraw/zinc/23/65/13/581236513.db2.gz SFUNTSYNPIOPMU-UHFFFAOYSA-N 0 1 253.265 0.481 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CC=CCC1)[C@H]1CCCO1 ZINC000793160587 581285953 /nfs/dbraw/zinc/28/59/53/581285953.db2.gz BDUOXFZVZLTWJB-UONOGXRCSA-N 0 1 277.368 0.728 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738462031 581333833 /nfs/dbraw/zinc/33/38/33/581333833.db2.gz WCHCDPGIKWRDCV-OLZOCXBDSA-N 0 1 293.367 0.542 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCC[C@H](C)NC(=O)[O-] ZINC000738461302 581333881 /nfs/dbraw/zinc/33/38/81/581333881.db2.gz RWCUMVKWGCJLLR-QWRGUYRKSA-N 0 1 267.329 0.246 20 30 CCEDMN C#CCNC(=O)CN[C@H](c1nnc[nH]1)c1ccccc1 ZINC000784358990 581381059 /nfs/dbraw/zinc/38/10/59/581381059.db2.gz FNPKNLGIACPUAN-ZDUSSCGKSA-N 0 1 269.308 0.233 20 30 CCEDMN Cc1cnn(C)c1C=NNc1ncnc2[nH]cnc21 ZINC000794901677 581390411 /nfs/dbraw/zinc/39/04/11/581390411.db2.gz NSOYMXYCXPNNPJ-UHFFFAOYSA-N 0 1 256.273 0.841 20 30 CCEDMN C#CCNC(=O)CN1CCOC[C@@H](C2CCC2)C1 ZINC000795281076 581402689 /nfs/dbraw/zinc/40/26/89/581402689.db2.gz LMAOLSPZAWFXIY-ZDUSSCGKSA-N 0 1 250.342 0.484 20 30 CCEDMN CN1C(=O)CC[C@H]1C(=O)Nc1cc(C#N)ccc1O ZINC000752681572 581512742 /nfs/dbraw/zinc/51/27/42/581512742.db2.gz YYWUHOFFNBWEQQ-JTQLQIEISA-N 0 1 259.265 0.823 20 30 CCEDMN COC(=O)[C@H]1CC12CCN(CC(=O)NCCC#N)CC2 ZINC000733795963 581525949 /nfs/dbraw/zinc/52/59/49/581525949.db2.gz NODOKYILHWJWMP-LLVKDONJSA-N 0 1 279.340 0.291 20 30 CCEDMN C#CCOCCNC(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000753379836 581537968 /nfs/dbraw/zinc/53/79/68/581537968.db2.gz VBSRZZSXIZOSCR-VIFPVBQESA-N 0 1 265.317 0.377 20 30 CCEDMN C#CC(=O)NCc1ccc(N2CCN(C)CC2)nc1 ZINC000753913261 581564800 /nfs/dbraw/zinc/56/48/00/581564800.db2.gz AQZMDWPNYJSMFX-UHFFFAOYSA-N 0 1 258.325 0.083 20 30 CCEDMN NC(=O)c1ccc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)cc1 ZINC000753937129 581565794 /nfs/dbraw/zinc/56/57/94/581565794.db2.gz WGZTUNIKVHRPOO-CHWSQXEVSA-N 0 1 285.351 0.979 20 30 CCEDMN C#CCC(C)(C)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000767077211 581595386 /nfs/dbraw/zinc/59/53/86/581595386.db2.gz BGFHSRYTICXHGV-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN COCC(C)(C)C=Nn1c(=O)c(C)n[nH]c1=S ZINC000754910913 581616067 /nfs/dbraw/zinc/61/60/67/581616067.db2.gz UYNVYNOVMKTZTD-UHFFFAOYSA-N 0 1 256.331 0.742 20 30 CCEDMN C#CCNC(=O)CSc1nc(-c2ccccn2)n[nH]1 ZINC000735233974 581633448 /nfs/dbraw/zinc/63/34/48/581633448.db2.gz GXGCPLVLLHAQOG-UHFFFAOYSA-N 0 1 273.321 0.708 20 30 CCEDMN C#CCOCCC(=O)N[C@H](CCC)c1nn[nH]n1 ZINC000755958917 581651980 /nfs/dbraw/zinc/65/19/80/581651980.db2.gz XDONSFCZWLFIDR-SECBINFHSA-N 0 1 251.290 0.197 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)N(CC)CC)CC1 ZINC000735941152 581673533 /nfs/dbraw/zinc/67/35/33/581673533.db2.gz YPZKKLZJUCCYRC-UHFFFAOYSA-N 0 1 280.368 0.743 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)CN2CCN(C)CC2)nc1 ZINC000730228874 581684587 /nfs/dbraw/zinc/68/45/87/581684587.db2.gz ZPZDCLSIBYILTK-CYBMUJFWSA-N 0 1 286.379 0.429 20 30 CCEDMN CC(=O)N1CC[NH+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)CC1 ZINC000736135051 581705416 /nfs/dbraw/zinc/70/54/16/581705416.db2.gz VWBYQQYBZNJTGP-AWEZNQCLSA-N 0 1 287.319 0.848 20 30 CCEDMN CC(C)(C)NC(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000757694486 581706939 /nfs/dbraw/zinc/70/69/39/581706939.db2.gz UPOCTAFNOKMPQN-KHPPLWFESA-N 0 1 280.328 0.611 20 30 CCEDMN Cc1nn(C)c(C)c1OCC(=O)C(C#N)C(=O)NC1CC1 ZINC000758509760 581752234 /nfs/dbraw/zinc/75/22/34/581752234.db2.gz JHSAIMJZQRPKOL-LLVKDONJSA-N 0 1 290.323 0.403 20 30 CCEDMN CC1(CCN[C@H](C(N)=O)c2ccc(C#N)cc2)OCCO1 ZINC000759021161 581780289 /nfs/dbraw/zinc/78/02/89/581780289.db2.gz QQZQOFLZFURNNO-ZDUSSCGKSA-N 0 1 289.335 0.827 20 30 CCEDMN C[C@H]1CN(Cc2ccccc2)CC1=NOCC(N)=O ZINC000771579351 581810507 /nfs/dbraw/zinc/81/05/07/581810507.db2.gz NHBALWUHMXVOPP-NSHDSACASA-N 0 1 261.325 0.996 20 30 CCEDMN C[C@H](O)c1cn(CC(=O)Nc2cc(C#N)ccc2O)nn1 ZINC000759717593 581817130 /nfs/dbraw/zinc/81/71/30/581817130.db2.gz JPTPVEYBITWYIA-QMMMGPOBSA-N 0 1 287.279 0.547 20 30 CCEDMN C#CCNC(=O)CCNCc1nc2c(s1)CCC2 ZINC000771818875 581825113 /nfs/dbraw/zinc/82/51/13/581825113.db2.gz MVRWWBFLBZIVJQ-UHFFFAOYSA-N 0 1 263.366 0.861 20 30 CCEDMN CCn1cc(CN=Nc2oc(C)nc2-c2nn[nH]n2)cn1 ZINC000736723763 581825400 /nfs/dbraw/zinc/82/54/00/581825400.db2.gz ZIVYZQZQRIMAPX-UHFFFAOYSA-N 0 1 287.287 0.826 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@@H](C(=O)N2CCCC2)C1 ZINC000732412572 581826945 /nfs/dbraw/zinc/82/69/45/581826945.db2.gz OYARQFZOKNBTOI-CYBMUJFWSA-N 0 1 292.383 0.351 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)N(C)CC2CC2)CC1 ZINC000772113646 581843412 /nfs/dbraw/zinc/84/34/12/581843412.db2.gz PVBNVDCEUVRSOJ-UHFFFAOYSA-N 0 1 292.379 0.743 20 30 CCEDMN CC(C)[C@H](C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000733155184 581867750 /nfs/dbraw/zinc/86/77/50/581867750.db2.gz MIQUYRNMMNVAPR-OKQWNRDOSA-N 0 1 279.340 0.921 20 30 CCEDMN CC[C@]([NH2+]CC(=O)NCC#N)(C(=O)[O-])c1ccccc1 ZINC000736915495 581868016 /nfs/dbraw/zinc/86/80/16/581868016.db2.gz DTTMNFXHTUVFOE-CQSZACIVSA-N 0 1 275.308 0.606 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCCCC)CC1 ZINC000761030041 581884051 /nfs/dbraw/zinc/88/40/51/581884051.db2.gz DQQVCGJQEYNJRH-UHFFFAOYSA-N 0 1 273.402 0.262 20 30 CCEDMN C#CCOCCN(C)[C@H]1CCN(CC(F)(F)F)C1=O ZINC000761115574 581889741 /nfs/dbraw/zinc/88/97/41/581889741.db2.gz USPFWUARYLXMMO-JTQLQIEISA-N 0 1 278.274 0.731 20 30 CCEDMN N#CCOc1ccc(CNC(=O)c2ncn[nH]2)cc1 ZINC000746160922 581896985 /nfs/dbraw/zinc/89/69/85/581896985.db2.gz HXISQRAIJBVKPK-UHFFFAOYSA-N 0 1 257.253 0.637 20 30 CCEDMN N#CCOc1ccc(CNC(=O)c2nc[nH]n2)cc1 ZINC000746160922 581896987 /nfs/dbraw/zinc/89/69/87/581896987.db2.gz HXISQRAIJBVKPK-UHFFFAOYSA-N 0 1 257.253 0.637 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+](C)C(C)(C)C(=O)[O-] ZINC000737080068 581898708 /nfs/dbraw/zinc/89/87/08/581898708.db2.gz WTTSZMBDRXOIIX-JTQLQIEISA-N 0 1 269.345 0.790 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)C(C)(C)C(=O)[O-] ZINC000737080068 581898711 /nfs/dbraw/zinc/89/87/11/581898711.db2.gz WTTSZMBDRXOIIX-JTQLQIEISA-N 0 1 269.345 0.790 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C)C[C@@H](C)C(=O)[O-] ZINC000737094789 581902778 /nfs/dbraw/zinc/90/27/78/581902778.db2.gz VMEMMTDEVHGIMN-SNVBAGLBSA-N 0 1 255.318 0.401 20 30 CCEDMN Cn1cncc1C=NNc1ccc(-n2ccnc2)nn1 ZINC000761833298 581921969 /nfs/dbraw/zinc/92/19/69/581921969.db2.gz AGYZOORZZKHEOB-UHFFFAOYSA-N 0 1 268.284 0.842 20 30 CCEDMN c1cn(-c2ccc(N=NCc3cnc[nH]3)nn2)cn1 ZINC000761858719 581922237 /nfs/dbraw/zinc/92/22/37/581922237.db2.gz YHJBDSCFPRHURT-UHFFFAOYSA-N 0 1 254.257 0.831 20 30 CCEDMN C#CCCc1noc(CN(C)c2ncnc3[nH]cnc32)n1 ZINC000762017591 581928391 /nfs/dbraw/zinc/92/83/91/581928391.db2.gz IDGVKVGQZIRIAJ-UHFFFAOYSA-N 0 1 283.295 0.938 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cc3n(n2)CCCO3)=NO1 ZINC000762193471 581935225 /nfs/dbraw/zinc/93/52/25/581935225.db2.gz MKMKXMLSZJTREZ-ZETCQYMHSA-N 0 1 250.258 0.518 20 30 CCEDMN COc1ncnc(N)c1C=[NH+][N-]c1ccc(Cl)nn1 ZINC000747625964 581947026 /nfs/dbraw/zinc/94/70/26/581947026.db2.gz OQSOZNKLUHUYJJ-UHFFFAOYSA-N 0 1 279.691 0.957 20 30 CCEDMN COc1ncnc(N)c1C=NNc1ccc(Cl)nn1 ZINC000747625964 581947028 /nfs/dbraw/zinc/94/70/28/581947028.db2.gz OQSOZNKLUHUYJJ-UHFFFAOYSA-N 0 1 279.691 0.957 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NCC1(C(=O)[O-])CCC1 ZINC000737492277 581965698 /nfs/dbraw/zinc/96/56/98/581965698.db2.gz IQMQERVUIARMFR-NSHDSACASA-N 0 1 264.325 0.455 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCC1(C(=O)[O-])CCC1 ZINC000737492277 581965701 /nfs/dbraw/zinc/96/57/01/581965701.db2.gz IQMQERVUIARMFR-NSHDSACASA-N 0 1 264.325 0.455 20 30 CCEDMN C=CC[N@@H+](CCCCC(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC000737534504 581975149 /nfs/dbraw/zinc/97/51/49/581975149.db2.gz PBQZIUYOEQMAFT-NSHDSACASA-N 0 1 275.370 0.916 20 30 CCEDMN C=CC[N@H+](CCCCC(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC000737534504 581975151 /nfs/dbraw/zinc/97/51/51/581975151.db2.gz PBQZIUYOEQMAFT-NSHDSACASA-N 0 1 275.370 0.916 20 30 CCEDMN C#C[C@H](NC(=O)c1ccc2[nH]nnc2c1)[C@@H]1CCCO1 ZINC000775342890 582020180 /nfs/dbraw/zinc/02/01/80/582020180.db2.gz WXQRSIYCBLWJKG-GWCFXTLKSA-N 0 1 270.292 0.869 20 30 CCEDMN C[C@H](NCC(=O)NCC#N)c1ccc2c(c1)CC(=O)N2C ZINC000775350679 582020833 /nfs/dbraw/zinc/02/08/33/582020833.db2.gz JKJPUHVTYFDBII-JTQLQIEISA-N 0 1 286.335 0.496 20 30 CCEDMN C#C[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000775382472 582021747 /nfs/dbraw/zinc/02/17/47/582021747.db2.gz UTSFXQGAROIJBE-NXEZZACHSA-N 0 1 284.319 0.819 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NCC(C)C)[C@H]1CCCO1 ZINC000775789174 582038020 /nfs/dbraw/zinc/03/80/20/582038020.db2.gz BFWIBYDVIXKHFH-FRRDWIJNSA-N 0 1 252.358 0.918 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NCCCOCC)[C@H]1CCCO1 ZINC000775795053 582038732 /nfs/dbraw/zinc/03/87/32/582038732.db2.gz LGBYQQIVYXHAKP-MELADBBJSA-N 0 1 282.384 0.688 20 30 CCEDMN C#C[C@H](NCCn1nc2ccccn2c1=O)[C@@H]1CCCO1 ZINC000775800111 582040398 /nfs/dbraw/zinc/04/03/98/582040398.db2.gz QUVXJCNIHMKRRK-STQMWFEESA-N 0 1 286.335 0.266 20 30 CCEDMN N#CCOc1ccc(CN2C[C@H](O)C[C@H](O)C2)cc1 ZINC000752090514 582100643 /nfs/dbraw/zinc/10/06/43/582100643.db2.gz AEXATEDVHOEIGR-BETUJISGSA-N 0 1 262.309 0.516 20 30 CCEDMN C[C@@H]1CN(C)CC1N=Nc1ccc(S(N)(=O)=O)cn1 ZINC000752161817 582102071 /nfs/dbraw/zinc/10/20/71/582102071.db2.gz WGMODLGINCZLLP-MRVPVSSYSA-N 0 1 283.357 0.078 20 30 CCEDMN COCCN1CCCN(C(=O)C#CC2CC2)CC1 ZINC000837365627 616337524 /nfs/dbraw/zinc/33/75/24/616337524.db2.gz KPQOCGAIIQECHY-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN C#CCOCCN1C[C@H](C)O[C@]2(CCO[C@@H]2C)C1 ZINC000851998942 612985028 /nfs/dbraw/zinc/98/50/28/612985028.db2.gz CZXUZMOMVYKZPJ-BFHYXJOUSA-N 0 1 253.342 0.905 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000163337703 597472733 /nfs/dbraw/zinc/47/27/33/597472733.db2.gz NGYGADKYQMFATO-JTQLQIEISA-N 0 1 296.348 0.737 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000163337703 597472736 /nfs/dbraw/zinc/47/27/36/597472736.db2.gz NGYGADKYQMFATO-JTQLQIEISA-N 0 1 296.348 0.737 20 30 CCEDMN C#CCSCC(=O)NC[C@H](C)CN1CC[NH+](CC)CC1 ZINC000896896608 613012542 /nfs/dbraw/zinc/01/25/42/613012542.db2.gz AHXXOUPWPURJMA-AWEZNQCLSA-N 0 1 297.468 0.743 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@H]2CCC[N@H+]3CCSC[C@H]23)C1 ZINC000925168722 612948245 /nfs/dbraw/zinc/94/82/45/612948245.db2.gz HHZNRVFFBPZTOH-NWDGAFQWSA-N 0 1 297.399 0.933 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@H]2CCCN3CCSC[C@H]23)C1 ZINC000925168722 612948246 /nfs/dbraw/zinc/94/82/46/612948246.db2.gz HHZNRVFFBPZTOH-NWDGAFQWSA-N 0 1 297.399 0.933 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+]1CC[C@@](C)(C(=O)[O-])C1)C1CC1 ZINC000828020419 601923176 /nfs/dbraw/zinc/92/31/76/601923176.db2.gz PUMBPHIMKQKDCQ-ZIAGYGMSSA-N 0 1 279.340 0.591 20 30 CCEDMN C#CC1(F)CN(C(=O)[C@H](C)CN2CCOCC2)C1 ZINC000881941227 612948926 /nfs/dbraw/zinc/94/89/26/612948926.db2.gz CGELTJVSKCBLPQ-LLVKDONJSA-N 0 1 254.305 0.138 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CCCCC[C@H]1C(=O)[O-] ZINC000080992810 605852896 /nfs/dbraw/zinc/85/28/96/605852896.db2.gz LQGQOXYAQBRFQD-JTQLQIEISA-N 0 1 283.328 0.327 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCCCC[C@H]1C(=O)[O-] ZINC000080992810 605852900 /nfs/dbraw/zinc/85/29/00/605852900.db2.gz LQGQOXYAQBRFQD-JTQLQIEISA-N 0 1 283.328 0.327 20 30 CCEDMN C#CCCOC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000859012366 613050198 /nfs/dbraw/zinc/05/01/98/613050198.db2.gz OAABVTQJQYPNCJ-GFCCVEGCSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCCS(=O)(=O)NCc1cc(N(C)C)ccn1 ZINC000921023989 613054569 /nfs/dbraw/zinc/05/45/69/613054569.db2.gz BNGOMAWVDSNDQM-UHFFFAOYSA-N 0 1 267.354 0.590 20 30 CCEDMN C#CC[C@H](CO)NCCS(=O)(=O)c1ccc(F)cc1 ZINC000843077027 613058457 /nfs/dbraw/zinc/05/84/57/613058457.db2.gz KKFXSHZCTYZQAP-GFCCVEGCSA-N 0 1 285.340 0.573 20 30 CCEDMN C#CC[C@H](COC)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000863800706 613065693 /nfs/dbraw/zinc/06/56/93/613065693.db2.gz MJVPUXIASMLHGC-MNOVXSKESA-N 0 1 261.325 0.984 20 30 CCEDMN C#CC[C@H](COC)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000863802613 613065188 /nfs/dbraw/zinc/06/51/88/613065188.db2.gz MNORYRJJXPQZGQ-QWHCGFSZSA-N 0 1 268.357 0.109 20 30 CCEDMN C#CCNCC(=O)NCCNC(=O)c1ccc(C)c(F)c1 ZINC000912229868 612956423 /nfs/dbraw/zinc/95/64/23/612956423.db2.gz HZSSPJMRMGYZFK-UHFFFAOYSA-N 0 1 291.326 0.203 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)c1ccc(-n2cncn2)cc1 ZINC000912091025 612956605 /nfs/dbraw/zinc/95/66/05/612956605.db2.gz MRXTWVZTAFORSV-LBPRGKRZSA-N 0 1 283.335 0.667 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2OCC[C@H]2CC)C1 ZINC000971712278 613084456 /nfs/dbraw/zinc/08/44/56/613084456.db2.gz IOYLTHVQNDWANY-MCIONIFRSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971291692 613085070 /nfs/dbraw/zinc/08/50/70/613085070.db2.gz LQSZLXGHRUEAKF-GFCCVEGCSA-N 0 1 256.309 0.666 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NC[C@]1(O)CC[N@@H+](C)C1 ZINC000820654741 613085507 /nfs/dbraw/zinc/08/55/07/613085507.db2.gz SPKYVWNCGOZDRH-WCQYABFASA-N 0 1 253.346 0.154 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1n[nH]c(C)n1)[C@H]1CCCO1 ZINC000864261537 613096890 /nfs/dbraw/zinc/09/68/90/613096890.db2.gz OMGHFKDGJYFADG-NXEZZACHSA-N 0 1 263.301 0.093 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N1CCS(=O)(=O)[C@H](C)C1 ZINC000876670986 613389449 /nfs/dbraw/zinc/38/94/49/613389449.db2.gz SMUKGRZEWINWCP-BDAKNGLRSA-N 0 1 280.777 0.102 20 30 CCEDMN C#CC1CCN(CC(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000830305109 612964283 /nfs/dbraw/zinc/96/42/83/612964283.db2.gz GICAHDHLHIPISK-STQMWFEESA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@H]1C[C@@H](OCC)[C@@H]1OC ZINC000893505713 613886062 /nfs/dbraw/zinc/88/60/62/613886062.db2.gz NVKNKBYKTHTXMH-QJPTWQEYSA-N 0 1 277.386 0.369 20 30 CCEDMN C#CCCCCCCN1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000876800799 612976620 /nfs/dbraw/zinc/97/66/20/612976620.db2.gz VXBFNMCQCYQFGL-CYBMUJFWSA-N 0 1 277.368 0.213 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1[N+](=O)[O-] ZINC000834510836 617234832 /nfs/dbraw/zinc/23/48/32/617234832.db2.gz FUWHRWDTHIXCIC-UWVGGRQHSA-N 0 1 290.279 0.445 20 30 CCEDMN CSc1nccnc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000884249054 617285827 /nfs/dbraw/zinc/28/58/27/617285827.db2.gz CGFNETVGGDUIDR-HTQZYQBOSA-N 0 1 263.326 0.040 20 30 CCEDMN CSc1nccnc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000884249056 617286159 /nfs/dbraw/zinc/28/61/59/617286159.db2.gz CGFNETVGGDUIDR-SFYZADRCSA-N 0 1 263.326 0.040 20 30 CCEDMN COc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1O ZINC000834506712 617292966 /nfs/dbraw/zinc/29/29/66/617292966.db2.gz VJWGSRRVCKAJKG-WCBMZHEXSA-N 0 1 261.281 0.242 20 30 CCEDMN C#CC(=O)N1CC[C@@H](C)[C@@H](NC(=O)C(F)(F)F)C1 ZINC000856419463 612945576 /nfs/dbraw/zinc/94/55/76/612945576.db2.gz JFWDGMWICCHVOS-SFYZADRCSA-N 0 1 262.231 0.535 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@@H+](C)CC(C)(C)CNC(=O)[O-] ZINC000823456945 612947690 /nfs/dbraw/zinc/94/76/90/612947690.db2.gz SLYPTLXKNRPUBV-SNVBAGLBSA-N 0 1 269.345 0.350 20 30 CCEDMN C#CC1(O)CN(C(=O)NC[C@@H]2CCN2Cc2ccccc2)C1 ZINC000895897475 612955295 /nfs/dbraw/zinc/95/52/95/612955295.db2.gz QTTBDXQWWJLTBI-HNNXBMFYSA-N 0 1 299.374 0.650 20 30 CCEDMN C#CC1CCN(CCCS(=O)(=O)CCOC)CC1 ZINC000830321202 612964448 /nfs/dbraw/zinc/96/44/48/612964448.db2.gz BDBQOEYJHLHPFC-UHFFFAOYSA-N 0 1 273.398 0.783 20 30 CCEDMN C#CCC1(NC(=O)C2CN([C@H]3CCOC3)C2)CCC1 ZINC000886802721 612968568 /nfs/dbraw/zinc/96/85/68/612968568.db2.gz WLBGQQMUZIMYQN-ZDUSSCGKSA-N 0 1 262.353 0.769 20 30 CCEDMN C#CCC1(NCc2nnc3c(=O)[nH]ccn23)CCC1 ZINC000933292673 612970942 /nfs/dbraw/zinc/97/09/42/612970942.db2.gz CKYIHFCUIXHZPP-UHFFFAOYSA-N 0 1 257.297 0.453 20 30 CCEDMN C#CCOCCN1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000852000474 612983057 /nfs/dbraw/zinc/98/30/57/612983057.db2.gz UYZMSHNFLMJJFO-ZDUSSCGKSA-N 0 1 286.397 0.136 20 30 CCEDMN C#CCOCCN1CCOC[C@@H]1C[C@H]1CCCO1 ZINC000933682064 612983902 /nfs/dbraw/zinc/98/39/02/612983902.db2.gz BIFMLIMISMMVIN-UONOGXRCSA-N 0 1 253.342 0.906 20 30 CCEDMN C#CCOCCNC(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000841875694 612986608 /nfs/dbraw/zinc/98/66/08/612986608.db2.gz PJZXPLAFBMAVKX-TXEJJXNPSA-N 0 1 251.330 0.172 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@]2(CC)CCNC2=O)CC1 ZINC000981376696 613005641 /nfs/dbraw/zinc/00/56/41/613005641.db2.gz NUJUVNOUTUPTKR-MRXNPFEDSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCOc1ccccc1CN1CC[C@H](O)[C@H](CO)C1 ZINC000840975445 613007854 /nfs/dbraw/zinc/00/78/54/613007854.db2.gz NVIKSFGNMCVUQX-GJZGRUSLSA-N 0 1 275.348 0.874 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C(C)(C)C(=O)[O-])CC1 ZINC000911252740 613008886 /nfs/dbraw/zinc/00/88/86/613008886.db2.gz SSPOKQFCJNEYMU-UHFFFAOYSA-N 0 1 252.314 0.265 20 30 CCEDMN C#CCSCC(=O)N1CC[C@@H](c2[nH]ncc2N)C1 ZINC000907424087 613010864 /nfs/dbraw/zinc/01/08/64/613010864.db2.gz MPNWFIVCADAPIO-SECBINFHSA-N 0 1 264.354 0.674 20 30 CCEDMN C#CCSCC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC000899161685 613012784 /nfs/dbraw/zinc/01/27/84/613012784.db2.gz QYQLXFCFFPDOQY-UHFFFAOYSA-N 0 1 294.380 0.681 20 30 CCEDMN C#CCSCCNC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000806845377 613016163 /nfs/dbraw/zinc/01/61/63/613016163.db2.gz LVPBYFPHIVZXCJ-QWHCGFSZSA-N 0 1 299.440 0.449 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@]2(F)CCOC2)C(C)(C)C1 ZINC000974978049 613032661 /nfs/dbraw/zinc/03/26/61/613032661.db2.gz YGPBLRKQDZPVAJ-DOMZBBRYSA-N 0 1 282.359 0.965 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCc1cc(C)[nH]n1 ZINC000858237261 613035590 /nfs/dbraw/zinc/03/55/90/613035590.db2.gz IHIUYIIOPDOENF-JTQLQIEISA-N 0 1 250.302 0.556 20 30 CCEDMN C#CC[C@@H](NCCS(=O)(=O)NC)c1ccccc1 ZINC000856734087 613042669 /nfs/dbraw/zinc/04/26/69/613042669.db2.gz NSUGNAOMUGWSEG-CYBMUJFWSA-N 0 1 266.366 0.890 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)NCc2n[nH]c(CC)n2)C1 ZINC000889111416 613046009 /nfs/dbraw/zinc/04/60/09/613046009.db2.gz MYLVQBUMKKLYCD-SNVBAGLBSA-N 0 1 261.329 0.922 20 30 CCEDMN C#CC[C@H](CO)NC(=O)CN(C)CCc1ccccc1 ZINC000823053692 613053401 /nfs/dbraw/zinc/05/34/01/613053401.db2.gz SWZBBTPBIHIFGM-OAHLLOKOSA-N 0 1 274.364 0.661 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000798440154 613057320 /nfs/dbraw/zinc/05/73/20/613057320.db2.gz KAUBGQZUVPMCDY-ZWNOBZJWSA-N 0 1 278.356 0.723 20 30 CCEDMN C#CC[C@H](CO)NCc1c(C)nc2sc(C)nn21 ZINC000883124471 613058518 /nfs/dbraw/zinc/05/85/18/613058518.db2.gz HUPNCQHFXYUIOZ-SNVBAGLBSA-N 0 1 264.354 0.881 20 30 CCEDMN C#CCN(C(=O)C1=NC(=O)N(C)C1)C1CSC1 ZINC000912361951 613070315 /nfs/dbraw/zinc/07/03/15/613070315.db2.gz IAKAVFGXDXUALA-UHFFFAOYSA-N 0 1 251.311 0.317 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)[C@@H](C)CN2CCOCC2)C1 ZINC000862570175 613073881 /nfs/dbraw/zinc/07/38/81/613073881.db2.gz GNAZNAUFEIEDSE-KBPBESRZSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(C)(C)N2CCOCC2)C1 ZINC000972553402 613079685 /nfs/dbraw/zinc/07/96/85/613079685.db2.gz ZRUAAUXBRNAADT-CQSZACIVSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COC2CCOCC2)C1 ZINC000972461974 613080592 /nfs/dbraw/zinc/08/05/92/613080592.db2.gz QIMIBAKSWOSEHS-CYBMUJFWSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC000971664704 613082953 /nfs/dbraw/zinc/08/29/53/613082953.db2.gz GBXCPEDZZBTVTA-UKRRQHHQSA-N 0 1 298.390 0.747 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](OC)C2CC2)C1 ZINC000971442757 613083458 /nfs/dbraw/zinc/08/34/58/613083458.db2.gz OGOVJNPTYASTFD-CHWSQXEVSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc3nc[nH]c3n2)C1 ZINC000971776511 613086590 /nfs/dbraw/zinc/08/65/90/613086590.db2.gz QAYUUOJQVFGTLU-LLVKDONJSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnc(C)n2)C1 ZINC000980432982 613087176 /nfs/dbraw/zinc/08/71/76/613087176.db2.gz YULMNDGXDJZDFN-GFCCVEGCSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn3cc(C)cnc23)C1 ZINC000972454405 613087722 /nfs/dbraw/zinc/08/77/22/613087722.db2.gz SXQFLTCGLYPQPY-CYBMUJFWSA-N 0 1 297.362 0.817 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(=O)N2CCC(C)CC2)C1 ZINC000972497224 613089000 /nfs/dbraw/zinc/08/90/00/613089000.db2.gz GQENCSLXRHRCSD-AWEZNQCLSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC000972697625 613089046 /nfs/dbraw/zinc/08/90/46/613089046.db2.gz PTFIBLXKAKRTHL-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2cnn(C)c2)C1 ZINC000980476592 613089694 /nfs/dbraw/zinc/08/96/94/613089694.db2.gz UMUDYTIXQMQDAK-AWEZNQCLSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cn2cc(Cl)cn2)C1 ZINC000972439618 613091027 /nfs/dbraw/zinc/09/10/27/613091027.db2.gz FDRSWPRMNMIZET-LBPRGKRZSA-N 0 1 280.759 0.702 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2Cc3ccncc3C2)C1 ZINC000972338836 613093274 /nfs/dbraw/zinc/09/32/74/613093274.db2.gz JTJQNCKPPBJYEP-HOCLYGCPSA-N 0 1 283.375 0.962 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(C)nc(C)n2)C1 ZINC000972543387 613094155 /nfs/dbraw/zinc/09/41/55/613094155.db2.gz FCLHSJJIKSMKFM-ZDUSSCGKSA-N 0 1 272.352 0.873 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn3nccc3nc2C)C1 ZINC000972642024 613096107 /nfs/dbraw/zinc/09/61/07/613096107.db2.gz ODQHEFCGXAMIMV-ZDUSSCGKSA-N 0 1 297.362 0.817 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(CCC=C)nn2)C1 ZINC000972519464 613096480 /nfs/dbraw/zinc/09/64/80/613096480.db2.gz ZPHQKMOXFJYUNK-ZDUSSCGKSA-N 0 1 287.367 0.634 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc(Cl)n2C)C1 ZINC000971939164 613096483 /nfs/dbraw/zinc/09/64/83/613096483.db2.gz FLGZRFJCMHYUAM-JTQLQIEISA-N 0 1 280.759 0.853 20 30 CCEDMN C#CC[N@H+](CCC(=O)N(C)CC(=O)[O-])C1CCCC1 ZINC000825614100 613106943 /nfs/dbraw/zinc/10/69/43/613106943.db2.gz FUDAAIQKRSZBHG-UHFFFAOYSA-N 0 1 266.341 0.797 20 30 CCEDMN C#CC[N@H+](CC)[C@@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000825614529 613107252 /nfs/dbraw/zinc/10/72/52/613107252.db2.gz QCIPAHYNZSEZRW-NSHDSACASA-N 0 1 266.341 0.653 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)NCC[N@@H+](CC)CCO)CC1 ZINC000876442611 613107956 /nfs/dbraw/zinc/10/79/56/613107956.db2.gz OWXMPGOSMCGMPE-HDJSIYSDSA-N 0 1 281.400 0.792 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000857869304 613110949 /nfs/dbraw/zinc/11/09/49/613110949.db2.gz MMXYOZJLGBQBRV-UHTWSYAYSA-N 0 1 273.336 0.936 20 30 CCEDMN C#C[C@H](CC)NS(=O)(=O)c1occc1C(=O)OC ZINC000867198798 613122032 /nfs/dbraw/zinc/12/20/32/613122032.db2.gz RFQJHJSYZWLOLA-MRVPVSSYSA-N 0 1 271.294 0.756 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000820666061 613123625 /nfs/dbraw/zinc/12/36/25/613123625.db2.gz FSEABRFBPOJMPH-HZSPNIEDSA-N 0 1 281.400 0.885 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CC[C@H]2OC)CC1 ZINC000889353947 613128324 /nfs/dbraw/zinc/12/83/24/613128324.db2.gz WSXKYBFAUQFHRB-ZIAGYGMSSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CC[C@@H]2OC)CC1 ZINC000889353945 613128363 /nfs/dbraw/zinc/12/83/63/613128363.db2.gz WSXKYBFAUQFHRB-KGLIPLIRSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C(=O)OCC)C2)CC1 ZINC000884747174 613129024 /nfs/dbraw/zinc/12/90/24/613129024.db2.gz KBSZDOYDFHTSDA-CQSZACIVSA-N 0 1 292.379 0.743 20 30 CCEDMN C#CCN1CCC(C(=O)OCCO[C@@H]2CCOC2)CC1 ZINC000922445008 613131973 /nfs/dbraw/zinc/13/19/73/613131973.db2.gz LYXUTHGZTHGWEJ-CQSZACIVSA-N 0 1 281.352 0.680 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2n[nH]c3c2COCC3)CC1 ZINC000899257561 613132131 /nfs/dbraw/zinc/13/21/31/613132131.db2.gz HLYJNKQZLXKVQJ-UHFFFAOYSA-N 0 1 288.351 0.766 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)CC(C)(C)C)CC1 ZINC000928656681 613132798 /nfs/dbraw/zinc/13/27/98/613132798.db2.gz BOQOGIWKZZGSSR-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCC(=O)N(C)C)CC1 ZINC000928654938 613132846 /nfs/dbraw/zinc/13/28/46/613132846.db2.gz IHXYEHXGAIKINO-UHFFFAOYSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)COCC2CC2)CC1 ZINC000928656900 613132991 /nfs/dbraw/zinc/13/29/91/613132991.db2.gz JKMKUXHAMVIOBE-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCO[C@@H]2CCOC2)CC1 ZINC000927525341 613133931 /nfs/dbraw/zinc/13/39/31/613133931.db2.gz HSQYAQGJBDORNL-CQSZACIVSA-N 0 1 295.383 0.189 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2COC(C)(C)C2)CC1 ZINC000923175418 613134790 /nfs/dbraw/zinc/13/47/90/613134790.db2.gz URHWVELZBTYRKP-ZDUSSCGKSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCC(=O)N[C@@H]2C)CC1 ZINC000922351799 613135280 /nfs/dbraw/zinc/13/52/80/613135280.db2.gz VDNDVUWUBJINCR-YPMHNXCESA-N 0 1 292.383 0.050 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC000928650068 613135829 /nfs/dbraw/zinc/13/58/29/613135829.db2.gz XLSKJZDYDDSHGO-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@]2(C)CCN(C(C)=O)C2)CC1 ZINC000928656503 613136100 /nfs/dbraw/zinc/13/61/00/613136100.db2.gz XEHMJLOKNHKFOD-INIZCTEOSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCc3cncn3C2)CC1 ZINC000928651074 613136725 /nfs/dbraw/zinc/13/67/25/613136725.db2.gz LTOIEVHXKUKGJE-ZDUSSCGKSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2C[C@]23CCOC3)CC1 ZINC000928654186 613136891 /nfs/dbraw/zinc/13/68/91/613136891.db2.gz QNLHXQYWRLHOAL-HIFRSBDPSA-N 0 1 262.353 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc3n(n2)CCO3)CC1 ZINC000928651089 613137068 /nfs/dbraw/zinc/13/70/68/613137068.db2.gz MFESHPLYWADJMY-UHFFFAOYSA-N 0 1 274.324 0.103 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c3c(nn2C)CCC3)CC1 ZINC000928659858 613137162 /nfs/dbraw/zinc/13/71/62/613137162.db2.gz BDYVKIBUTHNTCZ-UHFFFAOYSA-N 0 1 286.379 0.736 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn3c2OCCC3)CC1 ZINC000928650621 613137508 /nfs/dbraw/zinc/13/75/08/613137508.db2.gz DVABDJREFILRJB-UHFFFAOYSA-N 0 1 288.351 0.493 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(C)nc2OC)CC1 ZINC000928660654 613137519 /nfs/dbraw/zinc/13/75/19/613137519.db2.gz UCYAAUUITHJQGY-UHFFFAOYSA-N 0 1 276.340 0.256 20 30 CCEDMN C#CCN1CCC(Nc2cc(C(=O)OC)ncn2)CC1 ZINC000895811012 613138569 /nfs/dbraw/zinc/13/85/69/613138569.db2.gz MNJFCMCSCIFAAK-UHFFFAOYSA-N 0 1 274.324 0.773 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@@H]1CCN1CC)C1CCOCC1 ZINC000883727802 613140615 /nfs/dbraw/zinc/14/06/15/613140615.db2.gz CIKVTNZGYOYFTD-KBPBESRZSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C3CC(OC)C3)C2)C1 ZINC000981832819 613140717 /nfs/dbraw/zinc/14/07/17/613140717.db2.gz GTIAXSFHJOVEKU-UHFFFAOYSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CCCOC3)C2)C1 ZINC000981658814 613142138 /nfs/dbraw/zinc/14/21/38/613142138.db2.gz VMSKAZSVJYLPIL-CQSZACIVSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnon3)C2)C1 ZINC000982076745 613144989 /nfs/dbraw/zinc/14/49/89/613144989.db2.gz KLYJNCQIKWPTDN-UHFFFAOYSA-N 0 1 260.297 0.241 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC000968835031 613148199 /nfs/dbraw/zinc/14/81/99/613148199.db2.gz VRJRYCRLBRCLDP-HNNXBMFYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)CCSCC(=O)[O-] ZINC000833364184 613176608 /nfs/dbraw/zinc/17/66/08/613176608.db2.gz GQQUNDYAXDTKPD-LLVKDONJSA-N 0 1 285.365 0.835 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@]1(CC)CCNC1=O ZINC000870194758 613176686 /nfs/dbraw/zinc/17/66/86/613176686.db2.gz SDOFUCXYNDFHEZ-DOMZBBRYSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C/CN2CCOCC2)C1 ZINC000923560162 613180624 /nfs/dbraw/zinc/18/06/24/613180624.db2.gz OKIWBUBBHNHXIO-DRDHIDPGSA-N 0 1 291.395 0.089 20 30 CCEDMN C#CCN1CCC[C@H](N[C@H]2C[C@@H](C)n3ncnc32)C1 ZINC000926869152 613193740 /nfs/dbraw/zinc/19/37/40/613193740.db2.gz UDOHLACETQSAEV-AGIUHOORSA-N 0 1 259.357 0.971 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000817668573 613195327 /nfs/dbraw/zinc/19/53/27/613195327.db2.gz KPMUQFNDEPVNQF-OLZOCXBDSA-N 0 1 278.352 0.797 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000819721130 613197121 /nfs/dbraw/zinc/19/71/21/613197121.db2.gz XKMXSDAGVKJMPI-NEPJUHHUSA-N 0 1 273.340 0.218 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000825619566 613197624 /nfs/dbraw/zinc/19/76/24/613197624.db2.gz OFOLEFSKVMAOFJ-TUAOUCFPSA-N 0 1 264.325 0.263 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCc1n[nH]c(CC)n1 ZINC000832975157 613200214 /nfs/dbraw/zinc/20/02/14/613200214.db2.gz NRZPKVIMCLBIAU-JTQLQIEISA-N 0 1 261.329 0.081 20 30 CCEDMN C#Cc1cccc(CNC(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000875651876 613200411 /nfs/dbraw/zinc/20/04/11/613200411.db2.gz XSKFWQRVTVTLCQ-OAHLLOKOSA-N 0 1 287.363 0.534 20 30 CCEDMN C#CCN1CCN(C(=O)C(C)(C)c2c[nH]cn2)CC1 ZINC000857050490 613204455 /nfs/dbraw/zinc/20/44/55/613204455.db2.gz NSMOVDVEPZOIGR-UHFFFAOYSA-N 0 1 260.341 0.465 20 30 CCEDMN C#Cc1ccccc1CC(=O)N(C)Cc1nnc[nH]1 ZINC000810425907 613204609 /nfs/dbraw/zinc/20/46/09/613204609.db2.gz HZQZQTXKZAOTMH-UHFFFAOYSA-N 0 1 254.293 0.987 20 30 CCEDMN C#CCN1CCN(C(=O)CN2C[C@H](OC)CC[C@H]2C)CC1 ZINC000879323862 613205322 /nfs/dbraw/zinc/20/53/22/613205322.db2.gz XLUMZUBENMKCHM-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN C1CC[C@@H]2NC(N=NC3COCCOC3)=N[C@H]2C1 ZINC000814886225 613225402 /nfs/dbraw/zinc/22/54/02/613225402.db2.gz VJHUXIJITPHYPX-QWRGUYRKSA-N 0 1 252.318 0.249 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C)nn2)[C@@H](C)C1 ZINC000942044166 613235010 /nfs/dbraw/zinc/23/50/10/613235010.db2.gz IIWKTOCTSCXSOX-WCQYABFASA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)n2)C[C@@H]1C ZINC000947802264 613242155 /nfs/dbraw/zinc/24/21/55/613242155.db2.gz WUBPFVHJFRUIQR-WDEREUQCSA-N 0 1 261.329 0.031 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC000972072228 613243019 /nfs/dbraw/zinc/24/30/19/613243019.db2.gz HEMVGBCCHBZUKF-NEPJUHHUSA-N 0 1 281.400 0.995 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nonc2C)C[C@@H]1C ZINC000948093892 613244717 /nfs/dbraw/zinc/24/47/17/613244717.db2.gz BPKORTJEUXEZNP-GXSJLCMTSA-N 0 1 262.313 0.594 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC000968366172 613247411 /nfs/dbraw/zinc/24/74/11/613247411.db2.gz TUAFZCWGQUNOGD-AAEUAGOBSA-N 0 1 294.786 0.996 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000967005588 613249246 /nfs/dbraw/zinc/24/92/46/613249246.db2.gz FWIZVBPOVIZBJF-JSGCOSHPSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC000968458778 613252860 /nfs/dbraw/zinc/25/28/60/613252860.db2.gz DYKWAINFDZCLNV-NWDGAFQWSA-N 0 1 260.341 0.412 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C2CC(=O)NC(=O)C2)CC1 ZINC000951734527 613257126 /nfs/dbraw/zinc/25/71/26/613257126.db2.gz PLPXUXMXIHTRJX-UHFFFAOYSA-N 0 1 293.367 0.150 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CN2CCCNC2=O)CC1 ZINC000949083900 613257694 /nfs/dbraw/zinc/25/76/94/613257694.db2.gz RHJBPWZDEVOCQF-UHFFFAOYSA-N 0 1 294.399 0.512 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C[C@@H]2CC(=O)NC2=O)CC1 ZINC000957948721 613257934 /nfs/dbraw/zinc/25/79/34/613257934.db2.gz TXKMJSMJYAPVIA-LBPRGKRZSA-N 0 1 293.367 0.150 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CCNC2=O)CC1 ZINC000949642488 613259025 /nfs/dbraw/zinc/25/90/25/613259025.db2.gz FWFXIBUGFGDNAK-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn3nnnc3c2)[C@H](C)C1 ZINC000942556238 613272491 /nfs/dbraw/zinc/27/24/91/613272491.db2.gz XZPAQSWWHBODSQ-YPMHNXCESA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnnc2)C(C)(C)C1 ZINC000941054213 613273226 /nfs/dbraw/zinc/27/32/26/613273226.db2.gz DGDSHIYWOXTFLD-ZDUSSCGKSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)cn2)[C@H](C)C1 ZINC000941949267 613273591 /nfs/dbraw/zinc/27/35/91/613273591.db2.gz DWVJQZITCQFWAK-NEPJUHHUSA-N 0 1 260.341 0.494 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nc2OC)C[C@@H]1C ZINC000947615940 613273923 /nfs/dbraw/zinc/27/39/23/613273923.db2.gz MTKGMJIRUXILEC-RYUDHWBXSA-N 0 1 290.367 0.645 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn3cccnc3n2)C[C@@H]1C ZINC000947498843 613274513 /nfs/dbraw/zinc/27/45/13/613274513.db2.gz ZWCLMIDNXYLYAZ-STQMWFEESA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccnc2N)C[C@H]1C ZINC000947144584 613278285 /nfs/dbraw/zinc/27/82/85/613278285.db2.gz RUHWZXAVELEUNF-MNOVXSKESA-N 0 1 273.340 0.275 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C(C)C)on2)C1 ZINC000958287383 613285555 /nfs/dbraw/zinc/28/55/55/613285555.db2.gz DQSCJWUVFXSPRO-WCQYABFASA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc(C)c2F)C1 ZINC000958076785 613286560 /nfs/dbraw/zinc/28/65/60/613286560.db2.gz KXOLMHGNQSPHEF-GXTWGEPZSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccccc2F)C1 ZINC000957811295 613286579 /nfs/dbraw/zinc/28/65/79/613286579.db2.gz CQHOQBQKRGAWMG-SMDDNHRTSA-N 0 1 276.311 0.481 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC000958351012 613286829 /nfs/dbraw/zinc/28/68/29/613286829.db2.gz WSYRURYXTKSOAX-DZGCQCFKSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ncc(Cl)s2)C1 ZINC000958253642 613288061 /nfs/dbraw/zinc/28/80/61/613288061.db2.gz IYLLXBAFZPOFHL-DTWKUNHWSA-N 0 1 299.783 0.452 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3csnn3)C[C@]2(C)C1 ZINC000982408155 613293948 /nfs/dbraw/zinc/29/39/48/613293948.db2.gz UOAYCDWBYCFKSK-OKILXGFUSA-N 0 1 290.392 0.955 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC000958631944 613294876 /nfs/dbraw/zinc/29/48/76/613294876.db2.gz ZOKSBVPPAFVAQT-HOCLYGCPSA-N 0 1 299.374 0.615 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccccc2O)C1 ZINC000957885325 613295106 /nfs/dbraw/zinc/29/51/06/613295106.db2.gz CQFKFYZHMYGCCN-FZMZJTMJSA-N 0 1 274.320 0.048 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC000958214917 613295206 /nfs/dbraw/zinc/29/52/06/613295206.db2.gz OLBOTVOYVGLUOW-ZFWWWQNUSA-N 0 1 299.374 0.615 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cnn(C(C)C)c2)C1 ZINC000958583340 613295577 /nfs/dbraw/zinc/29/55/77/613295577.db2.gz HALUPWOFQXOLKU-JSGCOSHPSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cccc(=O)[nH]2)C(C)(C)C1 ZINC000973007335 613300656 /nfs/dbraw/zinc/30/06/56/613300656.db2.gz XJWAFHQQYVXORD-LBPRGKRZSA-N 0 1 273.336 0.861 20 30 CCEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cnon2)C1 ZINC000970618919 613301300 /nfs/dbraw/zinc/30/13/00/613301300.db2.gz KWIBLFLYNRXSOS-SECBINFHSA-N 0 1 250.302 0.696 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c(C)cccc2F)C1 ZINC000958739277 613302453 /nfs/dbraw/zinc/30/24/53/613302453.db2.gz AMAVQXZBEXNGHS-OCCSQVGLSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccccc2F)C1 ZINC000957811294 613303550 /nfs/dbraw/zinc/30/35/50/613303550.db2.gz CQHOQBQKRGAWMG-RISCZKNCSA-N 0 1 276.311 0.481 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000981948159 613310999 /nfs/dbraw/zinc/31/09/99/613310999.db2.gz VCXVUZSXHQNYPP-QWHCGFSZSA-N 0 1 295.383 0.130 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCCCO1 ZINC000958522615 613313917 /nfs/dbraw/zinc/31/39/17/613313917.db2.gz KYEMUKWLBXLZGF-CXTNEJHOSA-N 0 1 262.353 0.625 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc2n[nH]cc2c1 ZINC000961062182 613314374 /nfs/dbraw/zinc/31/43/74/613314374.db2.gz QWCYFJSPEYIDBB-HALDLXJZSA-N 0 1 294.358 0.785 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc2c(c1)COC2 ZINC000962395017 613314577 /nfs/dbraw/zinc/31/45/77/613314577.db2.gz TYQZCEKKUADOLR-VQFNDLOPSA-N 0 1 296.370 0.939 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2oc(=O)[nH]c21 ZINC000961108909 613320278 /nfs/dbraw/zinc/32/02/78/613320278.db2.gz CBCTWCVTZPJOKN-PJXYFTJBSA-N 0 1 297.314 0.827 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnnc1C ZINC000960809499 613320699 /nfs/dbraw/zinc/32/06/99/613320699.db2.gz MZNMSTYWEZNGBA-ITGUQSILSA-N 0 1 256.309 0.078 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1C ZINC000958486960 613321729 /nfs/dbraw/zinc/32/17/29/613321729.db2.gz LAFBNGQSCPUCRA-IMRBUKKESA-N 0 1 255.321 0.683 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c2c1CCC2 ZINC000960721490 613322097 /nfs/dbraw/zinc/32/20/97/613322097.db2.gz DWECDRKYKGLOAU-PJXYFTJBSA-N 0 1 270.336 0.192 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncoc1CC ZINC000958439651 613322709 /nfs/dbraw/zinc/32/27/09/613322709.db2.gz BALJFPVDHHUONR-IAZYJMLFSA-N 0 1 259.309 0.530 20 30 CCEDMN C=C(C)CN1CCOC2(CCN(C(=O)COC)CC2)C1 ZINC000949261115 613322928 /nfs/dbraw/zinc/32/29/28/613322928.db2.gz IJWOLWZMYWXBNC-UHFFFAOYSA-N 0 1 282.384 0.902 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H]2CCN(CC)C2=O)CC1 ZINC000851740626 613323493 /nfs/dbraw/zinc/32/34/93/613323493.db2.gz USGMHMMRUPTCOL-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](CC(C)(C)C(N)=O)C1 ZINC000830552805 613329220 /nfs/dbraw/zinc/32/92/20/613329220.db2.gz HWVWTLXLACGCDH-GFCCVEGCSA-N 0 1 279.384 0.349 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)NC[C@H]1CCN1CC ZINC000890055695 613357570 /nfs/dbraw/zinc/35/75/70/613357570.db2.gz DRLGZMGFCXKVOX-VXGBXAGGSA-N 0 1 255.362 0.707 20 30 CCEDMN C=C(C)C[C@H](CO)NCc1cn(C[C@H]2CCCO2)nn1 ZINC000883399943 613358319 /nfs/dbraw/zinc/35/83/19/613358319.db2.gz ZJYLTVAQXRJYNH-TZMCWYRMSA-N 0 1 280.372 0.874 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCN(C(=O)C(N)=O)C2)C1 ZINC000961239770 613377703 /nfs/dbraw/zinc/37/77/03/613377703.db2.gz XHBWYFSIQZASFF-NWDGAFQWSA-N 0 1 299.802 0.785 20 30 CCEDMN C=C(Cl)CNC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833370163 613383646 /nfs/dbraw/zinc/38/36/46/613383646.db2.gz LLEPXPZVTVJVDJ-SNVBAGLBSA-N 0 1 289.763 0.929 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC000968897654 613384810 /nfs/dbraw/zinc/38/48/10/613384810.db2.gz KAOBWRNJLZPESH-WDEREUQCSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn(C)ccc2=O)C1 ZINC000969292597 613385897 /nfs/dbraw/zinc/38/58/97/613385897.db2.gz BRQGETNFUJYWKC-LLVKDONJSA-N 0 1 295.770 0.942 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)NC[C@@H](C)S(C)(=O)=O ZINC000876981136 613388128 /nfs/dbraw/zinc/38/81/28/613388128.db2.gz NCPXEUISOMTGPT-HTQZYQBOSA-N 0 1 268.766 0.006 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000878708517 613397822 /nfs/dbraw/zinc/39/78/22/613397822.db2.gz QUXQASLLTWEXDD-NEPJUHHUSA-N 0 1 284.356 0.141 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCC[C@H](C(=O)NC)C1 ZINC000878094515 613411275 /nfs/dbraw/zinc/41/12/75/613411275.db2.gz QDAKQWNNNSTPHF-WDEREUQCSA-N 0 1 254.330 0.562 20 30 CCEDMN C=CC[C@H](C)[C@H](C)NCc1nnc2n1CCNC2=O ZINC000883303367 613414270 /nfs/dbraw/zinc/41/42/70/613414270.db2.gz WBQGVUBCHQBHMK-UWVGGRQHSA-N 0 1 263.345 0.712 20 30 CCEDMN C=CC[C@H](CO)NC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000925160994 613417460 /nfs/dbraw/zinc/41/74/60/613417460.db2.gz YSDORMGWHRJEHM-UPJWGTAASA-N 0 1 299.440 0.802 20 30 CCEDMN C=C1c2ccccc2C(=O)N1CC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000846678447 613419478 /nfs/dbraw/zinc/41/94/78/613419478.db2.gz UMPOYFXKZILQOB-SMDDNHRTSA-N 0 1 296.330 0.341 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)[C@@H]2CCNC2=O)C1 ZINC000972083354 613438913 /nfs/dbraw/zinc/43/89/13/613438913.db2.gz XUSWSXGPCPFZBW-NWDGAFQWSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000966670736 613441433 /nfs/dbraw/zinc/44/14/33/613441433.db2.gz XESKJCLTSWDHHO-KOLCDFICSA-N 0 1 291.355 0.594 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1C[C@@H]2CCC[C@]2(C(N)=O)C1 ZINC000933758127 613441757 /nfs/dbraw/zinc/44/17/57/613441757.db2.gz SRIPKUHIJVMRMZ-KWBADKCTSA-N 0 1 252.314 0.301 20 30 CCEDMN C=C[C@@H](C)NS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914241136 613442546 /nfs/dbraw/zinc/44/25/46/613442546.db2.gz DPQKFTBJEORJEZ-NEPJUHHUSA-N 0 1 258.387 0.966 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000845623260 613444483 /nfs/dbraw/zinc/44/44/83/613444483.db2.gz OKRMDLMTWFAWKV-NWDGAFQWSA-N 0 1 285.388 0.979 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NC[C@@H]1CN(C)CCN1C ZINC000845634660 613446252 /nfs/dbraw/zinc/44/62/52/613446252.db2.gz UZFUWVONOVAQCZ-GHMZBOCLSA-N 0 1 256.350 0.038 20 30 CCEDMN C=CC(C)(C)CCCNC(=O)C(=O)N1CCNC[C@H]1C ZINC000885098794 613446764 /nfs/dbraw/zinc/44/67/64/613446764.db2.gz WTWRZHWRNVVMPE-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C=C[C@@H](C)ONC(=O)c1[nH]c(=O)[nH]c(=O)c1OC ZINC000846556176 613447520 /nfs/dbraw/zinc/44/75/20/613447520.db2.gz YVSUSIJFVUOYMI-RXMQYKEDSA-N 0 1 255.230 0.132 20 30 CCEDMN C=CC(C)(C)NC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000892872979 613448865 /nfs/dbraw/zinc/44/88/65/613448865.db2.gz ZRUSZAWICPPUAK-LBPRGKRZSA-N 0 1 268.405 0.886 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CC2(CN(Cc3ccccc3)C2)C1 ZINC000869815124 613450047 /nfs/dbraw/zinc/45/00/47/613450047.db2.gz ZOFCRLCQFQBJAW-CQSZACIVSA-N 0 1 272.348 0.878 20 30 CCEDMN C=CCC1(O)CCN(C(=O)[C@H](C)CN2CCOCC2)CC1 ZINC000899591310 613459155 /nfs/dbraw/zinc/45/91/55/613459155.db2.gz XQQXXCFCFQVJIJ-CQSZACIVSA-N 0 1 296.411 0.884 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NCc1cn(CC(=O)OC)nn1 ZINC000899866025 613461102 /nfs/dbraw/zinc/46/11/02/613461102.db2.gz SBZQYCXKAWKRTH-NEPJUHHUSA-N 0 1 280.328 0.274 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H](N2CCC2)C1 ZINC000912904591 613463616 /nfs/dbraw/zinc/46/36/16/613463616.db2.gz RLCYMXXBORIIFF-UPJWGTAASA-N 0 1 250.342 0.884 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)C(=O)N1CCN[C@H](C)C1 ZINC000884855997 613463939 /nfs/dbraw/zinc/46/39/39/613463939.db2.gz XBECIVXSEFOKPE-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCCCN(CC)S(=O)(=O)C[C@@H]1CNCCO1 ZINC000903583825 613466824 /nfs/dbraw/zinc/46/68/24/613466824.db2.gz GYCWZDVPJZHYII-LBPRGKRZSA-N 0 1 276.402 0.593 20 30 CCEDMN C=CCCC[C@@H](NC(=O)C[C@@]1(O)CCC[N@H+](C)C1)C(=O)[O-] ZINC000910925646 613470495 /nfs/dbraw/zinc/47/04/95/613470495.db2.gz MWDQWBOQCCFBLY-DOMZBBRYSA-N 0 1 298.383 0.759 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000932281855 613488998 /nfs/dbraw/zinc/48/89/98/613488998.db2.gz CRMCSMFKXXTNNF-ZJUUUORDSA-N 0 1 265.317 0.702 20 30 CCEDMN C=CCCN1CCN(C(=O)Cn2ccccc2=O)CC1 ZINC000957608170 613504970 /nfs/dbraw/zinc/50/49/70/613504970.db2.gz ZYCYWFHSKCBJFR-UHFFFAOYSA-N 0 1 275.352 0.569 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)CC1 ZINC000949432402 613506581 /nfs/dbraw/zinc/50/65/81/613506581.db2.gz SQNSGRHQFXDRAU-SOUVJXGZSA-N 0 1 294.395 0.758 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCN(C(C)=O)C2)CC1 ZINC000957491116 613508364 /nfs/dbraw/zinc/50/83/64/613508364.db2.gz RCTJVZWOIFTYHW-AWEZNQCLSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCCc3nn[nH]c32)CC1 ZINC000949908987 613508425 /nfs/dbraw/zinc/50/84/25/613508425.db2.gz XNDHGVGWSDFQRF-LBPRGKRZSA-N 0 1 289.383 0.945 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)C2=COCCO2)C1 ZINC000969247187 613529841 /nfs/dbraw/zinc/52/98/41/613529841.db2.gz VCYHRJJXHVPGPO-LLVKDONJSA-N 0 1 264.325 0.334 20 30 CCEDMN C=CCCOCCNC(=O)[C@@]1(C)C[C@@H](O)CN1C ZINC000901212136 613575582 /nfs/dbraw/zinc/57/55/82/613575582.db2.gz AJAUNCHXJCEKKL-DGCLKSJQSA-N 0 1 256.346 0.150 20 30 CCEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1nnn(C)n1 ZINC000968990961 613546104 /nfs/dbraw/zinc/54/61/04/613546104.db2.gz SMZUSKLANHJULC-GFCCVEGCSA-N 0 1 290.371 0.208 20 30 CCEDMN CC#CCN1CCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)CC1 ZINC000957319485 613565259 /nfs/dbraw/zinc/56/52/59/613565259.db2.gz PDQPQLUKFIWLOO-GFCCVEGCSA-N 0 1 287.367 0.077 20 30 CCEDMN CC#CCN1CCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)CC1 ZINC000957319485 613565261 /nfs/dbraw/zinc/56/52/61/613565261.db2.gz PDQPQLUKFIWLOO-GFCCVEGCSA-N 0 1 287.367 0.077 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cnn(C)n2)C(C)(C)C1 ZINC000941100418 613586938 /nfs/dbraw/zinc/58/69/38/613586938.db2.gz XGAUXAFAQIESDS-CYBMUJFWSA-N 0 1 289.383 0.669 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@H]2OCCNC(=O)[C@H]21 ZINC000879718627 613591164 /nfs/dbraw/zinc/59/11/64/613591164.db2.gz GZTCPIKWYACWSS-XQQFMLRXSA-N 0 1 268.357 0.293 20 30 CCEDMN C=CCN(C(=O)C1CN([C@@H]2CCOC2)C1)[C@H](C)COC ZINC000912311856 613604881 /nfs/dbraw/zinc/60/48/81/613604881.db2.gz AOTWSBGGPQKIJM-TZMCWYRMSA-N 0 1 282.384 0.757 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974862837 613610013 /nfs/dbraw/zinc/61/00/13/613610013.db2.gz JHLRJUWNMHOTPM-WBMJQRKESA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CCCCC2)C1 ZINC000957820707 613612661 /nfs/dbraw/zinc/61/26/61/613612661.db2.gz JRHUIQKGVOPYLD-LSDHHAIUSA-N 0 1 278.396 0.999 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccn(C)c2)C1 ZINC000957979679 613612757 /nfs/dbraw/zinc/61/27/57/613612757.db2.gz PIJDBBUMVJEJSI-UONOGXRCSA-N 0 1 275.352 0.071 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc[nH]c2CC)[C@H](O)C1 ZINC000958020446 613614662 /nfs/dbraw/zinc/61/46/62/613614662.db2.gz PEJMNRRXTMISRW-IUODEOHRSA-N 0 1 289.379 0.623 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc(F)c2)C1 ZINC000957839065 613618265 /nfs/dbraw/zinc/61/82/65/613618265.db2.gz WRGDZLQCMQWXQB-ZFWWWQNUSA-N 0 1 290.338 0.872 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(F)cn2)C1 ZINC000958000427 613618429 /nfs/dbraw/zinc/61/84/29/613618429.db2.gz WOAJCFQDWCYEFE-FZMZJTMJSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973029016 613622364 /nfs/dbraw/zinc/62/23/64/613622364.db2.gz VNBOUYRONSAIPP-STQMWFEESA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccn(C)c2C)C1 ZINC000958377540 613625931 /nfs/dbraw/zinc/62/59/31/613625931.db2.gz BFJYMCQJWIEZQH-HIFRSBDPSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cc[nH]n1 ZINC000958457969 613633833 /nfs/dbraw/zinc/63/38/33/613633833.db2.gz YCRGJEGHEWLLPX-IMRBUKKESA-N 0 1 258.325 0.022 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1nc(CC)c[nH]1 ZINC000960359333 613635501 /nfs/dbraw/zinc/63/55/01/613635501.db2.gz ZITFOKIQQQACBU-VIKVFOODSA-N 0 1 286.379 0.584 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(OC)no1 ZINC000958615547 613639035 /nfs/dbraw/zinc/63/90/35/613639035.db2.gz UAEFNCGKEIHZDS-IWIIMEHWSA-N 0 1 275.308 0.367 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnn1C(F)F ZINC000960078427 613641801 /nfs/dbraw/zinc/64/18/01/613641801.db2.gz JTXYETBTMBULSX-IAZYJMLFSA-N 0 1 294.305 0.962 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000111254862 613646936 /nfs/dbraw/zinc/64/69/36/613646936.db2.gz LHJYTZGDXYELIV-NEPJUHHUSA-N 0 1 266.341 0.840 20 30 CCEDMN CC(=NN[C@@H]1CCCS(=O)(=O)C1)C1CCN(C)CC1 ZINC000863133152 613656244 /nfs/dbraw/zinc/65/62/44/613656244.db2.gz DITOCRVDJNCGHU-CYBMUJFWSA-N 0 1 287.429 0.871 20 30 CCEDMN CC(=NN=c1[nH]ccn(C)c1=O)c1cc(C)n(C)n1 ZINC000799880869 613657534 /nfs/dbraw/zinc/65/75/34/613657534.db2.gz FECREWRQPRWMIX-UHFFFAOYSA-N 0 1 260.301 0.658 20 30 CCEDMN CC(=NNc1nccn(C)c1=O)c1cc(C)n(C)n1 ZINC000799880869 613657537 /nfs/dbraw/zinc/65/75/37/613657537.db2.gz FECREWRQPRWMIX-UHFFFAOYSA-N 0 1 260.301 0.658 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC000970020675 613662353 /nfs/dbraw/zinc/66/23/53/613662353.db2.gz LXCKGZMYTOAOAF-GHMZBOCLSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC000969984389 613663681 /nfs/dbraw/zinc/66/36/81/613663681.db2.gz JPORCIPROXEIDS-NEPJUHHUSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnc3n2CCOC3)C1 ZINC000969883638 613667269 /nfs/dbraw/zinc/66/72/69/613667269.db2.gz KIMNZERQLSPJKI-LLVKDONJSA-N 0 1 290.367 0.649 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2coc(OC)n2)C1 ZINC000970220490 613667826 /nfs/dbraw/zinc/66/78/26/613667826.db2.gz NNFXNIHJBMALCW-SECBINFHSA-N 0 1 265.313 0.919 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(C(N)=O)o2)C1 ZINC000970104926 613671555 /nfs/dbraw/zinc/67/15/55/613671555.db2.gz LZTUFPKYMVWWPO-VIFPVBQESA-N 0 1 277.324 0.615 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cn(C)nc2C)C1 ZINC000969396459 613672901 /nfs/dbraw/zinc/67/29/01/613672901.db2.gz SOTHBSPDEJJHMV-JTQLQIEISA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC000969846030 613673154 /nfs/dbraw/zinc/67/31/54/613673154.db2.gz SFEJWXOPERDCLU-VIFPVBQESA-N 0 1 286.339 0.589 20 30 CCEDMN C=CCN1CCCN(C(=O)CCn2cccn2)CC1 ZINC000980726720 613689581 /nfs/dbraw/zinc/68/95/81/613689581.db2.gz ZFLQLCZLKMMVRP-UHFFFAOYSA-N 0 1 262.357 0.994 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2cn(CC)nn2)CC1 ZINC000981665016 613691018 /nfs/dbraw/zinc/69/10/18/613691018.db2.gz DLRSDLCKOMFVMF-UHFFFAOYSA-N 0 1 277.372 0.561 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC000981780466 613691290 /nfs/dbraw/zinc/69/12/90/613691290.db2.gz XMLLURQISPQYBD-GFCCVEGCSA-N 0 1 265.357 0.185 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@]2(CC)CCNC2=O)CC1 ZINC000981376875 613695813 /nfs/dbraw/zinc/69/58/13/613695813.db2.gz RKYNIWMPIZTIIA-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cn(C)c(=O)cn2)C1 ZINC000967415835 613730840 /nfs/dbraw/zinc/73/08/40/613730840.db2.gz YFMHOIDVIWORCP-NEPJUHHUSA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1CC[C@@H](N(CC2CC2)[C@@H](C(N)=O)C2CC2)C1=O ZINC000879487699 613739034 /nfs/dbraw/zinc/73/90/34/613739034.db2.gz ZKXJQGBTGWEREK-ZIAGYGMSSA-N 0 1 291.395 0.749 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC000965831206 613775456 /nfs/dbraw/zinc/77/54/56/613775456.db2.gz SUPNSCPVQCEALB-CMPLNLGQSA-N 0 1 277.372 0.750 20 30 CCEDMN C=CCN1CC[C@H](N2CCC3(CC2)C[C@H](O)CCO3)C1=O ZINC000933056821 613777797 /nfs/dbraw/zinc/77/77/97/613777797.db2.gz VKJXCIOCFDMSIA-KGLIPLIRSA-N 0 1 294.395 0.779 20 30 CCEDMN C=CCN1CC[C@H](OC(=O)Cc2cc(C)[nH]n2)C1=O ZINC000798634085 613803326 /nfs/dbraw/zinc/80/33/26/613803326.db2.gz OOLDXUTYRHYSRN-NSHDSACASA-N 0 1 263.297 0.591 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cn2cccn2)C(C)(C)C1 ZINC000972807568 613806794 /nfs/dbraw/zinc/80/67/94/613806794.db2.gz CKYZVHJHAZGWPQ-GFCCVEGCSA-N 0 1 262.357 0.896 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2COCCN2C)C(C)(C)C1 ZINC000974482757 613807768 /nfs/dbraw/zinc/80/77/68/613807768.db2.gz GXDZGCRXBBPBTL-QWHCGFSZSA-N 0 1 281.400 0.330 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCNC2=O)C(C)(C)C1 ZINC000974910523 613808402 /nfs/dbraw/zinc/80/84/02/613808402.db2.gz GYPYGXCTMIMDLW-WDEREUQCSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cc2nonc2C)C(C)(C)C1 ZINC000977419007 613826909 /nfs/dbraw/zinc/82/69/09/613826909.db2.gz CRZZIEOOIJEFRL-LBPRGKRZSA-N 0 1 278.356 0.933 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C(C)(C)C1 ZINC000977525092 613827915 /nfs/dbraw/zinc/82/79/15/613827915.db2.gz IOPVKAZHGHIPAG-KBPBESRZSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)no2)C1 ZINC000957860070 613830643 /nfs/dbraw/zinc/83/06/43/613830643.db2.gz ZKAJVBMWGHLLHW-MNOVXSKESA-N 0 1 265.313 0.192 20 30 CCEDMN C=CCNC(=O)Cc1noc(-c2ccc(CN)o2)n1 ZINC000843214162 613848754 /nfs/dbraw/zinc/84/87/54/613848754.db2.gz BDBJAAMIUBDTSV-UHFFFAOYSA-N 0 1 262.269 0.633 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCSC[C@H]1C ZINC000934304500 613849597 /nfs/dbraw/zinc/84/95/97/613849597.db2.gz AXSWYHZLLFDDEI-SNVBAGLBSA-N 0 1 271.386 0.826 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CCOC)C1CCOCC1 ZINC000879707016 613849730 /nfs/dbraw/zinc/84/97/30/613849730.db2.gz ZHCIWBPKSQPTLA-UHFFFAOYSA-N 0 1 299.371 0.126 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@@H](O)CC(C)(C)C1 ZINC000879618124 613852030 /nfs/dbraw/zinc/85/20/30/613852030.db2.gz RTAXIJMNWXRMAT-QWRGUYRKSA-N 0 1 283.372 0.480 20 30 CCEDMN C=CCOC[C@H](NC(=O)NC[C@H](C)[N@@H+](C)C1CC1)C(=O)[O-] ZINC000908934971 613882341 /nfs/dbraw/zinc/88/23/41/613882341.db2.gz CBDIHJNGVJCPOQ-JQWIXIFHSA-N 0 1 299.371 0.424 20 30 CCEDMN C=CCONC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000838702036 613883916 /nfs/dbraw/zinc/88/39/16/613883916.db2.gz LXVAHRVIBIMMTR-ZDUSSCGKSA-N 0 1 284.404 0.675 20 30 CCEDMN C=CCO[N-]C(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000837048186 613884385 /nfs/dbraw/zinc/88/43/85/613884385.db2.gz MIMMZLRJDDMKHA-LBPRGKRZSA-N 0 1 254.330 0.864 20 30 CCEDMN C=CCO[N-]C(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000837048186 613884386 /nfs/dbraw/zinc/88/43/86/613884386.db2.gz MIMMZLRJDDMKHA-LBPRGKRZSA-N 0 1 254.330 0.864 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@@H](O)c1ccccc1 ZINC000819221177 613885689 /nfs/dbraw/zinc/88/56/89/613885689.db2.gz CHSSTSOCKPYGSF-CYBMUJFWSA-N 0 1 269.366 0.910 20 30 CCEDMN CC(C)(C)N1CC[C@@H]1C(=O)N1CCN(C(=O)CC#N)CC1 ZINC000896994209 613928853 /nfs/dbraw/zinc/92/88/53/613928853.db2.gz YNGSAKLPLOMHSY-GFCCVEGCSA-N 0 1 292.383 0.444 20 30 CCEDMN CC(C)Oc1cnccc1NC(=O)NCC#CCN(C)C ZINC000934648978 614053387 /nfs/dbraw/zinc/05/33/87/614053387.db2.gz ZIOFBGBJNVYFEV-UHFFFAOYSA-N 0 1 290.367 0.977 20 30 CCEDMN CC(C)(C)n1nnc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)n1 ZINC000853324455 614068538 /nfs/dbraw/zinc/06/85/38/614068538.db2.gz HBZHSIISIBMKRR-AOOOYVTPSA-N 0 1 290.375 0.622 20 30 CCEDMN CC(C)N1CC(N2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000941348402 614315847 /nfs/dbraw/zinc/31/58/47/614315847.db2.gz MZGVJRFGAJKFNO-LBPRGKRZSA-N 0 1 264.373 0.383 20 30 CCEDMN CC(C)[N@H+]1CCCN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC1 ZINC000820025065 614333448 /nfs/dbraw/zinc/33/34/48/614333448.db2.gz ODBUGYUELFTKPZ-AWEZNQCLSA-N 0 1 281.356 0.934 20 30 CCEDMN CC(C)c1noc(CCC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000834521859 614339767 /nfs/dbraw/zinc/33/97/67/614339767.db2.gz WONCYROBXLGZFH-NXEZZACHSA-N 0 1 277.328 0.353 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@@H]1NC(=O)c1[nH]ncc1F ZINC000941007454 614604591 /nfs/dbraw/zinc/60/45/91/614604591.db2.gz DBLFRMUKBPALNE-JTQLQIEISA-N 0 1 279.319 0.903 20 30 CCEDMN CCCN1CC[C@@H](NS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000907291667 614732840 /nfs/dbraw/zinc/73/28/40/614732840.db2.gz RXRDVCNNSDVFJJ-GFCCVEGCSA-N 0 1 294.380 0.716 20 30 CCEDMN CCCCCCCNC(=O)C(=O)NC[C@@H]1COCCN1 ZINC000861786654 614901881 /nfs/dbraw/zinc/90/18/81/614901881.db2.gz ZJMXZADPJWZODZ-GFCCVEGCSA-N 0 1 285.388 0.178 20 30 CCEDMN CCN(CC#N)C(=O)C[NH+]1CCC(NC(=O)[O-])CC1 ZINC000826992637 614923170 /nfs/dbraw/zinc/92/31/70/614923170.db2.gz QORKBGZHMLUOCG-UHFFFAOYSA-N 0 1 268.317 0.090 20 30 CCEDMN CCN(CC)C(=O)CCCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834495374 614962765 /nfs/dbraw/zinc/96/27/65/614962765.db2.gz UYYBOGQONWKJJX-RYUDHWBXSA-N 0 1 280.372 0.253 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000829692349 615022171 /nfs/dbraw/zinc/02/21/71/615022171.db2.gz HMNFVRQATJWUTA-MNOVXSKESA-N 0 1 267.329 0.401 20 30 CCEDMN CCNC(=O)CNCc1cnc2ccc(C#N)cn12 ZINC000123173256 615050435 /nfs/dbraw/zinc/05/04/35/615050435.db2.gz XVEPOLOOXPXKLF-UHFFFAOYSA-N 0 1 257.297 0.432 20 30 CCEDMN CCN1CCCN(C(=O)[C@]2(C#N)CCCOC2)CC1 ZINC000865619072 615120686 /nfs/dbraw/zinc/12/06/86/615120686.db2.gz AGROXKBFHXMJIX-AWEZNQCLSA-N 0 1 265.357 0.861 20 30 CCEDMN CCN1CCN(CC(=O)N2CCC[C@H](C#N)C2)CC1 ZINC000928223238 615154563 /nfs/dbraw/zinc/15/45/63/615154563.db2.gz XDIBIZSXKMOCJF-CYBMUJFWSA-N 0 1 264.373 0.386 20 30 CCEDMN CCOC(=O)N1CCC[C@H](C(=O)N[C@H]2CNC[C@@H]2C#N)C1 ZINC000834517555 615228990 /nfs/dbraw/zinc/22/89/90/615228990.db2.gz WZMPLNDKPJRWAQ-SRVKXCTJSA-N 0 1 294.355 0.083 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)[C@]1(C#N)CCCOC1 ZINC000882497692 615257148 /nfs/dbraw/zinc/25/71/48/615257148.db2.gz LLNAWMGRWDLWOV-YPMHNXCESA-N 0 1 251.330 0.517 20 30 CCEDMN CCOCCOCCN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000930826775 615275130 /nfs/dbraw/zinc/27/51/30/615275130.db2.gz AFJDWGCUGZQRBQ-OKILXGFUSA-N 0 1 269.389 0.958 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)N1CCN(CCC#N)CC1 ZINC000883899944 615314827 /nfs/dbraw/zinc/31/48/27/615314827.db2.gz BBRPCUVSNSAEGL-ZDUSSCGKSA-N 0 1 279.388 0.321 20 30 CCEDMN CCOC(=O)[C@@H]1C[C@H]1CN1CC[C@@](O)(CC#N)C1 ZINC000930819705 615322016 /nfs/dbraw/zinc/32/20/16/615322016.db2.gz ZRUQEAIZRMZXOV-LOWVWBTDSA-N 0 1 252.314 0.536 20 30 CCEDMN CCOC(=O)c1cn[nH]c1[C@H]1CCN(C(=O)C=NOC)C1 ZINC000898765148 615399055 /nfs/dbraw/zinc/39/90/55/615399055.db2.gz KLTCYNPFEJFFOO-VIFPVBQESA-N 0 1 294.311 0.535 20 30 CCEDMN CCO[C@@H]1COCC[C@H]1CC(=O)C(C#N)C(=O)NC1CC1 ZINC000870143439 615425945 /nfs/dbraw/zinc/42/59/45/615425945.db2.gz VGQYUUNVVJDXAM-ZKYQVNSYSA-N 0 1 294.351 0.806 20 30 CCEDMN CCOc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1OC ZINC000834506069 615509427 /nfs/dbraw/zinc/50/94/27/615509427.db2.gz PMZNRHKUONICQE-RYUDHWBXSA-N 0 1 289.335 0.935 20 30 CCEDMN CCOc1ccccc1OCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000843460540 615518389 /nfs/dbraw/zinc/51/83/89/615518389.db2.gz HTQLLHRIXRAPSF-NEPJUHHUSA-N 0 1 289.335 0.692 20 30 CCEDMN CCOc1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1Cl ZINC000834485447 615525424 /nfs/dbraw/zinc/52/54/24/615525424.db2.gz XCNAXNLABFAQPK-MWLCHTKSSA-N 0 1 294.742 0.975 20 30 CCEDMN CC[C@@](C)(CN1CCN(C(=O)CC#N)CC1)OC ZINC000895065858 615588867 /nfs/dbraw/zinc/58/88/67/615588867.db2.gz DXTOHAYOZBXOGH-ZDUSSCGKSA-N 0 1 253.346 0.859 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@@H](N(C)CC#N)C2)n[nH]1 ZINC000971098215 615617787 /nfs/dbraw/zinc/61/77/87/615617787.db2.gz NGJAFUNRDVASHJ-LLVKDONJSA-N 0 1 261.329 0.642 20 30 CCEDMN CCn1nc(C)c(CNC[C@H](O)CC2(C#N)CC2)n1 ZINC000863556091 615664637 /nfs/dbraw/zinc/66/46/37/615664637.db2.gz AXUXSZFWENXRCU-LLVKDONJSA-N 0 1 263.345 0.751 20 30 CCEDMN CCn1ncc2cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)c(C)nc21 ZINC000834506830 615717907 /nfs/dbraw/zinc/71/79/07/615717907.db2.gz WHNJUUNSNDZTTK-WCQYABFASA-N 0 1 298.350 0.601 20 30 CCEDMN CCn1ncn(N=Cc2cn(-c3ccncc3)nc2C)c1=O ZINC000814233844 615729518 /nfs/dbraw/zinc/72/95/18/615729518.db2.gz KCCZWQPMALXGAV-UHFFFAOYSA-N 0 1 297.322 0.836 20 30 CCEDMN CCc1nc(C)c(CC(=O)N[C@@H]2CNC[C@H]2C#N)c(C)n1 ZINC000884249759 615736021 /nfs/dbraw/zinc/73/60/21/615736021.db2.gz QXDDGKGLQZBXDI-DGCLKSJQSA-N 0 1 287.367 0.426 20 30 CCEDMN CCc1nc2ccccc2n1CC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834511780 615758436 /nfs/dbraw/zinc/75/84/36/615758436.db2.gz NXMMHUPCWXFNAH-DGCLKSJQSA-N 0 1 297.362 0.826 20 30 CCEDMN CN(C(=O)C1(c2ccc(C#N)cc2)CC1)c1nn[nH]n1 ZINC000892955165 615787951 /nfs/dbraw/zinc/78/79/51/615787951.db2.gz XBUSLWBAPNZYOQ-UHFFFAOYSA-N 0 1 268.280 0.766 20 30 CCEDMN CN(C(=O)[C@@H](C#N)CCc1ccccc1)c1nn[nH]n1 ZINC000912617068 615846892 /nfs/dbraw/zinc/84/68/92/615846892.db2.gz CQXOINRBSFAPHN-LLVKDONJSA-N 0 1 270.296 0.935 20 30 CCEDMN CN(C)CC#CCNC(=O)CN1CCCCCCC1=O ZINC000913517247 615857972 /nfs/dbraw/zinc/85/79/72/615857972.db2.gz ZIBAMXZLYUHANA-UHFFFAOYSA-N 0 1 279.384 0.460 20 30 CCEDMN CN(C)CC#CCNC(=O)COc1ccccc1C=O ZINC000913518122 615858432 /nfs/dbraw/zinc/85/84/32/615858432.db2.gz FFWHDUFMKZBXGQ-UHFFFAOYSA-N 0 1 274.320 0.559 20 30 CCEDMN CN(C)CC#CCNC(=O)CSC(F)(F)F ZINC000913517102 615858438 /nfs/dbraw/zinc/85/84/38/615858438.db2.gz SLYYWCKPNNAHOM-UHFFFAOYSA-N 0 1 254.277 0.921 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cnn(C)c1Cl ZINC000932393834 615858961 /nfs/dbraw/zinc/85/89/61/615858961.db2.gz ZYTSRWMDRCSSQU-UHFFFAOYSA-N 0 1 269.736 0.760 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1OCCO[C@@H]1C(F)(F)F ZINC000913524978 615859449 /nfs/dbraw/zinc/85/94/49/615859449.db2.gz FHLHYNFWROSLGL-ZJUUUORDSA-N 0 1 294.273 0.014 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CC12CCOCC2 ZINC000913518935 615859672 /nfs/dbraw/zinc/85/96/72/615859672.db2.gz HGFOQYKWMALUDQ-LBPRGKRZSA-N 0 1 250.342 0.484 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1Cc2ccccc2O1 ZINC000913515735 615860033 /nfs/dbraw/zinc/86/00/33/615860033.db2.gz LAYCQRJSNUVCCI-CQSZACIVSA-N 0 1 258.321 0.671 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(C(F)F)ncn1 ZINC000827971866 615860735 /nfs/dbraw/zinc/86/07/35/615860735.db2.gz KHTCRGLTTUHIAM-UHFFFAOYSA-N 0 1 268.267 0.709 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccccc1-n1ccnn1 ZINC000913520862 615861265 /nfs/dbraw/zinc/86/12/65/615861265.db2.gz AQHDKZZWUXKTOX-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc(C2CC2)nc1N ZINC000913523926 615861747 /nfs/dbraw/zinc/86/17/47/615861747.db2.gz RRKWJTAIWRDKNK-UHFFFAOYSA-N 0 1 273.340 0.231 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncoc1-c1ccon1 ZINC000913523476 615862038 /nfs/dbraw/zinc/86/20/38/615862038.db2.gz BKOVPEUGICYBPF-UHFFFAOYSA-N 0 1 274.280 0.624 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CC[C@H]2[C@H]1CCCN2CCO ZINC000889756774 615942098 /nfs/dbraw/zinc/94/20/98/615942098.db2.gz QFTMKSSFWNUDNL-GZBFAFLISA-N 0 1 279.384 0.984 20 30 CCEDMN CCn1cc([N+](=O)[O-])c(C(=O)NCC#CCN(C)C)n1 ZINC000913521890 616014827 /nfs/dbraw/zinc/01/48/27/616014827.db2.gz RMAOVSHGTYMELJ-UHFFFAOYSA-N 0 1 279.300 0.106 20 30 CCEDMN CN(C)c1ccnc(CNC(=O)N2CCO[C@H](C#N)C2)c1 ZINC000924659005 616026891 /nfs/dbraw/zinc/02/68/91/616026891.db2.gz NHFYJHRPUWDMQO-CYBMUJFWSA-N 0 1 289.339 0.582 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1ccc(C#N)cn1 ZINC000819310799 616067703 /nfs/dbraw/zinc/06/77/03/616067703.db2.gz DLBCLUISOGSDPV-AWEZNQCLSA-N 0 1 273.340 0.249 20 30 CCEDMN C[N@@H+](CCC(=O)Nc1cccc(C#N)c1)CC(=O)[O-] ZINC000818413339 616068666 /nfs/dbraw/zinc/06/86/66/616068666.db2.gz OKBMCODXGAHUNC-UHFFFAOYSA-N 0 1 261.281 0.903 20 30 CCEDMN CN(CCN1CCNC1=O)C[C@H](O)c1cccc(C#N)c1 ZINC000844371373 616087779 /nfs/dbraw/zinc/08/77/79/616087779.db2.gz NZPDFAYDDFQRCT-AWEZNQCLSA-N 0 1 288.351 0.549 20 30 CCEDMN CN(Cc1c[nH]nn1)C[C@H](O)c1cccc(C#N)c1 ZINC000844372447 616097971 /nfs/dbraw/zinc/09/79/71/616097971.db2.gz YZZQOBGUIDARLD-ZDUSSCGKSA-N 0 1 257.297 0.842 20 30 CCEDMN CN(CCc1cccc(C#N)c1)C(=O)[C@@H]1CNCCO1 ZINC000877224164 616124623 /nfs/dbraw/zinc/12/46/23/616124623.db2.gz TYNUNBNLIZWCQK-AWEZNQCLSA-N 0 1 273.336 0.548 20 30 CCEDMN CN1C(=O)[C@H]2CN(Cc3ccc(C#N)c(F)c3)C[C@H]2C1=O ZINC000877719992 616158472 /nfs/dbraw/zinc/15/84/72/616158472.db2.gz KVULEBNZVAOYRQ-TXEJJXNPSA-N 0 1 287.294 0.744 20 30 CCEDMN CN(Cc1cc(C#N)cs1)C[C@@H](O)C1(O)CCOCC1 ZINC000933771000 616164704 /nfs/dbraw/zinc/16/47/04/616164704.db2.gz UMPGFTJLKMAIRT-CYBMUJFWSA-N 0 1 296.392 0.954 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC1CCN(CC#N)CC1 ZINC000896243239 616210841 /nfs/dbraw/zinc/21/08/41/616210841.db2.gz FSTZEEJQVSFASL-LBPRGKRZSA-N 0 1 250.346 0.185 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1CCN(CC#N)CC1(C)C ZINC000940621788 616211853 /nfs/dbraw/zinc/21/18/53/616211853.db2.gz VLRWCMWNYLAHJP-QWHCGFSZSA-N 0 1 278.400 0.821 20 30 CCEDMN CN1CC[N@@H+](C)CC(C(=O)Nc2cc(C#N)ccc2[O-])C1 ZINC000907145875 616234662 /nfs/dbraw/zinc/23/46/62/616234662.db2.gz SQZPXWNFTMNYDN-UHFFFAOYSA-N 0 1 288.351 0.696 20 30 CCEDMN CN1CCN(C[C@@H](O)CC2(C#N)CCC2)CCC1=O ZINC000886279346 616242993 /nfs/dbraw/zinc/24/29/93/616242993.db2.gz WOQRZIHFUICLMR-LBPRGKRZSA-N 0 1 265.357 0.595 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)NCC#C[C@@H]1CCCCO1 ZINC000896620333 616293210 /nfs/dbraw/zinc/29/32/10/616293210.db2.gz QYMBKYVKOJSTAQ-OLZOCXBDSA-N 0 1 265.357 0.562 20 30 CCEDMN COC(=O)/C=C/c1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)o1 ZINC000852827261 616317660 /nfs/dbraw/zinc/31/76/60/616317660.db2.gz FHLATVCJGAJJMB-DREQKGALSA-N 0 1 289.291 0.307 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCCC#C[Si](C)(C)C ZINC000912326262 616318920 /nfs/dbraw/zinc/31/89/20/616318920.db2.gz HNXDWBXAHUXRTG-JSGCOSHPSA-N 0 1 282.460 0.829 20 30 CCEDMN COCC[N@@H+]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@H]1C ZINC000820730700 616366959 /nfs/dbraw/zinc/36/69/59/616366959.db2.gz YIDJICWNDFGWBS-RISCZKNCSA-N 0 1 297.355 0.170 20 30 CCEDMN COCC[N@H+]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@H]1C ZINC000820730700 616366964 /nfs/dbraw/zinc/36/69/64/616366964.db2.gz YIDJICWNDFGWBS-RISCZKNCSA-N 0 1 297.355 0.170 20 30 CCEDMN CN1CC[C@H](C(=O)N[C@@H]2CNC[C@H]2C#N)c2ccccc21 ZINC000876803950 616376537 /nfs/dbraw/zinc/37/65/37/616376537.db2.gz ZWAFZARWZSFBGQ-KWCYVHTRSA-N 0 1 284.363 0.838 20 30 CCEDMN CN1CC[C@H]1CNc1ccc(C#N)cc1CS(N)(=O)=O ZINC000895767396 616432692 /nfs/dbraw/zinc/43/26/92/616432692.db2.gz MBTXVEKPQAVLFA-LBPRGKRZSA-N 0 1 294.380 0.463 20 30 CCEDMN CN1C[C@H](O)C[C@@H]1CNC(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000924994131 616460758 /nfs/dbraw/zinc/46/07/58/616460758.db2.gz YFZBDTWKDKOZKK-IUNJVKSLSA-N 0 1 291.395 0.888 20 30 CCEDMN CN1C[C@H](O)C[C@@H]1CNC(=O)c1ccsc1C#N ZINC000924988967 616463404 /nfs/dbraw/zinc/46/34/04/616463404.db2.gz JULJTPBMNUOTII-RKDXNWHRSA-N 0 1 265.338 0.415 20 30 CCEDMN COCCN1[C@H](C)CN(c2ccnc(C#N)n2)C[C@@H]1C ZINC000848735995 616481047 /nfs/dbraw/zinc/48/10/47/616481047.db2.gz VPNJNXPNCCRZPJ-TXEJJXNPSA-N 0 1 275.356 0.894 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)C[C@@H](C)C1CC1 ZINC000920789760 616491630 /nfs/dbraw/zinc/49/16/30/616491630.db2.gz CGDWERSNWYGPFG-KOLCDFICSA-N 0 1 252.314 0.894 20 30 CCEDMN COCCNC(=O)[C@H](C#N)C(=O)C(C)=Cc1ccncc1 ZINC000847624982 616495082 /nfs/dbraw/zinc/49/50/82/616495082.db2.gz ZIJXFLRAYQWHHB-YGNAEDSMSA-N 0 1 287.319 0.956 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H](C)C(C)(F)F ZINC000815907141 616495821 /nfs/dbraw/zinc/49/58/21/616495821.db2.gz SYQCKKXEHOAHQW-HTQZYQBOSA-N 0 1 262.256 0.749 20 30 CCEDMN COC(=O)CCCN1CCC(=NN(C)[C@@H](C)CO)CC1 ZINC000842540406 616530276 /nfs/dbraw/zinc/53/02/76/616530276.db2.gz KSTIYGZSFCUWDA-LBPRGKRZSA-N 0 1 285.388 0.704 20 30 CCEDMN COC(=O)[C@@]1(C)CN(CC(=O)NCCC#N)C[C@@H]1C ZINC000879133674 616545035 /nfs/dbraw/zinc/54/50/35/616545035.db2.gz WPXWSLSKGFSTTF-GWCFXTLKSA-N 0 1 267.329 0.147 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)c1ccc2cncn2c1 ZINC000871343469 616546407 /nfs/dbraw/zinc/54/64/07/616546407.db2.gz AVMQBPSOQQCBDH-UHFFFAOYSA-N 0 1 286.291 0.863 20 30 CCEDMN COCCON=C1C(=O)Nc2cc(O)c(F)cc21 ZINC000834964998 616570013 /nfs/dbraw/zinc/57/00/13/616570013.db2.gz SMIOALIPQXVPCE-UHFFFAOYSA-N 0 1 254.217 0.851 20 30 CCEDMN COC(=O)C[C@@H]1CSCCN1CCO[C@@H](C)C#N ZINC000801929537 616670572 /nfs/dbraw/zinc/67/05/72/616670572.db2.gz VYCRPSNTLCERFX-WDEREUQCSA-N 0 1 272.370 0.896 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1NCC(=O)Nc1cccc(C#N)c1 ZINC000934042479 616673644 /nfs/dbraw/zinc/67/36/44/616673644.db2.gz ZNUJZPSKGKJXME-ZIAGYGMSSA-N 0 1 289.335 0.890 20 30 CCEDMN COC(=O)[C@](C)(O)CN(C)Cc1cc(C#N)cs1 ZINC000933771755 616753313 /nfs/dbraw/zinc/75/33/13/616753313.db2.gz IHDXKVQLJXCFPH-GFCCVEGCSA-N 0 1 268.338 0.976 20 30 CCEDMN COC(=O)[C@]1(O)CCN(C[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000880561898 616784158 /nfs/dbraw/zinc/78/41/58/616784158.db2.gz JMKSCXPBJPCYKG-HIFRSBDPSA-N 0 1 290.319 0.201 20 30 CCEDMN COCc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834511944 616894673 /nfs/dbraw/zinc/89/46/73/616894673.db2.gz QCZGGJNPBLNYCM-CHWSQXEVSA-N 0 1 259.309 0.674 20 30 CCEDMN COC(=O)N[C@H](CC(C)C)C(=O)NCC#CCN(C)C ZINC000913517600 616917863 /nfs/dbraw/zinc/91/78/63/616917863.db2.gz IHGBSCHVZQGVMC-GFCCVEGCSA-N 0 1 283.372 0.438 20 30 CCEDMN CNC(=S)NN=Cc1[nH]c(C)nc1Br ZINC000901122725 616932130 /nfs/dbraw/zinc/93/21/30/616932130.db2.gz FVFYRUWDCQOEMQ-UHFFFAOYSA-N 0 1 276.163 0.908 20 30 CCEDMN CO[C@H](C(=O)NCC#CCN(C)C)c1ccc(O)cc1 ZINC000913521081 616974541 /nfs/dbraw/zinc/97/45/41/616974541.db2.gz KEJIAINJTGNMLK-AWEZNQCLSA-N 0 1 276.336 0.761 20 30 CCEDMN COC(=O)c1csc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000841145031 617019912 /nfs/dbraw/zinc/01/99/12/617019912.db2.gz HJCBCDNFPNFTNO-IUCAKERBSA-N 0 1 294.336 0.768 20 30 CCEDMN CNc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)cc(Cl)n1 ZINC000834490877 617056584 /nfs/dbraw/zinc/05/65/84/617056584.db2.gz XURXEDCPGYYRSL-BDAKNGLRSA-N 0 1 279.731 0.618 20 30 CCEDMN CON=CC(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000816498191 617103598 /nfs/dbraw/zinc/10/35/98/617103598.db2.gz KOCHCQKLYPNKPD-SSDOTTSWSA-N 0 1 254.246 0.113 20 30 CCEDMN CO[C@]12CCC[C@@]1(C(=O)NCC#CCN(C)C)CCO2 ZINC000913525237 617140232 /nfs/dbraw/zinc/14/02/32/617140232.db2.gz OXYUCPAANZMEOM-GJZGRUSLSA-N 0 1 280.368 0.601 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NCCN1CC[C@@H](O)C1 ZINC000827528134 617152020 /nfs/dbraw/zinc/15/20/20/617152020.db2.gz HPJBISKRLGVZKM-GFCCVEGCSA-N 0 1 289.335 0.363 20 30 CCEDMN COc1cc(C(=O)NCCN2CC[C@H](O)C2)ccc1C#N ZINC000863834066 617160709 /nfs/dbraw/zinc/16/07/09/617160709.db2.gz BMZREWFPGDCNQK-ZDUSSCGKSA-N 0 1 289.335 0.363 20 30 CCEDMN COc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc(OC)c1C ZINC000834505743 617167516 /nfs/dbraw/zinc/16/75/16/617167516.db2.gz NLHHXRYMBLFOAM-RYUDHWBXSA-N 0 1 289.335 0.854 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCC[C@@H](OC)C1 ZINC001025988793 625316476 /nfs/dbraw/zinc/31/64/76/625316476.db2.gz MBDWNTLMBVAKKN-XPABHHOTSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)[C@H]1CCCO1 ZINC001026026154 625322566 /nfs/dbraw/zinc/32/25/66/625322566.db2.gz HUMFYWMHVAPCGT-ODXJTPSBSA-N 0 1 262.353 0.481 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)CCc3cnc[nH]3)C[C@H]21 ZINC001026282627 625387578 /nfs/dbraw/zinc/38/75/78/625387578.db2.gz VQFVWRFNDXEWFS-IACUBPJLSA-N 0 1 287.367 0.692 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001008303607 625436589 /nfs/dbraw/zinc/43/65/89/625436589.db2.gz LMHGKFOQXUPHSU-GXTWGEPZSA-N 0 1 268.332 0.719 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001006657201 625464154 /nfs/dbraw/zinc/46/41/54/625464154.db2.gz MWKOUTJNAYJJHC-ZDUSSCGKSA-N 0 1 261.325 0.700 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2cnn(CC)n2)C1 ZINC001023351547 623010549 /nfs/dbraw/zinc/01/05/49/623010549.db2.gz ALRPLPWLUZFING-LBPRGKRZSA-N 0 1 275.356 0.373 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2cnn(CC)n2)C1 ZINC001023351547 623010556 /nfs/dbraw/zinc/01/05/56/623010556.db2.gz ALRPLPWLUZFING-LBPRGKRZSA-N 0 1 275.356 0.373 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2csnn2)C1 ZINC001023514427 623047839 /nfs/dbraw/zinc/04/78/39/623047839.db2.gz MCKHFGQAAVSXCB-SNVBAGLBSA-N 0 1 264.354 0.613 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)c2nccnc2N)c1 ZINC000176813635 623080211 /nfs/dbraw/zinc/08/02/11/623080211.db2.gz UNPCFHDTQQERIK-UHFFFAOYSA-N 0 1 255.237 0.888 20 30 CCEDMN C[C@](CO)(NCC(=O)NCCC#N)c1ccccc1 ZINC000169256191 623083574 /nfs/dbraw/zinc/08/35/74/623083574.db2.gz GQAJWNSCDOTKGH-CQSZACIVSA-N 0 1 261.325 0.514 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001024310422 623281306 /nfs/dbraw/zinc/28/13/06/623281306.db2.gz MFUSOENGGPFRBU-NSHDSACASA-N 0 1 274.324 0.400 20 30 CCEDMN C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024391058 623309081 /nfs/dbraw/zinc/30/90/81/623309081.db2.gz NYXRSXOXEJVVMU-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024389863 623309719 /nfs/dbraw/zinc/30/97/19/623309719.db2.gz AHIQSMNCRWMDSH-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1COCCN1C ZINC001024403281 623312933 /nfs/dbraw/zinc/31/29/33/623312933.db2.gz YTXSATHBGNUXJC-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)C1=CCOCC1 ZINC001024407925 623314660 /nfs/dbraw/zinc/31/46/60/623314660.db2.gz TVWQEPGSCMXTMS-AWEZNQCLSA-N 0 1 262.353 0.937 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001024467027 623331341 /nfs/dbraw/zinc/33/13/41/623331341.db2.gz BJWJHKUORDEWHF-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001024466985 623331355 /nfs/dbraw/zinc/33/13/55/623331355.db2.gz AVUCFAVNDDRNCR-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cnc[nH]c1=O ZINC001024500240 623340679 /nfs/dbraw/zinc/34/06/79/623340679.db2.gz YPRVDPYFCHMYPZ-NSHDSACASA-N 0 1 274.324 0.400 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001024511524 623343996 /nfs/dbraw/zinc/34/39/96/623343996.db2.gz HRZYEENZXPIROL-CQSZACIVSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001024511524 623344006 /nfs/dbraw/zinc/34/40/06/623344006.db2.gz HRZYEENZXPIROL-CQSZACIVSA-N 0 1 287.363 0.603 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCOC2)C1 ZINC001018592812 623694934 /nfs/dbraw/zinc/69/49/34/623694934.db2.gz DEONMHKGKMPESE-MNOVXSKESA-N 0 1 258.749 0.966 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCCC[C@@H]1C(=O)[O-] ZINC000328126825 623867225 /nfs/dbraw/zinc/86/72/25/623867225.db2.gz UMVPVDLZBDGNHA-GFCCVEGCSA-N 0 1 281.356 0.590 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N[C@H]1C[C@H](NCC#N)C1 ZINC001020406622 624469233 /nfs/dbraw/zinc/46/92/33/624469233.db2.gz ZTEWFFHLUNULHI-BIAAXOCRSA-N 0 1 287.367 0.836 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC000970066501 624585379 /nfs/dbraw/zinc/58/53/79/624585379.db2.gz VJPZYTBRDULQDU-SNVBAGLBSA-N 0 1 259.313 0.615 20 30 CCEDMN C[C@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)C1CN(CC#N)C1 ZINC000970710502 624735297 /nfs/dbraw/zinc/73/52/97/624735297.db2.gz LBGYNQICIOTHAB-QWRGUYRKSA-N 0 1 287.367 0.475 20 30 CCEDMN N#CCN1CC[C@H]2[C@H](CCCN2C(=O)c2ccn[nH]2)C1 ZINC001021530341 624760812 /nfs/dbraw/zinc/76/08/12/624760812.db2.gz UZINZEHREAKOOI-YPMHNXCESA-N 0 1 273.340 0.860 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCN(CC#N)[C@@H](C)C2)[nH]n1 ZINC000947194345 624815330 /nfs/dbraw/zinc/81/53/30/624815330.db2.gz AGAGWKAEGIZCDH-NWDGAFQWSA-N 0 1 275.356 0.753 20 30 CCEDMN N#Cc1ccc(C2CCN(CC(=O)NC(N)=O)CC2)cc1 ZINC000933609463 624910148 /nfs/dbraw/zinc/91/01/48/624910148.db2.gz CLDYBMBKGXRXEX-UHFFFAOYSA-N 0 1 286.335 0.933 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001022355468 624935794 /nfs/dbraw/zinc/93/57/94/624935794.db2.gz NYQYVKGIGOHGJO-AOOOYVTPSA-N 0 1 273.340 0.662 20 30 CCEDMN CN([C@H]1CCNC1=O)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405099 625870587 /nfs/dbraw/zinc/87/05/87/625870587.db2.gz BEQIAPCKVMTOOS-KBPBESRZSA-N 0 1 289.379 0.211 20 30 CCEDMN CN(CC#N)[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001027449244 625888144 /nfs/dbraw/zinc/88/81/44/625888144.db2.gz VNFWNYJRKZSDDX-YNEHKIRRSA-N 0 1 287.367 0.960 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ccn[nH]1 ZINC000977421225 625937585 /nfs/dbraw/zinc/93/75/85/625937585.db2.gz JNLKISGBTWQSHT-NXEZZACHSA-N 0 1 275.312 0.242 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2ccsc2C#N)[C@@H](C)CN1 ZINC000903490215 634587988 /nfs/dbraw/zinc/58/79/88/634587988.db2.gz NEOOTHRKWIKSKL-BDAKNGLRSA-N 0 1 285.394 0.991 20 30 CCEDMN COc1ncncc1/C=C1/C(=O)c2ncnn2C1C ZINC000905084368 634790109 /nfs/dbraw/zinc/79/01/09/634790109.db2.gz ISNSRBRAYCOVFX-FHYFFISESA-N 0 1 257.253 0.918 20 30 CCEDMN Cc1nnc(NC(=O)NCC#CCN(C)C)s1 ZINC000905153361 634802077 /nfs/dbraw/zinc/80/20/77/634802077.db2.gz OIILNJROGGOPDA-UHFFFAOYSA-N 0 1 253.331 0.533 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2nn(CC)nc2C)C1 ZINC001009767359 630753802 /nfs/dbraw/zinc/75/38/02/630753802.db2.gz KZVQJXDATVCWRX-CYBMUJFWSA-N 0 1 289.383 0.824 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cc(C)nn1C ZINC001027778209 630910711 /nfs/dbraw/zinc/91/07/11/630910711.db2.gz OMDPYRCECXDLOQ-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H]2CCCN2CC#N)c1C ZINC001027798541 630928014 /nfs/dbraw/zinc/92/80/14/630928014.db2.gz JXYJXVDUJZZDLY-NSHDSACASA-N 0 1 261.329 0.744 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cc[nH]c(=O)c1 ZINC001027822073 630947903 /nfs/dbraw/zinc/94/79/03/630947903.db2.gz XMJGCMJPAYOQHJ-LBPRGKRZSA-N 0 1 259.309 0.615 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC2(C1)CCCN(CC#N)C2 ZINC000981930494 631338409 /nfs/dbraw/zinc/33/84/09/631338409.db2.gz JXLITAPLFWGPFM-UHFFFAOYSA-N 0 1 274.328 0.175 20 30 CCEDMN N#CC1(CNC[C@@H](O)C2(O)CCOCC2)CCCCC1 ZINC000905382620 634852012 /nfs/dbraw/zinc/85/20/12/634852012.db2.gz CMYNXMNQSNHSMT-CYBMUJFWSA-N 0 1 282.384 0.952 20 30 CCEDMN N#CC1(CNC[C@H](O)C[C@@]2(O)CCOC2)CCCCC1 ZINC000905387846 634852610 /nfs/dbraw/zinc/85/26/10/634852610.db2.gz ZAQKBCZEYCWGNY-HIFRSBDPSA-N 0 1 282.384 0.952 20 30 CCEDMN O=C(C#CC1CC1)N1[C@@H]2CC[C@H]1CN(C(=O)c1ccn[nH]1)C2 ZINC000968989111 650035664 /nfs/dbraw/zinc/03/56/64/650035664.db2.gz MYSOMUCTAWVLAR-BETUJISGSA-N 0 1 298.346 0.639 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)[C@@H]2CCCO2)C1 ZINC001006661366 650047166 /nfs/dbraw/zinc/04/71/66/650047166.db2.gz WIPKOJMHZLYQER-OLZOCXBDSA-N 0 1 250.342 0.769 20 30 CCEDMN C[C@H](Nc1ccc(C#N)cn1)[C@H]1CN(C)CC[N@@H+]1C ZINC000895246998 632036976 /nfs/dbraw/zinc/03/69/76/632036976.db2.gz FUCGLADQYLTQSO-WCQYABFASA-N 0 1 259.357 0.999 20 30 CCEDMN C[C@H](Nc1ccc(C#N)cn1)[C@H]1CN(C)CCN1C ZINC000895246998 632036993 /nfs/dbraw/zinc/03/69/93/632036993.db2.gz FUCGLADQYLTQSO-WCQYABFASA-N 0 1 259.357 0.999 20 30 CCEDMN N#CC1(CNC(=O)NCCN2CCC(O)CC2)CCC1 ZINC000895410756 632077284 /nfs/dbraw/zinc/07/72/84/632077284.db2.gz ICQMXWHPWNHRNP-UHFFFAOYSA-N 0 1 280.372 0.436 20 30 CCEDMN N#Cc1cc(CN2C[C@H](CO)[C@H](CO)C2)cs1 ZINC000895860831 632163060 /nfs/dbraw/zinc/16/30/60/632163060.db2.gz IJDAHNNWBFMKEH-PHIMTYICSA-N 0 1 252.339 0.652 20 30 CCEDMN N#CC1CCN(C(=O)C2CN([C@@H]3CCOC3)C2)CC1 ZINC000895985323 632185951 /nfs/dbraw/zinc/18/59/51/632185951.db2.gz GZZIMNPKVRFZOI-CYBMUJFWSA-N 0 1 263.341 0.469 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)o1)[C@H]1CN(C)CCN1C ZINC000896538661 632272882 /nfs/dbraw/zinc/27/28/82/632272882.db2.gz FSUZTKNZMUBRDO-ZYHUDNBSSA-N 0 1 276.340 0.515 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896546528 632276880 /nfs/dbraw/zinc/27/68/80/632276880.db2.gz WFSNHRPZSUHFSJ-STQMWFEESA-N 0 1 251.374 0.540 20 30 CCEDMN N#CCN1CCC[C@H](CNC(=O)c2cncc3nc[nH]c32)C1 ZINC000896631120 632303888 /nfs/dbraw/zinc/30/38/88/632303888.db2.gz OFMLRTCUFGJLDR-LLVKDONJSA-N 0 1 298.350 0.923 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001007099951 650066415 /nfs/dbraw/zinc/06/64/15/650066415.db2.gz MFCNZFOXZINMND-RYUDHWBXSA-N 0 1 266.341 0.706 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC001007173031 650067266 /nfs/dbraw/zinc/06/72/66/650067266.db2.gz LFOWJUUXVXGCQQ-SNVBAGLBSA-N 0 1 263.345 0.383 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H]2CCCN(CCO)C2)c[nH]1 ZINC001007168729 650069839 /nfs/dbraw/zinc/06/98/39/650069839.db2.gz YYKURKXTUXAEEK-NSHDSACASA-N 0 1 262.313 0.073 20 30 CCEDMN C=CCn1cccc1C(=O)N[C@@H]1CCCN(CCO)C1 ZINC001007502952 650081038 /nfs/dbraw/zinc/08/10/38/650081038.db2.gz OCVWYGFEHKNIIN-CYBMUJFWSA-N 0 1 277.368 0.861 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001007504746 650081351 /nfs/dbraw/zinc/08/13/51/650081351.db2.gz MPAGUBMHRTVDCS-NSHDSACASA-N 0 1 286.339 0.733 20 30 CCEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCC(=O)N2)CC1 ZINC000985759337 632636819 /nfs/dbraw/zinc/63/68/19/632636819.db2.gz GEIIQTOLLFLTMT-LBPRGKRZSA-N 0 1 299.802 0.940 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001007566705 650084947 /nfs/dbraw/zinc/08/49/47/650084947.db2.gz FGGJOMYOERNDRQ-VXGBXAGGSA-N 0 1 265.357 0.422 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ncn(C)n2)[C@@H]1C ZINC000986232296 632733270 /nfs/dbraw/zinc/73/32/70/632733270.db2.gz MVYADNPUQBUXDI-ZJUUUORDSA-N 0 1 283.763 0.760 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cn(C)ccc2=O)C1 ZINC001007889486 650095914 /nfs/dbraw/zinc/09/59/14/650095914.db2.gz IDTXKGRUXJMVME-CYBMUJFWSA-N 0 1 287.363 0.603 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2nc[nH]n2)[C@H]1C ZINC000987186923 632840072 /nfs/dbraw/zinc/84/00/72/632840072.db2.gz CXFQYRIWGOIWIU-IUCAKERBSA-N 0 1 269.736 0.750 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cn2ncnn2)C1 ZINC000988831690 633118707 /nfs/dbraw/zinc/11/87/07/633118707.db2.gz YJMCRZKHOVUQGJ-UWVGGRQHSA-N 0 1 284.751 0.005 20 30 CCEDMN Cc1nc(CNC(=O)N2CCC[C@@](C)(C#N)C2)n[nH]1 ZINC000898360799 633304584 /nfs/dbraw/zinc/30/45/84/633304584.db2.gz KOJIETYYUDATAT-LBPRGKRZSA-N 0 1 262.317 0.948 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2nc[nH]n2)C1 ZINC000989569441 633328611 /nfs/dbraw/zinc/32/86/11/633328611.db2.gz SZWVZSVFJIDIMB-IUCAKERBSA-N 0 1 269.736 0.750 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cnn3cc[nH]c23)CC1 ZINC000989560951 633329010 /nfs/dbraw/zinc/32/90/10/633329010.db2.gz VXPGGVMHEBGLAE-UHFFFAOYSA-N 0 1 273.340 0.996 20 30 CCEDMN C[C@H]1C[C@H](NCC#N)CN1C(=O)c1ccc2[nH]nnc2c1 ZINC000989783456 633353137 /nfs/dbraw/zinc/35/31/37/633353137.db2.gz VSTOPZJKNYDXIX-ONGXEEELSA-N 0 1 284.323 0.674 20 30 CCEDMN CC#CCN1CC[C@@]2(NC(=O)c3cnnn3C)CCC[C@@H]12 ZINC000990496584 633544107 /nfs/dbraw/zinc/54/41/07/633544107.db2.gz OIPJCMFAOVJUBV-HIFRSBDPSA-N 0 1 287.367 0.565 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ncc[nH]3)CCC[C@H]12 ZINC000990613417 633567621 /nfs/dbraw/zinc/56/76/21/633567621.db2.gz JYENNHJBQBOAEB-FZMZJTMJSA-N 0 1 258.325 0.770 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001008494451 650117305 /nfs/dbraw/zinc/11/73/05/650117305.db2.gz UZKPRTYFAZJBNH-QWHCGFSZSA-N 0 1 279.384 0.812 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cn2ccc(C)n2)C1 ZINC001008572045 650120899 /nfs/dbraw/zinc/12/08/99/650120899.db2.gz VVSYJBNXPIVAIJ-ZDUSSCGKSA-N 0 1 262.357 0.958 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001008868185 650130158 /nfs/dbraw/zinc/13/01/58/650130158.db2.gz JVMQWWQONMORTC-AWEZNQCLSA-N 0 1 295.427 0.864 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001009244274 650140466 /nfs/dbraw/zinc/14/04/66/650140466.db2.gz GHTBGQSOFZDQLM-GFCCVEGCSA-N 0 1 289.383 0.923 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001009262708 650141551 /nfs/dbraw/zinc/14/15/51/650141551.db2.gz HLOVJLAPIBSBSJ-NEPJUHHUSA-N 0 1 265.357 0.422 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001009619313 650151911 /nfs/dbraw/zinc/15/19/11/650151911.db2.gz PKUATYSMUBUZIX-STQMWFEESA-N 0 1 289.383 0.607 20 30 CCEDMN C[C@H]1CC(NC(=O)c2nnn(C)c2C(F)(F)F)=NO1 ZINC000907159235 635084944 /nfs/dbraw/zinc/08/49/44/635084944.db2.gz JXNODYLGYBJUID-BYPYZUCNSA-N 0 1 277.206 0.686 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nccnc2N)C1 ZINC001010392762 650216671 /nfs/dbraw/zinc/21/66/71/650216671.db2.gz KFALGMBXXBRLMW-JTQLQIEISA-N 0 1 261.329 0.439 20 30 CCEDMN Cc1ccn([C@H](C)CC(=O)NCC#CCN(C)C)n1 ZINC000913524016 636833069 /nfs/dbraw/zinc/83/30/69/636833069.db2.gz WOADGAVZKBNBHC-CYBMUJFWSA-N 0 1 262.357 0.824 20 30 CCEDMN Cc1nc2c([nH]1)C[C@H](C(=O)NCC#CC[NH+](C)C)CC2 ZINC000913522299 636835493 /nfs/dbraw/zinc/83/54/93/636835493.db2.gz CTWHNHHGKUEHRV-GFCCVEGCSA-N 0 1 274.368 0.504 20 30 CCEDMN C[C@@H](C(=O)NCC#CCN(C)C)n1nnnc1C(C)(C)C ZINC000913520560 636835997 /nfs/dbraw/zinc/83/59/97/636835997.db2.gz SFDJWVZTCSDRBA-NSHDSACASA-N 0 1 292.387 0.213 20 30 CCEDMN N#Cc1cnn(C(=O)c2cnc3ccccc3c2O)c1N ZINC000060470807 637048840 /nfs/dbraw/zinc/04/88/40/637048840.db2.gz FHKKRYOVAVZITM-UHFFFAOYSA-N 0 1 279.259 0.867 20 30 CCEDMN C#CCCCNC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000172928106 637197528 /nfs/dbraw/zinc/19/75/28/637197528.db2.gz NITHIRIXENHTOG-UHFFFAOYSA-N 0 1 272.312 0.319 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H]2CN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC000992658414 637533879 /nfs/dbraw/zinc/53/38/79/637533879.db2.gz PMYUWFNJUOELEF-QJPTWQEYSA-N 0 1 273.340 0.764 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@@H]1C ZINC000993243940 637755864 /nfs/dbraw/zinc/75/58/64/637755864.db2.gz FFQVZZBTXQKHMA-FZMZJTMJSA-N 0 1 279.384 0.620 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cn(C)nn2)[C@@H]1C ZINC000993294801 637766692 /nfs/dbraw/zinc/76/66/92/637766692.db2.gz HGKAVGDVGFWVMP-RYUDHWBXSA-N 0 1 277.372 0.974 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cn(C)nc2C)[C@@H]1C ZINC000993417890 637803335 /nfs/dbraw/zinc/80/33/35/637803335.db2.gz DLNKIQCLNVXIDU-DZGCQCFKSA-N 0 1 288.395 0.873 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2ccn(C)c(=O)c2)[C@@H]1C ZINC000993499281 637812619 /nfs/dbraw/zinc/81/26/19/637812619.db2.gz OKFIEWOUCNFSNQ-GXTWGEPZSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[C@@H]1C ZINC000993695348 637837331 /nfs/dbraw/zinc/83/73/31/637837331.db2.gz ZJEKBSNUPZUVSJ-PDWCTOEPSA-N 0 1 262.353 0.481 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2c[nH]c(=O)cn2)[C@H]1C ZINC000993727959 637842365 /nfs/dbraw/zinc/84/23/65/637842365.db2.gz MQDKMNMPMWJYTQ-GHMZBOCLSA-N 0 1 276.340 0.539 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCN(CC#N)C[C@H]2C)[nH]n1 ZINC000941943460 638587972 /nfs/dbraw/zinc/58/79/72/638587972.db2.gz PMOIFXDFLHMTKI-MFKMUULPSA-N 0 1 275.356 0.611 20 30 CCEDMN CC1([C@H]2CCCN(CC(=O)NCC#N)C2)OCCO1 ZINC000183832657 638623835 /nfs/dbraw/zinc/62/38/35/638623835.db2.gz KWUVPKKTYUSWII-NSHDSACASA-N 0 1 267.329 0.101 20 30 CCEDMN Cc1cc(C(=O)N2C[C@@H](NCC#N)C(C)(C)C2)n[nH]1 ZINC000995517802 638772496 /nfs/dbraw/zinc/77/24/96/638772496.db2.gz WWRYFSGWXBYDRU-LLVKDONJSA-N 0 1 261.329 0.682 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](NCc2nccn2C)C(C)(C)C1 ZINC000995567094 638777978 /nfs/dbraw/zinc/77/79/78/638777978.db2.gz VQUVLHWXVQBXOP-NWDGAFQWSA-N 0 1 289.383 0.906 20 30 CCEDMN N#CCNC1CCN(C(=O)c2c[nH]cc3ncnc2-3)CC1 ZINC000996616966 638824824 /nfs/dbraw/zinc/82/48/24/638824824.db2.gz XYNAKXWXODQJSJ-UHFFFAOYSA-N 0 1 284.323 0.676 20 30 CCEDMN C[C@@H](NC(=O)C1=NC(=O)N(C)C1)C1CCN(CC#N)CC1 ZINC000997286340 638861708 /nfs/dbraw/zinc/86/17/08/638861708.db2.gz COLLYPLEOKXJKF-SNVBAGLBSA-N 0 1 291.355 0.480 20 30 CCEDMN CC#CCN1CC[C@@H](n2cc(CNC(C)=O)nn2)C1 ZINC000999941451 639023232 /nfs/dbraw/zinc/02/32/32/639023232.db2.gz AOPBLBIXYZBRBB-CYBMUJFWSA-N 0 1 261.329 0.184 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CCC(=O)N2C)C1 ZINC000999985708 639029753 /nfs/dbraw/zinc/02/97/53/639029753.db2.gz SJFPCIBPUZYRCK-VXGBXAGGSA-N 0 1 299.802 0.940 20 30 CCEDMN N#CCN1CCC(C2(NC(=O)c3cnn[nH]3)CC2)CC1 ZINC001000195995 639051662 /nfs/dbraw/zinc/05/16/62/639051662.db2.gz HSVATBHZFZCDBV-UHFFFAOYSA-N 0 1 274.328 0.303 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001000211359 639053748 /nfs/dbraw/zinc/05/37/48/639053748.db2.gz VDBVYMNPHFPUDK-AXFHLTTASA-N 0 1 285.775 0.441 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001014615929 650468484 /nfs/dbraw/zinc/46/84/84/650468484.db2.gz JWJRSDHZLVDWQD-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(C#N)c[nH]2)CC1 ZINC001000561040 639116937 /nfs/dbraw/zinc/11/69/37/639116937.db2.gz UFDSYERGKIMDMU-UHFFFAOYSA-N 0 1 268.320 0.881 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCc3nccn3C2)CC1 ZINC001000881857 639183556 /nfs/dbraw/zinc/18/35/56/639183556.db2.gz ZULOMAININPVEB-OAHLLOKOSA-N 0 1 298.390 0.827 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001014690309 650478890 /nfs/dbraw/zinc/47/88/90/650478890.db2.gz XIUKKBWRKFEGRT-TZMCWYRMSA-N 0 1 286.379 0.958 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2C[C@H]2C(=O)N(C)C)CC1 ZINC001001142891 639246526 /nfs/dbraw/zinc/24/65/26/639246526.db2.gz CQXCVFMTEPBAAV-UONOGXRCSA-N 0 1 289.379 0.092 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn(CCC=C)nn2)CC1 ZINC001001245631 639266634 /nfs/dbraw/zinc/26/66/34/639266634.db2.gz PXFKWMFUEJJTIE-UHFFFAOYSA-N 0 1 299.378 0.849 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C)N2CCCCC2=O)C1 ZINC001016322712 639437465 /nfs/dbraw/zinc/43/74/65/639437465.db2.gz KMCQDQWYHHRPHJ-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc3nc[nH]c3n2)C1 ZINC001014883791 650499059 /nfs/dbraw/zinc/49/90/59/650499059.db2.gz HJZWMBJRUWCTHX-SNVBAGLBSA-N 0 1 271.324 0.948 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@@H]2CCNC2=O)CC1 ZINC001003002598 639491906 /nfs/dbraw/zinc/49/19/06/639491906.db2.gz VJDNILBCTBMQLC-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@]2(C)CCNC2=O)CC1 ZINC001003614996 639578749 /nfs/dbraw/zinc/57/87/49/639578749.db2.gz GNOUAUXRRRCSCI-HNNXBMFYSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cn(C)nc2COC)C1 ZINC001014989883 650512634 /nfs/dbraw/zinc/51/26/34/650512634.db2.gz IBIZKEMPQDZALY-LBPRGKRZSA-N 0 1 292.383 0.947 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@H]2CCc3[nH]cnc3C2)CC1 ZINC001003925850 639651478 /nfs/dbraw/zinc/65/14/78/639651478.db2.gz UMZYNCAQVVDCKU-NSHDSACASA-N 0 1 287.367 0.619 20 30 CCEDMN C#CCN1CCC(NC(=O)c2conc2COC)CC1 ZINC001003953441 639656373 /nfs/dbraw/zinc/65/63/73/639656373.db2.gz VWRWANITSXGNDL-UHFFFAOYSA-N 0 1 277.324 0.648 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCCC(=O)N2C)CC1 ZINC001004072207 639671768 /nfs/dbraw/zinc/67/17/68/639671768.db2.gz DBPZMPSAGAIVRQ-AWEZNQCLSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC001004146839 639697396 /nfs/dbraw/zinc/69/73/96/639697396.db2.gz ZFQPWXXIPZJTBW-UHFFFAOYSA-N 0 1 288.351 0.331 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(N(C)C)cn2)CC1 ZINC001004228727 639731672 /nfs/dbraw/zinc/73/16/72/639731672.db2.gz KTYCCKVYYVUBHW-UHFFFAOYSA-N 0 1 286.379 0.975 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC001004216743 639731844 /nfs/dbraw/zinc/73/18/44/639731844.db2.gz ZLXFIQOMPUYXDT-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCOC2)CC1 ZINC001005162009 639884043 /nfs/dbraw/zinc/88/40/43/639884043.db2.gz PNERIDXKWSWINW-LBPRGKRZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC001005169353 639885523 /nfs/dbraw/zinc/88/55/23/639885523.db2.gz AIILRWSUPVCDSC-OLZOCXBDSA-N 0 1 278.352 0.352 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@]23C[C@H]2COC3)CC1 ZINC001005426454 639908579 /nfs/dbraw/zinc/90/85/79/639908579.db2.gz UJPLOLDDGVLFGN-BBRMVZONSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2[nH]c(=O)[nH]c2C)CC1 ZINC001005538472 639917339 /nfs/dbraw/zinc/91/73/39/639917339.db2.gz FRHGSNONSSZMLE-UHFFFAOYSA-N 0 1 290.367 0.983 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CO[C@H](C)C2)CC1 ZINC001005610128 639944049 /nfs/dbraw/zinc/94/40/49/639944049.db2.gz FUXNGEYBFCDRRJ-OLZOCXBDSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2cc(C)nn2C)CC1 ZINC001005709502 639953113 /nfs/dbraw/zinc/95/31/13/639953113.db2.gz XGLWVYHHFCXUPY-UHFFFAOYSA-N 0 1 288.395 0.827 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC001005845414 639986019 /nfs/dbraw/zinc/98/60/19/639986019.db2.gz ALCBTKQCIRREMQ-AWEZNQCLSA-N 0 1 291.395 0.553 20 30 CCEDMN C[C@@]1(C#N)CCN(C(=O)NCCCc2nc[nH]n2)C1 ZINC000923129860 640031033 /nfs/dbraw/zinc/03/10/33/640031033.db2.gz YIDDSQVLINZHJK-LBPRGKRZSA-N 0 1 262.317 0.682 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cccc(=O)n2C)CC1 ZINC001005968135 640048153 /nfs/dbraw/zinc/04/81/53/640048153.db2.gz DEWWSECQCIUEGC-UHFFFAOYSA-N 0 1 287.363 0.555 20 30 CCEDMN C[C@@H]1CCCN1CCNS(=O)(=O)c1ccc(C#N)o1 ZINC000805072319 640329121 /nfs/dbraw/zinc/32/91/21/640329121.db2.gz INEDACRBGZUMBA-SNVBAGLBSA-N 0 1 283.353 0.914 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cn(C)nc2OC)C1 ZINC001015494575 650573521 /nfs/dbraw/zinc/57/35/21/650573521.db2.gz DCYYMDMGSYZGMN-LLVKDONJSA-N 0 1 278.356 0.809 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001015692259 650610844 /nfs/dbraw/zinc/61/08/44/650610844.db2.gz ZLBCZRXIFZPQED-QWRGUYRKSA-N 0 1 273.340 0.544 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001015717462 650615999 /nfs/dbraw/zinc/61/59/99/650615999.db2.gz UWLXWOUODWGPGU-LLVKDONJSA-N 0 1 289.335 0.623 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001015729719 650617988 /nfs/dbraw/zinc/61/79/88/650617988.db2.gz IHSZQNJWNQKYLT-DGAVXFQQSA-N 0 1 262.353 0.768 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001015775660 650627161 /nfs/dbraw/zinc/62/71/61/650627161.db2.gz ROZJQOCSFLXCNT-LLVKDONJSA-N 0 1 268.748 0.913 20 30 CCEDMN Nc1ncc(C=[NH+][N-]c2nc(-c3cccnc3)no2)cn1 ZINC000814950215 641083803 /nfs/dbraw/zinc/08/38/03/641083803.db2.gz WDSJPUIGQHQEBS-UHFFFAOYSA-N 0 1 282.267 0.950 20 30 CCEDMN Cn1ncc(CCCNCc2nc(C#N)cs2)n1 ZINC000815143670 641095889 /nfs/dbraw/zinc/09/58/89/641095889.db2.gz BUMDVLGRXKQOMD-UHFFFAOYSA-N 0 1 262.342 0.866 20 30 CCEDMN O=C(N[C@@H]1CCN(O)C1=O)c1c[nH]c2ccccc2c1=O ZINC000816695838 641199408 /nfs/dbraw/zinc/19/94/08/641199408.db2.gz MDYVZBCNMNCSSJ-LLVKDONJSA-N 0 1 287.275 0.248 20 30 CCEDMN O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1nnc[n-]1 ZINC001015873789 650643532 /nfs/dbraw/zinc/64/35/32/650643532.db2.gz KTLBMSLAFLKXBD-AWEZNQCLSA-N 0 1 295.346 0.661 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(C(C)C)nn2)C1 ZINC001015912679 650655410 /nfs/dbraw/zinc/65/54/10/650655410.db2.gz GESNIBHYBGZNGM-LBPRGKRZSA-N 0 1 275.356 0.686 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)N[C@@H]3CCN(CC#N)C3)c2C1 ZINC001015926779 650658843 /nfs/dbraw/zinc/65/88/43/650658843.db2.gz XHBAOGMHHDIQKC-WDEREUQCSA-N 0 1 287.367 0.862 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)c1cc(F)cc2nc[nH]c21 ZINC000820482467 641510059 /nfs/dbraw/zinc/51/00/59/641510059.db2.gz KQECYLSOLVZTPC-SECBINFHSA-N 0 1 292.270 0.812 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001016104440 650682130 /nfs/dbraw/zinc/68/21/30/650682130.db2.gz PEIPMWIKFUUUHI-JTQLQIEISA-N 0 1 259.313 0.653 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1ccc(C(N)=O)cc1 ZINC000826383130 641930033 /nfs/dbraw/zinc/93/00/33/641930033.db2.gz LYYHQQZRJJUENS-UHFFFAOYSA-N 0 1 294.278 0.082 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C2 ZINC000829485595 642165695 /nfs/dbraw/zinc/16/56/95/642165695.db2.gz GNRXISLWRYGESQ-YNEHKIRRSA-N 0 1 271.276 0.807 20 30 CCEDMN C#CC[C@H]1CCCN(CC(=O)NCC(=O)OC)C1 ZINC000829773920 642190174 /nfs/dbraw/zinc/19/01/74/642190174.db2.gz LGIAJATZLWKIQG-NSHDSACASA-N 0 1 252.314 0.011 20 30 CCEDMN C#CC[C@@H]1CCCN(CC(=O)N(C)CC(=O)NCCC)C1 ZINC000829773588 642190484 /nfs/dbraw/zinc/19/04/84/642190484.db2.gz GOFOCNLHZIMYLM-CQSZACIVSA-N 0 1 293.411 0.706 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CC23CCN(CC#N)CC3)n[nH]1 ZINC001016614095 650749590 /nfs/dbraw/zinc/74/95/90/650749590.db2.gz JHNISVNMGLBEPZ-NSHDSACASA-N 0 1 288.355 0.150 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCC1CCOCC1 ZINC000834479276 642571275 /nfs/dbraw/zinc/57/12/75/642571275.db2.gz BWQNLJGOZDKEQU-NEPJUHHUSA-N 0 1 251.330 0.421 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccnn1C1CCCC1 ZINC000834480814 642573476 /nfs/dbraw/zinc/57/34/76/642573476.db2.gz WTUVWQAFKYCXKX-CMPLNLGQSA-N 0 1 273.340 0.840 20 30 CCEDMN CSc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)o1 ZINC000834484134 642574514 /nfs/dbraw/zinc/57/45/14/642574514.db2.gz FCNGQHCDHJBQEJ-JGVFFNPUSA-N 0 1 251.311 0.843 20 30 CCEDMN Cc1nc(C(=O)N[C@@H]2CNC[C@@H]2C#N)nn1-c1ccccc1 ZINC000834484439 642574547 /nfs/dbraw/zinc/57/45/47/642574547.db2.gz IVHFACXMLCBPRR-WCQYABFASA-N 0 1 296.334 0.417 20 30 CCEDMN Cn1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(-c2ccccc2)n1 ZINC000834484454 642575093 /nfs/dbraw/zinc/57/50/93/642575093.db2.gz JABDSJQURVUCAI-JSGCOSHPSA-N 0 1 295.346 0.928 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ncccc1C(F)(F)F ZINC000834485069 642575674 /nfs/dbraw/zinc/57/56/74/642575674.db2.gz RKZKGDPBFUZRHG-CBAPKCEASA-N 0 1 284.241 0.942 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@@H]2C#N)cnn1CC(C)C ZINC000834484893 642575856 /nfs/dbraw/zinc/57/58/56/642575856.db2.gz OWZYREVRDZRCNM-AAEUAGOBSA-N 0 1 275.356 0.689 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(-n2ccnn2)cc1 ZINC000834484573 642576529 /nfs/dbraw/zinc/57/65/29/642576529.db2.gz KNWGYOUAZSACRC-DGCLKSJQSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000834488642 642577576 /nfs/dbraw/zinc/57/75/76/642577576.db2.gz FPCMFGFLJZWRCX-YUMQZZPRSA-N 0 1 266.282 0.498 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCCc1nc(C2CC2)no1 ZINC000834489085 642579740 /nfs/dbraw/zinc/57/97/40/642579740.db2.gz JGDDYBOPBIIQGQ-QWRGUYRKSA-N 0 1 289.339 0.497 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COC1CCCCC1 ZINC000834489302 642580454 /nfs/dbraw/zinc/58/04/54/642580454.db2.gz LPFZIHXDLMAPAG-CMPLNLGQSA-N 0 1 251.330 0.563 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1Br ZINC000834490724 642582378 /nfs/dbraw/zinc/58/23/78/642582378.db2.gz WUEVGYKCYFTETH-BQBZGAKWSA-N 0 1 298.144 0.322 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc(F)c1F ZINC000834494485 642583980 /nfs/dbraw/zinc/58/39/80/642583980.db2.gz NKPRFMHNOIXITG-GMSGAONNSA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCc1cscn1 ZINC000834494122 642584326 /nfs/dbraw/zinc/58/43/26/642584326.db2.gz KKWWMRWUBFCYRV-PSASIEDQSA-N 0 1 250.327 0.303 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccn1Cc1ccncc1 ZINC000834494799 642584764 /nfs/dbraw/zinc/58/47/64/642584764.db2.gz POJKRBORYJSRRR-UONOGXRCSA-N 0 1 295.346 0.773 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc(Cl)n1 ZINC000834495416 642585471 /nfs/dbraw/zinc/58/54/71/642585471.db2.gz VLDSIELHQHQXNJ-APPZFPTMSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnn(-c2ccccc2F)c1 ZINC000834495352 642585484 /nfs/dbraw/zinc/58/54/84/642585484.db2.gz ULYRPISDCOXKIT-GWCFXTLKSA-N 0 1 299.309 0.853 20 30 CCEDMN Cc1cc2nc(C)cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)n2n1 ZINC000834499184 642586613 /nfs/dbraw/zinc/58/66/13/642586613.db2.gz FQBUZOJEHIQVKS-WDEREUQCSA-N 0 1 284.323 0.188 20 30 CCEDMN Cc1nc2ccccc2n1CCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834499014 642586916 /nfs/dbraw/zinc/58/69/16/642586916.db2.gz DHBIYIMETJNCSR-TZMCWYRMSA-N 0 1 297.362 0.963 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1c[nH]nc1-c1ccsc1 ZINC000834499056 642587023 /nfs/dbraw/zinc/58/70/23/642587023.db2.gz DWZLJABIHTXDDK-KOLCDFICSA-N 0 1 287.348 0.980 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)COC2 ZINC000834495656 642587058 /nfs/dbraw/zinc/58/70/58/642587058.db2.gz XJMDWLOKCOIZME-STQMWFEESA-N 0 1 257.293 0.558 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc2nccnc21 ZINC000834500568 642588354 /nfs/dbraw/zinc/58/83/54/642588354.db2.gz UTCPKILFEDWSNO-BXKDBHETSA-N 0 1 267.292 0.471 20 30 CCEDMN Cc1csc(CCC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000834500639 642589870 /nfs/dbraw/zinc/58/98/70/642589870.db2.gz VMAFTPADGSMHRD-ZJUUUORDSA-N 0 1 264.354 0.612 20 30 CCEDMN Cc1cccc2c(O)c(C(=O)N[C@H]3CNC[C@H]3C#N)cnc12 ZINC000834500884 642590012 /nfs/dbraw/zinc/59/00/12/642590012.db2.gz XPSMICBMBGGNAT-MFKMUULPSA-N 0 1 296.330 0.678 20 30 CCEDMN Cc1nn(C)c2ncc(C(=O)N[C@@H]3CNC[C@H]3C#N)cc12 ZINC000834501052 642590220 /nfs/dbraw/zinc/59/02/20/642590220.db2.gz ZBRRWRWHQVSCFU-ZYHUDNBSSA-N 0 1 284.323 0.118 20 30 CCEDMN Cc1ccc(O[C@H](C)C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834506389 642593544 /nfs/dbraw/zinc/59/35/44/642593544.db2.gz SHVCJKHENOROBF-BZPMIXESSA-N 0 1 273.336 0.990 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnn(-c2ccccc2)n1 ZINC000834511166 642594705 /nfs/dbraw/zinc/59/47/05/642594705.db2.gz IYWKREKGSCJXAR-ZYHUDNBSSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000834511359 642595240 /nfs/dbraw/zinc/59/52/40/642595240.db2.gz KVGRKSBQYZJLSB-GHMZBOCLSA-N 0 1 274.280 0.365 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc2c(c(Cl)c1)OCO2 ZINC000834511957 642597151 /nfs/dbraw/zinc/59/71/51/642597151.db2.gz QFEZBROFRLUFSZ-SCZZXKLOSA-N 0 1 293.710 0.910 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1COc2ccccc2C1 ZINC000834511909 642597303 /nfs/dbraw/zinc/59/73/03/642597303.db2.gz PQTHIYMSUZJQAT-XQQFMLRXSA-N 0 1 271.320 0.465 20 30 CCEDMN C[C@H](Oc1ccccc1)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834512449 642597687 /nfs/dbraw/zinc/59/76/87/642597687.db2.gz XACXPESTBVQZKA-GVXVVHGQSA-N 0 1 259.309 0.682 20 30 CCEDMN Cc1nn(C)c2nc(C)c(C(=O)N[C@H]3CNC[C@H]3C#N)cc12 ZINC000834516065 642598295 /nfs/dbraw/zinc/59/82/95/642598295.db2.gz FEGDCCJADYJROC-MFKMUULPSA-N 0 1 298.350 0.427 20 30 CCEDMN C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834515839 642598746 /nfs/dbraw/zinc/59/87/46/642598746.db2.gz CDYRWTVABNHAJU-OXIWPEFWSA-N 0 1 287.319 0.443 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COCc1ccccc1 ZINC000834512640 642599028 /nfs/dbraw/zinc/59/90/28/642599028.db2.gz ZQUTTZWHQFWOLR-CHWSQXEVSA-N 0 1 259.309 0.431 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)CCO2 ZINC000834517600 642599914 /nfs/dbraw/zinc/59/99/14/642599914.db2.gz XMABIXYFBOJDKD-VXGBXAGGSA-N 0 1 257.293 0.463 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000834517625 642600052 /nfs/dbraw/zinc/60/00/52/642600052.db2.gz XSSJQFYTJJBZLH-GZMMTYOYSA-N 0 1 271.280 0.511 20 30 CCEDMN Cc1cc2ncc(C(=O)N[C@H]3CNC[C@@H]3C#N)c(C)n2n1 ZINC000834517606 642600661 /nfs/dbraw/zinc/60/06/61/642600661.db2.gz XNYWYSAOGPOZQG-JQWIXIFHSA-N 0 1 284.323 0.188 20 30 CCEDMN COc1ccc(C#N)cc1NC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000841091076 642803526 /nfs/dbraw/zinc/80/35/26/642803526.db2.gz STYKJIOLNSUBRA-ZYHUDNBSSA-N 0 1 285.307 0.800 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1cccc2c1OCO2 ZINC000841185219 642806468 /nfs/dbraw/zinc/80/64/68/642806468.db2.gz URVUCXDMIJPIDI-PSASIEDQSA-N 0 1 274.280 0.648 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccc(OC(F)F)nc1 ZINC000841234413 642807883 /nfs/dbraw/zinc/80/78/83/642807883.db2.gz PWQDEKCWDRDVHU-IONNQARKSA-N 0 1 297.265 0.916 20 30 CCEDMN Cc1cc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)n(C)n1 ZINC000841633394 642827489 /nfs/dbraw/zinc/82/74/89/642827489.db2.gz OQFLSIJWRLTKOK-RYUDHWBXSA-N 0 1 260.345 0.922 20 30 CCEDMN OCC(=NNC1=NCCN1)c1ccc(F)cc1F ZINC000841661286 642828526 /nfs/dbraw/zinc/82/85/26/642828526.db2.gz NNPORWJCLLNPAW-UHFFFAOYSA-N 0 1 254.240 0.210 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cn1cnc(-c2ccccc2)n1 ZINC000843461351 643064892 /nfs/dbraw/zinc/06/48/92/643064892.db2.gz UHSDIJBQZPYGQZ-QWHCGFSZSA-N 0 1 296.334 0.173 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(NC(N)=O)cc1 ZINC000843462409 643066139 /nfs/dbraw/zinc/06/61/39/643066139.db2.gz QDEWHQQNZULAGJ-GXSJLCMTSA-N 0 1 273.296 0.019 20 30 CCEDMN Cc1ccc(NC(=O)C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000843462312 643066372 /nfs/dbraw/zinc/06/63/72/643066372.db2.gz PKELCPPKEMDNDE-PWSUYJOCSA-N 0 1 272.308 0.161 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccc2c(c1)CCCO2 ZINC000843461893 643066853 /nfs/dbraw/zinc/06/68/53/643066853.db2.gz XUHYVVPEHWBOFH-KGLIPLIRSA-N 0 1 285.347 0.782 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cn1cc2ccccc2n1 ZINC000843463238 643067715 /nfs/dbraw/zinc/06/77/15/643067715.db2.gz VVSNKBSFZHOYPR-YPMHNXCESA-N 0 1 269.308 0.264 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccnc1N1CCCCC1 ZINC000844195717 643178658 /nfs/dbraw/zinc/17/86/58/643178658.db2.gz YSDXMGWKFWIBOQ-JSGCOSHPSA-N 0 1 299.378 0.913 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cnnn1 ZINC001018098996 650843618 /nfs/dbraw/zinc/84/36/18/650843618.db2.gz BSPVBHXNJBMDFZ-BETUJISGSA-N 0 1 290.371 0.315 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)c1c[nH]cn1 ZINC001018176749 650846794 /nfs/dbraw/zinc/84/67/94/650846794.db2.gz PIMDKHKVOFBVMD-BETUJISGSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccn(C)c1=O ZINC001018189153 650847696 /nfs/dbraw/zinc/84/76/96/650847696.db2.gz JKYHUYLJXMYBIV-OKILXGFUSA-N 0 1 299.374 0.697 20 30 CCEDMN COc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)ccc1C#N ZINC000852827451 644013815 /nfs/dbraw/zinc/01/38/15/644013815.db2.gz JFLAEJZBVKTTFC-VXGBXAGGSA-N 0 1 270.292 0.408 20 30 CCEDMN Cc1nnsc1CN[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001018631362 650886445 /nfs/dbraw/zinc/88/64/45/650886445.db2.gz CYNMFYJJQNYFQA-WPRPVWTQSA-N 0 1 279.369 0.697 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2ccc3cncn3c2)C1 ZINC001019151154 650942552 /nfs/dbraw/zinc/94/25/52/650942552.db2.gz LLEIKEFHENYRHE-LBPRGKRZSA-N 0 1 269.308 0.662 20 30 CCEDMN N#CCCNC(=O)CN1CC2(CCOCC2)CC[C@H]1CO ZINC000859519877 644705775 /nfs/dbraw/zinc/70/57/75/644705775.db2.gz TYQUKAOREMFJDQ-ZDUSSCGKSA-N 0 1 295.383 0.270 20 30 CCEDMN Cc1nc(COC(=O)Cc2ccc(C#N)nc2)n[nH]1 ZINC000860251404 644783794 /nfs/dbraw/zinc/78/37/94/644783794.db2.gz OPOXQOMYOBXCSA-UHFFFAOYSA-N 0 1 257.253 0.666 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c[nH]c(=O)n2C)C1 ZINC001019239153 650950561 /nfs/dbraw/zinc/95/05/61/650950561.db2.gz HATICXKSEZVBQV-VIFPVBQESA-N 0 1 284.747 0.682 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001019367648 650966423 /nfs/dbraw/zinc/96/64/23/650966423.db2.gz HSXFQFXPQFBMMB-NWDGAFQWSA-N 0 1 299.802 0.988 20 30 CCEDMN O=C(C#Cc1cccs1)NCCN1CC[C@H](O)C1 ZINC000863835327 645134571 /nfs/dbraw/zinc/13/45/71/645134571.db2.gz YMSRLOATEKYTEF-NSHDSACASA-N 0 1 264.350 0.282 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cn2cncn2)C1 ZINC001019745526 651002058 /nfs/dbraw/zinc/00/20/58/651002058.db2.gz MQSHHOJYZSKVMX-JTQLQIEISA-N 0 1 269.736 0.221 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@H](NC(=O)C[C@@H]1COCCN1)C2 ZINC000868038677 645708483 /nfs/dbraw/zinc/70/84/83/645708483.db2.gz YXNZJZZIWGKEMT-HUUCEWRRSA-N 0 1 285.347 0.520 20 30 CCEDMN N#Cc1cc(C(=O)NCCN2CCC(O)CC2)co1 ZINC000868757743 645811958 /nfs/dbraw/zinc/81/19/58/645811958.db2.gz CITAFSYVRSTRCW-UHFFFAOYSA-N 0 1 263.297 0.338 20 30 CCEDMN N#CCc1ccsc1C(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000869195277 645857628 /nfs/dbraw/zinc/85/76/28/645857628.db2.gz RHXSPKVKIHMEJP-NWDGAFQWSA-N 0 1 291.376 0.705 20 30 CCEDMN N#Cc1cccc(CNC[C@@]23COC(=O)N2CCOC3)n1 ZINC000872261362 646231573 /nfs/dbraw/zinc/23/15/73/646231573.db2.gz NKUSKENSJVETDQ-CQSZACIVSA-N 0 1 288.307 0.264 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1(Nc2ccccc2)CC1 ZINC000876803149 646670071 /nfs/dbraw/zinc/67/00/71/646670071.db2.gz UMVYLBWEKHTKLF-YPMHNXCESA-N 0 1 270.336 0.859 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1scnc1C(F)F ZINC000876803384 646670587 /nfs/dbraw/zinc/67/05/87/646670587.db2.gz WEOMLQRXMAXQIS-PHDIDXHHSA-N 0 1 272.280 0.922 20 30 CCEDMN C[C@@]1(C(=O)N[C@@H]2Cc3ccc(C#N)cc3C2)CNCCO1 ZINC000877265919 646773114 /nfs/dbraw/zinc/77/31/14/646773114.db2.gz LMWOLGKKRPYIDR-ZBFHGGJFSA-N 0 1 285.347 0.520 20 30 CCEDMN C#CCN(C[C@H](O)Cn1cc([N+](=O)[O-])cn1)C1CSC1 ZINC000878913611 646998560 /nfs/dbraw/zinc/99/85/60/646998560.db2.gz BQTVHNJOUDIKDB-LBPRGKRZSA-N 0 1 296.352 0.203 20 30 CCEDMN C[C@@H](NCC(=O)N(CCC#N)CCC#N)c1ccnn1C ZINC000879684041 647093930 /nfs/dbraw/zinc/09/39/30/647093930.db2.gz FDWSGLQQKHIPEJ-GFCCVEGCSA-N 0 1 288.355 0.727 20 30 CCEDMN Cc1nc(C#N)cc(N2CC[C@@H](c3nc[nH]n3)C2)n1 ZINC000882478043 647411304 /nfs/dbraw/zinc/41/13/04/647411304.db2.gz ZTCYKEKYKISCNN-SECBINFHSA-N 0 1 255.285 0.769 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccn2ccccc12 ZINC000884250362 647680909 /nfs/dbraw/zinc/68/09/09/647680909.db2.gz COKGJRMOFHKAPJ-CMPLNLGQSA-N 0 1 254.293 0.781 20 30 CCEDMN C[C@@H]1C[N@H+](C[C@@H](O)COc2ccc(C#N)cc2)CCN1C ZINC000216558244 647832375 /nfs/dbraw/zinc/83/23/75/647832375.db2.gz GMBDNXIDFPMEKI-UKRRQHHQSA-N 0 1 289.379 0.934 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCSC[C@H]2CO)CCC1 ZINC000886099150 647900793 /nfs/dbraw/zinc/90/07/93/647900793.db2.gz VTVNMWZRJZUWMF-NEPJUHHUSA-N 0 1 270.398 0.841 20 30 CCEDMN C[C@@H](O)C(=O)N1CCN(CC2CCC(C#N)CC2)CC1 ZINC000930142098 647957043 /nfs/dbraw/zinc/95/70/43/647957043.db2.gz FPZMQARPQDEZBO-IYXRBSQSSA-N 0 1 279.384 0.841 20 30 CCEDMN N#CCCN(CCC#N)C(=O)C[N@H+]1C[C@@H]2CSC[C@@H]2C1 ZINC000886558764 647985878 /nfs/dbraw/zinc/98/58/78/647985878.db2.gz RFMGESMSXAIYQO-BETUJISGSA-N 0 1 292.408 0.937 20 30 CCEDMN N#CC[C@@H](O)C[NH+]1CCC(C[N-]C(=O)C(F)(F)F)CC1 ZINC000930307908 648847121 /nfs/dbraw/zinc/84/71/21/648847121.db2.gz DBMYZLVXVKGBSL-SNVBAGLBSA-N 0 1 293.289 0.651 20 30 CCEDMN N#CCCOCCN1CCCC[C@@H]1CS(N)(=O)=O ZINC000931231120 648968935 /nfs/dbraw/zinc/96/89/35/648968935.db2.gz HLKUMHOORMMCST-LLVKDONJSA-N 0 1 275.374 0.060 20 30 CCEDMN C[C@H](N[C@@H]1C(=O)NCC1(C)C)C(=O)NC1(C#N)CCC1 ZINC000931656878 649015040 /nfs/dbraw/zinc/01/50/40/649015040.db2.gz VSGMNNPMXIFSPK-VHSXEESVSA-N 0 1 278.356 0.052 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@H](C3OCCO3)C2)CCC1 ZINC000932000913 649040175 /nfs/dbraw/zinc/04/01/75/649040175.db2.gz SQTLVXVKUUNFIX-NSHDSACASA-N 0 1 279.340 0.244 20 30 CCEDMN CNC(=O)C=C(N)NOCCN(C)Cc1ccc(F)cc1 ZINC000189311277 649373885 /nfs/dbraw/zinc/37/38/85/649373885.db2.gz KEHMJFQKJXJTTO-UHFFFAOYSA-N 0 1 296.346 0.682 20 30 CCEDMN C=CC[NH+]1CCC(N(C)C(=O)[C@H]2C[N@H+](CC)CCO2)CC1 ZINC001006431935 649377309 /nfs/dbraw/zinc/37/73/09/649377309.db2.gz SIEARQRRQYBXPG-OAHLLOKOSA-N 0 1 295.427 0.816 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC(N(C)C(=O)[C@H]2CCCCN2C)C1 ZINC000954710151 649676060 /nfs/dbraw/zinc/67/60/60/649676060.db2.gz OTDDSYIPXWZUTO-WCQYABFASA-N 0 1 292.383 0.300 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)c1ccc(NC(N)=O)cc1 ZINC000092492232 649724425 /nfs/dbraw/zinc/72/44/25/649724425.db2.gz RKRPGSBAVIIOAD-SNVBAGLBSA-N 0 1 274.324 0.577 20 30 CCEDMN Cc1nc(N2C[C@H]3OCCN(C)[C@H]3C2)ccc1CC#N ZINC001164666996 719452862 /nfs/dbraw/zinc/45/28/62/719452862.db2.gz FDFKNYCACNNHLU-UONOGXRCSA-N 0 1 272.352 0.975 20 30 CCEDMN N#CC1(NC(=O)[C@@]23C[C@@H]2CN(Cc2cncnc2)C3)CCC1 ZINC001277445662 805581813 /nfs/dbraw/zinc/58/18/13/805581813.db2.gz FRYOIJQDOCBQBU-CZUORRHYSA-N 0 1 297.362 0.861 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)CCOC ZINC001230571795 805625800 /nfs/dbraw/zinc/62/58/00/805625800.db2.gz GNIZNNIJIAHLOD-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)C2CCC2)CC1 ZINC001266279668 790386966 /nfs/dbraw/zinc/38/69/66/790386966.db2.gz ZIAUDWLHXAPDAE-UHFFFAOYSA-N 0 1 263.385 0.544 20 30 CCEDMN C[C@H](NC(=O)[C@@H]1CCCN1C)C1CN(CC#N)C1 ZINC000969381516 790418994 /nfs/dbraw/zinc/41/89/94/790418994.db2.gz NMJVZRBKIDTMBX-JQWIXIFHSA-N 0 1 250.346 0.041 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ncnc2[nH]ccc21 ZINC001266293299 790439003 /nfs/dbraw/zinc/43/90/03/790439003.db2.gz RAOBCQLCMUZTQX-UHFFFAOYSA-N 0 1 257.297 0.204 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@H]2CN(CCF)C[C@H]2C1 ZINC001279362787 790509195 /nfs/dbraw/zinc/50/91/95/790509195.db2.gz KYLQCUYVFNEXPN-SCRDCRAPSA-N 0 1 270.348 0.673 20 30 CCEDMN CSc1n[nH]c(NC(=O)C(C)(C)C#N)c1C(N)=O ZINC001143395867 791258849 /nfs/dbraw/zinc/25/88/49/791258849.db2.gz QMNOBQGKWQVMND-UHFFFAOYSA-N 0 1 267.314 0.719 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001266517844 790854163 /nfs/dbraw/zinc/85/41/63/790854163.db2.gz OGYHEBBQSANHHH-NSHDSACASA-N 0 1 293.371 0.805 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001266517844 790854176 /nfs/dbraw/zinc/85/41/76/790854176.db2.gz OGYHEBBQSANHHH-NSHDSACASA-N 0 1 293.371 0.805 20 30 CCEDMN COCC#CCN(CCNC(=O)CSCC#N)C1CC1 ZINC001266584090 790981261 /nfs/dbraw/zinc/98/12/61/790981261.db2.gz UGHUHIPILUMQCY-UHFFFAOYSA-N 0 1 295.408 0.474 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccon1 ZINC001039346090 791120409 /nfs/dbraw/zinc/12/04/09/791120409.db2.gz YBKCGYBIUWMMMI-KGLIPLIRSA-N 0 1 273.336 0.916 20 30 CCEDMN CN(CCNCc1ccccc1C#N)C(=O)c1cnnn1C ZINC001266689425 791142013 /nfs/dbraw/zinc/14/20/13/791142013.db2.gz PHPFRXWZHQDPNI-UHFFFAOYSA-N 0 1 298.350 0.549 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CN1CCCC1=O ZINC001230740930 805658530 /nfs/dbraw/zinc/65/85/30/805658530.db2.gz ZDGSPLWWEMNXPN-CYBMUJFWSA-N 0 1 279.384 0.718 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cn1cccn1 ZINC001230773041 805663633 /nfs/dbraw/zinc/66/36/33/805663633.db2.gz OCQOCLPVOVVQPB-CYBMUJFWSA-N 0 1 262.357 0.992 20 30 CCEDMN COC[C@@H](C)N1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230818763 805671597 /nfs/dbraw/zinc/67/15/97/805671597.db2.gz DPHPMSFLURXQCE-NEPJUHHUSA-N 0 1 285.413 0.811 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@@H]1COCCO1 ZINC001230824263 805673575 /nfs/dbraw/zinc/67/35/75/805673575.db2.gz WADUJHYSVAMJPI-KBPBESRZSA-N 0 1 298.383 0.137 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)CNC(=O)OC ZINC001230914921 805690526 /nfs/dbraw/zinc/69/05/26/805690526.db2.gz CQVKNLBSOAZERR-LBPRGKRZSA-N 0 1 299.371 0.078 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)COCCC ZINC001231080434 805725342 /nfs/dbraw/zinc/72/53/42/805725342.db2.gz RJBHMBHVTOUTHN-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(=O)C(C)(C)F)C1=O ZINC001231138414 805736887 /nfs/dbraw/zinc/73/68/87/805736887.db2.gz HITXLRFPGQEQDI-LBPRGKRZSA-N 0 1 299.390 0.960 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCOCC ZINC001231189437 805746380 /nfs/dbraw/zinc/74/63/80/805746380.db2.gz MMTDJPCTUNOLSB-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN N#Cc1ccc(CCCN2C[C@@H]3C(C(N)=O)=NO[C@@H]3C2)cc1 ZINC001277589555 805871342 /nfs/dbraw/zinc/87/13/42/805871342.db2.gz NCNVJFWVJMCARU-UONOGXRCSA-N 0 1 298.346 0.663 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@H+]2CCN3C(=O)COC[C@H]3C2)c1 ZINC001232678907 805969973 /nfs/dbraw/zinc/96/99/73/805969973.db2.gz UNYNBNDJRZLWPI-CYBMUJFWSA-N 0 1 287.319 0.307 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)CC ZINC001266899121 791328448 /nfs/dbraw/zinc/32/84/48/791328448.db2.gz XYUHTJXIPMAKKG-POQQGIQPSA-N 0 1 291.395 0.217 20 30 CCEDMN C=CCOCC(=O)NC1CCC(NCc2cnon2)CC1 ZINC001266910333 791339293 /nfs/dbraw/zinc/33/92/93/791339293.db2.gz YYDFNUJEPCONQQ-UHFFFAOYSA-N 0 1 294.355 0.789 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cn3cc(C)cn3)C[C@H]21 ZINC001041963705 791534174 /nfs/dbraw/zinc/53/41/74/791534174.db2.gz GGGKVYPODFSBBZ-HUUCEWRRSA-N 0 1 286.379 0.748 20 30 CCEDMN C=CCC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1C[NH+](C)CCO1 ZINC001038141417 791703166 /nfs/dbraw/zinc/70/31/66/791703166.db2.gz KZNRQNVWLTYIHW-OLZOCXBDSA-N 0 1 267.373 0.084 20 30 CCEDMN CC#CCN(C)CCNC(=O)Cc1c(C)nc(C)[nH]c1=O ZINC001267230257 791706735 /nfs/dbraw/zinc/70/67/35/791706735.db2.gz JTVSRVJKMCPXFR-UHFFFAOYSA-N 0 1 290.367 0.413 20 30 CCEDMN C#CCCCCC(=O)N(C)C[C@@H]1CCN1C[C@H](O)COC ZINC001233762869 806117684 /nfs/dbraw/zinc/11/76/84/806117684.db2.gz WJWHFZHXMYXHSW-GJZGRUSLSA-N 0 1 296.411 0.720 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CN1CN=NC1=O ZINC001233848679 806132063 /nfs/dbraw/zinc/13/20/63/806132063.db2.gz ZHSXEQVJCILQTA-NSHDSACASA-N 0 1 279.344 0.093 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CCN1CCOCC ZINC001233936847 806150130 /nfs/dbraw/zinc/15/01/30/806150130.db2.gz KEKQMBWYPJXODX-UKRRQHHQSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)CC(C)(C)C ZINC001233997372 806165685 /nfs/dbraw/zinc/16/56/85/806165685.db2.gz JPADBYLGHGFBOU-UHFFFAOYSA-N 0 1 281.400 0.610 20 30 CCEDMN CN(C[C@H]1CCN1CCCO)C(=O)C#CC1CC1 ZINC001234194527 806201776 /nfs/dbraw/zinc/20/17/76/806201776.db2.gz FBQWKGXMQYBADS-CYBMUJFWSA-N 0 1 250.342 0.315 20 30 CCEDMN CO[C@@H](C)CN1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178681 806197784 /nfs/dbraw/zinc/19/77/84/806197784.db2.gz NGVBLRKYSMWCGP-GXTWGEPZSA-N 0 1 264.369 0.967 20 30 CCEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cn(C)c(=O)n(C)c1=O ZINC001234190482 806200955 /nfs/dbraw/zinc/20/09/55/806200955.db2.gz XQJYYNIXZZWNRU-QMMMGPOBSA-N 0 1 280.280 0.072 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CNC(=O)N1CCCC1 ZINC001234224104 806206533 /nfs/dbraw/zinc/20/65/33/806206533.db2.gz GHHNDGKTMRBGFI-CYBMUJFWSA-N 0 1 294.399 0.511 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@H]1CCN1CCOC ZINC001234246603 806210621 /nfs/dbraw/zinc/21/06/21/806210621.db2.gz RFEDNPJZHAVMOX-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN CC#CCN(CC)CCNC(=O)Cn1cc(C2CC2)nn1 ZINC001267242609 793231601 /nfs/dbraw/zinc/23/16/01/793231601.db2.gz RSCDYAQUDUNCHN-UHFFFAOYSA-N 0 1 289.383 0.617 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@]1(F)CCOC1 ZINC001267248487 793241199 /nfs/dbraw/zinc/24/11/99/793241199.db2.gz CUZNESNHLLTMIH-ZDUSSCGKSA-N 0 1 256.321 0.576 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H](CC)OCC ZINC001235014030 806345408 /nfs/dbraw/zinc/34/54/08/806345408.db2.gz GLBRTPPLZBHDJM-CYBMUJFWSA-N 0 1 299.415 0.542 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1cnn(C)c1C ZINC001235209063 806387315 /nfs/dbraw/zinc/38/73/15/806387315.db2.gz IPHHBSWMBCSVPN-AWEZNQCLSA-N 0 1 276.384 0.990 20 30 CCEDMN COCCOCN1CCO[C@H]2CN(CCCC#N)C[C@H]21 ZINC001277943095 806417507 /nfs/dbraw/zinc/41/75/07/806417507.db2.gz NFKJWSKOARMEIV-KGLIPLIRSA-N 0 1 283.372 0.296 20 30 CCEDMN Cn1ncnc1C(=O)N1CC[C@H]2[C@@H]1CCN2CCCC#N ZINC001277943252 806418453 /nfs/dbraw/zinc/41/84/53/806418453.db2.gz QABSGOFUWYDHPK-RYUDHWBXSA-N 0 1 288.355 0.408 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)[C@H](C)c1n[nH]c(C)n1 ZINC001235684333 806492949 /nfs/dbraw/zinc/49/29/49/806492949.db2.gz XGZAZSXPLUWBEY-LLVKDONJSA-N 0 1 295.387 0.815 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@@H+](C)CCCNC(=O)COCC=C ZINC001235698965 806494430 /nfs/dbraw/zinc/49/44/30/806494430.db2.gz QUJLJWMEDMUOGP-CYBMUJFWSA-N 0 1 297.399 0.318 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)COCC=C ZINC001235698965 806494437 /nfs/dbraw/zinc/49/44/37/806494437.db2.gz QUJLJWMEDMUOGP-CYBMUJFWSA-N 0 1 297.399 0.318 20 30 CCEDMN CC1(C)O[C@@H]2O[C@@H](CN3CCC[C@H](CC#N)C3)[C@@H](O)[C@@H]2O1 ZINC001235773331 806502170 /nfs/dbraw/zinc/50/21/70/806502170.db2.gz NPHCGDFEARYADC-BJJPWKGXSA-N 0 1 296.367 0.849 20 30 CCEDMN CC1(C)O[C@@H]2O[C@@H](CN3CCC[C@@H](C#N)CC3)[C@@H](O)[C@@H]2O1 ZINC001235882590 806513058 /nfs/dbraw/zinc/51/30/58/806513058.db2.gz OSVIVAJHIHRPEY-BJJPWKGXSA-N 0 1 296.367 0.849 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CCOCC(F)(F)F ZINC001278106028 806675663 /nfs/dbraw/zinc/67/56/63/806675663.db2.gz RQEFFWGSXLDYBT-SNVBAGLBSA-N 0 1 296.289 0.045 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H]1CCc2cc(F)ccc21 ZINC001278112544 806679412 /nfs/dbraw/zinc/67/94/12/806679412.db2.gz MJQHHVWDGCJJPX-HIFRSBDPSA-N 0 1 290.338 0.555 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC[C@@H](O)C2(O)CCC2)c1 ZINC001120573875 798871656 /nfs/dbraw/zinc/87/16/56/798871656.db2.gz TYMLBYLSXUEGIL-CQSZACIVSA-N 0 1 288.347 0.472 20 30 CCEDMN C=CCOC[C@H]1c2nnn(C)c2CCN1Cc1nnc[nH]1 ZINC001237601182 806696553 /nfs/dbraw/zinc/69/65/53/806696553.db2.gz ZLUMSRRCCCCXED-NSHDSACASA-N 0 1 289.343 0.235 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CCCc2[nH]ncc21)C(=O)OC ZINC001120659943 798907415 /nfs/dbraw/zinc/90/74/15/798907415.db2.gz LDLWDKRTQZOMLU-CABZTGNLSA-N 0 1 275.308 0.511 20 30 CCEDMN C=CCOCc1nnc(N2CCN(CC)CC2)n1C ZINC001121448294 799047623 /nfs/dbraw/zinc/04/76/23/799047623.db2.gz BMWSJEXGMJNBAD-UHFFFAOYSA-N 0 1 265.361 0.660 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)c1nc2ccccc2n1C ZINC001121923341 799094104 /nfs/dbraw/zinc/09/41/04/799094104.db2.gz YFQPJZAQHQADPL-NSHDSACASA-N 0 1 270.336 0.973 20 30 CCEDMN C#CCNCC(=O)N1CC(C)(C)[C@H]1[C@H]1CCCO1 ZINC001122081984 799138310 /nfs/dbraw/zinc/13/83/10/799138310.db2.gz KMIVZOXDNKEMRK-DGCLKSJQSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCC[S@](C)=O)CC1 ZINC001122111708 799147438 /nfs/dbraw/zinc/14/74/38/799147438.db2.gz FRKIYDMWVRBZSJ-IBGZPJMESA-N 0 1 285.413 0.152 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCO[C@H]2C2CC2)CC1 ZINC001122171503 799160317 /nfs/dbraw/zinc/16/03/17/799160317.db2.gz KPTWSRZWAIYQAO-CABCVRRESA-N 0 1 291.395 0.951 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001278155916 806727387 /nfs/dbraw/zinc/72/73/87/806727387.db2.gz UFFAUGPHBNODNP-KBXIAJHMSA-N 0 1 278.396 0.903 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1sc(CC)nc1C ZINC001278171323 806739844 /nfs/dbraw/zinc/73/98/44/806739844.db2.gz FBLMHQYEKVDXQN-LLVKDONJSA-N 0 1 295.408 0.718 20 30 CCEDMN C#CCCCCNC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001123515820 799425930 /nfs/dbraw/zinc/42/59/30/799425930.db2.gz VKGUZYFDBGWUNS-KBPBESRZSA-N 0 1 280.416 0.723 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ncsc1C(C)C ZINC001278180146 806742796 /nfs/dbraw/zinc/74/27/96/806742796.db2.gz YUXVAVQXJNCTDY-JTQLQIEISA-N 0 1 281.381 0.580 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001123651937 799448785 /nfs/dbraw/zinc/44/87/85/799448785.db2.gz DGFUNKNZOKNBKM-SYQHCUMBSA-N 0 1 296.415 0.263 20 30 CCEDMN C=C(C)CONC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001123660241 799450170 /nfs/dbraw/zinc/45/01/70/799450170.db2.gz PSZTUQGXTSWHLD-LBPRGKRZSA-N 0 1 270.377 0.429 20 30 CCEDMN C=C(C)COCCNC(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001123664759 799451742 /nfs/dbraw/zinc/45/17/42/799451742.db2.gz AWCGOSSMNUOOPO-QWHCGFSZSA-N 0 1 267.373 0.084 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1n[nH]cc1Br ZINC001123725235 799461436 /nfs/dbraw/zinc/46/14/36/799461436.db2.gz VGPNMTBKPZMNPS-ZETCQYMHSA-N 0 1 284.113 0.646 20 30 CCEDMN C=CCN(C)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001123795173 799476306 /nfs/dbraw/zinc/47/63/06/799476306.db2.gz PXDRNKOCEDWDEU-VXGBXAGGSA-N 0 1 254.378 0.448 20 30 CCEDMN C[C@H]1CN2CC[N@H+]1C[C@@H]2C(=O)NCC1(C#N)CCC1 ZINC001123901195 799498409 /nfs/dbraw/zinc/49/84/09/799498409.db2.gz CFGUWBLJHUPWFK-NWDGAFQWSA-N 0 1 262.357 0.185 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)NCC1(C#N)CCC1 ZINC001123901195 799498412 /nfs/dbraw/zinc/49/84/12/799498412.db2.gz CFGUWBLJHUPWFK-NWDGAFQWSA-N 0 1 262.357 0.185 20 30 CCEDMN CO[C@H](C)C(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001124272471 799586153 /nfs/dbraw/zinc/58/61/53/799586153.db2.gz FUDQAKUJZCDRQK-GFCCVEGCSA-N 0 1 278.327 0.918 20 30 CCEDMN CO[C@H](C)C(=O)NCCNCc1ccccc1C#N ZINC001124273636 799587444 /nfs/dbraw/zinc/58/74/44/799587444.db2.gz QWZVIZPWCBVILN-LLVKDONJSA-N 0 1 261.325 0.799 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](C)c1cccc(OC)c1 ZINC001278201537 806769694 /nfs/dbraw/zinc/76/96/94/806769694.db2.gz GWYFEXCLXLSBSV-GXTWGEPZSA-N 0 1 290.363 0.499 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@]1(C)CC=C(C)CC1 ZINC001278201637 806769805 /nfs/dbraw/zinc/76/98/05/806769805.db2.gz IEIGRQSORJSIHS-HIFRSBDPSA-N 0 1 264.369 0.823 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](OCC)c1ccccc1 ZINC001278201248 806770303 /nfs/dbraw/zinc/77/03/03/806770303.db2.gz CJUJWXZVJQTHDG-LSDHHAIUSA-N 0 1 290.363 0.464 20 30 CCEDMN N#Cc1ccc2[nH]cc(CN3CC(C(N)=O)C3)c2c1 ZINC001140115003 799881975 /nfs/dbraw/zinc/88/19/75/799881975.db2.gz JAHWMMOLDGTGIN-UHFFFAOYSA-N 0 1 254.293 0.957 20 30 CCEDMN C=CCCOCC(=O)NCCN1CCC(O)CC1 ZINC001140920865 799959334 /nfs/dbraw/zinc/95/93/34/799959334.db2.gz KKYLGBSSQZNXIH-UHFFFAOYSA-N 0 1 256.346 0.152 20 30 CCEDMN CSc1nc(CN2CC[C@@H](C(N)=O)C2)ccc1C#N ZINC001141939406 800049415 /nfs/dbraw/zinc/04/94/15/800049415.db2.gz PJUYUBLEQSSNGX-SNVBAGLBSA-N 0 1 276.365 0.982 20 30 CCEDMN C=C(CO)C(=O)Nc1cc(C(=O)OC(C)(C)C)[nH]n1 ZINC001142905808 800171184 /nfs/dbraw/zinc/17/11/84/800171184.db2.gz QJDVVTSCMJHTLS-UHFFFAOYSA-N 0 1 267.285 0.852 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H](C)OC)C1 ZINC001149309787 800246110 /nfs/dbraw/zinc/24/61/10/800246110.db2.gz IXTOQNPQQITCTC-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C[C@H](C)OC)C1 ZINC001149361180 800252097 /nfs/dbraw/zinc/25/20/97/800252097.db2.gz FZSPOJDHGUGQFP-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1cncn1C ZINC001149554530 800276060 /nfs/dbraw/zinc/27/60/60/800276060.db2.gz VDSIRKJUAQCJQM-UHFFFAOYSA-N 0 1 270.764 0.811 20 30 CCEDMN N#CCc1ccnc(NC(=O)CCc2nn[nH]n2)c1 ZINC001149720213 800294511 /nfs/dbraw/zinc/29/45/11/800294511.db2.gz DXEBYHVVASNQCH-UHFFFAOYSA-N 0 1 257.257 0.232 20 30 CCEDMN C#CCOCCC(=O)NC[C@H]1CN(CC#CC)CCCO1 ZINC001149728302 800294702 /nfs/dbraw/zinc/29/47/02/800294702.db2.gz WFAUPVULMRXRKR-HNNXBMFYSA-N 0 1 292.379 0.257 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CCn2ccnc2)C1 ZINC001150053654 800313834 /nfs/dbraw/zinc/31/38/34/800313834.db2.gz LJHIKNADXGDWJN-AWEZNQCLSA-N 0 1 292.383 0.666 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H](OC)C(C)C)C1 ZINC001150254070 800326206 /nfs/dbraw/zinc/32/62/06/800326206.db2.gz JYFXEKHRDHGHKL-LSDHHAIUSA-N 0 1 296.411 0.888 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C(C)(C)NC(C)=O)C1 ZINC001150451878 800336718 /nfs/dbraw/zinc/33/67/18/800336718.db2.gz BAOKETHWLKILAG-CYBMUJFWSA-N 0 1 297.399 0.294 20 30 CCEDMN C=C[C@H](C)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001150695046 800359947 /nfs/dbraw/zinc/35/99/47/800359947.db2.gz FDIJUKYJPNQYLC-ZETCQYMHSA-N 0 1 270.310 0.344 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)[C@H](C)CC ZINC001151366231 800419741 /nfs/dbraw/zinc/41/97/41/800419741.db2.gz KYVCRLDYFDAOOA-SECBINFHSA-N 0 1 275.780 0.607 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1cnoc1C ZINC001153135213 800599734 /nfs/dbraw/zinc/59/97/34/800599734.db2.gz NVTJHCXAWYHJTC-LLVKDONJSA-N 0 1 267.329 0.780 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1ncc(C#N)nc1C ZINC001155083530 800905252 /nfs/dbraw/zinc/90/52/52/800905252.db2.gz CMOGITXGCSSRKC-UHFFFAOYSA-N 0 1 286.295 0.478 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2nccnc2C#N)CC1 ZINC001155155183 800920546 /nfs/dbraw/zinc/92/05/46/800920546.db2.gz VNMIJMHTYIFFCO-NSHDSACASA-N 0 1 275.312 0.065 20 30 CCEDMN Cc1nc(C#N)cnc1NCc1nnc2n1CCCNC2 ZINC001155429569 800974195 /nfs/dbraw/zinc/97/41/95/800974195.db2.gz POOLPKSPDYOAAW-UHFFFAOYSA-N 0 1 284.327 0.354 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1cccc2cncn21 ZINC001155802048 801053836 /nfs/dbraw/zinc/05/38/36/801053836.db2.gz XQRBZOLABQMYEQ-VIFPVBQESA-N 0 1 279.321 0.536 20 30 CCEDMN CCCn1c(C)nnc1CNCCCNC(=O)[C@H](C)C#N ZINC001155828852 801060052 /nfs/dbraw/zinc/06/00/52/801060052.db2.gz SCJLHAZIWATAPE-LLVKDONJSA-N 0 1 292.387 0.752 20 30 CCEDMN CC(C)n1cc(CNCCCNC(=O)[C@@H](C)C#N)nn1 ZINC001155837403 801062051 /nfs/dbraw/zinc/06/20/51/801062051.db2.gz YZHPDBVPMKAYKG-NSHDSACASA-N 0 1 278.360 0.615 20 30 CCEDMN CC(=O)CC(C)=Nc1ncc(S(N)(=O)=O)cc1F ZINC001155892417 801071854 /nfs/dbraw/zinc/07/18/54/801071854.db2.gz NOWWDGFTAPIPHT-UTCJRWHESA-N 0 1 273.289 0.773 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cnc2nccn2c1 ZINC001155907422 801074777 /nfs/dbraw/zinc/07/47/77/801074777.db2.gz KAOHCBHQGQYMMO-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN COc1ccnc(-n2c(C)ncc(CN)c2=N)c1C#N ZINC001156829551 801244266 /nfs/dbraw/zinc/24/42/66/801244266.db2.gz OQFGRPGHZLUJHW-UHFFFAOYSA-N 0 1 270.296 0.394 20 30 CCEDMN Cc1nc(-n2c(C)ncc(CN)c2=N)cnc1C#N ZINC001156830805 801244819 /nfs/dbraw/zinc/24/48/19/801244819.db2.gz ZRSHQCVEBZWZDG-UHFFFAOYSA-N 0 1 255.285 0.089 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1-n1c(C)ncc(CN)c1=N ZINC001156830842 801244965 /nfs/dbraw/zinc/24/49/65/801244965.db2.gz BOSPICZVKBQCLX-UHFFFAOYSA-N 0 1 294.322 0.215 20 30 CCEDMN CN1CCN(C(=O)c2n[nH]c3cc(C#N)ccc32)CC1 ZINC001156876495 801252273 /nfs/dbraw/zinc/25/22/73/801252273.db2.gz CNAMUVSTRLRUNG-UHFFFAOYSA-N 0 1 269.308 0.822 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@@H](C)CN1CCOCC1 ZINC001156900667 801259318 /nfs/dbraw/zinc/25/93/18/801259318.db2.gz DKOJXTLIHJGDPO-UONOGXRCSA-N 0 1 296.415 0.305 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CN(C)C(C)=O ZINC001157567561 801422783 /nfs/dbraw/zinc/42/27/83/801422783.db2.gz CQOIEYCXPJVNNU-OAHLLOKOSA-N 0 1 293.411 0.801 20 30 CCEDMN Cc1ccnc(CNCCCNC(=O)C#CC2CC2)n1 ZINC001157704985 801459345 /nfs/dbraw/zinc/45/93/45/801459345.db2.gz GLHCHPGMKFQZKN-UHFFFAOYSA-N 0 1 272.352 0.794 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1nc(C)oc1C ZINC001157874310 801508345 /nfs/dbraw/zinc/50/83/45/801508345.db2.gz NHVOGRBSLKAYRU-GFCCVEGCSA-N 0 1 293.367 0.926 20 30 CCEDMN N#Cc1ccc2c(c1)CCN(C(=O)C[C@@H]1COCCN1)C2 ZINC001157936477 801531703 /nfs/dbraw/zinc/53/17/03/801531703.db2.gz GGZHMRJGXOZQJP-OAHLLOKOSA-N 0 1 285.347 0.821 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCC(=O)N1 ZINC001158758012 801698209 /nfs/dbraw/zinc/69/82/09/801698209.db2.gz HIDKXMLEXOBPGU-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC=C(CCNC(C)=O)CC1 ZINC001159022865 801740847 /nfs/dbraw/zinc/74/08/47/801740847.db2.gz SNQLNGZXYTZMHF-LBPRGKRZSA-N 0 1 277.368 0.283 20 30 CCEDMN N#Cc1cc2nc(NC[C@@H]3COCCN3)ccc2[nH]1 ZINC001159044732 801750580 /nfs/dbraw/zinc/75/05/80/801750580.db2.gz ZXEPVMCEGOUOEX-SNVBAGLBSA-N 0 1 257.297 0.835 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cc[n+]([O-])cc2)CC1 ZINC001159156630 801766305 /nfs/dbraw/zinc/76/63/05/801766305.db2.gz REJCWKXDOZRIPY-UHFFFAOYSA-N 0 1 285.347 0.705 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCC1=CCN([C@H]2CCNC2=O)CC1 ZINC001159587012 801835360 /nfs/dbraw/zinc/83/53/60/801835360.db2.gz FVVXIBNRPANCQB-AAEUAGOBSA-N 0 1 290.367 0.173 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1N[C@](N)(CC)CO ZINC001159747102 801861962 /nfs/dbraw/zinc/86/19/62/801861962.db2.gz DDTAMQKXDUUXMS-GFCCVEGCSA-N 0 1 260.301 0.252 20 30 CCEDMN C=CCNC(=O)c1cccnc1Nc1nccnc1CN ZINC001160249712 801924449 /nfs/dbraw/zinc/92/44/49/801924449.db2.gz CTWCKZXQPDALQK-UHFFFAOYSA-N 0 1 284.323 0.990 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@@H](N)Cc2cccc(O)c2)CCO1 ZINC001160877675 802039665 /nfs/dbraw/zinc/03/96/65/802039665.db2.gz AQKDELGMXPESSU-STQMWFEESA-N 0 1 275.308 0.013 20 30 CCEDMN C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)N1CCOC[C@H]1C#N ZINC001160933350 802048838 /nfs/dbraw/zinc/04/88/38/802048838.db2.gz JYNMMIMBTMVQPI-GMTAPVOTSA-N 0 1 269.345 0.268 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@]2(F)CCOC2)CC1 ZINC001161014185 802060225 /nfs/dbraw/zinc/06/02/25/802060225.db2.gz NRFMGXZJRVVIKO-HNNXBMFYSA-N 0 1 280.343 0.887 20 30 CCEDMN C[C@@H](C#N)N(C)C(=O)[C@@H](N)Cc1ccccc1C#N ZINC001161145086 802101756 /nfs/dbraw/zinc/10/17/56/802101756.db2.gz YNVDTYVBLGIOOY-GWCFXTLKSA-N 0 1 256.309 0.799 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@H](N2CCCC2)C(F)(F)C1 ZINC001161471937 802160292 /nfs/dbraw/zinc/16/02/92/802160292.db2.gz TXTMRIDNSNPCAL-AAEUAGOBSA-N 0 1 285.338 0.669 20 30 CCEDMN N#Cc1cnc(NCc2nnc3n2CCCNC3)nc1 ZINC001163011527 802456735 /nfs/dbraw/zinc/45/67/35/802456735.db2.gz RXUIRWPWFYCBMV-UHFFFAOYSA-N 0 1 270.300 0.045 20 30 CCEDMN C=CCS(=O)(=O)Nc1ncnc2c1ncn2C ZINC001259922722 808804615 /nfs/dbraw/zinc/80/46/15/808804615.db2.gz GIHRNLCPMUAECY-UHFFFAOYSA-N 0 1 253.287 0.291 20 30 CCEDMN Cc1nnc(CN)n1-c1nc(C#N)ccc1[N+](=O)[O-] ZINC001163348473 802542333 /nfs/dbraw/zinc/54/23/33/802542333.db2.gz CWWGILANRGEKIL-UHFFFAOYSA-N 0 1 259.229 0.209 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cn1ccnc1 ZINC001264382306 809648125 /nfs/dbraw/zinc/64/81/25/809648125.db2.gz IQDVVAMTPIBINH-ZDUSSCGKSA-N 0 1 260.341 0.439 20 30 CCEDMN CN(CCCNC(=O)/C=C/C(C)(C)C)CC(=O)NCC#N ZINC001264971267 809682217 /nfs/dbraw/zinc/68/22/17/809682217.db2.gz NWWDCAUKQVDEEU-VOTSOKGWSA-N 0 1 294.399 0.667 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](Nc2c[nH]c3c(C#N)cnc-3c2)CN1 ZINC001164308446 802716744 /nfs/dbraw/zinc/71/67/44/802716744.db2.gz KRQHPABPUUKWCM-JQWIXIFHSA-N 0 1 285.307 0.750 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCCN[C@H](C)c1nnnn1C ZINC001164323422 802723249 /nfs/dbraw/zinc/72/32/49/802723249.db2.gz HIKDTPKGIXWZJW-VXGBXAGGSA-N 0 1 294.403 0.969 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCCN[C@H](C)c1nnnn1C ZINC001165930537 802875836 /nfs/dbraw/zinc/87/58/36/802875836.db2.gz ZHTPFPNSTGGPRG-BXUZGUMPSA-N 0 1 294.403 0.969 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cnns1 ZINC001268232692 812392343 /nfs/dbraw/zinc/39/23/43/812392343.db2.gz LJXYGSXOYUHOJQ-UHFFFAOYSA-N 0 1 252.343 0.565 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@H](C)COC ZINC001268301220 812460290 /nfs/dbraw/zinc/46/02/90/812460290.db2.gz RZLCJWLMMDGMEA-SCZZXKLOSA-N 0 1 264.753 0.088 20 30 CCEDMN CC(C)(C)OC(=O)N1CCN(Cc2c[nH]nn2)C[C@H]1C#N ZINC001203206671 803022183 /nfs/dbraw/zinc/02/21/83/803022183.db2.gz VTVPXHZBWFNQKM-LLVKDONJSA-N 0 1 292.343 0.750 20 30 CCEDMN C=CCOCCN1CC([C@H](C)NC(=O)c2nonc2C)C1 ZINC001276469343 803085856 /nfs/dbraw/zinc/08/58/56/803085856.db2.gz VIXURBVCZVRAFJ-JTQLQIEISA-N 0 1 294.355 0.631 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COCCC)C1 ZINC001206435070 803243910 /nfs/dbraw/zinc/24/39/10/803243910.db2.gz HJYIUWVVLSYYSX-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CN(CC#CC)C[C@H]1C ZINC001206955032 803318812 /nfs/dbraw/zinc/31/88/12/803318812.db2.gz HCZJLNWWGIGHCZ-MGPQQGTHSA-N 0 1 262.353 0.485 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCn2ccccc2=O)C1 ZINC001207344190 803387627 /nfs/dbraw/zinc/38/76/27/803387627.db2.gz VXQHWLVZWZFZAQ-ZIAGYGMSSA-N 0 1 287.363 0.308 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCCCNC(N)=O)C1 ZINC001207893747 803463881 /nfs/dbraw/zinc/46/38/81/803463881.db2.gz TWSSROLZIQATBS-CHWSQXEVSA-N 0 1 294.399 0.285 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC001208758954 803543958 /nfs/dbraw/zinc/54/39/58/803543958.db2.gz PDRUZCGJTKTLOB-ZDUSSCGKSA-N 0 1 290.371 0.622 20 30 CCEDMN Cn1cncc1CN1C[C@@H]2[C@@H](CNC(=O)C#CC3CC3)[C@@H]2C1 ZINC001276670034 803624412 /nfs/dbraw/zinc/62/44/12/803624412.db2.gz MARMFRGEVHEIAU-PHZGNYQRSA-N 0 1 298.390 0.628 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H](C)COC)C1 ZINC001209897081 803634014 /nfs/dbraw/zinc/63/40/14/803634014.db2.gz RYGGEWYDQKBEPQ-YNEHKIRRSA-N 0 1 252.358 0.729 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1OC ZINC001213310552 803690348 /nfs/dbraw/zinc/69/03/48/803690348.db2.gz RGYRNVFMBGBMPE-BFHYXJOUSA-N 0 1 282.384 0.376 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CNC(=O)NC)C[C@H]1C ZINC001214784412 803760135 /nfs/dbraw/zinc/76/01/35/803760135.db2.gz CLMIGPVDTVKCMD-PSASIEDQSA-N 0 1 288.779 0.104 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H](c3nn[nH]n3)C2)cc1 ZINC000720620922 803771060 /nfs/dbraw/zinc/77/10/60/803771060.db2.gz KWVMOZWAWTWVER-NSHDSACASA-N 0 1 268.280 0.701 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)OCCC=C)[C@H](OC)C1 ZINC001211994509 814969757 /nfs/dbraw/zinc/96/97/57/814969757.db2.gz BEDYITRFSIDRLW-RRFJBIMHSA-N 0 1 294.395 0.806 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2CC(OCC)C2)[C@@H](O)C1 ZINC001083442249 814974218 /nfs/dbraw/zinc/97/42/18/814974218.db2.gz CSMKADOCBQYCCK-PQAZSJQKSA-N 0 1 282.384 0.539 20 30 CCEDMN C=CCCC(=O)N1CCO[C@@H]2CN(CCOCC)C[C@@H]21 ZINC001217493049 803893365 /nfs/dbraw/zinc/89/33/65/803893365.db2.gz FCAQYBPUEBWDDU-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C[C@@](C)(O)C=C)[C@H]2C1 ZINC001217763316 803912110 /nfs/dbraw/zinc/91/21/10/803912110.db2.gz INJXRPGYPIGRJY-LZWOXQAQSA-N 0 1 292.379 0.248 20 30 CCEDMN C[C@@H](O)CN1C[C@H]2OCCN(C(=O)C#CC(C)(C)C)[C@H]2C1 ZINC001217844260 803919949 /nfs/dbraw/zinc/91/99/49/803919949.db2.gz TZEZGVUZPMQPLJ-HZSPNIEDSA-N 0 1 294.395 0.328 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCO[C@@H]2CN(C(C)C)C[C@@H]21 ZINC001217875369 803922327 /nfs/dbraw/zinc/92/23/27/803922327.db2.gz HUIQDIJXZKODIU-MELADBBJSA-N 0 1 280.368 0.345 20 30 CCEDMN C#Cc1cc(NC(=O)[C@H](N)Cc2cccc(O)c2)ccn1 ZINC001218525407 803985347 /nfs/dbraw/zinc/98/53/47/803985347.db2.gz VRZODJYJWUKNTH-OAHLLOKOSA-N 0 1 281.315 0.699 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1ccnnc1 ZINC001218568286 804013767 /nfs/dbraw/zinc/01/37/67/804013767.db2.gz GKJSPMINNCZUNL-ZDUSSCGKSA-N 0 1 267.292 0.857 20 30 CCEDMN Cn1cc(NC(=O)[C@H]2Cc3ccccc3CN2)c(C#N)n1 ZINC001218667173 804072083 /nfs/dbraw/zinc/07/20/83/804072083.db2.gz AJBMDWQGAFQKLT-GFCCVEGCSA-N 0 1 281.319 0.945 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CCn1cccn1 ZINC001276792237 804075513 /nfs/dbraw/zinc/07/55/13/804075513.db2.gz FQVJKCKPVWQZSN-ZDUSSCGKSA-N 0 1 260.341 0.487 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1c[nH]ccc1=O ZINC001218795852 804124627 /nfs/dbraw/zinc/12/46/27/804124627.db2.gz ODGVLBBJZIGMDQ-LBPRGKRZSA-N 0 1 282.303 0.755 20 30 CCEDMN COc1cccc(NC(=O)C[C@@H]2COCCN2)c1C#N ZINC001218977875 804170635 /nfs/dbraw/zinc/17/06/35/804170635.db2.gz IRXRRWUITGFAQS-SNVBAGLBSA-N 0 1 275.308 0.884 20 30 CCEDMN N#Cc1ccc(NC(=O)[C@@]23CC[C@@H](N2)[C@H]([NH3+])C3)c([O-])c1 ZINC001218989380 804176434 /nfs/dbraw/zinc/17/64/34/804176434.db2.gz VUTUPGYFGPUMSX-RULNRJAQSA-N 0 1 272.308 0.424 20 30 CCEDMN C[S@@](=O)CC[C@H]([NH3+])C(=O)Nc1ccc(C#N)cc1[O-] ZINC001218993418 804178459 /nfs/dbraw/zinc/17/84/59/804178459.db2.gz YWQLZERDGYIBIV-ZRNGKTOUSA-N 0 1 281.337 0.298 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)CCCC(C)=O)[C@@H](O)C1 ZINC001219185720 804228942 /nfs/dbraw/zinc/22/89/42/804228942.db2.gz CTDFUEAYZCWGDO-OLZOCXBDSA-N 0 1 268.357 0.483 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCC2CC2)[C@@H](O)C1 ZINC001219399038 804298601 /nfs/dbraw/zinc/29/86/01/804298601.db2.gz HFORUBOFJWGWMS-OLZOCXBDSA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCCOC(C)C)C[C@@H]1O ZINC001219542044 804343512 /nfs/dbraw/zinc/34/35/12/804343512.db2.gz WUUJTZOQZSFGKB-CABCVRRESA-N 0 1 296.411 0.766 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(CCC#C)C[C@@H]1O ZINC001220147061 804514766 /nfs/dbraw/zinc/51/47/66/804514766.db2.gz ZMHWRKUMDXMUED-CABCVRRESA-N 0 1 276.380 0.755 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H]2CCC2(C)C)[C@@H](O)C1 ZINC001220178416 804527756 /nfs/dbraw/zinc/52/77/56/804527756.db2.gz JZFPDZBKRMBFFN-MCIONIFRSA-N 0 1 296.411 0.787 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](C=C)CC)[C@@H](O)C1 ZINC001220206022 804537481 /nfs/dbraw/zinc/53/74/81/804537481.db2.gz VHGJNKKGNFMFGW-MCIONIFRSA-N 0 1 264.369 0.773 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2(C)CC=CC2)[C@@H](O)C1 ZINC001220275438 804558591 /nfs/dbraw/zinc/55/85/91/804558591.db2.gz XZWCGKWQNDYKJQ-KGLIPLIRSA-N 0 1 294.395 0.707 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CC)C[C@@H]2O)CCC1 ZINC001220295308 804565392 /nfs/dbraw/zinc/56/53/92/804565392.db2.gz MBGJMTQNVVTMNH-NEPJUHHUSA-N 0 1 252.358 0.914 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2cncs2)[C@@H](O)C1 ZINC001220371678 804584586 /nfs/dbraw/zinc/58/45/86/804584586.db2.gz PGQOIEGPYQTLBB-OLZOCXBDSA-N 0 1 295.408 0.813 20 30 CCEDMN C#Cc1ccc(CNC(=O)[C@@H](N)COC(C)=O)cc1 ZINC001220380574 804589663 /nfs/dbraw/zinc/58/96/63/804589663.db2.gz ABLBXSPTZVVUPB-ZDUSSCGKSA-N 0 1 260.293 0.175 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H]1CCO[C@@H]1C ZINC001272138826 815027410 /nfs/dbraw/zinc/02/74/10/815027410.db2.gz ZRLSYLYNVCNHKD-ZIAGYGMSSA-N 0 1 282.384 0.451 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H]1CCO[C@@H]1C ZINC001272138824 815027497 /nfs/dbraw/zinc/02/74/97/815027497.db2.gz ZRLSYLYNVCNHKD-KGLIPLIRSA-N 0 1 282.384 0.451 20 30 CCEDMN CC(C)C[C@H](C#N)NC(=O)[C@H](CC(C)C)NC(=O)CN ZINC001220702905 804651935 /nfs/dbraw/zinc/65/19/35/804651935.db2.gz XQCLXAUYJDVKAE-NEPJUHHUSA-N 0 1 282.388 0.530 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N[C@@H]1CCOC[C@@H]1F ZINC001221243191 804755620 /nfs/dbraw/zinc/75/56/20/804755620.db2.gz GIFDDWCBMOATMV-MELADBBJSA-N 0 1 291.326 0.671 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)[C@H](O)C(C)C)[C@@H]2C1 ZINC001221520277 804828767 /nfs/dbraw/zinc/82/87/67/804828767.db2.gz ZQJNCJUOGAXOHA-RBSFLKMASA-N 0 1 296.411 0.739 20 30 CCEDMN COCC#CCN1CC[C@@H]2CCN(C(=O)CSC)C[C@@H]21 ZINC001222214821 804882328 /nfs/dbraw/zinc/88/23/28/804882328.db2.gz PQPSFTQXYQBYCR-KGLIPLIRSA-N 0 1 296.436 0.922 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnn3ccncc23)[C@H]1C ZINC001088625125 815041929 /nfs/dbraw/zinc/04/19/29/815041929.db2.gz SRUNZALEBKCUPO-OCCSQVGLSA-N 0 1 297.362 0.945 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)[C@@H](C)OC)CC1 ZINC001222501021 804908358 /nfs/dbraw/zinc/90/83/58/804908358.db2.gz PMQRDWJAIGGLKL-GFCCVEGCSA-N 0 1 297.399 0.152 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)[C@H](C)OC)CC1 ZINC001222505560 804910094 /nfs/dbraw/zinc/91/00/94/804910094.db2.gz DYCLVDDOJLWYNQ-ZDUSSCGKSA-N 0 1 282.384 0.499 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CCN(Cc2ccnn2C)CC1 ZINC001222637042 804922494 /nfs/dbraw/zinc/92/24/94/804922494.db2.gz XIINRVUVCDETIL-LBPRGKRZSA-N 0 1 289.383 0.908 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](C)OCCOC)C[C@@H]21 ZINC001223605064 804981319 /nfs/dbraw/zinc/98/13/19/804981319.db2.gz ADXYZTLRDUQFSP-KFWWJZLASA-N 0 1 294.395 0.594 20 30 CCEDMN C#Cc1ccccc1CC(=O)NC[C@@H]1CCN1CCO ZINC001276964903 805027203 /nfs/dbraw/zinc/02/72/03/805027203.db2.gz ZDGDCTXHUPKOJB-HNNXBMFYSA-N 0 1 272.348 0.393 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C(C)=C/C)[C@H](OC)C1 ZINC001212104742 815048909 /nfs/dbraw/zinc/04/89/09/815048909.db2.gz WFPKBHRVHMTAAP-WXYBXBMJSA-N 0 1 250.342 0.791 20 30 CCEDMN C#CCCC[N@H+]1CC[C@@H]2C[C@@]21C(=O)NCc1cnc[nH]1 ZINC001276978707 805047380 /nfs/dbraw/zinc/04/73/80/805047380.db2.gz QGGYDXDIBJOEQQ-DOMZBBRYSA-N 0 1 272.352 0.904 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1OCCN1C(=O)CCC1=O ZINC001224556112 805053741 /nfs/dbraw/zinc/05/37/41/805053741.db2.gz FBKIQKXREOOXQK-SSDOTTSWSA-N 0 1 253.254 0.371 20 30 CCEDMN N#CC1(NC(=O)C23CC(NCc4ncc[nH]4)(C2)C3)CCC1 ZINC001277003392 805084604 /nfs/dbraw/zinc/08/46/04/805084604.db2.gz KQEDMKGCPXURCS-UHFFFAOYSA-N 0 1 285.351 0.984 20 30 CCEDMN N#CCNC[C@H]1C[C@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001277008074 805094820 /nfs/dbraw/zinc/09/48/20/805094820.db2.gz MMDWQTVWZDNGQV-MGCOHNPYSA-N 0 1 273.340 0.520 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)[C@H]1C ZINC001088657537 815050763 /nfs/dbraw/zinc/05/07/63/815050763.db2.gz JGHAUWORQYCEGR-XUJVJEKNSA-N 0 1 279.384 0.668 20 30 CCEDMN C[C@H](NC1(CNC(=O)C#CC2CC2)CC1)C(=O)NC1CC1 ZINC001277054739 805140336 /nfs/dbraw/zinc/14/03/36/805140336.db2.gz IOWOHLULQGBRRU-NSHDSACASA-N 0 1 289.379 0.305 20 30 CCEDMN C=CCCC(=O)NC1CCN([C@H](CC)C(N)=O)CC1 ZINC001226518351 805240335 /nfs/dbraw/zinc/24/03/35/805240335.db2.gz GWSVZDTZCLNPSU-GFCCVEGCSA-N 0 1 267.373 0.797 20 30 CCEDMN C=CCCC(=O)NC1CCN([C@@H]2CCCNC2=O)CC1 ZINC001226527276 805242021 /nfs/dbraw/zinc/24/20/21/805242021.db2.gz GPFPGROULMLMKP-CYBMUJFWSA-N 0 1 279.384 0.812 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C2CC3(CC3)C2)CC1 ZINC001277170882 805302230 /nfs/dbraw/zinc/30/22/30/805302230.db2.gz QZHWBCRLZCOWCQ-UHFFFAOYSA-N 0 1 289.379 0.164 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1CCN(CC#CC)CC1 ZINC001227076366 805320172 /nfs/dbraw/zinc/32/01/72/805320172.db2.gz KBECDLLQZKQZCP-OAHLLOKOSA-N 0 1 264.369 0.917 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)[C@H]1CCCN1C ZINC001075941180 815067948 /nfs/dbraw/zinc/06/79/48/815067948.db2.gz NHXJKKHMRFFCAQ-UONOGXRCSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C(C)C(=O)N1CCC(O)=C(C(=O)OCC)CC1 ZINC001142512277 815069841 /nfs/dbraw/zinc/06/98/41/815069841.db2.gz QTNDAEHBCASWIO-JTQLQIEISA-N 0 1 253.298 0.933 20 30 CCEDMN COCC#CCN1CCC(NC(=O)Cc2c[nH]cn2)CC1 ZINC001229355976 805525551 /nfs/dbraw/zinc/52/55/51/805525551.db2.gz RYPOBMZMKXWJRM-UHFFFAOYSA-N 0 1 290.367 0.183 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1nc(C)nc2[nH]cnc21 ZINC001229438312 805534133 /nfs/dbraw/zinc/53/41/33/805534133.db2.gz HRQRPPWTWYAKIX-VIFPVBQESA-N 0 1 274.280 0.995 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@]2(C)CCOC2)CC1 ZINC001229733575 805554474 /nfs/dbraw/zinc/55/44/74/805554474.db2.gz XXSKIYQLKDMQPQ-MRXNPFEDSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCCn2cncn2)CC1 ZINC001229915717 805565900 /nfs/dbraw/zinc/56/59/00/805565900.db2.gz BJRFRMVDMCMOKL-UHFFFAOYSA-N 0 1 289.383 0.662 20 30 CCEDMN N#Cc1ccc(-c2noc(C3=NOC4(CNC4)C3)n2)nc1 ZINC001247880951 807497829 /nfs/dbraw/zinc/49/78/29/807497829.db2.gz UVZCZYCWNVODCR-UHFFFAOYSA-N 0 1 282.263 0.470 20 30 CCEDMN C=C1CN(C(=O)N[C@@H](C)[C@H]2CN(C)CCN2C)C1 ZINC001251640186 807692151 /nfs/dbraw/zinc/69/21/51/807692151.db2.gz NEOLOVXDPXUNSY-NWDGAFQWSA-N 0 1 252.362 0.202 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC(O)(c2ccccc2)C1 ZINC001251707801 807695864 /nfs/dbraw/zinc/69/58/64/807695864.db2.gz GPXRCZWDMXRRFX-UHFFFAOYSA-N 0 1 287.363 0.464 20 30 CCEDMN CO[C@@H](CNC(=O)NCC#CCN(C)C)C(C)(C)C ZINC001251708202 807696464 /nfs/dbraw/zinc/69/64/64/807696464.db2.gz NKDPXRBIZJRNLY-LBPRGKRZSA-N 0 1 269.389 0.912 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@@H]2[C@H](C1)C2(F)F ZINC001251708122 807696794 /nfs/dbraw/zinc/69/67/94/807696794.db2.gz KWLQICLQEMAAON-MNOVXSKESA-N 0 1 271.311 0.848 20 30 CCEDMN COc1ncccc1CNCCNC(=O)C#CC(C)C ZINC001126828172 815141687 /nfs/dbraw/zinc/14/16/87/815141687.db2.gz LOWHYSQCPDXABK-UHFFFAOYSA-N 0 1 275.352 0.955 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(c2ccc(C#N)cc2)CC1 ZINC001251847721 807718602 /nfs/dbraw/zinc/71/86/02/807718602.db2.gz LJIBSYFRYFGUMN-QGZVFWFLSA-N 0 1 299.374 0.691 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@H]1CCc2ccccc2C1=O ZINC001251904296 807743210 /nfs/dbraw/zinc/74/32/10/807743210.db2.gz XAJPPHOKLAXJRT-ZFWWWQNUSA-N 0 1 273.332 0.784 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)[C@H]1C ZINC001088772758 815150847 /nfs/dbraw/zinc/15/08/47/815150847.db2.gz JPPQHCLWCALYQD-RDBSUJKOSA-N 0 1 291.395 0.505 20 30 CCEDMN CC(C)S(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001252445555 807862150 /nfs/dbraw/zinc/86/21/50/807862150.db2.gz CHGYMGNDMGYYCM-SECBINFHSA-N 0 1 261.368 0.999 20 30 CCEDMN C=CCOC[C@@H](O)CNc1cc(C(=O)OCC)n[nH]1 ZINC001252454939 807870435 /nfs/dbraw/zinc/87/04/35/807870435.db2.gz WPLOXHLFKNWBTB-VIFPVBQESA-N 0 1 269.301 0.562 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCN(CCC#N)CC1 ZINC001252467151 807877642 /nfs/dbraw/zinc/87/76/42/807877642.db2.gz WPHFXFMDMLJWSY-ZDUSSCGKSA-N 0 1 253.346 0.081 20 30 CCEDMN C=CCOC[C@@H](O)CNCC(=O)N1CCc2ccccc21 ZINC001252482255 807887186 /nfs/dbraw/zinc/88/71/86/807887186.db2.gz JVLGNOYPBNLKBP-AWEZNQCLSA-N 0 1 290.363 0.729 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cccnc1OC ZINC001252483038 807888370 /nfs/dbraw/zinc/88/83/70/807888370.db2.gz QPCMUNJZCFDARR-GFCCVEGCSA-N 0 1 252.314 0.743 20 30 CCEDMN C=CC[N@@H+]1CCC[C@](C)(CNC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001278668574 807888921 /nfs/dbraw/zinc/88/89/21/807888921.db2.gz PDHTUMKGUQYDFH-CZUORRHYSA-N 0 1 293.411 0.917 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2C)[C@H]1C ZINC001088801080 815169126 /nfs/dbraw/zinc/16/91/26/815169126.db2.gz IGWNTFZBYGVASD-AGIUHOORSA-N 0 1 277.368 0.210 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2occc2C)C1 ZINC001278758964 808170116 /nfs/dbraw/zinc/17/01/16/808170116.db2.gz BOMUDKKEYQOTKC-AWEZNQCLSA-N 0 1 262.309 0.388 20 30 CCEDMN N#Cc1cccc(OCCN[C@H]2CCS(=O)(=O)C2)c1 ZINC001254321899 808206906 /nfs/dbraw/zinc/20/69/06/808206906.db2.gz MUTBDQRTYAMTBO-LBPRGKRZSA-N 0 1 280.349 0.714 20 30 CCEDMN O=C(C#CC1CC1)NC[C@]1(O)CCN(Cc2ccccn2)C1 ZINC001278776762 808208659 /nfs/dbraw/zinc/20/86/59/808208659.db2.gz NEMPLODSVMUGOA-QGZVFWFLSA-N 0 1 299.374 0.548 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2c(C)coc2C)C1 ZINC001278777228 808215758 /nfs/dbraw/zinc/21/57/58/808215758.db2.gz VVTWYYMTQWPFRK-OAHLLOKOSA-N 0 1 276.336 0.696 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC001254557336 808259774 /nfs/dbraw/zinc/25/97/74/808259774.db2.gz QFTBEEFMCHPLBI-KBPBESRZSA-N 0 1 296.415 0.219 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H](C)CCCOC)C1 ZINC001278816992 808282157 /nfs/dbraw/zinc/28/21/57/808282157.db2.gz ZSELQHUYUGOURC-HIFRSBDPSA-N 0 1 282.384 0.235 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C(C)(C)C(F)(F)F)C1 ZINC001278822821 808294635 /nfs/dbraw/zinc/29/46/35/808294635.db2.gz VCWWGKBKSIOUPP-GFCCVEGCSA-N 0 1 292.301 0.761 20 30 CCEDMN CC[C@@H](CC#N)NC1(C(=O)OC)CCN(C)CC1 ZINC001255156179 808324541 /nfs/dbraw/zinc/32/45/41/808324541.db2.gz FWRHKUNTRLQIBT-NSHDSACASA-N 0 1 253.346 0.906 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H](OCC)C1CC1 ZINC001278855546 808337620 /nfs/dbraw/zinc/33/76/20/808337620.db2.gz RQBJZRSYNUKZJV-ZIAGYGMSSA-N 0 1 282.384 0.186 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@H]1CCCOCC1 ZINC001278855494 808339109 /nfs/dbraw/zinc/33/91/09/808339109.db2.gz QVUASZHZHHYYEN-GJZGRUSLSA-N 0 1 296.411 0.578 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C(C)(C)C(F)F ZINC001278874556 808411928 /nfs/dbraw/zinc/41/19/28/808411928.db2.gz ZUJYBNGKQUXLIS-SNVBAGLBSA-N 0 1 276.327 0.662 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cnoc1CC ZINC001278878002 808418448 /nfs/dbraw/zinc/41/84/48/808418448.db2.gz GFRVBEMDFJAHCE-LLVKDONJSA-N 0 1 279.340 0.235 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccn(CC)n1 ZINC001278878454 808418868 /nfs/dbraw/zinc/41/88/68/808418868.db2.gz OSXQTRLZEXJIEL-CYBMUJFWSA-N 0 1 292.383 0.291 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H]1CC12CCC2 ZINC001278878765 808419725 /nfs/dbraw/zinc/41/97/25/808419725.db2.gz UCJCWDUXEGGTAT-CHWSQXEVSA-N 0 1 264.369 0.561 20 30 CCEDMN C=CCCN1CC(O)(CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001278884201 808426568 /nfs/dbraw/zinc/42/65/68/808426568.db2.gz ZTABRMAVJNVJHD-ZDUSSCGKSA-N 0 1 281.400 0.210 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@H]2CC3CCN2CC3)CC1 ZINC001142628357 815207732 /nfs/dbraw/zinc/20/77/32/815207732.db2.gz CDXLDTRVNXBBBW-CQSZACIVSA-N 0 1 276.384 0.529 20 30 CCEDMN C[C@H](CC(=O)N1CCOCC1)N1CC[C@](C)(C#N)C1 ZINC001256349707 808513336 /nfs/dbraw/zinc/51/33/36/808513336.db2.gz OOUGDAYXVAOVRV-TZMCWYRMSA-N 0 1 265.357 0.859 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCOC2(CCOCC2)C1 ZINC001256585584 808537007 /nfs/dbraw/zinc/53/70/07/808537007.db2.gz SXTZPWQZYHOUEQ-UHFFFAOYSA-N 0 1 295.383 0.142 20 30 CCEDMN CC[C@@H]1CN(C(=O)NCC#CCN(C)C)C[C@@H](C)O1 ZINC001256584665 808538128 /nfs/dbraw/zinc/53/81/28/808538128.db2.gz IGVLVLBIGNTNEZ-CHWSQXEVSA-N 0 1 267.373 0.760 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)C1CCN([C@@H](C)C(N)=O)CC1 ZINC001279072232 808652639 /nfs/dbraw/zinc/65/26/39/808652639.db2.gz SELCENPMDSVVPT-OLZOCXBDSA-N 0 1 293.411 0.880 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C1CCN([C@H](C)C(N)=O)CC1 ZINC001279079139 808660220 /nfs/dbraw/zinc/66/02/20/808660220.db2.gz FSQIRTVBDDGPLA-CHWSQXEVSA-N 0 1 293.411 0.736 20 30 CCEDMN Cn1cnc(S(=O)(=O)N2CCC3SC(=O)C=C3C2)c1 ZINC001258994561 808710807 /nfs/dbraw/zinc/71/08/07/808710807.db2.gz AFCMEMAMAYHMKE-VIFPVBQESA-N 0 1 299.377 0.383 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)[C@@H]2C1 ZINC001076061198 815238662 /nfs/dbraw/zinc/23/86/62/815238662.db2.gz MYRQJVFNDZOXIH-FYFPFLJLSA-N 0 1 286.375 0.576 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001261280272 808945525 /nfs/dbraw/zinc/94/55/25/808945525.db2.gz LNTUAEWNLUJSBD-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C#CCN(CC1CC1)[C@H](C)C(=O)N1CCN(C(C)=O)CC1 ZINC001261360339 808960379 /nfs/dbraw/zinc/96/03/79/808960379.db2.gz ILVKABGXVVZGNO-CYBMUJFWSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCCCC(=O)NCC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001076212604 815259187 /nfs/dbraw/zinc/25/91/87/815259187.db2.gz OKCYUWVSRLCEPE-NSHDSACASA-N 0 1 291.355 0.623 20 30 CCEDMN C=CC[C@H](OC(=O)Cc1cc(C)[nH]n1)C(=O)OC ZINC001262059457 809177048 /nfs/dbraw/zinc/17/70/48/809177048.db2.gz ZKUWTJGNQGTYFX-JTQLQIEISA-N 0 1 252.270 0.922 20 30 CCEDMN COC(=O)c1cccc(CN[C@@H]2CCCN(O)C2=O)c1 ZINC001262111437 809193741 /nfs/dbraw/zinc/19/37/41/809193741.db2.gz GPZALFGOGFXAMU-GFCCVEGCSA-N 0 1 278.308 0.943 20 30 CCEDMN C=CCOC[C@@H](NC(=O)C[C@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC001262177313 809222841 /nfs/dbraw/zinc/22/28/41/809222841.db2.gz QRCGDVCVWQJZLG-GHMZBOCLSA-N 0 1 270.329 0.100 20 30 CCEDMN C=CCn1c(C2=NO[C@H](CO)C2)nnc1N(C)CCOC ZINC001262964146 809424247 /nfs/dbraw/zinc/42/42/47/809424247.db2.gz JEALMBBZNZGQJB-JTQLQIEISA-N 0 1 295.343 0.032 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCN(c2ccc(F)cc2F)C1 ZINC001263099179 809442477 /nfs/dbraw/zinc/44/24/77/809442477.db2.gz AJPGGUATWQJNSP-GFCCVEGCSA-N 0 1 293.317 0.883 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(Cl)ncc1F ZINC001263105388 809446156 /nfs/dbraw/zinc/44/61/56/809446156.db2.gz MUBQWOHSTBAOIZ-IMTBSYHQSA-N 0 1 268.679 0.715 20 30 CCEDMN N#CC1(NS(=O)(=O)c2ncc[nH]2)CCCCC1 ZINC000383807703 809571414 /nfs/dbraw/zinc/57/14/14/809571414.db2.gz UTXWJIUZZFDDHT-UHFFFAOYSA-N 0 1 254.315 0.914 20 30 CCEDMN C=CCC1(O)CCN(C(=O)[C@H]2CN3CCN2C[C@@H]3C)CC1 ZINC001263925758 809607620 /nfs/dbraw/zinc/60/76/20/809607620.db2.gz RYRLKGKFLUMROG-UONOGXRCSA-N 0 1 293.411 0.304 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H](F)CC)C1 ZINC001264078750 809628226 /nfs/dbraw/zinc/62/82/26/809628226.db2.gz QLZLNSCYMOZYLR-OLZOCXBDSA-N 0 1 270.348 0.653 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@H](F)CC)C1 ZINC001264078751 809628752 /nfs/dbraw/zinc/62/87/52/809628752.db2.gz QLZLNSCYMOZYLR-QWHCGFSZSA-N 0 1 270.348 0.653 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCc2nc(C)no2)[C@H]1C ZINC001264173062 809636203 /nfs/dbraw/zinc/63/62/03/809636203.db2.gz XEWAGVJDWVTDMP-YPMHNXCESA-N 0 1 290.367 0.913 20 30 CCEDMN CC#CCNCc1cc(=O)[nH]c(CNC(=O)C2CCC2)n1 ZINC001264580372 809664426 /nfs/dbraw/zinc/66/44/26/809664426.db2.gz VDWKEQORCQXAIF-UHFFFAOYSA-N 0 1 288.351 0.711 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)[C@H](C)SC)n1 ZINC001264580251 809664557 /nfs/dbraw/zinc/66/45/57/809664557.db2.gz QVHOAANEGCBYIW-VIFPVBQESA-N 0 1 294.380 0.273 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CCCN(CCO)C1 ZINC001265222969 809727922 /nfs/dbraw/zinc/72/79/22/809727922.db2.gz OGYBRUBDCHATHF-CYBMUJFWSA-N 0 1 252.358 0.753 20 30 CCEDMN C=CCN1CCN(CCNC(=O)CC(F)(F)F)CC1 ZINC001265255984 809732219 /nfs/dbraw/zinc/73/22/19/809732219.db2.gz IXLRBSBOCFUKHZ-UHFFFAOYSA-N 0 1 279.306 0.859 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@H]2CCCO2)C1 ZINC001265267729 809736169 /nfs/dbraw/zinc/73/61/69/809736169.db2.gz AVXWOAFMZFXIPB-CHWSQXEVSA-N 0 1 268.357 0.559 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)[C@@H](C)OCC)C1 ZINC001265270851 809738142 /nfs/dbraw/zinc/73/81/42/809738142.db2.gz NBPGDCKCUMKRLI-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCN3CCCC3=O)[C@@H]2C1 ZINC001076583507 815314083 /nfs/dbraw/zinc/31/40/83/815314083.db2.gz UPALAOBUTBYWEV-UONOGXRCSA-N 0 1 289.379 0.165 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H](CC(C)C)NC(C)=O)C1 ZINC001265312495 809755976 /nfs/dbraw/zinc/75/59/76/809755976.db2.gz OZWIMXNKPGIUCS-KGLIPLIRSA-N 0 1 281.400 0.914 20 30 CCEDMN CC#CC[NH2+][C@@H](CNC(=O)c1[n-]nnc1C)C1CC1 ZINC001265366277 809766112 /nfs/dbraw/zinc/76/61/12/809766112.db2.gz KVRFGJBBIVJAKU-NSHDSACASA-N 0 1 261.329 0.234 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CCNC1=O)C1CC1 ZINC001265366757 809766137 /nfs/dbraw/zinc/76/61/37/809766137.db2.gz TVWMUBVHDSUKPW-WDEREUQCSA-N 0 1 285.775 0.359 20 30 CCEDMN Cc1ncc(CN[C@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001265419382 809774812 /nfs/dbraw/zinc/77/48/12/809774812.db2.gz GVBORRQHTQDCSR-NSHDSACASA-N 0 1 294.380 0.930 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1C[C@@H](NCc2cnc(C)o2)C1 ZINC001265538477 809800546 /nfs/dbraw/zinc/80/05/46/809800546.db2.gz BDIMLTZVCHGCQM-BETUJISGSA-N 0 1 291.351 0.760 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCC[C@@H](CNCC#N)C1 ZINC001265620048 809814918 /nfs/dbraw/zinc/81/49/18/809814918.db2.gz YTNRDASSNXPVRV-KBPBESRZSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H](C)NCc1ccccn1 ZINC001265774595 809849575 /nfs/dbraw/zinc/84/95/75/809849575.db2.gz HFSSBRYWSWJCMM-OLZOCXBDSA-N 0 1 275.352 0.714 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H](C)NCc1ccc(F)cn1 ZINC001265775146 809850081 /nfs/dbraw/zinc/85/00/81/809850081.db2.gz NXJAUTRTMBJPOK-VXGBXAGGSA-N 0 1 293.342 0.853 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H](C)NCc1cc(C)ncn1 ZINC001265775441 809850578 /nfs/dbraw/zinc/85/05/78/809850578.db2.gz QRNGEDMVSLOHBK-CYBMUJFWSA-N 0 1 290.367 0.419 20 30 CCEDMN CCN(CC#N)CCCNC(=O)CCc1cnc[nH]1 ZINC001266049069 809926239 /nfs/dbraw/zinc/92/62/39/809926239.db2.gz GVNGBVYUDXSUBQ-UHFFFAOYSA-N 0 1 263.345 0.694 20 30 CCEDMN CNC(=O)CN1C[C@@H]2CN(C(=O)C#CC(C)(C)C)C[C@@H]2C1 ZINC001279363047 809975820 /nfs/dbraw/zinc/97/58/20/809975820.db2.gz PBELOZLFUYLJJZ-BETUJISGSA-N 0 1 291.395 0.172 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)[C@H]2CC[C@@H](F)C2)CC1 ZINC001279438477 809978688 /nfs/dbraw/zinc/97/86/88/809978688.db2.gz HZHVUMYZMSGCLZ-QWHCGFSZSA-N 0 1 296.390 0.818 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)c2cncn2C)C1 ZINC001279499295 809984857 /nfs/dbraw/zinc/98/48/57/809984857.db2.gz HTKSSYJTKWBHQQ-HNNXBMFYSA-N 0 1 290.367 0.000 20 30 CCEDMN C=CCOCC(=O)N(C)[C@@H](C)CNC(=O)c1[nH]ncc1F ZINC001280535175 810030120 /nfs/dbraw/zinc/03/01/20/810030120.db2.gz HSDHDAZJJBJHIX-VIFPVBQESA-N 0 1 298.318 0.328 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cncc(F)c2)C1 ZINC001076870603 815402453 /nfs/dbraw/zinc/40/24/53/815402453.db2.gz BHGIYSXONFXCSR-CHWSQXEVSA-N 0 1 277.299 0.019 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(OC)no2)C1 ZINC001076908496 815410171 /nfs/dbraw/zinc/41/01/71/815410171.db2.gz IBVVGTMFHGMOFD-NXEZZACHSA-N 0 1 281.312 0.034 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CCC2(F)F)[C@@H](O)C1 ZINC001083555947 815415396 /nfs/dbraw/zinc/41/53/96/815415396.db2.gz IRKLQKNNRLDGPD-MXWKQRLJSA-N 0 1 274.311 0.769 20 30 CCEDMN Cn1cnc(C(=O)NCCNCC#Cc2ccccc2)c1 ZINC001125676059 811072334 /nfs/dbraw/zinc/07/23/34/811072334.db2.gz MPUZJBAUNVCBKB-UHFFFAOYSA-N 0 1 282.347 0.791 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)COCCOCC ZINC001267283656 811093470 /nfs/dbraw/zinc/09/34/70/811093470.db2.gz PGXXJQNFLQAXMV-CYBMUJFWSA-N 0 1 268.357 0.253 20 30 CCEDMN C[C@@H](C(=O)N(C)C)N1CC[C@H](CNC(=O)C#CC2CC2)C1 ZINC001267293371 811109354 /nfs/dbraw/zinc/10/93/54/811109354.db2.gz RJRXZVXAGXUDBR-GXTWGEPZSA-N 0 1 291.395 0.315 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)CN2CCCC2)C1 ZINC001267306920 811127867 /nfs/dbraw/zinc/12/78/67/811127867.db2.gz ZBZBTCQMIGPABR-GFCCVEGCSA-N 0 1 250.346 0.044 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@@H](C)CNc2cnc(C#N)cn2)n[nH]1 ZINC001104486840 811131125 /nfs/dbraw/zinc/13/11/25/811131125.db2.gz MJEWFQCMEZJHHQ-JTQLQIEISA-N 0 1 299.338 0.952 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(CCC)CCNC(=O)C1(C)CC1 ZINC001267313892 811140488 /nfs/dbraw/zinc/14/04/88/811140488.db2.gz AENVBVRJPHUXME-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN CC#CCN(CCNC(=O)c1c[nH]nc1C)C1CC1 ZINC001267330597 811164712 /nfs/dbraw/zinc/16/47/12/811164712.db2.gz FLKCRWCMLGBNLB-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)C1 ZINC001077029303 815434100 /nfs/dbraw/zinc/43/41/00/815434100.db2.gz FIJGFYBGIGTFLP-YIDVYQOGSA-N 0 1 288.391 0.773 20 30 CCEDMN C#CCN1CC[C@H](N(CCC)C(=O)CNC(=O)OC)C1 ZINC001267449693 811316232 /nfs/dbraw/zinc/31/62/32/811316232.db2.gz DPYROUOUIJDMIE-LBPRGKRZSA-N 0 1 281.356 0.289 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2cnn(C)n2)C1 ZINC001267487769 811369294 /nfs/dbraw/zinc/36/92/94/811369294.db2.gz GLCWMVULRGCYLV-GFCCVEGCSA-N 0 1 277.372 0.928 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001267489100 811371058 /nfs/dbraw/zinc/37/10/58/811371058.db2.gz UJCCOEQXFYVBFJ-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN(C)C[C@@H]1CCN(C(=O)c2cn(C)ccc2=O)C1 ZINC001267586692 811487152 /nfs/dbraw/zinc/48/71/52/811487152.db2.gz YDAZVKUEVDZFJV-ZDUSSCGKSA-N 0 1 287.363 0.412 20 30 CCEDMN C[C@H](CNc1ncccc1C#N)N(C)C(=O)Cc1ncn[nH]1 ZINC001104673007 811548743 /nfs/dbraw/zinc/54/87/43/811548743.db2.gz JIYZJWYYLBUMQM-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2CC(OC)C2)C1 ZINC001077191798 815458148 /nfs/dbraw/zinc/45/81/48/815458148.db2.gz LNRSGCVYSWRKAX-FIYWTHMPSA-N 0 1 268.357 0.149 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@H]1CCN(C(=O)/C(C)=C/C)C1 ZINC001267638882 811600251 /nfs/dbraw/zinc/60/02/51/811600251.db2.gz UJOSTYXSMQFJSK-YGLIYXGISA-N 0 1 291.395 0.482 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)/C(C)=C\C)C1 ZINC001267638881 811600838 /nfs/dbraw/zinc/60/08/38/811600838.db2.gz UJOSTYXSMQFJSK-XMRSSTEGSA-N 0 1 291.395 0.482 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)COC)C[C@H]1C(F)(F)F ZINC001112029026 811608858 /nfs/dbraw/zinc/60/88/58/811608858.db2.gz NCHNPUMTPWFCOY-NXEZZACHSA-N 0 1 278.274 0.635 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CNC(=O)c2ccccc2)C1 ZINC001267751706 811745752 /nfs/dbraw/zinc/74/57/52/811745752.db2.gz JJGOGIXNNSULAR-OAHLLOKOSA-N 0 1 299.374 0.630 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1C[C@H]2CCN(CCOC)C[C@H]21 ZINC001222072383 811854396 /nfs/dbraw/zinc/85/43/96/811854396.db2.gz QHCKYQWCCWFCOE-BFHYXJOUSA-N 0 1 282.384 0.757 20 30 CCEDMN C[C@H](CNCC#N)CNC(=O)c1cn[nH]c1-c1ccccn1 ZINC001267989992 811929162 /nfs/dbraw/zinc/92/91/62/811929162.db2.gz PPBPOQWJJIQWEG-LLVKDONJSA-N 0 1 298.350 0.951 20 30 CCEDMN C[C@H](CNCC#N)CNC(=O)c1ccc2[nH]nnc2c1 ZINC001268012740 811949878 /nfs/dbraw/zinc/94/98/78/811949878.db2.gz PZYBDBCGBWZILR-SECBINFHSA-N 0 1 272.312 0.437 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CNCc2ncnn2C)C1 ZINC001026993513 811951785 /nfs/dbraw/zinc/95/17/85/811951785.db2.gz FGDABAPOPHJESH-LBPRGKRZSA-N 0 1 291.399 0.965 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc3nccn32)C1 ZINC001077378067 815489007 /nfs/dbraw/zinc/48/90/07/815489007.db2.gz ODYVQFLZIOULAQ-TZMCWYRMSA-N 0 1 298.346 0.133 20 30 CCEDMN CN(CCN(C)c1ccc(C#N)nc1)C(=O)c1ccn[nH]1 ZINC001105339881 812021192 /nfs/dbraw/zinc/02/11/92/812021192.db2.gz VVIRETFKCQVKMM-UHFFFAOYSA-N 0 1 284.323 0.885 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccnc2[nH]cnc21 ZINC001027911311 812134844 /nfs/dbraw/zinc/13/48/44/812134844.db2.gz UDKYKPFODBBIHZ-LLVKDONJSA-N 0 1 283.335 0.785 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccon3)[C@@H]2C1 ZINC001075586585 812136757 /nfs/dbraw/zinc/13/67/57/812136757.db2.gz WCYQIMVHMWNWTQ-WCQYABFASA-N 0 1 259.309 0.844 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ncnc2[nH]ccc21 ZINC001027999713 812205779 /nfs/dbraw/zinc/20/57/79/812205779.db2.gz NXWAFHICQDSFHV-NSHDSACASA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c[nH]c(=O)cc1OC ZINC001028142466 812309807 /nfs/dbraw/zinc/30/98/07/812309807.db2.gz MOLWYNOGHSBWNQ-NSHDSACASA-N 0 1 289.335 0.623 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccnnc1 ZINC001028157631 812321212 /nfs/dbraw/zinc/32/12/12/812321212.db2.gz NMKOTDPNIAFEFO-CYBMUJFWSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C)[nH]c1=O ZINC001028162470 812325901 /nfs/dbraw/zinc/32/59/01/812325901.db2.gz IIAXRAPZPIDXAF-LBPRGKRZSA-N 0 1 273.336 0.923 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(C)[nH]c1=O ZINC001028162470 812325910 /nfs/dbraw/zinc/32/59/10/812325910.db2.gz IIAXRAPZPIDXAF-LBPRGKRZSA-N 0 1 273.336 0.923 20 30 CCEDMN Cc1nc(CN2CCC[C@H](NC(=O)C#CC3CC3)C2)n[nH]1 ZINC001268193952 812353353 /nfs/dbraw/zinc/35/33/53/812353353.db2.gz FNAVSQMQZBJKFV-ZDUSSCGKSA-N 0 1 287.367 0.607 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc2nncn2c1 ZINC001028199944 812357271 /nfs/dbraw/zinc/35/72/71/812357271.db2.gz JJYTUCLCXRNXAW-CYBMUJFWSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)NC(C)=O)C1 ZINC001268225537 812381552 /nfs/dbraw/zinc/38/15/52/812381552.db2.gz FYAIVDCAMHPDMB-LBPRGKRZSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCCN(C)CCN(C)C(=O)[C@H](C)S(C)(=O)=O ZINC001268239858 812405908 /nfs/dbraw/zinc/40/59/08/812405908.db2.gz GBHZXFGDWQQHNI-NSHDSACASA-N 0 1 276.402 0.386 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)COCc1nccn1C ZINC001268241461 812408350 /nfs/dbraw/zinc/40/83/50/812408350.db2.gz OLTMTKJWZKDDFE-UHFFFAOYSA-N 0 1 292.383 0.350 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1c(C)nn(C)c1C ZINC001268243484 812408611 /nfs/dbraw/zinc/40/86/11/812408611.db2.gz LROJLBYFDSJEOX-UHFFFAOYSA-N 0 1 262.357 0.674 20 30 CCEDMN C=CCCn1cc(C(=O)N(C)CCN(C)CC#CC)nn1 ZINC001268253718 812419483 /nfs/dbraw/zinc/41/94/83/812419483.db2.gz XMIXMCNUGQYDRQ-UHFFFAOYSA-N 0 1 289.383 0.881 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnc2c(cnn2C)c1 ZINC001028225220 812430961 /nfs/dbraw/zinc/43/09/61/812430961.db2.gz VSRUDWFGCWSLNP-AWEZNQCLSA-N 0 1 297.362 0.796 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](O)CNCc1nccnc1C ZINC001268306073 812469709 /nfs/dbraw/zinc/46/97/09/812469709.db2.gz LFOFGYFWPOBXAE-ZDUSSCGKSA-N 0 1 292.383 0.708 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001028255936 812514708 /nfs/dbraw/zinc/51/47/08/812514708.db2.gz SWMKIKXHRCMOPR-OCCSQVGLSA-N 0 1 286.379 0.728 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)c1ncn(C)n1 ZINC001268447826 812574497 /nfs/dbraw/zinc/57/44/97/812574497.db2.gz DXYQEODZENNZLS-UHFFFAOYSA-N 0 1 271.752 0.619 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001028290872 812588323 /nfs/dbraw/zinc/58/83/23/812588323.db2.gz PPOYAPZKSLROCD-HUUCEWRRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001028293515 812593299 /nfs/dbraw/zinc/59/32/99/812593299.db2.gz KSQKRPAAHQWVQW-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1c(C)nnn1CC ZINC001126366002 812680482 /nfs/dbraw/zinc/68/04/82/812680482.db2.gz PAMDRTJGOCJJMQ-AWEZNQCLSA-N 0 1 295.387 0.139 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC/C=C/CNCc1cnoc1C ZINC001268540967 812781983 /nfs/dbraw/zinc/78/19/83/812781983.db2.gz HAZFHWTWTIZICI-URWSZGRFSA-N 0 1 291.351 0.783 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1CC[C@H]1C(N)=O ZINC001268571710 812847148 /nfs/dbraw/zinc/84/71/48/812847148.db2.gz DXMHPHJIYVOPAR-WUNPDAOYSA-N 0 1 285.775 0.512 20 30 CCEDMN CN(CCN(C)c1cnc(C#N)cn1)C(=O)Cc1ccn[nH]1 ZINC001105373772 812918238 /nfs/dbraw/zinc/91/82/38/812918238.db2.gz OVSFWYJFPJAEPP-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2oc(C)nc2C)[C@@H](O)C1 ZINC001083384713 812926152 /nfs/dbraw/zinc/92/61/52/812926152.db2.gz OPZHMPRRJAEILO-NEPJUHHUSA-N 0 1 279.340 0.642 20 30 CCEDMN CC(C)=CCN1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@@H](O)C1 ZINC001083390077 812931120 /nfs/dbraw/zinc/93/11/20/812931120.db2.gz BCBDRZVRQSHDSI-KGLIPLIRSA-N 0 1 288.351 0.627 20 30 CCEDMN COc1cc(CNC[C@@H](C)NC(=O)C#CC2CC2)on1 ZINC001268644531 812969522 /nfs/dbraw/zinc/96/95/22/812969522.db2.gz FTIKOCKKBYGRSL-SNVBAGLBSA-N 0 1 277.324 0.691 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H](C)CNCc1cc(C)n(C)n1 ZINC001268645691 812973692 /nfs/dbraw/zinc/97/36/92/812973692.db2.gz HHWPGNNGWOYHOY-DGCLKSJQSA-N 0 1 292.383 0.361 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC001028618929 812979045 /nfs/dbraw/zinc/97/90/45/812979045.db2.gz BITFDLUKAMOVKW-LLVKDONJSA-N 0 1 274.324 0.257 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@H]1CCN(CC#N)C1 ZINC001028635710 813068569 /nfs/dbraw/zinc/06/85/69/813068569.db2.gz FCPMONSLKFWXEJ-OLZOCXBDSA-N 0 1 264.373 0.432 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001268752977 813069070 /nfs/dbraw/zinc/06/90/70/813069070.db2.gz RYONYWJAQWWCHD-MRVPVSSYSA-N 0 1 257.725 0.607 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001268752977 813069078 /nfs/dbraw/zinc/06/90/78/813069078.db2.gz RYONYWJAQWWCHD-MRVPVSSYSA-N 0 1 257.725 0.607 20 30 CCEDMN C=CCCOCC(=O)N[C@H](C)CN(C)[C@H]1CCN(C)C1=O ZINC001268759904 813069971 /nfs/dbraw/zinc/06/99/71/813069971.db2.gz QCHKCANBDBTQHN-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H](CCC(C)C)NC(C)=O ZINC001268763791 813073109 /nfs/dbraw/zinc/07/31/09/813073109.db2.gz MXGOVHSVAXLLMO-DZGCQCFKSA-N 0 1 295.427 0.997 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC1CN(CCn2cccn2)C1 ZINC001268823626 813103385 /nfs/dbraw/zinc/10/33/85/813103385.db2.gz ZCBQTXGHCFHWQN-HNNXBMFYSA-N 0 1 292.383 0.258 20 30 CCEDMN CCOCCN1CC(CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001268823292 813104176 /nfs/dbraw/zinc/10/41/76/813104176.db2.gz RGYNWKBAJDXIDW-UHFFFAOYSA-N 0 1 276.340 0.584 20 30 CCEDMN O=C(CCS)N1CC2(C1)CCN(Cc1cn[nH]c1)C2 ZINC001268959642 813161869 /nfs/dbraw/zinc/16/18/69/813161869.db2.gz IEHWVNVGDRLSAQ-UHFFFAOYSA-N 0 1 280.397 0.764 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2nocc2C)C1 ZINC001269078749 813207367 /nfs/dbraw/zinc/20/73/67/813207367.db2.gz QRQSXEJFMXGHBX-LBPRGKRZSA-N 0 1 279.340 0.990 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)Cc1[nH]cnc1C ZINC001269336263 813330694 /nfs/dbraw/zinc/33/06/94/813330694.db2.gz AFMYSSBCLLOVIA-LBPRGKRZSA-N 0 1 260.341 0.474 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCC1(NCC#N)CC1 ZINC001269506611 813396343 /nfs/dbraw/zinc/39/63/43/813396343.db2.gz YNRIGVYHAAFWHH-SNVBAGLBSA-N 0 1 261.329 0.350 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2C(=O)Cc2c[nH]cn2)C1=O ZINC001269568996 813424561 /nfs/dbraw/zinc/42/45/61/813424561.db2.gz JBHOOJRREUTEFW-OAHLLOKOSA-N 0 1 288.351 0.732 20 30 CCEDMN C[C@](CNCC#Cc1ccccc1)(NC(=O)C(N)=O)C1CC1 ZINC001269633941 813455705 /nfs/dbraw/zinc/45/57/05/813455705.db2.gz HOCUXIVDVQHRKC-QGZVFWFLSA-N 0 1 299.374 0.398 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1=O ZINC001269664652 813465040 /nfs/dbraw/zinc/46/50/40/813465040.db2.gz MVNNXGPUVDWUCT-HNNXBMFYSA-N 0 1 288.351 0.589 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@]2(CCN(C(C)=O)C2)C1 ZINC001269680990 813471245 /nfs/dbraw/zinc/47/12/45/813471245.db2.gz DHZMXTYBGRZKTH-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)n3cncn3)[C@@H]2C1 ZINC001075705568 813524566 /nfs/dbraw/zinc/52/45/66/813524566.db2.gz RYIYJSUZUGRKEW-FRRDWIJNSA-N 0 1 273.340 0.005 20 30 CCEDMN CC#CCN1CCC[C@](C)(CNC(=O)c2ncn(C)n2)C1 ZINC001270958121 814100626 /nfs/dbraw/zinc/10/06/26/814100626.db2.gz HBNQDMRRJIETSS-OAHLLOKOSA-N 0 1 289.383 0.670 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cnnn2C)C1 ZINC001270959161 814101365 /nfs/dbraw/zinc/10/13/65/814101365.db2.gz TXSATXNDMFBOAO-CQSZACIVSA-N 0 1 275.356 0.280 20 30 CCEDMN CC#CC(=O)N1CCc2[nH]nc(C(=O)NCC(F)F)c2C1 ZINC001271090791 814146186 /nfs/dbraw/zinc/14/61/86/814146186.db2.gz RLSVXXGPQQAUPT-UHFFFAOYSA-N 0 1 296.277 0.313 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn[nH]c(=O)c2)[C@H]1CC ZINC001087613651 814176537 /nfs/dbraw/zinc/17/65/37/814176537.db2.gz AVLVOVWUOAXUPN-NWDGAFQWSA-N 0 1 274.324 0.398 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)NC2)[C@H]1CC ZINC001087635873 814180152 /nfs/dbraw/zinc/18/01/52/814180152.db2.gz RSRBUWAVLCAGDR-MELADBBJSA-N 0 1 291.395 0.505 20 30 CCEDMN CCC[C@@H](C)C(=O)NC[C@]1(O)CCN(CC#CCOC)C1 ZINC001271133380 814191385 /nfs/dbraw/zinc/19/13/85/814191385.db2.gz ZPYUAGLPKXNBNH-GDBMZVCRSA-N 0 1 296.411 0.625 20 30 CCEDMN C=CCCCC(=O)N1C[C@H]2CN([C@@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001101346970 814201632 /nfs/dbraw/zinc/20/16/32/814201632.db2.gz PDYHBPYQDQIEIX-ZENOOKHLSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001087944049 814278918 /nfs/dbraw/zinc/27/89/18/814278918.db2.gz GUDFZSDYFXDLNR-OLZOCXBDSA-N 0 1 285.347 0.814 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]2C1 ZINC001087944049 814278925 /nfs/dbraw/zinc/27/89/25/814278925.db2.gz GUDFZSDYFXDLNR-OLZOCXBDSA-N 0 1 285.347 0.814 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001271200052 814329678 /nfs/dbraw/zinc/32/96/78/814329678.db2.gz CZHJSCWZFLLGHV-SOLBZPMBSA-N 0 1 298.386 0.976 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC001271193403 814329738 /nfs/dbraw/zinc/32/97/38/814329738.db2.gz VLRFUFCGGUKECQ-ZDUSSCGKSA-N 0 1 298.293 0.147 20 30 CCEDMN Cc1nc(CC(=O)N[C@H](CNCC#N)CC(C)C)n[nH]1 ZINC001271261181 814353342 /nfs/dbraw/zinc/35/33/42/814353342.db2.gz HGJQIAODQJIHCV-NSHDSACASA-N 0 1 278.360 0.300 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CN(C)Cc2ccn(C)n2)C1 ZINC001029677095 814534779 /nfs/dbraw/zinc/53/47/79/814534779.db2.gz IVEMXZCLACPMOX-QWHCGFSZSA-N 0 1 289.383 0.860 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CN(C)Cc2cncn2C)C1 ZINC001029678576 814534900 /nfs/dbraw/zinc/53/49/00/814534900.db2.gz QDTUTEXWVHKVIO-STQMWFEESA-N 0 1 289.383 0.860 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CN(C)Cc2ccn(C)n2)C1 ZINC001029677096 814535357 /nfs/dbraw/zinc/53/53/57/814535357.db2.gz IVEMXZCLACPMOX-STQMWFEESA-N 0 1 289.383 0.860 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CN(CC(=O)NC(C)C)C[C@H]2C1 ZINC001271838340 814605535 /nfs/dbraw/zinc/60/55/35/814605535.db2.gz DKDHONUQBFXUHE-OKILXGFUSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCOCCN1CCC[C@](CO)(NC(=O)[C@@H](C)C#N)C1 ZINC001271951516 814648070 /nfs/dbraw/zinc/64/80/70/814648070.db2.gz WSJRIRNRJRQDTB-ZFWWWQNUSA-N 0 1 295.383 0.292 20 30 CCEDMN CCO[C@H]1C[C@@H]1C(=O)N(C)CCN(C)CC#CCOC ZINC001271969891 814657461 /nfs/dbraw/zinc/65/74/61/814657461.db2.gz SJZMLMPWLLIUML-KBPBESRZSA-N 0 1 282.384 0.451 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)CNC(=O)C1CC1 ZINC001272005084 814699553 /nfs/dbraw/zinc/69/95/53/814699553.db2.gz LOPZGYAATIIXEY-UONOGXRCSA-N 0 1 289.379 0.211 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnsn2)[C@H]1C ZINC001088480674 814729752 /nfs/dbraw/zinc/72/97/52/814729752.db2.gz POMCHHNQGQBRBJ-BDAKNGLRSA-N 0 1 252.343 0.917 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccon2)[C@H]1C ZINC001088500307 814746128 /nfs/dbraw/zinc/74/61/28/814746128.db2.gz VWTNXTBHLSNYKX-YPMHNXCESA-N 0 1 261.325 0.819 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)n2cncn2)C1 ZINC001042636516 814873604 /nfs/dbraw/zinc/87/36/04/814873604.db2.gz NZVZBBVKLMXDNL-LBPRGKRZSA-N 0 1 277.372 0.948 20 30 CCEDMN C=C(Br)CNC[C@H](O)Cn1cccn1 ZINC000168256659 815997346 /nfs/dbraw/zinc/99/73/46/815997346.db2.gz LZRCAFBLTUZOBV-VIFPVBQESA-N 0 1 260.135 0.742 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001030313607 815973079 /nfs/dbraw/zinc/97/30/79/815973079.db2.gz CLIGCNHASHYKGH-GFCCVEGCSA-N 0 1 272.352 0.653 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCCC(=O)N2)[C@H]1C ZINC001088850561 816072450 /nfs/dbraw/zinc/07/24/50/816072450.db2.gz YUGGVQMBVLFCEB-FRRDWIJNSA-N 0 1 277.368 0.257 20 30 CCEDMN C=CCN1CC(NC(=O)[C@H]2CCc3[nH]c(C)nc3C2)C1 ZINC001030553564 816104067 /nfs/dbraw/zinc/10/40/67/816104067.db2.gz ACOAGNWMUGDOTE-NSHDSACASA-N 0 1 274.368 0.809 20 30 CCEDMN C#CC[NH2+]C[C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001106040996 816117269 /nfs/dbraw/zinc/11/72/69/816117269.db2.gz BVBURGJIKKATPR-VXGBXAGGSA-N 0 1 273.336 0.718 20 30 CCEDMN C#CCN1CC(NC(=O)c2n[nH]c3ccc(C)cc32)C1 ZINC001030605648 816142585 /nfs/dbraw/zinc/14/25/85/816142585.db2.gz COEYMWWMYXLXCQ-UHFFFAOYSA-N 0 1 268.320 0.919 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCCN(C)C2=O)[C@H]1C ZINC001088976185 816200237 /nfs/dbraw/zinc/20/02/37/816200237.db2.gz KJLQEJNFPDBIOR-RDBSUJKOSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)NC1CN(CC#N)C1 ZINC001030697128 816231003 /nfs/dbraw/zinc/23/10/03/816231003.db2.gz KOTLDLKDAVOIBT-TVQRCGJNSA-N 0 1 273.340 0.400 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC001030701575 816234960 /nfs/dbraw/zinc/23/49/60/816234960.db2.gz WCCNFRDOXLUQRR-XHDPSFHLSA-N 0 1 286.379 0.899 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nonc2C)[C@H]1C ZINC001089076931 816236517 /nfs/dbraw/zinc/23/65/17/816236517.db2.gz DGSQVYGJGYLEIO-ZJUUUORDSA-N 0 1 250.302 0.757 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CCCC2(C)C)[C@@H](O)C1 ZINC001083707911 816529318 /nfs/dbraw/zinc/52/93/18/816529318.db2.gz ABUZFGYLGXOTBP-MCIONIFRSA-N 0 1 278.396 0.997 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2CC(=O)N(C)C ZINC001272534797 816557555 /nfs/dbraw/zinc/55/75/55/816557555.db2.gz OYKBHGPYCZXARI-VXGBXAGGSA-N 0 1 265.357 0.326 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)CCCCN2Cc1cn[nH]c1 ZINC001272543660 816567825 /nfs/dbraw/zinc/56/78/25/816567825.db2.gz KWUQOSULBIGPLS-HNNXBMFYSA-N 0 1 272.352 1.000 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(OC)n(C)n2)C1 ZINC001046473167 816600452 /nfs/dbraw/zinc/60/04/52/816600452.db2.gz PAYBVQJNKFLXJQ-CQSZACIVSA-N 0 1 278.356 0.809 20 30 CCEDMN C#CCCN1CC(NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001031215101 816823760 /nfs/dbraw/zinc/82/37/60/816823760.db2.gz ATGBDOKULLCOHT-LLVKDONJSA-N 0 1 272.352 0.338 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2occc2CN(C)C)[C@@H](O)C1 ZINC001083732947 816824247 /nfs/dbraw/zinc/82/42/47/816824247.db2.gz SMMBDTBPRWMZLR-OLZOCXBDSA-N 0 1 293.367 0.302 20 30 CCEDMN C[C@@H](CCNc1ncccc1C#N)NC(=O)c1cnn[nH]1 ZINC001106637887 816829279 /nfs/dbraw/zinc/82/92/79/816829279.db2.gz LTIADBDLDMKLAR-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C#CCN1CC(NC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001031224900 816844628 /nfs/dbraw/zinc/84/46/28/816844628.db2.gz USXVRBYNDRFBCI-UHFFFAOYSA-N 0 1 260.341 0.889 20 30 CCEDMN CCN(CCNc1nccnc1C#N)C(=O)c1cnc(C)[nH]1 ZINC001106705842 816858475 /nfs/dbraw/zinc/85/84/75/816858475.db2.gz KRCDBYJUDUWTHG-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN CCC[C@@H](C)CC(=O)NCCCN(C)CC(=O)NCC#N ZINC001235365569 816868699 /nfs/dbraw/zinc/86/86/99/816868699.db2.gz UADWNEBDDZVIHQ-CYBMUJFWSA-N 0 1 296.415 0.891 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC001123349235 816932798 /nfs/dbraw/zinc/93/27/98/816932798.db2.gz RYBLOCCWKZIRJT-GFCCVEGCSA-N 0 1 264.325 0.106 20 30 CCEDMN COCCCN1C[C@@H](NC(=O)C#CC(C)C)[C@H](OC)C1 ZINC001212231125 817060233 /nfs/dbraw/zinc/06/02/33/817060233.db2.gz MXXWGMRPSYZWJZ-ZIAGYGMSSA-N 0 1 282.384 0.498 20 30 CCEDMN Cc1nc([C@@H](C)NCCNC(=O)CSCC#N)n[nH]1 ZINC001123792426 817070839 /nfs/dbraw/zinc/07/08/39/817070839.db2.gz XENQWTKXWVFLFO-MRVPVSSYSA-N 0 1 282.373 0.137 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(C)nc2C)C1 ZINC001031586499 817166566 /nfs/dbraw/zinc/16/65/66/817166566.db2.gz BRICMWGYVHKTGP-UHFFFAOYSA-N 0 1 260.341 0.413 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(Cc1cnn(C)c1)CC2 ZINC001272675244 817267049 /nfs/dbraw/zinc/26/70/49/817267049.db2.gz IJTHPLALKZZPKE-CQSZACIVSA-N 0 1 286.379 0.772 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CC(=O)N(CC(C)C)C2)C1 ZINC001031712686 817277398 /nfs/dbraw/zinc/27/73/98/817277398.db2.gz HAIYFJVFOQIEOX-AWEZNQCLSA-N 0 1 293.411 0.725 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001031778354 817359971 /nfs/dbraw/zinc/35/99/71/817359971.db2.gz WTCTYRSOKFWAGK-GFCCVEGCSA-N 0 1 265.357 0.089 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc3nnc(C)n3c2)C1 ZINC001031798990 817373348 /nfs/dbraw/zinc/37/33/48/817373348.db2.gz PBWCLWOLFBKGIQ-UHFFFAOYSA-N 0 1 285.351 0.885 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001031828232 817400492 /nfs/dbraw/zinc/40/04/92/817400492.db2.gz TZEBAMLMXQSHFX-HNNXBMFYSA-N 0 1 293.411 0.869 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnc3nccn3c2)C1 ZINC001031846145 817412695 /nfs/dbraw/zinc/41/26/95/817412695.db2.gz IJHWWYMLMXBFDQ-UHFFFAOYSA-N 0 1 285.351 0.967 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc(C)n2C)C1 ZINC001077571604 817443679 /nfs/dbraw/zinc/44/36/79/817443679.db2.gz REUXTLNSYVISCF-DGCLKSJQSA-N 0 1 278.356 0.080 20 30 CCEDMN C#Cc1ccc(C(=O)NCC2CN(CCOC)C2)cc1 ZINC001031892390 817446740 /nfs/dbraw/zinc/44/67/40/817446740.db2.gz ZTFMNAFTRDQART-UHFFFAOYSA-N 0 1 272.348 0.976 20 30 CCEDMN C=CCOCC(=O)NCCN[C@@H](C)c1cnc(C)cn1 ZINC001124768357 817570292 /nfs/dbraw/zinc/57/02/92/817570292.db2.gz YJPWGMSNYWCHFP-LBPRGKRZSA-N 0 1 278.356 0.754 20 30 CCEDMN C#Cc1ccc(C(=O)NCC2CN(CCOC)C2)nc1 ZINC001032135002 817660288 /nfs/dbraw/zinc/66/02/88/817660288.db2.gz GEXHYVXXTUDXHC-UHFFFAOYSA-N 0 1 273.336 0.371 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn3cc[nH]c23)C1 ZINC001032153727 817668963 /nfs/dbraw/zinc/66/89/63/817668963.db2.gz YNNBGUPEZQQGMZ-UHFFFAOYSA-N 0 1 271.324 0.347 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cccnc2C(N)=O)C1 ZINC001032200740 817697887 /nfs/dbraw/zinc/69/78/87/817697887.db2.gz GQKQZSGPALDWRR-UHFFFAOYSA-N 0 1 288.351 0.418 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnc(-n3cncn3)c2)C1 ZINC001032223385 817727202 /nfs/dbraw/zinc/72/72/02/817727202.db2.gz ZEMFVLUEESQNJA-UHFFFAOYSA-N 0 1 298.350 0.510 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@]2(CNC(=O)CC)CCC[C@@H]12 ZINC001107246790 817814422 /nfs/dbraw/zinc/81/44/22/817814422.db2.gz OLJQSRKLAGXLNT-CZUORRHYSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001077601926 817862420 /nfs/dbraw/zinc/86/24/20/817862420.db2.gz ZNNBTISFGNZCAY-BZUAXINKSA-N 0 1 284.359 0.511 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(CCCO)C[C@H]2O)CC1 ZINC001077624919 817884894 /nfs/dbraw/zinc/88/48/94/817884894.db2.gz IKLBNMQNQOIZQV-ZIAGYGMSSA-N 0 1 282.384 0.277 20 30 CCEDMN NC(=O)c1[nH]ncc1NC(=O)C#Cc1ccccc1 ZINC001142857224 817887521 /nfs/dbraw/zinc/88/75/21/817887521.db2.gz LJAKZPQEYQTKHU-UHFFFAOYSA-N 0 1 254.249 0.499 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3ccccn3)C2)OCC1=O ZINC001272940446 818075571 /nfs/dbraw/zinc/07/55/71/818075571.db2.gz NTGZZMRJGVASFJ-MRXNPFEDSA-N 0 1 285.347 0.518 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3ccncc3)C2)OCC1=O ZINC001272949449 818106016 /nfs/dbraw/zinc/10/60/16/818106016.db2.gz KTNHCJLDAHDNBH-MRXNPFEDSA-N 0 1 285.347 0.518 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc3cc[nH]c3c2)[C@@H](O)C1 ZINC001083813646 818134182 /nfs/dbraw/zinc/13/41/82/818134182.db2.gz VALITNWTXNDWBY-CVEARBPZSA-N 0 1 297.358 0.966 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](F)c2ccccc2)[C@@H](O)C1 ZINC001083819124 818271509 /nfs/dbraw/zinc/27/15/09/818271509.db2.gz UIDRFPOZMBNPMB-QLFBSQMISA-N 0 1 290.338 0.882 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2c[nH]c(C)n2)C1 ZINC001032956993 818383706 /nfs/dbraw/zinc/38/37/06/818383706.db2.gz MGZKJQTVSSJXRS-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2c[nH]c(C)n2)C1 ZINC001032956993 818383710 /nfs/dbraw/zinc/38/37/10/818383710.db2.gz MGZKJQTVSSJXRS-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033026310 818439074 /nfs/dbraw/zinc/43/90/74/818439074.db2.gz VKUZAUTWNXWHFV-NSHDSACASA-N 0 1 259.309 0.567 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2ncccc2O)C1 ZINC001033026310 818439078 /nfs/dbraw/zinc/43/90/78/818439078.db2.gz VKUZAUTWNXWHFV-NSHDSACASA-N 0 1 259.309 0.567 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccccc2O)[C@H](O)C1 ZINC001090077288 818469081 /nfs/dbraw/zinc/46/90/81/818469081.db2.gz QOTXSUOHPLZNTR-GXTWGEPZSA-N 0 1 276.336 0.743 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033090093 818510240 /nfs/dbraw/zinc/51/02/40/818510240.db2.gz FMTBYLMBFJAGDV-FRRDWIJNSA-N 0 1 277.368 0.067 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cscn2)[C@@H](O)C1 ZINC001083836673 818538056 /nfs/dbraw/zinc/53/80/56/818538056.db2.gz QHCJQMDXNONFBJ-NEPJUHHUSA-N 0 1 281.381 0.423 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001033137497 818561153 /nfs/dbraw/zinc/56/11/53/818561153.db2.gz AHVWXISCPVEKAF-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cn2cc(C3CC3)nn2)C1 ZINC001033144146 818568763 /nfs/dbraw/zinc/56/87/63/818568763.db2.gz SDTNVVDQHRXPGB-CYBMUJFWSA-N 0 1 289.383 0.874 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001033175486 818600936 /nfs/dbraw/zinc/60/09/36/818600936.db2.gz DPCBMUXKRMPULN-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001033210862 818651100 /nfs/dbraw/zinc/65/11/00/818651100.db2.gz GJBYHFMFBUIKLM-KGLIPLIRSA-N 0 1 286.379 0.611 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cn2cc(C3CC3)cn2)C1 ZINC001033209728 818651767 /nfs/dbraw/zinc/65/17/67/818651767.db2.gz DPCFGCHEVXXOPV-OAHLLOKOSA-N 0 1 286.379 0.926 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2=COCCC2)[C@H](O)C1 ZINC001090128048 818679868 /nfs/dbraw/zinc/67/98/68/818679868.db2.gz JGTKJKIFCHHKLH-CHWSQXEVSA-N 0 1 266.341 0.418 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc3nnnn3c2)C1 ZINC001033266915 818682111 /nfs/dbraw/zinc/68/21/11/818682111.db2.gz KPZABGFZMNNVFR-LBPRGKRZSA-N 0 1 286.339 0.457 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033336028 818725166 /nfs/dbraw/zinc/72/51/66/818725166.db2.gz KKQQMBLRTCVLKM-LLVKDONJSA-N 0 1 276.340 0.295 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CC)[C@@H](n2ccnn2)C1 ZINC001128602239 818731411 /nfs/dbraw/zinc/73/14/11/818731411.db2.gz AULKLTFDAKGLEZ-OLZOCXBDSA-N 0 1 293.371 0.232 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC001033357185 818738429 /nfs/dbraw/zinc/73/84/29/818738429.db2.gz BRDNPWGMWZQDDZ-KBPBESRZSA-N 0 1 291.395 0.552 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001033463590 818786835 /nfs/dbraw/zinc/78/68/35/818786835.db2.gz QXGFEOLOOCRIIR-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnc(OC)nc2)C1 ZINC001033556093 818830360 /nfs/dbraw/zinc/83/03/60/818830360.db2.gz XDCGFNZLWPTACN-LBPRGKRZSA-N 0 1 276.340 0.818 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC001033575646 818835076 /nfs/dbraw/zinc/83/50/76/818835076.db2.gz SBUPUCDRYSEQOT-STQMWFEESA-N 0 1 294.399 0.638 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2C[NH+](CC)CCO2)C1 ZINC001033681125 818883923 /nfs/dbraw/zinc/88/39/23/818883923.db2.gz RUQSTHRNIJSEHD-ZIAGYGMSSA-N 0 1 281.400 0.426 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001033687588 818886805 /nfs/dbraw/zinc/88/68/05/818886805.db2.gz ABYIOOHLGZFTNM-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001033689066 818890480 /nfs/dbraw/zinc/89/04/80/818890480.db2.gz WOAOTCBXNXLDTM-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001033705257 818892235 /nfs/dbraw/zinc/89/22/35/818892235.db2.gz GVMXTYKUAOXGDX-NEPJUHHUSA-N 0 1 280.372 0.248 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033849207 818966503 /nfs/dbraw/zinc/96/65/03/818966503.db2.gz UAJLLTXFYGNICI-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(=O)n(C)cn2)C1 ZINC001033859678 818969418 /nfs/dbraw/zinc/96/94/18/818969418.db2.gz FCIZGMRTTGUVRK-GFCCVEGCSA-N 0 1 290.367 0.503 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033859126 818970924 /nfs/dbraw/zinc/97/09/24/818970924.db2.gz PDNFFBPVMLYFFC-LBPRGKRZSA-N 0 1 290.367 0.998 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033863060 818971681 /nfs/dbraw/zinc/97/16/81/818971681.db2.gz SCMXAMMWRZXQPM-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cnc[nH]c2=O)C1 ZINC001033872524 818973922 /nfs/dbraw/zinc/97/39/22/818973922.db2.gz SGJPIKRSWQBVJG-LLVKDONJSA-N 0 1 274.324 0.352 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CC=CC2)[C@@H](O)C1 ZINC001090155584 818997263 /nfs/dbraw/zinc/99/72/63/818997263.db2.gz OPPAXFFNOLYKCV-KGLIPLIRSA-N 0 1 262.353 0.527 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ocnc2C)[C@H](O)C1 ZINC001090173260 819019631 /nfs/dbraw/zinc/01/96/31/819019631.db2.gz AQYYHPPIJFJQBU-WDEREUQCSA-N 0 1 265.313 0.334 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)c2cc[nH]c2C)[C@@H](O)C1 ZINC001090174223 819021220 /nfs/dbraw/zinc/02/12/20/819021220.db2.gz JCJQNWYPGQGPDC-KGLIPLIRSA-N 0 1 275.352 0.511 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)CN2CCCC2=O)C1 ZINC001034100456 819072599 /nfs/dbraw/zinc/07/25/99/819072599.db2.gz PCWPTBCNWCOHFT-AWEZNQCLSA-N 0 1 291.395 0.603 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnn(C)c2C)C1 ZINC001034105174 819074407 /nfs/dbraw/zinc/07/44/07/819074407.db2.gz PGWBNMUQEZJSOX-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2nccnc2N)C1 ZINC001034134149 819090492 /nfs/dbraw/zinc/09/04/92/819090492.db2.gz ZOSFRECAGZSXIK-LBPRGKRZSA-N 0 1 287.367 0.666 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cn(C)nc2C)C1 ZINC001034134983 819092296 /nfs/dbraw/zinc/09/22/96/819092296.db2.gz SCRNQIXAOSPCCC-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001034150004 819098139 /nfs/dbraw/zinc/09/81/39/819098139.db2.gz IUOJJRUZLYOQJV-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2COCCN2C)C1 ZINC001034176692 819103342 /nfs/dbraw/zinc/10/33/42/819103342.db2.gz XEEBOTLXMLEPJM-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCOC2)CC1 ZINC001045378717 819151836 /nfs/dbraw/zinc/15/18/36/819151836.db2.gz IZGPIQWVQOTKOJ-GFCCVEGCSA-N 0 1 250.342 0.627 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc(C)nc1OC ZINC001128914445 819153444 /nfs/dbraw/zinc/15/34/44/819153444.db2.gz DXABQKFASNLVHZ-UHFFFAOYSA-N 0 1 284.747 0.866 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cn3cccnc3n2)C1 ZINC001034295093 819159251 /nfs/dbraw/zinc/15/92/51/819159251.db2.gz ZWQRMHXCVMOKTB-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001034370204 819182334 /nfs/dbraw/zinc/18/23/34/819182334.db2.gz XAZYFIFKFVEVEA-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(F)cn2)[C@@H](O)C1 ZINC001090211036 819189184 /nfs/dbraw/zinc/18/91/84/819189184.db2.gz UNSAHZIICLNLGC-AAEUAGOBSA-N 0 1 279.315 0.572 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001034395566 819193573 /nfs/dbraw/zinc/19/35/73/819193573.db2.gz ACLPJWJYFMZCLF-NSHDSACASA-N 0 1 288.351 0.331 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090214205 819198834 /nfs/dbraw/zinc/19/88/34/819198834.db2.gz VJSLLKYHCKHKOC-DGCLKSJQSA-N 0 1 291.351 0.528 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090214205 819198839 /nfs/dbraw/zinc/19/88/39/819198839.db2.gz VJSLLKYHCKHKOC-DGCLKSJQSA-N 0 1 291.351 0.528 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090214207 819199859 /nfs/dbraw/zinc/19/98/59/819199859.db2.gz VJSLLKYHCKHKOC-YPMHNXCESA-N 0 1 291.351 0.528 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090214207 819199861 /nfs/dbraw/zinc/19/98/61/819199861.db2.gz VJSLLKYHCKHKOC-YPMHNXCESA-N 0 1 291.351 0.528 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001034443079 819206344 /nfs/dbraw/zinc/20/63/44/819206344.db2.gz WDCSWFSIVPPNDQ-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001035282957 819448163 /nfs/dbraw/zinc/44/81/63/819448163.db2.gz LPEWKIVEJZYJLN-ZIAGYGMSSA-N 0 1 282.384 0.949 20 30 CCEDMN C#CCCN1CCO[C@H](CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001035415392 819518399 /nfs/dbraw/zinc/51/83/99/819518399.db2.gz BFZDBIKFQVWIRI-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CCO[C@@H](CNC(=O)[C@H]2CCCCN2C)C1 ZINC001035417123 819519760 /nfs/dbraw/zinc/51/97/60/819519760.db2.gz PWVFZBICAYOHAH-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCO[C@H](CNC(=O)c2cccc3nc[nH]c32)C1 ZINC001035561285 819570572 /nfs/dbraw/zinc/57/05/72/819570572.db2.gz YWWLQFURAUDDEL-GFCCVEGCSA-N 0 1 298.346 0.627 20 30 CCEDMN C#CCN1CCO[C@@H](CNC(=O)[C@H]2CCCCN2CC)C1 ZINC001035615142 819590720 /nfs/dbraw/zinc/59/07/20/819590720.db2.gz TXZGMEBONSWJEY-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN N#CCN1CC2(C1)CCN(C(=O)Cc1ccn[nH]1)CC2 ZINC001035675190 819601011 /nfs/dbraw/zinc/60/10/11/819601011.db2.gz QVACXRUHGPAHOJ-UHFFFAOYSA-N 0 1 273.340 0.400 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cc[nH]c(=O)c1)CC2 ZINC001035680945 819602855 /nfs/dbraw/zinc/60/28/55/819602855.db2.gz HEHYEAAFOPPHLI-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1COCCN1C)CC2 ZINC001035691576 819604959 /nfs/dbraw/zinc/60/49/59/819604959.db2.gz PLLHFWJIPKSION-AWEZNQCLSA-N 0 1 293.411 0.427 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@H]1C(=O)OC)CC2 ZINC001035668530 819607084 /nfs/dbraw/zinc/60/70/84/819607084.db2.gz XGINMTQTAUOKTN-CHWSQXEVSA-N 0 1 292.379 0.906 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CO[C@@H]1CCOC1)CC2 ZINC001035705902 819610944 /nfs/dbraw/zinc/61/09/44/819610944.db2.gz GUPRTEYANJYZEF-CQSZACIVSA-N 0 1 292.379 0.350 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CO[C@@H](C)C1)CC2 ZINC001035734843 819618198 /nfs/dbraw/zinc/61/81/98/819618198.db2.gz BROCGRRUYBNDCZ-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CC[C@@H]1C(N)=O)CC2 ZINC001035767947 819621112 /nfs/dbraw/zinc/62/11/12/819621112.db2.gz XYNNKBDFQGSJPG-STQMWFEESA-N 0 1 289.379 0.056 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cn(C)c(=O)[nH]1)CC2 ZINC001035784705 819623744 /nfs/dbraw/zinc/62/37/44/819623744.db2.gz MOHONUQSJYZCNN-UHFFFAOYSA-N 0 1 288.351 0.297 20 30 CCEDMN C[C@@H]1CN(C(=O)c2cccc3nn[nH]c32)CC[C@H]1NCC#N ZINC001036048635 819677372 /nfs/dbraw/zinc/67/73/72/819677372.db2.gz NDTYIQLYWWJXSF-ZYHUDNBSSA-N 0 1 298.350 0.922 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@]1(COC)CNCCO1 ZINC000710822674 819845031 /nfs/dbraw/zinc/84/50/31/819845031.db2.gz JEJZBXJZEWCVGE-ZDUSSCGKSA-N 0 1 254.330 0.192 20 30 CCEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC(N)=O)CC[C@@H]21 ZINC001036671969 819980192 /nfs/dbraw/zinc/98/01/92/819980192.db2.gz SAQBKPXEWOQYET-QWRGUYRKSA-N 0 1 285.775 0.537 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)ccn2)[C@@H](O)C1 ZINC001090275668 820053491 /nfs/dbraw/zinc/05/34/91/820053491.db2.gz MIEVNGYIGFVXNL-ZFWWWQNUSA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCCC(=O)NC1(CNC(=O)Cc2nnc[nH]2)CCC1 ZINC001064605138 820209595 /nfs/dbraw/zinc/20/95/95/820209595.db2.gz JXYGXPNMYDSWDE-UHFFFAOYSA-N 0 1 291.355 0.469 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c[nH]nc2C2CC2)[C@@H](O)C1 ZINC001083910712 820218447 /nfs/dbraw/zinc/21/84/47/820218447.db2.gz RZUSIEAJKLSOQU-OLZOCXBDSA-N 0 1 288.351 0.085 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C3CC3)CC2)[C@@H](O)C1 ZINC001090338455 820279500 /nfs/dbraw/zinc/27/95/00/820279500.db2.gz JLCGPDCTTDIMTI-KBPBESRZSA-N 0 1 276.380 0.751 20 30 CCEDMN N#CCNC1(CNC(=O)c2ccc3[nH]nnc3c2)CCC1 ZINC001273194084 820290557 /nfs/dbraw/zinc/29/05/57/820290557.db2.gz BFLONPXHMGJVKF-UHFFFAOYSA-N 0 1 284.323 0.724 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2[nH]c(C)cc2C)[C@H](O)C1 ZINC001090363251 820302003 /nfs/dbraw/zinc/30/20/03/820302003.db2.gz LPLDEIBTDQJJKW-QWHCGFSZSA-N 0 1 277.368 0.983 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](C)[C@H](NC(C)=O)C2)C1=O ZINC001079328558 820352767 /nfs/dbraw/zinc/35/27/67/820352767.db2.gz CATZQRMDBKFZPX-RTXFEEFZSA-N 0 1 265.357 0.230 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCCO2)C1 ZINC001079437163 820398577 /nfs/dbraw/zinc/39/85/77/820398577.db2.gz PBOWSUWLEWMNLR-FRRDWIJNSA-N 0 1 250.342 0.625 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCOC2)C1 ZINC001079479054 820406462 /nfs/dbraw/zinc/40/64/62/820406462.db2.gz OYQPLWDQUSBCNV-JHJVBQTASA-N 0 1 250.342 0.483 20 30 CCEDMN C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001079577654 820429779 /nfs/dbraw/zinc/42/97/79/820429779.db2.gz KYFIYFNWIWUTPY-PSASIEDQSA-N 0 1 262.313 0.107 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001079577654 820429784 /nfs/dbraw/zinc/42/97/84/820429784.db2.gz KYFIYFNWIWUTPY-PSASIEDQSA-N 0 1 262.313 0.107 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C2=CCOCC2)C1 ZINC001079613625 820439688 /nfs/dbraw/zinc/43/96/88/820439688.db2.gz RWMGGTUEXZADIW-TZMCWYRMSA-N 0 1 262.353 0.793 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCOC)[C@@H](O)C1 ZINC001099703976 820453658 /nfs/dbraw/zinc/45/36/58/820453658.db2.gz DRXSFZORQCNBFT-RYUDHWBXSA-N 0 1 256.346 0.150 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001079699646 820455958 /nfs/dbraw/zinc/45/59/58/820455958.db2.gz UFHNCESTQRYZJX-MRVWCRGKSA-N 0 1 286.379 0.899 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnn2CCC)C1 ZINC001079778523 820469488 /nfs/dbraw/zinc/46/94/88/820469488.db2.gz DREBJOCRFVNVJX-CHWSQXEVSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001079782613 820469551 /nfs/dbraw/zinc/46/95/51/820469551.db2.gz AWSYBRSXBGWIBW-ZYHUDNBSSA-N 0 1 283.335 0.641 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001079861622 820478582 /nfs/dbraw/zinc/47/85/82/820478582.db2.gz HOFCWENRARYAST-IUODEOHRSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3nnc(C)n3c2)C1 ZINC001080011013 820500237 /nfs/dbraw/zinc/50/02/37/820500237.db2.gz XPBAJWCGGBQQLI-BXUZGUMPSA-N 0 1 297.362 0.721 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CN2CCCCC2=O)C1 ZINC001080030597 820503960 /nfs/dbraw/zinc/50/39/60/820503960.db2.gz PGHBQHNESSHRNH-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001080389397 820558773 /nfs/dbraw/zinc/55/87/73/820558773.db2.gz JNOXPMOGYVKHJD-GHMZBOCLSA-N 0 1 276.340 0.199 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001080416440 820571287 /nfs/dbraw/zinc/57/12/87/820571287.db2.gz OXVIXYFDXWDBMV-CHWSQXEVSA-N 0 1 287.363 0.459 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccn(CC)n2)C1 ZINC001080555762 820591196 /nfs/dbraw/zinc/59/11/96/820591196.db2.gz MFLOWRPOZCRWER-TZMCWYRMSA-N 0 1 274.368 0.976 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccon2)[C@H](OC)C1 ZINC001081407306 820757447 /nfs/dbraw/zinc/75/74/47/820757447.db2.gz ASBHOESFTAXWRO-VXGBXAGGSA-N 0 1 265.313 0.680 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2cc(C)n[nH]2)[C@H](OC)C1 ZINC001081521540 820781725 /nfs/dbraw/zinc/78/17/25/820781725.db2.gz JKXXLNNLOBZJCC-CHWSQXEVSA-N 0 1 278.356 0.262 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)n2cncn2)[C@H](OC)C1 ZINC001081560374 820788029 /nfs/dbraw/zinc/78/80/29/820788029.db2.gz YDYYKMMLDJTFHN-JHJVBQTASA-N 0 1 293.371 0.231 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CC[N@H+](CCCF)C[C@H]1O ZINC001099728240 820822155 /nfs/dbraw/zinc/82/21/55/820822155.db2.gz WBBHKWZQBQVWJA-VXGBXAGGSA-N 0 1 258.337 0.864 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2c[nH]cn2)[C@H](OC)C1 ZINC001082178995 820912554 /nfs/dbraw/zinc/91/25/54/820912554.db2.gz KJRCHHXCGCHPLI-CHWSQXEVSA-N 0 1 278.356 0.344 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CN(C(C)C)C[C@H]2OC)nn1 ZINC001082214329 820916972 /nfs/dbraw/zinc/91/69/72/820916972.db2.gz RLGQYPFPTUKLIU-DGCLKSJQSA-N 0 1 293.371 0.302 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H]2CCCN2C)C[C@H]1NCC#N ZINC001082447580 820978167 /nfs/dbraw/zinc/97/81/67/820978167.db2.gz FEXQJWGRAIUQCD-IJLUTSLNSA-N 0 1 250.346 0.041 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@]2(C)CNC(=O)C2)C[C@H]1C ZINC001082659386 821012941 /nfs/dbraw/zinc/01/29/41/821012941.db2.gz IROGBCVVQQZRCR-UDZFHETQSA-N 0 1 299.802 0.702 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc(OC)c2)[C@H](O)C1 ZINC001090377350 821017734 /nfs/dbraw/zinc/01/77/34/821017734.db2.gz JGUWRFPDCVLAIB-QWHCGFSZSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]nc2C(C)C)[C@@H](O)C1 ZINC001090384320 821039125 /nfs/dbraw/zinc/03/91/25/821039125.db2.gz JOPMOLXQYUPJSP-OLZOCXBDSA-N 0 1 292.383 0.884 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C3=COCCC3)[C@H]2C1 ZINC001083022156 821110995 /nfs/dbraw/zinc/11/09/95/821110995.db2.gz TVYFUNSQGDBGMG-LSDHHAIUSA-N 0 1 290.363 0.616 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3cocn3)[C@H]2C1 ZINC001083024224 821113701 /nfs/dbraw/zinc/11/37/01/821113701.db2.gz FSAMHSVLZZUDHR-QWHCGFSZSA-N 0 1 277.324 0.776 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H]3CCCCO3)[C@H]2C1 ZINC001083051589 821129613 /nfs/dbraw/zinc/12/96/13/821129613.db2.gz KIDGJRVEPNEYIF-SOUVJXGZSA-N 0 1 292.379 0.490 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCCO3)[C@@H]2C1 ZINC001084172098 821222119 /nfs/dbraw/zinc/22/21/19/821222119.db2.gz BEFHPCQLOAFFNF-UPJWGTAASA-N 0 1 250.342 0.884 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3nnn(C)c3C)[C@@H]2C1 ZINC001084249586 821239669 /nfs/dbraw/zinc/23/96/69/821239669.db2.gz BOFWDDHUYBEHMD-CHWSQXEVSA-N 0 1 289.383 0.846 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)/C=C\c2ccc[nH]2)[C@@H](O)C1 ZINC001099799180 821264843 /nfs/dbraw/zinc/26/48/43/821264843.db2.gz JGHQIXRUZDMAGT-LAWSMPECSA-N 0 1 275.352 0.765 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3nnc(C)[nH]3)[C@@H]2C1 ZINC001084335643 821273505 /nfs/dbraw/zinc/27/35/05/821273505.db2.gz USKANDHRCOBXJH-VXGBXAGGSA-N 0 1 275.356 0.374 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cccn4nnnc34)[C@@H]2C1 ZINC001084372131 821280360 /nfs/dbraw/zinc/28/03/60/821280360.db2.gz HOXKRVACXLVOFF-DGCLKSJQSA-N 0 1 298.350 0.457 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCN(C)C3=O)[C@@H]2C1 ZINC001084430392 821283593 /nfs/dbraw/zinc/28/35/93/821283593.db2.gz NGYBPZMNIUFYOS-MGPQQGTHSA-N 0 1 291.395 0.574 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(C)C(N)=O)[C@@H]2C1 ZINC001084426652 821285232 /nfs/dbraw/zinc/28/52/32/821285232.db2.gz JBEQHQVXOSJJOK-VXGBXAGGSA-N 0 1 277.368 0.054 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1C[C@H]2CCN(CC#N)C[C@H]21 ZINC001084455729 821290427 /nfs/dbraw/zinc/29/04/27/821290427.db2.gz HRDKGUXWDBPAFG-HZSPNIEDSA-N 0 1 276.384 0.527 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ncc[nH]2)[C@H](O)C1 ZINC001099804797 821310739 /nfs/dbraw/zinc/31/07/39/821310739.db2.gz RXLHMJTZSPGBOA-NWDGAFQWSA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@]3(C)CCC(=O)N3)[C@@H]2C1 ZINC001084636034 821333531 /nfs/dbraw/zinc/33/35/31/821333531.db2.gz YSFNUVAYXJIRJQ-JMSVASOKSA-N 0 1 277.368 0.374 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnn4cc[nH]c34)[C@@H]2C1 ZINC001084797704 821394711 /nfs/dbraw/zinc/39/47/11/821394711.db2.gz HVHGTDSYUPEYLY-TZMCWYRMSA-N 0 1 297.362 0.832 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](CC)OC)C[C@@H]21 ZINC001084902449 821425901 /nfs/dbraw/zinc/42/59/01/821425901.db2.gz BXTIMHMISXGDAI-HZSPNIEDSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CC(C)(C)C(=O)N1Cc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001273251468 821455255 /nfs/dbraw/zinc/45/52/55/821455255.db2.gz GBIMZJOVNCZPHO-UHFFFAOYSA-N 0 1 274.324 0.613 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@H](C)OC ZINC001230968111 821469213 /nfs/dbraw/zinc/46/92/13/821469213.db2.gz STYGNUHRPPTGHL-QWHCGFSZSA-N 0 1 270.373 0.757 20 30 CCEDMN C[C@@]1(NC(=O)c2cnn[nH]2)CCN(c2ccc(C#N)cn2)C1 ZINC001065359299 821557814 /nfs/dbraw/zinc/55/78/14/821557814.db2.gz VSIBBXGVDKZYEX-CQSZACIVSA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCCOC1 ZINC001085515546 821761393 /nfs/dbraw/zinc/76/13/93/821761393.db2.gz ZWFRJTZKHLKIPZ-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc2n(n1)CCO2 ZINC001085642897 821868675 /nfs/dbraw/zinc/86/86/75/821868675.db2.gz YXNNFASIXFJHMV-GFCCVEGCSA-N 0 1 288.351 0.445 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCC(=O)NC1 ZINC001085687831 821900489 /nfs/dbraw/zinc/90/04/89/821900489.db2.gz WKICBQVGLOLCBT-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2nnnn2c1 ZINC001085720914 821919858 /nfs/dbraw/zinc/91/98/58/821919858.db2.gz HWWHJNIQPGDYAE-ZDUSSCGKSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc2nnnn2c1 ZINC001085720914 821919864 /nfs/dbraw/zinc/91/98/64/821919864.db2.gz HWWHJNIQPGDYAE-ZDUSSCGKSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccn2nnnc2c1 ZINC001085761964 821934281 /nfs/dbraw/zinc/93/42/81/821934281.db2.gz XSFMVZSAOASUIV-CYBMUJFWSA-N 0 1 298.350 0.294 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(=O)n1C ZINC001085763617 821942800 /nfs/dbraw/zinc/94/28/00/821942800.db2.gz GHAGOFRONIBBMD-NSHDSACASA-N 0 1 278.356 0.848 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1c[nH]c(=O)n1C ZINC001085763617 821942808 /nfs/dbraw/zinc/94/28/08/821942808.db2.gz GHAGOFRONIBBMD-NSHDSACASA-N 0 1 278.356 0.848 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)c1cc(C2CC2)[nH]n1 ZINC001085836954 821973754 /nfs/dbraw/zinc/97/37/54/821973754.db2.gz XVZMZTDZVYLCJO-LLVKDONJSA-N 0 1 273.340 0.957 20 30 CCEDMN C#CCN1CCO[C@@](C)(CNC(=O)CN2CCC[C@H]2C)C1 ZINC001108213112 821982948 /nfs/dbraw/zinc/98/29/48/821982948.db2.gz LKQWEDZKEBYBGV-ZBFHGGJFSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CCO[C@@](C)(CNC(=O)CN2CC[C@H](C)C2)C1 ZINC001108216347 821988382 /nfs/dbraw/zinc/98/83/82/821988382.db2.gz XEEFEYYRNPLWLC-HOCLYGCPSA-N 0 1 295.427 0.721 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cn(CCC)nn1 ZINC001085897884 822006585 /nfs/dbraw/zinc/00/65/85/822006585.db2.gz PWNUOQIPGJLJHW-ZDUSSCGKSA-N 0 1 289.383 0.858 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@H]2CCN2C[C@@H](C)O)nc1 ZINC001085934379 822023362 /nfs/dbraw/zinc/02/33/62/822023362.db2.gz ZVLLHBVAPXNZDB-TZMCWYRMSA-N 0 1 287.363 0.590 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCN1C(C)=O ZINC001085934505 822025229 /nfs/dbraw/zinc/02/52/29/822025229.db2.gz DEJWFZMLFJGOFP-GJZGRUSLSA-N 0 1 291.395 0.553 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCC2CCOCC2)[C@H](O)C1 ZINC001100026707 822101579 /nfs/dbraw/zinc/10/15/79/822101579.db2.gz GUOAMRAEQKDRHP-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN C[C@@H](CNC(=O)c1cnn[nH]1)Nc1ncc(C#N)cc1F ZINC001098405451 822108160 /nfs/dbraw/zinc/10/81/60/822108160.db2.gz YZYADOUXCQLALS-ZETCQYMHSA-N 0 1 289.274 0.441 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)CCOC)[C@H](O)C1 ZINC001100062519 822204357 /nfs/dbraw/zinc/20/43/57/822204357.db2.gz VGFLVMBTONFCGX-UONOGXRCSA-N 0 1 296.411 0.624 20 30 CCEDMN CCn1ncnc1CNCCCNC(=O)[C@H](C)C#N ZINC001155836227 822257274 /nfs/dbraw/zinc/25/72/74/822257274.db2.gz LNRHYEDIDXHOBA-SNVBAGLBSA-N 0 1 264.333 0.054 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCn2cccn2)[C@@H](O)C1 ZINC001100117643 822325461 /nfs/dbraw/zinc/32/54/61/822325461.db2.gz MIAATCAESYKSBW-KBPBESRZSA-N 0 1 292.383 0.401 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2cccnn2)C1 ZINC001108299610 822334571 /nfs/dbraw/zinc/33/45/71/822334571.db2.gz PHGGQYDIGDFPLJ-OAHLLOKOSA-N 0 1 290.367 0.873 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H](C)CNc2ccncc2C#N)[nH]n1 ZINC001108303938 822348165 /nfs/dbraw/zinc/34/81/65/822348165.db2.gz GCVKGGAXRINYLJ-NSHDSACASA-N 0 1 298.350 0.566 20 30 CCEDMN Cc1cc(N(C)[C@@H](C)CNC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001113969893 837387053 /nfs/dbraw/zinc/38/70/53/837387053.db2.gz CZZFOYIEHKQBQX-JTQLQIEISA-N 0 1 299.338 0.635 20 30 CCEDMN C[C@@H](CNC(=O)c1cnn[nH]1)N(C)c1ccc(C#N)nc1 ZINC001113969964 837387576 /nfs/dbraw/zinc/38/75/76/837387576.db2.gz FGLKXDXZHRHQEE-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@](C)(O)C1CC1 ZINC001114148170 837454960 /nfs/dbraw/zinc/45/49/60/837454960.db2.gz BJDQFPSFPOPLFB-MXYBEHONSA-N 0 1 262.353 0.217 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OCC)C(C)C ZINC001114249725 837486658 /nfs/dbraw/zinc/48/66/58/837486658.db2.gz ICRLCXPCJGCDBW-DGAVXFQQSA-N 0 1 264.369 0.727 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)/C(C)=C\C)C[C@@H]1n1ccnn1 ZINC001129723773 837550825 /nfs/dbraw/zinc/55/08/25/837550825.db2.gz LVZXKEMKNRDTDX-YCYRZOEZSA-N 0 1 287.367 0.609 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)[C@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129966460 837599421 /nfs/dbraw/zinc/59/94/21/837599421.db2.gz QTCBJESIIBKOOH-UPJWGTAASA-N 0 1 293.346 0.391 20 30 CCEDMN Cc1cnc(NC(=N)N2CCN(C)CC2)c(C#N)n1 ZINC001157915466 837695725 /nfs/dbraw/zinc/69/57/25/837695725.db2.gz PSLUFXZLNVPGMB-UHFFFAOYSA-N 0 1 259.317 0.251 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001130201136 837731588 /nfs/dbraw/zinc/73/15/88/837731588.db2.gz WEJPGTGZVPUVRW-LBPRGKRZSA-N 0 1 273.764 0.361 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn2c(n1)COCC2 ZINC001130248906 837738785 /nfs/dbraw/zinc/73/87/85/837738785.db2.gz ZNJMBIACBBCRDQ-UHFFFAOYSA-N 0 1 284.747 0.485 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCc2nccn2C1 ZINC001130478189 837802276 /nfs/dbraw/zinc/80/22/76/837802276.db2.gz VHRAXHBEUJJQQW-LLVKDONJSA-N 0 1 282.775 0.904 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cc(Cl)n(C)n2)[C@H](O)C1 ZINC001090418395 835979089 /nfs/dbraw/zinc/97/90/89/835979089.db2.gz XXCVCIBMTSCVGJ-MWLCHTKSSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(Cl)n(C)n2)[C@H](O)C1 ZINC001090418395 835979098 /nfs/dbraw/zinc/97/90/98/835979098.db2.gz XXCVCIBMTSCVGJ-MWLCHTKSSA-N 0 1 298.774 0.425 20 30 CCEDMN C[C@@H](CNc1ccncc1C#N)NC(=O)CN1CCCC1 ZINC001108384824 835997873 /nfs/dbraw/zinc/99/78/73/835997873.db2.gz FHBCHWPPFJEFLX-LBPRGKRZSA-N 0 1 287.367 0.388 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](CNCC#N)[C@H](C)C2)[nH]1 ZINC001184053239 844147185 /nfs/dbraw/zinc/14/71/85/844147185.db2.gz GBKCYGAURSBMDK-PWSUYJOCSA-N 0 1 275.356 0.930 20 30 CCEDMN CCOC(=O)[C@H]1CC[C@@H](NC2(C#N)CCN(C)CC2)CO1 ZINC001169375924 836248421 /nfs/dbraw/zinc/24/84/21/836248421.db2.gz RDUXUPNURVBYIV-CHWSQXEVSA-N 0 1 295.383 0.675 20 30 CCEDMN C=C[C@@H](CO)Nc1ccc(N2CCN(C)CC2)cn1 ZINC001169786596 836444676 /nfs/dbraw/zinc/44/46/76/836444676.db2.gz HVUFPVJAOVPEBA-LBPRGKRZSA-N 0 1 262.357 0.792 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)CCC)C2 ZINC001110345054 844170211 /nfs/dbraw/zinc/17/02/11/844170211.db2.gz GKDQKCZXZNNDRV-MCIONIFRSA-N 0 1 291.395 0.648 20 30 CCEDMN C[C@@H](O)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CSCC#N)C2 ZINC001108952401 836580674 /nfs/dbraw/zinc/58/06/74/836580674.db2.gz YMKWIGFVYOLOTK-WYUUTHIRSA-N 0 1 283.397 0.345 20 30 CCEDMN Cc1nc(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@H](C)C#N)C3)n[nH]1 ZINC001109088349 836612423 /nfs/dbraw/zinc/61/24/23/836612423.db2.gz MJVGYADSXQTVDG-YJQGPUDQSA-N 0 1 288.355 0.494 20 30 CCEDMN C[C@@H](CN(C)c1ccc(C#N)cn1)NC(=O)Cc1nnc[nH]1 ZINC001109080843 836613366 /nfs/dbraw/zinc/61/33/66/836613366.db2.gz GMGUKPJEEROEFB-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN C[C@@H](CN(C)c1cncc(C#N)n1)NC(=O)Cc1cnc[nH]1 ZINC001109265728 836649553 /nfs/dbraw/zinc/64/95/53/836649553.db2.gz VWFWUUPJHLHBGC-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN N#Cc1ccc(NC[C@H](NC(=O)c2ncn[nH]2)C2CC2)cn1 ZINC001109885098 836743408 /nfs/dbraw/zinc/74/34/08/836743408.db2.gz UEJWWTPXXNZUKR-LBPRGKRZSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(NC[C@H](NC(=O)c2nc[nH]n2)C2CC2)cn1 ZINC001109885098 836743412 /nfs/dbraw/zinc/74/34/12/836743412.db2.gz UEJWWTPXXNZUKR-LBPRGKRZSA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCOc1ccc(NC[C@@H]2COCCN2)nc1C#N ZINC001170041705 836769693 /nfs/dbraw/zinc/76/96/93/836769693.db2.gz KMNSGLFXQNFACC-LLVKDONJSA-N 0 1 274.324 0.918 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)[C@H](O)C1 ZINC001090439790 836800220 /nfs/dbraw/zinc/80/02/20/836800220.db2.gz WTRQWDZSXDUYOR-CHWSQXEVSA-N 0 1 291.351 0.067 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(CC[C@H]2CCOC2)CC1 ZINC001112814419 836924269 /nfs/dbraw/zinc/92/42/69/836924269.db2.gz PMUHGJXNYYNOJG-GOEBONIOSA-N 0 1 296.411 0.884 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(C[C@@H]2CCCOC2)CC1 ZINC001112846059 836939051 /nfs/dbraw/zinc/93/90/51/836939051.db2.gz DQDOXFKTXVXJRC-CABCVRRESA-N 0 1 294.395 0.596 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@@H](C)Nc1ccncc1C#N ZINC001113114776 837028026 /nfs/dbraw/zinc/02/80/26/837028026.db2.gz NJGYIPCSIYBLDY-RKDXNWHRSA-N 0 1 285.311 0.112 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@@H](C)Nc1ccncc1C#N ZINC001113114776 837028032 /nfs/dbraw/zinc/02/80/32/837028032.db2.gz NJGYIPCSIYBLDY-RKDXNWHRSA-N 0 1 285.311 0.112 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@]2(C)CNC(=O)C2)CC1 ZINC001113136978 837036663 /nfs/dbraw/zinc/03/66/63/837036663.db2.gz OVCQTVHTQVAUPZ-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113356265 837095459 /nfs/dbraw/zinc/09/54/59/837095459.db2.gz DJUXLTAJBLQLBY-DTWKUNHWSA-N 0 1 285.311 0.690 20 30 CCEDMN Cc1ccc(C#N)c(N[C@@H](C)[C@@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001113357062 837098838 /nfs/dbraw/zinc/09/88/38/837098838.db2.gz PVSWSEVCJXJRQM-VHSXEESVSA-N 0 1 299.338 0.999 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](CC)NC(C)=O)CC1 ZINC001113373249 837102273 /nfs/dbraw/zinc/10/22/73/837102273.db2.gz XHLKWWHWMHCDJM-ZDUSSCGKSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCCN1CCN(C(=O)C2(C(=O)NC)CC2)CC1 ZINC001113429155 837113502 /nfs/dbraw/zinc/11/35/02/837113502.db2.gz MTAYTKKSYAIBLN-UHFFFAOYSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC001113713560 837204827 /nfs/dbraw/zinc/20/48/27/837204827.db2.gz SQLFRDYAQOLPJY-UONOGXRCSA-N 0 1 282.384 0.758 20 30 CCEDMN C#CCCN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(N)=O)C1 ZINC001086606853 837224323 /nfs/dbraw/zinc/22/43/23/837224323.db2.gz ARHSTRZFXAGGQO-UONOGXRCSA-N 0 1 285.347 0.079 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1CC1 ZINC001113775595 837229989 /nfs/dbraw/zinc/22/99/89/837229989.db2.gz WIVJFVVHLDCTCV-PBOSXPJTSA-N 0 1 291.395 0.524 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(OC)n2)[C@@H](O)C1 ZINC001090461288 837232514 /nfs/dbraw/zinc/23/25/14/837232514.db2.gz ZPKHYPWWWWPELA-KOLCDFICSA-N 0 1 281.312 0.034 20 30 CCEDMN CCc1nnc([C@H](C)NCCCNC(=O)C#CC2CC2)[nH]1 ZINC001157682334 837235103 /nfs/dbraw/zinc/23/51/03/837235103.db2.gz BRHVMNMGQLADLK-NSHDSACASA-N 0 1 289.383 0.937 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CSC ZINC001113816890 837268431 /nfs/dbraw/zinc/26/84/31/837268431.db2.gz ZVEZWTDTNFWLRO-DCQANWLSSA-N 0 1 297.424 0.087 20 30 CCEDMN C=CCNC(=O)c1ccc(NCC2CN(C)C2)nc1 ZINC001170253424 837285384 /nfs/dbraw/zinc/28/53/84/837285384.db2.gz KAMSFQLQFVMLAA-UHFFFAOYSA-N 0 1 260.341 0.971 20 30 CCEDMN CCCSCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001113950713 837381132 /nfs/dbraw/zinc/38/11/32/837381132.db2.gz MTPWNXZHERMZNJ-NHAGDIPZSA-N 0 1 296.436 0.826 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cocc2C)[C@H](O)C1 ZINC001090490593 837887179 /nfs/dbraw/zinc/88/71/79/837887179.db2.gz BBJMPCQJZAWRRR-QWHCGFSZSA-N 0 1 264.325 0.939 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn2cccnc2n1 ZINC001130800082 837931964 /nfs/dbraw/zinc/93/19/64/837931964.db2.gz CBASTNVZERBJMF-UHFFFAOYSA-N 0 1 279.731 0.801 20 30 CCEDMN C=C(C)CN1CCOC[C@@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001130823873 837934104 /nfs/dbraw/zinc/93/41/04/837934104.db2.gz ZFHUDSOSTLRZBQ-LLVKDONJSA-N 0 1 293.371 0.780 20 30 CCEDMN C=C(C)CN1CCOC[C@@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001130823873 837934114 /nfs/dbraw/zinc/93/41/14/837934114.db2.gz ZFHUDSOSTLRZBQ-LLVKDONJSA-N 0 1 293.371 0.780 20 30 CCEDMN C#CCCCC(=O)N1CCC(=O)NCCN(C(C)C)CC1 ZINC001131357313 838061642 /nfs/dbraw/zinc/06/16/42/838061642.db2.gz PIQIAKQRBJCMOI-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCCC(=O)NC)CC[C@H]1C ZINC001131977426 838280738 /nfs/dbraw/zinc/28/07/38/838280738.db2.gz XEVOFLQTTWQYJX-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCn2ccnn2)CC[C@@H]1C ZINC001131988291 838289269 /nfs/dbraw/zinc/28/92/69/838289269.db2.gz LHTQCWZBMIGSKP-KBPBESRZSA-N 0 1 289.383 0.661 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C(=O)NCC(F)F)CC[C@@H]1C ZINC001132145689 838328336 /nfs/dbraw/zinc/32/83/36/838328336.db2.gz RQRQQRBRIVEOCL-VHSXEESVSA-N 0 1 289.326 0.523 20 30 CCEDMN C[C@@H](CC(N)=O)C(=O)NCCNCC#Cc1ccccc1 ZINC001132349339 838370221 /nfs/dbraw/zinc/37/02/21/838370221.db2.gz CNDMGGKDEUOSAJ-ZDUSSCGKSA-N 0 1 287.363 0.255 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1cnnn1CC ZINC001132568702 838438045 /nfs/dbraw/zinc/43/80/45/838438045.db2.gz CGMNDMSRXGXKSA-RYUDHWBXSA-N 0 1 279.388 0.962 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)[C@H](O)C1 ZINC001090508378 838663135 /nfs/dbraw/zinc/66/31/35/838663135.db2.gz VWQFFSHXXUELIY-MBJXGIAVSA-N 0 1 264.369 0.770 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)C#CC1CC1)NCc1ncnn1C ZINC001134329629 838950228 /nfs/dbraw/zinc/95/02/28/838950228.db2.gz QEDVGMZCTHKKOI-RYUDHWBXSA-N 0 1 289.383 0.601 20 30 CCEDMN C[C@H](C[C@H](C)NCc1ncnn1C)NC(=O)C#CC1CC1 ZINC001134329628 838950693 /nfs/dbraw/zinc/95/06/93/838950693.db2.gz QEDVGMZCTHKKOI-NWDGAFQWSA-N 0 1 289.383 0.601 20 30 CCEDMN C[C@H](C[C@H](C)NCC#N)NC(=O)CCc1c[nH]nn1 ZINC001135497158 839268443 /nfs/dbraw/zinc/26/84/43/839268443.db2.gz QZHNBULYZHRNNC-VHSXEESVSA-N 0 1 264.333 0.134 20 30 CCEDMN C[C@H](C[C@H](C)NCC#N)NC(=O)CCc1cnn[nH]1 ZINC001135497158 839268456 /nfs/dbraw/zinc/26/84/56/839268456.db2.gz QZHNBULYZHRNNC-VHSXEESVSA-N 0 1 264.333 0.134 20 30 CCEDMN CC[C@@](N)(CO)Nc1ncc(Br)nc1C#N ZINC001170865258 839460449 /nfs/dbraw/zinc/46/04/49/839460449.db2.gz LIIQHVUTTOGKBX-VIFPVBQESA-N 0 1 286.133 0.580 20 30 CCEDMN CC#CC[NH2+][C@@H](C)C[C@@H](C)NC(=O)Cc1nnc[nH]1 ZINC001135895692 839462112 /nfs/dbraw/zinc/46/21/12/839462112.db2.gz MEVKYVARIBEYJC-WDEREUQCSA-N 0 1 263.345 0.243 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2conc2C)[C@@H](O)C1 ZINC001090536106 839624263 /nfs/dbraw/zinc/62/42/63/839624263.db2.gz AGCJWKNJHNJJOZ-RYUDHWBXSA-N 0 1 265.313 0.334 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C)CC=CC2)[C@@H](O)C1 ZINC001090548834 839631104 /nfs/dbraw/zinc/63/11/04/839631104.db2.gz DIOMNHJNZCVOIG-KGLIPLIRSA-N 0 1 276.380 0.917 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CC3(CC3)C2)[C@@H](O)C1 ZINC001090561155 839646689 /nfs/dbraw/zinc/64/66/89/839646689.db2.gz ZHBVAXMHKXFYCX-STQMWFEESA-N 0 1 264.369 0.914 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]c(C)nc2C)[C@@H](O)C1 ZINC001090582300 839656506 /nfs/dbraw/zinc/65/65/06/839656506.db2.gz XUYPEZZDFNZKGK-STQMWFEESA-N 0 1 290.367 0.215 20 30 CCEDMN C#CCCNCc1cn([C@H]2CCCN(C(C)=O)C2)nn1 ZINC001185834751 844464474 /nfs/dbraw/zinc/46/44/74/844464474.db2.gz RWCHJXWYAWPKNA-AWEZNQCLSA-N 0 1 275.356 0.574 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nccs2)[C@H](O)C1 ZINC001090667436 839714950 /nfs/dbraw/zinc/71/49/50/839714950.db2.gz KFMUYIJZAFWMCX-NXEZZACHSA-N 0 1 267.354 0.494 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2csc(C)n2)[C@H](O)C1 ZINC001090790604 839813557 /nfs/dbraw/zinc/81/35/57/839813557.db2.gz MYZLOIFLMWYYLE-QWHCGFSZSA-N 0 1 295.408 0.731 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@H](C(=O)OC(C)(C)C)C1 ZINC001160476649 839913731 /nfs/dbraw/zinc/91/37/31/839913731.db2.gz JUNOILAIDFZOKA-NHYWBVRUSA-N 0 1 280.368 0.917 20 30 CCEDMN CC(=O)N[C@@H](CS)C(=O)Nc1cc(=O)[nH]c(Cl)n1 ZINC001144402226 840037630 /nfs/dbraw/zinc/03/76/30/840037630.db2.gz IPFGKKZBNPHUFL-YFKPBYRVSA-N 0 1 290.732 0.209 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H]2CN(CC#CC)C[C@H]21 ZINC001187327287 844693666 /nfs/dbraw/zinc/69/36/66/844693666.db2.gz YXKVNFFDYDHWBM-UONOGXRCSA-N 0 1 262.353 0.745 20 30 CCEDMN CCc1cc(C(=O)N2C[C@H]3CN(CC#N)C[C@@]3(C)C2)n[nH]1 ZINC001091496860 840240374 /nfs/dbraw/zinc/24/03/74/840240374.db2.gz WWZIHYBXVOAXFD-ABAIWWIYSA-N 0 1 287.367 0.890 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)CCN1CC[C@@H](F)C1 ZINC001146518180 840318644 /nfs/dbraw/zinc/31/86/44/840318644.db2.gz ZIMZCMZKBOHGLD-GHMZBOCLSA-N 0 1 255.293 0.171 20 30 CCEDMN CCCOCC(=O)N1CC2(C1)CCN(CC#CCOC)C2 ZINC001147194788 840516786 /nfs/dbraw/zinc/51/67/86/840516786.db2.gz WGWMGLJUKXIUEQ-UHFFFAOYSA-N 0 1 294.395 0.597 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1cnn(CC)c1 ZINC001147369423 840571938 /nfs/dbraw/zinc/57/19/38/840571938.db2.gz UTHIFUVNTPXEMS-UHFFFAOYSA-N 0 1 270.764 0.904 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)c3cc[nH]c3)C2)C1 ZINC001147598347 840630431 /nfs/dbraw/zinc/63/04/31/840630431.db2.gz PFHBYXFOQYWBOG-UHFFFAOYSA-N 0 1 287.363 0.812 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CN(C)C(=O)C(C)C)C2)C1 ZINC001147647354 840646945 /nfs/dbraw/zinc/64/69/45/840646945.db2.gz SCGKRIOZDZPYMK-UHFFFAOYSA-N 0 1 291.395 0.268 20 30 CCEDMN C=C(Br)CNC[C@@H](O)COCCOC ZINC000234888649 840704538 /nfs/dbraw/zinc/70/45/38/840704538.db2.gz JSBPIASMJXAXFS-SECBINFHSA-N 0 1 268.151 0.509 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cnoc2C)cn1 ZINC001148383249 840799573 /nfs/dbraw/zinc/79/95/73/840799573.db2.gz ZXCXNONYIBDPAD-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN C[C@H](CNC(=O)CCc1cnc[nH]1)Nc1nccnc1C#N ZINC001098344903 840964049 /nfs/dbraw/zinc/96/40/49/840964049.db2.gz YJIUZVRLIOTPSZ-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CN(C(=O)C3(C(N)=O)CC3)C[C@]2(C)C1 ZINC001091970171 840975351 /nfs/dbraw/zinc/97/53/51/840975351.db2.gz GDDDKDOGHUJLHA-DOMZBBRYSA-N 0 1 289.379 0.056 20 30 CCEDMN CC(C)NCc1cn(C2CN(C(=O)[C@H](C)C#N)C2)nn1 ZINC001093312380 841214720 /nfs/dbraw/zinc/21/47/20/841214720.db2.gz SKDTUOQKXZXMLS-SNVBAGLBSA-N 0 1 276.344 0.319 20 30 CCEDMN CCNCc1cn(C2CN(C(=O)c3cc(C#N)c[nH]3)C2)nn1 ZINC001093313611 841215615 /nfs/dbraw/zinc/21/56/15/841215615.db2.gz MLVBULRDMJKOSX-UHFFFAOYSA-N 0 1 299.338 0.284 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](CNCc2csnn2)[C@H](C)C1 ZINC001093677588 841425782 /nfs/dbraw/zinc/42/57/82/841425782.db2.gz AGLUVGQFQLXHIX-HBNTYKKESA-N 0 1 293.396 0.882 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCCNc1nccnc1C#N ZINC001094339578 841608584 /nfs/dbraw/zinc/60/85/84/841608584.db2.gz ANQDGFDGCJECPT-GFCCVEGCSA-N 0 1 288.355 0.361 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CNC(=O)c3[n-]nnc3C)CCC[C@@H]12 ZINC001094485763 841639700 /nfs/dbraw/zinc/63/97/00/841639700.db2.gz YMTXRIAHTLZXFJ-IUODEOHRSA-N 0 1 287.367 0.721 20 30 CCEDMN C#CC[N@H+]1CC[C@@]2(CNC(=O)c3[n-]nnc3C)CCC[C@@H]12 ZINC001094485763 841639704 /nfs/dbraw/zinc/63/97/04/841639704.db2.gz YMTXRIAHTLZXFJ-IUODEOHRSA-N 0 1 287.367 0.721 20 30 CCEDMN N#Cc1cccnc1NCCCNC(=O)Cc1cnc[nH]1 ZINC001094806843 841729405 /nfs/dbraw/zinc/72/94/05/841729405.db2.gz BUKJGJZQKWKKNM-UHFFFAOYSA-N 0 1 284.323 0.837 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN1CCCC1=O)C2 ZINC001095154917 842080810 /nfs/dbraw/zinc/08/08/10/842080810.db2.gz XWEBKWSWOZOOMF-AGIUHOORSA-N 0 1 277.368 0.516 20 30 CCEDMN CC1(C#N)CCC(n2cnc(C[C@H](N)C(N)=O)c2)CC1 ZINC001173592565 842114218 /nfs/dbraw/zinc/11/42/18/842114218.db2.gz UACHPPIUFMCTEG-LXVYMNJGSA-N 0 1 275.356 0.883 20 30 CCEDMN N#Cc1nc(N)c(Nc2ccc3nn[nH]c3c2)nc1C#N ZINC001175998513 842300839 /nfs/dbraw/zinc/30/08/39/842300839.db2.gz BUKDOQZOJCMEID-UHFFFAOYSA-N 0 1 277.251 0.817 20 30 CCEDMN N#Cc1nc(N)c(Nc2ccc3n[nH]nc3c2)nc1C#N ZINC001175998513 842300851 /nfs/dbraw/zinc/30/08/51/842300851.db2.gz BUKDOQZOJCMEID-UHFFFAOYSA-N 0 1 277.251 0.817 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2nonc2C)C1 ZINC001150546187 842303408 /nfs/dbraw/zinc/30/34/08/842303408.db2.gz AINBRGRXQOYTFC-GFCCVEGCSA-N 0 1 294.355 0.314 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])C(=O)OCC ZINC001176172111 842334026 /nfs/dbraw/zinc/33/40/26/842334026.db2.gz DZFXPLRMHYTGSR-MRVPVSSYSA-N 0 1 296.283 0.946 20 30 CCEDMN CN1CCN(c2ccc(-n3cc(N)c(C#N)n3)cn2)CC1 ZINC001176654304 842401910 /nfs/dbraw/zinc/40/19/10/842401910.db2.gz BDHSQPUZDHMXGR-UHFFFAOYSA-N 0 1 283.339 0.473 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C[N@@H+](C)CCC)C1 ZINC001150626203 842412359 /nfs/dbraw/zinc/41/23/59/842412359.db2.gz TYRKRJDQNXXINX-OAHLLOKOSA-N 0 1 295.427 0.559 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N1CC[C@H]2OCCN(CC#N)[C@@H]2C1 ZINC001177137781 842511353 /nfs/dbraw/zinc/51/13/53/842511353.db2.gz GJYOBJDCGGIHCK-ZIAGYGMSSA-N 0 1 294.399 0.153 20 30 CCEDMN C=C[C@@H](CO)NC(=O)C1CCN(Cc2ccccn2)CC1 ZINC001177213466 842516579 /nfs/dbraw/zinc/51/65/79/842516579.db2.gz ZAXWXXGTXAKGBO-AWEZNQCLSA-N 0 1 289.379 0.957 20 30 CCEDMN N#CNC(=NC(=O)[C@H]1CCc2[nH]cnc2C1)c1ccncc1 ZINC001177181396 842518764 /nfs/dbraw/zinc/51/87/64/842518764.db2.gz GWEJAPMCYHCDIK-NSHDSACASA-N 0 1 294.318 0.954 20 30 CCEDMN CN(C[C@H](O)CO)C(=O)C(C#N)Cc1cccs1 ZINC001177908359 842705406 /nfs/dbraw/zinc/70/54/06/842705406.db2.gz KIJUFJRIYRXJDW-UWVGGRQHSA-N 0 1 268.338 0.242 20 30 CCEDMN CNOCCNC(=O)C(C#N)Cc1cccs1 ZINC001177914775 842707588 /nfs/dbraw/zinc/70/75/88/842707588.db2.gz DGMPMGMBPDBARV-VIFPVBQESA-N 0 1 253.327 0.698 20 30 CCEDMN N#CCNCCCCCCNC(=O)c1cnn[nH]1 ZINC001178924213 842940757 /nfs/dbraw/zinc/94/07/57/842940757.db2.gz TUTROUXIBKNPHY-UHFFFAOYSA-N 0 1 250.306 0.208 20 30 CCEDMN N=C(c1nonc1N)N(O)C(=O)[C@@H](F)c1ccccc1 ZINC001180141513 843106412 /nfs/dbraw/zinc/10/64/12/843106412.db2.gz YDAWQRRKQOZYJC-ZETCQYMHSA-N 0 1 279.231 0.906 20 30 CCEDMN CN(CC(=O)Nc1nc(C#N)c(C#N)nc1N)C1CCCC1 ZINC001180625570 843216201 /nfs/dbraw/zinc/21/62/01/843216201.db2.gz VRFDDSYELHZDSB-UHFFFAOYSA-N 0 1 299.338 0.615 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NCc2cnnn2C)C1 ZINC001181510779 843503826 /nfs/dbraw/zinc/50/38/26/843503826.db2.gz DYGNMZOGAKUEJI-LLVKDONJSA-N 0 1 263.345 0.472 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@H](CO)c1ccccc1 ZINC001181921961 843646849 /nfs/dbraw/zinc/64/68/49/843646849.db2.gz GILIHSMIVJBGSD-SNVBAGLBSA-N 0 1 256.265 0.996 20 30 CCEDMN CC(=O)O[C@H]1CO[C@H](C(=O)Nc2nc[nH]c2C#N)S1 ZINC001182205741 843748679 /nfs/dbraw/zinc/74/86/79/843748679.db2.gz BMLYEHFHDMWJRM-XCBNKYQSSA-N 0 1 282.281 0.199 20 30 CCEDMN N#Cc1ccc(OCC(=O)N2CCN3CC[C@@H]3C2)cc1 ZINC001182916564 843938570 /nfs/dbraw/zinc/93/85/70/843938570.db2.gz LQPARICOBSHUQH-CYBMUJFWSA-N 0 1 271.320 0.854 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CN(C)C(=O)C2CC2)C1 ZINC001182998622 843947190 /nfs/dbraw/zinc/94/71/90/843947190.db2.gz CEUSGPHHFZRYGT-GFCCVEGCSA-N 0 1 299.802 0.798 20 30 CCEDMN O=C(NC1=CC(=O)CCC1)C(CO)C(F)(F)F ZINC001183286772 844004029 /nfs/dbraw/zinc/00/40/29/844004029.db2.gz BWXJZTQGQHZPHR-QMMMGPOBSA-N 0 1 251.204 0.910 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C2CCOCC2)C1 ZINC001188608855 844920448 /nfs/dbraw/zinc/92/04/48/844920448.db2.gz DREKZIIVVSDQHH-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN N#C[C@@H](NC(=O)c1ccc(O)c(C(F)(F)F)c1)C(N)=O ZINC001188588269 844927723 /nfs/dbraw/zinc/92/77/23/844927723.db2.gz LIZYMGDVSAQNSK-SSDOTTSWSA-N 0 1 287.197 0.518 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cnccn2)C1 ZINC001188685922 844948972 /nfs/dbraw/zinc/94/89/72/844948972.db2.gz UZJNCKOQKJJUQA-CYBMUJFWSA-N 0 1 288.351 0.273 20 30 CCEDMN Cc1nc(C#N)cnc1NS(=O)(=O)CC1CC1 ZINC001188936995 845000074 /nfs/dbraw/zinc/00/00/74/845000074.db2.gz GCWZZKQXCWUBQO-UHFFFAOYSA-N 0 1 252.299 0.808 20 30 CCEDMN C#Cc1cnc(NS(=O)(=O)CC2CC2)c(C#C)n1 ZINC001188937759 845000709 /nfs/dbraw/zinc/00/07/09/845000709.db2.gz USUUZCPYZUDVDY-UHFFFAOYSA-N 0 1 261.306 0.591 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)SC)C1 ZINC001189014203 845025509 /nfs/dbraw/zinc/02/55/09/845025509.db2.gz VWQRRBAJJOFLEX-STQMWFEESA-N 0 1 284.425 0.920 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H](C)OC)C1 ZINC001189287097 845095494 /nfs/dbraw/zinc/09/54/94/845095494.db2.gz CGNRCWTWQNLVJY-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnnc(C)c2)C1 ZINC001189827934 845219743 /nfs/dbraw/zinc/21/97/43/845219743.db2.gz LVCISXDOXGEJMR-CQSZACIVSA-N 0 1 272.352 0.955 20 30 CCEDMN N#CC1(NS(=O)(=O)c2ncc(F)cc2F)CC1 ZINC001190189499 845347656 /nfs/dbraw/zinc/34/76/56/845347656.db2.gz GWVWRIGZYODGGY-UHFFFAOYSA-N 0 1 259.237 0.694 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001190278463 845380958 /nfs/dbraw/zinc/38/09/58/845380958.db2.gz IATOHRJVHBJCIS-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCC1(C(=O)N2CC[C@@H](N(C)[C@H](C)C(N)=O)C2)CCC1 ZINC001190603241 845443569 /nfs/dbraw/zinc/44/35/69/845443569.db2.gz ANOXYSNZLAEZNH-CHWSQXEVSA-N 0 1 291.395 0.587 20 30 CCEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)c1ncc[nH]1 ZINC001190707892 845459027 /nfs/dbraw/zinc/45/90/27/845459027.db2.gz PSABRSISVPKOME-OWUUHHOZSA-N 0 1 266.326 0.865 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001191092148 845594775 /nfs/dbraw/zinc/59/47/75/845594775.db2.gz RWPDSAUXKKOSTJ-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccnc(OC)n2)C1 ZINC001191285326 845622397 /nfs/dbraw/zinc/62/23/97/845622397.db2.gz YQCAOPRWHNKMDN-LBPRGKRZSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@]2(COC)CCOC2)C1 ZINC001191567437 845693050 /nfs/dbraw/zinc/69/30/50/845693050.db2.gz APNMSDSRKKPDKX-HIFRSBDPSA-N 0 1 280.368 0.205 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2c[nH]nc2C)C1 ZINC001191641191 845704976 /nfs/dbraw/zinc/70/49/76/845704976.db2.gz PEOOALGUOBFEOT-CYBMUJFWSA-N 0 1 290.367 0.514 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2n[nH]nc2C)C1 ZINC001191674260 845705415 /nfs/dbraw/zinc/70/54/15/845705415.db2.gz FTBNBKDBXJQELJ-NSHDSACASA-N 0 1 261.329 0.283 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001191674253 845705780 /nfs/dbraw/zinc/70/57/80/845705780.db2.gz FPJAJTKSHBNVMY-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN CCCN1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192813808 845914969 /nfs/dbraw/zinc/91/49/69/845914969.db2.gz PBYCWMZMWCINEZ-VXGBXAGGSA-N 0 1 252.358 0.607 20 30 CCEDMN COC(=O)c1cnc(NS(=O)(=O)CC#N)c(Cl)c1 ZINC001192939683 845943353 /nfs/dbraw/zinc/94/33/53/845943353.db2.gz VXOINFQQPIHUMZ-UHFFFAOYSA-N 0 1 289.700 0.787 20 30 CCEDMN CC1(C)CNC(=O)c2cc(NS(=O)(=O)CC#N)ccc21 ZINC001192986353 845944444 /nfs/dbraw/zinc/94/44/44/845944444.db2.gz ZAYKXZONBNPMPA-UHFFFAOYSA-N 0 1 293.348 0.973 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(C)CCOC2)C1 ZINC001193047604 845964397 /nfs/dbraw/zinc/96/43/97/845964397.db2.gz GYNFEMBVLCDVDA-HIFRSBDPSA-N 0 1 264.369 0.969 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cccc(CO)c1F ZINC001193151179 846007044 /nfs/dbraw/zinc/00/70/44/846007044.db2.gz OBQLHBKEKOPEMK-SSDOTTSWSA-N 0 1 258.274 0.972 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cccc2c1CNC(=O)N2 ZINC001193158323 846008790 /nfs/dbraw/zinc/00/87/90/846008790.db2.gz NUYWBRUFCBJCEM-SSDOTTSWSA-N 0 1 280.309 0.975 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001193232190 846030950 /nfs/dbraw/zinc/03/09/50/846030950.db2.gz UWVGQVDSJZHGMI-ZIAGYGMSSA-N 0 1 282.384 0.563 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1O ZINC001193337632 846056247 /nfs/dbraw/zinc/05/62/47/846056247.db2.gz VYWSCKVPEVRDRL-IJLUTSLNSA-N 0 1 270.373 0.131 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](C[C@H](C)O)C[C@H]1O ZINC001193312784 846061892 /nfs/dbraw/zinc/06/18/92/846061892.db2.gz NBAQYSKTWFPUGA-JMJZKYOTSA-N 0 1 290.791 0.307 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1O ZINC001193312784 846061896 /nfs/dbraw/zinc/06/18/96/846061896.db2.gz NBAQYSKTWFPUGA-JMJZKYOTSA-N 0 1 290.791 0.307 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H]2C=CS(=O)(=O)C2)ccc1O ZINC001193511675 846101957 /nfs/dbraw/zinc/10/19/57/846101957.db2.gz FWMIGDGOHGIFLC-JTQLQIEISA-N 0 1 278.289 0.304 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@@H](O)[C@H](CO)C2)c1O ZINC001193642768 846135757 /nfs/dbraw/zinc/13/57/57/846135757.db2.gz PFZGJKQREGAUED-CMPLNLGQSA-N 0 1 276.292 0.079 20 30 CCEDMN CC(C)[C@@](C)(NC(=O)c1cccc(C#N)c1O)C(N)=O ZINC001193658107 846140506 /nfs/dbraw/zinc/14/05/06/846140506.db2.gz SUGOKICQLWWNJW-CQSZACIVSA-N 0 1 275.308 0.894 20 30 CCEDMN CC(C)C[C@H](NC(=O)c1cccc(C#N)c1O)C(=O)NO ZINC001193660265 846140558 /nfs/dbraw/zinc/14/05/58/846140558.db2.gz WNKYEXREZMYYHP-NSHDSACASA-N 0 1 291.307 0.914 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCc2nc(C)no2)C1 ZINC001194017427 846197953 /nfs/dbraw/zinc/19/79/53/846197953.db2.gz OQXKATFBVHMKOX-ZDUSSCGKSA-N 0 1 290.367 0.867 20 30 CCEDMN C=CCCN(C)[C@H]1CCN(C(=O)CS(=O)(=O)CC)C1 ZINC001194255786 846251750 /nfs/dbraw/zinc/25/17/50/846251750.db2.gz LNLFTESLPYPPBH-LBPRGKRZSA-N 0 1 288.413 0.530 20 30 CCEDMN C=CCCN(C)[C@@H]1CCN(C(=O)CS(=O)(=O)CC)C1 ZINC001194255785 846252476 /nfs/dbraw/zinc/25/24/76/846252476.db2.gz LNLFTESLPYPPBH-GFCCVEGCSA-N 0 1 288.413 0.530 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCCC(=O)NC)C1 ZINC001194387927 846283797 /nfs/dbraw/zinc/28/37/97/846283797.db2.gz ILYPEHAPJYVGKF-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN CCC[C@H](O)CN1CCC(C#N)(C(=O)OC)CC1 ZINC001194394807 846287208 /nfs/dbraw/zinc/28/72/08/846287208.db2.gz XBDGMMAHQPNFEY-NSHDSACASA-N 0 1 254.330 0.926 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCc2cn(C)nn2)C1 ZINC001194523044 846315374 /nfs/dbraw/zinc/31/53/74/846315374.db2.gz OUHWDPDDFAMMFZ-CQSZACIVSA-N 0 1 289.383 0.304 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CC[C@@]2(C)CCC(=O)N2)C1 ZINC001194565850 846325379 /nfs/dbraw/zinc/32/53/79/846325379.db2.gz AWWGWOWGRPXLCV-XJKSGUPXSA-N 0 1 291.395 0.601 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cn(-c2cnccn2)cn1 ZINC001194713353 846375202 /nfs/dbraw/zinc/37/52/02/846375202.db2.gz XAJGSCZJVSKOKZ-UHFFFAOYSA-N 0 1 280.251 0.509 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)C2=COCCO2)CC1 ZINC001194725792 846379198 /nfs/dbraw/zinc/37/91/98/846379198.db2.gz MTAKVWCMJJSPEM-UHFFFAOYSA-N 0 1 296.367 0.612 20 30 CCEDMN Cc1cc(C(=O)Nc2nc[nH]c2C#N)cn(C)c1=O ZINC001195104886 846472156 /nfs/dbraw/zinc/47/21/56/846472156.db2.gz SBAQYCROHZCHGE-UHFFFAOYSA-N 0 1 257.253 0.541 20 30 CCEDMN C=CCOCC(=O)N1CCCN(CCn2cncn2)CC1 ZINC001195284368 846496795 /nfs/dbraw/zinc/49/67/95/846496795.db2.gz VTVJCCYFSRLEDG-UHFFFAOYSA-N 0 1 293.371 0.015 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@]2(C)C=CCC2)C1 ZINC001195318453 846506756 /nfs/dbraw/zinc/50/67/56/846506756.db2.gz IVDYAGQXJBPRRO-IIAWOOMASA-N 0 1 294.395 0.707 20 30 CCEDMN C=CCNC(=O)[C@@H]1CC12CCN(CC(=O)NCC)CC2 ZINC001273699557 846541291 /nfs/dbraw/zinc/54/12/91/846541291.db2.gz ZUBUIRXQCAZQNF-LBPRGKRZSA-N 0 1 279.384 0.527 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2[C@H](CC)C(N)=O)C1=O ZINC001273703392 846593832 /nfs/dbraw/zinc/59/38/32/846593832.db2.gz GSDJNRCTMWFMDT-RISCZKNCSA-N 0 1 265.357 0.503 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1O ZINC001195724700 846602655 /nfs/dbraw/zinc/60/26/55/846602655.db2.gz CQFRIXFBNCQHHF-YNEHKIRRSA-N 0 1 270.373 0.539 20 30 CCEDMN C[N@H+]1CC[C@@H](CNC(=O)c2ccc(C#N)cc2[O-])C1 ZINC001195769482 846615683 /nfs/dbraw/zinc/61/56/83/846615683.db2.gz ZHZAFGDKCVTQFZ-NSHDSACASA-N 0 1 259.309 0.945 20 30 CCEDMN Cc1nc(CN2CCCN(C(=O)C#CC(C)C)CC2)n[nH]1 ZINC001195775243 846622067 /nfs/dbraw/zinc/62/20/67/846622067.db2.gz RWNIKIQBQVIKST-UHFFFAOYSA-N 0 1 289.383 0.807 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1CCCN(CC#N)CC1 ZINC001196025977 846671381 /nfs/dbraw/zinc/67/13/81/846671381.db2.gz XWQLCDAUSFYJCH-CYBMUJFWSA-N 0 1 284.379 0.724 20 30 CCEDMN C=CCN1CCCN(C(=O)CCNC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001196033929 846678142 /nfs/dbraw/zinc/67/81/42/846678142.db2.gz WHPWABQWMPBGFC-KBPBESRZSA-N 0 1 293.411 0.869 20 30 CCEDMN N#Cc1cccnc1C(=O)N[C@@H](CO)Cc1cnc[nH]1 ZINC001196561043 846775528 /nfs/dbraw/zinc/77/55/28/846775528.db2.gz FAULVVODPNRMMQ-LLVKDONJSA-N 0 1 271.280 0.010 20 30 CCEDMN CCOC(=O)C(C#N)NC(=O)c1ncccc1C#N ZINC001196561892 846778204 /nfs/dbraw/zinc/77/82/04/846778204.db2.gz MPGWWAOFVRKPMN-SECBINFHSA-N 0 1 258.237 0.138 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCCN(C[C@@H](O)COC)CC1 ZINC001196731548 846795655 /nfs/dbraw/zinc/79/56/55/846795655.db2.gz FSMMQKIMCFLQSX-CYBMUJFWSA-N 0 1 284.400 0.740 20 30 CCEDMN C[C@H]([C@H](C)O)N1CCN(c2ccc(C#N)nc2)CC1 ZINC001197553363 846932118 /nfs/dbraw/zinc/93/21/18/846932118.db2.gz PUTSXJLGDXERSJ-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)[C@H](C)OCCOCC)CC1 ZINC001198236358 847070893 /nfs/dbraw/zinc/07/08/93/847070893.db2.gz KYVZAOOJDNVJNA-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H](C)OCCOCC)CC1 ZINC001198236358 847070897 /nfs/dbraw/zinc/07/08/97/847070897.db2.gz KYVZAOOJDNVJNA-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](CC(C)C)C(N)=O)CC1 ZINC001198412865 847105834 /nfs/dbraw/zinc/10/58/34/847105834.db2.gz YYDAHEWALKXBAZ-CYBMUJFWSA-N 0 1 281.400 0.854 20 30 CCEDMN C#CCOC[C@@H](O)CNCC(=O)c1ccc(OC)cc1 ZINC001251821700 847135039 /nfs/dbraw/zinc/13/50/39/847135039.db2.gz VWTMRHWZBDBOHE-ZDUSSCGKSA-N 0 1 277.320 0.478 20 30 CCEDMN COCCOc1cncc(C(=O)Nc2nc[nH]c2C#N)c1 ZINC001198984511 847203351 /nfs/dbraw/zinc/20/33/51/847203351.db2.gz LBIUHZJZEACTEQ-UHFFFAOYSA-N 0 1 287.279 0.954 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1cnccc1N(C)C ZINC001199352111 847296767 /nfs/dbraw/zinc/29/67/67/847296767.db2.gz RDHZZGRGPWRUCL-SNVBAGLBSA-N 0 1 276.296 0.333 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2n[nH]c3nccnc23)[nH]1 ZINC001199680836 847398717 /nfs/dbraw/zinc/39/87/17/847398717.db2.gz VBJAZQODBGGFNY-UHFFFAOYSA-N 0 1 253.225 0.757 20 30 CCEDMN C=CCCC(=O)N1CCC([NH2+]Cc2nc(=O)n(C)[n-]2)CC1 ZINC001199726164 847410803 /nfs/dbraw/zinc/41/08/03/847410803.db2.gz ROOXCTHOSZVSQX-UHFFFAOYSA-N 0 1 293.371 0.155 20 30 CCEDMN CC(=O)NC/C=C\CNCC(=O)NCC#Cc1ccccc1 ZINC001273790985 847472316 /nfs/dbraw/zinc/47/23/16/847472316.db2.gz ILZXLLPPAXDAMW-WAYWQWQTSA-N 0 1 299.374 0.436 20 30 CCEDMN C=CCNC(=O)[C@H]1CC12CCN(CC(=O)NC1CC1)CC2 ZINC001273829907 847619840 /nfs/dbraw/zinc/61/98/40/847619840.db2.gz RCBSUIGBMIYXPX-CYBMUJFWSA-N 0 1 291.395 0.669 20 30 CCEDMN C=CCN1C(=O)COCC12CN(C[C@H](O)C(C)(C)C)C2 ZINC001273891325 847753478 /nfs/dbraw/zinc/75/34/78/847753478.db2.gz IQAVJMIEWJWDJB-LBPRGKRZSA-N 0 1 282.384 0.493 20 30 CCEDMN COc1ccc(OC)c([C@@H](O)CNCC#CCO)c1 ZINC000717532279 848275209 /nfs/dbraw/zinc/27/52/09/848275209.db2.gz MUBUOFTYLKPMOH-ZDUSSCGKSA-N 0 1 265.309 0.323 20 30 CCEDMN C=C[C@@H](O)CNc1ccc(N2CCN(C)CC2)cn1 ZINC001253567103 848308453 /nfs/dbraw/zinc/30/84/53/848308453.db2.gz KEZONPHMTXWDBJ-CYBMUJFWSA-N 0 1 262.357 0.792 20 30 CCEDMN COC(CN1CC[C@@]2(CCN(CCC#N)C2)C1=O)OC ZINC001274030722 848309607 /nfs/dbraw/zinc/30/96/07/848309607.db2.gz VPIPNEIKZGNBKY-CQSZACIVSA-N 0 1 281.356 0.443 20 30 CCEDMN CCOC(=O)[C@H](C)N1CC[C@]2(CCN(CCC#N)C2)C1=O ZINC001274030214 848310030 /nfs/dbraw/zinc/31/00/30/848310030.db2.gz GSPQXMWEEZWXTG-WFASDCNBSA-N 0 1 293.367 0.776 20 30 CCEDMN N#CCCN1CC[C@@]2(CCN(C[C@H]3CCC(=O)N3)C2=O)C1 ZINC001274032813 848312423 /nfs/dbraw/zinc/31/24/23/848312423.db2.gz WLLIORKEWFLEQA-IUODEOHRSA-N 0 1 290.367 0.103 20 30 CCEDMN C=C[C@@H](O)CNc1ccc(N2CCN(C)CC2)nc1 ZINC001253568160 848326196 /nfs/dbraw/zinc/32/61/96/848326196.db2.gz CJHPHWYRLPKEED-CYBMUJFWSA-N 0 1 262.357 0.792 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@@H](N)CC(=O)OCc1ccccc1 ZINC000717478118 848425157 /nfs/dbraw/zinc/42/51/57/848425157.db2.gz BQOAMBYRNFLTGR-STQMWFEESA-N 0 1 292.335 0.110 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)[C@@H]1CC12CC2 ZINC001274392704 848466612 /nfs/dbraw/zinc/46/66/12/848466612.db2.gz HIHZHZLMMVUEQL-PCAWENJQSA-N 0 1 277.368 0.351 20 30 CCEDMN C=CCC(C)(C)C(=O)NC/C=C\CN[C@@H]1CCNC1=O ZINC001274395543 848468305 /nfs/dbraw/zinc/46/83/05/848468305.db2.gz XAZZBFSXULGRBR-FOQNGQEVSA-N 0 1 279.384 0.739 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CNCc1cnoc1C ZINC001274732855 848553491 /nfs/dbraw/zinc/55/34/91/848553491.db2.gz IPRHBOGCRAYJEB-YGRLFVJLSA-N 0 1 281.356 0.904 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001274891324 848585438 /nfs/dbraw/zinc/58/54/38/848585438.db2.gz BTMGQSDKMDSXAG-NWDGAFQWSA-N 0 1 252.358 0.772 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CCNC(=O)C1 ZINC001275100415 848636729 /nfs/dbraw/zinc/63/67/29/848636729.db2.gz KPLKYJMQURXLJU-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1c[nH]nc1C ZINC001275127918 848644166 /nfs/dbraw/zinc/64/41/66/848644166.db2.gz BKMIJUVUFLWWDB-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N[C@@H](C)CNCC#N ZINC001275173264 848656809 /nfs/dbraw/zinc/65/68/09/848656809.db2.gz CYKLBJWRULHPRC-QWRGUYRKSA-N 0 1 258.341 0.284 20 30 CCEDMN CCN1CC[C@H](N(C)C[C@@H](C)NC(=O)C#CC2CC2)C1=O ZINC001275551936 848760254 /nfs/dbraw/zinc/76/02/54/848760254.db2.gz MMCMQKIGEDCMEI-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H]1CCCN(C(N)=O)C1 ZINC001275599363 848771594 /nfs/dbraw/zinc/77/15/94/848771594.db2.gz FWYGPDWJLKFCFR-STQMWFEESA-N 0 1 294.399 0.237 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN(C)[C@@H]1CCC(=O)NC1=O ZINC001275623689 848777624 /nfs/dbraw/zinc/77/76/24/848777624.db2.gz YKAJHOPZJDIAES-WDEREUQCSA-N 0 1 295.383 0.440 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1ccnnc1 ZINC001275657082 848784661 /nfs/dbraw/zinc/78/46/61/848784661.db2.gz ARKZRCUOCFBFMR-LBPRGKRZSA-N 0 1 276.340 0.177 20 30 CCEDMN N#CCNC[C@@H]1CCCC[C@H]1NC(=O)CN1CCCC1 ZINC001275817812 848833682 /nfs/dbraw/zinc/83/36/82/848833682.db2.gz SHSDYHDFRMVOOA-UONOGXRCSA-N 0 1 278.400 0.870 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)Cc1ccn(CC)n1 ZINC001275836635 848839915 /nfs/dbraw/zinc/83/99/15/848839915.db2.gz VDPPAYBXTTVJQF-CYBMUJFWSA-N 0 1 276.384 0.905 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1cc(F)c[nH]1 ZINC001275882385 848856092 /nfs/dbraw/zinc/85/60/92/848856092.db2.gz NGNDANYFOFQBTA-NSHDSACASA-N 0 1 281.331 0.854 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)Cn1nnc2c1CCCC2 ZINC001275928063 848869405 /nfs/dbraw/zinc/86/94/05/848869405.db2.gz DIBSLXSOKBTEQX-LBPRGKRZSA-N 0 1 289.383 0.227 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)n(C)c1)C2 ZINC001095677543 849006423 /nfs/dbraw/zinc/00/64/23/849006423.db2.gz CLJDOGNJCIUPJF-RDBSUJKOSA-N 0 1 285.347 0.354 20 30 CCEDMN CN1CCN(Cc2ccc(F)cc2C#N)C[C@@H]1CO ZINC000687595920 849126253 /nfs/dbraw/zinc/12/62/53/849126253.db2.gz LBESPKIYHYHVJX-CQSZACIVSA-N 0 1 263.316 0.806 20 30 CCEDMN O=C(NCCCc1nc[nH]n1)c1cccc(C#CCO)c1 ZINC000080632153 849195109 /nfs/dbraw/zinc/19/51/09/849195109.db2.gz ZLCUIHOGJCBQDQ-UHFFFAOYSA-N 0 1 284.319 0.511 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001038649047 849244073 /nfs/dbraw/zinc/24/40/73/849244073.db2.gz XVXWMDNDSBVVQK-MNOVXSKESA-N 0 1 273.340 0.048 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)CC(F)F ZINC001114581742 849259869 /nfs/dbraw/zinc/25/98/69/849259869.db2.gz LCHRNQIJFIFONG-UXCLJVHYSA-N 0 1 256.296 0.957 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCC(F)F ZINC001114622223 849330307 /nfs/dbraw/zinc/33/03/07/849330307.db2.gz ZOOWYJIRXCJRFN-IWIIMEHWSA-N 0 1 272.295 0.338 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCF ZINC001114703786 849373251 /nfs/dbraw/zinc/37/32/51/849373251.db2.gz YIYHGXVBLXUKHP-CIQGVGRVSA-N 0 1 297.374 0.473 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114725991 849380295 /nfs/dbraw/zinc/38/02/95/849380295.db2.gz FPDOICJWWXHVPN-FOCJUVANSA-N 0 1 293.411 0.723 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)CNC(=O)C2CC2)C1 ZINC001276341397 849431710 /nfs/dbraw/zinc/43/17/10/849431710.db2.gz BWVGUAWPQACKFQ-SNVBAGLBSA-N 0 1 265.357 0.135 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCCN(C)C(=O)[C@H](C)C#N)[nH]1 ZINC001067005530 849701698 /nfs/dbraw/zinc/70/16/98/849701698.db2.gz HUTLCNCUIFTPPQ-SNVBAGLBSA-N 0 1 291.355 0.798 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)NC[C@@H]1CCN1CC#N)C2 ZINC001038432399 849896781 /nfs/dbraw/zinc/89/67/81/849896781.db2.gz VDVBWSFLBMQMNG-RYUDHWBXSA-N 0 1 287.367 0.537 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)c1ccc2cncn2c1 ZINC001038518781 849930459 /nfs/dbraw/zinc/93/04/59/849930459.db2.gz GRONRMXQVCFBTN-CQSZACIVSA-N 0 1 268.320 0.772 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1csnn1 ZINC001038556768 849940862 /nfs/dbraw/zinc/94/08/62/849940862.db2.gz INVSUTRGUBWNGG-VIFPVBQESA-N 0 1 252.343 0.918 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001038561306 849941866 /nfs/dbraw/zinc/94/18/66/849941866.db2.gz GCIREFYQBIIPGE-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001038561306 849941874 /nfs/dbraw/zinc/94/18/74/849941874.db2.gz GCIREFYQBIIPGE-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cn(CC)nn1 ZINC001038869814 850060862 /nfs/dbraw/zinc/06/08/62/850060862.db2.gz TVNROTJDTYENFC-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001038885981 850066573 /nfs/dbraw/zinc/06/65/73/850066573.db2.gz HBJUGTCSEHPTDN-CHWSQXEVSA-N 0 1 286.379 0.728 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001039052894 850140803 /nfs/dbraw/zinc/14/08/03/850140803.db2.gz QGQBJPBTWZJTMY-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cccc(C(N)=O)n1 ZINC001039066501 850144312 /nfs/dbraw/zinc/14/43/12/850144312.db2.gz HJUHERWKGZGPQC-NSHDSACASA-N 0 1 288.351 0.561 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001039420947 850187429 /nfs/dbraw/zinc/18/74/29/850187429.db2.gz LGSPAGKDIFQFLX-SEBNEYGDSA-N 0 1 274.364 0.577 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CC[C@@]2(C1)CCCN(CC#N)C2 ZINC001040116425 850267172 /nfs/dbraw/zinc/26/71/72/850267172.db2.gz WLRBIOGGJRNGRY-HOCLYGCPSA-N 0 1 290.411 0.919 20 30 CCEDMN N#CCN1CCC[C@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC001040132040 850271658 /nfs/dbraw/zinc/27/16/58/850271658.db2.gz DJBGRNUSVGOCHV-HNNXBMFYSA-N 0 1 287.367 0.790 20 30 CCEDMN CN(C(=O)c1ccc2cncn2c1)C1CN(CC#N)C1 ZINC001043353836 850859906 /nfs/dbraw/zinc/85/99/06/850859906.db2.gz DZGMHTGOQSYWRG-UHFFFAOYSA-N 0 1 269.308 0.614 20 30 CCEDMN COC(=O)CS(=O)(=O)Nc1c(F)cc(C#N)cc1F ZINC001259025328 850860907 /nfs/dbraw/zinc/86/09/07/850860907.db2.gz RYOAXTJMURMUOE-UHFFFAOYSA-N 0 1 290.247 0.751 20 30 CCEDMN C=C(Cl)CN1CC(N(C)C(=O)C2=NC(=O)N(C)C2)C1 ZINC001043749593 850930192 /nfs/dbraw/zinc/93/01/92/850930192.db2.gz GCDLAPYVHDMRDR-UHFFFAOYSA-N 0 1 284.747 0.634 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cn(C)nc2C)CC1 ZINC001045390704 851247156 /nfs/dbraw/zinc/24/71/56/851247156.db2.gz FJOYOOQVOINRTE-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnnn2CC)CC1 ZINC001045431673 851258294 /nfs/dbraw/zinc/25/82/94/851258294.db2.gz BUNNDSCSEORXSE-UHFFFAOYSA-N 0 1 275.356 0.516 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCC(=O)N2C)CC1 ZINC001045531679 851275666 /nfs/dbraw/zinc/27/56/66/851275666.db2.gz NNZDULCNYULQPR-GFCCVEGCSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnn3cc[nH]c23)CC1 ZINC001045630129 851290414 /nfs/dbraw/zinc/29/04/14/851290414.db2.gz LRPLVQNSIBIGQA-UHFFFAOYSA-N 0 1 285.351 0.880 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCCC(=O)N2C)CC1 ZINC001045633554 851290730 /nfs/dbraw/zinc/29/07/30/851290730.db2.gz LWFLFDLDGWWGHC-CYBMUJFWSA-N 0 1 291.395 0.601 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#N ZINC001045731667 851302767 /nfs/dbraw/zinc/30/27/67/851302767.db2.gz JCVJRUWNLRDZMH-HZSPNIEDSA-N 0 1 276.384 0.669 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCCO2)C1 ZINC001046121554 851383787 /nfs/dbraw/zinc/38/37/87/851383787.db2.gz JCHNJHFQTGBFRQ-OCCSQVGLSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cnn3ccncc23)C1 ZINC001046377871 851478799 /nfs/dbraw/zinc/47/87/99/851478799.db2.gz GDXKVYAKGLCOMU-HNNXBMFYSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001046397352 851484926 /nfs/dbraw/zinc/48/49/26/851484926.db2.gz SXVIUKFYKAVKTJ-CYBMUJFWSA-N 0 1 260.297 0.010 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001046516919 851529906 /nfs/dbraw/zinc/52/99/06/851529906.db2.gz SDNKVYJZCJDKDT-WFASDCNBSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cccc(=O)n2C)C1 ZINC001046606658 851563348 /nfs/dbraw/zinc/56/33/48/851563348.db2.gz ITQPPXYYQUSPJX-HNNXBMFYSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001046621296 851568606 /nfs/dbraw/zinc/56/86/06/851568606.db2.gz JQBCCWJTJXWIIK-XUJVJEKNSA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001046621296 851568608 /nfs/dbraw/zinc/56/86/08/851568608.db2.gz JQBCCWJTJXWIIK-XUJVJEKNSA-N 0 1 277.368 0.102 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2nc(C)c[nH]2)C1 ZINC001046692983 851583803 /nfs/dbraw/zinc/58/38/03/851583803.db2.gz KORMAUBEIPOIDQ-CQSZACIVSA-N 0 1 260.341 0.936 20 30 CCEDMN C=CCn1cc(C(=O)N[C@]2(C)CCN(CC=C)C2)nn1 ZINC001046686727 851584244 /nfs/dbraw/zinc/58/42/44/851584244.db2.gz PCCOCCDKHVNTMH-CQSZACIVSA-N 0 1 275.356 0.844 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001046729807 851600589 /nfs/dbraw/zinc/60/05/89/851600589.db2.gz WLRJDZWMDMVUGF-HNNXBMFYSA-N 0 1 288.351 0.331 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001046855291 851633272 /nfs/dbraw/zinc/63/32/72/851633272.db2.gz BRUCBDNKQPSDOJ-HIFRSBDPSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccsc2)C1 ZINC001047290141 851699152 /nfs/dbraw/zinc/69/91/52/851699152.db2.gz IZGTUEVCNWDDRE-KBPBESRZSA-N 0 1 292.404 0.817 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccoc2C)C1 ZINC001047297291 851701542 /nfs/dbraw/zinc/70/15/42/851701542.db2.gz MOAUMERFKNSCCY-KBPBESRZSA-N 0 1 276.336 0.728 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccc(C)o2)C1 ZINC001047352934 851732274 /nfs/dbraw/zinc/73/22/74/851732274.db2.gz RKZMWEUCRMAMID-KBPBESRZSA-N 0 1 278.352 0.820 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001047353250 851732466 /nfs/dbraw/zinc/73/24/66/851732466.db2.gz FOBQSKMCEYQPSQ-KBPBESRZSA-N 0 1 286.335 0.027 20 30 CCEDMN C=C(C)C[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001047350804 851732846 /nfs/dbraw/zinc/73/28/46/851732846.db2.gz MSGTWSPDBHSYLP-QWRGUYRKSA-N 0 1 294.355 0.117 20 30 CCEDMN C=CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2O)C1 ZINC001047359346 851736089 /nfs/dbraw/zinc/73/60/89/851736089.db2.gz TYJZXBCLOQLSNV-AAEUAGOBSA-N 0 1 291.351 0.480 20 30 CCEDMN C=CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001047359346 851736094 /nfs/dbraw/zinc/73/60/94/851736094.db2.gz TYJZXBCLOQLSNV-AAEUAGOBSA-N 0 1 291.351 0.480 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CCC)[nH]n2)C1 ZINC001047479463 851778002 /nfs/dbraw/zinc/77/80/02/851778002.db2.gz NZEPOKSVZQPRQM-KBPBESRZSA-N 0 1 292.383 0.665 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)n[nH]c2C)C1 ZINC001047567890 851817680 /nfs/dbraw/zinc/81/76/80/851817680.db2.gz ASAIYSPVNDSXHI-STQMWFEESA-N 0 1 290.367 0.167 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C2(C)CCOCC2)C1 ZINC001047563832 851818727 /nfs/dbraw/zinc/81/87/27/851818727.db2.gz WDFSMBFGLIQYQF-KBPBESRZSA-N 0 1 296.411 0.883 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cccnn2)C1 ZINC001047572726 851821441 /nfs/dbraw/zinc/82/14/41/851821441.db2.gz DDBJOSYHEQJZBQ-STQMWFEESA-N 0 1 276.340 0.170 20 30 CCEDMN Cc1cc(C(=O)N2C[C@H]3CN(CC#N)C[C@H]3C2)n[nH]1 ZINC001048703894 852022619 /nfs/dbraw/zinc/02/26/19/852022619.db2.gz IKAYFEAMZLCVSM-PHIMTYICSA-N 0 1 259.313 0.246 20 30 CCEDMN C#CCN1C[C@@H]2CN(C(=O)c3cn(C)ccc3=O)C[C@@H]2C1 ZINC001048930617 852113459 /nfs/dbraw/zinc/11/34/59/852113459.db2.gz OGORNIUZGIHLPB-BETUJISGSA-N 0 1 285.347 0.022 20 30 CCEDMN CCCc1cc(C(=O)N2C[C@H]3CN(CC#N)C[C@H]3C2)n[nH]1 ZINC001048971590 852123874 /nfs/dbraw/zinc/12/38/74/852123874.db2.gz CSQDMRHTPFZBLX-TXEJJXNPSA-N 0 1 287.367 0.890 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccc(=O)[nH]n1 ZINC001049288375 852219417 /nfs/dbraw/zinc/21/94/17/852219417.db2.gz BLFVDHQXEOOMTL-QWHCGFSZSA-N 0 1 286.335 0.494 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccon1 ZINC001049353453 852239001 /nfs/dbraw/zinc/23/90/01/852239001.db2.gz LCHSDWPPGGZNJL-CHWSQXEVSA-N 0 1 259.309 0.987 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1COCCN1C ZINC001049430065 852268509 /nfs/dbraw/zinc/26/85/09/852268509.db2.gz ZLRGTIRXAFMKMO-RBSFLKMASA-N 0 1 293.411 0.568 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1COCCN1C ZINC001049426814 852269843 /nfs/dbraw/zinc/26/98/43/852269843.db2.gz AHGLMLVJCBMYKU-ZNMIVQPWSA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1COCCN1C ZINC001049426814 852269855 /nfs/dbraw/zinc/26/98/55/852269855.db2.gz AHGLMLVJCBMYKU-ZNMIVQPWSA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1CN(C(C)=O)C1 ZINC001049439058 852275536 /nfs/dbraw/zinc/27/55/36/852275536.db2.gz IJEMYATWEQBMJC-LSDHHAIUSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnnn1C ZINC001049451039 852281403 /nfs/dbraw/zinc/28/14/03/852281403.db2.gz NBYBLYHXYLFSDW-NEPJUHHUSA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCCNC1=O ZINC001049534548 852305993 /nfs/dbraw/zinc/30/59/93/852305993.db2.gz WABUJWMPRSIDDO-HZSPNIEDSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCC(=O)NC1 ZINC001049569474 852317938 /nfs/dbraw/zinc/31/79/38/852317938.db2.gz ZDNWATBEJVSTGX-MELADBBJSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCC(=O)N1C ZINC001049670496 852343617 /nfs/dbraw/zinc/34/36/17/852343617.db2.gz XSFQFEIRRVDAME-MGPQQGTHSA-N 0 1 289.379 0.306 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@]1(C)CCNC1=O ZINC001049719707 852358393 /nfs/dbraw/zinc/35/83/93/852358393.db2.gz SJPDGOPPCYYWPR-WOSRLPQWSA-N 0 1 289.379 0.211 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3cc[n+]([O-])cc3)[C@@H]2C1 ZINC001049958219 852409011 /nfs/dbraw/zinc/40/90/11/852409011.db2.gz SNQBDILLETWDCN-JKSUJKDBSA-N 0 1 299.374 0.880 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1COCCO1)C2 ZINC001096826396 852453864 /nfs/dbraw/zinc/45/38/64/852453864.db2.gz OJPVDQNLHUMFTM-FVCCEPFGSA-N 0 1 266.341 0.309 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CN(C)CCO1)C2 ZINC001096911901 852464945 /nfs/dbraw/zinc/46/49/45/852464945.db2.gz RASVBQOTPUPZLH-YIYPIFLZSA-N 0 1 279.384 0.225 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1C[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001097024886 852490792 /nfs/dbraw/zinc/49/07/92/852490792.db2.gz IVODGLJMISQBSN-XYPYZODXSA-N 0 1 296.334 0.825 20 30 CCEDMN N#CC1(NC(=O)Cc2cnc[nH]2)CCSCC1 ZINC001262155056 852497448 /nfs/dbraw/zinc/49/74/48/852497448.db2.gz AJIWWJJEMKCWOR-UHFFFAOYSA-N 0 1 250.327 0.858 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1C[C@@H](Nc2ncnc3[nH]cnc32)C1 ZINC001097181511 852511363 /nfs/dbraw/zinc/51/13/63/852511363.db2.gz IVODGLJMISQBSN-PHIMTYICSA-N 0 1 296.334 0.825 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnccc1OC)C2 ZINC001097321275 852526780 /nfs/dbraw/zinc/52/67/80/852526780.db2.gz PEUCXPKTFBEWRH-KFWWJZLASA-N 0 1 299.374 0.987 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CNC(=O)C1)C2 ZINC001097575009 852548189 /nfs/dbraw/zinc/54/81/89/852548189.db2.gz NHUSEHCYKWSICP-FJJYHAOUSA-N 0 1 277.368 0.420 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H](C)Nc2ccnc(C#N)n2)c1C ZINC001097760643 852601551 /nfs/dbraw/zinc/60/15/51/852601551.db2.gz SZZBUWALALSHGL-QMMMGPOBSA-N 0 1 299.338 0.341 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)C(N)=O)CC1 ZINC001052672043 852636373 /nfs/dbraw/zinc/63/63/73/852636373.db2.gz RYKBOUNBIZDJQW-SECBINFHSA-N 0 1 259.737 0.195 20 30 CCEDMN CC#CCN1CC2(C1)C[C@@H](NC(=O)[C@H]1CCCN1C)CO2 ZINC001053755931 852807202 /nfs/dbraw/zinc/80/72/02/852807202.db2.gz LXAJWQZNMNSNEQ-ZIAGYGMSSA-N 0 1 291.395 0.063 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCCN1C)CO2 ZINC001053758458 852808428 /nfs/dbraw/zinc/80/84/28/852808428.db2.gz RPHYQFSLNFAZNO-OLZOCXBDSA-N 0 1 279.384 0.226 20 30 CCEDMN C#CCCN1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCCN1C)CO2 ZINC001053758561 852808442 /nfs/dbraw/zinc/80/84/42/852808442.db2.gz URNFUQDNPDSATK-KGLIPLIRSA-N 0 1 291.395 0.063 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)CN1CC[C@H](C)C1)CO2 ZINC001053925485 852850045 /nfs/dbraw/zinc/85/00/45/852850045.db2.gz LMDZNCRNSOIAGG-KBPBESRZSA-N 0 1 293.411 0.474 20 30 CCEDMN C=C(Cl)CN1CC2(C1)C[C@H](NC(=O)c1ncn[nH]1)CO2 ZINC001053960140 852855043 /nfs/dbraw/zinc/85/50/43/852855043.db2.gz PENGDPBJLOMBEQ-VIFPVBQESA-N 0 1 297.746 0.130 20 30 CCEDMN C=C(Cl)CN1CC2(C1)C[C@H](NC(=O)c1nc[nH]n1)CO2 ZINC001053960140 852855049 /nfs/dbraw/zinc/85/50/49/852855049.db2.gz PENGDPBJLOMBEQ-VIFPVBQESA-N 0 1 297.746 0.130 20 30 CCEDMN C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001054047330 852875161 /nfs/dbraw/zinc/87/51/61/852875161.db2.gz ZPJKGXHOVXBYGF-CQSZACIVSA-N 0 1 295.346 0.595 20 30 CCEDMN C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001054047330 852875166 /nfs/dbraw/zinc/87/51/66/852875166.db2.gz ZPJKGXHOVXBYGF-CQSZACIVSA-N 0 1 295.346 0.595 20 30 CCEDMN C#CCN1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001054047330 852875175 /nfs/dbraw/zinc/87/51/75/852875175.db2.gz ZPJKGXHOVXBYGF-CQSZACIVSA-N 0 1 295.346 0.595 20 30 CCEDMN CCc1cc(C(=O)N2C[C@@H](C)[C@@H](NCC#N)C2)n[nH]1 ZINC001054407082 852945948 /nfs/dbraw/zinc/94/59/48/852945948.db2.gz ABNIMDBJYAZLND-SKDRFNHKSA-N 0 1 261.329 0.546 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CN2CN=NC2=O)C[C@H]1C ZINC001054582122 852974813 /nfs/dbraw/zinc/97/48/13/852974813.db2.gz RBALUKJGGQKGOM-PSASIEDQSA-N 0 1 299.762 0.173 20 30 CCEDMN C[C@H](CNC(=O)c1ncn[nH]1)Nc1ncccc1C#N ZINC001097995221 853083477 /nfs/dbraw/zinc/08/34/77/853083477.db2.gz KRPWZYPCGBAIFR-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C[C@H](CNC(=O)c1nc[nH]n1)Nc1ncccc1C#N ZINC001097995221 853083482 /nfs/dbraw/zinc/08/34/82/853083482.db2.gz KRPWZYPCGBAIFR-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(CC=C)nn1)C2 ZINC001097998893 853085081 /nfs/dbraw/zinc/08/50/81/853085081.db2.gz DRQGBRDPMPETEA-NFAWXSAZSA-N 0 1 299.378 0.823 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN(CCO)C(=O)c1ccn[nH]1 ZINC001057047986 853262778 /nfs/dbraw/zinc/26/27/78/853262778.db2.gz SQWKQBBIENWVAB-UHFFFAOYSA-N 0 1 294.355 0.173 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3OCC[C@H]3C)[C@@H]2C1 ZINC001050144167 853325131 /nfs/dbraw/zinc/32/51/31/853325131.db2.gz GIHDDQMHXVMGBC-BARDWOONSA-N 0 1 276.380 0.967 20 30 CCEDMN N#Cc1nccc(NC2CCN(C(=O)c3ccn[nH]3)CC2)n1 ZINC001057277650 853325239 /nfs/dbraw/zinc/32/52/39/853325239.db2.gz CTMHMMOCWCOITI-UHFFFAOYSA-N 0 1 297.322 0.210 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC2(C1)CCN(CC(N)=O)CC2 ZINC001050645696 853406527 /nfs/dbraw/zinc/40/65/27/853406527.db2.gz VKBKIGUSENTRAM-HNNXBMFYSA-N 0 1 293.411 0.998 20 30 CCEDMN N#Cc1cncc(N[C@H]2CCCN(C(=O)c3ccn[nH]3)C2)n1 ZINC001057592067 853434937 /nfs/dbraw/zinc/43/49/37/853434937.db2.gz QWFPMNOWKLNVOQ-JTQLQIEISA-N 0 1 297.322 0.788 20 30 CCEDMN CC#CCN1CCOC[C@@H]1CNC(=O)c1[nH]ncc1F ZINC001051102113 853525125 /nfs/dbraw/zinc/52/51/25/853525125.db2.gz IFMKKHWGGNHDFO-JTQLQIEISA-N 0 1 280.303 0.003 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CCN1c1ccc(C#N)nc1 ZINC001051226998 853550604 /nfs/dbraw/zinc/55/06/04/853550604.db2.gz PTCIMNCWIIQCLI-SKDRFNHKSA-N 0 1 297.322 0.469 20 30 CCEDMN Cc1cc(CNC[C@@H]2CN(C(=O)[C@@H](C)C#N)CCO2)on1 ZINC001051495779 853596915 /nfs/dbraw/zinc/59/69/15/853596915.db2.gz YBQSCXOKTTYUPY-GXFFZTMASA-N 0 1 292.339 0.460 20 30 CCEDMN COCCN1CCN([C@H]2CCN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001051997967 853671086 /nfs/dbraw/zinc/67/10/86/853671086.db2.gz LJNDJHFQJSKUCI-KGLIPLIRSA-N 0 1 294.399 0.011 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H]2CCC(=O)N2)CC1 ZINC001052255796 853717531 /nfs/dbraw/zinc/71/75/31/853717531.db2.gz MKCRLVZTBGHYDP-NWDGAFQWSA-N 0 1 299.802 0.988 20 30 CCEDMN C[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCN(c2ccncc2C#N)C1 ZINC001058314102 853823292 /nfs/dbraw/zinc/82/32/92/853823292.db2.gz BQCNQRFPTOZCSC-ZFWWWQNUSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1CCN(c2ccncc2C#N)C1 ZINC001058314102 853823294 /nfs/dbraw/zinc/82/32/94/853823294.db2.gz BQCNQRFPTOZCSC-ZFWWWQNUSA-N 0 1 299.378 0.742 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](NC(=O)c3ncn[nH]3)C2)nc1 ZINC001058422680 853844201 /nfs/dbraw/zinc/84/42/01/853844201.db2.gz KUYZNBLZRYFUMV-JTQLQIEISA-N 0 1 283.295 0.080 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](NC(=O)c3nc[nH]n3)C2)nc1 ZINC001058422680 853844203 /nfs/dbraw/zinc/84/42/03/853844203.db2.gz KUYZNBLZRYFUMV-JTQLQIEISA-N 0 1 283.295 0.080 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](NC(=O)Cc3nnc[nH]3)C2)cn1 ZINC001058443643 853847410 /nfs/dbraw/zinc/84/74/10/853847410.db2.gz QSYIIDCBKOCZJH-LLVKDONJSA-N 0 1 297.322 0.009 20 30 CCEDMN C[C@@H]1[C@@H](Nc2cnc(C#N)cn2)CCN1C(=O)c1ccn[nH]1 ZINC001068751013 853923350 /nfs/dbraw/zinc/92/33/50/853923350.db2.gz ZGCBQOWEANRNCD-KOLCDFICSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)C(C)C)[C@@H](n2ccnn2)C1 ZINC001069897356 853997128 /nfs/dbraw/zinc/99/71/28/853997128.db2.gz MLXLVGOTNSBMSV-OLZOCXBDSA-N 0 1 275.356 0.299 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)C)[C@@H](n2ccnn2)C1 ZINC001069897356 853997132 /nfs/dbraw/zinc/99/71/32/853997132.db2.gz MLXLVGOTNSBMSV-OLZOCXBDSA-N 0 1 275.356 0.299 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)c2cocn2)C1 ZINC001070401550 854056987 /nfs/dbraw/zinc/05/69/87/854056987.db2.gz QMOZIHHLAMDKBZ-LLVKDONJSA-N 0 1 299.334 0.750 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H]2CC23CC3)C[C@H]1c1cn(C)cn1 ZINC001070540359 854073718 /nfs/dbraw/zinc/07/37/18/854073718.db2.gz XFEVTOWCWYDTMT-KCQAQPDRSA-N 0 1 298.390 0.737 20 30 CCEDMN C#CCN1C[C@H](OC)C[C@H]1Cn1ccc(NC(=O)CC)n1 ZINC001070600401 854080360 /nfs/dbraw/zinc/08/03/60/854080360.db2.gz UNSXZRISMCQKNI-QWHCGFSZSA-N 0 1 290.367 0.954 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)C1CC1)C[C@@H](C)O2 ZINC001071115734 854123291 /nfs/dbraw/zinc/12/32/91/854123291.db2.gz CQYNKQRNJSJDOB-DOMZBBRYSA-N 0 1 262.353 0.721 20 30 CCEDMN CC#CCN1CC[C@]2(C1)CN(C(C)=O)C[C@@H](C)O2 ZINC001071114081 854123608 /nfs/dbraw/zinc/12/36/08/854123608.db2.gz NGLXNPQTUAULPH-OCCSQVGLSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)CC)C[C@@H](C)O2 ZINC001071113819 854123692 /nfs/dbraw/zinc/12/36/92/854123692.db2.gz LLVIPZNSKRZIBT-OCCSQVGLSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(C1)CN(C(=O)C(C)(F)F)C[C@@H](C)O2 ZINC001071127433 854124771 /nfs/dbraw/zinc/12/47/71/854124771.db2.gz NWQUGXIMBRSSBE-BXUZGUMPSA-N 0 1 286.322 0.967 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)C(C)(F)F)C[C@@H](C)O2 ZINC001071127433 854124778 /nfs/dbraw/zinc/12/47/78/854124778.db2.gz NWQUGXIMBRSSBE-BXUZGUMPSA-N 0 1 286.322 0.967 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2cc[nH]n2)CC[C@@H]1C ZINC001071400709 854175476 /nfs/dbraw/zinc/17/54/76/854175476.db2.gz AIKRVNFKDMXHPK-JSGCOSHPSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cc(=O)n(C)o2)CC[C@@H]1C ZINC001071462974 854206074 /nfs/dbraw/zinc/20/60/74/854206074.db2.gz DZHBDDHKAKARBB-WDEREUQCSA-N 0 1 277.324 0.194 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ccn(C)n2)CC[C@H]1C ZINC001071505361 854224274 /nfs/dbraw/zinc/22/42/74/854224274.db2.gz VADCLEHVUIPLJE-OCCSQVGLSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cccc(=O)n2C)CC[C@@H]1C ZINC001071813849 854299915 /nfs/dbraw/zinc/29/99/15/854299915.db2.gz FCLFTARLSGELAT-QWHCGFSZSA-N 0 1 287.363 0.601 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2nc[nH]n2)CC[C@@H]1C ZINC001071977065 854329795 /nfs/dbraw/zinc/32/97/95/854329795.db2.gz MFYWCBWTFZJIHD-RYUDHWBXSA-N 0 1 275.356 0.340 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001072056618 854341511 /nfs/dbraw/zinc/34/15/11/854341511.db2.gz MPMYMECVIJBUGZ-ZYHUDNBSSA-N 0 1 289.339 0.041 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001072056617 854342340 /nfs/dbraw/zinc/34/23/40/854342340.db2.gz MPMYMECVIJBUGZ-PWSUYJOCSA-N 0 1 289.339 0.041 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cnccn3)C2)C1 ZINC001072414122 854381414 /nfs/dbraw/zinc/38/14/14/854381414.db2.gz QXQBQCXPZQVQTN-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cocn3)C2)C1 ZINC001072546877 854413072 /nfs/dbraw/zinc/41/30/72/854413072.db2.gz CJVRQQAVVYSZDR-UHFFFAOYSA-N 0 1 259.309 0.846 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(C1)CCN(Cc1ccnn1C)C2 ZINC001072551832 854413958 /nfs/dbraw/zinc/41/39/58/854413958.db2.gz JRDRKWRAYCJKNG-LBPRGKRZSA-N 0 1 287.367 0.614 20 30 CCEDMN Cc1nc(CN2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)n[nH]1 ZINC001072552333 854413977 /nfs/dbraw/zinc/41/39/77/854413977.db2.gz OAPCLWCMUSZIEN-SNVBAGLBSA-N 0 1 288.355 0.307 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnnn3CC)C2)C1 ZINC001072708389 854449670 /nfs/dbraw/zinc/44/96/70/854449670.db2.gz VGSBQGJAWZZVPJ-UHFFFAOYSA-N 0 1 273.340 0.079 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3ccn(CC)n3)C2)C1 ZINC001072760739 854459377 /nfs/dbraw/zinc/45/93/77/854459377.db2.gz MCMPCLXUDCKSKJ-UHFFFAOYSA-N 0 1 286.379 0.613 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CC(=O)N(CC)C3)C2)C1 ZINC001072796793 854464497 /nfs/dbraw/zinc/46/44/97/854464497.db2.gz BYXIHBASGFWSJL-ZDUSSCGKSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)c3cncnc3)C2)C1 ZINC001072912372 854492902 /nfs/dbraw/zinc/49/29/02/854492902.db2.gz FMFOEITVMYFQLD-CYBMUJFWSA-N 0 1 284.363 0.748 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3C[C@@]34CCOC4)C2)C1 ZINC001073111210 854532029 /nfs/dbraw/zinc/53/20/29/854532029.db2.gz WBEVKMMITYOQBT-CZUORRHYSA-N 0 1 274.364 0.581 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCc4nncn4C3)C2)C1 ZINC001073454668 854561016 /nfs/dbraw/zinc/56/10/16/854561016.db2.gz PYQHQUZFSGKIPC-ZDUSSCGKSA-N 0 1 299.378 0.008 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCC(=O)N(C)C3)C2)C1 ZINC001073463332 854562385 /nfs/dbraw/zinc/56/23/85/854562385.db2.gz CDMWTLWTIBMNMM-CYBMUJFWSA-N 0 1 289.379 0.022 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnn(C)c2C)C1 ZINC001073535243 854580975 /nfs/dbraw/zinc/58/09/75/854580975.db2.gz HXUTYJVAUKAQPO-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccc(C)nn2)C1 ZINC001073600187 854610875 /nfs/dbraw/zinc/61/08/75/854610875.db2.gz YQEYTOYBZUUHQT-CYBMUJFWSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001074180966 854688102 /nfs/dbraw/zinc/68/81/02/854688102.db2.gz LBSMMOSLIYGCOR-OLZOCXBDSA-N 0 1 276.340 0.511 20 30 CCEDMN Cc1cc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)[C@H]2C)nn1C ZINC001074567003 854749253 /nfs/dbraw/zinc/74/92/53/854749253.db2.gz NDEUMZANDSYVRZ-JKOKRWQUSA-N 0 1 289.383 0.967 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)Nc1ccc(C#N)nc1 ZINC001098307339 854857697 /nfs/dbraw/zinc/85/76/97/854857697.db2.gz YLWABYVQIUUYTJ-JTQLQIEISA-N 0 1 284.323 0.836 20 30 CCEDMN Cc1cc(C#N)nc(N[C@@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001098405363 854865560 /nfs/dbraw/zinc/86/55/60/854865560.db2.gz WXCQVCJKQBCEKB-QMMMGPOBSA-N 0 1 286.299 0.005 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2nc(C)c[nH]2)C1 ZINC001099067413 854910762 /nfs/dbraw/zinc/91/07/62/854910762.db2.gz ZLTBKSRIZNNQJF-WFASDCNBSA-N 0 1 272.352 0.936 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCC(C)C)[C@@H](O)C1 ZINC001099665712 854961082 /nfs/dbraw/zinc/96/10/82/854961082.db2.gz LGXUWEINKFLYND-KGLIPLIRSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H]1CCN(CC=C)C[C@@H]1O ZINC001099764363 854987326 /nfs/dbraw/zinc/98/73/26/854987326.db2.gz UYRWSSGRZVNRDU-KGLIPLIRSA-N 0 1 282.384 0.707 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)CC(C)(C)O)[C@H](O)C1 ZINC001099809261 854999997 /nfs/dbraw/zinc/99/99/97/854999997.db2.gz FFZOMCRGTVBDFU-VXGBXAGGSA-N 0 1 270.373 0.275 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CCN(CC=C)C[C@H]2O)CCC1 ZINC001099874999 855017637 /nfs/dbraw/zinc/01/76/37/855017637.db2.gz UEVRXGIDXJXQSO-ZIAGYGMSSA-N 0 1 276.380 0.917 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2(C)CC2)[C@H](O)C1 ZINC001099927717 855035372 /nfs/dbraw/zinc/03/53/72/855035372.db2.gz DYIUWIXRWOJTQF-VXGBXAGGSA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)C2(CC)CC2)[C@H](O)C1 ZINC001099995688 855064680 /nfs/dbraw/zinc/06/46/80/855064680.db2.gz CVTWGWSHSFBVEK-CHWSQXEVSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](NC(=O)C2(CC)CC2)[C@H](O)C1 ZINC001099997498 855065619 /nfs/dbraw/zinc/06/56/19/855065619.db2.gz YAMBWNCHZPDZGY-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2nccs2)[C@@H](O)C1 ZINC001100117815 855102114 /nfs/dbraw/zinc/10/21/14/855102114.db2.gz PIERPIHZCXGBNY-NEPJUHHUSA-N 0 1 295.408 0.813 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCOC(C)C)[C@H](O)C1 ZINC001100138302 855105786 /nfs/dbraw/zinc/10/57/86/855105786.db2.gz HDBAAJLVBWIWMU-ZIAGYGMSSA-N 0 1 284.400 0.929 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCCN1c1ncnc2[nH]cnc21 ZINC001100809754 855229623 /nfs/dbraw/zinc/22/96/23/855229623.db2.gz ILWBNHXEZFZMRL-ZJUUUORDSA-N 0 1 299.338 0.598 20 30 CCEDMN Cc1cc(NCCN(C)C(=O)c2ccn[nH]2)c(C#N)cn1 ZINC001101510022 855301218 /nfs/dbraw/zinc/30/12/18/855301218.db2.gz RADCZVGAZBJRKU-UHFFFAOYSA-N 0 1 284.323 0.591 20 30 CCEDMN Cc1cc(C(=O)N(C)CCNc2cncc(C#N)n2)n[nH]1 ZINC001101522565 855306159 /nfs/dbraw/zinc/30/61/59/855306159.db2.gz KDUZRKZMNSWQGZ-UHFFFAOYSA-N 0 1 285.311 0.564 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)/C(C)=C\C)[C@H](C)C1 ZINC001101862057 855379854 /nfs/dbraw/zinc/37/98/54/855379854.db2.gz RBOPUPKTTIBYHO-NGPFNDBQSA-N 0 1 293.411 0.939 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H]2CN(CC(=O)NC)C[C@H]2C)C1 ZINC001102349849 855429610 /nfs/dbraw/zinc/42/96/10/855429610.db2.gz XMDWGHUWKUUSHK-CHWSQXEVSA-N 0 1 293.411 0.773 20 30 CCEDMN CC[C@@H](CNC(=O)c1ncn[nH]1)Nc1nccnc1C#N ZINC001103170439 855501154 /nfs/dbraw/zinc/50/11/54/855501154.db2.gz IWWMWIKPPTYGNA-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN CC[C@@H](CNC(=O)c1nc[nH]n1)Nc1nccnc1C#N ZINC001103170439 855501161 /nfs/dbraw/zinc/50/11/61/855501161.db2.gz IWWMWIKPPTYGNA-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN CC[C@@H](CNC(=O)c1ncn[nH]1)Nc1cnc(C#N)cn1 ZINC001103171111 855503676 /nfs/dbraw/zinc/50/36/76/855503676.db2.gz XOGRYPIBQFWPHE-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN CC[C@@H](CNC(=O)c1nc[nH]n1)Nc1cnc(C#N)cn1 ZINC001103171111 855503678 /nfs/dbraw/zinc/50/36/78/855503678.db2.gz XOGRYPIBQFWPHE-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN CC#CC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)c2[n-]nnc2C)C1 ZINC001103301702 855509302 /nfs/dbraw/zinc/50/93/02/855509302.db2.gz CPHOJGKHCMBRQX-DGCLKSJQSA-N 0 1 289.383 0.824 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](CCNC(=O)c2[n-]nnc2C)C1 ZINC001103301702 855509308 /nfs/dbraw/zinc/50/93/08/855509308.db2.gz CPHOJGKHCMBRQX-DGCLKSJQSA-N 0 1 289.383 0.824 20 30 CCEDMN CC[C@H](CNC(=O)Cc1cnc[nH]1)Nc1ccnc(C#N)n1 ZINC001103334726 855513325 /nfs/dbraw/zinc/51/33/25/855513325.db2.gz KYIDIOVZFTYBFQ-SNVBAGLBSA-N 0 1 299.338 0.043 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)COCC(F)F)C1 ZINC001111817879 855595460 /nfs/dbraw/zinc/59/54/60/855595460.db2.gz GWEQDFWBWNFDQX-FZMZJTMJSA-N 0 1 286.322 0.872 20 30 CCEDMN N#Cc1cnccc1NC1(CNC(=O)c2cnn[nH]2)CCC1 ZINC001111926364 855605040 /nfs/dbraw/zinc/60/50/40/855605040.db2.gz LRMUVLCLALYLRP-UHFFFAOYSA-N 0 1 297.322 0.258 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3cnn[nH]3)CCC2)nn1 ZINC001111926219 855605264 /nfs/dbraw/zinc/60/52/64/855605264.db2.gz DKZIXEVJAWAHRI-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cncn1C ZINC001115189867 855651708 /nfs/dbraw/zinc/65/17/08/855651708.db2.gz DQEUUWPIFLRGTO-NHAGDIPZSA-N 0 1 272.352 0.032 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001115365301 855667531 /nfs/dbraw/zinc/66/75/31/855667531.db2.gz VGUGVXXUEDJIOY-GUYJKWIASA-N 0 1 289.379 0.115 20 30 CCEDMN C[C@H](CN(C)C(=O)c1ccn[nH]1)Nc1ccnc(C#N)n1 ZINC001115656506 855686507 /nfs/dbraw/zinc/68/65/07/855686507.db2.gz LEEWSUHVRWEKPD-SECBINFHSA-N 0 1 285.311 0.066 20 30 CCEDMN Cc1[nH]n(CCN2CCOCC2)c(=O)c1CCC#N ZINC001115860717 855699899 /nfs/dbraw/zinc/69/98/99/855699899.db2.gz ALSSNDYSBJOVOA-LBPRGKRZSA-N 0 1 264.329 0.457 20 30 CCEDMN CN1CCN(C(C)(C)CNC(=O)C(C)(C)C#N)CC1 ZINC001116065135 855716460 /nfs/dbraw/zinc/71/64/60/855716460.db2.gz KLGMLVWFMLRDRX-UHFFFAOYSA-N 0 1 266.389 0.678 20 30 CCEDMN C[C@@H](NCC(=O)NCC#N)c1ccc([S@@](C)=O)cc1 ZINC001116532864 855783696 /nfs/dbraw/zinc/78/36/96/855783696.db2.gz WGTRXFSLQNHRFI-GIGQVBGESA-N 0 1 279.365 0.714 20 30 CCEDMN C[C@@H](CNC(=O)CCCCC#N)N1CCN(C)CC1 ZINC001118374443 856278618 /nfs/dbraw/zinc/27/86/18/856278618.db2.gz RJLVGXZJTYKUBC-ZDUSSCGKSA-N 0 1 266.389 0.822 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCc1n[nH]c(COC)n1 ZINC001118543858 856334275 /nfs/dbraw/zinc/33/42/75/856334275.db2.gz XLKQWYOEDJJIDN-BDAKNGLRSA-N 0 1 281.316 0.094 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCc1nnc(COC)[nH]1 ZINC001118543858 856334281 /nfs/dbraw/zinc/33/42/81/856334281.db2.gz XLKQWYOEDJJIDN-BDAKNGLRSA-N 0 1 281.316 0.094 20 30 CCEDMN C#CCCCNC(=O)C(=O)NC[C@H]1Cc2ccccc2CN1 ZINC001119415013 856671769 /nfs/dbraw/zinc/67/17/69/856671769.db2.gz NXWXRXUHRDPTJL-OAHLLOKOSA-N 0 1 299.374 0.347 20 30 CCEDMN COC1(CN2CCN(C(=O)CC#N)CC2)CCC1 ZINC001119502099 856710015 /nfs/dbraw/zinc/71/00/15/856710015.db2.gz KHDUJXIRKZWLOZ-UHFFFAOYSA-N 0 1 251.330 0.613 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cnn(CC(F)F)c1 ZINC001119832162 856891547 /nfs/dbraw/zinc/89/15/47/856891547.db2.gz GXUSKFJSUVSSFQ-UHFFFAOYSA-N 0 1 285.298 0.835 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C[C@@H]2C=CCC2)CC1 ZINC001323385001 912314679 /nfs/dbraw/zinc/31/46/79/912314679.db2.gz NMEBYTBLOKRULF-CYBMUJFWSA-N 0 1 291.395 0.883 20 30 CCEDMN C=CCOCC(=O)N(C)C1CN(CC[C@H]2CCOC2)C1 ZINC001323898367 912571188 /nfs/dbraw/zinc/57/11/88/912571188.db2.gz MWXFWJHMJWXKCN-ZDUSSCGKSA-N 0 1 282.384 0.758 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)C[C@@H](C)OC)CCO1 ZINC001393581823 912636625 /nfs/dbraw/zinc/63/66/25/912636625.db2.gz OIKKPLYNJKLTCK-VXGBXAGGSA-N 0 1 290.791 0.981 20 30 CCEDMN CCCn1nnnc1CN[C@@H](C)CCNC(=O)[C@H](C)C#N ZINC001393796078 912768651 /nfs/dbraw/zinc/76/86/51/912768651.db2.gz CLVRAHLYNQRQMX-MNOVXSKESA-N 0 1 293.375 0.227 20 30 CCEDMN C#CCN(C(=O)CC)C1CCN(Cc2n[nH]c(C)n2)CC1 ZINC001324352460 912794601 /nfs/dbraw/zinc/79/46/01/912794601.db2.gz FTLDPVNRDGUAIX-UHFFFAOYSA-N 0 1 289.383 0.949 20 30 CCEDMN C#CCN(C(=O)[C@H]1CCOC1)C1CCN(CC#CC)CC1 ZINC001324373416 912809315 /nfs/dbraw/zinc/80/93/15/912809315.db2.gz GDSBXAWAHCCGRC-HNNXBMFYSA-N 0 1 288.391 0.972 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@]1(C)CCN(C(=O)CN2CCCC2)C1 ZINC001394324775 913128722 /nfs/dbraw/zinc/12/87/22/913128722.db2.gz OWSFUGDSWBGLGL-DOMZBBRYSA-N 0 1 292.383 0.349 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H]1CN(C(=O)c2cn[nH]c2)CCO1 ZINC001394347010 913135957 /nfs/dbraw/zinc/13/59/57/913135957.db2.gz ZMNNSOGUJQVWSB-JQWIXIFHSA-N 0 1 298.774 0.981 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@]23C[C@H]2CCC3)C1 ZINC001325115672 913223955 /nfs/dbraw/zinc/22/39/55/913223955.db2.gz WPPOCQAGUSXGIF-VHDGCEQUSA-N 0 1 262.353 0.363 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001325121911 913231048 /nfs/dbraw/zinc/23/10/48/913231048.db2.gz ARBKUBUMARVPGC-FUHWJXTLSA-N 0 1 298.386 0.903 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1nc[nH]n1)NCc1ccccc1C#N ZINC001394532009 913239601 /nfs/dbraw/zinc/23/96/01/913239601.db2.gz KNMKXNPMFAVAIO-NSHDSACASA-N 0 1 298.350 0.927 20 30 CCEDMN N#Cc1ccc(CN[C@@H](CO)CNC(=O)C2CC2)cc1 ZINC001394564430 913260272 /nfs/dbraw/zinc/26/02/72/913260272.db2.gz MFWQRVXIGQCQTG-CQSZACIVSA-N 0 1 273.336 0.535 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H]1CCC[C@H](C(N)=O)C1)C1CC1 ZINC001493422236 891497339 /nfs/dbraw/zinc/49/73/39/891497339.db2.gz ATSNOXXGVNHDBE-QWHCGFSZSA-N 0 1 291.395 0.492 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(=O)NCC(F)F)C1 ZINC001266536468 891535168 /nfs/dbraw/zinc/53/51/68/891535168.db2.gz ZAJYYFZTSAWDJN-QMMMGPOBSA-N 0 1 295.717 0.311 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1n[nH]c2c1CCC2 ZINC001480885133 891561959 /nfs/dbraw/zinc/56/19/59/891561959.db2.gz UJZUJTNMWXTZAP-UHFFFAOYSA-N 0 1 290.367 0.210 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCc2nccn2C1 ZINC001480885422 891563654 /nfs/dbraw/zinc/56/36/54/891563654.db2.gz WHWTZLSERDICAR-LBPRGKRZSA-N 0 1 260.341 0.127 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC001349612333 891752197 /nfs/dbraw/zinc/75/21/97/891752197.db2.gz DEXLZILGBANKAE-SGMGOOAPSA-N 0 1 269.341 0.737 20 30 CCEDMN COC[C@H](C)N1CCC[C@](CO)(NC(=O)C#CC2CC2)C1 ZINC001325833867 913601397 /nfs/dbraw/zinc/60/13/97/913601397.db2.gz HEVBKQHTOHLRKK-BBRMVZONSA-N 0 1 294.395 0.378 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCNC(=O)CCc1cnc[nH]1 ZINC001408600115 891893363 /nfs/dbraw/zinc/89/33/63/891893363.db2.gz QJNAUHNCHWCORH-JTQLQIEISA-N 0 1 277.328 0.077 20 30 CCEDMN C[C@@H](O)CN1CCC[C@](CO)(NC(=O)C#CC(C)(C)C)C1 ZINC001325835090 913603476 /nfs/dbraw/zinc/60/34/76/913603476.db2.gz MEMUYNBXGGSOIO-CJNGLKHVSA-N 0 1 296.411 0.360 20 30 CCEDMN C[C@H](O)CN1CCC[C@](CO)(NC(=O)C#CC(C)(C)C)C1 ZINC001325835088 913603594 /nfs/dbraw/zinc/60/35/94/913603594.db2.gz MEMUYNBXGGSOIO-BBRMVZONSA-N 0 1 296.411 0.360 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1noc2c1COCC2 ZINC001480950695 891933007 /nfs/dbraw/zinc/93/30/07/891933007.db2.gz VBGOWVGCLIQHLF-UHFFFAOYSA-N 0 1 277.324 0.432 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ncn(-c2ccccc2)n1 ZINC001480981211 892010681 /nfs/dbraw/zinc/01/06/81/892010681.db2.gz BPFSIWKRCLSVKV-UHFFFAOYSA-N 0 1 297.362 0.952 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1c[nH]c(=O)cn1 ZINC001481273640 892477936 /nfs/dbraw/zinc/47/79/36/892477936.db2.gz XSENEBHNTWFGKT-UHFFFAOYSA-N 0 1 270.720 0.184 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001283858019 892483109 /nfs/dbraw/zinc/48/31/09/892483109.db2.gz HFQNEHXZAYLMKX-CYBMUJFWSA-N 0 1 288.351 0.473 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@H](C)O ZINC001481380378 892606343 /nfs/dbraw/zinc/60/63/43/892606343.db2.gz VOMJHFRIFVLQOO-RFQIPJPRSA-N 0 1 280.368 0.081 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)c2cn[nH]n2)C1 ZINC001481401398 892613176 /nfs/dbraw/zinc/61/31/76/892613176.db2.gz MKYVLLVXEQRNNK-NSHDSACASA-N 0 1 263.345 0.917 20 30 CCEDMN C=CCOCC(=O)N(C)CCN1CCN(CC=C)CC1 ZINC001481466530 892711058 /nfs/dbraw/zinc/71/10/58/892711058.db2.gz FOGUODRQDOJFHM-UHFFFAOYSA-N 0 1 281.400 0.451 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001481525837 892774859 /nfs/dbraw/zinc/77/48/59/892774859.db2.gz JRGIZXGBXODRSR-GFCCVEGCSA-N 0 1 261.329 0.446 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)c2ncccn2)C1 ZINC001481538130 892783796 /nfs/dbraw/zinc/78/37/96/892783796.db2.gz NGOKRRACCOWDBH-ZDUSSCGKSA-N 0 1 290.367 0.873 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cc(C)on2)C1 ZINC001481610783 892895640 /nfs/dbraw/zinc/89/56/40/892895640.db2.gz RJNQZVHXROZQKN-UHFFFAOYSA-N 0 1 279.340 0.847 20 30 CCEDMN C=C(C)CCC(=O)NCC1CN(CCn2cncn2)C1 ZINC001481627747 892927977 /nfs/dbraw/zinc/92/79/77/892927977.db2.gz XUVMJTJMXCXCRW-UHFFFAOYSA-N 0 1 277.372 0.682 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CCCCNC(N)=O)C1 ZINC001481633798 892937770 /nfs/dbraw/zinc/93/77/70/892937770.db2.gz AWKDIGXTPJGSAA-UHFFFAOYSA-N 0 1 282.388 0.449 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CC[C@H]2CCOC2)C1 ZINC001481720555 893092057 /nfs/dbraw/zinc/09/20/57/893092057.db2.gz QLWOUSNGTQOZCJ-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCCC(=O)N(C)[C@@H]1CCN([C@@H](CC)C(N)=O)C1 ZINC001481724587 893096530 /nfs/dbraw/zinc/09/65/30/893096530.db2.gz NPSAHCGEAOFMEX-OLZOCXBDSA-N 0 1 279.384 0.587 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)COCCCOC)C1 ZINC001481777587 893136776 /nfs/dbraw/zinc/13/67/76/893136776.db2.gz JNZPTNABWAJWRF-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)CC(N)=O)C1 ZINC001482186029 893478200 /nfs/dbraw/zinc/47/82/00/893478200.db2.gz XNXHTFBSIBRGQW-NEPJUHHUSA-N 0 1 283.372 0.034 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H]1CN(CC2CCC2)CCO1 ZINC001482190179 893480878 /nfs/dbraw/zinc/48/08/78/893480878.db2.gz UPTONVMWDJRAEW-ZBFHGGJFSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCC(=O)NCCN(CC)C(=O)Cc1ncn[nH]1 ZINC001496682302 893524197 /nfs/dbraw/zinc/52/41/97/893524197.db2.gz CNKPGQDUTBFFPN-UHFFFAOYSA-N 0 1 279.344 0.278 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)Cc1occc1C ZINC001284509539 893590725 /nfs/dbraw/zinc/59/07/25/893590725.db2.gz NXCUWUNEKGMELD-CQSZACIVSA-N 0 1 292.379 0.905 20 30 CCEDMN C#CCOCCC(=O)N(C)C1CN(CC2CCC2)C1 ZINC001269787415 893644600 /nfs/dbraw/zinc/64/46/00/893644600.db2.gz KUIIYPKTAZDMPI-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1coc(OC)n1 ZINC001492995225 893776756 /nfs/dbraw/zinc/77/67/56/893776756.db2.gz IACOJBGJQWYXGZ-UHFFFAOYSA-N 0 1 251.286 0.368 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCn1ccccc1=O ZINC001482600341 894386169 /nfs/dbraw/zinc/38/61/69/894386169.db2.gz HXJKJBUPQHZANX-UHFFFAOYSA-N 0 1 275.352 0.262 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H](C)[C@H](C)COC ZINC001482602280 894386789 /nfs/dbraw/zinc/38/67/89/894386789.db2.gz VYIZIPUJAUIWBU-HUUCEWRRSA-N 0 1 298.427 0.945 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H](CC(C)C)NC(C)=O ZINC001482644457 894446933 /nfs/dbraw/zinc/44/69/33/894446933.db2.gz UMWLYZZZEFWCRB-HNNXBMFYSA-N 0 1 295.427 0.951 20 30 CCEDMN CC#CC[N@@H+](C)CCN(C)C(=O)[C@H](CC(C)C)NC(C)=O ZINC001482644457 894446925 /nfs/dbraw/zinc/44/69/25/894446925.db2.gz UMWLYZZZEFWCRB-HNNXBMFYSA-N 0 1 295.427 0.951 20 30 CCEDMN Cc1csc(CNC[C@H](O)CNC(=O)[C@@H](C)C#N)n1 ZINC001482716435 894513108 /nfs/dbraw/zinc/51/31/08/894513108.db2.gz OELZYOMMOAHXNN-WPRPVWTQSA-N 0 1 282.369 0.178 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C[C@H]1CCCO1 ZINC001482710490 894527670 /nfs/dbraw/zinc/52/76/70/894527670.db2.gz ZGPWIEGLEDJPNO-GHMZBOCLSA-N 0 1 276.764 0.375 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](C)NC(N)=O ZINC001482919383 894686640 /nfs/dbraw/zinc/68/66/40/894686640.db2.gz MXRUUTYIWJKINY-VIFPVBQESA-N 0 1 276.768 0.234 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)C#CC(C)C ZINC001483006284 894797564 /nfs/dbraw/zinc/79/75/64/894797564.db2.gz QBWYRGDRTTXORP-WAYWQWQTSA-N 0 1 277.368 0.210 20 30 CCEDMN CC[C@H](C(N)=O)N(C)C[C@@H](C)NC(=O)C#CC1CC1 ZINC001483336178 895472330 /nfs/dbraw/zinc/47/23/30/895472330.db2.gz KPBIBGMRZSLZBR-ZYHUDNBSSA-N 0 1 265.357 0.100 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnc(OCC)cn1 ZINC001483425436 895559489 /nfs/dbraw/zinc/55/94/89/895559489.db2.gz ALUMVOMMUCQZDN-NSHDSACASA-N 0 1 276.340 0.559 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)c3nc[nH]n3)CCC[C@@H]12 ZINC000992336448 895907164 /nfs/dbraw/zinc/90/71/64/895907164.db2.gz XYEJNRXVCKPXAM-BXKDBHETSA-N 0 1 260.301 0.055 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)c3ncn[nH]3)CCC[C@@H]12 ZINC000992336448 895907178 /nfs/dbraw/zinc/90/71/78/895907178.db2.gz XYEJNRXVCKPXAM-BXKDBHETSA-N 0 1 260.301 0.055 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C[C@@H]2CCCO2)CC1 ZINC001483718491 895908937 /nfs/dbraw/zinc/90/89/37/895908937.db2.gz LEJJDQFSLYXYHU-LBPRGKRZSA-N 0 1 295.383 0.096 20 30 CCEDMN Cn1cc(CNC2(CNC(=O)c3cc(C#N)c[nH]3)CC2)cn1 ZINC001483725519 895921756 /nfs/dbraw/zinc/92/17/56/895921756.db2.gz QRRZMWJPWLIHKS-UHFFFAOYSA-N 0 1 298.350 0.672 20 30 CCEDMN C[C@@]1(C(=O)NCC2(NCC(=O)NCC#N)CC2)C=CCC1 ZINC001483759625 896018128 /nfs/dbraw/zinc/01/81/28/896018128.db2.gz MOQGOBSAVGOLNJ-CQSZACIVSA-N 0 1 290.367 0.221 20 30 CCEDMN Cc1nnc([C@@H](C)N[C@H]2C[C@@H](CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001397059823 914029027 /nfs/dbraw/zinc/02/90/27/914029027.db2.gz SLGVFBRBHUGXOR-IQIPOGNMSA-N 0 1 290.371 0.818 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001484075845 896184074 /nfs/dbraw/zinc/18/40/74/896184074.db2.gz NKSSGMOFTRNIEO-DOMZBBRYSA-N 0 1 274.368 0.737 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](O)(CNC(=O)Cc2cnn(C)c2C)C1 ZINC001484222948 896254913 /nfs/dbraw/zinc/25/49/13/896254913.db2.gz IRIJDPSEKKRZQN-OAHLLOKOSA-N 0 1 292.383 0.010 20 30 CCEDMN CC#CC[N@H+]1CC[C@](O)(CNC(=O)[C@H](F)C(C)C)C1 ZINC001484229463 896266211 /nfs/dbraw/zinc/26/62/11/896266211.db2.gz ALBDVVDORYAWCI-OCCSQVGLSA-N 0 1 270.348 0.557 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@H](F)C(C)C)C1 ZINC001484229463 896266225 /nfs/dbraw/zinc/26/62/25/896266225.db2.gz ALBDVVDORYAWCI-OCCSQVGLSA-N 0 1 270.348 0.557 20 30 CCEDMN C=C(C)CN1CCOC[C@H]1CNC(=O)Cc1cnc[nH]1 ZINC001484604145 896495398 /nfs/dbraw/zinc/49/53/98/896495398.db2.gz UTBGOECXSHLPHD-CYBMUJFWSA-N 0 1 278.356 0.345 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2cncn2C)CCO1 ZINC001484683013 896540159 /nfs/dbraw/zinc/54/01/59/896540159.db2.gz ZKNVQMGTCWTCDD-NSHDSACASA-N 0 1 298.774 0.603 20 30 CCEDMN CCCNC(=O)[C@@H](C)N(C)CCCN(C)C(=O)[C@H](C)C#N ZINC001484735824 896578716 /nfs/dbraw/zinc/57/87/16/896578716.db2.gz DHFXJRNOWTWBLH-CHWSQXEVSA-N 0 1 296.415 0.841 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CN(C)CCO1 ZINC001485053393 896742501 /nfs/dbraw/zinc/74/25/01/896742501.db2.gz ASUNJSJDCSHSLW-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)C(C)(F)F ZINC001485270051 896897187 /nfs/dbraw/zinc/89/71/87/896897187.db2.gz MWLRJTWLZHEAGL-JTQLQIEISA-N 0 1 262.300 0.728 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)COCc1nccn1C ZINC001485313929 896935408 /nfs/dbraw/zinc/93/54/08/896935408.db2.gz UJZBAAAADAWTQU-GFCCVEGCSA-N 0 1 278.356 0.006 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001485320307 896944516 /nfs/dbraw/zinc/94/45/16/896944516.db2.gz OGGMSMULNLUMEK-OLZOCXBDSA-N 0 1 279.384 0.315 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001485500468 897084993 /nfs/dbraw/zinc/08/49/93/897084993.db2.gz ISYZKSALJQBEJJ-GHMZBOCLSA-N 0 1 266.341 0.562 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCc2ncccn2)C1 ZINC001485530815 897098311 /nfs/dbraw/zinc/09/83/11/897098311.db2.gz SUGYJSVVHNOHLS-ZDUSSCGKSA-N 0 1 286.379 0.869 20 30 CCEDMN C=CCOCCN1CC([C@H](C)NC(=O)c2cnon2)C1 ZINC001485530602 897099273 /nfs/dbraw/zinc/09/92/73/897099273.db2.gz QJWVWMPYLNSQJD-JTQLQIEISA-N 0 1 280.328 0.322 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)CN(C)C(C)=O)C2)C1 ZINC001485659444 897163712 /nfs/dbraw/zinc/16/37/12/897163712.db2.gz IVIUMOBAJIGYRT-UHFFFAOYSA-N 0 1 291.395 0.412 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCCNCc1cnn(C)n1 ZINC001485714270 897207510 /nfs/dbraw/zinc/20/75/10/897207510.db2.gz DAONVHYVNUBTKD-QWRGUYRKSA-N 0 1 278.360 0.349 20 30 CCEDMN Cc1nsc(NC[C@@H](C)NC(=O)c2ncn[nH]2)c1C#N ZINC001107894459 897453609 /nfs/dbraw/zinc/45/36/09/897453609.db2.gz NUUBSVFCJASOIN-ZCFIWIBFSA-N 0 1 291.340 0.672 20 30 CCEDMN Cc1nsc(NC[C@@H](C)NC(=O)c2nc[nH]n2)c1C#N ZINC001107894459 897453612 /nfs/dbraw/zinc/45/36/12/897453612.db2.gz NUUBSVFCJASOIN-ZCFIWIBFSA-N 0 1 291.340 0.672 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@]1(C)CN(CCC)CCO1 ZINC001107903785 897473760 /nfs/dbraw/zinc/47/37/60/897473760.db2.gz XMRLBHHUZAYBSA-HUUCEWRRSA-N 0 1 284.400 0.931 20 30 CCEDMN N#Cc1cnc(NC[C@@H]2CCN(C(=O)c3ccn[nH]3)C2)cn1 ZINC001060820663 897532857 /nfs/dbraw/zinc/53/28/57/897532857.db2.gz LTQZZEVNVQGFRH-JTQLQIEISA-N 0 1 297.322 0.646 20 30 CCEDMN N#Cc1nccc(NC[C@H]2CCN(C(=O)c3ccn[nH]3)C2)n1 ZINC001060820225 897532886 /nfs/dbraw/zinc/53/28/86/897532886.db2.gz HJFVXKOGGYNQNC-SNVBAGLBSA-N 0 1 297.322 0.067 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@]1(C)CN(CCC)CCO1 ZINC001107942501 897535808 /nfs/dbraw/zinc/53/58/08/897535808.db2.gz UDBXUUIZROFPNR-HIFRSBDPSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3ccc(C)[nH]3)C2)OCC1=O ZINC001272762821 897613759 /nfs/dbraw/zinc/61/37/59/897613759.db2.gz CNYJOIGBEPVBOL-MRXNPFEDSA-N 0 1 287.363 0.760 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCN(C)C(=O)C1 ZINC001032484453 897635101 /nfs/dbraw/zinc/63/51/01/897635101.db2.gz SVOARFFNJTZELA-IHRRRGAJSA-N 0 1 289.379 0.163 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001032483639 897635687 /nfs/dbraw/zinc/63/56/87/897635687.db2.gz GEPQCILETAURNQ-RDBSUJKOSA-N 0 1 291.395 0.716 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)Cn2nccn2)C1 ZINC001107971941 897736658 /nfs/dbraw/zinc/73/66/58/897736658.db2.gz CHHUBDWSVULNGM-CQSZACIVSA-N 0 1 293.371 0.061 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2Cc2cnccc2N)C1=O ZINC001272808753 897768342 /nfs/dbraw/zinc/76/83/42/897768342.db2.gz SEKHPHOJHXEMOE-INIZCTEOSA-N 0 1 284.363 0.694 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)c(=O)[nH]1 ZINC001032605247 897815846 /nfs/dbraw/zinc/81/58/46/897815846.db2.gz WCUCRVSNJVHSDT-QWRGUYRKSA-N 0 1 276.340 0.601 20 30 CCEDMN C=CCn1cc(C(=O)N2C[C@@H]3C[C@H]2CN3CC)nn1 ZINC001032670077 897915830 /nfs/dbraw/zinc/91/58/30/897915830.db2.gz LGHRDMJDLPVLNH-QWRGUYRKSA-N 0 1 261.329 0.383 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001032682643 897928515 /nfs/dbraw/zinc/92/85/15/897928515.db2.gz LSJFJJBHFHLGNE-YUMQZZPRSA-N 0 1 299.256 0.851 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001032729707 898021853 /nfs/dbraw/zinc/02/18/53/898021853.db2.gz JWZTZQZJXMEPLP-SNPRPXQTSA-N 0 1 298.390 0.823 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2cccnc2)C1 ZINC001078022714 898187185 /nfs/dbraw/zinc/18/71/85/898187185.db2.gz RPCPMLRUUCALOO-BPLDGKMQSA-N 0 1 289.379 0.923 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCC)C[C@H]2O)cn1 ZINC001078023835 898188441 /nfs/dbraw/zinc/18/84/41/898188441.db2.gz PESRMOUEDPPDAA-ZIAGYGMSSA-N 0 1 273.336 0.248 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)n(C)c2C)C1 ZINC001078152826 898272276 /nfs/dbraw/zinc/27/22/76/898272276.db2.gz GMOQWONHNJDKLN-HUUCEWRRSA-N 0 1 289.379 0.440 20 30 CCEDMN C#CCN1C(=O)C[C@@]2(CCCN(Cc3ccc[nH]3)C2)C1=O ZINC001272926348 898352397 /nfs/dbraw/zinc/35/23/97/898352397.db2.gz RIUOXZVNVOZFBU-MRXNPFEDSA-N 0 1 285.347 0.989 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCC(=O)N(C)C1 ZINC001032803806 898390270 /nfs/dbraw/zinc/39/02/70/898390270.db2.gz MCOGCINOZDZMFH-IHRRRGAJSA-N 0 1 291.395 0.716 20 30 CCEDMN C[C@@H](CN(C)C(=O)CSCC#N)NCc1nccn1C ZINC001485848789 898455894 /nfs/dbraw/zinc/45/58/94/898455894.db2.gz UGAMNZTVGYIMAI-NSHDSACASA-N 0 1 295.412 0.613 20 30 CCEDMN CC#CC[NH2+][C@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001485866157 898468974 /nfs/dbraw/zinc/46/89/74/898468974.db2.gz OFNLGGYKHFSBKE-LLVKDONJSA-N 0 1 261.325 0.861 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](C)c1c(F)cccc1F ZINC001486017004 898589060 /nfs/dbraw/zinc/58/90/60/898589060.db2.gz OPQSAQJLFUKPPW-QWRGUYRKSA-N 0 1 296.317 0.768 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cnc2cnccc2c1 ZINC001486016397 898589356 /nfs/dbraw/zinc/58/93/56/898589356.db2.gz FJTYONFRFYHVJL-AWEZNQCLSA-N 0 1 298.346 0.333 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cnc2ccccc2c1C ZINC001486018296 898593010 /nfs/dbraw/zinc/59/30/10/898593010.db2.gz SBDJDZJFXYHMQC-ZDUSSCGKSA-N 0 1 297.358 0.857 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1sc(CCC)nc1C ZINC001486060848 898629857 /nfs/dbraw/zinc/62/98/57/898629857.db2.gz CEVCYXGTDNKVGV-NSHDSACASA-N 0 1 295.408 0.718 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCNC(N)=O)[C@@H]1C ZINC001486095475 898652981 /nfs/dbraw/zinc/65/29/81/898652981.db2.gz KDCDQNYZXPFFQD-NXEZZACHSA-N 0 1 288.779 0.376 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCCC(=O)NCC)[C@H]1C ZINC001486183651 898703750 /nfs/dbraw/zinc/70/37/50/898703750.db2.gz KNCSOITYTGDRSL-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN CN(C[C@@H](O)CNCc1ccccc1C#N)C(=O)C1CC1 ZINC001486255586 898729823 /nfs/dbraw/zinc/72/98/23/898729823.db2.gz ODXXPNTWDNNNDE-HNNXBMFYSA-N 0 1 287.363 0.877 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1ccnnc1C ZINC001486288486 898773937 /nfs/dbraw/zinc/77/39/37/898773937.db2.gz UDJKGEOVDZTYKU-LLVKDONJSA-N 0 1 298.774 0.560 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H](C)C(F)(F)F ZINC001486344973 898819444 /nfs/dbraw/zinc/81/94/44/898819444.db2.gz MOJQFBZNVSRNBM-ZJUUUORDSA-N 0 1 280.290 0.569 20 30 CCEDMN C=CCN(CCNC(=O)c1cn(C)cn1)CCOC ZINC001486428527 898863642 /nfs/dbraw/zinc/86/36/42/898863642.db2.gz XUVXULLUWNAWFV-UHFFFAOYSA-N 0 1 266.345 0.284 20 30 CCEDMN CC#CCNCc1ccc(CNC(=O)CC(N)=O)c(F)c1 ZINC001486522701 898920882 /nfs/dbraw/zinc/92/08/82/898920882.db2.gz JXZLYCPOQYXRLM-UHFFFAOYSA-N 0 1 291.326 0.430 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H](C)N(C)C(=O)[C@@H](C)C#N)c1C ZINC001486829099 899006219 /nfs/dbraw/zinc/00/62/19/899006219.db2.gz WUDOTFZFDKVARJ-DTWKUNHWSA-N 0 1 291.355 0.763 20 30 CCEDMN CC#CCCCC(=O)N(C)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001486921568 899071819 /nfs/dbraw/zinc/07/18/19/899071819.db2.gz WHKDGNIQBRQKMW-LLVKDONJSA-N 0 1 291.355 0.575 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C)NC(=O)C(C)(C)C)C1 ZINC001494718616 899578240 /nfs/dbraw/zinc/57/82/40/899578240.db2.gz ALKKLTXESAYORH-NEPJUHHUSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@H](OC)[C@@H]2CCOC2)CC1 ZINC001327085086 914364148 /nfs/dbraw/zinc/36/41/48/914364148.db2.gz LEWQRGLPXLYLBV-CABCVRRESA-N 0 1 295.379 0.926 20 30 CCEDMN Cc1oncc1CNC[C@H](O)CN(C)C(=O)[C@@H](C)C#N ZINC001410981541 899648918 /nfs/dbraw/zinc/64/89/18/899648918.db2.gz MWEOOGGJKPNZKF-CABZTGNLSA-N 0 1 280.328 0.052 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)CC(C)(C)O ZINC001411078999 899678594 /nfs/dbraw/zinc/67/85/94/899678594.db2.gz RLVCEYKERLCALV-JTQLQIEISA-N 0 1 278.780 0.309 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](NC(C)=O)CC1 ZINC001327095191 914368408 /nfs/dbraw/zinc/36/84/08/914368408.db2.gz PZLTYLQXTSFMIB-DGCLKSJQSA-N 0 1 265.357 0.115 20 30 CCEDMN Cc1cnc(COCC(=O)NC2(C#N)CCN(C)CC2)o1 ZINC001327155764 914397386 /nfs/dbraw/zinc/39/73/86/914397386.db2.gz RJLRQVWQMIMAND-UHFFFAOYSA-N 0 1 292.339 0.604 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CC2CC(F)(F)C2)C[C@H]1O ZINC001191872746 900023287 /nfs/dbraw/zinc/02/32/87/900023287.db2.gz NVVVANDQXAVZGJ-FBIMIBRVSA-N 0 1 287.310 0.353 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccc(OC2COC2)nc1 ZINC001193107709 900033104 /nfs/dbraw/zinc/03/31/04/900033104.db2.gz ZYCSCXZWYIIPON-MRVPVSSYSA-N 0 1 283.309 0.513 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccc(OC2COC2)nc1 ZINC001193107710 900033245 /nfs/dbraw/zinc/03/32/45/900033245.db2.gz ZYCSCXZWYIIPON-QMMMGPOBSA-N 0 1 283.309 0.513 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cn(CC)nn2)C1 ZINC001193109700 900033494 /nfs/dbraw/zinc/03/34/94/900033494.db2.gz KRWZVXYSSYKPCY-LBPRGKRZSA-N 0 1 275.356 0.468 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C[C@@H](C)NC(=O)C#CC1CC1 ZINC001487857573 900149000 /nfs/dbraw/zinc/14/90/00/900149000.db2.gz MHNXIIVVPLZYDJ-SECBINFHSA-N 0 1 289.339 0.103 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N(C)C[C@@H]1CCN1CC#N ZINC001488688653 900344495 /nfs/dbraw/zinc/34/44/95/900344495.db2.gz ITEMOGAAKIWJLL-KBPBESRZSA-N 0 1 294.399 0.011 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CC[C@@H](NCc2ncnn2C)C1 ZINC001488902706 900406818 /nfs/dbraw/zinc/40/68/18/900406818.db2.gz UCARNSSYZNXTPA-GRYCIOLGSA-N 0 1 290.371 0.349 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2cnn(C)n2)[C@H]1CC ZINC001489132143 900435579 /nfs/dbraw/zinc/43/55/79/900435579.db2.gz HIZHUDUCWBWROX-UONOGXRCSA-N 0 1 289.383 0.350 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)c1cn(C)cn1 ZINC001489212670 900448802 /nfs/dbraw/zinc/44/88/02/900448802.db2.gz ICWYYVUWBLWNBP-UHFFFAOYSA-N 0 1 292.383 0.464 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)c1cnn(C)c1 ZINC001489211114 900449315 /nfs/dbraw/zinc/44/93/15/900449315.db2.gz IZEHCBDTWFXCIP-UHFFFAOYSA-N 0 1 292.383 0.464 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2csnn2)[C@H]1C ZINC001489283501 900458604 /nfs/dbraw/zinc/45/86/04/900458604.db2.gz HWCOYKKOATWEKN-MNOVXSKESA-N 0 1 296.396 0.933 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](C)CC(N)=O ZINC001489327937 900468581 /nfs/dbraw/zinc/46/85/81/900468581.db2.gz NKCXYHFBVSTLAU-KBPBESRZSA-N 0 1 293.411 0.834 20 30 CCEDMN C[C@H]1C[C@@H](NC(=O)C(=O)NCCCC#N)CN1C1CC1 ZINC001327274207 914458732 /nfs/dbraw/zinc/45/87/32/914458732.db2.gz FNCXSVYNXRUDRR-WDEREUQCSA-N 0 1 278.356 0.148 20 30 CCEDMN C=CCn1cc(C(=O)NCCCN(C)CCF)nn1 ZINC001490256866 900585816 /nfs/dbraw/zinc/58/58/16/900585816.db2.gz AGTBMZHXKRIJFX-UHFFFAOYSA-N 0 1 269.324 0.485 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)C2(C(N)=O)CC2)CC1 ZINC001490417121 900625081 /nfs/dbraw/zinc/62/50/81/900625081.db2.gz ZLJPLGGORIMXFJ-UHFFFAOYSA-N 0 1 277.368 0.198 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)c2c[nH]c(C#N)c2)CC1 ZINC001490465974 900630285 /nfs/dbraw/zinc/63/02/85/900630285.db2.gz VXDTWSIDRMFDRF-UHFFFAOYSA-N 0 1 299.378 0.257 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H](OC)[C@H](C)CC)CC1 ZINC001490494622 900639469 /nfs/dbraw/zinc/63/94/69/900639469.db2.gz LXSRVNIAZSTTNL-CABCVRRESA-N 0 1 297.443 0.967 20 30 CCEDMN CC(C)NC(=O)CNC/C=C/CNC(=O)C#CC(C)(C)C ZINC001321053166 900962535 /nfs/dbraw/zinc/96/25/35/900962535.db2.gz XFFDQKHFZSYVCA-VOTSOKGWSA-N 0 1 293.411 0.823 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CC(=O)N(CC(C)C)C1 ZINC001275566200 901133168 /nfs/dbraw/zinc/13/31/68/901133168.db2.gz MUCUYMHZCDENEV-UONOGXRCSA-N 0 1 293.411 0.561 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1cc[nH]c1 ZINC001275567394 901133715 /nfs/dbraw/zinc/13/37/15/901133715.db2.gz TYHMSMSFFNKAHV-GFCCVEGCSA-N 0 1 263.341 0.715 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)C1CN(C(=O)Cc2ccn[nH]2)C1 ZINC001411991003 901383049 /nfs/dbraw/zinc/38/30/49/901383049.db2.gz BCXWRQMIMKFELT-ZJUUUORDSA-N 0 1 289.339 0.075 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001412206811 901558942 /nfs/dbraw/zinc/55/89/42/901558942.db2.gz XOKMIHSUYLLHHI-CYDGBPFRSA-N 0 1 292.383 0.251 20 30 CCEDMN N#Cc1csc(C(=O)N2CCOC[C@H]2c2nn[nH]n2)c1 ZINC001412434177 901721324 /nfs/dbraw/zinc/72/13/24/901721324.db2.gz UTNSMUVIQFDIKA-QMMMGPOBSA-N 0 1 290.308 0.347 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](NCc2cnns2)C1 ZINC001490876323 902219267 /nfs/dbraw/zinc/21/92/67/902219267.db2.gz FZTRSJACICMZTB-MGCOHNPYSA-N 0 1 282.369 0.478 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001412866293 902314455 /nfs/dbraw/zinc/31/44/55/902314455.db2.gz ZAIFZMLDKBEDLN-RNCFNFMXSA-N 0 1 277.328 0.865 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001412866293 902314467 /nfs/dbraw/zinc/31/44/67/902314467.db2.gz ZAIFZMLDKBEDLN-RNCFNFMXSA-N 0 1 277.328 0.865 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@@H+]1CCCC[C@@H]1CO)cc2=O ZINC001412944455 902379147 /nfs/dbraw/zinc/37/91/47/902379147.db2.gz OABRJJYAXZQCOW-GFCCVEGCSA-N 0 1 287.323 0.653 20 30 CCEDMN Cn1ccnc1CN[C@H]1C[C@@H](NC(=O)CSCC#N)C1 ZINC001490995471 903282802 /nfs/dbraw/zinc/28/28/02/903282802.db2.gz VYLVJVBWLLNDBN-PHIMTYICSA-N 0 1 293.396 0.414 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)COCCOCC ZINC001491236226 903458901 /nfs/dbraw/zinc/45/89/01/903458901.db2.gz IVRRZPOWSHCVIX-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN CC(C)c1nnc(CN[C@H]2C[C@@H](NC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001398610712 914742428 /nfs/dbraw/zinc/74/24/28/914742428.db2.gz JGSKABXNRDCLDX-MXWKQRLJSA-N 0 1 290.371 0.825 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)CCc2cn[nH]c2)[C@H](OC)C1 ZINC001213554139 903839074 /nfs/dbraw/zinc/83/90/74/903839074.db2.gz YYUPGFKNYHCKRZ-ZIAGYGMSSA-N 0 1 292.383 0.734 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1ccco1 ZINC001231268753 903934069 /nfs/dbraw/zinc/93/40/69/903934069.db2.gz JTMIAWSUKSSFAM-UHFFFAOYSA-N 0 1 279.340 0.634 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C1(C(N)=O)CC1 ZINC001281957376 903991919 /nfs/dbraw/zinc/99/19/19/903991919.db2.gz QZVAMYFABOAZNX-GFCCVEGCSA-N 0 1 277.368 0.198 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)CN1CCC(CO)CC1 ZINC001262644750 904090971 /nfs/dbraw/zinc/09/09/71/904090971.db2.gz FNXFNJBCHCDXGI-CQSZACIVSA-N 0 1 264.369 0.705 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](NC(=O)CC)C[C@H]1C ZINC001281643542 904314243 /nfs/dbraw/zinc/31/42/43/904314243.db2.gz BVUNBYCYTMCNEI-VXGBXAGGSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCN(CC(=O)N(C)C)[C@H](C)C1 ZINC001281657676 904320258 /nfs/dbraw/zinc/32/02/58/904320258.db2.gz DWJVXUXGZMUUCU-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2ccon2)CC1 ZINC001281798559 904350636 /nfs/dbraw/zinc/35/06/36/904350636.db2.gz FZSPTMWWAUEEEG-UHFFFAOYSA-N 0 1 277.324 0.255 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C(C)(C)C(N)=O ZINC001281942135 904374650 /nfs/dbraw/zinc/37/46/50/904374650.db2.gz MPJDJQSPUDJZHD-LLVKDONJSA-N 0 1 265.357 0.054 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H](OC)C1CC1 ZINC001281933770 904374978 /nfs/dbraw/zinc/37/49/78/904374978.db2.gz UZBSTLRWTDCUIJ-ZIAGYGMSSA-N 0 1 264.369 0.967 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)CCOC ZINC001282346471 904453397 /nfs/dbraw/zinc/45/33/97/904453397.db2.gz NIUXVJAYXATDLL-GFCCVEGCSA-N 0 1 256.346 0.109 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnn(CCCOC)c1 ZINC001282478613 904488372 /nfs/dbraw/zinc/48/83/72/904488372.db2.gz RYNKANFICQLKLO-ZDUSSCGKSA-N 0 1 292.383 0.603 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)COCC)C2)C1 ZINC001282775413 904540387 /nfs/dbraw/zinc/54/03/87/904540387.db2.gz RECKONRMSIVRIQ-OAHLLOKOSA-N 0 1 282.384 0.902 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCCNC(=O)c1ncn[nH]1 ZINC001283313346 904801104 /nfs/dbraw/zinc/80/11/04/904801104.db2.gz WRGLGDUTXUGBAA-ZDUSSCGKSA-N 0 1 279.344 0.643 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCCNC(=O)c1nc[nH]n1 ZINC001283313346 904801113 /nfs/dbraw/zinc/80/11/13/904801113.db2.gz WRGLGDUTXUGBAA-ZDUSSCGKSA-N 0 1 279.344 0.643 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccsc1C ZINC001283756611 904996774 /nfs/dbraw/zinc/99/67/74/904996774.db2.gz NWIKKBNFBIZVGJ-JTQLQIEISA-N 0 1 252.339 0.370 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1[nH]nc2ccccc21 ZINC001283824542 905042555 /nfs/dbraw/zinc/04/25/55/905042555.db2.gz LTJAEKMGDNMFCF-LLVKDONJSA-N 0 1 286.335 0.267 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C(C)(C)C(F)(F)F ZINC001283824704 905042636 /nfs/dbraw/zinc/04/26/36/905042636.db2.gz MVPZQVPPYFBWFN-QMMMGPOBSA-N 0 1 266.263 0.275 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1CC(C(C)(C)C)C1 ZINC001283832102 905046382 /nfs/dbraw/zinc/04/63/82/905046382.db2.gz RKQLVUDPJWHVTL-WXRRBKDZSA-N 0 1 266.385 0.759 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001377708893 905113333 /nfs/dbraw/zinc/11/33/33/905113333.db2.gz QEGJOJPQJHTRGC-UTUOFQBUSA-N 0 1 299.802 0.689 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001284003705 905114734 /nfs/dbraw/zinc/11/47/34/905114734.db2.gz XCDUGMXSXJLTJE-STQMWFEESA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCc2cn(C)nn2)[C@@H]1C ZINC001284148394 905160234 /nfs/dbraw/zinc/16/02/34/905160234.db2.gz JZNLLDMZBRHVBO-JSGCOSHPSA-N 0 1 289.383 0.350 20 30 CCEDMN C=CCSCCn1nnnc1N(C)Cc1nnc[nH]1 ZINC001336967147 921131698 /nfs/dbraw/zinc/13/16/98/921131698.db2.gz NPUJUYCFXFKOFC-UHFFFAOYSA-N 0 1 280.361 0.347 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCNC(=O)CCC)CC1 ZINC001284490508 905317916 /nfs/dbraw/zinc/31/79/16/905317916.db2.gz LOCSOEVWFGZEOZ-UHFFFAOYSA-N 0 1 293.411 0.706 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1c(C)ccn1C ZINC001284491206 905318811 /nfs/dbraw/zinc/31/88/11/905318811.db2.gz FIMUHEQOEOPYAU-CYBMUJFWSA-N 0 1 277.368 0.331 20 30 CCEDMN CC[C@@H](F)CN(C)C[C@H](O)CN(C)C(=O)C#CC1CC1 ZINC001284491265 905325021 /nfs/dbraw/zinc/32/50/21/905325021.db2.gz ACLCLERHTFZRBZ-KGLIPLIRSA-N 0 1 284.375 0.899 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)C[C@@H](O)CN(C)CC#CCOC ZINC001284505790 905339623 /nfs/dbraw/zinc/33/96/23/905339623.db2.gz OOOHPRYUBYQXQY-AWEZNQCLSA-N 0 1 296.411 0.600 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc(C)c(C)cn1 ZINC001284504815 905341560 /nfs/dbraw/zinc/34/15/60/905341560.db2.gz IBHHRQFYFHEYNV-CQSZACIVSA-N 0 1 289.379 0.696 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)Cc1occc1C ZINC001284510243 905345942 /nfs/dbraw/zinc/34/59/42/905345942.db2.gz VWFKVGVTJLSPQM-ZDUSSCGKSA-N 0 1 278.352 0.515 20 30 CCEDMN CC#CCCCC(=O)N(C)CCNC(=O)c1[nH]ncc1F ZINC001284584159 905386113 /nfs/dbraw/zinc/38/61/13/905386113.db2.gz WPUMLNULCBQIPM-UHFFFAOYSA-N 0 1 294.330 0.931 20 30 CCEDMN CC(C)C#CC(=O)N(C)C1CC(NCc2ncnn2C)C1 ZINC001284761886 905429402 /nfs/dbraw/zinc/42/94/02/905429402.db2.gz MOMZAUYKYYWIFB-UHFFFAOYSA-N 0 1 289.383 0.554 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N[C@@H]2CCC[N@H+](C)C2)cc1 ZINC001337008732 921151612 /nfs/dbraw/zinc/15/16/12/921151612.db2.gz FFDDLHGXOFHEOX-CQSZACIVSA-N 0 1 285.347 0.817 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N[C@@H]2CCCN(C)C2)cc1 ZINC001337008732 921151618 /nfs/dbraw/zinc/15/16/18/921151618.db2.gz FFDDLHGXOFHEOX-CQSZACIVSA-N 0 1 285.347 0.817 20 30 CCEDMN Cc1cnc(CNC[C@@H](C)CNC(=O)[C@H](C)C#N)nc1 ZINC001378551298 905678307 /nfs/dbraw/zinc/67/83/07/905678307.db2.gz JIJIHOUQKTYQHN-ZYHUDNBSSA-N 0 1 275.356 0.787 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)NC(=O)[C@@H]1CCCCN1C ZINC001285682957 905715016 /nfs/dbraw/zinc/71/50/16/905715016.db2.gz COABLLUQARACTM-OCCSQVGLSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CN(C)C(=O)[C@H]1CCCN1C ZINC001337082748 921182140 /nfs/dbraw/zinc/18/21/40/921182140.db2.gz ZLJMGJYTWCAKHR-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1CCNC(=O)C1 ZINC001379105322 905997211 /nfs/dbraw/zinc/99/72/11/905997211.db2.gz SXRVRSWNMOPSOD-MNOVXSKESA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccsn1 ZINC001379227031 906075765 /nfs/dbraw/zinc/07/57/65/906075765.db2.gz PCWKLZKDJWLGSO-MRVPVSSYSA-N 0 1 275.761 0.576 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC001334455105 906195838 /nfs/dbraw/zinc/19/58/38/906195838.db2.gz VVNKIVZGNHTBRT-LBPRGKRZSA-N 0 1 294.403 0.531 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cnc2n1CCOC2 ZINC001379520751 906319644 /nfs/dbraw/zinc/31/96/44/906319644.db2.gz XFIKLCYRPVCYAA-JTQLQIEISA-N 0 1 298.774 0.874 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCCS(C)(=O)=O ZINC001379566927 906356840 /nfs/dbraw/zinc/35/68/40/906356840.db2.gz PJPBBLPTXINVPX-JTQLQIEISA-N 0 1 296.820 0.658 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCNC(=O)[C@H]1CCCN1C ZINC001293040523 906392170 /nfs/dbraw/zinc/39/21/70/906392170.db2.gz UPBXUYBUSHGDMJ-DOMZBBRYSA-N 0 1 281.400 0.915 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cccc2[nH]cnc21 ZINC001293395826 906471842 /nfs/dbraw/zinc/47/18/42/906471842.db2.gz FSCSXSZIGDPVEW-VIFPVBQESA-N 0 1 256.265 0.927 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)Cc1ncc[nH]1 ZINC001379860587 906511856 /nfs/dbraw/zinc/51/18/56/906511856.db2.gz YKPRASNHEUYIKP-SNVBAGLBSA-N 0 1 286.763 0.114 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001294772307 906633184 /nfs/dbraw/zinc/63/31/84/906633184.db2.gz WSSMSGCCGBUSJY-JTQLQIEISA-N 0 1 277.328 0.492 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001294813405 906640001 /nfs/dbraw/zinc/64/00/01/906640001.db2.gz OCBWKEVRRRUGMW-JTQLQIEISA-N 0 1 292.314 0.539 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CCN2CCCC2=O)CC1 ZINC001380168731 906664736 /nfs/dbraw/zinc/66/47/36/906664736.db2.gz HPXDTPLXVGEORH-UHFFFAOYSA-N 0 1 299.802 0.990 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@H](C)NCC#N ZINC001491681698 907495127 /nfs/dbraw/zinc/49/51/27/907495127.db2.gz GOQCUDNDTPYZGK-NWDGAFQWSA-N 0 1 252.362 0.479 20 30 CCEDMN COCC#CCN(C)[C@H]1CCCN(C(=O)[C@H](C)COC)C1 ZINC001491915236 907638619 /nfs/dbraw/zinc/63/86/19/907638619.db2.gz ALZFZVNMGUWBQF-CABCVRRESA-N 0 1 296.411 0.842 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cccnc1 ZINC001382377064 907708210 /nfs/dbraw/zinc/70/82/10/907708210.db2.gz IZANUYIBTZNTOO-GFCCVEGCSA-N 0 1 283.759 0.857 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccc(F)cn1 ZINC001492231790 907783940 /nfs/dbraw/zinc/78/39/40/907783940.db2.gz HLIVSBWPZFIUTN-UHFFFAOYSA-N 0 1 279.315 0.532 20 30 CCEDMN CC[C@@H]1CC[C@@H](C(=O)NCCN(C)CC#CCOC)O1 ZINC001492276925 907826682 /nfs/dbraw/zinc/82/66/82/907826682.db2.gz MRAVXGCGLHFUCS-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C[C@H](Nc1cnccc1C#N)[C@H]1CN(C)CCN1C ZINC001338084706 921362825 /nfs/dbraw/zinc/36/28/25/921362825.db2.gz QUSUYGLKMSISRQ-SMDDNHRTSA-N 0 1 259.357 0.999 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)CCC(N)=O)[nH]c2c1 ZINC001301793407 907987821 /nfs/dbraw/zinc/98/78/21/907987821.db2.gz WWCMXXLIIHSYHC-UHFFFAOYSA-N 0 1 257.253 0.639 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)NC1(c2nn[nH]n2)CCC1 ZINC001303222336 908068952 /nfs/dbraw/zinc/06/89/52/908068952.db2.gz RRTFZZDAYNEWRX-UHFFFAOYSA-N 0 1 279.300 0.205 20 30 CCEDMN C=C(Cl)CN(C)CCNC(=O)Cc1nnc[nH]1 ZINC001317465013 908255831 /nfs/dbraw/zinc/25/58/31/908255831.db2.gz GGMBNYOASMVQMR-UHFFFAOYSA-N 0 1 257.725 0.148 20 30 CCEDMN C#CCOCCN(CCO)CCNC(=O)OC(C)(C)C ZINC001307665608 908329609 /nfs/dbraw/zinc/32/96/09/908329609.db2.gz CADMOYDNOGTQHE-UHFFFAOYSA-N 0 1 286.372 0.455 20 30 CCEDMN C=C(C)C[C@H](CO)NCc1ccc(S(N)(=O)=O)o1 ZINC001308501630 908418925 /nfs/dbraw/zinc/41/89/25/908418925.db2.gz PGKPSIZSWCUCML-SECBINFHSA-N 0 1 274.342 0.344 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H]1C[C@@H]1C ZINC001317476922 908454337 /nfs/dbraw/zinc/45/43/37/908454337.db2.gz ZVQDYDPNUZEIME-STQMWFEESA-N 0 1 252.358 0.730 20 30 CCEDMN CCCn1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(C)n1 ZINC001340264780 908513121 /nfs/dbraw/zinc/51/31/21/908513121.db2.gz GAPRFINLASHUIY-JQWIXIFHSA-N 0 1 261.329 0.443 20 30 CCEDMN C=C1CCN(C(=O)Nc2nn[nH]c2C(=O)NC)CC1 ZINC001311173616 908540409 /nfs/dbraw/zinc/54/04/09/908540409.db2.gz XUJOZMHZWORZRL-UHFFFAOYSA-N 0 1 264.289 0.348 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1[C@H]2CCO[C@@H]2C1(C)C ZINC001312066543 908582530 /nfs/dbraw/zinc/58/25/30/908582530.db2.gz ZKCRWNCWLMXQMW-UPJWGTAASA-N 0 1 279.384 0.664 20 30 CCEDMN COC1(CNC(=O)NCC#CCN(C)C)CCOCC1 ZINC001312337563 908596528 /nfs/dbraw/zinc/59/65/28/908596528.db2.gz SVWBLBUFCFCDKR-UHFFFAOYSA-N 0 1 283.372 0.046 20 30 CCEDMN CN(C)CC#CCNC(=O)NCC[C@H]1CCCO1 ZINC001313287053 908659806 /nfs/dbraw/zinc/65/98/06/908659806.db2.gz MYVSYCDSQNMLSV-GFCCVEGCSA-N 0 1 253.346 0.420 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCOC[C@H]1C1CC1 ZINC001313439023 908667801 /nfs/dbraw/zinc/66/78/01/908667801.db2.gz DEJNUVPUVPJFCD-ZDUSSCGKSA-N 0 1 265.357 0.372 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CCN(CCOC(C)C)C1 ZINC001316976695 908710915 /nfs/dbraw/zinc/71/09/15/908710915.db2.gz BAVJTKVDGUOZCV-UONOGXRCSA-N 0 1 282.384 0.640 20 30 CCEDMN CN(CCCNC(=O)[C@H]1[C@@H]2CCC[C@@H]21)CC(=O)NCC#N ZINC001316818439 908747549 /nfs/dbraw/zinc/74/75/49/908747549.db2.gz AUUQZYNHVOBNPW-IMRBUKKESA-N 0 1 292.383 0.110 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCCN(C)CC(=O)NC(N)=O ZINC001316846040 908803426 /nfs/dbraw/zinc/80/34/26/908803426.db2.gz ADOFGCJJPGIEGS-CQSZACIVSA-N 0 1 298.387 0.222 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CCn2ccnn2)C1 ZINC001316939907 908863706 /nfs/dbraw/zinc/86/37/06/908863706.db2.gz DYSGYQDOFVNLGC-LBPRGKRZSA-N 0 1 263.345 0.435 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@]23C[C@H]2COC3)CC1 ZINC001316958394 908870139 /nfs/dbraw/zinc/87/01/39/908870139.db2.gz USCQTSFGWAMHGF-HOCLYGCPSA-N 0 1 293.411 0.333 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H](C)NC(C)=O)CC1 ZINC001317006706 908911551 /nfs/dbraw/zinc/91/15/51/908911551.db2.gz FLJVYBUWJOFNAS-CYBMUJFWSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)COCC=C ZINC001317041301 908934303 /nfs/dbraw/zinc/93/43/03/908934303.db2.gz ULQFTURKOTXEDC-OKILXGFUSA-N 0 1 262.353 0.888 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1C[C@@H](NCc2ocnc2C)C1 ZINC001317109025 908984642 /nfs/dbraw/zinc/98/46/42/908984642.db2.gz FKGXPNSXTNGPAS-RWMBFGLXSA-N 0 1 291.351 0.758 20 30 CCEDMN Cc1ncc(CN[C@@H](C)CNC(=O)[C@H](C)C#N)o1 ZINC001317228798 909062951 /nfs/dbraw/zinc/06/29/51/909062951.db2.gz KMDDKRLPKIJLDD-BDAKNGLRSA-N 0 1 250.302 0.737 20 30 CCEDMN NC(=O)CC(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001317296606 909121851 /nfs/dbraw/zinc/12/18/51/909121851.db2.gz BARHWEJTHIRFNX-AWEZNQCLSA-N 0 1 285.347 0.104 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnn2cccnc12 ZINC001317459813 909235368 /nfs/dbraw/zinc/23/53/68/909235368.db2.gz SSEHXAWJOKOUPC-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cc(C2CC2)n[nH]1 ZINC001317461595 909239433 /nfs/dbraw/zinc/23/94/33/909239433.db2.gz YXAFPLDAUPVEKQ-UHFFFAOYSA-N 0 1 290.367 0.599 20 30 CCEDMN C#CCN(C)CCNC(=O)CCCc1nc(C2CC2)no1 ZINC001317463107 909244095 /nfs/dbraw/zinc/24/40/95/909244095.db2.gz ATLUPRSFDVXPBU-UHFFFAOYSA-N 0 1 290.367 0.951 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)Cc1cncs1 ZINC001317501654 909271605 /nfs/dbraw/zinc/27/16/05/909271605.db2.gz DNPCOPDPBYSUPT-NSHDSACASA-N 0 1 263.366 0.899 20 30 CCEDMN C=C(C)C[N@@H+](CC)CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001588563167 983644582 /nfs/dbraw/zinc/64/45/82/983644582.db2.gz NDOWKGVRTUITBR-GHMZBOCLSA-N 0 1 254.330 0.721 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)[C@]2(C#N)CC23CCCC3)C1 ZINC001417641975 921489219 /nfs/dbraw/zinc/48/92/19/921489219.db2.gz QGYYAYNFCBMTTL-XJKSGUPXSA-N 0 1 290.411 0.822 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@H](C)NC(C)=O)c1ccccc1 ZINC001317715316 909477747 /nfs/dbraw/zinc/47/77/47/909477747.db2.gz ZQSIHTIKPXXGFJ-SWLSCSKDSA-N 0 1 287.363 0.591 20 30 CCEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CN(C)C(C)=O)C1 ZINC001317752510 909479331 /nfs/dbraw/zinc/47/93/31/909479331.db2.gz AWTUYAHFGJDORY-CQSZACIVSA-N 0 1 279.384 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(CCC)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001317761042 909486030 /nfs/dbraw/zinc/48/60/30/909486030.db2.gz CRTJIZNOFVIMRT-LBPRGKRZSA-N 0 1 290.367 0.983 20 30 CCEDMN C=C(C)CN1CCN(CCN(C)C(=O)[C@@H](C)COC)CC1 ZINC001317816822 909510678 /nfs/dbraw/zinc/51/06/78/909510678.db2.gz OTAYLVYPVKYQLC-HNNXBMFYSA-N 0 1 297.443 0.921 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1CCN(CCO)C1 ZINC001318321573 909706269 /nfs/dbraw/zinc/70/62/69/909706269.db2.gz MYDNVPVDOHBWMC-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CCN(CCOCC2CC2)C1 ZINC001318330666 909708861 /nfs/dbraw/zinc/70/88/61/909708861.db2.gz ADQUXASQDARWGN-DZGCQCFKSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CCN(CCn2cncn2)C1 ZINC001318326227 909709553 /nfs/dbraw/zinc/70/95/53/909709553.db2.gz NJSXJFPBUAKWFX-CQSZACIVSA-N 0 1 289.383 0.662 20 30 CCEDMN COCC#CCN1CC[C@@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC001318390984 909740564 /nfs/dbraw/zinc/74/05/64/909740564.db2.gz VXUGEHJJAUKOGV-GFCCVEGCSA-N 0 1 276.340 0.206 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H](C)NC(C)=O)C1 ZINC001318452826 909765020 /nfs/dbraw/zinc/76/50/20/909765020.db2.gz IEIAWXMCINQBSK-JSGCOSHPSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001318464787 909768615 /nfs/dbraw/zinc/76/86/15/909768615.db2.gz DBSKCXORQVRVDY-KBPBESRZSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)COC[C@H]2CCCO2)C1 ZINC001318490610 909778994 /nfs/dbraw/zinc/77/89/94/909778994.db2.gz DCDVMRCRQNAGEY-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H]1CNC(=O)CCc1cnc[nH]1 ZINC001389702944 910038889 /nfs/dbraw/zinc/03/88/89/910038889.db2.gz UFWRICUFIGBIHD-ZYHUDNBSSA-N 0 1 289.339 0.219 20 30 CCEDMN Cc1cccc(NC(=O)C(=O)NCCc2nc[nH]n2)c1C#N ZINC001319795136 910298719 /nfs/dbraw/zinc/29/87/19/910298719.db2.gz QVBXVGFSJJVJBR-UHFFFAOYSA-N 0 1 298.306 0.282 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@@H]1CCCc2n[nH]nc21)NCC#N ZINC001319943752 910367233 /nfs/dbraw/zinc/36/72/33/910367233.db2.gz LLJCBFDSBKPIEF-PWSUYJOCSA-N 0 1 290.371 0.479 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001320090901 910455553 /nfs/dbraw/zinc/45/55/53/910455553.db2.gz QGHHPVCSGLDHCJ-INIZCTEOSA-N 0 1 295.427 0.951 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H]2[C@H](CC[N@@H+]2CC(=O)NC)C1 ZINC001320100396 910462269 /nfs/dbraw/zinc/46/22/69/910462269.db2.gz YUUODTMGUOMHHT-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2CCC[C@H]2CNCC#N)=NC1=O ZINC001320166620 910488897 /nfs/dbraw/zinc/48/88/97/910488897.db2.gz RYJCZXSLBFFHSS-VHSXEESVSA-N 0 1 277.328 0.137 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1CC(C)C1 ZINC001320214597 910526372 /nfs/dbraw/zinc/52/63/72/910526372.db2.gz CSGARVDKERCJKG-BOBPJJCASA-N 0 1 260.765 0.852 20 30 CCEDMN Cc1noc(C)c1CNC[C@H](O)CNC(=O)C#CC(C)C ZINC001320219637 910535234 /nfs/dbraw/zinc/53/52/34/910535234.db2.gz ZDTMRUDHSQPICJ-ZDUSSCGKSA-N 0 1 293.367 0.518 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)N[C@H]2CCN(CCOC)C2)C1 ZINC001320351800 910629400 /nfs/dbraw/zinc/62/94/00/910629400.db2.gz JTJKHIMFIXPPCK-UONOGXRCSA-N 0 1 297.399 0.694 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)c1cn[nH]c(=O)c1 ZINC001320768002 910852785 /nfs/dbraw/zinc/85/27/85/910852785.db2.gz SAWBTIJXVPKTRD-UHFFFAOYSA-N 0 1 284.747 0.986 20 30 CCEDMN C=CCO[C@@H]1CCN(CC(=O)N2CCO[C@@H](C)C2)C1 ZINC001320899954 910927357 /nfs/dbraw/zinc/92/73/57/910927357.db2.gz YWKOXVRHBFUWSS-QWHCGFSZSA-N 0 1 268.357 0.511 20 30 CCEDMN Cc1nocc1CNC/C=C\CNC(=O)[C@H](C)C#N ZINC001320997422 910996960 /nfs/dbraw/zinc/99/69/60/910996960.db2.gz IXEGTUVRXLNBGO-UMBAGQNISA-N 0 1 262.313 0.905 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)[C@H](C)C1CC1 ZINC001321011859 911014323 /nfs/dbraw/zinc/01/43/23/911014323.db2.gz QAPCPZZSBQRBAS-QMAVJUDZSA-N 0 1 277.368 0.044 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)[C@@H](C)C1CC1 ZINC001321011858 911014879 /nfs/dbraw/zinc/01/48/79/911014879.db2.gz QAPCPZZSBQRBAS-ITKZLYELSA-N 0 1 277.368 0.044 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)[C@@H]1CC1(C)C ZINC001321031579 911027896 /nfs/dbraw/zinc/02/78/96/911027896.db2.gz NFVILUCMUXIVRV-FYJFLYSWSA-N 0 1 279.384 0.597 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CN(C)CCN2C)cc1 ZINC001339052614 921639047 /nfs/dbraw/zinc/63/90/47/921639047.db2.gz ADGPFIUYJOXVOV-HNNXBMFYSA-N 0 1 271.364 0.644 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NCCCCN1CCOCC1 ZINC001321242629 911188525 /nfs/dbraw/zinc/18/85/25/911188525.db2.gz YCUZHNBHWNJORC-ZDUSSCGKSA-N 0 1 285.388 0.599 20 30 CCEDMN CN(C)Cc1ccc(C(=O)NC2(C#N)CCOCC2)cn1 ZINC001321486510 911320657 /nfs/dbraw/zinc/32/06/57/911320657.db2.gz WCOAXBMNZUSHBB-UHFFFAOYSA-N 0 1 288.351 0.946 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N[C@H]2CCN(C3CC3)C2)CC1 ZINC001321888903 911552526 /nfs/dbraw/zinc/55/25/26/911552526.db2.gz LDLIEWFXMZIVBZ-ZDUSSCGKSA-N 0 1 291.395 0.764 20 30 CCEDMN CCc1ocnc1C(=O)N[C@H](C)CN(C)CC#CCOC ZINC001322137108 911674620 /nfs/dbraw/zinc/67/46/20/911674620.db2.gz WVSGRIRFTWZIPG-GFCCVEGCSA-N 0 1 293.367 0.937 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1ccn(C)c1 ZINC001322145381 911678242 /nfs/dbraw/zinc/67/82/42/911678242.db2.gz PZIUYGHKOAHVHL-ZDUSSCGKSA-N 0 1 277.368 0.725 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](OC)C1CC1 ZINC001322150274 911685418 /nfs/dbraw/zinc/68/54/18/911685418.db2.gz ZGEQJTJEVZZQNQ-YPMHNXCESA-N 0 1 252.358 0.871 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCc1ccn(C)n1 ZINC001322169058 911694959 /nfs/dbraw/zinc/69/49/59/911694959.db2.gz NFDPGRMJBIUXBA-CYBMUJFWSA-N 0 1 276.384 0.813 20 30 CCEDMN C[C@@H](CN(C)CC#N)NC(=O)CN1CCC(C)CC1 ZINC001322269181 911739081 /nfs/dbraw/zinc/73/90/81/911739081.db2.gz LSBOHBXOTJSFAX-ZDUSSCGKSA-N 0 1 266.389 0.678 20 30 CCEDMN C#CC[N@H+](C)C[C@H](C)NC(=O)c1ncccc1OC ZINC001322286455 911746515 /nfs/dbraw/zinc/74/65/15/911746515.db2.gz UACYVMUTBSQOSC-NSHDSACASA-N 0 1 261.325 0.774 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ncccc1OC ZINC001322286455 911746522 /nfs/dbraw/zinc/74/65/22/911746522.db2.gz UACYVMUTBSQOSC-NSHDSACASA-N 0 1 261.325 0.774 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)COC[C@H]1CCCO1 ZINC001322313422 911762094 /nfs/dbraw/zinc/76/20/94/911762094.db2.gz JEXOIKRFAZDQMI-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc(C)nnc1C ZINC001392376583 911768762 /nfs/dbraw/zinc/76/87/62/911768762.db2.gz NRQFYGMDKONMRV-LLVKDONJSA-N 0 1 298.774 0.526 20 30 CCEDMN Cc1nc(CN2CC[C@H]2CNC(=O)CSCC#N)n[nH]1 ZINC001323180938 912157302 /nfs/dbraw/zinc/15/73/02/912157302.db2.gz HVXYTYWAEOWAQF-JTQLQIEISA-N 0 1 294.384 0.060 20 30 CCEDMN N#CCC[C@H](C#N)CNC[C@H]1CC[C@H](C(N)=O)O1 ZINC001327975527 914898278 /nfs/dbraw/zinc/89/82/78/914898278.db2.gz KGWHTAUBWNCYSB-GMTAPVOTSA-N 0 1 250.302 0.052 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N1CCC(C)(NCC#N)CC1 ZINC001327970442 914898541 /nfs/dbraw/zinc/89/85/41/914898541.db2.gz QEOULDHAODDBCS-GFCCVEGCSA-N 0 1 264.373 0.575 20 30 CCEDMN Cc1nonc1CN[C@@H](C)CCNC(=O)CSCC#N ZINC001328310843 915099410 /nfs/dbraw/zinc/09/94/10/915099410.db2.gz OYLRTVRTRXAJKW-VIFPVBQESA-N 0 1 297.384 0.619 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)c1c[nH]c(=O)cn1)C1CC1 ZINC001417991602 921751456 /nfs/dbraw/zinc/75/14/56/921751456.db2.gz AGVSVZVLIFGYRB-SNVBAGLBSA-N 0 1 296.758 0.620 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC001328748173 915406094 /nfs/dbraw/zinc/40/60/94/915406094.db2.gz ZPLHXINUGFPIBJ-TXEJJXNPSA-N 0 1 265.357 0.115 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)cc1 ZINC001339644500 921786029 /nfs/dbraw/zinc/78/60/29/921786029.db2.gz LCOYABUPMIOTPL-CQSZACIVSA-N 0 1 297.358 0.959 20 30 CCEDMN CC(C)COC[C@H](O)CN1CC(CNC(=O)[C@@H](C)C#N)C1 ZINC001400464867 915758544 /nfs/dbraw/zinc/75/85/44/915758544.db2.gz IFEUUGJYDJWMEZ-GXTWGEPZSA-N 0 1 297.399 0.228 20 30 CCEDMN CCn1cc(CCN2CC(CNC(=O)[C@@H](C)C#N)C2)cn1 ZINC001400477144 915767773 /nfs/dbraw/zinc/76/77/73/915767773.db2.gz RKBNXTOWUOMREV-LBPRGKRZSA-N 0 1 289.383 0.653 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@@H]1CCC[N@H+]1C1CC1)C(=O)[O-] ZINC001329289181 915797039 /nfs/dbraw/zinc/79/70/39/915797039.db2.gz UMTGMJPFTHPQQM-MNOVXSKESA-N 0 1 252.314 0.759 20 30 CCEDMN COC[C@H](O)C[N@@H+](C)[C@@H](C)CNC(=O)C#CC(C)C ZINC001329431079 915907595 /nfs/dbraw/zinc/90/75/95/915907595.db2.gz IEHBFYQQICZJPB-QWHCGFSZSA-N 0 1 270.373 0.090 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)[N@@H+](C)CCNC(=O)C1CC1 ZINC001329430261 915908653 /nfs/dbraw/zinc/90/86/53/915908653.db2.gz BQVKZMCVYKAACY-GFCCVEGCSA-N 0 1 291.395 0.363 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)N(C)CCNC(=O)C1CC1 ZINC001329430261 915908666 /nfs/dbraw/zinc/90/86/66/915908666.db2.gz BQVKZMCVYKAACY-GFCCVEGCSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1nnn(C(C)(C)C)n1 ZINC001329442734 915921846 /nfs/dbraw/zinc/92/18/46/915921846.db2.gz UCXBYHZDIMRPFJ-LLVKDONJSA-N 0 1 292.387 0.502 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(=O)[nH]c1 ZINC001329609880 916061341 /nfs/dbraw/zinc/06/13/41/916061341.db2.gz RDAIAQZPTPJVTJ-NSHDSACASA-N 0 1 261.325 0.861 20 30 CCEDMN CO[C@@H](C)C(=O)NC[C@H](O)CNCc1ccccc1C#N ZINC001401484178 916270589 /nfs/dbraw/zinc/27/05/89/916270589.db2.gz FJNORAWJUNOVDO-SMDDNHRTSA-N 0 1 291.351 0.160 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)Cc1nnc(C)o1 ZINC001329919530 916281681 /nfs/dbraw/zinc/28/16/81/916281681.db2.gz CYEUYPCONNDIHK-ZDUSSCGKSA-N 0 1 290.367 0.915 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)Cc2cn(C)nc2C)C1 ZINC001330001166 916348606 /nfs/dbraw/zinc/34/86/06/916348606.db2.gz UIMCJSOIUDNDQL-GFCCVEGCSA-N 0 1 288.395 0.731 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001330273056 916519036 /nfs/dbraw/zinc/51/90/36/916519036.db2.gz CFVWLIDVZSUACJ-RTCCRHLQSA-N 0 1 291.355 0.271 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001330273056 916519046 /nfs/dbraw/zinc/51/90/46/916519046.db2.gz CFVWLIDVZSUACJ-RTCCRHLQSA-N 0 1 291.355 0.271 20 30 CCEDMN C=CCCCC(=O)N[C@H]1C[C@H](CNCc2nnnn2C)C1 ZINC001330650318 916766204 /nfs/dbraw/zinc/76/62/04/916766204.db2.gz IUAYYCOJCBRTMP-HAQNSBGRSA-N 0 1 292.387 0.551 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)N1C[C@H]2CC[N@H+](C)[C@H]2C1 ZINC001331231980 917204545 /nfs/dbraw/zinc/20/45/45/917204545.db2.gz FRQHTLZTYHLFLD-MCIONIFRSA-N 0 1 291.395 0.716 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@H](C)NCc1conc1C ZINC001331437863 917335740 /nfs/dbraw/zinc/33/57/40/917335740.db2.gz CXAUXNPSCIUCHG-AAEUAGOBSA-N 0 1 293.367 0.958 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)N1CCc2sc(CN)nc2C1 ZINC001331452347 917344500 /nfs/dbraw/zinc/34/45/00/917344500.db2.gz XAPSGHGYLSLVAB-VIFPVBQESA-N 0 1 296.396 0.607 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(OC)cs1 ZINC001331759855 917583958 /nfs/dbraw/zinc/58/39/58/917583958.db2.gz WKMKJJZNVJZXMC-SNVBAGLBSA-N 0 1 282.365 0.460 20 30 CCEDMN C=C[C@H](COC)NC(=O)c1cccc2nc(CO)[nH]c21 ZINC001332106735 917865885 /nfs/dbraw/zinc/86/58/85/917865885.db2.gz JJGXGTDFCIVITR-SECBINFHSA-N 0 1 275.308 0.986 20 30 CCEDMN CC[C@H](F)CN[C@@H](CO)CNC(=O)c1c[nH]c(C#N)c1 ZINC001403644473 917876240 /nfs/dbraw/zinc/87/62/40/917876240.db2.gz LLUZARXVXBGEQH-CMPLNLGQSA-N 0 1 282.319 0.315 20 30 CCEDMN N#CCNC[C@H]1CC[C@@H](NC(=O)CCc2c[nH]nn2)C1 ZINC001332303019 918033753 /nfs/dbraw/zinc/03/37/53/918033753.db2.gz SUTJKKDHIWLQCH-WDEREUQCSA-N 0 1 276.344 0.135 20 30 CCEDMN N#CCNC[C@H]1CC[C@@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001332303019 918033768 /nfs/dbraw/zinc/03/37/68/918033768.db2.gz SUTJKKDHIWLQCH-WDEREUQCSA-N 0 1 276.344 0.135 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1ccns1 ZINC001403845476 918055804 /nfs/dbraw/zinc/05/58/04/918055804.db2.gz ZCONSPKSGFJGNU-SECBINFHSA-N 0 1 289.788 0.918 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)CCOC ZINC001332471007 918185125 /nfs/dbraw/zinc/18/51/25/918185125.db2.gz LVORKFVTZNNUCK-SNVBAGLBSA-N 0 1 264.753 0.184 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1cncc2nc[nH]c21 ZINC001332598707 918300423 /nfs/dbraw/zinc/30/04/23/918300423.db2.gz XMPBJMQHIZUCQO-VIFPVBQESA-N 0 1 258.281 0.462 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccns1 ZINC001332632270 918330970 /nfs/dbraw/zinc/33/09/70/918330970.db2.gz NHOOCLAVZIBKOV-LLVKDONJSA-N 0 1 281.381 0.531 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1ccsn1 ZINC001332648630 918353106 /nfs/dbraw/zinc/35/31/06/918353106.db2.gz VOVTZJXIXKPAJQ-NSHDSACASA-N 0 1 281.381 0.531 20 30 CCEDMN C=CCCS(=O)(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001332947084 918552791 /nfs/dbraw/zinc/55/27/91/918552791.db2.gz RZIQVWMCIUEACD-SECBINFHSA-N 0 1 286.357 0.652 20 30 CCEDMN COCC#CCN1CC=C(CNC(=O)C[C@H](C)OC)CC1 ZINC001333303217 918786534 /nfs/dbraw/zinc/78/65/34/918786534.db2.gz CLFCBNKJCBRYLW-AWEZNQCLSA-N 0 1 294.395 0.810 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCn1cncn1 ZINC001405809224 918921899 /nfs/dbraw/zinc/92/18/99/918921899.db2.gz QFWOXWMGNYWKGU-LLVKDONJSA-N 0 1 285.779 0.857 20 30 CCEDMN C#CCC1(O)CCN(CC2CCS(=O)(=O)CC2)CC1 ZINC001333489792 918946026 /nfs/dbraw/zinc/94/60/26/918946026.db2.gz FHSSNHUQJXDLJQ-UHFFFAOYSA-N 0 1 285.409 0.661 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cn2c(n1)CCC2 ZINC001406201649 919111726 /nfs/dbraw/zinc/11/17/26/919111726.db2.gz AVUNEPDBQWZUKE-JTQLQIEISA-N 0 1 298.774 0.262 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CCc1ccncc1 ZINC001406255986 919140125 /nfs/dbraw/zinc/14/01/25/919140125.db2.gz OQFODTNAJFQBCN-CYBMUJFWSA-N 0 1 297.786 0.833 20 30 CCEDMN N#CC[C@@H](O)CN1CCC(O[C@H]2CCOC2)CC1 ZINC001333998985 919308289 /nfs/dbraw/zinc/30/82/89/919308289.db2.gz FQUUJMIQNAJXRW-YPMHNXCESA-N 0 1 254.330 0.531 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001406503724 919309975 /nfs/dbraw/zinc/30/99/75/919309975.db2.gz SGFXMYKCJMPKCU-GWCFXTLKSA-N 0 1 287.791 0.750 20 30 CCEDMN C=C(C)CCC(=O)N(C)[C@@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001334552310 919651188 /nfs/dbraw/zinc/65/11/88/919651188.db2.gz AYFXCCIPGIKGNP-NSHDSACASA-N 0 1 293.371 0.667 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](C)Cn1ccc(C)n1 ZINC001492962053 919720168 /nfs/dbraw/zinc/72/01/68/919720168.db2.gz JSZKPTDIFUIFEM-ZDUSSCGKSA-N 0 1 276.384 0.899 20 30 CCEDMN C#CC1(O)CCN(C(=O)[C@@H](C)Cc2cnc[nH]2)CC1 ZINC001335063605 919926821 /nfs/dbraw/zinc/92/68/21/919926821.db2.gz DOPSNQJSSRNTKG-NSHDSACASA-N 0 1 261.325 0.575 20 30 CCEDMN CN(C)C1CN(C(=O)C2(C#N)CCSCC2)C1 ZINC001335273336 920084104 /nfs/dbraw/zinc/08/41/04/920084104.db2.gz QWPZJRFLYVSCRT-UHFFFAOYSA-N 0 1 253.371 0.796 20 30 CCEDMN N#CC1(CNC[C@H](O)C[C@]2(O)CCOC2)CCCC1 ZINC001335448165 920201515 /nfs/dbraw/zinc/20/15/15/920201515.db2.gz MOLQKMZJUFKXRL-TZMCWYRMSA-N 0 1 268.357 0.562 20 30 CCEDMN COCC#CC(=O)N1CCc2nc[nH]c2[C@@H]1c1cccnc1 ZINC001335963511 920533502 /nfs/dbraw/zinc/53/35/02/920533502.db2.gz SRTMJXBYWLYFNX-INIZCTEOSA-N 0 1 296.330 0.929 20 30 CCEDMN CCCN(CCNC(=O)Cc1cnc[nH]1)C(=O)[C@H](C)C#N ZINC001415823413 920837218 /nfs/dbraw/zinc/83/72/18/920837218.db2.gz AXPYGYHCEGGHMD-LLVKDONJSA-N 0 1 291.355 0.467 20 30 CCEDMN C=CCn1c(C[N+](=O)[O-])nnc1N1CCN(CC)CC1 ZINC001340672645 922160903 /nfs/dbraw/zinc/16/09/03/922160903.db2.gz SYEIDWYSQICSEQ-UHFFFAOYSA-N 0 1 280.332 0.383 20 30 CCEDMN CON=Cc1ccc(C(=O)N2CCNC[C@@H]2CO)cc1 ZINC001340848907 922242074 /nfs/dbraw/zinc/24/20/74/922242074.db2.gz SPXFEYXZPWRWAG-CYBMUJFWSA-N 0 1 277.324 0.073 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC001341292525 922413338 /nfs/dbraw/zinc/41/33/38/922413338.db2.gz BYCWNQQJUVCLKC-UONOGXRCSA-N 0 1 264.369 0.874 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@H](NC(=O)c1cnncc1O)C2 ZINC001418996552 922554175 /nfs/dbraw/zinc/55/41/75/922554175.db2.gz CHKHNJWGGOLLOA-GFCCVEGCSA-N 0 1 280.287 0.951 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](Cc2cnn(C)c2)C1 ZINC001341627275 922592043 /nfs/dbraw/zinc/59/20/43/922592043.db2.gz OYBWJCDHAYGFOL-GFCCVEGCSA-N 0 1 260.341 0.034 20 30 CCEDMN C#CCNCC(=O)N1CCN([C@H](C)C(F)(F)F)CC1 ZINC001341716907 922639565 /nfs/dbraw/zinc/63/95/65/922639565.db2.gz KZMGFGJQDWOCTO-SNVBAGLBSA-N 0 1 277.290 0.304 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)NCC2 ZINC001341747359 922652905 /nfs/dbraw/zinc/65/29/05/922652905.db2.gz GHPJQGRQFQJVOV-WCQYABFASA-N 0 1 256.309 0.496 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)[C@H](C)CN2CCOCC2)C1 ZINC001342278744 922891186 /nfs/dbraw/zinc/89/11/86/922891186.db2.gz KOYMOIWAFDIEHD-KGLIPLIRSA-N 0 1 282.384 0.758 20 30 CCEDMN C#CCNCC(=O)NC[C@@]1(C)COc2ccccc2O1 ZINC001342287340 922896251 /nfs/dbraw/zinc/89/62/51/922896251.db2.gz MSUZDXIIHAHMNH-HNNXBMFYSA-N 0 1 274.320 0.556 20 30 CCEDMN C#CCNCC(=O)N1CCCCCCNC(=O)CC1 ZINC001342285723 922897757 /nfs/dbraw/zinc/89/77/57/922897757.db2.gz SWHFGRUIRGVPFG-UHFFFAOYSA-N 0 1 265.357 0.118 20 30 CCEDMN C[C@@H](ON=Cc1ccc(N2CCOCC2)cc1)C(N)=O ZINC001342587458 923014204 /nfs/dbraw/zinc/01/42/04/923014204.db2.gz ZFBGLECGKJLMLS-LLVKDONJSA-N 0 1 277.324 0.748 20 30 CCEDMN C#CCNCC(=O)NCCCOc1ccc(OC)cc1 ZINC001343185893 923228815 /nfs/dbraw/zinc/22/88/15/923228815.db2.gz FJBFTNQDBYYDEY-UHFFFAOYSA-N 0 1 276.336 0.803 20 30 CCEDMN N#CC(C(=O)CO[C@H]1CCOC1)C(=O)NC1CCCC1 ZINC001343348143 923280514 /nfs/dbraw/zinc/28/05/14/923280514.db2.gz PNZMOQKNHNSNHJ-NWDGAFQWSA-N 0 1 280.324 0.560 20 30 CCEDMN Cc1cccc(C(=O)C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC001343467763 923331352 /nfs/dbraw/zinc/33/13/52/923331352.db2.gz OLHNMJQFCPFISW-NWDGAFQWSA-N 0 1 257.293 0.406 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccc(C)nn1 ZINC001420131323 923335721 /nfs/dbraw/zinc/33/57/21/923335721.db2.gz VNEYPVVMTAMTOW-JTQLQIEISA-N 0 1 284.747 0.218 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cnc(C2CC2)o1 ZINC001420136725 923339621 /nfs/dbraw/zinc/33/96/21/923339621.db2.gz JCDXHQUOXDVDNF-JTQLQIEISA-N 0 1 299.758 0.985 20 30 CCEDMN C[C@H]1C[C@@H]1C(=O)NC[C@@H](O)CNCc1ccccc1C#N ZINC001420176869 923372766 /nfs/dbraw/zinc/37/27/66/923372766.db2.gz YGNGRWYOFQRRSH-CQDKDKBSSA-N 0 1 287.363 0.781 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C(C)(C)COC ZINC001420253284 923461638 /nfs/dbraw/zinc/46/16/38/923461638.db2.gz GSLZJLIZKBJJJM-SNVBAGLBSA-N 0 1 278.780 0.478 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCN(C)C(=O)C[N@H+]1CC[C@@H](C)C1 ZINC001416680383 923782204 /nfs/dbraw/zinc/78/22/04/923782204.db2.gz DUODLRHLMDAKSE-CHWSQXEVSA-N 0 1 294.399 0.405 20 30 CCEDMN CCN(C(=O)CNC1(CNC(=O)[C@@H](C)C#N)CC1)C1CC1 ZINC001420919062 924025189 /nfs/dbraw/zinc/02/51/89/924025189.db2.gz IUJSREVPAZNCHZ-NSHDSACASA-N 0 1 292.383 0.395 20 30 CCEDMN N#Cc1cc(C(=O)NCc2nnc([C@@H]3CCOC3)[nH]2)c[nH]1 ZINC001420988679 924080172 /nfs/dbraw/zinc/08/01/72/924080172.db2.gz WARLDLNWYMUMRD-MRVPVSSYSA-N 0 1 286.295 0.438 20 30 CCEDMN N#Cc1cc(C(=O)NCc2n[nH]c([C@@H]3CCOC3)n2)c[nH]1 ZINC001420988679 924080183 /nfs/dbraw/zinc/08/01/83/924080183.db2.gz WARLDLNWYMUMRD-MRVPVSSYSA-N 0 1 286.295 0.438 20 30 CCEDMN C=CCNC(=O)C(C#N)C(=O)C1CCC(NC(C)=O)CC1 ZINC001346240276 924128620 /nfs/dbraw/zinc/12/86/20/924128620.db2.gz RTAQQVNYKKMQRC-WXRRBKDZSA-N 0 1 291.351 0.692 20 30 CCEDMN C#C[C@@H](CC)NC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001346884622 924325070 /nfs/dbraw/zinc/32/50/70/924325070.db2.gz ZROPPBDMDVTNKD-NSHDSACASA-N 0 1 276.340 0.769 20 30 CCEDMN C=CC[C@H](NC(=O)Cc1n[nH]c(C)n1)C(=O)OCC ZINC001347750819 924526619 /nfs/dbraw/zinc/52/66/19/924526619.db2.gz KCKVUVQDZJXICK-VIFPVBQESA-N 0 1 266.301 0.280 20 30 CCEDMN C#C[C@H](CCC)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC001348135329 924601385 /nfs/dbraw/zinc/60/13/85/924601385.db2.gz GBCVATPWAHSIIE-MRVPVSSYSA-N 0 1 286.313 0.409 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC001348706258 924782028 /nfs/dbraw/zinc/78/20/28/924782028.db2.gz WUZGQCRSLXCAAT-NSHDSACASA-N 0 1 276.340 0.986 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNC(=O)CCc1nc[nH]n1 ZINC001348735372 924790529 /nfs/dbraw/zinc/79/05/29/924790529.db2.gz VNQGOQQTESDBPQ-QWRGUYRKSA-N 0 1 293.371 0.428 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001350016613 925116641 /nfs/dbraw/zinc/11/66/41/925116641.db2.gz MFPIJEHXXZGBFH-NXEZZACHSA-N 0 1 283.328 0.486 20 30 CCEDMN CC1(C#N)CCN(C(=O)C(=O)N2CC[C@@H](F)[C@H](N)C2)CC1 ZINC001350412413 925195231 /nfs/dbraw/zinc/19/52/31/925195231.db2.gz RXPCQOZRJCNIHB-GHMZBOCLSA-N 0 1 296.346 0.036 20 30 CCEDMN C=CCCC(=O)N(C)[C@H]1CCN(C(=O)Cc2c[nH]cn2)C1 ZINC001350762775 925272095 /nfs/dbraw/zinc/27/20/95/925272095.db2.gz FUJFNTLZNGFYHI-ZDUSSCGKSA-N 0 1 290.367 0.978 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CC(=O)N(C)c2ccccc21 ZINC001350836102 925291755 /nfs/dbraw/zinc/29/17/55/925291755.db2.gz FZLSFXMEINMXKR-AWEZNQCLSA-N 0 1 299.374 0.818 20 30 CCEDMN C=CCCCC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001351015560 925339509 /nfs/dbraw/zinc/33/95/09/925339509.db2.gz NGVCDHBBFVCDKC-DZGCQCFKSA-N 0 1 282.384 0.685 20 30 CCEDMN CC(C)N(CCC#N)C(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001351113212 925361315 /nfs/dbraw/zinc/36/13/15/925361315.db2.gz PFGONIXEZNAGDA-OLZOCXBDSA-N 0 1 264.373 0.525 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1CCNC[C@H]1C(C)C ZINC001351546915 925435167 /nfs/dbraw/zinc/43/51/67/925435167.db2.gz WETYXRITPOVSJL-LBPRGKRZSA-N 0 1 267.373 0.525 20 30 CCEDMN CCc1cc(C(=O)N2CCC[C@@H](CNCC#N)C2)n[nH]1 ZINC001480273211 925573319 /nfs/dbraw/zinc/57/33/19/925573319.db2.gz GRMQMZKPPIBPJZ-NSHDSACASA-N 0 1 275.356 0.937 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC(N3CCN(CC)CC3)C2)cn1 ZINC001353070865 925721968 /nfs/dbraw/zinc/72/19/68/925721968.db2.gz GCQVYJHEVCWXKH-UHFFFAOYSA-N 0 1 298.390 0.525 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](C)NCc1cnc(C)cn1 ZINC001480453615 925755783 /nfs/dbraw/zinc/75/57/83/925755783.db2.gz VUJSFVAREGIMCR-SWLSCSKDSA-N 0 1 292.383 0.706 20 30 CCEDMN C[C@@H](CC#N)NC[C@@H](O)CC1(C#N)CCOCC1 ZINC001353246433 925772305 /nfs/dbraw/zinc/77/23/05/925772305.db2.gz KAVJKOIWZIGEOS-RYUDHWBXSA-N 0 1 251.330 0.950 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C[C@H](C)O)c1ccccc1 ZINC001353431343 925831607 /nfs/dbraw/zinc/83/16/07/925831607.db2.gz BSZDNRFTMGJFFW-DZGCQCFKSA-N 0 1 274.364 0.880 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NC1CN(C(=O)Cc2ccn[nH]2)C1 ZINC001356789797 926438908 /nfs/dbraw/zinc/43/89/08/926438908.db2.gz GFRNUJYJMZYPFM-HNNXBMFYSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CCCCC(=O)N[C@H](CC)CNC(=O)c1cnn[nH]1 ZINC001356902058 926462886 /nfs/dbraw/zinc/46/28/86/926462886.db2.gz CPHJALIQKHRSHL-SNVBAGLBSA-N 0 1 279.344 0.786 20 30 CCEDMN C#CCNCC(=O)NCCCNc1cccc(F)c1 ZINC001357600378 926566972 /nfs/dbraw/zinc/56/69/72/926566972.db2.gz AIWGGKMKJJGZMJ-UHFFFAOYSA-N 0 1 263.316 0.967 20 30 CCEDMN CN1CCN(c2ccc(NC(=O)[C@@H]3C[C@@H]3C#N)cn2)CC1 ZINC001361292502 926958868 /nfs/dbraw/zinc/95/88/68/926958868.db2.gz GSESZFVOUFVZCG-DGCLKSJQSA-N 0 1 285.351 0.932 20 30 CCEDMN C[C@H](Cc1ccc(C#N)cc1)C(=O)Nc1nn[nH]c1C(N)=O ZINC001361380963 927013469 /nfs/dbraw/zinc/01/34/69/927013469.db2.gz CGWXXDRNRZBUFJ-MRVPVSSYSA-N 0 1 298.306 0.593 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccc(C(N)=O)n1 ZINC001422754277 927488305 /nfs/dbraw/zinc/48/83/05/927488305.db2.gz YKLYQYDRISKJAB-VIFPVBQESA-N 0 1 296.758 0.641 20 30 CCEDMN C[C@H](CNC(=O)c1ccc(C#N)n1C)N1CCN(C)CC1 ZINC001362055478 927565268 /nfs/dbraw/zinc/56/52/68/927565268.db2.gz GSIVYKUUPWAKNB-GFCCVEGCSA-N 0 1 289.383 0.262 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@H]1CCNC1=O ZINC001423075371 927661992 /nfs/dbraw/zinc/66/19/92/927661992.db2.gz MPEMESKIGODMAT-WDEREUQCSA-N 0 1 287.791 0.702 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)Nc1ccc(OCc2nn[nH]n2)cc1 ZINC001362164654 927685089 /nfs/dbraw/zinc/68/50/89/927685089.db2.gz CWFJNWSVWGHIRK-LDYMZIIASA-N 0 1 284.279 0.877 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1ccc(C#N)n1C)c1nn[nH]n1 ZINC001362330446 927888948 /nfs/dbraw/zinc/88/89/48/927888948.db2.gz YIKVFUPDHPVQGL-QMMMGPOBSA-N 0 1 273.300 0.286 20 30 CCEDMN Cc1cc(C(=O)NC2(c3nn[nH]n3)CCC2)ncc1C#N ZINC001362833259 928548094 /nfs/dbraw/zinc/54/80/94/928548094.db2.gz GQJXSHJZKKZOHZ-UHFFFAOYSA-N 0 1 283.295 0.584 20 30 CCEDMN Cc1cncc(CN[C@@H](CO)CNC(=O)[C@H](C)C#N)c1 ZINC001425717580 928638052 /nfs/dbraw/zinc/63/80/52/928638052.db2.gz YFNPNHVNJCGLHL-DGCLKSJQSA-N 0 1 276.340 0.116 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(=O)CC)[C@H]1C ZINC001425867903 928692205 /nfs/dbraw/zinc/69/22/05/928692205.db2.gz KJHWDVOPKYBYMC-WDEREUQCSA-N 0 1 287.791 0.844 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CNC(=O)CC)[C@@H]1C ZINC001425867900 928692695 /nfs/dbraw/zinc/69/26/95/928692695.db2.gz KJHWDVOPKYBYMC-MNOVXSKESA-N 0 1 287.791 0.844 20 30 CCEDMN Cc1[nH]nc(NC(=O)[C@H]2CCCc3n[nH]nc32)c1C#N ZINC001362975764 928716697 /nfs/dbraw/zinc/71/66/97/928716697.db2.gz BUTFBPRHTPDDAR-ZETCQYMHSA-N 0 1 271.284 0.767 20 30 CCEDMN N#C[C@@]1(C(=O)N2CCC[C@@H](c3nc[nH]n3)C2)CCCOC1 ZINC001363359927 929147512 /nfs/dbraw/zinc/14/75/12/929147512.db2.gz JHJNICAGKJJJNI-RISCZKNCSA-N 0 1 289.339 0.831 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001363416702 929204729 /nfs/dbraw/zinc/20/47/29/929204729.db2.gz QDFVUMDXBRHEIW-LBPRGKRZSA-N 0 1 286.291 0.753 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CCC(c3nn[nH]n3)CC2)c1 ZINC001363518298 929320439 /nfs/dbraw/zinc/32/04/39/929320439.db2.gz FGFXOFHRICQYFR-UHFFFAOYSA-N 0 1 283.295 0.486 20 30 CCEDMN N#CCOc1ccccc1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363527697 929325533 /nfs/dbraw/zinc/32/55/33/929325533.db2.gz UCRJUGQTAULSOF-JTQLQIEISA-N 0 1 298.306 0.732 20 30 CCEDMN N#CCOc1ccccc1C(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001363527697 929325546 /nfs/dbraw/zinc/32/55/46/929325546.db2.gz UCRJUGQTAULSOF-JTQLQIEISA-N 0 1 298.306 0.732 20 30 CCEDMN N#Cc1cncc(CNC(=O)[C@@H]2CCCc3n[nH]nc32)c1 ZINC001363557305 929361028 /nfs/dbraw/zinc/36/10/28/929361028.db2.gz OHXAQFXXHFUHQB-LLVKDONJSA-N 0 1 282.307 0.808 20 30 CCEDMN N#CCc1ccc(C(=O)NC[C@@H](O)c2cnc[nH]2)cc1 ZINC001363587767 929394109 /nfs/dbraw/zinc/39/41/09/929394109.db2.gz MVTNAGACNAMMHI-CYBMUJFWSA-N 0 1 270.292 0.939 20 30 CCEDMN Cc1cc([C@@H]2CCCCN2C(=O)Cn2cnc(C#N)n2)n[nH]1 ZINC001363693625 929489353 /nfs/dbraw/zinc/48/93/53/929489353.db2.gz DTJAHABNXXBKQH-LBPRGKRZSA-N 0 1 299.338 0.935 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)Cc1n[nH]c(C2CC2)n1 ZINC001371932031 929674541 /nfs/dbraw/zinc/67/45/41/929674541.db2.gz AQGFZVQAAWFNGF-VIFPVBQESA-N 0 1 276.344 0.390 20 30 CCEDMN C[C@H](CN(C)C(=O)[C@@H](C)C#N)NC(=O)Cc1cnc[nH]1 ZINC001444002123 929764033 /nfs/dbraw/zinc/76/40/33/929764033.db2.gz ZSLYTVJQWIQAQC-VHSXEESVSA-N 0 1 277.328 0.075 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001444014908 929764981 /nfs/dbraw/zinc/76/49/81/929764981.db2.gz SZMIQCYFWNQMIC-QWRGUYRKSA-N 0 1 287.791 0.892 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CNC(C)=O)C1 ZINC001373131026 930085084 /nfs/dbraw/zinc/08/50/84/930085084.db2.gz BQVNPMUVZQEGMP-GFCCVEGCSA-N 0 1 287.791 0.703 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H]1CCCC(=O)N1C ZINC001445645432 930195802 /nfs/dbraw/zinc/19/58/02/930195802.db2.gz BQTIAZXWDDUHCU-QWRGUYRKSA-N 0 1 287.791 0.844 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC001446254671 930378664 /nfs/dbraw/zinc/37/86/64/930378664.db2.gz PKBBVRLHOHDLCC-UHFFFAOYSA-N 0 1 296.758 0.718 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N[C@@H](C)c1nnc[nH]1 ZINC001446341808 930392150 /nfs/dbraw/zinc/39/21/50/930392150.db2.gz BQLKRJZYJILSPK-QMMMGPOBSA-N 0 1 252.274 0.491 20 30 CCEDMN C=C(Cl)CN1CC[C@](C)(NC(=O)Cc2nnc[nH]2)C1 ZINC001446544246 930429885 /nfs/dbraw/zinc/42/98/85/930429885.db2.gz AWPFRFGJPSBADA-LBPRGKRZSA-N 0 1 283.763 0.680 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)Cc1cnn(C)c1 ZINC001447324256 930610641 /nfs/dbraw/zinc/61/06/41/930610641.db2.gz AQEYGVBHAHGIFV-GFCCVEGCSA-N 0 1 277.372 0.860 20 30 CCEDMN CC[C@@H](CNC(=O)CCc1cnc[nH]1)NC(=O)[C@H](C)C#N ZINC001375119252 930799522 /nfs/dbraw/zinc/79/95/22/930799522.db2.gz FBBZLGKSRHODFH-MNOVXSKESA-N 0 1 291.355 0.513 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)CCn1cncn1)C1CC1 ZINC001375205701 930815386 /nfs/dbraw/zinc/81/53/86/930815386.db2.gz CAESJYSDUIMUDI-GFCCVEGCSA-N 0 1 297.790 0.905 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NCC(=O)N[C@@H](C)C2CC2)CC1 ZINC001375404227 930878518 /nfs/dbraw/zinc/87/85/18/930878518.db2.gz PSVCOLFDMFHZLE-MNOVXSKESA-N 0 1 292.383 0.299 20 30 CCEDMN C=C(CN(C)C)C(=O)N1CCO[C@H](C(=O)C2CC2)C1 ZINC001448846254 931006185 /nfs/dbraw/zinc/00/61/85/931006185.db2.gz JSYWMOWKLZBNHK-LBPRGKRZSA-N 0 1 266.341 0.311 20 30 CCEDMN CC[C@H](F)C(=O)NC[C@H](CO)NCc1cccc(C#N)c1 ZINC001448876794 931015453 /nfs/dbraw/zinc/01/54/53/931015453.db2.gz BRUVUTKVHOCCSP-KGLIPLIRSA-N 0 1 293.342 0.873 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1ccn(C)n1 ZINC001376719414 931244485 /nfs/dbraw/zinc/24/44/85/931244485.db2.gz QKFPZQLEWZTLGP-JTQLQIEISA-N 0 1 270.764 0.809 20 30 CCEDMN C#C[C@@H](CO)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC001450336169 931273661 /nfs/dbraw/zinc/27/36/61/931273661.db2.gz WOTSSZKNRLMNPM-VIFPVBQESA-N 0 1 256.265 0.196 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC001450722693 931330515 /nfs/dbraw/zinc/33/05/15/931330515.db2.gz RXSZESXVMPGPEZ-SECBINFHSA-N 0 1 269.308 0.907 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@]2(C(=O)[O-])CC=CCC2)CC1 ZINC001602702101 971330119 /nfs/dbraw/zinc/33/01/19/971330119.db2.gz IZYZSYVFLHUXQI-INIZCTEOSA-N 0 1 290.363 0.965 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@]2(C(=O)[O-])CC=CCC2)CC1 ZINC001602702101 971330123 /nfs/dbraw/zinc/33/01/23/971330123.db2.gz IZYZSYVFLHUXQI-INIZCTEOSA-N 0 1 290.363 0.965 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC001602702708 971331926 /nfs/dbraw/zinc/33/19/26/971331926.db2.gz QUWRZFPAFQWCLR-LLVKDONJSA-N 0 1 252.314 0.313 20 30 CCEDMN C=C/C=C\CCn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC001573314732 947236897 /nfs/dbraw/zinc/23/68/97/947236897.db2.gz FOXGYAXYGRQPEG-ARJAWSKDSA-N 0 1 256.273 0.649 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)N(CCC#N)CCC#N ZINC000044620128 948900281 /nfs/dbraw/zinc/90/02/81/948900281.db2.gz CBKMGVBYAFSWKY-LLVKDONJSA-N 0 1 280.328 0.437 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N(CCC#N)CCC#N ZINC000044620128 948900293 /nfs/dbraw/zinc/90/02/93/948900293.db2.gz CBKMGVBYAFSWKY-LLVKDONJSA-N 0 1 280.328 0.437 20 30 CCEDMN CCC[N@H+]1CCC[C@H]1CNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC001589674779 949984962 /nfs/dbraw/zinc/98/49/62/949984962.db2.gz GAVPNTFJSSWSDT-SMDDNHRTSA-N 0 1 281.356 0.982 20 30 CCEDMN C#CCN(CC[N@@H+]1C[C@@H](C)O[C@@H](C)C1)CC(=O)[O-] ZINC000313950260 950804441 /nfs/dbraw/zinc/80/44/41/950804441.db2.gz DUVZWVPWGAVFEF-TXEJJXNPSA-N 0 1 254.330 0.115 20 30 CCEDMN C#CCN(CC[N@H+]1C[C@@H](C)O[C@@H](C)C1)CC(=O)[O-] ZINC000313950260 950804462 /nfs/dbraw/zinc/80/44/62/950804462.db2.gz DUVZWVPWGAVFEF-TXEJJXNPSA-N 0 1 254.330 0.115 20 30 CCEDMN O=C([O-])Cn1cccc1C=NNCCC[NH+]1CCOCC1 ZINC001595158312 952178825 /nfs/dbraw/zinc/17/88/25/952178825.db2.gz ROCVTAWXQPHBNY-UHFFFAOYSA-N 0 1 294.355 0.219 20 30 CCEDMN C=CC[N@@H+](CCOC)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000382483146 971816644 /nfs/dbraw/zinc/81/66/44/971816644.db2.gz RBQVRRLTYUVQRG-UHFFFAOYSA-N 0 1 284.356 0.444 20 30 CCEDMN C=CC[N@H+](CCOC)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000382483146 971816649 /nfs/dbraw/zinc/81/66/49/971816649.db2.gz RBQVRRLTYUVQRG-UHFFFAOYSA-N 0 1 284.356 0.444 20 30 CCEDMN C[C@@H]1CCC[N@@H+](CCC(=O)N(C)CCC#N)[C@H]1C(=O)[O-] ZINC001589334323 953978016 /nfs/dbraw/zinc/97/80/16/953978016.db2.gz NLDWPIHEASMXOZ-DGCLKSJQSA-N 0 1 281.356 0.934 20 30 CCEDMN COCC[N@@H+](CCC(=O)[O-])Cc1cccc(C#N)n1 ZINC001594011480 957006039 /nfs/dbraw/zinc/00/60/39/957006039.db2.gz WJIAQSFXTVULGT-UHFFFAOYSA-N 0 1 263.297 0.876 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+](CC(=O)[O-])CC(C)C ZINC000062743159 957606422 /nfs/dbraw/zinc/60/64/22/957606422.db2.gz LLZRLDDRMCOTEB-UHFFFAOYSA-N 0 1 271.317 0.041 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+](CC(=O)[O-])CC(C)C ZINC000062743159 957606428 /nfs/dbraw/zinc/60/64/28/957606428.db2.gz LLZRLDDRMCOTEB-UHFFFAOYSA-N 0 1 271.317 0.041 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC001588424284 958214091 /nfs/dbraw/zinc/21/40/91/958214091.db2.gz NHWWRHTYUWIEQB-LBPRGKRZSA-N 0 1 278.264 0.648 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC001588424284 958214108 /nfs/dbraw/zinc/21/41/08/958214108.db2.gz NHWWRHTYUWIEQB-LBPRGKRZSA-N 0 1 278.264 0.648 20 30 CCEDMN C#CCNC(=O)C[NH2+][C@](C)(C(=O)[O-])c1ccccc1 ZINC001588430046 958249451 /nfs/dbraw/zinc/24/94/51/958249451.db2.gz GTQXGKPNJHSGPL-AWEZNQCLSA-N 0 1 260.293 0.325 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)[C@@H](C(=O)[O-])C1CC1 ZINC001588430972 958263584 /nfs/dbraw/zinc/26/35/84/958263584.db2.gz RKQACJRVZIZNCA-VXGBXAGGSA-N 0 1 265.309 0.738 20 30 CCEDMN C=CCn1cc(C(=O)OC[C@H]2CCC[N@@H+]2CC(=O)[O-])nn1 ZINC001588474653 958557087 /nfs/dbraw/zinc/55/70/87/958557087.db2.gz MAPZMXZDMIHHQF-SNVBAGLBSA-N 0 1 294.311 0.170 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)OCC[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC001588478882 958574052 /nfs/dbraw/zinc/57/40/52/958574052.db2.gz ZITUHBCSHHHTFQ-UTUOFQBUSA-N 0 1 283.324 0.670 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001588545099 958992959 /nfs/dbraw/zinc/99/29/59/958992959.db2.gz KMPZWQSCWXGUCS-WCFLWFBJSA-N 0 1 293.367 0.790 20 30 CCEDMN CC[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC(=O)[O-] ZINC000163335465 959231827 /nfs/dbraw/zinc/23/18/27/959231827.db2.gz HXYBLARHIXDXGQ-UHFFFAOYSA-N 0 1 296.348 0.738 20 30 CCEDMN CC[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC(=O)[O-] ZINC000163335465 959231852 /nfs/dbraw/zinc/23/18/52/959231852.db2.gz HXYBLARHIXDXGQ-UHFFFAOYSA-N 0 1 296.348 0.738 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](CCC(=O)[O-])C1 ZINC000221393362 959807299 /nfs/dbraw/zinc/80/72/99/959807299.db2.gz ORGZMELIQYGZRT-NEPJUHHUSA-N 0 1 266.341 0.701 20 30 CCEDMN N#Cc1ccnc(C[N@H+]2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)c1 ZINC001571030753 960494995 /nfs/dbraw/zinc/49/49/95/960494995.db2.gz UXWCQAZOEBTAPE-SWLSCSKDSA-N 0 1 287.319 0.876 20 30 CCEDMN N#Cc1cncc(C[N@@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)c1 ZINC001574493768 961723445 /nfs/dbraw/zinc/72/34/45/961723445.db2.gz QGYNRGHQGVCRKD-JSGCOSHPSA-N 0 1 273.292 0.486 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@]2(CCN(C(=O)CNC(=O)[O-])C2)C1 ZINC001573301802 962638231 /nfs/dbraw/zinc/63/82/31/962638231.db2.gz KDJFUNRQGWXLDJ-OAHLLOKOSA-N 0 1 293.367 0.592 20 30 CCEDMN C[C@@H](CC#N)C(=O)OC[C@H]1CCC[N@H+]1CC(=O)[O-] ZINC001588988003 962770987 /nfs/dbraw/zinc/77/09/87/962770987.db2.gz PDVBRWTUBQFJJG-VHSXEESVSA-N 0 1 254.286 0.628 20 30 CCEDMN C=C(C)CC[NH+]1CC(NC(=O)[C@H]2C[C@@H]2C(=O)[O-])C1 ZINC001573324560 962786484 /nfs/dbraw/zinc/78/64/84/962786484.db2.gz UXEONNGMJSTKEJ-QWRGUYRKSA-N 0 1 252.314 0.474 20 30 CCEDMN C#CCC[N@H+](C)CCN(C(=O)[C@@H]1C[C@H]1C(=O)[O-])C(C)C ZINC001588447888 964091492 /nfs/dbraw/zinc/09/14/92/964091492.db2.gz RSPIHAOFOFDBHF-CHWSQXEVSA-N 0 1 280.368 0.899 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CC[N@H+](Cc2nc(C#N)cs2)C1 ZINC001604541717 973524902 /nfs/dbraw/zinc/52/49/02/973524902.db2.gz SZOJXAFRDGNALM-GFCCVEGCSA-N 0 1 281.337 0.938 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CC[N@@H+](Cc2nc(C#N)cs2)C1 ZINC001604541717 973524911 /nfs/dbraw/zinc/52/49/11/973524911.db2.gz SZOJXAFRDGNALM-GFCCVEGCSA-N 0 1 281.337 0.938 20 30 CCEDMN N#CC1(NC(=O)C[N@@H+](CC(=O)[O-])C2CCOCC2)CCC1 ZINC001605583431 973873422 /nfs/dbraw/zinc/87/34/22/973873422.db2.gz UIUSOSIKRTWIBW-UHFFFAOYSA-N 0 1 295.339 0.114 20 30 CCEDMN N#CC1(NC(=O)C[N@H+](CC(=O)[O-])C2CCOCC2)CCC1 ZINC001605583431 973873433 /nfs/dbraw/zinc/87/34/33/973873433.db2.gz UIUSOSIKRTWIBW-UHFFFAOYSA-N 0 1 295.339 0.114 20 30 CCEDMN C#CC1CC[NH+](Cn2nc(C(=O)OC)cc2C(=O)[O-])CC1 ZINC001588383387 983380704 /nfs/dbraw/zinc/38/07/04/983380704.db2.gz YCGPEMWBJSOPOQ-UHFFFAOYSA-N 0 1 291.307 0.671 20 30 CCEDMN C#CC[N@H+](C)CC1CCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588461070 983488525 /nfs/dbraw/zinc/48/85/25/983488525.db2.gz FEYGWHVUOYPPQQ-QWHCGFSZSA-N 0 1 278.352 0.511 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@@H+]1CCc2cc(C(=O)[O-])ccc2C1 ZINC001588479427 983519613 /nfs/dbraw/zinc/51/96/13/983519613.db2.gz NQZFOCVRSNXUIW-LLVKDONJSA-N 0 1 286.331 0.881 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCc2cc(C(=O)[O-])ccc2C1 ZINC001588479427 983519615 /nfs/dbraw/zinc/51/96/15/983519615.db2.gz NQZFOCVRSNXUIW-LLVKDONJSA-N 0 1 286.331 0.881 20 30 CCEDMN C#Cc1ccc(C[N@H+]2C[C@@H](C(=O)[O-])[C@H](C(=O)OC)C2)cc1 ZINC001588516385 983560713 /nfs/dbraw/zinc/56/07/13/983560713.db2.gz JKCROOAOFKPEPL-ZIAGYGMSSA-N 0 1 287.315 0.974 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)O[C@H]1C[C@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588605209 983691411 /nfs/dbraw/zinc/69/14/11/983691411.db2.gz CUUOWYJHAILVOA-IRCOFANPSA-N 0 1 283.324 0.668 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[C@H]2C[N@H+](C)C[C@H]21 ZINC001588613654 983704721 /nfs/dbraw/zinc/70/47/21/983704721.db2.gz BCRLTYSWVVIIEQ-WDEREUQCSA-N 0 1 252.314 0.570 20 30 CCEDMN C=C[C@@H](C(=O)OC)[N@H+]1C[C@@H]2CCC[C@]2(C(=O)[O-])C1 ZINC001588672529 983825933 /nfs/dbraw/zinc/82/59/33/983825933.db2.gz VJTMOKFTCZCQRR-KWBADKCTSA-N 0 1 253.298 0.901 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC001588683991 983853999 /nfs/dbraw/zinc/85/39/99/983853999.db2.gz ILPXBJNDRRATLP-FZMZJTMJSA-N 0 1 284.356 0.321 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC001588730976 984003204 /nfs/dbraw/zinc/00/32/04/984003204.db2.gz AIWAFMJRRBEKDS-WOPDTQHZSA-N 0 1 255.314 0.896 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCO[C@H]([C@@H]2CCCO2)C1 ZINC001588730976 984003208 /nfs/dbraw/zinc/00/32/08/984003208.db2.gz AIWAFMJRRBEKDS-WOPDTQHZSA-N 0 1 255.314 0.896 20 30 CCEDMN C=CC[C@@H](Nc1cc2n(n1)CC[N@H+](C)C2)C(=O)[O-] ZINC001588751672 984078376 /nfs/dbraw/zinc/07/83/76/984078376.db2.gz PKZRGYKGXLZIRR-SNVBAGLBSA-N 0 1 250.302 0.770 20 30 CCEDMN C=CCC[N@H+]1C[C@H]2CN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])C[C@H]2C1 ZINC001588807675 984260747 /nfs/dbraw/zinc/26/07/47/984260747.db2.gz REPPNMHZLLLHLN-RNJOBUHISA-N 0 1 278.352 0.673 20 30 CCEDMN C=CC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)[C@H](C)COC ZINC001588823946 984294555 /nfs/dbraw/zinc/29/45/55/984294555.db2.gz GDCRSBHSEHQZRG-YPMHNXCESA-N 0 1 286.372 0.735 20 30 CCEDMN C=CC[N@@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)[C@H](C)COC ZINC001588823946 984294560 /nfs/dbraw/zinc/29/45/60/984294560.db2.gz GDCRSBHSEHQZRG-YPMHNXCESA-N 0 1 286.372 0.735 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+](CC(=O)[O-])[C@H](C)CC)C1=O ZINC001588838470 984338101 /nfs/dbraw/zinc/33/81/01/984338101.db2.gz GAQMBXMORHDDBZ-GHMZBOCLSA-N 0 1 254.330 0.958 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCSC[C@@H]2CC(=O)[O-])C1=O ZINC001588839624 984349044 /nfs/dbraw/zinc/34/90/44/984349044.db2.gz TZDCLGHAEGYXHU-QWRGUYRKSA-N 0 1 284.381 0.665 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+]([C@@H]2CCN(CCC(=O)[O-])C2=O)C1 ZINC001588849727 984374407 /nfs/dbraw/zinc/37/44/07/984374407.db2.gz HLPFOQWVVBOKKR-VXGBXAGGSA-N 0 1 282.340 0.339 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)C#CC1CC1 ZINC001594509522 985777222 /nfs/dbraw/zinc/77/72/22/985777222.db2.gz UDTFGYAAEQVFPH-NSHDSACASA-N 0 1 264.325 0.407 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCC[C@@](C)(C(=O)[O-])C1 ZINC001598468403 991986147 /nfs/dbraw/zinc/98/61/47/991986147.db2.gz MZMSIUFVPSWMKL-CQSZACIVSA-N 0 1 281.356 0.935 20 30 CCEDMN C[N@H+]1CCN(C(=O)C#CC(C)(C)C)C[C@H](C(=O)[O-])C1 ZINC001598603171 993596594 /nfs/dbraw/zinc/59/65/94/993596594.db2.gz BTMMZUYYPVCARQ-LLVKDONJSA-N 0 1 266.341 0.511 20 30 CCEDMN C[N@H+]1CCN(Cc2ccc(C#N)cn2)C[C@H](C(=O)[O-])C1 ZINC001598619610 993977330 /nfs/dbraw/zinc/97/73/30/993977330.db2.gz OIHMUMNQIQUSCX-GFCCVEGCSA-N 0 1 274.324 0.401 20 30 CCEDMN CNC(=O)[C@H]1CC[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC001598639582 994297462 /nfs/dbraw/zinc/29/74/62/994297462.db2.gz YMDCYMDMFHBBBF-QWHCGFSZSA-N 0 1 287.319 0.752 20 30 CCEDMN C[C@@]1(CO)C[C@@H](O)C[N@@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC001594031772 997610014 /nfs/dbraw/zinc/61/00/14/997610014.db2.gz GXFFONHUOFWWTE-ABAIWWIYSA-N 0 1 290.319 0.574 20 30 CCEDMN C[C@@]1(CO)C[C@@H](O)C[N@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC001594031772 997610022 /nfs/dbraw/zinc/61/00/22/997610022.db2.gz GXFFONHUOFWWTE-ABAIWWIYSA-N 0 1 290.319 0.574 20 30 CCEDMN C#CCCN1CCN(c2ccc(C(=O)NCC)cn2)CC1 ZINC000091480526 349463744 /nfs/dbraw/zinc/46/37/44/349463744.db2.gz NAUDHZGOXJKPHU-UHFFFAOYSA-N 0 1 286.379 0.977 20 30 CCEDMN C[NH+](C)[C@@H]1[C@H]2CN(C(=O)c3ccc(C#N)c([O-])c3)C[C@H]21 ZINC000599991171 361847600 /nfs/dbraw/zinc/84/76/00/361847600.db2.gz BPZXKJVUKVCPLM-IMRBUKKESA-N 0 1 271.320 0.896 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)NCCN1CC[C@H](O)C1 ZINC000600809188 362065941 /nfs/dbraw/zinc/06/59/41/362065941.db2.gz VRVVWCXLCNTUQV-JTQLQIEISA-N 0 1 263.297 0.256 20 30 CCEDMN Cc1cc(NCc2nnc([C@H]3CCOC3)[nH]2)c(C#N)cn1 ZINC000601198002 362174433 /nfs/dbraw/zinc/17/44/33/362174433.db2.gz LGQPZQATAOIVOM-JTQLQIEISA-N 0 1 284.323 0.918 20 30 CCEDMN Cc1cc(NCc2n[nH]c([C@H]3CCOC3)n2)c(C#N)cn1 ZINC000601198002 362174437 /nfs/dbraw/zinc/17/44/37/362174437.db2.gz LGQPZQATAOIVOM-JTQLQIEISA-N 0 1 284.323 0.918 20 30 CCEDMN CC[C@H](CO)N1CCN(c2cc(C#N)ccn2)CC1 ZINC000288481532 136397982 /nfs/dbraw/zinc/39/79/82/136397982.db2.gz QZMLMJQMRMKLIZ-CYBMUJFWSA-N 0 1 260.341 0.846 20 30 CCEDMN CN(CC1CCOCC1)C(=O)N[C@@H]1CCc2ncnn2C1 ZINC000329019086 529866016 /nfs/dbraw/zinc/86/60/16/529866016.db2.gz RUEZRZFAUFKUPR-GFCCVEGCSA-N 0 1 293.371 0.865 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1ccccc1CC#N ZINC000601415864 362264087 /nfs/dbraw/zinc/26/40/87/362264087.db2.gz YDAWQZLQFXHWFQ-NSHDSACASA-N 0 1 281.381 0.981 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NCC1(N(C)C)CC1 ZINC000601450482 362278198 /nfs/dbraw/zinc/27/81/98/362278198.db2.gz ZNSAOACPZMUUFO-SNVBAGLBSA-N 0 1 274.390 0.149 20 30 CCEDMN N#CC1(C(=O)NCCN2CCC(O)CC2)CC2(CC2)C1 ZINC000601618705 362328660 /nfs/dbraw/zinc/32/86/60/362328660.db2.gz CJXNKPOLYGHSLA-UHFFFAOYSA-N 0 1 277.368 0.643 20 30 CCEDMN N#CCc1cccc2c1CCN(C(=O)Cc1ncn[nH]1)C2 ZINC000601686231 362355828 /nfs/dbraw/zinc/35/58/28/362355828.db2.gz URNDPQVVEKKKAQ-UHFFFAOYSA-N 0 1 281.319 0.998 20 30 CCEDMN CCN1CCN(CC(=O)N(C)[C@H]2CCC[C@@H]2C#N)CC1 ZINC000601808910 362398584 /nfs/dbraw/zinc/39/85/84/362398584.db2.gz PORPMHWIGWUPKP-KGLIPLIRSA-N 0 1 278.400 0.775 20 30 CCEDMN Cc1ccc(CNC[C@H](O)CN(C)CCC#N)o1 ZINC000601968740 362441759 /nfs/dbraw/zinc/44/17/59/362441759.db2.gz NEPRQLULRGPBJQ-LBPRGKRZSA-N 0 1 251.330 0.884 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1C[C@@H]2COC[C@@H]2C1 ZINC000601975571 362447742 /nfs/dbraw/zinc/44/77/42/362447742.db2.gz YGTZFHCJUGUSFT-BETUJISGSA-N 0 1 276.340 0.221 20 30 CCEDMN COCCOCC[N@@H+](C)CCC(=O)NC1(C#N)CCC1 ZINC000602082079 362475105 /nfs/dbraw/zinc/47/51/05/362475105.db2.gz FRNMCSAUZYQBHQ-UHFFFAOYSA-N 0 1 283.372 0.534 20 30 CCEDMN C[C@H](C(=O)N(CCC#N)CCN1CCOCC1)N(C)C ZINC000602087340 362477410 /nfs/dbraw/zinc/47/74/10/362477410.db2.gz XEMBAMXZSQYSLP-CYBMUJFWSA-N 0 1 282.388 0.011 20 30 CCEDMN C[C@]1(C#N)CCCN(C(=O)CCCc2nn[nH]n2)C1 ZINC000602134875 362513997 /nfs/dbraw/zinc/51/39/97/362513997.db2.gz GXDNXNVUQJOIAK-GFCCVEGCSA-N 0 1 262.317 0.675 20 30 CCEDMN CCc1nocc1C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000602349053 362594109 /nfs/dbraw/zinc/59/41/09/362594109.db2.gz QELULFIAMMCGRP-UHFFFAOYSA-N 0 1 259.269 0.825 20 30 CCEDMN N#Cc1cccc(CNC[C@H](O)COCC2CC2)n1 ZINC000602524355 362657533 /nfs/dbraw/zinc/65/75/33/362657533.db2.gz CPEQDLDQCDUDTA-AWEZNQCLSA-N 0 1 261.325 0.830 20 30 CCEDMN C=CC[C@H](CO)CNCc1cc(C(=O)OC)no1 ZINC000602579707 362688842 /nfs/dbraw/zinc/68/88/42/362688842.db2.gz AXZWCOHPKBQKGL-VIFPVBQESA-N 0 1 254.286 0.735 20 30 CCEDMN CN(C)C(=O)[C@H]1CC[C@@H](CNCc2cccc(C#N)n2)O1 ZINC000602582827 362690787 /nfs/dbraw/zinc/69/07/87/362690787.db2.gz PBUJCXRGXQDJJJ-UONOGXRCSA-N 0 1 288.351 0.679 20 30 CCEDMN COc1ncc(CNCCn2cnc(C#N)n2)s1 ZINC000602652882 362732921 /nfs/dbraw/zinc/73/29/21/362732921.db2.gz DTTHCYMZBGEMGN-UHFFFAOYSA-N 0 1 264.314 0.405 20 30 CCEDMN COC[C@]1(CO)CCN(Cc2cccc(C#N)n2)C1 ZINC000602679783 362745306 /nfs/dbraw/zinc/74/53/06/362745306.db2.gz ALCNVQIQCLIBGS-CQSZACIVSA-N 0 1 261.325 0.784 20 30 CCEDMN C[C@@H]1CN(Cc2ccn(C)c(=O)c2)C[C@@H](C)N1CC#N ZINC000602854516 362840590 /nfs/dbraw/zinc/84/05/90/362840590.db2.gz CKCVRXZLEUBFKA-CHWSQXEVSA-N 0 1 274.368 0.803 20 30 CCEDMN CCOC(=O)[C@](C)(O)CN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602854387 362841002 /nfs/dbraw/zinc/84/10/02/362841002.db2.gz OOLRTRSTFXLUEJ-YRGRVCCFSA-N 0 1 283.372 0.219 20 30 CCEDMN COCCOCCN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602854492 362841175 /nfs/dbraw/zinc/84/11/75/362841175.db2.gz PSYOUDPNJHRTFE-CHWSQXEVSA-N 0 1 255.362 0.568 20 30 CCEDMN C[C@@H]1CN(CCc2cnn(C)c2)C[C@@H](C)N1CC#N ZINC000602856357 362843526 /nfs/dbraw/zinc/84/35/26/362843526.db2.gz ZKTBGVWRHCTUMJ-CHWSQXEVSA-N 0 1 261.373 0.881 20 30 CCEDMN N#C[C@@H]1CC[C@@H](N2CCN(Cc3nnc[nH]3)CC2)C1 ZINC000602864789 362849197 /nfs/dbraw/zinc/84/91/97/362849197.db2.gz JOKHUCBUXBHGRM-VXGBXAGGSA-N 0 1 260.345 0.615 20 30 CCEDMN CCCN(CC(N)=O)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000313964148 137078512 /nfs/dbraw/zinc/07/85/12/137078512.db2.gz GFVKCKAVGOSONI-CYBMUJFWSA-N 0 1 291.351 0.495 20 30 CCEDMN N#Cc1ncn(CCNC(=O)c2cc(F)cc3nc[nH]c32)n1 ZINC000343037437 137111439 /nfs/dbraw/zinc/11/14/39/137111439.db2.gz GQRCQGWBDGZXSA-UHFFFAOYSA-N 0 1 299.269 0.595 20 30 CCEDMN CCNC(=O)CCN1CCN(c2cc(C#N)ccn2)CC1 ZINC000025941296 348092869 /nfs/dbraw/zinc/09/28/69/348092869.db2.gz PUDHNDQKGBIYBS-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN C[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H](C)C1O ZINC000602884517 362863563 /nfs/dbraw/zinc/86/35/63/362863563.db2.gz BWOFLECMCLMBKD-IUCAKERBSA-N 0 1 277.328 0.194 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@]23C[C@@H]2COC3(C)C)[nH]1 ZINC000602885709 362864225 /nfs/dbraw/zinc/86/42/25/362864225.db2.gz ZDSVISRCKAJMKP-RNCFNFMXSA-N 0 1 275.312 0.403 20 30 CCEDMN C[C@@H]1C[C@@H](CNC(=O)N=c2[nH]n(C)cc2C#N)[C@@H](C)O1 ZINC000602888404 362867225 /nfs/dbraw/zinc/86/72/25/362867225.db2.gz URIRSWDCILMEKK-BBBLOLIVSA-N 0 1 277.328 0.649 20 30 CCEDMN COC[C@@](C)(CO)NCC(=O)Nc1ccc(C#N)cc1 ZINC000602931139 362892259 /nfs/dbraw/zinc/89/22/59/362892259.db2.gz HQTRYTSCPXCFDD-CQSZACIVSA-N 0 1 277.324 0.484 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN(CC)CC1 ZINC000058873957 348633343 /nfs/dbraw/zinc/63/33/43/348633343.db2.gz LNKXOFRIJDFULD-UHFFFAOYSA-N 0 1 251.374 0.825 20 30 CCEDMN C#CCN(CC(=O)N1CCNC(=O)C1)C1CCCCC1 ZINC000065960558 348729738 /nfs/dbraw/zinc/72/97/38/348729738.db2.gz LBJRGZREZWTYPX-UHFFFAOYSA-N 0 1 277.368 0.213 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1CCC(C(N)=O)CC1 ZINC000069875750 348864492 /nfs/dbraw/zinc/86/44/92/348864492.db2.gz FALVMIKUPWJCOX-ABAIWWIYSA-N 0 1 294.399 0.627 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCN([C@@H](CC)CO)CC1 ZINC000075960533 349060156 /nfs/dbraw/zinc/06/01/56/349060156.db2.gz BTKWDQSISRVLMX-HNNXBMFYSA-N 0 1 297.443 0.800 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)Cc1ccc(C#N)cc1 ZINC000080528308 349215652 /nfs/dbraw/zinc/21/56/52/349215652.db2.gz AOTFUYIPQAXOJC-UHFFFAOYSA-N 0 1 291.336 0.638 20 30 CCEDMN CCN(CC(=O)NCc1cccc(C#N)c1)[C@@H](C)CO ZINC000339625543 215276468 /nfs/dbraw/zinc/27/64/68/215276468.db2.gz JNSLQJXTGCREMM-LBPRGKRZSA-N 0 1 275.352 0.877 20 30 CCEDMN C[C@H](NS(=O)(=O)c1ccc(C#N)cc1)c1nnc[nH]1 ZINC000128907884 350201458 /nfs/dbraw/zinc/20/14/58/350201458.db2.gz PZNIFCHKNFBQDQ-QMMMGPOBSA-N 0 1 277.309 0.716 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN(CCO)CC1CC1)C1CC1 ZINC000143220126 350458948 /nfs/dbraw/zinc/45/89/48/350458948.db2.gz RCFVTUZFHAOPOA-AWEZNQCLSA-N 0 1 265.357 0.499 20 30 CCEDMN CCN1[C@H](C)CN(C(=O)[C@H](C)n2cnc(C#N)n2)C[C@@H]1C ZINC000603141216 362974064 /nfs/dbraw/zinc/97/40/64/362974064.db2.gz COZGDFVJBHEPFA-TUAOUCFPSA-N 0 1 290.371 0.652 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC12CCOCC2 ZINC000618318262 365988052 /nfs/dbraw/zinc/98/80/52/365988052.db2.gz MHRWEVLUDIOEOX-UHFFFAOYSA-N 0 1 290.367 0.897 20 30 CCEDMN CNC(C)(C)c1cn(CC(=O)N[C@@](C)(C#N)C2CC2)nn1 ZINC000603184792 362988140 /nfs/dbraw/zinc/98/81/40/362988140.db2.gz WYWPCXVVXXOXEX-AWEZNQCLSA-N 0 1 290.371 0.541 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)Cn1cc(C(C)(C)NC)nn1 ZINC000603207913 363000984 /nfs/dbraw/zinc/00/09/84/363000984.db2.gz FABBYUNHLJRENZ-LLVKDONJSA-N 0 1 292.387 0.741 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1c[nH]c(C#N)c1 ZINC000181285548 351310749 /nfs/dbraw/zinc/31/07/49/351310749.db2.gz NTTAHAVNKRNPGA-NSHDSACASA-N 0 1 275.356 0.252 20 30 CCEDMN CN[C@@H](C)c1cn(C[C@H](O)CC(C)(C)C#N)nn1 ZINC000603266159 363034758 /nfs/dbraw/zinc/03/47/58/363034758.db2.gz CUOKVRURZHQXEV-VHSXEESVSA-N 0 1 251.334 0.859 20 30 CCEDMN CNCc1cn(C[C@H](O)COc2ccc(C#N)cc2)nn1 ZINC000603260275 363030101 /nfs/dbraw/zinc/03/01/01/363030101.db2.gz RJRPRTUJHARQRQ-ZDUSSCGKSA-N 0 1 287.323 0.309 20 30 CCEDMN C[C@H]1CCNC(=O)[C@@H]1NCC(=O)Nc1cccc(C#N)c1 ZINC000193585787 351834058 /nfs/dbraw/zinc/83/40/58/351834058.db2.gz VKGXSYLHFSLYMY-IINYFYTJSA-N 0 1 286.335 0.611 20 30 CCEDMN N#Cc1ccc2c(c1)CC[C@@H]2N=c1ccc(C(N)=O)n[nH]1 ZINC000618693902 366076711 /nfs/dbraw/zinc/07/67/11/366076711.db2.gz TVMWVPREUDKUKS-LBPRGKRZSA-N 0 1 279.303 0.968 20 30 CCEDMN C[C@H]1CCNC(=O)[C@@H]1NCC(=O)Nc1ccc(C#N)cc1 ZINC000193660751 351839593 /nfs/dbraw/zinc/83/95/93/351839593.db2.gz WAUBPVPVISIESH-IINYFYTJSA-N 0 1 286.335 0.611 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1C[C@@H]2CC[C@@H](O)[C@@H]2C1)C1CC1 ZINC000228110500 352069480 /nfs/dbraw/zinc/06/94/80/352069480.db2.gz CEJUFJQXYXHWJO-XTWPYSKKSA-N 0 1 277.368 0.498 20 30 CCEDMN COc1ccc(CNCCS(=O)(=O)N(C)C)cc1C#N ZINC000230988064 352123572 /nfs/dbraw/zinc/12/35/72/352123572.db2.gz ZYSQCBSDULUXCC-UHFFFAOYSA-N 0 1 297.380 0.548 20 30 CCEDMN C[C@@]1(C(N)=O)CCN(CC(=O)Nc2cccc(C#N)c2)C1 ZINC000235432054 352184673 /nfs/dbraw/zinc/18/46/73/352184673.db2.gz BJAYJVHYHHMDNY-OAHLLOKOSA-N 0 1 286.335 0.694 20 30 CCEDMN C[C@]1(C(N)=O)CCN(CC(=O)Nc2cccc(C#N)c2)C1 ZINC000235738220 352187673 /nfs/dbraw/zinc/18/76/73/352187673.db2.gz BJAYJVHYHHMDNY-HNNXBMFYSA-N 0 1 286.335 0.694 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CCN(C(C)C)CC2)nc1 ZINC000264852641 352603041 /nfs/dbraw/zinc/60/30/41/352603041.db2.gz JPMPBECVRWPZKO-UHFFFAOYSA-N 0 1 286.379 0.975 20 30 CCEDMN COC[C@@H](O)CN1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000264850549 352603127 /nfs/dbraw/zinc/60/31/27/352603127.db2.gz OTYRSQDXPWBCFK-INIZCTEOSA-N 0 1 289.379 0.683 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)C[C@H]1CCCCO1 ZINC000266747385 352718181 /nfs/dbraw/zinc/71/81/81/352718181.db2.gz RTGZLTWYPQEGDW-NWDGAFQWSA-N 0 1 283.372 0.888 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](c2ncc[nH]2)C1 ZINC000266926022 352733570 /nfs/dbraw/zinc/73/35/70/352733570.db2.gz NINZSKQQVSSNOD-VXGBXAGGSA-N 0 1 260.341 0.727 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000267242803 352753572 /nfs/dbraw/zinc/75/35/72/352753572.db2.gz XZGHTFFDAOALBO-LLVKDONJSA-N 0 1 262.313 0.337 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@@H](OCC)C1 ZINC000604435823 363196433 /nfs/dbraw/zinc/19/64/33/363196433.db2.gz CHTZREXJWDUDMD-VXGBXAGGSA-N 0 1 283.372 0.888 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1ccnc(C#N)c1 ZINC000418999659 234283622 /nfs/dbraw/zinc/28/36/22/234283622.db2.gz NIWIMIUFZXRSCT-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)c2ccn(C)n2)CC1 ZINC000274724496 353156434 /nfs/dbraw/zinc/15/64/34/353156434.db2.gz BRFWWOHXCGWDMX-UHFFFAOYSA-N 0 1 260.341 0.728 20 30 CCEDMN Cc1cc(C#N)nc(N2CCC(c3nc(=O)[nH][nH]3)CC2)n1 ZINC000287891913 353674157 /nfs/dbraw/zinc/67/41/57/353674157.db2.gz UXXMRJBDWJHMGI-UHFFFAOYSA-N 0 1 285.311 0.452 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1[n-]nc(CC)c1[N+](=O)[O-] ZINC000294075469 353866244 /nfs/dbraw/zinc/86/62/44/353866244.db2.gz WEWJWBXRUFXQBX-UHFFFAOYSA-N 0 1 293.327 0.565 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1[n-]nc(CC)c1[N+](=O)[O-] ZINC000294075469 353866248 /nfs/dbraw/zinc/86/62/48/353866248.db2.gz WEWJWBXRUFXQBX-UHFFFAOYSA-N 0 1 293.327 0.565 20 30 CCEDMN CC[C@@H](CO)N(C)CC(=O)NCc1ccc(C#N)cc1 ZINC000295764492 353917580 /nfs/dbraw/zinc/91/75/80/353917580.db2.gz RXROYYVWXBTOFM-AWEZNQCLSA-N 0 1 275.352 0.877 20 30 CCEDMN CC(C)(O)CN1CCN(c2ccnc(C#N)n2)CC1 ZINC000312053041 354205878 /nfs/dbraw/zinc/20/58/78/354205878.db2.gz CFKMDKVVQQNBPD-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN N#C[C@H]1CN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CCO1 ZINC000332904369 354738406 /nfs/dbraw/zinc/73/84/06/354738406.db2.gz RLBBBSMLOSQSKJ-ZJUUUORDSA-N 0 1 275.312 0.201 20 30 CCEDMN CN(C[C@H]1CCCN1C)S(=O)(=O)c1cncc(C#N)c1 ZINC000336840370 355210899 /nfs/dbraw/zinc/21/08/99/355210899.db2.gz PTTPRWJMIJDWKZ-GFCCVEGCSA-N 0 1 294.380 0.668 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)[C@H](C)n2cnc(C#N)n2)C[C@@H]1C ZINC000337000292 355244201 /nfs/dbraw/zinc/24/42/01/355244201.db2.gz RXTOFRWUOIUIDQ-SRVKXCTJSA-N 0 1 290.371 0.652 20 30 CCEDMN CC(C)[C@@H]1CN(C)CCN1C(=O)[C@@H](C)n1cnc(C#N)n1 ZINC000337009251 355246524 /nfs/dbraw/zinc/24/65/24/355246524.db2.gz DPQCEFOQBFCICB-NEPJUHHUSA-N 0 1 290.371 0.509 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)CN1[C@H](C)CC[C@@H]1C ZINC000345543105 291070365 /nfs/dbraw/zinc/07/03/65/291070365.db2.gz KMPOSLDKVLIBQD-PHIMTYICSA-N 0 1 267.329 0.384 20 30 CCEDMN COCCOCCN(C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000344101524 355785379 /nfs/dbraw/zinc/78/53/79/355785379.db2.gz FFJRHHFUXVRZCD-LBPRGKRZSA-N 0 1 283.372 0.532 20 30 CCEDMN N#Cc1cccc(CNC(=O)CN2CC[C@@H](CO)C2)c1 ZINC000352758317 356072313 /nfs/dbraw/zinc/07/23/13/356072313.db2.gz JCPWUHMYIFYZJM-CQSZACIVSA-N 0 1 273.336 0.489 20 30 CCEDMN Cc1onc(CC(=O)N2CCN(C)[C@H](C)[C@@H]2C)c1C#N ZINC000354489824 356423710 /nfs/dbraw/zinc/42/37/10/356423710.db2.gz PKPOCSMVUZHBBG-ZJUUUORDSA-N 0 1 276.340 0.948 20 30 CCEDMN C[C@@H]1CCN(C)CCN1S(=O)(=O)N(C)CCC#N ZINC000354492517 356424166 /nfs/dbraw/zinc/42/41/66/356424166.db2.gz LPGIJPNOAAVVPQ-LLVKDONJSA-N 0 1 274.390 0.103 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC1CCN(CC#N)CC1 ZINC000609332176 363490054 /nfs/dbraw/zinc/49/00/54/363490054.db2.gz AWZJMISPRPCECZ-AWEZNQCLSA-N 0 1 278.400 0.965 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(CCC(=O)N(C)C)CC1 ZINC000609486490 363498633 /nfs/dbraw/zinc/49/86/33/363498633.db2.gz LFEOKNKLZXPVAT-CYBMUJFWSA-N 0 1 266.389 0.630 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000619715880 366333197 /nfs/dbraw/zinc/33/31/97/366333197.db2.gz XQGDQGUOADTHMW-STQMWFEESA-N 0 1 268.357 0.511 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000610489949 363566531 /nfs/dbraw/zinc/56/65/31/363566531.db2.gz WLZYVYAKLIRZPU-LBPRGKRZSA-N 0 1 293.290 0.919 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@H](c2nn[nH]n2)c2ccccc2)c1 ZINC000610489949 363566534 /nfs/dbraw/zinc/56/65/34/363566534.db2.gz WLZYVYAKLIRZPU-LBPRGKRZSA-N 0 1 293.290 0.919 20 30 CCEDMN C=CCOCCCN(CCNS(C)(=O)=O)C1CC1 ZINC000361383038 357046173 /nfs/dbraw/zinc/04/61/73/357046173.db2.gz ZBRAGQSJGPZNAN-UHFFFAOYSA-N 0 1 276.402 0.593 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@](O)(C2CC2)C1 ZINC000365105559 357135874 /nfs/dbraw/zinc/13/58/74/357135874.db2.gz AEVFPFPUQSLVTP-LSDHHAIUSA-N 0 1 279.384 0.888 20 30 CCEDMN CN(Cc1ccoc1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610560921 363576479 /nfs/dbraw/zinc/57/64/79/363576479.db2.gz LYTDSTZGRZMQQS-UHFFFAOYSA-N 0 1 259.269 0.971 20 30 CCEDMN N#Cc1ccc(CNC(=O)CN2C[C@H]3[C@H](CO)[C@H]3C2)cc1 ZINC000366439919 357207432 /nfs/dbraw/zinc/20/74/32/357207432.db2.gz NODQYLNAQYAGBF-FICVDOATSA-N 0 1 285.347 0.345 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000394797168 357758921 /nfs/dbraw/zinc/75/89/21/357758921.db2.gz LWANSOINFCCYQC-JSGCOSHPSA-N 0 1 264.373 0.432 20 30 CCEDMN C[C@@H]1CN(c2ncccc2C#N)C[C@H](C)N1CCO ZINC000450788378 236111775 /nfs/dbraw/zinc/11/17/75/236111775.db2.gz HJPNJQBKTOFNKW-TXEJJXNPSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H](CO[C@@H]1CCOC1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610655673 363591834 /nfs/dbraw/zinc/59/18/34/363591834.db2.gz GSDFSGOPRIGHMP-GXSJLCMTSA-N 0 1 293.327 0.029 20 30 CCEDMN C#CCNC(=O)CCN1CCSC[C@@H]1c1cnn(C)c1 ZINC000294697702 199139555 /nfs/dbraw/zinc/13/95/55/199139555.db2.gz UHRYZGKZUAALKV-CYBMUJFWSA-N 0 1 292.408 0.650 20 30 CCEDMN N#Cc1c(CN2C[C@@H]3C(=O)NC(=O)[C@@H]3C2)cn2ccccc12 ZINC000568593785 358687155 /nfs/dbraw/zinc/68/71/55/358687155.db2.gz RTIGMZZUAXQECS-BETUJISGSA-N 0 1 294.314 0.515 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]C[C@H]2CCC[C@@H]([NH3+])C2)o1 ZINC000570058500 358818788 /nfs/dbraw/zinc/81/87/88/358818788.db2.gz WNNKCXYDVSXHJC-VHSXEESVSA-N 0 1 283.353 0.947 20 30 CCEDMN C[C@H]1OCC[C@H]1[N@@H+](CCO)Cc1ccc(C#N)cn1 ZINC000573263727 358952202 /nfs/dbraw/zinc/95/22/02/358952202.db2.gz HMXNLQDNZSCBJA-BXUZGUMPSA-N 0 1 261.325 0.925 20 30 CCEDMN C[C@H]1OCC[C@H]1N(CCO)Cc1ccc(C#N)cn1 ZINC000573263727 358952206 /nfs/dbraw/zinc/95/22/06/358952206.db2.gz HMXNLQDNZSCBJA-BXUZGUMPSA-N 0 1 261.325 0.925 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H](CO)C(C)(C)C)[nH]1 ZINC000610923070 363631463 /nfs/dbraw/zinc/63/14/63/363631463.db2.gz USYSIZYNIQAZKU-VIFPVBQESA-N 0 1 265.317 0.242 20 30 CCEDMN Cc1cc(CNS(=O)(=O)c2ccc(C#N)o2)n[nH]1 ZINC000588115776 359314073 /nfs/dbraw/zinc/31/40/73/359314073.db2.gz LILAHMHROXLPAS-UHFFFAOYSA-N 0 1 266.282 0.661 20 30 CCEDMN CN(Cc1ccnc(C#N)c1)C[C@H](O)CN1CCOCC1 ZINC000610994897 363645103 /nfs/dbraw/zinc/64/51/03/363645103.db2.gz ZUMTVFDMYFCVJC-HNNXBMFYSA-N 0 1 290.367 0.078 20 30 CCEDMN COCC[N@@H+]1CC[C@@H](NC(=O)c2cc([O-])cc(C#N)c2)C1 ZINC000589182007 359366653 /nfs/dbraw/zinc/36/66/53/359366653.db2.gz SGGKIZWUEZISGZ-CYBMUJFWSA-N 0 1 289.335 0.714 20 30 CCEDMN COCC[N@H+]1CC[C@@H](NC(=O)c2cc([O-])cc(C#N)c2)C1 ZINC000589182007 359366657 /nfs/dbraw/zinc/36/66/57/359366657.db2.gz SGGKIZWUEZISGZ-CYBMUJFWSA-N 0 1 289.335 0.714 20 30 CCEDMN N#CC1(CS(=O)(=O)N[C@@H]2CCN(C3CC3)C2)CCC1 ZINC000589217837 359371887 /nfs/dbraw/zinc/37/18/87/359371887.db2.gz YUXDKUMSHGRBTH-LLVKDONJSA-N 0 1 283.397 0.836 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000588650776 359328352 /nfs/dbraw/zinc/32/83/52/359328352.db2.gz QCRZOYHPMKKNQG-NSHDSACASA-N 0 1 268.361 0.244 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCc2cn[nH]c2C1)C1CC1 ZINC000611009071 363648532 /nfs/dbraw/zinc/64/85/32/363648532.db2.gz SCPLLUREUTUDAJ-AWEZNQCLSA-N 0 1 273.340 0.576 20 30 CCEDMN CN1C[C@@H]2CCN(S(=O)(=O)c3ccc(C#N)nc3)[C@@H]2C1 ZINC000589926662 359410208 /nfs/dbraw/zinc/41/02/08/359410208.db2.gz YEODDHYORBIKDW-GXFFZTMASA-N 0 1 292.364 0.278 20 30 CCEDMN CN1C[C@H]2[C@@H](CCN2S(=O)(=O)c2cncc(C#N)c2)C1 ZINC000589928829 359410662 /nfs/dbraw/zinc/41/06/62/359410662.db2.gz GDFYNHNSCMZTND-AAEUAGOBSA-N 0 1 292.364 0.278 20 30 CCEDMN N#CCCOCCN1CCN(C[C@H]2CCCO2)CC1 ZINC000590153322 359426742 /nfs/dbraw/zinc/42/67/42/359426742.db2.gz XOCRJHPQHUOAHH-CQSZACIVSA-N 0 1 267.373 0.713 20 30 CCEDMN COC1CCN(CCNC(=O)c2cccc(C#N)n2)CC1 ZINC000589445194 359382883 /nfs/dbraw/zinc/38/28/83/359382883.db2.gz YGESWVCRKAWUCY-UHFFFAOYSA-N 0 1 288.351 0.794 20 30 CCEDMN N#CCCCC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000589699908 359398307 /nfs/dbraw/zinc/39/83/07/359398307.db2.gz SUDWGKZTLCGFQZ-QWHCGFSZSA-N 0 1 265.357 0.738 20 30 CCEDMN CC1(C)CN(C(=O)CC2(C#N)CC2)CCN1CCO ZINC000590333908 359440498 /nfs/dbraw/zinc/44/04/98/359440498.db2.gz IZOFKMQVIJHZJV-UHFFFAOYSA-N 0 1 265.357 0.595 20 30 CCEDMN CCCc1[nH]nc(C(=O)N2CC(C#N)C2)c1[N+](=O)[O-] ZINC000590484643 359474589 /nfs/dbraw/zinc/47/45/89/359474589.db2.gz LOHUJGYMCKPOBA-UHFFFAOYSA-N 0 1 263.257 0.866 20 30 CCEDMN C[NH+](C)C[C@@](C)(O)CN=c1[n-]c(C#N)c(Cl)s1 ZINC000590580481 359489111 /nfs/dbraw/zinc/48/91/11/359489111.db2.gz FEJWNYRDQLPMGH-JTQLQIEISA-N 0 1 274.777 0.815 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)c1ccccc1CC#N ZINC000590591842 359492578 /nfs/dbraw/zinc/49/25/78/359492578.db2.gz ZTSOFWZLEOHMKG-UHFFFAOYSA-N 0 1 291.336 0.691 20 30 CCEDMN Cc1cccnc1CCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000590819353 359526774 /nfs/dbraw/zinc/52/67/74/359526774.db2.gz HGUHVYRVPREUAC-UHFFFAOYSA-N 0 1 284.323 0.781 20 30 CCEDMN C[C@@H]1CN(c2cc(C#N)ncn2)[C@H](C)CN1CCO ZINC000591370625 359584807 /nfs/dbraw/zinc/58/48/07/359584807.db2.gz NRPUKYWYFCQIKV-GHMZBOCLSA-N 0 1 261.329 0.240 20 30 CCEDMN N#CCCCNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000591759487 359654531 /nfs/dbraw/zinc/65/45/31/359654531.db2.gz WDOIYFFNNBXLQB-UHFFFAOYSA-N 0 1 278.337 0.620 20 30 CCEDMN COCC(C)(C)N1CCN(c2ccc(C#N)nn2)CC1 ZINC000591829787 359663724 /nfs/dbraw/zinc/66/37/24/359663724.db2.gz SPKWRRIPEYHNNX-UHFFFAOYSA-N 0 1 275.356 0.895 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCc1ccc2cncn2c1 ZINC000297127300 200092996 /nfs/dbraw/zinc/09/29/96/200092996.db2.gz HIVOSDZQWVLDDB-NSHDSACASA-N 0 1 257.293 0.989 20 30 CCEDMN N#CC[C@@]1(O)CCN(c2nc(N)nc3c2CCCC3)C1 ZINC000591973834 359716611 /nfs/dbraw/zinc/71/66/11/359716611.db2.gz JKMQTFIAZISCFR-CQSZACIVSA-N 0 1 273.340 0.623 20 30 CCEDMN CC(C)N1CCC[C@H](N2CC[C@@](O)(CC#N)C2)C1=O ZINC000592150586 359769033 /nfs/dbraw/zinc/76/90/33/359769033.db2.gz GYXKTNMSZCPVPU-JSGCOSHPSA-N 0 1 265.357 0.736 20 30 CCEDMN CO[C@H]1CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000592499741 359860475 /nfs/dbraw/zinc/86/04/75/359860475.db2.gz FBQFXYXQYCVRAD-LBPRGKRZSA-N 0 1 257.297 0.822 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)cn1 ZINC000611215210 363685062 /nfs/dbraw/zinc/68/50/62/363685062.db2.gz YJQIJBPVMOLZJB-JTQLQIEISA-N 0 1 283.295 0.486 20 30 CCEDMN CN1CCC(NS(=O)(=O)c2ccsc2C#N)CC1 ZINC000593525841 360107206 /nfs/dbraw/zinc/10/72/06/360107206.db2.gz LRUQNNDUXLQMRF-UHFFFAOYSA-N 0 1 285.394 0.992 20 30 CCEDMN C=CCCSCCNC(=O)C[C@H]1COCCN1 ZINC000595255881 360459891 /nfs/dbraw/zinc/45/98/91/360459891.db2.gz JGYMTTUVNPQKST-NSHDSACASA-N 0 1 258.387 0.790 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C1CC1)C1(CO)CCC1 ZINC000595540327 360572857 /nfs/dbraw/zinc/57/28/57/360572857.db2.gz PONVRJPDTWRRHO-UHFFFAOYSA-N 0 1 281.356 0.378 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@](O)(C3CC3)C2)CCC1 ZINC000595750688 360639016 /nfs/dbraw/zinc/63/90/16/360639016.db2.gz SRUXVFOTFUACSL-OAHLLOKOSA-N 0 1 277.368 0.786 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1C[C@@H]2COC[C@]2(C)C1)C1CC1 ZINC000595755945 360641044 /nfs/dbraw/zinc/64/10/44/360641044.db2.gz RQBQRGAIBNTOGP-VHDGCEQUSA-N 0 1 277.368 0.763 20 30 CCEDMN N#Cc1ccc(CC[N@@H+]2CCOC[C@@H]2C(=O)[O-])cc1 ZINC000595888966 360691334 /nfs/dbraw/zinc/69/13/34/360691334.db2.gz WNHJZEQTOHUPOD-CYBMUJFWSA-N 0 1 260.293 0.886 20 30 CCEDMN N#Cc1ccc(CNC(=O)CN2CCC3(COC3)C2)cc1 ZINC000595835950 360674398 /nfs/dbraw/zinc/67/43/98/360674398.db2.gz SWRVFWPWKPJYBK-UHFFFAOYSA-N 0 1 285.347 0.897 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2cc(C#N)cnn2)CC1 ZINC000596141848 360810189 /nfs/dbraw/zinc/81/01/89/360810189.db2.gz LYIMEIMAIZDEGP-LBPRGKRZSA-N 0 1 261.329 0.241 20 30 CCEDMN CCN1CCN([C@H]2CCN(c3cc(C#N)cnn3)C2)CC1 ZINC000596124901 360804015 /nfs/dbraw/zinc/80/40/15/360804015.db2.gz ZMOKAVOTQCOTCN-AWEZNQCLSA-N 0 1 286.383 0.564 20 30 CCEDMN C[C@H]1CN(c2cc(C#N)cnn2)CCN1CC(C)(C)O ZINC000596223324 360842048 /nfs/dbraw/zinc/84/20/48/360842048.db2.gz QGJDKCVSMOYPQA-NSHDSACASA-N 0 1 275.356 0.630 20 30 CCEDMN CCc1nc(C#N)cc(NCCN2C[C@H]3CC[C@@H](C2)O3)n1 ZINC000596262173 360856924 /nfs/dbraw/zinc/85/69/24/360856924.db2.gz BCTKIRTUAIFCAO-BETUJISGSA-N 0 1 287.367 0.608 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)C[C@@H]1N[C@H]1CC[C@H](C#N)C1 ZINC000597193220 361028763 /nfs/dbraw/zinc/02/87/63/361028763.db2.gz VSIXKWXOMIKGIP-XWLWVQCSSA-N 0 1 258.343 0.080 20 30 CCEDMN N#Cc1csc(CN2CCC(CO)(CO)C2)c1 ZINC000597217721 361033861 /nfs/dbraw/zinc/03/38/61/361033861.db2.gz AUZUFCOZJOPRAA-UHFFFAOYSA-N 0 1 252.339 0.796 20 30 CCEDMN C[C@@H](CC#N)C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000597256570 361042840 /nfs/dbraw/zinc/04/28/40/361042840.db2.gz NOVXCSLUNAQEGL-KBPBESRZSA-N 0 1 294.399 0.059 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000597346516 361067166 /nfs/dbraw/zinc/06/71/66/361067166.db2.gz KBICAIKPUFRPGE-CHWSQXEVSA-N 0 1 265.357 0.717 20 30 CCEDMN CNC(=O)[C@@]1(COC)CCCN1C[C@H](O)CC(C)(C)C#N ZINC000598623816 361464920 /nfs/dbraw/zinc/46/49/20/361464920.db2.gz CNLXHUDSJVTJPA-DOMZBBRYSA-N 0 1 297.399 0.514 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@@H]1CO ZINC000598646751 361471251 /nfs/dbraw/zinc/47/12/51/361471251.db2.gz YCIRSKFBFNVBPG-LALPHHSUSA-N 0 1 279.384 0.745 20 30 CCEDMN N#Cc1cnc(NCCN2CC[C@H](O)C2)c([N+](=O)[O-])c1 ZINC000599199847 361609218 /nfs/dbraw/zinc/60/92/18/361609218.db2.gz SSKREFWBHWZLKD-JTQLQIEISA-N 0 1 277.284 0.340 20 30 CCEDMN CN(C)c1ccc(C(N)=NOCCCS(C)(=O)=O)cc1 ZINC000103136092 260609797 /nfs/dbraw/zinc/60/97/97/260609797.db2.gz VNDBIDBOZLLSSO-UHFFFAOYSA-N 0 1 299.396 0.824 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@](C)(C#N)C2)[nH]1 ZINC000612313459 363883310 /nfs/dbraw/zinc/88/33/10/363883310.db2.gz HGWCKWSNJPIYDR-ZDUSSCGKSA-N 0 1 272.312 0.871 20 30 CCEDMN N#CCc1ccc(OC[C@H](O)CNC2(C(N)=O)CC2)cc1 ZINC000612471676 363933765 /nfs/dbraw/zinc/93/37/65/363933765.db2.gz WYXINVSGPCRXDG-GFCCVEGCSA-N 0 1 289.335 0.100 20 30 CCEDMN Cc1nnc([C@@H](C)NC(=O)N=c2[nH]n(C)cc2C#N)s1 ZINC000612752048 364008138 /nfs/dbraw/zinc/00/81/38/364008138.db2.gz OPHPLUAVDJCBOA-ZCFIWIBFSA-N 0 1 291.340 0.756 20 30 CCEDMN C/C=C\C[C@H](CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000613772727 364367223 /nfs/dbraw/zinc/36/72/23/364367223.db2.gz HVESFCPDLHXPIO-UMBAGQNISA-N 0 1 263.301 0.162 20 30 CCEDMN C[C@]1(O)CCCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000564989763 291332380 /nfs/dbraw/zinc/33/23/80/291332380.db2.gz ZRNMNDMZZYVYAM-AWEZNQCLSA-N 0 1 271.324 0.948 20 30 CCEDMN CCOC[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)C(C)C ZINC000616096209 365176292 /nfs/dbraw/zinc/17/62/92/365176292.db2.gz PQFORSCIRGIQOL-LLVKDONJSA-N 0 1 279.344 0.896 20 30 CCEDMN C[C@H]1CN(c2ccc(C#N)c(N)n2)[C@@H](C)CN1CCO ZINC000565227507 291363592 /nfs/dbraw/zinc/36/35/92/291363592.db2.gz YOZPAJXQUHRIRH-QWRGUYRKSA-N 0 1 275.356 0.427 20 30 CCEDMN COCC[N@@H+](C)CC#CCN1C(=O)c2ccccc2C1=O ZINC000625107878 368066219 /nfs/dbraw/zinc/06/62/19/368066219.db2.gz OKCGSUBEZBDHSJ-UHFFFAOYSA-N 0 1 286.331 0.864 20 30 CCEDMN COCCN(C)CC#CCN1C(=O)c2ccccc2C1=O ZINC000625107878 368066224 /nfs/dbraw/zinc/06/62/24/368066224.db2.gz OKCGSUBEZBDHSJ-UHFFFAOYSA-N 0 1 286.331 0.864 20 30 CCEDMN C=CC[C@H]1CCN(Cc2nc(CC(=O)NC)no2)C1 ZINC000625702288 368299192 /nfs/dbraw/zinc/29/91/92/368299192.db2.gz WSJQAYQHQPLEOO-JTQLQIEISA-N 0 1 264.329 0.756 20 30 CCEDMN C=CCN1CC[C@@H](N2CCCC[C@@H]2CNC(C)=O)C1=O ZINC000626158932 368464679 /nfs/dbraw/zinc/46/46/79/368464679.db2.gz DEGYGEXTULKCRS-ZIAGYGMSSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1C[C@H](C)NC[C@H]1C ZINC000627434547 369000185 /nfs/dbraw/zinc/00/01/85/369000185.db2.gz KTXQOONETPJJOM-NWDGAFQWSA-N 0 1 281.400 0.914 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1C[C@@H](C)NC[C@@H]1C ZINC000627434546 369000335 /nfs/dbraw/zinc/00/03/35/369000335.db2.gz KTXQOONETPJJOM-NEPJUHHUSA-N 0 1 281.400 0.914 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNS(=O)(=O)c1ncc[nH]1 ZINC000632301452 370972289 /nfs/dbraw/zinc/97/22/89/370972289.db2.gz ZURFNMXNCVYWOM-ZJUUUORDSA-N 0 1 271.342 0.669 20 30 CCEDMN C=CCCCNS(=O)(=O)CCCN1CCN(C)CC1 ZINC000632287945 370963614 /nfs/dbraw/zinc/96/36/14/370963614.db2.gz BDRPHOKQMFWMMA-UHFFFAOYSA-N 0 1 289.445 0.510 20 30 CCEDMN C=CCCN(C)CC(=O)NCC(=O)OC(C)(C)C ZINC000276343925 193294808 /nfs/dbraw/zinc/29/48/08/193294808.db2.gz CPHHHLJQFNMODZ-UHFFFAOYSA-N 0 1 256.346 0.952 20 30 CCEDMN CC(C)(C)n1cc(C#N)c(=NC(=O)C(=O)NC2CC2)[nH]1 ZINC000331667257 204058533 /nfs/dbraw/zinc/05/85/33/204058533.db2.gz NGNXFVXEEOJZIH-UHFFFAOYSA-N 0 1 275.312 0.149 20 30 CCEDMN C[C@@H]1CCn2ncc(C(=O)N=c3[nH]n(C)cc3C#N)c2C1 ZINC000574401640 335016588 /nfs/dbraw/zinc/01/65/88/335016588.db2.gz NJKCFULTOKUERP-SECBINFHSA-N 0 1 284.323 0.745 20 30 CCEDMN O=C(NCC#Cc1ccccc1)NCCN1CC[C@H](O)C1 ZINC000636518382 373625663 /nfs/dbraw/zinc/62/56/63/373625663.db2.gz OIOSMIASAUXWNX-HNNXBMFYSA-N 0 1 287.363 0.404 20 30 CCEDMN C=CCCC(=O)N1CCN(C(=O)N2CCNCC2)CC1 ZINC000638481423 375074174 /nfs/dbraw/zinc/07/41/74/375074174.db2.gz IIDZCLCJGQTBFW-UHFFFAOYSA-N 0 1 280.372 0.122 20 30 CCEDMN C=CCN(CCOC)Cc1ccc(S(N)(=O)=O)cc1 ZINC000639100668 375578224 /nfs/dbraw/zinc/57/82/24/375578224.db2.gz QHJSXSJSTSYJBG-UHFFFAOYSA-N 0 1 284.381 0.968 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(OCCCO)CC2)C1=O ZINC000685002018 545783152 /nfs/dbraw/zinc/78/31/52/545783152.db2.gz QYPITCZKIJMQHL-AWEZNQCLSA-N 0 1 282.384 0.637 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCc2cncn2C)C1=O ZINC000639623661 375868239 /nfs/dbraw/zinc/86/82/39/375868239.db2.gz SCGGEKBIEZNEED-ZDUSSCGKSA-N 0 1 262.357 0.681 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639823434 376034369 /nfs/dbraw/zinc/03/43/69/376034369.db2.gz YMAUFHSWWGXSEQ-NXEZZACHSA-N 0 1 252.318 0.599 20 30 CCEDMN C=CCOCCCC(=O)NCc1n[nH]c(=O)n1C ZINC000640652409 376490075 /nfs/dbraw/zinc/49/00/75/376490075.db2.gz VOCQKDJFUBLKDZ-UHFFFAOYSA-N 0 1 254.290 0.120 20 30 CCEDMN C=C(C)CN(CC)C(=O)Cn1cc([C@H](C)NC)nn1 ZINC000640792055 376542138 /nfs/dbraw/zinc/54/21/38/376542138.db2.gz KNXGTBURJLBWNP-NSHDSACASA-N 0 1 265.361 0.983 20 30 CCEDMN CC#CC[C@H](CO)NCc1cc2c(cn1)OCCO2 ZINC000641767658 377453749 /nfs/dbraw/zinc/45/37/49/377453749.db2.gz NTLXKVXDCCQUJR-LLVKDONJSA-N 0 1 262.309 0.717 20 30 CCEDMN Cc1nnc([C@@H](C)NS(=O)(=O)c2cccnc2C#N)o1 ZINC000515546593 249138580 /nfs/dbraw/zinc/13/85/80/249138580.db2.gz YTZZISJDTMHTFK-SSDOTTSWSA-N 0 1 293.308 0.684 20 30 CCEDMN CNC(=O)NC(=O)CN1CCC(CCCO)CC1 ZINC000330792438 335042284 /nfs/dbraw/zinc/04/22/84/335042284.db2.gz BPRZYAVWIFTLSV-UHFFFAOYSA-N 0 1 257.334 0.131 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@H](COC)[C@H]1CCCO1 ZINC000278997011 194244986 /nfs/dbraw/zinc/24/49/86/194244986.db2.gz CENXQENKFRCJNU-UONOGXRCSA-N 0 1 282.384 0.971 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)C[C@@H]1CCCC(=O)N1 ZINC000491783911 262043097 /nfs/dbraw/zinc/04/30/97/262043097.db2.gz PUMDDXKGYIRXAG-QWHCGFSZSA-N 0 1 278.352 0.686 20 30 CCEDMN C=CCCn1cc(CN[C@@H]2CCN(CCOC)C2)nn1 ZINC000657354292 412968664 /nfs/dbraw/zinc/96/86/64/412968664.db2.gz RREXYEXBEKNOGZ-CYBMUJFWSA-N 0 1 279.388 0.665 20 30 CCEDMN C=CCCn1cc(CNC[C@](C)(O)CCOC)nn1 ZINC000657365061 412974369 /nfs/dbraw/zinc/97/43/69/412974369.db2.gz DVCJZCHMPQDDHF-CYBMUJFWSA-N 0 1 268.361 0.731 20 30 CCEDMN CCOCCN1CCN(c2ccc(C#N)c(N)n2)CC1 ZINC000572060945 291855970 /nfs/dbraw/zinc/85/59/70/291855970.db2.gz TYOKFQVYJHVHOQ-UHFFFAOYSA-N 0 1 275.356 0.694 20 30 CCEDMN CCC#C[C@H](C)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000685047554 545791624 /nfs/dbraw/zinc/79/16/24/545791624.db2.gz YVKKZWQYRHOGOP-RYUDHWBXSA-N 0 1 258.387 0.789 20 30 CCEDMN CCN(C)[C@H](C(=O)NCC#CCO)c1ccccc1 ZINC000282901618 388759474 /nfs/dbraw/zinc/75/94/74/388759474.db2.gz LTUSMBRDWJBHCA-AWEZNQCLSA-N 0 1 260.337 0.791 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1CC[C@H]2CCN(C)C[C@H]21 ZINC000288663924 388864445 /nfs/dbraw/zinc/86/44/45/388864445.db2.gz VQAARTRWURJDSE-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCC(CC#C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000287249789 388849824 /nfs/dbraw/zinc/84/98/24/388849824.db2.gz AHOIYURACSNFCO-CQSZACIVSA-N 0 1 261.369 0.011 20 30 CCEDMN C[C@@H](O)CN(CC#Cc1ccc(F)cc1)CCO ZINC000289743456 388888112 /nfs/dbraw/zinc/88/81/12/388888112.db2.gz JRWSONNJENYAMP-GFCCVEGCSA-N 0 1 251.301 0.852 20 30 CCEDMN COC(=O)[C@H](C)CN(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000048335697 388889873 /nfs/dbraw/zinc/88/98/73/388889873.db2.gz SZIHSSLIBACDNA-YGRLFVJLSA-N 0 1 281.356 0.536 20 30 CCEDMN C#CCOc1ccc(CN(C)[C@@H]2COC[C@H]2O)cc1 ZINC000289985304 388899399 /nfs/dbraw/zinc/89/93/99/388899399.db2.gz ZXQMAQOAAVZFHJ-HUUCEWRRSA-N 0 1 261.321 0.890 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@@H](Cc1ccccc1)N(C)C ZINC000290679698 388910356 /nfs/dbraw/zinc/91/03/56/388910356.db2.gz UAGIIRCXWBEFSV-UONOGXRCSA-N 0 1 262.353 0.822 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@](CO)(c2ccccc2)C1 ZINC000292005309 388943933 /nfs/dbraw/zinc/94/39/33/388943933.db2.gz XNLOCDBUTFECJL-WMLDXEAASA-N 0 1 286.375 0.760 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H]1CN1C[C@@H](C)O[C@@H](C)C1 ZINC000066378130 388944450 /nfs/dbraw/zinc/94/44/50/388944450.db2.gz MSXIKTSZPLTYFB-ZNMIVQPWSA-N 0 1 295.427 0.862 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCCOC)CC1 ZINC000066941234 388946293 /nfs/dbraw/zinc/94/62/93/388946293.db2.gz QMSLEXIHVGTHIG-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN C#CCN(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000192224511 389027982 /nfs/dbraw/zinc/02/79/82/389027982.db2.gz NEGMHGAZRTUNKU-UHFFFAOYSA-N 0 1 268.338 0.278 20 30 CCEDMN COc1ccc(CN(CCO)CCO)cc1C#N ZINC000087832742 389011988 /nfs/dbraw/zinc/01/19/88/389011988.db2.gz VTILFGLDIMOWEK-UHFFFAOYSA-N 0 1 250.298 0.353 20 30 CCEDMN C=CCCC1(C(=O)N2CCN(C(=O)CN(C)C)CC2)CC1 ZINC000354435387 389025702 /nfs/dbraw/zinc/02/57/02/389025702.db2.gz VIOPOIQGXZOAAH-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(C(C)C)CC2)C1=O ZINC000337136645 389046496 /nfs/dbraw/zinc/04/64/96/389046496.db2.gz OQKIWNZAODDRMG-CYBMUJFWSA-N 0 1 251.374 0.799 20 30 CCEDMN CC(C)(C)[C@H](NCC(=O)N(CCC#N)CCC#N)C(N)=O ZINC000106443778 389092442 /nfs/dbraw/zinc/09/24/42/389092442.db2.gz BLRIIUDZZOENAR-GFCCVEGCSA-N 0 1 293.371 0.132 20 30 CCEDMN C[C@@H](C(=O)NC1CC1)N1CCN(CCCCC#N)CC1 ZINC000102396744 389074742 /nfs/dbraw/zinc/07/47/42/389074742.db2.gz VUJNORZPELZUHR-ZDUSSCGKSA-N 0 1 278.400 0.965 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@H]1C(=O)NCC[C@H]1C ZINC000193602221 389074899 /nfs/dbraw/zinc/07/48/99/389074899.db2.gz UXJAPPPBDZKYAY-DGCLKSJQSA-N 0 1 267.373 0.525 20 30 CCEDMN C[C@@H](CCO)N(CC(=O)NCC#N)Cc1ccccc1 ZINC000093303182 389034577 /nfs/dbraw/zinc/03/45/77/389034577.db2.gz BTTJYKHPVARLMZ-ZDUSSCGKSA-N 0 1 275.352 0.899 20 30 CCEDMN C=CC[C@H](CO)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000337049592 389038698 /nfs/dbraw/zinc/03/86/98/389038698.db2.gz QUENLKXNZMFIKH-NWDGAFQWSA-N 0 1 256.346 0.008 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C[C@@H](C)C(=O)OC)C1CC1 ZINC000338940749 389119636 /nfs/dbraw/zinc/11/96/36/389119636.db2.gz WGRNYOMOWJRXAW-SNVBAGLBSA-N 0 1 297.355 0.272 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N1CCn2ncnc2C1 ZINC000328605392 389129050 /nfs/dbraw/zinc/12/90/50/389129050.db2.gz JOWKXNLIJULMGK-AAEUAGOBSA-N 0 1 291.355 0.989 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000356869450 389129696 /nfs/dbraw/zinc/12/96/96/389129696.db2.gz CYWLDXHKLIZRMT-VIFPVBQESA-N 0 1 273.292 0.921 20 30 CCEDMN CC1(C)SC[C@H]1NC([O-])=[NH+]CCn1cncn1 ZINC000328759824 389136338 /nfs/dbraw/zinc/13/63/38/389136338.db2.gz XBLDZAAONQTDAC-MRVPVSSYSA-N 0 1 255.347 0.676 20 30 CCEDMN CC1(C)SC[C@H]1NC(=O)NCCn1cncn1 ZINC000328759824 389136342 /nfs/dbraw/zinc/13/63/42/389136342.db2.gz XBLDZAAONQTDAC-MRVPVSSYSA-N 0 1 255.347 0.676 20 30 CCEDMN CCc1ccc([C@H](CO)NCC(=O)NCC#N)cc1 ZINC000359622234 389179838 /nfs/dbraw/zinc/17/98/38/389179838.db2.gz AGDSUOOHZMEIHF-ZDUSSCGKSA-N 0 1 261.325 0.512 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC(C)(C)c1nn[nH]n1 ZINC000359802509 389183873 /nfs/dbraw/zinc/18/38/73/389183873.db2.gz UDEUFJMYSASLJG-QMMMGPOBSA-N 0 1 253.306 0.532 20 30 CCEDMN C=CCCS(=O)(=O)N[C@@H]1C[N@@H+](C2CC2)C[C@H]1C ZINC000360134599 389192484 /nfs/dbraw/zinc/19/24/84/389192484.db2.gz XGCSFGUWQIXOFB-ZYHUDNBSSA-N 0 1 258.387 0.965 20 30 CCEDMN C=CCCS(=O)(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC000360134599 389192485 /nfs/dbraw/zinc/19/24/85/389192485.db2.gz XGCSFGUWQIXOFB-ZYHUDNBSSA-N 0 1 258.387 0.965 20 30 CCEDMN O=C(NCCN1CC=CCC1)N[C@@H]1CCCOC1 ZINC000329733785 389194322 /nfs/dbraw/zinc/19/43/22/389194322.db2.gz JVRKKQYOVBQTPK-GFCCVEGCSA-N 0 1 253.346 0.931 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)CCSc1nnc(C)[nH]1 ZINC000342158037 389199251 /nfs/dbraw/zinc/19/92/51/389199251.db2.gz HVNLQBRSMHKLIS-JTQLQIEISA-N 0 1 284.385 0.896 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+][C@@H]1CCO[C@H](C)C1)CC2 ZINC000329100591 389150533 /nfs/dbraw/zinc/15/05/33/389150533.db2.gz MPRPWGKNTYPXRY-YUSALJHKSA-N 0 1 293.371 0.972 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N[C@@H]1CCO[C@H](C)C1)CC2 ZINC000329100591 389150538 /nfs/dbraw/zinc/15/05/38/389150538.db2.gz MPRPWGKNTYPXRY-YUSALJHKSA-N 0 1 293.371 0.972 20 30 CCEDMN N#C[C@@]1(NC(=O)c2ccc3[nH]nnc3c2)CCOC1 ZINC000358452360 389151171 /nfs/dbraw/zinc/15/11/71/389151171.db2.gz XHTCFPGPWSVVBT-LBPRGKRZSA-N 0 1 257.253 0.370 20 30 CCEDMN Cc1nccn1CC[NH+]=C([O-])N1CCNC(=O)CC1 ZINC000329124165 389151207 /nfs/dbraw/zinc/15/12/07/389151207.db2.gz SDTCEFPAYWBZRI-UHFFFAOYSA-N 0 1 265.317 0.768 20 30 CCEDMN C=C[C@@H](CO)NC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000358461460 389152126 /nfs/dbraw/zinc/15/21/26/389152126.db2.gz QVPMZMSPUTUTJH-KBPBESRZSA-N 0 1 298.431 0.105 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@@](C)(O)C1 ZINC000124530523 389161269 /nfs/dbraw/zinc/16/12/69/389161269.db2.gz HNKMYLAICFLJKN-GXFFZTMASA-N 0 1 269.345 0.234 20 30 CCEDMN O=C(NCCc1cnccn1)N1CCN(CC2CC2)CC1 ZINC000329399781 389161996 /nfs/dbraw/zinc/16/19/96/389161996.db2.gz VQVRCIHNXOJRGV-UHFFFAOYSA-N 0 1 289.383 0.961 20 30 CCEDMN COCc1nc2n(n1)C[C@H](NC(=O)N1CCC1)CC2 ZINC000329820759 389204389 /nfs/dbraw/zinc/20/43/89/389204389.db2.gz YJLQDNAFCYEYTE-SECBINFHSA-N 0 1 265.317 0.359 20 30 CCEDMN C[C@](O)(CNC(=O)c1cccn2c(O)nnc12)C1CC1 ZINC000329482368 389166718 /nfs/dbraw/zinc/16/67/18/389166718.db2.gz DTLSZLDZMINFOS-ZDUSSCGKSA-N 0 1 276.296 0.901 20 30 CCEDMN C=C[C@H](C)NC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000128164532 389176211 /nfs/dbraw/zinc/17/62/11/389176211.db2.gz VUYSPQOQNUWISR-NWDGAFQWSA-N 0 1 254.378 0.496 20 30 CCEDMN COC(=O)c1cc(C#N)c(=O)n(Cc2n[nH]c(C3CC3)n2)c1 ZINC000342705490 389245772 /nfs/dbraw/zinc/24/57/72/389245772.db2.gz VHHMZZZGUUBSFZ-UHFFFAOYSA-N 0 1 299.290 0.550 20 30 CCEDMN CC[C@H](CO)NC([O-])=[NH+][C@@H]1CCc2nc(COC)nn2C1 ZINC000330659612 389250462 /nfs/dbraw/zinc/25/04/62/389250462.db2.gz ASJSVTWXMWNBRO-NXEZZACHSA-N 0 1 297.359 0.014 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)CC[C@@H](C)O ZINC000135414429 389257456 /nfs/dbraw/zinc/25/74/56/389257456.db2.gz KPEWGHWXURSEEY-DGCLKSJQSA-N 0 1 255.362 0.744 20 30 CCEDMN C[C@@H]1CN(C2CC2)C[C@@H]1NC(=O)C(=O)NCCCCC#N ZINC000343331105 389264932 /nfs/dbraw/zinc/26/49/32/389264932.db2.gz FGTJGNLMMRCFGF-YPMHNXCESA-N 0 1 292.383 0.395 20 30 CCEDMN Cc1cc(C[C@@H](C)[NH+]=C([O-])N2CCn3ncnc3C2)[nH]n1 ZINC000329947415 389213312 /nfs/dbraw/zinc/21/33/12/389213312.db2.gz HAEJFQBBMCLFFF-SECBINFHSA-N 0 1 289.343 0.670 20 30 CCEDMN C[C@]1(C(N)=O)CCN(CC(=O)NC2(C#N)CCCCC2)C1 ZINC000361562357 389219746 /nfs/dbraw/zinc/21/97/46/389219746.db2.gz IBRFTAYHDDMCDJ-AWEZNQCLSA-N 0 1 292.383 0.526 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@H](OCCO)C2)C1=O ZINC000330377392 389222492 /nfs/dbraw/zinc/22/24/92/389222492.db2.gz FGSAEQYBSOSMIW-QWHCGFSZSA-N 0 1 268.357 0.247 20 30 CCEDMN CCN1CCC[C@H]1CNS(=O)(=O)N(C)C[C@@H](C)C#N ZINC000330382881 389223949 /nfs/dbraw/zinc/22/39/49/389223949.db2.gz XRBOQKATAGXZRQ-RYUDHWBXSA-N 0 1 288.417 0.397 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@H](OCCO)C1 ZINC000246691985 389231427 /nfs/dbraw/zinc/23/14/27/389231427.db2.gz DEMCDSKKSAHORJ-NWDGAFQWSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C[C@@H](C)NC(=O)NCCCCN1CCOCC1 ZINC000362379208 389234805 /nfs/dbraw/zinc/23/48/05/389234805.db2.gz JBWABLPPHKSKFV-GFCCVEGCSA-N 0 1 255.362 0.973 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)C[C@H]1CCC[C@@H]1O ZINC000346971910 389342883 /nfs/dbraw/zinc/34/28/83/389342883.db2.gz BYMMZOQLSZGTPT-NEPJUHHUSA-N 0 1 265.357 0.642 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCSCC[C@@H]1C ZINC000331865161 389314341 /nfs/dbraw/zinc/31/43/41/389314341.db2.gz ASCSZSJBTOKQGV-JTQLQIEISA-N 0 1 271.386 0.826 20 30 CCEDMN N#Cc1nccnc1NCCCN1CCC[C@H]1C(N)=O ZINC000264662129 389343099 /nfs/dbraw/zinc/34/30/99/389343099.db2.gz YWNSLEJYLHXVCF-NSHDSACASA-N 0 1 274.328 0.100 20 30 CCEDMN CC(C)(C#N)CCN1CCC[C@@H](S(N)(=O)=O)C1 ZINC000347006197 389343305 /nfs/dbraw/zinc/34/33/05/389343305.db2.gz FZGONCORNFTFTN-SNVBAGLBSA-N 0 1 259.375 0.679 20 30 CCEDMN CCN(CC(=O)NC[C@@H]1CCCN(CC#N)C1)C1CC1 ZINC000343660158 389269390 /nfs/dbraw/zinc/26/93/90/389269390.db2.gz UASFJVGYJRRFPF-ZDUSSCGKSA-N 0 1 278.400 0.822 20 30 CCEDMN CCc1nc2n(n1)C[C@H](NC(=O)NC[C@H](C)CCO)CC2 ZINC000330998384 389277489 /nfs/dbraw/zinc/27/74/89/389277489.db2.gz YWFXMLSRAGYWQF-GHMZBOCLSA-N 0 1 295.387 0.677 20 30 CCEDMN CCc1nc2n(n1)C[C@H](NC([O-])=[NH+]C[C@H](C)CCO)CC2 ZINC000330998384 389277490 /nfs/dbraw/zinc/27/74/90/389277490.db2.gz YWFXMLSRAGYWQF-GHMZBOCLSA-N 0 1 295.387 0.677 20 30 CCEDMN CC(C)[C@H](NC(=O)NC[C@H]1CN(C)CCN1C)C(N)=O ZINC000331015901 389283801 /nfs/dbraw/zinc/28/38/01/389283801.db2.gz XZOWLKYYSKRYLL-QWRGUYRKSA-N 0 1 285.392 0.296 20 30 CCEDMN C=CCOCCCN1CCCN(CCOC)C(=O)C1 ZINC000348185516 389366921 /nfs/dbraw/zinc/36/69/21/389366921.db2.gz ZELDCGSGTHURER-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC1(c2nn[nH]n2)CC1 ZINC000348279707 389372202 /nfs/dbraw/zinc/37/22/02/389372202.db2.gz FTAQNZCACCVXDF-QMMMGPOBSA-N 0 1 251.290 0.286 20 30 CCEDMN CN(CCC(=O)N(C)CCC#N)Cc1ccnn1C ZINC000348364127 389374546 /nfs/dbraw/zinc/37/45/46/389374546.db2.gz FMMDAMXSDABFJU-UHFFFAOYSA-N 0 1 263.345 0.614 20 30 CCEDMN C=CCSCCNC(=O)NC[C@H]1CN(C)CCN1C ZINC000348430970 389376627 /nfs/dbraw/zinc/37/66/27/389376627.db2.gz UQJYXAOHTLDZIH-LBPRGKRZSA-N 0 1 286.445 0.451 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2ccc(CC#N)cc2)C1 ZINC000270404309 389432018 /nfs/dbraw/zinc/43/20/18/389432018.db2.gz GDHVWAHTZNLYLD-HNNXBMFYSA-N 0 1 286.379 0.728 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2ccc(C#N)cc2)C[C@H]1CO ZINC000276806335 389541606 /nfs/dbraw/zinc/54/16/06/389541606.db2.gz RUYOGMBAJFFDOQ-DOMZBBRYSA-N 0 1 287.363 0.735 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(N3CCCC3=O)CC2)C1=O ZINC000374109800 389547398 /nfs/dbraw/zinc/54/73/98/389547398.db2.gz TYDSOIWYVGNTKQ-AWEZNQCLSA-N 0 1 291.395 0.860 20 30 CCEDMN CC#CC(=O)NCc1cccnc1N1CCN(C)CC1 ZINC000277807717 389557145 /nfs/dbraw/zinc/55/71/45/389557145.db2.gz WCVVEHFNALCZOG-UHFFFAOYSA-N 0 1 272.352 0.473 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](C(=O)OC)[C@@H](C)C2)C1=O ZINC000281217290 389611127 /nfs/dbraw/zinc/61/11/27/389611127.db2.gz DNQAMWQXGROQRD-XQQFMLRXSA-N 0 1 280.368 0.904 20 30 CCEDMN C=CCNC(=O)CNCc1ccc(C#N)c(OC)c1 ZINC000281750289 389624074 /nfs/dbraw/zinc/62/40/74/389624074.db2.gz QZCGFVFCJZKXSU-UHFFFAOYSA-N 0 1 259.309 0.959 20 30 CCEDMN C=CCN1CC[C@H](N(C)c2ncnc3[nH]cnc32)C1=O ZINC000281821152 389624636 /nfs/dbraw/zinc/62/46/36/389624636.db2.gz KCEQXDOESLEKJI-VIFPVBQESA-N 0 1 272.312 0.576 20 30 CCEDMN C=CCCOCCNC(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000425750474 389655646 /nfs/dbraw/zinc/65/56/46/389655646.db2.gz JJAJTYPDLVAFFV-LLVKDONJSA-N 0 1 279.344 0.896 20 30 CCEDMN N#CCNC(=O)CN[C@H](CCCO)c1ccccc1 ZINC000179750406 389662549 /nfs/dbraw/zinc/66/25/49/389662549.db2.gz ZQEQHOIWEXDOAC-CYBMUJFWSA-N 0 1 261.325 0.730 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)N1CCN[C@H](C)C1 ZINC000415451224 389684271 /nfs/dbraw/zinc/68/42/71/389684271.db2.gz ZZXYAYQXRAQGPE-LLVKDONJSA-N 0 1 286.335 0.626 20 30 CCEDMN C=CCN(CCC#N)C[C@@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000428856845 389724339 /nfs/dbraw/zinc/72/43/39/389724339.db2.gz OIOPIZVNHOCCNF-QLFBSQMISA-N 0 1 281.400 0.858 20 30 CCEDMN CC(=O)N1CCN(CCC(=O)N(C)CCC#N)C(C)(C)C1 ZINC000182214019 389703451 /nfs/dbraw/zinc/70/34/51/389703451.db2.gz BUYHNMGTHBZUBB-UHFFFAOYSA-N 0 1 294.399 0.691 20 30 CCEDMN C=CCOCCCC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000416307494 389731588 /nfs/dbraw/zinc/73/15/88/389731588.db2.gz KZFVLJPHOLGTCB-KBPBESRZSA-N 0 1 284.400 0.883 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NC[C@H]1CCCCN1C1CC1 ZINC000416466996 389746584 /nfs/dbraw/zinc/74/65/84/389746584.db2.gz ADOXNJZKLRGFCW-ZYHUDNBSSA-N 0 1 271.386 0.835 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCc2n[nH]nc2C1 ZINC000429283194 389748726 /nfs/dbraw/zinc/74/87/26/389748726.db2.gz IGEOQGSPNYJRRG-NSHDSACASA-N 0 1 275.356 0.752 20 30 CCEDMN N#Cc1ccc(NC(=O)CN2CCc3n[nH]nc3C2)cc1 ZINC000429293276 389749599 /nfs/dbraw/zinc/74/95/99/389749599.db2.gz ZITFHIHUFDDZMA-UHFFFAOYSA-N 0 1 282.307 0.673 20 30 CCEDMN CN(C)S(=O)(=O)CCNCc1ccc(C#N)c(F)c1 ZINC000404148935 389810240 /nfs/dbraw/zinc/81/02/40/389810240.db2.gz QMSFXRVNMZKCJJ-UHFFFAOYSA-N 0 1 285.344 0.678 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000352977019 389843369 /nfs/dbraw/zinc/84/33/69/389843369.db2.gz NEHXMCCIVVNFBS-AWEZNQCLSA-N 0 1 290.371 0.697 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000352977020 389843671 /nfs/dbraw/zinc/84/36/71/389843671.db2.gz NEHXMCCIVVNFBS-CQSZACIVSA-N 0 1 290.371 0.697 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1C[C@H](C)NC[C@H]1C ZINC000419066177 389886963 /nfs/dbraw/zinc/88/69/63/389886963.db2.gz QGHVLWHUVSZSFC-WDEREUQCSA-N 0 1 253.346 0.278 20 30 CCEDMN C=CCOCC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000444582192 389910003 /nfs/dbraw/zinc/91/00/03/389910003.db2.gz YLJVMCHRXPSSBP-LBPRGKRZSA-N 0 1 270.373 0.493 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@H](O)C(C)(C)C1 ZINC000446067364 389930089 /nfs/dbraw/zinc/93/00/89/389930089.db2.gz OSFPEWDEWGAYJO-NEPJUHHUSA-N 0 1 267.373 0.840 20 30 CCEDMN C[C@@H](C#N)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000436771729 389984683 /nfs/dbraw/zinc/98/46/83/389984683.db2.gz YAWINQJEXDPNGF-QMMMGPOBSA-N 0 1 297.336 0.284 20 30 CCEDMN N#C[C@@]1(NC(=O)c2c(O)cccc2F)CCOC1 ZINC000436924358 389987079 /nfs/dbraw/zinc/98/70/79/389987079.db2.gz GFOCIGLMNNSTLK-LBPRGKRZSA-N 0 1 250.229 0.944 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2ccc(C#N)cn2)CC1 ZINC000491462317 390113715 /nfs/dbraw/zinc/11/37/15/390113715.db2.gz IBXAEHWPAMRNJB-UHFFFAOYSA-N 0 1 282.347 0.915 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)/C=C\c2cccc(C#N)c2)C1 ZINC000491800056 390116829 /nfs/dbraw/zinc/11/68/29/390116829.db2.gz YNOPSGHNMSCTGR-MWWKQMNYSA-N 0 1 298.390 0.933 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccnc(C#N)c2)CC1 ZINC000579783887 390208022 /nfs/dbraw/zinc/20/80/22/390208022.db2.gz OFKCCVDOGJSXPE-UHFFFAOYSA-N 0 1 269.308 0.671 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000540424506 390220446 /nfs/dbraw/zinc/22/04/46/390220446.db2.gz KREJJBCAWSMELC-VIFPVBQESA-N 0 1 251.290 0.108 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCN(Cc2ccc(CC#N)cc2)C1 ZINC000541282968 390238518 /nfs/dbraw/zinc/23/85/18/390238518.db2.gz RHHJSDFYLKPILO-CQSZACIVSA-N 0 1 293.392 0.876 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](CN3CCOCC3)C2)nc1N ZINC000583574800 390294433 /nfs/dbraw/zinc/29/44/33/390294433.db2.gz JTAYWANFNGPHSE-LBPRGKRZSA-N 0 1 287.367 0.694 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC2(C#N)CC2)[C@H](C)CN1CCO ZINC000583802593 390311793 /nfs/dbraw/zinc/31/17/93/390311793.db2.gz IVAQNUIVXSPBAZ-VXGBXAGGSA-N 0 1 280.372 0.387 20 30 CCEDMN COC(=O)c1oc(CNCCn2cnc(C#N)n2)cc1C ZINC000597127268 390371289 /nfs/dbraw/zinc/37/12/89/390371289.db2.gz MNWMSUXJWGZKCD-UHFFFAOYSA-N 0 1 289.295 0.628 20 30 CCEDMN N#Cc1ccnc(C(=O)N[C@H]2CCc3nc[nH]c3C2)c1 ZINC000554592445 390435798 /nfs/dbraw/zinc/43/57/98/390435798.db2.gz LPDMOHCOQLDTSI-JTQLQIEISA-N 0 1 267.292 0.964 20 30 CCEDMN CN(CC(=O)NCC#N)C1CC(NC(=O)OC(C)(C)C)C1 ZINC000496992985 390398932 /nfs/dbraw/zinc/39/89/32/390398932.db2.gz ACUWCTQGFLCWJZ-UHFFFAOYSA-N 0 1 296.371 0.614 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)Cc1[nH]nc2ccccc21 ZINC000649189139 390517330 /nfs/dbraw/zinc/51/73/30/390517330.db2.gz ZBJVCZKAPKSPNJ-LLVKDONJSA-N 0 1 271.320 0.996 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](CCC)c1nn[nH]n1 ZINC000649145752 390496729 /nfs/dbraw/zinc/49/67/29/390496729.db2.gz FWKKCMBAKOHCDY-UTLUCORTSA-N 0 1 265.317 0.748 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000649186459 390515486 /nfs/dbraw/zinc/51/54/86/390515486.db2.gz ZNUUQAJYVKWETQ-QWRGUYRKSA-N 0 1 261.325 0.720 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@@H]1CCCCN1CC ZINC000649188384 390516506 /nfs/dbraw/zinc/51/65/06/390516506.db2.gz JMKWJPAZKVZRCK-OLZOCXBDSA-N 0 1 252.358 0.751 20 30 CCEDMN CCc1cccc(OC[C@@H](O)CNCC#CCO)c1 ZINC000566232920 390594828 /nfs/dbraw/zinc/59/48/28/390594828.db2.gz FHQPQEZKVMDZRT-AWEZNQCLSA-N 0 1 263.337 0.574 20 30 CCEDMN CC[C@H](CC#N)NC[C@@H](O)COC[C@@H]1CCCO1 ZINC000568181217 390712710 /nfs/dbraw/zinc/71/27/10/390712710.db2.gz NVWLUMDNWLSGKS-UPJWGTAASA-N 0 1 256.346 0.825 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N(C)Cc1cnc[nH]1 ZINC000569942694 390716900 /nfs/dbraw/zinc/71/69/00/390716900.db2.gz XARDSVXZFWLEDJ-SECBINFHSA-N 0 1 271.346 0.178 20 30 CCEDMN CC1(C)CCN(CC(=O)NC2(C#N)CCOCC2)C1 ZINC000570654302 390718807 /nfs/dbraw/zinc/71/88/07/390718807.db2.gz YBXREGHGLPKTQQ-UHFFFAOYSA-N 0 1 265.357 0.907 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000646829910 390724775 /nfs/dbraw/zinc/72/47/75/390724775.db2.gz WFVKKFHFRNRRRE-CYBMUJFWSA-N 0 1 268.357 0.104 20 30 CCEDMN CC(C)[C@@H]1CNCCN1S(=O)(=O)c1cccnc1C#N ZINC000528050680 390729697 /nfs/dbraw/zinc/72/96/97/390729697.db2.gz ZEPSNMAJIFEKHI-LBPRGKRZSA-N 0 1 294.380 0.572 20 30 CCEDMN C=CCOCCNCc1ccc(S(N)(=O)=O)cc1F ZINC000647200002 390786622 /nfs/dbraw/zinc/78/66/22/390786622.db2.gz BQQHTMOLXHVKEO-UHFFFAOYSA-N 0 1 288.344 0.765 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCOC[C@@H]2CCCO2)C1=O ZINC000532213069 390879043 /nfs/dbraw/zinc/87/90/43/390879043.db2.gz YJJLMCXWBPDYOH-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCOCCCC(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000532793158 390884926 /nfs/dbraw/zinc/88/49/26/390884926.db2.gz GMAPKDQUIGSNLY-LLVKDONJSA-N 0 1 279.344 0.889 20 30 CCEDMN COC[C@@H]1CN(C(=O)N[C@H]2CCn3ccnc3C2)CCO1 ZINC000330202774 533370614 /nfs/dbraw/zinc/37/06/14/533370614.db2.gz ZTIFQVDCQFQCAH-RYUDHWBXSA-N 0 1 294.355 0.459 20 30 CCEDMN Cn1cc(N2CC[C@H](NCc3cc(C#N)n(C)c3)C2=O)cn1 ZINC000191114971 130185221 /nfs/dbraw/zinc/18/52/21/130185221.db2.gz FESYVSBBSXYAQQ-AWEZNQCLSA-N 0 1 298.350 0.525 20 30 CCEDMN C[C@H](O)CN1CCN(c2cnc(C#N)cn2)[C@H](C)C1 ZINC000275306789 135104704 /nfs/dbraw/zinc/10/47/04/135104704.db2.gz BKKBJOVXDNSCAR-MNOVXSKESA-N 0 1 261.329 0.240 20 30 CCEDMN N#Cc1cccc(CN2C(=O)N[C@H](Cc3cnc[nH]3)C2=O)c1 ZINC000359020602 144190567 /nfs/dbraw/zinc/19/05/67/144190567.db2.gz XVEBDNUNDHIBMK-CYBMUJFWSA-N 0 1 295.302 0.944 20 30 CCEDMN N#Cc1ncn(-c2ccccc2NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])n1 ZINC000368268631 144215354 /nfs/dbraw/zinc/21/53/54/144215354.db2.gz YOPIEYUOHJLSSM-LDYMZIIASA-N 0 1 298.262 0.743 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@H](O)C[C@@H]1COC ZINC000649760606 397665737 /nfs/dbraw/zinc/66/57/37/397665737.db2.gz XPJWHLUQBBURMS-CHWSQXEVSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CCCn1cc(CN[C@H]2CCC[C@@H]2C(=O)NC)nn1 ZINC000653640613 412329397 /nfs/dbraw/zinc/32/93/97/412329397.db2.gz UPGORZZGQFHQHG-STQMWFEESA-N 0 1 277.372 0.859 20 30 CCEDMN CN(Cc1ccc(F)c(C#N)c1)[C@@H]1COC[C@H]1O ZINC000285657594 196357496 /nfs/dbraw/zinc/35/74/96/196357496.db2.gz SRZCJANOIAPMSM-CHWSQXEVSA-N 0 1 250.273 0.889 20 30 CCEDMN C=CC[C@H]1CCCN(CC(=O)N2CCNC(=O)C2)C1 ZINC000661378589 414607815 /nfs/dbraw/zinc/60/78/15/414607815.db2.gz JNNYUEADCUCQDP-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C=C[C@H](CO)N[C@@H]1CCCN(c2ccn(C)n2)C1=O ZINC000662166911 414695052 /nfs/dbraw/zinc/69/50/52/414695052.db2.gz LBAVSPBXQMLZPB-GHMZBOCLSA-N 0 1 264.329 0.052 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000662167165 414695091 /nfs/dbraw/zinc/69/50/91/414695091.db2.gz TUYIAAVEGYWMEB-GXSJLCMTSA-N 0 1 277.324 0.249 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)Nc1ccc2c(c1)OCO2 ZINC000662168024 414695241 /nfs/dbraw/zinc/69/52/41/414695241.db2.gz XIYXBCUKPVXLPE-NXEZZACHSA-N 0 1 278.308 0.879 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)Nc1ccc2c(c1)OCCO2 ZINC000662166193 414695377 /nfs/dbraw/zinc/69/53/77/414695377.db2.gz VBPOTJDBGJROPK-WDEREUQCSA-N 0 1 292.335 0.921 20 30 CCEDMN C[C@H]1C[C@@H](NS(=O)(=O)CC2(C#N)CC2)CN1C1CC1 ZINC000165953488 225191105 /nfs/dbraw/zinc/19/11/05/225191105.db2.gz ZQNUPUZIYKTQCF-WDEREUQCSA-N 0 1 283.397 0.835 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+]CC1(C)COC1)CC2 ZINC000329256340 227386100 /nfs/dbraw/zinc/38/61/00/227386100.db2.gz BOVVHVPWPNEABS-SNVBAGLBSA-N 0 1 279.344 0.441 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NCC1(C)COC1)CC2 ZINC000329256340 227386107 /nfs/dbraw/zinc/38/61/07/227386107.db2.gz BOVVHVPWPNEABS-SNVBAGLBSA-N 0 1 279.344 0.441 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC([O-])=[NH+]CC1(C)COC1)CC2 ZINC000329256339 227387229 /nfs/dbraw/zinc/38/72/29/227387229.db2.gz BOVVHVPWPNEABS-JTQLQIEISA-N 0 1 279.344 0.441 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)NCC1(C)COC1)CC2 ZINC000329256339 227387235 /nfs/dbraw/zinc/38/72/35/227387235.db2.gz BOVVHVPWPNEABS-JTQLQIEISA-N 0 1 279.344 0.441 20 30 CCEDMN CCn1nncc1C(=O)NC1(c2nnc[nH]2)CCC1 ZINC000329019019 227259131 /nfs/dbraw/zinc/25/91/31/227259131.db2.gz RRZNQPREGBDCGU-UHFFFAOYSA-N 0 1 261.289 0.800 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2ccc3cncn3c2)C1 ZINC000329126628 227314468 /nfs/dbraw/zinc/31/44/68/227314468.db2.gz YPOHASVRVFWALZ-UHFFFAOYSA-N 0 1 256.265 0.435 20 30 CCEDMN CCc1nn(C)cc1NC(=O)NC[C@H]1CN(C)CCN1C ZINC000329137702 227322028 /nfs/dbraw/zinc/32/20/28/227322028.db2.gz DJPMSKPPMPZSFV-NSHDSACASA-N 0 1 294.403 0.554 20 30 CCEDMN COCC[N@H+]1CC[C@H](NC(=O)N=c2[n-]ncs2)C1 ZINC000329283355 227407663 /nfs/dbraw/zinc/40/76/63/227407663.db2.gz LCWPYCQCHQXEKU-QMMMGPOBSA-N 0 1 271.346 0.007 20 30 CCEDMN COCCN1CC[C@H](NC(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC000329987510 228007704 /nfs/dbraw/zinc/00/77/04/228007704.db2.gz GEAIFKLFWCPRDJ-QWRGUYRKSA-N 0 1 284.360 0.621 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N1CCC[C@H](n2cncn2)C1 ZINC000330030075 228021383 /nfs/dbraw/zinc/02/13/83/228021383.db2.gz RAGDLMMLDRGBGA-NNYUYHANSA-N 0 1 277.328 0.474 20 30 CCEDMN O=C(NCCn1cncn1)N1CCOC[C@H](C2CCC2)C1 ZINC000330178930 228057177 /nfs/dbraw/zinc/05/71/77/228057177.db2.gz FAJFXKNPUKQKAZ-CYBMUJFWSA-N 0 1 293.371 0.941 20 30 CCEDMN Cc1nc2n(n1)C[C@@H]([NH+]=C([O-])NCc1ccnn1C)CC2 ZINC000328800106 545019042 /nfs/dbraw/zinc/01/90/42/545019042.db2.gz HXKIXDLEFLPCMP-JTQLQIEISA-N 0 1 289.343 0.339 20 30 CCEDMN COCCN1CC[C@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC000329327063 545021890 /nfs/dbraw/zinc/02/18/90/545021890.db2.gz UKIKMEIXJRIMID-STQMWFEESA-N 0 1 285.388 0.384 20 30 CCEDMN CN(C(=O)N[C@@H]1CCc2nc[nH]c2C1)[C@H]1CCN(C)C1=O ZINC000329699538 545024860 /nfs/dbraw/zinc/02/48/60/545024860.db2.gz DEQIGSPDGWDFLU-SKDRFNHKSA-N 0 1 291.355 0.344 20 30 CCEDMN CCN1CCC[C@H](NS(=O)(=O)N(C)[C@@H](C)CC#N)C1 ZINC000407974197 260137083 /nfs/dbraw/zinc/13/70/83/260137083.db2.gz CRQDGYORKMJEHC-RYUDHWBXSA-N 0 1 288.417 0.539 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N(C)C1CCN(C)CC1 ZINC000407975460 260137922 /nfs/dbraw/zinc/13/79/22/260137922.db2.gz JMJKWGVIQIXSMQ-NSHDSACASA-N 0 1 288.417 0.491 20 30 CCEDMN COC(=O)[C@H](C)CN(C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000048335727 260655110 /nfs/dbraw/zinc/65/51/10/260655110.db2.gz VIDSAODSXFVUMB-RISCZKNCSA-N 0 1 283.372 0.782 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N(C)CC1(CO)CC1 ZINC000186807294 262325072 /nfs/dbraw/zinc/32/50/72/262325072.db2.gz NFANAARNSVDKGM-LLVKDONJSA-N 0 1 253.346 0.451 20 30 CCEDMN CN1CC[C@@H]2CN(S(=O)(=O)CCC(C)(C)C#N)C[C@@H]21 ZINC000331480304 262443971 /nfs/dbraw/zinc/44/39/71/262443971.db2.gz XFSSAWWAIVJEKP-NEPJUHHUSA-N 0 1 285.413 0.892 20 30 CCEDMN C[C@@H](NCC(=O)N(CCC#N)CCC#N)c1cnn(C)c1 ZINC000273385917 263016398 /nfs/dbraw/zinc/01/63/98/263016398.db2.gz NTUQUZUMEZOICS-GFCCVEGCSA-N 0 1 288.355 0.727 20 30 CCEDMN C[C@@H](CN(C)C(=O)C1(C#N)CCCC1)c1nn[nH]n1 ZINC000273590695 263018102 /nfs/dbraw/zinc/01/81/02/263018102.db2.gz SQHUGKYAMZQVRX-VIFPVBQESA-N 0 1 262.317 0.846 20 30 CCEDMN CCN(CC#N)C(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275449143 263033431 /nfs/dbraw/zinc/03/34/31/263033431.db2.gz GGGSNPTUZCMMLD-UHFFFAOYSA-N 0 1 258.285 0.796 20 30 CCEDMN COC(=O)C1(NC(=O)C(C)C#N)CCSCC1 ZINC000340408272 263136475 /nfs/dbraw/zinc/13/64/75/263136475.db2.gz INUZPRVROVLEJF-MRVPVSSYSA-N 0 1 256.327 0.701 20 30 CCEDMN N#CCN1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000299627569 263250023 /nfs/dbraw/zinc/25/00/23/263250023.db2.gz JTYWNMJLIDYOEE-UHFFFAOYSA-N 0 1 270.296 0.239 20 30 CCEDMN C=C(CN=c1ccc(C(=O)NCCO)n[nH]1)c1ccccc1 ZINC000340854327 263308779 /nfs/dbraw/zinc/30/87/79/263308779.db2.gz SZRNKVYAGNIOLU-UHFFFAOYSA-N 0 1 298.346 0.746 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000340954817 263313128 /nfs/dbraw/zinc/31/31/28/263313128.db2.gz GIWGTLAEXMLTGR-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN CN1CCN(CCNC(=O)c2cn3c(n2)CCCC3)CC1 ZINC000328698244 263846037 /nfs/dbraw/zinc/84/60/37/263846037.db2.gz HMAPEJJFHRJTPC-UHFFFAOYSA-N 0 1 291.399 0.771 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCCCCC#N)C1 ZINC000330710583 264021017 /nfs/dbraw/zinc/02/10/17/264021017.db2.gz XIIUDUUQGFSQLF-LBPRGKRZSA-N 0 1 267.377 0.430 20 30 CCEDMN CC(C)(C[NH+]=C([O-])N[C@@H]1CCc2ncnn2C1)C(N)=O ZINC000330715827 264022512 /nfs/dbraw/zinc/02/25/12/264022512.db2.gz SYWNOIVPQMHDMR-MRVPVSSYSA-N 0 1 280.332 0.658 20 30 CCEDMN CC(C)(CNC(=O)N[C@@H]1CCc2ncnn2C1)C(N)=O ZINC000330715827 264022515 /nfs/dbraw/zinc/02/25/15/264022515.db2.gz SYWNOIVPQMHDMR-MRVPVSSYSA-N 0 1 280.332 0.658 20 30 CCEDMN COC[C@H]1CCCN(C(=O)NCCn2cncn2)C1 ZINC000330749972 264029378 /nfs/dbraw/zinc/02/93/78/264029378.db2.gz AICITBVENSMOCK-NSHDSACASA-N 0 1 267.333 0.551 20 30 CCEDMN C[C@@H](CS(C)(=O)=O)N(C)C(=O)NCCn1cccn1 ZINC000330801263 264041916 /nfs/dbraw/zinc/04/19/16/264041916.db2.gz HOQDKYDLQABABK-JTQLQIEISA-N 0 1 288.373 0.162 20 30 CCEDMN N#C[C@H]1CN(C(=O)CCCCc2cn[nH]n2)CCO1 ZINC000330830479 264049871 /nfs/dbraw/zinc/04/98/71/264049871.db2.gz JRPUBJDOAPWJSM-NSHDSACASA-N 0 1 263.301 0.268 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N[C@H]1CCC[C@@H]1C#N ZINC000330838364 264051591 /nfs/dbraw/zinc/05/15/91/264051591.db2.gz YAIVUNZKJKPYOF-NEPJUHHUSA-N 0 1 273.402 0.940 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000330867592 264057806 /nfs/dbraw/zinc/05/78/06/264057806.db2.gz OYPGWGGEENZVFS-CQSZACIVSA-N 0 1 270.373 0.229 20 30 CCEDMN CC(=O)N1CC[C@H](NC(=O)NCCN2CC=C(C)CC2)C1 ZINC000330867961 264058125 /nfs/dbraw/zinc/05/81/25/264058125.db2.gz DMJWFFWVFFGABU-AWEZNQCLSA-N 0 1 294.399 0.763 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000330866530 264058129 /nfs/dbraw/zinc/05/81/29/264058129.db2.gz OIPLTIPLEGIUGY-AWEZNQCLSA-N 0 1 293.371 0.084 20 30 CCEDMN Cc1n[nH]c(C(=O)NCC2CCN(CC#N)CC2)c1C ZINC000330931436 264096085 /nfs/dbraw/zinc/09/60/85/264096085.db2.gz UDKVGKQZEUTGST-UHFFFAOYSA-N 0 1 275.356 0.992 20 30 CCEDMN C[C@@H]1CN(c2ncccc2C#N)[C@H](C)CN1CCO ZINC000418994477 274370597 /nfs/dbraw/zinc/37/05/97/274370597.db2.gz BUEFDCRBPZSPGN-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN CN(Cc1ccc[nH]1)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000331056434 264109461 /nfs/dbraw/zinc/10/94/61/264109461.db2.gz LKKIMIYBBCCXNT-CYBMUJFWSA-N 0 1 279.388 0.606 20 30 CCEDMN C[C@H](O)CCN(C)C(=O)N[C@@H]1CCc2ncnn2C1 ZINC000331180506 264154848 /nfs/dbraw/zinc/15/48/48/264154848.db2.gz QZGWGAUXMSNIRX-VHSXEESVSA-N 0 1 267.333 0.210 20 30 CCEDMN COc1ccc(O)cc1C(=O)NC[C@H]1CN(C)CCN1C ZINC000331265329 264185596 /nfs/dbraw/zinc/18/55/96/264185596.db2.gz BJUPVYZQAWTYEP-NSHDSACASA-N 0 1 293.367 0.951 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H](C)c2n[nH]c(C)n2)nn1 ZINC000424859630 264245526 /nfs/dbraw/zinc/24/55/26/264245526.db2.gz YSVFHZWAANHRIS-QMMMGPOBSA-N 0 1 275.316 0.772 20 30 CCEDMN COCCCN(CCO)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000189865821 264335392 /nfs/dbraw/zinc/33/53/92/264335392.db2.gz CRXWLAVRXOUBTC-CQSZACIVSA-N 0 1 283.372 0.126 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000424930899 264382993 /nfs/dbraw/zinc/38/29/93/264382993.db2.gz HVOAUXYYZBHTPB-CBAPKCEASA-N 0 1 266.257 0.639 20 30 CCEDMN C=CCCN1CCN(c2ncccc2C(N)=O)CC1 ZINC000132652155 264393054 /nfs/dbraw/zinc/39/30/54/264393054.db2.gz HWUQEIKEBINESF-UHFFFAOYSA-N 0 1 260.341 0.879 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCCN1CCN(C)CC1 ZINC000290899225 264801561 /nfs/dbraw/zinc/80/15/61/264801561.db2.gz UZBFBXSEEUWSML-UHFFFAOYSA-N 0 1 285.391 0.574 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)Cc2cnc[nH]2)C1=O ZINC000518998391 265289474 /nfs/dbraw/zinc/28/94/74/265289474.db2.gz IWPPCTMHWSPRFF-AAEUAGOBSA-N 0 1 290.367 0.834 20 30 CCEDMN C#CCN1CCC(C(=O)n2ncc(C#N)c2N)CC1 ZINC000095957085 265321357 /nfs/dbraw/zinc/32/13/57/265321357.db2.gz SXYPDODOKJUEJL-UHFFFAOYSA-N 0 1 257.297 0.322 20 30 CCEDMN COC(=O)[C@@H]1CCN(C(=O)C(C)C#N)[C@H](C(=O)OC)C1 ZINC000357446417 266297474 /nfs/dbraw/zinc/29/74/74/266297474.db2.gz BJBWHQVOGBTFIL-AEJSXWLSSA-N 0 1 282.296 0.099 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CCNCC1 ZINC000418136443 267367638 /nfs/dbraw/zinc/36/76/38/267367638.db2.gz QOAXYCXMSKXAOR-NEPJUHHUSA-N 0 1 253.346 0.582 20 30 CCEDMN C#CC[C@@H](NCC(=O)NC(=O)NC)c1ccccc1 ZINC000271663621 276790250 /nfs/dbraw/zinc/79/02/50/276790250.db2.gz DWYYIBXXJYQYHH-GFCCVEGCSA-N 0 1 259.309 0.796 20 30 CCEDMN CN1C[C@H](NC(=O)N2CCN(C3CCC3)CC2)CC1=O ZINC000330111249 277201521 /nfs/dbraw/zinc/20/15/21/277201521.db2.gz AXRDPLNNEROUSQ-LLVKDONJSA-N 0 1 280.372 0.301 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@](CO)(OC)C1 ZINC000401812724 545090768 /nfs/dbraw/zinc/09/07/68/545090768.db2.gz VHUKFVQXIHKMOW-AAEUAGOBSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCCCCO)CC1 ZINC000339291072 328004172 /nfs/dbraw/zinc/00/41/72/328004172.db2.gz UXRONHXTULHRRL-UHFFFAOYSA-N 0 1 269.389 0.069 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOCC(F)(F)C2)CC1 ZINC000377509041 329017401 /nfs/dbraw/zinc/01/74/01/329017401.db2.gz NPBFYWDPUAWROU-UHFFFAOYSA-N 0 1 286.322 0.826 20 30 CCEDMN C=CCn1cc(CNC(=O)N2C[C@H]3CCC[C@@H](C2)N3)nn1 ZINC000571196519 328091781 /nfs/dbraw/zinc/09/17/81/328091781.db2.gz RFCQYGBIKZONOP-TXEJJXNPSA-N 0 1 290.371 0.500 20 30 CCEDMN C=C[C@@H](CO)[NH2+]Cc1ccc([N+](=O)[O-])c(OC)c1[O-] ZINC000291651423 328534516 /nfs/dbraw/zinc/53/45/16/328534516.db2.gz UPYLWLSQXXXUTI-VIFPVBQESA-N 0 1 268.269 0.946 20 30 CCEDMN N#CCNC(=O)CN1CCCN2c3ccccc3C[C@H]2C1 ZINC000558467179 327096670 /nfs/dbraw/zinc/09/66/70/327096670.db2.gz VAZDQPPCEKNOLA-AWEZNQCLSA-N 0 1 284.363 0.763 20 30 CCEDMN C[C@H]1CN(c2ccnc(C#N)n2)CCN1CC(C)(C)O ZINC000574169500 327296796 /nfs/dbraw/zinc/29/67/96/327296796.db2.gz CJTVFXNXLWZLCM-NSHDSACASA-N 0 1 275.356 0.630 20 30 CCEDMN N#CCC(=O)N1CCN(C[C@H]2CCCOC2)CC1 ZINC000519557016 328689742 /nfs/dbraw/zinc/68/97/42/328689742.db2.gz RVCQPNMVLUFDEB-GFCCVEGCSA-N 0 1 251.330 0.471 20 30 CCEDMN C#CCNC(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000377582033 329019484 /nfs/dbraw/zinc/01/94/84/329019484.db2.gz MJKZWOVTBBIJHU-UHFFFAOYSA-N 0 1 279.384 0.764 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)Cc1nnc[nH]1 ZINC000174825530 329163654 /nfs/dbraw/zinc/16/36/54/329163654.db2.gz UDSXHJKVFISWEZ-LBPRGKRZSA-N 0 1 264.333 0.291 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(C)(O)CC1 ZINC000177299012 329185819 /nfs/dbraw/zinc/18/58/19/329185819.db2.gz CWVZLGSONPUHAX-UHFFFAOYSA-N 0 1 253.346 0.595 20 30 CCEDMN C=CCC1(C(=O)NC2(c3nn[nH]n3)CC2)CCOCC1 ZINC000583140081 329320775 /nfs/dbraw/zinc/32/07/75/329320775.db2.gz LTZBGVLBRASJJL-UHFFFAOYSA-N 0 1 277.328 0.678 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@H](CO)[C@H](O)C1 ZINC000459709614 329365834 /nfs/dbraw/zinc/36/58/34/329365834.db2.gz RZYDDBPGIXCVGD-YNEHKIRRSA-N 0 1 270.373 0.085 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC#Cc2ccccc2)C[C@H](C)N1 ZINC000419124067 329708956 /nfs/dbraw/zinc/70/89/56/329708956.db2.gz YYSDUYBYCYKPLQ-OKILXGFUSA-N 0 1 299.374 0.363 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000419135935 329710563 /nfs/dbraw/zinc/71/05/63/329710563.db2.gz MLYAXPHUXVFXDY-RWMBFGLXSA-N 0 1 279.384 0.810 20 30 CCEDMN C=CCN(CCc1ccco1)C(=O)C(=O)N1CCNCC1 ZINC000423461570 330204067 /nfs/dbraw/zinc/20/40/67/330204067.db2.gz SXBZBZMLMKTUGS-UHFFFAOYSA-N 0 1 291.351 0.269 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@H](C(N)=O)C(C)(C)C ZINC000081625438 330214849 /nfs/dbraw/zinc/21/48/49/330214849.db2.gz MCLYUDZKZKTSEL-RISCZKNCSA-N 0 1 282.388 0.530 20 30 CCEDMN C[C@@]1(F)C[NH2+]CCN(C(=O)[C@H]([NH3+])CCCC#N)C1 ZINC000424173957 330313786 /nfs/dbraw/zinc/31/37/86/330313786.db2.gz MMQKUHCLRDOIEP-ZYHUDNBSSA-N 0 1 256.325 0.168 20 30 CCEDMN C=C(C)CS(=O)(=O)N1C[C@H](SC)[C@@H](N(C)C)C1 ZINC000435427085 330654798 /nfs/dbraw/zinc/65/47/98/330654798.db2.gz LCZQLACZUWVWLN-QWRGUYRKSA-N 0 1 278.443 0.870 20 30 CCEDMN CCN(CCO)CCNS(=O)(=O)CC(C)(C)CC#N ZINC000451404745 331027846 /nfs/dbraw/zinc/02/78/46/331027846.db2.gz PAESQBAXDHQSRT-UHFFFAOYSA-N 0 1 291.417 0.160 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](OCC)[C@H](C)C1 ZINC000451522969 331032417 /nfs/dbraw/zinc/03/24/17/331032417.db2.gz IKUOKPZGTJGURS-NEPJUHHUSA-N 0 1 283.372 0.745 20 30 CCEDMN C[C@@H](CNS(=O)(=O)CC1(CC#N)CC1)N(C)C ZINC000451930102 331047625 /nfs/dbraw/zinc/04/76/25/331047625.db2.gz NHIXVNNVSKEZPK-JTQLQIEISA-N 0 1 259.375 0.550 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)CN(C)[C@@H]1CCSC1 ZINC000452951322 331081700 /nfs/dbraw/zinc/08/17/00/331081700.db2.gz LVOJJZQPSNAYCM-UTUOFQBUSA-N 0 1 270.398 0.883 20 30 CCEDMN C#CCNC(=O)N1CC[C@@H](N2CCN(CC)CC2)C1 ZINC000490627311 332096702 /nfs/dbraw/zinc/09/67/02/332096702.db2.gz BMXFQFKALISXDK-CYBMUJFWSA-N 0 1 264.373 0.041 20 30 CCEDMN C#CCN(CC#CC)C(=O)C1CCN(CC#C)CC1 ZINC000490691038 332104953 /nfs/dbraw/zinc/10/49/53/332104953.db2.gz UPSOBZRDKWOJFZ-UHFFFAOYSA-N 0 1 256.349 0.817 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCc1cnn(C)c1 ZINC000490876030 332124118 /nfs/dbraw/zinc/12/41/18/332124118.db2.gz SNEZTJHELQEJIQ-CQSZACIVSA-N 0 1 275.352 0.994 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CCNC(=O)C1 ZINC000490892838 332125699 /nfs/dbraw/zinc/12/56/99/332125699.db2.gz OWSSQWOAYCBQDI-RYUDHWBXSA-N 0 1 264.325 0.153 20 30 CCEDMN C#CCN(CC#N)C(=O)CN(C)[C@@H]1CCSC1 ZINC000490960181 332133158 /nfs/dbraw/zinc/13/31/58/332133158.db2.gz AGFPETWBMPTNHD-LLVKDONJSA-N 0 1 251.355 0.409 20 30 CCEDMN C#CC[N@H+](C)CCC[N-]S(=O)(=O)[C@H](C)C(F)(F)F ZINC000491067543 332144709 /nfs/dbraw/zinc/14/47/09/332144709.db2.gz JCTBHFDBXLJADQ-SECBINFHSA-N 0 1 286.319 0.812 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)CCNC(=O)OCC ZINC000491125882 332153657 /nfs/dbraw/zinc/15/36/57/332153657.db2.gz IELCAWAZYBAXQN-LBPRGKRZSA-N 0 1 282.340 0.763 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCNC(=O)OCC ZINC000491125882 332153658 /nfs/dbraw/zinc/15/36/58/332153658.db2.gz IELCAWAZYBAXQN-LBPRGKRZSA-N 0 1 282.340 0.763 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCn1ccnn1 ZINC000491201430 332164370 /nfs/dbraw/zinc/16/43/70/332164370.db2.gz HTHRHXPOMSTBFO-LBPRGKRZSA-N 0 1 262.313 0.309 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H](C)C(=O)N[C@@H](C)CC)CC1 ZINC000491202964 332165434 /nfs/dbraw/zinc/16/54/34/332165434.db2.gz RKZRRAPQGKRLAF-STQMWFEESA-N 0 1 293.411 0.751 20 30 CCEDMN C#C[C@H](NC(=O)N1CC[C@@H](c2nc[nH]n2)C1)C(C)C ZINC000491452845 332214230 /nfs/dbraw/zinc/21/42/30/332214230.db2.gz NRNKIQVCASGJAO-MNOVXSKESA-N 0 1 261.329 0.961 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)C[C@](C)(O)c1ccccc1 ZINC000491483519 332219289 /nfs/dbraw/zinc/21/92/89/332219289.db2.gz CCRHRDURTIASHN-BBRMVZONSA-N 0 1 274.364 0.964 20 30 CCEDMN C#CCN(CC#C)C(=O)c1cc(F)cc2nn[nH]c21 ZINC000491705835 332298865 /nfs/dbraw/zinc/29/88/65/332298865.db2.gz MFUQOLFKEOQJBI-UHFFFAOYSA-N 0 1 256.240 0.806 20 30 CCEDMN C#CCNC(=O)CCN[C@H]1COc2ccc(F)cc21 ZINC000491767119 332335100 /nfs/dbraw/zinc/33/51/00/332335100.db2.gz GJNWQANIYKSZOZ-LBPRGKRZSA-N 0 1 262.284 0.988 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1ccc(F)cc1 ZINC000491773588 332339511 /nfs/dbraw/zinc/33/95/11/332339511.db2.gz VJJQJBCHZITNMW-NWDGAFQWSA-N 0 1 253.273 0.149 20 30 CCEDMN C#C[C@H](CCC)NC(=O)[C@H](C)CN1CCOCC1 ZINC000491773938 332340062 /nfs/dbraw/zinc/34/00/62/332340062.db2.gz WFSOQNYLJJTOIK-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C#C[C@@H](CC)NC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000491800535 332355059 /nfs/dbraw/zinc/35/50/59/332355059.db2.gz AFCMVQYAGQASRG-AWEZNQCLSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCN(Cc1n[nH]c(C(C)C)n1)[C@H]1CCS(=O)(=O)C1 ZINC000491808954 332361909 /nfs/dbraw/zinc/36/19/09/332361909.db2.gz WZEPBBYTGPQCFT-NSHDSACASA-N 0 1 296.396 0.550 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ncc(C)cc1[O-] ZINC000491811581 332364089 /nfs/dbraw/zinc/36/40/89/332364089.db2.gz DOEYEIPYHMWIJH-UHFFFAOYSA-N 0 1 261.325 0.781 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000491819200 332369253 /nfs/dbraw/zinc/36/92/53/332369253.db2.gz NCZYBAGVHPZPHK-SNVBAGLBSA-N 0 1 256.265 0.432 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NCCN1CCN(C)CC1 ZINC000505876248 332756105 /nfs/dbraw/zinc/75/61/05/332756105.db2.gz HBTKNUJSLAGZEP-CYBMUJFWSA-N 0 1 252.362 0.290 20 30 CCEDMN C#CCNS(=O)(=O)c1ccc(Br)o1 ZINC000306483966 333007023 /nfs/dbraw/zinc/00/70/23/333007023.db2.gz FSNGLXIVSOPAKD-UHFFFAOYSA-N 0 1 264.100 0.954 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H](O)C(F)(F)F)CC1 ZINC000186134423 333155526 /nfs/dbraw/zinc/15/55/26/333155526.db2.gz HNCBHPKSFBHWAR-NSHDSACASA-N 0 1 292.301 0.713 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@H](C)[C@@H]1C(=O)[O-] ZINC000235594132 333371218 /nfs/dbraw/zinc/37/12/18/333371218.db2.gz MATYUBKRPLQLQE-GXSJLCMTSA-N 0 1 283.328 0.183 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@H](C)[C@@H]1C(=O)[O-] ZINC000235594132 333371220 /nfs/dbraw/zinc/37/12/20/333371220.db2.gz MATYUBKRPLQLQE-GXSJLCMTSA-N 0 1 283.328 0.183 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCNC[C@H]1C(C)C ZINC000529329900 333482724 /nfs/dbraw/zinc/48/27/24/333482724.db2.gz JMESVJVFRCMBKV-AGIUHOORSA-N 0 1 267.373 0.969 20 30 CCEDMN C[C@H]1[C@H](C(=O)NC2(C#N)CCN(C)CC2)C1(F)F ZINC000545183050 333967033 /nfs/dbraw/zinc/96/70/33/333967033.db2.gz PUWXBAGVZDFCDX-DTWKUNHWSA-N 0 1 257.284 0.992 20 30 CCEDMN CN([C@H]1CCN(C2CC2)C1)S(=O)(=O)CC1(C#N)CC1 ZINC000547328773 334079903 /nfs/dbraw/zinc/07/99/03/334079903.db2.gz WJGAEMZNGPKWEZ-LBPRGKRZSA-N 0 1 283.397 0.788 20 30 CCEDMN C#CCNC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000077668395 334111756 /nfs/dbraw/zinc/11/17/56/334111756.db2.gz SSDLYXMMIDQMHM-GFCCVEGCSA-N 0 1 253.346 0.276 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](OCCOC)C1 ZINC000246009512 334227899 /nfs/dbraw/zinc/22/78/99/334227899.db2.gz ZIFAAPCJGCVLBT-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN CN1CCC(C#N)(NC(=O)COc2cccnc2)CC1 ZINC000551372412 334248130 /nfs/dbraw/zinc/24/81/30/334248130.db2.gz PEGMDSQZFKHSFW-UHFFFAOYSA-N 0 1 274.324 0.565 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC(CCC#N)CC2)CCN1 ZINC000566234475 334637343 /nfs/dbraw/zinc/63/73/43/334637343.db2.gz LFQRZRPOQGAEDF-GFCCVEGCSA-N 0 1 292.383 0.349 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cnc3cccnn32)CC1 ZINC000569227705 334862459 /nfs/dbraw/zinc/86/24/59/334862459.db2.gz RWJOJNSYWMXFGS-UHFFFAOYSA-N 0 1 284.323 0.447 20 30 CCEDMN COC(=O)CC1(NCC(=O)NC2(C#N)CCC2)CC1 ZINC000578327340 335611090 /nfs/dbraw/zinc/61/10/90/335611090.db2.gz ZNCJWTFCZCPEPQ-UHFFFAOYSA-N 0 1 265.313 0.234 20 30 CCEDMN C[C@@H]1[C@H](CO)CCN1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000385965444 336163531 /nfs/dbraw/zinc/16/35/31/336163531.db2.gz ZKGYLWHFFYAANB-SUNKGSAMSA-N 0 1 265.357 0.498 20 30 CCEDMN CC(C)(C)OC(=O)CCCn1c(=O)[nH]cc(C#N)c1=O ZINC000581943516 336174161 /nfs/dbraw/zinc/17/41/61/336174161.db2.gz KPHWFDXOIBQZTI-UHFFFAOYSA-N 0 1 279.296 0.530 20 30 CCEDMN Cn1nnc(CNC(=O)[C@H]2CCCN2C2CC2)n1 ZINC000330300882 534326759 /nfs/dbraw/zinc/32/67/59/534326759.db2.gz IRNNUFQAFSSJON-SECBINFHSA-N 0 1 250.306 0.293 20 30 CCEDMN Cn1nnnc1CNC(=O)[C@H]1CCCN1C1CC1 ZINC000330246452 534368012 /nfs/dbraw/zinc/36/80/12/534368012.db2.gz LGMBQLHLBUIJSL-SECBINFHSA-N 0 1 250.306 0.293 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)c2cnn(C)c2C#N)C[C@H]1C ZINC000356629052 521729405 /nfs/dbraw/zinc/72/94/05/521729405.db2.gz UTMSQWBODYBSLV-MNOVXSKESA-N 0 1 275.356 0.846 20 30 CCEDMN CN1C(=O)CC[C@@H](NCc2ccc(C#N)s2)C1=O ZINC000161129692 523687762 /nfs/dbraw/zinc/68/77/62/523687762.db2.gz OWKFOIAGBRIEEW-SNVBAGLBSA-N 0 1 263.322 0.857 20 30 CCEDMN C[C@@H]1CCN(C)CCN1C(=O)c1cnn(C)c1C#N ZINC000356095756 524496978 /nfs/dbraw/zinc/49/69/78/524496978.db2.gz NNCUKDQHUQKPHF-SNVBAGLBSA-N 0 1 261.329 0.458 20 30 CCEDMN N#Cc1ncn(CC(=O)Nc2cccc(-c3nnc[nH]3)c2)n1 ZINC000426768422 534832991 /nfs/dbraw/zinc/83/29/91/534832991.db2.gz YOSPADFNSIJUNP-UHFFFAOYSA-N 0 1 294.278 0.574 20 30 CCEDMN C=C(C)CCN1CCN(CC(=O)NC2CC2)CC1 ZINC000352091867 526446036 /nfs/dbraw/zinc/44/60/36/526446036.db2.gz LVYKBPOSYZOVPC-UHFFFAOYSA-N 0 1 251.374 0.849 20 30 CCEDMN C=C[C@H](CO)NS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000349803822 527592295 /nfs/dbraw/zinc/59/22/95/527592295.db2.gz SZMFNABLNDQLNZ-MRVPVSSYSA-N 0 1 288.275 0.662 20 30 CCEDMN C=CCN(C)c1nnc([C@@H]2CN3CCC[C@@H]3CO2)n1C ZINC000668934465 545195454 /nfs/dbraw/zinc/19/54/54/545195454.db2.gz OLARKGHNBBXNTF-NEPJUHHUSA-N 0 1 277.372 0.973 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC000669276254 545203941 /nfs/dbraw/zinc/20/39/41/545203941.db2.gz AOCFWBSLLPPHMG-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN CCN(CC)CCS(=O)(=O)NCC(C)(C)C#N ZINC000352235809 528159118 /nfs/dbraw/zinc/15/91/18/528159118.db2.gz ZJZNMKIVCWRCHD-UHFFFAOYSA-N 0 1 261.391 0.797 20 30 CCEDMN CC#CCCNC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000673033864 545278139 /nfs/dbraw/zinc/27/81/39/545278139.db2.gz XMLPWSPWXJWOLJ-UHFFFAOYSA-N 0 1 267.373 0.498 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC(N(C)S(=O)(=O)CC)CC1 ZINC000677479193 545365999 /nfs/dbraw/zinc/36/59/99/545365999.db2.gz JKOPGLXQLFSOPQ-CYBMUJFWSA-N 0 1 290.429 0.669 20 30 CCEDMN COCC#CCN1CCC[C@@H](N2CCCC2=O)C1 ZINC000677816898 545373134 /nfs/dbraw/zinc/37/31/34/545373134.db2.gz DEUNMSNGJIGSGS-CYBMUJFWSA-N 0 1 250.342 0.723 20 30 CCEDMN COCC#CCN1CCN(C[C@@H]2CCCO2)CC1 ZINC000677817544 545373614 /nfs/dbraw/zinc/37/36/14/545373614.db2.gz PUXISZIZXXAYCW-AWEZNQCLSA-N 0 1 252.358 0.433 20 30 CCEDMN COCC#CCN1CCC(C(=O)NCCOC)CC1 ZINC000677818053 545373742 /nfs/dbraw/zinc/37/37/42/545373742.db2.gz YPFOTZYWEGWWOB-UHFFFAOYSA-N 0 1 268.357 0.111 20 30 CCEDMN COC[C@@H](C)[C@H](C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000361919762 536579092 /nfs/dbraw/zinc/57/90/92/536579092.db2.gz NXGCATLNCFQKPQ-BDAKNGLRSA-N 0 1 250.302 0.571 20 30 CCEDMN CCc1nc([C@H](C)NS(=O)(=O)CC(C)(C)C#N)n[nH]1 ZINC000790044029 581173290 /nfs/dbraw/zinc/17/32/90/581173290.db2.gz PQUDKUWDUATZTF-QMMMGPOBSA-N 0 1 285.373 0.897 20 30 CCEDMN CC(=NNC1=NC[C@H](C)N1)c1cn2ccccc2n1 ZINC000788438699 581111148 /nfs/dbraw/zinc/11/11/48/581111148.db2.gz MHEGVSHIZBNYBW-VIFPVBQESA-N 0 1 256.313 0.996 20 30 CCEDMN Cc1cc(C(=O)NC2=NO[C@H](C)C2)ccc1S(N)(=O)=O ZINC000777436071 581115392 /nfs/dbraw/zinc/11/53/92/581115392.db2.gz PVUFUYGEDJQJAC-MRVPVSSYSA-N 0 1 297.336 0.495 20 30 CCEDMN COC[C@@](C)(NS(=O)(=O)CC(C)(C)C#N)C(=O)OC ZINC000790038283 581173067 /nfs/dbraw/zinc/17/30/67/581173067.db2.gz RYTBCCHLNMJJKG-LLVKDONJSA-N 0 1 292.357 0.034 20 30 CCEDMN C#CCn1cc(CNC(=O)Cc2cc(C)n[nH]2)cn1 ZINC000791263242 581212135 /nfs/dbraw/zinc/21/21/35/581212135.db2.gz UOFFIQMKHOGMGZ-UHFFFAOYSA-N 0 1 257.297 0.407 20 30 CCEDMN C#C[C@H](C)NC(=O)C(=O)Nc1cc2cn[nH]c2cc1C ZINC000792285557 581257129 /nfs/dbraw/zinc/25/71/29/581257129.db2.gz BLJBSYQJRVTZLQ-VIFPVBQESA-N 0 1 270.292 0.948 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)C1CCC(OC)CC1 ZINC000781449282 581268624 /nfs/dbraw/zinc/26/86/24/581268624.db2.gz ZCRFXOIETUNWJY-HTAVTVPLSA-N 0 1 282.340 0.663 20 30 CCEDMN NS(=O)(=O)c1ccc(N=NCc2ccoc2)nc1 ZINC000727256020 581314058 /nfs/dbraw/zinc/31/40/58/581314058.db2.gz QRSCNGBLNJKVAT-UHFFFAOYSA-N 0 1 266.282 0.768 20 30 CCEDMN C#CCOCCNC(=O)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000782993554 581332229 /nfs/dbraw/zinc/33/22/29/581332229.db2.gz AADJVAFMCBNMEK-ZDUSSCGKSA-N 0 1 299.334 0.843 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738495654 581361958 /nfs/dbraw/zinc/36/19/58/581361958.db2.gz NEPJZQDLOBYNBK-WDEREUQCSA-N 0 1 269.345 0.609 20 30 CCEDMN CC(=NNc1cncnc1)c1ccc(S(N)(=O)=O)cc1 ZINC000794977009 581393314 /nfs/dbraw/zinc/39/33/14/581393314.db2.gz SSWBSSGSYGETTR-UHFFFAOYSA-N 0 1 291.336 0.960 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2nn(C)c(=O)c3ccccc32)=NO1 ZINC000765383326 581510654 /nfs/dbraw/zinc/51/06/54/581510654.db2.gz GMDGMUMOZWCZGB-MRVPVSSYSA-N 0 1 286.291 0.786 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(CC(C)C)CC1 ZINC000753378369 581537324 /nfs/dbraw/zinc/53/73/24/581537324.db2.gz LCQJWVAUVNFOLJ-UHFFFAOYSA-N 0 1 267.373 0.619 20 30 CCEDMN C#CCOCCC(=O)N1C[C@H](C)N(CC)C[C@H]1C ZINC000765938898 581540052 /nfs/dbraw/zinc/54/00/52/581540052.db2.gz DYYCAPTZNSDTSC-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CC(=O)NCC1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000754068981 581573552 /nfs/dbraw/zinc/57/35/52/581573552.db2.gz RGHKYLNNTWNOJU-UHFFFAOYSA-N 0 1 279.384 0.363 20 30 CCEDMN CC(C)(C)[C@@H]1CCN(CC(=O)NCCC#N)C[C@H]1O ZINC000754239296 581582627 /nfs/dbraw/zinc/58/26/27/581582627.db2.gz YITWQFNJOSCMAG-VXGBXAGGSA-N 0 1 267.373 0.745 20 30 CCEDMN C[N@H+](CC(=O)[O-])C[C@H](O)COc1ccc(C#N)cc1 ZINC000739632132 581584418 /nfs/dbraw/zinc/58/44/18/581584418.db2.gz PACNZCRXFXVQRK-NSHDSACASA-N 0 1 264.281 0.314 20 30 CCEDMN Cn1c(=O)[nH]cc(C(=O)Nc2cc(C#N)ccc2O)c1=O ZINC000754430449 581591397 /nfs/dbraw/zinc/59/13/97/581591397.db2.gz GPIRTJAULUWBJR-UHFFFAOYSA-N 0 1 286.247 0.315 20 30 CCEDMN N#CCOc1ccc(CC(=O)OCc2c[nH]nn2)cc1 ZINC000735041751 581605065 /nfs/dbraw/zinc/60/50/65/581605065.db2.gz DGKUWDUOPHXTHD-UHFFFAOYSA-N 0 1 272.264 0.993 20 30 CCEDMN CN(C1CCCC1)C(C)(C)C(=O)OCC(=O)NCC#N ZINC000754868969 581613824 /nfs/dbraw/zinc/61/38/24/581613824.db2.gz NEEGRMXBFXIWCT-UHFFFAOYSA-N 0 1 281.356 0.822 20 30 CCEDMN C#CCNC(=O)CNC(C)(C)C(=O)NCC1CCCCC1 ZINC000767676610 581626065 /nfs/dbraw/zinc/62/60/65/581626065.db2.gz MNYIYCGSOPOQLV-UHFFFAOYSA-N 0 1 293.411 0.801 20 30 CCEDMN COC(=O)c1occc1CN=Nc1ccc(C(N)=O)nn1 ZINC000756839098 581680062 /nfs/dbraw/zinc/68/00/62/581680062.db2.gz SOVZMOPYUXPPLP-UHFFFAOYSA-N 0 1 289.251 0.401 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000736080263 581688155 /nfs/dbraw/zinc/68/81/55/581688155.db2.gz RMFMONLAZOTYGU-RYUDHWBXSA-N 0 1 279.340 0.343 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CCCN(C(=O)[O-])C1 ZINC000736080263 581688157 /nfs/dbraw/zinc/68/81/57/581688157.db2.gz RMFMONLAZOTYGU-RYUDHWBXSA-N 0 1 279.340 0.343 20 30 CCEDMN CN(Cc1ccc(C#N)cc1)[C@H]1CCC(=O)NC1=O ZINC000771304559 581798515 /nfs/dbraw/zinc/79/85/15/581798515.db2.gz JSQNXQJLICMBDK-LBPRGKRZSA-N 0 1 257.293 0.795 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CCCN(C(N)=O)C2)c1 ZINC000759714365 581817329 /nfs/dbraw/zinc/81/73/29/581817329.db2.gz YGQRMNHAPWZKKJ-JTQLQIEISA-N 0 1 288.307 0.993 20 30 CCEDMN CCNC(=O)CCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000744030629 581830364 /nfs/dbraw/zinc/83/03/64/581830364.db2.gz JQKPEJZJYQEVMG-JTQLQIEISA-N 0 1 265.313 0.280 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)NCCCOC)CC1 ZINC000772119911 581843332 /nfs/dbraw/zinc/84/33/32/581843332.db2.gz HENQVRAIKCCSDI-UHFFFAOYSA-N 0 1 296.367 0.028 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)NCC2CC2)CC1 ZINC000772109361 581843730 /nfs/dbraw/zinc/84/37/30/581843730.db2.gz BZNKUSRGBXROLX-UHFFFAOYSA-N 0 1 278.352 0.401 20 30 CCEDMN C#CCN1CCC(C(=O)OCCCN2CCOC2=O)CC1 ZINC000772122063 581843929 /nfs/dbraw/zinc/84/39/29/581843929.db2.gz PVCUSLHMVMXVNR-UHFFFAOYSA-N 0 1 294.351 0.717 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](CNC(=O)[O-])C1 ZINC000738761636 581856099 /nfs/dbraw/zinc/85/60/99/581856099.db2.gz AHXFSCMSDHJQCK-SMDDNHRTSA-N 0 1 296.371 0.630 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](CNC(=O)[O-])C1 ZINC000738761636 581856104 /nfs/dbraw/zinc/85/61/04/581856104.db2.gz AHXFSCMSDHJQCK-SMDDNHRTSA-N 0 1 296.371 0.630 20 30 CCEDMN CC#CCCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000760495156 581861899 /nfs/dbraw/zinc/86/18/99/581861899.db2.gz BUVSJFPUROTGHE-UHFFFAOYSA-N 0 1 264.247 0.973 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCCCCC)CC1 ZINC000761028858 581883178 /nfs/dbraw/zinc/88/31/78/581883178.db2.gz KWIOIGNJJGOZHN-UHFFFAOYSA-N 0 1 287.429 0.652 20 30 CCEDMN C[C@H]1CN(C)CC1N=Nc1ccccc1S(N)(=O)=O ZINC000773092767 581908169 /nfs/dbraw/zinc/90/81/69/581908169.db2.gz BQLGSKFXXBCJRU-VIFPVBQESA-N 0 1 282.369 0.683 20 30 CCEDMN CC(=NNc1ccccc1S(N)(=O)=O)c1ccnn1C ZINC000773095535 581908495 /nfs/dbraw/zinc/90/84/95/581908495.db2.gz UASMMXJJLWSXOS-UHFFFAOYSA-N 0 1 293.352 0.904 20 30 CCEDMN C#CCNCC(=O)NCCCN1CCCCCC1=O ZINC000746513490 581917443 /nfs/dbraw/zinc/91/74/43/581917443.db2.gz JQWQTWSUELUHJV-UHFFFAOYSA-N 0 1 265.357 0.118 20 30 CCEDMN C#C[C@H](NC(=O)NCc1cc(C)[nH]n1)[C@@H]1CCCO1 ZINC000773312445 581920816 /nfs/dbraw/zinc/92/08/16/581920816.db2.gz TUXWZZSWAKDNNC-RYUDHWBXSA-N 0 1 262.313 0.698 20 30 CCEDMN C#CCCNC(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000746688867 581922097 /nfs/dbraw/zinc/92/20/97/581922097.db2.gz CEZFOMCSAZCNHG-UHFFFAOYSA-N 0 1 287.344 0.825 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](Cc2cnn(C)c2)C1 ZINC000746677314 581922149 /nfs/dbraw/zinc/92/21/49/581922149.db2.gz PTHLQCJOZOTWAW-GFCCVEGCSA-N 0 1 260.341 0.034 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000773944528 581948110 /nfs/dbraw/zinc/94/81/10/581948110.db2.gz LNAWGKJHGNTKOQ-LLVKDONJSA-N 0 1 297.318 0.686 20 30 CCEDMN Cn1cc([C@H](O)C(=O)Nc2cc(C#N)ccc2O)cn1 ZINC000774079458 581957281 /nfs/dbraw/zinc/95/72/81/581957281.db2.gz BJYXPSYFPRNVSC-LBPRGKRZSA-N 0 1 272.264 0.669 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C(F)(F)C2(O)CCC2)CC1 ZINC000763806553 582016439 /nfs/dbraw/zinc/01/64/39/582016439.db2.gz POXPSRXVSJMOET-UHFFFAOYSA-N 0 1 287.310 0.641 20 30 CCEDMN C#C[C@@H](NC(=O)CCCCc1cn[nH]n1)[C@H]1CCCO1 ZINC000775341589 582019556 /nfs/dbraw/zinc/01/95/56/582019556.db2.gz RFHPZDOVQWQQLH-CHWSQXEVSA-N 0 1 276.340 0.815 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H](C)CN1CCOCC1)[C@@H]1CCCO1 ZINC000775344770 582020261 /nfs/dbraw/zinc/02/02/61/582020261.db2.gz BUCXWWIASQWAEI-IHRRRGAJSA-N 0 1 280.368 0.252 20 30 CCEDMN C#C[C@H](N[C@H]1CCCS(=O)(=O)C1)[C@H]1CCCO1 ZINC000775572483 582029678 /nfs/dbraw/zinc/02/96/78/582029678.db2.gz AZZNGAFWLYKPNS-SDDRHHMPSA-N 0 1 257.355 0.334 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC(=O)CCc2nc[nH]n2)c1 ZINC000750017580 582030876 /nfs/dbraw/zinc/03/08/76/582030876.db2.gz VYBJVSPNBGQZMD-UHFFFAOYSA-N 0 1 297.318 0.474 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)N(C)CCC#N)[C@@H]1CCCO1 ZINC000775784514 582037305 /nfs/dbraw/zinc/03/73/05/582037305.db2.gz JLASYRKVVONNRW-AVGNSLFASA-N 0 1 263.341 0.517 20 30 CCEDMN N#Cc1ccc([O-])c(NC(=O)[C@@H]2C[N@H+]3CCN2CCC3)c1 ZINC000764483394 582051320 /nfs/dbraw/zinc/05/13/20/582051320.db2.gz CYNQMWQTSONMAU-ZDUSSCGKSA-N 0 1 286.335 0.592 20 30 CCEDMN C[C@@H](C(=O)N1CCN(C2CC2)[C@H](C#N)C1)N(C)C ZINC000750611674 582052715 /nfs/dbraw/zinc/05/27/15/582052715.db2.gz PZGBBXWSMZGDIK-CMPLNLGQSA-N 0 1 250.346 0.135 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCCCN(CC)C(=O)[O-] ZINC000738461504 582053281 /nfs/dbraw/zinc/05/32/81/582053281.db2.gz UAPCJZOUFIJQOG-LBPRGKRZSA-N 0 1 281.356 0.590 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCCC[C@@H]1[C@H](C)NC(=O)[O-] ZINC000738496398 582056713 /nfs/dbraw/zinc/05/67/13/582056713.db2.gz XKVPDWWOWILDMF-WDEREUQCSA-N 0 1 269.345 0.799 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)[O-])[C@@H](C)C1 ZINC000738496360 582056780 /nfs/dbraw/zinc/05/67/80/582056780.db2.gz WUYDUXPZMGVVDN-GARJFASQSA-N 0 1 269.345 0.655 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CCC1)[C@@H]1CCCO1 ZINC000776226078 582061524 /nfs/dbraw/zinc/06/15/24/582061524.db2.gz DTWUKDBVBBBAOK-NEPJUHHUSA-N 0 1 251.330 0.172 20 30 CCEDMN C#CCCN1CCN(C/C=C(/C)C(=O)OC)CC1 ZINC000776592192 582082815 /nfs/dbraw/zinc/08/28/15/582082815.db2.gz BYYKAYBKLYMHQS-MLPAPPSSSA-N 0 1 250.342 0.747 20 30 CCEDMN C#CCCN1CCN(CC(=O)OCC(C)C)CC1 ZINC000776594390 582083924 /nfs/dbraw/zinc/08/39/24/582083924.db2.gz DXQTUHXZXZDZMS-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN N#Cc1nc(N)n(CCCNC(=O)C(F)(F)F)c1C#N ZINC000776842991 582091829 /nfs/dbraw/zinc/09/18/29/582091829.db2.gz NUAHIASEOLKAQF-UHFFFAOYSA-N 0 1 286.217 0.277 20 30 CCEDMN N#Cc1ccc([C@H](C(=O)[O-])N2CC[NH+](CCO)CC2)cc1 ZINC000739105415 582102775 /nfs/dbraw/zinc/10/27/75/582102775.db2.gz MAMFLBMKMAUYEJ-CQSZACIVSA-N 0 1 289.335 0.294 20 30 CCEDMN CC(C)N1CC(=NN2CC(=O)N(C)C2=O)[C@H](C)C1 ZINC000768382034 582106193 /nfs/dbraw/zinc/10/61/93/582106193.db2.gz LDAXIBLXGBGVLI-SECBINFHSA-N 0 1 252.318 0.597 20 30 CCEDMN CCC[N@@H+](CCC(=O)N(C)CCC#N)CC(=O)[O-] ZINC000833651351 614574909 /nfs/dbraw/zinc/57/49/09/614574909.db2.gz YFKBUYZTAHSCRK-UHFFFAOYSA-N 0 1 255.318 0.545 20 30 CCEDMN CN(CCCS(N)(=O)=O)Cc1cc(C#N)cs1 ZINC000933769635 616076183 /nfs/dbraw/zinc/07/61/83/616076183.db2.gz AZEBJMAKCQYPPM-UHFFFAOYSA-N 0 1 273.383 0.730 20 30 CCEDMN C#CCOCCN1CCCC[C@H]1CNS(C)(=O)=O ZINC000851725733 612982767 /nfs/dbraw/zinc/98/27/67/612982767.db2.gz FBRISVZFFGDASW-LBPRGKRZSA-N 0 1 274.386 0.040 20 30 CCEDMN COC(=O)[C@H]1CC[N@@H+](CCCC#N)[C@@H](C(=O)[O-])C1 ZINC000833710518 616663802 /nfs/dbraw/zinc/66/38/02/616663802.db2.gz WCBQGLFIKNFZNS-VHSXEESVSA-N 0 1 254.286 0.628 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@H]1CCN(CCOC)C1 ZINC000805072224 612985402 /nfs/dbraw/zinc/98/54/02/612985402.db2.gz GCUNEGYPMOWITP-LBPRGKRZSA-N 0 1 274.386 0.040 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)NCC[NH+]1CCSCC1 ZINC000819842457 597646938 /nfs/dbraw/zinc/64/69/38/597646938.db2.gz QHWQRKUHSZWZPD-GFCCVEGCSA-N 0 1 285.369 0.156 20 30 CCEDMN C#CC(C)(C)NS(=O)(=O)c1occc1C(=O)OC ZINC000881888022 612946098 /nfs/dbraw/zinc/94/60/98/612946098.db2.gz JFILDFHHVCQKCH-UHFFFAOYSA-N 0 1 271.294 0.756 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC000981892601 613005385 /nfs/dbraw/zinc/00/53/85/613005385.db2.gz ZHSXJGBAMIGEKS-HNNXBMFYSA-N 0 1 277.368 0.213 20 30 CCEDMN C#CC1(F)CN(C(=O)NCCCN2C[C@@H](C)O[C@@H](C)C2)C1 ZINC000883094503 612947021 /nfs/dbraw/zinc/94/70/21/612947021.db2.gz FBJQSBYGEKPUQD-BETUJISGSA-N 0 1 297.374 0.852 20 30 CCEDMN C#CCSCC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000898436525 613012893 /nfs/dbraw/zinc/01/28/93/613012893.db2.gz MRIPRCZSHQPIMT-SECBINFHSA-N 0 1 280.353 0.639 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](c2ccn(C)n2)C1 ZINC000932140375 612948068 /nfs/dbraw/zinc/94/80/68/612948068.db2.gz YUTIKHSKITWDFR-CHWSQXEVSA-N 0 1 274.368 0.737 20 30 CCEDMN C[C@H]1CN(c2nccnc2C#N)CC[N@H+]1CCCC(=O)[O-] ZINC000820252069 601663889 /nfs/dbraw/zinc/66/38/89/601663889.db2.gz YUJCQPDNWLBAMI-NSHDSACASA-N 0 1 289.339 0.724 20 30 CCEDMN N#Cc1ccc([C@@H](O)C[N@H+]2CC[C@H](C(=O)[O-])C2)cc1 ZINC000819095754 601958832 /nfs/dbraw/zinc/95/88/32/601958832.db2.gz KIYWZTLPULEEKP-STQMWFEESA-N 0 1 260.293 0.998 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(C(=O)NCC(C)C)CC1 ZINC000891017015 612949817 /nfs/dbraw/zinc/94/98/17/612949817.db2.gz XYSJGSVHWQTARC-ZDUSSCGKSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NCCN1CCC(O)CC1 ZINC000896061966 613020494 /nfs/dbraw/zinc/02/04/94/613020494.db2.gz PRGXCAOFPIRNOW-ZDUSSCGKSA-N 0 1 297.399 0.171 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000875916289 613021362 /nfs/dbraw/zinc/02/13/62/613021362.db2.gz FIUSJIIYQUHBEL-KOLCDFICSA-N 0 1 279.344 0.902 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000831097000 603978332 /nfs/dbraw/zinc/97/83/32/603978332.db2.gz AXTJFPMFHFMFCY-MNOVXSKESA-N 0 1 280.328 0.233 20 30 CCEDMN N#CCCNC(=O)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000831097000 603978334 /nfs/dbraw/zinc/97/83/34/603978334.db2.gz AXTJFPMFHFMFCY-MNOVXSKESA-N 0 1 280.328 0.233 20 30 CCEDMN C#CC1(O)CCN(CCn2cc([N+](=O)[O-])cn2)CC1 ZINC000931144042 612952377 /nfs/dbraw/zinc/95/23/77/612952377.db2.gz QYUVGSIMXPKOEM-UHFFFAOYSA-N 0 1 264.285 0.251 20 30 CCEDMN C#CCNC(=S)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000905634485 612953806 /nfs/dbraw/zinc/95/38/06/612953806.db2.gz RDDBOJLPCAYGRZ-GHMZBOCLSA-N 0 1 269.439 0.664 20 30 CCEDMN N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H]1CC(=O)[O-] ZINC000042162788 604966424 /nfs/dbraw/zinc/96/64/24/604966424.db2.gz MTYNJHSJRNDULS-LBPRGKRZSA-N 0 1 292.339 0.581 20 30 CCEDMN C#CC[C@@H](NS(=O)(=O)C[C@@H](OC)C(C)C)C(=O)OC ZINC000827683487 613043007 /nfs/dbraw/zinc/04/30/07/613043007.db2.gz INJJISUKIZZLEO-GHMZBOCLSA-N 0 1 291.369 0.142 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@H](NC(=O)[O-])[C@@H]2C1 ZINC000833965420 605454556 /nfs/dbraw/zinc/45/45/56/605454556.db2.gz LWBAUUSPHHUHFH-TUAOUCFPSA-N 0 1 294.355 0.384 20 30 CCEDMN N#C[C@H]1CN(C(=O)[O-])C[C@@H]1[NH2+]CC1(O)CCCCC1 ZINC000833967514 605685155 /nfs/dbraw/zinc/68/51/55/605685155.db2.gz RHZHGKMHANWOAU-QWRGUYRKSA-N 0 1 267.329 0.773 20 30 CCEDMN C#CCCS(=O)(=O)N(CCN(C)C)Cc1ccco1 ZINC000808300781 613051464 /nfs/dbraw/zinc/05/14/64/613051464.db2.gz AOKJUTGFEHPKOW-UHFFFAOYSA-N 0 1 284.381 0.996 20 30 CCEDMN C#CCNCC(=O)Nc1cc(C(F)(F)F)c[nH]c1=O ZINC000911632232 612956599 /nfs/dbraw/zinc/95/65/99/612956599.db2.gz CRVWOGGSZAQCGN-UHFFFAOYSA-N 0 1 273.214 0.967 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(CN2CCCC2=O)cc1 ZINC000912343277 612956721 /nfs/dbraw/zinc/95/67/21/612956721.db2.gz DZSUOFQCLUXWLI-UHFFFAOYSA-N 0 1 299.374 0.648 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC000980282700 613084145 /nfs/dbraw/zinc/08/41/45/613084145.db2.gz KRYJSIZORXSWQR-UONOGXRCSA-N 0 1 277.368 0.021 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N1CCN(C)CCN(C)CC1 ZINC000895689640 613085309 /nfs/dbraw/zinc/08/53/09/613085309.db2.gz QHXYWTPHQYNMSJ-AWEZNQCLSA-N 0 1 280.416 0.677 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NCC[N@H+]1CC[C@H](O)C1 ZINC000875725221 613085513 /nfs/dbraw/zinc/08/55/13/613085513.db2.gz PMNDHLIHDCFUFK-RYUDHWBXSA-N 0 1 253.346 0.154 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NCCN1CC[C@H](O)C1 ZINC000875725221 613085515 /nfs/dbraw/zinc/08/55/15/613085515.db2.gz PMNDHLIHDCFUFK-RYUDHWBXSA-N 0 1 253.346 0.154 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn(C)c2C)C1 ZINC000971081049 613087844 /nfs/dbraw/zinc/08/78/44/613087844.db2.gz QNEGKMXTNGQLNP-GFCCVEGCSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(CC)Cc1ccc(S(N)(=O)=O)cc1 ZINC000876638922 613106699 /nfs/dbraw/zinc/10/66/99/613106699.db2.gz JWAXBSXEZUXYMT-UHFFFAOYSA-N 0 1 252.339 0.789 20 30 CCEDMN C#CC1(O)CN(C(=O)[C@H]2C[C@@H](C)Cc3c[nH]nc32)C1 ZINC000890526032 612958677 /nfs/dbraw/zinc/95/86/77/612958677.db2.gz FUTXKQJSGIKXIO-ONGXEEELSA-N 0 1 259.309 0.282 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N1CCS(=O)(=O)[C@@H](C)[C@@H]1C ZINC000876673036 613389477 /nfs/dbraw/zinc/38/94/77/613389477.db2.gz JELXDMMNJIFUTI-GUBZILKMSA-N 0 1 294.804 0.490 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N[C@@H](C)CS(C)(=O)=O ZINC000876661663 613388260 /nfs/dbraw/zinc/38/82/60/613388260.db2.gz GYUCPMMECOPEJS-JGVFFNPUSA-N 0 1 268.766 0.006 20 30 CCEDMN CC(C)NC(=O)CN(C)C[C@@H](O)CC1(C#N)CCC1 ZINC000885946384 613913875 /nfs/dbraw/zinc/91/38/75/613913875.db2.gz IPCRGORTLIDDFC-LBPRGKRZSA-N 0 1 267.373 0.888 20 30 CCEDMN C#CCC1(NS(=O)(=O)c2ncc[nH]2)CCOCC1 ZINC000885840657 612971335 /nfs/dbraw/zinc/97/13/35/612971335.db2.gz KXDDWGHTFMLPME-UHFFFAOYSA-N 0 1 269.326 0.261 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCC[C@H]1c1nnc[nH]1 ZINC000931134840 614180419 /nfs/dbraw/zinc/18/04/19/614180419.db2.gz XQQDDPILZURCMK-SMDDNHRTSA-N 0 1 290.371 0.996 20 30 CCEDMN C#CCCCCNC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000860021659 612978293 /nfs/dbraw/zinc/97/82/93/612978293.db2.gz NZUSHIHHYWGZTP-UHFFFAOYSA-N 0 1 295.364 0.986 20 30 CCEDMN CC(C)C#CC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000832634498 614325814 /nfs/dbraw/zinc/32/58/14/614325814.db2.gz KNJKXZWQJPDPFL-CYBMUJFWSA-N 0 1 266.385 0.949 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(C)c1 ZINC000834489637 617232833 /nfs/dbraw/zinc/23/28/33/617232833.db2.gz ONTSVEWZFLETOW-GXFFZTMASA-N 0 1 259.309 0.845 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1OC ZINC000834512539 617234991 /nfs/dbraw/zinc/23/49/91/617234991.db2.gz YLVODDFBKIGVEJ-MNOVXSKESA-N 0 1 275.308 0.545 20 30 CCEDMN COc1ccc(CC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834507253 617242014 /nfs/dbraw/zinc/24/20/14/617242014.db2.gz ZBMCAPXVUGPJSQ-DGCLKSJQSA-N 0 1 259.309 0.465 20 30 CCEDMN CSc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)co1 ZINC000876802975 617278962 /nfs/dbraw/zinc/27/89/62/617278962.db2.gz SZNAHPPWFQZQDU-IUCAKERBSA-N 0 1 251.311 0.843 20 30 CCEDMN C#CC1CCN(CC(=O)N2CCOC(C)(C)C2)CC1 ZINC000930821805 612964611 /nfs/dbraw/zinc/96/46/11/612964611.db2.gz PTXAMUOILQGLLG-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCC(CC#C)C(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000806877156 612966585 /nfs/dbraw/zinc/96/65/85/612966585.db2.gz OQDPFXCXMNEBNV-UHFFFAOYSA-N 0 1 299.378 0.420 20 30 CCEDMN C#CCC(CC#C)C(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000806808000 612967466 /nfs/dbraw/zinc/96/74/66/612967466.db2.gz ROAZBWXGOVZGAS-OAHLLOKOSA-N 0 1 276.380 0.876 20 30 CCEDMN C#CCCCCCC(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000913495924 612975960 /nfs/dbraw/zinc/97/59/60/612975960.db2.gz PQWWLJPMOFTTSB-LLVKDONJSA-N 0 1 277.328 0.683 20 30 CCEDMN C#CCCCNC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000842178882 612981762 /nfs/dbraw/zinc/98/17/62/612981762.db2.gz VWSNDOSPRWEOJA-OLZOCXBDSA-N 0 1 267.373 0.496 20 30 CCEDMN C#CCCCNC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000847326645 612982000 /nfs/dbraw/zinc/98/20/00/612982000.db2.gz DISBERKWYAQLBF-JTQLQIEISA-N 0 1 277.328 0.869 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H](CC)[N@@H+]1CCO[C@@H](CC)C1 ZINC000855606752 612992510 /nfs/dbraw/zinc/99/25/10/612992510.db2.gz PNCZPHOCAWKZGR-NWDGAFQWSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H](CC)[N@H+]1CCO[C@@H](CC)C1 ZINC000855606752 612992512 /nfs/dbraw/zinc/99/25/12/612992512.db2.gz PNCZPHOCAWKZGR-NWDGAFQWSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCONC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000855606433 612993438 /nfs/dbraw/zinc/99/34/38/612993438.db2.gz IFEYYQDDQKFNPQ-UHFFFAOYSA-N 0 1 274.324 0.128 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC1(c2nn[nH]n2)CCCC1 ZINC000826047096 612995975 /nfs/dbraw/zinc/99/59/75/612995975.db2.gz HBYORSSHUNOJAT-VIFPVBQESA-N 0 1 263.301 0.124 20 30 CCEDMN C#CCOc1ccc(C(=O)NCCN2CC[C@H](O)C2)cc1 ZINC000863831310 613005225 /nfs/dbraw/zinc/00/52/25/613005225.db2.gz IKAAIRAWLCVAHK-AWEZNQCLSA-N 0 1 288.347 0.495 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@]2(C)CCOC2)CC1 ZINC000982870796 613005612 /nfs/dbraw/zinc/00/56/12/613005612.db2.gz GWQJDSNMLYMJJQ-OAHLLOKOSA-N 0 1 264.369 0.971 20 30 CCEDMN C#CCOc1ccc(CN2CCOC[C@@H](O)C2)cc1 ZINC000824624928 613006032 /nfs/dbraw/zinc/00/60/32/613006032.db2.gz CWSHBHNFSLJCIN-AWEZNQCLSA-N 0 1 261.321 0.892 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cncn2C)CC1 ZINC000981561780 613006292 /nfs/dbraw/zinc/00/62/92/613006292.db2.gz OEGXODLXZLFNFQ-UHFFFAOYSA-N 0 1 260.341 0.591 20 30 CCEDMN C#CCCN1CCN(Cc2cncc(OC)n2)CC1 ZINC000859212939 613011867 /nfs/dbraw/zinc/01/18/67/613011867.db2.gz LACRJIQSHCKZQH-UHFFFAOYSA-N 0 1 260.341 0.626 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2ncccn2)C1 ZINC000968450411 613016330 /nfs/dbraw/zinc/01/63/30/613016330.db2.gz UMWXGQISTTZPSG-CHWSQXEVSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1cc(F)cc2nc[nH]c21 ZINC000820064892 613028797 /nfs/dbraw/zinc/02/87/97/613028797.db2.gz OKRGTIMGTZZRLY-VIFPVBQESA-N 0 1 261.256 0.816 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)c2cnn(C)n2)C(C)(C)C1 ZINC000977336920 613039181 /nfs/dbraw/zinc/03/91/81/613039181.db2.gz PEBJYZBJJPQRIM-LBPRGKRZSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)NCc2n[nH]c(COC)n2)C1 ZINC000821160358 613044551 /nfs/dbraw/zinc/04/45/51/613044551.db2.gz MJAONVFPLDFNSI-LLVKDONJSA-N 0 1 291.355 0.896 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)NCc2nnc(COC)[nH]2)C1 ZINC000821160358 613044553 /nfs/dbraw/zinc/04/45/53/613044553.db2.gz MJAONVFPLDFNSI-LLVKDONJSA-N 0 1 291.355 0.896 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)CN(C)[C@H]1CCSC1 ZINC000863784649 613049646 /nfs/dbraw/zinc/04/96/46/613049646.db2.gz PBAYLVVEOYWGAW-OLZOCXBDSA-N 0 1 284.425 0.968 20 30 CCEDMN C#CCCOC(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000858951002 613050461 /nfs/dbraw/zinc/05/04/61/613050461.db2.gz RRORJEDXGDUIGT-GFCCVEGCSA-N 0 1 254.330 0.847 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000863783813 613052668 /nfs/dbraw/zinc/05/26/68/613052668.db2.gz BBYXKGCHLJPCNB-MRVPVSSYSA-N 0 1 266.257 0.476 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)NCCCCN1CCOCC1 ZINC000798363518 613056359 /nfs/dbraw/zinc/05/63/59/613056359.db2.gz ANOKBFYOUDQFOC-CQSZACIVSA-N 0 1 297.399 0.172 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@H]1CCN1C1CCCC1 ZINC000822679102 613058357 /nfs/dbraw/zinc/05/83/57/613058357.db2.gz AAWSXALGXSWNJI-ZIAGYGMSSA-N 0 1 264.369 0.894 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)NCc1cc(CC)[nH]n1 ZINC000798418884 613061516 /nfs/dbraw/zinc/06/15/16/613061516.db2.gz REMANXCCRFYESP-LBPRGKRZSA-N 0 1 264.329 0.546 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000799084206 613063353 /nfs/dbraw/zinc/06/33/53/613063353.db2.gz WGNINPNRPMXPJS-NSHDSACASA-N 0 1 278.264 0.805 20 30 CCEDMN C#CCC[C@H](O)CNS(=O)(=O)c1ccccc1O ZINC000849391059 613064307 /nfs/dbraw/zinc/06/43/07/613064307.db2.gz GPMCGAMHWUUSQH-JTQLQIEISA-N 0 1 269.322 0.445 20 30 CCEDMN C#CCN(C(=O)C(=O)c1cccn1C)C1CCN(C)CC1 ZINC000886575661 613065030 /nfs/dbraw/zinc/06/50/30/613065030.db2.gz DKBDWLKNLKYHRB-UHFFFAOYSA-N 0 1 287.363 0.764 20 30 CCEDMN C#CC[C@H](COC)NC(=O)c1cccc2nc(CO)[nH]c21 ZINC000913348286 613065880 /nfs/dbraw/zinc/06/58/80/613065880.db2.gz KQRPRUXONRVHNO-SNVBAGLBSA-N 0 1 287.319 0.823 20 30 CCEDMN C#CC[C@H]1CCCN([C@H]2CS(=O)(=O)C[C@H]2OC)C1 ZINC000852206667 613072849 /nfs/dbraw/zinc/07/28/49/613072849.db2.gz ORNOCFXQHFYSBI-RWMBFGLXSA-N 0 1 271.382 0.534 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC000888251993 613073636 /nfs/dbraw/zinc/07/36/36/613073636.db2.gz VDTZGRKYLUOFJK-KBPBESRZSA-N 0 1 278.400 0.287 20 30 CCEDMN C#CCN(C)CCCNC(=O)NCC[S@](=O)CC ZINC000836310289 613075281 /nfs/dbraw/zinc/07/52/81/613075281.db2.gz LNIUUXABZBYFOX-GOSISDBHSA-N 0 1 273.402 0.009 20 30 CCEDMN C#C[C@@H](C)N(C)S(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000915033652 613079785 /nfs/dbraw/zinc/07/97/85/613079785.db2.gz YWXRUIQXZLOTIY-OLZOCXBDSA-N 0 1 270.398 0.756 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCn2cc(C)cn2)C1 ZINC000980484152 613080702 /nfs/dbraw/zinc/08/07/02/613080702.db2.gz XHTRKWADRBFKOA-CQSZACIVSA-N 0 1 274.368 0.748 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COc2cc(C)on2)C1 ZINC000971721434 613081013 /nfs/dbraw/zinc/08/10/13/613081013.db2.gz BLHPAKDISYTVIA-GFCCVEGCSA-N 0 1 277.324 0.528 20 30 CCEDMN C#C[C@@H](C)NC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000811997840 613081159 /nfs/dbraw/zinc/08/11/59/613081159.db2.gz JPWVSGRCWXTOBK-GFCCVEGCSA-N 0 1 266.389 0.333 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cn2nc(C)cc2C)C1 ZINC000971898240 613081664 /nfs/dbraw/zinc/08/16/64/613081664.db2.gz IPDRDATXTFFOEG-CQSZACIVSA-N 0 1 274.368 0.666 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cn2c(C)csc2=O)C1 ZINC000980375184 613081765 /nfs/dbraw/zinc/08/17/65/613081765.db2.gz SNVOJJGIYCICQG-GFCCVEGCSA-N 0 1 293.392 0.384 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC000971893775 613083817 /nfs/dbraw/zinc/08/38/17/613083817.db2.gz SNQRXNXSQMURDB-LSDHHAIUSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccn(CC(F)F)n2)C1 ZINC000971743370 613086910 /nfs/dbraw/zinc/08/69/10/613086910.db2.gz SFNPUNCHPAEYFN-LLVKDONJSA-N 0 1 296.321 0.928 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc3[nH]c(=O)[nH]c3c2)C1 ZINC000971827049 613087273 /nfs/dbraw/zinc/08/72/73/613087273.db2.gz AMJHFWJJWFGBSI-LLVKDONJSA-N 0 1 299.334 0.443 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(CC3CC3)nn2)C1 ZINC000972636698 613087378 /nfs/dbraw/zinc/08/73/78/613087378.db2.gz VUWLAZNPWJMFHN-CYBMUJFWSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc(C)n2C)C1 ZINC000972173045 613087428 /nfs/dbraw/zinc/08/74/28/613087428.db2.gz QCTGKBXVSYBZBA-GFCCVEGCSA-N 0 1 260.341 0.508 20 30 CCEDMN C#C[C@@H](CO)NC(=O)[C@@H](c1ccccc1)N(C)CC ZINC000854767998 613088254 /nfs/dbraw/zinc/08/82/54/613088254.db2.gz ICTCLJQNQYPYFT-UONOGXRCSA-N 0 1 260.337 0.790 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ncccc2OC)C1 ZINC000980157083 613088581 /nfs/dbraw/zinc/08/85/81/613088581.db2.gz OUTJIBRHDQMREZ-GFCCVEGCSA-N 0 1 273.336 0.870 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc(C)nc2OC)C1 ZINC000971649433 613096127 /nfs/dbraw/zinc/09/61/27/613096127.db2.gz XHVJTFPCHYRGET-LBPRGKRZSA-N 0 1 288.351 0.573 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc3c(c2)ncn3C)C1 ZINC000972068891 613096322 /nfs/dbraw/zinc/09/63/22/613096322.db2.gz FZIHOCRUAAQJNY-ZDUSSCGKSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nccc(C)n2)C1 ZINC000971436106 613097116 /nfs/dbraw/zinc/09/71/16/613097116.db2.gz NBOUAFIRCCDLIR-LBPRGKRZSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(CC#C)c1nnc([C@@H]2CN3CCC[C@@H]3CO2)n1C ZINC000828243996 613099438 /nfs/dbraw/zinc/09/94/38/613099438.db2.gz YRJSBRTYQIRNFA-KGLIPLIRSA-N 0 1 299.378 0.424 20 30 CCEDMN C#C[C@@H](NCCc1nnn(C(C)(C)C)n1)[C@@H]1CCCO1 ZINC000851949123 613099708 /nfs/dbraw/zinc/09/97/08/613099708.db2.gz AGLMERQQYNOSJS-NEPJUHHUSA-N 0 1 277.372 0.741 20 30 CCEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)C1 ZINC000847022139 613106842 /nfs/dbraw/zinc/10/68/42/613106842.db2.gz FRBPMOLJIIVRGH-MGPQQGTHSA-N 0 1 291.395 0.444 20 30 CCEDMN C#C[C@H](CC)NC(=O)NCc1nc(CSC)n[nH]1 ZINC000884295455 613121241 /nfs/dbraw/zinc/12/12/41/613121241.db2.gz XQEAHQOXYLCNFA-MRVPVSSYSA-N 0 1 267.358 0.879 20 30 CCEDMN C#C[C@H](CC)NC(=O)NCc1nnc(CSC)[nH]1 ZINC000884295455 613121242 /nfs/dbraw/zinc/12/12/42/613121242.db2.gz XQEAHQOXYLCNFA-MRVPVSSYSA-N 0 1 267.358 0.879 20 30 CCEDMN C#C[C@H](CO)NC(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000854769361 613127386 /nfs/dbraw/zinc/12/73/86/613127386.db2.gz KSGZESMIRXWNCH-CABCVRRESA-N 0 1 272.348 0.761 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2C[C@@H](C(=O)OC)C2)CC1 ZINC000856217320 613128632 /nfs/dbraw/zinc/12/86/32/613128632.db2.gz YXBJBFIPAYWAMN-OKILXGFUSA-N 0 1 292.379 0.742 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC#N)CCC(=O)OC)CC1 ZINC000871340580 613128723 /nfs/dbraw/zinc/12/87/23/613128723.db2.gz CKWSCTVGNACLSQ-UHFFFAOYSA-N 0 1 291.351 0.247 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](CO)[C@H]2C)CC1 ZINC000857287515 613128742 /nfs/dbraw/zinc/12/87/42/613128742.db2.gz AFJWMFYHIZJUSH-HIFRSBDPSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2nn3cnnc3s2)CC1 ZINC000919966037 613131930 /nfs/dbraw/zinc/13/19/30/613131930.db2.gz ZNTAYYLJKCLUNM-UHFFFAOYSA-N 0 1 290.352 0.470 20 30 CCEDMN C#CCN1CCC(C(=O)OCCc2nnc(C)o2)CC1 ZINC000909006204 613132152 /nfs/dbraw/zinc/13/21/52/613132152.db2.gz CXDYYRGQNYLNMO-UHFFFAOYSA-N 0 1 277.324 0.809 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(n3cccn3)CC2)CC1 ZINC000830162453 613132558 /nfs/dbraw/zinc/13/25/58/613132558.db2.gz KDZDNVOAYQKHQM-UHFFFAOYSA-N 0 1 272.352 0.586 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)c2ccccc2)CC1 ZINC000928661553 613133084 /nfs/dbraw/zinc/13/30/84/613133084.db2.gz YDVJDHNQEMVIMA-UHFFFAOYSA-N 0 1 299.374 0.630 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2c(C)n[nH]c2C)CC1 ZINC000928659516 613133352 /nfs/dbraw/zinc/13/33/52/613133352.db2.gz PHDJLROWMIQMTN-UHFFFAOYSA-N 0 1 274.368 0.783 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCCC(=O)OCC)CC1 ZINC000914128407 613133723 /nfs/dbraw/zinc/13/37/23/613133723.db2.gz LHWXCVOLPABOCK-UHFFFAOYSA-N 0 1 295.383 0.727 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCCOCCOC)CC1 ZINC000916660561 613133793 /nfs/dbraw/zinc/13/37/93/613133793.db2.gz ROTNNAOPJQVKPJ-UHFFFAOYSA-N 0 1 297.399 0.436 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H](C)COC)CC1 ZINC000919510039 613133912 /nfs/dbraw/zinc/13/39/12/613133912.db2.gz SCPJYTGQBOVBJV-GFCCVEGCSA-N 0 1 267.373 0.666 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCC(=O)N(C)C2)CC1 ZINC000919687742 613134741 /nfs/dbraw/zinc/13/47/41/613134741.db2.gz DWOIQODHIMTFDA-CYBMUJFWSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCOC2(C)C)CC1 ZINC000922679459 613135074 /nfs/dbraw/zinc/13/50/74/613135074.db2.gz WUTYMDWCILFJJU-CYBMUJFWSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](O)/C=C\CCC)CC1 ZINC000928660706 613135762 /nfs/dbraw/zinc/13/57/62/613135762.db2.gz NWAMJLFYCZMBJF-AFNCTOJWSA-N 0 1 264.369 0.917 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](CC)C(=O)OC)CC1 ZINC000928652878 613135879 /nfs/dbraw/zinc/13/58/79/613135879.db2.gz PYEQNLFABSENLT-GFCCVEGCSA-N 0 1 266.341 0.399 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCOC2)CC1 ZINC000928657988 613135908 /nfs/dbraw/zinc/13/59/08/613135908.db2.gz IKUWOWLGSBYQHC-GFCCVEGCSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@]2(C)CCNC(=O)C2)CC1 ZINC000900666219 613136095 /nfs/dbraw/zinc/13/60/95/613136095.db2.gz FSRDETGEKZIFPR-HNNXBMFYSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)N2CCSCC2)CC1 ZINC000928654979 613136435 /nfs/dbraw/zinc/13/64/35/613136435.db2.gz OTSMWMPLNLOTOB-ZDUSSCGKSA-N 0 1 295.452 0.638 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)Cc2cnn(C)c2)CC1 ZINC000928649197 613136480 /nfs/dbraw/zinc/13/64/80/613136480.db2.gz HMGBZCCZBHZRJO-CYBMUJFWSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn3c2CCC3)CC1 ZINC000928651557 613137604 /nfs/dbraw/zinc/13/76/04/613137604.db2.gz WFRYAMNKOFKPOF-UHFFFAOYSA-N 0 1 272.352 0.657 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc(C)nc2N)CC1 ZINC000928648602 613137849 /nfs/dbraw/zinc/13/78/49/613137849.db2.gz NQZYZSYUZIUUTJ-UHFFFAOYSA-N 0 1 273.340 0.195 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nnn(C(C)C)c2C)CC1 ZINC000928650722 613138048 /nfs/dbraw/zinc/13/80/48/613138048.db2.gz GTLHYJVFIMRVJC-UHFFFAOYSA-N 0 1 289.383 0.995 20 30 CCEDMN C#CCN1CCC(NC(=O)c2csc(=O)[nH]2)CC1 ZINC000928654343 613138094 /nfs/dbraw/zinc/13/80/94/613138094.db2.gz XQGNNDVFQADSBX-UHFFFAOYSA-N 0 1 265.338 0.676 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CCN(C)C3=O)C2)C1 ZINC000981950840 613141759 /nfs/dbraw/zinc/14/17/59/613141759.db2.gz MFQCXZASIHNSTI-CYBMUJFWSA-N 0 1 289.379 0.022 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)c1n[nH]c(C)n1)[C@H]1CCCO1 ZINC000807137797 613142269 /nfs/dbraw/zinc/14/22/69/613142269.db2.gz BINXWLYDQIPRDL-INTQDDNPSA-N 0 1 277.328 0.654 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CCCNC3=O)C2)C1 ZINC000981825275 613143254 /nfs/dbraw/zinc/14/32/54/613143254.db2.gz AZPUFKWLPJHHSL-ZDUSSCGKSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CO[C@H](C)C3)C2)C1 ZINC000981860743 613143710 /nfs/dbraw/zinc/14/37/10/613143710.db2.gz YDDWBDUAURIIQX-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cccc(=O)[nH]3)C2)C1 ZINC000981690480 613144070 /nfs/dbraw/zinc/14/40/70/613144070.db2.gz UBRWQWDVLZYCRJ-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnn(CC)n3)C2)C1 ZINC000981753881 613144738 /nfs/dbraw/zinc/14/47/38/613144738.db2.gz UBHHSVCALBMODP-UHFFFAOYSA-N 0 1 287.367 0.469 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cncn3C)C2)C1 ZINC000981875275 613144864 /nfs/dbraw/zinc/14/48/64/613144864.db2.gz JOESHIMSHSQPRY-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN C#C[C@H](NC(=O)[C@H](C)Cc1cnc[nH]1)[C@H]1CCCO1 ZINC000847757892 613146740 /nfs/dbraw/zinc/14/67/40/613146740.db2.gz GARQSCOVXFRXIM-KGYLQXTDSA-N 0 1 261.325 0.885 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1nonc1C ZINC000969165439 613150207 /nfs/dbraw/zinc/15/02/07/613150207.db2.gz YDKJTEODQWDLQJ-LBPRGKRZSA-N 0 1 276.340 0.986 20 30 CCEDMN C#C[C@H](NCCc1nnn(C(C)(C)C)n1)[C@@H]1CCCO1 ZINC000851949125 613150271 /nfs/dbraw/zinc/15/02/71/613150271.db2.gz AGLMERQQYNOSJS-RYUDHWBXSA-N 0 1 277.372 0.741 20 30 CCEDMN C#C[C@H](NC[C@@H]1CN(CC)C(=O)O1)[C@H]1CCCO1 ZINC000846856463 613150397 /nfs/dbraw/zinc/15/03/97/613150397.db2.gz BUOQFIMCDCRQIH-GRYCIOLGSA-N 0 1 252.314 0.598 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)CN1CCN(CC)CC1 ZINC000890652166 613154149 /nfs/dbraw/zinc/15/41/49/613154149.db2.gz KRDMWEPQOYRQDL-AWEZNQCLSA-N 0 1 263.385 0.638 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)NCc1cc(N(C)C)ccn1 ZINC000924672992 613160925 /nfs/dbraw/zinc/16/09/25/613160925.db2.gz SFYXHFGBYKGLSL-ZDUSSCGKSA-N 0 1 288.351 0.691 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C\c2cnn(C)c2)C1 ZINC000923590881 613164761 /nfs/dbraw/zinc/16/47/61/613164761.db2.gz HAHHNTQWIXYOAZ-LMVHVUTASA-N 0 1 272.352 0.647 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C\CN2CCOCC2)C1 ZINC000923560164 613165281 /nfs/dbraw/zinc/16/52/81/613165281.db2.gz OKIWBUBBHNHXIO-ZDTYVGOBSA-N 0 1 291.395 0.089 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC(N3CCCC3)C2)cn1 ZINC000898610715 613167387 /nfs/dbraw/zinc/16/73/87/613167387.db2.gz PSLYSAYBQNAMLM-UHFFFAOYSA-N 0 1 255.321 0.983 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)cn1 ZINC000846525939 613170420 /nfs/dbraw/zinc/17/04/20/613170420.db2.gz XAEDHZZVLYUAFX-UHFFFAOYSA-N 0 1 296.334 0.139 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2ncnc3c2nnn3C)C1 ZINC000884610146 613176650 /nfs/dbraw/zinc/17/66/50/613176650.db2.gz FMMOZPRUQUNGQQ-SNVBAGLBSA-N 0 1 271.328 0.268 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)c2n[nH]c(C)n2)nc1 ZINC000804815358 613183325 /nfs/dbraw/zinc/18/33/25/613183325.db2.gz KVWIDLJRBWFASN-QMMMGPOBSA-N 0 1 255.281 0.980 20 30 CCEDMN C#CCN1CCC[C@H](NCc2cn(CCC)nn2)C1 ZINC000886209674 613192852 /nfs/dbraw/zinc/19/28/52/613192852.db2.gz RSPKFIYPYYPOTG-ZDUSSCGKSA-N 0 1 261.373 0.875 20 30 CCEDMN C#Cc1ccc(CNC(=O)CCCc2nn[nH]n2)cc1 ZINC000823258870 613193027 /nfs/dbraw/zinc/19/30/27/613193027.db2.gz UZOORGHGKNNWNS-UHFFFAOYSA-N 0 1 269.308 0.820 20 30 CCEDMN C=C(Br)CNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000895906206 613236218 /nfs/dbraw/zinc/23/62/18/613236218.db2.gz QPQAXINLZPFPDK-JTQLQIEISA-N 0 1 289.173 0.732 20 30 CCEDMN COc1cccnc1CC(=O)NCC#CCN(C)C ZINC000913521987 617322543 /nfs/dbraw/zinc/32/25/43/617322543.db2.gz VIEFBSKOZQUGNK-UHFFFAOYSA-N 0 1 261.325 0.314 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCc2nc[nH]c2C1 ZINC000861760626 613197449 /nfs/dbraw/zinc/19/74/49/613197449.db2.gz KNEMXVAAALEILZ-ZDUSSCGKSA-N 0 1 258.325 0.392 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@H](C)CC(=O)[O-] ZINC000909479783 613198992 /nfs/dbraw/zinc/19/89/92/613198992.db2.gz UGICMSOSUORAAV-QWRGUYRKSA-N 0 1 252.314 0.311 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N(C)CC2CN(C)C2)c1 ZINC000850627735 613201618 /nfs/dbraw/zinc/20/16/18/613201618.db2.gz ARCZFQJPFUTZAA-UHFFFAOYSA-N 0 1 285.347 0.626 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CCC(=O)N1C ZINC000838795163 613203422 /nfs/dbraw/zinc/20/34/22/613203422.db2.gz CEIFSZSIUKOMLF-NWDGAFQWSA-N 0 1 264.325 0.248 20 30 CCEDMN C#CCN1CCN(C(=O)CN2C[C@@H](OC)CC[C@@H]2C)CC1 ZINC000879323861 613205222 /nfs/dbraw/zinc/20/52/22/613205222.db2.gz XLUMZUBENMKCHM-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN C#Cc1cnc(N2CCN(C[C@H](C)O)C[C@@H]2C)nc1 ZINC000827944212 613209452 /nfs/dbraw/zinc/20/94/52/613209452.db2.gz BUEKMQLTMMAXHL-RYUDHWBXSA-N 0 1 260.341 0.349 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@@H]2CN3CCN2CCC3)c1 ZINC000854353436 613217214 /nfs/dbraw/zinc/21/72/14/613217214.db2.gz ZBAQHTVHCCSSKY-OAHLLOKOSA-N 0 1 284.363 0.183 20 30 CCEDMN C(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1cnc2ncccn12 ZINC000755813242 613223055 /nfs/dbraw/zinc/22/30/55/613223055.db2.gz BQYUJKBDNKPCMW-TXEJJXNPSA-N 0 1 283.339 0.923 20 30 CCEDMN C(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1cnn2cccnc12 ZINC000755816113 613223516 /nfs/dbraw/zinc/22/35/16/613223516.db2.gz YASAMSDBKPMHNZ-RYUDHWBXSA-N 0 1 283.339 0.923 20 30 CCEDMN c1c(CN=Nc2ccncn2)nnn1C[C@H]1CCCO1 ZINC000872380412 613223818 /nfs/dbraw/zinc/22/38/18/613223818.db2.gz HQOMANOJAMOYGL-LLVKDONJSA-N 0 1 273.300 0.693 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(OC)nn2)[C@@H](C)C1 ZINC000943985809 613236366 /nfs/dbraw/zinc/23/63/66/613236366.db2.gz AKSDLCQDVUJTMQ-NWDGAFQWSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccncn2)C[C@@H]1C ZINC000947825626 613239473 /nfs/dbraw/zinc/23/94/73/613239473.db2.gz UHWLMHXYHHDUEX-NWDGAFQWSA-N 0 1 258.325 0.693 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC000972072229 613241795 /nfs/dbraw/zinc/24/17/95/613241795.db2.gz HEMVGBCCHBZUKF-NWDGAFQWSA-N 0 1 281.400 0.995 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(CC)n2)[C@H](C)C1 ZINC000942037806 613241853 /nfs/dbraw/zinc/24/18/53/613241853.db2.gz RGPOVYKAEYDTIX-VXGBXAGGSA-N 0 1 275.356 0.371 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](C)C1 ZINC000941960343 613243254 /nfs/dbraw/zinc/24/32/54/613243254.db2.gz VUTFAGJGWGGWMJ-GXSJLCMTSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nonc2C)C[C@H]1C ZINC000948093894 613244839 /nfs/dbraw/zinc/24/48/39/613244839.db2.gz BPKORTJEUXEZNP-MWLCHTKSSA-N 0 1 262.313 0.594 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2COC(=O)N2)C1 ZINC000950006107 613245551 /nfs/dbraw/zinc/24/55/51/613245551.db2.gz ZJWHSCMCIHVQBZ-GFCCVEGCSA-N 0 1 281.356 0.594 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC000965890345 613251635 /nfs/dbraw/zinc/25/16/35/613251635.db2.gz FYQOMVILVPSFHI-SMDDNHRTSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000965890345 613251636 /nfs/dbraw/zinc/25/16/36/613251636.db2.gz FYQOMVILVPSFHI-SMDDNHRTSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc3ncccn3n2)[C@@H](C)C1 ZINC000943737365 613267802 /nfs/dbraw/zinc/26/78/02/613267802.db2.gz BGZZCSWHBCKCEW-STQMWFEESA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn(C)n2)[C@@H](C)C1 ZINC000944916658 613272064 /nfs/dbraw/zinc/27/20/64/613272064.db2.gz AMKOGXNRXMRQFX-RYUDHWBXSA-N 0 1 260.341 0.494 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CC=C)nn2)C[C@@H]1C ZINC000947791890 613273680 /nfs/dbraw/zinc/27/36/80/613273680.db2.gz HCONWCJDGLYMGG-STQMWFEESA-N 0 1 287.367 0.680 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2)[C@@H](C)C1 ZINC000941794949 613275105 /nfs/dbraw/zinc/27/51/05/613275105.db2.gz OTACWMBWCFHYPP-AAEUAGOBSA-N 0 1 260.341 0.494 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3cccnc23)C[C@H]1C ZINC000947608222 613275787 /nfs/dbraw/zinc/27/57/87/613275787.db2.gz WGFVBVIWGSYMHL-OLZOCXBDSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nn(CC)nc2C)[C@H](C)C1 ZINC000946182507 613278766 /nfs/dbraw/zinc/27/87/66/613278766.db2.gz YDXSSENEDHYNHW-YPMHNXCESA-N 0 1 289.383 0.680 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN2CCCC2=O)C(C)(C)C1 ZINC000972794999 613280318 /nfs/dbraw/zinc/28/03/18/613280318.db2.gz VQUHGOCOHRQPHB-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cn(CC)nn2)C(C)(C)C1 ZINC000974858717 613280964 /nfs/dbraw/zinc/28/09/64/613280964.db2.gz YKQCCYHQORTPND-CYBMUJFWSA-N 0 1 289.383 0.300 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)C(C)(C)C1 ZINC000972772520 613283395 /nfs/dbraw/zinc/28/33/95/613283395.db2.gz YPWFEWYWEUCZLK-LLVKDONJSA-N 0 1 274.324 0.256 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC000958318486 613285803 /nfs/dbraw/zinc/28/58/03/613285803.db2.gz DENDSMWWLPLWBK-MQLXINIDSA-N 0 1 262.353 0.075 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc3c(c2)CCC3)C1 ZINC000958656913 613286920 /nfs/dbraw/zinc/28/69/20/613286920.db2.gz BCAUYLRKDIQTTQ-DLBZAZTESA-N 0 1 298.386 0.831 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c(C)noc2CC)[C@H](O)C1 ZINC000958662275 613288364 /nfs/dbraw/zinc/28/83/64/613288364.db2.gz QGANINIWUHIBFP-VXGBXAGGSA-N 0 1 291.351 0.201 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C23CCC(CC2)C3)[C@H](O)C1 ZINC000958183776 613288382 /nfs/dbraw/zinc/28/83/82/613288382.db2.gz QJZYGTOAGWIJNS-MYMJJRTCSA-N 0 1 276.380 0.609 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c(F)cncc2F)[C@H](O)C1 ZINC000958100881 613288555 /nfs/dbraw/zinc/28/85/55/613288555.db2.gz IKODEGFZUFPJNN-BXKDBHETSA-N 0 1 295.289 0.016 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(Cl)o2)[C@H](O)C1 ZINC000957881792 613289115 /nfs/dbraw/zinc/28/91/15/613289115.db2.gz FXPIHGPTMZKOAT-NXEZZACHSA-N 0 1 282.727 0.589 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(CC)s2)[C@H](O)C1 ZINC000958232681 613289181 /nfs/dbraw/zinc/28/91/81/613289181.db2.gz VSFLGSSNGGBNBW-DGCLKSJQSA-N 0 1 292.404 0.966 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3ncn(C)n3)C[C@]2(C)C1 ZINC000982273283 613294172 /nfs/dbraw/zinc/29/41/72/613294172.db2.gz MQRKLJARUZZCRQ-GASCZTMLSA-N 0 1 287.367 0.232 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc3[nH]ccc32)C1 ZINC000958418850 613294936 /nfs/dbraw/zinc/29/49/36/613294936.db2.gz WFRRYYSLFXAUNY-LRDDRELGSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccccc2)C1 ZINC000957827157 613295177 /nfs/dbraw/zinc/29/51/77/613295177.db2.gz UONSRQJRWAZSDU-KBPBESRZSA-N 0 1 258.321 0.342 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2c[nH]c(=O)n2C)C(C)(C)C1 ZINC000974905287 613301412 /nfs/dbraw/zinc/30/14/12/613301412.db2.gz VEMIROVKFGNNOZ-NSHDSACASA-N 0 1 276.340 0.199 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc3ncccc3o2)C1 ZINC000958277118 613302942 /nfs/dbraw/zinc/30/29/42/613302942.db2.gz NLVMPKZSZUXJFC-YPMHNXCESA-N 0 1 299.330 0.484 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC000958313292 613303408 /nfs/dbraw/zinc/30/34/08/613303408.db2.gz MNCYYHADEFBTPJ-OCCSQVGLSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ncoc2C2CC2)C1 ZINC000958569187 613304783 /nfs/dbraw/zinc/30/47/83/613304783.db2.gz DGQUCMOIOSTXQR-NEPJUHHUSA-N 0 1 289.335 0.208 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC000981756982 613310506 /nfs/dbraw/zinc/31/05/06/613310506.db2.gz LLJVKPQASPKVTR-OLZOCXBDSA-N 0 1 279.384 0.608 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CN(C)CCO2)CC1 ZINC000980893191 613311349 /nfs/dbraw/zinc/31/13/49/613311349.db2.gz HZCMLYRKBJQALA-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)noc1C ZINC000958197310 613317208 /nfs/dbraw/zinc/31/72/08/613317208.db2.gz KWNHLQYBGZPSIF-PJXYFTJBSA-N 0 1 259.309 0.585 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(Cl)cnn1C ZINC000959912302 613317367 /nfs/dbraw/zinc/31/73/67/613317367.db2.gz MDTZKVCTUBCIDN-JZYVYDRUSA-N 0 1 278.743 0.367 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C(C)C)n[nH]1 ZINC000961833386 613318000 /nfs/dbraw/zinc/31/80/00/613318000.db2.gz SFYNGAXLUGYGOS-YABSGUDNSA-N 0 1 272.352 0.826 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]nc1C1CC1 ZINC000962210063 613318018 /nfs/dbraw/zinc/31/80/18/613318018.db2.gz XZVMNBGDQSZABR-IMRBUKKESA-N 0 1 270.336 0.580 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(OC)cc1 ZINC000962379820 613319156 /nfs/dbraw/zinc/31/91/56/613319156.db2.gz GERJJUCIKAGWJK-FICVDOATSA-N 0 1 270.332 0.988 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2[nH]cnc2c1 ZINC000960122835 613321188 /nfs/dbraw/zinc/32/11/88/613321188.db2.gz FMLQQNDQCIPPCB-PJXYFTJBSA-N 0 1 281.319 0.251 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2c1CCC2 ZINC000960193816 613321217 /nfs/dbraw/zinc/32/12/17/613321217.db2.gz VAYWNUKZLLSFJX-IMRBUKKESA-N 0 1 270.336 0.123 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2ccccn12 ZINC000960676848 613321253 /nfs/dbraw/zinc/32/12/53/613321253.db2.gz AFTHKBICYSDGEJ-JYAVWHMHSA-N 0 1 280.331 0.628 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2cccnn21 ZINC000961984544 613321483 /nfs/dbraw/zinc/32/14/83/613321483.db2.gz RGMSPKGZCNRUJC-YABSGUDNSA-N 0 1 281.319 0.023 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ocnc1CC ZINC000961715308 613322339 /nfs/dbraw/zinc/32/23/39/613322339.db2.gz RQHJBRZISBIRSD-IAZYJMLFSA-N 0 1 259.309 0.530 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncnc2ccsc21 ZINC000960220993 613322584 /nfs/dbraw/zinc/32/25/84/613322584.db2.gz YSKJDPDLLLBNFY-IAZYJMLFSA-N 0 1 298.371 0.985 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@](C)(C(=O)OC)C1 ZINC000839664666 613329377 /nfs/dbraw/zinc/32/93/77/613329377.db2.gz CSFQVTJCUSQHRF-ZDUSSCGKSA-N 0 1 252.314 0.011 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)[O-] ZINC000910292743 613355952 /nfs/dbraw/zinc/35/59/52/613355952.db2.gz IBKWNOBXQBYFHO-WDEREUQCSA-N 0 1 286.397 0.959 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000882899009 613358240 /nfs/dbraw/zinc/35/82/40/613358240.db2.gz CBMCEQMZCQHWPW-LLVKDONJSA-N 0 1 289.339 0.867 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@@H](C)N(C)C)C(=O)OCC ZINC000881755876 613358859 /nfs/dbraw/zinc/35/88/59/613358859.db2.gz HOKBEBLJOXJPPO-MNOVXSKESA-N 0 1 256.346 0.951 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NOCCN1CCCC1=O ZINC000912628387 613361475 /nfs/dbraw/zinc/36/14/75/613361475.db2.gz AHJDXTDOJHUHAD-AAEUAGOBSA-N 0 1 282.340 0.638 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000906776808 613363067 /nfs/dbraw/zinc/36/30/67/613363067.db2.gz FGORMNLKYJZAKE-KBUPBQIOSA-N 0 1 295.427 0.718 20 30 CCEDMN C=C(Cl)CN1CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC000950582000 613374630 /nfs/dbraw/zinc/37/46/30/613374630.db2.gz UWXPPERJUIBKIB-UHFFFAOYSA-N 0 1 283.763 0.634 20 30 CCEDMN C=C(Cl)CN1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC000950582000 613374631 /nfs/dbraw/zinc/37/46/31/613374631.db2.gz UWXPPERJUIBKIB-UHFFFAOYSA-N 0 1 283.763 0.634 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2ncc[nH]2)C1 ZINC000970340535 613384796 /nfs/dbraw/zinc/38/47/96/613384796.db2.gz BEMPBOCERWTFSF-SNVBAGLBSA-N 0 1 268.748 0.895 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2nnc[nH]2)C1 ZINC000970442915 613384894 /nfs/dbraw/zinc/38/48/94/613384894.db2.gz CPUBGRYJVNPPPN-SECBINFHSA-N 0 1 269.736 0.290 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cc2nnc[nH]2)C[C@H]1C ZINC000939499959 613386232 /nfs/dbraw/zinc/38/62/32/613386232.db2.gz PUHBVXFXEOOULV-PSASIEDQSA-N 0 1 283.763 0.536 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N1CCS(=O)(=O)C[C@@H](C)C1 ZINC000876911216 613389471 /nfs/dbraw/zinc/38/94/71/613389471.db2.gz YEEADGTXUUETEZ-WPRPVWTQSA-N 0 1 294.804 0.349 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000876555959 613390569 /nfs/dbraw/zinc/39/05/69/613390569.db2.gz IMRDENLVHWCFBB-WCBMZHEXSA-N 0 1 280.777 0.150 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2[C@H]3CN(CCF)C[C@H]32)nn1 ZINC000978813998 613429490 /nfs/dbraw/zinc/42/94/90/613429490.db2.gz IJTJKEGKWWLHTR-CNDDSTCGSA-N 0 1 293.346 0.341 20 30 CCEDMN C=CCn1cc(CN[C@@H]2CCO[C@@]23CCOC3)nn1 ZINC000903192885 613435873 /nfs/dbraw/zinc/43/58/73/613435873.db2.gz RFMBYOAVARVUEG-CHWSQXEVSA-N 0 1 264.329 0.502 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC000938449949 613440441 /nfs/dbraw/zinc/44/04/41/613440441.db2.gz PXABBCOFMZBMPM-VIFPVBQESA-N 0 1 277.328 0.348 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC000938449949 613440442 /nfs/dbraw/zinc/44/04/42/613440442.db2.gz PXABBCOFMZBMPM-VIFPVBQESA-N 0 1 277.328 0.348 20 30 CCEDMN C=CCC(F)(F)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000815597902 613455236 /nfs/dbraw/zinc/45/52/36/613455236.db2.gz IKJLGTSMRQYVIN-LLVKDONJSA-N 0 1 275.343 0.950 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H](C)C1CN(CCO)C1 ZINC000969692460 613458387 /nfs/dbraw/zinc/45/83/87/613458387.db2.gz NKKIEHVCTXYDOB-YUTCNCBUSA-N 0 1 282.384 0.396 20 30 CCEDMN C=CCC1(O)CCN(CCNS(=O)(=O)CC)CC1 ZINC000886764443 613460069 /nfs/dbraw/zinc/46/00/69/613460069.db2.gz SUSUOIPCNIXIOM-UHFFFAOYSA-N 0 1 276.402 0.329 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@]2(C1)CN(CC)CCO2 ZINC000972562029 613464033 /nfs/dbraw/zinc/46/40/33/613464033.db2.gz ZOKYGUKVWIHSQW-IJEWVQPXSA-N 0 1 294.395 0.901 20 30 CCEDMN C=CCCCS(=O)(=O)Nc1cc(C(=O)OC)n(C)n1 ZINC000863449742 613469810 /nfs/dbraw/zinc/46/98/10/613469810.db2.gz WWGYMOKEBKAVFV-UHFFFAOYSA-N 0 1 287.341 0.915 20 30 CCEDMN C=CCCC[C@H](C)NC(=O)C(=O)N1CCNC[C@@H]1COC ZINC000911078718 613472293 /nfs/dbraw/zinc/47/22/93/613472293.db2.gz MEESSAHPOSMNHS-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000845623262 613485145 /nfs/dbraw/zinc/48/51/45/613485145.db2.gz OKRMDLMTWFAWKV-RYUDHWBXSA-N 0 1 285.388 0.979 20 30 CCEDMN C=C[C@H](C)ONC(=O)NCc1nc(CSC)n[nH]1 ZINC000889313460 613487161 /nfs/dbraw/zinc/48/71/61/613487161.db2.gz MOMFCOVHLLTVQW-ZETCQYMHSA-N 0 1 271.346 0.973 20 30 CCEDMN C=C[C@H](C)ONC(=O)NCc1nnc(CSC)[nH]1 ZINC000889313460 613487162 /nfs/dbraw/zinc/48/71/62/613487162.db2.gz MOMFCOVHLLTVQW-ZETCQYMHSA-N 0 1 271.346 0.973 20 30 CCEDMN C=C[C@H](C)ONC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000845634980 613487817 /nfs/dbraw/zinc/48/78/17/613487817.db2.gz CZHWMJRZKFQICU-NEPJUHHUSA-N 0 1 270.377 0.428 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000941446519 613503693 /nfs/dbraw/zinc/50/36/93/613503693.db2.gz WJBNDZZARMNOEL-QMTHXVAHSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCN1CCN(C(=O)Cc2cn(C)nc2C)CC1 ZINC000948795571 613504543 /nfs/dbraw/zinc/50/45/43/613504543.db2.gz UVOXLKVYDYKDGP-UHFFFAOYSA-N 0 1 276.384 0.991 20 30 CCEDMN C=C[C@](C)(O)C(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829228931 613506128 /nfs/dbraw/zinc/50/61/28/613506128.db2.gz YZGMCVAWSBCELG-AWEZNQCLSA-N 0 1 282.384 0.494 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)c3ncc[nH]3)C2)C1 ZINC000972378713 613513586 /nfs/dbraw/zinc/51/35/86/613513586.db2.gz UCLUWQBXMXXOJV-HNNXBMFYSA-N 0 1 290.367 0.903 20 30 CCEDMN CC#CC(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000801141986 613517615 /nfs/dbraw/zinc/51/76/15/613517615.db2.gz ZWCNYJUBLZXYDI-CYBMUJFWSA-N 0 1 250.342 0.723 20 30 CCEDMN CC#CCN(C)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000820820980 613523616 /nfs/dbraw/zinc/52/36/16/613523616.db2.gz VWMSYKMLRYPEMS-UHFFFAOYSA-N 0 1 263.341 0.857 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cccc(C(N)=O)c2)C1 ZINC000969984821 613533229 /nfs/dbraw/zinc/53/32/29/613533229.db2.gz MAUZDUOWFVJVPO-GFCCVEGCSA-N 0 1 299.374 0.859 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3nccnc3N)C2)C1 ZINC000981676700 613543951 /nfs/dbraw/zinc/54/39/51/613543951.db2.gz ZMIWIYNOJWYZME-UHFFFAOYSA-N 0 1 299.378 0.620 20 30 CCEDMN C=CCCOC(=O)[C@H](C)OC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000842373522 613570742 /nfs/dbraw/zinc/57/07/42/613570742.db2.gz OXGHYDSHIVJQEM-DJLDLDEBSA-N 0 1 257.242 0.703 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cncn2C)C1 ZINC000968543519 613575248 /nfs/dbraw/zinc/57/52/48/613575248.db2.gz BWOXFYFGBACUMC-UKRRQHHQSA-N 0 1 288.395 0.813 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC000833375112 613580772 /nfs/dbraw/zinc/58/07/72/613580772.db2.gz WUQNDZXYRCQZQG-LLVKDONJSA-N 0 1 290.385 0.373 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833375112 613580773 /nfs/dbraw/zinc/58/07/73/613580773.db2.gz WUQNDZXYRCQZQG-LLVKDONJSA-N 0 1 290.385 0.373 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[NH+]([C@H](C)CC(=O)[O-])CC1 ZINC000825675996 613580799 /nfs/dbraw/zinc/58/07/99/613580799.db2.gz NOQHGRBSZMUXCH-LLVKDONJSA-N 0 1 290.385 0.373 20 30 CCEDMN C=CCC[C@@H](NC(=O)N[C@@H]1CC[N@H+](CCOC)C1)C(=O)[O-] ZINC000908946266 613584916 /nfs/dbraw/zinc/58/49/16/613584916.db2.gz KDSBJCAQODIZBQ-VXGBXAGGSA-N 0 1 299.371 0.426 20 30 CCEDMN C=CCC[C@@H]1NC(=O)N(C[C@@H]2CCN2CC)C1=O ZINC000925099876 613587413 /nfs/dbraw/zinc/58/74/13/613587413.db2.gz YXQWNYZYHRQYTR-QWRGUYRKSA-N 0 1 251.330 0.967 20 30 CCEDMN C=CCC[C@H](NC(=O)C[N@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC000909712775 613589555 /nfs/dbraw/zinc/58/95/55/613589555.db2.gz AABQXXVALWSITL-QWRGUYRKSA-N 0 1 286.397 0.959 20 30 CCEDMN C=CCC[C@H](O)CN1CCO[C@H](C[N@H+](C)CC(=O)[O-])C1 ZINC000923242033 613591015 /nfs/dbraw/zinc/59/10/15/613591015.db2.gz SEGWJOTZCDWYHX-QWHCGFSZSA-N 0 1 286.372 0.031 20 30 CCEDMN C=CCCn1cc(C(=O)O[C@@H](C)c2nnc[nH]2)nn1 ZINC000853224432 613597503 /nfs/dbraw/zinc/59/75/03/613597503.db2.gz GBGBNTJZUPMXGL-QMMMGPOBSA-N 0 1 262.273 0.890 20 30 CCEDMN C=CCN(C(=O)NC[C@@H]1CCN1C)[C@@H](C)COC ZINC000925430982 613606048 /nfs/dbraw/zinc/60/60/48/613606048.db2.gz RNBCKPIUHXZYDX-RYUDHWBXSA-N 0 1 255.362 0.923 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccccc2O)C1 ZINC000957877943 613613298 /nfs/dbraw/zinc/61/32/98/613613298.db2.gz DTDRLDRVQDLPCN-SWLSCSKDSA-N 0 1 288.347 0.438 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cscn2)C1 ZINC000957919927 613613599 /nfs/dbraw/zinc/61/35/99/613613599.db2.gz YEDGMOWMKBFYAT-CMPLNLGQSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)C2CC3(CC3)C2)[C@H](O)C1 ZINC000958398659 613614412 /nfs/dbraw/zinc/61/44/12/613614412.db2.gz GBAPFSPQKSTWOI-ZIAGYGMSSA-N 0 1 276.380 0.609 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)C2CC(C)(C)C2)C1 ZINC000958048046 613618616 /nfs/dbraw/zinc/61/86/16/613618616.db2.gz YVYAPZVYSKKWQE-KBPBESRZSA-N 0 1 278.396 0.855 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccs2)C1 ZINC000957839304 613618668 /nfs/dbraw/zinc/61/86/68/613618668.db2.gz XEGLYPVYRPVEAR-RYUDHWBXSA-N 0 1 278.377 0.794 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)no2)C1 ZINC000957859227 613618697 /nfs/dbraw/zinc/61/86/97/613618697.db2.gz MXWXJQGWXVYEAK-RYUDHWBXSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc[nH]c2C)C1 ZINC000957952889 613618705 /nfs/dbraw/zinc/61/87/05/613618705.db2.gz ICLUOFHBCWSERB-JSGCOSHPSA-N 0 1 275.352 0.369 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977507356 613621101 /nfs/dbraw/zinc/62/11/01/613621101.db2.gz XKBNLFRBAGGNHJ-RYUDHWBXSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974635263 613621219 /nfs/dbraw/zinc/62/12/19/613621219.db2.gz AVXKOSAWNZZMFZ-OLZOCXBDSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cn2ccnc2)C(C)(C)C1 ZINC000977642490 613621388 /nfs/dbraw/zinc/62/13/88/613621388.db2.gz GMTZQBPADAKTAV-ZDUSSCGKSA-N 0 1 274.368 0.733 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2nocc2C)C1 ZINC000958571452 613626475 /nfs/dbraw/zinc/62/64/75/613626475.db2.gz XILNZWZLOZHHIV-NEPJUHHUSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)nn1C ZINC000958339998 613638410 /nfs/dbraw/zinc/63/84/10/613638410.db2.gz NIZOWNVOSKGJEI-IMRBUKKESA-N 0 1 272.352 0.412 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)nnn1CC ZINC000960253339 613638763 /nfs/dbraw/zinc/63/87/63/613638763.db2.gz AXFJJISQWYUUSD-ITGUQSILSA-N 0 1 287.367 0.290 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(Cl)n(C)n1 ZINC000960963045 613639584 /nfs/dbraw/zinc/63/95/84/613639584.db2.gz MWDZHTVNGJRMQK-IWIIMEHWSA-N 0 1 292.770 0.757 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)on1 ZINC000958178215 613639698 /nfs/dbraw/zinc/63/96/98/613639698.db2.gz YTCOFXQVLYMELA-PJXYFTJBSA-N 0 1 259.309 0.666 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnc1 ZINC000958104801 613641401 /nfs/dbraw/zinc/64/14/01/613641401.db2.gz YBOXJIYOTATBMR-WDNDVIMCSA-N 0 1 255.321 0.765 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnnc1C ZINC000960811764 613641494 /nfs/dbraw/zinc/64/14/94/613641494.db2.gz VNKRYTLEFXHIKS-WDNDVIMCSA-N 0 1 270.336 0.468 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncoc1C ZINC000958352234 613643272 /nfs/dbraw/zinc/64/32/72/613643272.db2.gz NMHFVFKDFXWUKP-PJXYFTJBSA-N 0 1 259.309 0.666 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc(C)oc1C ZINC000962133680 613643284 /nfs/dbraw/zinc/64/32/84/613643284.db2.gz UNMGVKYGJYUTRW-IMRBUKKESA-N 0 1 273.336 0.975 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nn(C)cc1C ZINC000959869412 613643370 /nfs/dbraw/zinc/64/33/70/613643370.db2.gz UMVPDMRVCQWZDR-IMRBUKKESA-N 0 1 272.352 0.412 20 30 CCEDMN CC#CCNC(=O)NCc1nc(CSC)n[nH]1 ZINC000884243260 613644421 /nfs/dbraw/zinc/64/44/21/613644421.db2.gz ABTUPRSVYKUGDS-UHFFFAOYSA-N 0 1 253.331 0.490 20 30 CCEDMN CC#CCNC(=O)NCc1nnc(CSC)[nH]1 ZINC000884243260 613644423 /nfs/dbraw/zinc/64/44/23/613644423.db2.gz ABTUPRSVYKUGDS-UHFFFAOYSA-N 0 1 253.331 0.490 20 30 CCEDMN CC(=NNCC(=O)[O-])[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000814959746 613654555 /nfs/dbraw/zinc/65/45/55/613654555.db2.gz BDDNSXJUYPZHOV-CQSZACIVSA-N 0 1 291.351 0.938 20 30 CCEDMN CC(=NNCC(=O)[O-])[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000814959746 613654556 /nfs/dbraw/zinc/65/45/56/613654556.db2.gz BDDNSXJUYPZHOV-CQSZACIVSA-N 0 1 291.351 0.938 20 30 CCEDMN CC(=NNCCN1CCCCC1)c1cnn(C)n1 ZINC000834941907 613655251 /nfs/dbraw/zinc/65/52/51/613655251.db2.gz FRYVCMQBUKMLTA-UHFFFAOYSA-N 0 1 250.350 0.615 20 30 CCEDMN CC(=NNc1cnnn1C)c1ccc2c(c1)NC(=O)CO2 ZINC000814981169 613657834 /nfs/dbraw/zinc/65/78/34/613657834.db2.gz XYKJUMKFBXTKCS-UHFFFAOYSA-N 0 1 286.295 0.982 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(C(N)=O)co2)C1 ZINC000970407548 613664186 /nfs/dbraw/zinc/66/41/86/613664186.db2.gz JVUCGBBMPLGJPG-SECBINFHSA-N 0 1 277.324 0.615 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccoc2CC(N)=O)C1 ZINC000970337716 613666624 /nfs/dbraw/zinc/66/66/24/613666624.db2.gz DFSKUYGBGCXOFM-SNVBAGLBSA-N 0 1 291.351 0.544 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2coc(C(N)=O)c2)C1 ZINC000970210048 613667807 /nfs/dbraw/zinc/66/78/07/613667807.db2.gz LQCGNNPHWSIBMK-SECBINFHSA-N 0 1 277.324 0.615 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2nn(CC)nc2C)C1 ZINC000970983932 613668181 /nfs/dbraw/zinc/66/81/81/613668181.db2.gz AVXVRFNJJJTZHZ-SNVBAGLBSA-N 0 1 277.372 0.843 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(C(N)=O)co2)C1 ZINC000970407549 613670493 /nfs/dbraw/zinc/67/04/93/613670493.db2.gz JVUCGBBMPLGJPG-VIFPVBQESA-N 0 1 277.324 0.615 20 30 CCEDMN C=CCN1CCCCC[C@H]1CNC(=O)c1nnn(C)n1 ZINC000968990430 613687934 /nfs/dbraw/zinc/68/79/34/613687934.db2.gz DBAKRDDIYGXLPB-NSHDSACASA-N 0 1 278.360 0.371 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC000981770162 613691755 /nfs/dbraw/zinc/69/17/55/613691755.db2.gz GCYUEVHYZUYJTD-LBPRGKRZSA-N 0 1 265.357 0.328 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2COCCN2C)CC1 ZINC000981014898 613694446 /nfs/dbraw/zinc/69/44/46/613694446.db2.gz VIZFDBAYSOAOQZ-CYBMUJFWSA-N 0 1 267.373 0.037 20 30 CCEDMN C=CCN1CCN(CN2C[C@@H](C)N(CCO)[C@@H](C)C2)C1=O ZINC000840134885 613721596 /nfs/dbraw/zinc/72/15/96/613721596.db2.gz PZXYYZSOYJGIRS-OKILXGFUSA-N 0 1 296.415 0.254 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC000964961424 613766814 /nfs/dbraw/zinc/76/68/14/613766814.db2.gz IXQBMNFJQVCQPH-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cnn(CC)n2)C1 ZINC000965996845 613770412 /nfs/dbraw/zinc/77/04/12/613770412.db2.gz UQACRZXQSLQOFR-AAEUAGOBSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965990734 613772492 /nfs/dbraw/zinc/77/24/92/613772492.db2.gz PHTBHZKXJDMFIT-CMPLNLGQSA-N 0 1 278.356 0.933 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCO[C@@H]2CCOC2)C1=O ZINC000930310781 613776629 /nfs/dbraw/zinc/77/66/29/613776629.db2.gz OYJDGTGKSVVHJY-OLZOCXBDSA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(C(=O)NOC)CC2)C1=O ZINC000879924325 613778063 /nfs/dbraw/zinc/77/80/63/613778063.db2.gz PDPIMHVLXZMWPT-LBPRGKRZSA-N 0 1 281.356 0.163 20 30 CCEDMN C=CCN1CC[C@H](OC(=O)CCCCc2cn[nH]n2)C1=O ZINC000798651976 613802954 /nfs/dbraw/zinc/80/29/54/613802954.db2.gz UTUSJUCHQGJDLY-LBPRGKRZSA-N 0 1 292.339 0.848 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CCCNC2=O)C(C)(C)C1 ZINC000974690854 613827507 /nfs/dbraw/zinc/82/75/07/613827507.db2.gz KLCAKQOHVUTNPQ-NEPJUHHUSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H]2C[C@@H](OC)C2)CC1 ZINC000877894110 613845730 /nfs/dbraw/zinc/84/57/30/613845730.db2.gz XUBDJLBZPZHHBS-OKILXGFUSA-N 0 1 281.400 0.331 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](Cc2ccccc2)[C@H](O)C1 ZINC000934439157 613845861 /nfs/dbraw/zinc/84/58/61/613845861.db2.gz CVKZYWOYHHKYIP-HUUCEWRRSA-N 0 1 274.364 0.824 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@@H]1CCCN1C(C)C ZINC000935268612 613846763 /nfs/dbraw/zinc/84/67/63/613846763.db2.gz XHSSQSCTIZHVQB-NSHDSACASA-N 0 1 253.346 0.278 20 30 CCEDMN C=CCOCCCNC(=O)[C@@]1(C)C[C@@H](O)CN1C ZINC000912501140 613880248 /nfs/dbraw/zinc/88/02/48/613880248.db2.gz ZGZHVVTZRWHSJJ-DGCLKSJQSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCOCCN1CCCC[C@@H]1CS(N)(=O)=O ZINC000931230247 613880491 /nfs/dbraw/zinc/88/04/91/613880491.db2.gz JNZPQZJUCOBFCJ-LLVKDONJSA-N 0 1 262.375 0.332 20 30 CCEDMN C=CCOC[C@H](NC(=O)C(F)(F)C(F)F)C(=O)OC ZINC000797761121 613882201 /nfs/dbraw/zinc/88/22/01/613882201.db2.gz DHDNHRFMKYXNIN-LURJTMIESA-N 0 1 287.209 0.747 20 30 CCEDMN C=CCS(=O)(=O)CC[NH2+]Cc1ccoc1C(=O)[O-] ZINC000833377874 613885874 /nfs/dbraw/zinc/88/58/74/613885874.db2.gz CYOUACLTSGTATP-UHFFFAOYSA-N 0 1 273.310 0.668 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COC[C@H]1CCCO1 ZINC000113653453 613930828 /nfs/dbraw/zinc/93/08/28/613930828.db2.gz OVBMLIUAWGVRCG-MNOVXSKESA-N 0 1 268.313 0.415 20 30 CCEDMN CC(C)(C)n1cc(C(=O)C(=O)N[C@H]2CNC[C@H]2C#N)cn1 ZINC000834489188 614059306 /nfs/dbraw/zinc/05/93/06/614059306.db2.gz KMMGKHKVJTUJHO-KOLCDFICSA-N 0 1 289.339 0.049 20 30 CCEDMN CC(C)(C)n1nnc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)n1 ZINC000853324457 614068478 /nfs/dbraw/zinc/06/84/78/614068478.db2.gz HBZHSIISIBMKRR-UWVGGRQHSA-N 0 1 290.375 0.622 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)CN(C)CCCF)CC1 ZINC000887141260 614071707 /nfs/dbraw/zinc/07/17/07/614071707.db2.gz LPMUXFDMWANTBF-CQSZACIVSA-N 0 1 298.406 0.970 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N[C@@H]1C(=O)NCC1(C)C ZINC000931662796 614180952 /nfs/dbraw/zinc/18/09/52/614180952.db2.gz CVUKYOQHHIDQJG-FIXISWKDSA-N 0 1 294.399 0.544 20 30 CCEDMN CC(=O)Nc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834505496 614199367 /nfs/dbraw/zinc/19/93/67/614199367.db2.gz LRPQQRYUSBPLAQ-DGCLKSJQSA-N 0 1 272.308 0.486 20 30 CCEDMN CC(C)C[C@H]1CN(C)CCN1CC(=O)NCC#N ZINC000933248668 614226612 /nfs/dbraw/zinc/22/66/12/614226612.db2.gz BWBZHEACWUEQIP-LBPRGKRZSA-N 0 1 252.362 0.288 20 30 CCEDMN CC(=O)c1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834520941 614252520 /nfs/dbraw/zinc/25/25/20/614252520.db2.gz AAESWDBRLUKCMF-STQMWFEESA-N 0 1 257.293 0.731 20 30 CCEDMN CC(=O)c1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000848970774 614255720 /nfs/dbraw/zinc/25/57/20/614255720.db2.gz PTGHTXXZXUXVIJ-OLZOCXBDSA-N 0 1 257.293 0.731 20 30 CCEDMN CC(=O)c1cccc(OCC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000843461217 614258187 /nfs/dbraw/zinc/25/81/87/614258187.db2.gz KLUWQLPPTMAIMM-OCCSQVGLSA-N 0 1 287.319 0.496 20 30 CCEDMN CC(C)(C#N)OC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000860605011 614288909 /nfs/dbraw/zinc/28/89/09/614288909.db2.gz CBHFIHDPWOWDLP-UHFFFAOYSA-N 0 1 283.309 0.912 20 30 CCEDMN CC(C)c1cc(C(=O)NCC#CCN(C)C)nn1C ZINC000913519111 614301207 /nfs/dbraw/zinc/30/12/07/614301207.db2.gz NYHMZWROENFPRJ-UHFFFAOYSA-N 0 1 262.357 0.838 20 30 CCEDMN CC(C)c1ncncc1C(=O)NCC#CCN(C)C ZINC000913516913 614329472 /nfs/dbraw/zinc/32/94/72/614329472.db2.gz LMVFUBAYPNPUGW-UHFFFAOYSA-N 0 1 260.341 0.895 20 30 CCEDMN CCCC(=O)N1CCC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913517723 614759485 /nfs/dbraw/zinc/75/94/85/614759485.db2.gz NFWYMQRPVIIACZ-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN CCC[C@@H](C)N1C[C@H](C(=O)NCC#CCN(C)C)CC1=O ZINC000913517578 614980381 /nfs/dbraw/zinc/98/03/81/614980381.db2.gz HDOKTIFHSIZPAL-ZIAGYGMSSA-N 0 1 293.411 0.705 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000829692347 615022157 /nfs/dbraw/zinc/02/21/57/615022157.db2.gz HMNFVRQATJWUTA-GHMZBOCLSA-N 0 1 267.329 0.401 20 30 CCEDMN CCN(CCO)N=C1CCN(CCCC(=O)OC)CC1 ZINC000842535486 615055208 /nfs/dbraw/zinc/05/52/08/615055208.db2.gz PBOOPEIIUVPLFI-UHFFFAOYSA-N 0 1 285.388 0.706 20 30 CCEDMN CCN1CC(N2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)C1 ZINC000941381175 615088043 /nfs/dbraw/zinc/08/80/43/615088043.db2.gz YMAZZCGSBIBVJJ-UHFFFAOYSA-N 0 1 287.367 0.348 20 30 CCEDMN CC[N@H+]1CCCC[C@@H]1C(=O)N1CC[C@@H](N(C)CC#N)C1 ZINC000980475111 615110569 /nfs/dbraw/zinc/11/05/69/615110569.db2.gz CFOMUYLDFLNIEG-ZIAGYGMSSA-N 0 1 278.400 0.917 20 30 CCEDMN CCOC(=O)N(C)CCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000892496655 615224138 /nfs/dbraw/zinc/22/41/38/615224138.db2.gz QDOOCWMYMNRTBJ-NSHDSACASA-N 0 1 295.339 0.842 20 30 CCEDMN CCOC(=O)N1CCC[C@H](C(=O)N[C@H]2CNC[C@H]2C#N)C1 ZINC000834517556 615228868 /nfs/dbraw/zinc/22/88/68/615228868.db2.gz WZMPLNDKPJRWAQ-TUAOUCFPSA-N 0 1 294.355 0.083 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)Nc1nn(C)cc1C#N ZINC000878875134 615255153 /nfs/dbraw/zinc/25/51/53/615255153.db2.gz YGYMCKHWMXEFSL-SNVBAGLBSA-N 0 1 262.317 0.507 20 30 CCEDMN CC[C@@H](C(=O)NCC#CCN(C)C)N1CCCC1=O ZINC000913519042 615379965 /nfs/dbraw/zinc/37/99/65/615379965.db2.gz KSYBZEPEUDROGD-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)NCC#CCO)C[C@H]1C ZINC000923774817 615392753 /nfs/dbraw/zinc/39/27/53/615392753.db2.gz CGFHPMVQOAZISW-NEPJUHHUSA-N 0 1 253.346 0.106 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@H](N(C)CC#N)C2)n[nH]1 ZINC000971098216 615619805 /nfs/dbraw/zinc/61/98/05/615619805.db2.gz NGJAFUNRDVASHJ-NSHDSACASA-N 0 1 261.329 0.642 20 30 CCEDMN CCc1ccc(OCC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834512374 615677192 /nfs/dbraw/zinc/67/71/92/615677192.db2.gz VKBKYFKWXUFWBQ-TZMCWYRMSA-N 0 1 273.336 0.856 20 30 CCEDMN CCc1ccccc1OCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834511521 615688415 /nfs/dbraw/zinc/68/84/15/615688415.db2.gz LXEURLJMDXZQKO-QWHCGFSZSA-N 0 1 273.336 0.856 20 30 CCEDMN CC[C@H](C)[C@H](NC(C)=O)C(=O)NCC#CCN(C)C ZINC000913522274 615696656 /nfs/dbraw/zinc/69/66/56/615696656.db2.gz BZZWADAUQXSKQN-AAEUAGOBSA-N 0 1 267.373 0.218 20 30 CCEDMN CCc1csc(CC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000843459741 615717446 /nfs/dbraw/zinc/71/74/46/615717446.db2.gz HSRAJPYYMPYPNK-WPRPVWTQSA-N 0 1 264.354 0.476 20 30 CCEDMN CCc1nn(C)c(CC)c1CC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834500386 615792355 /nfs/dbraw/zinc/79/23/55/615792355.db2.gz SNDWUJAOTBHMRA-MFKMUULPSA-N 0 1 289.383 0.315 20 30 CCEDMN CCc1noc2ncc(C(=O)N[C@H]3CNC[C@H]3C#N)cc12 ZINC000834494258 615853605 /nfs/dbraw/zinc/85/36/05/615853605.db2.gz MJLMCLKLXORZND-SKDRFNHKSA-N 0 1 285.307 0.627 20 30 CCEDMN CN(C)CC#CCNC(=O)CCOC[C@H]1CCCO1 ZINC000913517220 615857650 /nfs/dbraw/zinc/85/76/50/615857650.db2.gz XTGUJRPPXNCEMC-CYBMUJFWSA-N 0 1 268.357 0.253 20 30 CCEDMN CN(C)CC#CCNC(=O)CCNC(=O)C1CCCCC1 ZINC000913518449 615857790 /nfs/dbraw/zinc/85/77/90/615857790.db2.gz PQCCFZRXKWERFL-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN CN(C)CC#CCNC(=O)C1CCN(C(=O)N(C)C)CC1 ZINC000913517131 615857947 /nfs/dbraw/zinc/85/79/47/615857947.db2.gz UJNOCNOBVQYWAV-UHFFFAOYSA-N 0 1 294.399 0.061 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc(C=O)cc1 ZINC000913524226 615858311 /nfs/dbraw/zinc/85/83/11/615858311.db2.gz DXXZHJIBJBJMDC-UHFFFAOYSA-N 0 1 258.321 0.723 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCCN1c1nccs1 ZINC000913518405 615859534 /nfs/dbraw/zinc/85/95/34/615859534.db2.gz NTFCBBAETRZQDI-LBPRGKRZSA-N 0 1 292.408 0.793 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(N(C)C)nc1 ZINC000913516814 615860905 /nfs/dbraw/zinc/86/09/05/615860905.db2.gz HRAHUHLMEHXONE-UHFFFAOYSA-N 0 1 260.341 0.442 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2cc[nH]c2n1 ZINC000913520185 615861362 /nfs/dbraw/zinc/86/13/62/615861362.db2.gz HOVZVORWBSAONV-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc2nccnc2c1 ZINC000913521200 615861778 /nfs/dbraw/zinc/86/17/78/615861778.db2.gz OKPZWQYDRNMAIY-UHFFFAOYSA-N 0 1 269.308 0.320 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C)c(=O)c2ccccc12 ZINC000913521829 615861784 /nfs/dbraw/zinc/86/17/84/615861784.db2.gz OODKHOOHWFQBJG-UHFFFAOYSA-N 0 1 297.358 0.833 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(-c2ccccn2)c1N ZINC000913522742 615861935 /nfs/dbraw/zinc/86/19/35/615861935.db2.gz XAXOREWYJXKZDP-UHFFFAOYSA-N 0 1 298.350 0.144 20 30 CCEDMN CN(C(=O)[C@@H]1NCCc2cc(C#N)ccc21)[C@@H]1CCOC1 ZINC000867944658 615868457 /nfs/dbraw/zinc/86/84/57/615868457.db2.gz YTXGBVSYXLZAOH-UKRRQHHQSA-N 0 1 285.347 0.992 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN1CC[C@@H](O)[C@@H](O)C1 ZINC000877750496 616012003 /nfs/dbraw/zinc/01/20/03/616012003.db2.gz RASFTFMXSRGUOI-CABCVRRESA-N 0 1 275.352 0.552 20 30 CCEDMN CN(CC(=O)NCCC#N)C(C)(C)CN1CCOCC1 ZINC000839673307 616047018 /nfs/dbraw/zinc/04/70/18/616047018.db2.gz FWYUCHOPRSJRLB-UHFFFAOYSA-N 0 1 282.388 0.059 20 30 CCEDMN C[N@H+](Cc1csc(C#N)c1)C[C@H](O)CN1CCOCC1 ZINC000892093336 616100225 /nfs/dbraw/zinc/10/02/25/616100225.db2.gz BFZWRMZZKLKJAG-ZDUSSCGKSA-N 0 1 295.408 0.745 20 30 CCEDMN CN(Cc1csc(C#N)c1)C[C@H](O)CN1CCOCC1 ZINC000892093336 616100227 /nfs/dbraw/zinc/10/02/27/616100227.db2.gz BFZWRMZZKLKJAG-ZDUSSCGKSA-N 0 1 295.408 0.745 20 30 CCEDMN CN(CCc1ccc2c(c1)OCO2)CC(=O)NCCC#N ZINC000887097389 616124461 /nfs/dbraw/zinc/12/44/61/616124461.db2.gz QRLJTYYWIQLWMR-UHFFFAOYSA-N 0 1 289.335 0.919 20 30 CCEDMN CN([C@@H]1CCN(C)C1=O)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971511371 616273455 /nfs/dbraw/zinc/27/34/55/616273455.db2.gz LDBCFQHWXDYVOH-UONOGXRCSA-N 0 1 289.379 0.163 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)NCc1nc(C#N)cs1 ZINC000896618280 616293799 /nfs/dbraw/zinc/29/37/99/616293799.db2.gz ALBDZHXUSBTQMP-SECBINFHSA-N 0 1 265.342 0.518 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)NC[C@H]2CCN2C)CC1 ZINC000925428678 616344980 /nfs/dbraw/zinc/34/49/80/616344980.db2.gz DRXBDXCOLNWKFQ-LLVKDONJSA-N 0 1 294.355 0.179 20 30 CCEDMN C[N@H+]1C[C@H](O)C[C@@]1(C)C(=O)[N-]Oc1cccc(C#N)c1 ZINC000913667067 616477533 /nfs/dbraw/zinc/47/75/33/616477533.db2.gz UUSDYPFNEMMDKH-RISCZKNCSA-N 0 1 275.308 0.423 20 30 CCEDMN CN1C[C@H](O)C[C@H]1CNC(=O)C#Cc1ccccc1 ZINC000924991607 616482104 /nfs/dbraw/zinc/48/21/04/616482104.db2.gz YHPCDPMCMHYSQC-UONOGXRCSA-N 0 1 258.321 0.219 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H](C)C(C)(F)F ZINC000815907144 616492002 /nfs/dbraw/zinc/49/20/02/616492002.db2.gz SYQCKKXEHOAHQW-YUMQZZPRSA-N 0 1 262.256 0.749 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)[C@@H]1CCCN1C1CC1 ZINC000871344485 616545322 /nfs/dbraw/zinc/54/53/22/616545322.db2.gz ZUVOZMRZNCAKOW-LBPRGKRZSA-N 0 1 279.340 0.528 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000900770399 616587310 /nfs/dbraw/zinc/58/73/10/616587310.db2.gz DDFMJAOUKSNKAP-ZANVPECISA-N 0 1 290.323 0.601 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1NCC(=O)NC1(C#N)CCCCC1 ZINC000934043916 616672362 /nfs/dbraw/zinc/67/23/62/616672362.db2.gz PJBHSVFCIAUWQW-CHWSQXEVSA-N 0 1 295.383 0.723 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1NCC(=O)N[C@](C)(C#N)C(C)C ZINC000934041457 616673598 /nfs/dbraw/zinc/67/35/98/616673598.db2.gz AQOJSWNVNJMJMN-YRGRVCCFSA-N 0 1 283.372 0.434 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1NCC(=O)N[C@@](C)(C#N)C1CC1 ZINC000934041451 616673661 /nfs/dbraw/zinc/67/36/61/616673661.db2.gz AQHAMWRFVVJUGA-BZPMIXESSA-N 0 1 281.356 0.188 20 30 CCEDMN CO[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC000850760119 616700007 /nfs/dbraw/zinc/70/00/07/616700007.db2.gz VXBQTBSJRXFRQZ-GDPRMGEGSA-N 0 1 250.298 0.789 20 30 CCEDMN CNC(=O)NC[C@@H]1CCCCN1CCO[C@@H](C)C#N ZINC000879337997 616750946 /nfs/dbraw/zinc/75/09/46/616750946.db2.gz KMEVHYZTWUJYTF-RYUDHWBXSA-N 0 1 268.361 0.699 20 30 CCEDMN CO[C@@H]1COCC[C@@H]1N(C)CC(=O)NC1(C#N)CCC1 ZINC000880431624 616797809 /nfs/dbraw/zinc/79/78/09/616797809.db2.gz CMYFHLCUBBDISE-NWDGAFQWSA-N 0 1 281.356 0.285 20 30 CCEDMN COC(=O)c1c(C)[nH]c(C(=O)N[C@H]2CNC[C@H]2C#N)c1C ZINC000834504827 616810412 /nfs/dbraw/zinc/81/04/12/616810412.db2.gz FOJJQDWKSPXPFC-ZJUUUORDSA-N 0 1 290.323 0.260 20 30 CCEDMN COCCC1(C(=O)N[C@H]2CNC[C@H]2C#N)CCC1 ZINC000834479698 616840419 /nfs/dbraw/zinc/84/04/19/616840419.db2.gz HTHSJNVOOHVQAW-MNOVXSKESA-N 0 1 251.330 0.421 20 30 CCEDMN COC[C@]1(C(=O)NCC2(C#N)CCCCC2)CNCCO1 ZINC000876971358 616849185 /nfs/dbraw/zinc/84/91/85/616849185.db2.gz OKBWSPXGUZDYNG-HNNXBMFYSA-N 0 1 295.383 0.582 20 30 CCEDMN COC(=O)c1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000834516860 616884325 /nfs/dbraw/zinc/88/43/25/616884325.db2.gz MTLHIQNZVKXMJV-HTQZYQBOSA-N 0 1 279.321 0.376 20 30 CCEDMN COC(=O)c1cccc(C[N@@H+](C)CC(=O)[O-])c1C#N ZINC000820689318 616926950 /nfs/dbraw/zinc/92/69/50/616926950.db2.gz CENCPRDGMNDXJC-UHFFFAOYSA-N 0 1 262.265 0.861 20 30 CCEDMN COC(=O)Nc1ccccc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000843460974 616936759 /nfs/dbraw/zinc/93/67/59/616936759.db2.gz JGQGXQYIIOZSBT-JOYOIKCWSA-N 0 1 288.307 0.706 20 30 CCEDMN COC(=O)[C@@H](Cc1cncs1)NC(=O)C(C)C#N ZINC000832677931 616975442 /nfs/dbraw/zinc/97/54/42/616975442.db2.gz LXUYDEHYTRIQEY-IONNQARKSA-N 0 1 267.310 0.503 20 30 CCEDMN CO[C@H](C(=O)N[C@@H]1CNC[C@@H]1C#N)C1CCCC1 ZINC000852827348 616984558 /nfs/dbraw/zinc/98/45/58/616984558.db2.gz GZSBBVHJKVSTAH-TUAOUCFPSA-N 0 1 251.330 0.419 20 30 CCEDMN CO[C@H]1CC[C@H]1N(C)CC(=O)N(CCC#N)CCC#N ZINC000934123332 617106276 /nfs/dbraw/zinc/10/62/76/617106276.db2.gz CZBDBLYTTUAZDN-OLZOCXBDSA-N 0 1 278.356 0.752 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)N1CCc2[nH]nnc2C1 ZINC000933655070 617152012 /nfs/dbraw/zinc/15/20/12/617152012.db2.gz ANXOKGHNDKRXJH-UHFFFAOYSA-N 0 1 283.291 0.883 20 30 CCEDMN Cc1cc(C(=O)N2C[C@@H]3CC[C@@H](NCC#N)[C@@H]3C2)n[nH]1 ZINC001026212460 625357867 /nfs/dbraw/zinc/35/78/67/625357867.db2.gz VEDWQSICIQNDBK-QJPTWQEYSA-N 0 1 273.340 0.682 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)CCc3nc[nH]n3)C[C@H]21 ZINC001026233067 625365902 /nfs/dbraw/zinc/36/59/02/625365902.db2.gz OMEXJJNAHURHTH-QJPTWQEYSA-N 0 1 288.355 0.087 20 30 CCEDMN CN(Cc1ccccc1)C1CN(C(=O)NCC#CCO)C1 ZINC000923768905 625389863 /nfs/dbraw/zinc/38/98/63/625389863.db2.gz JCISKLZLMPPFIY-UHFFFAOYSA-N 0 1 287.363 0.508 20 30 CCEDMN C[C@H]1CCCCN1CC(N)=NOCC1OCCO1 ZINC000194644307 625596244 /nfs/dbraw/zinc/59/62/44/625596244.db2.gz YPFIQTGRHJYPPS-JTQLQIEISA-N 0 1 257.334 0.523 20 30 CCEDMN C#CCOCCC(=O)OC[C@@H]1CN(CC(C)C)CCO1 ZINC000166503987 622840326 /nfs/dbraw/zinc/84/03/26/622840326.db2.gz JILCVFVIPHHGTF-AWEZNQCLSA-N 0 1 283.368 0.926 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001023482412 623040435 /nfs/dbraw/zinc/04/04/35/623040435.db2.gz FOQBZGVHHOBYQC-ZDUSSCGKSA-N 0 1 287.363 0.460 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001023482412 623040446 /nfs/dbraw/zinc/04/04/46/623040446.db2.gz FOQBZGVHHOBYQC-ZDUSSCGKSA-N 0 1 287.363 0.460 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000075164320 623098377 /nfs/dbraw/zinc/09/83/77/623098377.db2.gz AGELCHZMPUXWJP-CQSZACIVSA-N 0 1 299.374 0.721 20 30 CCEDMN C#CCC[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1C[NH+](C)CCO1 ZINC001024376952 623301459 /nfs/dbraw/zinc/30/14/59/623301459.db2.gz FXXZKQVPKNLLMH-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1nccnc1N ZINC001024370671 623304242 /nfs/dbraw/zinc/30/42/42/623304242.db2.gz XHXVRFWHPRSMGH-LLVKDONJSA-N 0 1 275.356 0.829 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1COCCN1C ZINC001024403284 623311864 /nfs/dbraw/zinc/31/18/64/623311864.db2.gz YTXSATHBGNUXJC-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1COCCN1C ZINC001024403282 623312653 /nfs/dbraw/zinc/31/26/53/623312653.db2.gz YTXSATHBGNUXJC-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCNC1=O ZINC001024599623 623391132 /nfs/dbraw/zinc/39/11/32/623391132.db2.gz NFTWGFOBLQBLHS-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001024599649 623391280 /nfs/dbraw/zinc/39/12/80/623391280.db2.gz NZXQGFVEDYHCNU-LLVKDONJSA-N 0 1 276.340 0.343 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCN(C)C1=O ZINC001024604120 623392781 /nfs/dbraw/zinc/39/27/81/623392781.db2.gz JURQYXXWFAHOTP-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001024615397 623399575 /nfs/dbraw/zinc/39/95/75/623399575.db2.gz RWTBIYBLHLXXMR-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001024652861 623408861 /nfs/dbraw/zinc/40/88/61/623408861.db2.gz XAZZOUKSWYXBJI-MELADBBJSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001024817715 623452753 /nfs/dbraw/zinc/45/27/53/623452753.db2.gz FNIWGIYLXCHSDX-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025502944 623817990 /nfs/dbraw/zinc/81/79/90/623817990.db2.gz OJOMKTRJHZNYBX-ZYHUDNBSSA-N 0 1 275.356 0.577 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025502944 623817991 /nfs/dbraw/zinc/81/79/91/623817991.db2.gz OJOMKTRJHZNYBX-ZYHUDNBSSA-N 0 1 275.356 0.577 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]([C@@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025502943 623818016 /nfs/dbraw/zinc/81/80/16/623818016.db2.gz OJOMKTRJHZNYBX-PWSUYJOCSA-N 0 1 275.356 0.577 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]([C@@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025502943 623818022 /nfs/dbraw/zinc/81/80/22/623818022.db2.gz OJOMKTRJHZNYBX-PWSUYJOCSA-N 0 1 275.356 0.577 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC000328010385 623825228 /nfs/dbraw/zinc/82/52/28/623825228.db2.gz PUWIDKLHMLJILU-NWDGAFQWSA-N 0 1 281.356 0.494 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC[C@H](CC)O1 ZINC001025807491 623884965 /nfs/dbraw/zinc/88/49/65/623884965.db2.gz QDMLJPQZEJRXAK-PDWCTOEPSA-N 0 1 262.353 0.624 20 30 CCEDMN C#CCCN1CCN(c2ncnc3c2cnn3C)CC1 ZINC000049432285 624461234 /nfs/dbraw/zinc/46/12/34/624461234.db2.gz PBVHYPXZUOAHDO-UHFFFAOYSA-N 0 1 270.340 0.509 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NC(=O)c2[nH]ncc2F)[C@@H]1C ZINC001020538974 624505129 /nfs/dbraw/zinc/50/51/29/624505129.db2.gz YWIVEJYIUIEIKW-NRPADANISA-N 0 1 293.302 0.428 20 30 CCEDMN C[C@@H](NC(=O)[C@H]1CCCc2[nH]ncc21)C1CN(CC#N)C1 ZINC000969666729 624529629 /nfs/dbraw/zinc/52/96/29/624529629.db2.gz DUMUHMLQLDWCML-PWSUYJOCSA-N 0 1 287.367 0.790 20 30 CCEDMN C[C@H](NC(=O)c1ccn2cncc2c1)C1CN(CC#N)C1 ZINC000970065198 624585301 /nfs/dbraw/zinc/58/53/01/624585301.db2.gz TZAOBDLMHHRXTE-NSHDSACASA-N 0 1 283.335 0.908 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@@H]1CC[N@H+]2CCCC[C@H]12 ZINC000092467624 624851542 /nfs/dbraw/zinc/85/15/42/624851542.db2.gz CMRZTHBFFYQKEG-VXGBXAGGSA-N 0 1 281.356 0.895 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(C)n[nH]2)C1 ZINC001006846956 624859551 /nfs/dbraw/zinc/85/95/51/624859551.db2.gz OYQHRHIRROGHOH-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2cncc3nc[nH]c32)C1 ZINC001022113986 624875572 /nfs/dbraw/zinc/87/55/72/624875572.db2.gz PHTUIRHOXDJMHE-DTORHVGOSA-N 0 1 270.296 0.332 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001022354237 624933871 /nfs/dbraw/zinc/93/38/71/624933871.db2.gz JUPZGIBOJMMVOI-DTORHVGOSA-N 0 1 259.313 0.272 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)CN2CC[C@@H]2CO)cc1 ZINC000934027982 625006112 /nfs/dbraw/zinc/00/61/12/625006112.db2.gz WONSPDQQKLLSBS-OLZOCXBDSA-N 0 1 262.309 0.365 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CCO[C@@](C)(C#N)C1 ZINC000891990405 625020053 /nfs/dbraw/zinc/02/00/53/625020053.db2.gz APLQZZJJGNZLRG-LBPRGKRZSA-N 0 1 263.301 0.542 20 30 CCEDMN O=C1C(=NNC2=NCCN2)CCN1Cc1ccccc1 ZINC000841658495 625846648 /nfs/dbraw/zinc/84/66/48/625846648.db2.gz DFIZMPFGDANXRA-UHFFFAOYSA-N 0 1 271.324 0.324 20 30 CCEDMN COc1nccc(/C=C2/C(=O)c3ncnn3C2C)n1 ZINC000905087062 634791212 /nfs/dbraw/zinc/79/12/12/634791212.db2.gz VIDIYCGCYGNUDH-AJQDLKILSA-N 0 1 257.253 0.918 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001027759062 630897980 /nfs/dbraw/zinc/89/79/80/630897980.db2.gz HUAWMFFFMDVBOG-GFCCVEGCSA-N 0 1 260.341 0.800 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCOC1 ZINC001027784426 630913762 /nfs/dbraw/zinc/91/37/62/630913762.db2.gz JVLJPBQIFMIDRM-OLZOCXBDSA-N 0 1 250.342 0.627 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CC[C@H](N(C)CC#N)C1 ZINC000980412444 631017793 /nfs/dbraw/zinc/01/77/93/631017793.db2.gz JYHYZXACWYOSKN-KBPBESRZSA-N 0 1 294.399 0.011 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@]2(C)CN([C@@H](C)C(N)=O)C[C@@]2(C)C1 ZINC000982233165 631387074 /nfs/dbraw/zinc/38/70/74/631387074.db2.gz JTJVPXZFWZKDIB-BVIHXZOGSA-N 0 1 292.383 0.190 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCN(C(=O)c3ccn[nH]3)CC2)c1 ZINC001010409776 631420321 /nfs/dbraw/zinc/42/03/21/631420321.db2.gz LVJHFJZGTTXBMB-UHFFFAOYSA-N 0 1 298.306 0.208 20 30 CCEDMN N#Cc1ccc(CNC(=O)C2CN([C@@H]3CCOC3)C2)cc1 ZINC000895637239 632118814 /nfs/dbraw/zinc/11/88/14/632118814.db2.gz GOVFKBVBXYNITD-OAHLLOKOSA-N 0 1 285.347 0.895 20 30 CCEDMN C[C@H](NC(=O)c1ccnc(C#N)c1)[C@@H]1CN(C)CCN1C ZINC000896546893 632275815 /nfs/dbraw/zinc/27/58/15/632275815.db2.gz ZUMOVIPLFUWKHI-FZMZJTMJSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@H](NC(=O)C(C)(C)C#N)[C@@H]1C[N@@H+](C)CCN1C ZINC000896569228 632287164 /nfs/dbraw/zinc/28/71/64/632287164.db2.gz FNICJYFCCVFZKD-QWRGUYRKSA-N 0 1 252.362 0.287 20 30 CCEDMN C[C@H](NC(=O)C(C)(C)C#N)[C@@H]1CN(C)CCN1C ZINC000896569228 632287167 /nfs/dbraw/zinc/28/71/67/632287167.db2.gz FNICJYFCCVFZKD-QWRGUYRKSA-N 0 1 252.362 0.287 20 30 CCEDMN C=CCN(C)C1CCN(C(=O)CS(C)(=O)=O)CC1 ZINC000985298715 632423341 /nfs/dbraw/zinc/42/33/41/632423341.db2.gz HIGKXZUMXAPUOI-UHFFFAOYSA-N 0 1 274.386 0.140 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cncnc2)C1 ZINC001007177834 650069553 /nfs/dbraw/zinc/06/95/53/650069553.db2.gz OTGSWNLFGMWOIK-CYBMUJFWSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCSCCNC(=O)CN(C)[C@H]1CCSC1 ZINC000163243988 632476349 /nfs/dbraw/zinc/47/63/49/632476349.db2.gz PZJXZIHJUSUIOS-NSHDSACASA-N 0 1 272.439 0.906 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC001007317615 650074459 /nfs/dbraw/zinc/07/44/59/650074459.db2.gz ZFKRBBNFDKPRBT-ZDUSSCGKSA-N 0 1 262.357 0.729 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(=O)n(C)cn2)C1 ZINC001007521370 650081762 /nfs/dbraw/zinc/08/17/62/650081762.db2.gz LCXUECBHAAKWKK-LBPRGKRZSA-N 0 1 290.367 0.551 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@@H]1CCCN(CC#N)C1 ZINC001007646714 650087062 /nfs/dbraw/zinc/08/70/62/650087062.db2.gz PXFIRTMYDMSFMP-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ncn(C)n2)[C@@H]1C ZINC000986232288 632733392 /nfs/dbraw/zinc/73/33/92/632733392.db2.gz MVYADNPUQBUXDI-NXEZZACHSA-N 0 1 283.763 0.760 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnnn2C)[C@@H]1C ZINC000986241445 632734849 /nfs/dbraw/zinc/73/48/49/632734849.db2.gz IXAMCKHKCZQWIX-ZJUUUORDSA-N 0 1 283.763 0.760 20 30 CCEDMN C[C@@H]1[C@@H](NCc2nccn2C)CCN1C(=O)C#CC1CC1 ZINC000986283691 632739031 /nfs/dbraw/zinc/73/90/31/632739031.db2.gz YDHRXBCRFKQCIM-OCCSQVGLSA-N 0 1 286.379 0.913 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3nnnn3c2)C1 ZINC001007918711 650097347 /nfs/dbraw/zinc/09/73/47/650097347.db2.gz IOBJJMWOUMEHAX-GFCCVEGCSA-N 0 1 286.339 0.505 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cn3cccnc3n2)C1 ZINC001007787032 650094492 /nfs/dbraw/zinc/09/44/92/650094492.db2.gz MXUXXLIRJCSZLU-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001008244623 650106921 /nfs/dbraw/zinc/10/69/21/650106921.db2.gz AOLQIHFFDSVAOC-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CN2CCCC2=O)C1 ZINC000987981618 632937904 /nfs/dbraw/zinc/93/79/04/632937904.db2.gz HYICXEJLQXZXDT-RYUDHWBXSA-N 0 1 299.802 0.940 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001008161962 650103715 /nfs/dbraw/zinc/10/37/15/650103715.db2.gz JSGKGAIBOFOHEH-GFCCVEGCSA-N 0 1 286.339 0.505 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CC(N)=O)C1 ZINC000988426133 633018649 /nfs/dbraw/zinc/01/86/49/633018649.db2.gz AYSLCNNFCDEDEN-DTWKUNHWSA-N 0 1 259.737 0.193 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CC(N)=O)C1 ZINC000988426130 633018857 /nfs/dbraw/zinc/01/88/57/633018857.db2.gz AYSLCNNFCDEDEN-BDAKNGLRSA-N 0 1 259.737 0.193 20 30 CCEDMN N#Cc1cccc(NC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000841006444 633073047 /nfs/dbraw/zinc/07/30/47/633073047.db2.gz KTUHMTDMLLQKGR-PWSUYJOCSA-N 0 1 255.281 0.791 20 30 CCEDMN C#CCOCCC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000179218276 633084006 /nfs/dbraw/zinc/08/40/06/633084006.db2.gz XQYLDDPMEXYPJT-AWEZNQCLSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001008252601 650107300 /nfs/dbraw/zinc/10/73/00/650107300.db2.gz RYYLXTOLCCSKHY-LLVKDONJSA-N 0 1 276.340 0.871 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2c[nH]c(=O)cn2)C1 ZINC000989364899 633200743 /nfs/dbraw/zinc/20/07/43/633200743.db2.gz IKVCQPFETDSPCK-ZJUUUORDSA-N 0 1 296.758 0.715 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000898009501 633266567 /nfs/dbraw/zinc/26/65/67/633266567.db2.gz RJAFHYRUEMRUHO-CYZMBNFOSA-N 0 1 263.341 0.516 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCCN(CCO)CC2)cn1 ZINC000989512469 633322569 /nfs/dbraw/zinc/32/25/69/633322569.db2.gz LFEOVIGUZFTEHQ-UHFFFAOYSA-N 0 1 273.336 0.203 20 30 CCEDMN C=CCN1CCCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000989615202 633336151 /nfs/dbraw/zinc/33/61/51/633336151.db2.gz AYGMATGCIKGRKP-UHFFFAOYSA-N 0 1 276.340 0.114 20 30 CCEDMN C=C(C)C[N@H+]1CCCN(C(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000989669395 633341252 /nfs/dbraw/zinc/34/12/52/633341252.db2.gz DBQMMFKPDNJJDP-UHFFFAOYSA-N 0 1 290.367 0.838 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000989669395 633341258 /nfs/dbraw/zinc/34/12/58/633341258.db2.gz DBQMMFKPDNJJDP-UHFFFAOYSA-N 0 1 290.367 0.838 20 30 CCEDMN C=CC[N@@H+]1CCCN(C(=O)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC000989744305 633349709 /nfs/dbraw/zinc/34/97/09/633349709.db2.gz ACIZJPIQONBTCS-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC000989744305 633349712 /nfs/dbraw/zinc/34/97/12/633349712.db2.gz ACIZJPIQONBTCS-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NC(=O)Cc2cnc[nH]2)[C@H]1C ZINC000990429748 633529739 /nfs/dbraw/zinc/52/97/39/633529739.db2.gz DWYCZFAXDWSFLF-FOGDFJRCSA-N 0 1 289.339 0.217 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC(NC(=O)[C@@H]3CCCN3C)C2)C1 ZINC000990516694 633548892 /nfs/dbraw/zinc/54/88/92/633548892.db2.gz OEXHOFGKNPHTEY-ZDUSSCGKSA-N 0 1 291.395 0.764 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)N1CCC[C@H]1c1nnc[nH]1 ZINC000899103967 633733634 /nfs/dbraw/zinc/73/36/34/633733634.db2.gz KTRSCEFAQHIVIA-JTQLQIEISA-N 0 1 270.296 0.992 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N(CC#N)CC#N)C1 ZINC000900464489 634026697 /nfs/dbraw/zinc/02/66/97/634026697.db2.gz NTEGMGRZUUANKM-KOLCDFICSA-N 0 1 257.297 0.951 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001009200777 650139623 /nfs/dbraw/zinc/13/96/23/650139623.db2.gz FSUPSRUALCCKRX-GHMZBOCLSA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001009200777 650139626 /nfs/dbraw/zinc/13/96/26/650139626.db2.gz FSUPSRUALCCKRX-GHMZBOCLSA-N 0 1 288.355 0.014 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC001009344548 650142531 /nfs/dbraw/zinc/14/25/31/650142531.db2.gz CRHCPZSEZFVYMR-CYBMUJFWSA-N 0 1 274.368 0.865 20 30 CCEDMN CC(C)CN(CCC#N)C[C@@H](O)C[C@]1(O)CCOC1 ZINC000905882384 634924434 /nfs/dbraw/zinc/92/44/34/634924434.db2.gz GTZMWUHVXPFIJD-UONOGXRCSA-N 0 1 270.373 0.760 20 30 CCEDMN C[C@@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)cn2)CCN1C ZINC000906987386 635058950 /nfs/dbraw/zinc/05/89/50/635058950.db2.gz KERZKRLMRYNCBN-PWSUYJOCSA-N 0 1 294.380 0.714 20 30 CCEDMN O=C(NCc1n[nH]c(C2CC2)n1)c1cccc(C#CCO)c1 ZINC000908549814 635411498 /nfs/dbraw/zinc/41/14/98/635411498.db2.gz CLOJVMGUEQYJJS-UHFFFAOYSA-N 0 1 296.330 0.956 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC001010718179 650257317 /nfs/dbraw/zinc/25/73/17/650257317.db2.gz NHHJRMGQZJYYHY-SNVBAGLBSA-N 0 1 263.345 0.383 20 30 CCEDMN O=S1(=O)C=C(NNc2ccc(Cl)nn2)CC1 ZINC000912781152 636673738 /nfs/dbraw/zinc/67/37/38/636673738.db2.gz UWJAGJCYDWWUIQ-UHFFFAOYSA-N 0 1 260.706 0.716 20 30 CCEDMN O=C(c1cccc(C#CCO)c1)N1CC[C@@H](N2CCC2)C1 ZINC000912898820 636692990 /nfs/dbraw/zinc/69/29/90/636692990.db2.gz RJYMUJNKWMPULV-MRXNPFEDSA-N 0 1 284.359 0.951 20 30 CCEDMN Cc1cnc2c(C(=O)NCC#CCN(C)C)cnn2c1 ZINC000913524949 636833050 /nfs/dbraw/zinc/83/30/50/636833050.db2.gz CYAGLIDGQKPXBI-UHFFFAOYSA-N 0 1 271.324 0.333 20 30 CCEDMN Cc1nn(C)c(C)c1[C@@H](C)C(=O)NCC#CCN(C)C ZINC000913517886 636834274 /nfs/dbraw/zinc/83/42/74/636834274.db2.gz UELNFULXBYWUPV-LLVKDONJSA-N 0 1 276.384 0.822 20 30 CCEDMN C[C@H](OC[C@H]1CCCO1)C(=O)NCC#CCN(C)C ZINC000913517622 636834448 /nfs/dbraw/zinc/83/44/48/636834448.db2.gz JDOINEAJTQKMOU-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN Cc1nn(C)c(C)c1C[C@H](C)C(=O)NCC#CCN(C)C ZINC000913519407 636834944 /nfs/dbraw/zinc/83/49/44/636834944.db2.gz YNFXEELIUOFZOW-LBPRGKRZSA-N 0 1 290.411 0.897 20 30 CCEDMN C[C@H]1CC(=O)CC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913520512 636835651 /nfs/dbraw/zinc/83/56/51/636835651.db2.gz SAYLVTBRPANQRL-AAEUAGOBSA-N 0 1 250.342 0.673 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccc(-n2ccnn2)cc1 ZINC000841101652 636963700 /nfs/dbraw/zinc/96/37/00/636963700.db2.gz YDZICAGHRLFVPL-MFKMUULPSA-N 0 1 297.322 0.500 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@@](CC)(C(=O)[O-])C1 ZINC000062877506 637187006 /nfs/dbraw/zinc/18/70/06/637187006.db2.gz BWRVZHMLGJMHEZ-CQSZACIVSA-N 0 1 297.355 0.575 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cn(CC=C)nn3)CCC[C@H]12 ZINC000992303024 637361291 /nfs/dbraw/zinc/36/12/91/637361291.db2.gz JCKOKDMOXABDMA-GOEBONIOSA-N 0 1 299.378 0.824 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001007121516 637535632 /nfs/dbraw/zinc/53/56/32/637535632.db2.gz NQWOOSOLOSWHGB-SNVBAGLBSA-N 0 1 264.329 0.804 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001015446856 637657090 /nfs/dbraw/zinc/65/70/90/637657090.db2.gz NURRFCOFSZBCSI-CQSZACIVSA-N 0 1 288.395 0.793 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cnn(C)c2)[C@@H]1C ZINC000993089456 637692528 /nfs/dbraw/zinc/69/25/28/637692528.db2.gz BPWOMPZAUSGOOQ-JSGCOSHPSA-N 0 1 274.368 0.565 20 30 CCEDMN NS(=O)(=O)c1ccc(N=NC2CN3CCC2CC3)nc1 ZINC000915050029 637725253 /nfs/dbraw/zinc/72/52/53/637725253.db2.gz CCOLAJKXXNKUDZ-UHFFFAOYSA-N 0 1 295.368 0.223 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001015611849 637743169 /nfs/dbraw/zinc/74/31/69/637743169.db2.gz MANBJONWTCZIFI-AAEUAGOBSA-N 0 1 256.321 0.882 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2nnc(C)o2)[C@@H]1C ZINC000993293878 637766979 /nfs/dbraw/zinc/76/69/79/637766979.db2.gz GFABLMKCCGLQQM-WCQYABFASA-N 0 1 290.367 0.913 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2nnc(C)o2)[C@H]1C ZINC000993293883 637767699 /nfs/dbraw/zinc/76/76/99/637767699.db2.gz GFABLMKCCGLQQM-YPMHNXCESA-N 0 1 290.367 0.913 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc3nn[n-]c3n2)[C@@H]1C ZINC000993412752 637803753 /nfs/dbraw/zinc/80/37/53/637803753.db2.gz JUZCCDOYYHCDLU-QWRGUYRKSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2ccc3n[nH]nc3n2)[C@@H]1C ZINC000993412752 637803756 /nfs/dbraw/zinc/80/37/56/637803756.db2.gz JUZCCDOYYHCDLU-QWRGUYRKSA-N 0 1 298.350 0.569 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC(NC(=O)c2cnn[nH]2)C1 ZINC000995178516 638573245 /nfs/dbraw/zinc/57/32/45/638573245.db2.gz XGHTUUNWKCMLHP-ZDUSSCGKSA-N 0 1 277.328 0.348 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@@H]1NC(=O)Cc1cnc[nH]1 ZINC000943177464 638708094 /nfs/dbraw/zinc/70/80/94/638708094.db2.gz OPOHSXKFAQTVJH-PWSUYJOCSA-N 0 1 261.329 0.302 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnnn2CC)C1 ZINC001014372408 650444554 /nfs/dbraw/zinc/44/45/54/650444554.db2.gz XQNUILYBALNTDP-LLVKDONJSA-N 0 1 261.329 0.125 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](NCc2cnnn2C)C(C)(C)C1 ZINC000995587708 638779808 /nfs/dbraw/zinc/77/98/08/638779808.db2.gz ZTPLWIXGFHYSAU-ZYHUDNBSSA-N 0 1 290.371 0.301 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001014417470 650450043 /nfs/dbraw/zinc/45/00/43/650450043.db2.gz DLHNLICPEYXMEI-NSHDSACASA-N 0 1 275.356 0.533 20 30 CCEDMN Cc1nonc1CNCC1CCN(C(=O)[C@H](C)C#N)CC1 ZINC000997616604 638878459 /nfs/dbraw/zinc/87/84/59/638878459.db2.gz CZBGFKARPMSNRP-SNVBAGLBSA-N 0 1 291.355 0.866 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(CNCc2ncnn2C)CC1 ZINC000997619713 638878774 /nfs/dbraw/zinc/87/87/74/638878774.db2.gz YGBJOUYQPOMLFY-NSHDSACASA-N 0 1 290.371 0.303 20 30 CCEDMN C#CCOc1ncccc1C(=O)N[C@H]1CC[N@H+](CC)C1 ZINC001016018299 638879099 /nfs/dbraw/zinc/87/90/99/638879099.db2.gz GWCBJGXSQXXZBA-LBPRGKRZSA-N 0 1 273.336 0.918 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2nnn(C)n2)C1 ZINC000999924487 639020173 /nfs/dbraw/zinc/02/01/73/639020173.db2.gz XSSDUNPTBMRWGO-SECBINFHSA-N 0 1 284.751 0.157 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)CC1 ZINC001000972686 639202996 /nfs/dbraw/zinc/20/29/96/639202996.db2.gz XNUGMIPZIVZIKB-LEOABGAYSA-N 0 1 286.375 0.791 20 30 CCEDMN CN1CC(C(=O)NCC2=CCN(CC#N)CC2)=NC1=O ZINC001001116737 639237618 /nfs/dbraw/zinc/23/76/18/639237618.db2.gz GXWOAEXDNTWKQP-UHFFFAOYSA-N 0 1 275.312 0.011 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC001001155105 639250419 /nfs/dbraw/zinc/25/04/19/639250419.db2.gz SZPBWYIYVJDQIX-KGLIPLIRSA-N 0 1 289.379 0.270 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cccn(C)c2=O)CC1 ZINC001001381801 639292356 /nfs/dbraw/zinc/29/23/56/639292356.db2.gz QGJKNOSWNYKEED-UHFFFAOYSA-N 0 1 285.347 0.380 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc(C(N)=O)nc2)CC1 ZINC001001492166 639307321 /nfs/dbraw/zinc/30/73/21/639307321.db2.gz CQGGKVNLGRTLEJ-UHFFFAOYSA-N 0 1 298.346 0.176 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncc3ncn(C)c32)C1 ZINC001014794849 650488908 /nfs/dbraw/zinc/48/89/08/650488908.db2.gz TVLDKSQZPCATAT-NSHDSACASA-N 0 1 285.351 0.958 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001014797004 650490029 /nfs/dbraw/zinc/49/00/29/650490029.db2.gz PQANQCJAPLAFOE-LBPRGKRZSA-N 0 1 260.341 0.338 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H](C)N2CCCCC2=O)C1 ZINC001016322713 639437987 /nfs/dbraw/zinc/43/79/87/639437987.db2.gz KMCQDQWYHHRPHJ-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2nnc(C)o2)CC1 ZINC001002740880 639450872 /nfs/dbraw/zinc/45/08/72/639450872.db2.gz GIXZVFLXWFBIGK-UHFFFAOYSA-N 0 1 276.340 0.524 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001014918515 650502682 /nfs/dbraw/zinc/50/26/82/650502682.db2.gz BBFCZTQRLGFIEO-FZMZJTMJSA-N 0 1 265.357 0.279 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccnc(OC)n2)CC1 ZINC001003283569 639526150 /nfs/dbraw/zinc/52/61/50/639526150.db2.gz JIRXOURTLZQYMY-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccnc3n[nH]nc32)CC1 ZINC001003286243 639526311 /nfs/dbraw/zinc/52/63/11/639526311.db2.gz ODSLGQUGRQACQH-UHFFFAOYSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ccc(=O)[nH]c2)CC1 ZINC001003321952 639529566 /nfs/dbraw/zinc/52/95/66/639529566.db2.gz MJUDFMZAAVQVPW-UHFFFAOYSA-N 0 1 273.336 0.544 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CC3[N+](=O)[O-])CC[C@@H]2C1 ZINC000946589041 639752208 /nfs/dbraw/zinc/75/22/08/639752208.db2.gz BTTNHQVBMVFFQO-YVECIDJPSA-N 0 1 292.339 0.346 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC001005820382 639977754 /nfs/dbraw/zinc/97/77/54/639977754.db2.gz GHUSFBTVPVESIL-ZIAGYGMSSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2c(C)cnn2C)CC1 ZINC001005850696 639990081 /nfs/dbraw/zinc/99/00/81/639990081.db2.gz FPHDGLDQPNEKPU-UHFFFAOYSA-N 0 1 274.368 0.898 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3nnnn3c2)C1 ZINC001015258069 650544458 /nfs/dbraw/zinc/54/44/58/650544458.db2.gz VALZAXFIWOLQRC-NSHDSACASA-N 0 1 272.312 0.114 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C)nc2CC)C1 ZINC001014843186 640040656 /nfs/dbraw/zinc/04/06/56/640040656.db2.gz UFWDMHFELDMOLI-LLVKDONJSA-N 0 1 262.357 0.973 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@]2(C)CCNC2=O)CC1 ZINC001005984791 640054161 /nfs/dbraw/zinc/05/41/61/640054161.db2.gz ANNLFAOZUMZWLK-OAHLLOKOSA-N 0 1 277.368 0.069 20 30 CCEDMN O=C1C=C(NNc2[nH+]cnc3[n-]cnc32)[C@H]2CCCCN12 ZINC000799477850 640574680 /nfs/dbraw/zinc/57/46/80/640574680.db2.gz JAEVAPIHGARDKD-SECBINFHSA-N 0 1 285.311 0.906 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccc(OC)cn2)C1 ZINC001015610086 650591201 /nfs/dbraw/zinc/59/12/01/650591201.db2.gz QVLZUUFEYXUABW-AWEZNQCLSA-N 0 1 287.363 0.847 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001015681996 650607801 /nfs/dbraw/zinc/60/78/01/650607801.db2.gz OXLVLUBEDDWRPN-NSHDSACASA-N 0 1 261.325 0.375 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cncc(C#C)c2)C1 ZINC001015678769 650608022 /nfs/dbraw/zinc/60/80/22/650608022.db2.gz NHEONPKSVSENEX-OAHLLOKOSA-N 0 1 267.332 0.890 20 30 CCEDMN C#Cc1cncc(C(=O)Nc2nc(SCCO)n[nH]2)c1 ZINC000807072449 640813313 /nfs/dbraw/zinc/81/33/13/640813313.db2.gz PTYUHSBCNLJXQR-UHFFFAOYSA-N 0 1 289.320 0.518 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001015737078 650619531 /nfs/dbraw/zinc/61/95/31/650619531.db2.gz VKSSTMJYDOIQFH-SOUVJXGZSA-N 0 1 286.379 0.737 20 30 CCEDMN Cn1cc(C=N[N-]c2[nH+]cnc3c2cnn3C)c(=O)[nH]1 ZINC000814219341 641059879 /nfs/dbraw/zinc/05/98/79/641059879.db2.gz WRGISBQWALKGFR-UHFFFAOYSA-N 0 1 272.272 0.248 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)C(=O)N2CCC(C)CC2)C1 ZINC001015802541 650632416 /nfs/dbraw/zinc/63/24/16/650632416.db2.gz GKBYZIZBTZKECA-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN NS(=O)(=O)c1ccc(NN=Cc2cocn2)nc1 ZINC000814798913 641075654 /nfs/dbraw/zinc/07/56/54/641075654.db2.gz SGQIJKYMNSCAHT-UHFFFAOYSA-N 0 1 267.270 0.163 20 30 CCEDMN Cc1nn2c([nH]c3ccccc3c2=O)c1C=NNC[C@@H](C)O ZINC000814963022 641086107 /nfs/dbraw/zinc/08/61/07/641086107.db2.gz AKFORULSARSRIR-SECBINFHSA-N 0 1 299.334 0.789 20 30 CCEDMN N#Cc1cscc1C(=O)NN1CCCNC1=O ZINC000815982408 641134200 /nfs/dbraw/zinc/13/42/00/641134200.db2.gz WRKPRHNUWWUWQY-UHFFFAOYSA-N 0 1 250.283 0.680 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C(C)C)nn2)C1 ZINC001015914938 650656745 /nfs/dbraw/zinc/65/67/45/650656745.db2.gz FWJTVAHTVSRHAS-LLVKDONJSA-N 0 1 263.345 0.849 20 30 CCEDMN C[C@H]1CCC[N@H+]1CCNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820238726 641486570 /nfs/dbraw/zinc/48/65/70/641486570.db2.gz UNNJEGVMTMBVJJ-GWCFXTLKSA-N 0 1 267.329 0.591 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CN(CC)CCO2)C1 ZINC001016304460 650713749 /nfs/dbraw/zinc/71/37/49/650713749.db2.gz HMLNHXQMOALUIC-STQMWFEESA-N 0 1 267.373 0.084 20 30 CCEDMN Cc1[nH]nc(Br)c1C(=O)NCC#CCN(C)C ZINC000827972134 642055335 /nfs/dbraw/zinc/05/53/35/642055335.db2.gz SVWYSLRMMVVZJN-UHFFFAOYSA-N 0 1 299.172 0.775 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001016367743 650722938 /nfs/dbraw/zinc/72/29/38/650722938.db2.gz SEPALMVLMKYLNP-JTQLQIEISA-N 0 1 274.324 0.171 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nonc2C)C1 ZINC001016412501 650727410 /nfs/dbraw/zinc/72/74/10/650727410.db2.gz ZAMOIMCYVUPNHG-SNVBAGLBSA-N 0 1 250.302 0.758 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CC)n(C)n2)C1 ZINC001016414898 650728775 /nfs/dbraw/zinc/72/87/75/650728775.db2.gz PMHVZFVLUCIHJB-LLVKDONJSA-N 0 1 262.357 0.973 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cccc3nnn(C)c32)C1 ZINC001016436285 650730865 /nfs/dbraw/zinc/73/08/65/650730865.db2.gz HGVVYFHBKGERQJ-LBPRGKRZSA-N 0 1 297.362 0.796 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000829982801 642215450 /nfs/dbraw/zinc/21/54/50/642215450.db2.gz GYBJGRURVDGHCW-CABCVRRESA-N 0 1 271.320 0.942 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H]2CC3(CCC3)C(=O)O2)C1 ZINC000829998913 642216723 /nfs/dbraw/zinc/21/67/23/642216723.db2.gz SJMDKVXHQMJJTL-RISCZKNCSA-N 0 1 264.325 0.823 20 30 CCEDMN C[C@H](Oc1cccnc1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834488602 642578563 /nfs/dbraw/zinc/57/85/63/642578563.db2.gz DWQZSVDGGFHJTF-JBLDHEPKSA-N 0 1 260.297 0.077 20 30 CCEDMN Cc1cc(-n2cncn2)ccc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834489530 642579580 /nfs/dbraw/zinc/57/95/80/642579580.db2.gz NPUZYBFHZRJHKJ-BXUZGUMPSA-N 0 1 296.334 0.417 20 30 CCEDMN Cn1nc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1C1CC1 ZINC000834490849 642581731 /nfs/dbraw/zinc/58/17/31/642581731.db2.gz XLERLSUABAKXBZ-GXSJLCMTSA-N 0 1 259.313 0.139 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc(F)c1F ZINC000834494488 642583516 /nfs/dbraw/zinc/58/35/16/642583516.db2.gz NKPRFMHNOIXITG-XVKPBYJWSA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1noc2c1CCCC2 ZINC000834494915 642585287 /nfs/dbraw/zinc/58/52/87/642585287.db2.gz QXWMAVPQEGVARP-PSASIEDQSA-N 0 1 260.297 0.395 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(-n2cnnc2)cc1 ZINC000834495784 642586793 /nfs/dbraw/zinc/58/67/93/642586793.db2.gz ZQBSUIHHXPCEPS-DGCLKSJQSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc2ccc(=O)[nH]c21 ZINC000834499439 642589201 /nfs/dbraw/zinc/58/92/01/642589201.db2.gz IMQWFIQEYQPVPB-CMPLNLGQSA-N 0 1 282.303 0.782 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)OCO2 ZINC000834507236 642593519 /nfs/dbraw/zinc/59/35/19/642593519.db2.gz YUWYVMJMOXDMQV-ZJUUUORDSA-N 0 1 259.265 0.257 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(F)ccc1F ZINC000834510866 642595229 /nfs/dbraw/zinc/59/52/29/642595229.db2.gz GDZZBKZJFZTSPW-WRWORJQWSA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc2ccccc2oc1=O ZINC000834510634 642595856 /nfs/dbraw/zinc/59/58/56/642595856.db2.gz DLWVSGQZFIMITP-PWSUYJOCSA-N 0 1 283.287 0.634 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnc2ccccc2n1 ZINC000834512378 642596736 /nfs/dbraw/zinc/59/67/36/642596736.db2.gz VKCFVYQDSRGFFK-SKDRFNHKSA-N 0 1 267.292 0.471 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cncn1-c1ccc(F)cc1 ZINC000834512067 642597850 /nfs/dbraw/zinc/59/78/50/642597850.db2.gz RKKZYCWVUBIHAQ-MFKMUULPSA-N 0 1 299.309 0.853 20 30 CCEDMN Cc1nn(C)c2nc(C)cc(C(=O)N[C@H]3CNC[C@@H]3C#N)c12 ZINC000834515846 642598853 /nfs/dbraw/zinc/59/88/53/642598853.db2.gz CKSMNBHIHVSTKU-JQWIXIFHSA-N 0 1 298.350 0.427 20 30 CCEDMN Cc1ccc(-n2cc(C(=O)N[C@H]3CNC[C@H]3C#N)nn2)cc1 ZINC000834521792 642603977 /nfs/dbraw/zinc/60/39/77/642603977.db2.gz SUHYFJKFVHDXJP-YPMHNXCESA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COCCOc1ccccc1 ZINC000834521884 642604014 /nfs/dbraw/zinc/60/40/14/642604014.db2.gz YBBALEILKLDGJL-TZMCWYRMSA-N 0 1 289.335 0.310 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000841193708 642806548 /nfs/dbraw/zinc/80/65/48/642806548.db2.gz WLEVALVNVLULEW-KCJUWKMLSA-N 0 1 275.268 0.828 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)N[C@@H](C1CC1)C(F)(F)F ZINC000841242448 642809094 /nfs/dbraw/zinc/80/90/94/642809094.db2.gz JZBHIZUPAXORHM-VGMNWLOBSA-N 0 1 276.262 0.738 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@@H]1C(=O)OC ZINC001017412066 650800691 /nfs/dbraw/zinc/80/06/91/650800691.db2.gz MPXFKEXGQKGPBZ-CRWXNKLISA-N 0 1 290.363 0.494 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCNC(=O)C1 ZINC001017472906 650804071 /nfs/dbraw/zinc/80/40/71/650804071.db2.gz ILUXVYCBWLGAKA-MELADBBJSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COCCN1C ZINC001017495817 650806364 /nfs/dbraw/zinc/80/63/64/650806364.db2.gz IULVKXDXALWJLG-ZNMIVQPWSA-N 0 1 291.395 0.016 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COC(=O)N1 ZINC001017523304 650808814 /nfs/dbraw/zinc/80/88/14/650808814.db2.gz NBNWDECBAUEWRP-TUAOUCFPSA-N 0 1 279.340 0.346 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCOc1ccc(F)cc1 ZINC000843459721 643063779 /nfs/dbraw/zinc/06/37/79/643063779.db2.gz GTLFIZYJMPMMBA-ZWNOBZJWSA-N 0 1 277.299 0.822 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C[C@@H]1CCCC[C@H]1O ZINC000843460381 643064310 /nfs/dbraw/zinc/06/43/10/643064310.db2.gz OGMNZYGQSZNPFN-NNYUYHANSA-N 0 1 251.330 0.155 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccccc1Cn1cncn1 ZINC000843460010 643065095 /nfs/dbraw/zinc/06/50/95/643065095.db2.gz KPZQMYOGZUQTIV-GXTWGEPZSA-N 0 1 296.334 0.168 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)/C=C/c1ccc2c(c1)OCO2 ZINC000843461762 643066595 /nfs/dbraw/zinc/06/65/95/643066595.db2.gz NDRHWJQQYPNICH-OURHSOLNSA-N 0 1 285.303 0.656 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CN(C)C(=O)N1 ZINC001017799300 650823834 /nfs/dbraw/zinc/82/38/34/650823834.db2.gz ORXCPXMKYXNHBJ-FRRDWIJNSA-N 0 1 292.383 0.261 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CCC(=O)N1 ZINC001017907174 650834378 /nfs/dbraw/zinc/83/43/78/650834378.db2.gz MCOXZNDIRRPIPI-ZENOOKHLSA-N 0 1 291.395 0.906 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(-c2ccccn2)nc1 ZINC000846676961 643441336 /nfs/dbraw/zinc/44/13/36/643441336.db2.gz IPOGYGPPOOURCZ-WFASDCNBSA-N 0 1 293.330 0.985 20 30 CCEDMN N#CCC(=O)N1CCN(CCCCCCO)CC1 ZINC000846958112 643474743 /nfs/dbraw/zinc/47/47/43/643474743.db2.gz YGZJAIHKXFOJCV-UHFFFAOYSA-N 0 1 253.346 0.597 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000848137082 643608334 /nfs/dbraw/zinc/60/83/34/643608334.db2.gz YFWKTGPFXYBHKL-JTQLQIEISA-N 0 1 295.251 0.410 20 30 CCEDMN N#CCOc1cccc(CC(=O)NCCc2nc[nH]n2)c1 ZINC000848152428 643610462 /nfs/dbraw/zinc/61/04/62/643610462.db2.gz JCTQLWKQXDFOEO-UHFFFAOYSA-N 0 1 285.307 0.608 20 30 CCEDMN N#CCCNS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000849389607 643736541 /nfs/dbraw/zinc/73/65/41/643736541.db2.gz QZINKBLWRUOXHS-UHFFFAOYSA-N 0 1 256.243 0.182 20 30 CCEDMN Cc1ccn2cnc(C(=O)N[C@H]3CNC[C@@H]3C#N)c2c1 ZINC000852828536 644014688 /nfs/dbraw/zinc/01/46/88/644014688.db2.gz YJPYNPKDJPXKFZ-QWRGUYRKSA-N 0 1 269.308 0.484 20 30 CCEDMN Cc1ccn2cnc(C(=O)N[C@@H]3CNC[C@@H]3C#N)c2c1 ZINC000852828539 644015205 /nfs/dbraw/zinc/01/52/05/644015205.db2.gz YJPYNPKDJPXKFZ-WDEREUQCSA-N 0 1 269.308 0.484 20 30 CCEDMN Cc1cc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)nn1C ZINC001018632327 650886951 /nfs/dbraw/zinc/88/69/51/650886951.db2.gz MUSTUPHAMMFKFM-JQWIXIFHSA-N 0 1 275.356 0.579 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NCc2ccccn2)C1 ZINC001018632607 650887245 /nfs/dbraw/zinc/88/72/45/650887245.db2.gz PCHDWQYMTOIDFA-AAEUAGOBSA-N 0 1 258.325 0.932 20 30 CCEDMN C[C@@H]1CN=C(NN=C2CS(=O)(=O)Cc3ccccc32)N1 ZINC000853330780 644098557 /nfs/dbraw/zinc/09/85/57/644098557.db2.gz VJUGXDKOTHKXFU-SECBINFHSA-N 0 1 292.364 0.256 20 30 CCEDMN Cc1nnc(NN=Cc2cc(CO)ccc2O)n1C ZINC000853431409 644105010 /nfs/dbraw/zinc/10/50/10/644105010.db2.gz ZHWRCWGSGLBDOL-UHFFFAOYSA-N 0 1 261.285 0.767 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001019035095 650932842 /nfs/dbraw/zinc/93/28/42/650932842.db2.gz YDTBMSRHVLKRCM-FZMZJTMJSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001019826575 651009030 /nfs/dbraw/zinc/00/90/30/651009030.db2.gz OBIQYIYZKZOGNM-NEPJUHHUSA-N 0 1 299.802 0.798 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN(C[C@@H]3CCOC3)CC2)co1 ZINC000866381651 645525024 /nfs/dbraw/zinc/52/50/24/645525024.db2.gz SMDXCWRXLOFGCU-LBPRGKRZSA-N 0 1 289.335 0.946 20 30 CCEDMN Cc1cc2[nH]ncc2cc1S(=O)(=O)NCC#CCO ZINC000867467897 645618542 /nfs/dbraw/zinc/61/85/42/645618542.db2.gz REDYEFMKUOARFL-UHFFFAOYSA-N 0 1 279.321 0.145 20 30 CCEDMN N#C[C@@H](C(=O)CN1CCCCC(=O)C1=O)c1ccccn1 ZINC000870137073 645963822 /nfs/dbraw/zinc/96/38/22/645963822.db2.gz VTXUMVJRNYFPGD-LLVKDONJSA-N 0 1 285.303 0.839 20 30 CCEDMN N#Cc1cccc(ONC(=O)[C@@H]2CCC(=O)NC2)c1 ZINC000870692873 646017444 /nfs/dbraw/zinc/01/74/44/646017444.db2.gz PWAPLOALRVLCFF-SNVBAGLBSA-N 0 1 259.265 0.494 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnn(CC2CC2)c1 ZINC000870940714 646054142 /nfs/dbraw/zinc/05/41/42/646054142.db2.gz LSFMJJZCBIEKSP-PWSUYJOCSA-N 0 1 259.313 0.134 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2ccnn2CC2CCC2)N1 ZINC000872364944 646252361 /nfs/dbraw/zinc/25/23/61/646252361.db2.gz XUZMUSBNZCXIEX-JTQLQIEISA-N 0 1 260.345 0.954 20 30 CCEDMN C#CCN(C[C@H](O)COC[C@H]1CCCO1)C1CSC1 ZINC000878913557 646997539 /nfs/dbraw/zinc/99/75/39/646997539.db2.gz UMRTWBHTVJDYMT-UONOGXRCSA-N 0 1 285.409 0.594 20 30 CCEDMN CC(C)(O)[C@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000880147436 647161142 /nfs/dbraw/zinc/16/11/42/647161142.db2.gz DEFRQZMPECDZJN-NSHDSACASA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@H](C#N)OCCN1CCNC(=O)CC12CCC2 ZINC000880168391 647165584 /nfs/dbraw/zinc/16/55/84/647165584.db2.gz KKZQUQIYGDAFFW-LLVKDONJSA-N 0 1 251.330 0.660 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CC[C@@](O)(CC#N)C1 ZINC000880412700 647198088 /nfs/dbraw/zinc/19/80/88/647198088.db2.gz BEYOTRPGUZGCDA-DGFSRKRXSA-N 0 1 288.351 0.953 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)CN2CC=C[C@H]2CO)cc1 ZINC000880483000 647207832 /nfs/dbraw/zinc/20/78/32/647207832.db2.gz YFLIDNBCSXAUCV-UONOGXRCSA-N 0 1 274.320 0.531 20 30 CCEDMN Cc1nc(C#N)cc(N[C@@H](C)CN2CCN(C)CC2)n1 ZINC000881952417 647357109 /nfs/dbraw/zinc/35/71/09/647357109.db2.gz MCBQQRNVOMTZBF-NSHDSACASA-N 0 1 274.372 0.705 20 30 CCEDMN Cc1nc(C#N)cc(N2CC(CNC(=O)C(F)(F)F)C2)n1 ZINC000882708291 647435000 /nfs/dbraw/zinc/43/50/00/647435000.db2.gz YVOSQOOKFHQGBG-UHFFFAOYSA-N 0 1 299.256 0.771 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CC(F)(F)C(F)F ZINC000884249216 647679733 /nfs/dbraw/zinc/67/97/33/647679733.db2.gz GMTJPZPIXQHQIJ-RITPCOANSA-N 0 1 253.199 0.505 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccn2nccc12 ZINC000884249098 647679816 /nfs/dbraw/zinc/67/98/16/647679816.db2.gz DCCGZRYVLSYSIK-ONGXEEELSA-N 0 1 255.281 0.176 20 30 CCEDMN C[C@@H]1CC[C@H](C(N)=O)[C@H](C)N1CC(=O)NC1(C#N)CCC1 ZINC000930909053 648923384 /nfs/dbraw/zinc/92/33/84/648923384.db2.gz DJXPDYWHKLFWAM-WOPDTQHZSA-N 0 1 292.383 0.523 20 30 CCEDMN C[C@@H]1CC[C@H](C(N)=O)[C@@H](C)N1CC(=O)NC1(C#N)CCC1 ZINC000930909052 648923797 /nfs/dbraw/zinc/92/37/97/648923797.db2.gz DJXPDYWHKLFWAM-UTUOFQBUSA-N 0 1 292.383 0.523 20 30 CCEDMN CS(=O)(=O)N1CC(CN2CCC[C@H](CC#N)C2)C1 ZINC000930921625 648927378 /nfs/dbraw/zinc/92/73/78/648927378.db2.gz FWELIWDBIPFMJE-LLVKDONJSA-N 0 1 271.386 0.503 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CC[C@H](C2OCCO2)C1)C1CC1 ZINC000932007931 649041481 /nfs/dbraw/zinc/04/14/81/649041481.db2.gz NLIFUGPSJPEYLB-NHYWBVRUSA-N 0 1 293.367 0.490 20 30 CCEDMN N#CC1(C[C@@H](O)CN2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)CCC1 ZINC000933719929 649138135 /nfs/dbraw/zinc/13/81/35/649138135.db2.gz LTBJFUFXLJXXNW-FRRDWIJNSA-N 0 1 298.408 0.408 20 30 CCEDMN N#CCN1CCc2ccccc2[C@H]1CNC(=O)c1ncn[nH]1 ZINC000948970219 649405896 /nfs/dbraw/zinc/40/58/96/649405896.db2.gz UPFLPCDEWOMBOC-CYBMUJFWSA-N 0 1 296.334 0.657 20 30 CCEDMN N#CCN1CCc2ccccc2[C@H]1CNC(=O)c1nc[nH]n1 ZINC000948970219 649405898 /nfs/dbraw/zinc/40/58/98/649405898.db2.gz UPFLPCDEWOMBOC-CYBMUJFWSA-N 0 1 296.334 0.657 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ncn(C)n2)C1 ZINC001007270694 649739685 /nfs/dbraw/zinc/73/96/85/649739685.db2.gz CBKVDZHFHYHOQU-NSHDSACASA-N 0 1 261.329 0.033 20 30 CCEDMN CN1CCO[C@H]2CN(c3cncc(CC#N)n3)C[C@H]21 ZINC001164673169 719424444 /nfs/dbraw/zinc/42/44/44/719424444.db2.gz SRNSCZFDGNOVAL-NEPJUHHUSA-N 0 1 259.313 0.062 20 30 CCEDMN Cc1cnc(N2C[C@H]3OCCN(C)[C@@H]3C2)c(C#N)n1 ZINC001164675058 719426116 /nfs/dbraw/zinc/42/61/16/719426116.db2.gz YIHHLYDGMDFASX-VXGBXAGGSA-N 0 1 259.313 0.176 20 30 CCEDMN COc1nc(N2C[C@H]3OCCN(C)[C@H]3C2)c(F)cc1C#N ZINC001164667043 719429407 /nfs/dbraw/zinc/42/94/07/719429407.db2.gz FHQOQNNSAOSXNQ-NWDGAFQWSA-N 0 1 292.314 0.620 20 30 CCEDMN Cc1nc(C#N)cnc1N1C[C@H]2CN(CC3CC3)C[C@@H](C1)O2 ZINC001164966556 722074416 /nfs/dbraw/zinc/07/44/16/722074416.db2.gz AZRPAGONNFSRSX-GASCZTMLSA-N 0 1 299.378 0.956 20 30 CCEDMN C=C1O[C@@H](CC)C(=O)C1Oc1nc(=O)n(C)c2[nH]cnc21 ZINC001230005361 805574026 /nfs/dbraw/zinc/57/40/26/805574026.db2.gz UVIGJPYLYSHMTO-ZETCQYMHSA-N 0 1 290.279 0.596 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C2(C(F)F)CC2)CC1 ZINC001266285804 790407719 /nfs/dbraw/zinc/40/77/19/790407719.db2.gz NUSFJRSUFPWEDA-UHFFFAOYSA-N 0 1 287.354 0.952 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001266286277 790410163 /nfs/dbraw/zinc/41/01/63/790410163.db2.gz GIPNRZOBCJJMAU-UHFFFAOYSA-N 0 1 274.324 0.354 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN1CCN(CCOCC)CC1 ZINC001266290403 790427944 /nfs/dbraw/zinc/42/79/44/790427944.db2.gz YSGNSDIQQHFKEZ-UHFFFAOYSA-N 0 1 297.443 0.969 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038169686 790440281 /nfs/dbraw/zinc/44/02/81/790440281.db2.gz JRMRAUPFMMTFMN-SECBINFHSA-N 0 1 250.302 0.414 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038169686 790440287 /nfs/dbraw/zinc/44/02/87/790440287.db2.gz JRMRAUPFMMTFMN-SECBINFHSA-N 0 1 250.302 0.414 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001039049051 790477412 /nfs/dbraw/zinc/47/74/12/790477412.db2.gz DHWMFLCAQLBLIS-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN Cc1nc(CN2CC[C@@H](NC(=O)C#CC(C)(C)C)C2)n[nH]1 ZINC001266319528 790497989 /nfs/dbraw/zinc/49/79/89/790497989.db2.gz NDJLHCWMAPIBCZ-GFCCVEGCSA-N 0 1 289.383 0.853 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1CCN(CCOCC=C)C1 ZINC001266320110 790500116 /nfs/dbraw/zinc/50/01/16/790500116.db2.gz ZCROATGJMXVVHZ-KBPBESRZSA-N 0 1 280.368 0.418 20 30 CCEDMN C=C(C)CCN1CC[C@H](NC(=O)CCS(C)(=O)=O)C1 ZINC001266324844 790507827 /nfs/dbraw/zinc/50/78/27/790507827.db2.gz FEICWPRGTRHRQL-LBPRGKRZSA-N 0 1 288.413 0.578 20 30 CCEDMN C#CCCCN1CCN(C(=O)OC(C)(C)C)[C@@H](C(N)=O)C1 ZINC001209265734 790590918 /nfs/dbraw/zinc/59/09/18/790590918.db2.gz GUCPCUHQSUNADB-GFCCVEGCSA-N 0 1 295.383 0.806 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)CN1CCCCC1=O ZINC001266471414 790766871 /nfs/dbraw/zinc/76/68/71/790766871.db2.gz OHPPVRKJROBBIV-AWEZNQCLSA-N 0 1 291.395 0.603 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CC[C@H](CNC(C)=O)C1 ZINC001266498093 790792890 /nfs/dbraw/zinc/79/28/90/790792890.db2.gz PRUIYJGTXOQHHI-CQSZACIVSA-N 0 1 281.400 0.869 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccnn1CC ZINC001038185304 790808172 /nfs/dbraw/zinc/80/81/72/790808172.db2.gz JLNFBALFWGWUDB-GFCCVEGCSA-N 0 1 260.341 0.730 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1CCN(CC(=O)N2CCC2)C1 ZINC001266511989 790835658 /nfs/dbraw/zinc/83/56/58/790835658.db2.gz VSUPCPNIJRDICP-CQSZACIVSA-N 0 1 291.395 0.316 20 30 CCEDMN CNC(=O)[C@H](C)N1CC[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001266514257 790844737 /nfs/dbraw/zinc/84/47/37/790844737.db2.gz OJQLDTSNNXPAJG-QWHCGFSZSA-N 0 1 293.411 0.609 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN(CC(N)=O)C1 ZINC001266537707 790894460 /nfs/dbraw/zinc/89/44/60/790894460.db2.gz QYSBJCFGSTZRRX-LLVKDONJSA-N 0 1 267.373 0.512 20 30 CCEDMN CCn1ncnc1CN[C@H]1C[C@@H](NC(=O)C#CC2CC2)C1 ZINC001266683135 791134352 /nfs/dbraw/zinc/13/43/52/791134352.db2.gz ITQVYPTYPKRIOG-BETUJISGSA-N 0 1 287.367 0.448 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CN1CCCC1=O ZINC001230739459 805659818 /nfs/dbraw/zinc/65/98/18/805659818.db2.gz NLLLZSUBNKMBLG-CYBMUJFWSA-N 0 1 277.368 0.165 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)COCC=C ZINC001231239893 805756808 /nfs/dbraw/zinc/75/68/08/805756808.db2.gz GBANMWXJFQRQMJ-ZDUSSCGKSA-N 0 1 250.342 0.745 20 30 CCEDMN CN(CCCNC(=O)C1CCCCC1)CC(=O)NCC#N ZINC001231336405 805778566 /nfs/dbraw/zinc/77/85/66/805778566.db2.gz VVRINLQPADGURS-UHFFFAOYSA-N 0 1 294.399 0.645 20 30 CCEDMN Cc1c(CNC(=O)NCC#CCN(C)C)cnn1C ZINC001231346674 805779098 /nfs/dbraw/zinc/77/90/98/805779098.db2.gz LWKMBRSTWFFOGG-UHFFFAOYSA-N 0 1 263.345 0.093 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(=O)COCC)C1=O ZINC001231408461 805795111 /nfs/dbraw/zinc/79/51/11/805795111.db2.gz IARBUJWIGBRMHK-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN1Cc1cc(C#N)ccc1O ZINC001232681252 805970344 /nfs/dbraw/zinc/97/03/44/805970344.db2.gz OLLHYALNUWODLD-ZDUSSCGKSA-N 0 1 289.335 0.553 20 30 CCEDMN COC(=O)[C@@H]1C[N@H+](C)CCN1Cc1cc(C#N)ccc1[O-] ZINC001232681252 805970349 /nfs/dbraw/zinc/97/03/49/805970349.db2.gz OLLHYALNUWODLD-ZDUSSCGKSA-N 0 1 289.335 0.553 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](C)CCN1Cc1cc(C#N)ccc1[O-] ZINC001232681252 805970355 /nfs/dbraw/zinc/97/03/55/805970355.db2.gz OLLHYALNUWODLD-ZDUSSCGKSA-N 0 1 289.335 0.553 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC([C@H](C)NC(=O)CC)CC1 ZINC001279111389 791182747 /nfs/dbraw/zinc/18/27/47/791182747.db2.gz LBQAYAHWPIRNKT-STQMWFEESA-N 0 1 293.411 0.751 20 30 CCEDMN C=CCCC(=O)N[C@H](C)[C@@H]1CCCN(CC(=O)NC)C1 ZINC001266892613 791324606 /nfs/dbraw/zinc/32/46/06/791324606.db2.gz OGXVYYBDACZMPO-CHWSQXEVSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnn(C)c1C(F)(F)F ZINC001267205662 791671749 /nfs/dbraw/zinc/67/17/49/791671749.db2.gz TTXLSYZHUVOKDS-UHFFFAOYSA-N 0 1 288.273 0.734 20 30 CCEDMN CC#CCN(C)CCNC(=O)CNC(=O)c1cccs1 ZINC001267230414 791709538 /nfs/dbraw/zinc/70/95/38/791709538.db2.gz ZQRISBFQPMYTSZ-UHFFFAOYSA-N 0 1 293.392 0.549 20 30 CCEDMN Cc1nnc([C@@H](C)NC(=O)NCC#CCN(C)C)s1 ZINC001233834057 806128392 /nfs/dbraw/zinc/12/83/92/806128392.db2.gz WQUOISOEUJPGGU-SECBINFHSA-N 0 1 281.385 0.772 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)n1 ZINC001059880568 814928997 /nfs/dbraw/zinc/92/89/97/814928997.db2.gz QJTMDZGDOODCFS-DTORHVGOSA-N 0 1 298.310 0.148 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)c1ccc[nH]1 ZINC001233888342 806140374 /nfs/dbraw/zinc/14/03/74/806140374.db2.gz OLSPRDMIZGSGTO-GFCCVEGCSA-N 0 1 290.367 0.204 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)NC(N)=O ZINC001233891236 806142431 /nfs/dbraw/zinc/14/24/31/806142431.db2.gz PSXLXFQDYNCMHK-QWRGUYRKSA-N 0 1 268.361 0.152 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@@H](C)NCc1cnc(C)o1 ZINC001277818944 806145732 /nfs/dbraw/zinc/14/57/32/806145732.db2.gz FRMANBXSYNILEE-GFCCVEGCSA-N 0 1 293.367 0.959 20 30 CCEDMN CC[C@@H](C)C(=O)NCCCN(C)CC(=O)NCC#N ZINC001234115777 806185121 /nfs/dbraw/zinc/18/51/21/806185121.db2.gz LIZZEFCHZBVAOG-LLVKDONJSA-N 0 1 268.361 0.110 20 30 CCEDMN C=C[C@@H](C)Oc1c(C(=O)OC)n[nH]c1C(=O)OC ZINC001234186949 806197864 /nfs/dbraw/zinc/19/78/64/806197864.db2.gz MIUBXUABWWHOOR-ZCFIWIBFSA-N 0 1 254.242 0.936 20 30 CCEDMN C=C[C@@H](Oc1c(C(=O)OC)n[nH]c1C(=O)OC)C(=O)OC ZINC001234188052 806199495 /nfs/dbraw/zinc/19/94/95/806199495.db2.gz CACOBNVFWIGRME-ZCFIWIBFSA-N 0 1 298.251 0.089 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCOCC#C ZINC001234246330 806210841 /nfs/dbraw/zinc/21/08/41/806210841.db2.gz LZMMJJLKCAZKOJ-CQSZACIVSA-N 0 1 262.353 0.582 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@@H](C)OCC ZINC001234302877 806219355 /nfs/dbraw/zinc/21/93/55/806219355.db2.gz ZBEYKNYGJKMUCS-QWHCGFSZSA-N 0 1 299.415 0.540 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@]12C[C@H]1COC2 ZINC001234413577 806240894 /nfs/dbraw/zinc/24/08/94/806240894.db2.gz KKGDTWUZMFQYLO-LZWOXQAQSA-N 0 1 294.395 0.758 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@]12C[C@H]1COC2 ZINC001234413577 806240901 /nfs/dbraw/zinc/24/09/01/806240901.db2.gz KKGDTWUZMFQYLO-LZWOXQAQSA-N 0 1 294.395 0.758 20 30 CCEDMN C=CCCC(=O)NCCCN(C)[C@@H]1CCN(C)C1=O ZINC001235465224 806426716 /nfs/dbraw/zinc/42/67/16/806426716.db2.gz UEFIYQYZBCJOAH-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN COCCOCN1C[C@H]2CN(CCCCC#N)C[C@@H](C1)O2 ZINC001277948516 806430989 /nfs/dbraw/zinc/43/09/89/806430989.db2.gz KDFHXSBACFGYOX-GASCZTMLSA-N 0 1 297.399 0.686 20 30 CCEDMN CC(C)[C@@H](C)CC(=O)NCCCN(C)CC(=O)NCC#N ZINC001235527309 806445534 /nfs/dbraw/zinc/44/55/34/806445534.db2.gz SOXWMUWNWGCOPN-ZDUSSCGKSA-N 0 1 296.415 0.746 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C1(C(=O)NC)CC1 ZINC001277962665 806492757 /nfs/dbraw/zinc/49/27/57/806492757.db2.gz KSFIYMGJIZBKSS-SNVBAGLBSA-N 0 1 287.791 0.702 20 30 CCEDMN CCc1occc1C(=O)NC[C@@H](CO)NCC#CCOC ZINC001278071220 806649394 /nfs/dbraw/zinc/64/93/94/806649394.db2.gz MESDKUDRBPALDA-LBPRGKRZSA-N 0 1 294.351 0.172 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@]1(C)CC=CCC1 ZINC001278086699 806655527 /nfs/dbraw/zinc/65/55/27/806655527.db2.gz YMCJPCHGWGAUOL-DZGCQCFKSA-N 0 1 264.369 0.823 20 30 CCEDMN C#CCN(C(=O)c1ccn[nH]1)C1CCN(CCF)CC1 ZINC001278121807 806702904 /nfs/dbraw/zinc/70/29/04/806702904.db2.gz NLFIFKYDTRRSGJ-UHFFFAOYSA-N 0 1 278.331 0.919 20 30 CCEDMN C[C@H]1[C@H](NC(=O)C(=O)Nc2ccc(C#N)cn2)CCN1C ZINC001121054825 798988466 /nfs/dbraw/zinc/98/84/66/798988466.db2.gz DOSFXJOBNURKGZ-GXSJLCMTSA-N 0 1 287.323 0.101 20 30 CCEDMN N#C[C@@H]1CN(Cc2cccc(C(N)=O)c2)CCC1=O ZINC001237695138 806711395 /nfs/dbraw/zinc/71/13/95/806711395.db2.gz VVSLYFUJHTXXAT-GFCCVEGCSA-N 0 1 257.293 0.700 20 30 CCEDMN C#C[C@H]1CCCN(c2nnc(-c3c[nH]nn3)n2CC)C1 ZINC001121779392 799070142 /nfs/dbraw/zinc/07/01/42/799070142.db2.gz CASKARPNAJPYTG-JTQLQIEISA-N 0 1 271.328 0.933 20 30 CCEDMN C#CCNCC(=O)N1CCN(CC(F)(F)F)[C@H](C)C1 ZINC001122058102 799132103 /nfs/dbraw/zinc/13/21/03/799132103.db2.gz QLPYPRDTQMDCDG-SNVBAGLBSA-N 0 1 277.290 0.304 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1nc(CC)oc1C ZINC001278171747 806739287 /nfs/dbraw/zinc/73/92/87/806739287.db2.gz LUWSCUURKWWVFY-LLVKDONJSA-N 0 1 279.340 0.249 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(Cl)ccc1C ZINC001278171463 806739878 /nfs/dbraw/zinc/73/98/78/806739878.db2.gz HHZMWQGIZBOZAV-LBPRGKRZSA-N 0 1 280.755 0.962 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@]2(C)CCN(C(C)=O)C2)CC1 ZINC001123251305 799379733 /nfs/dbraw/zinc/37/97/33/799379733.db2.gz ZSKJIXGGMDYKRP-MRXNPFEDSA-N 0 1 292.379 0.886 20 30 CCEDMN C[C@H](NCCNC(=O)CSCC#N)c1cnccn1 ZINC001123792371 799476613 /nfs/dbraw/zinc/47/66/13/799476613.db2.gz UVDVUVAHNRSLNY-JTQLQIEISA-N 0 1 279.369 0.500 20 30 CCEDMN COc1ccc(C#N)cc1CNCCNC(=O)c1cn[nH]c1 ZINC001124067696 799550735 /nfs/dbraw/zinc/55/07/35/799550735.db2.gz GTUAWIUYHGCVQU-UHFFFAOYSA-N 0 1 299.334 0.810 20 30 CCEDMN C=CCOCC(=O)NCCNCc1ncccc1C ZINC001124764918 799633766 /nfs/dbraw/zinc/63/37/66/799633766.db2.gz FGAMGYKUFZXVEO-UHFFFAOYSA-N 0 1 263.341 0.798 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCc1cccc2c1OCCO2 ZINC001124902964 799645713 /nfs/dbraw/zinc/64/57/13/799645713.db2.gz OHJDHVWFYCCZCM-NSHDSACASA-N 0 1 289.335 0.823 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@@H]1CCCCC(=O)N1 ZINC001149103006 799647657 /nfs/dbraw/zinc/64/76/57/799647657.db2.gz CDZNUSRULADGLZ-NSHDSACASA-N 0 1 287.791 0.894 20 30 CCEDMN C=C[C@@H](O)C(=O)NCCc1nnc(-c2ccccc2)[nH]1 ZINC001138116373 799701854 /nfs/dbraw/zinc/70/18/54/799701854.db2.gz TYDNJHOSVGLJPD-LLVKDONJSA-N 0 1 272.308 0.677 20 30 CCEDMN N#C[C@@H]1CN(Cc2cn3ccncc3n2)CCC1=O ZINC001139697303 799830982 /nfs/dbraw/zinc/83/09/82/799830982.db2.gz YKBVNZQAWUEXGZ-SNVBAGLBSA-N 0 1 255.281 0.644 20 30 CCEDMN N#CCCC(=O)N1CCN(C2CCOCC2)CC1 ZINC001143197066 800205586 /nfs/dbraw/zinc/20/55/86/800205586.db2.gz MJIWIDSJOKXSIT-UHFFFAOYSA-N 0 1 251.330 0.613 20 30 CCEDMN CN(C)CC(=O)N[C@H]1CC[C@H](NC(=O)CCC#N)CC1 ZINC001143205107 800206579 /nfs/dbraw/zinc/20/65/79/800206579.db2.gz LUBLDUCUBNURAK-HAQNSBGRSA-N 0 1 280.372 0.395 20 30 CCEDMN CC(=O)/C=C(/C)NC(=O)CCN1CCN(C)CC1 ZINC001143236198 800213522 /nfs/dbraw/zinc/21/35/22/800213522.db2.gz AXHKECXDASBDMM-KHPPLWFESA-N 0 1 253.346 0.233 20 30 CCEDMN CN(C(=O)c1[nH]c2ccccc2c1C#N)c1nn[nH]n1 ZINC001149219932 800237397 /nfs/dbraw/zinc/23/73/97/800237397.db2.gz JXIOUSLYZOAHEK-UHFFFAOYSA-N 0 1 267.252 0.829 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@H]2CCCO2)C1 ZINC001149248095 800239304 /nfs/dbraw/zinc/23/93/04/800239304.db2.gz ORBXZUINSFPQMV-KGLIPLIRSA-N 0 1 282.384 0.949 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCc1nc(O)cc(=O)[nH]1)OCC ZINC001149284003 800242320 /nfs/dbraw/zinc/24/23/20/800242320.db2.gz LNQQGJQLQBBTRT-SECBINFHSA-N 0 1 281.312 0.875 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2ccnn2C)C1 ZINC001149632756 800285083 /nfs/dbraw/zinc/28/50/83/800285083.db2.gz VJMRXIMZMBVITO-CQSZACIVSA-N 0 1 292.383 0.356 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)Cc2cncnc2)C1 ZINC001149666796 800287933 /nfs/dbraw/zinc/28/79/33/800287933.db2.gz MSGSNFJRPGWNMO-AWEZNQCLSA-N 0 1 290.367 0.412 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2cncnc2)C1 ZINC001149666796 800287937 /nfs/dbraw/zinc/28/79/37/800287937.db2.gz MSGSNFJRPGWNMO-AWEZNQCLSA-N 0 1 290.367 0.412 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@]2(F)CCOC2)C1 ZINC001150172971 800320287 /nfs/dbraw/zinc/32/02/87/800320287.db2.gz YKWOVGGFRBNYQR-TZMCWYRMSA-N 0 1 286.347 0.508 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@]2(F)CCOC2)C1 ZINC001150178905 800321443 /nfs/dbraw/zinc/32/14/43/800321443.db2.gz COPIMZIGHZNIQO-DZGCQCFKSA-N 0 1 298.358 0.345 20 30 CCEDMN N#CCNCCNC(=O)c1[nH]nc2c1CCCCC2 ZINC001151147765 800404199 /nfs/dbraw/zinc/40/41/99/800404199.db2.gz VFVAKUJQLIAJHC-UHFFFAOYSA-N 0 1 261.329 0.522 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)CC(C)(C)C ZINC001151465713 800428896 /nfs/dbraw/zinc/42/88/96/800428896.db2.gz IMGURLMBYNGUJC-UHFFFAOYSA-N 0 1 289.807 0.997 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1nccc(C#N)c1F ZINC001155078158 800904693 /nfs/dbraw/zinc/90/46/93/800904693.db2.gz KYXPZIFLWXFPSO-UHFFFAOYSA-N 0 1 289.270 0.913 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2cc(C#N)nc(C)n2)CC1 ZINC001155171984 800923857 /nfs/dbraw/zinc/92/38/57/800923857.db2.gz ZWYOYPDIENMTSI-CYBMUJFWSA-N 0 1 289.339 0.373 20 30 CCEDMN N#Cc1ncc(NCc2noc3c2CNCC3)nc1C#N ZINC001155502049 800992652 /nfs/dbraw/zinc/99/26/52/800992652.db2.gz GHTQWJPQAQWQPA-UHFFFAOYSA-N 0 1 281.279 0.466 20 30 CCEDMN C=CCCC(=O)NCCCNCc1nnnn1C(C)(C)C ZINC001155579471 801014777 /nfs/dbraw/zinc/01/47/77/801014777.db2.gz BLCSOCFSYWOFQU-UHFFFAOYSA-N 0 1 294.403 0.990 20 30 CCEDMN C=CCCC(=O)NCCCNCc1nnc2n1CCOC2 ZINC001155580126 801015321 /nfs/dbraw/zinc/01/53/21/801015321.db2.gz SLNYXLPYGKHCCR-UHFFFAOYSA-N 0 1 293.371 0.370 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)C(=O)N1CCC(C)CC1 ZINC001155661570 801033827 /nfs/dbraw/zinc/03/38/27/801033827.db2.gz BFVYSEZQDFIDGR-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1ncc(C)o1 ZINC001155725608 801041774 /nfs/dbraw/zinc/04/17/74/801041774.db2.gz XCEKSSMFPACDKN-UHFFFAOYSA-N 0 1 267.329 0.782 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001156359042 801156997 /nfs/dbraw/zinc/15/69/97/801156997.db2.gz HPUBWSLKKCPUBB-MNOVXSKESA-N 0 1 290.371 0.260 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001156359042 801157002 /nfs/dbraw/zinc/15/70/02/801157002.db2.gz HPUBWSLKKCPUBB-MNOVXSKESA-N 0 1 290.371 0.260 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)C(=O)Nc1cc(C)on1 ZINC001156870187 801251768 /nfs/dbraw/zinc/25/17/68/801251768.db2.gz KVHXYEIHKAXXBV-SNVBAGLBSA-N 0 1 292.339 0.381 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)C#CC(C)C ZINC001157036773 801289514 /nfs/dbraw/zinc/28/95/14/801289514.db2.gz ILLHPPGNQHQWQM-LLVKDONJSA-N 0 1 263.297 0.269 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1ncccn1 ZINC001157164445 801315957 /nfs/dbraw/zinc/31/59/57/801315957.db2.gz NBJOMRSHKQSFSQ-UHFFFAOYSA-N 0 1 260.341 0.876 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCN[C@@H](C)c1nnc(C)[nH]1 ZINC001157202123 801324755 /nfs/dbraw/zinc/32/47/55/801324755.db2.gz OSMRZTDPNFYJKZ-IINYFYTJSA-N 0 1 295.387 0.597 20 30 CCEDMN CC(C)n1cc(CNCCCNC(=O)C#CC2CC2)nn1 ZINC001157704897 801459695 /nfs/dbraw/zinc/45/96/95/801459695.db2.gz BVFFYRRRNPNKEG-UHFFFAOYSA-N 0 1 289.383 0.868 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1ncccn1 ZINC001157859355 801504488 /nfs/dbraw/zinc/50/44/88/801504488.db2.gz IOXZDXOGYFBGHA-UHFFFAOYSA-N 0 1 276.340 0.112 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCNC1=O ZINC001158350620 801625043 /nfs/dbraw/zinc/62/50/43/801625043.db2.gz UMAPERSCMQGOFE-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001158357422 801627664 /nfs/dbraw/zinc/62/76/64/801627664.db2.gz HHDZCAJTSMXYPS-KBXIAJHMSA-N 0 1 276.380 0.825 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1NC[C@H]1COCCN1 ZINC001159047989 801751990 /nfs/dbraw/zinc/75/19/90/801751990.db2.gz XEIRCMOCKGQQJL-VIFPVBQESA-N 0 1 272.312 0.183 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CNC(=O)C2CC2)CC1 ZINC001159375504 801797783 /nfs/dbraw/zinc/79/77/83/801797783.db2.gz ZOZZRUVBDLOWGT-UHFFFAOYSA-N 0 1 289.379 0.284 20 30 CCEDMN CC[C@@](N)(CO)Nc1nc2c(cc1C#N)COCC2 ZINC001159749381 801861669 /nfs/dbraw/zinc/86/16/69/801861669.db2.gz YIJQSIGMIFIWSC-ZDUSSCGKSA-N 0 1 262.313 0.495 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C[C@H]2COC(=O)C2)CC1 ZINC001159811989 801875346 /nfs/dbraw/zinc/87/53/46/801875346.db2.gz UYXUNGDTROYCRL-CQSZACIVSA-N 0 1 290.363 0.711 20 30 CCEDMN N#CCN1CC=C(CCNC(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001160097402 801906598 /nfs/dbraw/zinc/90/65/98/801906598.db2.gz IESZMYAQPUEDFV-VXGBXAGGSA-N 0 1 278.312 0.314 20 30 CCEDMN C#C[C@](C)(N)C(=O)N(CCO)Cc1cccs1 ZINC001160446246 801942705 /nfs/dbraw/zinc/94/27/05/801942705.db2.gz DVCUIODSPDOBTA-LBPRGKRZSA-N 0 1 252.339 0.420 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN2CCc3ccccc3[C@H]2C1 ZINC001160854951 802032488 /nfs/dbraw/zinc/03/24/88/802032488.db2.gz IIJXXUONHXFQKP-WBVHZDCISA-N 0 1 283.375 0.779 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC001160869285 802036533 /nfs/dbraw/zinc/03/65/33/802036533.db2.gz PZVVFBHBVLBSIY-KGLIPLIRSA-N 0 1 289.379 0.270 20 30 CCEDMN CN1CC(C(=O)NCCC2=CCN(CC#N)CC2)=NC1=O ZINC001161116442 802094698 /nfs/dbraw/zinc/09/46/98/802094698.db2.gz IVZTWUWSLDZHRA-UHFFFAOYSA-N 0 1 289.339 0.401 20 30 CCEDMN C#C[C@](C)(N)C(=O)N(C)[C@@H]1CCC2(C1)OCCO2 ZINC001161219421 802110768 /nfs/dbraw/zinc/11/07/68/802110768.db2.gz QLVZAEFRBMXBBK-PWSUYJOCSA-N 0 1 252.314 0.091 20 30 CCEDMN N#CCOc1ccc(NCc2nnc3n2CCCNC3)nc1 ZINC001163016703 802459381 /nfs/dbraw/zinc/45/93/81/802459381.db2.gz HPUMLTPATSZRGH-UHFFFAOYSA-N 0 1 299.338 0.681 20 30 CCEDMN Cc1cc(C#N)cnc1NCc1nnc2n1CCCNC2 ZINC001163017557 802460694 /nfs/dbraw/zinc/46/06/94/802460694.db2.gz KCSNQAOISHZFSP-UHFFFAOYSA-N 0 1 283.339 0.959 20 30 CCEDMN C=CC(=NCOC)NCc1cc(=O)n2c(n1)CNCCC2 ZINC001163112313 802486280 /nfs/dbraw/zinc/48/62/80/802486280.db2.gz VJVBDDNXXRCZJV-UHFFFAOYSA-N 0 1 291.355 0.015 20 30 CCEDMN Cc1nnc(CN)n1-c1ncnc2[nH]cc(C#N)c21 ZINC001163346849 802540718 /nfs/dbraw/zinc/54/07/18/802540718.db2.gz VGYOTQAGCHFPED-UHFFFAOYSA-N 0 1 254.257 0.177 20 30 CCEDMN CCOC(=O)c1cn(-c2cncc(CC#N)n2)nc1CN ZINC001163525492 802567668 /nfs/dbraw/zinc/56/76/68/802567668.db2.gz VSNCYNAKQCJVPY-UHFFFAOYSA-N 0 1 286.295 0.364 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)NC(C)(C)c1ncc(OC)c(=O)[n-]1 ZINC001263944808 809611311 /nfs/dbraw/zinc/61/13/11/809611311.db2.gz QFIZVNWJVHUEHU-UHFFFAOYSA-N 0 1 294.355 0.660 20 30 CCEDMN Cc1nnc(CNCC2(CCNC(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001163859500 802625633 /nfs/dbraw/zinc/62/56/33/802625633.db2.gz CHGFKOLKENYHTC-SNVBAGLBSA-N 0 1 290.371 0.649 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001163855564 802625764 /nfs/dbraw/zinc/62/57/64/802625764.db2.gz IGDKXOQJMGWTFJ-UHFFFAOYSA-N 0 1 295.387 0.307 20 30 CCEDMN CN(CCCNC(=O)[C@H]1CC[C@H](F)C1)CC(=O)NCC#N ZINC001265027567 809695342 /nfs/dbraw/zinc/69/53/42/809695342.db2.gz SXYCZCMVOOJBSV-RYUDHWBXSA-N 0 1 298.362 0.202 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCN(CCOCC2CC2)C1 ZINC001265276317 809741875 /nfs/dbraw/zinc/74/18/75/809741875.db2.gz BNZMGSUWUJUPIJ-DGCLKSJQSA-N 0 1 265.357 0.763 20 30 CCEDMN N#CNC(=Nc1cnc2nn[nH]c2c1)c1ccncc1 ZINC001164509665 802752910 /nfs/dbraw/zinc/75/29/10/802752910.db2.gz AQOSETPVSKLHTF-UHFFFAOYSA-N 0 1 264.252 0.897 20 30 CCEDMN N#C[C@@H]1CNCCN1c1nc(Cl)nc2c1CNCC2 ZINC001164626758 802773267 /nfs/dbraw/zinc/77/32/67/802773267.db2.gz NMQYCYLMOBDHBZ-MRVPVSSYSA-N 0 1 278.747 0.077 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCOC2)C1 ZINC001206695307 802778056 /nfs/dbraw/zinc/77/80/56/802778056.db2.gz GKMRTRWIVPOUFB-RBSFLKMASA-N 0 1 294.395 0.499 20 30 CCEDMN N#CC1(NC(=O)[C@]23C[C@H]2CCN3Cc2cnc[nH]2)CCC1 ZINC001278345244 807000728 /nfs/dbraw/zinc/00/07/28/807000728.db2.gz LQGGNSQNWGTKNR-ABAIWWIYSA-N 0 1 285.351 0.937 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1nccc(C)c1C#N ZINC001165436501 802841031 /nfs/dbraw/zinc/84/10/31/802841031.db2.gz JEGVXSKCAAXXIL-LLVKDONJSA-N 0 1 260.297 0.213 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)NCc1n[nH]c(COC)n1 ZINC001165515160 802848754 /nfs/dbraw/zinc/84/87/54/802848754.db2.gz KHBBBYFBBGEELE-UHFFFAOYSA-N 0 1 282.300 0.077 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)NCc1nnc(COC)[nH]1 ZINC001165515160 802848760 /nfs/dbraw/zinc/84/87/60/802848760.db2.gz KHBBBYFBBGEELE-UHFFFAOYSA-N 0 1 282.300 0.077 20 30 CCEDMN Cc1nc(C#N)cc(N2CC[C@@H](N)C(F)(F)C2)n1 ZINC001166959759 802995153 /nfs/dbraw/zinc/99/51/53/802995153.db2.gz BYDYJQJCFCCYDM-SECBINFHSA-N 0 1 253.256 0.829 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCC(CNCC#N)CC1 ZINC001205526188 803145292 /nfs/dbraw/zinc/14/52/92/803145292.db2.gz IRNABTDFEOSGSJ-AWEZNQCLSA-N 0 1 278.400 0.822 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)CCCOC)C1 ZINC001206242616 803218468 /nfs/dbraw/zinc/21/84/68/803218468.db2.gz BSNUVZOCOOOLTA-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CC2(COC)CC2)C1 ZINC001206952869 803317017 /nfs/dbraw/zinc/31/70/17/803317017.db2.gz IEFVMUPEMUSLPJ-CHWSQXEVSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCOCC2CC2)C1 ZINC001206961319 803317471 /nfs/dbraw/zinc/31/74/71/803317471.db2.gz UODDUGMSOVDVAB-TZMCWYRMSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@H](NCc2nccn2C)C1 ZINC001207680988 803436130 /nfs/dbraw/zinc/43/61/30/803436130.db2.gz YDWUYKORGKLOMQ-ZDUSSCGKSA-N 0 1 292.383 0.703 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2nccc(C)n2)[C@@H](O)C1 ZINC001083434650 814939351 /nfs/dbraw/zinc/93/93/51/814939351.db2.gz MFWOWXLPPFDOOA-NEPJUHHUSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CC[NH2+]C[C@@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001276575240 803533375 /nfs/dbraw/zinc/53/33/75/803533375.db2.gz TVWRSULZFKFMBZ-LLVKDONJSA-N 0 1 259.309 0.518 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC001208758953 803544312 /nfs/dbraw/zinc/54/43/12/803544312.db2.gz PDRUZCGJTKTLOB-CYBMUJFWSA-N 0 1 290.371 0.622 20 30 CCEDMN C=CCN(CCN1CC[C@H](CO)[C@H](O)C1)C(=O)OCC ZINC001209017131 803564871 /nfs/dbraw/zinc/56/48/71/803564871.db2.gz LDDXAQJNYQFGGW-CHWSQXEVSA-N 0 1 286.372 0.306 20 30 CCEDMN C=CCN(CCN1CCc2nncn2CC1)C(=O)OCC ZINC001209017074 803564882 /nfs/dbraw/zinc/56/48/82/803564882.db2.gz JWSWXBPAKMSYFD-UHFFFAOYSA-N 0 1 293.371 0.781 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COc2cccnc2)C1 ZINC001209521144 803608949 /nfs/dbraw/zinc/60/89/49/803608949.db2.gz IABYECKGTQBEDG-UKRRQHHQSA-N 0 1 287.363 0.920 20 30 CCEDMN COC(=O)C1(C#N)CCN(Cc2cnccc2N)CC1 ZINC001209732673 803625337 /nfs/dbraw/zinc/62/53/37/803625337.db2.gz BJWDLJKMCMTTRN-UHFFFAOYSA-N 0 1 274.324 0.773 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001213262778 803687796 /nfs/dbraw/zinc/68/77/96/803687796.db2.gz JFUOHOATHBMZPR-VXGBXAGGSA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](F)CC)[C@H](OC)C1 ZINC001213278112 803688961 /nfs/dbraw/zinc/68/89/61/803688961.db2.gz QGLRIOUHMOFWLM-QJPTWQEYSA-N 0 1 256.321 0.573 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](COC)OC)[C@H](OC)C1 ZINC001213413900 803694009 /nfs/dbraw/zinc/69/40/09/803694009.db2.gz HLGRTNBABYQWGQ-JHJVBQTASA-N 0 1 286.372 0.039 20 30 CCEDMN N#Cc1cccc(-c2noc(-c3nnn4c3CNCC4)n2)c1 ZINC001217217804 803879718 /nfs/dbraw/zinc/87/97/18/803879718.db2.gz ULDVXSKWJBQYLN-UHFFFAOYSA-N 0 1 293.290 0.970 20 30 CCEDMN C=CCCC(=O)N1CCO[C@@H]2CN(C[C@@H](C)O)C[C@@H]21 ZINC001217503536 803893828 /nfs/dbraw/zinc/89/38/28/803893828.db2.gz IDPCWOVLRASGNR-FRRDWIJNSA-N 0 1 268.357 0.245 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H](C)OC)[C@H](OC)C1 ZINC001212002048 814975301 /nfs/dbraw/zinc/97/53/01/814975301.db2.gz FTRXVMKHZHXUFJ-YNEHKIRRSA-N 0 1 270.373 0.803 20 30 CCEDMN C#CCCCC(=O)N1CCO[C@@H]2CN(C[C@@H](C)O)C[C@@H]21 ZINC001217757845 803911432 /nfs/dbraw/zinc/91/14/32/803911432.db2.gz CGZLGEVKRIKUNW-HZSPNIEDSA-N 0 1 280.368 0.082 20 30 CCEDMN C=C(C)CCC(=O)N1CCO[C@@H]2CN(CCCO)C[C@@H]21 ZINC001218041665 803929697 /nfs/dbraw/zinc/92/96/97/803929697.db2.gz YKZDPWXHYRBGIC-UONOGXRCSA-N 0 1 282.384 0.637 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H](CCC)OC)[C@H]2C1 ZINC001218440691 803957513 /nfs/dbraw/zinc/95/75/13/803957513.db2.gz WRORYOHUAYGKOM-SOUVJXGZSA-N 0 1 294.395 0.736 20 30 CCEDMN Cc1noc(NC(=O)C[C@H]2COCCN2)c1C#N ZINC001218504067 803972451 /nfs/dbraw/zinc/97/24/51/803972451.db2.gz SIZPETXJGALOSQ-QMMMGPOBSA-N 0 1 250.258 0.172 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N1CCO[C@@H]2CN(CCCO)C[C@@H]21 ZINC001218523313 803983620 /nfs/dbraw/zinc/98/36/20/803983620.db2.gz KNHWFFURQDGMJJ-SOUVJXGZSA-N 0 1 296.411 0.883 20 30 CCEDMN N#Cc1nc[nH]c1NC(=O)[C@H](N)Cc1ccccc1F ZINC001218595339 804028314 /nfs/dbraw/zinc/02/83/14/804028314.db2.gz SPDCCVWIZVEPJQ-SNVBAGLBSA-N 0 1 273.271 0.929 20 30 CCEDMN C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)Nc1c[nH]nc1C#N ZINC001218656428 804066087 /nfs/dbraw/zinc/06/60/87/804066087.db2.gz ULIGFDMIUYUCDR-GMSGAONNSA-N 0 1 265.317 0.751 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001218656233 804066372 /nfs/dbraw/zinc/06/63/72/804066372.db2.gz QTCONOKENBQILI-NSHDSACASA-N 0 1 280.291 0.662 20 30 CCEDMN N=C(c1ccccc1N)N([O-])C(=O)[C@@H]1CCC[C@H]1[NH3+] ZINC001218966867 804167268 /nfs/dbraw/zinc/16/72/68/804167268.db2.gz YKLCBWUSOLISBF-MWLCHTKSSA-N 0 1 262.313 0.939 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H]2CC=CCC2)[C@@H](O)C1 ZINC001219173278 804224882 /nfs/dbraw/zinc/22/48/82/804224882.db2.gz AMXCYUNPPRULBW-ZNMIVQPWSA-N 0 1 294.395 0.707 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCOCC)[C@@H](O)C1 ZINC001219347770 804281000 /nfs/dbraw/zinc/28/10/00/804281000.db2.gz SBXUWKVIXTVLCN-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CC2=CCCCC2)C[C@@H]1O ZINC001219378612 804289852 /nfs/dbraw/zinc/28/98/52/804289852.db2.gz AAVXEDGAZPVDQU-YUTCNCBUSA-N 0 1 277.368 0.808 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CC(=C)C)C[C@@H]1O ZINC001219532138 804339140 /nfs/dbraw/zinc/33/91/40/804339140.db2.gz KTCFKEUIHGNNTC-OLZOCXBDSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001219690044 804405414 /nfs/dbraw/zinc/40/54/14/804405414.db2.gz VBSCYHOPQMEMIB-OLZOCXBDSA-N 0 1 252.358 0.936 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](OCC)C(C)C)[C@@H](O)C1 ZINC001219798138 804425748 /nfs/dbraw/zinc/42/57/48/804425748.db2.gz FYWPDQOEMFAIJG-ILXRZTDVSA-N 0 1 296.411 0.622 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H]1CCc2[nH]c(=O)ccc2C1 ZINC001219891445 804441614 /nfs/dbraw/zinc/44/16/14/804441614.db2.gz UOBFYYKMDKPVEX-YGRLFVJLSA-N 0 1 259.309 0.111 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCNC2=O)[C@H]1C ZINC001088619632 815019389 /nfs/dbraw/zinc/01/93/89/815019389.db2.gz UNZJBGRHBVECIA-AGIUHOORSA-N 0 1 277.368 0.115 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1cncnc1C ZINC001272124407 815021438 /nfs/dbraw/zinc/02/14/38/815021438.db2.gz GRKGMLRDFRKPCG-UHFFFAOYSA-N 0 1 298.774 0.562 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@@H]1O ZINC001220133561 804507723 /nfs/dbraw/zinc/50/77/23/804507723.db2.gz FKEKRQSUGAUTDL-MCIONIFRSA-N 0 1 298.427 0.911 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@@H]1O ZINC001220184249 804530910 /nfs/dbraw/zinc/53/09/10/804530910.db2.gz ALKZJXBHPMADCE-MJBXVCDLSA-N 0 1 282.384 0.376 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C(C)(C)CC)[C@@H](O)C1 ZINC001220250785 804549896 /nfs/dbraw/zinc/54/98/96/804549896.db2.gz DCJQRUAQVAZWCO-OLZOCXBDSA-N 0 1 284.400 0.787 20 30 CCEDMN C#C[C@@H](C)NC(=O)[C@@H](N)Cc1c[nH]c2ncccc12 ZINC001220458240 804608994 /nfs/dbraw/zinc/60/89/94/804608994.db2.gz KZJVHTNVQSUYNC-SKDRFNHKSA-N 0 1 256.309 0.571 20 30 CCEDMN N#C[C@H](NC(=O)[C@@H](N)Cc1cccc2ccccc21)C(N)=O ZINC001220528021 804620380 /nfs/dbraw/zinc/62/03/80/804620380.db2.gz WYBLFSSXJUPIQG-KBPBESRZSA-N 0 1 296.330 0.203 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC(F)(F)c1ccccn1 ZINC001220571522 804627915 /nfs/dbraw/zinc/62/79/15/804627915.db2.gz TWXKDMGWPSRDPY-NSHDSACASA-N 0 1 253.252 0.640 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)CCOC)[C@@H](O)C1 ZINC001220662156 804645848 /nfs/dbraw/zinc/64/58/48/804645848.db2.gz KGVDJAUDBHLIRV-OLZOCXBDSA-N 0 1 282.384 0.234 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC1CN(c2ncnc3ccccc32)C1 ZINC001220985250 804714057 /nfs/dbraw/zinc/71/40/57/804714057.db2.gz PISJTCRUVITFGJ-INIZCTEOSA-N 0 1 295.346 0.285 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCOC)[C@@H]2C1 ZINC001221221876 804750862 /nfs/dbraw/zinc/75/08/62/804750862.db2.gz AHGKSSYUBVWNIN-CHWSQXEVSA-N 0 1 250.342 0.579 20 30 CCEDMN N#CCSCC(=O)N1C[C@H]2CCN(CCCO)C[C@H]21 ZINC001221291371 804766856 /nfs/dbraw/zinc/76/68/56/804766856.db2.gz DOHUYPCDUSCLBS-VXGBXAGGSA-N 0 1 283.397 0.158 20 30 CCEDMN CC(=O)OC[C@H](N)C(=O)N[C@](C)(C#N)CC(C)C ZINC001221292393 804768653 /nfs/dbraw/zinc/76/86/53/804768653.db2.gz LALYNFGGRVNFKS-JQWIXIFHSA-N 0 1 255.318 0.321 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccc2c(c1)CC(=O)N2 ZINC001221298652 804771507 /nfs/dbraw/zinc/77/15/07/804771507.db2.gz WYSKGADUVZSXKV-AWEZNQCLSA-N 0 1 257.293 0.148 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)c3cnon3)[C@@H]2C1 ZINC001221881615 804851412 /nfs/dbraw/zinc/85/14/12/804851412.db2.gz ZIUMJLDQNJBLMM-DGCLKSJQSA-N 0 1 292.339 0.419 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C3(C(=O)NC)CCC3)[C@@H]2C1 ZINC001221966971 804855809 /nfs/dbraw/zinc/85/58/09/804855809.db2.gz NJKDAAFYAGCBLX-CHWSQXEVSA-N 0 1 291.395 0.621 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)COC[C@H]3CCCO3)[C@@H]2C1 ZINC001222128938 804874266 /nfs/dbraw/zinc/87/42/66/804874266.db2.gz CDKZVZIWVLYPEH-RBSFLKMASA-N 0 1 294.395 0.901 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H]2CCN(C(=O)CC)C[C@@H]21 ZINC001222155486 804875177 /nfs/dbraw/zinc/87/51/77/804875177.db2.gz IIBYAAOGVJYLLK-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)[C@@H](C)C#N)CC1 ZINC001222636197 804922115 /nfs/dbraw/zinc/92/21/15/804922115.db2.gz JCEOOAITTIDSFJ-LBPRGKRZSA-N 0 1 292.383 0.276 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@@H]1COC(=O)C1 ZINC001276834125 804929663 /nfs/dbraw/zinc/92/96/63/804929663.db2.gz IIRXAKGHEOJGBV-NWDGAFQWSA-N 0 1 264.325 0.153 20 30 CCEDMN CO[C@H](C)CN1CC[C@H]1CNC(=O)C#CC(C)C ZINC001276842163 804934651 /nfs/dbraw/zinc/93/46/51/804934651.db2.gz KRZBRKPBXNNXCM-OLZOCXBDSA-N 0 1 252.358 0.871 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3n2CCOC3)[C@H]1C ZINC001088636620 815043216 /nfs/dbraw/zinc/04/32/16/815043216.db2.gz MVODMICLGRXSLM-NEPJUHHUSA-N 0 1 290.367 0.792 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnc[nH]c2=O)[C@H]1C ZINC001088649620 815047710 /nfs/dbraw/zinc/04/77/10/815047710.db2.gz MFAZKHTYWYXDAH-PWSUYJOCSA-N 0 1 274.324 0.398 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C(C)(C)F)CC1 ZINC001225754885 805158440 /nfs/dbraw/zinc/15/84/40/805158440.db2.gz JYNJYVLIYWOBOA-UHFFFAOYSA-N 0 1 270.348 0.965 20 30 CCEDMN CCOCC(=O)NC1CCN(CC#CCOC)CC1 ZINC001225910411 805176801 /nfs/dbraw/zinc/17/68/01/805176801.db2.gz FMOZKPDDVOIXMS-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C[C@@H]2CCCO2)CC1 ZINC001226188370 805202926 /nfs/dbraw/zinc/20/29/26/805202926.db2.gz ZNGAARLHTDNFJV-HNNXBMFYSA-N 0 1 294.395 0.786 20 30 CCEDMN C=CCCC(=O)NC1CCN([C@@H](CC)C(N)=O)CC1 ZINC001226518352 805241116 /nfs/dbraw/zinc/24/11/16/805241116.db2.gz GWSVZDTZCLNPSU-LBPRGKRZSA-N 0 1 267.373 0.797 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)[C@@H](F)C(C)C)CC1 ZINC001277141213 805255254 /nfs/dbraw/zinc/25/52/54/805255254.db2.gz NMBYVCPEMQXYGV-RYUDHWBXSA-N 0 1 297.374 0.357 20 30 CCEDMN C[C@H](C(=O)N(C)C)N1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226640934 805259851 /nfs/dbraw/zinc/25/98/51/805259851.db2.gz RMTVDYGTKSKYQG-WDEREUQCSA-N 0 1 280.372 0.203 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1[nH]c(=O)nc2cnncc21 ZINC001226692332 805266505 /nfs/dbraw/zinc/26/65/05/805266505.db2.gz NLXCEZDSNFFOSE-MRVPVSSYSA-N 0 1 288.263 0.459 20 30 CCEDMN N#C[C@@H]1CC[C@@H](Oc2[n-]c(=O)nc3c(=O)[n-]c(=O)[nH]c23)C1 ZINC001226885578 805290878 /nfs/dbraw/zinc/29/08/78/805290878.db2.gz AASWWBAHMCXFOT-PHDIDXHHSA-N 0 1 289.251 0.608 20 30 CCEDMN COCc1nc(CNC(=O)NCCCCC#N)n[nH]1 ZINC001227024787 805311606 /nfs/dbraw/zinc/31/16/06/805311606.db2.gz HJBCVTAHFRIYPY-UHFFFAOYSA-N 0 1 266.305 0.444 20 30 CCEDMN COCc1nnc(CNC(=O)NCCCCC#N)[nH]1 ZINC001227024787 805311616 /nfs/dbraw/zinc/31/16/16/805311616.db2.gz HJBCVTAHFRIYPY-UHFFFAOYSA-N 0 1 266.305 0.444 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)C2(C)CC2)[C@H](C)C1 ZINC001101989339 815099171 /nfs/dbraw/zinc/09/91/71/815099171.db2.gz TWBRWQDAARWLGN-CHWSQXEVSA-N 0 1 293.411 0.773 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H]2[C@H](CCN2CC(N)=O)C1 ZINC001272208042 815099600 /nfs/dbraw/zinc/09/96/00/815099600.db2.gz TXSHVHYCUMIXAO-OLZOCXBDSA-N 0 1 277.368 0.054 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H]2[C@H](CCN2CC(N)=O)C1 ZINC001272208041 815099788 /nfs/dbraw/zinc/09/97/88/815099788.db2.gz TXSHVHYCUMIXAO-CHWSQXEVSA-N 0 1 277.368 0.054 20 30 CCEDMN CCOC(=O)C1(c2nc(-c3ccc(C#N)cn3)no2)CNC1 ZINC001248891626 807533334 /nfs/dbraw/zinc/53/33/34/807533334.db2.gz ACFAPHUJYMFJNV-UHFFFAOYSA-N 0 1 299.290 0.407 20 30 CCEDMN N#C[C@@H]1CN(Cc2cnc(N)nc2C(F)(F)F)CCC1=O ZINC001249394560 807554628 /nfs/dbraw/zinc/55/46/28/807554628.db2.gz WMHZMDVVTRZYOM-SSDOTTSWSA-N 0 1 299.256 0.992 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)C2(C(=O)NC)CC2)[C@H]1C ZINC001278521693 807563273 /nfs/dbraw/zinc/56/32/73/807563273.db2.gz PPHHUYQZNCBLGL-OLZOCXBDSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCn4ccnc4C3)[C@@H]2C1 ZINC001075965719 815129974 /nfs/dbraw/zinc/12/99/74/815129974.db2.gz AQYBAEDDTSGQSR-SOUVJXGZSA-N 0 1 298.390 0.611 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)[C@H](F)CC)[C@H](C)C1 ZINC001102231619 815133561 /nfs/dbraw/zinc/13/35/61/815133561.db2.gz OVTSYLOHROAXKK-JHJVBQTASA-N 0 1 299.390 0.721 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NCc1nc(CSC)n[nH]1 ZINC001251113971 807668076 /nfs/dbraw/zinc/66/80/76/807668076.db2.gz SGNVENIYFFOKHD-SECBINFHSA-N 0 1 299.400 0.652 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NCc1nnc(CSC)[nH]1 ZINC001251113971 807668077 /nfs/dbraw/zinc/66/80/77/807668077.db2.gz SGNVENIYFFOKHD-SECBINFHSA-N 0 1 299.400 0.652 20 30 CCEDMN COC[C@@H](CNC(=O)NCC#CCN(C)C)C(C)C ZINC001251236090 807676492 /nfs/dbraw/zinc/67/64/92/807676492.db2.gz GFLRDFXRUXTQRR-CYBMUJFWSA-N 0 1 269.389 0.769 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1NC[C@@H](O)COc1ccccc1 ZINC001251247817 807677619 /nfs/dbraw/zinc/67/76/19/807677619.db2.gz QPSKQWUWYPZJHJ-LOWVWBTDSA-N 0 1 280.324 0.252 20 30 CCEDMN CCC[C@@H](CCO)CNC(=O)NCC#CCN(C)C ZINC001251707626 807695674 /nfs/dbraw/zinc/69/56/74/807695674.db2.gz AUOKVCVEETUTDO-ZDUSSCGKSA-N 0 1 269.389 0.649 20 30 CCEDMN COCCN(CC(C)C)C(=O)NCC#CCN(C)C ZINC001251707647 807695687 /nfs/dbraw/zinc/69/56/87/807695687.db2.gz BKZYJGWQUYIHAW-UHFFFAOYSA-N 0 1 269.389 0.865 20 30 CCEDMN CCOC(=O)N1CCN(C(=O)NCC#CCN(C)C)CC1 ZINC001251704363 807695766 /nfs/dbraw/zinc/69/57/66/807695766.db2.gz IRWGCTQXZZJXJL-UHFFFAOYSA-N 0 1 296.371 0.035 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCCCCNC(=O)CC1 ZINC001251708117 807696677 /nfs/dbraw/zinc/69/66/77/807696677.db2.gz KTLGGSCLSSAYHH-UHFFFAOYSA-N 0 1 294.399 0.253 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1CCC[C@@H]1CO ZINC001251708184 807696772 /nfs/dbraw/zinc/69/67/72/807696772.db2.gz MJULWYOHHPWMFB-VXGBXAGGSA-N 0 1 253.346 0.012 20 30 CCEDMN CCOCCO[C@H]1CCN(C(=O)NCC#CCN(C)C)C1 ZINC001251708381 807697087 /nfs/dbraw/zinc/69/70/87/807697087.db2.gz QFNKASGOSUCFTI-AWEZNQCLSA-N 0 1 297.399 0.388 20 30 CCEDMN CCNC(=O)CCC(=O)NC1(C#N)CCN(C)CC1 ZINC001251765455 807702581 /nfs/dbraw/zinc/70/25/81/807702581.db2.gz VEWXKGNOYMXCPI-UHFFFAOYSA-N 0 1 266.345 0.007 20 30 CCEDMN C#CC[C@H](CO)NC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC001251787227 807705035 /nfs/dbraw/zinc/70/50/35/807705035.db2.gz YTZPBUFZUNACFX-SECBINFHSA-N 0 1 279.344 0.286 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cnc(Cl)cn1 ZINC001251904173 807743420 /nfs/dbraw/zinc/74/34/20/807743420.db2.gz WGDYIGURSJQMPR-JTQLQIEISA-N 0 1 255.705 0.230 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cccc(OCC)n1 ZINC001251900178 807742538 /nfs/dbraw/zinc/74/25/38/807742538.db2.gz KXSAFGCGDXNJAB-ZDUSSCGKSA-N 0 1 264.325 0.581 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCC[N@H+](C[C@H](O)CO)C2)cc1 ZINC001252062091 807785335 /nfs/dbraw/zinc/78/53/35/807785335.db2.gz GLOCYYZAIPZKHI-DZGCQCFKSA-N 0 1 276.336 0.755 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCS[C@H](C(=O)OCC)C1 ZINC001252474149 807884712 /nfs/dbraw/zinc/88/47/12/807884712.db2.gz VLHUQQBOKRVLEH-RYUDHWBXSA-N 0 1 289.397 0.530 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ncccc1OC ZINC001252488570 807890835 /nfs/dbraw/zinc/89/08/35/807890835.db2.gz PRUQSKBSUASFAG-LLVKDONJSA-N 0 1 252.314 0.743 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cc(OCC2CC2)ncn1 ZINC001252496948 807895262 /nfs/dbraw/zinc/89/52/62/807895262.db2.gz GVFZIUHIVHMKRN-AWEZNQCLSA-N 0 1 293.367 0.919 20 30 CCEDMN C=C[C@@](C)(O)CN1CCO[C@@H]2C[C@@H](C(=O)NC(C)C)C[C@H]21 ZINC001252549745 807906232 /nfs/dbraw/zinc/90/62/32/807906232.db2.gz HOSODABQGHAKOU-DSJMHWKBSA-N 0 1 296.411 0.927 20 30 CCEDMN C=C[C@](C)(O)CN1Cc2nccn2C[C@@H](COC)C1 ZINC001252553119 807908081 /nfs/dbraw/zinc/90/80/81/807908081.db2.gz RPNIMLJFHLIYEF-JSGCOSHPSA-N 0 1 265.357 0.898 20 30 CCEDMN C=C[C@@](C)(O)CN1Cc2nccn2C[C@@H](COC)C1 ZINC001252553118 807908279 /nfs/dbraw/zinc/90/82/79/807908279.db2.gz RPNIMLJFHLIYEF-GXTWGEPZSA-N 0 1 265.357 0.898 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(CC(=O)NC(C)C)CC1 ZINC001252555573 807909398 /nfs/dbraw/zinc/90/93/98/807909398.db2.gz XZBUNZHULFHFFH-CQSZACIVSA-N 0 1 269.389 0.066 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(c2nccc(OC)n2)CC1 ZINC001252560485 807910497 /nfs/dbraw/zinc/91/04/97/807910497.db2.gz SQHJYGSDROUYAL-AWEZNQCLSA-N 0 1 278.356 0.544 20 30 CCEDMN C=C[C@](C)(O)CN1CC(N2C[C@H](C)O[C@@H](C)C2)C1 ZINC001252561412 807911080 /nfs/dbraw/zinc/91/10/80/807911080.db2.gz FNWXEWBJDQQFDP-OBJOEFQTSA-N 0 1 254.374 0.717 20 30 CCEDMN C=C[C@@](C)(O)CN1Cc2ccnn2CC[C@H]1CO ZINC001252557607 807911152 /nfs/dbraw/zinc/91/11/52/807911152.db2.gz NYZKFPBKFLLOEW-QWHCGFSZSA-N 0 1 251.330 0.387 20 30 CCEDMN C=CCC[C@H](O)CN1CCO[C@@H]2C[C@H](CO)C[C@H]21 ZINC001252597273 807917052 /nfs/dbraw/zinc/91/70/52/807917052.db2.gz XPZVAJOHJRXWHP-XJFOESAGSA-N 0 1 255.358 0.785 20 30 CCEDMN C=CCC[C@H](O)CN1CCO[C@@H]2C[C@@H](CO)C[C@H]21 ZINC001252597268 807917295 /nfs/dbraw/zinc/91/72/95/807917295.db2.gz XPZVAJOHJRXWHP-IGQOVBAYSA-N 0 1 255.358 0.785 20 30 CCEDMN C=C[C@H](O)C[NH+]1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC001253579855 808088065 /nfs/dbraw/zinc/08/80/65/808088065.db2.gz UJBQXPFWFNUKRS-VIFPVBQESA-N 0 1 266.263 0.676 20 30 CCEDMN C=C[C@H](O)CN1Cc2ccnn2C[C@H](C(=O)NC(C)C)C1 ZINC001253576288 808083221 /nfs/dbraw/zinc/08/32/21/808083221.db2.gz LQUQBYYSYCNYKS-OCCSQVGLSA-N 0 1 292.383 0.386 20 30 CCEDMN C=C[C@@H](O)CNCc1cc(OC2CCOCC2)ncn1 ZINC001253606319 808096155 /nfs/dbraw/zinc/09/61/55/808096155.db2.gz FZGRNRMLCXYMNK-GFCCVEGCSA-N 0 1 279.340 0.671 20 30 CCEDMN C=CCN(Cc1cc(C)[nH]n1)[C@@H]1CCS(=O)(=O)C1 ZINC001253996807 808167748 /nfs/dbraw/zinc/16/77/48/808167748.db2.gz GPENKLLTIWJMFO-GFCCVEGCSA-N 0 1 269.370 0.893 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2CC(F)(F)C2)C1 ZINC001278771099 808199679 /nfs/dbraw/zinc/19/96/79/808199679.db2.gz JMOALEXYXHNOLW-LBPRGKRZSA-N 0 1 272.295 0.218 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cc[nH]c2C)C1 ZINC001278771056 808200239 /nfs/dbraw/zinc/20/02/39/808200239.db2.gz IVLGQJSYXGDJSI-AWEZNQCLSA-N 0 1 261.325 0.123 20 30 CCEDMN N#Cc1ccccc1OCCN[C@H]1CCS(=O)(=O)C1 ZINC001254321547 808207496 /nfs/dbraw/zinc/20/74/96/808207496.db2.gz JACNXFQIXOPYII-LBPRGKRZSA-N 0 1 280.349 0.714 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2c[nH]nc2CC)C1 ZINC001278790027 808245438 /nfs/dbraw/zinc/24/54/38/808245438.db2.gz DXJCRUQDTILSBK-OAHLLOKOSA-N 0 1 290.367 0.162 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2ccc(Cl)[nH]2)C1 ZINC001278804425 808275830 /nfs/dbraw/zinc/27/58/30/808275830.db2.gz AKEDQNXUXGZEPM-AWEZNQCLSA-N 0 1 295.770 0.858 20 30 CCEDMN COC(=O)[C@H](CS)N[C@H]1CC2CCN(CC2)C1 ZINC001254838209 808283912 /nfs/dbraw/zinc/28/39/12/808283912.db2.gz ZMPJTBCLXHTXOB-QWRGUYRKSA-N 0 1 258.387 0.532 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cccc(OC)c2)C1 ZINC001278820639 808292644 /nfs/dbraw/zinc/29/26/44/808292644.db2.gz DLRLPKVFKRXEJV-MRXNPFEDSA-N 0 1 288.347 0.495 20 30 CCEDMN COc1ccc(CNCCNC(=O)C#CC(C)(C)C)nn1 ZINC001126893012 815197185 /nfs/dbraw/zinc/19/71/85/815197185.db2.gz BIFSXVBGBTUBRT-UHFFFAOYSA-N 0 1 290.367 0.741 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccnc(C)n1 ZINC001278882312 808425137 /nfs/dbraw/zinc/42/51/37/808425137.db2.gz DTGXJJBGMUDWLQ-CYBMUJFWSA-N 0 1 290.367 0.173 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)NCC#CCN(C)C)C1 ZINC001256585254 808537449 /nfs/dbraw/zinc/53/74/49/808537449.db2.gz PFNXYEUSEIAHKE-CQSZACIVSA-N 0 1 261.369 0.996 20 30 CCEDMN N#C[C@H](NC1CCN(Cc2ccncc2)CC1)C(N)=O ZINC001256583092 808538205 /nfs/dbraw/zinc/53/82/05/808538205.db2.gz USTQMNSYSSCYDQ-ZDUSSCGKSA-N 0 1 273.340 0.013 20 30 CCEDMN Cc1ccc(C(=NS(=O)(=O)c2cn[nH]c2)NO)nc1 ZINC001260964753 808895074 /nfs/dbraw/zinc/89/50/74/808895074.db2.gz WDYHUIHTZCQVBG-UHFFFAOYSA-N 0 1 281.297 0.227 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCn3ccnc3)[C@@H]2C1 ZINC001076105401 815244590 /nfs/dbraw/zinc/24/45/90/815244590.db2.gz GDLNFRDOBHZKKV-UONOGXRCSA-N 0 1 272.352 0.439 20 30 CCEDMN C=C(C)CN=c1ccc(C(=O)NC2CCOCC2)n[nH]1 ZINC000489914383 808915832 /nfs/dbraw/zinc/91/58/32/808915832.db2.gz DARMYMVZVRYXFR-UHFFFAOYSA-N 0 1 276.340 0.795 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](OC)C[C@]2(C)CO)CC1 ZINC001261884980 809119846 /nfs/dbraw/zinc/11/98/46/809119846.db2.gz UWWSALWWFASLDE-GDBMZVCRSA-N 0 1 294.395 0.330 20 30 CCEDMN C=CCO[C@@H]1CC[N@@H+](Cc2cc(C(=O)[O-])n[nH]2)C1 ZINC001262156350 809209210 /nfs/dbraw/zinc/20/92/10/809209210.db2.gz OEWQLLSXRGZNFU-SNVBAGLBSA-N 0 1 251.286 0.885 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](Cc2cc(C(=O)[O-])n[nH]2)C1 ZINC001262156350 809209213 /nfs/dbraw/zinc/20/92/13/809209213.db2.gz OEWQLLSXRGZNFU-SNVBAGLBSA-N 0 1 251.286 0.885 20 30 CCEDMN C[C@H]1CN(Cc2cncc(C#N)c2)CCN1CCO ZINC001262265145 809262121 /nfs/dbraw/zinc/26/21/21/809262121.db2.gz LOZGZFTWYLSNHU-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN C#CC[C@H]1C(C)=NN(c2nc3c(cnn3C)c(=O)[nH]2)C1=O ZINC001262379809 809297836 /nfs/dbraw/zinc/29/78/36/809297836.db2.gz MUVHOSYRAGQLFY-QMMMGPOBSA-N 0 1 284.279 0.431 20 30 CCEDMN C=CCn1cc(C(=O)N2CC[C@H]3CN(CC#CC)C[C@H]32)nn1 ZINC001076335897 815278538 /nfs/dbraw/zinc/27/85/38/815278538.db2.gz AINJPDKRAHFWML-DZGCQCFKSA-N 0 1 299.378 0.634 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccncn3)[C@@H]2C1 ZINC001076372301 815285318 /nfs/dbraw/zinc/28/53/18/815285318.db2.gz UUXJEMWHFIQZDX-WCQYABFASA-N 0 1 258.325 0.809 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCNC[C@@H]2CO)c(F)c1 ZINC001263180786 809473287 /nfs/dbraw/zinc/47/32/87/809473287.db2.gz VCQHJDKBFDMJTI-SNVBAGLBSA-N 0 1 263.272 0.104 20 30 CCEDMN C#CCNCC(=O)N[C@@](C)(C(=O)OCC)c1ccccc1 ZINC001263185231 809474720 /nfs/dbraw/zinc/47/47/20/809474720.db2.gz TYTKUXCAPYNLPC-MRXNPFEDSA-N 0 1 288.347 0.804 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cnc(OC)nc3)[C@@H]2C1 ZINC001076424929 815295640 /nfs/dbraw/zinc/29/56/40/815295640.db2.gz UZTXHDYUNKVDQA-WCQYABFASA-N 0 1 288.351 0.818 20 30 CCEDMN C#CC(C)(C)NC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001263732118 809559965 /nfs/dbraw/zinc/55/99/65/809559965.db2.gz YJDOUUXOJSQRFT-UHFFFAOYSA-N 0 1 276.340 0.769 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C)C(C)(C)C ZINC001263778586 809568739 /nfs/dbraw/zinc/56/87/39/809568739.db2.gz NNZJABOIWZBHPI-RDBSUJKOSA-N 0 1 294.443 0.968 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001263909935 809604208 /nfs/dbraw/zinc/60/42/08/809604208.db2.gz KKNGVNBIXMXZMD-MWLCHTKSSA-N 0 1 253.302 0.541 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001076527554 815307584 /nfs/dbraw/zinc/30/75/84/815307584.db2.gz IYDDSCIDYYCVLC-UONOGXRCSA-N 0 1 285.347 0.957 20 30 CCEDMN C=CCCCC(=O)N1C[C@H]2CCN([C@@H](C)C(N)=O)C[C@H]2C1 ZINC001264650626 809667629 /nfs/dbraw/zinc/66/76/29/809667629.db2.gz YLNJSVCBIZEXLZ-MJBXVCDLSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@@H]1COC(=O)C1 ZINC001264738925 809676930 /nfs/dbraw/zinc/67/69/30/809676930.db2.gz LITCWKOYGRCOTN-KBPBESRZSA-N 0 1 292.379 0.886 20 30 CCEDMN C[C@@H]1CN(Cc2ccccc2)C[C@@H]1NS(=O)(=O)CC#N ZINC000390007763 809680408 /nfs/dbraw/zinc/68/04/08/809680408.db2.gz XROGAPKMJHZZIG-OCCSQVGLSA-N 0 1 293.392 0.950 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)CCCC(C)=O)C1 ZINC001265270311 809736250 /nfs/dbraw/zinc/73/62/50/809736250.db2.gz CITCEAULJHHSHL-ZDUSSCGKSA-N 0 1 250.342 0.960 20 30 CCEDMN C=CCN(C)CCCNC(=O)[C@@H]1CCCS1(=O)=O ZINC001265071471 809703491 /nfs/dbraw/zinc/70/34/91/809703491.db2.gz MDPXLGKAFYHWOX-NSHDSACASA-N 0 1 274.386 0.188 20 30 CCEDMN CCNC(=O)CN(CC)CCCNC(=O)C#CC(C)C ZINC001265113380 809706279 /nfs/dbraw/zinc/70/62/79/809706279.db2.gz KTNUSNKNYCPELF-UHFFFAOYSA-N 0 1 281.400 0.610 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(CCCNC(=O)C(C)C)C1CC1 ZINC001265154164 809718102 /nfs/dbraw/zinc/71/81/02/809718102.db2.gz VQWVCSOMNRIBKL-CYBMUJFWSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)CC2CC2)CC1 ZINC001265237063 809729218 /nfs/dbraw/zinc/72/92/18/809729218.db2.gz MUYWJVVPMKZJOW-UHFFFAOYSA-N 0 1 263.385 0.544 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001265234793 809729740 /nfs/dbraw/zinc/72/97/40/809729740.db2.gz BERWSPMAGCPZFH-OLZOCXBDSA-N 0 1 281.400 0.901 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@@H]2CCC2(F)F)CC1 ZINC001265244709 809730906 /nfs/dbraw/zinc/73/09/06/809730906.db2.gz NGEDTGZDHJODNH-ZDUSSCGKSA-N 0 1 299.365 0.789 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)c2n[nH]cc2C)CC1 ZINC001265243541 809731162 /nfs/dbraw/zinc/73/11/62/809731162.db2.gz WLIKWNUIZVEAHX-UHFFFAOYSA-N 0 1 291.399 0.642 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@H](C)OCC)C1 ZINC001265270852 809737845 /nfs/dbraw/zinc/73/78/45/809737845.db2.gz NBPGDCKCUMKRLI-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(CCN2CCNC2=O)C1 ZINC001265296803 809749892 /nfs/dbraw/zinc/74/98/92/809749892.db2.gz DNIFRMHOKWFMII-GFCCVEGCSA-N 0 1 294.399 0.414 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CNC(=O)c2ccccn2)C1 ZINC001265319384 809757321 /nfs/dbraw/zinc/75/73/21/809757321.db2.gz MHYUEUPTIOSWSJ-LBPRGKRZSA-N 0 1 288.351 0.188 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001265654562 809821040 /nfs/dbraw/zinc/82/10/40/809821040.db2.gz XLVOTKFXZITFHB-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN N#CCNC[C@@H]1CCCCN1C(=O)CCc1nc[nH]n1 ZINC001265665439 809822440 /nfs/dbraw/zinc/82/24/40/809822440.db2.gz DNYPVIITSCZCQB-NSHDSACASA-N 0 1 276.344 0.232 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1nccnc1N ZINC001265760989 809843973 /nfs/dbraw/zinc/84/39/73/809843973.db2.gz LBSGBNXXVHGSJF-QMMMGPOBSA-N 0 1 269.736 0.519 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H](C)NCc1ccccn1 ZINC001265774597 809849140 /nfs/dbraw/zinc/84/91/40/809849140.db2.gz HFSSBRYWSWJCMM-STQMWFEESA-N 0 1 275.352 0.714 20 30 CCEDMN Cc1ncc(CN(C)CCCNC(=O)[C@H](C)C#N)cn1 ZINC001265815147 809862128 /nfs/dbraw/zinc/86/21/28/809862128.db2.gz POQNJWRXDRULRC-LLVKDONJSA-N 0 1 275.356 0.883 20 30 CCEDMN CO[C@H](C)CN1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001266204303 809961574 /nfs/dbraw/zinc/96/15/74/809961574.db2.gz BMJXRLRVWJHSIJ-NEPJUHHUSA-N 0 1 285.413 0.859 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)[C@H]2CCOC2)C1 ZINC001279469993 809980525 /nfs/dbraw/zinc/98/05/25/809980525.db2.gz BIZXXAJFKYCNPB-JSGCOSHPSA-N 0 1 268.357 0.152 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)Cc2cc[nH]n2)C1 ZINC001279470390 809981572 /nfs/dbraw/zinc/98/15/72/809981572.db2.gz FMRYTZLGIYSORF-CQSZACIVSA-N 0 1 278.356 0.081 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)[C@@H]2CC2(F)F)C1 ZINC001279478745 809982433 /nfs/dbraw/zinc/98/24/33/809982433.db2.gz CGFJERGVEZBARL-JQWIXIFHSA-N 0 1 274.311 0.771 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccnc(F)c2)C1 ZINC001076796591 815375867 /nfs/dbraw/zinc/37/58/67/815375867.db2.gz UQUMFYVUJPBIDF-VXGBXAGGSA-N 0 1 279.315 0.572 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2=CCCC2)C1 ZINC001076875226 815400028 /nfs/dbraw/zinc/40/00/28/815400028.db2.gz NSKCFIGRMSXJME-CHWSQXEVSA-N 0 1 250.342 0.834 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(F)cn2)C1 ZINC001076913075 815412043 /nfs/dbraw/zinc/41/20/43/815412043.db2.gz JPXGQBBSKQTMPD-CHWSQXEVSA-N 0 1 277.299 0.019 20 30 CCEDMN C=C(C)C(=O)NCCCNc1cnc2n[nH]nc2c1 ZINC001167669818 811075755 /nfs/dbraw/zinc/07/57/55/811075755.db2.gz RGNMHJSYPJPIEJ-UHFFFAOYSA-N 0 1 260.301 0.847 20 30 CCEDMN Cc1cc(-c2noc(C[C@@H](N)C(N)=O)n2)ccc1C#N ZINC001211591386 811084046 /nfs/dbraw/zinc/08/40/46/811084046.db2.gz WTZDOSBWGKDZGU-SNVBAGLBSA-N 0 1 271.280 0.272 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1nc(Cl)cc(C#N)n1 ZINC001167671997 811117584 /nfs/dbraw/zinc/11/75/84/811117584.db2.gz UOKASYMQQBAUQU-UHFFFAOYSA-N 0 1 275.703 0.434 20 30 CCEDMN CC#CCN(CCNC(=O)c1cnnn1CC)C1CC1 ZINC001267327002 811161120 /nfs/dbraw/zinc/16/11/20/811161120.db2.gz WXSITLKMTUHHMT-UHFFFAOYSA-N 0 1 275.356 0.516 20 30 CCEDMN Cc1cc(C#N)cnc1-n1c(C)ncc(CN)c1=N ZINC001167673617 811163892 /nfs/dbraw/zinc/16/38/92/811163892.db2.gz DHLBCGARRANASB-UHFFFAOYSA-N 0 1 254.297 0.694 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@H]1CCC(=O)N1)c1ccccc1 ZINC001267352049 811197033 /nfs/dbraw/zinc/19/70/33/811197033.db2.gz XXVKIHGDMLMSKC-KGLIPLIRSA-N 0 1 285.347 0.345 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](NC(=O)Cc3cnc[nH]3)C2)nn1 ZINC001058562227 811320820 /nfs/dbraw/zinc/32/08/20/811320820.db2.gz GFXGBXGOJPQPNI-NSHDSACASA-N 0 1 297.322 0.009 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3c[nH]nc3C)C[C@@H]21 ZINC001042172975 811347114 /nfs/dbraw/zinc/34/71/14/811347114.db2.gz KSWVZGYUCGTYES-JSGCOSHPSA-N 0 1 272.352 0.888 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001077066450 815441620 /nfs/dbraw/zinc/44/16/20/815441620.db2.gz PIDYJDOLAFMRMZ-VHSXEESVSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001077066450 815441622 /nfs/dbraw/zinc/44/16/22/815441622.db2.gz PIDYJDOLAFMRMZ-VHSXEESVSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H]2COC(=O)N2)C1 ZINC001267539140 811419899 /nfs/dbraw/zinc/41/98/99/811419899.db2.gz DXGLLKFEKBPZFJ-VXGBXAGGSA-N 0 1 281.356 0.594 20 30 CCEDMN C#CCN1CC[C@H](N(CCC)C(=O)Cc2nnc(C)[nH]2)C1 ZINC001267542435 811423718 /nfs/dbraw/zinc/42/37/18/811423718.db2.gz WTEFQXJULHQWQY-ZDUSSCGKSA-N 0 1 289.383 0.602 20 30 CCEDMN C=CCN1CC[C@@H](CCNC(=O)[C@H](C)S(C)(=O)=O)C1 ZINC001267558335 811441126 /nfs/dbraw/zinc/44/11/26/811441126.db2.gz WTYCZCIQOJIGEJ-NWDGAFQWSA-N 0 1 288.413 0.434 20 30 CCEDMN C=CCn1cccc1C(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001077138800 815449867 /nfs/dbraw/zinc/44/98/67/815449867.db2.gz OBXIEHBOMTWJLG-TZMCWYRMSA-N 0 1 295.358 0.809 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001077145908 815451064 /nfs/dbraw/zinc/45/10/64/815451064.db2.gz ULFIJKXSTRYHJH-ZIAGYGMSSA-N 0 1 292.383 0.008 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001077145908 815451067 /nfs/dbraw/zinc/45/10/67/815451067.db2.gz ULFIJKXSTRYHJH-ZIAGYGMSSA-N 0 1 292.383 0.008 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C3=COCCO3)[C@@H]2C1 ZINC001075515960 811494550 /nfs/dbraw/zinc/49/45/50/811494550.db2.gz MBKLAHZMGOXWLA-NWDGAFQWSA-N 0 1 262.309 0.041 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)C(N)=O)C1 ZINC001267628435 811587117 /nfs/dbraw/zinc/58/71/17/811587117.db2.gz WMMMNLXHKNARPS-JTQLQIEISA-N 0 1 253.346 0.265 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001038331156 811602195 /nfs/dbraw/zinc/60/21/95/811602195.db2.gz WFFYGRQDHOTZBM-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cc(CC)n[nH]2)C1 ZINC001267718570 811682234 /nfs/dbraw/zinc/68/22/34/811682234.db2.gz ACDXIUKGJPSEHQ-ZDUSSCGKSA-N 0 1 292.383 0.979 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3[nH]cnc3C)[C@H]2C1 ZINC001083293517 811771509 /nfs/dbraw/zinc/77/15/09/811771509.db2.gz ICRZVGLMUKZSRI-QWHCGFSZSA-N 0 1 290.367 0.819 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)C[C@H]2CCNC2=O)C1 ZINC001267785543 811785273 /nfs/dbraw/zinc/78/52/73/811785273.db2.gz FZZRVDUUXNPFDE-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C[C@H]2CCNC2=O)C1 ZINC001267785543 811785276 /nfs/dbraw/zinc/78/52/76/811785276.db2.gz FZZRVDUUXNPFDE-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cncc3[nH]ccc32)C1 ZINC001077307775 815477262 /nfs/dbraw/zinc/47/72/62/815477262.db2.gz OLAZONGSDSNMKR-HUUCEWRRSA-N 0 1 298.346 0.361 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCCN(CCOCC)C1 ZINC001267913935 811867382 /nfs/dbraw/zinc/86/73/82/811867382.db2.gz GULRORLVUIWMHQ-CYBMUJFWSA-N 0 1 270.373 0.806 20 30 CCEDMN CC#CC[NH2+]CCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001167758534 811896165 /nfs/dbraw/zinc/89/61/65/811896165.db2.gz QFTOZADPASVZKG-UHFFFAOYSA-N 0 1 288.351 0.792 20 30 CCEDMN C[C@@H](CNCC#N)CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001267985290 811926275 /nfs/dbraw/zinc/92/62/75/811926275.db2.gz DLPDRPWDIAFINX-WDEREUQCSA-N 0 1 275.356 0.695 20 30 CCEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)COC)C1 ZINC001268018835 811961493 /nfs/dbraw/zinc/96/14/93/811961493.db2.gz RHXXPNCSVMVRFM-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN N#Cc1cc(C(=O)NCCNCc2n[nH]c(C3CC3)n2)c[nH]1 ZINC001125988084 811968157 /nfs/dbraw/zinc/96/81/57/811968157.db2.gz KYJIRCXDYLDGCV-UHFFFAOYSA-N 0 1 299.338 0.401 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)c2cnnn2C)C1 ZINC001268020952 811968228 /nfs/dbraw/zinc/96/82/28/811968228.db2.gz NUDDYCLMOQNODW-GFCCVEGCSA-N 0 1 293.371 0.212 20 30 CCEDMN N#CCN1CC[C@@]2(CCN(C(=O)CCc3nc[nH]n3)C2)C1 ZINC001041181974 811995670 /nfs/dbraw/zinc/99/56/70/811995670.db2.gz PRCLTIZMTAZNFY-CQSZACIVSA-N 0 1 288.355 0.185 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCOCC)CC2 ZINC001268043640 812080578 /nfs/dbraw/zinc/08/05/78/812080578.db2.gz KYQCSAUVYAZUDS-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cn(C)nn1 ZINC001027864350 812104644 /nfs/dbraw/zinc/10/46/44/812104644.db2.gz AMBPRHWPXCKFRD-LLVKDONJSA-N 0 1 261.329 0.033 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CCCCC2)[C@@H](O)C1 ZINC001083316636 812105814 /nfs/dbraw/zinc/10/58/14/812105814.db2.gz MHHPTPNVQYDCNU-KGLIPLIRSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccnn1CC(F)F ZINC001027883359 812115542 /nfs/dbraw/zinc/11/55/42/812115542.db2.gz KDPVNXKDLVYJCE-LLVKDONJSA-N 0 1 296.321 0.976 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccnn1CC(F)F ZINC001027883360 812115610 /nfs/dbraw/zinc/11/56/10/812115610.db2.gz KDPVNXKDLVYJCE-NSHDSACASA-N 0 1 296.321 0.976 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)c1cncc2nc[nH]c21 ZINC001027899309 812128290 /nfs/dbraw/zinc/12/82/90/812128290.db2.gz GLFLJUSYWODCQY-SNVBAGLBSA-N 0 1 284.323 0.676 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCN(C)c1ncnc2[nH]cnc21 ZINC001105346661 812164196 /nfs/dbraw/zinc/16/41/96/812164196.db2.gz GNNGVNYIDPUQCL-SECBINFHSA-N 0 1 287.327 0.407 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCn2cncc2C1 ZINC001027977511 812188610 /nfs/dbraw/zinc/18/86/10/812188610.db2.gz TUZIYLCJNXXVON-KBPBESRZSA-N 0 1 286.379 0.659 20 30 CCEDMN CC(C)N1CCO[C@H](C(=O)NC[C@H]2CCCN2CC#N)C1 ZINC001028093382 812271897 /nfs/dbraw/zinc/27/18/97/812271897.db2.gz KVHUVYCRDZXOMG-KGLIPLIRSA-N 0 1 294.399 0.200 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc2ncn(C)c2n1 ZINC001028136609 812304490 /nfs/dbraw/zinc/30/44/90/812304490.db2.gz TUZXFUFBEDWTES-GFCCVEGCSA-N 0 1 297.362 0.796 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001028139014 812307256 /nfs/dbraw/zinc/30/72/56/812307256.db2.gz FHJSIEIVOWBTER-MELADBBJSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001028139014 812307265 /nfs/dbraw/zinc/30/72/65/812307265.db2.gz FHJSIEIVOWBTER-MELADBBJSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1cc2ncccn2n1 ZINC001028209930 812372419 /nfs/dbraw/zinc/37/24/19/812372419.db2.gz KPFVNKBBQRWVDV-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc2ncccn2n1 ZINC001028209930 812372423 /nfs/dbraw/zinc/37/24/23/812372423.db2.gz KPFVNKBBQRWVDV-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCCCC(=O)NCCN[C@@H](C)c1n[nH]c(C)n1 ZINC001126338824 812449502 /nfs/dbraw/zinc/44/95/02/812449502.db2.gz JHLJMKPFURDGCU-JTQLQIEISA-N 0 1 263.345 0.683 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCNCc1ncnn1CCF ZINC001268348400 812512278 /nfs/dbraw/zinc/51/22/78/812512278.db2.gz GPKVWIJUENOFGI-MNOVXSKESA-N 0 1 296.350 0.392 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(N(C)C)nn1 ZINC001028267111 812533027 /nfs/dbraw/zinc/53/30/27/812533027.db2.gz DPTWLHGGINCWJW-LBPRGKRZSA-N 0 1 289.383 0.923 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001028293518 812592768 /nfs/dbraw/zinc/59/27/68/812592768.db2.gz KSQKRPAAHQWVQW-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCC(=C)Br ZINC001126360365 812632346 /nfs/dbraw/zinc/63/23/46/812632346.db2.gz MHDRPTOMIZDUSS-NSHDSACASA-N 0 1 291.189 0.928 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(C(N)=O)ccn1 ZINC001028356417 812641033 /nfs/dbraw/zinc/64/10/33/812641033.db2.gz HRUXIQFOPGIJIL-GFCCVEGCSA-N 0 1 288.351 0.561 20 30 CCEDMN CC1(C)CC[C@@H]1C(=O)NC/C=C/CNCC(=O)NCC#N ZINC001268581345 812866311 /nfs/dbraw/zinc/86/63/11/812866311.db2.gz XIEZAHUVSJQXEP-AAOUONPWSA-N 0 1 292.383 0.324 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CC(F)(F)C2)[C@@H](O)C1 ZINC001083397625 812936757 /nfs/dbraw/zinc/93/67/57/812936757.db2.gz QERZDYWITODSGI-MNOVXSKESA-N 0 1 272.295 0.216 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1n[nH]c(C(C)C)n1 ZINC001127027278 815564038 /nfs/dbraw/zinc/56/40/38/815564038.db2.gz NVHPOBLUBHRQBI-UHFFFAOYSA-N 0 1 293.371 0.174 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)CNCc1cc(C)no1 ZINC001268646114 812973730 /nfs/dbraw/zinc/97/37/30/812973730.db2.gz PJTSLIFNWRQWMD-GFCCVEGCSA-N 0 1 279.340 0.617 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2cnc3n[nH]cc3c2)C1 ZINC001028624501 812987153 /nfs/dbraw/zinc/98/71/53/812987153.db2.gz ZWYQXBPKZKABFY-LLVKDONJSA-N 0 1 283.335 0.643 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1ccnn1C ZINC001268723075 813039429 /nfs/dbraw/zinc/03/94/29/813039429.db2.gz FDFULZPKMTXMMH-GFCCVEGCSA-N 0 1 278.356 0.120 20 30 CCEDMN C=CCCC[N@H+](C)C[C@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001268737361 813051546 /nfs/dbraw/zinc/05/15/46/813051546.db2.gz KTPMQOHAUQTWAN-VIFPVBQESA-N 0 1 295.343 0.299 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2C(=O)c2cnc[nH]2)C1=O ZINC001268867299 813124458 /nfs/dbraw/zinc/12/44/58/813124458.db2.gz GPKUSCLDKOOPTH-CQSZACIVSA-N 0 1 272.308 0.250 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@]2(C)CCNC2=O)C1 ZINC001268879952 813130266 /nfs/dbraw/zinc/13/02/66/813130266.db2.gz WKIMHRIVZJBGHU-CQSZACIVSA-N 0 1 265.357 0.137 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2ccncn2)C1 ZINC001268887799 813132434 /nfs/dbraw/zinc/13/24/34/813132434.db2.gz IEXKKRDNJUWOSK-UHFFFAOYSA-N 0 1 276.340 0.341 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1CCN(CCOCC(C)C)C1 ZINC001269025859 813182365 /nfs/dbraw/zinc/18/23/65/813182365.db2.gz JBLHFQXBETVGKG-GJZGRUSLSA-N 0 1 296.411 0.888 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)C(C)(C)C#N)CC2 ZINC001269072548 813204887 /nfs/dbraw/zinc/20/48/87/813204887.db2.gz MTWLPIKDBUROHS-UHFFFAOYSA-N 0 1 289.339 0.546 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)CN(C)CC)C2)C1=O ZINC001269092997 813213265 /nfs/dbraw/zinc/21/32/65/813213265.db2.gz LSNRABMYFQDOQG-OAHLLOKOSA-N 0 1 277.368 0.022 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCN[C@H](C)c1cnc(C)cn1 ZINC001127046081 815602144 /nfs/dbraw/zinc/60/21/44/815602144.db2.gz LFDPLIUIQXTRLL-CHWSQXEVSA-N 0 1 290.367 0.590 20 30 CCEDMN N#Cc1cnc(N[C@H]2CCN(C(=O)Cc3c[nH]cn3)C2)cn1 ZINC001059079377 813240712 /nfs/dbraw/zinc/24/07/12/813240712.db2.gz HXLMEYGOBISPDR-JTQLQIEISA-N 0 1 297.322 0.327 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)Cc1cnn(C)c1C ZINC001269241122 813284332 /nfs/dbraw/zinc/28/43/32/813284332.db2.gz QRAMNMJYRLFMQA-AWEZNQCLSA-N 0 1 274.368 0.485 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001269263579 813294955 /nfs/dbraw/zinc/29/49/55/813294955.db2.gz RFEAGISZIMCRRA-KBPBESRZSA-N 0 1 292.383 0.826 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@H]1CCC(=O)N1 ZINC001269320993 813323022 /nfs/dbraw/zinc/32/30/22/813323022.db2.gz MULSRASVYOOOQU-VXGBXAGGSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)Cc1[nH]cnc1C ZINC001269336244 813330254 /nfs/dbraw/zinc/33/02/54/813330254.db2.gz AFMYSSBCLLOVIA-GFCCVEGCSA-N 0 1 260.341 0.474 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)[C@@H](C)SC)CC1 ZINC001269379202 813349087 /nfs/dbraw/zinc/34/90/87/813349087.db2.gz DNTBPCAQKMCAEZ-WDEREUQCSA-N 0 1 297.424 0.114 20 30 CCEDMN C#CCOCCC(=O)NCC1(NCc2ccns2)CC1 ZINC001269411285 813361631 /nfs/dbraw/zinc/36/16/31/813361631.db2.gz ASFVTLNQBYHSMG-UHFFFAOYSA-N 0 1 293.392 0.921 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)Cc1ccn[nH]1 ZINC001269664674 813465364 /nfs/dbraw/zinc/46/53/64/813465364.db2.gz NTLFCGYGYMYPMV-CHWSQXEVSA-N 0 1 288.351 0.730 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cnn(C)c3N)[C@@H]2C1 ZINC001075713589 813546699 /nfs/dbraw/zinc/54/66/99/813546699.db2.gz BQVURDIUFPUPET-WCQYABFASA-N 0 1 287.367 0.172 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3ncc[nH]3)[C@@H]2C1 ZINC001075741327 813684907 /nfs/dbraw/zinc/68/49/07/813684907.db2.gz YZFZFJLMTMDZDP-QWHCGFSZSA-N 0 1 272.352 0.508 20 30 CCEDMN C#CCN(C(=O)[C@@H](C)OC)C1CCN(CC#C)CC1 ZINC001270291436 813710664 /nfs/dbraw/zinc/71/06/64/813710664.db2.gz ZGHAYOXTXVCEHW-CYBMUJFWSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCN(C(=O)[C@@H]1C[C@H]1OCC)C1CCN(CC#C)CC1 ZINC001270290656 813711223 /nfs/dbraw/zinc/71/12/23/813711223.db2.gz NWJZILVDUPBUQM-HZPDHXFCSA-N 0 1 288.391 0.971 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@@H]1CCN(CC#N)C1 ZINC001029004585 813747836 /nfs/dbraw/zinc/74/78/36/813747836.db2.gz ZWJCJBWSCHJIAY-UONOGXRCSA-N 0 1 278.400 0.822 20 30 CCEDMN C=CCCN1CC[C@@](C)(NC(=O)CCS(C)(=O)=O)C1 ZINC001270599154 813831791 /nfs/dbraw/zinc/83/17/91/813831791.db2.gz BPILDBROIRGWFS-CYBMUJFWSA-N 0 1 288.413 0.578 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CC2(C)C)[C@@H](O)C1 ZINC001083412641 814021355 /nfs/dbraw/zinc/02/13/55/814021355.db2.gz MOXUBYLNYFHZNX-UTUOFQBUSA-N 0 1 252.358 0.770 20 30 CCEDMN CC(C)=CCN1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)[C@@H](O)C1 ZINC001083414824 814041110 /nfs/dbraw/zinc/04/11/10/814041110.db2.gz XJHKUMPRVKRTMO-KGLIPLIRSA-N 0 1 288.351 0.627 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](C)OC)C1 ZINC001270940569 814093647 /nfs/dbraw/zinc/09/36/47/814093647.db2.gz LGOVHVXXNOSWSZ-GXTWGEPZSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)CN2CCOCC2)C1 ZINC001270949528 814096812 /nfs/dbraw/zinc/09/68/12/814096812.db2.gz GMFXEGYIXIUXFR-INIZCTEOSA-N 0 1 293.411 0.170 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cnnn2C)C1 ZINC001270959160 814101737 /nfs/dbraw/zinc/10/17/37/814101737.db2.gz TXSATXNDMFBOAO-AWEZNQCLSA-N 0 1 275.356 0.280 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]c(=O)n2C)[C@H]1CC ZINC001087686649 814187992 /nfs/dbraw/zinc/18/79/92/814187992.db2.gz SIAIMNCMPOSZOX-WDEREUQCSA-N 0 1 276.340 0.342 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)CCC)C1 ZINC001271133256 814191195 /nfs/dbraw/zinc/19/11/95/814191195.db2.gz XOGMQAIQDWURTE-DZGCQCFKSA-N 0 1 266.385 0.999 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnnc2)[C@H]1CC ZINC001087738401 814200038 /nfs/dbraw/zinc/20/00/38/814200038.db2.gz XZIOVJUGNGFEND-QWHCGFSZSA-N 0 1 258.325 0.693 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)Cc2cnc[nH]2)CCN1CC#N ZINC001087741984 814202032 /nfs/dbraw/zinc/20/20/32/814202032.db2.gz MAOKUROTOPCVNI-NWDGAFQWSA-N 0 1 261.329 0.445 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2c(C)[nH]nc2Cl)C1 ZINC001271152580 814219102 /nfs/dbraw/zinc/21/91/02/814219102.db2.gz MKWCLJQYJBLBHX-ZDUSSCGKSA-N 0 1 296.758 0.171 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC12CC(C(N)=O)(C1)C2 ZINC001271157248 814232754 /nfs/dbraw/zinc/23/27/54/814232754.db2.gz HLFZNDXCDAQIQJ-BZFQTPOWSA-N 0 1 289.360 0.955 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC2(C[C@@H]2C(N)=O)C1 ZINC001271157650 814234087 /nfs/dbraw/zinc/23/40/87/814234087.db2.gz ONASIXWTCMATKF-MWLCHTKSSA-N 0 1 289.360 0.764 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@H](C)O ZINC001029480896 814301580 /nfs/dbraw/zinc/30/15/80/814301580.db2.gz PBKRYXJVZXNBLP-AHDPXTMNSA-N 0 1 294.395 0.634 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@]1(O)CCN([C@@H](CC)C(N)=O)C1 ZINC001271175470 814314133 /nfs/dbraw/zinc/31/41/33/814314133.db2.gz PCKITXKRNQNXPM-NHYWBVRUSA-N 0 1 297.399 0.016 20 30 CCEDMN Cc1nc(N[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)ccc1C#N ZINC001059458495 814319058 /nfs/dbraw/zinc/31/90/58/814319058.db2.gz KZEXOHKTJQYFPK-XYPYZODXSA-N 0 1 297.322 0.753 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cnn4c3CCC4)[C@@H]2C1 ZINC001075862322 814578846 /nfs/dbraw/zinc/57/88/46/814578846.db2.gz GYKCEGFJVNGPRB-XJKSGUPXSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCOCCC(=O)NCCN[C@H](C)c1cnc(C)cn1 ZINC001127032031 815581987 /nfs/dbraw/zinc/58/19/87/815581987.db2.gz OYWIUQJXMCEBCY-CYBMUJFWSA-N 0 1 290.367 0.592 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1ccns1 ZINC001127032340 815582404 /nfs/dbraw/zinc/58/24/04/815582404.db2.gz XGPGZACKUQXISO-UHFFFAOYSA-N 0 1 267.354 0.389 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@H](C)CNC(=O)C#CC2CC2)n[nH]1 ZINC001280469960 815588696 /nfs/dbraw/zinc/58/86/96/815588696.db2.gz NZYFFNWTQUUXMY-LLVKDONJSA-N 0 1 288.351 0.708 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnn(C)c2N)[C@H]1C ZINC001088535997 814857894 /nfs/dbraw/zinc/85/78/94/814857894.db2.gz XTYXMJAKJVYKDN-PWSUYJOCSA-N 0 1 275.356 0.218 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccc2nc[nH]c2n1 ZINC001272040581 814865236 /nfs/dbraw/zinc/86/52/36/814865236.db2.gz BOCIIWCLCVRHCG-UHFFFAOYSA-N 0 1 271.324 0.595 20 30 CCEDMN Cn1cc(C(=O)NCCNCC#Cc2ccccc2)nn1 ZINC001126666683 814869057 /nfs/dbraw/zinc/86/90/57/814869057.db2.gz BQCCAWBCILVSSW-UHFFFAOYSA-N 0 1 283.335 0.186 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1nnn(C)c1C ZINC001038125895 815628727 /nfs/dbraw/zinc/62/87/27/815628727.db2.gz BOQITQTVESADAH-LLVKDONJSA-N 0 1 263.345 0.504 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2coc(OC)n2)[C@@H](O)C1 ZINC001083674331 815648404 /nfs/dbraw/zinc/64/84/04/815648404.db2.gz WDCONRXWDAURPZ-PWSUYJOCSA-N 0 1 295.339 0.424 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCCC(=O)NC)[C@H](OC)C1 ZINC001212160959 815767225 /nfs/dbraw/zinc/76/72/25/815767225.db2.gz BFRDXZCAGJSDOS-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN Cc1nc([C@@H](C)N2CC(NC(=O)c3ccc(C#N)[nH]3)C2)n[nH]1 ZINC001030224352 815909121 /nfs/dbraw/zinc/90/91/21/815909121.db2.gz MMZLZUOFXACYHW-MRVPVSSYSA-N 0 1 299.338 0.488 20 30 CCEDMN CC#CCN1CC(NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001030597443 816135101 /nfs/dbraw/zinc/13/51/01/816135101.db2.gz JHOQKYMQKQUDPW-UHFFFAOYSA-N 0 1 295.342 0.965 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCCN2C(N)=O)[C@H]1C ZINC001088972051 816199043 /nfs/dbraw/zinc/19/90/43/816199043.db2.gz PPKAPOWSBSUHMU-AGIUHOORSA-N 0 1 292.383 0.132 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2[nH]cnc2C)[C@H]1C ZINC001089006326 816209751 /nfs/dbraw/zinc/20/97/51/816209751.db2.gz ZQJPHRQLUVFMNU-OLZOCXBDSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCCC(=O)NC ZINC001233856614 816421706 /nfs/dbraw/zinc/42/17/06/816421706.db2.gz JISKBUYPISLHKQ-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN N#CCN1CC(NC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001030933933 816461028 /nfs/dbraw/zinc/46/10/28/816461028.db2.gz XMNWHRJXQSPWJI-SNVBAGLBSA-N 0 1 259.313 0.154 20 30 CCEDMN C#CCCN1CC[C@@](C)(NC(=O)c2cn(C)ccc2=O)C1 ZINC001046476478 816600358 /nfs/dbraw/zinc/60/03/58/816600358.db2.gz YRLWWKNZUGUPBF-MRXNPFEDSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3ccco3)C2)OCC1=O ZINC001272579320 816637000 /nfs/dbraw/zinc/63/70/00/816637000.db2.gz XZVLKDXYINXROM-OAHLLOKOSA-N 0 1 274.320 0.716 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N(C)C[C@H]1CC[N@H+]1CCO)OCC ZINC001234644954 816716910 /nfs/dbraw/zinc/71/69/10/816716910.db2.gz XHINNJBSZIGCIT-ZIAGYGMSSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N(C)C[C@H]1CCN1CCO)OCC ZINC001234644954 816716914 /nfs/dbraw/zinc/71/69/14/816716914.db2.gz XHINNJBSZIGCIT-ZIAGYGMSSA-N 0 1 284.400 0.883 20 30 CCEDMN CN1CCN(Cc2ccc(F)cc2C#N)[C@@H](CO)C1 ZINC000699457961 816730059 /nfs/dbraw/zinc/73/00/59/816730059.db2.gz NSEIRSAVMCPHAO-CQSZACIVSA-N 0 1 263.316 0.806 20 30 CCEDMN C[C@@H](CCNc1nccnc1C#N)NC(=O)c1cnn[nH]1 ZINC001106636821 816830688 /nfs/dbraw/zinc/83/06/88/816830688.db2.gz AKENQFQCRLWIRZ-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN CCN(CCNc1cnc(C#N)cn1)C(=O)c1ccn[nH]1 ZINC001106697778 816853640 /nfs/dbraw/zinc/85/36/40/816853640.db2.gz MUIVEOAAUOUZMR-UHFFFAOYSA-N 0 1 285.311 0.646 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(CCOC)C[C@@H]2O)CC1 ZINC001083751096 816986734 /nfs/dbraw/zinc/98/67/34/816986734.db2.gz FOMFEIIPBZFVKU-KGLIPLIRSA-N 0 1 282.384 0.541 20 30 CCEDMN C[C@H](C[C@@H](C)Nc1ccncc1C#N)NC(=O)c1cnn[nH]1 ZINC001089508801 817173184 /nfs/dbraw/zinc/17/31/84/817173184.db2.gz PUWNOEJXAKBLLI-NXEZZACHSA-N 0 1 299.338 0.502 20 30 CCEDMN CC(C)NCc1cn([C@@H]2CCN(C(=O)[C@H](C)C#N)C2)nn1 ZINC001089581011 817208711 /nfs/dbraw/zinc/20/87/11/817208711.db2.gz YNUIMLGBHCPMJW-DGCLKSJQSA-N 0 1 290.371 0.709 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2CCC)[C@@H](O)C1 ZINC001083760906 817229595 /nfs/dbraw/zinc/22/95/95/817229595.db2.gz OZLYOBAZZWSBIE-SYQHCUMBSA-N 0 1 264.369 0.607 20 30 CCEDMN N#CCN1CC(CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001031671830 817235917 /nfs/dbraw/zinc/23/59/17/817235917.db2.gz NMCSCVTWMVCBGC-LLVKDONJSA-N 0 1 273.340 0.401 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(OC)ns2)C1 ZINC001031678253 817238583 /nfs/dbraw/zinc/23/85/83/817238583.db2.gz FUZQXCFCUDISDK-UHFFFAOYSA-N 0 1 279.365 0.837 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1OC ZINC001212258440 817260501 /nfs/dbraw/zinc/26/05/01/817260501.db2.gz SVAMYEJWBXWMHC-MGPQQGTHSA-N 0 1 280.368 0.416 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2cncnc2)C1 ZINC001077563465 817299732 /nfs/dbraw/zinc/29/97/32/817299732.db2.gz OAGMJQVRMCNUJV-MRVWCRGKSA-N 0 1 290.367 0.318 20 30 CCEDMN CCN(c1ccncc1C#N)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001089683111 817312041 /nfs/dbraw/zinc/31/20/41/817312041.db2.gz VJUGEATUGHYRBP-SNVBAGLBSA-N 0 1 299.338 0.716 20 30 CCEDMN CCN(c1ccncc1C#N)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001089683111 817312046 /nfs/dbraw/zinc/31/20/46/817312046.db2.gz VJUGEATUGHYRBP-SNVBAGLBSA-N 0 1 299.338 0.716 20 30 CCEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1nnn(C)n1 ZINC001089683765 817313476 /nfs/dbraw/zinc/31/34/76/817313476.db2.gz FTZNHAFYYJNEMZ-LLVKDONJSA-N 0 1 292.387 0.617 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCn3cc(C)nc3C2)C1 ZINC001031770686 817352548 /nfs/dbraw/zinc/35/25/48/817352548.db2.gz LOJCTVQVQFQEHM-CQSZACIVSA-N 0 1 288.395 0.988 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001031783525 817365689 /nfs/dbraw/zinc/36/56/89/817365689.db2.gz XZDJUUGGZJDIRD-ZDUSSCGKSA-N 0 1 274.368 0.680 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(Cl)n(C)n2)C1 ZINC001031833090 817403054 /nfs/dbraw/zinc/40/30/54/817403054.db2.gz AIAICKQXHLRILQ-UHFFFAOYSA-N 0 1 280.759 0.758 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccn(CCOC)n2)C1 ZINC001031836602 817407701 /nfs/dbraw/zinc/40/77/01/817407701.db2.gz KSUAPUHNRMIKRV-UHFFFAOYSA-N 0 1 278.356 0.377 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCc3nncn3CC2)C1 ZINC001031856994 817420338 /nfs/dbraw/zinc/42/03/38/817420338.db2.gz OLWRSNMWTRIWEP-ZDUSSCGKSA-N 0 1 289.383 0.465 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCc3cnn(C)c3C2)C1 ZINC001031923951 817468823 /nfs/dbraw/zinc/46/88/23/817468823.db2.gz ZKKCAXHTTLORAS-CYBMUJFWSA-N 0 1 288.395 0.759 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCNCc1nccnc1C ZINC001124488072 817486846 /nfs/dbraw/zinc/48/68/46/817486846.db2.gz JMIHHVBQTWCVDI-CYBMUJFWSA-N 0 1 292.383 0.972 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@H]1CCOC1 ZINC001124496020 817492386 /nfs/dbraw/zinc/49/23/86/817492386.db2.gz UZSSVXSGLPNELQ-VIFPVBQESA-N 0 1 277.162 0.637 20 30 CCEDMN N#Cc1ccc(CNCCNC(=O)[C@@H]2CCOC2)c(F)c1 ZINC001124500867 817493854 /nfs/dbraw/zinc/49/38/54/817493854.db2.gz FONRQDPFAWACSB-CYBMUJFWSA-N 0 1 291.326 0.940 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@]3(CCN(C(C)=O)C3)C2)C1=O ZINC001040965522 817584400 /nfs/dbraw/zinc/58/44/00/817584400.db2.gz MPBYQOSMWWBYAG-ZBFHGGJFSA-N 0 1 291.395 0.718 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2C[C@]23CCOC3)C1 ZINC001032116447 817643091 /nfs/dbraw/zinc/64/30/91/817643091.db2.gz RSYZLWBBNFAQTD-OCCSQVGLSA-N 0 1 250.342 0.647 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)C2CCN(C(N)=O)CC2)C1 ZINC001032176168 817674518 /nfs/dbraw/zinc/67/45/18/817674518.db2.gz QIBGJPSCXNLGMJ-UHFFFAOYSA-N 0 1 294.399 0.401 20 30 CCEDMN NC(=O)CC(=O)NCCNCC#Cc1cccc(Cl)c1 ZINC001125080723 817699613 /nfs/dbraw/zinc/69/96/13/817699613.db2.gz VUGZSAFIYCJQHB-UHFFFAOYSA-N 0 1 293.754 0.273 20 30 CCEDMN C=CCOCCCC(=O)NCCN[C@H](C)c1n[nH]c(C)n1 ZINC001125253080 817746222 /nfs/dbraw/zinc/74/62/22/817746222.db2.gz XNCJRCGUHUHKPI-LLVKDONJSA-N 0 1 295.387 0.863 20 30 CCEDMN N#Cc1cnccc1NC/C=C\CNC(=O)Cc1cnc[nH]1 ZINC001107539044 817875605 /nfs/dbraw/zinc/87/56/05/817875605.db2.gz DANDVFKCBYSYNX-UPHRSURJSA-N 0 1 296.334 0.425 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)c2cnn[nH]2)cn1 ZINC001107586498 817891024 /nfs/dbraw/zinc/89/10/24/817891024.db2.gz AOTUXZSNLMYKJZ-UPHRSURJSA-N 0 1 283.295 0.469 20 30 CCEDMN C[C@@H](O)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032338054 817901917 /nfs/dbraw/zinc/90/19/17/817901917.db2.gz NEHDHPANOYIXIA-USWWRNFRSA-N 0 1 274.324 0.166 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCCF)C[C@H]2O)C1 ZINC001077641845 817906368 /nfs/dbraw/zinc/90/63/68/817906368.db2.gz KLIQUXQCKNKEFW-VXGBXAGGSA-N 0 1 270.348 0.864 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](C[C@H]3CCOC3)C[C@H]2O)C1 ZINC001077645839 817913799 /nfs/dbraw/zinc/91/37/99/817913799.db2.gz ZETBKDUVQDSNCT-MGPQQGTHSA-N 0 1 294.395 0.541 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ocnc2CC)C1 ZINC001077646913 817914658 /nfs/dbraw/zinc/91/46/58/817914658.db2.gz WKFUNZVJBHSDMI-VXGBXAGGSA-N 0 1 279.340 0.588 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@@H]2COCCO2)C1 ZINC001107757930 817988046 /nfs/dbraw/zinc/98/80/46/817988046.db2.gz XZLSJXYMEJZFOZ-ZFWWWQNUSA-N 0 1 298.383 0.185 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)n1cncn1 ZINC001032365211 818013172 /nfs/dbraw/zinc/01/31/72/818013172.db2.gz OQKDANIVBDREES-AGIUHOORSA-N 0 1 275.356 0.700 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C)c1N ZINC001032367490 818016345 /nfs/dbraw/zinc/01/63/45/818016345.db2.gz ACNFSZSUKLZHEQ-QWRGUYRKSA-N 0 1 275.356 0.477 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2[nH]ncc2F)C12CCC2 ZINC001078718559 818041465 /nfs/dbraw/zinc/04/14/65/818041465.db2.gz ROJLLIVVKFHKCB-VHSXEESVSA-N 0 1 277.303 0.703 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccnc2)[C@H](O)C1 ZINC001089973117 818083444 /nfs/dbraw/zinc/08/34/44/818083444.db2.gz KROZWYCDBZBEMJ-QWHCGFSZSA-N 0 1 261.325 0.433 20 30 CCEDMN COCC#CC(=O)N1CC2(CCN2C2CCCC2)C1 ZINC001272954541 818119389 /nfs/dbraw/zinc/11/93/89/818119389.db2.gz GYAGNBYHHBMTQJ-UHFFFAOYSA-N 0 1 262.353 0.866 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2CC2)[C@H](O)C1 ZINC001090000926 818254142 /nfs/dbraw/zinc/25/41/42/818254142.db2.gz JODIJIQFZWCAJH-CHWSQXEVSA-N 0 1 250.342 0.361 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cn2cccn2)C1 ZINC001032911725 818351547 /nfs/dbraw/zinc/35/15/47/818351547.db2.gz QXLHHODKELYJQK-ZDUSSCGKSA-N 0 1 260.341 0.439 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCOC2)C1 ZINC001032956197 818384266 /nfs/dbraw/zinc/38/42/66/818384266.db2.gz VRBULVJVOZDVDT-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033034953 818447057 /nfs/dbraw/zinc/44/70/57/818447057.db2.gz DEGSYYBZNRZAQQ-NSHDSACASA-N 0 1 277.324 0.148 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033034953 818447060 /nfs/dbraw/zinc/44/70/60/818447060.db2.gz DEGSYYBZNRZAQQ-NSHDSACASA-N 0 1 277.324 0.148 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033037741 818448700 /nfs/dbraw/zinc/44/87/00/818448700.db2.gz LRZVHYYYKZCZGU-JTQLQIEISA-N 0 1 265.313 0.311 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccco2)[C@H](O)C1 ZINC001099653270 818450213 /nfs/dbraw/zinc/45/02/13/818450213.db2.gz DAFTUBVCPIYQOC-ZIAGYGMSSA-N 0 1 278.352 0.950 20 30 CCEDMN C=CCN1CC2(CN(Cc3cnc[nH]3)C2)OCC1=O ZINC001273023546 818453878 /nfs/dbraw/zinc/45/38/78/818453878.db2.gz IPADOKPUUYHDDM-UHFFFAOYSA-N 0 1 262.313 0.009 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C)n2C)[C@H](O)C1 ZINC001090069378 818458394 /nfs/dbraw/zinc/45/83/94/818458394.db2.gz PTRMPJPVZIXFPB-DZGCQCFKSA-N 0 1 289.379 0.522 20 30 CCEDMN C=CCN1C[C@]2(CCN(Cc3cnc[nH]3)C2)OCC1=O ZINC001273024947 818461435 /nfs/dbraw/zinc/46/14/35/818461435.db2.gz YXFZGILADZZKCC-CQSZACIVSA-N 0 1 276.340 0.399 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnc(N(C)C)cn2)C1 ZINC001033078763 818497407 /nfs/dbraw/zinc/49/74/07/818497407.db2.gz QKRRONUEPFCJFB-LBPRGKRZSA-N 0 1 289.383 0.875 20 30 CCEDMN CN(C(=O)[C@H]1CCCc2[nH]ncc21)[C@@H]1CCN(CC#N)C1 ZINC001033085138 818502395 /nfs/dbraw/zinc/50/23/95/818502395.db2.gz QHNHCAPAWGLWFS-NEPJUHHUSA-N 0 1 287.367 0.886 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2scnc2C)[C@H](O)C1 ZINC001090096706 818505313 /nfs/dbraw/zinc/50/53/13/818505313.db2.gz UKLJHFBELYFBJY-WDEREUQCSA-N 0 1 281.381 0.803 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccon2)[C@@H](O)C1 ZINC001090096384 818506485 /nfs/dbraw/zinc/50/64/85/818506485.db2.gz PIUUGAMJJPJCHY-KOLCDFICSA-N 0 1 251.286 0.026 20 30 CCEDMN N#Cc1cnccc1N1CCC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001061483562 818525970 /nfs/dbraw/zinc/52/59/70/818525970.db2.gz QBCJUAWBGPUTOA-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCNC2=O)C1 ZINC001033140554 818563111 /nfs/dbraw/zinc/56/31/11/818563111.db2.gz YLXQZWNPDLWWFP-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@]2(CCC[N@H+]2C[C@H]2CCCO2)C1=O ZINC001273073672 818569641 /nfs/dbraw/zinc/56/96/41/818569641.db2.gz WQZIKTHMSAHNKF-HIFRSBDPSA-N 0 1 262.353 0.866 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccncn1 ZINC001273115844 818653081 /nfs/dbraw/zinc/65/30/81/818653081.db2.gz DZOKJFWJQFSENZ-ZIAGYGMSSA-N 0 1 270.336 0.675 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC001033287030 818693724 /nfs/dbraw/zinc/69/37/24/818693724.db2.gz ODLIMFMMDIPSCF-MCIONIFRSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001033299801 818696323 /nfs/dbraw/zinc/69/63/23/818696323.db2.gz VIZOLNBZYJICKZ-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001033298570 818698376 /nfs/dbraw/zinc/69/83/76/818698376.db2.gz JUKXSRJIDUKYCA-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2cnoc2)C1 ZINC001033353441 818725245 /nfs/dbraw/zinc/72/52/45/818725245.db2.gz OONGJVURZFFBEJ-ZDUSSCGKSA-N 0 1 261.325 0.773 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033393245 818751651 /nfs/dbraw/zinc/75/16/51/818751651.db2.gz DUBNLXIYXIQLPZ-LLVKDONJSA-N 0 1 276.340 0.295 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001033421106 818770418 /nfs/dbraw/zinc/77/04/18/818770418.db2.gz LRQUKNFYORLMBE-FZMZJTMJSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2ncc[nH]2)C1 ZINC001033530988 818818198 /nfs/dbraw/zinc/81/81/98/818818198.db2.gz BAVLBAGKSCOHCQ-GFCCVEGCSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001033629842 818859969 /nfs/dbraw/zinc/85/99/69/818859969.db2.gz QQMKLMDIIAKAKB-CHWSQXEVSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cn2ccccc2=O)C1 ZINC001033654471 818868453 /nfs/dbraw/zinc/86/84/53/818868453.db2.gz AETDMGVYJOUZTF-CYBMUJFWSA-N 0 1 273.336 0.014 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cccc(C(N)=O)n2)C1 ZINC001033694057 818888118 /nfs/dbraw/zinc/88/81/18/818888118.db2.gz HMVRNIBXAONLKA-NSHDSACASA-N 0 1 288.351 0.513 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2nonc2C)C1 ZINC001033712196 818898388 /nfs/dbraw/zinc/89/83/88/818898388.db2.gz YAMAMECKXIETGV-SNVBAGLBSA-N 0 1 250.302 0.710 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001033745841 818915449 /nfs/dbraw/zinc/91/54/49/818915449.db2.gz WDABYBKLBTVAGQ-GFCCVEGCSA-N 0 1 288.351 0.742 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CN(C)CCO2)C1 ZINC001033788863 818934533 /nfs/dbraw/zinc/93/45/33/818934533.db2.gz KPENPZMAYOWZRV-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CN(C)CCO2)C1 ZINC001033788862 818934571 /nfs/dbraw/zinc/93/45/71/818934571.db2.gz KPENPZMAYOWZRV-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033789687 818936820 /nfs/dbraw/zinc/93/68/20/818936820.db2.gz RKIQVMNTUPBDCF-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cn[nH]c(=O)c2)C1 ZINC001033864765 818968268 /nfs/dbraw/zinc/96/82/68/818968268.db2.gz VGKSPSABXXEIHM-GFCCVEGCSA-N 0 1 274.324 0.352 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033853543 818968693 /nfs/dbraw/zinc/96/86/93/818968693.db2.gz QZXQGKPLKCZNHG-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ncoc2CC)[C@@H](O)C1 ZINC001090148673 818980959 /nfs/dbraw/zinc/98/09/59/818980959.db2.gz CMTOGUUFURKAML-NEPJUHHUSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CC=CC2)[C@H](O)C1 ZINC001090149672 818983798 /nfs/dbraw/zinc/98/37/98/818983798.db2.gz JEQDTDSYDKAGBS-CHWSQXEVSA-N 0 1 250.342 0.690 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2CCC2)[C@@H](O)C1 ZINC001090166036 819006266 /nfs/dbraw/zinc/00/62/66/819006266.db2.gz NWRKVBIPJLWUIU-OLZOCXBDSA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2cc[nH]c2C)[C@H](O)C1 ZINC001090174224 819021520 /nfs/dbraw/zinc/02/15/20/819021520.db2.gz JCJQNWYPGQGPDC-UONOGXRCSA-N 0 1 275.352 0.511 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001034031206 819035302 /nfs/dbraw/zinc/03/53/02/819035302.db2.gz FOKBXIOFVUXIIR-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CC(F)(F)C2)[C@H](O)C1 ZINC001090182195 819042391 /nfs/dbraw/zinc/04/23/91/819042391.db2.gz GYPJZJDGZDDONV-NWDGAFQWSA-N 0 1 286.322 0.606 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C=C2CCC2)[C@@H](O)C1 ZINC001090188089 819065609 /nfs/dbraw/zinc/06/56/09/819065609.db2.gz AOGMUMAHOCJDBG-KGLIPLIRSA-N 0 1 262.353 0.671 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001034150003 819097274 /nfs/dbraw/zinc/09/72/74/819097274.db2.gz IUOJJRUZLYOQJV-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2COCCN2C)C1 ZINC001034176695 819103215 /nfs/dbraw/zinc/10/32/15/819103215.db2.gz XEEBOTLXMLEPJM-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2nn(C)cc2C)C1 ZINC001034202280 819118832 /nfs/dbraw/zinc/11/88/32/819118832.db2.gz SIEXAUZZXNLTKL-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CCNC2=O)C1 ZINC001034344662 819178603 /nfs/dbraw/zinc/17/86/03/819178603.db2.gz KELIEBBYVHUJPD-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnn(CC)n2)[C@H](O)C1 ZINC001090228214 819277689 /nfs/dbraw/zinc/27/76/89/819277689.db2.gz AABPVKSFWWYYFB-DGCLKSJQSA-N 0 1 293.371 0.039 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)Cc2ccnn2C)[C@@H](O)C1 ZINC001090229157 819279587 /nfs/dbraw/zinc/27/95/87/819279587.db2.gz VATWTYYKTNMJLR-KBPBESRZSA-N 0 1 292.383 0.090 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc[nH]c2CC)[C@H](O)C1 ZINC001090247368 819305234 /nfs/dbraw/zinc/30/52/34/819305234.db2.gz WDBAAPPFKULQBV-UONOGXRCSA-N 0 1 277.368 0.928 20 30 CCEDMN C#CCN1CCO[C@H](CNC(=O)[C@@H]2CCCCN2CC)C1 ZINC001035615139 819590890 /nfs/dbraw/zinc/59/08/90/819590890.db2.gz TXZGMEBONSWJEY-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnn(C)c1C)CC2 ZINC001035651072 819594070 /nfs/dbraw/zinc/59/40/70/819594070.db2.gz WEQWZEVFJFAQAO-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@@H]1C(=O)OC)CC2 ZINC001035668533 819605930 /nfs/dbraw/zinc/60/59/30/819605930.db2.gz XGINMTQTAUOKTN-OLZOCXBDSA-N 0 1 292.379 0.906 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](NCC#N)[C@H](C)C1 ZINC001036144202 819691918 /nfs/dbraw/zinc/69/19/18/819691918.db2.gz YDDKTYHIPGDJRG-SCZZXKLOSA-N 0 1 262.317 0.077 20 30 CCEDMN C=CC1CCN(C(=O)[C@@]2(COC)CNCCO2)CC1 ZINC000710823088 819844635 /nfs/dbraw/zinc/84/46/35/819844635.db2.gz KTMDYYFRKVNLGP-AWEZNQCLSA-N 0 1 268.357 0.416 20 30 CCEDMN C=CCN1CC[C@H]2CN(C(=O)CS(C)(=O)=O)CC[C@H]21 ZINC001036650432 819865303 /nfs/dbraw/zinc/86/53/03/819865303.db2.gz NIOJLFGZYFXMRE-NWDGAFQWSA-N 0 1 286.397 0.140 20 30 CCEDMN Cc1nnc(CN)n1-c1nc2c(cc1C#N)CCC2 ZINC001163351816 820028728 /nfs/dbraw/zinc/02/87/28/820028728.db2.gz XKUQPESAGRVTES-UHFFFAOYSA-N 0 1 254.297 0.790 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccns2)[C@@H](O)C1 ZINC001090303125 820231581 /nfs/dbraw/zinc/23/15/81/820231581.db2.gz JCLDTQJOCPIURK-UWVGGRQHSA-N 0 1 267.354 0.494 20 30 CCEDMN N#Cc1cnccc1NC[C@@H]1CCCN1C(=O)c1ccn[nH]1 ZINC001064867543 820261910 /nfs/dbraw/zinc/26/19/10/820261910.db2.gz WFNSKISNRLYVDZ-LBPRGKRZSA-N 0 1 296.334 0.815 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)n2cccn2)C1 ZINC001079438589 820397327 /nfs/dbraw/zinc/39/73/27/820397327.db2.gz AHWIULUIRYYOGR-FRRDWIJNSA-N 0 1 260.341 0.514 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccccc2)[C@H](O)C1 ZINC001090365498 820405510 /nfs/dbraw/zinc/40/55/10/820405510.db2.gz SAISNVQUVWBBGE-HZPDHXFCSA-N 0 1 286.375 0.804 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(CC)c2)C1 ZINC001079503420 820408265 /nfs/dbraw/zinc/40/82/65/820408265.db2.gz IQQSGYYNBAWLSI-DGCLKSJQSA-N 0 1 260.341 0.586 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3c(c2)NC(=O)C3)C1 ZINC001079751476 820462635 /nfs/dbraw/zinc/46/26/35/820462635.db2.gz XMBBEJFYKXRCLN-IAQYHMDHSA-N 0 1 297.358 0.865 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001079975987 820497145 /nfs/dbraw/zinc/49/71/45/820497145.db2.gz OMTHAMPYXIWLEM-IUODEOHRSA-N 0 1 299.374 0.859 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc3ncnn32)C1 ZINC001080056199 820509907 /nfs/dbraw/zinc/50/99/07/820509907.db2.gz CAGJTFSOANQZNX-VXGBXAGGSA-N 0 1 283.335 0.413 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2coc(OC)n2)C1 ZINC001080203817 820525821 /nfs/dbraw/zinc/52/58/21/820525821.db2.gz LFSGOGCWTAJLSG-NXEZZACHSA-N 0 1 265.313 0.919 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001080392726 820557143 /nfs/dbraw/zinc/55/71/43/820557143.db2.gz SZJQZCRDMUEZIH-GYSYKLTISA-N 0 1 265.357 0.278 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)CN1CCC(C)(C)C1 ZINC001080445104 820578763 /nfs/dbraw/zinc/57/87/63/820578763.db2.gz INFYXPITQOLPBN-CHWSQXEVSA-N 0 1 278.400 0.678 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnc3cccnn32)C1 ZINC001080572758 820594102 /nfs/dbraw/zinc/59/41/02/820594102.db2.gz PFXVKLHJDJZCGP-CHWSQXEVSA-N 0 1 297.362 0.803 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3ncccn3n2)C1 ZINC001080648782 820614101 /nfs/dbraw/zinc/61/41/01/820614101.db2.gz BBYRMKVSYBYFIX-DGCLKSJQSA-N 0 1 285.351 0.965 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001080738515 820627817 /nfs/dbraw/zinc/62/78/17/820627817.db2.gz JVIAOJDDOGSLLR-JTNHKYCSSA-N 0 1 287.367 0.475 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc3nnn(C)c32)C1 ZINC001081065853 820674404 /nfs/dbraw/zinc/67/44/04/820674404.db2.gz OGJKXKLCDGQMDQ-BXUZGUMPSA-N 0 1 297.362 0.652 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2=COCCO2)[C@H](OC)C1 ZINC001081306431 820736670 /nfs/dbraw/zinc/73/66/70/820736670.db2.gz MCAPJZGHPSLLFN-VXGBXAGGSA-N 0 1 282.340 0.266 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COC(C)C)[C@H](O)C1 ZINC001099725780 820783406 /nfs/dbraw/zinc/78/34/06/820783406.db2.gz YXLOUGNKMOKDDV-VXGBXAGGSA-N 0 1 256.346 0.149 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)C2CC2)[C@H](OC)C1 ZINC001081500062 820779179 /nfs/dbraw/zinc/77/91/79/820779179.db2.gz LEMQNAIGXVYQML-IACUBPJLSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CC(F)(F)C2)[C@H](OC)C1 ZINC001081510006 820780446 /nfs/dbraw/zinc/78/04/46/820780446.db2.gz AEPVGHCVUDDUDJ-VXGBXAGGSA-N 0 1 286.322 0.870 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccn(C)n2)[C@H](OC)C1 ZINC001081656921 820810297 /nfs/dbraw/zinc/81/02/97/820810297.db2.gz SHWJRQUFKDOETG-ZIAGYGMSSA-N 0 1 292.383 0.354 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCOC)C[C@H]2OC)C1 ZINC001082106349 820902951 /nfs/dbraw/zinc/90/29/51/820902951.db2.gz MWSWYSYJGWPBHN-CHWSQXEVSA-N 0 1 282.384 0.805 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cn2nccc2C)[C@H](OC)C1 ZINC001082187512 820917611 /nfs/dbraw/zinc/91/76/11/820917611.db2.gz OLPVBYWBADYLID-ZIAGYGMSSA-N 0 1 292.383 0.583 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCC)C[C@H]2OC)nc1 ZINC001082333398 820936519 /nfs/dbraw/zinc/93/65/19/820936519.db2.gz FWBKKDUQAYFSCF-HUUCEWRRSA-N 0 1 287.363 0.902 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccnc(C)n2)[C@H](OC)C1 ZINC001082375989 820949832 /nfs/dbraw/zinc/94/98/32/820949832.db2.gz XTBUWQKBBQKTIP-ZIAGYGMSSA-N 0 1 288.351 0.237 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(F)=C(C)C)[C@@H](O)C1 ZINC001099767044 821043477 /nfs/dbraw/zinc/04/34/77/821043477.db2.gz UIQRUGKGZJSFRJ-QWRGUYRKSA-N 0 1 256.321 0.987 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)Cn3cccn3)[C@H]2C1 ZINC001083000259 821102559 /nfs/dbraw/zinc/10/25/59/821102559.db2.gz DFRBGYGNZZGBQV-UONOGXRCSA-N 0 1 290.367 0.371 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc3nccn3c2)[C@@H](O)C1 ZINC001083941097 821159317 /nfs/dbraw/zinc/15/93/17/821159317.db2.gz SIIGPLZFYHACOM-KGLIPLIRSA-N 0 1 298.346 0.133 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc3c(c2)CCC3)[C@@H](O)C1 ZINC001084044576 821188318 /nfs/dbraw/zinc/18/83/18/821188318.db2.gz IOQXHJFBWCSIAP-SJORKVTESA-N 0 1 298.386 0.974 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccn2C(C)C)[C@@H](O)C1 ZINC001084063238 821190687 /nfs/dbraw/zinc/19/06/87/821190687.db2.gz WNQJEGZEMLWWHR-HIFRSBDPSA-N 0 1 289.379 0.867 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCn3cccn3)[C@@H]2C1 ZINC001084196687 821230410 /nfs/dbraw/zinc/23/04/10/821230410.db2.gz RPCUNPHNIYJOHU-HUUCEWRRSA-N 0 1 286.379 0.829 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1C[C@H]2CCN(CC#N)C[C@H]21 ZINC001084231202 821246808 /nfs/dbraw/zinc/24/68/08/821246808.db2.gz XDMLLJFNBXNLEQ-JHJVBQTASA-N 0 1 262.357 0.137 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cnco3)[C@@H]2C1 ZINC001084558242 821311282 /nfs/dbraw/zinc/31/12/82/821311282.db2.gz IMNGANOVPCIWKE-VXGBXAGGSA-N 0 1 259.309 0.844 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cncc(OC)n3)[C@@H]2C1 ZINC001084703614 821353729 /nfs/dbraw/zinc/35/37/29/821353729.db2.gz HLFAWUMDVCDMCK-DGCLKSJQSA-N 0 1 288.351 0.818 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cncc(OC)n3)[C@@H]2C1 ZINC001084703614 821353736 /nfs/dbraw/zinc/35/37/36/821353736.db2.gz HLFAWUMDVCDMCK-DGCLKSJQSA-N 0 1 288.351 0.818 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCc3ncccn3)[C@@H]2C1 ZINC001084731430 821365342 /nfs/dbraw/zinc/36/53/42/821365342.db2.gz YMEDDQYEQJKZSQ-HUUCEWRRSA-N 0 1 298.390 0.965 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CN(CC)CCO3)[C@@H]2C1 ZINC001084845164 821410294 /nfs/dbraw/zinc/41/02/94/821410294.db2.gz ZLFNGGICNUXEEG-RBSFLKMASA-N 0 1 293.411 0.426 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H]3CCC(=O)N3C)C[C@@H]21 ZINC001085008638 821457491 /nfs/dbraw/zinc/45/74/91/821457491.db2.gz JKRKZQIVERXRLF-RDBSUJKOSA-N 0 1 289.379 0.163 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCN(CC#N)CC2)C1 ZINC000822351286 821478131 /nfs/dbraw/zinc/47/81/31/821478131.db2.gz JGCILQJOVOTYIJ-DGCLKSJQSA-N 0 1 287.367 0.743 20 30 CCEDMN C#CCN1CC[C@@]2(CC[N@H+](Cc3ccnc(NC)n3)C2)C1=O ZINC001273281648 821548202 /nfs/dbraw/zinc/54/82/02/821548202.db2.gz JYSYENQWEXMGDE-MRXNPFEDSA-N 0 1 299.378 0.576 20 30 CCEDMN C#CCN1CCC2(CCN(Cc3cnc(N)nc3)CC2)C1=O ZINC001273304766 821591082 /nfs/dbraw/zinc/59/10/82/821591082.db2.gz QOBAFPRGRYWNBB-UHFFFAOYSA-N 0 1 299.378 0.507 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)Nc1cncc(C#N)n1 ZINC001098307059 821668388 /nfs/dbraw/zinc/66/83/88/821668388.db2.gz SLYGGOSMHKCANK-SECBINFHSA-N 0 1 285.311 0.231 20 30 CCEDMN CC#CCN1CC[C@@H]1CN(C)C(=O)c1cc(C)[nH]n1 ZINC001085502736 821752504 /nfs/dbraw/zinc/75/25/04/821752504.db2.gz FRZTVLIRHIPQRU-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(C)n[nH]1 ZINC001085503231 821752784 /nfs/dbraw/zinc/75/27/84/821752784.db2.gz RSTLMUNZXATVLV-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(C)[nH]n1 ZINC001085503231 821752790 /nfs/dbraw/zinc/75/27/90/821752790.db2.gz RSTLMUNZXATVLV-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCOC1 ZINC001085507365 821754856 /nfs/dbraw/zinc/75/48/56/821754856.db2.gz FWCCROFCWZXNTQ-STQMWFEESA-N 0 1 250.342 0.579 20 30 CCEDMN C[C@H](O)CN1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534335 821775184 /nfs/dbraw/zinc/77/51/84/821775184.db2.gz IFMMYKOYCGRMOU-JQWIXIFHSA-N 0 1 276.340 0.413 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(C)nn1 ZINC001085589117 821839212 /nfs/dbraw/zinc/83/92/12/821839212.db2.gz DAVIVZGXPKZZEE-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@]12C[C@H]1COC2 ZINC001085609227 821849192 /nfs/dbraw/zinc/84/91/92/821849192.db2.gz MESNRRVYRGKJPJ-YDHLFZDLSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCN1CC#C ZINC001085648138 821878660 /nfs/dbraw/zinc/87/86/60/821878660.db2.gz DVMDBZPFHXWKDG-HOTGVXAUSA-N 0 1 287.407 0.640 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCC(=O)N1C ZINC001085767488 821938973 /nfs/dbraw/zinc/93/89/73/821938973.db2.gz KCZQPPJKGJNBSX-OLZOCXBDSA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(=O)n1C ZINC001085764211 821943051 /nfs/dbraw/zinc/94/30/51/821943051.db2.gz RUAIEOZVDONUIS-NSHDSACASA-N 0 1 278.356 0.848 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N(C)C[C@H]1CCN1CCO ZINC001085864934 821987151 /nfs/dbraw/zinc/98/71/51/821987151.db2.gz OUDDPAUMSMLBAP-ILXRZTDVSA-N 0 1 296.411 0.883 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCN(C(C)=O)C1 ZINC001085948487 822031572 /nfs/dbraw/zinc/03/15/72/822031572.db2.gz KAEXHJXAPKZVJP-GJZGRUSLSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cn(C)c(=O)n1C ZINC001085990712 822057040 /nfs/dbraw/zinc/05/70/40/822057040.db2.gz WTJUIYCYFWOQNZ-GFCCVEGCSA-N 0 1 292.383 0.446 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCCN([C@H](C)C(N)=O)CC1 ZINC001273399872 822120368 /nfs/dbraw/zinc/12/03/68/822120368.db2.gz PDEWSWPGSYHLTL-NEPJUHHUSA-N 0 1 283.372 0.034 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nc[nH]n1)C2 ZINC001098185444 822220477 /nfs/dbraw/zinc/22/04/77/822220477.db2.gz UDDZKXTYFUMVKD-UTUOFQBUSA-N 0 1 273.340 0.092 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCC(=O)NCCC)[C@@H](O)C1 ZINC001100077997 822239691 /nfs/dbraw/zinc/23/96/91/822239691.db2.gz BTODFMTVHXZRRT-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN Cc1ccnc(CNCCCNC(=O)[C@H](C)C#N)n1 ZINC001155828509 822255771 /nfs/dbraw/zinc/25/57/71/822255771.db2.gz PBDCTDUAOJUJIP-SNVBAGLBSA-N 0 1 261.329 0.541 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@H](CC)C(N)=O)C[C@H]21 ZINC001114025292 837414222 /nfs/dbraw/zinc/41/42/22/837414222.db2.gz KBXBPYSAYGPBKQ-XZUYRWCXSA-N 0 1 265.357 0.263 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@@H]2C(NC(=O)/C(C)=C\C)[C@@H]2C1 ZINC001114131007 837446977 /nfs/dbraw/zinc/44/69/77/837446977.db2.gz VEVSKNZHJVAUKU-QROCYTBZSA-N 0 1 289.379 0.137 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)N(C)C)C[C@H]21 ZINC001114201216 837469954 /nfs/dbraw/zinc/46/99/54/837469954.db2.gz LNHFJNSHWMWDKX-CXTNEJHOSA-N 0 1 291.395 0.313 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1(O)CCC1 ZINC001114249964 837486502 /nfs/dbraw/zinc/48/65/02/837486502.db2.gz LORXILRUXDERPU-IMRBUKKESA-N 0 1 262.353 0.361 20 30 CCEDMN Cc1ncoc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC1CC1 ZINC001114256038 837490509 /nfs/dbraw/zinc/49/05/09/837490509.db2.gz SNDCYFHAGAHIFZ-VIKVFOODSA-N 0 1 285.347 0.943 20 30 CCEDMN C#CCOCCC(=O)NC1[C@H]2CN(C/C=C\Cl)C[C@@H]12 ZINC001114262572 837491888 /nfs/dbraw/zinc/49/18/88/837491888.db2.gz GNOZIAALLUQYBC-LPHYITNZSA-N 0 1 282.771 0.825 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3cccnc3)C[C@H]21 ZINC001114270938 837493098 /nfs/dbraw/zinc/49/30/98/837493098.db2.gz MXACNIKMKOJNAT-OJLVUWQFSA-N 0 1 299.374 0.666 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1cnc[nH]c1=O ZINC001130076909 837679043 /nfs/dbraw/zinc/67/90/43/837679043.db2.gz HKWYREXWIIKIBS-UHFFFAOYSA-N 0 1 296.330 0.553 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COCc1cc(C)on1 ZINC001130114724 837694645 /nfs/dbraw/zinc/69/46/45/837694645.db2.gz ZLBUURVEIHXNCL-UHFFFAOYSA-N 0 1 287.747 0.958 20 30 CCEDMN CN1CC2(C1)CN(C(=O)[C@@H](C#N)Cc1ccc(O)cc1)C2 ZINC001183609275 844043575 /nfs/dbraw/zinc/04/35/75/844043575.db2.gz TXWJJHZDKVALFT-CYBMUJFWSA-N 0 1 285.347 0.848 20 30 CCEDMN N#Cc1nccnc1NC[C@@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001109885220 836741750 /nfs/dbraw/zinc/74/17/50/836741750.db2.gz YNADVIFGOXMKAO-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1nccnc1NC[C@@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001109885220 836741759 /nfs/dbraw/zinc/74/17/59/836741759.db2.gz YNADVIFGOXMKAO-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN CCCC#CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OCC ZINC001184277224 844203205 /nfs/dbraw/zinc/20/32/05/844203205.db2.gz KVACGXZHBWYEDO-LBPRGKRZSA-N 0 1 277.324 0.804 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@H](O)C1 ZINC001090442926 836889258 /nfs/dbraw/zinc/88/92/58/836889258.db2.gz URXAAZKZQQBDPQ-ZYHUDNBSSA-N 0 1 267.304 0.505 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](C)NC(N)=O)CC1 ZINC001112798087 836916372 /nfs/dbraw/zinc/91/63/72/836916372.db2.gz NFERRZZRNWZEPL-RYUDHWBXSA-N 0 1 282.388 0.542 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(CC[C@@H]2CCOC2)CC1 ZINC001112814418 836923571 /nfs/dbraw/zinc/92/35/71/836923571.db2.gz PMUHGJXNYYNOJG-GDBMZVCRSA-N 0 1 296.411 0.884 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@H](C)NC(N)=O)CC1 ZINC001112854162 836943569 /nfs/dbraw/zinc/94/35/69/836943569.db2.gz XQZSSCAXEYCUBK-NSHDSACASA-N 0 1 268.361 0.154 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H](C)NC(=O)COC)CC1 ZINC001112873129 836948737 /nfs/dbraw/zinc/94/87/37/836948737.db2.gz AINCVFZTAYHTJR-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](C)NC(=O)CC)CC1 ZINC001112879999 836950991 /nfs/dbraw/zinc/95/09/91/836950991.db2.gz JXHMYUNAJAPSHI-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113115988 837024204 /nfs/dbraw/zinc/02/42/04/837024204.db2.gz BFWLFBJRJFMLRG-DTWKUNHWSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113115988 837024212 /nfs/dbraw/zinc/02/42/12/837024212.db2.gz BFWLFBJRJFMLRG-DTWKUNHWSA-N 0 1 285.311 0.690 20 30 CCEDMN Cc1cc(N[C@@H](C)[C@H](C)NC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001113116976 837025416 /nfs/dbraw/zinc/02/54/16/837025416.db2.gz QBMWFFZAZQFCAO-UWVGGRQHSA-N 0 1 299.338 0.421 20 30 CCEDMN Cc1cc(N[C@@H](C)[C@H](C)NC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001113116976 837025434 /nfs/dbraw/zinc/02/54/34/837025434.db2.gz QBMWFFZAZQFCAO-UWVGGRQHSA-N 0 1 299.338 0.421 20 30 CCEDMN C=CCN1CCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC001113151711 837037420 /nfs/dbraw/zinc/03/74/20/837037420.db2.gz NKWXVWODGXEUMC-UHFFFAOYSA-N 0 1 277.372 0.848 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2CNC(=O)N2)CC1 ZINC001113275789 837076297 /nfs/dbraw/zinc/07/62/97/837076297.db2.gz ZGLDPQPIMDMJLP-VXGBXAGGSA-N 0 1 280.372 0.167 20 30 CCEDMN C[C@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001113357179 837100727 /nfs/dbraw/zinc/10/07/27/837100727.db2.gz QIGILEZNORLBDL-YUMQZZPRSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCCCN1CCN(C(=O)C2(C(=O)NC)CC2)CC1 ZINC001113429230 837114469 /nfs/dbraw/zinc/11/44/69/837114469.db2.gz QVQQKYYQNGRSHA-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C2(C(=O)N(C)C)CC2)CC1 ZINC001113523849 837146992 /nfs/dbraw/zinc/14/69/92/837146992.db2.gz PBAAINIRKQIWMV-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)F ZINC001113770192 837227941 /nfs/dbraw/zinc/22/79/41/837227941.db2.gz QUYSKWSSEWPIIG-GDNZZTSVSA-N 0 1 268.332 0.431 20 30 CCEDMN Cc1conc1CNCCCNC(=O)C#CC1CC1 ZINC001157682690 837235112 /nfs/dbraw/zinc/23/51/12/837235112.db2.gz MMNPMLLPESBVNL-UHFFFAOYSA-N 0 1 261.325 0.992 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnc[nH]2)CC[C@@H]1CNCC#N ZINC001184532475 844252719 /nfs/dbraw/zinc/25/27/19/844252719.db2.gz ABBDNMBUAVFKEY-CHWSQXEVSA-N 0 1 289.383 0.940 20 30 CCEDMN Cn1cc(CNCCCNC(=O)C#CC(C)(C)C)nn1 ZINC001157719045 837309141 /nfs/dbraw/zinc/30/91/41/837309141.db2.gz PKFIWJYYCCXNIG-UHFFFAOYSA-N 0 1 277.372 0.461 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1occc1C ZINC001113854438 837338601 /nfs/dbraw/zinc/33/86/01/837338601.db2.gz HSQVSLNRQHZQRD-WDNDVIMCSA-N 0 1 288.347 0.898 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)N(C)c1ccc(C#N)nc1 ZINC001113898017 837357780 /nfs/dbraw/zinc/35/77/80/837357780.db2.gz JBDFYSUBOQCWPC-NSHDSACASA-N 0 1 298.350 0.860 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)N(C)c1ncccc1C#N ZINC001113898486 837358927 /nfs/dbraw/zinc/35/89/27/837358927.db2.gz VQJUBMKDPXYLAT-LLVKDONJSA-N 0 1 298.350 0.860 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)SC ZINC001113919201 837367585 /nfs/dbraw/zinc/36/75/85/837367585.db2.gz CXSJHPNDCLMPLI-WYUUTHIRSA-N 0 1 252.383 0.808 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)OCC(C)C ZINC001113966908 837384530 /nfs/dbraw/zinc/38/45/30/837384530.db2.gz YZKHJHQYCGCSFD-IGQOVBAYSA-N 0 1 264.369 0.727 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CC(=O)N[C@H](C)C1 ZINC001130669647 837886028 /nfs/dbraw/zinc/88/60/28/837886028.db2.gz FNNPYKKVRQFMSN-ZJUUUORDSA-N 0 1 273.764 0.359 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1n[nH]c2c1CCC2 ZINC001130736719 837907860 /nfs/dbraw/zinc/90/78/60/837907860.db2.gz OIMDJJADGCXHQR-UHFFFAOYSA-N 0 1 268.748 0.970 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cccc2ncnn21 ZINC001130903255 837952839 /nfs/dbraw/zinc/95/28/39/837952839.db2.gz APKOUQIFXJESKG-UHFFFAOYSA-N 0 1 279.731 0.801 20 30 CCEDMN C=CCCCN1CCNC(=O)CCN(C(C)=O)CC1 ZINC001131320728 838041767 /nfs/dbraw/zinc/04/17/67/838041767.db2.gz CYGKEQZIMJZFCK-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1ncc(C(F)(F)F)[nH]1 ZINC001188288322 844854749 /nfs/dbraw/zinc/85/47/49/844854749.db2.gz SKXKMGXBRYTCMA-ZCFIWIBFSA-N 0 1 274.202 0.793 20 30 CCEDMN COCCN1C[C@H](NC(=O)CSCC#N)CC[C@H]1C ZINC001131830312 838242416 /nfs/dbraw/zinc/24/24/16/838242416.db2.gz PTCYYKDUJIMWBB-VXGBXAGGSA-N 0 1 285.413 0.859 20 30 CCEDMN C#CCNC(=O)CC(=O)N[C@H]1CC[C@@H](C)N(CC#CC)C1 ZINC001131980455 838281483 /nfs/dbraw/zinc/28/14/83/838281483.db2.gz NNCQEQZHDJXPAE-KGLIPLIRSA-N 0 1 289.379 0.118 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2ccnn2C)CC[C@@H]1C ZINC001132072161 838311227 /nfs/dbraw/zinc/31/12/27/838311227.db2.gz JBOPWJHWKROKBC-KBPBESRZSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)CN(C)C(=O)C2CC2)CC[C@H]1C ZINC001132097161 838316864 /nfs/dbraw/zinc/31/68/64/838316864.db2.gz GBMGCDOLKCTYHI-TZMCWYRMSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN(C)C(=O)C2CC2)CC[C@H]1C ZINC001132097161 838316874 /nfs/dbraw/zinc/31/68/74/838316874.db2.gz GBMGCDOLKCTYHI-TZMCWYRMSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@H]1CC[C@H](NC(=O)CCc2cnc[nH]2)CN1CC#N ZINC001132087058 838318390 /nfs/dbraw/zinc/31/83/90/838318390.db2.gz LXNRBZHCTYEDMM-AAEUAGOBSA-N 0 1 275.356 0.835 20 30 CCEDMN CNC(=O)[C@H](C)N(C)CCCN(C)C(=O)[C@H](C)C#N ZINC001273496076 844320427 /nfs/dbraw/zinc/32/04/27/844320427.db2.gz SNXLGFFNJCLRHN-MNOVXSKESA-N 0 1 268.361 0.061 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2cnn(C)c2)CC[C@H]1C ZINC001132508061 838421608 /nfs/dbraw/zinc/42/16/08/838421608.db2.gz CASUOBGAKXRUPL-UKRRQHHQSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2cnn(C)c2)CC[C@@H]1C ZINC001132508059 838421674 /nfs/dbraw/zinc/42/16/74/838421674.db2.gz CASUOBGAKXRUPL-DZGCQCFKSA-N 0 1 288.395 0.955 20 30 CCEDMN CC#CCCCC(=O)NCCNCc1cncc(OC)n1 ZINC001132593257 838446275 /nfs/dbraw/zinc/44/62/75/838446275.db2.gz YEGJVKVRTXRHFF-UHFFFAOYSA-N 0 1 290.367 0.885 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](C)[C@H](CNCC#N)C2)n[nH]1 ZINC001132679127 838469667 /nfs/dbraw/zinc/46/96/67/838469667.db2.gz ZOEJMOBGRKLHQG-ZYHUDNBSSA-N 0 1 275.356 0.930 20 30 CCEDMN C=C[C@@H](CO)Nc1ncnc2[nH]cc(C(=O)OC)c21 ZINC001158814327 838574939 /nfs/dbraw/zinc/57/49/39/838574939.db2.gz DCPGTEQEKBZFEB-ZETCQYMHSA-N 0 1 262.269 0.703 20 30 CCEDMN C=CCCC(=O)N[C@H](C)C[C@H](C)NCc1nnnn1C ZINC001133889112 838767546 /nfs/dbraw/zinc/76/75/46/838767546.db2.gz QYXDMWFAKBVQFV-WDEREUQCSA-N 0 1 280.376 0.549 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)C[C@H](C)NCc1nnnn1C ZINC001134200529 838909715 /nfs/dbraw/zinc/90/97/15/838909715.db2.gz GDRRUVUGRWMZEH-RYUDHWBXSA-N 0 1 292.387 0.387 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)C#CC1CC1)NCc1cnn(C)n1 ZINC001134321116 838946503 /nfs/dbraw/zinc/94/65/03/838946503.db2.gz DNONXMPIPVXBEK-NEPJUHHUSA-N 0 1 289.383 0.601 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)C#CC1CC1)NCc1cnn(C)n1 ZINC001134321119 838946722 /nfs/dbraw/zinc/94/67/22/838946722.db2.gz DNONXMPIPVXBEK-VXGBXAGGSA-N 0 1 289.383 0.601 20 30 CCEDMN C=C(Br)CNCCNC(=O)CC(=O)N(C)C ZINC001134938846 839120112 /nfs/dbraw/zinc/12/01/12/839120112.db2.gz IYGXRQCCOGFRMN-UHFFFAOYSA-N 0 1 292.177 0.079 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)CCC(C)C ZINC001135400970 839236749 /nfs/dbraw/zinc/23/67/49/839236749.db2.gz SQGZZZIXRRFODL-UHFFFAOYSA-N 0 1 289.807 0.997 20 30 CCEDMN N#CCc1cc(N[C@@H](Cc2ccc(O)cc2)C(O)O)ccn1 ZINC001170851623 839413464 /nfs/dbraw/zinc/41/34/64/839413464.db2.gz HISQQEUBFARIBN-HNNXBMFYSA-N 0 1 299.330 0.609 20 30 CCEDMN CC[C@](N)(CO)Nc1nc(Cl)c(C#N)cc1C#N ZINC001159743688 839562654 /nfs/dbraw/zinc/56/26/54/839562654.db2.gz KINBJMVDVCBDCW-LLVKDONJSA-N 0 1 265.704 0.948 20 30 CCEDMN C=C[C@H]1C[C@]1(Nc1cc2c(nn1)CNC2)C(=O)OCC ZINC001170926200 839567466 /nfs/dbraw/zinc/56/74/66/839567466.db2.gz YMHKUZBBDCURSM-IINYFYTJSA-N 0 1 274.324 1.000 20 30 CCEDMN C[C@@H](C(=O)N(C)C)[N@@H+](C)CCCN(C)C(=O)C#CC1CC1 ZINC001273507530 844451614 /nfs/dbraw/zinc/45/16/14/844451614.db2.gz DDTOVRDHJQPWKH-ZDUSSCGKSA-N 0 1 293.411 0.657 20 30 CCEDMN C[C@@H](C(=O)N(C)C)N(C)CCCN(C)C(=O)C#CC1CC1 ZINC001273507530 844451617 /nfs/dbraw/zinc/45/16/17/844451617.db2.gz DDTOVRDHJQPWKH-ZDUSSCGKSA-N 0 1 293.411 0.657 20 30 CCEDMN CCN(CC#N)C(=O)c1cnc(CCOCCOC)[nH]1 ZINC001136377708 839605675 /nfs/dbraw/zinc/60/56/75/839605675.db2.gz NPWADLFHRXNZJT-UHFFFAOYSA-N 0 1 280.328 0.601 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2occc2C)[C@H](O)C1 ZINC001090562105 839643917 /nfs/dbraw/zinc/64/39/17/839643917.db2.gz HELLZQQBTIWAIV-ZIAGYGMSSA-N 0 1 290.363 0.705 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)nn2CC)[C@@H](O)C1 ZINC001090583484 839655307 /nfs/dbraw/zinc/65/53/07/839655307.db2.gz BAUWCKZQPRZZNP-JSGCOSHPSA-N 0 1 292.383 0.562 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C)[nH]c2=O)[C@@H](O)C1 ZINC001090593478 839662964 /nfs/dbraw/zinc/66/29/64/839662964.db2.gz FAFCIDBPUKUIHI-STQMWFEESA-N 0 1 291.351 0.447 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cscn2)[C@@H](O)C1 ZINC001090628162 839686707 /nfs/dbraw/zinc/68/67/07/839686707.db2.gz LHTXCNWHVSALTB-NEPJUHHUSA-N 0 1 281.381 0.423 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nccs2)[C@H](O)C1 ZINC001090670337 839717975 /nfs/dbraw/zinc/71/79/75/839717975.db2.gz DNBBIHNBJCGQKM-GHMZBOCLSA-N 0 1 281.381 0.884 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cn(CC)cn2)[C@@H](O)C1 ZINC001090732200 839763157 /nfs/dbraw/zinc/76/31/57/839763157.db2.gz AJGQVJXYDQICTB-JSGCOSHPSA-N 0 1 292.383 0.644 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc(C)n2)[C@H](O)C1 ZINC001090819961 839835285 /nfs/dbraw/zinc/83/52/85/839835285.db2.gz KGOJCYHRQQFMNE-DGCLKSJQSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CC(=O)NCc1cccc(N2CCN(C)CC2)c1 ZINC001143914547 839893149 /nfs/dbraw/zinc/89/31/49/839893149.db2.gz VYNBDUYWIINUEO-UHFFFAOYSA-N 0 1 257.337 0.688 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001144190063 839973034 /nfs/dbraw/zinc/97/30/34/839973034.db2.gz RGCZQMXUWYERSE-CYBMUJFWSA-N 0 1 281.400 0.167 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCC1CC(NCC#N)C1 ZINC001091100415 840054769 /nfs/dbraw/zinc/05/47/69/840054769.db2.gz SOVUQDXLFLWYBM-BPCQOVAHSA-N 0 1 264.373 0.479 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)COCC3CC3)[C@@H]2C1 ZINC001187024476 844635682 /nfs/dbraw/zinc/63/56/82/844635682.db2.gz UFYSPTXPQLKTRV-LSDHHAIUSA-N 0 1 276.380 0.969 20 30 CCEDMN COc1cccc(C[C@@H](N)C(=O)Nc2nnccc2C#N)c1 ZINC001144933281 840195514 /nfs/dbraw/zinc/19/55/14/840195514.db2.gz KYJMXPOVBYBHTI-CYBMUJFWSA-N 0 1 297.318 0.865 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)[C@@H](C)OC)C[C@]2(C)C1 ZINC001091519783 840249677 /nfs/dbraw/zinc/24/96/77/840249677.db2.gz WHEZHQYEVFQVQT-BZPMIXESSA-N 0 1 250.342 0.435 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)C[C@@H](C)OC)C2)C1 ZINC001147175098 840522404 /nfs/dbraw/zinc/52/24/04/840522404.db2.gz TZLVKTHGRTVXJP-CQSZACIVSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CC[N@@H+]1CCC2(CN(C(=O)[C@H](COC)OC)C2)C1 ZINC001148250283 840770324 /nfs/dbraw/zinc/77/03/24/840770324.db2.gz VLJYPTMSBRMOIF-LBPRGKRZSA-N 0 1 268.357 0.368 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cnnn2CC)nc1 ZINC001148369958 840795426 /nfs/dbraw/zinc/79/54/26/840795426.db2.gz BLASIOZYFKJVQN-UHFFFAOYSA-N 0 1 298.350 0.194 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)CCOC)C2)C1 ZINC001148783227 840886569 /nfs/dbraw/zinc/88/65/69/840886569.db2.gz DWMROBUAWYGQMF-ZDUSSCGKSA-N 0 1 264.369 0.827 20 30 CCEDMN C=CCN1C(=O)COCC12CN(CCCCOC)C2 ZINC001273525285 844585863 /nfs/dbraw/zinc/58/58/63/844585863.db2.gz FRHMKMWOAIJSAP-UHFFFAOYSA-N 0 1 268.357 0.512 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)NC(C)=O)[C@@H]2C1 ZINC001186763246 844594550 /nfs/dbraw/zinc/59/45/50/844594550.db2.gz DAFFLSVDJRCBFC-KWCYVHTRSA-N 0 1 277.368 0.067 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CCn2ccnn2)C1 ZINC001149602368 840986551 /nfs/dbraw/zinc/98/65/51/840986551.db2.gz XQYGETXBXZVVGJ-ZDUSSCGKSA-N 0 1 293.371 0.061 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)CCc3ncccn3)C[C@]2(C)C1 ZINC001092111194 840989310 /nfs/dbraw/zinc/98/93/10/840989310.db2.gz IENHKWTVFVKFSP-PBHICJAKSA-N 0 1 298.390 0.823 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(COC)CC2)[C@H](O)C1 ZINC001099916292 841052243 /nfs/dbraw/zinc/05/22/43/841052243.db2.gz LMCGSTMOWBSQJQ-VXGBXAGGSA-N 0 1 268.357 0.150 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1[C@H]2CN(c3ncnc4[nH]cnc43)C[C@H]21 ZINC001093234209 841183118 /nfs/dbraw/zinc/18/31/18/841183118.db2.gz IVSRLABZPMMEKD-IMSYWVGJSA-N 0 1 297.322 0.063 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(CCF)CC2)[C@@H](O)C1 ZINC001099932999 841553308 /nfs/dbraw/zinc/55/33/08/841553308.db2.gz HRTFRADKERSGHL-STQMWFEESA-N 0 1 282.359 0.701 20 30 CCEDMN N#Cc1nccnc1NCCNC(=O)c1[nH]nc2c1CCC2 ZINC001094242510 841567336 /nfs/dbraw/zinc/56/73/36/841567336.db2.gz SMYDXASOVKEJHJ-UHFFFAOYSA-N 0 1 297.322 0.402 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)CCc2cnc[nH]2)cn1 ZINC001094224766 841570443 /nfs/dbraw/zinc/57/04/43/841570443.db2.gz ANSSVPDFHVOHQI-UHFFFAOYSA-N 0 1 284.323 0.837 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNc1ncnc2[nH]cnc21 ZINC001094341893 841610814 /nfs/dbraw/zinc/61/08/14/841610814.db2.gz IKWXIPMCNPMZMU-QMMMGPOBSA-N 0 1 273.300 0.382 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCCNc1cncc(C#N)n1 ZINC001094340092 841611084 /nfs/dbraw/zinc/61/10/84/841611084.db2.gz KFEKBUJMZRNVJR-GFCCVEGCSA-N 0 1 288.355 0.361 20 30 CCEDMN CNC(=O)N[C@H]1CCCN(CCO[C@@H](C)C#N)C1 ZINC001176122269 842331488 /nfs/dbraw/zinc/33/14/88/842331488.db2.gz ULHXLHVOEVCCJP-QWRGUYRKSA-N 0 1 254.334 0.308 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCN[C@H](C)c1nnc(C)[nH]1 ZINC001177273596 842543216 /nfs/dbraw/zinc/54/32/16/842543216.db2.gz TVDBPQFTSIKYCU-MNOVXSKESA-N 0 1 295.387 0.861 20 30 CCEDMN Cc1nc(CNC(=O)Cc2ccnc(C#N)c2)n[nH]1 ZINC001177675165 842649094 /nfs/dbraw/zinc/64/90/94/842649094.db2.gz VALNNVMRHDZWLF-UHFFFAOYSA-N 0 1 256.269 0.239 20 30 CCEDMN CN(C[C@H](O)CO)C(=O)C(C#N)Cc1cccs1 ZINC001177908361 842706035 /nfs/dbraw/zinc/70/60/35/842706035.db2.gz KIJUFJRIYRXJDW-ZJUUUORDSA-N 0 1 268.338 0.242 20 30 CCEDMN C=CC(=O)NCCNC(=O)C(C#N)Cc1cccs1 ZINC001177915951 842707354 /nfs/dbraw/zinc/70/73/54/842707354.db2.gz QXPLURAGZOUCLV-SNVBAGLBSA-N 0 1 277.349 0.849 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1COC(=O)C1 ZINC001177916192 842707399 /nfs/dbraw/zinc/70/73/99/842707399.db2.gz SSVVJQVFJSVISI-DTWKUNHWSA-N 0 1 264.306 0.862 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1CCC(=O)NC1=O ZINC001177916536 842708222 /nfs/dbraw/zinc/70/82/22/842708222.db2.gz IJULBZLOEWXKTE-SCZZXKLOSA-N 0 1 291.332 0.352 20 30 CCEDMN CSc1n[nH]c(NC(=O)[C@@H](C)C#N)c1C(N)=O ZINC001179889243 843067023 /nfs/dbraw/zinc/06/70/23/843067023.db2.gz WGDZMZCBBYHIKM-BYPYZUCNSA-N 0 1 253.287 0.329 20 30 CCEDMN CCOC(=O)C(=CNC(=O)C(C)C#N)C(=O)OCC ZINC001179900098 843072232 /nfs/dbraw/zinc/07/22/32/843072232.db2.gz CAQKTZKVISYVEX-QMMMGPOBSA-N 0 1 268.269 0.272 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(=O)CC)C1 ZINC001181673183 843572494 /nfs/dbraw/zinc/57/24/94/843572494.db2.gz FKZGILPWFSZFBK-SNVBAGLBSA-N 0 1 273.764 0.456 20 30 CCEDMN Cc1ccc(C(=NC(=O)C2(O)CCN(C)CC2)NO)nc1 ZINC001182035669 843685214 /nfs/dbraw/zinc/68/52/14/843685214.db2.gz ADJDDXPXCWSRTM-UHFFFAOYSA-N 0 1 292.339 0.099 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)[C@@H]1CCCN1CC1CC1 ZINC001182559390 843859996 /nfs/dbraw/zinc/85/99/96/843859996.db2.gz JWGOXERZZNLACI-QWRGUYRKSA-N 0 1 286.397 0.448 20 30 CCEDMN CCOC(=O)c1cc(NC(=O)C#CCOC)n[nH]1 ZINC001187122767 844654000 /nfs/dbraw/zinc/65/40/00/844654000.db2.gz ZOOCJXZVRKYQNO-UHFFFAOYSA-N 0 1 251.242 0.175 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)[C@@H](F)C(C)C ZINC001273547909 844761879 /nfs/dbraw/zinc/76/18/79/844761879.db2.gz KBYIZZABWRHVMP-AWEZNQCLSA-N 0 1 299.390 0.510 20 30 CCEDMN CN(Cc1cc(C#N)cs1)c1nc(N)nc(N)[nH+]1 ZINC001187751842 844764340 /nfs/dbraw/zinc/76/43/40/844764340.db2.gz LKRRNNYSMZFCPM-UHFFFAOYSA-N 0 1 261.314 0.606 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CC[C@@H](NCc2cnnn2C)C1 ZINC001188310548 844865075 /nfs/dbraw/zinc/86/50/75/844865075.db2.gz ZDYDPYDWEPCDSI-NWDGAFQWSA-N 0 1 293.371 0.097 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C2=COCCO2)C1 ZINC001188715671 844961122 /nfs/dbraw/zinc/96/11/22/844961122.db2.gz AYNPKTKNYKMMEU-LBPRGKRZSA-N 0 1 264.325 0.431 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@H]3C[C@@H]3C)[C@@H]2C1 ZINC001188812199 844980143 /nfs/dbraw/zinc/98/01/43/844980143.db2.gz CHWSUJUSNVTHCB-ZQDZILKHSA-N 0 1 276.380 0.825 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)Cn2cccn2)C1 ZINC001188861420 844984210 /nfs/dbraw/zinc/98/42/10/844984210.db2.gz CUICUELCGGXOOR-AWEZNQCLSA-N 0 1 290.367 0.066 20 30 CCEDMN C[C@H](CCCNc1ccncc1C#N)NC(=O)c1cnn[nH]1 ZINC001115114516 845067778 /nfs/dbraw/zinc/06/77/78/845067778.db2.gz IJNXYXNAFCSGCQ-SNVBAGLBSA-N 0 1 299.338 0.504 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)COC)C1 ZINC001189363043 845128638 /nfs/dbraw/zinc/12/86/38/845128638.db2.gz KQRSMKCUWRKPPW-ZIAGYGMSSA-N 0 1 282.384 0.451 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCOC2)C1 ZINC001189489469 845146010 /nfs/dbraw/zinc/14/60/10/845146010.db2.gz JNPWBUNYWJZJET-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2ccon2)C1 ZINC001189488635 845146924 /nfs/dbraw/zinc/14/69/24/845146924.db2.gz BAOXMHWVRRRHHI-CYBMUJFWSA-N 0 1 261.325 0.773 20 30 CCEDMN O=C1C=C2CN(S(=O)(=O)CCCF)CCC2S1 ZINC001189880462 845249153 /nfs/dbraw/zinc/24/91/53/845249153.db2.gz HYYUCPPIRDGQFJ-VIFPVBQESA-N 0 1 279.358 0.950 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@H](N(C)CC#CC)C1 ZINC001190114278 845336444 /nfs/dbraw/zinc/33/64/44/845336444.db2.gz PTLMQHGXNBGHKD-CQSZACIVSA-N 0 1 262.353 0.582 20 30 CCEDMN C=CC[N@H+](C)[C@H]1CCN(C(=O)C[C@H](C)NC(N)=O)C1 ZINC001190155822 845350402 /nfs/dbraw/zinc/35/04/02/845350402.db2.gz OUBGEUAVSRKLFM-QWRGUYRKSA-N 0 1 268.361 0.152 20 30 CCEDMN C=CC[N@H+](C)[C@@H]1CCN(C(=O)C[C@H](C)NC(N)=O)C1 ZINC001190155823 845351183 /nfs/dbraw/zinc/35/11/83/845351183.db2.gz OUBGEUAVSRKLFM-WDEREUQCSA-N 0 1 268.361 0.152 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(OC)ncn2)C1 ZINC001190195074 845361012 /nfs/dbraw/zinc/36/10/12/845361012.db2.gz AAKSLXWXLBKLSK-LBPRGKRZSA-N 0 1 288.351 0.655 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001190390149 845394356 /nfs/dbraw/zinc/39/43/56/845394356.db2.gz SQDFAJCHFYHWSB-NEPJUHHUSA-N 0 1 281.400 0.995 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)COCCOCC)[C@@H]2C1 ZINC001190386409 845394468 /nfs/dbraw/zinc/39/44/68/845394468.db2.gz PRBGDLZQKJPRNN-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CN(C)C(=O)C2CC2)C1 ZINC001190473020 845407391 /nfs/dbraw/zinc/40/73/91/845407391.db2.gz RYKDWACHPGICLU-CQSZACIVSA-N 0 1 291.395 0.411 20 30 CCEDMN C[N@@H+](C1CN(C(=O)c2ccc(C#N)cn2)C1)[C@H]1CCOC1 ZINC001190590592 845441453 /nfs/dbraw/zinc/44/14/53/845441453.db2.gz NGIVMDYJYNRXHT-LBPRGKRZSA-N 0 1 286.335 0.498 20 30 CCEDMN CN(C1CN(C(=O)c2ccc(C#N)cn2)C1)[C@H]1CCOC1 ZINC001190590592 845441458 /nfs/dbraw/zinc/44/14/58/845441458.db2.gz NGIVMDYJYNRXHT-LBPRGKRZSA-N 0 1 286.335 0.498 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001190897985 845538464 /nfs/dbraw/zinc/53/84/64/845538464.db2.gz AJFQWSQJBFIRNE-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN CC[C@@H](C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)CSCC#N)C1 ZINC001191156636 845598674 /nfs/dbraw/zinc/59/86/74/845598674.db2.gz MVWYOXRSYXPUEC-IJLUTSLNSA-N 0 1 285.413 0.451 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C(CC)CC)C1 ZINC001191195072 845604435 /nfs/dbraw/zinc/60/44/35/845604435.db2.gz QGLNKWNONCAHDR-ZIAGYGMSSA-N 0 1 284.400 0.787 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](CC(C)C)C(=O)NO)c1C ZINC001191130488 845605133 /nfs/dbraw/zinc/60/51/33/845605133.db2.gz KVMPHVVFJJLIGM-VIFPVBQESA-N 0 1 268.317 0.676 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC[C@H]2CCCO2)C1 ZINC001191204220 845606823 /nfs/dbraw/zinc/60/68/23/845606823.db2.gz RYCBUWGGNNMASS-MGPQQGTHSA-N 0 1 280.368 0.130 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cc(F)c[nH]2)C1 ZINC001191398493 845639307 /nfs/dbraw/zinc/63/93/07/845639307.db2.gz AOKKADUKGXPQLG-CYBMUJFWSA-N 0 1 293.342 0.950 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)OCC(C)C)C1 ZINC001191456454 845663610 /nfs/dbraw/zinc/66/36/10/845663610.db2.gz JMBOQEUESTUWOG-MGPQQGTHSA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)OCC(C)C)C1 ZINC001191456452 845664322 /nfs/dbraw/zinc/66/43/22/845664322.db2.gz JMBOQEUESTUWOG-BFHYXJOUSA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCC2CC2)C[C@H]1O ZINC001191644920 845707950 /nfs/dbraw/zinc/70/79/50/845707950.db2.gz AZWBYOHAPJIEDM-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCCCCC(=O)N1CC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001191755860 845731271 /nfs/dbraw/zinc/73/12/71/845731271.db2.gz ZMQCWMSVNYCZAJ-KGLIPLIRSA-N 0 1 293.411 0.977 20 30 CCEDMN C#CCCCCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001191755852 845732186 /nfs/dbraw/zinc/73/21/86/845732186.db2.gz ZMQCWMSVNYCZAJ-KBPBESRZSA-N 0 1 293.411 0.977 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)COC)C1 ZINC001191755878 845732345 /nfs/dbraw/zinc/73/23/45/845732345.db2.gz OCDYLNHRFCAKCT-QJPTWQEYSA-N 0 1 256.346 0.006 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C2CC2)C1 ZINC001192037513 845778920 /nfs/dbraw/zinc/77/89/20/845778920.db2.gz LFHZLVHNOTUWNZ-IACUBPJLSA-N 0 1 282.384 0.396 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1C[N@@H+](C[C@@H](CC)OC)C[C@H]1O ZINC001192329281 845821531 /nfs/dbraw/zinc/82/15/31/845821531.db2.gz VTOSWPRMLWHGTL-RBSFLKMASA-N 0 1 296.411 0.766 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOCC=C)C[C@H]1O ZINC001192510412 845859489 /nfs/dbraw/zinc/85/94/89/845859489.db2.gz LEBHDCJXXJZDOU-ZIAGYGMSSA-N 0 1 280.368 0.154 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@H]1O ZINC001192510911 845861935 /nfs/dbraw/zinc/86/19/35/845861935.db2.gz UTTOLJNWBCHQGU-BFHYXJOUSA-N 0 1 282.384 0.112 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC2(F)F)C1 ZINC001192702518 845894891 /nfs/dbraw/zinc/89/48/91/845894891.db2.gz PJPAUWURFSBTPE-HBNTYKKESA-N 0 1 290.310 0.006 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC2(F)F)C1 ZINC001192702518 845894898 /nfs/dbraw/zinc/89/48/98/845894898.db2.gz PJPAUWURFSBTPE-HBNTYKKESA-N 0 1 290.310 0.006 20 30 CCEDMN COC(=O)c1cc(NS(=O)(=O)CC#N)cn1C ZINC001192939007 845943641 /nfs/dbraw/zinc/94/36/41/845943641.db2.gz KLAWRXUQEGPKNR-UHFFFAOYSA-N 0 1 257.271 0.077 20 30 CCEDMN COc1cc(C#N)cc(NS(=O)(=O)CC#N)c1 ZINC001192985741 845944745 /nfs/dbraw/zinc/94/47/45/845944745.db2.gz MBGGEWGUBWRFSD-UHFFFAOYSA-N 0 1 251.267 0.832 20 30 CCEDMN N#CCS(=O)(=O)Nc1cccc(C(N)=O)c1F ZINC001192985339 845944903 /nfs/dbraw/zinc/94/49/03/845944903.db2.gz AJNCIVFQRFMCPN-UHFFFAOYSA-N 0 1 257.246 0.190 20 30 CCEDMN CCN(CCN1CCCCC1)S(=O)(=O)CC#N ZINC001192963948 845955766 /nfs/dbraw/zinc/95/57/66/845955766.db2.gz YGYZHZCBZPRVIW-UHFFFAOYSA-N 0 1 259.375 0.648 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1O ZINC001193344760 846057680 /nfs/dbraw/zinc/05/76/80/846057680.db2.gz DYHRBVWQKLQSNR-JHJVBQTASA-N 0 1 284.400 0.785 20 30 CCEDMN CCN(CCC(N)=O)C(=O)c1ccc(O)c(C#N)c1 ZINC001193504417 846101546 /nfs/dbraw/zinc/10/15/46/846101546.db2.gz RLRIDYWGJFHMBT-UHFFFAOYSA-N 0 1 261.281 0.601 20 30 CCEDMN CC1(C)C(=O)NCCN1C(=O)c1cccc(C#N)c1O ZINC001193644224 846135710 /nfs/dbraw/zinc/13/57/10/846135710.db2.gz SWCRVWAFYNCENM-UHFFFAOYSA-N 0 1 273.292 0.614 20 30 CCEDMN COC(=O)[C@@H]1C[C@H]1CNC(=O)c1cccc(C#N)c1O ZINC001193659355 846139905 /nfs/dbraw/zinc/13/99/05/846139905.db2.gz LTLFJDFEABPUGK-GXSJLCMTSA-N 0 1 274.276 0.803 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCC(=O)N(CC)CC)C1 ZINC001194183931 846232771 /nfs/dbraw/zinc/23/27/71/846232771.db2.gz WOIBMITVDHPMOA-CQSZACIVSA-N 0 1 293.411 0.801 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C(C)(C)NC(=O)NC)C1 ZINC001194266098 846256837 /nfs/dbraw/zinc/25/68/37/846256837.db2.gz KVWDHJJOVUEVSY-LBPRGKRZSA-N 0 1 294.399 0.250 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)COC)CC1 ZINC001194580343 846328832 /nfs/dbraw/zinc/32/88/32/846328832.db2.gz ARJQXEQHXPVEOR-UHFFFAOYSA-N 0 1 256.346 0.370 20 30 CCEDMN COCC#CCN1CCCN(C(=O)c2cc(C)[nH]n2)CC1 ZINC001195025511 846441879 /nfs/dbraw/zinc/44/18/79/846441879.db2.gz OVJAUMFDDDJZOS-UHFFFAOYSA-N 0 1 290.367 0.516 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCCN(CCO)CC1 ZINC001195126527 846465673 /nfs/dbraw/zinc/46/56/73/846465673.db2.gz XAAAGQQIISDLHD-CYBMUJFWSA-N 0 1 270.373 0.494 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnccc1C(N)=O ZINC001195447098 846533120 /nfs/dbraw/zinc/53/31/20/846533120.db2.gz SYPICPWSPMNJDM-NSHDSACASA-N 0 1 288.351 0.561 20 30 CCEDMN CCOC(=O)NC(=S)N=C(NO)c1ccc(OC)cn1 ZINC001195503211 846555129 /nfs/dbraw/zinc/55/51/29/846555129.db2.gz QRZYPIDPYYHDLL-UHFFFAOYSA-N 0 1 298.324 0.847 20 30 CCEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2[C@@H](CC)C(N)=O ZINC001273703512 846588464 /nfs/dbraw/zinc/58/84/64/846588464.db2.gz IGVSVUMENGRPDP-YNEHKIRRSA-N 0 1 279.384 0.892 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2[C@@H](CC)C(N)=O ZINC001273703124 846591477 /nfs/dbraw/zinc/59/14/77/846591477.db2.gz COHQXUQJTLPUIF-QJPTWQEYSA-N 0 1 265.357 0.502 20 30 CCEDMN C=CC(=O)NCCNC(=O)c1ccc(C#N)cc1O ZINC001195763636 846611295 /nfs/dbraw/zinc/61/12/95/846611295.db2.gz ATDZSJXHYZNBKZ-UHFFFAOYSA-N 0 1 259.265 0.296 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC23CCC3)C1 ZINC001196444820 846747488 /nfs/dbraw/zinc/74/74/88/846747488.db2.gz BGYJQWAWRYBXCS-BFHYXJOUSA-N 0 1 294.395 0.541 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC[C@@H]2CCCCO2)C1 ZINC001196544013 846770874 /nfs/dbraw/zinc/77/08/74/846770874.db2.gz XNEUOCLKEQPPIG-RRFJBIMHSA-N 0 1 294.395 0.520 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C(C)C)C1 ZINC001196560577 846776422 /nfs/dbraw/zinc/77/64/22/846776422.db2.gz TXUIQZJCMBFNAO-YNEHKIRRSA-N 0 1 252.358 0.463 20 30 CCEDMN COC(=O)n1ncc(C#N)c1NC(=O)c1ncc(F)cn1 ZINC001197218297 846881235 /nfs/dbraw/zinc/88/12/35/846881235.db2.gz CKRKFOUHLDEKJN-UHFFFAOYSA-N 0 1 290.214 0.551 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCC(=O)NCCC)C1 ZINC001197896947 846999692 /nfs/dbraw/zinc/99/96/92/846999692.db2.gz ZSMIAPISKPFEOY-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2cc(C)ccn2)cc[nH]1 ZINC001197954474 847008085 /nfs/dbraw/zinc/00/80/85/847008085.db2.gz KTYYYFXWBZGSCH-UHFFFAOYSA-N 0 1 273.317 0.989 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(Cl)ccn1 ZINC001251821215 847130836 /nfs/dbraw/zinc/13/08/36/847130836.db2.gz JULIYWTWUWKHEN-GFCCVEGCSA-N 0 1 254.717 0.835 20 30 CCEDMN C#CCOC[C@H](O)CN1CCCN(C(=O)C2CCC2)CC1 ZINC001251847315 847195833 /nfs/dbraw/zinc/19/58/33/847195833.db2.gz CVGFVNOAHWEDGL-OAHLLOKOSA-N 0 1 294.395 0.332 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CCNC[C@H]1C#N ZINC001199350938 847292918 /nfs/dbraw/zinc/29/29/18/847292918.db2.gz YUWHOHUCFAZMQJ-SNVBAGLBSA-N 0 1 259.313 0.085 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@H]2O)CCCC1 ZINC001199567263 847356698 /nfs/dbraw/zinc/35/66/98/847356698.db2.gz OIZXQDQASAAVSI-BFHYXJOUSA-N 0 1 296.411 0.665 20 30 CCEDMN COCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C#CC1CC1)C2 ZINC001110539560 847371240 /nfs/dbraw/zinc/37/12/40/847371240.db2.gz YMKLSNKOWKAKGD-MCIONIFRSA-N 0 1 262.353 0.768 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(CC(=O)NC1CC1)CC2 ZINC001273829376 847616768 /nfs/dbraw/zinc/61/67/68/847616768.db2.gz BBYBIUZBJXWKRZ-CYBMUJFWSA-N 0 1 289.379 0.117 20 30 CCEDMN COC(=O)CCCS(=O)(=O)Nc1cncc(C#N)n1 ZINC001201905533 847832879 /nfs/dbraw/zinc/83/28/79/847832879.db2.gz VNWSBKJAEUIRDE-UHFFFAOYSA-N 0 1 284.297 0.043 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cccc(=O)n1C ZINC001273944107 847894449 /nfs/dbraw/zinc/89/44/49/847894449.db2.gz FXIKDIVOZHIUBK-HUUCEWRRSA-N 0 1 299.374 0.584 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)C(F)(F)F)cc[nH]1 ZINC001252271989 847921593 /nfs/dbraw/zinc/92/15/93/847921593.db2.gz DPSWOMJPUIUKHV-UHFFFAOYSA-N 0 1 250.201 0.746 20 30 CCEDMN C=CCNC(=O)N1CCCC(O)=C1C(=O)OCC ZINC001202566133 848177872 /nfs/dbraw/zinc/17/78/72/848177872.db2.gz KOEOHRVOHUDDNN-SNVBAGLBSA-N 0 1 254.286 0.479 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN([C@@H](C)C(C)=O)CC2 ZINC001273984020 848196930 /nfs/dbraw/zinc/19/69/30/848196930.db2.gz NXIIPBAPQXNKRJ-AAEUAGOBSA-N 0 1 262.353 0.815 20 30 CCEDMN C=CCCC(C)(C)NS(=O)(=O)C[C@H]1CNCCO1 ZINC000717668263 848281443 /nfs/dbraw/zinc/28/14/43/848281443.db2.gz XAUQOTOOXYGATM-LLVKDONJSA-N 0 1 276.402 0.639 20 30 CCEDMN C=C(C)CN1CC2(CCC1=O)CCN(CC(N)=O)CC2 ZINC001274062006 848332682 /nfs/dbraw/zinc/33/26/82/848332682.db2.gz KGYSMMCMZYDIHB-UHFFFAOYSA-N 0 1 279.384 0.752 20 30 CCEDMN N#CCCCN1CC2(CCC1=O)CCN(CC(N)=O)CC2 ZINC001274062852 848334195 /nfs/dbraw/zinc/33/41/95/848334195.db2.gz ZFLPYCIGGNPXOP-UHFFFAOYSA-N 0 1 292.383 0.480 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C/CNCC(=O)NC1CC1 ZINC001274263183 848433609 /nfs/dbraw/zinc/43/36/09/848433609.db2.gz NWNYUZMHLRTECV-AATRIKPKSA-N 0 1 279.384 0.739 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3cnc(C)[nH]3)C2)OCC1=O ZINC001274575110 848510484 /nfs/dbraw/zinc/51/04/84/848510484.db2.gz BVBNBBWFMUIXNT-OAHLLOKOSA-N 0 1 288.351 0.155 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CCOC1 ZINC001275094552 848636111 /nfs/dbraw/zinc/63/61/11/848636111.db2.gz OXTIMYSVBPNHHK-STQMWFEESA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1csnn1 ZINC001275128332 848643646 /nfs/dbraw/zinc/64/36/46/848643646.db2.gz GUCDUJHEYTVGIF-JTQLQIEISA-N 0 1 264.354 0.708 20 30 CCEDMN C[C@@H](CNCC#N)NC(=O)c1c[nH]c2ccccc2c1=O ZINC001275215026 848668343 /nfs/dbraw/zinc/66/83/43/848668343.db2.gz MZYBOMZANSGRFJ-JTQLQIEISA-N 0 1 284.319 0.760 20 30 CCEDMN N#CCNCC1CC(NC(=O)CCCCc2cn[nH]n2)C1 ZINC001275268795 848681319 /nfs/dbraw/zinc/68/13/19/848681319.db2.gz RACWAPUHHMTFNJ-UHFFFAOYSA-N 0 1 290.371 0.525 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1Cc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001275344205 848694325 /nfs/dbraw/zinc/69/43/25/848694325.db2.gz BKKDCSUHGXNHER-NXEZZACHSA-N 0 1 297.318 0.424 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CCN(C(=O)[C@@H]1C[C@@H]1C#N)C2 ZINC001275345405 848694342 /nfs/dbraw/zinc/69/43/42/848694342.db2.gz RLLWGEBYLTUFFD-PSASIEDQSA-N 0 1 287.323 0.156 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)CN(C)[C@@H]1CCCNC1=O ZINC001275535496 848752994 /nfs/dbraw/zinc/75/29/94/848752994.db2.gz RTEKVQMUMCVZPM-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)CN(C)[C@H]1CCCNC1=O ZINC001275535495 848754011 /nfs/dbraw/zinc/75/40/11/848754011.db2.gz RTEKVQMUMCVZPM-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc(OC)no1 ZINC001275545603 848755839 /nfs/dbraw/zinc/75/58/39/848755839.db2.gz YZYHUYDUOOLNCD-JTQLQIEISA-N 0 1 265.313 0.757 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H](C)OCC1CC1 ZINC001275780594 848820382 /nfs/dbraw/zinc/82/03/82/848820382.db2.gz GMUZLJZVGBEWKG-NEPJUHHUSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H](C)NC(=O)c1ccoc1 ZINC001275834734 848840299 /nfs/dbraw/zinc/84/02/99/848840299.db2.gz IWQXRGILSJYJHD-NWDGAFQWSA-N 0 1 291.351 0.468 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CCc1cc(C)on1 ZINC001275928046 848869748 /nfs/dbraw/zinc/86/97/48/848869748.db2.gz CYUQGIAUUGSMRW-LLVKDONJSA-N 0 1 263.341 0.985 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)CCn1cccc1 ZINC001275962806 848875338 /nfs/dbraw/zinc/87/53/38/848875338.db2.gz JTHSMBWVYAAEIU-HNNXBMFYSA-N 0 1 291.395 0.965 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C[C@H]1NC(=O)c2ccccc21 ZINC001275964088 848875912 /nfs/dbraw/zinc/87/59/12/848875912.db2.gz ZHIVXEVCTWHFMS-SWLSCSKDSA-N 0 1 299.374 0.931 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCCNCc1nnc(C)[nH]1 ZINC001276001943 848888027 /nfs/dbraw/zinc/88/80/27/848888027.db2.gz MOPKCTFDTSUJCU-LLVKDONJSA-N 0 1 293.371 0.090 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCC(=O)NC1)C2 ZINC001095522802 848972545 /nfs/dbraw/zinc/97/25/45/848972545.db2.gz LVCVTMRYWBFUAC-MQYQWHSLSA-N 0 1 291.395 0.810 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCNC1=O)C2 ZINC001095568953 848990329 /nfs/dbraw/zinc/99/03/29/848990329.db2.gz BLHAVOQHFQOJNY-NDBYEHHHSA-N 0 1 277.368 0.420 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)n(C)c1)C2 ZINC001095677503 849006986 /nfs/dbraw/zinc/00/69/86/849006986.db2.gz ASHZCQMHGHLBAX-RDBSUJKOSA-N 0 1 287.363 0.906 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1coc(C(N)=O)c1)C2 ZINC001095809180 849166130 /nfs/dbraw/zinc/16/61/30/849166130.db2.gz SNSJRZAZPCZHHS-WOPDTQHZSA-N 0 1 289.335 0.900 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCC(F)F ZINC001114579117 849262162 /nfs/dbraw/zinc/26/21/62/849262162.db2.gz OFISAEOSHYVYFV-YABSGUDNSA-N 0 1 286.322 0.728 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@](C)(C=C)CCOC ZINC001114626852 849333767 /nfs/dbraw/zinc/33/37/67/849333767.db2.gz MLDOPJJVHUXRDF-NHIYQJMISA-N 0 1 276.380 0.895 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC2(CC2)C1 ZINC001114819282 849403365 /nfs/dbraw/zinc/40/33/65/849403365.db2.gz MKVHQBKXXWLBCB-FICVDOATSA-N 0 1 288.391 0.873 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)Cc2cnn(C)c2)C1 ZINC001276350240 849441476 /nfs/dbraw/zinc/44/14/76/849441476.db2.gz HCIIVPZRNXOFND-NSHDSACASA-N 0 1 262.357 0.585 20 30 CCEDMN C=C(Cl)CN1CC[C@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001038659008 849468362 /nfs/dbraw/zinc/46/83/62/849468362.db2.gz XJXPKZHPRLNCLD-VIFPVBQESA-N 0 1 284.747 0.682 20 30 CCEDMN C=C[C@H](O)CN1CCN(CC(=O)OC(C)(C)C)CC1 ZINC001253580282 849548765 /nfs/dbraw/zinc/54/87/65/849548765.db2.gz XSHHZBCOIBNKNW-LBPRGKRZSA-N 0 1 270.373 0.493 20 30 CCEDMN CC[C@@H]1CN(C(=O)Cc2ncn[nH]2)CC[C@H]1NCC#N ZINC001037954530 849612181 /nfs/dbraw/zinc/61/21/81/849612181.db2.gz SJTIBRNCIBYWML-GHMZBOCLSA-N 0 1 276.344 0.087 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C1CCOCC1 ZINC001037999936 849627963 /nfs/dbraw/zinc/62/79/63/849627963.db2.gz WHEPLSCOLZKUEV-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN1CC[C@@H]1CNC(=O)[C@H]1CN(C(C)C)CCO1 ZINC001038621112 849676930 /nfs/dbraw/zinc/67/69/30/849676930.db2.gz HERUIQPCHPSAGZ-ZIAGYGMSSA-N 0 1 281.400 0.472 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001038034516 849777937 /nfs/dbraw/zinc/77/79/37/849777937.db2.gz AOBBGESTSCOWFL-SNVBAGLBSA-N 0 1 260.297 0.010 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1c(C)nnn1CC ZINC001038338081 849856429 /nfs/dbraw/zinc/85/64/29/849856429.db2.gz NAXTYQSXIUPTLW-GFCCVEGCSA-N 0 1 277.372 0.987 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001038375035 849870625 /nfs/dbraw/zinc/87/06/25/849870625.db2.gz WZIGQBIRYUEMNE-JTQLQIEISA-N 0 1 262.313 0.251 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@H]1CCN1CC#N ZINC001038417210 849886948 /nfs/dbraw/zinc/88/69/48/849886948.db2.gz NPVRHNIQBLHNEP-NEPJUHHUSA-N 0 1 250.346 0.185 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCN1CCOC ZINC001038714806 849995210 /nfs/dbraw/zinc/99/52/10/849995210.db2.gz VMUMNVUMORSFRP-UPJWGTAASA-N 0 1 268.357 0.414 20 30 CCEDMN C#CCOc1ncccc1C(=O)NC[C@@H]1CCN1CC ZINC001038927868 850087820 /nfs/dbraw/zinc/08/78/20/850087820.db2.gz DZKPJAKASXUARK-LBPRGKRZSA-N 0 1 273.336 0.918 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1 ZINC001038936947 850093201 /nfs/dbraw/zinc/09/32/01/850093201.db2.gz QPDZRIRXHRACPN-MNOVXSKESA-N 0 1 251.330 0.032 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(C(N)=O)cn1 ZINC001039069941 850146777 /nfs/dbraw/zinc/14/67/77/850146777.db2.gz LMRLTKGATQHTNZ-LBPRGKRZSA-N 0 1 288.351 0.561 20 30 CCEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCCN2C(=O)C(N)=O)C1 ZINC001039224096 850165107 /nfs/dbraw/zinc/16/51/07/850165107.db2.gz CXZGRPMIISEWND-NWDGAFQWSA-N 0 1 299.802 0.927 20 30 CCEDMN C[C@H]1[C@@H](Nc2ccncc2C#N)CCN1C(=O)c1ccn[nH]1 ZINC001040044236 850258387 /nfs/dbraw/zinc/25/83/87/850258387.db2.gz LWSCLRJDWKPGQL-JQWIXIFHSA-N 0 1 296.334 0.813 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@]2(C1)CCCN(CC#N)C2 ZINC001040322750 850283994 /nfs/dbraw/zinc/28/39/94/850283994.db2.gz LXPAOHSIFBVBIS-CQSZACIVSA-N 0 1 288.355 0.565 20 30 CCEDMN C#CCN1CCC[C@]2(CCN(C(=O)c3ccn(C)n3)C2)C1 ZINC001040530580 850299847 /nfs/dbraw/zinc/29/98/47/850299847.db2.gz CKSYIHHZWJQESN-INIZCTEOSA-N 0 1 286.379 0.981 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CC[C@@]2(CCN(CC#N)C2)C1 ZINC001041137992 850380564 /nfs/dbraw/zinc/38/05/64/850380564.db2.gz ABBSAOUXIXEQHL-DZGCQCFKSA-N 0 1 276.384 0.529 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001041543552 850464974 /nfs/dbraw/zinc/46/49/74/850464974.db2.gz KAMMPMJCOMBQRL-HNNXBMFYSA-N 0 1 279.384 0.608 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnccn3)C[C@@H]21 ZINC001041911775 850526821 /nfs/dbraw/zinc/52/68/21/850526821.db2.gz YHCMTEUZVKTRAM-JSGCOSHPSA-N 0 1 270.336 0.646 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C3=COCCO3)C[C@@H]21 ZINC001041916365 850527440 /nfs/dbraw/zinc/52/74/40/850527440.db2.gz KITFDTKGHVETCW-OLZOCXBDSA-N 0 1 276.336 0.431 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3ccnn3C)C[C@H]21 ZINC001041968726 850545429 /nfs/dbraw/zinc/54/54/29/850545429.db2.gz IHESOWPLSZZZGJ-UKRRQHHQSA-N 0 1 286.379 0.980 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3ccnn3C)C[C@H]21 ZINC001041968724 850545561 /nfs/dbraw/zinc/54/55/61/850545561.db2.gz IHESOWPLSZZZGJ-DZGCQCFKSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cc3nnc(C)o3)C[C@H]21 ZINC001042046917 850566935 /nfs/dbraw/zinc/56/69/35/850566935.db2.gz RCUNUIDVWDGPCK-CHWSQXEVSA-N 0 1 288.351 0.477 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3n[nH]cc3F)C[C@@H]21 ZINC001042218253 850597906 /nfs/dbraw/zinc/59/79/06/850597906.db2.gz IRJOSGYGNOFSGO-JQWIXIFHSA-N 0 1 276.315 0.718 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3nc[nH]n3)C[C@@H]21 ZINC001042275764 850607028 /nfs/dbraw/zinc/60/70/28/850607028.db2.gz WFCUHXXFJQZPIK-NEPJUHHUSA-N 0 1 273.340 0.364 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cnon3)C[C@H]21 ZINC001042283222 850608754 /nfs/dbraw/zinc/60/87/54/850608754.db2.gz JQKYBJZGRDXJKT-WCQYABFASA-N 0 1 274.324 0.629 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2n[nH]cc2Br)C1 ZINC001044063325 850995965 /nfs/dbraw/zinc/99/59/65/850995965.db2.gz YRVUZAIWQLCHIL-UHFFFAOYSA-N 0 1 297.156 0.562 20 30 CCEDMN C=CCN1CC(N(C)C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001044151362 851025105 /nfs/dbraw/zinc/02/51/05/851025105.db2.gz CHLWCYZZOSMGQU-NSHDSACASA-N 0 1 274.368 0.843 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)c2cn(C)c(=O)n2C)C1 ZINC001044341571 851083145 /nfs/dbraw/zinc/08/31/45/851083145.db2.gz QOPYWYQTICDTKE-UHFFFAOYSA-N 0 1 292.383 0.446 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccnc3ccnn32)CC1 ZINC001045458284 851262748 /nfs/dbraw/zinc/26/27/48/851262748.db2.gz DUPYNPXSZPKFPS-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCOC2)C1 ZINC001046187271 851411779 /nfs/dbraw/zinc/41/17/79/851411779.db2.gz BJFKMHIJCLJDGF-GXTWGEPZSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001046246940 851437193 /nfs/dbraw/zinc/43/71/93/851437193.db2.gz SQEQIAHYFGLYEM-ZDUSSCGKSA-N 0 1 264.329 0.804 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001046364188 851476642 /nfs/dbraw/zinc/47/66/42/851476642.db2.gz IHBXYWSWTROMOX-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnn3ncccc23)C1 ZINC001046381943 851481573 /nfs/dbraw/zinc/48/15/73/851481573.db2.gz JIZRADIIAOWQJE-MRXNPFEDSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccnn2CCOC)C1 ZINC001046410125 851492932 /nfs/dbraw/zinc/49/29/32/851492932.db2.gz WWHTVHPOPYJFGJ-HNNXBMFYSA-N 0 1 290.367 0.357 20 30 CCEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)C1 ZINC001046421165 851496795 /nfs/dbraw/zinc/49/67/95/851496795.db2.gz SOCYYRGHIHABKH-NFAWXSAZSA-N 0 1 281.400 0.472 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001046604057 851561854 /nfs/dbraw/zinc/56/18/54/851561854.db2.gz GOKVVLHURAPONS-DOMZBBRYSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2nc3ncccn3n2)C1 ZINC001046714245 851593492 /nfs/dbraw/zinc/59/34/92/851593492.db2.gz MGJMKPHPXKXBPM-CQSZACIVSA-N 0 1 286.339 0.505 20 30 CCEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001046733949 851600560 /nfs/dbraw/zinc/60/05/60/851600560.db2.gz KNAKNGUQJQWDKT-AWEZNQCLSA-N 0 1 276.340 0.494 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001046733949 851600563 /nfs/dbraw/zinc/60/05/63/851600563.db2.gz KNAKNGUQJQWDKT-AWEZNQCLSA-N 0 1 276.340 0.494 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](C)(NC(=O)c2cn(CC)nn2)C1 ZINC001046736327 851601491 /nfs/dbraw/zinc/60/14/91/851601491.db2.gz HJNOSVMHSJDLKN-CYBMUJFWSA-N 0 1 263.345 0.678 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cn(CC)nn2)C1 ZINC001046736327 851601492 /nfs/dbraw/zinc/60/14/92/851601492.db2.gz HJNOSVMHSJDLKN-CYBMUJFWSA-N 0 1 263.345 0.678 20 30 CCEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001046779923 851612550 /nfs/dbraw/zinc/61/25/50/851612550.db2.gz XZWWYBPHDHTVIL-GWCFXTLKSA-N 0 1 251.330 0.032 20 30 CCEDMN Cc1nc(Br)c(C=NN2CC(=O)NC2=O)[nH]1 ZINC000901158816 851644140 /nfs/dbraw/zinc/64/41/40/851644140.db2.gz KPYCKYKUADPLKX-UHFFFAOYSA-N 0 1 286.089 0.366 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)n2C)C1 ZINC001047300960 851703633 /nfs/dbraw/zinc/70/36/33/851703633.db2.gz SKSKGRBQSCCGJP-GJZGRUSLSA-N 0 1 289.379 0.474 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2CCCO2)C1 ZINC001047309695 851709822 /nfs/dbraw/zinc/70/98/22/851709822.db2.gz LUYPEKHBSANLMY-AVGNSLFASA-N 0 1 268.357 0.245 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCOC2)C1 ZINC001047322236 851716366 /nfs/dbraw/zinc/71/63/66/851716366.db2.gz NHGVVTDOQXYPLG-AGIUHOORSA-N 0 1 268.357 0.103 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(OC)no2)C1 ZINC001047352187 851732360 /nfs/dbraw/zinc/73/23/60/851732360.db2.gz CZBXODWVNXWOCC-QWRGUYRKSA-N 0 1 295.339 0.376 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H](C)c2ccco2)C1 ZINC001047525986 851801728 /nfs/dbraw/zinc/80/17/28/851801728.db2.gz DDPCMLYROBLPTJ-RDBSUJKOSA-N 0 1 290.363 0.910 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CC23CCC3)C1 ZINC001047548838 851813777 /nfs/dbraw/zinc/81/37/77/851813777.db2.gz KYEWGQHERZXKKA-IHRRRGAJSA-N 0 1 276.380 0.703 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@H]2CN(CC)C[C@@H]2O)nc1 ZINC001047602035 851833030 /nfs/dbraw/zinc/83/30/30/851833030.db2.gz WFCXZPCCVZRGPL-KBPBESRZSA-N 0 1 273.336 0.200 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C#C)cn2)C1 ZINC001047602003 851833133 /nfs/dbraw/zinc/83/31/33/851833133.db2.gz USPJNRHNYRCFCU-HOTGVXAUSA-N 0 1 297.358 0.203 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](CC(=O)N(C)C)C[C@@H]2C1 ZINC001048979822 852125724 /nfs/dbraw/zinc/12/57/24/852125724.db2.gz CTOVOBLHFFGQHD-BETUJISGSA-N 0 1 293.411 0.677 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CN(CC(=O)N(C)C)C[C@H]2C1 ZINC001048979822 852125730 /nfs/dbraw/zinc/12/57/30/852125730.db2.gz CTOVOBLHFFGQHD-BETUJISGSA-N 0 1 293.411 0.677 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CN(CC(=O)NC)C[C@H]2C1 ZINC001049025945 852143236 /nfs/dbraw/zinc/14/32/36/852143236.db2.gz HWAQNKGTXUCISH-DVOMOZLQSA-N 0 1 293.411 0.725 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccn[nH]1 ZINC001049347863 852237083 /nfs/dbraw/zinc/23/70/83/852237083.db2.gz WBHZAEBOPPMRHP-QWHCGFSZSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccn[nH]1 ZINC001049347863 852237049 /nfs/dbraw/zinc/23/70/49/852237049.db2.gz WBHZAEBOPPMRHP-QWHCGFSZSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc[nH]n1 ZINC001049347863 852237076 /nfs/dbraw/zinc/23/70/76/852237076.db2.gz WBHZAEBOPPMRHP-QWHCGFSZSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cocn1 ZINC001049367645 852244352 /nfs/dbraw/zinc/24/43/52/852244352.db2.gz ADFIUTHCMLNDJV-CHWSQXEVSA-N 0 1 259.309 0.987 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cocn1 ZINC001049367648 852245018 /nfs/dbraw/zinc/24/50/18/852245018.db2.gz ADFIUTHCMLNDJV-STQMWFEESA-N 0 1 259.309 0.987 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCC[C@H]3[C@@H]2CC[N@@H+]3CCO)c1 ZINC001049401592 852262769 /nfs/dbraw/zinc/26/27/69/852262769.db2.gz NWZUAZONMXHWCS-KBPBESRZSA-N 0 1 288.351 0.558 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnn(C)c1N ZINC001049436785 852273089 /nfs/dbraw/zinc/27/30/89/852273089.db2.gz KONCHDDDUURHMX-CHWSQXEVSA-N 0 1 287.367 0.314 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnn(C)c1N ZINC001049436785 852273100 /nfs/dbraw/zinc/27/31/00/852273100.db2.gz KONCHDDDUURHMX-CHWSQXEVSA-N 0 1 287.367 0.314 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049455942 852283251 /nfs/dbraw/zinc/28/32/51/852283251.db2.gz HFSQNQIVXLNYIZ-QWHCGFSZSA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)Cc1nnc(C)o1 ZINC001049460267 852287152 /nfs/dbraw/zinc/28/71/52/852287152.db2.gz DPEAKYUGSLQHKX-OLZOCXBDSA-N 0 1 288.351 0.619 20 30 CCEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049785271 852370891 /nfs/dbraw/zinc/37/08/91/852370891.db2.gz YFQASBYQBFLMJS-STQMWFEESA-N 0 1 275.356 0.680 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ncccn1 ZINC001049799417 852375207 /nfs/dbraw/zinc/37/52/07/852375207.db2.gz VPYKUMUPMKHQPI-QWHCGFSZSA-N 0 1 270.336 0.789 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccn(C)n1 ZINC001049894727 852393972 /nfs/dbraw/zinc/39/39/72/852393972.db2.gz HNCKTNHJFJSPIR-KGLIPLIRSA-N 0 1 272.352 0.732 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)c(=O)n1C)C2 ZINC001096624488 852408887 /nfs/dbraw/zinc/40/88/87/852408887.db2.gz KGMBKWNIVWTPQF-WOPDTQHZSA-N 0 1 290.367 0.245 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCNC(=O)C1)C2 ZINC001096935914 852470189 /nfs/dbraw/zinc/47/01/89/852470189.db2.gz NJPZJUNSCOVIBT-LPWJVIDDSA-N 0 1 277.368 0.420 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cncnc1)C2 ZINC001097012305 852487849 /nfs/dbraw/zinc/48/78/49/852487849.db2.gz JYCHAFUTRMBCIA-MCIONIFRSA-N 0 1 272.352 0.927 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cncnc1)C2 ZINC001097015448 852488234 /nfs/dbraw/zinc/48/82/34/852488234.db2.gz GQEQTMAQRJPBJK-KFWWJZLASA-N 0 1 284.363 0.764 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncn(C)n1)C2 ZINC001097038096 852492269 /nfs/dbraw/zinc/49/22/69/852492269.db2.gz LHTBNUNKNAUHEC-UTUOFQBUSA-N 0 1 273.340 0.174 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nnc(C)[nH]1)C2 ZINC001097045219 852493231 /nfs/dbraw/zinc/49/32/31/852493231.db2.gz KPEWFEAFUQSXOG-UTUOFQBUSA-N 0 1 273.340 0.010 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCC(=O)N1C)C2 ZINC001097663017 852562769 /nfs/dbraw/zinc/56/27/69/852562769.db2.gz NULGQLYBYUSWFT-MQYQWHSLSA-N 0 1 289.379 0.352 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnoc1)C2 ZINC001097695395 852578714 /nfs/dbraw/zinc/57/87/14/852578714.db2.gz AIBVZBDVCPSPDJ-MCIONIFRSA-N 0 1 273.336 0.962 20 30 CCEDMN C[C@H](CNC(=O)CCc1nc[nH]n1)Nc1ncccc1C#N ZINC001097778827 852608029 /nfs/dbraw/zinc/60/80/29/852608029.db2.gz AUPCBXXXKYOOLG-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1CC2(C1)CN(C(=O)[C@@H]1CCCN1C)CCO2 ZINC001053190228 852705727 /nfs/dbraw/zinc/70/57/27/852705727.db2.gz PSDCVJYTKXTMMH-AWEZNQCLSA-N 0 1 291.395 0.017 20 30 CCEDMN C#CCN1CC2(C1)C[C@H](NC(=O)[C@@H]1CCCCN1C)CO2 ZINC001053847880 852831998 /nfs/dbraw/zinc/83/19/98/852831998.db2.gz NWDNOBNVNCVBEG-KBPBESRZSA-N 0 1 291.395 0.063 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)CN1CC[C@H](C)C1)CO2 ZINC001053925487 852849120 /nfs/dbraw/zinc/84/91/20/852849120.db2.gz LMDZNCRNSOIAGG-UONOGXRCSA-N 0 1 293.411 0.474 20 30 CCEDMN C#CCN1CC2(C1)C[C@@H](NC(=O)CN1CCC[C@@H]1C)CO2 ZINC001053926425 852849242 /nfs/dbraw/zinc/84/92/42/852849242.db2.gz FHAICPYPHRMYMG-UONOGXRCSA-N 0 1 291.395 0.063 20 30 CCEDMN N#CCN1CC[C@]2(C1)CCCN(C(=O)Cc1ccn[nH]1)C2 ZINC001054107720 852883837 /nfs/dbraw/zinc/88/38/37/852883837.db2.gz HDXKWLGZRNTNPA-HNNXBMFYSA-N 0 1 287.367 0.790 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CN2CCCC2=O)C[C@@H]1C ZINC001054374501 852938235 /nfs/dbraw/zinc/93/82/35/852938235.db2.gz RNDRYGZQERYWCV-JQWIXIFHSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CS(C)(=O)=O)C[C@H]1C ZINC001054461433 852953612 /nfs/dbraw/zinc/95/36/12/852953612.db2.gz PAEDDOIBCRQVRF-PSASIEDQSA-N 0 1 294.804 0.220 20 30 CCEDMN C[C@@H]1CN(C(=O)C#CC2CC2)C[C@@H]1NCc1nccn1C ZINC001054640509 852986674 /nfs/dbraw/zinc/98/66/74/852986674.db2.gz ZCFXINNECZWGNO-OCCSQVGLSA-N 0 1 286.379 0.770 20 30 CCEDMN C[C@H]1CN(C(=O)c2occc2CN(C)C)C[C@@H]1NCC#N ZINC001055014341 853053663 /nfs/dbraw/zinc/05/36/63/853053663.db2.gz DJNCWBOPJJXHFH-AAEUAGOBSA-N 0 1 290.367 0.915 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN1CCC(NC(=O)C2CC2)CC1 ZINC001055630345 853091024 /nfs/dbraw/zinc/09/10/24/853091024.db2.gz ZLSSVXQUWPOGPB-LLVKDONJSA-N 0 1 292.383 0.253 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](Nc3nccnc3C#N)C2)n[nH]1 ZINC001056587577 853175410 /nfs/dbraw/zinc/17/54/10/853175410.db2.gz JTYGXGVKHWBOGX-SNVBAGLBSA-N 0 1 297.322 0.706 20 30 CCEDMN N#Cc1ccc(N[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C2)cn1 ZINC001056595885 853177581 /nfs/dbraw/zinc/17/75/81/853177581.db2.gz XHXGFAGZZRYFGV-CQSZACIVSA-N 0 1 296.334 0.932 20 30 CCEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3ncn(C)n3)[C@@H]2C1 ZINC001050041020 853302138 /nfs/dbraw/zinc/30/21/38/853302138.db2.gz ZZQHJKRCENJHSH-NWDGAFQWSA-N 0 1 275.356 0.538 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCc3cncnc3)[C@@H]2C1 ZINC001050093521 853311476 /nfs/dbraw/zinc/31/14/76/853311476.db2.gz DTPRYZXURKDUHE-JKSUJKDBSA-N 0 1 298.390 0.965 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(Nc2ncnc3[nH]cnc32)CC1 ZINC001057296529 853337542 /nfs/dbraw/zinc/33/75/42/853337542.db2.gz LLOICUBUWDSNBK-SECBINFHSA-N 0 1 299.338 0.915 20 30 CCEDMN C#CCCN1CCOC[C@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001050984137 853500613 /nfs/dbraw/zinc/50/06/13/853500613.db2.gz YVKUPXOLIQOFEK-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CCOC[C@H]1CNC(=O)c1[nH]ncc1F ZINC001051102114 853524876 /nfs/dbraw/zinc/52/48/76/853524876.db2.gz IFMKKHWGGNHDFO-SNVBAGLBSA-N 0 1 280.303 0.003 20 30 CCEDMN Cc1ncc(CNC[C@H]2CN(C(=O)[C@H](C)C#N)CCO2)o1 ZINC001051495231 853596939 /nfs/dbraw/zinc/59/69/39/853596939.db2.gz SLYHYHBIQGXXHJ-MFKMUULPSA-N 0 1 292.339 0.460 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(CC(N)=O)CC2)cc1 ZINC001052614833 853769970 /nfs/dbraw/zinc/76/99/70/853769970.db2.gz ARGCFTTVFYEZER-OAHLLOKOSA-N 0 1 299.374 0.738 20 30 CCEDMN C[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001058314898 853823538 /nfs/dbraw/zinc/82/35/38/853823538.db2.gz TYFULWHZWKSWGF-KGLIPLIRSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001058314898 853823543 /nfs/dbraw/zinc/82/35/43/853823543.db2.gz TYFULWHZWKSWGF-KGLIPLIRSA-N 0 1 299.378 0.742 20 30 CCEDMN N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)nc1 ZINC001068510820 853903164 /nfs/dbraw/zinc/90/31/64/853903164.db2.gz COGGGTKFSPIBPT-XYPYZODXSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)nc1 ZINC001068510820 853903168 /nfs/dbraw/zinc/90/31/68/853903168.db2.gz COGGGTKFSPIBPT-XYPYZODXSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cccnc1NC[C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001068511136 853903501 /nfs/dbraw/zinc/90/35/01/853903501.db2.gz UMSGXQTZTBXIJH-HOMQSWHASA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cccnc1NC[C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001068511136 853903506 /nfs/dbraw/zinc/90/35/06/853903506.db2.gz UMSGXQTZTBXIJH-HOMQSWHASA-N 0 1 297.322 0.692 20 30 CCEDMN C[C@@H]1[C@H](Nc2ccnc(C#N)n2)CCN1C(=O)c1ccn[nH]1 ZINC001068749238 853921672 /nfs/dbraw/zinc/92/16/72/853921672.db2.gz FEKTXNJLQIVNBE-NXEZZACHSA-N 0 1 297.322 0.208 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)C(F)F)[C@@H](n2ccnn2)C1 ZINC001069888320 853993017 /nfs/dbraw/zinc/99/30/17/853993017.db2.gz RLRJKWVSDQFRPY-MNOVXSKESA-N 0 1 299.325 0.851 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@]2(C)C=CCC2)C[C@@H]1n1ccnn1 ZINC001070252785 854036926 /nfs/dbraw/zinc/03/69/26/854036926.db2.gz ATZYZDOEJGUOND-IJEWVQPXSA-N 0 1 299.378 0.609 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2CC3(CC3)C2)C[C@@H]1n1ccnn1 ZINC001070272342 854038831 /nfs/dbraw/zinc/03/88/31/854038831.db2.gz IELGPTRARPCRCB-KGLIPLIRSA-N 0 1 299.378 0.443 20 30 CCEDMN C=CCN1C[C@@H](OC)C[C@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070357525 854048657 /nfs/dbraw/zinc/04/86/57/854048657.db2.gz SBVIHDPOIGDTKD-QWRGUYRKSA-N 0 1 279.344 0.389 20 30 CCEDMN C=CCN1C[C@@H](OC)C[C@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070357525 854048665 /nfs/dbraw/zinc/04/86/65/854048665.db2.gz SBVIHDPOIGDTKD-QWRGUYRKSA-N 0 1 279.344 0.389 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001070670603 854087255 /nfs/dbraw/zinc/08/72/55/854087255.db2.gz ASNDLZXFZHOEIV-LLVKDONJSA-N 0 1 289.339 0.329 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001070670603 854087258 /nfs/dbraw/zinc/08/72/58/854087258.db2.gz ASNDLZXFZHOEIV-LLVKDONJSA-N 0 1 289.339 0.329 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)c1ccc[nH]1)C[C@@H](C)O2 ZINC001071136790 854127213 /nfs/dbraw/zinc/12/72/13/854127213.db2.gz PSHQXTVMGNTVQD-CJNGLKHVSA-N 0 1 287.363 0.953 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C2=COCCO2)CC[C@@H]1C ZINC001071331677 854160170 /nfs/dbraw/zinc/16/01/70/854160170.db2.gz HRUJWRZXDQDCIM-STQMWFEESA-N 0 1 278.352 0.867 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2)CC[C@@H]1C ZINC001071383705 854171191 /nfs/dbraw/zinc/17/11/91/854171191.db2.gz LSTBUTRKVLVKBL-JSGCOSHPSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cnn(C)c2)CC[C@@H]1C ZINC001071383705 854171197 /nfs/dbraw/zinc/17/11/97/854171197.db2.gz LSTBUTRKVLVKBL-JSGCOSHPSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2CN(C(C)=O)C2)CC[C@@H]1C ZINC001071459428 854205849 /nfs/dbraw/zinc/20/58/49/854205849.db2.gz DAYWQIQGLIRNHL-SMDDNHRTSA-N 0 1 277.368 0.067 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2ncn(C)n2)CC[C@H]1C ZINC001071488984 854218882 /nfs/dbraw/zinc/21/88/82/854218882.db2.gz YBCVDEVJRIBVOY-MNOVXSKESA-N 0 1 263.345 0.584 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cnn(C)c2C)CC[C@H]1C ZINC001071557955 854236945 /nfs/dbraw/zinc/23/69/45/854236945.db2.gz XOHXSLAKTVXSGZ-DOMZBBRYSA-N 0 1 288.395 0.873 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cnn(C)n2)CC[C@@H]1C ZINC001071890402 854313240 /nfs/dbraw/zinc/31/32/40/854313240.db2.gz LJZGQXHYVWJRRT-WDEREUQCSA-N 0 1 263.345 0.584 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ncc[nH]2)CC[C@H]1C ZINC001071933899 854322081 /nfs/dbraw/zinc/32/20/81/854322081.db2.gz CLSYKJIIVHBHNM-NEPJUHHUSA-N 0 1 260.341 0.555 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CC[C@@H](C)N(CCO)C2)cn1 ZINC001072001748 854333650 /nfs/dbraw/zinc/33/36/50/854333650.db2.gz GHOGAFXSWIUTER-IUODEOHRSA-N 0 1 287.363 0.638 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001072721220 854453077 /nfs/dbraw/zinc/45/30/77/854453077.db2.gz JHRCQVVKRXLPCC-UHFFFAOYSA-N 0 1 256.349 0.957 20 30 CCEDMN C#CC[N@@H+]1CCC2(CN(C(=O)c3cncn3C)C2)C1 ZINC001072899651 854490379 /nfs/dbraw/zinc/49/03/79/854490379.db2.gz FHYVDRJJJRKUPN-UHFFFAOYSA-N 0 1 258.325 0.201 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cncn3C)C2)C1 ZINC001072899651 854490385 /nfs/dbraw/zinc/49/03/85/854490385.db2.gz FHYVDRJJJRKUPN-UHFFFAOYSA-N 0 1 258.325 0.201 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cc(C)ncn2)C1 ZINC001073575771 854601631 /nfs/dbraw/zinc/60/16/31/854601631.db2.gz OLOYYBYLJOGJJC-ZDUSSCGKSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CC[N@@H+]1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073582651 854603819 /nfs/dbraw/zinc/60/38/19/854603819.db2.gz PKXAIEVLSLKWEM-GFCCVEGCSA-N 0 1 291.351 0.794 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073582651 854603822 /nfs/dbraw/zinc/60/38/22/854603822.db2.gz PKXAIEVLSLKWEM-GFCCVEGCSA-N 0 1 291.351 0.794 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001073614088 854616017 /nfs/dbraw/zinc/61/60/17/854616017.db2.gz RRQAHLXZXRUDHM-LZWOXQAQSA-N 0 1 292.379 0.253 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001073727517 854636789 /nfs/dbraw/zinc/63/67/89/854636789.db2.gz YGJQKWXFLFJAGN-MQYQWHSLSA-N 0 1 280.368 0.272 20 30 CCEDMN C=C(C)CN1CCCO[C@@H](CNC(=O)c2nonc2C)C1 ZINC001073911083 854661558 /nfs/dbraw/zinc/66/15/58/854661558.db2.gz GLZGMXQNLTWEDU-LBPRGKRZSA-N 0 1 294.355 0.775 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cocn2)C1 ZINC001098754043 854882518 /nfs/dbraw/zinc/88/25/18/854882518.db2.gz WVTNEHCPNXTSBL-FZMZJTMJSA-N 0 1 259.309 0.892 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2n[nH]cc2C)C1 ZINC001098828361 854892262 /nfs/dbraw/zinc/89/22/62/854892262.db2.gz SKIGPEGUBIMZNO-WFASDCNBSA-N 0 1 272.352 0.936 20 30 CCEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)C(N)=O ZINC001099202998 854921362 /nfs/dbraw/zinc/92/13/62/854921362.db2.gz IHTPJZJGCPNNAP-JTQLQIEISA-N 0 1 253.346 0.265 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2ccco2)[C@H](O)C1 ZINC001099669876 854962424 /nfs/dbraw/zinc/96/24/24/854962424.db2.gz YNBXSYRMYLXUFX-LSDHHAIUSA-N 0 1 290.363 0.787 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cncnc2)[C@@H](O)C1 ZINC001099923380 855034052 /nfs/dbraw/zinc/03/40/52/855034052.db2.gz CRRYNLCOWZXZJG-KBPBESRZSA-N 0 1 290.367 0.147 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CCF)CC2)[C@@H](O)C1 ZINC001099931469 855036582 /nfs/dbraw/zinc/03/65/82/855036582.db2.gz RXURBQKOCCYLQY-RYUDHWBXSA-N 0 1 270.348 0.864 20 30 CCEDMN C#CCCCCCC(=O)N[C@H]1CCN(CCO)C[C@H]1O ZINC001099957980 855049130 /nfs/dbraw/zinc/04/91/30/855049130.db2.gz ZBVXRJPCGHZORV-UONOGXRCSA-N 0 1 282.384 0.114 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(CF)CCC2)[C@H](O)C1 ZINC001100015242 855071512 /nfs/dbraw/zinc/07/15/12/855071512.db2.gz HSLWLRNDFFCJMJ-QWHCGFSZSA-N 0 1 282.359 0.701 20 30 CCEDMN CN(CCNC(=O)CCc1nc[nH]n1)c1ccc(C#N)cn1 ZINC001100018005 855075227 /nfs/dbraw/zinc/07/52/27/855075227.db2.gz NZVMAWKMOWXABP-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN Cn1ncc(CNC2CC(CNC(=O)C#CC3CC3)C2)n1 ZINC001100313669 855144564 /nfs/dbraw/zinc/14/45/64/855144564.db2.gz JHTMRVJSSAGTCU-UHFFFAOYSA-N 0 1 287.367 0.213 20 30 CCEDMN Cc1nnc(CNC2CC(CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001100315019 855144683 /nfs/dbraw/zinc/14/46/83/855144683.db2.gz QAQIYJAQOQCDBF-UHFFFAOYSA-N 0 1 287.367 0.511 20 30 CCEDMN CN(CCNC(=O)CCc1cnc[nH]1)c1ccc(C#N)nn1 ZINC001100380098 855158242 /nfs/dbraw/zinc/15/82/42/855158242.db2.gz BLMUWWBHUCSAAQ-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CCCN(CCNC(=O)c1ncn[nH]1)c1ccc(C#N)nc1 ZINC001101170164 855272370 /nfs/dbraw/zinc/27/23/70/855272370.db2.gz SJKXBXOYFJHJJE-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN CCCN(CCNC(=O)c1nc[nH]n1)c1ccc(C#N)nc1 ZINC001101170164 855272375 /nfs/dbraw/zinc/27/23/75/855272375.db2.gz SJKXBXOYFJHJJE-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN Cc1nc(CN2C[C@@H](CNC(=O)[C@@H](C)C#N)[C@H](C)C2)n[nH]1 ZINC001101824105 855373424 /nfs/dbraw/zinc/37/34/24/855373424.db2.gz WLCRJJXYHZLOIP-HOSYDEDBSA-N 0 1 290.371 0.457 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCNc1nc(C)ccc1C#N ZINC001101885768 855386426 /nfs/dbraw/zinc/38/64/26/855386426.db2.gz PHYQJBUTQLGGFP-UHFFFAOYSA-N 0 1 299.338 0.872 20 30 CCEDMN CC[C@@H](CNC(=O)c1cnn[nH]1)Nc1cncc(C#N)n1 ZINC001103371284 855514198 /nfs/dbraw/zinc/51/41/98/855514198.db2.gz NIFWKNACCHNNJX-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)CCOC ZINC001115262364 855656635 /nfs/dbraw/zinc/65/66/35/855656635.db2.gz AVTSYAMFQHFRHH-IGQOVBAYSA-N 0 1 264.369 0.729 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001115352192 855665056 /nfs/dbraw/zinc/66/50/56/855665056.db2.gz IOVZYPDPLAMDGR-ZOBORPQBSA-N 0 1 291.395 0.217 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@H](C)Nc2ccnc(C#N)n2)[nH]1 ZINC001115656499 855685942 /nfs/dbraw/zinc/68/59/42/855685942.db2.gz LCIYHRBRSJXVEB-VIFPVBQESA-N 0 1 299.338 0.374 20 30 CCEDMN CC[C@@H](C)NC(=O)CCNC(O)=C1N=CC=CC1=O ZINC001116061690 855715305 /nfs/dbraw/zinc/71/53/05/855715305.db2.gz MOGDLANVYYZEMP-TWLKNAOBSA-N 0 1 265.313 0.818 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC001117652534 856036967 /nfs/dbraw/zinc/03/69/67/856036967.db2.gz LOATWKPMGSABRN-UWVGGRQHSA-N 0 1 264.329 0.767 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC001117971950 856124405 /nfs/dbraw/zinc/12/44/05/856124405.db2.gz KOLUZTISJHZWLC-GFCCVEGCSA-N 0 1 267.373 0.525 20 30 CCEDMN C=C[C@@H](COC)NCc1cccc(S(N)(=O)=O)c1 ZINC001119301303 856622825 /nfs/dbraw/zinc/62/28/25/856622825.db2.gz AROLLHGEMOFMLZ-NSHDSACASA-N 0 1 270.354 0.625 20 30 CCEDMN C=C[C@H](COC)NCc1cccc(S(N)(=O)=O)c1 ZINC001119301302 856623033 /nfs/dbraw/zinc/62/30/33/856623033.db2.gz AROLLHGEMOFMLZ-LLVKDONJSA-N 0 1 270.354 0.625 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)NC[C@H]2CC[NH+]2CC)C1 ZINC001119304187 856624596 /nfs/dbraw/zinc/62/45/96/856624596.db2.gz IVDSUEGFAGPNKR-ZIAGYGMSSA-N 0 1 278.400 0.477 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)[C@@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC001119646003 856806779 /nfs/dbraw/zinc/80/67/79/856806779.db2.gz XNRNQDJDKQWYSS-BDAKNGLRSA-N 0 1 260.721 0.650 20 30 CCEDMN C=C[C@H](COC)NCc1c2c(nn1C)CCOC2 ZINC001119676952 856831352 /nfs/dbraw/zinc/83/13/52/856831352.db2.gz BDPGFWIQGAAGDS-SNVBAGLBSA-N 0 1 251.330 0.783 20 30 CCEDMN C=CCN1CC[C@@H](NC2(CNC(=O)/C(C)=C/C)CC2)C1=O ZINC001323421043 912336860 /nfs/dbraw/zinc/33/68/60/912336860.db2.gz AZKHIKOEYPLAPY-YQJFHSMKSA-N 0 1 291.395 0.978 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1CC(=O)N(C)C1 ZINC001393050207 912354924 /nfs/dbraw/zinc/35/49/24/912354924.db2.gz WVIFPIAMWGYNJO-NWDGAFQWSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCC1(NCC(=O)NCC)CC1 ZINC001323508450 912380266 /nfs/dbraw/zinc/38/02/66/912380266.db2.gz LVQVCAVXPODHHN-UHFFFAOYSA-N 0 1 281.400 0.963 20 30 CCEDMN CCOCC(=O)N[C@H]1CCN(CC#CCOC)[C@H](C)C1 ZINC001328224518 915036586 /nfs/dbraw/zinc/03/65/86/915036586.db2.gz RLXSTEZQYIEXAR-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN Cc1c[nH]nc1CNCCNC(=O)C1N=CC=CC1=O ZINC001324573305 912918612 /nfs/dbraw/zinc/91/86/12/912918612.db2.gz WUORONHKVHMERX-SEYXRHQNSA-N 0 1 275.312 0.334 20 30 CCEDMN C=CCOCCN1CC[C@](C)(NC(=O)c2csnn2)C1 ZINC001324633446 912953577 /nfs/dbraw/zinc/95/35/77/912953577.db2.gz UFBWNVGTTXZXOE-ZDUSSCGKSA-N 0 1 296.396 0.935 20 30 CCEDMN CCCCC(=O)NC[C@@]1(O)CCN(CC#CCOC)C1 ZINC001325070256 913187310 /nfs/dbraw/zinc/18/73/10/913187310.db2.gz WQCPYUJSBFPKJW-HNNXBMFYSA-N 0 1 282.384 0.379 20 30 CCEDMN C=CCCOCC(=O)NC[C@]1(O)CCN(CC#CC)C1 ZINC001325167688 913254221 /nfs/dbraw/zinc/25/42/21/913254221.db2.gz ACUFUWJCMLDCCW-OAHLLOKOSA-N 0 1 280.368 0.155 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CC1(COC)CC1 ZINC001480863341 891409347 /nfs/dbraw/zinc/40/93/47/891409347.db2.gz VNVCNKSNTALTAP-UHFFFAOYSA-N 0 1 282.384 0.501 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1c2c[nH]nc2CC[C@@H]1C ZINC001480892294 891697862 /nfs/dbraw/zinc/69/78/62/891697862.db2.gz KRYUKKBNDOSJKT-FZMZJTMJSA-N 0 1 274.368 0.757 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCCN(C(C)(C)C)C1=O ZINC001480901820 891723870 /nfs/dbraw/zinc/72/38/70/891723870.db2.gz BAUCQDIARGULEN-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)CO[C@H](C)CC)C1 ZINC001325833064 913601851 /nfs/dbraw/zinc/60/18/51/913601851.db2.gz HBVKNIKOJLRRFG-HIFRSBDPSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCCC(=O)NCCC ZINC001481062865 892123490 /nfs/dbraw/zinc/12/34/90/892123490.db2.gz AAAFVTXZQGRGHD-CQSZACIVSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)C(C)(C)N1CCOCC1 ZINC001481065620 892125693 /nfs/dbraw/zinc/12/56/93/892125693.db2.gz RETFBFJXQVYBEP-CQSZACIVSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H](CC(C)C)NC(C)=O ZINC001481065090 892126390 /nfs/dbraw/zinc/12/63/90/892126390.db2.gz LOGPNXCKIZWFTE-HUUCEWRRSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCN1CCCC1=O ZINC001481072371 892128531 /nfs/dbraw/zinc/12/85/31/892128531.db2.gz TWBSZCNQOFHZGX-CYBMUJFWSA-N 0 1 277.368 0.213 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H]1CCN([C@@H]2CCNC2=O)C1 ZINC001481096991 892162149 /nfs/dbraw/zinc/16/21/49/892162149.db2.gz WRWCLUABYPDNQY-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)[C@@H](C)C(C)C)C1 ZINC001481112638 892178562 /nfs/dbraw/zinc/17/85/62/892178562.db2.gz LZNQONWGUZUHFM-UONOGXRCSA-N 0 1 293.411 0.466 20 30 CCEDMN CC#CCN(CCNC(=O)CCc1cn(C)nn1)C1CC1 ZINC001481199995 892303315 /nfs/dbraw/zinc/30/33/15/892303315.db2.gz YAPUHHMBVMGDDI-UHFFFAOYSA-N 0 1 289.383 0.352 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](C)CS(C)(=O)=O ZINC001481321185 892577368 /nfs/dbraw/zinc/57/73/68/892577368.db2.gz WKEAVYPWLGJJGT-SECBINFHSA-N 0 1 296.820 0.468 20 30 CCEDMN C=CCCC(=O)N(C)CCN1CCN(CCOC)CC1 ZINC001481466846 892710071 /nfs/dbraw/zinc/71/00/71/892710071.db2.gz QQWYSABOYNAUQG-UHFFFAOYSA-N 0 1 283.416 0.675 20 30 CCEDMN C=CCCCN1CC(NC(=O)CCN2CCOCC2)C1 ZINC001481589405 892875909 /nfs/dbraw/zinc/87/59/09/892875909.db2.gz SSXORJICPYFQIK-UHFFFAOYSA-N 0 1 281.400 0.475 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](NC(C)=O)[C@@H](C)CC)C1 ZINC001481709872 893065242 /nfs/dbraw/zinc/06/52/42/893065242.db2.gz CMTCTIMVEXMYLB-YUTCNCBUSA-N 0 1 281.400 0.914 20 30 CCEDMN CN(C(=O)C#CC(C)(C)C)[C@@H]1CCN(CCOCCO)C1 ZINC001481725914 893093868 /nfs/dbraw/zinc/09/38/68/893093868.db2.gz CZYRFGIEVNCYGT-CQSZACIVSA-N 0 1 296.411 0.578 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCc2ccncn2)C1 ZINC001481727481 893097534 /nfs/dbraw/zinc/09/75/34/893097534.db2.gz IFXWWUNPSVYONZ-AWEZNQCLSA-N 0 1 272.352 0.575 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](CCNCc2cnon2)C1 ZINC001481971030 893294426 /nfs/dbraw/zinc/29/44/26/893294426.db2.gz BXRQMFWDECTOJR-NEPJUHHUSA-N 0 1 291.355 0.948 20 30 CCEDMN C[C@H](CNCc1nncn1C)CNC(=O)C#CC1CC1 ZINC001482100251 893393181 /nfs/dbraw/zinc/39/31/81/893393181.db2.gz DNXHMOZYFBMNSN-LLVKDONJSA-N 0 1 275.356 0.070 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc[nH]c1CC ZINC001284491406 893574165 /nfs/dbraw/zinc/57/41/65/893574165.db2.gz GELQSGUINMPEDM-GFCCVEGCSA-N 0 1 277.368 0.575 20 30 CCEDMN C#CCOCCC(=O)N(C)[C@H](C)CNCc1conc1C ZINC001482284711 893770633 /nfs/dbraw/zinc/77/06/33/893770633.db2.gz QSOJTEJMHCMRQX-GFCCVEGCSA-N 0 1 293.367 0.959 20 30 CCEDMN Cc1cc(C=Nn2c(=O)c(C)n[nH]c2=S)ncn1 ZINC001326194745 913812621 /nfs/dbraw/zinc/81/26/21/913812621.db2.gz WRZHHUWVGIGBPA-UHFFFAOYSA-N 0 1 262.298 0.216 20 30 CCEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@H]1CCN(C)C1=O ZINC001505443866 894217925 /nfs/dbraw/zinc/21/79/25/894217925.db2.gz SHBQUTXNOZFFRN-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN C[C@@H](NC(=O)C(=O)Nc1sccc1C#N)c1nnc[nH]1 ZINC001326282401 913868801 /nfs/dbraw/zinc/86/88/01/913868801.db2.gz GCDWIQVZUOHJTH-ZCFIWIBFSA-N 0 1 290.308 0.554 20 30 CCEDMN N#Cc1ccccc1CNC[C@H](O)CNC(=O)C1CC1 ZINC001482702881 894501068 /nfs/dbraw/zinc/50/10/68/894501068.db2.gz OPSVHNALJSMXLW-AWEZNQCLSA-N 0 1 273.336 0.535 20 30 CCEDMN CCN(CCNCc1ccnc(OC)n1)C(=O)[C@@H](C)C#N ZINC001482914795 894695132 /nfs/dbraw/zinc/69/51/32/894695132.db2.gz UILMDWFLFOUINQ-NSHDSACASA-N 0 1 291.355 0.583 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)C(C)(F)F ZINC001482977000 894745116 /nfs/dbraw/zinc/74/51/16/894745116.db2.gz VFLIKLHVQYYMSB-SNAWJCMRSA-N 0 1 275.299 0.206 20 30 CCEDMN Cn1cncc1CNC/C=C/CNC(=O)C#CC1CC1 ZINC001483006810 894796998 /nfs/dbraw/zinc/79/69/98/894796998.db2.gz ZLXSXVPZEWAXFR-NSCUHMNNSA-N 0 1 272.352 0.596 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cc(OC)no1 ZINC001483329757 895461539 /nfs/dbraw/zinc/46/15/39/895461539.db2.gz KXAZTAOVIJBRLU-SECBINFHSA-N 0 1 251.286 0.367 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)CN(C)CC#CC ZINC001483337827 895474592 /nfs/dbraw/zinc/47/45/92/895474592.db2.gz QGXOESRAOCYRIT-CYBMUJFWSA-N 0 1 250.342 0.486 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1[nH]ncc1Br ZINC001483398694 895536578 /nfs/dbraw/zinc/53/65/78/895536578.db2.gz YPFGYBIBHOGYON-QMMMGPOBSA-N 0 1 299.172 0.856 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCN1[C@H](C)c1n[nH]c(C)n1 ZINC001483639630 895774259 /nfs/dbraw/zinc/77/42/59/895774259.db2.gz JYZRAAMWBBRNNE-PWSUYJOCSA-N 0 1 293.371 0.567 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)CC(C)(C)C)CC1 ZINC001483715480 895899228 /nfs/dbraw/zinc/89/92/28/895899228.db2.gz GITQIDJWJSTVAK-UHFFFAOYSA-N 0 1 281.400 0.963 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(=O)[nH]n2)CC1 ZINC001483715770 895899574 /nfs/dbraw/zinc/89/95/74/895899574.db2.gz UIDOZLLYECDKER-UHFFFAOYSA-N 0 1 282.731 0.787 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)c3nc[nH]n3)CCC[C@H]12 ZINC000992336464 895906520 /nfs/dbraw/zinc/90/65/20/895906520.db2.gz XYEJNRXVCKPXAM-CABZTGNLSA-N 0 1 260.301 0.055 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)c3ncn[nH]3)CCC[C@H]12 ZINC000992336464 895906530 /nfs/dbraw/zinc/90/65/30/895906530.db2.gz XYEJNRXVCKPXAM-CABZTGNLSA-N 0 1 260.301 0.055 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)c2ncoc2C)CC1 ZINC001483719442 895909491 /nfs/dbraw/zinc/90/94/91/895909491.db2.gz OOGZPGLJILQDIP-UHFFFAOYSA-N 0 1 292.339 0.137 20 30 CCEDMN C[C@@H](NCc1nc(C#N)cs1)[C@H]1CN(C)CCN1C ZINC001365614821 895978069 /nfs/dbraw/zinc/97/80/69/895978069.db2.gz JHIJLXIBUZUAJJ-ZYHUDNBSSA-N 0 1 279.413 0.739 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCC1(NCC(=O)NCC#N)CC1 ZINC001483756439 896012906 /nfs/dbraw/zinc/01/29/06/896012906.db2.gz AJMPVQSSVDMTBA-UHFFFAOYSA-N 0 1 292.383 0.467 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@@H]2C[C@H]2CC)CC1 ZINC001483770346 896034998 /nfs/dbraw/zinc/03/49/98/896034998.db2.gz QUJFCYHLTOMKQU-JHJVBQTASA-N 0 1 293.411 0.962 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CNC(=O)OC ZINC001483807331 896066188 /nfs/dbraw/zinc/06/61/88/896066188.db2.gz AQMMRPIIBZLCPU-NEPJUHHUSA-N 0 1 279.340 0.041 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)c2cnn(C)c2)C1 ZINC001484429465 896397958 /nfs/dbraw/zinc/39/79/58/896397958.db2.gz RWOBRYSREXUROA-HNNXBMFYSA-N 0 1 290.367 0.000 20 30 CCEDMN C=CCCN1CCOC[C@@H]1CNC(=O)CCc1nc[nH]n1 ZINC001326630171 914064045 /nfs/dbraw/zinc/06/40/45/914064045.db2.gz ZMBYULRIZSOYTF-LBPRGKRZSA-N 0 1 293.371 0.130 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCN(C)C(=O)[C@@H](F)CC ZINC001484755014 896586279 /nfs/dbraw/zinc/58/62/79/896586279.db2.gz NMDTZPBIXVPGGH-OLZOCXBDSA-N 0 1 299.390 0.653 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@]2(C1)CCCN(C(C)=O)C2 ZINC001484826989 896615966 /nfs/dbraw/zinc/61/59/66/896615966.db2.gz YQAXPMVJMBCSCL-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C2CN(C(C)C)C2)CC1 ZINC001484944828 896678201 /nfs/dbraw/zinc/67/82/01/896678201.db2.gz GNSYYHCZEGPYJG-UHFFFAOYSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)Cc1nonc1C ZINC001485072031 896756666 /nfs/dbraw/zinc/75/66/66/896756666.db2.gz BDZCTTYARQEUAT-ZDUSSCGKSA-N 0 1 290.367 0.867 20 30 CCEDMN C[C@@H](NC(=O)C(N)=O)[C@H](C)NCC#Cc1ccc(F)cc1 ZINC001485191357 896853827 /nfs/dbraw/zinc/85/38/27/896853827.db2.gz RSNVHZYJPVQECM-WDEREUQCSA-N 0 1 291.326 0.145 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)COCc1ccnn1C ZINC001485317690 896940798 /nfs/dbraw/zinc/94/07/98/896940798.db2.gz ADIHOQCZHKLVDH-CYBMUJFWSA-N 0 1 292.383 0.397 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cccc(C(N)=O)c1 ZINC001485319997 896943998 /nfs/dbraw/zinc/94/39/98/896943998.db2.gz LSFFHIRLGWVVSV-GFCCVEGCSA-N 0 1 287.363 0.859 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)N(C)CCNC(=O)C1CC1 ZINC001485304514 896951928 /nfs/dbraw/zinc/95/19/28/896951928.db2.gz RHDGDMDOOZASCL-ZDUSSCGKSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnc2c(cnn2C)c1 ZINC001485364639 896990843 /nfs/dbraw/zinc/99/08/43/896990843.db2.gz GGSKMQKGASKMBB-NSHDSACASA-N 0 1 285.351 0.652 20 30 CCEDMN C#CCC[N@H+]1C[C@H](NC(=O)CO[C@H]2CCOC2)C(C)(C)C1 ZINC001485568729 897120273 /nfs/dbraw/zinc/12/02/73/897120273.db2.gz GNOPAIPOYDKZDD-KBPBESRZSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H](CC)NC(C)=O)C(C)(C)C1 ZINC001485575809 897135069 /nfs/dbraw/zinc/13/50/69/897135069.db2.gz TWFQGZAEQXEBRF-CHWSQXEVSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CC[N@H+](C)CCOCCNC(=O)c1ocnc1CC ZINC001485777257 897227520 /nfs/dbraw/zinc/22/75/20/897227520.db2.gz QZEPHQWENDRYFL-UHFFFAOYSA-N 0 1 279.340 0.548 20 30 CCEDMN C=C(Cl)CN1CC([C@H](C)NC(=O)Cc2n[nH]c(C)n2)C1 ZINC001409922219 897270281 /nfs/dbraw/zinc/27/02/81/897270281.db2.gz XPNKWRSMTVHVCH-VIFPVBQESA-N 0 1 297.790 0.845 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC23CC3)C1 ZINC001077731435 897365190 /nfs/dbraw/zinc/36/51/90/897365190.db2.gz HPEZFMRYORIPQR-QJPTWQEYSA-N 0 1 250.342 0.524 20 30 CCEDMN CO[C@@H](C)CN1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001032397210 897440852 /nfs/dbraw/zinc/44/08/52/897440852.db2.gz OSFGFFQLKPDWBM-UBHSHLNASA-N 0 1 262.353 0.720 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001032404710 897464437 /nfs/dbraw/zinc/46/44/37/897464437.db2.gz UOGFVOPVNUASLT-AVGNSLFASA-N 0 1 285.351 0.638 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc3ccccn3c2)C1 ZINC001077774135 897479592 /nfs/dbraw/zinc/47/95/92/897479592.db2.gz MUJGHWVJGMDMQY-HZPDHXFCSA-N 0 1 297.358 0.738 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@]1(C)CN(CCC)CCO1 ZINC001107942503 897534974 /nfs/dbraw/zinc/53/49/74/897534974.db2.gz UDBXUUIZROFPNR-ZFWWWQNUSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCNC1=O ZINC001032443522 897575580 /nfs/dbraw/zinc/57/55/80/897575580.db2.gz QYAOMDMFELHLFE-AVGNSLFASA-N 0 1 277.368 0.374 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(N)=O)[nH]1 ZINC001032632368 897854963 /nfs/dbraw/zinc/85/49/63/897854963.db2.gz YLRUFMMBQBQXNI-QWRGUYRKSA-N 0 1 286.335 0.036 20 30 CCEDMN COCC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)COC ZINC001098844553 897858538 /nfs/dbraw/zinc/85/85/38/897858538.db2.gz HYAMETRCYKPRHG-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CC[C@H]2[C@@H]1CCN2Cc1cn[nH]c1 ZINC001272886199 898118088 /nfs/dbraw/zinc/11/80/88/898118088.db2.gz HSNLEEOXWRWQDE-MQYQWHSLSA-N 0 1 285.351 0.745 20 30 CCEDMN C[C@H](CNC(=O)c1[nH]nc2c1CCC2)NC(=O)[C@@H](C)C#N ZINC001397490181 914234962 /nfs/dbraw/zinc/23/49/62/914234962.db2.gz WLSAOYQCQGHDJP-DTWKUNHWSA-N 0 1 289.339 0.293 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001078300781 898328919 /nfs/dbraw/zinc/32/89/19/898328919.db2.gz DAUYKBVOZASUJM-IACUBPJLSA-N 0 1 292.383 0.261 20 30 CCEDMN Cc1ncccc1CN[C@@H](CO)CNC(=O)[C@@H](C)C#N ZINC001485981653 898565508 /nfs/dbraw/zinc/56/55/08/898565508.db2.gz FCRBNMQMBFNLQN-GXFFZTMASA-N 0 1 276.340 0.116 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H](C)OCC(C)C ZINC001485981482 898565770 /nfs/dbraw/zinc/56/57/70/898565770.db2.gz YWYGSFQAJSSORM-CHWSQXEVSA-N 0 1 270.373 0.138 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1ccccc1CC ZINC001486008072 898602844 /nfs/dbraw/zinc/60/28/44/898602844.db2.gz LICWISPGXJDXIE-OAHLLOKOSA-N 0 1 274.364 0.491 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1(CC)CCC1 ZINC001486028346 898618456 /nfs/dbraw/zinc/61/84/56/898618456.db2.gz SEGCKECDXKLBFL-LBPRGKRZSA-N 0 1 252.358 0.657 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1(F)CCCCC1 ZINC001486049770 898638242 /nfs/dbraw/zinc/63/82/42/898638242.db2.gz AFBSMJWEULALTJ-LBPRGKRZSA-N 0 1 270.348 0.749 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc2ccn(C)c2c1 ZINC001486052070 898638666 /nfs/dbraw/zinc/63/86/66/898638666.db2.gz XSYQFBFEFUDQDD-OAHLLOKOSA-N 0 1 299.374 0.882 20 30 CCEDMN COCC#CCN1CC[C@]2(NC(=O)COC)CCC[C@@H]12 ZINC001486135124 898679152 /nfs/dbraw/zinc/67/91/52/898679152.db2.gz JBFZCADEUNYZST-UKRRQHHQSA-N 0 1 280.368 0.396 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@]2(NC(C)=O)CCC[C@H]12 ZINC001486139079 898683923 /nfs/dbraw/zinc/68/39/23/898683923.db2.gz XFFCAJXUPWRHIJ-JSGCOSHPSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CC2(O)CCC2)[C@@H]1C ZINC001486172964 898694189 /nfs/dbraw/zinc/69/41/89/898694189.db2.gz BFUVHGDZVCXOMB-QWHCGFSZSA-N 0 1 264.369 0.894 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)CSCC#N ZINC001486325335 898788026 /nfs/dbraw/zinc/78/80/26/898788026.db2.gz MPWRJIBFVFPPSD-LBPRGKRZSA-N 0 1 283.397 0.018 20 30 CCEDMN C#CCN(C)CCN(C(=O)c1ccc(=O)n(C)c1)C(C)C ZINC001486371292 898837524 /nfs/dbraw/zinc/83/75/24/898837524.db2.gz OMDDYMPASGRZHA-UHFFFAOYSA-N 0 1 289.379 0.801 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC(N(C)C(=O)CCc2cnc[nH]2)C1 ZINC001410910816 899628352 /nfs/dbraw/zinc/62/83/52/899628352.db2.gz YQBOTONQKUAAAT-SNVBAGLBSA-N 0 1 289.339 0.171 20 30 CCEDMN N#Cc1cnn2cc(CN[C@@H]3C=C[C@H](CO)C3)cnc12 ZINC001327104694 914375824 /nfs/dbraw/zinc/37/58/24/914375824.db2.gz KNNMPKAPFVFNHJ-GXFFZTMASA-N 0 1 269.308 0.628 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)CCc2cn(C)nn2)CC1 ZINC001327173915 914404643 /nfs/dbraw/zinc/40/46/43/914404643.db2.gz VORROJCWNMNVIK-CYBMUJFWSA-N 0 1 289.383 0.352 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N[C@@H]1CCCN(CC#N)CC1 ZINC001327173751 914405679 /nfs/dbraw/zinc/40/56/79/914405679.db2.gz SPTNAFCEQLTSKZ-CYBMUJFWSA-N 0 1 266.389 0.821 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001495046437 900367488 /nfs/dbraw/zinc/36/74/88/900367488.db2.gz ZJRGIQWANNVNQV-VIFPVBQESA-N 0 1 277.328 0.396 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001495046437 900367497 /nfs/dbraw/zinc/36/74/97/900367497.db2.gz ZJRGIQWANNVNQV-VIFPVBQESA-N 0 1 277.328 0.396 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C)NC(C)=O)[C@H]1C ZINC001489246536 900453823 /nfs/dbraw/zinc/45/38/23/900453823.db2.gz CFVWZWKIDGUMRA-FOGDFJRCSA-N 0 1 253.346 0.276 20 30 CCEDMN COCC#CC[N@@H+](C)CCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001490179865 900560348 /nfs/dbraw/zinc/56/03/48/900560348.db2.gz ZJPWCWHFUBNRRO-UHFFFAOYSA-N 0 1 294.355 0.125 20 30 CCEDMN C[C@H]1C[C@H](NC(=O)C(=O)NCCCC#N)CN1C1CC1 ZINC001327274205 914456598 /nfs/dbraw/zinc/45/65/98/914456598.db2.gz FNCXSVYNXRUDRR-QWRGUYRKSA-N 0 1 278.356 0.148 20 30 CCEDMN COCC#CCN1CCC(N(C)C(=O)c2cnco2)CC1 ZINC001490415707 900624373 /nfs/dbraw/zinc/62/43/73/900624373.db2.gz YSZZSXUVBGBZEC-UHFFFAOYSA-N 0 1 291.351 0.861 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@H](C)OC)CC1 ZINC001490466613 900631495 /nfs/dbraw/zinc/63/14/95/900631495.db2.gz SRPJUOCZLAOCDG-ZDUSSCGKSA-N 0 1 269.389 0.331 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN1CCN(CCCF)CC1 ZINC001490486101 900637544 /nfs/dbraw/zinc/63/75/44/900637544.db2.gz NWSLFTKMSZTKSB-LBPRGKRZSA-N 0 1 270.352 0.239 20 30 CCEDMN COC[C@H](C)N1CCN(CCNC(=O)C#CC(C)C)CC1 ZINC001490492022 900639075 /nfs/dbraw/zinc/63/90/75/900639075.db2.gz BVFIKXCGTQQISN-HNNXBMFYSA-N 0 1 295.427 0.415 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H](OC)[C@H](C)CC)CC1 ZINC001490494624 900640433 /nfs/dbraw/zinc/64/04/33/900640433.db2.gz LXSRVNIAZSTTNL-HUUCEWRRSA-N 0 1 297.443 0.967 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CCC(=O)N1C)C1CC1 ZINC001490696024 900707291 /nfs/dbraw/zinc/70/72/91/900707291.db2.gz CXZXMVZOXKVOAL-RYUDHWBXSA-N 0 1 299.802 0.844 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC([C@@H](C)NC(=O)CN2CCCC2)C1 ZINC001411944438 901347656 /nfs/dbraw/zinc/34/76/56/901347656.db2.gz UHOCPPDDAPUSGM-VXGBXAGGSA-N 0 1 292.383 0.205 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCCN([C@@H](C)C(N)=O)CC1 ZINC001327111076 902034637 /nfs/dbraw/zinc/03/46/37/902034637.db2.gz NWKGBKUMFZHSAV-RYUDHWBXSA-N 0 1 267.373 0.797 20 30 CCEDMN COc1cncc(CN[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001490878752 902224417 /nfs/dbraw/zinc/22/44/17/902224417.db2.gz RNQWOAKOVFGBCO-GMTAPVOTSA-N 0 1 289.339 0.382 20 30 CCEDMN CCN1CC[C@@H]1C(=O)N1CCC(C#N)(C(=O)OC)CC1 ZINC001412942791 902381946 /nfs/dbraw/zinc/38/19/46/902381946.db2.gz ZZJUBOCRTAKBRK-LLVKDONJSA-N 0 1 279.340 0.386 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cccc(=O)n1C ZINC001038686529 902652189 /nfs/dbraw/zinc/65/21/89/902652189.db2.gz GISMAGFAUTUQIG-LBPRGKRZSA-N 0 1 273.336 0.213 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001413466783 902973102 /nfs/dbraw/zinc/97/31/02/902973102.db2.gz HJBDINLHKXMOFT-MRVPVSSYSA-N 0 1 271.284 0.040 20 30 CCEDMN N#Cc1ccc(C(=O)NC2(c3nn[nH]n3)CCC2)s1 ZINC001413512478 903004461 /nfs/dbraw/zinc/00/44/61/903004461.db2.gz WLVSSXFTTHMACY-UHFFFAOYSA-N 0 1 274.309 0.942 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H]1C[C@H](NCC#N)C1 ZINC001490934592 903264252 /nfs/dbraw/zinc/26/42/52/903264252.db2.gz OKVQOKKOJRFGNJ-DCAQKATOSA-N 0 1 261.329 0.349 20 30 CCEDMN CC#CCCCC(=O)NCCNC(=O)CN1CCCC1 ZINC001491319639 903496832 /nfs/dbraw/zinc/49/68/32/903496832.db2.gz IPQPAPGDUQDVMN-UHFFFAOYSA-N 0 1 279.384 0.508 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCNC(=O)CN1CCCC1 ZINC001491319637 903497417 /nfs/dbraw/zinc/49/74/17/903497417.db2.gz INURCSKWPTUVIJ-OAHLLOKOSA-N 0 1 281.400 0.917 20 30 CCEDMN COc1ccnc(CN[C@H](C)CNC(=O)[C@H](C)C#N)c1 ZINC001491413180 903562748 /nfs/dbraw/zinc/56/27/48/903562748.db2.gz HCQZJIWHCPMTMJ-GHMZBOCLSA-N 0 1 276.340 0.844 20 30 CCEDMN C[C@H](CNC(=O)c1ccc(C#N)[nH]1)NCc1ccn(C)n1 ZINC001491431226 903581059 /nfs/dbraw/zinc/58/10/59/903581059.db2.gz JJCUPTLNOMEDCX-SNVBAGLBSA-N 0 1 286.339 0.528 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@H](C)N(C)CC#N ZINC001329575722 903811306 /nfs/dbraw/zinc/81/13/06/903811306.db2.gz VZOGUSHOGLRYTD-QWRGUYRKSA-N 0 1 263.345 0.548 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CNC(=O)C2CCC2)C1 ZINC001211338097 903835081 /nfs/dbraw/zinc/83/50/81/903835081.db2.gz WPMACDBXCPBDNE-TZMCWYRMSA-N 0 1 291.395 0.363 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N(C)CCCN(C)CC#N ZINC001280863646 903910040 /nfs/dbraw/zinc/91/00/40/903910040.db2.gz DRMNBEMSZRUHIA-GFCCVEGCSA-N 0 1 277.372 0.892 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@H]2C[C@H]2OCC)CC1 ZINC001281799618 903980493 /nfs/dbraw/zinc/98/04/93/903980493.db2.gz YETDPEDNFFAFFO-UONOGXRCSA-N 0 1 294.395 0.378 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H]1CCC1(C)C ZINC001331773091 904015002 /nfs/dbraw/zinc/01/50/02/904015002.db2.gz TWFLDUKYQXOHIF-VXGBXAGGSA-N 0 1 252.358 0.513 20 30 CCEDMN Cc1ncc(C(=O)NCC#CCN(C)C)c(C2CC2)n1 ZINC001332229101 904085962 /nfs/dbraw/zinc/08/59/62/904085962.db2.gz QNNIDUPOCFUCLK-UHFFFAOYSA-N 0 1 272.352 0.957 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@]1(F)CCOC1 ZINC001316607665 904180300 /nfs/dbraw/zinc/18/03/00/904180300.db2.gz TWBFPFZBSHBNMY-TZMCWYRMSA-N 0 1 268.332 0.671 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)[C@@H]1C ZINC001281129499 904231199 /nfs/dbraw/zinc/23/11/99/904231199.db2.gz GFBZCOZAUIASSL-QWRGUYRKSA-N 0 1 289.339 0.327 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)[C@@H]1C ZINC001281129499 904231212 /nfs/dbraw/zinc/23/12/12/904231212.db2.gz GFBZCOZAUIASSL-QWRGUYRKSA-N 0 1 289.339 0.327 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@@H](C)CNC(=O)C2CC2)C1=O ZINC001282346795 904454353 /nfs/dbraw/zinc/45/43/53/904454353.db2.gz VYXGZUZNPYFFEB-WCQYABFASA-N 0 1 279.384 0.620 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)Cc1cnn2c1CCCC2 ZINC001282401863 904470699 /nfs/dbraw/zinc/47/06/99/904470699.db2.gz KFAOPFFYYNBJBI-CYBMUJFWSA-N 0 1 288.395 0.832 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1coc(OC)n1 ZINC001282433557 904473853 /nfs/dbraw/zinc/47/38/53/904473853.db2.gz NAYSWVPQUBXLHJ-VIFPVBQESA-N 0 1 251.286 0.367 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H](CC)N1CCCC1=O ZINC001282493719 904490605 /nfs/dbraw/zinc/49/06/05/904490605.db2.gz ITWBCRMUKFMROY-CHWSQXEVSA-N 0 1 279.384 0.457 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H](C)N(C)[C@@H]1CCCNC1=O ZINC001282504940 904496470 /nfs/dbraw/zinc/49/64/70/904496470.db2.gz UNTCDWAZDDRWBO-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H](O)C(C)C ZINC001282667981 904516799 /nfs/dbraw/zinc/51/67/99/904516799.db2.gz TWHYPIKBOCTNDQ-UONOGXRCSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](C)NC(C)=O)C2)C1 ZINC001282953166 904632062 /nfs/dbraw/zinc/63/20/62/904632062.db2.gz GNTIADGDFIXSPN-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@H](CCCNCc1ccn(C)n1)NC(=O)[C@@H](C)C#N ZINC001283144765 904708871 /nfs/dbraw/zinc/70/88/71/904708871.db2.gz BHWHSKJAGPGBLK-NWDGAFQWSA-N 0 1 277.372 0.954 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CC1=CCCCC1 ZINC001283747197 904989290 /nfs/dbraw/zinc/98/92/90/904989290.db2.gz SFJBXBXRCGLPEC-AWEZNQCLSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H]1CCC1(F)F ZINC001283770975 905006400 /nfs/dbraw/zinc/00/64/00/905006400.db2.gz GQMDSRVMPZDPHD-VHSXEESVSA-N 0 1 260.284 0.122 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001283785188 905016083 /nfs/dbraw/zinc/01/60/83/905016083.db2.gz RCPAXHSBAGMPOG-XQLPTFJDSA-N 0 1 278.396 0.903 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1c[nH]c2ccccc12 ZINC001283824136 905042604 /nfs/dbraw/zinc/04/26/04/905042604.db2.gz KFCLLFLJMUCXCC-CYBMUJFWSA-N 0 1 285.347 0.410 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001377708890 905113609 /nfs/dbraw/zinc/11/36/09/905113609.db2.gz QEGJOJPQJHTRGC-TUAOUCFPSA-N 0 1 299.802 0.689 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)CCc2c[nH]nn2)C1 ZINC001284002038 905114513 /nfs/dbraw/zinc/11/45/13/905114513.db2.gz ZGRNFSYLRKKHQV-LBPRGKRZSA-N 0 1 291.355 0.421 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001284002038 905114525 /nfs/dbraw/zinc/11/45/25/905114525.db2.gz ZGRNFSYLRKKHQV-LBPRGKRZSA-N 0 1 291.355 0.421 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H](C)OC)CCC[C@@H]12 ZINC001284043391 905130788 /nfs/dbraw/zinc/13/07/88/905130788.db2.gz AZMVONSTIPCQQK-YRGRVCCFSA-N 0 1 250.342 0.768 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)C[C@H](O)CNCc1nccn1C ZINC001284436506 905295885 /nfs/dbraw/zinc/29/58/85/905295885.db2.gz STFBUGUERJNDQY-GFCCVEGCSA-N 0 1 294.399 0.541 20 30 CCEDMN C#CCCCCC(=O)NCCN(C)C(=O)c1ccn[nH]1 ZINC001284513500 905347844 /nfs/dbraw/zinc/34/78/44/905347844.db2.gz JQXNKWFERPICAL-UHFFFAOYSA-N 0 1 276.340 0.792 20 30 CCEDMN CC(C)C#CC(=O)NCCN(C)C(=O)[C@@H]1CCCCN1C ZINC001284518819 905353493 /nfs/dbraw/zinc/35/34/93/905353493.db2.gz MRWLNJVUAZRRLJ-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN CNC(=O)CN1CCC([C@@H](C)NC(=O)C#CC2CC2)CC1 ZINC001284684342 905416715 /nfs/dbraw/zinc/41/67/15/905416715.db2.gz OHOPKSWUQMQJJH-GFCCVEGCSA-N 0 1 291.395 0.363 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCC=CCNC(=O)c1cnn[nH]1 ZINC001285584989 905679472 /nfs/dbraw/zinc/67/94/72/905679472.db2.gz FUBOMPDWWCVZDC-AFNCTOJWSA-N 0 1 291.355 0.809 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)NC(=O)CCc1c[nH]nn1 ZINC001285697019 905722239 /nfs/dbraw/zinc/72/22/39/905722239.db2.gz KOHVFEDJQBFGPB-SNVBAGLBSA-N 0 1 279.344 0.324 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)NC(=O)CCc1cnn[nH]1 ZINC001285697019 905722247 /nfs/dbraw/zinc/72/22/47/905722247.db2.gz KOHVFEDJQBFGPB-SNVBAGLBSA-N 0 1 279.344 0.324 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001285787415 905766040 /nfs/dbraw/zinc/76/60/40/905766040.db2.gz URMMCFOBAUIGBA-NSHDSACASA-N 0 1 276.340 0.233 20 30 CCEDMN CCn1cc(CN[C@@H](CNC(=O)[C@H](C)C#N)C(C)C)nn1 ZINC001378958579 905900755 /nfs/dbraw/zinc/90/07/55/905900755.db2.gz CBLLOQUHTCQSLZ-YPMHNXCESA-N 0 1 292.387 0.688 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H](C)NC(C)=O)CC1 ZINC001287636986 905940940 /nfs/dbraw/zinc/94/09/40/905940940.db2.gz ISFJDDYRJWPJEX-GFCCVEGCSA-N 0 1 279.384 0.315 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CNC(=O)[C@H](C)C#N ZINC001379192124 906020363 /nfs/dbraw/zinc/02/03/63/906020363.db2.gz VVTRRBIERHNDEW-VXNVDRBHSA-N 0 1 290.161 0.121 20 30 CCEDMN C=C(C)CONC(=O)NCc1n[nH]c(COC)n1 ZINC001333766240 906100649 /nfs/dbraw/zinc/10/06/49/906100649.db2.gz HEUMZZQQOCNDAA-UHFFFAOYSA-N 0 1 255.278 0.258 20 30 CCEDMN C=C(C)CONC(=O)NCc1nnc(COC)[nH]1 ZINC001333766240 906100651 /nfs/dbraw/zinc/10/06/51/906100651.db2.gz HEUMZZQQOCNDAA-UHFFFAOYSA-N 0 1 255.278 0.258 20 30 CCEDMN CN1CC(C(=O)NCC#Cc2ccccc2)=NC1=O ZINC001290474826 906125872 /nfs/dbraw/zinc/12/58/72/906125872.db2.gz GWEAILSKCXBDQQ-UHFFFAOYSA-N 0 1 255.277 0.907 20 30 CCEDMN C=C(C)CCC(=O)N(C)CCCNC(=O)c1ncn[nH]1 ZINC001294245904 906574821 /nfs/dbraw/zinc/57/48/21/906574821.db2.gz KNULUGMMAHQARV-UHFFFAOYSA-N 0 1 279.344 0.739 20 30 CCEDMN C=C(C)CCC(=O)N(C)CCCNC(=O)c1nc[nH]n1 ZINC001294245904 906574824 /nfs/dbraw/zinc/57/48/24/906574824.db2.gz KNULUGMMAHQARV-UHFFFAOYSA-N 0 1 279.344 0.739 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](NC(=O)CN2CCCC2)C1 ZINC001294750150 906632908 /nfs/dbraw/zinc/63/29/08/906632908.db2.gz YYVFSETYQZUNSW-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)[C@H]2CCOC2)CCO1 ZINC001380902124 906987991 /nfs/dbraw/zinc/98/79/91/906987991.db2.gz JMKXSMFZUMZKDP-RYUDHWBXSA-N 0 1 288.775 0.592 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCCN(CC(=O)NC2CC2)CC1 ZINC001380927519 906990115 /nfs/dbraw/zinc/99/01/15/906990115.db2.gz RIEBPOWDKPYICV-RYUDHWBXSA-N 0 1 292.383 0.395 20 30 CCEDMN CCCNC(=O)CN(C)CCCN(C)C(=O)[C@H](C)C#N ZINC001380951027 906995606 /nfs/dbraw/zinc/99/56/06/906995606.db2.gz YFOQYGQPRUNCEI-GFCCVEGCSA-N 0 1 282.388 0.453 20 30 CCEDMN C#CCCCC(=O)N[C@H](CC)CNC(=O)Cc1cnc[nH]1 ZINC001297497200 907084880 /nfs/dbraw/zinc/08/48/80/907084880.db2.gz ZDNJDLZUCVKYPK-GFCCVEGCSA-N 0 1 290.367 0.767 20 30 CCEDMN N#CCC[C@@H](C#N)CNCC[C@H]1CCCS1(=O)=O ZINC001337779369 921292786 /nfs/dbraw/zinc/29/27/86/921292786.db2.gz IXADEFYPLDKWIJ-NWDGAFQWSA-N 0 1 269.370 0.987 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001298845786 907340033 /nfs/dbraw/zinc/34/00/33/907340033.db2.gz YBRUIBDVPJFYMC-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001298845786 907340046 /nfs/dbraw/zinc/34/00/46/907340046.db2.gz YBRUIBDVPJFYMC-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@@H](C)NCC#N ZINC001491681700 907495321 /nfs/dbraw/zinc/49/53/21/907495321.db2.gz GOQCUDNDTPYZGK-VXGBXAGGSA-N 0 1 252.362 0.479 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CCN(C(=O)c2[nH]nnc2C)C1 ZINC001491868414 907617561 /nfs/dbraw/zinc/61/75/61/907617561.db2.gz JGGVMRHRTXJGJB-LLVKDONJSA-N 0 1 291.355 0.800 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CN(C)C(C)=O)[C@@H]1C ZINC001382286479 907663312 /nfs/dbraw/zinc/66/33/12/907663312.db2.gz ZSUCTUYVBGISCO-PWSUYJOCSA-N 0 1 287.791 0.796 20 30 CCEDMN C#CCN(CC#C)c1nnc(-c2nnc(CCC)[nH]2)n1C ZINC001338021231 921341873 /nfs/dbraw/zinc/34/18/73/921341873.db2.gz UYSNUSXWCOTWTC-UHFFFAOYSA-N 0 1 283.339 0.626 20 30 CCEDMN C#CCN(CC#C)c1nnc(-c2nc(CCC)n[nH]2)n1C ZINC001338021231 921341879 /nfs/dbraw/zinc/34/18/79/921341879.db2.gz UYSNUSXWCOTWTC-UHFFFAOYSA-N 0 1 283.339 0.626 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCN(C)CCn1cncn1 ZINC001492370740 907878818 /nfs/dbraw/zinc/87/88/18/907878818.db2.gz XCZYOJQOYWQMRE-UHFFFAOYSA-N 0 1 279.388 0.928 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(CC)[nH]c(=O)c1 ZINC001492346839 907900579 /nfs/dbraw/zinc/90/05/79/907900579.db2.gz XOHHEWORPCYUFV-UHFFFAOYSA-N 0 1 261.325 0.644 20 30 CCEDMN CCN(C(=O)C(=O)N1CCC[C@@H](C#N)C1)[C@@H]1CCN(C)C1 ZINC001338142838 921379006 /nfs/dbraw/zinc/37/90/06/921379006.db2.gz KZOXYWKULWQHML-QWHCGFSZSA-N 0 1 292.383 0.301 20 30 CCEDMN CN(CC#N)CCCNC(=O)[C@@H]1CCCCN1C ZINC001316841315 908184527 /nfs/dbraw/zinc/18/45/27/908184527.db2.gz MFYJPBTVJZKNAM-LBPRGKRZSA-N 0 1 252.362 0.432 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001304051234 908137380 /nfs/dbraw/zinc/13/73/80/908137380.db2.gz YXOXIYFVPIVJQG-CQSZACIVSA-N 0 1 295.339 0.524 20 30 CCEDMN CC#CC[N@@H+](C)CCCNC(=O)c1ccn2nnnc2c1 ZINC001316840847 908178043 /nfs/dbraw/zinc/17/80/43/908178043.db2.gz WCQAAGMCTHHVTK-UHFFFAOYSA-N 0 1 286.339 0.199 20 30 CCEDMN C=CCn1c(CO)nnc1N1CCN(CC2CC2)CC1 ZINC001339042077 908244648 /nfs/dbraw/zinc/24/46/48/908244648.db2.gz AEIFDXMEMJBZPB-UHFFFAOYSA-N 0 1 277.372 0.488 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CC(=O)N([C@H](C)CCC)C1 ZINC001317472097 908338366 /nfs/dbraw/zinc/33/83/66/908338366.db2.gz VJTVZUGNXQPGMM-KGLIPLIRSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H](C)n1cncn1 ZINC001317495478 908523789 /nfs/dbraw/zinc/52/37/89/908523789.db2.gz ITKRXBBKWXMUTL-QWHCGFSZSA-N 0 1 275.356 0.443 20 30 CCEDMN CCCCOCCNC(=O)NCC#CCN(C)C ZINC001311734680 908565183 /nfs/dbraw/zinc/56/51/83/908565183.db2.gz KZNNWYABJXJDIC-UHFFFAOYSA-N 0 1 255.362 0.667 20 30 CCEDMN CN(C)CC#CCNC(=O)NOCCC(F)(F)F ZINC001312561803 908610179 /nfs/dbraw/zinc/61/01/79/908610179.db2.gz SUUUGZBKFXJEHX-UHFFFAOYSA-N 0 1 267.251 0.735 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@]1(O)CCCC1(C)C ZINC001313439536 908665044 /nfs/dbraw/zinc/66/50/44/908665044.db2.gz NAPDODPQCAYISO-HNNXBMFYSA-N 0 1 281.400 0.792 20 30 CCEDMN CC[C@@](C)(CCO)NC(=O)NCC#CCN(C)C ZINC001313438143 908666035 /nfs/dbraw/zinc/66/60/35/908666035.db2.gz HXVGTQJMUQHZLD-ZDUSSCGKSA-N 0 1 255.362 0.402 20 30 CCEDMN Cc1noc(C2CN(C(=O)NCC#CCN(C)C)C2)n1 ZINC001313439781 908666733 /nfs/dbraw/zinc/66/67/33/908666733.db2.gz SKAWKMFECYXQDC-UHFFFAOYSA-N 0 1 277.328 0.052 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC[C@@]1(C)CCCO ZINC001313439707 908666997 /nfs/dbraw/zinc/66/69/97/908666997.db2.gz QHHAKPCLTFHLOA-HNNXBMFYSA-N 0 1 281.400 0.888 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)[C@H]1CCCOC1 ZINC001316760814 908681078 /nfs/dbraw/zinc/68/10/78/908681078.db2.gz JBSAUVLSGIGGRC-HNNXBMFYSA-N 0 1 296.411 0.843 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H](C)C2CC2)CC1 ZINC001316963113 908702072 /nfs/dbraw/zinc/70/20/72/908702072.db2.gz GCYOHLQUCZEROB-CYBMUJFWSA-N 0 1 265.401 0.952 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H](CC)[NH+](C)C)CC1 ZINC001316971261 908706316 /nfs/dbraw/zinc/70/63/16/908706316.db2.gz BUPYFVJMICUKPO-CQSZACIVSA-N 0 1 282.432 0.246 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1c(C)cnn1C ZINC001328753118 908710081 /nfs/dbraw/zinc/71/00/81/908710081.db2.gz OVFUJGWPSCBBDT-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](CNC(=O)[C@@H](F)CC)C1 ZINC001317140625 908750469 /nfs/dbraw/zinc/75/04/69/908750469.db2.gz UYXSYSISZKYFFF-STQMWFEESA-N 0 1 299.390 0.865 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2cc(C)no2)CC1 ZINC001316963490 908876084 /nfs/dbraw/zinc/87/60/84/908876084.db2.gz ULPHRLSLRQGQFK-UHFFFAOYSA-N 0 1 292.383 0.907 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](CNC(=O)CCCC)C1 ZINC001317133482 909003904 /nfs/dbraw/zinc/00/39/04/909003904.db2.gz LXNDCPWOOVMESJ-AWEZNQCLSA-N 0 1 293.411 0.754 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)NCc1cnoc1C ZINC001317229561 909062494 /nfs/dbraw/zinc/06/24/94/909062494.db2.gz PHWGMZORQBPAOH-SNVBAGLBSA-N 0 1 267.329 0.780 20 30 CCEDMN C#CCN(C)CCNC(=O)CN1CCCCCCC1=O ZINC001317449221 909219446 /nfs/dbraw/zinc/21/94/46/909219446.db2.gz YAFNLJXQEQEXEG-UHFFFAOYSA-N 0 1 279.384 0.460 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ncc(OC)cc1F ZINC001317447883 909221196 /nfs/dbraw/zinc/22/11/96/909221196.db2.gz CAVFFSAMSJKPLP-UHFFFAOYSA-N 0 1 265.288 0.524 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H](C)NC(=O)CC(C)(C)C ZINC001317464955 909244580 /nfs/dbraw/zinc/24/45/80/909244580.db2.gz DJROFJBQRAFAEV-LBPRGKRZSA-N 0 1 281.400 0.609 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCC[N@@H+]1CCOCC ZINC001317498349 909266823 /nfs/dbraw/zinc/26/68/23/909266823.db2.gz HTJGRNKQBPFIKX-DZGCQCFKSA-N 0 1 284.400 0.931 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1ccn(C)c1 ZINC001317496372 909267434 /nfs/dbraw/zinc/26/74/34/909267434.db2.gz MZSFXVSIMRFOIX-OAHLLOKOSA-N 0 1 289.379 0.869 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)c1ccn(C)c1 ZINC001317496372 909267447 /nfs/dbraw/zinc/26/74/47/909267447.db2.gz MZSFXVSIMRFOIX-OAHLLOKOSA-N 0 1 289.379 0.869 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN([C@H](C)C(N)=O)C1 ZINC001317530407 909296938 /nfs/dbraw/zinc/29/69/38/909296938.db2.gz WXVFQACGELCOQL-VXGBXAGGSA-N 0 1 281.400 0.901 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN([C@H]3CCNC3=O)C2)C1 ZINC001317531075 909297049 /nfs/dbraw/zinc/29/70/49/909297049.db2.gz PWBUXWRQODRSFG-OLZOCXBDSA-N 0 1 291.395 0.669 20 30 CCEDMN CC#CCN(CCNC(=O)c1cnn2ncccc12)C1CC1 ZINC001317552867 909322284 /nfs/dbraw/zinc/32/22/84/909322284.db2.gz XUKMNGKAYUTWKG-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCNC(=O)CN1CCC(C)CC1 ZINC001388013735 909344970 /nfs/dbraw/zinc/34/49/70/909344970.db2.gz SZGIFYHXVUTMFT-ZDUSSCGKSA-N 0 1 294.399 0.453 20 30 CCEDMN N#CCOc1cccc(CNC(=O)CCc2c[nH]nn2)c1 ZINC001417641945 921488081 /nfs/dbraw/zinc/48/80/81/921488081.db2.gz PQBFOIBTIDGTSY-UHFFFAOYSA-N 0 1 285.307 0.956 20 30 CCEDMN N#CCOc1cccc(CNC(=O)CCc2cnn[nH]2)c1 ZINC001417641945 921488091 /nfs/dbraw/zinc/48/80/91/921488091.db2.gz PQBFOIBTIDGTSY-UHFFFAOYSA-N 0 1 285.307 0.956 20 30 CCEDMN Cc1cc(CNC(=O)CNc2ccc(C#N)cn2)n[nH]1 ZINC001417642615 921488783 /nfs/dbraw/zinc/48/87/83/921488783.db2.gz FMTZHENJNKMPJS-UHFFFAOYSA-N 0 1 270.296 0.713 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)CS(=O)(=O)CCC ZINC001317659974 909454021 /nfs/dbraw/zinc/45/40/21/909454021.db2.gz CPTBYXQPHSOGBK-UHFFFAOYSA-N 0 1 296.820 0.612 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H]2CN(C)CCO2)C1 ZINC001317753728 909481651 /nfs/dbraw/zinc/48/16/51/909481651.db2.gz IRYMJRVZIYQLKN-LSDHHAIUSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCN1CC[C@@H](N(CCC)C(=O)c2cn[nH]c(=O)c2)C1 ZINC001317761197 909486100 /nfs/dbraw/zinc/48/61/00/909486100.db2.gz GVUUGHNURSNHKF-CYBMUJFWSA-N 0 1 288.351 0.742 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1CN(CCN2CCNC2=O)C1 ZINC001318140615 909640544 /nfs/dbraw/zinc/64/05/44/909640544.db2.gz VFFSBWPJWPBYIH-UHFFFAOYSA-N 0 1 294.399 0.272 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001318247748 909672880 /nfs/dbraw/zinc/67/28/80/909672880.db2.gz JHPKXVPFDKRLHE-JSGCOSHPSA-N 0 1 250.342 0.361 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@@H](OC)C2CC2)C1 ZINC001318328976 909709057 /nfs/dbraw/zinc/70/90/57/909709057.db2.gz DFJSNWFEXRBJOP-KGLIPLIRSA-N 0 1 282.384 0.805 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCOC[C@@H]2CCCO2)C1 ZINC001318341723 909715475 /nfs/dbraw/zinc/71/54/75/909715475.db2.gz HBXCWSNUTASOMK-KGLIPLIRSA-N 0 1 280.368 0.396 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2ccnc(C)n2)C1 ZINC001318347345 909716039 /nfs/dbraw/zinc/71/60/39/909716039.db2.gz WANRVSHCPCXXFS-OAHLLOKOSA-N 0 1 286.379 0.931 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CCN(CCOCCO)C2)CCC1 ZINC001318355229 909722681 /nfs/dbraw/zinc/72/26/81/909722681.db2.gz AHZGAULPTWTNHY-CQSZACIVSA-N 0 1 296.411 0.932 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)NC(C)=O)C1 ZINC001318452829 909765307 /nfs/dbraw/zinc/76/53/07/909765307.db2.gz IEIAWXMCINQBSK-TZMCWYRMSA-N 0 1 279.384 0.457 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)CCCC(N)=O)C1 ZINC001318454939 909765325 /nfs/dbraw/zinc/76/53/25/909765325.db2.gz YTLKJSMYHKQBAY-NSHDSACASA-N 0 1 253.346 0.361 20 30 CCEDMN C[C@@](CNCC#N)(NC(=O)CCc1nc[nH]n1)C1CC1 ZINC001318690180 909863019 /nfs/dbraw/zinc/86/30/19/909863019.db2.gz PNSFQALEQQXAFC-ZDUSSCGKSA-N 0 1 276.344 0.135 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CN(C(=O)c2[nH]nc3ccccc32)C1 ZINC001389408451 909879365 /nfs/dbraw/zinc/87/93/65/909879365.db2.gz SQOCILUGNRIZSV-VIFPVBQESA-N 0 1 297.318 0.663 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N1CC[C@@](C)(NC(=O)[C@H](C)C#N)C1 ZINC001390232979 910286381 /nfs/dbraw/zinc/28/63/81/910286381.db2.gz DCYDETVFHOVIRN-IUODEOHRSA-N 0 1 294.399 0.595 20 30 CCEDMN C[C@@H](NS(=O)(=O)CCCCC#N)c1nnc[nH]1 ZINC001319810357 910307271 /nfs/dbraw/zinc/30/72/71/910307271.db2.gz HLIRMTLAUMHZLI-MRVPVSSYSA-N 0 1 257.319 0.479 20 30 CCEDMN CC(C)c1nc(CN[C@@H](C)CNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001390340797 910361114 /nfs/dbraw/zinc/36/11/14/910361114.db2.gz MTZMHNOVLWUPIQ-UWVGGRQHSA-N 0 1 278.360 0.682 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H]1C[C@@H]1C ZINC001319970530 910382426 /nfs/dbraw/zinc/38/24/26/910382426.db2.gz VLORSLXXUXQUIL-STQMWFEESA-N 0 1 252.358 0.682 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](NC(C)=O)[C@@H](C)CC ZINC001320085915 910458846 /nfs/dbraw/zinc/45/88/46/910458846.db2.gz STPUYCHZQGUXLM-ZFWWWQNUSA-N 0 1 295.427 0.951 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](O)CNCc1cnoc1C ZINC001320226733 910541039 /nfs/dbraw/zinc/54/10/39/910541039.db2.gz GFHVAYPOSVZZHO-CYBMUJFWSA-N 0 1 281.356 0.906 20 30 CCEDMN C#C[C@H](NCc1c(C)nn(CCO)c1C)[C@@H]1CCCO1 ZINC001320701112 910810750 /nfs/dbraw/zinc/81/07/50/910810750.db2.gz SLNMRXOAEYVKNS-GJZGRUSLSA-N 0 1 277.368 0.763 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)C1CC(C)(C)C1 ZINC001321045519 911044610 /nfs/dbraw/zinc/04/46/10/911044610.db2.gz WHFFSXNCNDJMFE-AATRIKPKSA-N 0 1 291.395 0.434 20 30 CCEDMN CNC(=O)CNCc1ccc(CNC(=O)[C@H](C)C#N)cc1 ZINC001391829648 911415888 /nfs/dbraw/zinc/41/58/88/911415888.db2.gz UTKJNZDEDNYDJC-LLVKDONJSA-N 0 1 288.351 0.298 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C1CS(=O)(=O)C1 ZINC001392069187 911559657 /nfs/dbraw/zinc/55/96/57/911559657.db2.gz ZCLMSVVWZZHBPR-SECBINFHSA-N 0 1 294.804 0.220 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)COCCOC ZINC001322129906 911669566 /nfs/dbraw/zinc/66/95/66/911669566.db2.gz LTHGUCAMMOZXQB-GFCCVEGCSA-N 0 1 256.346 0.109 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1CCCN(C(C)=O)C1 ZINC001322198012 911707580 /nfs/dbraw/zinc/70/75/80/911707580.db2.gz ZBPLGJXNDWBMLX-JSGCOSHPSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H](C)Oc1ccccn1 ZINC001322282218 911741316 /nfs/dbraw/zinc/74/13/16/911741316.db2.gz WLCPRIMUCNLICN-CHWSQXEVSA-N 0 1 275.352 0.919 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cnn(CCOC)c1 ZINC001322285199 911747057 /nfs/dbraw/zinc/74/70/57/911747057.db2.gz LLBBYNMORPBGRI-ZDUSSCGKSA-N 0 1 292.383 0.603 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc(C(N)=O)ccn1 ZINC001322311456 911761924 /nfs/dbraw/zinc/76/19/24/911761924.db2.gz BMZVBAMPUXSOBC-LLVKDONJSA-N 0 1 288.351 0.254 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc(C)nnc1C ZINC001392376586 911769703 /nfs/dbraw/zinc/76/97/03/911769703.db2.gz NRQFYGMDKONMRV-NSHDSACASA-N 0 1 298.774 0.526 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC(OCC(N)=O)CC1 ZINC001322386260 911783365 /nfs/dbraw/zinc/78/33/65/911783365.db2.gz QMFBHJRAVDTDFZ-UHFFFAOYSA-N 0 1 295.383 0.153 20 30 CCEDMN CC(C)OCCN1CCN(CCNC(=O)[C@H](C)C#N)CC1 ZINC001417916938 921702874 /nfs/dbraw/zinc/70/28/74/921702874.db2.gz JXLVTAIXUMJMSD-CQSZACIVSA-N 0 1 296.415 0.305 20 30 CCEDMN C#CCSCCNC(=O)C(=O)N1CCC[N@H+](CC)CC1 ZINC001323045342 912088259 /nfs/dbraw/zinc/08/82/59/912088259.db2.gz MLQXNRQTBMGPQG-UHFFFAOYSA-N 0 1 297.424 0.023 20 30 CCEDMN C#CCSCCNC(=O)C(=O)N1CCCN(CC)CC1 ZINC001323045342 912088267 /nfs/dbraw/zinc/08/82/67/912088267.db2.gz MLQXNRQTBMGPQG-UHFFFAOYSA-N 0 1 297.424 0.023 20 30 CCEDMN Cc1c(CCC(=O)NC2(C#N)CCN(C)CC2)cnn1C ZINC001323051174 912090132 /nfs/dbraw/zinc/09/01/32/912090132.db2.gz FHSHOLGRRYKHAG-UHFFFAOYSA-N 0 1 289.383 0.765 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC(C)(NCC#N)CC1 ZINC001328006709 914919298 /nfs/dbraw/zinc/91/92/98/914919298.db2.gz IJVDKKJZOWDJEH-UHFFFAOYSA-N 0 1 262.317 0.221 20 30 CCEDMN C=C(C)CN(CC)Cc1cc(=O)n2nc(N)[nH]c2n1 ZINC001328556138 915276118 /nfs/dbraw/zinc/27/61/18/915276118.db2.gz GRPVTXSXZLFOOZ-UHFFFAOYSA-N 0 1 262.317 0.398 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CNC(=O)C(C)(C)C ZINC001328783973 915433167 /nfs/dbraw/zinc/43/31/67/915433167.db2.gz AJYNDSFRIRUNGM-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCn1c(N2CCN(CC)CC2)nnc1C1(O)CC1 ZINC001339611770 921778262 /nfs/dbraw/zinc/77/82/62/921778262.db2.gz VQAXYYCIAYVLKU-UHFFFAOYSA-N 0 1 277.372 0.587 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cn2c(n1)COCC2 ZINC001329475009 915950269 /nfs/dbraw/zinc/95/02/69/915950269.db2.gz RTLPADFUTUCTGY-LLVKDONJSA-N 0 1 276.340 0.097 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cn(CCC)nn1 ZINC001329587483 916039992 /nfs/dbraw/zinc/03/99/92/916039992.db2.gz HYBSRBGVJVLOOE-LBPRGKRZSA-N 0 1 277.372 0.762 20 30 CCEDMN C=C(Cl)C[NH2+]C[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001401509750 916297343 /nfs/dbraw/zinc/29/73/43/916297343.db2.gz ZYYLXDFDIAVGJU-SECBINFHSA-N 0 1 285.731 0.220 20 30 CCEDMN C[C@]1(C#N)CCCN(C(=O)C(=O)N2CC[C@@H](F)[C@H](N)C2)C1 ZINC001329946196 916300765 /nfs/dbraw/zinc/30/07/65/916300765.db2.gz UBUQXPBQPWJVFV-JTNHKYCSSA-N 0 1 296.346 0.036 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCc2nc[nH]c2C12CCOCC2 ZINC001329964497 916315794 /nfs/dbraw/zinc/31/57/94/916315794.db2.gz AJDMSDBLLCAPCW-LLVKDONJSA-N 0 1 277.324 0.347 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)CNC(=O)CC)C1 ZINC001329972866 916325455 /nfs/dbraw/zinc/32/54/55/916325455.db2.gz SNSKMQWPJUOARD-SNVBAGLBSA-N 0 1 253.346 0.135 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCc2cnccn2)C1 ZINC001330068931 916397854 /nfs/dbraw/zinc/39/78/54/916397854.db2.gz CMFGSTBPYBMHQQ-CYBMUJFWSA-N 0 1 286.379 0.869 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cn(C)nc1OC ZINC001401701088 916495272 /nfs/dbraw/zinc/49/52/72/916495272.db2.gz PUUPTQZUPYHMQO-VIFPVBQESA-N 0 1 286.763 0.889 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1[C@H]2CN(CC(=O)NC)C[C@H]21 ZINC001330327433 916554775 /nfs/dbraw/zinc/55/47/75/916554775.db2.gz KUSRWUQQECQSIH-JFILPPLUSA-N 0 1 293.411 0.629 20 30 CCEDMN N#CC1(NC(=O)Cc2nnc[nH]2)CCSCC1 ZINC001330372534 916587402 /nfs/dbraw/zinc/58/74/02/916587402.db2.gz RITSYQYTCLQUOW-UHFFFAOYSA-N 0 1 251.315 0.253 20 30 CCEDMN C#CCN(C)C(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001330561007 916699739 /nfs/dbraw/zinc/69/97/39/916699739.db2.gz MBCASFZGCJWKPW-UHFFFAOYSA-N 0 1 277.328 0.473 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)N[C@@H]1CC[N@H+](CCC)C1 ZINC001330575321 916711410 /nfs/dbraw/zinc/71/14/10/916711410.db2.gz CEHYLUWVUKEZRF-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C[C@@H]1CCNC1=O ZINC001402050686 916776113 /nfs/dbraw/zinc/77/61/13/916776113.db2.gz HUTYYEVAECLSDO-RYUDHWBXSA-N 0 1 299.802 0.846 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@]1(O)CCN(C/C=C/Cl)C1 ZINC001402272109 916917229 /nfs/dbraw/zinc/91/72/29/916917229.db2.gz WXTTVXAFRINPAC-DHAXOIOKSA-N 0 1 271.748 0.452 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CCNC(=O)C1)C1CC1 ZINC001402296857 916937260 /nfs/dbraw/zinc/93/72/60/916937260.db2.gz OPFVEQLVTMLGGC-NWDGAFQWSA-N 0 1 299.802 0.750 20 30 CCEDMN C[C@H](NC[C@@H](O)CC(C)(C)C#N)c1nncn1C ZINC001331035103 917039658 /nfs/dbraw/zinc/03/96/58/917039658.db2.gz RJXVFRIDJDHOOI-UWVGGRQHSA-N 0 1 251.334 0.766 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)Cn1cnnn1 ZINC001402740950 917197104 /nfs/dbraw/zinc/19/71/04/917197104.db2.gz HNGHRHYXYVOIPC-NSHDSACASA-N 0 1 298.778 0.396 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CNC(=O)OC)C[C@@H]1C ZINC001402772701 917217904 /nfs/dbraw/zinc/21/79/04/917217904.db2.gz JGSWTGCOLOQDKU-WCBMZHEXSA-N 0 1 289.763 0.531 20 30 CCEDMN COc1cncc(CN[C@@H](C)CNC(=O)[C@@H](C)C#N)n1 ZINC001402847977 917280486 /nfs/dbraw/zinc/28/04/86/917280486.db2.gz FRODWRJYRYNBFP-UWVGGRQHSA-N 0 1 277.328 0.239 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@@H](C)NCc1cnns1 ZINC001331440578 917337054 /nfs/dbraw/zinc/33/70/54/917337054.db2.gz SYCRUGGKTOIVLY-GHMZBOCLSA-N 0 1 296.396 0.513 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@@H](C)NCc1ocnc1C ZINC001331441247 917338432 /nfs/dbraw/zinc/33/84/32/917338432.db2.gz XNPIXHPDDVPLDX-GFCCVEGCSA-N 0 1 293.367 0.959 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H](CO)NCc1ccsc1 ZINC001331711486 917538936 /nfs/dbraw/zinc/53/89/36/917538936.db2.gz INSLATABWCISRN-AAEUAGOBSA-N 0 1 296.392 0.353 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(C)c(OC)c(C)c1 ZINC001331723384 917547621 /nfs/dbraw/zinc/54/76/21/917547621.db2.gz CFOBPFNUCQSFRI-AWEZNQCLSA-N 0 1 290.363 0.626 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1(C2CCC2)CCC1 ZINC001331756434 917578817 /nfs/dbraw/zinc/57/88/17/917578817.db2.gz ITSJZSNXUKUXBR-CYBMUJFWSA-N 0 1 264.369 0.657 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1coc(C(F)F)c1 ZINC001331776322 917596920 /nfs/dbraw/zinc/59/69/20/917596920.db2.gz XRIVUHFJCDAPGI-SNVBAGLBSA-N 0 1 286.278 0.921 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)Cc1occc1C ZINC001331786278 917605499 /nfs/dbraw/zinc/60/54/99/917605499.db2.gz BGSRHIBCPAPPHO-LBPRGKRZSA-N 0 1 264.325 0.221 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)Cc1ccsc1C ZINC001331804277 917622999 /nfs/dbraw/zinc/62/29/99/917622999.db2.gz MAOYMHDUZTWYEV-ZDUSSCGKSA-N 0 1 280.393 0.689 20 30 CCEDMN Cc1nc(CN2C[C@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)n[nH]1 ZINC001403368318 917679818 /nfs/dbraw/zinc/67/98/18/917679818.db2.gz WQWBRCVZMCXAST-ONGXEEELSA-N 0 1 290.371 0.599 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CNC(C)=O)CC1(C)C ZINC001403787748 918009562 /nfs/dbraw/zinc/00/95/62/918009562.db2.gz KQAXWGPHSWZXRU-NSHDSACASA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H](O)C(C)C ZINC001403849309 918065070 /nfs/dbraw/zinc/06/50/70/918065070.db2.gz TXIUMVKJJLCUEJ-WDEREUQCSA-N 0 1 278.780 0.165 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1cncc2nc[nH]c21 ZINC001332598706 918302517 /nfs/dbraw/zinc/30/25/17/918302517.db2.gz XMPBJMQHIZUCQO-SECBINFHSA-N 0 1 258.281 0.462 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H]1CCCOC1 ZINC001332619365 918319810 /nfs/dbraw/zinc/31/98/10/918319810.db2.gz OEGQKOIUEBHCME-KGLIPLIRSA-N 0 1 282.384 0.187 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)CCCF ZINC001332647822 918349811 /nfs/dbraw/zinc/34/98/11/918349811.db2.gz MESOQCGTLQWQFA-CYBMUJFWSA-N 0 1 288.363 0.137 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1coc(OCC)n1 ZINC001332648501 918353686 /nfs/dbraw/zinc/35/36/86/918353686.db2.gz ULRGNFUVUNSAKD-NSHDSACASA-N 0 1 295.339 0.071 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccsn1 ZINC001332648628 918354557 /nfs/dbraw/zinc/35/45/57/918354557.db2.gz VOVTZJXIXKPAJQ-LLVKDONJSA-N 0 1 281.381 0.531 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1(C(F)(F)F)CC1 ZINC001332665819 918363269 /nfs/dbraw/zinc/36/32/69/918363269.db2.gz YMYABRULLWJUIL-JTQLQIEISA-N 0 1 292.301 0.713 20 30 CCEDMN COCC#CCN(C)CCN(C(=O)[C@@H](C)OC)C(C)C ZINC001332694072 918388218 /nfs/dbraw/zinc/38/82/18/918388218.db2.gz YAJOSONUXJMAPZ-CQSZACIVSA-N 0 1 284.400 0.840 20 30 CCEDMN C=CC[N@@H+](CCNC(=O)c1ncccc1[O-])CCOC ZINC001332914298 918527251 /nfs/dbraw/zinc/52/72/51/918527251.db2.gz SIGXLAFLTNNABP-UHFFFAOYSA-N 0 1 279.340 0.651 20 30 CCEDMN C=CC[N@H+](CCNC(=O)c1ncccc1[O-])CCOC ZINC001332914298 918527263 /nfs/dbraw/zinc/52/72/63/918527263.db2.gz SIGXLAFLTNNABP-UHFFFAOYSA-N 0 1 279.340 0.651 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)C(=O)N[C@H]2CCCN(C)C2)CC1 ZINC001332943075 918550405 /nfs/dbraw/zinc/55/04/05/918550405.db2.gz VNQXSVWZFBLSTI-IHRRRGAJSA-N 0 1 291.395 0.505 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C(=O)NCc2cc(C)[nH]n2)C1 ZINC001332995702 918586852 /nfs/dbraw/zinc/58/68/52/918586852.db2.gz DSJJYQZRWTTXHD-NSHDSACASA-N 0 1 274.324 0.206 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)NCc1nc(CSC)n[nH]1 ZINC001333045428 918618182 /nfs/dbraw/zinc/61/81/82/918618182.db2.gz IYZBTIMMEBFVDZ-UHFFFAOYSA-N 0 1 297.384 0.318 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)NCc1nnc(CSC)[nH]1 ZINC001333045428 918618198 /nfs/dbraw/zinc/61/81/98/918618198.db2.gz IYZBTIMMEBFVDZ-UHFFFAOYSA-N 0 1 297.384 0.318 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)CN(C)C(C)=O)CC1 ZINC001333289715 918777396 /nfs/dbraw/zinc/77/73/96/918777396.db2.gz CIHPBHXKUGYDNA-UHFFFAOYSA-N 0 1 277.368 0.236 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(C(N)=O)[nH]1 ZINC001405873378 918950969 /nfs/dbraw/zinc/95/09/69/918950969.db2.gz UTVVSTSJCKKGIL-SECBINFHSA-N 0 1 298.774 0.916 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1ccon1 ZINC001406200327 919110035 /nfs/dbraw/zinc/11/00/35/919110035.db2.gz CHLPTJPSSNKCBW-SNVBAGLBSA-N 0 1 273.720 0.036 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1CCC(=O)NC1 ZINC001406379436 919223547 /nfs/dbraw/zinc/22/35/47/919223547.db2.gz VUELXXRJNUAWNW-ZJUUUORDSA-N 0 1 273.764 0.359 20 30 CCEDMN C=C(Br)CNC[C@H](C)NC(=O)CNC(C)=O ZINC001406447555 919250988 /nfs/dbraw/zinc/25/09/88/919250988.db2.gz PIKKTBDTHBCVQT-QMMMGPOBSA-N 0 1 292.177 0.125 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)N[C@H]1CCN(CCOC)C1 ZINC001334199198 919417917 /nfs/dbraw/zinc/41/79/17/919417917.db2.gz WBAKOSFJVVOGLZ-KBPBESRZSA-N 0 1 279.384 0.904 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC001406949541 919552853 /nfs/dbraw/zinc/55/28/53/919552853.db2.gz COURSAXQQZZGCG-NXEZZACHSA-N 0 1 285.775 0.489 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC(CN2CC[NH+](C)CC2)C1 ZINC001334420837 919571632 /nfs/dbraw/zinc/57/16/32/919571632.db2.gz JREDDRIQTXBUHH-HNNXBMFYSA-N 0 1 281.400 0.019 20 30 CCEDMN C=CCn1nnnc1N1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC001334447245 919590891 /nfs/dbraw/zinc/59/08/91/919590891.db2.gz FGXMHBXCEXTKNK-SNVBAGLBSA-N 0 1 274.332 0.670 20 30 CCEDMN C=CCn1nnnc1N1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC001334447245 919590896 /nfs/dbraw/zinc/59/08/96/919590896.db2.gz FGXMHBXCEXTKNK-SNVBAGLBSA-N 0 1 274.332 0.670 20 30 CCEDMN C=CCNC(=O)CN1CCC2(CC1)C[C@H](O)CCO2 ZINC001334549453 919650504 /nfs/dbraw/zinc/65/05/04/919650504.db2.gz RSLFJYGUTSSKCF-GFCCVEGCSA-N 0 1 268.357 0.295 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(C)CCN([C@@H](C)C(N)=O)CC1 ZINC001407265813 919684351 /nfs/dbraw/zinc/68/43/51/919684351.db2.gz UJBLEMPCDVRLOG-QWRGUYRKSA-N 0 1 280.372 0.238 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)[N-]OC(C)(C)C(=O)OCC ZINC001334963134 919867456 /nfs/dbraw/zinc/86/74/56/919867456.db2.gz UFSUEPUZJGFMDB-NSHDSACASA-N 0 1 282.340 0.474 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCN(C)[C@H]1CCN(C)C1=O ZINC001407876314 919935073 /nfs/dbraw/zinc/93/50/73/919935073.db2.gz LFXXUBTYNBBKMR-RYUDHWBXSA-N 0 1 280.372 0.157 20 30 CCEDMN N#CC1(CNC[C@H](O)CC2(C#N)CCOCC2)CC1 ZINC001335379694 920157068 /nfs/dbraw/zinc/15/70/68/920157068.db2.gz BDHOVXWHCVDAHD-GFCCVEGCSA-N 0 1 263.341 0.951 20 30 CCEDMN CCN1CCOC[C@H]1C(=O)Nc1cc(C#N)ccc1O ZINC001336372065 920743028 /nfs/dbraw/zinc/74/30/28/920743028.db2.gz ZVSCTTBZCNGVPT-LBPRGKRZSA-N 0 1 275.308 0.923 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N2CC[C@@H]3C[N@H+](C)C[C@@H]32)cc1 ZINC001336789061 921014087 /nfs/dbraw/zinc/01/40/87/921014087.db2.gz PYMVEFGJLVFWIZ-HIFRSBDPSA-N 0 1 297.358 0.769 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](OCC(F)(F)F)C1 ZINC001339838176 921843372 /nfs/dbraw/zinc/84/33/72/921843372.db2.gz MGJSHXIWXUMPEQ-SNVBAGLBSA-N 0 1 278.274 0.779 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCC(OC)CC1 ZINC001339879968 921865780 /nfs/dbraw/zinc/86/57/80/921865780.db2.gz IVMSCMDWFZPPBO-UHFFFAOYSA-N 0 1 289.343 0.864 20 30 CCEDMN C#CCNCC(=O)N1CCC([C@H]2CCCO2)CC1 ZINC001340216036 922009974 /nfs/dbraw/zinc/00/99/74/922009974.db2.gz NYUSLJSHPLLXHV-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H]1[C@H]1CCCOC1 ZINC001340396841 922075449 /nfs/dbraw/zinc/07/54/49/922075449.db2.gz BHRYGHJUIIMHBT-QWHCGFSZSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@@H]1c1noc(C(C)C)n1 ZINC001340450930 922088547 /nfs/dbraw/zinc/08/85/47/922088547.db2.gz ITTGYVAHOOMTGC-LLVKDONJSA-N 0 1 292.339 0.316 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1c(C)cc(C)nc1OC ZINC001340803415 922224224 /nfs/dbraw/zinc/22/42/24/922224224.db2.gz UJECPJTZANGGPU-UHFFFAOYSA-N 0 1 275.352 0.888 20 30 CCEDMN C#CCNCC(=O)NCCc1nc2ccc(F)cc2n1C ZINC001340865614 922249778 /nfs/dbraw/zinc/24/97/78/922249778.db2.gz STQUKQRYJNCTGN-UHFFFAOYSA-N 0 1 288.326 0.594 20 30 CCEDMN Cc1nonc1CN[C@@H]1CC[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001418717500 922382661 /nfs/dbraw/zinc/38/26/61/922382661.db2.gz FTEWADQBUCVZIU-ADEWGFFLSA-N 0 1 291.355 0.912 20 30 CCEDMN C#CCNCC(=O)N1CCC(OCC2CC2)CC1 ZINC001341483609 922506564 /nfs/dbraw/zinc/50/65/64/922506564.db2.gz NEVGALKBBBENQB-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN Cc1cc(CN[C@H](CNC(=O)[C@@H](C)C#N)C2CC2)ncn1 ZINC001419254711 922733498 /nfs/dbraw/zinc/73/34/98/922733498.db2.gz ZLPTYOITHLPZBB-IINYFYTJSA-N 0 1 287.367 0.929 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](C2CCOCC2)C1 ZINC001342018554 922765396 /nfs/dbraw/zinc/76/53/96/922765396.db2.gz QCVLBCKJXQOBJB-CQSZACIVSA-N 0 1 264.369 0.874 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1CCC[C@H]1OC ZINC001342019792 922766231 /nfs/dbraw/zinc/76/62/31/922766231.db2.gz HUCSSHQDOCQYBX-IJLUTSLNSA-N 0 1 282.340 0.663 20 30 CCEDMN CO[C@H](C(=O)OC(C)(C)C)C(=O)C(C#N)c1nccn1C ZINC001342164340 922842094 /nfs/dbraw/zinc/84/20/94/922842094.db2.gz HFMDNAKEABIDNV-ONGXEEELSA-N 0 1 293.323 0.953 20 30 CCEDMN C#CCNCC(=O)N[C@H](c1nccn1C)C(F)(F)F ZINC001343030607 923172119 /nfs/dbraw/zinc/17/21/19/923172119.db2.gz OYECXYVTYZMELJ-SECBINFHSA-N 0 1 274.246 0.363 20 30 CCEDMN C#CCNCC(=O)NCc1ccc2c(c1)CCCN2C ZINC001343407517 923302437 /nfs/dbraw/zinc/30/24/37/923302437.db2.gz DSLZHGSAHJEJKS-UHFFFAOYSA-N 0 1 271.364 0.908 20 30 CCEDMN N#CC(C(=O)CCn1cnnn1)c1cccc(F)n1 ZINC001345039674 923770930 /nfs/dbraw/zinc/77/09/30/923770930.db2.gz RSPCKSJFEOOHIO-MRVPVSSYSA-N 0 1 260.232 0.474 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1C[C@H](C)NC[C@H]1C ZINC001345170302 923819252 /nfs/dbraw/zinc/81/92/52/923819252.db2.gz OIQXMKFGJRQWEW-NWDGAFQWSA-N 0 1 265.357 0.115 20 30 CCEDMN Cc1cnc(CNC[C@@H](NC(=O)[C@@H](C)C#N)C2CC2)cn1 ZINC001420715759 923893641 /nfs/dbraw/zinc/89/36/41/923893641.db2.gz GRLHQGBLLHJHQP-IINYFYTJSA-N 0 1 287.367 0.929 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnc(C2CC2)s1 ZINC001345830846 923990592 /nfs/dbraw/zinc/99/05/92/923990592.db2.gz MVIWEFFHQFQZOS-DTWKUNHWSA-N 0 1 262.338 0.862 20 30 CCEDMN C#CCN1CCC(OC(=O)c2coc(C(N)=O)c2)CC1 ZINC001346150474 924087283 /nfs/dbraw/zinc/08/72/83/924087283.db2.gz KLBXEJVBIBRGCU-UHFFFAOYSA-N 0 1 276.292 0.633 20 30 CCEDMN C#CC1CCN(C(=O)N[C@@H](C)[C@H]2CN(C)CCN2C)CC1 ZINC001346766906 924307903 /nfs/dbraw/zinc/30/79/03/924307903.db2.gz MWXIMHJRSZNSON-DZGCQCFKSA-N 0 1 292.427 0.676 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N(C)Cc1cnc[nH]1 ZINC001346955012 924339417 /nfs/dbraw/zinc/33/94/17/924339417.db2.gz YFJYEUXSRNWRAB-UHFFFAOYSA-N 0 1 250.302 0.403 20 30 CCEDMN C=CCN(C(=O)CN1CCC(CO)CC1)[C@H](C)COC ZINC001347180797 924390343 /nfs/dbraw/zinc/39/03/43/924390343.db2.gz WBJHWTFJIRLAEV-CYBMUJFWSA-N 0 1 284.400 0.740 20 30 CCEDMN C=CC[C@H](CC)NC(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001347545742 924476739 /nfs/dbraw/zinc/47/67/39/924476739.db2.gz WVNVAOSBDYOKSH-AGIUHOORSA-N 0 1 251.374 0.846 20 30 CCEDMN C=CCC1(NC(=O)CCc2nc[nH]n2)CCOCC1 ZINC001347853850 924553616 /nfs/dbraw/zinc/55/36/16/924553616.db2.gz WYFZYYUYHZAFBG-UHFFFAOYSA-N 0 1 264.329 0.979 20 30 CCEDMN C#C[C@H](CC)NC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001348793795 924805939 /nfs/dbraw/zinc/80/59/39/924805939.db2.gz OCZNWAAJGOLUOW-QWHCGFSZSA-N 0 1 266.389 0.333 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCCNC(=O)c1ncn[nH]1)OCC ZINC001349144543 924893620 /nfs/dbraw/zinc/89/36/20/924893620.db2.gz YBMBAPCHUMLCBW-SNVBAGLBSA-N 0 1 295.343 0.022 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCCNC(=O)c1nc[nH]n1)OCC ZINC001349144543 924893628 /nfs/dbraw/zinc/89/36/28/924893628.db2.gz YBMBAPCHUMLCBW-SNVBAGLBSA-N 0 1 295.343 0.022 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001349878242 925083728 /nfs/dbraw/zinc/08/37/28/925083728.db2.gz BPDWFGJTYHFUIE-KGLIPLIRSA-N 0 1 298.431 0.106 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N(C)CC1CN(C)C1 ZINC001350166397 925138393 /nfs/dbraw/zinc/13/83/93/925138393.db2.gz GNYYIKGOOIXGAV-UHFFFAOYSA-N 0 1 267.373 0.431 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)N(C)Cc1cnc[nH]1 ZINC001350249510 925155371 /nfs/dbraw/zinc/15/53/71/925155371.db2.gz NSEZNXRKLDLVFY-GFCCVEGCSA-N 0 1 276.340 0.935 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)NCc1ccc(C#N)cn1 ZINC001413853978 925727892 /nfs/dbraw/zinc/72/78/92/925727892.db2.gz UKHKKCXVPJQULF-UHFFFAOYSA-N 0 1 271.284 0.413 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001353167325 925747576 /nfs/dbraw/zinc/74/75/76/925747576.db2.gz HCTZWCJSIXPOSS-SNVBAGLBSA-N 0 1 291.355 0.642 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001353167325 925747583 /nfs/dbraw/zinc/74/75/83/925747583.db2.gz HCTZWCJSIXPOSS-SNVBAGLBSA-N 0 1 291.355 0.642 20 30 CCEDMN C[C@@H](CC#N)NC[C@H](O)CC1(C#N)CCOCC1 ZINC001353246430 925772292 /nfs/dbraw/zinc/77/22/92/925772292.db2.gz KAVJKOIWZIGEOS-NWDGAFQWSA-N 0 1 251.330 0.950 20 30 CCEDMN C#CCCCC(=O)NCC1CC(NCc2nnc(C)[nH]2)C1 ZINC001100284358 925799540 /nfs/dbraw/zinc/79/95/40/925799540.db2.gz LACBRDYKLVVTOX-UHFFFAOYSA-N 0 1 289.383 0.901 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C[C@@H](C)O)c1ccccc1 ZINC001353431345 925831177 /nfs/dbraw/zinc/83/11/77/925831177.db2.gz BSZDNRFTMGJFFW-UKRRQHHQSA-N 0 1 274.364 0.880 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@H]1C[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001353773042 925929884 /nfs/dbraw/zinc/92/98/84/925929884.db2.gz UCKVSKVSVUCQJU-AOOOYVTPSA-N 0 1 289.339 0.231 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@H]1C[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001353773042 925929891 /nfs/dbraw/zinc/92/98/91/925929891.db2.gz UCKVSKVSVUCQJU-AOOOYVTPSA-N 0 1 289.339 0.231 20 30 CCEDMN C#CC1(O)CCN(C(=O)CCSc2nnc(C)[nH]2)CC1 ZINC001354102977 926015691 /nfs/dbraw/zinc/01/56/91/926015691.db2.gz JKILOGZYILJZDD-UHFFFAOYSA-N 0 1 294.380 0.582 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)NC(=O)c1[nH]nc(C)c1C ZINC001354298291 926075895 /nfs/dbraw/zinc/07/58/95/926075895.db2.gz JIEWIBDQISXUSJ-SECBINFHSA-N 0 1 294.355 0.464 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N[C@H]2CCN(C)[C@@H]2C)c1 ZINC001354537734 926126744 /nfs/dbraw/zinc/12/67/44/926126744.db2.gz VAIHKAGFCJISGC-RISCZKNCSA-N 0 1 285.347 0.815 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CC(C)(OC)C1 ZINC001355997723 926333143 /nfs/dbraw/zinc/33/31/43/926333143.db2.gz QIASZTZZMXYJFA-UHFFFAOYSA-N 0 1 275.316 0.474 20 30 CCEDMN CN(CCN(C)C(=O)c1ccn[nH]1)C(=O)C#CC(C)(C)C ZINC001357168449 926492096 /nfs/dbraw/zinc/49/20/96/926492096.db2.gz IYRGSTULQHCDLQ-UHFFFAOYSA-N 0 1 290.367 0.990 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](O)COc1ccc(F)c(F)c1 ZINC001357250251 926503698 /nfs/dbraw/zinc/50/36/98/926503698.db2.gz QOOJXCYTHKBEJG-SNVBAGLBSA-N 0 1 298.289 0.044 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001358510033 926720638 /nfs/dbraw/zinc/72/06/38/926720638.db2.gz NECKUXCBIBNYKO-NSHDSACASA-N 0 1 276.340 0.233 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC001362227128 927750773 /nfs/dbraw/zinc/75/07/73/927750773.db2.gz HQUNPFZVYWYZDX-GHMZBOCLSA-N 0 1 287.323 0.098 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@H](C)c2nn[nH]n2)ncc1C#N ZINC001362304799 927852316 /nfs/dbraw/zinc/85/23/16/927852316.db2.gz ZCJXHOPUIPUTOV-VIFPVBQESA-N 0 1 285.311 0.651 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)[C@@H](C)OC)CCO1 ZINC001424216552 928129926 /nfs/dbraw/zinc/12/99/26/928129926.db2.gz FUJAAPRFYUAUMR-MNOVXSKESA-N 0 1 276.764 0.591 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CN(C)C(=O)CN1CCCC1 ZINC001425082182 928427535 /nfs/dbraw/zinc/42/75/35/928427535.db2.gz NELPUMSJVQKODI-VXGBXAGGSA-N 0 1 280.372 0.205 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CN(C)C(=O)CN1CCCC1 ZINC001425082179 928428894 /nfs/dbraw/zinc/42/88/94/928428894.db2.gz NELPUMSJVQKODI-NEPJUHHUSA-N 0 1 280.372 0.205 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001425673888 928622405 /nfs/dbraw/zinc/62/24/05/928622405.db2.gz APKIMMGKSSNHBC-ZJUUUORDSA-N 0 1 273.764 0.454 20 30 CCEDMN COC[C@H](NC(=O)c1csc(C#N)c1)c1nn[nH]n1 ZINC001362909720 928641254 /nfs/dbraw/zinc/64/12/54/928641254.db2.gz MWNAQQCHASRSFW-QMMMGPOBSA-N 0 1 278.297 0.250 20 30 CCEDMN Cn1c(CNC(=O)C2(c3ccc(C#N)cc3)CC2)n[nH]c1=O ZINC001362963321 928703566 /nfs/dbraw/zinc/70/35/66/928703566.db2.gz YKYFRWXBTGWZKN-UHFFFAOYSA-N 0 1 297.318 0.740 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@@H]1CCOC1 ZINC001425981441 928723940 /nfs/dbraw/zinc/72/39/40/928723940.db2.gz LGQZBWLZIKFGNY-GHMZBOCLSA-N 0 1 276.764 0.184 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)c1cnncc1O)C(=O)OCC ZINC001363244603 929018886 /nfs/dbraw/zinc/01/88/86/929018886.db2.gz VIPXBXCQDDFLEP-SECBINFHSA-N 0 1 299.714 0.986 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)c1cnncc1O)C(=O)OCC ZINC001363244605 929019670 /nfs/dbraw/zinc/01/96/70/929019670.db2.gz VIPXBXCQDDFLEP-VIFPVBQESA-N 0 1 299.714 0.986 20 30 CCEDMN N#C[C@]1(C(=O)N2CCC[C@H](c3nc[nH]n3)C2)CCCOC1 ZINC001363359931 929147244 /nfs/dbraw/zinc/14/72/44/929147244.db2.gz JHJNICAGKJJJNI-SMDDNHRTSA-N 0 1 289.339 0.831 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)n1C)C1=NN(C)CC1=O ZINC001363657721 929460069 /nfs/dbraw/zinc/46/00/69/929460069.db2.gz CDLQGTCPJJMWHL-MRVPVSSYSA-N 0 1 273.296 0.827 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1=CCOCC1 ZINC001445153426 930054921 /nfs/dbraw/zinc/05/49/21/930054921.db2.gz SLNMEQVPVIXZLX-NSHDSACASA-N 0 1 274.748 0.152 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CN(C)CC(=O)N2CCC2)C1 ZINC001373281224 930126561 /nfs/dbraw/zinc/12/65/61/930126561.db2.gz STHFKYBTTRTPAW-QWHCGFSZSA-N 0 1 292.383 0.159 20 30 CCEDMN C=C(Br)CNC[C@@H](C)NC(=O)c1cnon1 ZINC001445463146 930139752 /nfs/dbraw/zinc/13/97/52/930139752.db2.gz USANDTKMRGEFBJ-SSDOTTSWSA-N 0 1 289.133 0.686 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(O)CN(CC2CCCCC2)C1 ZINC001446830833 930495484 /nfs/dbraw/zinc/49/54/84/930495484.db2.gz NFQHLFXHOKXOAA-GFCCVEGCSA-N 0 1 279.384 0.889 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1cccc(F)c1O ZINC001446849157 930499690 /nfs/dbraw/zinc/49/96/90/930499690.db2.gz NQXJNOREAAIUCW-UHFFFAOYSA-N 0 1 252.245 0.563 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1ccc[nH]1 ZINC001374684133 930616789 /nfs/dbraw/zinc/61/67/89/930616789.db2.gz KUFPDOAQJQQINW-NSHDSACASA-N 0 1 271.748 0.376 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(C)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001375773527 930971992 /nfs/dbraw/zinc/97/19/92/930971992.db2.gz LJXLYCDMORLBSU-VIFPVBQESA-N 0 1 292.343 0.141 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)CN(C)C(=O)C1CC1 ZINC001376750857 931258633 /nfs/dbraw/zinc/25/86/33/931258633.db2.gz YTAJHDCRHWPSBB-SNVBAGLBSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cnc(OC)nc1 ZINC001376839643 931299995 /nfs/dbraw/zinc/29/99/95/931299995.db2.gz IUVKAHIIFSXVLM-SECBINFHSA-N 0 1 284.747 0.946 20 30 CCEDMN C[N@H+]1CC[C@]2(CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C2)C1 ZINC001610950797 970802951 /nfs/dbraw/zinc/80/29/51/970802951.db2.gz UZTDBRZKBXVSIX-KGLIPLIRSA-N 0 1 279.340 0.545 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cc(-c2nn[nH]n2)c[nH]1 ZINC001573282188 946686335 /nfs/dbraw/zinc/68/63/35/946686335.db2.gz GLRWIRCSRBKKMB-LLVKDONJSA-N 0 1 299.338 0.022 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)[C@]1(C(=O)[O-])C[C@H]1C ZINC001602679899 971262580 /nfs/dbraw/zinc/26/25/80/971262580.db2.gz YYMVWVKPANGOKV-MFKMUULPSA-N 0 1 252.314 0.169 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)C2(C(=O)[O-])CCC2)C1 ZINC001602700715 971320469 /nfs/dbraw/zinc/32/04/69/971320469.db2.gz FVBJWSUVXBWLAZ-LLVKDONJSA-N 0 1 264.325 0.455 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)C2(C(=O)[O-])CCC2)C1 ZINC001602700715 971320475 /nfs/dbraw/zinc/32/04/75/971320475.db2.gz FVBJWSUVXBWLAZ-LLVKDONJSA-N 0 1 264.325 0.455 20 30 CCEDMN CC[C@]1(C(=O)[O-])CCC[N@@H+](CC(=O)NCC#N)C1 ZINC000062877661 948965110 /nfs/dbraw/zinc/96/51/10/948965110.db2.gz WWWUFLGVBRUFIA-LBPRGKRZSA-N 0 1 253.302 0.203 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@@H+]1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000087612613 949095687 /nfs/dbraw/zinc/09/56/87/949095687.db2.gz OEEJOSUQJGBEIW-SMDDNHRTSA-N 0 1 286.331 0.808 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC001589730011 950488107 /nfs/dbraw/zinc/48/81/07/950488107.db2.gz HBZJZCITJIXGJH-NWDGAFQWSA-N 0 1 281.356 0.934 20 30 CCEDMN CC[N@@H+]1[C@H](C)CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@@H]1C ZINC001589882597 950696127 /nfs/dbraw/zinc/69/61/27/950696127.db2.gz QSXIRWCFWQFQQJ-UHIISALHSA-N 0 1 281.356 0.932 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC[N@H+](C2CC2)C1 ZINC001589367061 954203989 /nfs/dbraw/zinc/20/39/89/954203989.db2.gz UCFHDWWDLWUIBL-XHDPSFHLSA-N 0 1 293.367 0.934 20 30 CCEDMN C[C@H](C[N@@H+](C)CCC(=O)N(C)CCC#N)C(=O)[O-] ZINC001589034280 955551965 /nfs/dbraw/zinc/55/19/65/955551965.db2.gz VZHMYXIFNOWFDM-SNVBAGLBSA-N 0 1 255.318 0.401 20 30 CCEDMN N#Cc1ccc(C[N@@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)o1 ZINC001594620473 956276655 /nfs/dbraw/zinc/27/66/55/956276655.db2.gz GAKNBTJKVNNBPC-ZANVPECISA-N 0 1 262.265 0.684 20 30 CCEDMN N#Cc1cccc(C(=O)OC[C@@H]2CCC[N@@H+]2CC(=O)[O-])n1 ZINC001594625804 956312904 /nfs/dbraw/zinc/31/29/04/956312904.db2.gz OYPIOTTTXJDWHP-NSHDSACASA-N 0 1 289.291 0.659 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@H]1CCC[N@H+]2CCCC[C@H]12 ZINC001588410721 958141786 /nfs/dbraw/zinc/14/17/86/958141786.db2.gz ZFUYPNAOGLJEIR-QWHCGFSZSA-N 0 1 293.367 0.733 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N[C@H]2C[C@H](C(=O)[O-])C2)C1 ZINC001588430440 958255517 /nfs/dbraw/zinc/25/55/17/958255517.db2.gz LVIAKAPFMVBCSN-IJLUTSLNSA-N 0 1 279.340 0.246 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N[C@H]2C[C@H](C(=O)[O-])C2)C1 ZINC001588430440 958255534 /nfs/dbraw/zinc/25/55/34/958255534.db2.gz LVIAKAPFMVBCSN-IJLUTSLNSA-N 0 1 279.340 0.246 20 30 CCEDMN C[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1CC(=O)NCCC#N ZINC000235353048 960418152 /nfs/dbraw/zinc/41/81/52/960418152.db2.gz CBSXIUASLKIOCE-NXEZZACHSA-N 0 1 253.302 0.201 20 30 CCEDMN N#CCC[C@H](C#N)C[N@@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001574481281 961671426 /nfs/dbraw/zinc/67/14/26/961671426.db2.gz YCMMDJZQLLMIAE-MDZLAQPJSA-N 0 1 263.297 0.463 20 30 CCEDMN C[N@H+]1C[C@@H]2CN(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@]2(C)C1 ZINC001574031915 962034631 /nfs/dbraw/zinc/03/46/31/962034631.db2.gz MUZBICHIXXWKCE-SWHYSGLUSA-N 0 1 279.340 0.401 20 30 CCEDMN C=C(C)CC[N@H+]1CC[C@H](NC(=O)CNC(=O)[O-])C1 ZINC001573324850 962790209 /nfs/dbraw/zinc/79/02/09/962790209.db2.gz ZYDGFTQVNPLHRO-JTQLQIEISA-N 0 1 255.318 0.411 20 30 CCEDMN C=CCC[C@@H](C)[N@H+]1CC[C@H](NC(=O)CNC(=O)[O-])C1 ZINC001573353576 963004453 /nfs/dbraw/zinc/00/44/53/963004453.db2.gz ZIHWPUBYSWAXCG-MNOVXSKESA-N 0 1 269.345 0.799 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]2CN(C(=O)CNC(=O)[O-])C[C@@H]2C1 ZINC001573360086 963032135 /nfs/dbraw/zinc/03/21/35/963032135.db2.gz GRIZKPXNFYUILD-NEPJUHHUSA-N 0 1 281.356 0.610 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](CS(C)(=O)=O)C1 ZINC000310104721 963382052 /nfs/dbraw/zinc/38/20/52/963382052.db2.gz COQWPRYLFGSEMF-NXEZZACHSA-N 0 1 261.343 0.382 20 30 CCEDMN C=C(Br)C[N@@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC000401910974 973524358 /nfs/dbraw/zinc/52/43/58/973524358.db2.gz SYYLOWSOFPSLJK-HTQZYQBOSA-N 0 1 264.119 0.662 20 30 CCEDMN C=C(Br)C[N@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC000401910974 973524365 /nfs/dbraw/zinc/52/43/65/973524365.db2.gz SYYLOWSOFPSLJK-HTQZYQBOSA-N 0 1 264.119 0.662 20 30 CCEDMN N#Cc1ccc(F)c(C[N@H+]2C[C@H](O)C[C@@H](C(=O)[O-])C2)c1 ZINC000401933007 973543158 /nfs/dbraw/zinc/54/31/58/973543158.db2.gz XZHNYRSLRKNHKN-VXGBXAGGSA-N 0 1 278.283 0.965 20 30 CCEDMN N#CC[C@@H]1CCC[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001605582037 973864444 /nfs/dbraw/zinc/86/44/44/973864444.db2.gz SBRZSUBVJISWAA-QWRGUYRKSA-N 0 1 265.313 0.298 20 30 CCEDMN N#CC[C@@H]1CCC[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001605582037 973864449 /nfs/dbraw/zinc/86/44/49/973864449.db2.gz SBRZSUBVJISWAA-QWRGUYRKSA-N 0 1 265.313 0.298 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1CC[N@@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588369891 983318073 /nfs/dbraw/zinc/31/80/73/983318073.db2.gz ZTWAKGBOQOBEES-NWDGAFQWSA-N 0 1 281.356 0.446 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CCC[N@H+](C(C)C)C1)C(=O)[O-] ZINC001588406424 983425557 /nfs/dbraw/zinc/42/55/57/983425557.db2.gz PRWCLUVNKJYKGN-RYUDHWBXSA-N 0 1 266.341 0.700 20 30 CCEDMN C#CCC[N@@H+]1CCC2(CN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)C1 ZINC001588453602 983476529 /nfs/dbraw/zinc/47/65/29/983476529.db2.gz GNIYAVJRYFUZBV-NEPJUHHUSA-N 0 1 276.336 0.265 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N2CC[C@H](C(=O)[O-])C2)C1 ZINC001588477392 983515612 /nfs/dbraw/zinc/51/56/12/983515612.db2.gz ZFWBIKUFCVDOHC-NWDGAFQWSA-N 0 1 279.340 0.200 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N2CC[C@H](C(=O)[O-])C2)C1 ZINC001588477392 983515614 /nfs/dbraw/zinc/51/56/14/983515614.db2.gz ZFWBIKUFCVDOHC-NWDGAFQWSA-N 0 1 279.340 0.200 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001588479513 983520260 /nfs/dbraw/zinc/52/02/60/983520260.db2.gz QQFQEYNFTVIYNN-ZMLRMANQSA-N 0 1 264.325 0.167 20 30 CCEDMN C#CCOCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@H]1[C@@H]1CCCO1 ZINC001588490997 983534979 /nfs/dbraw/zinc/53/49/79/983534979.db2.gz BKPYFQLZFBPNAS-IHRRRGAJSA-N 0 1 281.352 0.980 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)c1cnc(C[NH3+])s1)C(=O)[O-] ZINC001588547507 983620221 /nfs/dbraw/zinc/62/02/21/983620221.db2.gz DBNINYXBNQTODO-SSDOTTSWSA-N 0 1 269.326 0.751 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+](C)Cc1cnc(N(C)C)nc1 ZINC001588663471 983795078 /nfs/dbraw/zinc/79/50/78/983795078.db2.gz HGCDAWYHNCHMBL-SNVBAGLBSA-N 0 1 250.302 0.614 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC001588664653 983802588 /nfs/dbraw/zinc/80/25/88/983802588.db2.gz YOCJGOOFWBXOOD-QWRGUYRKSA-N 0 1 290.385 0.229 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@@H](c2nc(C)n[nH]2)C1 ZINC001588729362 983989147 /nfs/dbraw/zinc/98/91/47/983989147.db2.gz JAUUDFGSMQSXOT-NXEZZACHSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CC[C@@H](c2nnc(C)[nH]2)C1 ZINC001588729362 983989152 /nfs/dbraw/zinc/98/91/52/983989152.db2.gz JAUUDFGSMQSXOT-NXEZZACHSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@@H](c2nnc(C)[nH]2)C1 ZINC001588729362 983989155 /nfs/dbraw/zinc/98/91/55/983989155.db2.gz JAUUDFGSMQSXOT-NXEZZACHSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC001588729362 983989158 /nfs/dbraw/zinc/98/91/58/983989158.db2.gz JAUUDFGSMQSXOT-NXEZZACHSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC001588729362 983989160 /nfs/dbraw/zinc/98/91/60/983989160.db2.gz JAUUDFGSMQSXOT-NXEZZACHSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC001588730989 984003635 /nfs/dbraw/zinc/00/36/35/984003635.db2.gz ATOVYDWXWLGBRF-UTLUCORTSA-N 0 1 254.286 0.446 20 30 CCEDMN C=CC[C@@H](C(=O)OC)[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588731700 984011700 /nfs/dbraw/zinc/01/17/00/984011700.db2.gz NILJIBWRAMUNQD-KOLCDFICSA-N 0 1 294.311 0.341 20 30 CCEDMN C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588731700 984011710 /nfs/dbraw/zinc/01/17/10/984011710.db2.gz NILJIBWRAMUNQD-KOLCDFICSA-N 0 1 294.311 0.341 20 30 CCEDMN C=CC[C@@H](OC(=O)C[N@@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC001588753239 984083380 /nfs/dbraw/zinc/08/33/80/984083380.db2.gz CXAQTVDSSLMQKV-VHSXEESVSA-N 0 1 273.354 0.996 20 30 CCEDMN C=CC[C@@H](OC(=O)C[N@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC001588753239 984083390 /nfs/dbraw/zinc/08/33/90/984083390.db2.gz CXAQTVDSSLMQKV-VHSXEESVSA-N 0 1 273.354 0.996 20 30 CCEDMN C=CC[N@H+](CC(=O)N1CCC(C(=O)[O-])CC1)[C@H](C)COC ZINC001588824137 984297500 /nfs/dbraw/zinc/29/75/00/984297500.db2.gz VMZZFEGZHVJNFP-GFCCVEGCSA-N 0 1 298.383 0.833 20 30 CCEDMN C=CC[N@@H+](CC(=O)N1CCC(C(=O)[O-])CC1)[C@H](C)COC ZINC001588824137 984297508 /nfs/dbraw/zinc/29/75/08/984297508.db2.gz VMZZFEGZHVJNFP-GFCCVEGCSA-N 0 1 298.383 0.833 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[C@@](OC)(C(=O)[O-])C1 ZINC001588825184 984299047 /nfs/dbraw/zinc/29/90/47/984299047.db2.gz FTQYEDIJIUFRLF-DOMZBBRYSA-N 0 1 296.367 0.751 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CCCC[C@]2(COC)C(=O)[O-])C1=O ZINC001588838936 984342529 /nfs/dbraw/zinc/34/25/29/984342529.db2.gz MLDPUMIMXLRQRV-WFASDCNBSA-N 0 1 296.367 0.729 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCCC[C@]2(COC)C(=O)[O-])C1=O ZINC001588838936 984342534 /nfs/dbraw/zinc/34/25/34/984342534.db2.gz MLDPUMIMXLRQRV-WFASDCNBSA-N 0 1 296.367 0.729 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+](C)C/C=C(/C)C(=O)[O-])C1=O ZINC001588839161 984344391 /nfs/dbraw/zinc/34/43/91/984344391.db2.gz PHQAAXRCHVGMGI-VQNWOSHQSA-N 0 1 252.314 0.736 20 30 CCEDMN C=CCOCC[N@@H+]1CCCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588868958 984436950 /nfs/dbraw/zinc/43/69/50/984436950.db2.gz IDVNFKZJQJVNJU-QWHCGFSZSA-N 0 1 296.367 0.444 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccc(C#N)o2)CC[N@@H+]1CCC(=O)[O-] ZINC001594493680 985617152 /nfs/dbraw/zinc/61/71/52/985617152.db2.gz WMTWQCQHNWDFMM-SNVBAGLBSA-N 0 1 291.307 0.772 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccc(C#N)o2)CC[N@H+]1CCC(=O)[O-] ZINC001594493680 985617155 /nfs/dbraw/zinc/61/71/55/985617155.db2.gz WMTWQCQHNWDFMM-SNVBAGLBSA-N 0 1 291.307 0.772 20 30 CCEDMN C[NH+](C)Cc1ccc(C(=O)N(CC#N)CC(=O)[O-])o1 ZINC001598248569 991022298 /nfs/dbraw/zinc/02/22/98/991022298.db2.gz DZUUIRQFEBCMMT-UHFFFAOYSA-N 0 1 265.269 0.392 20 30 CCEDMN C[N@H+](CCc1cccc(C#N)c1)CC(=O)NCC(=O)[O-] ZINC001598500098 992423468 /nfs/dbraw/zinc/42/34/68/992423468.db2.gz FDLBTECQIAHANM-UHFFFAOYSA-N 0 1 275.308 0.233 20 30 CCEDMN C[N@@H+](CCc1cccc(C#N)c1)CC(=O)NCC(=O)[O-] ZINC001598500098 992423473 /nfs/dbraw/zinc/42/34/73/992423473.db2.gz FDLBTECQIAHANM-UHFFFAOYSA-N 0 1 275.308 0.233 20 30 CCEDMN CC(C)[N@H+]1CCC[C@H](C(=O)N(CC#N)CC(=O)[O-])C1 ZINC001591623622 992899599 /nfs/dbraw/zinc/89/95/99/992899599.db2.gz WRPNHOAIRWYONX-NSHDSACASA-N 0 1 267.329 0.544 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2sccc2C#N)C[C@@H](C(=O)[O-])C1 ZINC001598611666 993787345 /nfs/dbraw/zinc/78/73/45/993787345.db2.gz LAYAAACJAWKGMJ-JTQLQIEISA-N 0 1 293.348 0.708 20 30 CCEDMN COC(=O)[C@@H]1C[N@H+](Cc2ccncc2C#N)C[C@@H]1C(=O)[O-] ZINC001598826493 996388455 /nfs/dbraw/zinc/38/84/55/996388455.db2.gz HZOHMXNNVRWXOS-NWDGAFQWSA-N 0 1 289.291 0.259 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](Cc2ccncc2C#N)C[C@@H]1C(=O)[O-] ZINC001598826493 996388460 /nfs/dbraw/zinc/38/84/60/996388460.db2.gz HZOHMXNNVRWXOS-NWDGAFQWSA-N 0 1 289.291 0.259 20 30 CCEDMN CO[C@@]1(C(=O)[O-])CC[N@H+](C[C@@H](C#N)CCC#N)C1 ZINC001599076975 996892827 /nfs/dbraw/zinc/89/28/27/996892827.db2.gz CGUFGLQRMLOOIS-PWSUYJOCSA-N 0 1 251.286 0.605 20 30 CCEDMN CO[C@@]1(C(=O)[O-])CC[N@@H+](C[C@@H](C#N)CCC#N)C1 ZINC001599076975 996892844 /nfs/dbraw/zinc/89/28/44/996892844.db2.gz CGUFGLQRMLOOIS-PWSUYJOCSA-N 0 1 251.286 0.605 20 30 CCEDMN CO[C@@]1(C(=O)[O-])CC[N@@H+](Cc2ccc(C#N)cn2)C1 ZINC001599078780 996943958 /nfs/dbraw/zinc/94/39/58/996943958.db2.gz KMDHZWJVEYOYKD-ZDUSSCGKSA-N 0 1 261.281 0.629 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NCC[N@@H+](C)CC(=O)[O-] ZINC001599349045 998061360 /nfs/dbraw/zinc/06/13/60/998061360.db2.gz HCUSJEYGUOYVQH-UHFFFAOYSA-N 0 1 291.307 0.313 20 30 CCEDMN C#CCCN1CCN(c2ncnc3nc[nH]c32)CC1 ZINC000091480621 349463823 /nfs/dbraw/zinc/46/38/23/349463823.db2.gz QOWHFILTEWEUIG-UHFFFAOYSA-N 0 1 256.313 0.498 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCN(CCCF)CC1 ZINC000599669617 361780949 /nfs/dbraw/zinc/78/09/49/361780949.db2.gz MHDCCVFCNVIXCV-ZDUSSCGKSA-N 0 1 296.390 0.915 20 30 CCEDMN N#Cc1cccc(NC(=O)NCCN2CC[C@H](O)C2)c1 ZINC000599701051 361792253 /nfs/dbraw/zinc/79/22/53/361792253.db2.gz COCOSUHFMDUPHW-ZDUSSCGKSA-N 0 1 274.324 0.746 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)c1ccc2[nH]nnc2c1 ZINC000273080055 192037820 /nfs/dbraw/zinc/03/78/20/192037820.db2.gz MDTNNNYAPDZRRK-UHFFFAOYSA-N 0 1 294.336 0.289 20 30 CCEDMN CN(CC(=O)N1CCNCC1)Cc1ccc(C#N)o1 ZINC000600769112 362057226 /nfs/dbraw/zinc/05/72/26/362057226.db2.gz OFQXWEGLIOXKOJ-UHFFFAOYSA-N 0 1 262.313 0.015 20 30 CCEDMN C[C@@H]1C[C@@H](C(=O)NC2(C#N)CCN(C)CC2)[C@H](C)O1 ZINC000601042269 362140332 /nfs/dbraw/zinc/14/03/32/362140332.db2.gz CHRGSZYJCCJHJO-GRYCIOLGSA-N 0 1 265.357 0.904 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)C1(C#N)CC2(CC2)C1 ZINC000601045083 362140588 /nfs/dbraw/zinc/14/05/88/362140588.db2.gz URMKSCAYWPAJRP-ZDUSSCGKSA-N 0 1 290.411 0.822 20 30 CCEDMN Cc1cc(C#N)cc(NCCCN2CCC[C@H]2C(N)=O)n1 ZINC000601200387 362174518 /nfs/dbraw/zinc/17/45/18/362174518.db2.gz BERXYWLUGCZBQV-ZDUSSCGKSA-N 0 1 287.367 0.435 20 30 CCEDMN CC(C)(O)CN1CCN(c2ccc(C#N)nc2)CC1 ZINC000149399619 136393420 /nfs/dbraw/zinc/39/34/20/136393420.db2.gz RJMKUOJYMABWBP-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN CN(CC(=O)N(CC#N)C1CC1)[C@@H]1CCSC1 ZINC000347086997 529825697 /nfs/dbraw/zinc/82/56/97/529825697.db2.gz VSHVYXJKEGFJPV-LLVKDONJSA-N 0 1 253.371 0.938 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)CC1(O)CCOCC1 ZINC000347200027 529831616 /nfs/dbraw/zinc/83/16/16/529831616.db2.gz CTIQGDLEECEZMV-UHFFFAOYSA-N 0 1 281.356 0.022 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NCC1(N(C)C)CC1 ZINC000601450481 362277719 /nfs/dbraw/zinc/27/77/19/362277719.db2.gz ZNSAOACPZMUUFO-JTQLQIEISA-N 0 1 274.390 0.149 20 30 CCEDMN CN(C)CC(=O)N1CC(Nc2cccc(C#N)c2)C1 ZINC000601677845 362351798 /nfs/dbraw/zinc/35/17/98/362351798.db2.gz PNWRDOWDZOFFBG-UHFFFAOYSA-N 0 1 258.325 0.743 20 30 CCEDMN COCCN(CCC#N)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000602091671 362482018 /nfs/dbraw/zinc/48/20/18/362482018.db2.gz MSWMJARYVCGTGN-GHMZBOCLSA-N 0 1 262.313 0.902 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CCN(CC#N)CC1 ZINC000602102412 362489563 /nfs/dbraw/zinc/48/95/63/362489563.db2.gz IARGFSUWSKOGIE-UHFFFAOYSA-N 0 1 294.399 0.013 20 30 CCEDMN CN(C)C1(C(=O)N2CCN(CCC#N)CC2)CC1 ZINC000602200421 362554859 /nfs/dbraw/zinc/55/48/59/362554859.db2.gz OCUXJLPDEFRSJN-UHFFFAOYSA-N 0 1 250.346 0.138 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2cnn(C3CC3)c2)[nH]1 ZINC000602282191 362574725 /nfs/dbraw/zinc/57/47/25/362574725.db2.gz MZQYVJJCUMWRON-UHFFFAOYSA-N 0 1 256.269 0.497 20 30 CCEDMN CC(C)(C)n1cc(C#N)c(=NC(=O)c2cccnn2)[nH]1 ZINC000602283843 362575140 /nfs/dbraw/zinc/57/51/40/362575140.db2.gz SAOYTRPHLDDEQA-UHFFFAOYSA-N 0 1 270.296 0.974 20 30 CCEDMN CCN(CC(=O)N1CCN(CCC#N)CC1)C1CC1 ZINC000602206635 362558257 /nfs/dbraw/zinc/55/82/57/362558257.db2.gz REJRCHYHOCHKJA-UHFFFAOYSA-N 0 1 264.373 0.529 20 30 CCEDMN CNS(=O)(=O)[C@H]1CCCN(CCC(C)(C)C#N)C1 ZINC000371205278 267369749 /nfs/dbraw/zinc/36/97/49/267369749.db2.gz QDMBCWZBFLZIOF-NSHDSACASA-N 0 1 273.402 0.940 20 30 CCEDMN COC(=O)c1cc(CNC2CCN(CC#N)CC2)on1 ZINC000602540086 362666843 /nfs/dbraw/zinc/66/68/43/362666843.db2.gz VSLGJXNYDGNRNK-UHFFFAOYSA-N 0 1 278.312 0.539 20 30 CCEDMN C[C@@H](Oc1ccccc1C#N)C(=O)NC[C@H]1COCCN1 ZINC000602553845 362676614 /nfs/dbraw/zinc/67/66/14/362676614.db2.gz XDCRZWJPCRHTLP-YPMHNXCESA-N 0 1 289.335 0.430 20 30 CCEDMN N#Cc1cccc(CNC[C@H]2CCCC[N@H+]2CCO)n1 ZINC000602673185 362743442 /nfs/dbraw/zinc/74/34/42/362743442.db2.gz JBWINTGCYXIMEP-OAHLLOKOSA-N 0 1 274.368 0.890 20 30 CCEDMN CC[C@@H](CNS(C)(=O)=O)NCc1cccc(C#N)n1 ZINC000602679017 362744643 /nfs/dbraw/zinc/74/46/43/362744643.db2.gz IKGLMZVGTFIIJW-JTQLQIEISA-N 0 1 282.369 0.371 20 30 CCEDMN CN1CCN(Cc2ccc(C#N)c(F)c2)[C@H](CO)C1 ZINC000602716353 362766397 /nfs/dbraw/zinc/76/63/97/362766397.db2.gz UCXUJBICJAMCAF-ZDUSSCGKSA-N 0 1 263.316 0.806 20 30 CCEDMN CC(C)COC[C@H](O)CN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856574 362843640 /nfs/dbraw/zinc/84/36/40/362843640.db2.gz KXIDHNRAVRUKSA-SOUVJXGZSA-N 0 1 283.416 0.938 20 30 CCEDMN N#Cc1ccc(N2C(=O)N[C@H](Cc3cnc[nH]3)C2=O)cc1 ZINC000342916089 137103787 /nfs/dbraw/zinc/10/37/87/137103787.db2.gz AYELTHSVXQMBGW-GFCCVEGCSA-N 0 1 281.275 0.949 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccnc(C#N)c2)[C@@H](C)C1 ZINC000343021631 137110749 /nfs/dbraw/zinc/11/07/49/137110749.db2.gz ARNIBHZUOTYBKT-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@H](CC#N)NCCC(=O)NC(=O)NCC(F)(F)F ZINC000602869385 362852307 /nfs/dbraw/zinc/85/23/07/362852307.db2.gz NVPCLCOLNJAFHW-SSDOTTSWSA-N 0 1 280.250 0.656 20 30 CCEDMN COCC[C@@H](C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000602884239 362862935 /nfs/dbraw/zinc/86/29/35/362862935.db2.gz APVVIUFDRZEVQV-SECBINFHSA-N 0 1 265.317 0.508 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H]2CCOC2(C)C)[nH]1 ZINC000602889181 362866976 /nfs/dbraw/zinc/86/69/76/362866976.db2.gz XZGYMWZJOFARTM-SNVBAGLBSA-N 0 1 277.328 0.650 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CC2(O)CCCC2)CC1 ZINC000531293306 358453905 /nfs/dbraw/zinc/45/39/05/358453905.db2.gz NUJOEXSAFNJVFY-UHFFFAOYSA-N 0 1 265.357 0.786 20 30 CCEDMN CN1CCN(CCOc2cccc(C#N)c2)[C@H](CO)C1 ZINC000602906569 362878929 /nfs/dbraw/zinc/87/89/29/362878929.db2.gz XDGVMPYGDCRWQZ-AWEZNQCLSA-N 0 1 275.352 0.545 20 30 CCEDMN C[C@]12CN(C[C@H](C#N)CCC#N)C[C@]1(C)C(=O)NC2=O ZINC000602934023 362896145 /nfs/dbraw/zinc/89/61/45/362896145.db2.gz FBWJIUMLUZBUAD-ZLKJLUDKSA-N 0 1 274.324 0.415 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](OC)C[C@@]1(C)CO ZINC000602963995 362910372 /nfs/dbraw/zinc/91/03/72/362910372.db2.gz LPQYBXXJXXDMQN-NFAWXSAZSA-N 0 1 297.399 0.466 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCN(CC2CC2)CC1 ZINC000052165117 348506478 /nfs/dbraw/zinc/50/64/78/348506478.db2.gz LMRQJHYJFDEJHR-GFCCVEGCSA-N 0 1 251.374 0.705 20 30 CCEDMN C=CCCN(CC)CCNC(=O)[C@@H](C)S(C)(=O)=O ZINC001267245369 793236876 /nfs/dbraw/zinc/23/68/76/793236876.db2.gz FYZCKOYKUJZSJD-LLVKDONJSA-N 0 1 276.402 0.434 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)CC(=O)NCC)CC1 ZINC000067841138 348787555 /nfs/dbraw/zinc/78/75/55/348787555.db2.gz JBQWUDXINQFPNK-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN CCN(CCCN1C(=O)CNC1=O)C[C@@H](C)C#N ZINC000066424546 348742877 /nfs/dbraw/zinc/74/28/77/348742877.db2.gz GKDBBWONLJCUIS-JTQLQIEISA-N 0 1 252.318 0.410 20 30 CCEDMN Cc1nnc(N2CCN(CC(C)(C)O)CC2)c(C#N)c1C ZINC000070938118 348900267 /nfs/dbraw/zinc/90/02/67/348900267.db2.gz NCHZQDIJHDJQTK-UHFFFAOYSA-N 0 1 289.383 0.858 20 30 CCEDMN C[C@@H](Oc1ccccc1C#N)C(=O)NCCc1nc[nH]n1 ZINC000080586010 349220708 /nfs/dbraw/zinc/22/07/08/349220708.db2.gz BYKPYUQCIKJHAA-SNVBAGLBSA-N 0 1 285.307 0.803 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)C[C@H](O)C1CC1 ZINC000160814164 350707511 /nfs/dbraw/zinc/70/75/11/350707511.db2.gz MWIZNGABDXOXEK-KOLCDFICSA-N 0 1 269.345 0.089 20 30 CCEDMN CN1CCN(Cc2cn(Cc3ccc(C#N)cc3)nn2)CC1 ZINC000603162278 362979499 /nfs/dbraw/zinc/97/94/99/362979499.db2.gz QCJQOGQQMATRDT-UHFFFAOYSA-N 0 1 296.378 0.945 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2C(=O)NC)CC1 ZINC000171488917 350912352 /nfs/dbraw/zinc/91/23/52/350912352.db2.gz BFNHOKXXZXIJTF-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN CN[C@@H](C)c1cn(CC(=O)NCc2ccc(C#N)cc2)nn1 ZINC000603210069 363000545 /nfs/dbraw/zinc/00/05/45/363000545.db2.gz FEZHREBOALMMKW-NSHDSACASA-N 0 1 298.350 0.747 20 30 CCEDMN CNCc1cn(Cc2ccc(OC)c(C#N)c2)nn1 ZINC000603230110 363012445 /nfs/dbraw/zinc/01/24/45/363012445.db2.gz UYULQUUTLJEZQZ-UHFFFAOYSA-N 0 1 257.297 0.926 20 30 CCEDMN N#Cc1cc(C(=O)NCCCCN2CCOCC2)c[nH]1 ZINC000181218794 351307043 /nfs/dbraw/zinc/30/70/43/351307043.db2.gz NVJCJONUIZPBOL-UHFFFAOYSA-N 0 1 276.340 0.729 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1C(=O)C(=O)Nc1ccc(C#N)cc1 ZINC000415550478 233747287 /nfs/dbraw/zinc/74/72/87/233747287.db2.gz CJYMSADHBCDMKG-WDEREUQCSA-N 0 1 286.335 0.706 20 30 CCEDMN C[C@H](CNC(=O)c1ccnc(C#N)c1)N1CCN(C)CC1 ZINC000193253366 351818896 /nfs/dbraw/zinc/81/88/96/351818896.db2.gz AKSGVGLTEBALSL-GFCCVEGCSA-N 0 1 287.367 0.319 20 30 CCEDMN CCc1nnc(NC[C@H]2COCCN2)c(C#N)c1CC ZINC000234178319 352155514 /nfs/dbraw/zinc/15/55/14/352155514.db2.gz SIFKTOXLYDIBNI-JTQLQIEISA-N 0 1 275.356 0.873 20 30 CCEDMN COc1cc(CN[C@@H]2CCC(=O)N(C)C2=O)ccc1C#N ZINC000234940467 352178455 /nfs/dbraw/zinc/17/84/55/352178455.db2.gz WPZLMLPXYHIYNT-GFCCVEGCSA-N 0 1 287.319 0.804 20 30 CCEDMN C=CCNC(=O)c1ccccc1NC(=O)[C@@H]1CNCCO1 ZINC000236667325 352203284 /nfs/dbraw/zinc/20/32/84/352203284.db2.gz VSNYQSIUUYSFIW-ZDUSSCGKSA-N 0 1 289.335 0.529 20 30 CCEDMN COCC1(S(=O)(=O)Nc2ccn(CCC#N)n2)CC1 ZINC000603416823 363120730 /nfs/dbraw/zinc/12/07/30/363120730.db2.gz ARJMDTMTTHXJCX-UHFFFAOYSA-N 0 1 284.341 0.717 20 30 CCEDMN C=CC[C@H](C)NC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000265328753 352631619 /nfs/dbraw/zinc/63/16/19/352631619.db2.gz VGABTFOAWWNCSS-NWDGAFQWSA-N 0 1 282.388 0.590 20 30 CCEDMN C[C@@H](NCC(=O)N1CCNC1=O)c1ccc(C#N)cc1 ZINC000271607171 191237176 /nfs/dbraw/zinc/23/71/76/191237176.db2.gz AJQWNMPLJCRGKA-SNVBAGLBSA-N 0 1 272.308 0.761 20 30 CCEDMN Cc1nc(CCNc2ncc([N+](=O)[O-])cc2C#N)n[nH]1 ZINC000267505411 352771205 /nfs/dbraw/zinc/77/12/05/352771205.db2.gz KEINXQNYULOLHA-UHFFFAOYSA-N 0 1 273.256 0.943 20 30 CCEDMN Cc1nnc(CCNc2ncc([N+](=O)[O-])cc2C#N)[nH]1 ZINC000267505411 352771208 /nfs/dbraw/zinc/77/12/08/352771208.db2.gz KEINXQNYULOLHA-UHFFFAOYSA-N 0 1 273.256 0.943 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cnccc2C#N)C[C@@H]1C ZINC000270004574 352895093 /nfs/dbraw/zinc/89/50/93/352895093.db2.gz ZHQWTSYBYFUCOI-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C#CCN1CCC(C(=O)NOCc2cccnc2)CC1 ZINC000153219877 188071929 /nfs/dbraw/zinc/07/19/29/188071929.db2.gz GVHWIELCOHAJNK-UHFFFAOYSA-N 0 1 273.336 0.975 20 30 CCEDMN C#CCCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000276953831 353213123 /nfs/dbraw/zinc/21/31/23/353213123.db2.gz CJHKCYNSNQQJQV-UHFFFAOYSA-N 0 1 250.220 0.583 20 30 CCEDMN CC[C@H]1CN(c2nc(C)cc(C#N)n2)CCN1C[C@@H](C)O ZINC000281080927 353389526 /nfs/dbraw/zinc/38/95/26/353389526.db2.gz BUYSQUHULXTSAG-OCCSQVGLSA-N 0 1 289.383 0.938 20 30 CCEDMN COc1cc(CN(C)CC(C)(CO)CO)ccc1C#N ZINC000282500439 353430199 /nfs/dbraw/zinc/43/01/99/353430199.db2.gz YBGRHOZOVFVCDA-UHFFFAOYSA-N 0 1 278.352 0.990 20 30 CCEDMN CN(C1CCN(C)CC1)S(=O)(=O)c1ccc(C#N)o1 ZINC000286372542 353581717 /nfs/dbraw/zinc/58/17/17/353581717.db2.gz CSHYAAVFXQSVED-UHFFFAOYSA-N 0 1 283.353 0.866 20 30 CCEDMN N#Cc1cncc(S(=O)(=O)N2CCCc3[nH]ncc32)c1 ZINC000290152861 353712000 /nfs/dbraw/zinc/71/20/00/353712000.db2.gz NRXAVAMMDLJTPZ-UHFFFAOYSA-N 0 1 289.320 0.818 20 30 CCEDMN CN(C)CC(=O)N1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000293696073 353831548 /nfs/dbraw/zinc/83/15/48/353831548.db2.gz KOFLZAJPMYXDFQ-GFCCVEGCSA-N 0 1 274.324 0.495 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CC(C)(C)CO ZINC000295206438 353891849 /nfs/dbraw/zinc/89/18/49/353891849.db2.gz LMQQGJJEXFBQMY-SNVBAGLBSA-N 0 1 271.361 0.337 20 30 CCEDMN CCc1nc(CNC(=O)C#Cc2ccc3c(c2)OCO3)n[nH]1 ZINC000295725652 353914537 /nfs/dbraw/zinc/91/45/37/353914537.db2.gz QXUUCSUCABRLSV-UHFFFAOYSA-N 0 1 298.302 0.764 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NCCn1cccc1 ZINC000296415325 353946857 /nfs/dbraw/zinc/94/68/57/353946857.db2.gz LHEHGAFZRISUGA-UHFFFAOYSA-N 0 1 262.357 0.742 20 30 CCEDMN N#Cc1cccc(C#N)c1NCCCc1nc(=O)[nH][nH]1 ZINC000302904801 354092082 /nfs/dbraw/zinc/09/20/82/354092082.db2.gz VHXGVYYVPYNSEB-UHFFFAOYSA-N 0 1 268.280 0.886 20 30 CCEDMN Cn1cc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)ccc1=O ZINC000329138744 354252742 /nfs/dbraw/zinc/25/27/42/354252742.db2.gz ILEWSHQXOHAYAP-SNVBAGLBSA-N 0 1 272.308 0.971 20 30 CCEDMN C=CCCCCCNC(=O)[C@@H](N)CCS(C)(=O)=O ZINC000655489015 412593119 /nfs/dbraw/zinc/59/31/19/412593119.db2.gz QOHQFAINBICTBT-NSHDSACASA-N 0 1 276.402 0.611 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H](C2CC2)O1 ZINC000619320234 366235738 /nfs/dbraw/zinc/23/57/38/366235738.db2.gz VDYJNPLXLQKXSJ-SKDRFNHKSA-N 0 1 289.339 0.745 20 30 CCEDMN N#Cc1cccc(C2(NCC(=O)NC(N)=O)CC2)c1 ZINC000271778748 191319970 /nfs/dbraw/zinc/31/99/70/191319970.db2.gz LIAAXPGTDSYYRG-UHFFFAOYSA-N 0 1 258.281 0.332 20 30 CCEDMN C[C@@H](C(=O)N1CCN(C)[C@H](C)[C@@H]1C)n1cnc(C#N)n1 ZINC000336991287 355242086 /nfs/dbraw/zinc/24/20/86/355242086.db2.gz LJABNAVOIKETKF-VWYCJHECSA-N 0 1 276.344 0.262 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(C3CCC3)CC2)C1=O ZINC000337176033 355277951 /nfs/dbraw/zinc/27/79/51/355277951.db2.gz JBIFRBKLJITFSU-CQSZACIVSA-N 0 1 263.385 0.943 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](OCCOC)C2)C1=O ZINC000337186246 355280695 /nfs/dbraw/zinc/28/06/95/355280695.db2.gz DEEJUKWIOPUALJ-CHWSQXEVSA-N 0 1 268.357 0.511 20 30 CCEDMN CN(C[C@H](O)COc1ccc(C#N)cc1)[C@H]1CCNC1=O ZINC000340227206 355532971 /nfs/dbraw/zinc/53/29/71/355532971.db2.gz WGNPKNGKEYTOHV-JSGCOSHPSA-N 0 1 289.335 0.118 20 30 CCEDMN COCC(C)(C)N1CCN(c2nccc(C#N)n2)CC1 ZINC000425277755 235280001 /nfs/dbraw/zinc/28/00/01/235280001.db2.gz IXNVXJCBYVRMMO-UHFFFAOYSA-N 0 1 275.356 0.895 20 30 CCEDMN C[C@H]1[C@@H](NS(=O)(=O)CCCC#N)CCCN1C ZINC000344017167 355782082 /nfs/dbraw/zinc/78/20/82/355782082.db2.gz FBMQEWMBZRBKAQ-QWRGUYRKSA-N 0 1 259.375 0.692 20 30 CCEDMN N#CCNC(=O)CNC(=O)c1cc(F)cc2nc[nH]c21 ZINC000344189128 355789292 /nfs/dbraw/zinc/78/92/92/355789292.db2.gz YHUGUIPUQRLZRR-UHFFFAOYSA-N 0 1 275.243 0.072 20 30 CCEDMN N#Cc1ccc(CN2C(=O)N[C@@H](Cc3cnc[nH]3)C2=O)cc1 ZINC000348383376 355922557 /nfs/dbraw/zinc/92/25/57/355922557.db2.gz IJJYVSUVERRUKL-ZDUSSCGKSA-N 0 1 295.302 0.944 20 30 CCEDMN C[C@@H]1[C@@H](NCc2cc(C#N)n(C)c2)CCS1(=O)=O ZINC000353864348 356307030 /nfs/dbraw/zinc/30/70/30/356307030.db2.gz IHXOITYIPAVKMH-SKDRFNHKSA-N 0 1 267.354 0.562 20 30 CCEDMN CCNC(=O)CCN1CCN(c2cccc(C#N)n2)CC1 ZINC000355098945 356576771 /nfs/dbraw/zinc/57/67/71/356576771.db2.gz FOKZZCGMMFEFRE-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)N2C[C@@H]3CC[C@@H](O)[C@H]3C2)cc1 ZINC000344030588 283188151 /nfs/dbraw/zinc/18/81/51/283188151.db2.gz BZFDAIBKIZEEOZ-BYNSBNAKSA-N 0 1 285.347 0.787 20 30 CCEDMN Cc1cc(CNC(=O)N=c2ccn(CCC#N)[nH]2)n[nH]1 ZINC000610325993 363551834 /nfs/dbraw/zinc/55/18/34/363551834.db2.gz VZFMAGRYPLHVDV-UHFFFAOYSA-N 0 1 273.300 0.572 20 30 CCEDMN C[C@@H](NCc1cn(C)c(=O)n(C)c1=O)c1cccc(C#N)c1 ZINC000610345120 363553755 /nfs/dbraw/zinc/55/37/55/363553755.db2.gz SIVYMGZBEQCJFL-LLVKDONJSA-N 0 1 298.346 0.806 20 30 CCEDMN C[C@H](C(=O)N1CCN(C)CC1(C)C)n1cnc(C#N)n1 ZINC000360246082 356976581 /nfs/dbraw/zinc/97/65/81/356976581.db2.gz SEWLNGMJTXYDJM-SNVBAGLBSA-N 0 1 276.344 0.263 20 30 CCEDMN C=CCCS(=O)(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC000360134590 356955284 /nfs/dbraw/zinc/95/52/84/356955284.db2.gz XGCSFGUWQIXOFB-CMPLNLGQSA-N 0 1 258.387 0.965 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCN2CCCOCC2)C1=O ZINC000361388002 357046629 /nfs/dbraw/zinc/04/66/29/357046629.db2.gz CJHLPIPTKKZRIL-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN COCCCN(C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610527803 363572935 /nfs/dbraw/zinc/57/29/35/363572935.db2.gz KHRDJYSZVYFGMF-UHFFFAOYSA-N 0 1 251.290 0.214 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2CCOCC2)[nH]1 ZINC000610561008 363576997 /nfs/dbraw/zinc/57/69/97/363576997.db2.gz CIVUUTSYZZCQJF-UHFFFAOYSA-N 0 1 263.301 0.262 20 30 CCEDMN N#Cc1ccc2ncc(CN3CC[C@H](CC(N)=O)C3)n2c1 ZINC000377850726 357624054 /nfs/dbraw/zinc/62/40/54/357624054.db2.gz GORDCTJLUGECPR-LLVKDONJSA-N 0 1 283.335 0.903 20 30 CCEDMN N#Cc1cccc(NC(=O)CN2CC[C@H](CC(N)=O)C2)c1 ZINC000377603433 357594561 /nfs/dbraw/zinc/59/45/61/357594561.db2.gz FXYZIBVMZFMJET-GFCCVEGCSA-N 0 1 286.335 0.694 20 30 CCEDMN N#CCC1CCN(S(=O)(=O)c2ncc[nH]2)CC1 ZINC000397180560 357770588 /nfs/dbraw/zinc/77/05/88/357770588.db2.gz JABDSDKTHDSOIX-UHFFFAOYSA-N 0 1 254.315 0.724 20 30 CCEDMN CCOC(=O)[C@@H](C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610634396 363588906 /nfs/dbraw/zinc/58/89/06/363588906.db2.gz JZMAPZLQTOGJCF-QMMMGPOBSA-N 0 1 279.300 0.034 20 30 CCEDMN Cc1onc(CC(=O)N2C[C@@H](C)[N@H+](C)C[C@@H]2C)c1C#N ZINC000408150055 357941554 /nfs/dbraw/zinc/94/15/54/357941554.db2.gz KRPDPQLFKDWQDA-ZJUUUORDSA-N 0 1 276.340 0.948 20 30 CCEDMN Cc1onc(CC(=O)N2C[C@@H](C)N(C)C[C@@H]2C)c1C#N ZINC000408150055 357941558 /nfs/dbraw/zinc/94/15/58/357941558.db2.gz KRPDPQLFKDWQDA-ZJUUUORDSA-N 0 1 276.340 0.948 20 30 CCEDMN C#CCNC(=O)CCN([C@@H](C)C(=O)OC)C1CCC1 ZINC000294672329 199128380 /nfs/dbraw/zinc/12/83/80/199128380.db2.gz RWSAFQJNCZTPHZ-NSHDSACASA-N 0 1 266.341 0.542 20 30 CCEDMN C[C@H]1CN(C(=O)NCC2(CC#N)CC2)[C@@H](C)CN1CCO ZINC000419525736 358172217 /nfs/dbraw/zinc/17/22/17/358172217.db2.gz UFEHRSORFGNXNB-STQMWFEESA-N 0 1 294.399 0.777 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@]3(CCOC3)C2)[nH]1 ZINC000610698474 363600577 /nfs/dbraw/zinc/60/05/77/363600577.db2.gz HSKHDSJCZZQDCE-ZDUSSCGKSA-N 0 1 275.312 0.358 20 30 CCEDMN C[C@H](C[C@H]1CCOC1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610744167 363606718 /nfs/dbraw/zinc/60/67/18/363606718.db2.gz CUMSXKDMBFBJSM-NXEZZACHSA-N 0 1 277.328 0.650 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@H]2CCC[C@@H]21 ZINC000610762668 363609800 /nfs/dbraw/zinc/60/98/00/363609800.db2.gz LEPDZNDQLDGKPP-AXFHLTTASA-N 0 1 251.330 0.871 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCC[C@@H]3OCC[C@@H]32)[nH]1 ZINC000610767525 363611870 /nfs/dbraw/zinc/61/18/70/363611870.db2.gz XWLJYIKZFCDADO-UTUOFQBUSA-N 0 1 289.339 0.793 20 30 CCEDMN C[C@@H](CNC(=O)c1cccc(C#N)n1)N1CCN(C)CC1 ZINC000589426898 359381147 /nfs/dbraw/zinc/38/11/47/359381147.db2.gz DQHHVULRPYRAAY-LBPRGKRZSA-N 0 1 287.367 0.319 20 30 CCEDMN CCN1CCN([C@@H](C)C(=O)N(C)CCC#N)C[C@H]1C ZINC000611092554 363658826 /nfs/dbraw/zinc/65/88/26/363658826.db2.gz ZFVPDICGDUBPAP-OLZOCXBDSA-N 0 1 266.389 0.773 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3cccnc3C#N)[C@H]2C1 ZINC000589928232 359410288 /nfs/dbraw/zinc/41/02/88/359410288.db2.gz DYFVKJMNJRBRTR-PWSUYJOCSA-N 0 1 292.364 0.278 20 30 CCEDMN CS(=O)(=O)N[C@@H]1CCCN([C@H]2CC[C@H](C#N)C2)C1 ZINC000590157835 359427547 /nfs/dbraw/zinc/42/75/47/359427547.db2.gz UTUZRMNLWJQGJC-TUAOUCFPSA-N 0 1 271.386 0.692 20 30 CCEDMN Cn1ccnc1-c1cc(=NC(=O)c2cccc(C#N)n2)[nH][nH]1 ZINC000589455617 359383169 /nfs/dbraw/zinc/38/31/69/359383169.db2.gz SJYGUKDQGWMGGJ-UHFFFAOYSA-N 0 1 293.290 0.751 20 30 CCEDMN CC(=O)NC[C@@H]1CN(C[C@@H](C)CCC#N)CCO1 ZINC000589820436 359402671 /nfs/dbraw/zinc/40/26/71/359402671.db2.gz OLMNQSDYMPQSAR-WCQYABFASA-N 0 1 253.346 0.763 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000590936295 359540116 /nfs/dbraw/zinc/54/01/16/359540116.db2.gz GQYFLFMILWMCML-SDDRHHMPSA-N 0 1 268.361 0.243 20 30 CCEDMN CC[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)C(F)F ZINC000590819887 359526728 /nfs/dbraw/zinc/52/67/28/359526728.db2.gz ZGTAYCFPIBDUIG-SSDOTTSWSA-N 0 1 257.244 0.879 20 30 CCEDMN CC[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@@H](O)C(C)C ZINC000591338188 359579405 /nfs/dbraw/zinc/57/94/05/359579405.db2.gz UPUZRFYGDHSWLE-QWRGUYRKSA-N 0 1 279.344 0.631 20 30 CCEDMN COC1([C@@H](C)NC(=O)N=c2[nH]n(C)cc2C#N)CCC1 ZINC000591338108 359579883 /nfs/dbraw/zinc/57/98/83/359579883.db2.gz GIOCAOYTDYPSNH-SECBINFHSA-N 0 1 277.328 0.793 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC000591372938 359585756 /nfs/dbraw/zinc/58/57/56/359585756.db2.gz AZXUCLNPWLISHC-GFCCVEGCSA-N 0 1 299.440 0.478 20 30 CCEDMN CN(C[C@@H]1CCC[N@H+]1C)C(=O)N=c1[n-]n(C)cc1C#N ZINC000591483829 359597758 /nfs/dbraw/zinc/59/77/58/359597758.db2.gz DHXINVWHJSDEIG-NSHDSACASA-N 0 1 276.344 0.272 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CNCC2(C#N)CC2)C[C@@H](C)O1 ZINC000591764637 359655103 /nfs/dbraw/zinc/65/51/03/359655103.db2.gz IVEPXZZNFADDKB-UPJWGTAASA-N 0 1 267.373 0.350 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NC[C@H](O)c1ccc(C)o1 ZINC000297074135 200078059 /nfs/dbraw/zinc/07/80/59/200078059.db2.gz YXUAJYXLEJODDE-ZDUSSCGKSA-N 0 1 293.367 0.876 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)NCc2ccc3cncn3c2)C1 ZINC000592029758 359737277 /nfs/dbraw/zinc/73/72/77/359737277.db2.gz RSTJIVUEFKPFFE-HNNXBMFYSA-N 0 1 299.334 0.894 20 30 CCEDMN CO[C@@H]1COC[C@H]1NCC(=O)NCc1cccc(C#N)c1 ZINC000592151631 359770544 /nfs/dbraw/zinc/77/05/44/359770544.db2.gz HKSRPPZKRGIFNG-ZIAGYGMSSA-N 0 1 289.335 0.178 20 30 CCEDMN N#CC[C@]1(O)CCN(CCOC[C@H]2CCCO2)C1 ZINC000592152427 359770912 /nfs/dbraw/zinc/77/09/12/359770912.db2.gz XONMYYXRLIKZAO-OLZOCXBDSA-N 0 1 254.330 0.532 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC[C@@H]3CCO)cnc12 ZINC000563151549 291199558 /nfs/dbraw/zinc/19/95/58/291199558.db2.gz YFZGIOJJBSUNBK-CYBMUJFWSA-N 0 1 271.324 0.948 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN[C@@H](CO)c1ccsc1 ZINC000592431687 359839501 /nfs/dbraw/zinc/83/95/01/359839501.db2.gz ZQOOKUABVIVKIF-STQMWFEESA-N 0 1 283.397 0.577 20 30 CCEDMN N#CCCN1CCN(CCC(=O)N2CCCCC2)CC1 ZINC000611175975 363672195 /nfs/dbraw/zinc/67/21/95/363672195.db2.gz PRYBKBKNPRTZOK-UHFFFAOYSA-N 0 1 278.400 0.920 20 30 CCEDMN CCc1nnsc1C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592647112 359908506 /nfs/dbraw/zinc/90/85/06/359908506.db2.gz PTKSHNRHGFZAAY-UHFFFAOYSA-N 0 1 276.325 0.688 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cnn(CCF)c2)[nH]n1C ZINC000592651790 359909647 /nfs/dbraw/zinc/90/96/47/359909647.db2.gz VOGILJTVWOMGDO-UHFFFAOYSA-N 0 1 276.275 0.440 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2ccn(C(F)F)n2)[nH]n1C ZINC000592655912 359910265 /nfs/dbraw/zinc/91/02/65/359910265.db2.gz ZDVNNSBXXPRFBH-UHFFFAOYSA-N 0 1 280.238 0.866 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000592848481 359954251 /nfs/dbraw/zinc/95/42/51/359954251.db2.gz XQIYIRWWTXVTLD-OAHLLOKOSA-N 0 1 297.318 0.962 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CC[C@@H](N2CCN(CC)CC2)C1 ZINC000593456966 360093196 /nfs/dbraw/zinc/09/31/96/360093196.db2.gz KIQHUOMIAAWWAX-ZIAGYGMSSA-N 0 1 278.400 0.775 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(CC[C@H]2CCNC2=O)CC1 ZINC000593968772 360225130 /nfs/dbraw/zinc/22/51/30/360225130.db2.gz PGOLUAUMMMLVEG-ZIAGYGMSSA-N 0 1 278.400 0.678 20 30 CCEDMN N#CCCCCC(=O)Nc1nc(SCCO)n[nH]1 ZINC000594417853 360298137 /nfs/dbraw/zinc/29/81/37/360298137.db2.gz QKHGEEQQHVOWRA-UHFFFAOYSA-N 0 1 269.330 0.912 20 30 CCEDMN CC[C@H](CO)N1CCN(C(=O)CCCCC#N)CC1 ZINC000594321616 360274348 /nfs/dbraw/zinc/27/43/48/360274348.db2.gz LFQNQHNKLGJAKF-CYBMUJFWSA-N 0 1 267.373 0.985 20 30 CCEDMN N#Cc1cc(F)c(S(=O)(=O)NC2(CO)CC2)c(F)c1 ZINC000611407927 363714995 /nfs/dbraw/zinc/71/49/95/363714995.db2.gz OVIYYFAQMAWVIU-UHFFFAOYSA-N 0 1 288.275 0.640 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC000611472040 363719393 /nfs/dbraw/zinc/71/93/93/363719393.db2.gz LNRLECLUIXDGMD-LBPRGKRZSA-N 0 1 276.340 0.727 20 30 CCEDMN C[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@H]1CCCCO1 ZINC000611481071 363720375 /nfs/dbraw/zinc/72/03/75/363720375.db2.gz VZXOUPMFXQWHCT-GXSJLCMTSA-N 0 1 277.328 0.793 20 30 CCEDMN N#CC1(c2ccc(C(=O)NCc3nn[nH]n3)cc2)CC1 ZINC000611478140 363720957 /nfs/dbraw/zinc/72/09/57/363720957.db2.gz SOXHAHLVNXWCEC-UHFFFAOYSA-N 0 1 268.280 0.685 20 30 CCEDMN C[C@@H]1CN(c2nccnc2C#N)CCN1CCc1c[nH]nn1 ZINC000595339409 360500382 /nfs/dbraw/zinc/50/03/82/360500382.db2.gz OIBWSTFCSUSEBQ-LLVKDONJSA-N 0 1 298.354 0.220 20 30 CCEDMN N#CC1(CC(=O)NCC(=O)N2CCNCC2)CCCCC1 ZINC000595253242 360458691 /nfs/dbraw/zinc/45/86/91/360458691.db2.gz HWVUYDJJEIOPLT-UHFFFAOYSA-N 0 1 292.383 0.399 20 30 CCEDMN CO[C@@H](C)CS(=O)(=O)Nc1cc(C)c(C#N)cn1 ZINC000563426000 291228199 /nfs/dbraw/zinc/22/81/99/291228199.db2.gz YVRDPAMROFLKLH-VIFPVBQESA-N 0 1 269.326 0.460 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)CCCC#N)CCN1 ZINC000595413248 360525119 /nfs/dbraw/zinc/52/51/19/360525119.db2.gz SDPFVDODXLTGIN-NSHDSACASA-N 0 1 259.375 0.550 20 30 CCEDMN CCCN1CC[C@H](NS(=O)(=O)N(C)[C@H](C)CC#N)C1 ZINC000595353593 360505885 /nfs/dbraw/zinc/50/58/85/360505885.db2.gz HXVQAISNTCCJJV-NEPJUHHUSA-N 0 1 288.417 0.539 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N2CC[C@@](C)(C#N)C2)C1 ZINC000595498569 360551000 /nfs/dbraw/zinc/55/10/00/360551000.db2.gz FHDXSIFERRUCKJ-JSGCOSHPSA-N 0 1 279.388 0.177 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCN(C[C@H]3CCOC3)CC2)c1 ZINC000178084966 189089658 /nfs/dbraw/zinc/08/96/58/189089658.db2.gz VILNJPJBMUNXHP-GFCCVEGCSA-N 0 1 288.351 0.681 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)Cc1cnc[nH]1 ZINC000595595175 360593267 /nfs/dbraw/zinc/59/32/67/360593267.db2.gz DICQOKNPIWXKMK-CYBMUJFWSA-N 0 1 263.345 0.896 20 30 CCEDMN CCOC(=O)[C@](C)(O)C[N@H+]1CCC[C@H](CC#N)C1 ZINC000595619995 360600676 /nfs/dbraw/zinc/60/06/76/360600676.db2.gz IYYOGWMRXAHQOD-DGCLKSJQSA-N 0 1 254.330 0.926 20 30 CCEDMN COC(=O)[C@@H]1OCC[C@@H]1NCc1ccnc(C#N)c1 ZINC000595664665 360612463 /nfs/dbraw/zinc/61/24/63/360612463.db2.gz RZLLMVWAJFFIAU-NWDGAFQWSA-N 0 1 261.281 0.373 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H]1[C@@H](C)O ZINC000595766907 360645224 /nfs/dbraw/zinc/64/52/24/360645224.db2.gz SJHUHYGFAYDSAQ-JHJVBQTASA-N 0 1 267.373 0.840 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)[C@H]2CN(CCCCC#N)C[C@H]21 ZINC000595789432 360656137 /nfs/dbraw/zinc/65/61/37/360656137.db2.gz NLWWTNKJDWALEU-TUAOUCFPSA-N 0 1 272.370 0.424 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@H]2COC[C@H]2C1)C1CC1 ZINC000595827670 360670085 /nfs/dbraw/zinc/67/00/85/360670085.db2.gz CWIRSUWIMYVFIO-YWPYICTPSA-N 0 1 277.368 0.763 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)CC1(C#N)CCOCC1 ZINC000595920555 360704461 /nfs/dbraw/zinc/70/44/61/360704461.db2.gz UWXKZPCTSRWCMN-LLVKDONJSA-N 0 1 251.330 0.517 20 30 CCEDMN CC(C)(NC(=O)[C@H]1CNCCO1)c1cccc(C#N)c1 ZINC000595960130 360736869 /nfs/dbraw/zinc/73/68/69/360736869.db2.gz IXPCHLFGPNWUQC-CYBMUJFWSA-N 0 1 273.336 0.898 20 30 CCEDMN Cn1ncc(C#N)c1N1CCC[C@H](N2CCOCC2)CC1 ZINC000596295537 360872709 /nfs/dbraw/zinc/87/27/09/360872709.db2.gz WNPVHANINGPKEB-AWEZNQCLSA-N 0 1 289.383 0.983 20 30 CCEDMN CC(C)(C#N)CCCCN1C[C@H](O)C[C@H]1C(N)=O ZINC000596621271 360929554 /nfs/dbraw/zinc/92/95/54/360929554.db2.gz LPUMJSQCADLOIU-MNOVXSKESA-N 0 1 253.346 0.627 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc3[nH][nH]c(=O)c3c2)CC1 ZINC000597164211 361020894 /nfs/dbraw/zinc/02/08/94/361020894.db2.gz GLXLQNBICISNTK-UHFFFAOYSA-N 0 1 299.334 0.986 20 30 CCEDMN CO[C@@H](C(=O)NC1(C#N)CCN(C)CC1)C1CCC1 ZINC000597167791 361022104 /nfs/dbraw/zinc/02/21/04/361022104.db2.gz ZLCKMQUFRXYGSZ-GFCCVEGCSA-N 0 1 265.357 0.906 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000597302476 361056550 /nfs/dbraw/zinc/05/65/50/361056550.db2.gz XZXADAPGXQQEOM-QWHCGFSZSA-N 0 1 265.357 0.717 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000597541485 361133355 /nfs/dbraw/zinc/13/33/55/361133355.db2.gz KBBODISGXGOPLQ-VXGBXAGGSA-N 0 1 267.373 0.840 20 30 CCEDMN N#Cc1ccncc1C(=O)NCCCc1nc[nH]n1 ZINC000597611143 361162474 /nfs/dbraw/zinc/16/24/74/361162474.db2.gz UFDWJKSAFQGIHN-UHFFFAOYSA-N 0 1 256.269 0.434 20 30 CCEDMN CCN(C(=O)CN(C)c1ncnc2[nH]cnc21)[C@H](C)C#N ZINC000598353838 361394358 /nfs/dbraw/zinc/39/43/58/361394358.db2.gz UEUSJWOGPCSWNC-SECBINFHSA-N 0 1 287.327 0.550 20 30 CCEDMN CCN(C(=O)c1cc(S(N)(=O)=O)ccc1O)[C@H](C)C#N ZINC000598349443 361393234 /nfs/dbraw/zinc/39/32/34/361393234.db2.gz KKBMRDFAIPTNSL-MRVPVSSYSA-N 0 1 297.336 0.414 20 30 CCEDMN CCN(CC(=O)N(C)C)C[C@@H](O)CC(C)(C)C#N ZINC000598587016 361449024 /nfs/dbraw/zinc/44/90/24/361449024.db2.gz HDABQWWNDXVHAF-NSHDSACASA-N 0 1 255.362 0.697 20 30 CCEDMN CN1CCN(C[C@@H](O)CC(C)(C)C#N)C[C@@H]1c1ncc[nH]1 ZINC000598610136 361459373 /nfs/dbraw/zinc/45/93/73/361459373.db2.gz DIOXFIDUFGFFNF-QWHCGFSZSA-N 0 1 291.399 0.999 20 30 CCEDMN C[C@@H]1C(=O)NCCCN1C[C@@H](O)CC(C)(C)C#N ZINC000598617399 361460157 /nfs/dbraw/zinc/46/01/57/361460157.db2.gz SYHJSPBAQUQMSB-MNOVXSKESA-N 0 1 253.346 0.498 20 30 CCEDMN CC(C)(C#N)C[C@H](O)C[N@H+]1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000598617447 361460603 /nfs/dbraw/zinc/46/06/03/361460603.db2.gz RNLRWUQVCVJEGU-QWRGUYRKSA-N 0 1 293.371 0.990 20 30 CCEDMN CN1CCN(C[C@H](O)CC(C)(C)C#N)CCC1=O ZINC000598628132 361467309 /nfs/dbraw/zinc/46/73/09/361467309.db2.gz NLEZXDDWOLBADC-LLVKDONJSA-N 0 1 253.346 0.451 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](C)[C@H](CO)C1 ZINC000598647146 361472248 /nfs/dbraw/zinc/47/22/48/361472248.db2.gz CAJVKTNAZXXFKB-STQMWFEESA-N 0 1 267.373 0.699 20 30 CCEDMN N#Cc1cc([N+](=O)[O-])ccc1NCCN1CC[C@@H](O)C1 ZINC000599175645 361598425 /nfs/dbraw/zinc/59/84/25/361598425.db2.gz GJFKGCVNWFMDTH-GFCCVEGCSA-N 0 1 276.296 0.945 20 30 CCEDMN C=C[C@@H](C)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599258899 361624376 /nfs/dbraw/zinc/62/43/76/361624376.db2.gz RUGDHEXUHZXTPE-ZCFIWIBFSA-N 0 1 260.271 0.314 20 30 CCEDMN C=CCCNS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599338925 361659712 /nfs/dbraw/zinc/65/97/12/361659712.db2.gz JZYIQMXSUQFRAN-UHFFFAOYSA-N 0 1 260.275 0.183 20 30 CCEDMN C=CC[C@@H]1CCN(Cc2cn(CC(=O)OC)nn2)C1 ZINC000599529236 361726949 /nfs/dbraw/zinc/72/69/49/361726949.db2.gz KPRYFSCWPJDOOC-LLVKDONJSA-N 0 1 264.329 0.849 20 30 CCEDMN C[C@@H]1OCC[C@@]1(C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000612313486 363883343 /nfs/dbraw/zinc/88/33/43/363883343.db2.gz KFSAADBCZPMZKD-QPUJVOFHSA-N 0 1 263.301 0.403 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCN[C@@H]2CC[C@H]2O)cc1 ZINC000564728052 291296199 /nfs/dbraw/zinc/29/61/99/291296199.db2.gz CELXMQXSBPHLLV-CHWSQXEVSA-N 0 1 280.349 0.445 20 30 CCEDMN N#Cc1cc(C(=O)NCc2nn[nH]n2)ccc1Cl ZINC000613152863 364132284 /nfs/dbraw/zinc/13/22/84/364132284.db2.gz ZLRSKMRJUOCDIW-UHFFFAOYSA-N 0 1 262.660 0.655 20 30 CCEDMN CN(CCN1CCCC1=O)Cc1cc(C#N)n(C)c1 ZINC000564824182 291303595 /nfs/dbraw/zinc/30/35/95/291303595.db2.gz NIYIDHFRVLOMBW-UHFFFAOYSA-N 0 1 260.341 0.951 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCO[C@H](C3CC3)C2)[nH]1 ZINC000613205028 364157110 /nfs/dbraw/zinc/15/71/10/364157110.db2.gz LPFDTMKFHOMXAW-NEPJUHHUSA-N 0 1 289.339 0.793 20 30 CCEDMN CCN1CCC(NS(=O)(=O)CC2(C#N)CC2)CC1 ZINC000613262192 364187437 /nfs/dbraw/zinc/18/74/37/364187437.db2.gz FPZHCOUHTSKEFW-UHFFFAOYSA-N 0 1 271.386 0.694 20 30 CCEDMN C/C=C\C[C@@H](CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000613772728 364367573 /nfs/dbraw/zinc/36/75/73/364367573.db2.gz HVESFCPDLHXPIO-XOULXFPDSA-N 0 1 263.301 0.162 20 30 CCEDMN C=CCCN(C)C(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000613842345 364404242 /nfs/dbraw/zinc/40/42/42/364404242.db2.gz JBJBAUSSKVANRX-UHFFFAOYSA-N 0 1 284.337 0.688 20 30 CCEDMN COCCN(CC[NH+](C)C)C(=O)c1cc([O-])cc(C#N)c1 ZINC000614366611 364586295 /nfs/dbraw/zinc/58/62/95/364586295.db2.gz ZWANWZNYGKMBQS-UHFFFAOYSA-N 0 1 291.351 0.914 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000614521655 364647874 /nfs/dbraw/zinc/64/78/74/364647874.db2.gz QSPJVLAMRSLFHT-CHWSQXEVSA-N 0 1 268.357 0.104 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N1CC[C@](F)(C#N)C1 ZINC000615546092 365000854 /nfs/dbraw/zinc/00/08/54/365000854.db2.gz FQAPJKREFGUALT-OCCSQVGLSA-N 0 1 297.378 0.269 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C(C)(C)c2c[nH]cn2)C1=O ZINC000621991162 366971658 /nfs/dbraw/zinc/97/16/58/366971658.db2.gz IHWJWQWPJFXESH-LLVKDONJSA-N 0 1 290.367 0.933 20 30 CCEDMN C=CCCn1cc(CNC[C@H]2COCCN2C)nn1 ZINC000623714342 367629724 /nfs/dbraw/zinc/62/97/24/367629724.db2.gz ZPIWUPOYHCPEEY-ZDUSSCGKSA-N 0 1 265.361 0.274 20 30 CCEDMN C=CC[C@@H](CO)NS(=O)(=O)c1cc(O)cc(F)c1 ZINC000632207483 370922408 /nfs/dbraw/zinc/92/24/08/370922408.db2.gz JCLRJDOKFCOTOY-VIFPVBQESA-N 0 1 275.301 0.747 20 30 CCEDMN COc1ccc(CNC[C@@H]2CC(C(N)=O)=NO2)cc1C#N ZINC000276470638 193344712 /nfs/dbraw/zinc/34/47/12/193344712.db2.gz MPQOIJLIIQPTLP-NSHDSACASA-N 0 1 288.307 0.287 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N1CCNC[C@H]1C(C)C ZINC000629812020 369783318 /nfs/dbraw/zinc/78/33/18/369783318.db2.gz MOKWFPHSNSQKFL-NSHDSACASA-N 0 1 253.346 0.087 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CCNC[C@@H]1C(C)C ZINC000629815669 369784469 /nfs/dbraw/zinc/78/44/69/369784469.db2.gz RYJQEAMXTFHSBK-CYBMUJFWSA-N 0 1 281.400 0.867 20 30 CCEDMN C=CCn1cc(CNC(=O)CN(C)C2CCC2)nn1 ZINC000630008975 369891237 /nfs/dbraw/zinc/89/12/37/369891237.db2.gz HITDGHYSVFIFMD-UHFFFAOYSA-N 0 1 263.345 0.565 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1C[C@@H](OC)C[C@]1(C)C(=O)[O-] ZINC000634694970 372138882 /nfs/dbraw/zinc/13/88/82/372138882.db2.gz NNYPAORGOHVYQY-GMXVVIOVSA-N 0 1 257.330 0.878 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCCN1CC[C@H](O)C1 ZINC000637117672 373939002 /nfs/dbraw/zinc/93/90/02/373939002.db2.gz ZTWGPFXKJPTYDO-RDBSUJKOSA-N 0 1 297.399 0.333 20 30 CCEDMN C=CC(C)(C)CNC(=O)NCCN1CC[C@H](O)C1 ZINC000637192309 373990580 /nfs/dbraw/zinc/99/05/80/373990580.db2.gz YMODVSCBUYITNO-NSHDSACASA-N 0 1 255.362 0.564 20 30 CCEDMN C=CCOCCn1cc([C@H](N)[C@H]2CCCO2)nn1 ZINC000641030203 376686645 /nfs/dbraw/zinc/68/66/45/376686645.db2.gz UJTGNZDOJGYMAG-NEPJUHHUSA-N 0 1 252.318 0.660 20 30 CCEDMN C=CCN1CC[C@H](n2cc([C@H](N)[C@H]3CCCO3)nn2)C1=O ZINC000641110039 376791640 /nfs/dbraw/zinc/79/16/40/376791640.db2.gz XWENYTGXCZREFK-XQQFMLRXSA-N 0 1 291.355 0.416 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(C)C(C)(C)C1 ZINC000641816936 377487526 /nfs/dbraw/zinc/48/75/26/377487526.db2.gz LQJZCZALARGSCU-UHFFFAOYSA-N 0 1 255.362 0.925 20 30 CCEDMN C=CCCn1cc(CNCCCNC(C)=O)nn1 ZINC000657299828 412952609 /nfs/dbraw/zinc/95/26/09/412952609.db2.gz ZWNRHPVKJYFCCU-UHFFFAOYSA-N 0 1 251.334 0.470 20 30 CCEDMN C=CCCn1cc(CN[C@H](C)CN2CCOCC2)nn1 ZINC000657309929 412955581 /nfs/dbraw/zinc/95/55/81/412955581.db2.gz HSKKSSGTAOMHQP-CYBMUJFWSA-N 0 1 279.388 0.665 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC000329987509 532973683 /nfs/dbraw/zinc/97/36/83/532973683.db2.gz GEAIFKLFWCPRDJ-MNOVXSKESA-N 0 1 284.360 0.621 20 30 CCEDMN C=CCCn1cc(CNC[C@H](CO)[C@@H]2CCOC2)nn1 ZINC000657455204 412996580 /nfs/dbraw/zinc/99/65/80/412996580.db2.gz PZAHGPMKNMLSGR-CHWSQXEVSA-N 0 1 280.372 0.589 20 30 CCEDMN C=CCCn1cc(CNC[C@H]2CC[C@@H](CO)O2)nn1 ZINC000657473013 413000349 /nfs/dbraw/zinc/00/03/49/413000349.db2.gz DTUXPCUXRZHDNI-OLZOCXBDSA-N 0 1 266.345 0.484 20 30 CCEDMN C=CCCn1cc(CNC[C@H](OC)[C@@H]2CCOC2)nn1 ZINC000657540118 413020299 /nfs/dbraw/zinc/02/02/99/413020299.db2.gz CFWGPOFWNLEUQJ-OCCSQVGLSA-N 0 1 280.372 0.995 20 30 CCEDMN C=CCCOCCNC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000183393657 388754320 /nfs/dbraw/zinc/75/43/20/388754320.db2.gz PORHXTFQSWGCBQ-VIFPVBQESA-N 0 1 253.306 0.758 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N[C@H](C)c1cnn(C)c1C ZINC000183403607 388754556 /nfs/dbraw/zinc/75/45/56/388754556.db2.gz GHUIMIQWSPDUSI-ZJUUUORDSA-N 0 1 293.371 0.779 20 30 CCEDMN NC(=O)[C@]1(O)CC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000284817818 388782670 /nfs/dbraw/zinc/78/26/70/388782670.db2.gz AISIGHXBSRUAHF-AWEZNQCLSA-N 0 1 278.739 0.614 20 30 CCEDMN NC(=O)[C@]1(O)CCN(CC#Cc2cccc(Cl)c2)C1 ZINC000284817818 388782673 /nfs/dbraw/zinc/78/26/73/388782673.db2.gz AISIGHXBSRUAHF-AWEZNQCLSA-N 0 1 278.739 0.614 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCN(C)[C@@H](Cc2ccccc2)C1 ZINC000284795552 388783743 /nfs/dbraw/zinc/78/37/43/388783743.db2.gz OZWCQLBBSLIDMV-WBVHZDCISA-N 0 1 299.418 0.983 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC000288641249 388864566 /nfs/dbraw/zinc/86/45/66/388864566.db2.gz SVVWRFPADKCGQE-VXGBXAGGSA-N 0 1 279.384 0.810 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC000289008595 388867497 /nfs/dbraw/zinc/86/74/97/388867497.db2.gz KFZXJPIOEMMITA-ZYHUDNBSSA-N 0 1 265.357 0.230 20 30 CCEDMN CN1CCC(NS(=O)(=O)c2ccc(C#N)cc2)CC1 ZINC000037247458 388846401 /nfs/dbraw/zinc/84/64/01/388846401.db2.gz MMNBAKGYHJDROB-UHFFFAOYSA-N 0 1 279.365 0.931 20 30 CCEDMN CC[C@@H](O)CN1CCN(c2ccc(C#N)cn2)CC1 ZINC000075645106 388983915 /nfs/dbraw/zinc/98/39/15/388983915.db2.gz KRNRNOGRZCCAQJ-CYBMUJFWSA-N 0 1 260.341 0.846 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCCCC2)C[C@H]1CO ZINC000190913935 389001703 /nfs/dbraw/zinc/00/17/03/389001703.db2.gz BYPXCGGVLXLCCX-OLZOCXBDSA-N 0 1 279.384 0.889 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@@H](C)CN2CCOCC2)C1=O ZINC000337225446 389056920 /nfs/dbraw/zinc/05/69/20/389056920.db2.gz FIIICMJJSKRSTE-UONOGXRCSA-N 0 1 281.400 0.426 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H](CN3CCOCC3)C2)nn1 ZINC000301880342 389060571 /nfs/dbraw/zinc/06/05/71/389060571.db2.gz PJGSVKPUALIZCC-CYBMUJFWSA-N 0 1 287.367 0.897 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(Cc2nc(C)no2)CC1 ZINC000305071055 389083628 /nfs/dbraw/zinc/08/36/28/389083628.db2.gz JIHQSRBZBHEHLG-ZDUSSCGKSA-N 0 1 280.372 0.823 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN(C)C(C)C)C1=O ZINC000337103428 389045691 /nfs/dbraw/zinc/04/56/91/389045691.db2.gz XZZXOHARDSHNRX-GFCCVEGCSA-N 0 1 267.373 0.572 20 30 CCEDMN C=CC[C@H](CO)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000358291895 389147067 /nfs/dbraw/zinc/14/70/67/389147067.db2.gz USXAYMYWUXXUIX-NXEZZACHSA-N 0 1 264.329 0.503 20 30 CCEDMN C[C@H]1CN2CCCC[C@@H]2CN1C(=O)c1cnn(C)c1C#N ZINC000356143558 389120620 /nfs/dbraw/zinc/12/06/20/389120620.db2.gz BLOFJFIPDCIJAT-NWDGAFQWSA-N 0 1 287.367 0.991 20 30 CCEDMN C[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@H](C)N1C ZINC000339612250 389135320 /nfs/dbraw/zinc/13/53/20/389135320.db2.gz BBQNGWIGPKQBKQ-OKILXGFUSA-N 0 1 291.399 0.667 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(CC)[C@H](C)CO ZINC000339627758 389136551 /nfs/dbraw/zinc/13/65/51/389136551.db2.gz XOVHCMUVNDELFP-NWDGAFQWSA-N 0 1 255.362 0.697 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)N(C)[C@H](C)C1 ZINC000339620654 389136855 /nfs/dbraw/zinc/13/68/55/389136855.db2.gz CVLSQKBSEDLGQH-JHJVBQTASA-N 0 1 278.400 0.962 20 30 CCEDMN C[C@H](CN1CCCC1=O)NC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000328766429 389136914 /nfs/dbraw/zinc/13/69/14/389136914.db2.gz HESVNKAMRAVCCD-ZJUUUORDSA-N 0 1 291.355 0.877 20 30 CCEDMN C[C@H](CN1CCCC1=O)NC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000328766429 389136915 /nfs/dbraw/zinc/13/69/15/389136915.db2.gz HESVNKAMRAVCCD-ZJUUUORDSA-N 0 1 291.355 0.877 20 30 CCEDMN CN(C(=O)NCCN1CCCOCC1)C1CCOCC1 ZINC000328776788 389136920 /nfs/dbraw/zinc/13/69/20/389136920.db2.gz FPSWBWSXQKPRPQ-UHFFFAOYSA-N 0 1 285.388 0.734 20 30 CCEDMN C[C@@H](CNC(=O)CN1CCCCC1=O)N1CCN(C)CC1 ZINC000328764861 389136923 /nfs/dbraw/zinc/13/69/23/389136923.db2.gz GOKCDZIVYJNOLR-ZDUSSCGKSA-N 0 1 296.415 0.591 20 30 CCEDMN Cc1nnc2n1C[C@H](CNC(=O)N[C@@]1(C)CCOC1)CC2 ZINC000328877233 389142417 /nfs/dbraw/zinc/14/24/17/389142417.db2.gz TWQRTIPZILTFHD-FZMZJTMJSA-N 0 1 293.371 0.832 20 30 CCEDMN Cc1nnc2n1C[C@H](C[NH+]=C([O-])N[C@@]1(C)CCOC1)CC2 ZINC000328877233 389142418 /nfs/dbraw/zinc/14/24/18/389142418.db2.gz TWQRTIPZILTFHD-FZMZJTMJSA-N 0 1 293.371 0.832 20 30 CCEDMN Cc1nnc2n1C[C@H](CNC([O-])=[NH+]C[C@@H]1CCCO1)CC2 ZINC000329669525 389185522 /nfs/dbraw/zinc/18/55/22/389185522.db2.gz SNXWGMPUNIQYNJ-RYUDHWBXSA-N 0 1 293.371 0.832 20 30 CCEDMN Cc1nnc2n1C[C@H](C[NH+]=C([O-])NC[C@@H]1CCCO1)CC2 ZINC000329669525 389185523 /nfs/dbraw/zinc/18/55/23/389185523.db2.gz SNXWGMPUNIQYNJ-RYUDHWBXSA-N 0 1 293.371 0.832 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](CCC(N)=O)C1 ZINC000245395515 389205730 /nfs/dbraw/zinc/20/57/30/389205730.db2.gz HUSRYSPZGNSIRG-NEPJUHHUSA-N 0 1 265.357 0.102 20 30 CCEDMN COC1(C)CN(C(=O)N[C@H]2CCc3ncnn3C2)C1 ZINC000329759709 389197269 /nfs/dbraw/zinc/19/72/69/389197269.db2.gz PERJGVORXXIQNQ-VIFPVBQESA-N 0 1 265.317 0.228 20 30 CCEDMN C=C[C@@H](CO)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000360489649 389198434 /nfs/dbraw/zinc/19/84/34/389198434.db2.gz HKPXBLZVOCOWLU-DTWKUNHWSA-N 0 1 250.302 0.113 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2c(O)cccc2F)CCO1 ZINC000123446487 389156286 /nfs/dbraw/zinc/15/62/86/389156286.db2.gz RSTUJNYDCCMZRS-MRVPVSSYSA-N 0 1 250.229 0.896 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000125322113 389165198 /nfs/dbraw/zinc/16/51/98/389165198.db2.gz BXXVYSAAHMNGNI-MJBXVCDLSA-N 0 1 290.367 0.345 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC[C@@](O)(C(N)=O)C1 ZINC000330570752 389242574 /nfs/dbraw/zinc/24/25/74/389242574.db2.gz ORWGAMYBQWKWKN-MFKMUULPSA-N 0 1 282.344 0.109 20 30 CCEDMN CCNC(=O)NC(=O)CN1CCC(c2cc(C)n[nH]2)CC1 ZINC000330662910 389250798 /nfs/dbraw/zinc/25/07/98/389250798.db2.gz WOSLBUCOUMLKRX-UHFFFAOYSA-N 0 1 293.371 0.948 20 30 CCEDMN CC1CCN(CC(=O)N2CCN(C3CC3)[C@H](C#N)C2)CC1 ZINC000363871375 389254944 /nfs/dbraw/zinc/25/49/44/389254944.db2.gz CHWZOPPPZJXODR-OAHLLOKOSA-N 0 1 290.411 0.917 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H]1CSC ZINC000135448616 389256877 /nfs/dbraw/zinc/25/68/77/389256877.db2.gz QZOFWZQLWUTNQE-JTQLQIEISA-N 0 1 271.386 0.826 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CC(C)(C#N)C1 ZINC000330093752 389217161 /nfs/dbraw/zinc/21/71/61/389217161.db2.gz ZZJWNABWXDIPDY-NSHDSACASA-N 0 1 251.330 0.327 20 30 CCEDMN [O-]C(NCCn1ccnc1)=[NH+][C@H]1CC[C@H](O)CC1 ZINC000330409147 389226042 /nfs/dbraw/zinc/22/60/42/389226042.db2.gz IIWNSULXSUWXCC-XYPYZODXSA-N 0 1 252.318 0.690 20 30 CCEDMN [O-]C(N[C@H]1CC[C@H](O)CC1)=[NH+]CCn1ccnc1 ZINC000330409147 389226044 /nfs/dbraw/zinc/22/60/44/389226044.db2.gz IIWNSULXSUWXCC-XYPYZODXSA-N 0 1 252.318 0.690 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@H](CO)[C@H](C)C1 ZINC000362085570 389229768 /nfs/dbraw/zinc/22/97/68/389229768.db2.gz GQEVCJJGBAEEBK-BZPMIXESSA-N 0 1 267.373 0.601 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)CCCC(=O)NC ZINC000155037170 389316678 /nfs/dbraw/zinc/31/66/78/389316678.db2.gz QVUNUZIJHAJLAB-UHFFFAOYSA-N 0 1 268.361 0.207 20 30 CCEDMN N#Cc1ccc2ncc(CN3CCC[C@@H](C(N)=O)C3)n2c1 ZINC000155053678 389316846 /nfs/dbraw/zinc/31/68/46/389316846.db2.gz MMIBOKYZNQWREG-GFCCVEGCSA-N 0 1 283.335 0.903 20 30 CCEDMN C=C(C)CNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000156763583 389327038 /nfs/dbraw/zinc/32/70/38/389327038.db2.gz ONCMVIZIDINKEB-GFCCVEGCSA-N 0 1 254.378 0.498 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1 ZINC000262204933 389282941 /nfs/dbraw/zinc/28/29/41/389282941.db2.gz SOWJCGWTKPCLAX-COPLHBTASA-N 0 1 266.341 0.864 20 30 CCEDMN C[C@@H]1CCC[C@H](CO)N1CC(=O)NC1(C#N)CCC1 ZINC000346982984 389342111 /nfs/dbraw/zinc/34/21/11/389342111.db2.gz YRSWLFZPUJESCN-VXGBXAGGSA-N 0 1 265.357 0.784 20 30 CCEDMN CC(C)[C@H](CNC(=O)NCCCC#N)N1CCN(C)CC1 ZINC000345505569 389306835 /nfs/dbraw/zinc/30/68/35/389306835.db2.gz QJJVEFJKQGUHRC-AWEZNQCLSA-N 0 1 295.431 0.861 20 30 CCEDMN C=C(C)COCCN1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000348590168 389384211 /nfs/dbraw/zinc/38/42/11/389384211.db2.gz GRPVVSDHNLNEHW-NSHDSACASA-N 0 1 278.312 0.465 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@@H](C(=O)N(C)C)C1 ZINC000411954898 389470233 /nfs/dbraw/zinc/47/02/33/389470233.db2.gz PWWBZEOVGBAOLL-QWHCGFSZSA-N 0 1 294.399 0.405 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1C[C@H](O)C[C@H](C)C1 ZINC000412031755 389473186 /nfs/dbraw/zinc/47/31/86/389473186.db2.gz AYMZJXIXMZWERZ-QJPTWQEYSA-N 0 1 253.346 0.450 20 30 CCEDMN C[C@H](CO)N1CCCN(c2ncc(C#N)cc2F)CC1 ZINC000413255731 389485168 /nfs/dbraw/zinc/48/51/68/389485168.db2.gz KJSVSIJNHRKMNM-LLVKDONJSA-N 0 1 278.331 0.985 20 30 CCEDMN N#Cc1ccc(CN2CCC(C(=O)NCCCO)CC2)o1 ZINC000350174308 389495019 /nfs/dbraw/zinc/49/50/19/389495019.db2.gz BDJLPYZNDLWADJ-UHFFFAOYSA-N 0 1 291.351 0.862 20 30 CCEDMN C#CCCN1CCN(CCn2c(C)csc2=O)CC1 ZINC000272032264 389458293 /nfs/dbraw/zinc/45/82/93/389458293.db2.gz PJAWRJISROZJJE-UHFFFAOYSA-N 0 1 279.409 0.859 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C[C@H](C)O)[C@H](C)CO ZINC000276218440 389515741 /nfs/dbraw/zinc/51/57/41/389515741.db2.gz QFGPKNQSFIMILV-OLZOCXBDSA-N 0 1 270.373 0.251 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)N1CCN[C@H](C)C1 ZINC000335045049 389533765 /nfs/dbraw/zinc/53/37/65/389533765.db2.gz WKVVXIGDCVAPBY-VXGBXAGGSA-N 0 1 265.357 0.374 20 30 CCEDMN N#Cc1cc(F)c(S(=O)(=O)N[C@H]2CCOC2)c(F)c1 ZINC000178820418 389624338 /nfs/dbraw/zinc/62/43/38/389624338.db2.gz YMHJWKJLPDZEMA-QMMMGPOBSA-N 0 1 288.275 0.904 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CSc1c[nH]nn1 ZINC000177376863 389580961 /nfs/dbraw/zinc/58/09/61/389580961.db2.gz LAXCCVYWXDSRQD-SNVBAGLBSA-N 0 1 253.331 0.951 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N1CCN2CCC1CC2 ZINC000374459411 389581873 /nfs/dbraw/zinc/58/18/73/389581873.db2.gz CKDKBYHVKJKERL-LLVKDONJSA-N 0 1 286.401 0.103 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](c2nnc[nH]2)C1 ZINC000425552344 389652453 /nfs/dbraw/zinc/65/24/53/389652453.db2.gz RISNNTPVGKCFCP-MNOVXSKESA-N 0 1 276.344 0.355 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@H](c2nnc[nH]2)C1 ZINC000425552344 389652457 /nfs/dbraw/zinc/65/24/57/389652457.db2.gz RISNNTPVGKCFCP-MNOVXSKESA-N 0 1 276.344 0.355 20 30 CCEDMN C=CCC1(C(=O)N=c2ccc(=O)[nH][nH]2)CCOCC1 ZINC000425563348 389652751 /nfs/dbraw/zinc/65/27/51/389652751.db2.gz SMNDSRUGGDMGTI-UHFFFAOYSA-N 0 1 263.297 0.916 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(N3CCNC3=O)CC2)C1=O ZINC000282115138 389656764 /nfs/dbraw/zinc/65/67/64/389656764.db2.gz XGZGSTPMMBVPMZ-ZDUSSCGKSA-N 0 1 292.383 0.263 20 30 CCEDMN N#CC1(F)CCN(C[C@H](O)Cn2cccn2)CC1 ZINC000352467787 389815974 /nfs/dbraw/zinc/81/59/74/389815974.db2.gz NVEKKXSIQPNOPU-NSHDSACASA-N 0 1 252.293 0.572 20 30 CCEDMN C=CCCS(=O)(=O)NCCCN1CCCO[C@@H](C)C1 ZINC000432292236 389876485 /nfs/dbraw/zinc/87/64/85/389876485.db2.gz SDWYMDZJVYVQQP-ZDUSSCGKSA-N 0 1 290.429 0.983 20 30 CCEDMN C=CCCC[C@H](C)NC(=O)C(=O)N1CCNCC1 ZINC000419160869 389888102 /nfs/dbraw/zinc/88/81/02/389888102.db2.gz ZWNVMJFYSMHKAS-NSHDSACASA-N 0 1 253.346 0.279 20 30 CCEDMN CC#CCCNC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000419479944 389892501 /nfs/dbraw/zinc/89/25/01/389892501.db2.gz MKALDKWGZAUZLH-CHWSQXEVSA-N 0 1 267.373 0.496 20 30 CCEDMN COCCN(CC(=O)NCC#N)[C@@H]1CCCSC1 ZINC000433185606 389904009 /nfs/dbraw/zinc/90/40/09/389904009.db2.gz FMUBXNOYBPNXMO-LLVKDONJSA-N 0 1 271.386 0.470 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1C[C@H](O)C(C)(C)C1 ZINC000447875231 389967264 /nfs/dbraw/zinc/96/72/64/389967264.db2.gz PBSLKAVKKQHAPB-QWRGUYRKSA-N 0 1 265.357 0.640 20 30 CCEDMN C=CCOC[C@@H](NC(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C)C(=O)OC ZINC000435945459 389967565 /nfs/dbraw/zinc/96/75/65/389967565.db2.gz VEJKDVGWCMNMOX-FRRDWIJNSA-N 0 1 298.383 0.720 20 30 CCEDMN C=CCOC[C@@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)C(=O)OC ZINC000435945459 389967567 /nfs/dbraw/zinc/96/75/67/389967567.db2.gz VEJKDVGWCMNMOX-FRRDWIJNSA-N 0 1 298.383 0.720 20 30 CCEDMN C=CCOC[C@H](NC(=O)CN1[C@@H](C)CC[C@@H]1C)C(=O)OC ZINC000435945458 389967685 /nfs/dbraw/zinc/96/76/85/389967685.db2.gz VEJKDVGWCMNMOX-AVGNSLFASA-N 0 1 298.383 0.720 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@@H](COC)[C@H]1CCCO1 ZINC000434795950 389946612 /nfs/dbraw/zinc/94/66/12/389946612.db2.gz RRHJIDRYSZSYLY-QWHCGFSZSA-N 0 1 283.372 0.532 20 30 CCEDMN C[C@H](C#N)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000436771727 389984899 /nfs/dbraw/zinc/98/48/99/389984899.db2.gz YAWINQJEXDPNGF-MRVPVSSYSA-N 0 1 297.336 0.284 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CC(=O)N(CCC)C1 ZINC000491702210 390116108 /nfs/dbraw/zinc/11/61/08/390116108.db2.gz XYASZJCPQWPTKQ-UONOGXRCSA-N 0 1 292.379 0.886 20 30 CCEDMN C#C[C@H](NC(=O)N1C[C@H](C)N(CCO)C[C@H]1C)C(C)C ZINC000491772049 390116593 /nfs/dbraw/zinc/11/65/93/390116593.db2.gz SSWVZCQRVKOHFN-MJBXVCDLSA-N 0 1 281.400 0.741 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000493713370 390127572 /nfs/dbraw/zinc/12/75/72/390127572.db2.gz YWZVRQLPLODQNY-UTUOFQBUSA-N 0 1 294.355 0.177 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)Cc2cccc(C#N)c2)n1 ZINC000539842440 390213758 /nfs/dbraw/zinc/21/37/58/390213758.db2.gz ATLCJZRKTUIZCP-UHFFFAOYSA-N 0 1 269.308 0.886 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000540427202 390220114 /nfs/dbraw/zinc/22/01/14/390220114.db2.gz UFDQYALROXJQLI-SNVBAGLBSA-N 0 1 285.311 0.430 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@@H](OC)C[C@@H]1C(=O)[O-] ZINC000579614472 390192654 /nfs/dbraw/zinc/19/26/54/390192654.db2.gz DWILTLYYKXBNQB-QJPTWQEYSA-N 0 1 257.330 0.878 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@](O)(CC)C1 ZINC000495231077 390227852 /nfs/dbraw/zinc/22/78/52/390227852.db2.gz HMJRRBQHUBBWCX-CYBMUJFWSA-N 0 1 253.346 0.595 20 30 CCEDMN N#Cc1ccc(NCC(=O)N[C@H]2CCCc3cn[nH]c32)nc1 ZINC000543745214 390291940 /nfs/dbraw/zinc/29/19/40/390291940.db2.gz RUCVUDKVQHJPGS-LBPRGKRZSA-N 0 1 296.334 0.704 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CCCN(C)C2)nn1 ZINC000644626379 390269036 /nfs/dbraw/zinc/26/90/36/390269036.db2.gz ALBLEAFNGCHOKE-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN CC[C@@H]1C[N@@H+](C)CCN1C(=O)CNc1ccc(C#N)cn1 ZINC000554635551 390437393 /nfs/dbraw/zinc/43/73/93/390437393.db2.gz FPWDFBKQFQLKJI-CYBMUJFWSA-N 0 1 287.367 0.340 20 30 CCEDMN CC[C@@H]1CN(C)CCN1C(=O)CNc1ccc(C#N)cn1 ZINC000554635551 390437396 /nfs/dbraw/zinc/43/73/96/390437396.db2.gz FPWDFBKQFQLKJI-CYBMUJFWSA-N 0 1 287.367 0.340 20 30 CCEDMN N#CCC(=O)N1CCN(CCC2CCOCC2)CC1 ZINC000566423980 390602366 /nfs/dbraw/zinc/60/23/66/390602366.db2.gz HNYYVTDGAWHKAJ-UHFFFAOYSA-N 0 1 265.357 0.861 20 30 CCEDMN N#Cc1ccc(C[C@@H](C#N)C(=O)NCCc2nc[nH]n2)cc1 ZINC000566457123 390611918 /nfs/dbraw/zinc/61/19/18/390611918.db2.gz PTLQZSAKPGISNB-ZDUSSCGKSA-N 0 1 294.318 0.718 20 30 CCEDMN C=CCC[C@@H](O)CN1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000528777760 390777191 /nfs/dbraw/zinc/77/71/91/390777191.db2.gz RCVZPSBOWKWVDG-UPJWGTAASA-N 0 1 273.398 0.965 20 30 CCEDMN C[C@H]1CN(CCO)CCN1Cc1ccc(C#N)cn1 ZINC000572494284 390786305 /nfs/dbraw/zinc/78/63/05/390786305.db2.gz PZMALGXNICSBLL-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN C[C@@H]1CN(CC#CCN2C(=O)c3ccccc3C2=O)C[C@@H]1O ZINC000528431722 390744101 /nfs/dbraw/zinc/74/41/01/390744101.db2.gz QYHSNSOCZPCCEI-DOMZBBRYSA-N 0 1 298.342 0.599 20 30 CCEDMN CN(Cc1cc(C#N)n(C)c1)CC(C)(CO)CO ZINC000191378663 130228597 /nfs/dbraw/zinc/22/85/97/130228597.db2.gz MFSLWIWNUGMJOJ-UHFFFAOYSA-N 0 1 251.330 0.319 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)N1CCCN(CC)CC1 ZINC000658463865 413153239 /nfs/dbraw/zinc/15/32/39/413153239.db2.gz MNTNZKJZPWWVCT-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN Cn1cnc(=NC(=O)c2ccn(-c3cccc(C#N)c3)n2)[nH]1 ZINC000356447709 144003400 /nfs/dbraw/zinc/00/34/00/144003400.db2.gz VJRRRLQORFQMTC-UHFFFAOYSA-N 0 1 293.290 0.547 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)c2cnn(C)c2C#N)CCN1C ZINC000357157265 144065710 /nfs/dbraw/zinc/06/57/10/144065710.db2.gz DBMZKJUYCDNKFO-CYBMUJFWSA-N 0 1 275.356 0.704 20 30 CCEDMN CC1(C)CN(Cc2ccncc2C#N)CCN1CCO ZINC000592120671 397584406 /nfs/dbraw/zinc/58/44/06/397584406.db2.gz ZFKFHRWWEHYIBZ-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN C=CCN(C(=O)C[C@@H]1COCCN1)[C@@H](C)COC ZINC000659338826 413434469 /nfs/dbraw/zinc/43/44/69/413434469.db2.gz BESXAMLZQVRSCL-NWDGAFQWSA-N 0 1 256.346 0.414 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@H](N2CCOCC2)C1 ZINC000653717982 412338955 /nfs/dbraw/zinc/33/89/55/412338955.db2.gz VWRODOAJHKHQRJ-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN Cn1ccc(-c2cc(C(=O)N3CCO[C@H](C#N)C3)n[nH]2)c1 ZINC000575135872 335071190 /nfs/dbraw/zinc/07/11/90/335071190.db2.gz NJOCAMUFVORMBK-LLVKDONJSA-N 0 1 285.307 0.780 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@H]2CCC[C@@H](O)C2)CC1 ZINC000659811362 413884724 /nfs/dbraw/zinc/88/47/24/413884724.db2.gz RSOQCZJZUOCADU-HUUCEWRRSA-N 0 1 295.427 0.457 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCCC[C@H]1CC(=O)[O-] ZINC000038027475 174014296 /nfs/dbraw/zinc/01/42/96/174014296.db2.gz JJKDPVYWEGVJLT-JTQLQIEISA-N 0 1 283.328 0.327 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N[C@H]1CCOc2ccccc21 ZINC000662165673 414694871 /nfs/dbraw/zinc/69/48/71/414694871.db2.gz LKYLUPFDHDJSSJ-YPMHNXCESA-N 0 1 276.336 0.763 20 30 CCEDMN C=C[C@H](CO)NCc1cc(Cl)cc(S(N)(=O)=O)c1 ZINC000662168834 414695185 /nfs/dbraw/zinc/69/51/85/414695185.db2.gz XDLXLMFKYJXLDP-SNVBAGLBSA-N 0 1 290.772 0.624 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N1CCN(Cc2ccco2)CC1 ZINC000662167851 414695255 /nfs/dbraw/zinc/69/52/55/414695255.db2.gz LNEDAFJTZLKTTG-CYBMUJFWSA-N 0 1 293.367 0.060 20 30 CCEDMN C=CCN(C(=O)N[C@H]1CCN(CCOC)C1)[C@@H](C)COC ZINC000664185507 415270139 /nfs/dbraw/zinc/27/01/39/415270139.db2.gz YTPAUEGRRKVDNB-KBPBESRZSA-N 0 1 299.415 0.940 20 30 CCEDMN CC(C)C[N@@H+](CCC#N)CCC(=O)NCC(=O)[O-] ZINC000736436979 581766385 /nfs/dbraw/zinc/76/63/85/581766385.db2.gz CXNFYEUCTOWANN-UHFFFAOYSA-N 0 1 255.318 0.449 20 30 CCEDMN CO[C@H]1C[C@@H](CO)N(C(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000329080494 227286850 /nfs/dbraw/zinc/28/68/50/227286850.db2.gz RGRFYUKUPZUVGC-SDDRHHMPSA-N 0 1 294.355 0.783 20 30 CCEDMN Cc1nnc2n1C[C@@H](C[NH+]=C([O-])N1CCC[C@@H]1CO)CC2 ZINC000329572477 227612237 /nfs/dbraw/zinc/61/22/37/227612237.db2.gz RAMRCDNFZDIWIQ-VXGBXAGGSA-N 0 1 293.371 0.520 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N[C@@H]1CCn2ccnc2C1 ZINC000329999976 228012538 /nfs/dbraw/zinc/01/25/38/228012538.db2.gz VRQLBHUKOLIQFS-SVDPJWKOSA-N 0 1 262.313 0.346 20 30 CCEDMN [O-]C(N[C@@H]1CCn2ccnc2C1)=[NH+][C@H]1[C@@H]2COC[C@@H]21 ZINC000329999976 228012539 /nfs/dbraw/zinc/01/25/39/228012539.db2.gz VRQLBHUKOLIQFS-SVDPJWKOSA-N 0 1 262.313 0.346 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CCO[C@@H](C3CC3)C2)C1 ZINC000330115659 228042304 /nfs/dbraw/zinc/04/23/04/228042304.db2.gz FUBMRTVCRRLMJJ-UONOGXRCSA-N 0 1 297.399 0.732 20 30 CCEDMN N#CCN(CC#N)C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000353108237 228059508 /nfs/dbraw/zinc/05/95/08/228059508.db2.gz PXCNGWVIGYLCQK-UHFFFAOYSA-N 0 1 266.264 0.961 20 30 CCEDMN C[C@H](CNC(=O)[C@]1(O)CCSC1)N1CCN(C)CC1 ZINC000328936170 545019960 /nfs/dbraw/zinc/01/99/60/545019960.db2.gz COVICGIHAINBRC-YPMHNXCESA-N 0 1 287.429 0.447 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NC[C@@]1(C)CCOC1 ZINC000329566884 545023703 /nfs/dbraw/zinc/02/37/03/545023703.db2.gz SVTAVEMKICXFCD-UKRRQHHQSA-N 0 1 298.431 0.553 20 30 CCEDMN C[C@H](NC(=O)NC[C@@H]1CN(C)CCN1C)c1cnn(C)c1 ZINC000329797857 545026578 /nfs/dbraw/zinc/02/65/78/545026578.db2.gz FYOXWJVTRKJJGZ-WCQYABFASA-N 0 1 294.403 0.231 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCN(C)C(=O)C1 ZINC000490872459 261232920 /nfs/dbraw/zinc/23/29/20/261232920.db2.gz FOAOOTDYZZJEBT-QWHCGFSZSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCOc1ccccc1CNCC(=O)N1CCOCC1 ZINC000181032772 261428538 /nfs/dbraw/zinc/42/85/38/261428538.db2.gz DNQILVRFFGIYJZ-UHFFFAOYSA-N 0 1 288.347 0.647 20 30 CCEDMN CN(CCC(C)(C)C#N)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000310740452 262171714 /nfs/dbraw/zinc/17/17/14/262171714.db2.gz HNGUVFVHBLVRTF-NSHDSACASA-N 0 1 273.402 0.892 20 30 CCEDMN CC#CCCCC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000364170447 262179235 /nfs/dbraw/zinc/17/92/35/262179235.db2.gz RFSKJCQXMNIGJW-SNVBAGLBSA-N 0 1 263.301 0.293 20 30 CCEDMN C=CC1CCN(CC(=O)N(C)CC(=O)OCC)CC1 ZINC000111259931 262258907 /nfs/dbraw/zinc/25/89/07/262258907.db2.gz RDXINYLYFYXMEU-UHFFFAOYSA-N 0 1 268.357 0.906 20 30 CCEDMN C[N@@H+](C[C@@H](O)CN(CCC#N)CCC#N)C1CC1 ZINC000336789144 262393545 /nfs/dbraw/zinc/39/35/45/262393545.db2.gz IMDNJRPCVALUGA-CYBMUJFWSA-N 0 1 250.346 0.571 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](O)CCOC(C)C)C1=O ZINC000337200278 262433234 /nfs/dbraw/zinc/43/32/34/262433234.db2.gz BOENUHCXALHJMN-KBPBESRZSA-N 0 1 284.400 0.881 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](O)COCC(C)C)C1=O ZINC000337200920 262433326 /nfs/dbraw/zinc/43/33/26/262433326.db2.gz CGJFSLQYAAHSQO-ZIAGYGMSSA-N 0 1 284.400 0.739 20 30 CCEDMN CCOC(=O)CO/N=C(/C(=O)N(C)CC)c1cc[nH]n1 ZINC000276536635 263037204 /nfs/dbraw/zinc/03/72/04/263037204.db2.gz FOQGEDPXXFKPGQ-RVDMUPIBSA-N 0 1 282.300 0.172 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000281831003 263072149 /nfs/dbraw/zinc/07/21/49/263072149.db2.gz VLYQMZJEDCFEEB-GXSJLCMTSA-N 0 1 297.384 0.713 20 30 CCEDMN CCc1cc(C(=O)N2CCN(C(=O)CC#N)CC2)n[nH]1 ZINC000288029769 263103437 /nfs/dbraw/zinc/10/34/37/263103437.db2.gz CTJWRAWRDQWDNL-UHFFFAOYSA-N 0 1 275.312 0.170 20 30 CCEDMN N#CC1(CS(=O)(=O)N2CCCc3[nH]ncc32)CC1 ZINC000289989616 263113692 /nfs/dbraw/zinc/11/36/92/263113692.db2.gz VTDFFIQNTCGDEU-UHFFFAOYSA-N 0 1 266.326 0.796 20 30 CCEDMN CN1CCN(CC(=O)NCC#N)C[C@H]1Cc1ccccc1 ZINC000341994003 263372890 /nfs/dbraw/zinc/37/28/90/263372890.db2.gz RRQYXZCDFVMGRM-OAHLLOKOSA-N 0 1 286.379 0.485 20 30 CCEDMN C=CCCN(C)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000342180344 263394467 /nfs/dbraw/zinc/39/44/67/263394467.db2.gz ANIKGQIWYCNMNI-CYBMUJFWSA-N 0 1 268.405 0.840 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc2c1CCCC2 ZINC000960729912 649858182 /nfs/dbraw/zinc/85/81/82/649858182.db2.gz NHXGDVFYDQFJQS-PJXYFTJBSA-N 0 1 285.351 0.472 20 30 CCEDMN Cc1cnn(CC[NH+]=C([O-])N2CC[C@H](CO)C2)c1 ZINC000330800445 264041650 /nfs/dbraw/zinc/04/16/50/264041650.db2.gz CPGATJDXJHMWLT-NSHDSACASA-N 0 1 252.318 0.420 20 30 CCEDMN C=CCC[C@@H](O)CN1CCC[C@@H](S(N)(=O)=O)C1 ZINC000330823934 264047632 /nfs/dbraw/zinc/04/76/32/264047632.db2.gz HWSNILSKTWEHOD-GHMZBOCLSA-N 0 1 262.375 0.066 20 30 CCEDMN CC[C@H](COC)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000330884165 264062976 /nfs/dbraw/zinc/06/29/76/264062976.db2.gz KMESEHAYMXEEHQ-VXGBXAGGSA-N 0 1 272.393 0.161 20 30 CCEDMN CCN1CCN([C@H]2CCN(C(=O)CCCC#N)C2)CC1 ZINC000330900264 264072512 /nfs/dbraw/zinc/07/25/12/264072512.db2.gz QJTJQQWSMUFUKM-AWEZNQCLSA-N 0 1 278.400 0.919 20 30 CCEDMN C=CCNC(=O)N1CCC[C@@H](n2cnc(C(N)=O)n2)C1 ZINC000331162928 264143285 /nfs/dbraw/zinc/14/32/85/264143285.db2.gz AFSDYWXPSWRCDT-SECBINFHSA-N 0 1 278.316 0.114 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC[C@@H](C2N=NC(=O)O2)C1 ZINC000331244850 264178827 /nfs/dbraw/zinc/17/88/27/264178827.db2.gz QXJRRVSONMLFRS-NOZJJQNGSA-N 0 1 281.312 0.808 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000331244850 264178829 /nfs/dbraw/zinc/17/88/29/264178829.db2.gz QXJRRVSONMLFRS-NOZJJQNGSA-N 0 1 281.312 0.808 20 30 CCEDMN C[C@H]1C[C@@H](NS(=O)(=O)CCCC#N)CN1C1CC1 ZINC000126166574 264210834 /nfs/dbraw/zinc/21/08/34/264210834.db2.gz NTXJIDILLRUOCB-WDEREUQCSA-N 0 1 271.386 0.835 20 30 CCEDMN C[C@H]1CN(CCCNC(=O)NCCC#N)C[C@H](C)O1 ZINC000334076983 264230014 /nfs/dbraw/zinc/23/00/14/264230014.db2.gz WRKMLYSGKCOTGL-RYUDHWBXSA-N 0 1 268.361 0.699 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cc(O)cc([N+](=O)[O-])c2)C1 ZINC000356558824 266137727 /nfs/dbraw/zinc/13/77/27/266137727.db2.gz VOABXFOGBJDRMR-UHFFFAOYSA-N 0 1 277.236 0.401 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@H]1CN2CCC[C@@H]2CO1 ZINC000368564827 267186451 /nfs/dbraw/zinc/18/64/51/267186451.db2.gz WICMCCOUHYKKIE-NEPJUHHUSA-N 0 1 274.386 0.345 20 30 CCEDMN CC[C@@H](NCc1cnc2c(C#N)cnn2c1)C(=O)NC ZINC000519769258 267195762 /nfs/dbraw/zinc/19/57/62/267195762.db2.gz GUOSEZBPORZOOZ-LLVKDONJSA-N 0 1 272.312 0.215 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](Cc2cnn(C)c2)C1 ZINC000370014326 267284261 /nfs/dbraw/zinc/28/42/61/267284261.db2.gz YPEREQIYVCTYBM-GFCCVEGCSA-N 0 1 262.357 0.587 20 30 CCEDMN CC(C)[C@@](C)(NCC(=O)Nc1ccc(C#N)cc1)C(N)=O ZINC000459485116 273550834 /nfs/dbraw/zinc/55/08/34/273550834.db2.gz QKVKYYXKFQNLFU-OAHLLOKOSA-N 0 1 288.351 0.986 20 30 CCEDMN COc1cc(C(=O)N=c2[nH]n(C)cc2C#N)cc(C)n1 ZINC000337167492 294939235 /nfs/dbraw/zinc/93/92/35/294939235.db2.gz JHNHOKXKAUMBJG-UHFFFAOYSA-N 0 1 271.280 0.678 20 30 CCEDMN N#CCN1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)CC1 ZINC000339283525 328004721 /nfs/dbraw/zinc/00/47/21/328004721.db2.gz VXXFWICQUOEQQE-UHFFFAOYSA-N 0 1 287.245 0.710 20 30 CCEDMN C=CCOCCCN1CCc2c(nnn2CCOC)C1 ZINC000339296817 328005251 /nfs/dbraw/zinc/00/52/51/328005251.db2.gz MBNDBOPHSUHOCI-UHFFFAOYSA-N 0 1 280.372 0.875 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@@H](O)CC1 ZINC000339779245 328068251 /nfs/dbraw/zinc/06/82/51/328068251.db2.gz LLIOAFUWVUQSKM-VXGBXAGGSA-N 0 1 265.357 0.784 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCC[C@@H](O)CC1 ZINC000339779245 328068253 /nfs/dbraw/zinc/06/82/53/328068253.db2.gz LLIOAFUWVUQSKM-VXGBXAGGSA-N 0 1 265.357 0.784 20 30 CCEDMN CO[C@@H](C(=O)NC1(C#N)CCN(C)CC1)C1CC1 ZINC000571346325 328113787 /nfs/dbraw/zinc/11/37/87/328113787.db2.gz SMSKDJJVNGUXEE-LLVKDONJSA-N 0 1 251.330 0.516 20 30 CCEDMN C[C@H]1CN(C(=O)NCCCC#N)CCN1CC(C)(C)O ZINC000436329553 328157063 /nfs/dbraw/zinc/15/70/63/328157063.db2.gz XVCHYPJMJVFJPD-LBPRGKRZSA-N 0 1 282.388 0.777 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCC1(C#N)CC1 ZINC000582584201 329112137 /nfs/dbraw/zinc/11/21/37/329112137.db2.gz KYWKYLFUZGJCFH-NSHDSACASA-N 0 1 251.330 0.375 20 30 CCEDMN CC(C)N(CCO)CC(=O)N(CCC#N)CCC#N ZINC000049825341 328379073 /nfs/dbraw/zinc/37/90/73/328379073.db2.gz NUIUBVKQHMNRHO-UHFFFAOYSA-N 0 1 266.345 0.345 20 30 CCEDMN C[C@H](CNC(=O)C1(C#N)CCC1)N1CCN(C)CC1 ZINC000134936019 328807579 /nfs/dbraw/zinc/80/75/79/328807579.db2.gz PIZVTLGPRGDDBD-GFCCVEGCSA-N 0 1 264.373 0.432 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@H](C(=O)OC)C1 ZINC000218048373 329066895 /nfs/dbraw/zinc/06/68/95/329066895.db2.gz YUFHEIKHWJDVDJ-WDEREUQCSA-N 0 1 254.330 0.562 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCCO[C@H](C)C1 ZINC000170770740 329067990 /nfs/dbraw/zinc/06/79/90/329067990.db2.gz ZFZBUYHPLHBBJF-NEPJUHHUSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCCO[C@H](C)C1 ZINC000170770740 329067992 /nfs/dbraw/zinc/06/79/92/329067992.db2.gz ZFZBUYHPLHBBJF-NEPJUHHUSA-N 0 1 253.346 0.858 20 30 CCEDMN Cc1cc(CNC[C@@H](O)CN(C)CCC#N)on1 ZINC000582780348 329183885 /nfs/dbraw/zinc/18/38/85/329183885.db2.gz LYIMDCULBVRHMC-LLVKDONJSA-N 0 1 252.318 0.279 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@@](C)(C(=O)OC)C1 ZINC000177451966 329184361 /nfs/dbraw/zinc/18/43/61/329184361.db2.gz LSYMDXKLYPBZKO-SWLSCSKDSA-N 0 1 295.383 0.880 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@@](C)(C(=O)OC)C1 ZINC000177451966 329184362 /nfs/dbraw/zinc/18/43/62/329184362.db2.gz LSYMDXKLYPBZKO-SWLSCSKDSA-N 0 1 295.383 0.880 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000450055192 329242919 /nfs/dbraw/zinc/24/29/19/329242919.db2.gz BRKBAGTVTHVKSZ-ZNMIVQPWSA-N 0 1 294.395 0.758 20 30 CCEDMN C=CCN(CCOC)CCN1C(=O)NC(C)(C)C1=O ZINC000189425037 329382393 /nfs/dbraw/zinc/38/23/93/329382393.db2.gz NJWOURUHUDTTTQ-UHFFFAOYSA-N 0 1 269.345 0.451 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000189599226 329383853 /nfs/dbraw/zinc/38/38/53/329383853.db2.gz LGQGJSSNEVHZPT-GFCCVEGCSA-N 0 1 275.418 0.118 20 30 CCEDMN Cn1ccnc1-c1cc(=NC(=O)[C@H](N)CCCC#N)[nH][nH]1 ZINC000583320763 329450815 /nfs/dbraw/zinc/45/08/15/329450815.db2.gz MRZZETMXIOLGMT-SECBINFHSA-N 0 1 287.327 0.192 20 30 CCEDMN COC(=O)c1ncsc1S(=O)(=O)N[C@@H](C)CC#N ZINC000134703269 329660393 /nfs/dbraw/zinc/66/03/93/329660393.db2.gz YSFWAUKNCHKGEC-LURJTMIESA-N 0 1 289.338 0.510 20 30 CCEDMN CS(=O)(=O)c1ccc(NC(=O)[C@@H](N)CCCC#N)cn1 ZINC000424131247 330262473 /nfs/dbraw/zinc/26/24/73/330262473.db2.gz IUGFQIVLPYFNQM-JTQLQIEISA-N 0 1 296.352 0.445 20 30 CCEDMN C=CCn1cc(CNCC2(OC)CCOCC2)nn1 ZINC000424177290 330317514 /nfs/dbraw/zinc/31/75/14/330317514.db2.gz ZQUMGWUAWPPCQV-UHFFFAOYSA-N 0 1 266.345 0.749 20 30 CCEDMN CCO[C@@H]1C[C@H](N(C)CC(=O)NCC#N)C12CCC2 ZINC000120999553 330394254 /nfs/dbraw/zinc/39/42/54/330394254.db2.gz RFWMNXXZOZOKLH-NWDGAFQWSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CCN(CCC#N)C(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000432971308 330611668 /nfs/dbraw/zinc/61/16/68/330611668.db2.gz KBCFLCIQSQQMJO-UHFFFAOYSA-N 0 1 290.371 0.800 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)CC(C)(C)CO ZINC000451252770 331022118 /nfs/dbraw/zinc/02/21/18/331022118.db2.gz ZHFLGNBEODWUSO-UHFFFAOYSA-N 0 1 280.372 0.593 20 30 CCEDMN COC[C@@]1(C(=O)OC)CCN(CC2(C#N)CC2)C1 ZINC000451338912 331024859 /nfs/dbraw/zinc/02/48/59/331024859.db2.gz UKHJAHRGGFHSDA-CYBMUJFWSA-N 0 1 252.314 0.802 20 30 CCEDMN CO[C@H]1C[C@@H](CN(C)C(=O)[C@@]2(C#N)C[C@@H]2C)N(C)C1 ZINC000451607345 331036579 /nfs/dbraw/zinc/03/65/79/331036579.db2.gz KNOWKBOBWXCECP-ZJQBRPOHSA-N 0 1 265.357 0.714 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)[C@@H]1CCSC1 ZINC000164264704 331188658 /nfs/dbraw/zinc/18/86/58/331188658.db2.gz PYYBIGWGMZPYGW-SNVBAGLBSA-N 0 1 253.371 0.986 20 30 CCEDMN COCCN(CC#N)C(=O)CN(C)[C@@H]1CCSC1 ZINC000457204985 331215764 /nfs/dbraw/zinc/21/57/64/331215764.db2.gz PZRDTLICUMHQLN-LLVKDONJSA-N 0 1 271.386 0.422 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NC[C@@H]1CCC[N@@H+]1CCCO ZINC000459040476 331267896 /nfs/dbraw/zinc/26/78/96/331267896.db2.gz YUAHFLBVBHDKLV-MJBXVCDLSA-N 0 1 297.399 0.476 20 30 CCEDMN C=CCn1cccc1C(=O)N1CCc2[nH]nnc2C1 ZINC000488731859 332023007 /nfs/dbraw/zinc/02/30/07/332023007.db2.gz JQRNCWODAJVWIJ-UHFFFAOYSA-N 0 1 257.297 0.991 20 30 CCEDMN C#CCN(CC(=O)N[C@H](C)C(=O)N(C)C)C1CCCCC1 ZINC000490574918 332092828 /nfs/dbraw/zinc/09/28/28/332092828.db2.gz FVPKPFJLNVQUDI-CYBMUJFWSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN1CCC(C(=O)OCCC2(O)CC2)CC1 ZINC000490713958 332107524 /nfs/dbraw/zinc/10/75/24/332107524.db2.gz MUGIDCKFMIONMH-UHFFFAOYSA-N 0 1 251.326 0.790 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](C(=O)OC)[C@H]2C)CC1 ZINC000490715483 332107984 /nfs/dbraw/zinc/10/79/84/332107984.db2.gz WXCZBOOYIDGAPY-OCCSQVGLSA-N 0 1 292.379 0.742 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](O)C[C@H]2C)CC1 ZINC000490739267 332110353 /nfs/dbraw/zinc/11/03/53/332110353.db2.gz HNTBGMLIKHMEPY-YPMHNXCESA-N 0 1 250.342 0.313 20 30 CCEDMN C#CCN1CCN(C(=O)C[N@H+]2C[C@@H]3[C@H](C2)C3(C)C)CC1 ZINC000490853460 332120880 /nfs/dbraw/zinc/12/08/80/332120880.db2.gz RKDNPMGCMWBRRE-OKILXGFUSA-N 0 1 275.396 0.352 20 30 CCEDMN C#CCN(C[Si](C)(C)C)[C@H]1CCS(=O)(=O)C1 ZINC000490866158 332122133 /nfs/dbraw/zinc/12/21/33/332122133.db2.gz NMJNHQMPRSDEJE-NSHDSACASA-N 0 1 259.447 0.986 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000490892735 332125888 /nfs/dbraw/zinc/12/58/88/332125888.db2.gz OEOZTXMQOBMBCJ-LLVKDONJSA-N 0 1 292.295 0.432 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)N2CCCC2)CC1 ZINC000491101093 332149870 /nfs/dbraw/zinc/14/98/70/332149870.db2.gz IRCUBKMPMYPJBF-UHFFFAOYSA-N 0 1 277.368 0.070 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H]2CCN(CC=C)C2=O)CC1 ZINC000491143798 332155598 /nfs/dbraw/zinc/15/55/98/332155598.db2.gz GOLAEKRWHBZBDR-AWEZNQCLSA-N 0 1 289.379 0.235 20 30 CCEDMN C#CCN(CC)C(=O)c1cnc(CCOCCOC)[nH]1 ZINC000491202619 332164948 /nfs/dbraw/zinc/16/49/48/332164948.db2.gz OVVCLXCXQJMUKN-UHFFFAOYSA-N 0 1 279.340 0.711 20 30 CCEDMN C#C[C@H](CCC)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000491315230 332188546 /nfs/dbraw/zinc/18/85/46/332188546.db2.gz LVGDREIQLGMHCB-SNVBAGLBSA-N 0 1 286.339 0.707 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@H](C)[C@H](OC)C2)C1=O ZINC000491325254 332189558 /nfs/dbraw/zinc/18/95/58/332189558.db2.gz AXUSABPTGNQUPO-SDDRHHMPSA-N 0 1 264.325 0.104 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@](C)(C(=O)OC)C1 ZINC000491408899 332207099 /nfs/dbraw/zinc/20/70/99/332207099.db2.gz XPBIAIZBFFMKLR-SMDDNHRTSA-N 0 1 266.341 0.399 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)[C@H](NC(C)=O)C(C)C ZINC000491451941 332213856 /nfs/dbraw/zinc/21/38/56/332213856.db2.gz IJTDIKRZZBNRDP-CYBMUJFWSA-N 0 1 267.373 0.218 20 30 CCEDMN C#CCNC(=O)CCN(CCOC)Cc1cncs1 ZINC000491473800 332217955 /nfs/dbraw/zinc/21/79/55/332217955.db2.gz HSOZZNZOEKKRBM-UHFFFAOYSA-N 0 1 281.381 0.731 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)[C@H]2CC2(C)C)CC1 ZINC000491617152 332244079 /nfs/dbraw/zinc/24/40/79/332244079.db2.gz KBOMEFRUFYEOAK-ZDUSSCGKSA-N 0 1 263.385 0.494 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)Cc1ccn(C)n1 ZINC000491617200 332244127 /nfs/dbraw/zinc/24/41/27/332244127.db2.gz BXSWXLOIACNNRQ-CYBMUJFWSA-N 0 1 261.325 0.603 20 30 CCEDMN C#CCN(C)CCCNC(=O)CSCC(C)=O ZINC000491626588 332250078 /nfs/dbraw/zinc/25/00/78/332250078.db2.gz KCTSCUCKWGTLPX-UHFFFAOYSA-N 0 1 256.371 0.380 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2nnc(C)[nH]2)CC1 ZINC000491641061 332260612 /nfs/dbraw/zinc/26/06/12/332260612.db2.gz ZFWSJTIHWGGIHI-UHFFFAOYSA-N 0 1 262.313 0.502 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C2CC(O)C2)CC1 ZINC000491646985 332263949 /nfs/dbraw/zinc/26/39/49/332263949.db2.gz VNVKOPAZSYYAKA-UHFFFAOYSA-N 0 1 250.342 0.313 20 30 CCEDMN C#CCN(C(=O)N[C@H]1CCN(CCOC)C1)C1CC1 ZINC000491658225 332269373 /nfs/dbraw/zinc/26/93/73/332269373.db2.gz HHEJNLMLVDIETK-LBPRGKRZSA-N 0 1 265.357 0.514 20 30 CCEDMN Cc1ccsc1[C@H](CO)NCC(=O)NCC#N ZINC000494625685 332480623 /nfs/dbraw/zinc/48/06/23/332480623.db2.gz LRDZDZGNFGOQFJ-VIFPVBQESA-N 0 1 253.327 0.319 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC2(COC(=O)N2)CC1 ZINC000495031887 332500677 /nfs/dbraw/zinc/50/06/77/332500677.db2.gz OZQKJCUQKBNKDD-UHFFFAOYSA-N 0 1 295.383 0.985 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](OCCO)C2)CC1 ZINC000178637587 333076325 /nfs/dbraw/zinc/07/63/25/333076325.db2.gz GJMWDDOQLKSCIY-OAHLLOKOSA-N 0 1 294.395 0.332 20 30 CCEDMN OCC#CCNC[C@@H](O)COc1ccccc1F ZINC000516867494 333084544 /nfs/dbraw/zinc/08/45/44/333084544.db2.gz ZMROOGJWKTVVPD-LLVKDONJSA-N 0 1 253.273 0.151 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCOC[C@H]2CCCO2)CC1 ZINC000520991135 333109643 /nfs/dbraw/zinc/10/96/43/333109643.db2.gz JEBZFKSGVVJUKE-CYBMUJFWSA-N 0 1 295.383 0.676 20 30 CCEDMN CC(C)CCC(=O)NCC(=O)NC1(C#N)CCN(C)CC1 ZINC000521144529 333112529 /nfs/dbraw/zinc/11/25/29/333112529.db2.gz PKUKBBJYMFTNSA-UHFFFAOYSA-N 0 1 294.399 0.643 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCCN1CCC1 ZINC000521855475 333193505 /nfs/dbraw/zinc/19/35/05/333193505.db2.gz GNVQFAUCOQCTCS-CHWSQXEVSA-N 0 1 267.373 0.973 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCCN1CCC1 ZINC000521855476 333193564 /nfs/dbraw/zinc/19/35/64/333193564.db2.gz GNVQFAUCOQCTCS-OLZOCXBDSA-N 0 1 267.373 0.973 20 30 CCEDMN C#CCN(C)C(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000191073254 333214755 /nfs/dbraw/zinc/21/47/55/333214755.db2.gz SIAIXRIEXKPLQS-UHFFFAOYSA-N 0 1 272.352 0.539 20 30 CCEDMN CC[C@@](C)(C#N)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000523670917 333336854 /nfs/dbraw/zinc/33/68/54/333336854.db2.gz REZNQLWNKLMVDN-ZDUSSCGKSA-N 0 1 287.327 0.598 20 30 CCEDMN N#CCC1CCN(C(=O)NC[C@H]2COCCN2)CC1 ZINC000529375659 333483887 /nfs/dbraw/zinc/48/38/87/333483887.db2.gz KTWKDOUGCYUYBX-LBPRGKRZSA-N 0 1 266.345 0.310 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC[C@@H](CO)CC1 ZINC000339745444 335148167 /nfs/dbraw/zinc/14/81/67/335148167.db2.gz DQFUFIAVMBUQPA-CQSZACIVSA-N 0 1 292.383 0.737 20 30 CCEDMN N#CC1(CNC(=O)NCCCCN2CCOCC2)CC1 ZINC000564275807 333919968 /nfs/dbraw/zinc/91/99/68/333919968.db2.gz CEWBAYQIQXNTES-UHFFFAOYSA-N 0 1 280.372 0.702 20 30 CCEDMN CC#CCNC(=O)NCCCCN1CCOCC1 ZINC000135138947 334117106 /nfs/dbraw/zinc/11/71/06/334117106.db2.gz RFUXKBZAONSORG-UHFFFAOYSA-N 0 1 253.346 0.421 20 30 CCEDMN C[C@H](C#N)CNC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000248443210 334302163 /nfs/dbraw/zinc/30/21/63/334302163.db2.gz LIRYMFVMRSPLEO-UPJWGTAASA-N 0 1 282.388 0.945 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN(C[C@H](C)O)C[C@H]1C ZINC000249504070 334334998 /nfs/dbraw/zinc/33/49/98/334334998.db2.gz JUDRAVBQWUBKLS-CABCVRRESA-N 0 1 295.427 0.574 20 30 CCEDMN N#CCc1ccc(CNC(=O)C[C@H]2COCCN2)cc1 ZINC000572882231 334887672 /nfs/dbraw/zinc/88/76/72/334887672.db2.gz FOVRQEWUMLACII-AWEZNQCLSA-N 0 1 273.336 0.747 20 30 CCEDMN CC(C)CN1CCO[C@H](CNc2ccnc(C#N)n2)C1 ZINC000577004628 335277999 /nfs/dbraw/zinc/27/79/99/335277999.db2.gz LCBCIXWSKJTFSC-GFCCVEGCSA-N 0 1 275.356 0.539 20 30 CCEDMN N#Cc1ncccc1S(=O)(=O)[N-][C@@H](CC[NH3+])C(F)F ZINC000383464666 336109682 /nfs/dbraw/zinc/10/96/82/336109682.db2.gz PWDNXUSGQARODA-ZETCQYMHSA-N 0 1 290.295 0.214 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CN2CC(C)(C)C2)CC1 ZINC000581833832 336149783 /nfs/dbraw/zinc/14/97/83/336149783.db2.gz YFSLOKTUJJCOGM-UHFFFAOYSA-N 0 1 264.373 0.432 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@H](CO)[C@H]1C ZINC000385739560 336155608 /nfs/dbraw/zinc/15/56/08/336155608.db2.gz BODXEEHLPJSDHK-BZPMIXESSA-N 0 1 267.373 0.744 20 30 CCEDMN C[C@]1(O)CCCN(C([O-])=[NH+][C@H]2CCn3ccnc3C2)C1 ZINC000330267459 534184033 /nfs/dbraw/zinc/18/40/33/534184033.db2.gz ICNQRFKCCDRSOK-FZMZJTMJSA-N 0 1 278.356 0.959 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H](C)C(=O)OC)CC1 ZINC000068082678 518266902 /nfs/dbraw/zinc/26/69/02/518266902.db2.gz MKAVFNQYMNQKJZ-GFCCVEGCSA-N 0 1 280.368 0.599 20 30 CCEDMN N#Cc1cc(F)c(S(=O)(=O)N[C@@H]2CC[C@H]2O)c(F)c1 ZINC000425201927 534773864 /nfs/dbraw/zinc/77/38/64/534773864.db2.gz BHLVHUBBJRSHBY-NXEZZACHSA-N 0 1 288.275 0.638 20 30 CCEDMN CC#CCN1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC000352550757 526361764 /nfs/dbraw/zinc/36/17/64/526361764.db2.gz QKHMYOVYROOCOP-LBPRGKRZSA-N 0 1 258.387 0.613 20 30 CCEDMN C=CCCNC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000665942817 545110290 /nfs/dbraw/zinc/11/02/90/545110290.db2.gz JGEMMXISDVQOEJ-UHFFFAOYSA-N 0 1 255.362 0.661 20 30 CCEDMN C=CCCNC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000665940436 545110303 /nfs/dbraw/zinc/11/03/03/545110303.db2.gz BSIXGWZPSKZOHV-TXEJJXNPSA-N 0 1 255.362 0.659 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C1CC(OC)C1 ZINC000352201946 526586450 /nfs/dbraw/zinc/58/64/50/526586450.db2.gz HJEQTOMTRZSSEP-UHFFFAOYSA-N 0 1 255.318 0.107 20 30 CCEDMN C=CCOCCCNC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000666514526 545124545 /nfs/dbraw/zinc/12/45/45/545124545.db2.gz CBDNHYDTVLDHDE-KBPBESRZSA-N 0 1 299.415 0.676 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000667423054 545146817 /nfs/dbraw/zinc/14/68/17/545146817.db2.gz GFQMCCALBPEIJZ-DZGCQCFKSA-N 0 1 282.384 0.637 20 30 CCEDMN Cn1ccc(CCN[C@H](C(N)=O)c2ccc(C#N)cc2)n1 ZINC000354539741 527351038 /nfs/dbraw/zinc/35/10/38/527351038.db2.gz SCQVUHNJLPFNJJ-AWEZNQCLSA-N 0 1 283.335 0.650 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000668670933 545186618 /nfs/dbraw/zinc/18/66/18/545186618.db2.gz NMWHBGFXNWPMKT-GFCCVEGCSA-N 0 1 295.383 0.048 20 30 CCEDMN CCN(CC(=O)N(CC)C[C@@H](C)C#N)C[C@H](C)O ZINC000352205199 527894059 /nfs/dbraw/zinc/89/40/59/527894059.db2.gz ZKTUUDIWVRUYBM-RYUDHWBXSA-N 0 1 255.362 0.697 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000669216480 545202718 /nfs/dbraw/zinc/20/27/18/545202718.db2.gz LTJRFFKEZJNGCP-TZMCWYRMSA-N 0 1 268.357 0.104 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N(CC#N)C1CC1 ZINC000352326095 528156160 /nfs/dbraw/zinc/15/61/60/528156160.db2.gz BEAJMKPPGAOTRT-UHFFFAOYSA-N 0 1 259.375 0.646 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000670407574 545233369 /nfs/dbraw/zinc/23/33/69/545233369.db2.gz VEVWNBJMGHLYOO-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)C[C@@H](C)OC ZINC000353200140 528689863 /nfs/dbraw/zinc/68/98/63/528689863.db2.gz BCRZPJRYVMRJJL-VXGBXAGGSA-N 0 1 255.362 0.961 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)NCc1cc(C)[nH]n1 ZINC000672538229 545269871 /nfs/dbraw/zinc/26/98/71/545269871.db2.gz GQWJMVMJRZULEO-UHFFFAOYSA-N 0 1 262.313 0.535 20 30 CCEDMN CCN1CCCN(C(=O)N[C@@H]2CC[S@@](=O)C2)CC1 ZINC000330248283 529014897 /nfs/dbraw/zinc/01/48/97/529014897.db2.gz MDQQFFBQFCHHSC-ADLMAVQZSA-N 0 1 273.402 0.449 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000685830425 545857409 /nfs/dbraw/zinc/85/74/09/545857409.db2.gz UADKXVNJBYQOJM-AWEZNQCLSA-N 0 1 270.373 0.229 20 30 CCEDMN C#CCOc1ccc(C(=O)N[C@@H]2[C@H]3CN(CC#C)C[C@H]32)cc1 ZINC000961972511 649887382 /nfs/dbraw/zinc/88/73/82/649887382.db2.gz MRDNIPLPYLGHTC-FVQHAEBGSA-N 0 1 294.354 0.992 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC000791423105 581220947 /nfs/dbraw/zinc/22/09/47/581220947.db2.gz QRVQWACHDCCSDK-WDEREUQCSA-N 0 1 256.371 0.554 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC([C@H](O)c2ncc[nH]2)CC1 ZINC000788474639 581112902 /nfs/dbraw/zinc/11/29/02/581112902.db2.gz ZFIRCCBNILINIL-YPMHNXCESA-N 0 1 290.367 0.293 20 30 CCEDMN CC(=NNC1=N[C@H]2CCCC[C@H]2N1)c1ccc(=O)[nH]n1 ZINC000790172918 581179223 /nfs/dbraw/zinc/17/92/23/581179223.db2.gz YYSHCYRBOYPWAW-PHIMTYICSA-N 0 1 274.328 0.766 20 30 CCEDMN COC(=O)c1cnc(C(=O)NC2=NO[C@@H](C)C2)cn1 ZINC000779674091 581198531 /nfs/dbraw/zinc/19/85/31/581198531.db2.gz AVKPKLXJVAGTNX-LURJTMIESA-N 0 1 264.241 0.115 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cccc3c2OCCO3)N1 ZINC000779792746 581205599 /nfs/dbraw/zinc/20/55/99/581205599.db2.gz TWUJLTBEQLJEOH-SECBINFHSA-N 0 1 260.297 0.729 20 30 CCEDMN C=C(CO)C(=O)N[C@@H](C)C1(N2CCOCC2)CCCC1 ZINC000791371225 581218082 /nfs/dbraw/zinc/21/80/82/581218082.db2.gz IQHLWCBKRWJFMR-ZDUSSCGKSA-N 0 1 282.384 0.685 20 30 CCEDMN CN1CCC[C@H](NC(=O)[C@H](O)c2ccc(C#N)cc2)C1 ZINC000780931053 581250135 /nfs/dbraw/zinc/25/01/35/581250135.db2.gz GTWMCFOOUXWXDJ-UONOGXRCSA-N 0 1 273.336 0.802 20 30 CCEDMN COc1nccnc1C=NNc1ccc(-n2cccn2)nn1 ZINC000792978272 581278849 /nfs/dbraw/zinc/27/88/49/581278849.db2.gz UGWXGFLECLDYQP-UHFFFAOYSA-N 0 1 296.294 0.907 20 30 CCEDMN Cc1cc(C2CCN(CC(=O)NCCC#N)CC2)[nH]n1 ZINC000782497697 581305662 /nfs/dbraw/zinc/30/56/62/581305662.db2.gz OXKODFKRFAWQKC-UHFFFAOYSA-N 0 1 275.356 0.927 20 30 CCEDMN C#CCNC(=O)CN[C@H](C)c1ccc([S@@](C)=O)cc1 ZINC000782507220 581306079 /nfs/dbraw/zinc/30/60/79/581306079.db2.gz ZBTVTWAUCXAZRS-NSPYISDASA-N 0 1 278.377 0.824 20 30 CCEDMN C#CCOCCNC(=O)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000782993550 581332236 /nfs/dbraw/zinc/33/22/36/581332236.db2.gz AADJVAFMCBNMEK-CYBMUJFWSA-N 0 1 299.334 0.843 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738462329 581333722 /nfs/dbraw/zinc/33/37/22/581333722.db2.gz YYXNFNODPQJHTK-MNOVXSKESA-N 0 1 267.329 0.056 20 30 CCEDMN N#Cc1c(F)cccc1-n1cnc(-c2nn[nH]n2)n1 ZINC000737706357 581351819 /nfs/dbraw/zinc/35/18/19/581351819.db2.gz XBQMTALFKLVWKG-UHFFFAOYSA-N 0 1 256.204 0.458 20 30 CCEDMN Cc1nn(-c2ccncc2)cc1C=NN1C(=O)CNC1=O ZINC000783864398 581366347 /nfs/dbraw/zinc/36/63/47/581366347.db2.gz QKVDBIRLNMMWLO-UHFFFAOYSA-N 0 1 284.279 0.461 20 30 CCEDMN C#CCNC(=O)COC(=O)c1cccc2[nH]ncc21 ZINC000785590312 581413507 /nfs/dbraw/zinc/41/35/07/581413507.db2.gz OENLYWKXPYWCEQ-UHFFFAOYSA-N 0 1 257.249 0.469 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1CCCOCC1 ZINC000786296645 581436697 /nfs/dbraw/zinc/43/66/97/581436697.db2.gz KRWQMFURDDGZRX-NEPJUHHUSA-N 0 1 282.340 0.665 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C[C@@H]1CCC(=O)[C@H]1C ZINC000796628356 581446705 /nfs/dbraw/zinc/44/67/05/581446705.db2.gz AEUJHRYEXSJWOW-SDDRHHMPSA-N 0 1 294.351 0.853 20 30 CCEDMN N#CC(C(=O)C[C@@H]1CCCOC1)C(=O)NC1CC1 ZINC000796636858 581446761 /nfs/dbraw/zinc/44/67/61/581446761.db2.gz RPXWQUHYCOGWIF-GXSJLCMTSA-N 0 1 250.298 0.791 20 30 CCEDMN N#CCCNC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000787359107 581469055 /nfs/dbraw/zinc/46/90/55/581469055.db2.gz XBNNWNUDPDIWGY-RYUDHWBXSA-N 0 1 266.345 0.141 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(C)C[C@H]1C(C)C ZINC000753383805 581538622 /nfs/dbraw/zinc/53/86/22/581538622.db2.gz UXJNGRGUNGETCR-ZDUSSCGKSA-N 0 1 267.373 0.618 20 30 CCEDMN C#CCNC(=O)CN[C@]1(CO)CCc2ccccc21 ZINC000766247329 581559205 /nfs/dbraw/zinc/55/92/05/581559205.db2.gz BBJROJLLKVVDAT-HNNXBMFYSA-N 0 1 258.321 0.159 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H]1C[C@@H](O)c1cnn(C)c1 ZINC000766947688 581588886 /nfs/dbraw/zinc/58/88/86/581588886.db2.gz ZWBZTIXJHNYLOD-UONOGXRCSA-N 0 1 290.367 0.057 20 30 CCEDMN N#CC(C(=O)CCCn1ccccc1=O)C(=O)NC1CC1 ZINC000735205184 581630589 /nfs/dbraw/zinc/63/05/89/581630589.db2.gz AMGZDASDMIYHES-LBPRGKRZSA-N 0 1 287.319 0.616 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC2(O)CCCCC2)CC1 ZINC000730080860 581647278 /nfs/dbraw/zinc/64/72/78/581647278.db2.gz LSSQUXNXHWUOHF-UHFFFAOYSA-N 0 1 293.411 0.049 20 30 CCEDMN C=CCOCC(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000735437655 581647295 /nfs/dbraw/zinc/64/72/95/581647295.db2.gz NNVDJQDQWWWBRF-UHFFFAOYSA-N 0 1 251.290 0.108 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C[C@H](C)COC ZINC000756224205 581660021 /nfs/dbraw/zinc/66/00/21/581660021.db2.gz MCLZODXGKHQUTK-WDEREUQCSA-N 0 1 270.329 0.521 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NCCSCCC(=O)[O-] ZINC000736079434 581686751 /nfs/dbraw/zinc/68/67/51/581686751.db2.gz MNZHPTMJTBVRNI-NSHDSACASA-N 0 1 284.381 0.408 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCCSCCC(=O)[O-] ZINC000736079434 581686753 /nfs/dbraw/zinc/68/67/53/581686753.db2.gz MNZHPTMJTBVRNI-NSHDSACASA-N 0 1 284.381 0.408 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000758134258 581723224 /nfs/dbraw/zinc/72/32/24/581723224.db2.gz CJDGNRCJJOSPJN-VHSXEESVSA-N 0 1 275.308 0.511 20 30 CCEDMN C#CCNC(=S)NCC(C)(C)N1CCN(C)CC1 ZINC000758187554 581725625 /nfs/dbraw/zinc/72/56/25/581725625.db2.gz WQQKKZAPMNLSPP-UHFFFAOYSA-N 0 1 268.430 0.110 20 30 CCEDMN C=CCNc1ncc(C(=O)NCc2nnc[nH]2)s1 ZINC000770999321 581779708 /nfs/dbraw/zinc/77/97/08/581779708.db2.gz RYZNYLHRVYZHAL-UHFFFAOYSA-N 0 1 264.314 0.789 20 30 CCEDMN C[C@@H](C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C1CC1)C(=O)[O-] ZINC000736578206 581790989 /nfs/dbraw/zinc/79/09/89/581790989.db2.gz JQYJYFCYPFZKCL-BONVTDFDSA-N 0 1 293.367 0.980 20 30 CCEDMN N#CC(C(=O)CC1CCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000744131015 581832618 /nfs/dbraw/zinc/83/26/18/581832618.db2.gz RLNWZZGGSQDCEN-LLVKDONJSA-N 0 1 298.364 0.142 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)N(C)CC)CC1 ZINC000772109075 581843143 /nfs/dbraw/zinc/84/31/43/581843143.db2.gz GISQCLJKULENSD-UHFFFAOYSA-N 0 1 266.341 0.353 20 30 CCEDMN N#C[C@@H](C(=O)[C@H]1CC(=O)N(C2CC2)C1)c1ccncn1 ZINC000760338493 581855838 /nfs/dbraw/zinc/85/58/38/581855838.db2.gz FRJRRAIKMUHBBU-GXSJLCMTSA-N 0 1 270.292 0.664 20 30 CCEDMN COC(=O)Cn1cc(C(C)=NN=c2cc(C)[nH]cn2)nn1 ZINC000772844782 581893851 /nfs/dbraw/zinc/89/38/51/581893851.db2.gz MRMCFXBAZNSNCR-UHFFFAOYSA-N 0 1 289.299 0.386 20 30 CCEDMN C=CCOCCCC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000773152819 581911162 /nfs/dbraw/zinc/91/11/62/581911162.db2.gz NPYBRYFJEWQAEU-SNVBAGLBSA-N 0 1 297.384 0.799 20 30 CCEDMN CN1CC[C@@H](C(=O)Nc2cc(C#N)ccc2O)C1=O ZINC000773499535 581930007 /nfs/dbraw/zinc/93/00/07/581930007.db2.gz ALIVMYUTGFVMAO-VIFPVBQESA-N 0 1 259.265 0.681 20 30 CCEDMN C#Cc1cncc(C(=O)NCCN2CCN(C)CC2)c1 ZINC000773733036 581939472 /nfs/dbraw/zinc/93/94/72/581939472.db2.gz BAWYCULTGASRBH-UHFFFAOYSA-N 0 1 272.352 0.040 20 30 CCEDMN C#CCNC(=O)CN(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC000773934681 581948352 /nfs/dbraw/zinc/94/83/52/581948352.db2.gz MHAUUBRDQNRGJA-UHFFFAOYSA-N 0 1 262.357 0.888 20 30 CCEDMN O=C(NCC#CCO)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000774294199 581969537 /nfs/dbraw/zinc/96/95/37/581969537.db2.gz VLPYYXLDYPMOJG-UHFFFAOYSA-N 0 1 289.316 0.350 20 30 CCEDMN CCS(=O)(=O)C=C(C)NNc1nccnc1OC ZINC000763424110 581998668 /nfs/dbraw/zinc/99/86/68/581998668.db2.gz CURCOHHAQZITKJ-UHFFFAOYSA-N 0 1 272.330 0.708 20 30 CCEDMN C[C@H](CO)NN=Cc1ccc(N2CCOCC2)c(F)c1 ZINC000749813889 582023526 /nfs/dbraw/zinc/02/35/26/582023526.db2.gz GHQPKRPRIWXISR-LLVKDONJSA-N 0 1 281.331 0.967 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC(=O)NCC(C)C)[C@H]1CCCO1 ZINC000775792457 582038882 /nfs/dbraw/zinc/03/88/82/582038882.db2.gz GSLUSPVOHJKZKA-FRRDWIJNSA-N 0 1 295.383 0.627 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NC(=O)NC(C)C)[C@@H]1CCCO1 ZINC000775794367 582039128 /nfs/dbraw/zinc/03/91/28/582039128.db2.gz QFEVJZQTJNEGLC-SRVKXCTJSA-N 0 1 281.356 0.380 20 30 CCEDMN C#C[C@H](NC[C@](C)(O)C(=O)OCC)[C@@H]1CCCO1 ZINC000775795514 582039445 /nfs/dbraw/zinc/03/94/45/582039445.db2.gz NUJSWLJYWWRTJI-GVXVVHGQSA-N 0 1 255.314 0.071 20 30 CCEDMN C#C[C@H](NC[C@@](C)(O)C(=O)OCC)[C@@H]1CCCO1 ZINC000775795513 582039590 /nfs/dbraw/zinc/03/95/90/582039590.db2.gz NUJSWLJYWWRTJI-GMXVVIOVSA-N 0 1 255.314 0.071 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H]2CCN(C(=O)[O-])C2)CC1 ZINC000738456903 582052599 /nfs/dbraw/zinc/05/25/99/582052599.db2.gz FFJGHGXMYZYWEL-GFCCVEGCSA-N 0 1 279.340 0.154 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738494883 582055452 /nfs/dbraw/zinc/05/54/52/582055452.db2.gz GFTIPEDOOGLGDF-SNVBAGLBSA-N 0 1 255.318 0.220 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](CNC(=O)[O-])C1 ZINC000738496202 582056572 /nfs/dbraw/zinc/05/65/72/582056572.db2.gz UJFXXYRQYAFYKP-VHSXEESVSA-N 0 1 255.318 0.267 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@@H+](C)CCCNC(=O)[O-] ZINC000738598699 582065013 /nfs/dbraw/zinc/06/50/13/582065013.db2.gz AXYRVTYZQJBJHA-JTQLQIEISA-N 0 1 270.333 0.336 20 30 CCEDMN CC[S@@](C)(=O)=NS(=O)(=O)NCC1(C#N)CCC1 ZINC000867415365 616010642 /nfs/dbraw/zinc/01/06/42/616010642.db2.gz DKXISESNJFWEMS-MRXNPFEDSA-N 0 1 279.387 0.632 20 30 CCEDMN C#CCOCCN1C[C@H](CC)O[C@]2(CCOC2)C1 ZINC000852001861 612985150 /nfs/dbraw/zinc/98/51/50/612985150.db2.gz OZBKWNIQEHHVJA-UONOGXRCSA-N 0 1 253.342 0.906 20 30 CCEDMN C[C@H](C(=O)[O-])[N@@H+](C)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000817772345 597493016 /nfs/dbraw/zinc/49/30/16/597493016.db2.gz VGHHJTYOQGRHRF-YPMHNXCESA-N 0 1 292.335 0.897 20 30 CCEDMN Cc1[nH+]ccn1CCOC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000821196750 597616494 /nfs/dbraw/zinc/61/64/94/597616494.db2.gz MKUHGHLDXZVFLQ-GFCCVEGCSA-N 0 1 265.269 0.739 20 30 CCEDMN O=C([O-])CCN[NH+]=Cc1cnc(N2CCCC2)nc1 ZINC000821524977 597742573 /nfs/dbraw/zinc/74/25/73/597742573.db2.gz UNUKTLFTKFORMH-UHFFFAOYSA-N 0 1 263.301 0.475 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc(C)n[nH]2)CC1 ZINC000980772007 613005446 /nfs/dbraw/zinc/00/54/46/613005446.db2.gz BCRAQXWNXSIBOF-UHFFFAOYSA-N 0 1 260.341 0.889 20 30 CCEDMN C#CCNC(=O)N1CCNC[C@H]1c1ccc(OC)cc1 ZINC000887276910 612946512 /nfs/dbraw/zinc/94/65/12/612946512.db2.gz DMDULFJLGDWUPH-AWEZNQCLSA-N 0 1 273.336 0.984 20 30 CCEDMN C#CCSCC(=O)NC[C@H]1CCO[C@H]1c1n[nH]c(C)n1 ZINC000926637219 613012714 /nfs/dbraw/zinc/01/27/14/613012714.db2.gz GMUUARARFNCNNN-ZYHUDNBSSA-N 0 1 294.380 0.673 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC000212046769 612947877 /nfs/dbraw/zinc/94/78/77/612947877.db2.gz RIIQVUUDZGQUJH-LLVKDONJSA-N 0 1 286.331 0.881 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC000212046769 612947878 /nfs/dbraw/zinc/94/78/78/612947878.db2.gz RIIQVUUDZGQUJH-LLVKDONJSA-N 0 1 286.331 0.881 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(CCOC)CC1 ZINC000859397849 612949833 /nfs/dbraw/zinc/94/98/33/612949833.db2.gz TUANFUBPJQQPJI-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CC1(O)CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000931143210 612953083 /nfs/dbraw/zinc/95/30/83/612953083.db2.gz XIGFXMRZPCLAQQ-GFCCVEGCSA-N 0 1 263.341 0.207 20 30 CCEDMN N#CC1(C[N@@H+]2CCC[C@H](C(=O)NCCNC(=O)[O-])C2)CC1 ZINC000833964461 606002671 /nfs/dbraw/zinc/00/26/71/606002671.db2.gz HMXNUMGSSWAJDO-NSHDSACASA-N 0 1 294.355 0.386 20 30 CCEDMN C#CC[C@H](CO)NC(=O)[C@@H]1CCN1C1CCCC1 ZINC000823053698 613056687 /nfs/dbraw/zinc/05/66/87/613056687.db2.gz SXEOEOIICHPXKU-YPMHNXCESA-N 0 1 250.342 0.504 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)NC[C@H]1CCN1CC ZINC000890062598 613056818 /nfs/dbraw/zinc/05/68/18/613056818.db2.gz XWHJAVRNTYTBMD-VXGBXAGGSA-N 0 1 253.346 0.154 20 30 CCEDMN C#CCN(C(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1)C1CC1 ZINC000911407565 613065899 /nfs/dbraw/zinc/06/58/99/613065899.db2.gz NQHFPQPMHSNLGR-LLVKDONJSA-N 0 1 264.325 0.407 20 30 CCEDMN Cc1ccc(Cn2c(N)nc(-c3nn[nH]n3)c2C#N)nc1 ZINC000826289245 609176749 /nfs/dbraw/zinc/17/67/49/609176749.db2.gz RQVVCIGYORFMKS-UHFFFAOYSA-N 0 1 281.283 0.269 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)N[C@@H]2CCN(CCOC)C2)C1 ZINC000847322605 613105039 /nfs/dbraw/zinc/10/50/39/613105039.db2.gz YHOKPWSSDSBJPA-ZIAGYGMSSA-N 0 1 279.384 0.762 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2CCOC(C)(C)C2)C1 ZINC000930884886 613105853 /nfs/dbraw/zinc/10/58/53/613105853.db2.gz INVRRNOLEZUYSD-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)C1 ZINC000847029275 613105962 /nfs/dbraw/zinc/10/59/62/613105962.db2.gz MISRXUNCTPIEBG-HZSPNIEDSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCCCCNC(=O)NCCN1CC[C@H](O)C1 ZINC000875375422 612977783 /nfs/dbraw/zinc/97/77/83/612977783.db2.gz XBIFKQHXEWCQRP-LBPRGKRZSA-N 0 1 253.346 0.156 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1[N+](=O)[O-] ZINC000834510838 617234903 /nfs/dbraw/zinc/23/49/03/617234903.db2.gz FUWHRWDTHIXCIC-ZJUUUORDSA-N 0 1 290.279 0.445 20 30 CCEDMN COc1ccc(COCC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000843464220 617262226 /nfs/dbraw/zinc/26/22/26/617262226.db2.gz ZWWBRRNTSVNBNL-OCCSQVGLSA-N 0 1 289.335 0.440 20 30 CCEDMN COc1ccc(F)c(OC)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000876801592 617264890 /nfs/dbraw/zinc/26/48/90/617264890.db2.gz GDSNXECJNORTGE-WCBMZHEXSA-N 0 1 293.298 0.684 20 30 CCEDMN COc1ccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c(OC)c1 ZINC000841011175 617270166 /nfs/dbraw/zinc/27/01/66/617270166.db2.gz LXVGJEULBUEZSY-CABZTGNLSA-N 0 1 290.323 0.937 20 30 CCEDMN CSc1cc(NC(=O)NCC#CCN(C)C)ncn1 ZINC000931964608 617279609 /nfs/dbraw/zinc/27/96/09/617279609.db2.gz MQOVABCTNBSIDD-UHFFFAOYSA-N 0 1 279.369 0.885 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCN(C(=O)[C@H]2CCCN2C)CC1 ZINC000940508856 617289099 /nfs/dbraw/zinc/28/90/99/617289099.db2.gz VRMUQHKTKAISPQ-QWHCGFSZSA-N 0 1 292.383 0.301 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCOC2(CCN(CCF)CC2)C1 ZINC000949054168 617290545 /nfs/dbraw/zinc/29/05/45/617290545.db2.gz VAVBTTTWPJSCFY-LBPRGKRZSA-N 0 1 283.347 0.809 20 30 CCEDMN COc1cccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000841000041 617303919 /nfs/dbraw/zinc/30/39/19/617303919.db2.gz WGFCHDNDLOARPX-BXKDBHETSA-N 0 1 260.297 0.928 20 30 CCEDMN C#CCC1(NC(=O)NCc2n[nH]c(CC)n2)CCOCC1 ZINC000889111570 612969522 /nfs/dbraw/zinc/96/95/22/612969522.db2.gz OZANLAPFILTVSL-UHFFFAOYSA-N 0 1 291.355 0.739 20 30 CCEDMN C#CCC1(O)CCN(C(=O)NCc2cc(C)[nH]n2)CC1 ZINC000883434631 612972092 /nfs/dbraw/zinc/97/20/92/612972092.db2.gz XMFDOTIUMYGKLB-UHFFFAOYSA-N 0 1 276.340 0.778 20 30 CCEDMN C#CCC1(O)CCN(C(=O)N[C@H]2CCC[N@H+](C)C2)CC1 ZINC000883177531 612972198 /nfs/dbraw/zinc/97/21/98/612972198.db2.gz BYSFHILQGHGETR-ZDUSSCGKSA-N 0 1 279.384 0.640 20 30 CCEDMN C#CCC1(O)CCN(C(=O)N[C@H]2CCCN(C)C2)CC1 ZINC000883177531 612972200 /nfs/dbraw/zinc/97/22/00/612972200.db2.gz BYSFHILQGHGETR-ZDUSSCGKSA-N 0 1 279.384 0.640 20 30 CCEDMN C#CCC1(O)CCN([C@@H](C)C(=O)NCCCOCC)CC1 ZINC000880479078 612974243 /nfs/dbraw/zinc/97/42/43/612974243.db2.gz PKPZNPDEHYUVCQ-AWEZNQCLSA-N 0 1 296.411 0.768 20 30 CCEDMN C#CCCCCCN1C[C@H]2[C@@H](C1)[C@H](OC)CS2(=O)=O ZINC000878955603 612976889 /nfs/dbraw/zinc/97/68/89/612976889.db2.gz FCXNZSULOZSKTP-MJBXVCDLSA-N 0 1 285.409 0.924 20 30 CCEDMN C#CCCCNC(=O)NCCN1CCC(O)CC1 ZINC000893302164 612981827 /nfs/dbraw/zinc/98/18/27/612981827.db2.gz CBLOELZHIYNSQW-UHFFFAOYSA-N 0 1 253.346 0.156 20 30 CCEDMN C#CCCN(CCOC)C(=O)C1CCN(CC#C)CC1 ZINC000856387819 612986899 /nfs/dbraw/zinc/98/68/99/612986899.db2.gz XTMKYEFUJJRLKI-UHFFFAOYSA-N 0 1 276.380 0.830 20 30 CCEDMN C#CCCN(CCOC)C(=O)NCc1n[nH]c(C)n1 ZINC000898348174 612987630 /nfs/dbraw/zinc/98/76/30/612987630.db2.gz HJBSLAYABZCTAP-UHFFFAOYSA-N 0 1 265.317 0.294 20 30 CCEDMN COc1cccc([C@H]2CN(CC(=O)NCC#N)CCN2)c1 ZINC000879524868 617310409 /nfs/dbraw/zinc/31/04/09/617310409.db2.gz DHPFNSGDLPXRBF-CQSZACIVSA-N 0 1 288.351 0.281 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000913437826 612996919 /nfs/dbraw/zinc/99/69/19/612996919.db2.gz OMVHBGAAKUFUHY-JQWIXIFHSA-N 0 1 285.307 0.444 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000822313876 613001154 /nfs/dbraw/zinc/00/11/54/613001154.db2.gz PMORWFQWWXFSEV-HZSPNIEDSA-N 0 1 282.384 0.640 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000822313876 613001156 /nfs/dbraw/zinc/00/11/56/613001156.db2.gz PMORWFQWWXFSEV-HZSPNIEDSA-N 0 1 282.384 0.640 20 30 CCEDMN C#CCOc1ccc(CN2CC[C@@H](O)[C@@H](O)C2)cc1 ZINC000877749339 613006001 /nfs/dbraw/zinc/00/60/01/613006001.db2.gz SHXARHLCERDWJZ-CABCVRRESA-N 0 1 261.321 0.626 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC000981969780 613006097 /nfs/dbraw/zinc/00/60/97/613006097.db2.gz SLSOCFQDERRAMG-UHFFFAOYSA-N 0 1 288.351 0.285 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cn(C)nc2C)CC1 ZINC000980850926 613006418 /nfs/dbraw/zinc/00/64/18/613006418.db2.gz YGTQCHKVEUGDFC-UHFFFAOYSA-N 0 1 274.368 0.900 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cn(C)nc2OC)CC1 ZINC000981740058 613006525 /nfs/dbraw/zinc/00/65/25/613006525.db2.gz YGBIZJVDBPWWAX-UHFFFAOYSA-N 0 1 290.367 0.600 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnn(C)c2C)CC1 ZINC000980711205 613006905 /nfs/dbraw/zinc/00/69/05/613006905.db2.gz AIOYTAYJXLVTHA-UHFFFAOYSA-N 0 1 274.368 0.900 20 30 CCEDMN C#CCCN1CCN(C[C@@](C)(O)C(F)(F)F)CC1 ZINC000799147838 613011615 /nfs/dbraw/zinc/01/16/15/613011615.db2.gz PPPIHUVIHIIWRD-LLVKDONJSA-N 0 1 264.291 0.941 20 30 CCEDMN C#CCCN1CCN(c2nccn3ccnc23)CC1 ZINC000892316500 613012436 /nfs/dbraw/zinc/01/24/36/613012436.db2.gz ZYRFZKNRCKXNHY-UHFFFAOYSA-N 0 1 255.325 0.875 20 30 CCEDMN C#CCCN1CCOC2(CCN(C(C)=O)CC2)C1 ZINC000949267101 613012496 /nfs/dbraw/zinc/01/24/96/613012496.db2.gz NVYBYXWNKHZNMZ-UHFFFAOYSA-N 0 1 250.342 0.723 20 30 CCEDMN C#CCCOC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000859189626 613049216 /nfs/dbraw/zinc/04/92/16/613049216.db2.gz AJKXABDFHRYNBO-NSHDSACASA-N 0 1 268.313 0.183 20 30 CCEDMN C#CC[C@H](CO)NC(=O)Cc1[nH]nc2ccccc21 ZINC000820060096 613053860 /nfs/dbraw/zinc/05/38/60/613053860.db2.gz UHDPOEKQQVFBES-SNVBAGLBSA-N 0 1 257.293 0.606 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)NC[C@H]1CC[N@@H+]1CC ZINC000890062598 613056817 /nfs/dbraw/zinc/05/68/17/613056817.db2.gz XWHJAVRNTYTBMD-VXGBXAGGSA-N 0 1 253.346 0.154 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000820064660 613058269 /nfs/dbraw/zinc/05/82/69/613058269.db2.gz IUUAJSDDGXOWKO-MRVPVSSYSA-N 0 1 288.263 0.585 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCc1cc(CC)[nH]n1 ZINC000858238298 613063826 /nfs/dbraw/zinc/06/38/26/613063826.db2.gz SDVGWICFCDXUAV-LLVKDONJSA-N 0 1 264.329 0.810 20 30 CCEDMN C#CC[C@H](NC(=O)C(C)(C)N1CCCCC1)C(=O)OC ZINC000909890912 613067470 /nfs/dbraw/zinc/06/74/70/613067470.db2.gz WMNMEDZLJPGGKA-LBPRGKRZSA-N 0 1 280.368 0.932 20 30 CCEDMN C#CC[C@H]1C(=O)N(c2ncnc3c2cnn3C)N=C1C ZINC000899347487 613071399 /nfs/dbraw/zinc/07/13/99/613071399.db2.gz WHQBQWJMYUJRBM-SECBINFHSA-N 0 1 268.280 0.725 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)C12CC(C(=O)[O-])(C1)C2 ZINC000911405399 613072519 /nfs/dbraw/zinc/07/25/19/613072519.db2.gz YQZQZNSYCBNTME-UHFFFAOYSA-N 0 1 264.325 0.313 20 30 CCEDMN C#CC[C@H]1CCN([C@H]2CS(=O)(=O)C[C@H]2OC)C1 ZINC000886365578 613075038 /nfs/dbraw/zinc/07/50/38/613075038.db2.gz LNLQPILLFVULTC-SDDRHHMPSA-N 0 1 257.355 0.144 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2CC(OCC)C2)C1 ZINC000971485701 613080237 /nfs/dbraw/zinc/08/02/37/613080237.db2.gz BLDAKLIWELLGDU-ROKHWSDSSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cc(C)nn2C)C1 ZINC000971928695 613081417 /nfs/dbraw/zinc/08/14/17/613081417.db2.gz OCYIOXQYUPWMRP-CYBMUJFWSA-N 0 1 274.368 0.437 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cnc(C)o2)C1 ZINC000971671753 613082029 /nfs/dbraw/zinc/08/20/29/613082029.db2.gz QLSFNOBNNVQIPR-GFCCVEGCSA-N 0 1 261.325 0.691 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC000972188226 613082916 /nfs/dbraw/zinc/08/29/16/613082916.db2.gz DEOPVLMKPSLOLI-VXGBXAGGSA-N 0 1 287.367 0.391 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(C)CCCOC2)C1 ZINC000972629243 613083212 /nfs/dbraw/zinc/08/32/12/613083212.db2.gz SYPZFGYZBLRSJN-HIFRSBDPSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(OC)ccn2)C1 ZINC000972062467 613085639 /nfs/dbraw/zinc/08/56/39/613085639.db2.gz AXDCGELBXUEZGH-GFCCVEGCSA-N 0 1 273.336 0.870 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc3n[nH]nc3c2)C1 ZINC000971697135 613087330 /nfs/dbraw/zinc/08/73/30/613087330.db2.gz OYGIKSHRXXTQDW-LLVKDONJSA-N 0 1 284.323 0.132 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2COC(=O)C2)C1 ZINC000971344780 613090587 /nfs/dbraw/zinc/09/05/87/613090587.db2.gz VMZOEKGTCWKHGB-RYUDHWBXSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](OC)C(C)C)C1 ZINC000972244142 613091224 /nfs/dbraw/zinc/09/12/24/613091224.db2.gz ZTQHMAVLPXEZNB-STQMWFEESA-N 0 1 252.358 0.823 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC000971978291 613091824 /nfs/dbraw/zinc/09/18/24/613091824.db2.gz HCCNXYPMOYXTMT-ZNMIVQPWSA-N 0 1 276.380 0.967 20 30 CCEDMN C#C[C@@H](NC(=O)CN(C)[C@H]1CCSC1)C1CCOCC1 ZINC000856107434 613092162 /nfs/dbraw/zinc/09/21/62/613092162.db2.gz NRLGBCSSGGMHBD-UONOGXRCSA-N 0 1 296.436 0.968 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(OCC)n[nH]2)C1 ZINC000971765732 613094314 /nfs/dbraw/zinc/09/43/14/613094314.db2.gz PHCWUIITEFJBIO-NSHDSACASA-N 0 1 276.340 0.588 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc3c(c2)nnn3C)C1 ZINC000971786583 613094873 /nfs/dbraw/zinc/09/48/73/613094873.db2.gz BKZRISHNLZEGSE-ZDUSSCGKSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccoc2CC(N)=O)C1 ZINC000972187381 613095980 /nfs/dbraw/zinc/09/59/80/613095980.db2.gz UONUNVIOGSPMHV-NSHDSACASA-N 0 1 289.335 0.087 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc3n[nH]cc3c2)C1 ZINC000971763194 613096305 /nfs/dbraw/zinc/09/63/05/613096305.db2.gz RNLBPTCZXZGFSU-ZDUSSCGKSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nnn(CC)c2CC)C1 ZINC000972317856 613097076 /nfs/dbraw/zinc/09/70/76/613097076.db2.gz QXFZLVBBPIISCX-LBPRGKRZSA-N 0 1 289.383 0.640 20 30 CCEDMN C#C[C@@H](NC(=O)N[C@H](C)c1nnc[nH]1)C1CCOCC1 ZINC000823172798 613097631 /nfs/dbraw/zinc/09/76/31/613097631.db2.gz KXHCHBJVSQICFT-MWLCHTKSSA-N 0 1 277.328 0.593 20 30 CCEDMN C#CC[N@H+](CC(=O)NCC(=O)[O-])C1CCCCC1 ZINC000819700705 613102894 /nfs/dbraw/zinc/10/28/94/613102894.db2.gz FDBFZAMTHUTBJE-UHFFFAOYSA-N 0 1 252.314 0.455 20 30 CCEDMN C#CCN(CC(=O)Nc1nnc(C)[nH]1)C(=O)OC(C)(C)C ZINC000826049499 613103558 /nfs/dbraw/zinc/10/35/58/613103558.db2.gz SJRGZHDWEFKIAQ-UHFFFAOYSA-N 0 1 293.327 0.922 20 30 CCEDMN C#CCN(CC(=O)Nc1nc(C)n[nH]1)C(=O)OC(C)(C)C ZINC000826049499 613103559 /nfs/dbraw/zinc/10/35/59/613103559.db2.gz SJRGZHDWEFKIAQ-UHFFFAOYSA-N 0 1 293.327 0.922 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)NCc2n[nH]c(COC)n2)C1 ZINC000821161166 613104523 /nfs/dbraw/zinc/10/45/23/613104523.db2.gz WCYQCOCOZDNEEA-SNVBAGLBSA-N 0 1 277.328 0.506 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)NCc2nnc(COC)[nH]2)C1 ZINC000821161166 613104525 /nfs/dbraw/zinc/10/45/25/613104525.db2.gz WCYQCOCOZDNEEA-SNVBAGLBSA-N 0 1 277.328 0.506 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)NCc2n[nH]c(=O)n2C)C1 ZINC000889572204 613104767 /nfs/dbraw/zinc/10/47/67/613104767.db2.gz NNDFRLVTCBMLEK-SECBINFHSA-N 0 1 263.301 0.076 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2CCC[C@@H](NC(C)=O)C2)C1 ZINC000847027652 613106035 /nfs/dbraw/zinc/10/60/35/613106035.db2.gz VMIATDYMLIJHQG-HUUCEWRRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(CC)CCn1cnc(Br)n1 ZINC000821385880 613106625 /nfs/dbraw/zinc/10/66/25/613106625.db2.gz HLCGGSASAQEAGF-UHFFFAOYSA-N 0 1 257.135 0.996 20 30 CCEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)NCC(=O)OC)C1 ZINC000847033613 613106874 /nfs/dbraw/zinc/10/68/74/613106874.db2.gz YXYKMAHENNUKEY-WDEREUQCSA-N 0 1 252.314 0.009 20 30 CCEDMN C#CCN(CC1(O)CCS(=O)(=O)CC1)C(C)C ZINC000932389971 613107300 /nfs/dbraw/zinc/10/73/00/613107300.db2.gz FXSLKQWVVNPKLH-UHFFFAOYSA-N 0 1 259.371 0.270 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)NCC[N@@H+]2CC[C@@H](O)C2)CC1 ZINC000876440732 613108075 /nfs/dbraw/zinc/10/80/75/613108075.db2.gz JAGRJBVQHWOGNO-MGPQQGTHSA-N 0 1 279.384 0.544 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)NCCN2CC[C@@H](O)C2)CC1 ZINC000876440732 613108077 /nfs/dbraw/zinc/10/80/77/613108077.db2.gz JAGRJBVQHWOGNO-MGPQQGTHSA-N 0 1 279.384 0.544 20 30 CCEDMN C#CCN(Cc1[nH]ncc1C)[C@H]1CCS(=O)(=O)C1 ZINC000811458270 613109263 /nfs/dbraw/zinc/10/92/63/613109263.db2.gz SHXHSKOISGSCAB-NSHDSACASA-N 0 1 267.354 0.340 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@H](c3ccco3)C2)C1=O ZINC000821771487 613110001 /nfs/dbraw/zinc/11/00/01/613110001.db2.gz ATJPMBNLQNKJGK-RYUDHWBXSA-N 0 1 272.304 0.830 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000857869300 613110818 /nfs/dbraw/zinc/11/08/18/613110818.db2.gz MMXYOZJLGBQBRV-CYZMBNFOSA-N 0 1 273.336 0.936 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000820435072 613115965 /nfs/dbraw/zinc/11/59/65/613115965.db2.gz DUNJDPRLKYUSCE-XQQFMLRXSA-N 0 1 265.357 0.249 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000820661842 613122703 /nfs/dbraw/zinc/12/27/03/613122703.db2.gz XIUOWHAGMUKJMP-HZSPNIEDSA-N 0 1 281.400 0.885 20 30 CCEDMN C#C[C@H](CO)NC(=O)c1sccc1CN(C)C ZINC000854774043 613130392 /nfs/dbraw/zinc/13/03/92/613130392.db2.gz RAGODBDVSQXCOX-SNVBAGLBSA-N 0 1 252.339 0.534 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C/[C@H]2CCCO2)CC1 ZINC000928649122 613132574 /nfs/dbraw/zinc/13/25/74/613132574.db2.gz GLVIKYLGLQMSGP-VBROQKIQSA-N 0 1 262.353 0.935 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCC2(O)CCC2)CC1 ZINC000922398877 613134079 /nfs/dbraw/zinc/13/40/79/613134079.db2.gz GCSJMSQRGIAKOF-UHFFFAOYSA-N 0 1 279.384 0.688 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ccn(CC)n2)CC1 ZINC000922870582 613134265 /nfs/dbraw/zinc/13/42/65/613134265.db2.gz OEFOSOFMVYXHNX-UHFFFAOYSA-N 0 1 289.383 0.800 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)[C@H]2CCCO2)CC1 ZINC000914170537 613135324 /nfs/dbraw/zinc/13/53/24/613135324.db2.gz NOFJWERVKHFAQN-TZMCWYRMSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cnnn2C)CC1 ZINC000931278208 613135328 /nfs/dbraw/zinc/13/53/28/613135328.db2.gz XNIQILKXOFSSAG-UHFFFAOYSA-N 0 1 262.317 0.034 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)c2nc(C)no2)CC1 ZINC000916050946 613135356 /nfs/dbraw/zinc/13/53/56/613135356.db2.gz STHXNIHAQYZADC-SNVBAGLBSA-N 0 1 291.355 0.836 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)c2ccn(C)n2)CC1 ZINC000922853914 613135529 /nfs/dbraw/zinc/13/55/29/613135529.db2.gz KNDUDNLDEZLAOJ-GFCCVEGCSA-N 0 1 289.383 0.878 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)n2cncn2)CC1 ZINC000928654451 613136113 /nfs/dbraw/zinc/13/61/13/613136113.db2.gz CBSWWLINQCBPLW-NSHDSACASA-N 0 1 261.329 0.053 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2[C@@H](C)[C@H]2OCC)CC1 ZINC000928661572 613136126 /nfs/dbraw/zinc/13/61/26/613136126.db2.gz ZDOWCOVMLCTKQZ-MRVWCRGKSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)c2cnccn2)CC1 ZINC000928659989 613136180 /nfs/dbraw/zinc/13/61/80/613136180.db2.gz HHLFNOBTWCGNMY-GFCCVEGCSA-N 0 1 272.352 0.794 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@H](C)CO2)CC1 ZINC000928660041 613136419 /nfs/dbraw/zinc/13/64/19/613136419.db2.gz FMZINVCBXZLEFQ-AAEUAGOBSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCc3nccn3C2)CC1 ZINC000928650880 613136816 /nfs/dbraw/zinc/13/68/16/613136816.db2.gz HPWLRRRLZIPJAF-ZDUSSCGKSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCCCN(C)C2=O)CC1 ZINC000928647901 613136881 /nfs/dbraw/zinc/13/68/81/613136881.db2.gz BAKWPCNBYIGNTM-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@]2(OC)CCSC2)CC1 ZINC000928659894 613137198 /nfs/dbraw/zinc/13/71/98/613137198.db2.gz DAESBGRHOHYCQE-AWEZNQCLSA-N 0 1 282.409 0.722 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nnc(C3CC3)o2)CC1 ZINC000902945955 613138258 /nfs/dbraw/zinc/13/82/58/613138258.db2.gz UARMRNMLAVUWNR-UHFFFAOYSA-N 0 1 274.324 0.774 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@H]1CCN1CC)C1CCOCC1 ZINC000883727803 613141376 /nfs/dbraw/zinc/14/13/76/613141376.db2.gz CIKVTNZGYOYFTD-KGLIPLIRSA-N 0 1 279.384 0.808 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@H]1CCN1C)C1CCOCC1 ZINC000923326533 613141412 /nfs/dbraw/zinc/14/14/12/613141412.db2.gz LMNVXQGBOVPWJV-OLZOCXBDSA-N 0 1 265.357 0.418 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3C[C@H](C)CO3)C2)C1 ZINC000981902833 613142547 /nfs/dbraw/zinc/14/25/47/613142547.db2.gz IDHSJZIMJXIUIE-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CC(=O)N(C)C3)C2)C1 ZINC000981801411 613142650 /nfs/dbraw/zinc/14/26/50/613142650.db2.gz SXWPZFOOOBLIGJ-ZDUSSCGKSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC000968923569 613148289 /nfs/dbraw/zinc/14/82/89/613148289.db2.gz XKONCHLXKCYEEH-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1COCCN1C ZINC000968815635 613148394 /nfs/dbraw/zinc/14/83/94/613148394.db2.gz BDIGEBQGMCSJRU-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(C)CCN(C)CC2)cc1 ZINC000888980701 613169564 /nfs/dbraw/zinc/16/95/64/613169564.db2.gz MERNORZQGRJKGI-UHFFFAOYSA-N 0 1 285.391 0.987 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@H](N(C)[C@@H](C)C(N)=O)C2)cc1 ZINC000972058130 613173927 /nfs/dbraw/zinc/17/39/27/613173927.db2.gz FWGAYGJMGHLLNF-WFASDCNBSA-N 0 1 299.374 0.688 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H](OC)[C@H]1CCOC1 ZINC000850826303 613177074 /nfs/dbraw/zinc/17/70/74/613177074.db2.gz LWHBCUCNJMJCHW-BFHYXJOUSA-N 0 1 281.352 0.679 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCCCN2CCO)cn1 ZINC000833560079 613180540 /nfs/dbraw/zinc/18/05/40/613180540.db2.gz ZGJABDJSNQZJDV-OAHLLOKOSA-N 0 1 287.363 0.640 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CSc2nnc(C)[nH]2)C1 ZINC000923575346 613182379 /nfs/dbraw/zinc/18/23/79/613182379.db2.gz YCUUHKKKUDPZNR-NSHDSACASA-N 0 1 293.396 0.419 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000923556741 613189596 /nfs/dbraw/zinc/18/95/96/613189596.db2.gz IDBSUNVRIACNSY-OLZOCXBDSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CCC[C@H](N[C@@H]2C[C@H](C)n3ncnc32)C1 ZINC000926869155 613193645 /nfs/dbraw/zinc/19/36/45/613193645.db2.gz UDOHLACETQSAEV-RWMBFGLXSA-N 0 1 259.357 0.971 20 30 CCEDMN C#CCN1CCC[C@H](Nc2nc(N)nc3c2cnn3C)C1 ZINC000884611112 613193700 /nfs/dbraw/zinc/19/37/00/613193700.db2.gz DCTQRICSYIOFFR-JTQLQIEISA-N 0 1 285.355 0.455 20 30 CCEDMN C#Cc1ccc(CNC(=O)[C@@]2(C)C[C@H](O)CN2C)cc1 ZINC000908530807 613194417 /nfs/dbraw/zinc/19/44/17/613194417.db2.gz OBGBRIONSIRMEC-GOEBONIOSA-N 0 1 272.348 0.739 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)N2CC[C@H]3CN(C)C[C@H]32)cc1 ZINC000907197267 613197032 /nfs/dbraw/zinc/19/70/32/613197032.db2.gz MIXTXDFGKBELET-DZGCQCFKSA-N 0 1 290.388 0.993 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N1CC[C@](F)(C(=O)[O-])C1 ZINC000825620309 613197775 /nfs/dbraw/zinc/19/77/75/613197775.db2.gz ZUVRAFCVDSFKMF-GXFFZTMASA-N 0 1 268.288 0.109 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@](F)(C(=O)[O-])C1 ZINC000825620309 613197777 /nfs/dbraw/zinc/19/77/77/613197777.db2.gz ZUVRAFCVDSFKMF-GXFFZTMASA-N 0 1 268.288 0.109 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000909903768 613197838 /nfs/dbraw/zinc/19/78/38/613197838.db2.gz SDJPJGSEXUWJPW-MJBXVCDLSA-N 0 1 290.363 0.653 20 30 CCEDMN C#Cc1cccc(CNC(=O)NC[C@@H]2COCCN2)c1 ZINC000861637800 613200335 /nfs/dbraw/zinc/20/03/35/613200335.db2.gz PWDASNZSBPHBDB-CQSZACIVSA-N 0 1 273.336 0.456 20 30 CCEDMN C#CCN1CCN(C(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC000878449447 613207803 /nfs/dbraw/zinc/20/78/03/613207803.db2.gz YLINHYGXZTXMKX-IUODEOHRSA-N 0 1 286.379 0.853 20 30 CCEDMN C#Cc1cnc(N2C[C@@H](C)N(CCO)C[C@H]2C)nc1 ZINC000842046562 613210249 /nfs/dbraw/zinc/21/02/49/613210249.db2.gz ZKTHYILPWXXKRE-VXGBXAGGSA-N 0 1 260.341 0.349 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCN(C3CN(CC)C3)CC2)c1 ZINC000941559449 613213206 /nfs/dbraw/zinc/21/32/06/613213206.db2.gz ABMRMDLOMOIOMT-UHFFFAOYSA-N 0 1 298.390 0.525 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965521994 613213961 /nfs/dbraw/zinc/21/39/61/613213961.db2.gz GLUGWUQGTHEWHC-OCCSQVGLSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2cccn2)C1 ZINC000965147912 613214006 /nfs/dbraw/zinc/21/40/06/613214006.db2.gz GAPHPKPUSANINR-OLZOCXBDSA-N 0 1 260.341 0.343 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC000967282878 613214269 /nfs/dbraw/zinc/21/42/69/613214269.db2.gz CJGCVSBQASWXIM-DOMZBBRYSA-N 0 1 288.395 0.960 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000965845149 613215907 /nfs/dbraw/zinc/21/59/07/613215907.db2.gz JOAQMBVKUQLRJO-YPMHNXCESA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cn2cc(C)cn2)C1 ZINC000965474332 613218851 /nfs/dbraw/zinc/21/88/51/613218851.db2.gz GWJVLJJWGPORSU-ZIAGYGMSSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cncn2C)C1 ZINC000968533334 613219130 /nfs/dbraw/zinc/21/91/30/613219130.db2.gz IVNHQWIVAIYTKQ-TZMCWYRMSA-N 0 1 274.368 0.422 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CCN(CCOC)C2)c1 ZINC000806816426 613222299 /nfs/dbraw/zinc/22/22/99/613222299.db2.gz DHRRUMMALDYZAY-AWEZNQCLSA-N 0 1 273.336 0.513 20 30 CCEDMN C=C(Br)CNC[C@H](O)C[C@@]1(O)CCOC1 ZINC000905065637 613238774 /nfs/dbraw/zinc/23/87/74/613238774.db2.gz QEDYXKDFGJEBID-ZJUUUORDSA-N 0 1 280.162 0.387 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C)ncn2)[C@H](C)C1 ZINC000941962004 613233060 /nfs/dbraw/zinc/23/30/60/613233060.db2.gz BZNNEDFVPMMIAK-DGCLKSJQSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cccn3nnnc23)[C@@H](C)C1 ZINC000942084493 613237951 /nfs/dbraw/zinc/23/79/51/613237951.db2.gz UHCPQBBJBZMNHJ-WCQYABFASA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn3cccnc3n2)C[C@@H]1C ZINC000947498842 613240820 /nfs/dbraw/zinc/24/08/20/613240820.db2.gz ZWCLMIDNXYLYAZ-QWHCGFSZSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnns2)C[C@@H]1C ZINC000947095147 613242896 /nfs/dbraw/zinc/24/28/96/613242896.db2.gz QGRMUSKYUQOGLT-VHSXEESVSA-N 0 1 264.354 0.754 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2coc(C(N)=O)c2)[C@H](C)C1 ZINC000942523754 613242983 /nfs/dbraw/zinc/24/29/83/613242983.db2.gz VVMMBDGMDAESPO-ZYHUDNBSSA-N 0 1 289.335 0.452 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C[C@@H]1C ZINC000947205396 613243458 /nfs/dbraw/zinc/24/34/58/613243458.db2.gz PUMCFFZPRSKGBK-GXSJLCMTSA-N 0 1 276.340 0.640 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000941989959 613244157 /nfs/dbraw/zinc/24/41/57/613244157.db2.gz RRJZRGPLIPYTSF-NWDGAFQWSA-N 0 1 273.336 0.861 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2COCCN2C)C1 ZINC000949926560 613245213 /nfs/dbraw/zinc/24/52/13/613245213.db2.gz KMNOWSRAMJCLDQ-HNNXBMFYSA-N 0 1 295.427 0.816 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CC[C@@H]2C(N)=O)C1 ZINC000951133839 613245798 /nfs/dbraw/zinc/24/57/98/613245798.db2.gz MMEIIINFHNPGFF-KBPBESRZSA-N 0 1 293.411 0.997 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CCC(=O)N2)C1 ZINC000949789192 613245848 /nfs/dbraw/zinc/24/58/48/613245848.db2.gz ADDXBVUGLLDLSI-CYBMUJFWSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)C2=CCOCC2)C1 ZINC000965936015 613246580 /nfs/dbraw/zinc/24/65/80/613246580.db2.gz LVFXTHHQXFGBIO-JSGCOSHPSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC000965845764 613248820 /nfs/dbraw/zinc/24/88/20/613248820.db2.gz QDXDXPQMLXVDNJ-AAEUAGOBSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230924 613249167 /nfs/dbraw/zinc/24/91/67/613249167.db2.gz HKMSVJQWSUBLKH-QWRGUYRKSA-N 0 1 276.340 0.199 20 30 CCEDMN C=C(C)CCN1CCO[C@]2(CCN(C(=O)COC)C2)C1 ZINC000972193508 613263081 /nfs/dbraw/zinc/26/30/81/613263081.db2.gz GVFJECADKRFMQC-OAHLLOKOSA-N 0 1 282.384 0.902 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C(C)(C)C1 ZINC000940823728 613263201 /nfs/dbraw/zinc/26/32/01/613263201.db2.gz ITNFFOWBWZVOFB-NSHDSACASA-N 0 1 290.367 0.887 20 30 CCEDMN C/C(=C\c1ccncc1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000848419309 613266943 /nfs/dbraw/zinc/26/69/43/613266943.db2.gz SSIABDBTZATCSQ-SFGZWBMOSA-N 0 1 256.309 0.713 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc3n[nH]nc32)C[C@H]1C ZINC000947531842 613273130 /nfs/dbraw/zinc/27/31/30/613273130.db2.gz FNRRCGBSTZBJTK-MNOVXSKESA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccncn2)C[C@@H]1C ZINC000947825627 613273147 /nfs/dbraw/zinc/27/31/47/613273147.db2.gz UHWLMHXYHHDUEX-RYUDHWBXSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnn2C)C(C)(C)C1 ZINC000940621627 613273170 /nfs/dbraw/zinc/27/31/70/613273170.db2.gz UTAHSNJNMYTQJS-ZDUSSCGKSA-N 0 1 274.368 0.884 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3ccncc23)[C@H](C)C1 ZINC000942129684 613275616 /nfs/dbraw/zinc/27/56/16/613275616.db2.gz KOIYTXKCLYTRKC-OCCSQVGLSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2coc(OC)n2)C[C@@H]1C ZINC000947576060 613276776 /nfs/dbraw/zinc/27/67/76/613276776.db2.gz GYYZEBRSBLGRFJ-QWRGUYRKSA-N 0 1 277.324 0.899 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2snnc2C)[C@@H](C)C1 ZINC000941797458 613279064 /nfs/dbraw/zinc/27/90/64/613279064.db2.gz CAFFFBAISGSYRS-ONGXEEELSA-N 0 1 278.381 0.920 20 30 CCEDMN C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1cnn[n-]1 ZINC000948936403 613280002 /nfs/dbraw/zinc/28/00/02/613280002.db2.gz PSQNZVIDKOTQQS-OAHLLOKOSA-N 0 1 295.346 0.767 20 30 CCEDMN C#CCN1CCc2ccccc2[C@H]1CNC(=O)c1cn[nH]n1 ZINC000948936403 613280004 /nfs/dbraw/zinc/28/00/04/613280004.db2.gz PSQNZVIDKOTQQS-OAHLLOKOSA-N 0 1 295.346 0.767 20 30 CCEDMN C#CCN1CCc2ccccc2[C@H]1CNC(=O)c1cnn[nH]1 ZINC000948936403 613280006 /nfs/dbraw/zinc/28/00/06/613280006.db2.gz PSQNZVIDKOTQQS-OAHLLOKOSA-N 0 1 295.346 0.767 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2ccc(C)n2)C(C)(C)C1 ZINC000975039946 613281133 /nfs/dbraw/zinc/28/11/33/613281133.db2.gz KJJHUPYLTOEFAY-CYBMUJFWSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C(C)(C)C1 ZINC000977526351 613281711 /nfs/dbraw/zinc/28/17/11/613281711.db2.gz LASHVCHGIWQSRJ-ZIAGYGMSSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C(C)(C)C1 ZINC000975042562 613282296 /nfs/dbraw/zinc/28/22/96/613282296.db2.gz BHIKGWFACXZMPZ-FRRDWIJNSA-N 0 1 291.395 0.348 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C(C)(C)C1 ZINC000974751239 613282331 /nfs/dbraw/zinc/28/23/31/613282331.db2.gz IUGNVMOHNGXVBD-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C=C)cc2)C1 ZINC000958425525 613286248 /nfs/dbraw/zinc/28/62/48/613286248.db2.gz ZFVXSTONVVWELH-JKSUJKDBSA-N 0 1 284.359 0.985 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc[nH]c2C(C)C)C1 ZINC000958334999 613286257 /nfs/dbraw/zinc/28/62/57/613286257.db2.gz RXWMUPXMIRKYER-GXTWGEPZSA-N 0 1 289.379 0.794 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c(C)onc2CC)[C@H](O)C1 ZINC000958666574 613288552 /nfs/dbraw/zinc/28/85/52/613288552.db2.gz MRMDUAPLINRFGO-DGCLKSJQSA-N 0 1 291.351 0.201 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c[nH]c3cccnc32)[C@H](O)C1 ZINC000958581802 613288576 /nfs/dbraw/zinc/28/85/76/613288576.db2.gz SWMRJBCNENUROL-BXUZGUMPSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C)cc2)[C@H](O)C1 ZINC000958608872 613289050 /nfs/dbraw/zinc/28/90/50/613289050.db2.gz BRBIWZNMVLBLMV-HUUCEWRRSA-N 0 1 272.348 0.651 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccccc2Cl)[C@H](O)C1 ZINC000958598289 613289627 /nfs/dbraw/zinc/28/96/27/613289627.db2.gz JAIHQOIVKRKKFE-BXUZGUMPSA-N 0 1 292.766 0.996 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc3n[nH]cc3c2)[C@H](O)C1 ZINC000958351014 613289681 /nfs/dbraw/zinc/28/96/81/613289681.db2.gz WSYRURYXTKSOAX-UKRRQHHQSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccoc2Cl)[C@H](O)C1 ZINC000958011458 613289976 /nfs/dbraw/zinc/28/99/76/613289976.db2.gz ZNXDVSZROZCGKL-MWLCHTKSSA-N 0 1 282.727 0.589 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cnc(C)s2)[C@H](O)C1 ZINC000957922368 613290201 /nfs/dbraw/zinc/29/02/01/613290201.db2.gz IOFIZFRZZPCICC-GHMZBOCLSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ocnc2C2CC2)[C@H](O)C1 ZINC000958395361 613290568 /nfs/dbraw/zinc/29/05/68/613290568.db2.gz TVKVTQRTMZVEPO-VXGBXAGGSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ncoc2C2CC2)[C@H](O)C1 ZINC000958569190 613290781 /nfs/dbraw/zinc/29/07/81/613290781.db2.gz DGQUCMOIOSTXQR-VXGBXAGGSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2occc2C)[C@H](O)C1 ZINC000957855408 613290816 /nfs/dbraw/zinc/29/08/16/613290816.db2.gz NDDYCMILYHCTTN-VXGBXAGGSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccccc2OC)C1 ZINC000958611354 613295230 /nfs/dbraw/zinc/29/52/30/613295230.db2.gz ZZEYYSJNYVSMNZ-JSGCOSHPSA-N 0 1 288.347 0.351 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cc[nH]c(=O)c2)C(C)(C)C1 ZINC000973025174 613300620 /nfs/dbraw/zinc/30/06/20/613300620.db2.gz ODXJFLYVBSHLES-LBPRGKRZSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2CC3(CCC3)C2)C1 ZINC000958316273 613301998 /nfs/dbraw/zinc/30/19/98/613301998.db2.gz FYGTYSDAPSEHCM-KGLIPLIRSA-N 0 1 276.380 0.609 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(Cl)cc2)C1 ZINC000958610217 613303067 /nfs/dbraw/zinc/30/30/67/613303067.db2.gz POJIWVNYSQMFSW-OCCSQVGLSA-N 0 1 292.766 0.996 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2coc(C)c2)C1 ZINC000958011157 613304169 /nfs/dbraw/zinc/30/41/69/613304169.db2.gz UEBYIPTYRGUILJ-OLZOCXBDSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cnc(C3CC3)o2)C1 ZINC000958148937 613304292 /nfs/dbraw/zinc/30/42/92/613304292.db2.gz MCLLFMAHEABVEL-NEPJUHHUSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2occc2CC)C1 ZINC000958375187 613304475 /nfs/dbraw/zinc/30/44/75/613304475.db2.gz RCBTWBYBJJPLMV-OLZOCXBDSA-N 0 1 276.336 0.498 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)n2cncn2)CC1 ZINC000981009467 613310501 /nfs/dbraw/zinc/31/05/01/613310501.db2.gz FCLAVZPIMJTZFU-ZDUSSCGKSA-N 0 1 277.372 0.950 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCCNC2=O)CC1 ZINC000981391859 613310764 /nfs/dbraw/zinc/31/07/64/613310764.db2.gz WGVAXLJEGGDIRI-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1C=CC=CC=C1 ZINC000960119282 613312914 /nfs/dbraw/zinc/31/29/14/613312914.db2.gz SRQKFPHFSXTRSZ-FICVDOATSA-N 0 1 254.333 0.964 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2cnn(C)n2)CC1 ZINC000982197091 613313432 /nfs/dbraw/zinc/31/34/32/613313432.db2.gz NTLHMLYPBNVCRV-UHFFFAOYSA-N 0 1 263.345 0.539 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1csc(CC)n1 ZINC000962755224 613315109 /nfs/dbraw/zinc/31/51/09/613315109.db2.gz OKQVKNRNFZZMCD-JYAVWHMHSA-N 0 1 289.404 0.928 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCc2nn(C)cc21 ZINC000961588145 613315425 /nfs/dbraw/zinc/31/54/25/613315425.db2.gz AMYCZEJWVQEOHW-UYHMYPTGSA-N 0 1 298.390 0.520 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2ncnn21 ZINC000960854451 613320421 /nfs/dbraw/zinc/32/04/21/613320421.db2.gz MNEJEXLUJLCUFL-YABSGUDNSA-N 0 1 281.319 0.023 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2c(n1)CCCC2 ZINC000960156894 613320663 /nfs/dbraw/zinc/32/06/63/613320663.db2.gz OMZLIYJBPUHVHD-JYAVWHMHSA-N 0 1 284.363 0.513 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2c(n1)CCC2 ZINC000959953571 613320705 /nfs/dbraw/zinc/32/07/05/613320705.db2.gz CDDYVEYGCPCBFM-YABSGUDNSA-N 0 1 270.336 0.123 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc2ccnn21 ZINC000960252006 613320919 /nfs/dbraw/zinc/32/09/19/613320919.db2.gz YLJZYIGTWSCPQV-YABSGUDNSA-N 0 1 281.319 0.023 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C(C)C)cn1 ZINC000961344958 613320987 /nfs/dbraw/zinc/32/09/87/613320987.db2.gz WTVQGLYRAKTRSV-IMRBUKKESA-N 0 1 272.352 0.757 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc(COC)c1 ZINC000960338513 613321222 /nfs/dbraw/zinc/32/12/22/613321222.db2.gz SQDSZSMHGCODHB-FICVDOATSA-N 0 1 285.347 0.521 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2c1CCCC2 ZINC000962236042 613321259 /nfs/dbraw/zinc/32/12/59/613321259.db2.gz HVKVAASJYCJGFH-NHAGDIPZSA-N 0 1 284.363 0.513 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nncc2ccccc21 ZINC000960985198 613322572 /nfs/dbraw/zinc/32/25/72/613322572.db2.gz GKBJGISCSDGJMH-FICVDOATSA-N 0 1 292.342 0.923 20 30 CCEDMN C#CCNC(=O)CCN(C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000852151299 613323791 /nfs/dbraw/zinc/32/37/91/613323791.db2.gz GFJLYBXQLNVBJF-HNNXBMFYSA-N 0 1 297.362 0.965 20 30 CCEDMN C#CCNC(=O)CCN1CCOC[C@H]1C[C@@H]1CCCO1 ZINC000933686430 613324902 /nfs/dbraw/zinc/32/49/02/613324902.db2.gz ZCZJXDZBJLSAEM-KGLIPLIRSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](CCC(=O)OC)C1 ZINC000880038237 613328797 /nfs/dbraw/zinc/32/87/97/613328797.db2.gz SZPPLLUSGHNNTQ-LBPRGKRZSA-N 0 1 266.341 0.401 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000957975613 613339473 /nfs/dbraw/zinc/33/94/73/613339473.db2.gz RGSKXMVPEQXNIU-WDEREUQCSA-N 0 1 294.355 0.022 20 30 CCEDMN C=C(C)CNC(=S)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000840804793 613346839 /nfs/dbraw/zinc/34/68/39/613346839.db2.gz JRHIWEPXQMDICF-TXEJJXNPSA-N 0 1 271.430 0.824 20 30 CCEDMN C=C(C)C[C@H](NC(=O)C[N@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC000910293551 613358688 /nfs/dbraw/zinc/35/86/88/613358688.db2.gz JZYHDZCBUGAHID-MNOVXSKESA-N 0 1 286.397 0.959 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N1CCC[N@@H+](CC(=O)[O-])CC1)OCC ZINC000911220088 613360147 /nfs/dbraw/zinc/36/01/47/613360147.db2.gz LFZTYPUNIQXSHD-CYBMUJFWSA-N 0 1 298.383 0.977 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N1CCSC[C@@H]1c1nn[n-]n1 ZINC000907483436 613364161 /nfs/dbraw/zinc/36/41/61/613364161.db2.gz YUPIKLUBUSJAJE-SNVBAGLBSA-N 0 1 296.400 0.324 20 30 CCEDMN C=C(Cl)CN1C[C@H](NC(=O)c2cnn[nH]2)C(C)(C)C1 ZINC000974793930 613381198 /nfs/dbraw/zinc/38/11/98/613381198.db2.gz NXJFMCAJDBDANC-JTQLQIEISA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC000969568076 613385074 /nfs/dbraw/zinc/38/50/74/613385074.db2.gz XPLQALXTOXMGHJ-NXEZZACHSA-N 0 1 271.748 0.066 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC000969166360 613385230 /nfs/dbraw/zinc/38/52/30/613385230.db2.gz ANSIISKRUMJWFU-WDEREUQCSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnc[nH]c2=O)C1 ZINC000969038383 613386040 /nfs/dbraw/zinc/38/60/40/613386040.db2.gz PWDGJCXWXYDTHU-SECBINFHSA-N 0 1 282.731 0.739 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H]2CNC(=O)c2cnn[nH]2)C1 ZINC000950998337 613394372 /nfs/dbraw/zinc/39/43/72/613394372.db2.gz MMBRBORBNBNLFX-JTQLQIEISA-N 0 1 289.339 0.492 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NC[C@H]1CC[N@H+]1C1CCOCC1 ZINC000927636909 613403708 /nfs/dbraw/zinc/40/37/08/613403708.db2.gz LQYCCDLYERBKKX-GXTWGEPZSA-N 0 1 297.399 0.476 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@@H](C(=O)N(C)C)C1 ZINC000878709250 613412130 /nfs/dbraw/zinc/41/21/30/613412130.db2.gz IWKYWMZKRYIIOS-GHMZBOCLSA-N 0 1 254.330 0.514 20 30 CCEDMN C=CCn1cc(C(=O)N2CC[C@@H](N3CCC3)C2)nn1 ZINC000912903791 613426270 /nfs/dbraw/zinc/42/62/70/613426270.db2.gz SYKUULDZFUHYOK-LLVKDONJSA-N 0 1 261.329 0.384 20 30 CCEDMN C=CCn1cc(C(=O)O[C@H](C)c2n[nH]c(C)n2)nn1 ZINC000830119699 613433418 /nfs/dbraw/zinc/43/34/18/613433418.db2.gz DTEICQATAXLRKG-SSDOTTSWSA-N 0 1 262.273 0.809 20 30 CCEDMN C=CCn1cc(CN[C@@H]2CCO[C@]23CCOC3)nn1 ZINC000903192886 613435843 /nfs/dbraw/zinc/43/58/43/613435843.db2.gz RFMBYOAVARVUEG-OLZOCXBDSA-N 0 1 264.329 0.502 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000830074931 613441549 /nfs/dbraw/zinc/44/15/49/613441549.db2.gz SFSJZFNGPKACBP-XQQFMLRXSA-N 0 1 270.373 0.755 20 30 CCEDMN C=CCCC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833373600 613462633 /nfs/dbraw/zinc/46/26/33/613462633.db2.gz UFCHGHPBFZKGKX-LLVKDONJSA-N 0 1 254.330 0.960 20 30 CCEDMN C=CCCCN(CC)CN1C(=O)C(=O)N(C)C1=O ZINC000902669060 613466891 /nfs/dbraw/zinc/46/68/91/613466891.db2.gz IRNKQOLIPIAYIX-UHFFFAOYSA-N 0 1 253.302 0.653 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC000910918324 613471547 /nfs/dbraw/zinc/47/15/47/613471547.db2.gz YAJWVODGBYTOGR-GHMZBOCLSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC000910918324 613471548 /nfs/dbraw/zinc/47/15/48/613471548.db2.gz YAJWVODGBYTOGR-GHMZBOCLSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)C3CC3)CC2)C1 ZINC000941272982 613482092 /nfs/dbraw/zinc/48/20/92/613482092.db2.gz VXRRUDHOZJNLHT-UHFFFAOYSA-N 0 1 263.385 0.801 20 30 CCEDMN C=C[C@H](O)C(=O)NCc1ccc(N2CCN(C)CC2)cc1 ZINC000918844471 613496413 /nfs/dbraw/zinc/49/64/13/613496413.db2.gz FHWJPKLPFDVGKX-HNNXBMFYSA-N 0 1 289.379 0.602 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NC[C@H]1C[C@H](O)CN1C ZINC000924980186 613505720 /nfs/dbraw/zinc/50/57/20/613505720.db2.gz DZLKFNASJDQPBV-MBNYWOFBSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC000949751645 613506968 /nfs/dbraw/zinc/50/69/68/613506968.db2.gz URNUSASJUOYITE-ITGUQSILSA-N 0 1 250.342 0.599 20 30 CCEDMN C=C[C@](C)(O)c1cn([C@H](C)c2n[nH]c(CC)n2)nn1 ZINC000881385670 613511180 /nfs/dbraw/zinc/51/11/80/613511180.db2.gz UBEIZDKEMFJAEV-PELKAZGASA-N 0 1 262.317 0.961 20 30 CCEDMN CC#CCN1CC(N(CC)C(=O)c2cnc(C)[nH]2)C1 ZINC000949794273 613528546 /nfs/dbraw/zinc/52/85/46/613528546.db2.gz XBPVHGNZZLYGSN-UHFFFAOYSA-N 0 1 260.341 0.888 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000969909641 613531415 /nfs/dbraw/zinc/53/14/15/613531415.db2.gz AGYMHBQOBGSHKV-SECBINFHSA-N 0 1 276.340 0.497 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)C2CC(OC)C2)C1 ZINC000969920320 613535334 /nfs/dbraw/zinc/53/53/34/613535334.db2.gz ZTGIOVSWKOPMNX-DCBWTQNWSA-N 0 1 264.369 0.871 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2c(C)cnn2C)C1 ZINC000970296179 613537377 /nfs/dbraw/zinc/53/73/77/613537377.db2.gz PQNJAFKPOZQFEC-LBPRGKRZSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cnn(C)n1 ZINC000969070273 613546886 /nfs/dbraw/zinc/54/68/86/613546886.db2.gz JWEHANSFVCHCAS-ZDUSSCGKSA-N 0 1 289.383 0.813 20 30 CCEDMN CC#CC[N@@H+]1CCCN(C(=O)c2ccc3nn[n-]c3n2)CC1 ZINC000981291522 613554748 /nfs/dbraw/zinc/55/47/48/613554748.db2.gz YUNIYIUECKDQRF-UHFFFAOYSA-N 0 1 298.350 0.524 20 30 CCEDMN CC#CC[N@H+]1CCCN(C(=O)c2ccc3nn[n-]c3n2)CC1 ZINC000981291522 613554749 /nfs/dbraw/zinc/55/47/49/613554749.db2.gz YUNIYIUECKDQRF-UHFFFAOYSA-N 0 1 298.350 0.524 20 30 CCEDMN C=CCC[N@H+]1C[C@H](O)[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000957985331 613557637 /nfs/dbraw/zinc/55/76/37/613557637.db2.gz GCDDQKDYXSWPDD-MNOVXSKESA-N 0 1 294.355 0.022 20 30 CCEDMN C=CCCS(=O)(=O)N1C[C@@H]2CCCN2C[C@@H]1CO ZINC000872618851 613581169 /nfs/dbraw/zinc/58/11/69/613581169.db2.gz ZPJYAYGNLRWCMA-NWDGAFQWSA-N 0 1 274.386 0.033 20 30 CCEDMN C=CCC[C@@H](O)C[N@H+]1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000923255636 613586489 /nfs/dbraw/zinc/58/64/89/613586489.db2.gz OPLOGFRJCXRLMO-NQBHXWOUSA-N 0 1 255.314 0.347 20 30 CCEDMN C=CCC[C@H](O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881406165 613591676 /nfs/dbraw/zinc/59/16/76/613591676.db2.gz HDWQNMZDRFDBHM-VIFPVBQESA-N 0 1 292.261 0.784 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1)C(C)C ZINC000803179545 613603690 /nfs/dbraw/zinc/60/36/90/613603690.db2.gz JORVOETZLSVVDR-GFCCVEGCSA-N 0 1 279.384 0.762 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nnn(C)n2)C(C)(C)C1 ZINC000940962569 613606710 /nfs/dbraw/zinc/60/67/10/613606710.db2.gz ZMKKEJVPDOSIHR-NSHDSACASA-N 0 1 290.371 0.064 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2cc[nH]n2)C(C)(C)C1 ZINC000972985820 613608743 /nfs/dbraw/zinc/60/87/43/613608743.db2.gz KJMXZJMDFJVCJP-CYBMUJFWSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2nnc(C)o2)C(C)(C)C1 ZINC000974558266 613609563 /nfs/dbraw/zinc/60/95/63/613609563.db2.gz GFVLOXXZZCMZMX-GFCCVEGCSA-N 0 1 290.367 0.770 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](NC(=O)c2cnn[n-]2)C(C)(C)C1 ZINC000974780439 613612064 /nfs/dbraw/zinc/61/20/64/613612064.db2.gz BPMLXPWMPOPMRX-LLVKDONJSA-N 0 1 261.329 0.268 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(F)s2)C1 ZINC000958740193 613618806 /nfs/dbraw/zinc/61/88/06/613618806.db2.gz KTLDUUQYLPGWFO-QWRGUYRKSA-N 0 1 296.367 0.933 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccsc2)C1 ZINC000957863873 613619495 /nfs/dbraw/zinc/61/94/95/613619495.db2.gz NSRNNADNSVEPRW-STQMWFEESA-N 0 1 278.377 0.794 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2ncccn2n1 ZINC000962101573 613639558 /nfs/dbraw/zinc/63/95/58/613639558.db2.gz KTMZIZFBBBUKTH-JYAVWHMHSA-N 0 1 295.346 0.413 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)nc1OC ZINC000961455089 613641212 /nfs/dbraw/zinc/64/12/12/613641212.db2.gz CGVHGQDFDVRJAO-PJXYFTJBSA-N 0 1 288.351 0.112 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000823501574 613646517 /nfs/dbraw/zinc/64/65/17/613646517.db2.gz ZGIYTARJESPIFZ-GFCCVEGCSA-N 0 1 281.356 0.871 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000823501574 613646518 /nfs/dbraw/zinc/64/65/18/613646518.db2.gz ZGIYTARJESPIFZ-GFCCVEGCSA-N 0 1 281.356 0.871 20 30 CCEDMN CC(=NNc1ccc(-n2cccn2)nn1)c1cc(CO)on1 ZINC000812226387 613657225 /nfs/dbraw/zinc/65/72/25/613657225.db2.gz CRVMSYGFOMRMAE-UHFFFAOYSA-N 0 1 299.294 0.979 20 30 CCEDMN CC(=NNc1ccc(S(N)(=O)=O)cn1)c1csnn1 ZINC000814798230 613657237 /nfs/dbraw/zinc/65/72/37/613657237.db2.gz FUCKNKHGVYHFEA-UHFFFAOYSA-N 0 1 298.353 0.417 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC000970916015 613663227 /nfs/dbraw/zinc/66/32/27/613663227.db2.gz IQVPYSKUSDZUAL-NEPJUHHUSA-N 0 1 289.383 0.463 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2nnn(C(C)(C)C)n2)C1 ZINC000969716663 613668079 /nfs/dbraw/zinc/66/80/79/613668079.db2.gz JPWOJDLDCKMKSA-SNVBAGLBSA-N 0 1 292.387 0.664 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnn3cccnc23)C1 ZINC000970253946 613673343 /nfs/dbraw/zinc/67/33/43/613673343.db2.gz RFUQBPOCOPRBCQ-NSHDSACASA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2cc(C)n[nH]2)CC1 ZINC000980951386 613689863 /nfs/dbraw/zinc/68/98/63/613689863.db2.gz FIQFXPCQQVJPQO-UHFFFAOYSA-N 0 1 262.357 0.981 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@H]2CCN(C)C2=O)CC1 ZINC000981406444 613690198 /nfs/dbraw/zinc/69/01/98/613690198.db2.gz OKXQKCFSEDUWBR-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cc[n+]([O-])cc2)CC1 ZINC000980664055 613696907 /nfs/dbraw/zinc/69/69/07/613696907.db2.gz WZKPOYYEIVZBQF-UHFFFAOYSA-N 0 1 261.325 0.654 20 30 CCEDMN C=CCN1CCN(CN2CC[C@@H](C3OCCO3)C2)C1=O ZINC000905697722 613720630 /nfs/dbraw/zinc/72/06/30/613720630.db2.gz YEISGRXYETYFAI-GFCCVEGCSA-N 0 1 281.356 0.562 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2cccn2)C1 ZINC000965153773 613728301 /nfs/dbraw/zinc/72/83/01/613728301.db2.gz ROZSJYKTRFHLCO-OLZOCXBDSA-N 0 1 262.357 0.896 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965990736 613728563 /nfs/dbraw/zinc/72/85/63/613728563.db2.gz PHTBHZKXJDMFIT-PWSUYJOCSA-N 0 1 278.356 0.933 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CCCC[C@@H]2C(=O)[O-])C1=O ZINC000873646304 613739965 /nfs/dbraw/zinc/73/99/65/613739965.db2.gz HLBMDFNDAOHWIN-GHMZBOCLSA-N 0 1 252.314 0.712 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CCCC[C@@H]2C(=O)[O-])C1=O ZINC000873646304 613739967 /nfs/dbraw/zinc/73/99/67/613739967.db2.gz HLBMDFNDAOHWIN-GHMZBOCLSA-N 0 1 252.314 0.712 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C(C)(C)C1 ZINC000974738527 613808290 /nfs/dbraw/zinc/80/82/90/613808290.db2.gz IQOAISIFBHSJAM-WBMJQRKESA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)C(C)(C)C1 ZINC000974907353 613811873 /nfs/dbraw/zinc/81/18/73/613811873.db2.gz YUHCBSYVCMFWAK-LLVKDONJSA-N 0 1 278.356 0.752 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)C(C)(C)C1 ZINC000974859467 613827319 /nfs/dbraw/zinc/82/73/19/613827319.db2.gz CAEKWYXKXIPVSV-STQMWFEESA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2COC(=O)N2)C(C)(C)C1 ZINC000974538765 613828452 /nfs/dbraw/zinc/82/84/52/613828452.db2.gz OXLFQVZEOLJQSI-ZJUUUORDSA-N 0 1 267.329 0.107 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cnn(C)n2)C(C)(C)C1 ZINC000977335956 613829824 /nfs/dbraw/zinc/82/98/24/613829824.db2.gz OBFPJSCCAAHVHR-NSHDSACASA-N 0 1 263.345 0.441 20 30 CCEDMN C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc(C)c1[O-] ZINC000958584957 613844252 /nfs/dbraw/zinc/84/42/52/613844252.db2.gz WQHPHMPXEDRMND-MYJAWHEDSA-N 0 1 262.313 0.270 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCC[C@H](CCC(=O)OC)C1 ZINC000880036965 613845307 /nfs/dbraw/zinc/84/53/07/613845307.db2.gz FHYHCEJOSNRZJE-GFCCVEGCSA-N 0 1 268.357 0.954 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](CCC(=O)OC)C1 ZINC000880036965 613845309 /nfs/dbraw/zinc/84/53/09/613845309.db2.gz FHYHCEJOSNRZJE-GFCCVEGCSA-N 0 1 268.357 0.954 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H]2CSC[C@@H]2C1 ZINC000879556641 613849633 /nfs/dbraw/zinc/84/96/33/613849633.db2.gz VISGVPKAJRWETI-QWRGUYRKSA-N 0 1 283.397 0.683 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@H](OC)CC[C@H]1C ZINC000879317934 613849885 /nfs/dbraw/zinc/84/98/85/613849885.db2.gz OKAAEASRYPADKB-GHMZBOCLSA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCN(CCCCCO)CC1 ZINC000931500276 613853389 /nfs/dbraw/zinc/85/33/89/613853389.db2.gz MJURKRGFGKIHQP-CQSZACIVSA-N 0 1 283.416 0.457 20 30 CCEDMN C=CCOCCCC(=O)N[C@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC000896556714 613879755 /nfs/dbraw/zinc/87/97/55/613879755.db2.gz QABIUPUHJVTYJU-KGLIPLIRSA-N 0 1 283.416 0.720 20 30 CCEDMN C=CCOCCCC(=O)N[C@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC000896556716 613879786 /nfs/dbraw/zinc/87/97/86/613879786.db2.gz QABIUPUHJVTYJU-ZIAGYGMSSA-N 0 1 283.416 0.720 20 30 CCEDMN C=CCO[N-]C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000837047418 613883148 /nfs/dbraw/zinc/88/31/48/613883148.db2.gz FGTNQSLWLUCWBV-UHFFFAOYSA-N 0 1 276.340 0.437 20 30 CCEDMN C=CCONC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000834926306 613884092 /nfs/dbraw/zinc/88/40/92/613884092.db2.gz ZNBPHHZARAQDQK-LBPRGKRZSA-N 0 1 271.361 0.760 20 30 CCEDMN C=CCON=C([O-])Nc1cc2n(n1)CC[N@@H+](C)C2 ZINC000880821784 613884422 /nfs/dbraw/zinc/88/44/22/613884422.db2.gz WWKRSQGOCPJPES-UHFFFAOYSA-N 0 1 251.290 0.568 20 30 CCEDMN C=CCON=C([O-])Nc1cc2n(n1)CC[N@H+](C)C2 ZINC000880821784 613884423 /nfs/dbraw/zinc/88/44/23/613884423.db2.gz WWKRSQGOCPJPES-UHFFFAOYSA-N 0 1 251.290 0.568 20 30 CCEDMN CC(C)(C)[N@H+]1CC[C@H]1C(=O)N1CCO[C@@H](C#N)C1 ZINC000896208431 613939361 /nfs/dbraw/zinc/93/93/61/613939361.db2.gz HPCYRSVFDBIOTB-QWRGUYRKSA-N 0 1 251.330 0.610 20 30 CCEDMN CC(C)(C)N1CC[C@H]1C(=O)N1CCO[C@@H](C#N)C1 ZINC000896208431 613939363 /nfs/dbraw/zinc/93/93/63/613939363.db2.gz HPCYRSVFDBIOTB-QWRGUYRKSA-N 0 1 251.330 0.610 20 30 CCEDMN CC(C)NCc1cn([C@@H](C)C(=O)NC2(C#N)CCC2)nn1 ZINC000905807638 613960690 /nfs/dbraw/zinc/96/06/90/613960690.db2.gz PFAVKWNNJOVVIK-NSHDSACASA-N 0 1 290.371 0.900 20 30 CCEDMN CC(C)Oc1cccnc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834479501 614057727 /nfs/dbraw/zinc/05/77/27/614057727.db2.gz FBQBAVZPAKBIPR-MNOVXSKESA-N 0 1 274.324 0.710 20 30 CCEDMN CC(C)[C@@H](C(=O)NCC#CCN(C)C)N1CCCC1=O ZINC000913517770 614075446 /nfs/dbraw/zinc/07/54/46/614075446.db2.gz OTOUKEIIIBKSCV-AWEZNQCLSA-N 0 1 279.384 0.315 20 30 CCEDMN CC(C)C[C@@H]1CN(C)CCN1C(=O)Cn1cnc(C#N)n1 ZINC000886595572 614201047 /nfs/dbraw/zinc/20/10/47/614201047.db2.gz LIAAABPEEJAQQN-GFCCVEGCSA-N 0 1 290.371 0.338 20 30 CCEDMN CC(C)Cc1ncc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)cn1 ZINC000841223635 614233861 /nfs/dbraw/zinc/23/38/61/614233861.db2.gz GXOVBJVSSYTMGI-CMPLNLGQSA-N 0 1 288.355 0.908 20 30 CCEDMN CC(C)Cc1nnc(NC(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000841008763 614233910 /nfs/dbraw/zinc/23/39/10/614233910.db2.gz CPCJCEULZRPFAX-IUCAKERBSA-N 0 1 294.384 0.970 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N(CC#N)C2CC2)cc1=O ZINC000930615708 614234981 /nfs/dbraw/zinc/23/49/81/614234981.db2.gz HODSASHLSPLYEU-UHFFFAOYSA-N 0 1 276.340 0.889 20 30 CCEDMN CC(C)(C#N)CS(=O)(=O)NC[C@H]1CC[N@H+](C2CC2)C1 ZINC000913912837 614287880 /nfs/dbraw/zinc/28/78/80/614287880.db2.gz JSTWDUGLTTZTJA-LLVKDONJSA-N 0 1 285.413 0.940 20 30 CCEDMN CC(C)C(=O)N1CCCC[C@H]1C(=O)NCC#CCN(C)C ZINC000913517902 614355126 /nfs/dbraw/zinc/35/51/26/614355126.db2.gz UZODUIUSRSVGDV-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN CCCn1cc(C(C)N=Nc2nccn(C)c2=O)nn1 ZINC000905451192 614525027 /nfs/dbraw/zinc/52/50/27/614525027.db2.gz YRKBWGXPXFORSA-UHFFFAOYSA-N 0 1 275.316 0.618 20 30 CCEDMN CC1(C)CNC(=O)[C@@H]1NCc1cnc2c(C#N)cnn2c1 ZINC000895318080 614692640 /nfs/dbraw/zinc/69/26/40/614692640.db2.gz RGGYUUZMPQEJLN-NSHDSACASA-N 0 1 284.323 0.215 20 30 CCEDMN CC1(C)CO[C@H](CC(=O)N[C@H]2CNC[C@@H]2C#N)C1 ZINC000884249446 614732670 /nfs/dbraw/zinc/73/26/70/614732670.db2.gz LZYAKMFWHHCGAT-AXFHLTTASA-N 0 1 251.330 0.419 20 30 CCEDMN CCCCCCCS(=O)(=O)NC[C@]1(O)CCN(C)C1 ZINC000799798766 614903874 /nfs/dbraw/zinc/90/38/74/614903874.db2.gz YXOBUAFCUGTDOK-CYBMUJFWSA-N 0 1 292.445 0.943 20 30 CCEDMN CCN1CCN(CC(=O)N[C@H](C#N)C2CCCC2)CC1 ZINC000921543575 615155537 /nfs/dbraw/zinc/15/55/37/615155537.db2.gz MMATVYJKSVIXGO-CQSZACIVSA-N 0 1 278.400 0.822 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1CCO[C@@H](C#N)C1 ZINC000890071521 615245844 /nfs/dbraw/zinc/24/58/44/615245844.db2.gz ZBUOSCKLKDDOHF-MNOVXSKESA-N 0 1 252.318 0.015 20 30 CCEDMN CCN1CC[C@H]1CN[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000905804089 615327441 /nfs/dbraw/zinc/32/74/41/615327441.db2.gz JFWGTMYAHYXZKI-UONOGXRCSA-N 0 1 272.352 0.768 20 30 CCEDMN CCOC(=O)[C@@H]1[C@H](C)C[C@H](C)N(CC(=O)NCC#N)[C@@H]1C ZINC000934161545 615328297 /nfs/dbraw/zinc/32/82/97/615328297.db2.gz DMFQHNJMUNNENX-GFQSEFKGSA-N 0 1 295.383 0.924 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971512038 615392992 /nfs/dbraw/zinc/39/29/92/615392992.db2.gz WHSLXTBVMITIFW-STQMWFEESA-N 0 1 277.368 0.196 20 30 CCEDMN CCO[C@H](CC(=O)N[C@H]1CNC[C@H]1C#N)C(C)C ZINC000834479547 615478369 /nfs/dbraw/zinc/47/83/69/615478369.db2.gz FUTCPPLDVJBEBN-GRYCIOLGSA-N 0 1 253.346 0.665 20 30 CCEDMN CCO[C@H]1CCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000932978423 615489896 /nfs/dbraw/zinc/48/98/96/615489896.db2.gz GSSVKNLYMPYACZ-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN CCOc1ccc(CC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834504368 615509887 /nfs/dbraw/zinc/50/98/87/615509887.db2.gz AMSHMMWXLHZNJM-JSGCOSHPSA-N 0 1 273.336 0.856 20 30 CCEDMN CCn1nc(C(=O)NC2=NO[C@H](C)C2)ccc1=O ZINC000765375156 615636819 /nfs/dbraw/zinc/63/68/19/615636819.db2.gz FSQCVKQTLUEDCQ-SSDOTTSWSA-N 0 1 250.258 0.115 20 30 CCEDMN CCc1ccc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)nc1 ZINC000884249403 615671898 /nfs/dbraw/zinc/67/18/98/615671898.db2.gz KWSBBRDBYYHXIM-WCQYABFASA-N 0 1 258.325 0.414 20 30 CCEDMN CC[C@H](CC#N)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897400922 615704573 /nfs/dbraw/zinc/70/45/73/615704573.db2.gz XJJIHIXMORVWFB-VXGBXAGGSA-N 0 1 251.330 0.516 20 30 CCEDMN CC[C@H](CC#N)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897400919 615704611 /nfs/dbraw/zinc/70/46/11/615704611.db2.gz XJJIHIXMORVWFB-NEPJUHHUSA-N 0 1 251.330 0.516 20 30 CCEDMN CCc1nc(CNC(=O)NCC#C[C@H]2CCCCO2)n[nH]1 ZINC000895061885 615745089 /nfs/dbraw/zinc/74/50/89/615745089.db2.gz MYBJHWFJFTUQSV-LLVKDONJSA-N 0 1 291.355 0.739 20 30 CCEDMN CN(C(=O)Cc1ccn[nH]1)C1CN(C(=O)C#CC2CC2)C1 ZINC000953942212 615824358 /nfs/dbraw/zinc/82/43/58/615824358.db2.gz CNZAJGMCANJCAG-UHFFFAOYSA-N 0 1 286.335 0.035 20 30 CCEDMN CN(C)CC#CCNC(=O)C(C)(C)n1cc([N+](=O)[O-])cn1 ZINC000913518435 615857367 /nfs/dbraw/zinc/85/73/67/615857367.db2.gz OVEZXFXADXEWOZ-UHFFFAOYSA-N 0 1 293.327 0.208 20 30 CCEDMN CN(C)CC#CCNC(=O)CCNC(=O)OC(C)(C)C ZINC000913515898 615857413 /nfs/dbraw/zinc/85/74/13/615857413.db2.gz RUNYJRSRZFKKHR-UHFFFAOYSA-N 0 1 283.372 0.582 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@@H]1CSCCS1 ZINC000913519597 615858344 /nfs/dbraw/zinc/85/83/44/615858344.db2.gz GZBFWWIHXNMNQL-LLVKDONJSA-N 0 1 272.439 0.906 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@@](C)(O)c1ccccc1 ZINC000913520094 615858454 /nfs/dbraw/zinc/85/84/54/615858454.db2.gz CBPDZGLJQSVLBZ-MRXNPFEDSA-N 0 1 274.364 0.965 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc(F)nc1 ZINC000930420908 615859011 /nfs/dbraw/zinc/85/90/11/615859011.db2.gz GLVQMIYXEWVTSJ-UHFFFAOYSA-N 0 1 250.277 0.907 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1nnnc1-c1ccccc1 ZINC000827971791 615859072 /nfs/dbraw/zinc/85/90/72/615859072.db2.gz HKQPNKYOQRYVBR-UHFFFAOYSA-N 0 1 298.350 0.021 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1cc(-c2ccccc2)nn1 ZINC000913519453 615859146 /nfs/dbraw/zinc/85/91/46/615859146.db2.gz ASCOAMRGFWORGA-UHFFFAOYSA-N 0 1 297.362 0.626 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCCN(C(=O)C2CC2)C1 ZINC000913516762 615860238 /nfs/dbraw/zinc/86/02/38/615860238.db2.gz DXFATKIXZNVCQD-AWEZNQCLSA-N 0 1 291.395 0.316 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(-c2ccncc2)nn1C ZINC000913524180 615860338 /nfs/dbraw/zinc/86/03/38/615860338.db2.gz CLPYAXDYUFBWAC-UHFFFAOYSA-N 0 1 297.362 0.777 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccn2nccc2n1 ZINC000913525087 615861164 /nfs/dbraw/zinc/86/11/64/615861164.db2.gz JXSUMRBEHVAEPF-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnn1C1CCC1 ZINC000827972335 615861455 /nfs/dbraw/zinc/86/14/55/615861455.db2.gz ZIIWYXWSOJNIPQ-UHFFFAOYSA-N 0 1 260.341 0.903 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(C(C)(C)C)c1 ZINC000913517192 615861767 /nfs/dbraw/zinc/86/17/67/615861767.db2.gz WTGHBIREABCFJG-UHFFFAOYSA-N 0 1 262.357 0.933 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000937347073 615899732 /nfs/dbraw/zinc/89/97/32/615899732.db2.gz GMONZADLJDCCOH-GFCCVEGCSA-N 0 1 286.335 0.496 20 30 CCEDMN CN(C(=O)C1=NC(=O)N(C)C1)[C@H]1CCCN(CC#N)CC1 ZINC000948746887 615920663 /nfs/dbraw/zinc/92/06/63/615920663.db2.gz KKQVNYUDWDVIOR-NSHDSACASA-N 0 1 291.355 0.576 20 30 CCEDMN CN(C)c1cccc(CCC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834505548 616020583 /nfs/dbraw/zinc/02/05/83/616020583.db2.gz LYOVHDNQZRBNGC-HIFRSBDPSA-N 0 1 286.379 0.913 20 30 CCEDMN CN(C)c1cccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000841183213 616022443 /nfs/dbraw/zinc/02/24/43/616022443.db2.gz MVSXUESBUYMPNF-UWVGGRQHSA-N 0 1 274.328 0.381 20 30 CCEDMN CN(C)c1nccc(NN=Cc2cn(C)[nH]c2=O)n1 ZINC000814222600 616037033 /nfs/dbraw/zinc/03/70/33/616037033.db2.gz CHENLIBOTUQPPL-UHFFFAOYSA-N 0 1 261.289 0.428 20 30 CCEDMN CN(CC(=O)N1CCOCC1)C[C@@H](O)CC1(C#N)CCC1 ZINC000885946264 616044532 /nfs/dbraw/zinc/04/45/32/616044532.db2.gz HAGUJWBTYDIISM-ZDUSSCGKSA-N 0 1 295.383 0.222 20 30 CCEDMN CN(CC(=O)N[C@H]1CCCN(O)C1=O)CC(C)(C)C ZINC000823161907 616049123 /nfs/dbraw/zinc/04/91/23/616049123.db2.gz WIZUEEVYSWIFLI-JTQLQIEISA-N 0 1 271.361 0.461 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)[C@@]1(C)C[C@H](O)CN1C ZINC000908401648 616050983 /nfs/dbraw/zinc/05/09/83/616050983.db2.gz VUXWQMGGWIYQHM-GXFFZTMASA-N 0 1 253.346 0.450 20 30 CCEDMN C[N@@H+](CCNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1CC1 ZINC000820597136 616094471 /nfs/dbraw/zinc/09/44/71/616094471.db2.gz GAQCJBXITWNFMM-GFCCVEGCSA-N 0 1 253.302 0.201 20 30 CCEDMN CN1c2ccc(C(=O)N[C@H]3CNC[C@H]3C#N)cc2CCC1=O ZINC000834485409 616133663 /nfs/dbraw/zinc/13/36/63/616133663.db2.gz WHKFQYGGOUVKIX-OLZOCXBDSA-N 0 1 298.346 0.437 20 30 CCEDMN CN1c2ccc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc2CCC1=O ZINC000834485410 616133732 /nfs/dbraw/zinc/13/37/32/616133732.db2.gz WHKFQYGGOUVKIX-QWHCGFSZSA-N 0 1 298.346 0.437 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCO[C@@](C)(C#N)C1 ZINC000887675164 616183343 /nfs/dbraw/zinc/18/33/43/616183343.db2.gz RBCNFAKZJNIZDE-AAEUAGOBSA-N 0 1 251.330 0.612 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC1CCN(CC#N)CC1 ZINC000896243238 616192778 /nfs/dbraw/zinc/19/27/78/616192778.db2.gz FSTZEEJQVSFASL-GFCCVEGCSA-N 0 1 250.346 0.185 20 30 CCEDMN CN1CCC[C@H]1c1cc(C(=O)N2CC(C#N)C2)[nH]n1 ZINC000897843181 616215038 /nfs/dbraw/zinc/21/50/38/616215038.db2.gz OTISWGQUBYDGIQ-LBPRGKRZSA-N 0 1 259.313 0.772 20 30 CCEDMN CN1CCN(C(=O)Cn2cnc(C#N)n2)C[C@@H]1C(C)(C)C ZINC000912033009 616225246 /nfs/dbraw/zinc/22/52/46/616225246.db2.gz MNACNNQJOGXBPP-LLVKDONJSA-N 0 1 290.371 0.338 20 30 CCEDMN CN1CCN(C)CCN(C(=O)N[C@@H]2CC[C@H](C#N)C2)CC1 ZINC000895455048 616235986 /nfs/dbraw/zinc/23/59/86/616235986.db2.gz QUIRBWBSQAWJLE-UONOGXRCSA-N 0 1 293.415 0.567 20 30 CCEDMN COC(=O)[C@@H]1CCN(C[C@H](O)CC#N)[C@@H]([C@H]2CCCO2)C1 ZINC000933184071 616308662 /nfs/dbraw/zinc/30/86/62/616308662.db2.gz JALRJLLRPMGNJK-AAVRWANBSA-N 0 1 296.367 0.694 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)N1Cc2ccc(C#N)cc2C1 ZINC000912058217 616316997 /nfs/dbraw/zinc/31/69/97/616316997.db2.gz HPEZVCYLEUBVIJ-HOCLYGCPSA-N 0 1 285.347 0.856 20 30 CCEDMN CN1C[C@@H](O)C[C@H]1CNC(=O)C#Cc1ccccc1 ZINC000924991602 616324491 /nfs/dbraw/zinc/32/44/91/616324491.db2.gz YHPCDPMCMHYSQC-KBPBESRZSA-N 0 1 258.321 0.219 20 30 CCEDMN COCCN1CCCN(C(=O)C#CC(C)C)CC1 ZINC000823686835 616337729 /nfs/dbraw/zinc/33/77/29/616337729.db2.gz MRKNQQXMKSHVDR-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN COCC[N@H+]1CCCN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC1 ZINC000820730178 616338154 /nfs/dbraw/zinc/33/81/54/616338154.db2.gz MNWACRUHVVOCPZ-AWEZNQCLSA-N 0 1 297.355 0.172 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCC#Cc1ccccc1 ZINC000900058926 616343633 /nfs/dbraw/zinc/34/36/33/616343633.db2.gz XEWITLVUOUARIJ-GOEBONIOSA-N 0 1 272.348 0.609 20 30 CCEDMN CN1C[C@H]2CC[C@@H](C1)N2CC(=O)NC1(C#N)CCC1 ZINC000931389660 616370145 /nfs/dbraw/zinc/37/01/45/616370145.db2.gz JEPASYJOWMEBSZ-TXEJJXNPSA-N 0 1 262.357 0.327 20 30 CCEDMN CN1CC[C@H](C(=O)N[C@H]2CNC[C@@H]2C#N)c2ccccc21 ZINC000876803951 616379351 /nfs/dbraw/zinc/37/93/51/616379351.db2.gz ZWAFZARWZSFBGQ-UBHSHLNASA-N 0 1 284.363 0.838 20 30 CCEDMN CN1CC[C@H]1CNC(=O)NCC#C[C@@H]1CCCCO1 ZINC000896620335 616425713 /nfs/dbraw/zinc/42/57/13/616425713.db2.gz QYMBKYVKOJSTAQ-STQMWFEESA-N 0 1 265.357 0.562 20 30 CCEDMN COC(=O)C1=NO[C@@]2(CCN(CCCC#N)C2)C1 ZINC000879481031 616435099 /nfs/dbraw/zinc/43/50/99/616435099.db2.gz SJBBUPDKIMRPIP-LBPRGKRZSA-N 0 1 251.286 0.684 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@H]1C ZINC000870139341 616495736 /nfs/dbraw/zinc/49/57/36/616495736.db2.gz ULULBWQUZBMKSJ-LZQZFOIKSA-N 0 1 264.325 0.750 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCC1(CC#N)CC1 ZINC000907935564 616498051 /nfs/dbraw/zinc/49/80/51/616498051.db2.gz LEDXUEYOHZJOJP-ZYHUDNBSSA-N 0 1 251.330 0.252 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)CCc1cnc[nH]1 ZINC000871343319 616544327 /nfs/dbraw/zinc/54/43/27/616544327.db2.gz HVMKPKPZPBNCEJ-UHFFFAOYSA-N 0 1 264.285 0.258 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000871345287 616545850 /nfs/dbraw/zinc/54/58/50/616545850.db2.gz SWZGYZCQBHXPMR-JTQLQIEISA-N 0 1 290.323 0.430 20 30 CCEDMN COC(=O)[C@H](C)NC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000876598890 616583676 /nfs/dbraw/zinc/58/36/76/616583676.db2.gz SXGKRVSBPWEDPW-ZANVPECISA-N 0 1 287.319 0.423 20 30 CCEDMN CNC(=O)NC[C@@H]1CN(CC2CCC(C#N)CC2)CCO1 ZINC000931168338 616753624 /nfs/dbraw/zinc/75/36/24/616753624.db2.gz XXXZGTIMJXYRPD-JXQTWKCFSA-N 0 1 294.399 0.946 20 30 CCEDMN COC(=O)[C@]1(O)CCN(CCOc2cccc(C#N)c2)C1 ZINC000880562101 616783661 /nfs/dbraw/zinc/78/36/61/616783661.db2.gz STKDYCBURDPZJV-HNNXBMFYSA-N 0 1 290.319 0.547 20 30 CCEDMN COC(=O)c1ccc(/C=C/C(=O)NCC#CCN(C)C)o1 ZINC000913524942 616874307 /nfs/dbraw/zinc/87/43/07/616874307.db2.gz CULDYOPNVAEYOR-VQHVLOKHSA-N 0 1 290.319 0.761 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@@H]1C(F)F ZINC000802037361 616898370 /nfs/dbraw/zinc/89/83/70/616898370.db2.gz DGEBFBFUIITBIL-CIUDSAMLSA-N 0 1 274.267 0.749 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H](OC)C1CCC1 ZINC000850753429 616900992 /nfs/dbraw/zinc/90/09/92/616900992.db2.gz ZUPGELGVHQYFHE-YPMHNXCESA-N 0 1 282.340 0.663 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)COc1cc(C)on1 ZINC000916871413 616901337 /nfs/dbraw/zinc/90/13/37/616901337.db2.gz VHVQEMGFIOKRPY-SNVBAGLBSA-N 0 1 295.295 0.223 20 30 CCEDMN COC(=O)N[C@@H](CC(C)C)C(=O)NCC#CCN(C)C ZINC000913517601 616912526 /nfs/dbraw/zinc/91/25/26/616912526.db2.gz IHGBSCHVZQGVMC-LBPRGKRZSA-N 0 1 283.372 0.438 20 30 CCEDMN COCCCn1nc(C(=O)NC2=NO[C@@H](C)C2)ccc1=O ZINC000831399957 616938856 /nfs/dbraw/zinc/93/88/56/616938856.db2.gz VPWCFCNQXTUVML-VIFPVBQESA-N 0 1 294.311 0.132 20 30 CCEDMN CO[C@H]1CC[C@H]1N(C)CC(=O)NC1(C#N)CCC1 ZINC000934110117 617106241 /nfs/dbraw/zinc/10/62/41/617106241.db2.gz DGHBGEOIOFRKIL-MNOVXSKESA-N 0 1 251.330 0.658 20 30 CCEDMN CON=Cc1ccc(C(=O)NC[C@H]2C[C@@H](O)CN2C)cc1 ZINC000924993155 617106745 /nfs/dbraw/zinc/10/67/45/617106745.db2.gz PDCFJUYXIZTKBO-ZIAGYGMSSA-N 0 1 291.351 0.462 20 30 CCEDMN CON=Cc1ccc(C(=O)Nc2n[nH]c3ncnn23)cc1 ZINC000819972237 617106971 /nfs/dbraw/zinc/10/69/71/617106971.db2.gz ATMBYCMYKBPDGF-UHFFFAOYSA-N 0 1 285.267 0.685 20 30 CCEDMN CO[C@@H](C)CS(=O)(=O)Nc1cccc(CC#N)n1 ZINC000886435979 617159941 /nfs/dbraw/zinc/15/99/41/617159941.db2.gz NTNURQIGEFNOKN-VIFPVBQESA-N 0 1 269.326 0.924 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@H](C)C1 ZINC001026125820 625336716 /nfs/dbraw/zinc/33/67/16/625336716.db2.gz KYNSIPIKVKOZFQ-POQQGIQPSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CC[NH2+]C1CCC(NC(=O)c2[n-]nnc2C)CC1 ZINC001026537974 625467712 /nfs/dbraw/zinc/46/77/12/625467712.db2.gz AKDSFIHHHHCNGL-UHFFFAOYSA-N 0 1 261.329 0.377 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2n[nH]c3ccccc32)C1 ZINC001023046166 622891968 /nfs/dbraw/zinc/89/19/68/622891968.db2.gz GCMGEKMCZNEOHC-AOOOYVTPSA-N 0 1 269.308 0.937 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001023402709 623024194 /nfs/dbraw/zinc/02/41/94/623024194.db2.gz RHZRMAWEGPRGSN-KGLIPLIRSA-N 0 1 291.395 0.316 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2cn(C)nc2OC)C1 ZINC001023529015 623050430 /nfs/dbraw/zinc/05/04/30/623050430.db2.gz UQSDGDQMJJNYFU-GFCCVEGCSA-N 0 1 290.367 0.504 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1COCCO1 ZINC001024344728 623294243 /nfs/dbraw/zinc/29/42/43/623294243.db2.gz IROVRARPCFWAPD-UONOGXRCSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCC[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1C[NH+](C)CCO1 ZINC001024376953 623300163 /nfs/dbraw/zinc/30/01/63/623300163.db2.gz FXXZKQVPKNLLMH-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cnnn1C ZINC001024419466 623317368 /nfs/dbraw/zinc/31/73/68/623317368.db2.gz YVWBOJUGHAYAPT-GFCCVEGCSA-N 0 1 277.372 0.976 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001024468625 623330169 /nfs/dbraw/zinc/33/01/69/623330169.db2.gz LTLQOLJHKLUOCO-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CC[N@H+]1CCCC[C@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001024532507 623353774 /nfs/dbraw/zinc/35/37/74/623353774.db2.gz IFVKUFHYBUYANQ-VIFPVBQESA-N 0 1 293.327 0.053 20 30 CCEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1cnon1 ZINC001024721278 623423264 /nfs/dbraw/zinc/42/32/64/623423264.db2.gz YVECAGVOHOUXNK-LLVKDONJSA-N 0 1 262.313 0.677 20 30 CCEDMN O=C(NCC#CCO)NC[C@H]1CCN1Cc1ccccc1 ZINC000923763101 623432089 /nfs/dbraw/zinc/43/20/89/623432089.db2.gz USGQZQSORUHIBC-OAHLLOKOSA-N 0 1 287.363 0.556 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(=O)n(C)n1 ZINC001024790910 623442242 /nfs/dbraw/zinc/44/22/42/623442242.db2.gz RHZPESHJBINFGG-LBPRGKRZSA-N 0 1 290.367 0.551 20 30 CCEDMN O=C(NCC#CCO)N1CCCC[C@@H]1c1nnc[nH]1 ZINC000923763967 623443730 /nfs/dbraw/zinc/44/37/30/623443730.db2.gz GEVLCYKVTAZPMC-SNVBAGLBSA-N 0 1 263.301 0.037 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001007673433 623806409 /nfs/dbraw/zinc/80/64/09/623806409.db2.gz JEAXBBDITZERTN-ZDUSSCGKSA-N 0 1 275.352 0.766 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCCC[C@H]1C(=O)[O-] ZINC000328126826 623867309 /nfs/dbraw/zinc/86/73/09/623867309.db2.gz UMVPVDLZBDGNHA-LBPRGKRZSA-N 0 1 281.356 0.590 20 30 CCEDMN C=C(Cl)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001025775253 623883364 /nfs/dbraw/zinc/88/33/64/623883364.db2.gz IHJCGPYCGVLWRP-NZFPMDFQSA-N 0 1 285.731 0.451 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC(OC)C1 ZINC001025815950 623887983 /nfs/dbraw/zinc/88/79/83/623887983.db2.gz QABZFYZYFMVRNE-TWASESMYSA-N 0 1 262.353 0.481 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001006797216 624510608 /nfs/dbraw/zinc/51/06/08/624510608.db2.gz MBVBTJUEOFHSNP-RYUDHWBXSA-N 0 1 254.330 0.168 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2cccc3n[nH]cc32)C1 ZINC000969542219 624516752 /nfs/dbraw/zinc/51/67/52/624516752.db2.gz WIJDTLKCFLQXPY-SNVBAGLBSA-N 0 1 269.308 0.891 20 30 CCEDMN N#CCN1CC[C@@H]2[C@H](CCCN2C(=O)Cc2ccn[nH]2)C1 ZINC001021549875 624768213 /nfs/dbraw/zinc/76/82/13/624768213.db2.gz CMJPXBPQCYECRV-TZMCWYRMSA-N 0 1 287.367 0.789 20 30 CCEDMN N#CC[C@@H](O)CN1CCc2c(CC(N)=O)cccc2C1 ZINC000931466282 625810465 /nfs/dbraw/zinc/81/04/65/625810465.db2.gz VFNFQJLSCDHBBL-CYBMUJFWSA-N 0 1 273.336 0.347 20 30 CCEDMN Cc1nc(CN(C)[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001027336710 625836971 /nfs/dbraw/zinc/83/69/71/625836971.db2.gz UGENKGJEJXUVKL-ZYHUDNBSSA-N 0 1 290.371 0.696 20 30 CCEDMN CN(CC#N)[C@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001027584478 630777192 /nfs/dbraw/zinc/77/71/92/630777192.db2.gz MYRDTVQKHKVSPW-LBPRGKRZSA-N 0 1 276.344 0.184 20 30 CCEDMN CN(CC#N)[C@H]1CCCN(C(=O)CCc2cnn[nH]2)C1 ZINC001027584478 630777200 /nfs/dbraw/zinc/77/72/00/630777200.db2.gz MYRDTVQKHKVSPW-LBPRGKRZSA-N 0 1 276.344 0.184 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c4ccccc43)[C@@H]2C1 ZINC000979020877 630869652 /nfs/dbraw/zinc/86/96/52/630869652.db2.gz DKZRNQKXSFIJAF-XYYAHUGASA-N 0 1 295.346 0.994 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCOC1 ZINC001027778546 630908947 /nfs/dbraw/zinc/90/89/47/630908947.db2.gz QAPSYICYIOZMDO-CHWSQXEVSA-N 0 1 250.342 0.627 20 30 CCEDMN C[C@H]1C[C@H](CNCc2cnon2)CN1C(=O)C#CC1CC1 ZINC000980573757 631030453 /nfs/dbraw/zinc/03/04/53/631030453.db2.gz DULRZTSADQDMAE-WCQYABFASA-N 0 1 288.351 0.810 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@H]1NC(=O)CN1CCCC1 ZINC000968651426 650025163 /nfs/dbraw/zinc/02/51/63/650025163.db2.gz IQULHQFFXPHTGB-CHWSQXEVSA-N 0 1 264.373 0.432 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2CCC(=O)N2)C1 ZINC001006919025 650056983 /nfs/dbraw/zinc/05/69/83/650056983.db2.gz HLOVJLAPIBSBSJ-VXGBXAGGSA-N 0 1 265.357 0.422 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)CCN1C1CC1 ZINC000896314262 632225598 /nfs/dbraw/zinc/22/55/98/632225598.db2.gz FLBXIOYMKMNZHE-LLVKDONJSA-N 0 1 296.334 0.770 20 30 CCEDMN C[C@@H](NC(=O)C1(C#N)CCC1)[C@@H]1CN(C)CCN1C ZINC000896563984 632288839 /nfs/dbraw/zinc/28/88/39/632288839.db2.gz PBTHDZAORJCZDI-NEPJUHHUSA-N 0 1 264.373 0.431 20 30 CCEDMN C[C@H](NC(=O)c1cccc(C#N)c1)[C@H]1CN(C)CCN1C ZINC000896582903 632295726 /nfs/dbraw/zinc/29/57/26/632295726.db2.gz WOXOGXAKRBAPFS-SWLSCSKDSA-N 0 1 286.379 0.922 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC001007304068 650073228 /nfs/dbraw/zinc/07/32/28/650073228.db2.gz ATGLOJYEISKWKP-LBPRGKRZSA-N 0 1 275.356 0.260 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CCCN(CCO)C2)[nH]1 ZINC001007153651 650067946 /nfs/dbraw/zinc/06/79/46/650067946.db2.gz XKHDFJKQFAHLPS-LLVKDONJSA-N 0 1 262.313 0.073 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001007461920 650078886 /nfs/dbraw/zinc/07/88/86/650078886.db2.gz VDPLKFUWCRNKHO-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)Cc2n[nH]c(C)n2)C1 ZINC001007308684 650073851 /nfs/dbraw/zinc/07/38/51/650073851.db2.gz PJHHBJXDGHKNIP-LLVKDONJSA-N 0 1 297.790 0.989 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001007670180 650088051 /nfs/dbraw/zinc/08/80/51/650088051.db2.gz QBZUAIZDRJDJQT-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cn2nccn2)[C@@H]1C ZINC000986338977 632747751 /nfs/dbraw/zinc/74/77/51/632747751.db2.gz RXZKPRFHXOBADP-MNOVXSKESA-N 0 1 283.763 0.610 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001007756945 650092199 /nfs/dbraw/zinc/09/21/99/650092199.db2.gz XBYMQKWAUPXMEB-VIFPVBQESA-N 0 1 269.736 0.751 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001007963255 650098310 /nfs/dbraw/zinc/09/83/10/650098310.db2.gz SWPKYFLZHGHMCK-CQSZACIVSA-N 0 1 287.363 0.934 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2cc(C(F)(F)F)n[nH]2)N1 ZINC000799484414 632817984 /nfs/dbraw/zinc/81/79/84/632817984.db2.gz BSSCXQZOQUEJOZ-YFKPBYRVSA-N 0 1 260.223 0.700 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cc(C(F)(F)F)[nH]n2)N1 ZINC000799484414 632817987 /nfs/dbraw/zinc/81/79/87/632817987.db2.gz BSSCXQZOQUEJOZ-YFKPBYRVSA-N 0 1 260.223 0.700 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cccc3ncnn32)C1 ZINC001007807884 650095146 /nfs/dbraw/zinc/09/51/46/650095146.db2.gz BHOCRABNVDURBD-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2nc[nH]n2)[C@H]1C ZINC000987186922 632839895 /nfs/dbraw/zinc/83/98/95/632839895.db2.gz CXFQYRIWGOIWIU-DTWKUNHWSA-N 0 1 269.736 0.750 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC(=O)OCC)CC1 ZINC000263664159 633066467 /nfs/dbraw/zinc/06/64/67/633066467.db2.gz JFZOSFCEAXTWGU-UHFFFAOYSA-N 0 1 266.341 0.353 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CN2CN=NC2=O)C1 ZINC000988664739 633074782 /nfs/dbraw/zinc/07/47/82/633074782.db2.gz YSTOTMUKBNYXAJ-NXEZZACHSA-N 0 1 299.762 0.315 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cnn(C)c2N)C1 ZINC000988675729 633080630 /nfs/dbraw/zinc/08/06/30/633080630.db2.gz ZDDPDVURFABHNB-UWVGGRQHSA-N 0 1 297.790 0.947 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001008252605 650107452 /nfs/dbraw/zinc/10/74/52/650107452.db2.gz RYYLXTOLCCSKHY-NSHDSACASA-N 0 1 276.340 0.871 20 30 CCEDMN C=CCN1CCCN(C(=O)C2CCN(C(N)=O)CC2)CC1 ZINC000989632924 633337122 /nfs/dbraw/zinc/33/71/22/633337122.db2.gz VUMPEFDZTQPOHF-UHFFFAOYSA-N 0 1 294.399 0.497 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnn(C)c3)CCC[C@H]12 ZINC000989943604 633391482 /nfs/dbraw/zinc/39/14/82/633391482.db2.gz YNXPOELTLDUIPU-ZFWWWQNUSA-N 0 1 272.352 0.780 20 30 CCEDMN CC#CCN1CC[C@@]2(NC(=O)c3nccnc3N)CCC[C@H]12 ZINC000990031675 633428822 /nfs/dbraw/zinc/42/88/22/633428822.db2.gz ZJYKHQVZTKYBNU-LRDDRELGSA-N 0 1 299.378 0.809 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H]3CN(C)CCO3)CCC[C@@H]12 ZINC000990119291 633452826 /nfs/dbraw/zinc/45/28/26/633452826.db2.gz BQJTWOYLYSCKDG-SQWLQELKSA-N 0 1 291.395 0.063 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cn(C)cn3)CCC[C@H]12 ZINC000990237629 633489885 /nfs/dbraw/zinc/48/98/85/633489885.db2.gz ORLARCAPWFQMLS-ZFWWWQNUSA-N 0 1 272.352 0.780 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(NCc2cnon2)CC1 ZINC000990315319 633507274 /nfs/dbraw/zinc/50/72/74/633507274.db2.gz SQYMZOYSONXVML-SECBINFHSA-N 0 1 263.301 0.310 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001008490445 650116749 /nfs/dbraw/zinc/11/67/49/650116749.db2.gz ZWYGXPKRKNMVPK-LBPRGKRZSA-N 0 1 275.352 0.766 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001008984632 650134798 /nfs/dbraw/zinc/13/47/98/650134798.db2.gz CLJGSAUYMLTOEE-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC001009168246 650139260 /nfs/dbraw/zinc/13/92/60/650139260.db2.gz LREOBPTUSUTFHP-CHWSQXEVSA-N 0 1 294.399 0.686 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001009700430 650155141 /nfs/dbraw/zinc/15/51/41/650155141.db2.gz ACRXPHZEYXIQGA-LBPRGKRZSA-N 0 1 288.351 0.561 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001009736227 650157124 /nfs/dbraw/zinc/15/71/24/650157124.db2.gz QMEOOYWIJAFHEF-OLZOCXBDSA-N 0 1 294.399 0.686 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cncnc2C)C1 ZINC001010322943 650200328 /nfs/dbraw/zinc/20/03/28/650200328.db2.gz HDFQCDHEQISNNG-GFCCVEGCSA-N 0 1 258.325 0.612 20 30 CCEDMN CC(C)(NC(=O)c1cccc(C#CCO)c1)c1c[nH]nn1 ZINC000909724613 635599089 /nfs/dbraw/zinc/59/90/89/635599089.db2.gz ROZNOJICRJXUOJ-UHFFFAOYSA-N 0 1 284.319 0.814 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCOC2)C1 ZINC001010371575 650208145 /nfs/dbraw/zinc/20/81/45/650208145.db2.gz IRTNSESADIEGSQ-OLZOCXBDSA-N 0 1 250.342 0.627 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(C(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001010706602 650255598 /nfs/dbraw/zinc/25/55/98/650255598.db2.gz GTECTBCQBQVDAX-VIFPVBQESA-N 0 1 275.312 0.162 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(OC)no2)C1 ZINC001010699941 650256236 /nfs/dbraw/zinc/25/62/36/650256236.db2.gz JVJIBLPFHIKPOK-SNVBAGLBSA-N 0 1 263.297 0.511 20 30 CCEDMN Cc1ccn(C[C@@H](C)C(=O)NCC#CCN(C)C)n1 ZINC000913525243 636833200 /nfs/dbraw/zinc/83/32/00/636833200.db2.gz PDJJZEARTWPDEC-GFCCVEGCSA-N 0 1 262.357 0.509 20 30 CCEDMN Cc1nn(C)c(Cl)c1C(=O)NCC#CCN(C)C ZINC000913515851 636833375 /nfs/dbraw/zinc/83/33/75/636833375.db2.gz QBODDIRZGWVLGY-UHFFFAOYSA-N 0 1 268.748 0.677 20 30 CCEDMN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)NCC#CCN(C)C ZINC000913524455 636833497 /nfs/dbraw/zinc/83/34/97/636833497.db2.gz NCIRHKMLDHNSQG-WUHRBBMRSA-N 0 1 252.358 0.727 20 30 CCEDMN C[C@H]1c2c[nH]nc2CC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913524685 636833581 /nfs/dbraw/zinc/83/35/81/636833581.db2.gz YIABQPICZYSCRZ-NEPJUHHUSA-N 0 1 274.368 0.757 20 30 CCEDMN C[C@H]1CCn2cc(C(=O)NCC#CCN(C)C)nc2C1 ZINC000913524466 636833910 /nfs/dbraw/zinc/83/39/10/636833910.db2.gz NKGACNCUUPHWQQ-LBPRGKRZSA-N 0 1 274.368 0.760 20 30 CCEDMN Cc1[nH]nc2nc(C)cc(C(=O)NCC#CCN(C)C)c12 ZINC000913517751 636834747 /nfs/dbraw/zinc/83/47/47/636834747.db2.gz OFDXRRJLYVKKSX-UHFFFAOYSA-N 0 1 285.351 0.870 20 30 CCEDMN COc1ncc(/C=C/C(=O)NCC#CCN(C)C)cn1 ZINC000913521297 636835689 /nfs/dbraw/zinc/83/56/89/636835689.db2.gz SRWXTHXGPCWBAF-VOTSOKGWSA-N 0 1 274.324 0.180 20 30 CCEDMN C[C@H]1CC(=O)CC[C@H]1C(=O)NCC#CCN(C)C ZINC000913520552 636836021 /nfs/dbraw/zinc/83/60/21/636836021.db2.gz SAYLVTBRPANQRL-WCQYABFASA-N 0 1 250.342 0.673 20 30 CCEDMN C#CCC[N@H+]1CC[C@]2(NC(=O)c3cnn[nH]3)CCC[C@H]12 ZINC000991572857 636911145 /nfs/dbraw/zinc/91/11/45/636911145.db2.gz VTLLSPFIQFGZEG-GXTWGEPZSA-N 0 1 273.340 0.555 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccc(-n2ccnn2)cc1 ZINC000841101654 636963326 /nfs/dbraw/zinc/96/33/26/636963326.db2.gz YDZICAGHRLFVPL-ZWNOBZJWSA-N 0 1 297.322 0.500 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCC[C@](CC)(C(=O)[O-])C1 ZINC000062877733 637188736 /nfs/dbraw/zinc/18/87/36/637188736.db2.gz OOYJPPVYPFAEKJ-ZDUSSCGKSA-N 0 1 254.330 0.865 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001015001359 637290130 /nfs/dbraw/zinc/29/01/30/637290130.db2.gz VYYLVMPODPMUFV-STQMWFEESA-N 0 1 274.368 0.822 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CN2CCCC2=O)[C@H]1C ZINC000993033989 637671237 /nfs/dbraw/zinc/67/12/37/637671237.db2.gz KNJGKFUEVJFIGO-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC000993243942 637755506 /nfs/dbraw/zinc/75/55/06/637755506.db2.gz FFQVZZBTXQKHMA-RISCZKNCSA-N 0 1 279.384 0.620 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC000993277620 637762953 /nfs/dbraw/zinc/76/29/53/637762953.db2.gz HJJPKOIGLKTWOQ-NEPJUHHUSA-N 0 1 291.351 0.584 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CC2OCCCO2)[C@H]1C ZINC000993302788 637771255 /nfs/dbraw/zinc/77/12/55/637771255.db2.gz OTPZZNFYBDRBBU-OLZOCXBDSA-N 0 1 280.368 0.742 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ncn(C)n2)[C@@H]1C ZINC000993305606 637771335 /nfs/dbraw/zinc/77/13/35/637771335.db2.gz ZRSJZKXXALNBHJ-WDEREUQCSA-N 0 1 263.345 0.584 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2c[nH]c(=O)cn2)[C@H]1C ZINC000993728878 637844645 /nfs/dbraw/zinc/84/46/45/637844645.db2.gz OLJFPSQKPIFZNS-VXGBXAGGSA-N 0 1 288.351 0.376 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2nonc2C)[C@H]1C ZINC000994118147 637869293 /nfs/dbraw/zinc/86/92/93/637869293.db2.gz APJPEAMCQVHMFM-NEPJUHHUSA-N 0 1 276.340 0.523 20 30 CCEDMN NS(=O)(=O)c1ccc(N=NCc2csnn2)nc1 ZINC000915961859 637881920 /nfs/dbraw/zinc/88/19/20/637881920.db2.gz KJANDAQVPFEJCJ-UHFFFAOYSA-N 0 1 284.326 0.027 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cn2ccnc2)[C@@H]1C ZINC000994510088 637956704 /nfs/dbraw/zinc/95/67/04/637956704.db2.gz RVIFVFPBFRSPER-UONOGXRCSA-N 0 1 274.368 0.876 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)N1CCC[C@H](C#N)C1 ZINC000917223167 637959438 /nfs/dbraw/zinc/95/94/38/637959438.db2.gz BKEKATUQKFAIHH-UONOGXRCSA-N 0 1 293.415 0.567 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCN(C(=O)c2ccn[nH]2)CC1 ZINC000940324630 638456122 /nfs/dbraw/zinc/45/61/22/638456122.db2.gz JKIGHPFTEHPEBU-SNVBAGLBSA-N 0 1 275.312 0.244 20 30 CCEDMN COCCN1[C@H](C)CN(C(=O)NC[C@@H](C)C#N)C[C@@H]1C ZINC000182773260 638507564 /nfs/dbraw/zinc/50/75/64/638507564.db2.gz ZNKAIFOJLHHQGT-RWMBFGLXSA-N 0 1 282.388 0.897 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC(NC(=O)c3cnn[nH]3)C2)C1 ZINC000995183913 638581672 /nfs/dbraw/zinc/58/16/72/638581672.db2.gz OIRWYBIXPQJNGR-UHFFFAOYSA-N 0 1 275.312 0.102 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC000943437670 638720428 /nfs/dbraw/zinc/72/04/28/638720428.db2.gz NUHIOFWMZGZNGX-NXEZZACHSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC000943437670 638720430 /nfs/dbraw/zinc/72/04/30/638720430.db2.gz NUHIOFWMZGZNGX-NXEZZACHSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H](NCc2ccn(C)n2)C(C)(C)C1 ZINC000995577408 638779200 /nfs/dbraw/zinc/77/92/00/638779200.db2.gz CLTQGZHWUHJOCT-YPMHNXCESA-N 0 1 289.383 0.906 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H](NCc2cnnn2C)C(C)(C)C1 ZINC000995587689 638779680 /nfs/dbraw/zinc/77/96/80/638779680.db2.gz ZTPLWIXGFHYSAU-JQWIXIFHSA-N 0 1 290.371 0.301 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@H]1NC(=O)CN1CCCC1 ZINC000944944324 638783978 /nfs/dbraw/zinc/78/39/78/638783978.db2.gz MQSDIMYDFAPQQT-CHWSQXEVSA-N 0 1 264.373 0.432 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc3nc(C)nn32)C1 ZINC001015986588 638861885 /nfs/dbraw/zinc/86/18/85/638861885.db2.gz NRVXZJCDRPXZQF-LLVKDONJSA-N 0 1 286.339 0.423 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1CCN(CCO)C1 ZINC001014467831 650453993 /nfs/dbraw/zinc/45/39/93/650453993.db2.gz HQELCAKUDZVMRV-UPJWGTAASA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)c2ccnn2C)C1 ZINC001014473369 650454906 /nfs/dbraw/zinc/45/49/06/650454906.db2.gz RQVBSEOXPWVDLC-NEPJUHHUSA-N 0 1 262.357 0.900 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2COCCO2)C1 ZINC000998596273 638929390 /nfs/dbraw/zinc/92/93/90/638929390.db2.gz PRTFWVFPYXUKNZ-NEPJUHHUSA-N 0 1 288.775 0.735 20 30 CCEDMN C#CC[NH2+][C@@H]1CCCN(C(=O)c2cccc3nn[n-]c32)C1 ZINC000999232416 638968874 /nfs/dbraw/zinc/96/88/74/638968874.db2.gz LMZXJTBJBHLMNO-LLVKDONJSA-N 0 1 283.335 0.785 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3[nH]cnc3c2)C1 ZINC001014586086 650464385 /nfs/dbraw/zinc/46/43/85/650464385.db2.gz LAYZXKVBVQAEJY-LLVKDONJSA-N 0 1 271.324 0.948 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CCCNC2=O)C1 ZINC000999426444 638980855 /nfs/dbraw/zinc/98/08/55/638980855.db2.gz XRDJFEZMBCFFHQ-NEPJUHHUSA-N 0 1 299.802 0.846 20 30 CCEDMN C#CCN1CC[C@H](n2cc(CNC(=O)[C@H]3CC34CC4)nn2)C1 ZINC001000029826 639035689 /nfs/dbraw/zinc/03/56/89/639035689.db2.gz IMMALXQQTKCZBF-UONOGXRCSA-N 0 1 299.378 0.574 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC(NC(=O)Cc2nnc[nH]2)C1 ZINC001000197176 639051772 /nfs/dbraw/zinc/05/17/72/639051772.db2.gz AEUYHUPMXCFHMC-CQSZACIVSA-N 0 1 291.355 0.277 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001014615927 650468550 /nfs/dbraw/zinc/46/85/50/650468550.db2.gz JWJRSDHZLVDWQD-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(C)n(C)n2)CC1 ZINC001000487623 639099173 /nfs/dbraw/zinc/09/91/73/639099173.db2.gz BCRCXAVUUQOMNK-UHFFFAOYSA-N 0 1 272.352 0.724 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnn3cccnc23)CC1 ZINC001001029969 639220223 /nfs/dbraw/zinc/22/02/23/639220223.db2.gz XZDNQFHXUWQXME-UHFFFAOYSA-N 0 1 295.346 0.724 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnc(C)n2C)CC1 ZINC001001089761 639232807 /nfs/dbraw/zinc/23/28/07/639232807.db2.gz QOKRTELWRQQUEB-UHFFFAOYSA-N 0 1 272.352 0.724 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn(CC=C)nn2)CC1 ZINC001001243023 639265302 /nfs/dbraw/zinc/26/53/02/639265302.db2.gz WNNYUPXKEKHSBW-UHFFFAOYSA-N 0 1 285.351 0.459 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCO[C@@H]2C)CC1 ZINC001001307374 639279023 /nfs/dbraw/zinc/27/90/23/639279023.db2.gz UAAAMZKXWGHLFN-TZMCWYRMSA-N 0 1 262.353 0.793 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccc(=O)[nH]n2)CC1 ZINC001002291706 639387625 /nfs/dbraw/zinc/38/76/25/639387625.db2.gz JHQJYXSBOSMMHD-UHFFFAOYSA-N 0 1 274.324 0.400 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ncc(OC)cn2)CC1 ZINC001003284911 639526123 /nfs/dbraw/zinc/52/61/23/639526123.db2.gz BPJGGVGAEMVRIN-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H]2CCC(=O)N2C)CC1 ZINC001003422185 639542624 /nfs/dbraw/zinc/54/26/24/639542624.db2.gz MWECMONGZURUKF-CYBMUJFWSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nnn(CC)c2CC)CC1 ZINC001003610615 639576156 /nfs/dbraw/zinc/57/61/56/639576156.db2.gz POVONRRUOFIEAQ-UHFFFAOYSA-N 0 1 289.383 0.688 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc3ncn(C)c3n2)CC1 ZINC001003622146 639578465 /nfs/dbraw/zinc/57/84/65/639578465.db2.gz OVBOZTFHNKGGSX-UHFFFAOYSA-N 0 1 297.362 0.796 20 30 CCEDMN CS(=O)(=O)N1CC[C@@H](NCc2csc(C#N)c2)C1 ZINC000922216557 639581001 /nfs/dbraw/zinc/58/10/01/639581001.db2.gz SXWPYYGWUNVYJI-SNVBAGLBSA-N 0 1 285.394 0.743 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@@H]1CCN(CC#N)C1 ZINC001014956293 650508177 /nfs/dbraw/zinc/50/81/77/650508177.db2.gz ULPUFAYFEUMSNY-VXGBXAGGSA-N 0 1 250.346 0.185 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)N2CC[C@@H](C)C2)CC1 ZINC001003931071 639653142 /nfs/dbraw/zinc/65/31/42/639653142.db2.gz WQCLRRIQNGXZSH-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN Cn1ccnc1CNc1n[nH]c(N2CCOCC2)c1C#N ZINC000922359614 639668229 /nfs/dbraw/zinc/66/82/29/639668229.db2.gz FAVLXQPKOOQFCF-UHFFFAOYSA-N 0 1 287.327 0.464 20 30 CCEDMN Cc1cc(C(=O)N(C)C2CCN(CC#N)CC2)n[nH]1 ZINC001005145690 639881594 /nfs/dbraw/zinc/88/15/94/639881594.db2.gz KARBOUKOOLZBNT-UHFFFAOYSA-N 0 1 261.329 0.778 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2C[C@@H]2OCC)CC1 ZINC001005144909 639881746 /nfs/dbraw/zinc/88/17/46/639881746.db2.gz FRXFIUVNQXVSQY-KBPBESRZSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001014740776 639892521 /nfs/dbraw/zinc/89/25/21/639892521.db2.gz ACDCGEXMAXGHGN-JTQLQIEISA-N 0 1 272.312 0.343 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccc(C)nn2)CC1 ZINC001005377986 639905698 /nfs/dbraw/zinc/90/56/98/639905698.db2.gz GUNBDWJKMASWKN-UHFFFAOYSA-N 0 1 272.352 0.955 20 30 CCEDMN CN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CCN(CC#N)CC1 ZINC001005521051 639915268 /nfs/dbraw/zinc/91/52/68/639915268.db2.gz FWIVSORMPNXGNV-CHWSQXEVSA-N 0 1 287.367 0.960 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCCNC2=O)CC1 ZINC001005536888 639916347 /nfs/dbraw/zinc/91/63/47/639916347.db2.gz GGYUBJCHZRTFNG-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@@H]2CCN(C)C2=O)CC1 ZINC001005555457 639932162 /nfs/dbraw/zinc/93/21/62/639932162.db2.gz VNFMFMHSSKHZON-ZDUSSCGKSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cn3cccnc3n2)CC1 ZINC001005660335 639949388 /nfs/dbraw/zinc/94/93/88/639949388.db2.gz IZHFNTAJAQKZJH-UHFFFAOYSA-N 0 1 297.362 0.899 20 30 CCEDMN N#Cc1ccccc1OC[C@H](O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000923223191 640055787 /nfs/dbraw/zinc/05/57/87/640055787.db2.gz VOXSHYQSGAAKHT-CHWSQXEVSA-N 0 1 290.319 0.847 20 30 CCEDMN C[C@@H](C(=O)N1[C@@H]2CC[C@H]1C[N@@H+](C)CC2)n1cnc(C#N)n1 ZINC000923269121 640064585 /nfs/dbraw/zinc/06/45/85/640064585.db2.gz UKGUIEQUURDNHG-TUAOUCFPSA-N 0 1 288.355 0.406 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3n[nH]cc3c2)C1 ZINC001014878378 640182222 /nfs/dbraw/zinc/18/22/22/640182222.db2.gz QFBRGBRSVQROAB-GFCCVEGCSA-N 0 1 271.324 0.948 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(CCO)C2)cc1 ZINC001015488792 650569171 /nfs/dbraw/zinc/56/91/71/650569171.db2.gz RVGIJLUMEGQGAK-AWEZNQCLSA-N 0 1 258.321 0.464 20 30 CCEDMN C[C@@H](C#N)OCCN1CCCC[C@H]1CNS(C)(=O)=O ZINC000801915241 640671686 /nfs/dbraw/zinc/67/16/86/640671686.db2.gz OQIHRXPWXCXUGM-RYUDHWBXSA-N 0 1 289.401 0.319 20 30 CCEDMN C[C@@H](C#N)OCCN1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000801930515 640675686 /nfs/dbraw/zinc/67/56/86/640675686.db2.gz QVUBZBYUUFATFT-NWDGAFQWSA-N 0 1 289.401 0.176 20 30 CCEDMN C=CCOCC(=O)OC[C@@H]1CN(C(C)C)CCO1 ZINC000802133777 640686902 /nfs/dbraw/zinc/68/69/02/640686902.db2.gz HIGHZSUGUJYLEB-LBPRGKRZSA-N 0 1 257.330 0.841 20 30 CCEDMN Cc1nn(-c2ccccn2)c(C)c1C=NNC(=N)N ZINC000802709052 640701767 /nfs/dbraw/zinc/70/17/67/640701767.db2.gz OFILIFSWFOZAMB-UHFFFAOYSA-N 0 1 257.301 0.701 20 30 CCEDMN N#Cc1ccnc(N2CCN(C[C@@H](O)C3CC3)CC2)c1 ZINC000804460974 640769168 /nfs/dbraw/zinc/76/91/68/640769168.db2.gz YJZYIUVGEMYFRC-CQSZACIVSA-N 0 1 272.352 0.846 20 30 CCEDMN CC1(C)CCN(CC(=O)N[C@H]2CCN(CC#N)C2)C1 ZINC001015704658 650611927 /nfs/dbraw/zinc/61/19/27/650611927.db2.gz BVZWLSILHIXZEL-LBPRGKRZSA-N 0 1 264.373 0.432 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001015761854 650624751 /nfs/dbraw/zinc/62/47/51/650624751.db2.gz MCIUWKVLZLNBMH-GFCCVEGCSA-N 0 1 273.336 0.923 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001015772808 650627094 /nfs/dbraw/zinc/62/70/94/650627094.db2.gz DPWRGMLSJJZESC-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN OCc1ccc(O)c(C=NNc2ncnc3nc[nH]c32)c1 ZINC000814813216 641077623 /nfs/dbraw/zinc/07/76/23/641077623.db2.gz ABGBOFWEPFHKLV-UHFFFAOYSA-N 0 1 284.279 0.997 20 30 CCEDMN O=C([O-])CN[NH+]=Cc1ccc(N2CCOCC2)s1 ZINC000814958931 641084512 /nfs/dbraw/zinc/08/45/12/641084512.db2.gz ASNGTIKCEBLMNB-UHFFFAOYSA-N 0 1 269.326 0.593 20 30 CCEDMN O=C(CCCCc1cn[nH]n1)N[C@H]1CCCN(O)C1=O ZINC000816754370 641211138 /nfs/dbraw/zinc/21/11/38/641211138.db2.gz CBBGTPNNOQXPJK-JTQLQIEISA-N 0 1 281.316 0.014 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001015855872 650640462 /nfs/dbraw/zinc/64/04/62/650640462.db2.gz ODKUQTPBRWUWAC-AWEZNQCLSA-N 0 1 295.427 0.864 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001015957565 650662941 /nfs/dbraw/zinc/66/29/41/650662941.db2.gz XQQFELTVRPDOKF-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN O=C(N[C@@H]1CCN(O)C1=O)c1[nH]nc2c1CCCCC2 ZINC000820179658 641480530 /nfs/dbraw/zinc/48/05/30/641480530.db2.gz NEPIKPVHOGXEAB-SNVBAGLBSA-N 0 1 278.312 0.399 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001015964523 650665604 /nfs/dbraw/zinc/66/56/04/650665604.db2.gz ZHQMGLXAGWMOQW-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001016046552 650677860 /nfs/dbraw/zinc/67/78/60/650677860.db2.gz OGKFARLIDLJJHV-STQMWFEESA-N 0 1 265.357 0.374 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001016446198 650733105 /nfs/dbraw/zinc/73/31/05/650733105.db2.gz IUXMJJQGBWWRNY-GFCCVEGCSA-N 0 1 286.335 0.008 20 30 CCEDMN CC#CC[NH+]1CCC2(C[C@@H]2NC(=O)c2[n-]nnc2C)CC1 ZINC001016762420 650757569 /nfs/dbraw/zinc/75/75/69/650757569.db2.gz DCTSYAKFVLVQMY-LBPRGKRZSA-N 0 1 287.367 0.721 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1cc(F)ccc1F ZINC000834480684 642572474 /nfs/dbraw/zinc/57/24/74/642572474.db2.gz USVHNUYRMLDZFN-SKDRFNHKSA-N 0 1 265.263 0.735 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccc(-n2cnnc2)cc1 ZINC000834484909 642575833 /nfs/dbraw/zinc/57/58/33/642575833.db2.gz PAFFHQYIHHLHKE-GXTWGEPZSA-N 0 1 296.334 0.038 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@H]2C#N)cnn1C(C)C ZINC000834484688 642575956 /nfs/dbraw/zinc/57/59/56/642575956.db2.gz LVGUDUJVXKHNEC-ZYHUDNBSSA-N 0 1 261.329 0.614 20 30 CCEDMN CC(C)Cc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc(=O)[nH]1 ZINC000834485072 642577098 /nfs/dbraw/zinc/57/70/98/642577098.db2.gz RLWWXHVEPXDMAE-AAEUAGOBSA-N 0 1 288.351 0.827 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@H]2C#N)cnn1-c1ccccn1 ZINC000834489415 642579372 /nfs/dbraw/zinc/57/93/72/642579372.db2.gz MCMIMZSHZYSIBD-DGCLKSJQSA-N 0 1 296.334 0.417 20 30 CCEDMN Cc1sc(=O)n(CCC(=O)N[C@H]2CNC[C@H]2C#N)c1C ZINC000834490660 642581746 /nfs/dbraw/zinc/58/17/46/642581746.db2.gz WPSLBDBCBWHUJE-MNOVXSKESA-N 0 1 294.380 0.145 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(N)nc(Cl)c1 ZINC000834494270 642584204 /nfs/dbraw/zinc/58/42/04/642584204.db2.gz MNBAYBLMZWGTQK-YUMQZZPRSA-N 0 1 265.704 0.159 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CC23CCN(CC(N)=O)CC3)C1 ZINC001016817391 650763589 /nfs/dbraw/zinc/76/35/89/650763589.db2.gz LFYXUMDNQRWKTD-GFCCVEGCSA-N 0 1 291.395 0.799 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cncc(C(F)(F)F)c1 ZINC000834499527 642589005 /nfs/dbraw/zinc/58/90/05/642589005.db2.gz JGTHUVQAABRYGV-PSASIEDQSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(-n2ccnc2)ccn1 ZINC000834500564 642589215 /nfs/dbraw/zinc/58/92/15/642589215.db2.gz URDOZKCCJXILJD-GWCFXTLKSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000834505900 642592005 /nfs/dbraw/zinc/59/20/05/642592005.db2.gz OXIAADGQCBZBGN-MWLCHTKSSA-N 0 1 260.253 0.436 20 30 CCEDMN Cc1cccc(O[C@H](C)C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834504880 642592220 /nfs/dbraw/zinc/59/22/20/642592220.db2.gz GIQRBFFDTBRVBH-DYEKYZERSA-N 0 1 273.336 0.990 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1CCCc2cccnc21 ZINC000834505561 642592258 /nfs/dbraw/zinc/59/22/58/642592258.db2.gz MDGNYGUNRRNKRM-JHJVBQTASA-N 0 1 270.336 0.729 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccc(Cl)cn1 ZINC000834506808 642594375 /nfs/dbraw/zinc/59/43/75/642594375.db2.gz WDSKQXMDSQGUNW-GZMMTYOYSA-N 0 1 264.716 0.505 20 30 CCEDMN Cc1ccccc1OCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834510952 642596039 /nfs/dbraw/zinc/59/60/39/642596039.db2.gz HRDZKYIRVQKFKG-NWDGAFQWSA-N 0 1 259.309 0.602 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)ccc1[N+](=O)[O-] ZINC000834511657 642597371 /nfs/dbraw/zinc/59/73/71/642597371.db2.gz NHUPCEMSZSDOHU-WDEREUQCSA-N 0 1 274.280 0.745 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@@H]2C#N)[nH]c2c1C(=O)CCC2 ZINC000834511870 642597565 /nfs/dbraw/zinc/59/75/65/642597565.db2.gz PCXQLAKDSQPSLF-ONGXEEELSA-N 0 1 286.335 0.684 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1coc(Br)c1 ZINC000834515992 642598487 /nfs/dbraw/zinc/59/84/87/642598487.db2.gz DNUZMYUSQZTZFJ-SFYZADRCSA-N 0 1 284.113 0.883 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccn(-c2ccc(F)cc2)n1 ZINC000834521474 642601340 /nfs/dbraw/zinc/60/13/40/642601340.db2.gz JYEFLTYPVSQKKH-HZMBPMFUSA-N 0 1 299.309 0.853 20 30 CCEDMN COc1ncc(C)cc1NC(=O)N[C@H]1CNC[C@H]1C#N ZINC000841133543 642804564 /nfs/dbraw/zinc/80/45/64/642804564.db2.gz HHUJQXUKPHAHDG-KOLCDFICSA-N 0 1 275.312 0.632 20 30 CCEDMN COc1cccnc1NC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000841182623 642806665 /nfs/dbraw/zinc/80/66/65/642806665.db2.gz JYOMLYUEHKGOIY-DTWKUNHWSA-N 0 1 261.285 0.323 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccc(OC(F)F)cn1 ZINC000841249268 642809449 /nfs/dbraw/zinc/80/94/49/642809449.db2.gz LTUIXMSEEKJDGP-VXNVDRBHSA-N 0 1 297.265 0.916 20 30 CCEDMN Clc1ccc2c(c1)C(=NNC1=NCCN1)CO2 ZINC000841658571 642829218 /nfs/dbraw/zinc/82/92/18/642829218.db2.gz BDSFYAIYWXNWOQ-UHFFFAOYSA-N 0 1 250.689 0.985 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1COC(=O)C1 ZINC001017477831 650804970 /nfs/dbraw/zinc/80/49/70/650804970.db2.gz JIFZHQVARXGIGQ-MELADBBJSA-N 0 1 290.363 0.638 20 30 CCEDMN Cn1nccc1[C@H](CO)NC[C@@H](O)c1ccc(C#N)cc1 ZINC000843108454 642997130 /nfs/dbraw/zinc/99/71/30/642997130.db2.gz ODDDNBRKGOCWTJ-DZGCQCFKSA-N 0 1 286.335 0.648 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000843459625 643063444 /nfs/dbraw/zinc/06/34/44/643063444.db2.gz HEWKWLJGPQYUJV-APPZFPTMSA-N 0 1 275.268 0.018 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cn1cc(-c2ccccc2)nn1 ZINC000843460292 643064508 /nfs/dbraw/zinc/06/45/08/643064508.db2.gz NGWRDGOFNYHJJU-CHWSQXEVSA-N 0 1 296.334 0.173 20 30 CCEDMN Cc1cccnc1/C=C/C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000843461367 643065548 /nfs/dbraw/zinc/06/55/48/643065548.db2.gz UKPRVGFQLHOBMP-WPCUQIPDSA-N 0 1 256.309 0.631 20 30 CCEDMN Cc1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)c(C(C)C)n1 ZINC000843462102 643066166 /nfs/dbraw/zinc/06/61/66/643066166.db2.gz ZUKVGTCLIMEXPP-PWSUYJOCSA-N 0 1 273.340 0.750 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000843462190 643066993 /nfs/dbraw/zinc/06/69/93/643066993.db2.gz OTERPLGCPURRNZ-XVKPBYJWSA-N 0 1 278.243 0.575 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000843463485 643067491 /nfs/dbraw/zinc/06/74/91/643067491.db2.gz WQTXIJUYHHTNJL-PWSUYJOCSA-N 0 1 274.280 0.365 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(F)c(F)c1F ZINC000843463790 643068354 /nfs/dbraw/zinc/06/83/54/643068354.db2.gz XYJPIWMYCHBKCT-RCOVLWMOSA-N 0 1 269.226 0.945 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccnc1N1CCCC1 ZINC000844195748 643179118 /nfs/dbraw/zinc/17/91/18/643179118.db2.gz ZORPWWLZKFWGRG-AAEUAGOBSA-N 0 1 285.351 0.523 20 30 CCEDMN N#Cc1ccc([C@H](O)CN2C[C@@H]3C[C@H]2C[S@]3=O)cc1 ZINC000844430668 643214156 /nfs/dbraw/zinc/21/41/56/643214156.db2.gz CKXJBNANXCLKFF-WZFJYNIESA-N 0 1 276.361 0.797 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)N1C ZINC001017812406 650824910 /nfs/dbraw/zinc/82/49/10/650824910.db2.gz XRKDXKVRNYFBRN-MJBXVCDLSA-N 0 1 289.379 0.306 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CN(C)C(=O)N1 ZINC001017799310 650823710 /nfs/dbraw/zinc/82/37/10/650823710.db2.gz ORXCPXMKYXNHBJ-XQQFMLRXSA-N 0 1 292.383 0.261 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1(C(N)=O)CC1 ZINC001017880420 650830904 /nfs/dbraw/zinc/83/09/04/650830904.db2.gz NHYJZKRONUYQCI-TXEJJXNPSA-N 0 1 277.368 0.503 20 30 CCEDMN C[C@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000846677386 643441472 /nfs/dbraw/zinc/44/14/72/643441472.db2.gz MLKWDDKTIWZBOP-CYZMBNFOSA-N 0 1 284.319 0.553 20 30 CCEDMN C#C[C@H]1CCCN([C@@H](C)C(=O)NC(=O)NCC=C)C1 ZINC000847022632 643479852 /nfs/dbraw/zinc/47/98/52/643479852.db2.gz IHFXIGWLLPNBCW-RYUDHWBXSA-N 0 1 263.341 0.732 20 30 CCEDMN C#C[C@H]1CCCN([C@H](C)C(=O)NC(=O)NC(C)C)C1 ZINC000847024327 643480845 /nfs/dbraw/zinc/48/08/45/643480845.db2.gz QHGPJLMQVUSTFI-NEPJUHHUSA-N 0 1 265.357 0.954 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CC2CC=CC2)CC1 ZINC000851872721 643893952 /nfs/dbraw/zinc/89/39/52/643893952.db2.gz KDJCYEBSIWEFBY-UHFFFAOYSA-N 0 1 283.397 0.038 20 30 CCEDMN Cc1nnc(NN=Cc2ccc3c(c2)nnn3C)n1C ZINC000853433046 644105524 /nfs/dbraw/zinc/10/55/24/644105524.db2.gz UMLUENCZJCJUGK-UHFFFAOYSA-N 0 1 270.300 0.851 20 30 CCEDMN Cc1nnc([N-][NH+]=Cc2ccc3c(c2)nnn3C)n1C ZINC000853433046 644105530 /nfs/dbraw/zinc/10/55/30/644105530.db2.gz UMLUENCZJCJUGK-UHFFFAOYSA-N 0 1 270.300 0.851 20 30 CCEDMN Cc1ccn(C[C@H](C)C(=O)NC2(C#N)CCN(C)CC2)n1 ZINC000865199114 645338783 /nfs/dbraw/zinc/33/87/83/645338783.db2.gz OZKDLXLYCPOLHR-LBPRGKRZSA-N 0 1 289.383 0.932 20 30 CCEDMN N#C[C@@]1(C(=O)NC[C@H]2CCC[N@@H+]2CCCO)CCCOC1 ZINC000868629578 645796853 /nfs/dbraw/zinc/79/68/53/645796853.db2.gz QMSYGCXZRNSCNR-HIFRSBDPSA-N 0 1 295.383 0.270 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1C[C@H]2CCCC[C@@H](C1)C2=O ZINC000870940298 646054237 /nfs/dbraw/zinc/05/42/37/646054237.db2.gz HYUSCTYFPBKCDV-YJBUHQLJSA-N 0 1 289.379 1.000 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccn(C2CC2)c1=O ZINC000870940313 646054855 /nfs/dbraw/zinc/05/48/55/646054855.db2.gz IFMIKRCZBZSYBG-SKDRFNHKSA-N 0 1 272.308 0.025 20 30 CCEDMN C[C@@H](O)CCN(C)C(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000876669730 646641564 /nfs/dbraw/zinc/64/15/64/646641564.db2.gz WDNNXUTYBATEPE-ABAIWWIYSA-N 0 1 287.363 0.974 20 30 CCEDMN C[C@]1(Br)C[C@@H]1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000876801362 646668380 /nfs/dbraw/zinc/66/83/80/646668380.db2.gz BNSOFHJYWIYZSN-ODXREFDESA-N 0 1 272.146 0.388 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)/C=C\c1ccc(Cl)nc1 ZINC000876801375 646669004 /nfs/dbraw/zinc/66/90/04/646669004.db2.gz BREZMCQAWHXRQN-RQVXFDJMSA-N 0 1 276.727 0.976 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)/C=C/c1ccc(Cl)nc1 ZINC000876801373 646669281 /nfs/dbraw/zinc/66/92/81/646669281.db2.gz BREZMCQAWHXRQN-BOCAPUTCSA-N 0 1 276.727 0.976 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1(Nc2ccccc2)CC1 ZINC000876803147 646670482 /nfs/dbraw/zinc/67/04/82/646670482.db2.gz UMVYLBWEKHTKLF-DGCLKSJQSA-N 0 1 270.336 0.859 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1scnc1C(F)F ZINC000876803386 646670558 /nfs/dbraw/zinc/67/05/58/646670558.db2.gz WEOMLQRXMAXQIS-RITPCOANSA-N 0 1 272.280 0.922 20 30 CCEDMN Cc1cn2cc(CC(=O)N[C@H]3CNC[C@@H]3C#N)nc2s1 ZINC000876802765 646670646 /nfs/dbraw/zinc/67/06/46/646670646.db2.gz RSARHQWONBBJNI-ONGXEEELSA-N 0 1 289.364 0.475 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ncc2c(F)cccn21 ZINC000876803855 646671532 /nfs/dbraw/zinc/67/15/32/646671532.db2.gz YDOVDZQZKVOESS-WPRPVWTQSA-N 0 1 273.271 0.315 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NCCn1cccn1 ZINC000876876486 646689047 /nfs/dbraw/zinc/68/90/47/646689047.db2.gz FWVPOEJUQVJVTC-OAHLLOKOSA-N 0 1 295.346 0.758 20 30 CCEDMN C#CCN(C[C@H](O)CC1(O)CCC1)C1CSC1 ZINC000878910775 646995933 /nfs/dbraw/zinc/99/59/33/646995933.db2.gz UIISFYGLQNNXIT-GFCCVEGCSA-N 0 1 255.383 0.703 20 30 CCEDMN N#CCc1cccc2c1CCN(CCn1cnnn1)C2 ZINC000879065126 647022596 /nfs/dbraw/zinc/02/25/96/647022596.db2.gz TUCBQQISPGXCFS-UHFFFAOYSA-N 0 1 268.324 0.797 20 30 CCEDMN C[C@H](NCC(=O)NC1(C#N)CCC1)c1ccnn1C ZINC000879687540 647093686 /nfs/dbraw/zinc/09/36/86/647093686.db2.gz SVPRNNFVBZBVCS-JTQLQIEISA-N 0 1 261.329 0.633 20 30 CCEDMN CN(C[C@H](O)CC1(C#N)CCC1)[C@@H]1CCNC1=O ZINC000886089652 647898824 /nfs/dbraw/zinc/89/88/24/647898824.db2.gz GQAPAPAWNMDICK-GHMZBOCLSA-N 0 1 251.330 0.252 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN3C(=O)CC[C@H]3C2)CCC1 ZINC000886099536 647900830 /nfs/dbraw/zinc/90/08/30/647900830.db2.gz ZEQRPIHNWKEDNF-QWHCGFSZSA-N 0 1 277.368 0.738 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)[C@H]2CCCN2C2CC2)CCO1 ZINC000887684332 648141599 /nfs/dbraw/zinc/14/15/99/648141599.db2.gz GNAAFGFTWNRHFU-OCCSQVGLSA-N 0 1 263.341 0.754 20 30 CCEDMN Cc1cc(=O)n(C)cc1NC(=O)NCC#CCN(C)C ZINC000930273886 648842407 /nfs/dbraw/zinc/84/24/07/648842407.db2.gz QTWLVAYPAZHSHC-UHFFFAOYSA-N 0 1 276.340 0.380 20 30 CCEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H](O)[C@H](C)O ZINC000930456181 648863683 /nfs/dbraw/zinc/86/36/83/648863683.db2.gz QYWWBXFQXNWWHK-FZMZJTMJSA-N 0 1 269.341 0.892 20 30 CCEDMN COc1nn(C)cc1NC(=O)NCC#CCN(C)C ZINC000931052273 648940373 /nfs/dbraw/zinc/94/03/73/648940373.db2.gz ZRUJHLXLXBZFBZ-UHFFFAOYSA-N 0 1 265.317 0.115 20 30 CCEDMN N#CCCOCCN1CCCC[C@H]1CS(N)(=O)=O ZINC000931231121 648968476 /nfs/dbraw/zinc/96/84/76/648968476.db2.gz HLKUMHOORMMCST-NSHDSACASA-N 0 1 275.374 0.060 20 30 CCEDMN COc1ccc(CN(CCO)C[C@H](O)CC#N)cc1 ZINC000931707811 649020731 /nfs/dbraw/zinc/02/07/31/649020731.db2.gz UCHUPYHKAYYFHA-CYBMUJFWSA-N 0 1 264.325 0.764 20 30 CCEDMN N#CCNC(=O)CN1C[C@@H]2COC[C@]2(c2ccccc2)C1 ZINC000931904946 649034752 /nfs/dbraw/zinc/03/47/52/649034752.db2.gz ZQERQSPBBYISIT-ZBFHGGJFSA-N 0 1 285.347 0.526 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCO[C@@H](C)CC1 ZINC000932350973 649067738 /nfs/dbraw/zinc/06/77/38/649067738.db2.gz XMLIMZDDZHPKBX-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN C#C[C@@H](C)NC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000248571373 649735021 /nfs/dbraw/zinc/73/50/21/649735021.db2.gz SDYQOTZKXLFOPI-OLZOCXBDSA-N 0 1 267.373 0.664 20 30 CCEDMN COc1cc(N2C[C@@H]3OCCN(C)[C@H]3C2)ncc1C#N ZINC001164671218 719370642 /nfs/dbraw/zinc/37/06/42/719370642.db2.gz VMCRMPHWWGEYIP-AAEUAGOBSA-N 0 1 274.324 0.481 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nc(Cl)cnc3C#N)C[C@H]21 ZINC001164671405 719380275 /nfs/dbraw/zinc/38/02/75/719380275.db2.gz XFZOJPVEUHIIRI-NXEZZACHSA-N 0 1 279.731 0.521 20 30 CCEDMN CN1CCO[C@H]2CN(c3nnc(Cl)cc3C#N)C[C@@H]21 ZINC001164672666 719381209 /nfs/dbraw/zinc/38/12/09/719381209.db2.gz ZXQZFWGXMFHOTG-UWVGGRQHSA-N 0 1 279.731 0.521 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCNCc1c(C)noc1C ZINC001266696525 791152621 /nfs/dbraw/zinc/15/26/21/791152621.db2.gz LIVPYLRTCCLXRR-ZDUSSCGKSA-N 0 1 293.367 0.878 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccnc2[nH]cnc21 ZINC001266278539 790386460 /nfs/dbraw/zinc/38/64/60/790386460.db2.gz JKBBTQSDSQYBFX-UHFFFAOYSA-N 0 1 257.297 0.253 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC001266290219 790427071 /nfs/dbraw/zinc/42/70/71/790427071.db2.gz RAXNHTPPNXRRMY-ZSHCYNCHSA-N 0 1 289.423 0.790 20 30 CCEDMN CCc1nnsc1C(=O)NCCN(C)CC#CCOC ZINC001266295318 790444262 /nfs/dbraw/zinc/44/42/62/790444262.db2.gz FTRJYFSQBNUICU-UHFFFAOYSA-N 0 1 296.396 0.412 20 30 CCEDMN C=CCN1CCN(CCNC(=O)Cc2[nH]cnc2C)CC1 ZINC001266297722 790452504 /nfs/dbraw/zinc/45/25/04/790452504.db2.gz UNKRJKANRRBHBZ-UHFFFAOYSA-N 0 1 291.399 0.180 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCc1cnccn1 ZINC001266344489 790551507 /nfs/dbraw/zinc/55/15/07/790551507.db2.gz LDYJGWHTVHWRDC-UHFFFAOYSA-N 0 1 260.341 0.481 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnn(C)c1CC ZINC001266400431 790638554 /nfs/dbraw/zinc/63/85/54/790638554.db2.gz MIKPOVCIQZPKRQ-UHFFFAOYSA-N 0 1 262.357 0.667 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCc1cncnc1 ZINC001266403389 790644989 /nfs/dbraw/zinc/64/49/89/790644989.db2.gz PROVWADBYHPQCB-UHFFFAOYSA-N 0 1 260.341 0.481 20 30 CCEDMN CC#CCN(CCNC(=O)c1n[nH]cc1F)C1CC1 ZINC001266609237 791020558 /nfs/dbraw/zinc/02/05/58/791020558.db2.gz GJAFNBHPXICOFD-UHFFFAOYSA-N 0 1 264.304 0.766 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)COCC)C1 ZINC001279460836 791031269 /nfs/dbraw/zinc/03/12/69/791031269.db2.gz FYJXPRSUIXLPKH-CQSZACIVSA-N 0 1 270.373 0.542 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@@H](NC(=O)C#CC(C)(C)C)C2)[nH]1 ZINC001266683659 791133626 /nfs/dbraw/zinc/13/36/26/791133626.db2.gz STYKHIFKDUGOLJ-TXEJJXNPSA-N 0 1 289.383 0.899 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1C[C@@H](NCc2ocnc2C)C1 ZINC001266682903 791134151 /nfs/dbraw/zinc/13/41/51/791134151.db2.gz DJVYWTGNNCVWOQ-BETUJISGSA-N 0 1 291.351 0.760 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)C1=COCCO1 ZINC001230653934 805643755 /nfs/dbraw/zinc/64/37/55/805643755.db2.gz JKKHSKVOPXNQTH-CYBMUJFWSA-N 0 1 296.367 0.610 20 30 CCEDMN COCC#CCN1CC[C@H]1CN(C)C(=O)c1cc(C)[nH]n1 ZINC001230897957 805687061 /nfs/dbraw/zinc/68/70/61/805687061.db2.gz AUVFQRWQMFRYQS-ZDUSSCGKSA-N 0 1 290.367 0.514 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1nncc2n[nH]cc21 ZINC001230900490 805687779 /nfs/dbraw/zinc/68/77/79/805687779.db2.gz IUNYDRONCWLDDD-QMMMGPOBSA-N 0 1 260.253 0.687 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CCCNC(=O)CC ZINC001230999286 805707345 /nfs/dbraw/zinc/70/73/45/805707345.db2.gz INANPLJVTYCDCV-NSHDSACASA-N 0 1 298.387 0.235 20 30 CCEDMN N#Cc1cccc(CN2CCc3c(n[nH]c3C(N)=O)C2)c1 ZINC001277479190 805709179 /nfs/dbraw/zinc/70/91/79/805709179.db2.gz RWQBDLBSLZFTTP-UHFFFAOYSA-N 0 1 281.319 0.939 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CCN1CCC[C@@H](C)O ZINC001231239190 805757589 /nfs/dbraw/zinc/75/75/89/805757589.db2.gz AGIXTYAGZCUXPT-KGLIPLIRSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1C[C@@H](C)OC ZINC001231240693 805757731 /nfs/dbraw/zinc/75/77/31/805757731.db2.gz NVKWYNMYBSQQHO-CHWSQXEVSA-N 0 1 270.373 0.757 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CCN1C[C@@H](C)OC ZINC001231240693 805757735 /nfs/dbraw/zinc/75/77/35/805757735.db2.gz NVKWYNMYBSQQHO-CHWSQXEVSA-N 0 1 270.373 0.757 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1C[C@H](C)O ZINC001231241796 805758432 /nfs/dbraw/zinc/75/84/32/805758432.db2.gz ZLCBUXUVXLGJDQ-NWDGAFQWSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CCN1C[C@H](C)O ZINC001231241796 805758438 /nfs/dbraw/zinc/75/84/38/805758438.db2.gz ZLCBUXUVXLGJDQ-NWDGAFQWSA-N 0 1 256.346 0.103 20 30 CCEDMN C#Cc1cncc(C(=O)NCCOCCN(C)CC#CC)c1 ZINC001277521173 805806992 /nfs/dbraw/zinc/80/69/92/805806992.db2.gz TWQVEJFVYCHTSE-UHFFFAOYSA-N 0 1 299.374 0.764 20 30 CCEDMN CN1C[C@@H]2CN(Cc3cccc(C#N)n3)C[C@@H]2C1=O ZINC001232925367 805995988 /nfs/dbraw/zinc/99/59/88/805995988.db2.gz TYPGCAYMNAUPPL-MFKMUULPSA-N 0 1 256.309 0.473 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)C1(C(=O)NC)CC1 ZINC001266856181 791294479 /nfs/dbraw/zinc/29/44/79/791294479.db2.gz KYQXUZGBHVGOMT-LBPRGKRZSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)C(=O)NCC1CC1 ZINC001266859476 791296720 /nfs/dbraw/zinc/29/67/20/791296720.db2.gz ZEYCCHQGUAPEIJ-CYBMUJFWSA-N 0 1 279.384 0.669 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OC)[C@@H]1CCOC1 ZINC001266903007 791331598 /nfs/dbraw/zinc/33/15/98/791331598.db2.gz YOBJLTPGOQCUCW-MRLBHPIUSA-N 0 1 292.379 0.108 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@H](C)NCC(=C)Cl)nn1 ZINC001266976876 791412589 /nfs/dbraw/zinc/41/25/89/791412589.db2.gz DNORRPYWXCEBDI-JTQLQIEISA-N 0 1 283.763 0.925 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cn3cccn3)C[C@@H]21 ZINC001041939045 791464748 /nfs/dbraw/zinc/46/47/48/791464748.db2.gz NUXZRQGMCWNUMS-KBPBESRZSA-N 0 1 272.352 0.439 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(CC(OC)OC)C1 ZINC001207254158 791467834 /nfs/dbraw/zinc/46/78/34/791467834.db2.gz AOQDXYIKRKAOAB-LLVKDONJSA-N 0 1 272.345 0.982 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H]1C[C@@H]1C ZINC001233492391 806067050 /nfs/dbraw/zinc/06/70/50/806067050.db2.gz WOSRROFMTFQJCV-RYUDHWBXSA-N 0 1 267.373 0.383 20 30 CCEDMN CCN(CCNC(=O)c1ncn[nH]1)c1ccncc1C#N ZINC001100584384 791574910 /nfs/dbraw/zinc/57/49/10/791574910.db2.gz DDOWIDXKNNPOHT-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN CCN(CCNC(=O)c1nc[nH]n1)c1ccncc1C#N ZINC001100584384 791574920 /nfs/dbraw/zinc/57/49/20/791574920.db2.gz DDOWIDXKNNPOHT-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(=O)[nH]c(C2CC2)c1 ZINC001267199355 791662104 /nfs/dbraw/zinc/66/21/04/791662104.db2.gz NWNHEWIIMZDANM-UHFFFAOYSA-N 0 1 273.336 0.959 20 30 CCEDMN C=CCC[C@H](C)N(C)CCNC(=O)[C@@H](C)S(C)(=O)=O ZINC001267202607 791668502 /nfs/dbraw/zinc/66/85/02/791668502.db2.gz DDHJMZVSEVEHRU-NWDGAFQWSA-N 0 1 290.429 0.822 20 30 CCEDMN CCn1cc(C(=O)NCCN(C)CC#CCOC)c(C)n1 ZINC001267218594 791690263 /nfs/dbraw/zinc/69/02/63/791690263.db2.gz AKNQTNRKDBMBBK-UHFFFAOYSA-N 0 1 292.383 0.523 20 30 CCEDMN CN1[C@@H]2C[C@@H](Oc3n[nH]c(=O)cc3Cl)C[C@H]1[C@@H]1O[C@@H]12 ZINC001233587735 806086904 /nfs/dbraw/zinc/08/69/04/806086904.db2.gz YQILKZSMAXXSPW-GNARGMICSA-N 0 1 283.715 0.827 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)CCC(C)C ZINC001233695878 806107810 /nfs/dbraw/zinc/10/78/10/806107810.db2.gz JVNCEBYNHDGBCC-UHFFFAOYSA-N 0 1 281.400 0.610 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1cc(C)n[nH]1 ZINC001233674229 806106396 /nfs/dbraw/zinc/10/63/96/806106396.db2.gz OJFFFKVFVCWTPY-ZDUSSCGKSA-N 0 1 262.357 0.979 20 30 CCEDMN C#CCCCCC(=O)N(C)C[C@H]1CCN1CCO ZINC001233799853 806123645 /nfs/dbraw/zinc/12/36/45/806123645.db2.gz BFCGICAXLYDMIX-CYBMUJFWSA-N 0 1 252.358 0.705 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@@H](C)NCc1cc(C)no1 ZINC001277819638 806152013 /nfs/dbraw/zinc/15/20/13/806152013.db2.gz PFMNAZUFYHOPSY-OLZOCXBDSA-N 0 1 293.367 0.958 20 30 CCEDMN C=CCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1COC(=O)N1 ZINC001234040209 806171904 /nfs/dbraw/zinc/17/19/04/806171904.db2.gz UVRKJHWWEPBGEO-NEPJUHHUSA-N 0 1 281.356 0.594 20 30 CCEDMN COC[C@H](O)C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234161493 806192391 /nfs/dbraw/zinc/19/23/91/806192391.db2.gz LTEYJSXSNVJICZ-UONOGXRCSA-N 0 1 282.384 0.186 20 30 CCEDMN COC[C@H](O)CN1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234161493 806192394 /nfs/dbraw/zinc/19/23/94/806192394.db2.gz LTEYJSXSNVJICZ-UONOGXRCSA-N 0 1 282.384 0.186 20 30 CCEDMN CCN(CC#N)CCNC(=O)CN(C)C1CCC1 ZINC001267242358 793231146 /nfs/dbraw/zinc/23/11/46/793231146.db2.gz IAMLFDVKDISHGN-UHFFFAOYSA-N 0 1 252.362 0.432 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN(CC)[C@@H]1CCC(=O)NC1=O ZINC001267247469 793240421 /nfs/dbraw/zinc/24/04/21/793240421.db2.gz NTRUJRYCZHKPSG-LLVKDONJSA-N 0 1 295.383 0.442 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H]1C[C@@H]1OCC ZINC001234466309 806252913 /nfs/dbraw/zinc/25/29/13/806252913.db2.gz SBQBOKJTUMCIFC-OLZOCXBDSA-N 0 1 297.399 0.152 20 30 CCEDMN C/C(=C/C(=O)NCCCN(C)CC(=O)NCC#N)C1CC1 ZINC001234528765 806266871 /nfs/dbraw/zinc/26/68/71/806266871.db2.gz HCOSPWDUFGCRPU-BENRWUELSA-N 0 1 292.383 0.421 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCc1ccn(C)n1 ZINC001234635253 806291319 /nfs/dbraw/zinc/29/13/19/806291319.db2.gz VEWNGDMCQZHLQM-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN N#CCN1CC[C@@H](Oc2nc(F)nc3[nH]cnc32)C1 ZINC001234688928 806297019 /nfs/dbraw/zinc/29/70/19/806297019.db2.gz CMJBWSHXQFLGIU-SSDOTTSWSA-N 0 1 262.248 0.469 20 30 CCEDMN CN1CCO[C@H](COc2ccccc2C=NO)C1=O ZINC001234820233 806306362 /nfs/dbraw/zinc/30/63/62/806306362.db2.gz SMJQYNJWPAFPEQ-GFCCVEGCSA-N 0 1 264.281 0.731 20 30 CCEDMN C=CCCC(=O)NCCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001235451799 806423904 /nfs/dbraw/zinc/42/39/04/806423904.db2.gz PPGBSQZJAFHIKK-UHFFFAOYSA-N 0 1 267.333 0.415 20 30 CCEDMN C=CCCC(=O)NCCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001235451799 806423908 /nfs/dbraw/zinc/42/39/08/806423908.db2.gz PPGBSQZJAFHIKK-UHFFFAOYSA-N 0 1 267.333 0.415 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235703271 806494682 /nfs/dbraw/zinc/49/46/82/806494682.db2.gz TWZBGUANSNWWPE-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235703271 806494685 /nfs/dbraw/zinc/49/46/85/806494685.db2.gz TWZBGUANSNWWPE-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccoc1Cl ZINC001278069398 806647976 /nfs/dbraw/zinc/64/79/76/806647976.db2.gz AACBGZOUNXXOBK-VIFPVBQESA-N 0 1 270.716 0.637 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1c[nH]cn1)Nc1cncc(C#N)n1 ZINC001120712580 798919655 /nfs/dbraw/zinc/91/96/55/798919655.db2.gz ONZBFMRQPAEUTP-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)CSC)C1 ZINC001149089825 798931292 /nfs/dbraw/zinc/93/12/92/798931292.db2.gz FIGZJLCXLJXGQJ-LBPRGKRZSA-N 0 1 270.398 0.580 20 30 CCEDMN C=CCn1c(C2=NO[C@@H](CO)C2)nnc1N(C)C1CCC1 ZINC001121658443 799063360 /nfs/dbraw/zinc/06/33/60/799063360.db2.gz UGZWWIULPWQENY-LLVKDONJSA-N 0 1 291.355 0.938 20 30 CCEDMN C=CCN(C)c1nnc(C2=NO[C@H](CO)C2)n1CC=C ZINC001121658148 799063473 /nfs/dbraw/zinc/06/34/73/799063473.db2.gz PJPFIBPYDDZMEY-JTQLQIEISA-N 0 1 277.328 0.572 20 30 CCEDMN CCn1c(-c2c[nH]nn2)nnc1N(C)C[C@H](C)C#N ZINC001121772054 799070014 /nfs/dbraw/zinc/07/00/14/799070014.db2.gz XENBAKKIKBFPOG-MRVPVSSYSA-N 0 1 260.305 0.679 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1CCCO[C@H]1C(C)C ZINC001121894639 799085775 /nfs/dbraw/zinc/08/57/75/799085775.db2.gz JNIRJOMPCBVDOW-JSGCOSHPSA-N 0 1 252.358 0.777 20 30 CCEDMN C#CCNCC(=O)NCCc1ncc(CC)s1 ZINC001121927519 799097012 /nfs/dbraw/zinc/09/70/12/799097012.db2.gz XMSPPCGJFXETQT-UHFFFAOYSA-N 0 1 251.355 0.587 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)C1CCN(C(=O)OC)CC1 ZINC001122042330 799130288 /nfs/dbraw/zinc/13/02/88/799130288.db2.gz WKRMXUHLIOUUCH-LLVKDONJSA-N 0 1 281.356 0.192 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C[C@H]1CCCO1)C(F)(F)F ZINC001122054207 799132066 /nfs/dbraw/zinc/13/20/66/799132066.db2.gz ORKJHUZBHFGCNB-ZJUUUORDSA-N 0 1 278.274 0.825 20 30 CCEDMN C#CCNCC(=O)N(C)CCc1ccc2c(c1)OCO2 ZINC001122136749 799152334 /nfs/dbraw/zinc/15/23/34/799152334.db2.gz DAVCVZNGSUIPIT-UHFFFAOYSA-N 0 1 274.320 0.639 20 30 CCEDMN CS(=O)(=O)CC1(CC(=O)[C@H](C#N)C(=O)NC2CC2)CC1 ZINC001122413112 799227636 /nfs/dbraw/zinc/22/76/36/799227636.db2.gz DLFOQMZGNGKIKH-JTQLQIEISA-N 0 1 298.364 0.189 20 30 CCEDMN COc1c(C(=O)[C@@H](C#N)c2nccn2C)cnn1C ZINC001122609510 799273917 /nfs/dbraw/zinc/27/39/17/799273917.db2.gz KWSKMWHTPWEZEY-MRVPVSSYSA-N 0 1 259.269 0.652 20 30 CCEDMN COc1c(C(=O)C(C#N)c2nccn2C)cnn1C ZINC001122609510 799273923 /nfs/dbraw/zinc/27/39/23/799273923.db2.gz KWSKMWHTPWEZEY-MRVPVSSYSA-N 0 1 259.269 0.652 20 30 CCEDMN C#CCN(C(=O)[C@@H](COC)OC)C1CCN(CC#C)CC1 ZINC001278184170 806746234 /nfs/dbraw/zinc/74/62/34/806746234.db2.gz HPSYPUWHHODRSG-OAHLLOKOSA-N 0 1 292.379 0.207 20 30 CCEDMN C#CC1(O)CCN(C(=O)N[C@@H]2CCCN(C)C2)CC1 ZINC001123490543 799420519 /nfs/dbraw/zinc/42/05/19/799420519.db2.gz YAJYOACRVOELAU-GFCCVEGCSA-N 0 1 265.357 0.250 20 30 CCEDMN C=C(CC)CNC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001123656420 799449772 /nfs/dbraw/zinc/44/97/72/799449772.db2.gz DWZXZIVXFQNIIZ-STQMWFEESA-N 0 1 268.405 0.886 20 30 CCEDMN Cc1cnc(CNCCNC(=O)CSCC#N)cn1 ZINC001123799291 799476477 /nfs/dbraw/zinc/47/64/77/799476477.db2.gz PHHXKWMZAUHUOX-UHFFFAOYSA-N 0 1 279.369 0.248 20 30 CCEDMN C=CCC1(C(=O)NC[C@H](O)c2cnc[nH]2)CCOCC1 ZINC001123908827 799501054 /nfs/dbraw/zinc/50/10/54/799501054.db2.gz UFHDNCWUIMQUGV-LBPRGKRZSA-N 0 1 279.340 0.932 20 30 CCEDMN C#CCC1(NC(=O)[C@H]2CN3CCN2C[C@H]3C)CCC1 ZINC001124052399 799545110 /nfs/dbraw/zinc/54/51/10/799545110.db2.gz WGDHRZRZKYBRMW-CHWSQXEVSA-N 0 1 261.369 0.437 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(F)c2occc21 ZINC001278201208 806769735 /nfs/dbraw/zinc/76/97/35/806769735.db2.gz BTMUHGXAKJHFPO-SNVBAGLBSA-N 0 1 290.294 0.885 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)Cn2cccn2)C1 ZINC001149160326 799840029 /nfs/dbraw/zinc/84/00/29/799840029.db2.gz SHYGFTDFIDMKKK-CYBMUJFWSA-N 0 1 278.356 0.276 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cn2cccn2)C1 ZINC001149160326 799840036 /nfs/dbraw/zinc/84/00/36/799840036.db2.gz SHYGFTDFIDMKKK-CYBMUJFWSA-N 0 1 278.356 0.276 20 30 CCEDMN C=CCN1C(=O)CCC12CCN(CC(=O)N(C)C)CC2 ZINC001203009026 799888022 /nfs/dbraw/zinc/88/80/22/799888022.db2.gz QAERRZQHENLSJQ-UHFFFAOYSA-N 0 1 279.384 0.718 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H](C)SC)C1 ZINC001149237846 800193647 /nfs/dbraw/zinc/19/36/47/800193647.db2.gz UNMLITPHSRBZIX-CHWSQXEVSA-N 0 1 284.425 0.968 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H](CC)OC)C1 ZINC001149349221 800250585 /nfs/dbraw/zinc/25/05/85/800250585.db2.gz MFNJADHWDWDHPN-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN C=C(C)C[N@H+]1CCCO[C@@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001149652965 800288049 /nfs/dbraw/zinc/28/80/49/800288049.db2.gz HOOOGKCONSUVNO-UONOGXRCSA-N 0 1 284.400 0.787 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H](F)CC)C1 ZINC001150090240 800315294 /nfs/dbraw/zinc/31/52/94/800315294.db2.gz DAYCJFPPUXMTBY-STQMWFEESA-N 0 1 270.348 0.965 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C2(CF)CC2)C1 ZINC001150306914 800329859 /nfs/dbraw/zinc/32/98/59/800329859.db2.gz LIMCDLYPHRUREI-CYBMUJFWSA-N 0 1 282.359 0.967 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001150497600 800343347 /nfs/dbraw/zinc/34/33/47/800343347.db2.gz KDNSPGWSGUTSRQ-HIFRSBDPSA-N 0 1 282.384 0.806 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)[C@H](C)C#N)CC1 ZINC001151026961 800387734 /nfs/dbraw/zinc/38/77/34/800387734.db2.gz LPOINYOQDAEPPP-CYBMUJFWSA-N 0 1 277.368 0.767 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1conc1C ZINC001153081158 800593629 /nfs/dbraw/zinc/59/36/29/800593629.db2.gz MLUVELGXAWFQEX-UHFFFAOYSA-N 0 1 267.329 0.782 20 30 CCEDMN N#C[C@H](NC(=O)c1cnc2[nH]ccc(Cl)c1-2)C(N)=O ZINC001153110242 800597172 /nfs/dbraw/zinc/59/71/72/800597172.db2.gz PPKVRIMWBGPJFS-ZETCQYMHSA-N 0 1 277.671 0.324 20 30 CCEDMN Cc1nc(C#N)cnc1NCc1noc2c1CNCC2 ZINC001155497437 800991723 /nfs/dbraw/zinc/99/17/23/800991723.db2.gz HUITYYFRGFCMJR-UHFFFAOYSA-N 0 1 270.296 0.903 20 30 CCEDMN C=CCCC(=O)NCCCNCc1ncnn1CC ZINC001155579860 801004636 /nfs/dbraw/zinc/00/46/36/801004636.db2.gz NMOPIVXBEBJIOK-UHFFFAOYSA-N 0 1 265.361 0.860 20 30 CCEDMN C=CCCC(=O)NCCCN[C@@H](C)c1nncn1C ZINC001155587004 801016875 /nfs/dbraw/zinc/01/68/75/801016875.db2.gz TWEGDMHRRBAGDB-NSHDSACASA-N 0 1 265.361 0.938 20 30 CCEDMN COc1nc(N[C@H]2CN3CCC2CC3)ncc1C#N ZINC001155662471 801034714 /nfs/dbraw/zinc/03/47/14/801034714.db2.gz FQOSJOUGZBYWHI-NSHDSACASA-N 0 1 259.313 0.863 20 30 CCEDMN Cc1cc(CNCCCNC(=O)[C@H](C)C#N)no1 ZINC001155829746 801060096 /nfs/dbraw/zinc/06/00/96/801060096.db2.gz YZDWXHQYMROXKO-SECBINFHSA-N 0 1 250.302 0.739 20 30 CCEDMN CC(C)c1nnc(CNCCCNC(=O)[C@@H](C)C#N)[nH]1 ZINC001155835933 801061689 /nfs/dbraw/zinc/06/16/89/801061689.db2.gz IARXIIQJDMSWQM-JTQLQIEISA-N 0 1 278.360 0.684 20 30 CCEDMN COc1cc(CNCCCNC(=O)[C@@H](C)C#N)on1 ZINC001155848725 801066696 /nfs/dbraw/zinc/06/66/96/801066696.db2.gz OMWNXIONSZXADM-VIFPVBQESA-N 0 1 266.301 0.439 20 30 CCEDMN CNS(=O)(=O)c1ccc(N=C(C)CC(C)=O)nc1 ZINC001155891340 801072193 /nfs/dbraw/zinc/07/21/93/801072193.db2.gz XNMUCXOJGVPHEF-VURMDHGXSA-N 0 1 269.326 0.894 20 30 CCEDMN CC(=O)CC(C)=Nc1ncccc1S(N)(=O)=O ZINC001155887122 801072531 /nfs/dbraw/zinc/07/25/31/801072531.db2.gz GHAUIWYQEFCSLD-SREVYHEPSA-N 0 1 255.299 0.634 20 30 CCEDMN C=CCOCCCC(=O)NCCCNCc1cnon1 ZINC001156160554 801119561 /nfs/dbraw/zinc/11/95/61/801119561.db2.gz UOLGZDVHVBUZKF-UHFFFAOYSA-N 0 1 282.344 0.648 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ncc(F)cc1C#N ZINC001156825122 801242633 /nfs/dbraw/zinc/24/26/33/801242633.db2.gz JJENMQNXOKJVBC-UHFFFAOYSA-N 0 1 258.260 0.525 20 30 CCEDMN Cc1cc(C#N)cc(-n2c(C)ncc(CN)c2=N)n1 ZINC001156831041 801245389 /nfs/dbraw/zinc/24/53/89/801245389.db2.gz MLMOZXUQLDNLAK-UHFFFAOYSA-N 0 1 254.297 0.694 20 30 CCEDMN CC(C)n1nnnc1CNCCCNC(=O)C#CC1CC1 ZINC001157699933 801458180 /nfs/dbraw/zinc/45/81/80/801458180.db2.gz XWUXJBGIIMIOAO-UHFFFAOYSA-N 0 1 290.371 0.263 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN[C@H](C)c1ncccn1 ZINC001157874290 801507905 /nfs/dbraw/zinc/50/79/05/801507905.db2.gz MSSUMKFLPSWHCU-CHWSQXEVSA-N 0 1 290.367 0.672 20 30 CCEDMN N#C[C@@H](CO)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC001157964268 801542255 /nfs/dbraw/zinc/54/22/55/801542255.db2.gz VMOZZBXHWDYCBI-QMMMGPOBSA-N 0 1 257.253 0.086 20 30 CCEDMN C=CCNC(=O)c1cccnc1N1CCN2CC[C@@H]2C1 ZINC001158430479 801647301 /nfs/dbraw/zinc/64/73/01/801647301.db2.gz FMRWSDYPMYTZOD-GFCCVEGCSA-N 0 1 272.352 0.892 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H]1CCC(=O)N1 ZINC001158588477 801670206 /nfs/dbraw/zinc/67/02/06/801670206.db2.gz YDWWEGKVEHMCOQ-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN N#Cc1cc2nc(NC[C@H]3COCCN3)ccc2[nH]1 ZINC001159044731 801749656 /nfs/dbraw/zinc/74/96/56/801749656.db2.gz ZXEPVMCEGOUOEX-JTQLQIEISA-N 0 1 257.297 0.835 20 30 CCEDMN Cc1cnc(NC[C@@H]2COCCN2)c(/C=N/O)c1 ZINC001159044572 801750238 /nfs/dbraw/zinc/75/02/38/801750238.db2.gz YHMURTFFYAYYRS-OHUUDMDPSA-N 0 1 250.302 0.598 20 30 CCEDMN Cc1nc(Cl)nc(NCC2CN(C)C2)c1C#N ZINC001159217613 801776212 /nfs/dbraw/zinc/77/62/12/801776212.db2.gz DTZMXGFXFOXXIY-UHFFFAOYSA-N 0 1 251.721 0.706 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1-n1[n-]c(=O)c(CC[NH3+])c1C ZINC001159301810 801789256 /nfs/dbraw/zinc/78/92/56/801789256.db2.gz AKTAFNAQJVGSAJ-UHFFFAOYSA-N 0 1 297.322 0.483 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@@H](N)Cc2ccsc2)CC1 ZINC001160482485 801949673 /nfs/dbraw/zinc/94/96/73/801949673.db2.gz IPBZGKQHGBGJTK-ZDUSSCGKSA-N 0 1 292.408 0.676 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC2(C[C@H](F)CO2)CC1 ZINC001160788298 802020410 /nfs/dbraw/zinc/02/04/10/802020410.db2.gz QHZQKNPIUUWGKS-JQWIXIFHSA-N 0 1 254.305 0.457 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)[C@@H](N)Cc1ccsc1 ZINC001160933133 802048787 /nfs/dbraw/zinc/04/87/87/802048787.db2.gz HULJGRGNLAWONL-MNOVXSKESA-N 0 1 265.338 0.369 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC001160961200 802053644 /nfs/dbraw/zinc/05/36/44/802053644.db2.gz MRXWAHVMULGNDA-AWEZNQCLSA-N 0 1 289.379 0.236 20 30 CCEDMN N#C[C@@H]1C[C@H](F)CN1C(=O)[C@H](N)Cc1cccc(O)c1 ZINC001161139536 802099297 /nfs/dbraw/zinc/09/92/97/802099297.db2.gz WXNSJRQIOJCGMJ-GMXVVIOVSA-N 0 1 277.299 0.725 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(c2ccnc(OC)c2)CC1 ZINC001161305964 802122168 /nfs/dbraw/zinc/12/21/68/802122168.db2.gz DSCUROIIXSDOBS-HNNXBMFYSA-N 0 1 288.351 0.089 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C(C)(C)NC(C)=O)CC1 ZINC001161477541 802161241 /nfs/dbraw/zinc/16/12/41/802161241.db2.gz FWMRRHIZDFPLNB-UHFFFAOYSA-N 0 1 291.395 0.673 20 30 CCEDMN N#Cc1ncc(-n2c3ccncc3nc2CN)nc1C#N ZINC001162219872 802291366 /nfs/dbraw/zinc/29/13/66/802291366.db2.gz JUCVRCAWQPYUOL-UHFFFAOYSA-N 0 1 276.263 0.413 20 30 CCEDMN CC[C@H](CC#N)N1CC[C@H]2OCC[C@@]2(C(=O)NC)C1 ZINC001255168698 808325703 /nfs/dbraw/zinc/32/57/03/808325703.db2.gz VSSZPHXNQZNPMB-YRGRVCCFSA-N 0 1 265.357 0.906 20 30 CCEDMN N#Cc1cnc(CNS(=O)(=O)C(F)F)s1 ZINC001259962675 808825571 /nfs/dbraw/zinc/82/55/71/808825571.db2.gz OYPREKXUOYEVLY-UHFFFAOYSA-N 0 1 253.255 0.657 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCNCc1cnn(C)n1 ZINC001163948918 802640038 /nfs/dbraw/zinc/64/00/38/802640038.db2.gz XUSDHTKQPNROPJ-UHFFFAOYSA-N 0 1 265.361 0.623 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)Cc2cnn(C)c2)C1 ZINC001265310030 809753017 /nfs/dbraw/zinc/75/30/17/809753017.db2.gz GGSOGAOJUJZASN-ZFWWWQNUSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCCCC(=O)NCCCNCc1nnc(C)[nH]1 ZINC001164244615 802696513 /nfs/dbraw/zinc/69/65/13/802696513.db2.gz JNSSADDQHCZRNH-UHFFFAOYSA-N 0 1 277.372 0.903 20 30 CCEDMN COCC#CCN1CC[C@](C)(NC(=O)C2CC2)C1 ZINC001278349647 807007488 /nfs/dbraw/zinc/00/74/88/807007488.db2.gz KJCCJJILKAQFRF-AWEZNQCLSA-N 0 1 250.342 0.627 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1cc(C#N)cc(Cl)n1 ZINC001165436091 802839594 /nfs/dbraw/zinc/83/95/94/802839594.db2.gz HBAGMXOHWZTWJQ-VIFPVBQESA-N 0 1 280.715 0.558 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1nccc(Cl)c1C#N ZINC001165436941 802841513 /nfs/dbraw/zinc/84/15/13/802841513.db2.gz MWQHFNIZFMERNF-JTQLQIEISA-N 0 1 280.715 0.558 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCCN[C@H](C)c1nnnn1C ZINC001165930540 802875753 /nfs/dbraw/zinc/87/57/53/802875753.db2.gz ZHTPFPNSTGGPRG-RISCZKNCSA-N 0 1 294.403 0.969 20 30 CCEDMN C=C(C)CC[N@H+](C)CCN(C)C(=O)Cn1c(=O)[n-][nH]c1=O ZINC001268255959 812421692 /nfs/dbraw/zinc/42/16/92/812421692.db2.gz POGKYAWXBRYNSM-UHFFFAOYSA-N 0 1 297.359 0.046 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCc1nc(C)no1 ZINC001272080215 814935917 /nfs/dbraw/zinc/93/59/17/814935917.db2.gz KAMRMBDRTZZKQV-UHFFFAOYSA-N 0 1 264.329 0.334 20 30 CCEDMN N#Cc1ccc(N2CCCN(Cc3c[nH]nn3)CC2)nc1 ZINC001203206172 803022395 /nfs/dbraw/zinc/02/23/95/803022395.db2.gz OZARLYWUBNLCRN-UHFFFAOYSA-N 0 1 283.339 0.784 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)OCC)C1 ZINC001206377887 803235229 /nfs/dbraw/zinc/23/52/29/803235229.db2.gz DNKIZKRILRDWPU-JHJVBQTASA-N 0 1 252.358 0.871 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC)OC)C1 ZINC001206515373 803256392 /nfs/dbraw/zinc/25/63/92/803256392.db2.gz CXGYBKOQEBLWGG-UPJWGTAASA-N 0 1 252.358 0.871 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@@H]2CCC(=O)NC2=O)C[C@H]1C ZINC001206536858 803263333 /nfs/dbraw/zinc/26/33/33/803263333.db2.gz BHOZXYYGUDHHIZ-IJLUTSLNSA-N 0 1 293.367 0.194 20 30 CCEDMN C=CCN1CC[C@]2(CCN(C(=O)C3(N(C)C)CC3)C2)C1=O ZINC001270729127 813908531 /nfs/dbraw/zinc/90/85/31/813908531.db2.gz SNNXAVAZJGUECS-OAHLLOKOSA-N 0 1 291.395 0.718 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)C1(N(C)C)CC1 ZINC001270728287 813908563 /nfs/dbraw/zinc/90/85/63/813908563.db2.gz MWNDIAQOZJHYOF-CHWSQXEVSA-N 0 1 291.395 0.859 20 30 CCEDMN CCOC(=O)C1CO[C@H](C)C1=Nc1nnccc1C#N ZINC001168158317 814763205 /nfs/dbraw/zinc/76/32/05/814763205.db2.gz MLHSQERVFQEAFD-MRVPVSSYSA-N 0 1 274.280 0.996 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COCc2nccn2C)C1 ZINC001207659592 803431492 /nfs/dbraw/zinc/43/14/92/803431492.db2.gz DWLTWHOPVIMWLN-CHWSQXEVSA-N 0 1 290.367 0.006 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@@H](NCc2cnns2)C1 ZINC001207680763 803435264 /nfs/dbraw/zinc/43/52/64/803435264.db2.gz VEKKPEQKLJDHKG-LLVKDONJSA-N 0 1 296.396 0.821 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](COC)OC)C1 ZINC001208544080 803520880 /nfs/dbraw/zinc/52/08/80/803520880.db2.gz DIILNTISIDNDHH-JHJVBQTASA-N 0 1 268.357 0.108 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C2(C(N)=O)CC2)C(C)(C)C1 ZINC001276606893 803563070 /nfs/dbraw/zinc/56/30/70/803563070.db2.gz CPHUJDUXRQAVIA-SNVBAGLBSA-N 0 1 265.357 0.265 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccco2)C1 ZINC001209649685 803621104 /nfs/dbraw/zinc/62/11/04/803621104.db2.gz WQPDYXDLSUGLRF-UKRRQHHQSA-N 0 1 290.363 0.908 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)COCc2ccncc2)C1 ZINC001209759309 803628081 /nfs/dbraw/zinc/62/80/81/803628081.db2.gz KEQTZZQOFJTNLQ-UKRRQHHQSA-N 0 1 287.363 0.668 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COCc2ccncc2)C1 ZINC001209759309 803628082 /nfs/dbraw/zinc/62/80/82/803628082.db2.gz KEQTZZQOFJTNLQ-UKRRQHHQSA-N 0 1 287.363 0.668 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCCC(=O)NCC)C1 ZINC001210395984 803649205 /nfs/dbraw/zinc/64/92/05/803649205.db2.gz VVSGGGXUUIDHCZ-ZIAGYGMSSA-N 0 1 293.411 0.753 20 30 CCEDMN CN1CCN[C@H](c2nc(-c3ccc(C#N)cn3)no2)C1 ZINC001211457621 803683167 /nfs/dbraw/zinc/68/31/67/803683167.db2.gz SGLROCQEKTUJRD-NSHDSACASA-N 0 1 270.296 0.579 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1OC ZINC001213357348 803691188 /nfs/dbraw/zinc/69/11/88/803691188.db2.gz QJLODSAXTZZLIW-JHJVBQTASA-N 0 1 270.373 0.539 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CNC(=O)OC)C[C@H]1C ZINC001214226997 803727832 /nfs/dbraw/zinc/72/78/32/803727832.db2.gz JGSWTGCOLOQDKU-PSASIEDQSA-N 0 1 289.763 0.531 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](C)OC)[C@H](OC)C1 ZINC001211999159 814971702 /nfs/dbraw/zinc/97/17/02/814971702.db2.gz AGBLYRCHGNTNRA-JHJVBQTASA-N 0 1 268.357 0.250 20 30 CCEDMN C=CC[N@H+](C)CCN(C)C(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001272093471 814972565 /nfs/dbraw/zinc/97/25/65/814972565.db2.gz RBFUHKRFIZQGEY-ZDUSSCGKSA-N 0 1 281.400 0.962 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)o1 ZINC000405274293 803872104 /nfs/dbraw/zinc/87/21/04/803872104.db2.gz WHAXECRLYNPVSU-VHSXEESVSA-N 0 1 261.281 0.042 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)[C@H](C)COC)[C@H]2C1 ZINC001217517403 803892449 /nfs/dbraw/zinc/89/24/49/803892449.db2.gz PHWXQQUNCALDQQ-HZSPNIEDSA-N 0 1 282.384 0.757 20 30 CCEDMN C#CCCCC(=O)N1CCO[C@@H]2CN(C[C@H](C)OC)C[C@@H]21 ZINC001217758354 803911771 /nfs/dbraw/zinc/91/17/71/803911771.db2.gz KJYNLOFASKMWEN-SOUVJXGZSA-N 0 1 294.395 0.736 20 30 CCEDMN CC(C)C#CC(=O)N1CCO[C@@H]2CN(C(C)C)C[C@@H]21 ZINC001217840638 803920283 /nfs/dbraw/zinc/92/02/83/803920283.db2.gz ZRCRWKFYIFHCBO-UONOGXRCSA-N 0 1 264.369 0.966 20 30 CCEDMN CC#CCCCC(=O)N1CCO[C@@H]2CN(C[C@@H](C)O)C[C@@H]21 ZINC001218503782 803971187 /nfs/dbraw/zinc/97/11/87/803971187.db2.gz NUXVCZJECKETNY-QLFBSQMISA-N 0 1 294.395 0.472 20 30 CCEDMN C#Cc1cc(NC(=O)[C@@H](N)Cc2cccc(O)c2)ccn1 ZINC001218525406 803986108 /nfs/dbraw/zinc/98/61/08/803986108.db2.gz VRZODJYJWUKNTH-HNNXBMFYSA-N 0 1 281.315 0.699 20 30 CCEDMN N#Cc1ccnnc1NC(=O)[C@H](N)c1ccccc1 ZINC001218702822 804090612 /nfs/dbraw/zinc/09/06/12/804090612.db2.gz XURBHFVEKOGKPO-LLVKDONJSA-N 0 1 253.265 0.987 20 30 CCEDMN CN(C)c1cc(NC(=O)[C@@H](N)Cc2ccccc2C#N)[nH]n1 ZINC001218742481 804103269 /nfs/dbraw/zinc/10/32/69/804103269.db2.gz FNOIDFCBAIIPDT-LBPRGKRZSA-N 0 1 298.350 0.856 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(C)(C)C)[C@@H](O)C1 ZINC001219197975 804232723 /nfs/dbraw/zinc/23/27/23/804232723.db2.gz GBCBESNUFAKLGF-NEPJUHHUSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccsc2C)[C@@H](O)C1 ZINC001083449683 815003632 /nfs/dbraw/zinc/00/36/32/815003632.db2.gz IFWPPTQRUGRSIC-OLZOCXBDSA-N 0 1 278.377 0.855 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C(C)=C/CC)[C@@H](O)C1 ZINC001219294533 804261175 /nfs/dbraw/zinc/26/11/75/804261175.db2.gz HVZRQOKYPBPWMR-OXJBHRBVSA-N 0 1 250.342 0.527 20 30 CCEDMN Cc1cccc(CN2C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](O)C2)c1 ZINC001219365638 804288801 /nfs/dbraw/zinc/28/88/01/804288801.db2.gz CAQMZPHEXLNUDG-CFVMTHIKSA-N 0 1 287.363 0.816 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(CC(=C)C)C[C@@H]2O)CCC1 ZINC001219785669 804423832 /nfs/dbraw/zinc/42/38/32/804423832.db2.gz VTHDMWSSGWYPIZ-KGLIPLIRSA-N 0 1 276.380 0.917 20 30 CCEDMN C=CCCCCNC(=O)Nc1nn[nH]c1C(=O)NC ZINC001219983408 804464939 /nfs/dbraw/zinc/46/49/39/804464939.db2.gz OCZRGIRCJUIQFI-UHFFFAOYSA-N 0 1 266.305 0.642 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N[C@@H]1CN(CC(=C)C)C[C@@H]1O ZINC001220075969 804495830 /nfs/dbraw/zinc/49/58/30/804495830.db2.gz MXGDILLLGPEMID-YCPHGPKFSA-N 0 1 296.411 0.953 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@@H]1O ZINC001220133562 804507484 /nfs/dbraw/zinc/50/74/84/804507484.db2.gz FKEKRQSUGAUTDL-MJBXVCDLSA-N 0 1 298.427 0.911 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@@H]1O ZINC001220146663 804514616 /nfs/dbraw/zinc/51/46/16/804514616.db2.gz MAVCAXYRZBLLLY-ZNMIVQPWSA-N 0 1 296.411 0.766 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001220184592 804530873 /nfs/dbraw/zinc/53/08/73/804530873.db2.gz LPCCNIFNGCKXEB-OLZOCXBDSA-N 0 1 270.348 0.701 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN([C@H](C)COC)C[C@@H]2O)CCC1 ZINC001220295648 804565753 /nfs/dbraw/zinc/56/57/53/804565753.db2.gz VRHJMSUZNRZAKX-MCIONIFRSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2(C(C)C)CC2)[C@@H](O)C1 ZINC001220326965 804574387 /nfs/dbraw/zinc/57/43/87/804574387.db2.gz PTKFGTBHQYMVQL-KGLIPLIRSA-N 0 1 296.411 0.787 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCCOC)C[C@@H]1O ZINC001220434559 804603921 /nfs/dbraw/zinc/60/39/21/804603921.db2.gz PSJDOSXIEQWNHR-OLZOCXBDSA-N 0 1 284.400 0.787 20 30 CCEDMN C[C@H]1CCN[C@@H](C(=O)N=C(N)c2ccc(C(N)=O)cc2)C1 ZINC001220643727 804641918 /nfs/dbraw/zinc/64/19/18/804641918.db2.gz QIZQJWKGWQGFKI-JOYOIKCWSA-N 0 1 288.351 0.406 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](C)n2cccn2)[C@@H](O)C1 ZINC001220715631 804654821 /nfs/dbraw/zinc/65/48/21/804654821.db2.gz FRILSFRQBDPDIH-MCIONIFRSA-N 0 1 290.367 0.019 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@@H]1CN(c2ccccc2)CCO1 ZINC001220736975 804659265 /nfs/dbraw/zinc/65/92/65/804659265.db2.gz YLGFBRJFXOTCDO-ZBFHGGJFSA-N 0 1 287.363 0.359 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2ccc(C)o2)[C@@H](O)C1 ZINC001221069908 804727000 /nfs/dbraw/zinc/72/70/00/804727000.db2.gz WGOARLNOHPVOTA-CABCVRRESA-N 0 1 290.363 0.705 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCOCCOC)[C@@H]2C1 ZINC001222083977 804862861 /nfs/dbraw/zinc/86/28/61/804862861.db2.gz RKKXBDGXLBFKMM-HUUCEWRRSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(CNC(=O)C2CC2)CC1 ZINC001222186127 804878969 /nfs/dbraw/zinc/87/89/69/804878969.db2.gz XJSQEEFEIWWUBO-LBPRGKRZSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)CSC)CC1 ZINC001222325603 804890824 /nfs/dbraw/zinc/89/08/24/804890824.db2.gz VMGWNVAMNDLVHY-UHFFFAOYSA-N 0 1 299.440 0.480 20 30 CCEDMN C=C(C)CN1CCC(CNC(=O)CS(C)(=O)=O)CC1 ZINC001222525849 804911873 /nfs/dbraw/zinc/91/18/73/804911873.db2.gz WERNJJKKCRMALG-UHFFFAOYSA-N 0 1 288.413 0.435 20 30 CCEDMN Cc1nc(CN2CCC(CNC(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001222659432 804923438 /nfs/dbraw/zinc/92/34/38/804923438.db2.gz XPGNAXXCVSINDM-SNVBAGLBSA-N 0 1 290.371 0.601 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1CCN1CCCF ZINC001276854062 804942835 /nfs/dbraw/zinc/94/28/35/804942835.db2.gz RDSADCNBEWXWQT-NWDGAFQWSA-N 0 1 256.321 0.575 20 30 CCEDMN CCOC(=O)c1noc(COC2=C(C)O[C@H](C)C2=O)n1 ZINC001222959921 804941558 /nfs/dbraw/zinc/94/15/58/804941558.db2.gz HGBUYOAHCIBAPT-ZCFIWIBFSA-N 0 1 282.252 0.982 20 30 CCEDMN O=C(C#CC1CC1)NCC1CCN([C@@H]2CCNC2=O)CC1 ZINC001223130318 804955644 /nfs/dbraw/zinc/95/56/44/804955644.db2.gz LBCQYIZODFBWBU-CQSZACIVSA-N 0 1 289.379 0.117 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H]2CN(C(=O)C(C)C)CC[C@H]21 ZINC001272192610 815046135 /nfs/dbraw/zinc/04/61/35/815046135.db2.gz DVYVOKNMICFLOE-UONOGXRCSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCCCN1CC[C@@H]2C[C@@]21C(=O)NCC(=O)N(C)C ZINC001276978957 805047282 /nfs/dbraw/zinc/04/72/82/805047282.db2.gz UBXYYTAQWYWUEX-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN COCC#CCN1CC[C@@](C)(NC(=O)[C@@H](C)COC)C1 ZINC001278368946 807041893 /nfs/dbraw/zinc/04/18/93/807041893.db2.gz JLQXQWYKQNUPQN-DZGCQCFKSA-N 0 1 282.384 0.499 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NCC1CCN(CC#N)CC1 ZINC001224795086 805077336 /nfs/dbraw/zinc/07/73/36/805077336.db2.gz DETZZWLHSFWPGO-LBPRGKRZSA-N 0 1 289.383 0.940 20 30 CCEDMN COCCOCCOCCOC1=C(C)O[C@H](C)C1=O ZINC001224929897 805092968 /nfs/dbraw/zinc/09/29/68/805092968.db2.gz HDQZRAMVGPYILJ-SNVBAGLBSA-N 0 1 274.313 0.902 20 30 CCEDMN C=CCn1cc(CN2C[C@H]3C[C@@H](CC(N)=O)O[C@H]3C2)cn1 ZINC001277018765 805106296 /nfs/dbraw/zinc/10/62/96/805106296.db2.gz PHNOKZIIVCCMAV-RDBSUJKOSA-N 0 1 290.367 0.534 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nc(C)c(C)[nH]c2=O)[C@H]1C ZINC001088654357 815050454 /nfs/dbraw/zinc/05/04/54/815050454.db2.gz IVDBHRSFLDAYNS-NEPJUHHUSA-N 0 1 288.351 0.213 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCC[C@H]1NCc1nncn1C ZINC001225395918 805126375 /nfs/dbraw/zinc/12/63/75/805126375.db2.gz UXRGOVZGFBAGGP-QJPTWQEYSA-N 0 1 290.371 0.492 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H](C)n2cncn2)C1 ZINC001278380923 807053633 /nfs/dbraw/zinc/05/36/33/807053633.db2.gz FAZAFCWASUOBAA-OCCSQVGLSA-N 0 1 275.356 0.443 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cnccc2OC)[C@H]1C ZINC001088658250 815050935 /nfs/dbraw/zinc/05/09/35/815050935.db2.gz PWUXVWZFEMSLHB-OCCSQVGLSA-N 0 1 287.363 0.845 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H]1CCOC(C)(C)C1 ZINC001225845982 805169971 /nfs/dbraw/zinc/16/99/71/805169971.db2.gz MCFLKVOBHIEYQN-LBPRGKRZSA-N 0 1 267.373 0.808 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H](C)SC)CC1 ZINC001226194512 805202888 /nfs/dbraw/zinc/20/28/88/805202888.db2.gz FZIYMDMXUHEHFA-LBPRGKRZSA-N 0 1 284.425 0.968 20 30 CCEDMN CCO[C@H](C)C(=O)NC1CCN(CC#CCOC)CC1 ZINC001226211443 805205312 /nfs/dbraw/zinc/20/53/12/805205312.db2.gz VNUHMVAYXLMONB-CYBMUJFWSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)c2cocc2C)CC1 ZINC001277140287 805251957 /nfs/dbraw/zinc/25/19/57/805251957.db2.gz IEYWIRYGOVBBHB-UHFFFAOYSA-N 0 1 289.335 0.189 20 30 CCEDMN C=CCOCC(=O)NC1CCN([C@@H](C)C(=O)N(C)C)CC1 ZINC001226599454 805253907 /nfs/dbraw/zinc/25/39/07/805253907.db2.gz WTTQGXBCPLLJDP-LBPRGKRZSA-N 0 1 297.399 0.246 20 30 CCEDMN C=C[C@H](Oc1[nH]c(=O)nc2cnncc21)C(=O)OC ZINC001226693260 805268482 /nfs/dbraw/zinc/26/84/82/805268482.db2.gz UIZTZKCEPJNCCM-QMMMGPOBSA-N 0 1 262.225 0.232 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1ncc([N+](=O)[O-])cn1 ZINC001226732640 805274661 /nfs/dbraw/zinc/27/46/61/805274661.db2.gz XONQVSFWFDGGHX-RXMQYKEDSA-N 0 1 251.198 0.983 20 30 CCEDMN Cc1nccnc1CCNC(=O)NCC#CCN(C)C ZINC001229183005 805510657 /nfs/dbraw/zinc/51/06/57/805510657.db2.gz WJJMKVYACAQIMC-UHFFFAOYSA-N 0 1 275.356 0.192 20 30 CCEDMN C#CCN1CCC(NC(=O)C(C)(C)NC(=O)NCC)CC1 ZINC001229452608 805536051 /nfs/dbraw/zinc/53/60/51/805536051.db2.gz BYWYBJDSLKXRBH-UHFFFAOYSA-N 0 1 294.399 0.298 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)[C@H](CC)[NH+](C)C)C1 ZINC001278438906 807198679 /nfs/dbraw/zinc/19/86/79/807198679.db2.gz YKCZDOMQAICROC-GXTWGEPZSA-N 0 1 251.374 0.540 20 30 CCEDMN C[C@@]1(NC(=O)CN2CCCC2)CCN(CC#N)C1 ZINC001278443452 807232315 /nfs/dbraw/zinc/23/23/15/807232315.db2.gz AHJIZJYBAZRGQK-CYBMUJFWSA-N 0 1 250.346 0.186 20 30 CCEDMN N#Cc1ccc(F)c(CNCCNC(=O)c2ncc[nH]2)c1 ZINC001126806916 815120352 /nfs/dbraw/zinc/12/03/52/815120352.db2.gz QKTNUFOJSNSQKS-UHFFFAOYSA-N 0 1 287.298 0.940 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cc(C)nn2C)[C@H]1C ZINC001088743272 815123725 /nfs/dbraw/zinc/12/37/25/815123725.db2.gz NKFAHNZRKMITGM-HIFRSBDPSA-N 0 1 288.395 0.873 20 30 CCEDMN COCCN1CCN(Cc2cnn3ccc(C#N)cc23)CC1 ZINC001249086501 807540872 /nfs/dbraw/zinc/54/08/72/807540872.db2.gz FZQLXOPYKGNROE-UHFFFAOYSA-N 0 1 299.378 0.970 20 30 CCEDMN CNC(=O)CCN(C)Cc1cnn2ccc(C#N)cc12 ZINC001249107498 807542187 /nfs/dbraw/zinc/54/21/87/807542187.db2.gz XWBJOJIVUBJPME-UHFFFAOYSA-N 0 1 271.324 0.774 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@H](NC(=O)c2ncn[n-]2)[C@H]1C ZINC001278521764 807562942 /nfs/dbraw/zinc/56/29/42/807562942.db2.gz QTJJSOLGXRKDNG-NEPJUHHUSA-N 0 1 291.355 0.037 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H](O)c1ccsc1 ZINC001251708291 807697030 /nfs/dbraw/zinc/69/70/30/807697030.db2.gz PUVJOHAKOOJXPZ-GFCCVEGCSA-N 0 1 281.381 0.646 20 30 CCEDMN C#CCOC[C@H](O)CNCc1nc2ccccc2o1 ZINC001251821181 807708849 /nfs/dbraw/zinc/70/88/49/807708849.db2.gz IOOMPFQLVWWETR-LLVKDONJSA-N 0 1 260.293 0.928 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(C)c2ncccc2C1 ZINC001251842323 807717099 /nfs/dbraw/zinc/71/70/99/807717099.db2.gz AYCBDXGFYQSMAG-AWEZNQCLSA-N 0 1 275.352 0.344 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCc2nc[nH]c2[C@@H]1C(C)C ZINC001251854462 807722005 /nfs/dbraw/zinc/72/20/05/807722005.db2.gz DCFKKGSDMGGKNK-WFASDCNBSA-N 0 1 277.368 0.976 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cccnc1OC ZINC001251884636 807733495 /nfs/dbraw/zinc/73/34/95/807733495.db2.gz CZQIELZPTLBDEU-GFCCVEGCSA-N 0 1 250.298 0.191 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cccnc1Cl ZINC001251885296 807733598 /nfs/dbraw/zinc/73/35/98/807733598.db2.gz SCWZMVXPFINSSK-LLVKDONJSA-N 0 1 254.717 0.835 20 30 CCEDMN CN1CCN(c2ccc(NC[C@H](O)CO)cc2C#N)CC1 ZINC001252064718 807787815 /nfs/dbraw/zinc/78/78/15/807787815.db2.gz WHSBVLDHMUZLHA-AWEZNQCLSA-N 0 1 290.367 0.075 20 30 CCEDMN C=CCOC[C@H](O)CNc1cc(=O)[nH]c(N(C)C)n1 ZINC001252455457 807871138 /nfs/dbraw/zinc/87/11/38/807871138.db2.gz ANDVFILRWIVFEM-SECBINFHSA-N 0 1 268.317 0.224 20 30 CCEDMN C=CCOC[C@@H](O)CN1CC[C@@](O)(C(F)(F)F)C1 ZINC001252461341 807872735 /nfs/dbraw/zinc/87/27/35/807872735.db2.gz PJRYVSARTDZWDJ-UWVGGRQHSA-N 0 1 269.263 0.549 20 30 CCEDMN C=CCOC[C@@H](O)CN1C[C@@H]2OCC(F)(F)[C@@H]2C1 ZINC001252461851 807874096 /nfs/dbraw/zinc/87/40/96/807874096.db2.gz VYXNDLJOOZSZHG-AXFHLTTASA-N 0 1 263.284 0.516 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cc(C(=O)OCC)ccn1 ZINC001252486953 807889331 /nfs/dbraw/zinc/88/93/31/807889331.db2.gz KEVIHEXPVCIHJQ-CQSZACIVSA-N 0 1 294.351 0.911 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@H](CC(=O)OC)c1ccccn1 ZINC001252489968 807891354 /nfs/dbraw/zinc/89/13/54/807891354.db2.gz BLLBHWBYIHEETE-GXTWGEPZSA-N 0 1 294.351 0.839 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2ccns2)[C@@H](O)C1 ZINC001083500083 815170411 /nfs/dbraw/zinc/17/04/11/815170411.db2.gz DITLECQDPZGSBD-MNOVXSKESA-N 0 1 281.381 0.884 20 30 CCEDMN C=C[C@@H](O)CN1CCn2cc(CN3CCCC3)nc2C1 ZINC001253577928 808085460 /nfs/dbraw/zinc/08/54/60/808085460.db2.gz RJBQJWGEWLFGKS-CQSZACIVSA-N 0 1 276.384 0.841 20 30 CCEDMN C=C[C@H](O)CN1CCN(c2ncnc3[nH]ccc32)CC1 ZINC001253586141 808092258 /nfs/dbraw/zinc/09/22/58/808092258.db2.gz HFGBPZYPIMNJAX-NSHDSACASA-N 0 1 273.340 0.627 20 30 CCEDMN C=C[C@H](O)CN1C[C@@H](C(=O)OCC)Cn2nccc2[C@@H]1C ZINC001253588227 808092596 /nfs/dbraw/zinc/09/25/96/808092596.db2.gz ULCBZICNAOKUKC-XQQFMLRXSA-N 0 1 293.367 0.986 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)[C@H]1C ZINC001088808503 815177102 /nfs/dbraw/zinc/17/71/02/815177102.db2.gz JPZXOQUEFPJVNZ-TYNCELHUSA-N 0 1 279.384 0.668 20 30 CCEDMN O=C(C#CC1CC1)NC[C@@H]1CN(CCO)CCC1(F)F ZINC001278740404 808138570 /nfs/dbraw/zinc/13/85/70/808138570.db2.gz FIGHSSIWZALMSY-GFCCVEGCSA-N 0 1 286.322 0.466 20 30 CCEDMN Cc1nocc1CNC[C@@H](O)CN(C)C(=O)C#CC1CC1 ZINC001278755014 808167917 /nfs/dbraw/zinc/16/79/17/808167917.db2.gz CSRJINVYOWSIGZ-CQSZACIVSA-N 0 1 291.351 0.305 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@H](CC)OCC)C1 ZINC001278758980 808170174 /nfs/dbraw/zinc/17/01/74/808170174.db2.gz BTFLNIGWEQXRKU-ZFWWWQNUSA-N 0 1 282.384 0.378 20 30 CCEDMN O=C(C#CC1CC1)NC[C@]1(O)CCN(Cc2cccnc2)C1 ZINC001278776746 808207297 /nfs/dbraw/zinc/20/72/97/808207297.db2.gz MYQNOJRCODVCBK-QGZVFWFLSA-N 0 1 299.374 0.548 20 30 CCEDMN CC(=O)N1CCC(N2CCN(CCC#N)CC2)CC1 ZINC001254427435 808240740 /nfs/dbraw/zinc/24/07/40/808240740.db2.gz RRFYMSUCXVMFAF-UHFFFAOYSA-N 0 1 264.373 0.529 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2c[nH]nc2CC)C1 ZINC001278790026 808244968 /nfs/dbraw/zinc/24/49/68/808244968.db2.gz DXJCRUQDTILSBK-HNNXBMFYSA-N 0 1 290.367 0.162 20 30 CCEDMN CCOC(=O)[C@H](CS)N[C@@H]1CC2CCN(CC2)C1 ZINC001254832541 808281754 /nfs/dbraw/zinc/28/17/54/808281754.db2.gz HBICUDMILGWCDZ-NEPJUHHUSA-N 0 1 272.414 0.922 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C(C)(C)C(F)(F)F)C1 ZINC001278822823 808295154 /nfs/dbraw/zinc/29/51/54/808295154.db2.gz VCWWGKBKSIOUPP-LBPRGKRZSA-N 0 1 292.301 0.761 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC1(O)CN(CC2CCCC2)C1 ZINC001278863321 808351767 /nfs/dbraw/zinc/35/17/67/808351767.db2.gz KSPBIJAXULTMRV-CYBMUJFWSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@]2(F)CCOC2)[C@H]1C ZINC001088816424 815199282 /nfs/dbraw/zinc/19/92/82/815199282.db2.gz BMEGTRSUZJYWBK-NTZNESFSSA-N 0 1 256.321 0.880 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H]1CC12CCC2 ZINC001278878768 808420357 /nfs/dbraw/zinc/42/03/57/808420357.db2.gz UCJCWDUXEGGTAT-STQMWFEESA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCN(C)CCN(C(=O)CN(C)C(=O)C1CC1)C(C)C ZINC001278907749 808465499 /nfs/dbraw/zinc/46/54/99/808465499.db2.gz ILIXUUDEHHIJBS-UHFFFAOYSA-N 0 1 293.411 0.657 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@@H](OC)[C@H]1CCOC1)C(C)C ZINC001278914511 808472725 /nfs/dbraw/zinc/47/27/25/808472725.db2.gz GPSZJWSJNLLYFZ-GJZGRUSLSA-N 0 1 296.411 0.840 20 30 CCEDMN COCC1=CCN(C(=O)NCC#CCN(C)C)CC1 ZINC001256584986 808537276 /nfs/dbraw/zinc/53/72/76/808537276.db2.gz MNRGXMYVYWGCFV-UHFFFAOYSA-N 0 1 265.357 0.540 20 30 CCEDMN CN(C)CC#CCNC(=O)N(CCCCO)C1CC1 ZINC001256585921 808538103 /nfs/dbraw/zinc/53/81/03/808538103.db2.gz YJAMFUDQXVCEJO-UHFFFAOYSA-N 0 1 267.373 0.498 20 30 CCEDMN C=CCS(=O)(=O)N1CCN2C[C@H](OCC)C[C@H]2C1 ZINC001259926621 808806308 /nfs/dbraw/zinc/80/63/08/808806308.db2.gz ZIUNIDFCTJJPBX-NWDGAFQWSA-N 0 1 274.386 0.297 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCc4nn[nH]c43)[C@@H]2C1 ZINC001076186491 815256903 /nfs/dbraw/zinc/25/69/03/815256903.db2.gz HFTUPRNHBGJHCH-SGMGOOAPSA-N 0 1 299.378 0.391 20 30 CCEDMN C=C(Cl)Cn1nnnc1N(C)Cc1nnc[nH]1 ZINC001262410470 809304224 /nfs/dbraw/zinc/30/42/24/809304224.db2.gz HRECYGKOMPNNJC-UHFFFAOYSA-N 0 1 254.685 0.180 20 30 CCEDMN C#C[C@H](NC(=O)c1cnncc1O)C1CCOCC1 ZINC001262632610 809380049 /nfs/dbraw/zinc/38/00/49/809380049.db2.gz OKNSYVSHTJLWOS-NSHDSACASA-N 0 1 261.281 0.340 20 30 CCEDMN C#CCNCC(=O)N[C@@]1(C(=O)OC)C[C@@H](OCC)C1(C)C ZINC001263126020 809453273 /nfs/dbraw/zinc/45/32/73/809453273.db2.gz ZCIFWVQKYJVXEC-IAQYHMDHSA-N 0 1 296.367 0.072 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CCCC=C)C(=O)OC ZINC001263197298 809477761 /nfs/dbraw/zinc/47/77/61/809477761.db2.gz RJSBWNXQLJZQRB-NSHDSACASA-N 0 1 252.314 0.223 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001076415031 815293012 /nfs/dbraw/zinc/29/30/12/815293012.db2.gz VKSSIXXDTBONGM-JTQLQIEISA-N 0 1 277.328 0.089 20 30 CCEDMN C#CCNCC(=O)N(C)CCOCc1ccccc1 ZINC000384140457 809585485 /nfs/dbraw/zinc/58/54/85/809585485.db2.gz YSXIDJLJHLSJQR-UHFFFAOYSA-N 0 1 260.337 0.884 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)CNC(C)=O)[C@H]1C ZINC001264163785 809634574 /nfs/dbraw/zinc/63/45/74/809634574.db2.gz ZMQCOIAAHSCELE-YPMHNXCESA-N 0 1 281.400 0.914 20 30 CCEDMN COCC#CCN1CC[C@H](NC(=O)C[C@@H]2CCOC2)[C@H]1C ZINC001264694686 809673884 /nfs/dbraw/zinc/67/38/84/809673884.db2.gz KBUFRFJAVITKHO-ILXRZTDVSA-N 0 1 294.395 0.642 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCn2cncn2)C1 ZINC001265203447 809722113 /nfs/dbraw/zinc/72/21/13/809722113.db2.gz JPVQMIYWQXXGLD-CYBMUJFWSA-N 0 1 275.356 0.272 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCc2cc(C)on2)C1 ZINC001265304060 809753963 /nfs/dbraw/zinc/75/39/63/809753963.db2.gz UXJHBGFMSWUIBJ-CYBMUJFWSA-N 0 1 261.325 0.739 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2cc(C)on2)C1 ZINC001265304061 809754051 /nfs/dbraw/zinc/75/40/51/809754051.db2.gz UXJHBGFMSWUIBJ-ZDUSSCGKSA-N 0 1 261.325 0.739 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@H](NCc2cnc(C)o2)C1 ZINC001265429277 809778417 /nfs/dbraw/zinc/77/84/17/809778417.db2.gz SCXFZSIDQPOYAC-ZDUSSCGKSA-N 0 1 291.351 0.713 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](NCc2ccn(C)n2)C1 ZINC001265563159 809805108 /nfs/dbraw/zinc/80/51/08/809805108.db2.gz QUTVAEDCONAVII-CQSZACIVSA-N 0 1 274.368 0.914 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccnc(OC)n1 ZINC001038504345 815318562 /nfs/dbraw/zinc/31/85/62/815318562.db2.gz YGJHZSYDZBXEDG-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C=CCCC(=O)NC1CCC(NCc2nnnn2C)CC1 ZINC001265731346 809832454 /nfs/dbraw/zinc/83/24/54/809832454.db2.gz KTQIAGLKEWAVSU-UHFFFAOYSA-N 0 1 292.387 0.693 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1csc(CC)n1 ZINC000393228379 809833608 /nfs/dbraw/zinc/83/36/08/809833608.db2.gz IYCUJUNOTPAABV-UHFFFAOYSA-N 0 1 251.355 0.887 20 30 CCEDMN Cc1nc([C@H](C)N(C)CCCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001265814597 809861295 /nfs/dbraw/zinc/86/12/95/809861295.db2.gz BCXYLYWSLFXRQE-UWVGGRQHSA-N 0 1 278.360 0.772 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC[C@@H](C)NCc1nonc1C ZINC001266144385 809947002 /nfs/dbraw/zinc/94/70/02/809947002.db2.gz QTZQTWJMIPFQKU-ZYHUDNBSSA-N 0 1 294.355 0.401 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCCN(CCn2cncn2)C1 ZINC001266210205 809964190 /nfs/dbraw/zinc/96/41/90/809964190.db2.gz YEIPEARXRDSZPC-ZDUSSCGKSA-N 0 1 277.372 0.825 20 30 CCEDMN N#CCN1CCC[C@H]1CCCNC(=O)Cc1nnc[nH]1 ZINC001266240691 809969594 /nfs/dbraw/zinc/96/95/94/809969594.db2.gz AMOORVBBXQJQDJ-LLVKDONJSA-N 0 1 276.344 0.232 20 30 CCEDMN C#CCCCC(=O)N(C)[C@@H](C)CNC(=O)CN1CCCC1 ZINC001280481103 810024826 /nfs/dbraw/zinc/02/48/26/810024826.db2.gz HITKHHPUUICWRU-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCO2)C1 ZINC001076716763 815352859 /nfs/dbraw/zinc/35/28/59/815352859.db2.gz YMYJAYGSWQLEKI-UPJWGTAASA-N 0 1 268.357 0.293 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)c[nH]2)C1 ZINC001076740554 815359726 /nfs/dbraw/zinc/35/97/26/815359726.db2.gz ALXZEDULBAVANR-VXGBXAGGSA-N 0 1 281.743 0.466 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ncccc2C)C1 ZINC001076859233 815393709 /nfs/dbraw/zinc/39/37/09/815393709.db2.gz CODMCDCHTGZRSH-CHWSQXEVSA-N 0 1 273.336 0.188 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC2(C)C)C1 ZINC001076895290 815406637 /nfs/dbraw/zinc/40/66/37/815406637.db2.gz GRZLOYLJIGOCJS-IJLUTSLNSA-N 0 1 250.342 0.217 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)COCCOC ZINC001267263139 811063197 /nfs/dbraw/zinc/06/31/97/811063197.db2.gz HBBGXQJXRIRUAC-CYBMUJFWSA-N 0 1 268.357 0.253 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)C1CC(OC)C1 ZINC001267269242 811072635 /nfs/dbraw/zinc/07/26/35/811072635.db2.gz LCWJEAFTZNCHHJ-SHARSMKWSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)C(C)(C)CNC(C)=O ZINC001267278565 811085219 /nfs/dbraw/zinc/08/52/19/811085219.db2.gz MIUXFJPMVDMEHT-ZDUSSCGKSA-N 0 1 279.384 0.363 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H]1CCN(CCF)C1 ZINC001267291205 811107313 /nfs/dbraw/zinc/10/73/13/811107313.db2.gz BIRRTXWFPZGBJX-AAEUAGOBSA-N 0 1 258.337 0.721 20 30 CCEDMN CN(C)C(=O)CN1CC[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001267292827 811109102 /nfs/dbraw/zinc/10/91/02/811109102.db2.gz GFVPBXBIWPWIMK-CYBMUJFWSA-N 0 1 293.411 0.562 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)C#CC2CC2)C1 ZINC001267292815 811109500 /nfs/dbraw/zinc/10/95/00/811109500.db2.gz GBELELDRSHCSRI-AWEZNQCLSA-N 0 1 289.379 0.140 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2csnn2)C1 ZINC001267299273 811118309 /nfs/dbraw/zinc/11/83/09/811118309.db2.gz WUBWUSBBUXYWOR-LLVKDONJSA-N 0 1 294.380 0.240 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC2(F)F)C1 ZINC001076967472 815424800 /nfs/dbraw/zinc/42/48/00/815424800.db2.gz PUFZFTIOABKCRI-IVZWLZJFSA-N 0 1 260.284 0.379 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC2(F)F)C1 ZINC001076967472 815424803 /nfs/dbraw/zinc/42/48/03/815424803.db2.gz PUFZFTIOABKCRI-IVZWLZJFSA-N 0 1 260.284 0.379 20 30 CCEDMN O=C(C#CC1CC1)NCCN(CCn1cncn1)C1CC1 ZINC001267326416 811158908 /nfs/dbraw/zinc/15/89/08/811158908.db2.gz VMNDWQDMOIPWTQ-UHFFFAOYSA-N 0 1 287.367 0.272 20 30 CCEDMN C#CCN(CCNC(=O)c1cnc(C)n1C)C1CC1 ZINC001267331485 811166752 /nfs/dbraw/zinc/16/67/52/811166752.db2.gz IOEGMNRMXXYRNX-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC001077027487 815434112 /nfs/dbraw/zinc/43/41/12/815434112.db2.gz KYLLCZFGAFPMEC-ZIAGYGMSSA-N 0 1 292.383 0.183 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1C[C@H]2CC[C@@H](C1)N2CCOC ZINC001267401111 811281679 /nfs/dbraw/zinc/28/16/79/811281679.db2.gz KRNUMLNDNHUANZ-VNHYZAJKSA-N 0 1 282.384 0.635 20 30 CCEDMN C#CCN[C@@H](CNC(=O)CNC(=O)CC)c1ccccc1 ZINC001267517292 811395170 /nfs/dbraw/zinc/39/51/70/811395170.db2.gz OBEAWHGCIQSLCQ-AWEZNQCLSA-N 0 1 287.363 0.593 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001267529913 811410960 /nfs/dbraw/zinc/41/09/60/811410960.db2.gz JFMJEBWBASQFCW-HZSPNIEDSA-N 0 1 296.390 0.865 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C(C)C)C1 ZINC001038317580 811426601 /nfs/dbraw/zinc/42/66/01/811426601.db2.gz LNOIFIWRUGUDSQ-UONOGXRCSA-N 0 1 291.395 0.457 20 30 CCEDMN CC[C@@H](F)C(=O)NCC[C@@H]1CCN(CC(=O)NCC#N)C1 ZINC001267563369 811450228 /nfs/dbraw/zinc/45/02/28/811450228.db2.gz XHDFOBXVHCVWKJ-VXGBXAGGSA-N 0 1 298.362 0.202 20 30 CCEDMN CCOCCN1CCN(CCN(C)C(=O)[C@H](C)C#N)CC1 ZINC001267606303 811546306 /nfs/dbraw/zinc/54/63/06/811546306.db2.gz UOZPUBMLZQHVGJ-CQSZACIVSA-N 0 1 296.415 0.259 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001077181750 815458546 /nfs/dbraw/zinc/45/85/46/815458546.db2.gz IBQNRYPHSRWRJH-ZIAGYGMSSA-N 0 1 298.346 0.313 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001077181750 815458550 /nfs/dbraw/zinc/45/85/50/815458550.db2.gz IBQNRYPHSRWRJH-ZIAGYGMSSA-N 0 1 298.346 0.313 20 30 CCEDMN C#CCC[N@H+](C)C[C@@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001267637240 811596304 /nfs/dbraw/zinc/59/63/04/811596304.db2.gz DHLQILMKQNMBIU-LBPRGKRZSA-N 0 1 290.367 0.841 20 30 CCEDMN C#CCC[N@@H+](C)C[C@@H]1CCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001267637240 811596309 /nfs/dbraw/zinc/59/63/09/811596309.db2.gz DHLQILMKQNMBIU-LBPRGKRZSA-N 0 1 290.367 0.841 20 30 CCEDMN C#CCC[N@H+](C)C[C@@H]1CCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001267637240 811596312 /nfs/dbraw/zinc/59/63/12/811596312.db2.gz DHLQILMKQNMBIU-LBPRGKRZSA-N 0 1 290.367 0.841 20 30 CCEDMN C=CCCN1CC(NC(=O)[C@H](C)CN2CCOCC2)C1 ZINC001267669310 811632958 /nfs/dbraw/zinc/63/29/58/811632958.db2.gz GJVNNPRLWBHBSW-CYBMUJFWSA-N 0 1 281.400 0.331 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001267689402 811654980 /nfs/dbraw/zinc/65/49/80/811654980.db2.gz JRVZKZIBAGBAEI-HNNXBMFYSA-N 0 1 279.384 0.527 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)COC[C@H]1CCCO1 ZINC001267716077 811677863 /nfs/dbraw/zinc/67/78/63/811677863.db2.gz GIQVGFUMEVXRHA-SOUVJXGZSA-N 0 1 292.379 0.490 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C2CCC2)C1 ZINC001077212997 815462200 /nfs/dbraw/zinc/46/22/00/815462200.db2.gz DAPCYPNFIZKEJU-IACUBPJLSA-N 0 1 264.369 0.607 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C2CCC2)C1 ZINC001077212997 815462202 /nfs/dbraw/zinc/46/22/02/815462202.db2.gz DAPCYPNFIZKEJU-IACUBPJLSA-N 0 1 264.369 0.607 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cnn(CC)c2)C1 ZINC001267723279 811689385 /nfs/dbraw/zinc/68/93/85/811689385.db2.gz VRWOEFLJXIKYAU-AWEZNQCLSA-N 0 1 292.383 0.910 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@](C)(O)C1CC1 ZINC001125865594 811704935 /nfs/dbraw/zinc/70/49/35/811704935.db2.gz KKTIFDSKBUKERF-LBPRGKRZSA-N 0 1 260.765 0.996 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCCc2nccn21 ZINC001038355842 811702999 /nfs/dbraw/zinc/70/29/99/811702999.db2.gz FBYLTWRIKGRUEL-KBPBESRZSA-N 0 1 286.379 0.974 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ncccc2CC)C1 ZINC001077272827 815470991 /nfs/dbraw/zinc/47/09/91/815470991.db2.gz PMIFHPWXMIDWTM-ZIAGYGMSSA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](N(C)C(=O)COCC)C1 ZINC001267755734 811752408 /nfs/dbraw/zinc/75/24/08/811752408.db2.gz PFXUSMPFAGQMHF-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c[nH]nc2CC)C1 ZINC001077286312 815472561 /nfs/dbraw/zinc/47/25/61/815472561.db2.gz XTWWKCGLHHVDLT-CHWSQXEVSA-N 0 1 278.356 0.323 20 30 CCEDMN COCCOCCN1CC[C@H](N(C)C(=O)[C@@H](C)C#N)C1 ZINC001267760536 811756094 /nfs/dbraw/zinc/75/60/94/811756094.db2.gz ULAOAIVMWDXQIL-STQMWFEESA-N 0 1 283.372 0.342 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001038364734 811767963 /nfs/dbraw/zinc/76/79/63/811767963.db2.gz YPEFQGLCZUYSHA-AVGNSLFASA-N 0 1 268.357 0.557 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2n[nH]c3c2CCC3)C1 ZINC001077291604 815473856 /nfs/dbraw/zinc/47/38/56/815473856.db2.gz PHOPUEBQEZHNPE-CHWSQXEVSA-N 0 1 290.367 0.249 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)C(C)(F)F)C2)nn1 ZINC001105130988 811852165 /nfs/dbraw/zinc/85/21/65/811852165.db2.gz XWJVMJIVIUXVCM-UHFFFAOYSA-N 0 1 297.309 0.430 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2c[nH]c3ccccc23)C1 ZINC001077322001 815480706 /nfs/dbraw/zinc/48/07/06/815480706.db2.gz XTVLQESMSIUGDB-HZPDHXFCSA-N 0 1 297.358 0.966 20 30 CCEDMN C#CCNC(=O)CC(=O)NCCNCC=C(Cl)Cl ZINC001125998938 811973408 /nfs/dbraw/zinc/97/34/08/811973408.db2.gz WFCLNGHZSBZIOD-UHFFFAOYSA-N 0 1 292.166 0.151 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1OCC[C@H]1C ZINC001038712955 811989491 /nfs/dbraw/zinc/98/94/91/811989491.db2.gz PEWJJIXYYOINFC-AGIUHOORSA-N 0 1 250.342 0.625 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cncnc1 ZINC001126093791 812007630 /nfs/dbraw/zinc/00/76/30/812007630.db2.gz OMKUAIDGUCZPDA-UHFFFAOYSA-N 0 1 285.145 0.705 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC001077422547 815495652 /nfs/dbraw/zinc/49/56/52/815495652.db2.gz CQBTUODSNQDXQQ-SYQHCUMBSA-N 0 1 282.384 0.539 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccc(F)cn2)[C@@H](O)C1 ZINC001083577001 815496599 /nfs/dbraw/zinc/49/65/99/815496599.db2.gz ZSOPIJGWIQYDQB-KGLIPLIRSA-N 0 1 293.342 0.501 20 30 CCEDMN Cc1cc(C(=O)N(C)CCN(C)c2cncc(C#N)n2)n[nH]1 ZINC001105340089 812040273 /nfs/dbraw/zinc/04/02/73/812040273.db2.gz GZCNFFMASOTXLV-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001077420355 815497005 /nfs/dbraw/zinc/49/70/05/815497005.db2.gz XYILYELIXIBOJT-TUVASFSCSA-N 0 1 294.395 0.539 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnnn1C ZINC001027859385 812101129 /nfs/dbraw/zinc/10/11/29/812101129.db2.gz PIJZEOZAEJIMAP-NSHDSACASA-N 0 1 261.329 0.033 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCn1ccnn1 ZINC001126264411 812126640 /nfs/dbraw/zinc/12/66/40/812126640.db2.gz PIYSUVZMHKZHHR-UHFFFAOYSA-N 0 1 257.725 0.127 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(C(C)C)C1 ZINC001027916680 812138805 /nfs/dbraw/zinc/13/88/05/812138805.db2.gz RDWDJAAFHJWQKL-ZIAGYGMSSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1c(C)ncn1C ZINC001027952178 812161327 /nfs/dbraw/zinc/16/13/27/812161327.db2.gz KTTXPZNIOBSBQK-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)C1CC(OC)C1 ZINC001027957122 812167118 /nfs/dbraw/zinc/16/71/18/812167118.db2.gz YJHYVBGKLREJJW-CPCZMJQVSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cn2c(n1)COCC2 ZINC001027966421 812172784 /nfs/dbraw/zinc/17/27/84/812172784.db2.gz PQVADIHKHHQJLU-LBPRGKRZSA-N 0 1 288.351 0.241 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)[C@H](C)CNCc1cnoc1C ZINC001268063115 812173885 /nfs/dbraw/zinc/17/38/85/812173885.db2.gz LGFOBQCOEVEDPP-YPMHNXCESA-N 0 1 293.367 0.958 20 30 CCEDMN C#CCOCCC(=O)N(C)[C@@H](C)CNCc1cc(C)no1 ZINC001268063609 812175575 /nfs/dbraw/zinc/17/55/75/812175575.db2.gz OCOFVWOGEMIXJH-ZDUSSCGKSA-N 0 1 293.367 0.959 20 30 CCEDMN N#Cc1ccc(N[C@H]2CCN(C(=O)c3ccn[nH]3)C2)nn1 ZINC001058846061 812179070 /nfs/dbraw/zinc/17/90/70/812179070.db2.gz FZRHHHGPRSAKDZ-JTQLQIEISA-N 0 1 283.295 0.398 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnn2cccnc12 ZINC001028065482 812241698 /nfs/dbraw/zinc/24/16/98/812241698.db2.gz BKXUUNJRMITEAA-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001028152568 812320622 /nfs/dbraw/zinc/32/06/22/812320622.db2.gz XPWFWTWSDIPMQG-SOUVJXGZSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)Cn1nc(C)cc1C ZINC001268242018 812408596 /nfs/dbraw/zinc/40/85/96/812408596.db2.gz HNBQZHUPQWHWNA-UHFFFAOYSA-N 0 1 262.357 0.523 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H]1C[C@@H](C)CO1 ZINC001268244017 812412296 /nfs/dbraw/zinc/41/22/96/812412296.db2.gz RJRKTGSRJBQHJI-OLZOCXBDSA-N 0 1 252.358 0.825 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](O)CNCc1cccc(Cl)n1 ZINC001268295203 812452794 /nfs/dbraw/zinc/45/27/94/812452794.db2.gz CLBGPJGMHWPXIH-ONGXEEELSA-N 0 1 296.758 0.461 20 30 CCEDMN CCn1ncc(CNCC[C@@H](C)NC(=O)[C@H](C)C#N)n1 ZINC001268351708 812514885 /nfs/dbraw/zinc/51/48/85/812514885.db2.gz VVWCMYCVKHIQFQ-GHMZBOCLSA-N 0 1 278.360 0.442 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(N(C)C)nn1 ZINC001028267109 812532678 /nfs/dbraw/zinc/53/26/78/812532678.db2.gz DPTWLHGGINCWJW-GFCCVEGCSA-N 0 1 289.383 0.923 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001028290870 812587920 /nfs/dbraw/zinc/58/79/20/812587920.db2.gz PPOYAPZKSLROCD-CABCVRRESA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001028334674 812627758 /nfs/dbraw/zinc/62/77/58/812627758.db2.gz GLHOGPQWIMHETI-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001028334674 812627760 /nfs/dbraw/zinc/62/77/60/812627760.db2.gz GLHOGPQWIMHETI-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CNC(=O)c2ccc(=O)[nH]n2)C1 ZINC001028384793 812667352 /nfs/dbraw/zinc/66/73/52/812667352.db2.gz UYLVRTVGPPJMDS-JTQLQIEISA-N 0 1 296.758 0.986 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2cncc(F)c2)C1 ZINC001028474113 812741601 /nfs/dbraw/zinc/74/16/01/812741601.db2.gz AXJHUGFATLBVTB-NSHDSACASA-N 0 1 261.300 0.906 20 30 CCEDMN C#CC[NH2+]C[C@@H](C)NC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001268656668 812985333 /nfs/dbraw/zinc/98/53/33/812985333.db2.gz BVPWKLQMXWNWKG-SNVBAGLBSA-N 0 1 290.367 0.955 20 30 CCEDMN CCc1nocc1CNC[C@H](C)NC(=O)[C@H](C)C#N ZINC001268684012 813008789 /nfs/dbraw/zinc/00/87/89/813008789.db2.gz XYJBRPFQKXYZOH-ZJUUUORDSA-N 0 1 264.329 0.991 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H](C)CNCc1cc(C)ncn1 ZINC001268688380 813011129 /nfs/dbraw/zinc/01/11/29/813011129.db2.gz FIESWURQTWWEEH-STQMWFEESA-N 0 1 290.367 0.418 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cc(C)n[nH]3)[C@@H]2C1 ZINC001075677380 813019493 /nfs/dbraw/zinc/01/94/93/813019493.db2.gz CCDAQBSMVQBPJV-GXTWGEPZSA-N 0 1 272.352 0.427 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H](C)CNCc2ccn(C)n2)c1 ZINC001268702422 813020791 /nfs/dbraw/zinc/02/07/91/813020791.db2.gz HGJUDGZBNTVRLL-LBPRGKRZSA-N 0 1 297.362 0.705 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)C1CC(OC)C1 ZINC001268734486 813048750 /nfs/dbraw/zinc/04/87/50/813048750.db2.gz DQOKFNLKIRZVCX-PNESKVBLSA-N 0 1 252.358 0.871 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001268746654 813061127 /nfs/dbraw/zinc/06/11/27/813061127.db2.gz RHIAEPPNHSNIMN-TUVASFSCSA-N 0 1 294.395 0.640 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@@H]1CCN(CC#N)C1 ZINC001028635712 813067945 /nfs/dbraw/zinc/06/79/45/813067945.db2.gz FCPMONSLKFWXEJ-STQMWFEESA-N 0 1 264.373 0.432 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCCNCc1nocc1C ZINC001268792354 813087393 /nfs/dbraw/zinc/08/73/93/813087393.db2.gz YJUDXXLDVHWCTQ-ZDUSSCGKSA-N 0 1 293.367 0.959 20 30 CCEDMN C#CC(=O)N1CCc2n[nH]c(C(=O)N3CCCCO3)c2C1 ZINC001268857522 813117547 /nfs/dbraw/zinc/11/75/47/813117547.db2.gz JXBLZDWUWUPWTP-UHFFFAOYSA-N 0 1 288.307 0.095 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001268859151 813118825 /nfs/dbraw/zinc/11/88/25/813118825.db2.gz XVSXIPPGYFMWNN-ZDUSSCGKSA-N 0 1 278.356 0.342 20 30 CCEDMN O=C(CCS)N1CC2(C[C@H]2C(=O)NCc2cnc[nH]2)C1 ZINC001268891556 813135507 /nfs/dbraw/zinc/13/55/07/813135507.db2.gz KHZQQFOIQKLKER-JTQLQIEISA-N 0 1 294.380 0.194 20 30 CCEDMN C=CC[C@H](C)C(=O)N1CC2(C1)CCN(CC(=O)N(C)C)C2 ZINC001268968476 813164690 /nfs/dbraw/zinc/16/46/90/813164690.db2.gz QTJQSKCYYVTQLN-ZDUSSCGKSA-N 0 1 293.411 0.821 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H](C)n2ccc(C)n2)C1 ZINC001269051933 813193681 /nfs/dbraw/zinc/19/36/81/813193681.db2.gz PFMPLUGBEPRTPH-KBPBESRZSA-N 0 1 274.368 0.966 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1n[nH]c(C(C)C)n1 ZINC001127045423 815599354 /nfs/dbraw/zinc/59/93/54/815599354.db2.gz AMVRBHJIZHWWQY-LLVKDONJSA-N 0 1 293.371 0.172 20 30 CCEDMN Cn1cc(CCN2CC[C@@H](NC(=O)C#CC3CC3)C2)cn1 ZINC001269126606 813229148 /nfs/dbraw/zinc/22/91/48/813229148.db2.gz UIRGWNBVFRJCEL-OAHLLOKOSA-N 0 1 286.379 0.567 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCN(CCc2cnn(C)c2)C1 ZINC001269126195 813229304 /nfs/dbraw/zinc/22/93/04/813229304.db2.gz DCXRCNZBTDTENR-OAHLLOKOSA-N 0 1 288.395 0.813 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)C1CCOCC1 ZINC001269189042 813254507 /nfs/dbraw/zinc/25/45/07/813254507.db2.gz NOIFZMSXAAAQKR-CQSZACIVSA-N 0 1 282.384 0.806 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)Cc1ccn(CC)n1 ZINC001269236064 813283548 /nfs/dbraw/zinc/28/35/48/813283548.db2.gz USIKABAVFGOKLF-CQSZACIVSA-N 0 1 274.368 0.659 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)C2CC(C)C2)CC1 ZINC001269398207 813356321 /nfs/dbraw/zinc/35/63/21/813356321.db2.gz HNCPWHYTCROZRI-OTTFEQOBSA-N 0 1 291.395 0.409 20 30 CCEDMN C#CCOCCC(=O)NCC1(NCc2cncn2C)CC1 ZINC001269411485 813361510 /nfs/dbraw/zinc/36/15/10/813361510.db2.gz JGOXQMQVXICCNH-UHFFFAOYSA-N 0 1 290.367 0.198 20 30 CCEDMN N#CCC1CN(C(=O)[C@@H]2CC23CN(C(=O)c2cnc[nH]2)C3)C1 ZINC001269413834 813363582 /nfs/dbraw/zinc/36/35/82/813363582.db2.gz BWSUOKWCTIZJHY-NSHDSACASA-N 0 1 299.334 0.244 20 30 CCEDMN N#CCC1CN(C(=O)[C@@]23C[C@@H]2CN(C(=O)c2ccn[nH]2)C3)C1 ZINC001269604172 813442675 /nfs/dbraw/zinc/44/26/75/813442675.db2.gz XMPMGRLVUKEVEV-IAQYHMDHSA-N 0 1 299.334 0.244 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001028917023 813653450 /nfs/dbraw/zinc/65/34/50/813653450.db2.gz DOBZUQKWLDJFMU-NEPJUHHUSA-N 0 1 287.367 0.476 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3nccn3CC)[C@@H]2C1 ZINC001075738750 813676980 /nfs/dbraw/zinc/67/69/80/813676980.db2.gz SLFOXALJGDMXEQ-QWHCGFSZSA-N 0 1 272.352 0.683 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2CCCO2)CC1 ZINC001225903716 813677496 /nfs/dbraw/zinc/67/74/96/813677496.db2.gz DDDFJXTVDGUNCC-CQSZACIVSA-N 0 1 280.368 0.396 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)CN[C@@H](C)c1nncn1C ZINC001270401635 813750838 /nfs/dbraw/zinc/75/08/38/813750838.db2.gz GDWAOSVGDBBPQG-NEPJUHHUSA-N 0 1 289.383 0.631 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](O)C(C)C)[C@@H]2C1 ZINC001075756355 813773550 /nfs/dbraw/zinc/77/35/50/813773550.db2.gz XWFYDYUHPBRZFL-YNEHKIRRSA-N 0 1 250.342 0.169 20 30 CCEDMN C=CCCC(=O)N[C@]1(C)CCN([C@H](CC)C(N)=O)C1 ZINC001270558063 813810120 /nfs/dbraw/zinc/81/01/20/813810120.db2.gz PTTFDHRSFQHHBL-BXUZGUMPSA-N 0 1 267.373 0.797 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3nnc(C)o3)[C@@H]2C1 ZINC001075761943 813850886 /nfs/dbraw/zinc/85/08/86/813850886.db2.gz HPQJNXJOIQOAHT-NWDGAFQWSA-N 0 1 274.324 0.086 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](NC(C)=O)[C@H]2CC)C1=O ZINC001087478905 813900542 /nfs/dbraw/zinc/90/05/42/813900542.db2.gz ODZRDHMKQBFJOH-MJBXVCDLSA-N 0 1 279.384 0.762 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2COCCN2C)[C@H]1CC ZINC001087547853 814136012 /nfs/dbraw/zinc/13/60/12/814136012.db2.gz SDVDCOKMHIOYRQ-ZNMIVQPWSA-N 0 1 293.411 0.309 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@H](C)n2cccn2)C1 ZINC001271120792 814163638 /nfs/dbraw/zinc/16/36/38/814163638.db2.gz OHQPLBVULLWCLW-DZGCQCFKSA-N 0 1 290.367 0.020 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H](F)C(C)C)C1 ZINC001271176421 814315795 /nfs/dbraw/zinc/31/57/95/814315795.db2.gz SNCQDCRJBMEKHJ-YPMHNXCESA-N 0 1 256.321 0.167 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001271201464 814330726 /nfs/dbraw/zinc/33/07/26/814330726.db2.gz SJGHYAKWRXRDAB-XJKSGUPXSA-N 0 1 290.363 0.644 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cc(C)[nH]c2C)C1 ZINC001271201141 814332677 /nfs/dbraw/zinc/33/26/77/814332677.db2.gz NHNVAPMHWCKIAA-HNNXBMFYSA-N 0 1 275.352 0.431 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2CCC=CCC2)C1 ZINC001271219780 814337347 /nfs/dbraw/zinc/33/73/47/814337347.db2.gz JAUXHZKUKNEMHH-INIZCTEOSA-N 0 1 276.380 0.919 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)CNC(=O)CC)C1 ZINC001271262472 814354922 /nfs/dbraw/zinc/35/49/22/814354922.db2.gz IOFFXCOEYGRLDL-LBPRGKRZSA-N 0 1 297.399 0.030 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCCO)nc1 ZINC001029558850 814425728 /nfs/dbraw/zinc/42/57/28/814425728.db2.gz YBZOFNCKDABQRR-GASCZTMLSA-N 0 1 299.374 0.734 20 30 CCEDMN N#CCN1CC[C@H](Oc2[nH]c(=O)nc3cc[nH]c32)C1 ZINC001227810079 814533213 /nfs/dbraw/zinc/53/32/13/814533213.db2.gz SLGHDXQFPCNKHM-QMMMGPOBSA-N 0 1 259.269 0.640 20 30 CCEDMN CN1CC[C@]2(CCN(C(=O)c3cccc(C#N)c3O)C2)C1=O ZINC001271792571 814579674 /nfs/dbraw/zinc/57/96/74/814579674.db2.gz FOJBOTPLTYIFHU-MRXNPFEDSA-N 0 1 299.330 0.958 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCCCN(C(=O)C(N)=O)C1 ZINC001088370543 814644113 /nfs/dbraw/zinc/64/41/13/814644113.db2.gz MWHVCLDFYFBSHQ-JTQLQIEISA-N 0 1 273.764 0.585 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1oc(C)nc1C ZINC001127032028 815582469 /nfs/dbraw/zinc/58/24/69/815582469.db2.gz OSTAYBFFPOMANM-UHFFFAOYSA-N 0 1 279.340 0.537 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](C)NC(=O)C1CCCC1 ZINC001272020831 814737683 /nfs/dbraw/zinc/73/76/83/814737683.db2.gz QRWYGVJBPQMCBU-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCOC2)[C@H]1C ZINC001088488403 814738646 /nfs/dbraw/zinc/73/86/46/814738646.db2.gz CEQBMCMPHGOYEX-AGIUHOORSA-N 0 1 250.342 0.625 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1OCC[C@H](OC(C)=O)C(=O)OC ZINC001224837464 815598602 /nfs/dbraw/zinc/59/86/02/815598602.db2.gz MCXGDCYHBMEIEQ-XVKPBYJWSA-N 0 1 286.280 0.717 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCc3nncn3C2)[C@H]1C ZINC001089058098 816228250 /nfs/dbraw/zinc/22/82/50/816228250.db2.gz ZTMRJHIXZNKDCF-UPJWGTAASA-N 0 1 287.367 0.053 20 30 CCEDMN CC(C)C#CC(=O)N(C)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001280530555 816357663 /nfs/dbraw/zinc/35/76/63/816357663.db2.gz IMECGXIHUAUWGC-SNVBAGLBSA-N 0 1 277.328 0.041 20 30 CCEDMN CC(C)C#CC(=O)N(C)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001280530555 816357669 /nfs/dbraw/zinc/35/76/69/816357669.db2.gz IMECGXIHUAUWGC-SNVBAGLBSA-N 0 1 277.328 0.041 20 30 CCEDMN C=CCCN1CC(NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001030861277 816361517 /nfs/dbraw/zinc/36/15/17/816361517.db2.gz PPHGCHBXBRUKIM-NSHDSACASA-N 0 1 275.356 0.601 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001046125263 816391180 /nfs/dbraw/zinc/39/11/80/816391180.db2.gz PWOOPUSAZSXOMK-CQSZACIVSA-N 0 1 274.324 0.400 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(OC)ccn2)[C@@H](O)C1 ZINC001083692327 816433335 /nfs/dbraw/zinc/43/33/35/816433335.db2.gz IEOVPOHTYUKVQQ-KGLIPLIRSA-N 0 1 291.351 0.441 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC1CN(C[C@@H]2CCOC2)C1 ZINC001030979105 816528850 /nfs/dbraw/zinc/52/88/50/816528850.db2.gz FBQIOYTUFMZJKV-YUTCNCBUSA-N 0 1 280.368 0.414 20 30 CCEDMN C=CCN1C[C@@]2(CCN(Cc3cc(C)[nH]n3)C2)OCC1=O ZINC001272543504 816567184 /nfs/dbraw/zinc/56/71/84/816567184.db2.gz NLKBHDSCKDRZOY-HNNXBMFYSA-N 0 1 290.367 0.707 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(c3cccnc3)CC2)[C@@H](O)C1 ZINC001083719372 816636077 /nfs/dbraw/zinc/63/60/77/816636077.db2.gz AYAQMXNZXQZDEY-CABCVRRESA-N 0 1 299.374 0.298 20 30 CCEDMN C[C@@H](CCNc1ccncc1C#N)NC(=O)c1ncn[nH]1 ZINC001106413015 816762499 /nfs/dbraw/zinc/76/24/99/816762499.db2.gz VFCOVPXAMOKHIC-VIFPVBQESA-N 0 1 285.311 0.114 20 30 CCEDMN C[C@@H](CCNc1ccncc1C#N)NC(=O)c1nc[nH]n1 ZINC001106413015 816762503 /nfs/dbraw/zinc/76/25/03/816762503.db2.gz VFCOVPXAMOKHIC-VIFPVBQESA-N 0 1 285.311 0.114 20 30 CCEDMN COCCN1C[C@@H](NC(=O)C#CC(C)C)[C@H](OC)C1 ZINC001212230882 817059867 /nfs/dbraw/zinc/05/98/67/817059867.db2.gz BSOBHCJCEUNMGJ-CHWSQXEVSA-N 0 1 268.357 0.108 20 30 CCEDMN CO[C@@H]1CN(CCCF)C[C@H]1NC(=O)C#CC(C)C ZINC001212233449 817071884 /nfs/dbraw/zinc/07/18/84/817071884.db2.gz AABCXUPOEULSDO-CHWSQXEVSA-N 0 1 270.348 0.821 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCOC)C[C@@H]2O)C1 ZINC001083758586 817142351 /nfs/dbraw/zinc/14/23/51/817142351.db2.gz JSLFKWGMIKFLRQ-NEPJUHHUSA-N 0 1 268.357 0.150 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2nccc(C)n2)C1 ZINC001031642195 817210575 /nfs/dbraw/zinc/21/05/75/817210575.db2.gz CPTJVUSMCIYRBR-UHFFFAOYSA-N 0 1 258.325 0.470 20 30 CCEDMN C#CCOCCN(C)C(=O)[C@H]1CCN1C(C)(C)C ZINC001124054624 817269336 /nfs/dbraw/zinc/26/93/36/817269336.db2.gz NKBPHMBTKLGXKZ-GFCCVEGCSA-N 0 1 252.358 0.967 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+]([C@@H](C)COC)C[C@@H]2O)C1 ZINC001083763654 817281404 /nfs/dbraw/zinc/28/14/04/817281404.db2.gz UYHFBNAEYFZPID-XQQFMLRXSA-N 0 1 282.384 0.539 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN([C@@H](C)COC)C[C@@H]2O)C1 ZINC001083763654 817281413 /nfs/dbraw/zinc/28/14/13/817281413.db2.gz UYHFBNAEYFZPID-XQQFMLRXSA-N 0 1 282.384 0.539 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2COCCN2CC)C1 ZINC001031796229 817372154 /nfs/dbraw/zinc/37/21/54/817372154.db2.gz FBRGQWUIBMHOML-AWEZNQCLSA-N 0 1 281.400 0.331 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CC(=O)N[C@@H](C)C2)C1 ZINC001031803166 817378854 /nfs/dbraw/zinc/37/88/54/817378854.db2.gz XUMRTXCWVAJLHP-CMPLNLGQSA-N 0 1 265.357 0.135 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn2c(n1)CCC2 ZINC001127632851 817403297 /nfs/dbraw/zinc/40/32/97/817403297.db2.gz ILONRSMJRSDYPY-UHFFFAOYSA-N 0 1 268.748 0.901 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cccnc2)C1 ZINC001047348268 817417576 /nfs/dbraw/zinc/41/75/76/817417576.db2.gz VNYJKWCGELYMNC-KBPBESRZSA-N 0 1 275.352 0.314 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(-n3cncn3)nc2)C1 ZINC001031888125 817442541 /nfs/dbraw/zinc/44/25/41/817442541.db2.gz YFLIBCAJFYEURA-UHFFFAOYSA-N 0 1 298.350 0.510 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCc3cnn(C)c3C2)C1 ZINC001031923952 817469228 /nfs/dbraw/zinc/46/92/28/817469228.db2.gz ZKKCAXHTTLORAS-ZDUSSCGKSA-N 0 1 288.395 0.759 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c(C)c[nH]c2CC(N)=O)C1 ZINC001031993655 817529947 /nfs/dbraw/zinc/52/99/47/817529947.db2.gz RFRZOBPMRSAALO-UHFFFAOYSA-N 0 1 290.367 0.199 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCC[C@@H](C(N)=O)C2)C1 ZINC001032020883 817556176 /nfs/dbraw/zinc/55/61/76/817556176.db2.gz YEWYVPFSDQDCCG-KGLIPLIRSA-N 0 1 293.411 0.902 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCC(=O)N(C)c1ccccc1 ZINC001124888348 817615986 /nfs/dbraw/zinc/61/59/86/817615986.db2.gz HJRMVNLGDZDMAL-GFCCVEGCSA-N 0 1 288.351 0.515 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN[C@H](C)C(=O)Nc1nccs1 ZINC001124896597 817623165 /nfs/dbraw/zinc/62/31/65/817623165.db2.gz OPFBQVNQMXZZKR-RKDXNWHRSA-N 0 1 295.368 0.336 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCc1cc(CO)ccc1F ZINC001124903887 817628552 /nfs/dbraw/zinc/62/85/52/817628552.db2.gz TZLWGFYJFUZWSZ-SNVBAGLBSA-N 0 1 279.315 0.683 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2c[nH]nc2C2CC2)C1 ZINC001032097886 817629241 /nfs/dbraw/zinc/62/92/41/817629241.db2.gz OTTGLLGIEMMPGO-UHFFFAOYSA-N 0 1 272.352 0.972 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc3c(c2)C(=O)NC3=O)C1 ZINC001032135393 817661752 /nfs/dbraw/zinc/66/17/52/817661752.db2.gz SNWYLTSHRSGCGB-UHFFFAOYSA-N 0 1 299.330 0.418 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1ccn(CC)n1 ZINC001127721729 817779069 /nfs/dbraw/zinc/77/90/69/817779069.db2.gz ZUIJPRHAJGUFDY-UHFFFAOYSA-N 0 1 270.764 0.904 20 30 CCEDMN CCCCN1CC(n2cc(CNC(=O)[C@@H](C)C#N)nn2)C1 ZINC001107149291 817794668 /nfs/dbraw/zinc/79/46/68/817794668.db2.gz AIUJHMFHSDYGMO-NSHDSACASA-N 0 1 290.371 0.711 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1COC(=O)C1 ZINC001032356608 817976922 /nfs/dbraw/zinc/97/69/22/817976922.db2.gz UZXROJJTEHKAMY-AGIUHOORSA-N 0 1 276.336 0.248 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2CC)C1 ZINC001077699876 818018681 /nfs/dbraw/zinc/01/86/81/818018681.db2.gz CCCKDCSYKVYZAG-FDYHWXHSSA-N 0 1 252.358 0.770 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3cccnc3)C2)OCC1=O ZINC001272947447 818099201 /nfs/dbraw/zinc/09/92/01/818099201.db2.gz BUQXTEAUVYLQFS-INIZCTEOSA-N 0 1 285.347 0.518 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CCCC2)[C@@H](O)C1 ZINC001090011598 818268067 /nfs/dbraw/zinc/26/80/67/818268067.db2.gz WPMMNHMAFHXZHV-KBPBESRZSA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001032887934 818336884 /nfs/dbraw/zinc/33/68/84/818336884.db2.gz QKZUARRBIRXSMB-LLVKDONJSA-N 0 1 274.324 0.352 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccoc2C)[C@@H](O)C1 ZINC001090054097 818372331 /nfs/dbraw/zinc/37/23/31/818372331.db2.gz HPKGVQJLJBXJHU-STQMWFEESA-N 0 1 264.325 0.939 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cn2cc(C)cn2)C1 ZINC001032941731 818380203 /nfs/dbraw/zinc/38/02/03/818380203.db2.gz IHHDSYKUPSPVPZ-AWEZNQCLSA-N 0 1 274.368 0.748 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCOC2)C1 ZINC001032979485 818402747 /nfs/dbraw/zinc/40/27/47/818402747.db2.gz DMPRZUKFLJBQKO-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001033010536 818422688 /nfs/dbraw/zinc/42/26/88/818422688.db2.gz NIMVOIILJLBJOB-QWHCGFSZSA-N 0 1 278.352 0.496 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CC2OCCCO2)C1 ZINC001033034084 818445325 /nfs/dbraw/zinc/44/53/25/818445325.db2.gz ZWWWEDWEJFFUJW-ZDUSSCGKSA-N 0 1 280.368 0.696 20 30 CCEDMN C#CCN1C(=O)C[C@@]2(CCCN(Cc3c[nH]cn3)C2)C1=O ZINC001273024904 818462440 /nfs/dbraw/zinc/46/24/40/818462440.db2.gz XPKNIVPCDZIVFD-OAHLLOKOSA-N 0 1 286.335 0.384 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001033136297 818560755 /nfs/dbraw/zinc/56/07/55/818560755.db2.gz UMSGILZWDKHABQ-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001033175488 818599765 /nfs/dbraw/zinc/59/97/65/818599765.db2.gz DPCBMUXKRMPULN-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cnc[nH]c2=O)C1 ZINC001033178987 818604068 /nfs/dbraw/zinc/60/40/68/818604068.db2.gz FUKKYAVPQMSQLL-LLVKDONJSA-N 0 1 274.324 0.352 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)c2cnc[nH]c2=O)C1 ZINC001033179326 818604225 /nfs/dbraw/zinc/60/42/25/818604225.db2.gz OGODSMFILBWNBQ-NSHDSACASA-N 0 1 274.324 0.352 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ncoc2C)[C@@H](O)C1 ZINC001090117484 818643669 /nfs/dbraw/zinc/64/36/69/818643669.db2.gz BYGOXNGDUJWGIQ-RYUDHWBXSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CN2CCCCC2=O)C1 ZINC001033212634 818655075 /nfs/dbraw/zinc/65/50/75/818655075.db2.gz BVLWNMWSXIIKCP-CYBMUJFWSA-N 0 1 277.368 0.165 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033331528 818716839 /nfs/dbraw/zinc/71/68/39/818716839.db2.gz ILUNLMHOHQMZRL-JTQLQIEISA-N 0 1 264.329 0.458 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cscn2)[C@H](O)C1 ZINC001090135939 818719183 /nfs/dbraw/zinc/71/91/83/818719183.db2.gz ZCTUGQPKMWTOLV-ZYHUDNBSSA-N 0 1 281.381 0.884 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cn2nccc2C)C1 ZINC001033477677 818791162 /nfs/dbraw/zinc/79/11/62/818791162.db2.gz FFTFDHOXYQVSIS-ZDUSSCGKSA-N 0 1 260.341 0.357 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2nonc2C)C1 ZINC001033539299 818826200 /nfs/dbraw/zinc/82/62/00/818826200.db2.gz ZSSJMEADHABCND-LBPRGKRZSA-N 0 1 276.340 0.477 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2nonc2C)C1 ZINC001033539297 818826628 /nfs/dbraw/zinc/82/66/28/818826628.db2.gz ZSSJMEADHABCND-GFCCVEGCSA-N 0 1 276.340 0.477 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001033582222 818837547 /nfs/dbraw/zinc/83/75/47/818837547.db2.gz INNYPTQESGSRKL-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001033617420 818852588 /nfs/dbraw/zinc/85/25/88/818852588.db2.gz TWTUVULTVGJZNV-ZIAGYGMSSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnn3cc[nH]c23)C1 ZINC001033615461 818853049 /nfs/dbraw/zinc/85/30/49/818853049.db2.gz XIHRXSGXQTVLKZ-LLVKDONJSA-N 0 1 273.340 0.995 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001033630236 818860490 /nfs/dbraw/zinc/86/04/90/818860490.db2.gz VMQDSCMPAKELFW-QWHCGFSZSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001033630235 818860546 /nfs/dbraw/zinc/86/05/46/818860546.db2.gz VMQDSCMPAKELFW-OLZOCXBDSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001033678041 818884934 /nfs/dbraw/zinc/88/49/34/818884934.db2.gz BAHHHYBMOLNSJP-CHWSQXEVSA-N 0 1 289.383 0.559 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2nnn(C)c2C)C1 ZINC001033782643 818933004 /nfs/dbraw/zinc/93/30/04/818933004.db2.gz ICUGANOIQBQJON-LBPRGKRZSA-N 0 1 277.372 0.846 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033790353 818940414 /nfs/dbraw/zinc/94/04/14/818940414.db2.gz BVQXMBMSTZGVHE-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033790353 818940419 /nfs/dbraw/zinc/94/04/19/818940419.db2.gz BVQXMBMSTZGVHE-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033879883 818977428 /nfs/dbraw/zinc/97/74/28/818977428.db2.gz HMWFEBQMZBYDRO-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2oc(C)nc2C)[C@H](O)C1 ZINC001090164822 819002452 /nfs/dbraw/zinc/00/24/52/819002452.db2.gz XEMGKYCULFAGHU-NWDGAFQWSA-N 0 1 279.340 0.642 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2oc(C)nc2C)[C@H](O)C1 ZINC001090164822 819002459 /nfs/dbraw/zinc/00/24/59/819002459.db2.gz XEMGKYCULFAGHU-NWDGAFQWSA-N 0 1 279.340 0.642 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cccn(C)c2=O)C1 ZINC001034021418 819033638 /nfs/dbraw/zinc/03/36/38/819033638.db2.gz OIVNRRGMLKKCPF-ZDUSSCGKSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001034052696 819039228 /nfs/dbraw/zinc/03/92/28/819039228.db2.gz NQFDUOHECPCCLF-HUUCEWRRSA-N 0 1 291.395 0.553 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2=CCCC2)[C@@H](O)C1 ZINC001090189553 819071166 /nfs/dbraw/zinc/07/11/66/819071166.db2.gz OKTLFCIFPGIFIQ-KBPBESRZSA-N 0 1 262.353 0.671 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001034231547 819129665 /nfs/dbraw/zinc/12/96/65/819129665.db2.gz NQMILAONDGKXAA-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@@H]1CCCCN(CC#C)C1 ZINC001034247414 819134871 /nfs/dbraw/zinc/13/48/71/819134871.db2.gz NNQXMXALEQBNCK-CVEARBPZSA-N 0 1 287.407 0.688 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](O)C1 ZINC001090199787 819135022 /nfs/dbraw/zinc/13/50/22/819135022.db2.gz JQSSIBSSYPOYNQ-MNOVXSKESA-N 0 1 294.355 0.165 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001034242622 819136340 /nfs/dbraw/zinc/13/63/40/819136340.db2.gz PYEBOFBAHMMGGG-HNNXBMFYSA-N 0 1 288.395 0.875 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001034285358 819151646 /nfs/dbraw/zinc/15/16/46/819151646.db2.gz CKBFTPBHLFBAMQ-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2coc(C)n2)[C@H](O)C1 ZINC001090205333 819160926 /nfs/dbraw/zinc/16/09/26/819160926.db2.gz CRICLJYKGPGGTG-DGCLKSJQSA-N 0 1 279.340 0.724 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC001034347800 819179686 /nfs/dbraw/zinc/17/96/86/819179686.db2.gz JMDYWXZKCDSXJF-LBPRGKRZSA-N 0 1 290.367 0.733 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001034375379 819183026 /nfs/dbraw/zinc/18/30/26/819183026.db2.gz ANDOQWPZHROURC-NSHDSACASA-N 0 1 276.340 0.343 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001034499107 819218262 /nfs/dbraw/zinc/21/82/62/819218262.db2.gz QUOLFRRREMSOGI-CABCVRRESA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001034497935 819219129 /nfs/dbraw/zinc/21/91/29/819219129.db2.gz FJXNOCWTVTZCGC-STQMWFEESA-N 0 1 277.368 0.259 20 30 CCEDMN N#Cc1cnccc1N1CC[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001061776715 819293777 /nfs/dbraw/zinc/29/37/77/819293777.db2.gz AQCNHFRGOIDNSO-JTQLQIEISA-N 0 1 297.322 0.328 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccncc2C)[C@H](O)C1 ZINC001090250011 819309431 /nfs/dbraw/zinc/30/94/31/819309431.db2.gz VLDQRMHPFBTWPU-HUUCEWRRSA-N 0 1 287.363 0.578 20 30 CCEDMN CC#CCN1CCO[C@@H](CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001035322698 819470806 /nfs/dbraw/zinc/47/08/06/819470806.db2.gz FQSPSILXLVPBQI-ZDUSSCGKSA-N 0 1 290.367 0.480 20 30 CCEDMN C=C(C)CN1CCO[C@@H](CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001035414595 819517881 /nfs/dbraw/zinc/51/78/81/819517881.db2.gz RXQPOKKDGCSXLU-GJZGRUSLSA-N 0 1 295.427 0.864 20 30 CCEDMN C#CCCN1CCO[C@H](CNC(=O)[C@H]2CCCCN2C)C1 ZINC001035415396 819518364 /nfs/dbraw/zinc/51/83/64/819518364.db2.gz BFZDBIKFQVWIRI-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnsn1)CC2 ZINC001035657318 819595502 /nfs/dbraw/zinc/59/55/02/819595502.db2.gz ACLABLQQKSCUCF-UHFFFAOYSA-N 0 1 276.365 0.709 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)C1=COCCO1)CC2 ZINC001035642150 819598854 /nfs/dbraw/zinc/59/88/54/819598854.db2.gz IJEDNMIODIPGRH-UHFFFAOYSA-N 0 1 278.352 0.985 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnn(C)c1)CC2 ZINC001035645690 819599469 /nfs/dbraw/zinc/59/94/69/819599469.db2.gz JEGHEGKZTGQACW-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1coc(OC)n1)CC2 ZINC001035761343 819619311 /nfs/dbraw/zinc/61/93/11/819619311.db2.gz WPICEWVFFLXKCN-UHFFFAOYSA-N 0 1 289.335 0.854 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@]1(F)CCOC1)CC2 ZINC001035776500 819627312 /nfs/dbraw/zinc/62/73/12/819627312.db2.gz PRZRVOBICVPQCW-HNNXBMFYSA-N 0 1 280.343 0.673 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2ccn[nH]2)CC[C@@H]1NCC#N ZINC001035970625 819657679 /nfs/dbraw/zinc/65/76/79/819657679.db2.gz CZKNHHVXJVSMSW-PWSUYJOCSA-N 0 1 261.329 0.302 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCCC2)CC[C@H]1NCC#N ZINC001036294259 819721767 /nfs/dbraw/zinc/72/17/67/819721767.db2.gz PKPSZCYFBRVCBF-CHWSQXEVSA-N 0 1 264.373 0.432 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000710051609 819820082 /nfs/dbraw/zinc/82/00/82/819820082.db2.gz UPCXVRSDGOJMPA-QMMMGPOBSA-N 0 1 256.331 0.507 20 30 CCEDMN C=C(Br)CNC(=O)[C@]1(COC)CNCCO1 ZINC000710838847 819846892 /nfs/dbraw/zinc/84/68/92/819846892.db2.gz LWPIQCIWTDLFEI-SNVBAGLBSA-N 0 1 293.161 0.016 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@H]1CC[N@H+](C2CC2)C1 ZINC000711011100 819874285 /nfs/dbraw/zinc/87/42/85/819874285.db2.gz QKTNDYDDRBGVQT-LLVKDONJSA-N 0 1 256.371 0.413 20 30 CCEDMN N#Cc1nccnc1N1C[C@@H]2C[C@H]1CN2C(=O)c1ccn[nH]1 ZINC001062450926 819949498 /nfs/dbraw/zinc/94/94/98/819949498.db2.gz VDTXWXJSOIZQBU-UWVGGRQHSA-N 0 1 295.306 0.175 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccc(OC)o2)[C@@H](O)C1 ZINC001083883962 820062244 /nfs/dbraw/zinc/06/22/44/820062244.db2.gz GUUHXYOAIDVZPU-MNOVXSKESA-N 0 1 280.324 0.639 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1cnc(C)o1 ZINC001129305317 820161817 /nfs/dbraw/zinc/16/18/17/820161817.db2.gz VPTKUVMFIPHIAL-UHFFFAOYSA-N 0 1 257.721 0.984 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)Cc2cnc(C)o2)[C@@H](O)C1 ZINC001090323403 820261207 /nfs/dbraw/zinc/26/12/07/820261207.db2.gz ROIUAACWKGPXIO-KBPBESRZSA-N 0 1 291.351 0.100 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cnc(C)o2)[C@@H](O)C1 ZINC001090323403 820261212 /nfs/dbraw/zinc/26/12/12/820261212.db2.gz ROIUAACWKGPXIO-KBPBESRZSA-N 0 1 291.351 0.100 20 30 CCEDMN COC(=O)C1(C)CCC([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)CC1 ZINC001168764165 820271453 /nfs/dbraw/zinc/27/14/53/820271453.db2.gz MDFRMMKOAXJVHU-OZAVNUTGSA-N 0 1 284.356 0.934 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(C3CC3)CC2)[C@H](O)C1 ZINC001090343715 820283077 /nfs/dbraw/zinc/28/30/77/820283077.db2.gz FNIJLJLACCCRAA-CHWSQXEVSA-N 0 1 264.369 0.914 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@@H](C)[C@H](NC(=O)C3CC3)C2)C1=O ZINC001079337554 820361401 /nfs/dbraw/zinc/36/14/01/820361401.db2.gz WYXZVCXPVMUYGG-MRVWCRGKSA-N 0 1 291.395 0.620 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001079482908 820398842 /nfs/dbraw/zinc/39/88/42/820398842.db2.gz SCMMGFTZQLDSCV-TZMCWYRMSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cn(C)nc2C)C1 ZINC001079489457 820410956 /nfs/dbraw/zinc/41/09/56/820410956.db2.gz BBLLMESEMWPMSW-ZWNOBZJWSA-N 0 1 260.341 0.412 20 30 CCEDMN C=CCCC(=O)N1CC(N(CC)C(=O)Cc2ccn[nH]2)C1 ZINC001079541248 820423238 /nfs/dbraw/zinc/42/32/38/820423238.db2.gz LCCXGMNSFZXTRE-UHFFFAOYSA-N 0 1 290.367 0.978 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2CCC(O)CC2)C1 ZINC001079630756 820438088 /nfs/dbraw/zinc/43/80/88/820438088.db2.gz LVWZUXDYIAZPFB-BLYZHGLHSA-N 0 1 264.369 0.607 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001079734752 820459542 /nfs/dbraw/zinc/45/95/42/820459542.db2.gz RXXJJZSZPGIQEH-CZXHOFHRSA-N 0 1 250.342 0.645 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cncs2)C1 ZINC001079905491 820482647 /nfs/dbraw/zinc/48/26/47/820482647.db2.gz AFZRIIMIEOWQSI-ZYHUDNBSSA-N 0 1 263.366 0.755 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCSCC)[C@H](O)C1 ZINC001099706872 820498980 /nfs/dbraw/zinc/49/89/80/820498980.db2.gz JCEPXDKWXMRCBF-QWHCGFSZSA-N 0 1 284.425 0.704 20 30 CCEDMN C#CCCN1C[C@@H](C)[C@H](NC(=O)c2cn(C)ccc2=O)C1 ZINC001080099489 820515298 /nfs/dbraw/zinc/51/52/98/820515298.db2.gz MUTCQNGNMXZXKJ-TZMCWYRMSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001080102483 820515442 /nfs/dbraw/zinc/51/54/42/820515442.db2.gz XTZDNFOMQZWRMD-MWLCHTKSSA-N 0 1 284.323 0.036 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001080183028 820524206 /nfs/dbraw/zinc/52/42/06/820524206.db2.gz FUEYILBZEMTRIE-JTNHKYCSSA-N 0 1 265.357 0.135 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CSCCC)[C@H](O)C1 ZINC001099716241 820588517 /nfs/dbraw/zinc/58/85/17/820588517.db2.gz SKQVZQBCTWYLMG-QWHCGFSZSA-N 0 1 284.425 0.704 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cn2nccc2C)C1 ZINC001080534411 820589905 /nfs/dbraw/zinc/58/99/05/820589905.db2.gz WTQXXZNQOUZZHZ-TZMCWYRMSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3cc(C)cnc23)C1 ZINC001080535762 820590046 /nfs/dbraw/zinc/59/00/46/820590046.db2.gz CWTTZWAIVBJIJB-TZMCWYRMSA-N 0 1 297.362 0.721 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(CC3CC3)nn2)C1 ZINC001080682156 820618776 /nfs/dbraw/zinc/61/87/76/820618776.db2.gz ZVWXASAOJQVWOC-DGCLKSJQSA-N 0 1 289.383 0.924 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cn(CC3CC3)nn2)C1 ZINC001080682156 820618784 /nfs/dbraw/zinc/61/87/84/820618784.db2.gz ZVWXASAOJQVWOC-DGCLKSJQSA-N 0 1 289.383 0.924 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C(C)=C\CC)[C@@H](O)C1 ZINC001099718146 820627655 /nfs/dbraw/zinc/62/76/55/820627655.db2.gz HYQYHYNXHVUTMV-OBFMZJQYSA-N 0 1 264.369 0.917 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001080858373 820648076 /nfs/dbraw/zinc/64/80/76/820648076.db2.gz NBZPWAYMWFKYQK-DGCLKSJQSA-N 0 1 290.367 0.502 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cccnc2C(N)=O)C1 ZINC001080982441 820662498 /nfs/dbraw/zinc/66/24/98/820662498.db2.gz BIFKBJHURYMGTC-ZYHUDNBSSA-N 0 1 288.351 0.417 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CCOCC2)[C@H](OC)C1 ZINC001081266770 820713397 /nfs/dbraw/zinc/71/33/97/820713397.db2.gz VLCUCJYCFBQQIG-ZIAGYGMSSA-N 0 1 280.368 0.252 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccnn2C)[C@H](OC)C1 ZINC001081420737 820762257 /nfs/dbraw/zinc/76/22/57/820762257.db2.gz DRBCRMVXXDICRA-DGCLKSJQSA-N 0 1 278.356 0.425 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2nc(CC)c[nH]2)[C@H](OC)C1 ZINC001081808376 820841092 /nfs/dbraw/zinc/84/10/92/820841092.db2.gz KODXPZBAJLAYLN-CHWSQXEVSA-N 0 1 292.383 0.516 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001082150325 820908874 /nfs/dbraw/zinc/90/88/74/820908874.db2.gz CPDXYPULAQVLMT-FVCCEPFGSA-N 0 1 268.357 0.413 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H]2CCC(=O)N2)[C@H](OC)C1 ZINC001082230403 820922163 /nfs/dbraw/zinc/92/21/63/820922163.db2.gz LQYIQMYDEKPIMK-YNEHKIRRSA-N 0 1 295.383 0.047 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@]2(C)CCCOC2)[C@H](OC)C1 ZINC001082279404 820932503 /nfs/dbraw/zinc/93/25/03/820932503.db2.gz ZCMIVTYRZBJBQX-IIAWOOMASA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2[nH]cnc2C)[C@H](OC)C1 ZINC001082345318 820939375 /nfs/dbraw/zinc/93/93/75/820939375.db2.gz DYBRZHZVLWWBPB-CHWSQXEVSA-N 0 1 278.356 0.262 20 30 CCEDMN CCn1ccc(CN[C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)n1 ZINC001082450599 820972788 /nfs/dbraw/zinc/97/27/88/820972788.db2.gz AWUSIKCDVLBERP-YRGRVCCFSA-N 0 1 289.383 0.999 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(F)CCCC2)[C@H](O)C1 ZINC001090375789 821007710 /nfs/dbraw/zinc/00/77/10/821007710.db2.gz UEIWPCBUFSVHGY-CHWSQXEVSA-N 0 1 282.359 0.843 20 30 CCEDMN C[C@H](CCNC(=O)c1cnn[nH]1)Nc1ccc(C#N)cn1 ZINC001099777236 821058923 /nfs/dbraw/zinc/05/89/23/821058923.db2.gz IWAFRQDRVKMBIM-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(C)c2CC)[C@@H](O)C1 ZINC001090393044 821090591 /nfs/dbraw/zinc/09/05/91/821090591.db2.gz ZOWPOXPTVFGYAB-OCCSQVGLSA-N 0 1 292.383 0.334 20 30 CCEDMN C#CCC[N@@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCO3)[C@H]2C1 ZINC001082992072 821094617 /nfs/dbraw/zinc/09/46/17/821094617.db2.gz MCSLUGHBONLHBP-MELADBBJSA-N 0 1 278.352 0.100 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H]3CCCO3)[C@H]2C1 ZINC001082992072 821094623 /nfs/dbraw/zinc/09/46/23/821094623.db2.gz MCSLUGHBONLHBP-MELADBBJSA-N 0 1 278.352 0.100 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cncnc3C)[C@@H]2C1 ZINC001084208504 821236599 /nfs/dbraw/zinc/23/65/99/821236599.db2.gz ATWZFTBLAXVMCT-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cnnc(C)c3)[C@@H]2C1 ZINC001084305743 821263538 /nfs/dbraw/zinc/26/35/38/821263538.db2.gz VWHHATSVNQUCGA-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3ccc(C)nn3)[C@@H]2C1 ZINC001084336127 821275009 /nfs/dbraw/zinc/27/50/09/821275009.db2.gz IFQRGCJLSCMOBV-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cc4n(n3)CCC4)[C@@H]2C1 ZINC001084391664 821284614 /nfs/dbraw/zinc/28/46/14/821284614.db2.gz KTVJQLWRVKGQGD-CZUORRHYSA-N 0 1 298.390 0.999 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C#CC(C)C)[C@H](O)C1 ZINC001099820338 821362123 /nfs/dbraw/zinc/36/21/23/821362123.db2.gz CWQIHRDTQAPWIS-CHWSQXEVSA-N 0 1 250.342 0.383 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3nonc3C)[C@@H]2C1 ZINC001084750591 821374453 /nfs/dbraw/zinc/37/44/53/821374453.db2.gz WEPOMXBBTKIJJH-DGCLKSJQSA-N 0 1 276.340 0.639 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)CO[C@@H]3CCOC3)C[C@@H]21 ZINC001084938709 821435855 /nfs/dbraw/zinc/43/58/55/821435855.db2.gz BUORYMXKHNJDQW-KFWWJZLASA-N 0 1 292.379 0.348 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@]3(F)CCOC3)C[C@@H]21 ZINC001085016534 821460582 /nfs/dbraw/zinc/46/05/82/821460582.db2.gz WVVOPDFKDQCNOP-IPYPFGDCSA-N 0 1 280.343 0.671 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C)nc1C(F)(F)F ZINC000823674875 821529969 /nfs/dbraw/zinc/52/99/69/821529969.db2.gz FOJAIWZISPMQCZ-UHFFFAOYSA-N 0 1 288.273 0.734 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(Cc1cnc(N)nc1)CC2 ZINC001273304237 821587020 /nfs/dbraw/zinc/58/70/20/821587020.db2.gz KWKOIKWDOLZTGQ-ZDUSSCGKSA-N 0 1 299.378 0.410 20 30 CCEDMN N#CCN[C@@H]1CCCC[C@H]1NC(=O)c1[nH]ncc1F ZINC001085322157 821660397 /nfs/dbraw/zinc/66/03/97/821660397.db2.gz BTNQYIVOMOCBMV-NXEZZACHSA-N 0 1 265.292 0.703 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnc(C)cn1 ZINC001085467787 821722212 /nfs/dbraw/zinc/72/22/12/821722212.db2.gz AQZNLORYZJHGFZ-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN COCCN1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085552515 821787442 /nfs/dbraw/zinc/78/74/42/821787442.db2.gz GGBBJXHPYGCNLC-ZDUSSCGKSA-N 0 1 276.340 0.679 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)c1cccc2nn[nH]c21 ZINC001085612940 821850073 /nfs/dbraw/zinc/85/00/73/821850073.db2.gz DRONTLMUPYNQLY-JTQLQIEISA-N 0 1 284.323 0.628 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001085627846 821859164 /nfs/dbraw/zinc/85/91/64/821859164.db2.gz NCKBEOALCCJMOI-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cc2n(n1)CCC2 ZINC001085631230 821861067 /nfs/dbraw/zinc/86/10/67/821861067.db2.gz PCCYUCMKMRYBLW-CQSZACIVSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc2n(n1)CCC2 ZINC001085631230 821861077 /nfs/dbraw/zinc/86/10/77/821861077.db2.gz PCCYUCMKMRYBLW-CQSZACIVSA-N 0 1 286.379 0.999 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCN(C)c2cnc(C#N)cn2)c1C ZINC001099987822 821867171 /nfs/dbraw/zinc/86/71/71/821867171.db2.gz UGAHMPSDDDMGCE-UHFFFAOYSA-N 0 1 299.338 0.554 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1c(C)ncn1C ZINC001085655608 821871667 /nfs/dbraw/zinc/87/16/67/821871667.db2.gz UDPNHMXEETZZEV-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCCC(=O)N1 ZINC001085660257 821883638 /nfs/dbraw/zinc/88/36/38/821883638.db2.gz RNLKHYDNXOEPIB-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc2[n-]nnc21 ZINC001085715093 821916696 /nfs/dbraw/zinc/91/66/96/821916696.db2.gz BKSMFWQWAMKEMM-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN CC#CCN1CC[C@@H]1CN(C)C(=O)c1ccnc2n[nH]nc21 ZINC001085715093 821916698 /nfs/dbraw/zinc/91/66/98/821916698.db2.gz BKSMFWQWAMKEMM-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(=O)n1C ZINC001085763616 821943425 /nfs/dbraw/zinc/94/34/25/821943425.db2.gz GHAGOFRONIBBMD-LLVKDONJSA-N 0 1 278.356 0.848 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1c[nH]c(=O)n1C ZINC001085763616 821943430 /nfs/dbraw/zinc/94/34/30/821943430.db2.gz GHAGOFRONIBBMD-LLVKDONJSA-N 0 1 278.356 0.848 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001085820761 821969843 /nfs/dbraw/zinc/96/98/43/821969843.db2.gz JKIDPLOIMVWOIP-MJBXVCDLSA-N 0 1 291.395 0.267 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc2ncccn2n1 ZINC001085882168 821994681 /nfs/dbraw/zinc/99/46/81/821994681.db2.gz WYUBUIKLHKEAPQ-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccncn1 ZINC001085882466 821997412 /nfs/dbraw/zinc/99/74/12/821997412.db2.gz FCNPIOQJEKMLES-GFCCVEGCSA-N 0 1 258.325 0.646 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001085914778 822016096 /nfs/dbraw/zinc/01/60/96/822016096.db2.gz JLEAIJYYCZXGDO-NWDGAFQWSA-N 0 1 287.367 0.571 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cccn(C)c1=O ZINC001085931323 822023592 /nfs/dbraw/zinc/02/35/92/822023592.db2.gz WDJCNTCYHQXPKS-ZDUSSCGKSA-N 0 1 287.363 0.555 20 30 CCEDMN Cc1nonc1CNCCCNC(=O)[C@H](C)C#N ZINC001155829225 822255952 /nfs/dbraw/zinc/25/59/52/822255952.db2.gz UVZKWHVEOOZKMM-MRVPVSSYSA-N 0 1 251.290 0.134 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1ncnn1C(C)(C)C ZINC001155837416 822259311 /nfs/dbraw/zinc/25/93/11/822259311.db2.gz ZLTZRRWTISFADH-LLVKDONJSA-N 0 1 292.387 0.789 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1cn(C(C)(C)C)nn1 ZINC001155848067 822265398 /nfs/dbraw/zinc/26/53/98/822265398.db2.gz GTYKRCDKXSHIJM-LLVKDONJSA-N 0 1 292.387 0.789 20 30 CCEDMN Cc1nnc([C@H](C)NCCCNC(=O)[C@H](C)C#N)[nH]1 ZINC001155847853 822265811 /nfs/dbraw/zinc/26/58/11/822265811.db2.gz CWLXALJDSOKSEM-BDAKNGLRSA-N 0 1 264.333 0.430 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CNc1ncnc2[nH]cnc21 ZINC001108292126 822325309 /nfs/dbraw/zinc/32/53/09/822325309.db2.gz ICAWBGXBIYLZSU-SFYZADRCSA-N 0 1 273.300 0.381 20 30 CCEDMN Cc1ncc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)o1 ZINC001114067291 837421078 /nfs/dbraw/zinc/42/10/78/837421078.db2.gz PWYWUDUMZDMQLM-KMLBCRHOSA-N 0 1 274.324 0.689 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1[C@H]2CN(Cc3cnn(C)c3)C[C@H]21 ZINC001114051016 837417179 /nfs/dbraw/zinc/41/71/79/837417179.db2.gz MXOCXYHMJPSDLC-NHAGDIPZSA-N 0 1 290.367 0.169 20 30 CCEDMN Cc1nocc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C#N ZINC001114067806 837421841 /nfs/dbraw/zinc/42/18/41/837421841.db2.gz XGTJQBMIWJEMJV-HWNAMQAFSA-N 0 1 274.324 0.689 20 30 CCEDMN Cc1noc(C)c1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C#N ZINC001114063416 837423563 /nfs/dbraw/zinc/42/35/63/837423563.db2.gz CHOUNZNHYIVSGW-VGSLSFOHSA-N 0 1 288.351 0.998 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1cc(OC)no1 ZINC001157846217 837494853 /nfs/dbraw/zinc/49/48/53/837494853.db2.gz OXRSLQARZGVXQL-UHFFFAOYSA-N 0 1 295.339 0.319 20 30 CCEDMN Cc1nc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)n[nH]1 ZINC001114256306 837490596 /nfs/dbraw/zinc/49/05/96/837490596.db2.gz YDISECZARSMPPP-JYAVWHMHSA-N 0 1 285.351 0.073 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)CCCC)C[C@@H]1n1ccnn1 ZINC001129630096 837539906 /nfs/dbraw/zinc/53/99/06/837539906.db2.gz OIMRUVGGTSOQBR-KGLIPLIRSA-N 0 1 289.383 0.833 20 30 CCEDMN Cc1[nH]c(=O)[nH]c1C(=O)NCCNCc1ccccc1C#N ZINC001129996858 837605945 /nfs/dbraw/zinc/60/59/45/837605945.db2.gz XKIOTUZEGMAFIM-UHFFFAOYSA-N 0 1 299.334 0.815 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(CC#CC)C[C@@H]2O)cc1 ZINC001090484157 837783634 /nfs/dbraw/zinc/78/36/34/837783634.db2.gz WRLZEGZZRQFIBE-SJORKVTESA-N 0 1 296.370 0.856 20 30 CCEDMN N#Cc1ccc2cc(-n3nnnc3CN)ccc2n1 ZINC001168924507 836060322 /nfs/dbraw/zinc/06/03/22/836060322.db2.gz LELNIPUBJPFLSV-UHFFFAOYSA-N 0 1 251.253 0.541 20 30 CCEDMN CCOC(=O)N1CCC[C@@H]([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)CC1 ZINC001169661685 836416234 /nfs/dbraw/zinc/41/62/34/836416234.db2.gz LZYLPWJWZFGLBX-TUAOUCFPSA-N 0 1 299.371 0.823 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCCCNCc1nnnn1C ZINC001169848414 836459057 /nfs/dbraw/zinc/45/90/57/836459057.db2.gz OIJKSAQMMGGBCV-QWRGUYRKSA-N 0 1 293.375 0.134 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)NCCCNCC#N)c2C1 ZINC001169887466 836466929 /nfs/dbraw/zinc/46/69/29/836466929.db2.gz RXWMUYBYJFACTH-SNVBAGLBSA-N 0 1 275.356 0.768 20 30 CCEDMN C=CCOCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn[nH]c1)C2 ZINC001108974080 836589216 /nfs/dbraw/zinc/58/92/16/836589216.db2.gz HHIKNEAECCFSTJ-RDBSUJKOSA-N 0 1 290.367 0.947 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn[nH]c1)C2 ZINC001108974080 836589222 /nfs/dbraw/zinc/58/92/22/836589222.db2.gz HHIKNEAECCFSTJ-RDBSUJKOSA-N 0 1 290.367 0.947 20 30 CCEDMN CN(CCCNc1nccnc1C#N)C(=O)Cc1c[nH]cn1 ZINC001109633852 836707312 /nfs/dbraw/zinc/70/73/12/836707312.db2.gz SKYWMIVVDFHVHQ-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN N#Cc1ccc2c(c1)ncnc2NC[C@@H]1COCCN1 ZINC001170035186 836753799 /nfs/dbraw/zinc/75/37/99/836753799.db2.gz JPCVVMKCJVYELG-LLVKDONJSA-N 0 1 269.308 0.902 20 30 CCEDMN N#Cc1ccc2ncc(NC[C@H]3COCCN3)nc2c1 ZINC001170041081 836770036 /nfs/dbraw/zinc/77/00/36/836770036.db2.gz CREMDRZPKRWHEZ-NSHDSACASA-N 0 1 269.308 0.902 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCn2ccnc2)[C@@H](O)C1 ZINC001100138827 836774601 /nfs/dbraw/zinc/77/46/01/836774601.db2.gz LECUMSUJQUAGDH-KBPBESRZSA-N 0 1 292.383 0.401 20 30 CCEDMN COc1ccc(NC[C@@H]2COCCN2)nc1CC#N ZINC001170042692 836777578 /nfs/dbraw/zinc/77/75/78/836777578.db2.gz SFUKXWSZMXFMBD-SNVBAGLBSA-N 0 1 262.313 0.557 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2COCCN2C)CC1 ZINC001112770195 836900971 /nfs/dbraw/zinc/90/09/71/836900971.db2.gz GDDVIGXYZUKFEW-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCN(CCC(=C)C)CC1 ZINC001112777933 836911049 /nfs/dbraw/zinc/91/10/49/836911049.db2.gz SYMJYASZGZAHHD-UHFFFAOYSA-N 0 1 277.368 0.236 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@H]2CCCOC2)CC1 ZINC001112814305 836924336 /nfs/dbraw/zinc/92/43/36/836924336.db2.gz NACQOFPYJGBYIX-ZBFHGGJFSA-N 0 1 296.411 0.884 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C[C@H]2CCCOC2)CC1 ZINC001112843919 836940650 /nfs/dbraw/zinc/94/06/50/836940650.db2.gz MTQDBHOXZYACMO-OAHLLOKOSA-N 0 1 294.395 0.597 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2cc3n(n2)CCO3)CC1 ZINC001112969819 836982846 /nfs/dbraw/zinc/98/28/46/836982846.db2.gz DFJMQZWHJCUSJX-UHFFFAOYSA-N 0 1 290.367 1.000 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H](C)CC(N)=O)CC1 ZINC001113192595 837049385 /nfs/dbraw/zinc/04/93/85/837049385.db2.gz AMKWRBPXLIDUEH-GFCCVEGCSA-N 0 1 267.373 0.608 20 30 CCEDMN C[C@H](NC(=O)Cc1cnc[nH]1)[C@H](C)Nc1nccnc1C#N ZINC001113313964 837085344 /nfs/dbraw/zinc/08/53/44/837085344.db2.gz OUIBLOGDEIWSSQ-UWVGGRQHSA-N 0 1 299.338 0.619 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@@H](C)Nc1ccncc1C#N ZINC001113356655 837094997 /nfs/dbraw/zinc/09/49/97/837094997.db2.gz KJQLVKRZFCUTLX-RKDXNWHRSA-N 0 1 285.311 0.112 20 30 CCEDMN Cc1nc(N[C@@H](C)[C@@H](C)NC(=O)c2cnn[nH]2)ccc1C#N ZINC001113358191 837098871 /nfs/dbraw/zinc/09/88/71/837098871.db2.gz XXTGKMSLUAKZFI-DTWKUNHWSA-N 0 1 299.338 0.999 20 30 CCEDMN C[C@@H](CNC(=O)Cc1nnc[nH]1)N(C)c1ccc(C#N)cn1 ZINC001113714034 837204620 /nfs/dbraw/zinc/20/46/20/837204620.db2.gz FKNNVWDMPANSAY-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)N(C)c1nccnc1C#N ZINC001113898552 837358855 /nfs/dbraw/zinc/35/88/55/837358855.db2.gz WZEYDRMITPVTGN-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)n[nH]1 ZINC001113948869 837378278 /nfs/dbraw/zinc/37/82/78/837378278.db2.gz ZQIVCRYZWDGQDO-IMRBUKKESA-N 0 1 288.351 0.028 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc2[nH]c(=O)[nH]c2c1 ZINC001130608077 837870698 /nfs/dbraw/zinc/87/06/98/837870698.db2.gz RUYLWHYZVAPSRQ-UHFFFAOYSA-N 0 1 295.730 0.735 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(C(N)=O)o1 ZINC001131100501 837993258 /nfs/dbraw/zinc/99/32/58/837993258.db2.gz XHLUVDHOPCHZIS-UHFFFAOYSA-N 0 1 271.704 0.450 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccn(CCOC)n1 ZINC001131153900 838009747 /nfs/dbraw/zinc/00/97/47/838009747.db2.gz ZVIIJRSFHBEODY-UHFFFAOYSA-N 0 1 286.763 0.601 20 30 CCEDMN C=CCCN1CCNC(=O)CCN(C(=O)CCCF)CC1 ZINC001131374374 838070170 /nfs/dbraw/zinc/07/01/70/838070170.db2.gz XDDOFJZTEAFKGQ-UHFFFAOYSA-N 0 1 299.390 0.963 20 30 CCEDMN C#CCN1CCN([C@H]2CC[C@@H](C(=O)OC)C2)CC1 ZINC001170560692 838102015 /nfs/dbraw/zinc/10/20/15/838102015.db2.gz MAWNVHUPLJESLD-OLZOCXBDSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)CCCOC)C[C@@H](C)O2 ZINC001131634133 838161770 /nfs/dbraw/zinc/16/17/70/838161770.db2.gz VARRASVPWLVWNL-GDBMZVCRSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)CCCOC)C[C@H](C)O2 ZINC001131634135 838162097 /nfs/dbraw/zinc/16/20/97/838162097.db2.gz VARRASVPWLVWNL-HOCLYGCPSA-N 0 1 294.395 0.738 20 30 CCEDMN CC#CCN1CC[C@]2(C1)CN(C(=O)COCC)C[C@H](C)O2 ZINC001131639743 838163355 /nfs/dbraw/zinc/16/33/55/838163355.db2.gz WQMUMSUVBNERBH-HOCLYGCPSA-N 0 1 294.395 0.738 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)C2CC2)[C@H](O)C1 ZINC001090502884 838218520 /nfs/dbraw/zinc/21/85/20/838218520.db2.gz BCUITIIRMNCVTL-UONOGXRCSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCn2cccn2)CC[C@H]1C ZINC001131820897 838231903 /nfs/dbraw/zinc/23/19/03/838231903.db2.gz KLLLGXXZORYKCK-KGLIPLIRSA-N 0 1 274.368 0.876 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1csnn1 ZINC001131972068 838279194 /nfs/dbraw/zinc/27/91/94/838279194.db2.gz FONMCZRNOMBDFS-UHFFFAOYSA-N 0 1 287.348 0.929 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CC[C@H](C)N(CCOCCO)C1 ZINC001132022118 838292986 /nfs/dbraw/zinc/29/29/86/838292986.db2.gz VDSBGSKNVMACQM-GJZGRUSLSA-N 0 1 296.411 0.624 20 30 CCEDMN C[C@H]1CC[C@@H](NC(=O)CCc2c[nH]nn2)CN1CC#N ZINC001132304359 838358560 /nfs/dbraw/zinc/35/85/60/838358560.db2.gz HAOGWNAYROAKSL-CMPLNLGQSA-N 0 1 276.344 0.230 20 30 CCEDMN C[C@H]1CC[C@@H](NC(=O)CCc2cnn[nH]2)CN1CC#N ZINC001132304359 838358566 /nfs/dbraw/zinc/35/85/66/838358566.db2.gz HAOGWNAYROAKSL-CMPLNLGQSA-N 0 1 276.344 0.230 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NCCNCCS(C)(=O)=O ZINC001132653290 838459600 /nfs/dbraw/zinc/45/96/00/838459600.db2.gz JFSLTVGUDXJQLZ-LLVKDONJSA-N 0 1 276.402 0.339 20 30 CCEDMN CN(CC#N)C[C@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001158714095 838498259 /nfs/dbraw/zinc/49/82/59/838498259.db2.gz HQGVGPXGLYTKGK-LLVKDONJSA-N 0 1 276.344 0.184 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001133000984 838531400 /nfs/dbraw/zinc/53/14/00/838531400.db2.gz PDFVZQLROUDFAP-SECBINFHSA-N 0 1 283.763 0.683 20 30 CCEDMN Cc1ccn(CC(=O)NCCNCc2ccccc2C#N)n1 ZINC001134006301 838809846 /nfs/dbraw/zinc/80/98/46/838809846.db2.gz PBLBGNAUGLUKNP-UHFFFAOYSA-N 0 1 297.362 0.969 20 30 CCEDMN CCOC(=O)c1nc[nH]c1NC(=O)C#CCOC ZINC001187122346 844654244 /nfs/dbraw/zinc/65/42/44/844654244.db2.gz PWGUSTYNABTASJ-UHFFFAOYSA-N 0 1 251.242 0.175 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)Nc2ccc(C#N)cc2O)C1 ZINC001185255911 844378814 /nfs/dbraw/zinc/37/88/14/844378814.db2.gz RVIIFODYBLNCOE-LBPRGKRZSA-N 0 1 274.324 0.448 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)C[C@@H](C)NCc1cnn(C)n1 ZINC001134201480 838909454 /nfs/dbraw/zinc/90/94/54/838909454.db2.gz PMGNJAUGJCKQFY-CHWSQXEVSA-N 0 1 291.399 0.992 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)C[C@H](C)NCc1nncn1C ZINC001134201519 838909915 /nfs/dbraw/zinc/90/99/15/838909915.db2.gz PWCKGSRTGAQFPY-STQMWFEESA-N 0 1 291.399 0.992 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)C[C@@H](C)NCc1nnnn1C ZINC001134469868 838984509 /nfs/dbraw/zinc/98/45/09/838984509.db2.gz JHAWGIMRSRUNOK-VXGBXAGGSA-N 0 1 294.403 0.939 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(=O)n(CC)n1 ZINC001135479913 839263790 /nfs/dbraw/zinc/26/37/90/839263790.db2.gz KSVXOOGCZOHOFT-UHFFFAOYSA-N 0 1 284.747 0.335 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cnon1 ZINC001135573636 839301530 /nfs/dbraw/zinc/30/15/30/839301530.db2.gz LWFFLAUWMXKWEZ-UHFFFAOYSA-N 0 1 275.106 0.298 20 30 CCEDMN O=C(NCCNCC#Cc1ccc(F)cc1)c1cccnn1 ZINC001135641179 839338267 /nfs/dbraw/zinc/33/82/67/839338267.db2.gz OZBWAVSFKPSCLR-UHFFFAOYSA-N 0 1 298.321 0.987 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2occc2C)[C@@H](O)C1 ZINC001090547343 839628188 /nfs/dbraw/zinc/62/81/88/839628188.db2.gz ARAKSMVHPJKZEA-OLZOCXBDSA-N 0 1 278.352 0.868 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(Cl)[nH]2)[C@@H](O)C1 ZINC001090550228 839631091 /nfs/dbraw/zinc/63/10/91/839631091.db2.gz PEKUFSMKTAPELT-JQWIXIFHSA-N 0 1 295.770 0.856 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ocnc2CC)[C@@H](O)C1 ZINC001090561706 839643793 /nfs/dbraw/zinc/64/37/93/839643793.db2.gz CSCHDJVGSOGIDB-RYUDHWBXSA-N 0 1 279.340 0.588 20 30 CCEDMN COCCOCCc1ncc(C(=O)N(C)[C@@H](C)CC#N)[nH]1 ZINC001136681894 839704984 /nfs/dbraw/zinc/70/49/84/839704984.db2.gz ARHKEOGHLNSBPU-NSHDSACASA-N 0 1 294.355 0.989 20 30 CCEDMN C#CC(=O)N1CCc2n[nH]c(C(=O)N3CCC[C@@H]3C)c2C1 ZINC001143906924 839890338 /nfs/dbraw/zinc/89/03/38/839890338.db2.gz DCRYEBQGFOAKSN-JTQLQIEISA-N 0 1 286.335 0.552 20 30 CCEDMN C#CC(=O)N1CCN2C[C@H](OCc3cccnc3)C[C@@H]2C1 ZINC001143906874 839890392 /nfs/dbraw/zinc/89/03/92/839890392.db2.gz BKPVHNXSGKWXJY-HUUCEWRRSA-N 0 1 285.347 0.517 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)CSCC#N)C1 ZINC001149205570 840084180 /nfs/dbraw/zinc/08/41/80/840084180.db2.gz CCFIJEBNODUIMP-ZDUSSCGKSA-N 0 1 295.408 0.474 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)[C@H]1Cc2ccccc2CN1 ZINC001160933458 840280275 /nfs/dbraw/zinc/28/02/75/840280275.db2.gz KURPAZHYAKTICQ-ZIAGYGMSSA-N 0 1 271.320 0.452 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)[C@@H]1Cc2ccccc2CN1 ZINC001160933453 840280311 /nfs/dbraw/zinc/28/03/11/840280311.db2.gz KURPAZHYAKTICQ-KGLIPLIRSA-N 0 1 271.320 0.452 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)C(C)C)C2)C1 ZINC001147006144 840458006 /nfs/dbraw/zinc/45/80/06/840458006.db2.gz USLBSOXHSAALMZ-UHFFFAOYSA-N 0 1 264.369 0.827 20 30 CCEDMN CCN(C)[C@@H](C(=O)NCCNCC#N)c1ccccc1 ZINC001147449107 840599170 /nfs/dbraw/zinc/59/91/70/840599170.db2.gz IYMWKXVZCYREKL-CQSZACIVSA-N 0 1 274.368 0.909 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](NC(N)=O)C(C)(C)C ZINC001147779184 840688566 /nfs/dbraw/zinc/68/85/66/840688566.db2.gz XKFXBGRUTGWCOK-SECBINFHSA-N 0 1 290.795 0.528 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001148126299 840750474 /nfs/dbraw/zinc/75/04/74/840750474.db2.gz WIHPLYFUARJYHJ-CHWSQXEVSA-N 0 1 293.411 0.997 20 30 CCEDMN C=CCCCC(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001148180822 840758319 /nfs/dbraw/zinc/75/83/19/840758319.db2.gz CGMJEHGTDSUNQA-GFCCVEGCSA-N 0 1 279.384 0.751 20 30 CCEDMN N#C[C@@H](NC(=O)CCc1nn[nH]n1)C1CCCCC1 ZINC001148335195 840785775 /nfs/dbraw/zinc/78/57/75/840785775.db2.gz UMMQIESLQHYEIA-SNVBAGLBSA-N 0 1 262.317 0.721 20 30 CCEDMN CC#CC[NH2+][C@H]1C[C@@H](NC(=O)c2[n-]nnc2C)C12CCC2 ZINC001203249718 840974245 /nfs/dbraw/zinc/97/42/45/840974245.db2.gz OBYJLIWAKSWHPD-NWDGAFQWSA-N 0 1 287.367 0.767 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)C[C@](C)(O)C2CC2)C1 ZINC001149608193 840987932 /nfs/dbraw/zinc/98/79/32/840987932.db2.gz NOYRGIYIIVDSJH-ZBFHGGJFSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@](C)(O)C2CC2)C1 ZINC001149608193 840987940 /nfs/dbraw/zinc/98/79/40/840987940.db2.gz NOYRGIYIIVDSJH-ZBFHGGJFSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)c1nc[nH]n1)c1nccn12 ZINC001092403078 841014066 /nfs/dbraw/zinc/01/40/66/841014066.db2.gz AZTSUFBDILFJDZ-JTQLQIEISA-N 0 1 299.338 0.073 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)c1ncn[nH]1)c1nccn12 ZINC001092403078 841014069 /nfs/dbraw/zinc/01/40/69/841014069.db2.gz AZTSUFBDILFJDZ-JTQLQIEISA-N 0 1 299.338 0.073 20 30 CCEDMN C=C1CCC(C(=O)NC[C@@H]2CN(CC(N)=O)C[C@H]2C)CC1 ZINC001092940740 841082610 /nfs/dbraw/zinc/08/26/10/841082610.db2.gz ZLGIRRZXQBPUTD-TZMCWYRMSA-N 0 1 293.411 0.902 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2ccn(C)n2)C1 ZINC001149681613 841087528 /nfs/dbraw/zinc/08/75/28/841087528.db2.gz NGYIKPRPWJIDQR-CQSZACIVSA-N 0 1 292.383 0.356 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)COCCCOC)C1 ZINC001186928025 844616148 /nfs/dbraw/zinc/61/61/48/844616148.db2.gz XJAXMYGQIBZOBP-GFCCVEGCSA-N 0 1 290.791 0.983 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC001186930013 844617486 /nfs/dbraw/zinc/61/74/86/844617486.db2.gz DLOZDISPYZRREN-GXTWGEPZSA-N 0 1 288.351 0.206 20 30 CCEDMN CN(C)CC(=O)N[C@H]1CC[C@H](NC(=O)[C@@H]2C[C@@H]2C#N)CC1 ZINC001186986906 844623213 /nfs/dbraw/zinc/62/32/13/844623213.db2.gz KTMYWNVOMIRXEE-FDYHWXHSSA-N 0 1 292.383 0.251 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNc1ncnc2[nH]cnc21 ZINC001093692702 841428450 /nfs/dbraw/zinc/42/84/50/841428450.db2.gz GOUGTVLYPZWMTL-VIFPVBQESA-N 0 1 290.327 0.424 20 30 CCEDMN Cc1ccc(C#N)c(NCCNC(=O)Cc2nnc[nH]2)n1 ZINC001093851722 841476462 /nfs/dbraw/zinc/47/64/62/841476462.db2.gz KIGFYTHTVXJNAB-UHFFFAOYSA-N 0 1 285.311 0.151 20 30 CCEDMN Cc1nc(NCCNC(=O)c2[nH]ncc2F)ccc1C#N ZINC001094104183 841547179 /nfs/dbraw/zinc/54/71/79/841547179.db2.gz DEMHWLVXNLMSIT-UHFFFAOYSA-N 0 1 288.286 0.966 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC(C)(C)COC)[C@H](O)C1 ZINC001099933942 841565329 /nfs/dbraw/zinc/56/53/29/841565329.db2.gz WWBTWVTVCHCYBZ-UONOGXRCSA-N 0 1 296.411 0.624 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)c2ncn[nH]2)nc1 ZINC001094533028 841658934 /nfs/dbraw/zinc/65/89/34/841658934.db2.gz CSFLOQZNLSKOEG-UHFFFAOYSA-N 0 1 271.284 0.303 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)c2nc[nH]n2)nc1 ZINC001094533028 841658938 /nfs/dbraw/zinc/65/89/38/841658938.db2.gz CSFLOQZNLSKOEG-UHFFFAOYSA-N 0 1 271.284 0.303 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)c2ncn[nH]2)cn1 ZINC001094533185 841659241 /nfs/dbraw/zinc/65/92/41/841659241.db2.gz MEXAMTUMBVHJAH-UHFFFAOYSA-N 0 1 271.284 0.303 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)c2nc[nH]n2)cn1 ZINC001094533185 841659251 /nfs/dbraw/zinc/65/92/51/841659251.db2.gz MEXAMTUMBVHJAH-UHFFFAOYSA-N 0 1 271.284 0.303 20 30 CCEDMN Cc1ccc(C#N)c(NCCCNC(=O)c2ncn[nH]2)n1 ZINC001094533167 841659789 /nfs/dbraw/zinc/65/97/89/841659789.db2.gz LJJXZWTWDLHXLO-UHFFFAOYSA-N 0 1 285.311 0.612 20 30 CCEDMN Cc1ccc(C#N)c(NCCCNC(=O)c2nc[nH]n2)n1 ZINC001094533167 841659793 /nfs/dbraw/zinc/65/97/93/841659793.db2.gz LJJXZWTWDLHXLO-UHFFFAOYSA-N 0 1 285.311 0.612 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2cnon2)cn1 ZINC001171594748 841739673 /nfs/dbraw/zinc/73/96/73/841739673.db2.gz MEDJILBEZKDRIH-UHFFFAOYSA-N 0 1 285.307 0.356 20 30 CCEDMN COC(=O)[C@H]1CCC[C@@H]([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)CC1 ZINC001172803148 841980514 /nfs/dbraw/zinc/98/05/14/841980514.db2.gz ZYUXETYQHUGFRR-YFKTTZPYSA-N 0 1 284.356 0.934 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@H](CNC(=O)[C@@]2(F)CCOC2)C1 ZINC001150178907 842075648 /nfs/dbraw/zinc/07/56/48/842075648.db2.gz COPIMZIGHZNIQO-UKRRQHHQSA-N 0 1 298.358 0.345 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@]2(F)CCOC2)C1 ZINC001150178907 842075651 /nfs/dbraw/zinc/07/56/51/842075651.db2.gz COPIMZIGHZNIQO-UKRRQHHQSA-N 0 1 298.358 0.345 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCOC1)C2 ZINC001095253522 842131168 /nfs/dbraw/zinc/13/11/68/842131168.db2.gz IZMDYIMAUSKWFL-MQYQWHSLSA-N 0 1 262.353 0.768 20 30 CCEDMN C=C[C@@H]1C[C@@]1(NC(=O)Cc1cnc[nH]1)C(=O)OCC ZINC001176837000 842424028 /nfs/dbraw/zinc/42/40/28/842424028.db2.gz VTQWDMFPJCXBIP-RNCFNFMXSA-N 0 1 263.297 0.576 20 30 CCEDMN N#Cc1ncc(NC(=O)Cc2nn[nH]n2)cc1C(F)(F)F ZINC001176838222 842426356 /nfs/dbraw/zinc/42/63/56/842426356.db2.gz SKNNESPEJYKRKL-UHFFFAOYSA-N 0 1 297.200 0.666 20 30 CCEDMN N#CNC(=NC(=O)[C@@H]1CCc2[nH]cnc2C1)c1ccncc1 ZINC001177181395 842517960 /nfs/dbraw/zinc/51/79/60/842517960.db2.gz GWEJAPMCYHCDIK-LLVKDONJSA-N 0 1 294.318 0.954 20 30 CCEDMN Cc1nc(CC(=O)N=C(NO)c2ccc(C)cn2)n[nH]1 ZINC001177440768 842606618 /nfs/dbraw/zinc/60/66/18/842606618.db2.gz DPCNZZJVLXZDSL-UHFFFAOYSA-N 0 1 274.284 0.311 20 30 CCEDMN N#Cc1cc(CC(=O)N2CCN3CC[C@H]3C2)ccn1 ZINC001177670763 842648361 /nfs/dbraw/zinc/64/83/61/842648361.db2.gz JKVGIPLXBDJGAR-ZDUSSCGKSA-N 0 1 256.309 0.412 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC[C@@H](O)[C@@H](CO)C1 ZINC001177908876 842705621 /nfs/dbraw/zinc/70/56/21/842705621.db2.gz OSYGDQFGMQSVBG-DMDPSCGWSA-N 0 1 294.376 0.632 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC001177916534 842707801 /nfs/dbraw/zinc/70/78/01/842707801.db2.gz IJULBZLOEWXKTE-PSASIEDQSA-N 0 1 291.332 0.352 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NCC1OCCO1 ZINC001177916352 842708382 /nfs/dbraw/zinc/70/83/82/842708382.db2.gz VGSLMMBZEGFENL-VIFPVBQESA-N 0 1 266.322 0.919 20 30 CCEDMN C=C[C@@H](O)C(=O)Nc1cc(C(=O)OC(C)C)[nH]n1 ZINC001179082054 842964862 /nfs/dbraw/zinc/96/48/62/842964862.db2.gz IFLODPLAWYDPJX-MRVPVSSYSA-N 0 1 253.258 0.460 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CN(CC3CC3)C[C@@H](C1)O2 ZINC001179897162 843071650 /nfs/dbraw/zinc/07/16/50/843071650.db2.gz YXZBIVSQOCOCHB-WCFLWFBJSA-N 0 1 263.341 0.468 20 30 CCEDMN COC(=O)[C@H](NC(=O)C(C)C#N)c1ccc(O)cc1 ZINC001179900309 843072450 /nfs/dbraw/zinc/07/24/50/843072450.db2.gz GZNUGMDCJWSYIG-LDYMZIIASA-N 0 1 262.265 0.882 20 30 CCEDMN CCOC(=O)CC[C@H](NC(=O)C(C)C#N)C(=O)OCC ZINC001179900768 843073677 /nfs/dbraw/zinc/07/36/77/843073677.db2.gz PBRQAJKNBWVMJY-UWVGGRQHSA-N 0 1 284.312 0.537 20 30 CCEDMN Cc1noc(NC(=O)CN2CCN(C(C)C)CC2)c1C#N ZINC001180341936 843147534 /nfs/dbraw/zinc/14/75/34/843147534.db2.gz FQDRZBVLIMGJFG-UHFFFAOYSA-N 0 1 291.355 0.819 20 30 CCEDMN C[N@@H+](CC(=O)N([O-])C(=N)c1nonc1N)C1CCCC1 ZINC001180625086 843216433 /nfs/dbraw/zinc/21/64/33/843216433.db2.gz OHSPGCVTIGNWKR-UHFFFAOYSA-N 0 1 282.304 0.069 20 30 CCEDMN CC(C)(C)OC(=O)N[C@@H](CC#N)C(=O)NCc1nnc[nH]1 ZINC001180783842 843303741 /nfs/dbraw/zinc/30/37/41/843303741.db2.gz YLLYMCNASGSEKJ-QMMMGPOBSA-N 0 1 294.315 0.228 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(C(=O)C2(N(C)C)CC2)C1 ZINC001182597155 843859463 /nfs/dbraw/zinc/85/94/63/843859463.db2.gz LETZXKRXHRQXKQ-GFCCVEGCSA-N 0 1 295.383 0.984 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CCCN(C)C(C)=O ZINC001273476080 843863225 /nfs/dbraw/zinc/86/32/25/843863225.db2.gz ZTUNPMFOGWXCTF-LLVKDONJSA-N 0 1 298.387 0.187 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3cnn[nH]3)CC2)nc1 ZINC001110396593 844765383 /nfs/dbraw/zinc/76/53/83/844765383.db2.gz YYVODYVYCAOWKA-UHFFFAOYSA-N 0 1 283.295 0.446 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)c2[nH]ncc2Cl)CC1 ZINC001187839990 844776941 /nfs/dbraw/zinc/77/69/41/844776941.db2.gz CLUVYMPDQFPLRS-UHFFFAOYSA-N 0 1 296.714 0.982 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CC[C@@H](NCc2ccn(C)n2)C1 ZINC001188309861 844863072 /nfs/dbraw/zinc/86/30/72/844863072.db2.gz JYMBEIRPNMIGHP-TZMCWYRMSA-N 0 1 292.383 0.702 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COCC(F)F)[C@@H]2C1 ZINC001188652405 844931176 /nfs/dbraw/zinc/93/11/76/844931176.db2.gz NSFXHVSYIPTJSE-WDEREUQCSA-N 0 1 272.295 0.434 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CN2CCCC2=O)C1 ZINC001188804068 844979767 /nfs/dbraw/zinc/97/97/67/844979767.db2.gz PJYDLLTUUTWGLV-CYBMUJFWSA-N 0 1 277.368 0.165 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cnn(C)c2)C1 ZINC001188802575 844980363 /nfs/dbraw/zinc/98/03/63/844980363.db2.gz AHDRANBQDHZKDJ-AWEZNQCLSA-N 0 1 290.367 0.216 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CNC(=O)C2CC2)C1 ZINC001189086255 845048555 /nfs/dbraw/zinc/04/85/55/845048555.db2.gz QEVYMGLHOPGCKJ-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCOC2)C1 ZINC001189196234 845073219 /nfs/dbraw/zinc/07/32/19/845073219.db2.gz JAFMJLOWPXUYMC-OLZOCXBDSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001189280450 845092561 /nfs/dbraw/zinc/09/25/61/845092561.db2.gz SFOMHJAEDGZXBZ-SNVBAGLBSA-N 0 1 279.344 0.509 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001189280450 845092570 /nfs/dbraw/zinc/09/25/70/845092570.db2.gz SFOMHJAEDGZXBZ-SNVBAGLBSA-N 0 1 279.344 0.509 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cc(-c2ccncc2)[nH]n1 ZINC001189323230 845106998 /nfs/dbraw/zinc/10/69/98/845106998.db2.gz OZJXIBULNSJIDD-LLVKDONJSA-N 0 1 283.291 0.836 20 30 CCEDMN C#CCC[N@H+](C)[C@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001189664337 845190771 /nfs/dbraw/zinc/19/07/71/845190771.db2.gz NLKKPZSFEUWCRQ-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnn(C)c2N)C1 ZINC001189759964 845212862 /nfs/dbraw/zinc/21/28/62/845212862.db2.gz FZFRRELUUMFVOB-LLVKDONJSA-N 0 1 275.356 0.172 20 30 CCEDMN C=CCN(C)CCCN(C)C(=O)[C@H]1CCCS1(=O)=O ZINC001273591260 845307242 /nfs/dbraw/zinc/30/72/42/845307242.db2.gz KTXRHCKNELKYSP-GFCCVEGCSA-N 0 1 288.413 0.530 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](N(C)[C@@H](C)C(=O)N(C)C)C1 ZINC001190053024 845316578 /nfs/dbraw/zinc/31/65/78/845316578.db2.gz CNLHAXLPYAECLL-KBPBESRZSA-N 0 1 293.411 0.655 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cccn3nnnc23)C1 ZINC001190354294 845391882 /nfs/dbraw/zinc/39/18/82/845391882.db2.gz HUVKTALONLJRMB-LBPRGKRZSA-N 0 1 298.350 0.294 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)CCc2ccncn2)C1 ZINC001190405204 845396745 /nfs/dbraw/zinc/39/67/45/845396745.db2.gz FDSBCONEOPVPLH-OAHLLOKOSA-N 0 1 286.379 0.965 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCc2ccncn2)C1 ZINC001190405204 845396754 /nfs/dbraw/zinc/39/67/54/845396754.db2.gz FDSBCONEOPVPLH-OAHLLOKOSA-N 0 1 286.379 0.965 20 30 CCEDMN Cc1nnc(CNS(=O)(=O)c2cc(C#N)ccc2F)o1 ZINC001190677277 845461680 /nfs/dbraw/zinc/46/16/80/845461680.db2.gz MIANEDCKZGCVPN-UHFFFAOYSA-N 0 1 296.283 0.867 20 30 CCEDMN C=CCC1(S(=O)(=O)Nc2cnc(C(N)=O)cn2)CC1 ZINC001190772662 845494960 /nfs/dbraw/zinc/49/49/60/845494960.db2.gz GVCFASTUWYTZTK-UHFFFAOYSA-N 0 1 282.325 0.426 20 30 CCEDMN CC#CC[N@H+](C)[C@H]1CCN(C(=O)C2CC(OC)C2)C1 ZINC001190819279 845508204 /nfs/dbraw/zinc/50/82/04/845508204.db2.gz WOCHMRKYWUTSTH-MOKVOYLWSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C2CC(OC)C2)C1 ZINC001190819279 845508209 /nfs/dbraw/zinc/50/82/09/845508209.db2.gz WOCHMRKYWUTSTH-MOKVOYLWSA-N 0 1 264.369 0.967 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2ccncc2)cc[nH]1 ZINC001190853876 845522760 /nfs/dbraw/zinc/52/27/60/845522760.db2.gz CWWLMHLSCLRQLE-UHFFFAOYSA-N 0 1 259.290 0.681 20 30 CCEDMN C#Cc1cc(NS(=O)(=O)c2ccncc2)ccn1 ZINC001190853876 845522768 /nfs/dbraw/zinc/52/27/68/845522768.db2.gz CWWLMHLSCLRQLE-UHFFFAOYSA-N 0 1 259.290 0.681 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2(COCC)CC2)C1 ZINC001191012069 845566137 /nfs/dbraw/zinc/56/61/37/845566137.db2.gz WVNDKHDSAJTQPF-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCC[N@H+](C)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001191163552 845601579 /nfs/dbraw/zinc/60/15/79/845601579.db2.gz WPBFONLQJMBZLW-VIFPVBQESA-N 0 1 293.327 0.005 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCOC(C)C)C1 ZINC001191218163 845609388 /nfs/dbraw/zinc/60/93/88/845609388.db2.gz VSDJREYQCBXKLK-ZIAGYGMSSA-N 0 1 284.400 0.929 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC[C@@H]2CCCO2)C1 ZINC001191178055 845610836 /nfs/dbraw/zinc/61/08/36/845610836.db2.gz KONQEVBTCLJMDX-BFHYXJOUSA-N 0 1 282.384 0.683 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@H]2CC(C)(C)CO2)C[C@H]1O ZINC001191724426 845716773 /nfs/dbraw/zinc/71/67/73/845716773.db2.gz FBFQIOKBVOGBNN-MGPQQGTHSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@H](C)c2n[nH]c(C)n2)C[C@H]1O ZINC001191724737 845717149 /nfs/dbraw/zinc/71/71/49/845717149.db2.gz OQNSBKQWJDOJSJ-YUSALJHKSA-N 0 1 293.371 0.302 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(CC[C@@H](C)F)C[C@H]1O ZINC001191772194 845725464 /nfs/dbraw/zinc/72/54/64/845725464.db2.gz XGZPGNFMXOTHDG-IJLUTSLNSA-N 0 1 274.336 0.098 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CSC)C1 ZINC001191814380 845733423 /nfs/dbraw/zinc/73/34/23/845733423.db2.gz DYFUXIRMKSSQNV-QJPTWQEYSA-N 0 1 272.414 0.723 20 30 CCEDMN CC(C)OCCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001191881433 845752103 /nfs/dbraw/zinc/75/21/03/845752103.db2.gz IIVAPJDTWZXMMQ-YNEHKIRRSA-N 0 1 283.372 0.122 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CCc2cccs2)C[C@H]1O ZINC001191882897 845752302 /nfs/dbraw/zinc/75/23/02/845752302.db2.gz ZETXFTWHABFKCB-RAIGVLPGSA-N 0 1 293.392 0.612 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnc(C)n2C)C1 ZINC001191958202 845767403 /nfs/dbraw/zinc/76/74/03/845767403.db2.gz AQBHRDXTUQXFPD-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COc2cnn(C)c2)C1 ZINC001191979141 845768472 /nfs/dbraw/zinc/76/84/72/845768472.db2.gz IDFPQLWMVBUQLC-CYBMUJFWSA-N 0 1 290.367 0.355 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[N@@H+](CCCC)C[C@H]1O ZINC001192518325 845863568 /nfs/dbraw/zinc/86/35/68/845863568.db2.gz QVXLPPXIORREQB-CHWSQXEVSA-N 0 1 252.358 0.751 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2cnc[nH]2)C1 ZINC001192561129 845871210 /nfs/dbraw/zinc/87/12/10/845871210.db2.gz XPYUDNFJEVUERJ-CYBMUJFWSA-N 0 1 260.341 0.508 20 30 CCEDMN CCOCC[N@@H+](C)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001192536915 845875575 /nfs/dbraw/zinc/87/55/75/845875575.db2.gz OBPMADOEHDYMEL-UHFFFAOYSA-N 0 1 275.312 0.775 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cn2nccc2C)C1 ZINC001192596547 845880112 /nfs/dbraw/zinc/88/01/12/845880112.db2.gz NGQKOWMQFKGWNP-AWEZNQCLSA-N 0 1 274.368 0.748 20 30 CCEDMN COCCCN1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192813846 845915154 /nfs/dbraw/zinc/91/51/54/845915154.db2.gz QGDNSRROWVBUBS-CHWSQXEVSA-N 0 1 282.384 0.234 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@]2(C)CCOC2)C1 ZINC001193048260 845964024 /nfs/dbraw/zinc/96/40/24/845964024.db2.gz MVDYJKLGMMDBQU-HOCLYGCPSA-N 0 1 294.395 0.596 20 30 CCEDMN CCc1nc2ccc(NS(=O)(=O)[C@@H](C)C#N)cn2n1 ZINC001193112924 845982233 /nfs/dbraw/zinc/98/22/33/845982233.db2.gz WSTQMHHQISDRJD-QMMMGPOBSA-N 0 1 279.325 0.945 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2coc(COC)n2)C1 ZINC001193147454 846004657 /nfs/dbraw/zinc/00/46/57/846004657.db2.gz ZKQLNJYSTPTUCK-LBPRGKRZSA-N 0 1 291.351 0.991 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H](C)COC)C1 ZINC001193195968 846014636 /nfs/dbraw/zinc/01/46/36/846014636.db2.gz SQWKDONWTLFLNO-HUUCEWRRSA-N 0 1 296.411 0.842 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@H]1O ZINC001193337491 846055560 /nfs/dbraw/zinc/05/55/60/846055560.db2.gz RVMOERNZWPOQDF-MGPQQGTHSA-N 0 1 298.427 0.911 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCCC(N)=O)C1 ZINC001193339570 846056345 /nfs/dbraw/zinc/05/63/45/846056345.db2.gz MZDNIPBJHSAHCP-GFCCVEGCSA-N 0 1 265.357 0.198 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1O ZINC001193344761 846057941 /nfs/dbraw/zinc/05/79/41/846057941.db2.gz DYHRBVWQKLQSNR-YNEHKIRRSA-N 0 1 284.400 0.785 20 30 CCEDMN C[C@@]1(CO)CN(C(=O)c2ccc(O)c(C#N)c2)CC[C@H]1O ZINC001193505083 846101347 /nfs/dbraw/zinc/10/13/47/846101347.db2.gz CJDBRVKBCKHUAP-HIFRSBDPSA-N 0 1 290.319 0.469 20 30 CCEDMN CC(=O)N1CC(NC(=O)c2ccc(O)c(C#N)c2)C1 ZINC001193514727 846102988 /nfs/dbraw/zinc/10/29/88/846102988.db2.gz GEPQANYUKRCLFO-UHFFFAOYSA-N 0 1 259.265 0.224 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCC(=O)NCCC)C1 ZINC001193551129 846116961 /nfs/dbraw/zinc/11/69/61/846116961.db2.gz MPECZPDYPWPVOU-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C(C)(C)C)C1 ZINC001193610141 846121013 /nfs/dbraw/zinc/12/10/13/846121013.db2.gz MEVHVUIPZMGRJX-OLZOCXBDSA-N 0 1 293.411 0.703 20 30 CCEDMN C[C@@]1(CO)CCN(C(=O)c2cccc(C#N)c2O)C[C@@H]1O ZINC001193642175 846135087 /nfs/dbraw/zinc/13/50/87/846135087.db2.gz CPXMZBNSIJUHEP-WFASDCNBSA-N 0 1 290.319 0.469 20 30 CCEDMN CN1CCOC[C@@H]1CNC(=O)c1cccc(C#N)c1O ZINC001193657910 846140118 /nfs/dbraw/zinc/14/01/18/846140118.db2.gz LISKNFFILPGKAK-NSHDSACASA-N 0 1 275.308 0.324 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(C[C@H](C)OC)C[C@H]2O)CCC1 ZINC001193685441 846142816 /nfs/dbraw/zinc/14/28/16/846142816.db2.gz NLQXPDARMPHSLZ-BFHYXJOUSA-N 0 1 294.395 0.376 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)NC(=O)C2CCC2)C1 ZINC001194523455 846317246 /nfs/dbraw/zinc/31/72/46/846317246.db2.gz WAQHKGTYGWREGI-GXTWGEPZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CC[C@]2(C)CCC(=O)N2)C1 ZINC001194565845 846325875 /nfs/dbraw/zinc/32/58/75/846325875.db2.gz AWWGWOWGRPXLCV-BBRMVZONSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@H]1O ZINC001195254708 846485730 /nfs/dbraw/zinc/48/57/30/846485730.db2.gz FKEKRQSUGAUTDL-BFHYXJOUSA-N 0 1 298.427 0.911 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C/C=C(\C)C=C)C1 ZINC001195444101 846532382 /nfs/dbraw/zinc/53/23/82/846532382.db2.gz MRZAWRXRZKNZOV-XCEACWERSA-N 0 1 262.353 0.693 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2(CC)CCC2)C1 ZINC001195542131 846571302 /nfs/dbraw/zinc/57/13/02/846571302.db2.gz GEBJFOJYQNOLBQ-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN CC(C)(CNC(=O)c1ccc(C#N)cc1O)C(N)=O ZINC001195764038 846613577 /nfs/dbraw/zinc/61/35/77/846613577.db2.gz FZVSAYWCMKHKMX-UHFFFAOYSA-N 0 1 261.281 0.505 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC3(C2)OCCO3)c(O)c1 ZINC001195741978 846620086 /nfs/dbraw/zinc/62/00/86/846620086.db2.gz TWYBOROXRPBYCQ-UHFFFAOYSA-N 0 1 274.276 0.853 20 30 CCEDMN C[C@H](O)CN1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785517 846622458 /nfs/dbraw/zinc/62/24/58/846622458.db2.gz NQJZCPZYLDSYLR-ZDUSSCGKSA-N 0 1 266.385 0.951 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCCN(CCOCC=C)CC1 ZINC001195805401 846631308 /nfs/dbraw/zinc/63/13/08/846631308.db2.gz CMYFQQVVMPRFIZ-OAHLLOKOSA-N 0 1 294.395 0.762 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@@H](C)NC(N)=O)CC1 ZINC001195832229 846636547 /nfs/dbraw/zinc/63/65/47/846636547.db2.gz GJTGLHIVJHTARH-LLVKDONJSA-N 0 1 268.361 0.154 20 30 CCEDMN COC(=O)CCCCS(=O)(=O)Nc1cncc(C#N)n1 ZINC001196914316 846818166 /nfs/dbraw/zinc/81/81/66/846818166.db2.gz BQZCMELKYHBOCO-UHFFFAOYSA-N 0 1 298.324 0.433 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](C)OCCOC)CC1 ZINC001197332783 846896593 /nfs/dbraw/zinc/89/65/93/846896593.db2.gz ZRWCSUXPFIJSIG-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCCOC)C1 ZINC001197559170 846933398 /nfs/dbraw/zinc/93/33/98/846933398.db2.gz ONZKSMGJTQDCBG-MGPQQGTHSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCCN1CCCN(C(=O)C(=O)NCC2CC2)CC1 ZINC001197620754 846936203 /nfs/dbraw/zinc/93/62/03/846936203.db2.gz NLQMOHLKWGYRKX-UHFFFAOYSA-N 0 1 277.368 0.070 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cnn(-c2ncccn2)c1 ZINC001197827477 846990251 /nfs/dbraw/zinc/99/02/51/846990251.db2.gz PAMWDLNLNLYXCH-UHFFFAOYSA-N 0 1 280.251 0.509 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCc2ccc(C)o2)C1 ZINC001199030665 847209812 /nfs/dbraw/zinc/20/98/12/847209812.db2.gz WGOARLNOHPVOTA-HUUCEWRRSA-N 0 1 290.363 0.705 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCCCCOCC)C1 ZINC001199292722 847285699 /nfs/dbraw/zinc/28/56/99/847285699.db2.gz ROMJKUOUZDRNEI-HUUCEWRRSA-N 0 1 296.411 0.768 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCCCCOCC)C1 ZINC001199292722 847285712 /nfs/dbraw/zinc/28/57/12/847285712.db2.gz ROMJKUOUZDRNEI-HUUCEWRRSA-N 0 1 296.411 0.768 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCCCC(=O)NC)C1 ZINC001199299151 847287547 /nfs/dbraw/zinc/28/75/47/847287547.db2.gz HAIUOFSVMYVCGE-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN CC(C)C[C@H](NC(=O)c1cnccc1N(C)C)C(=O)NO ZINC001199356703 847298014 /nfs/dbraw/zinc/29/80/14/847298014.db2.gz ZBKRRWSBTNYHBI-NSHDSACASA-N 0 1 294.355 0.798 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1N2CCn1cncn1 ZINC001110540549 847400813 /nfs/dbraw/zinc/40/08/13/847400813.db2.gz XCTPSNVUIIMAGO-KFWWJZLASA-N 0 1 299.378 0.413 20 30 CCEDMN CN1CCc2c(C#N)c(NC[C@H](O)CO)sc2C1 ZINC001252054358 847735840 /nfs/dbraw/zinc/73/58/40/847735840.db2.gz XOXXAUYPFPOVOV-QMMMGPOBSA-N 0 1 267.354 0.373 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)c1[nH]nc2c1CCC2 ZINC001201242949 847748249 /nfs/dbraw/zinc/74/82/49/847748249.db2.gz OVKAPTRCVNIVTC-UHFFFAOYSA-N 0 1 276.340 0.711 20 30 CCEDMN C[C@@H](C#N)C(=O)NC/C=C\CNCC(=O)N(C)C1CC1 ZINC001273909900 847820434 /nfs/dbraw/zinc/82/04/34/847820434.db2.gz LEYPINPQHAIDIF-BYCRGOAPSA-N 0 1 278.356 0.029 20 30 CCEDMN C#CCN1CCC2(CCN(CC(=O)CC)CC2)C1=O ZINC001273951691 847925832 /nfs/dbraw/zinc/92/58/32/847925832.db2.gz RMCAORXPLCIFCE-UHFFFAOYSA-N 0 1 262.353 0.913 20 30 CCEDMN C#CCN1C(=O)C[C@@]2(CCCN(CC(=O)CC)C2)C1=O ZINC001273952055 847927937 /nfs/dbraw/zinc/92/79/37/847927937.db2.gz VPQYNWBKLLADTA-OAHLLOKOSA-N 0 1 276.336 0.440 20 30 CCEDMN C=CCN1C(=O)C[C@@]2(CCCN(COCCOC)C2)C1=O ZINC001273990055 848210313 /nfs/dbraw/zinc/21/03/13/848210313.db2.gz JSQCWPIDRRWEMB-OAHLLOKOSA-N 0 1 296.367 0.634 20 30 CCEDMN Cc1nc(CNCC=CCNC(=O)C#CC(C)C)n[nH]1 ZINC001274010762 848299051 /nfs/dbraw/zinc/29/90/51/848299051.db2.gz RBWRCSMYPYIEAZ-PLNGDYQASA-N 0 1 275.356 0.535 20 30 CCEDMN CCOCCN1CC[C@@]2(CCN(CCC#N)C2)C1=O ZINC001274030381 848310429 /nfs/dbraw/zinc/31/04/29/848310429.db2.gz KXDBKEPAINIXBF-CQSZACIVSA-N 0 1 265.357 0.861 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H](C)[C@H](NCc2cnnn2C)C1 ZINC001274199938 848372925 /nfs/dbraw/zinc/37/29/25/848372925.db2.gz WOKVYKMCWDTTIB-GXTWGEPZSA-N 0 1 289.383 0.411 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccn(C)n1)C2 ZINC001095398571 848401983 /nfs/dbraw/zinc/40/19/83/848401983.db2.gz SFPWOOHHYUFGFQ-ILXRZTDVSA-N 0 1 286.379 0.707 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1nccn1C)C2 ZINC001110840877 848408495 /nfs/dbraw/zinc/40/84/95/848408495.db2.gz GPZIKENUCCFXQS-MCIONIFRSA-N 0 1 286.379 0.707 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C\CNCC(=O)NC1CC1 ZINC001274263184 848433673 /nfs/dbraw/zinc/43/36/73/848433673.db2.gz NWNYUZMHLRTECV-WAYWQWQTSA-N 0 1 279.384 0.739 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)[C@H]1CC12CC2 ZINC001274392702 848467177 /nfs/dbraw/zinc/46/71/77/848467177.db2.gz HIHZHZLMMVUEQL-AAOUONPWSA-N 0 1 277.368 0.351 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)c1cccc(C#N)n1)C2 ZINC001274397409 848468886 /nfs/dbraw/zinc/46/88/86/848468886.db2.gz LPEXFVAUKBZOCN-PWSUYJOCSA-N 0 1 256.309 0.729 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H]2C[C@H]2C)CC1 ZINC001274891323 848585516 /nfs/dbraw/zinc/58/55/16/848585516.db2.gz BTMGQSDKMDSXAG-NEPJUHHUSA-N 0 1 252.358 0.772 20 30 CCEDMN Cc1cc(CNC[C@H](C)NC(=O)[C@H](C)C#N)on1 ZINC001275099182 848637959 /nfs/dbraw/zinc/63/79/59/848637959.db2.gz RCOZEFCCONRMOS-SCZZXKLOSA-N 0 1 250.302 0.737 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC[C@H]1CN(C)CC#C ZINC001275109200 848640449 /nfs/dbraw/zinc/64/04/49/848640449.db2.gz XPOWRXCCZBCWBQ-KGLIPLIRSA-N 0 1 262.353 0.581 20 30 CCEDMN C[C@@H](CN(C)[C@H]1CCCNC1=O)NC(=O)C#CC1CC1 ZINC001275551170 848760675 /nfs/dbraw/zinc/76/06/75/848760675.db2.gz FQFXARDTPWEEGW-AAEUAGOBSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnc2ccccn2c1=O ZINC001275592973 848770465 /nfs/dbraw/zinc/77/04/65/848770465.db2.gz WDYIYPKMZWNRHU-GFCCVEGCSA-N 0 1 298.346 0.378 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cccn(C)c1=O ZINC001275699448 848796214 /nfs/dbraw/zinc/79/62/14/848796214.db2.gz XSIBYRHCUPPRLT-LLVKDONJSA-N 0 1 261.325 0.069 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(=O)[nH]c1 ZINC001275711829 848798102 /nfs/dbraw/zinc/79/81/02/848798102.db2.gz APGDEYFMHKKQJK-LLVKDONJSA-N 0 1 261.325 0.861 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCN1CCCC1=O ZINC001275721404 848799805 /nfs/dbraw/zinc/79/98/05/848799805.db2.gz UEJOZGXGKDEXIH-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CCc1cnn(C)c1C ZINC001275843860 848842702 /nfs/dbraw/zinc/84/27/02/848842702.db2.gz JFVMHSFFGNXIMK-LBPRGKRZSA-N 0 1 276.384 0.731 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1c(C)c(C)n[nH]c1=O ZINC001275897604 848859371 /nfs/dbraw/zinc/85/93/71/848859371.db2.gz QVGIOWQEGMHRRK-JTQLQIEISA-N 0 1 290.367 0.872 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CC(=O)N(C)C1)C2 ZINC001095492066 848950315 /nfs/dbraw/zinc/95/03/15/848950315.db2.gz RGAMVISVHAPPJU-NDBYEHHHSA-N 0 1 277.368 0.372 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(C)CNC(=O)C1)C2 ZINC001095805498 849151499 /nfs/dbraw/zinc/15/14/99/849151499.db2.gz NHUSEHCYKWSICP-OXJKWZBOSA-N 0 1 277.368 0.420 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1ccc2[nH]nnc2c1 ZINC000717900127 849202255 /nfs/dbraw/zinc/20/22/55/849202255.db2.gz QRKCEDQRTZEWKJ-JTQLQIEISA-N 0 1 258.281 0.462 20 30 CCEDMN C[C@@H]1CO[C@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000718560164 849268315 /nfs/dbraw/zinc/26/83/15/849268315.db2.gz RUOUIPFSIGARRI-QWRGUYRKSA-N 0 1 251.330 0.516 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(COC)CCC1 ZINC001114584249 849269125 /nfs/dbraw/zinc/26/91/25/849269125.db2.gz GOOYKIQWGRJBLI-ITGUQSILSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(COC)CCC1 ZINC001114584793 849271103 /nfs/dbraw/zinc/27/11/03/849271103.db2.gz PYIWUSKAVACLCH-WDNDVIMCSA-N 0 1 276.380 0.873 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NC)C[C@H]21 ZINC001114686885 849362707 /nfs/dbraw/zinc/36/27/07/849362707.db2.gz UCTRFZMBQIFGQX-NNYUYHANSA-N 0 1 279.384 0.380 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@H](CC)C(N)=O)C[C@H]21 ZINC001114687253 849363285 /nfs/dbraw/zinc/36/32/85/849363285.db2.gz ZSSBXRKUOLNYAD-KXNHARMFSA-N 0 1 279.384 0.509 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cocc1C ZINC001114689641 849364512 /nfs/dbraw/zinc/36/45/12/849364512.db2.gz NMODMDLPKPMJMD-NHAGDIPZSA-N 0 1 288.347 0.898 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OC)C(C)C ZINC001114781884 849394127 /nfs/dbraw/zinc/39/41/27/849394127.db2.gz YPAUYPLIOQLTAA-YJNKXOJESA-N 0 1 294.395 0.354 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)Cc2cc(C)on2)C1 ZINC001276360143 849448296 /nfs/dbraw/zinc/44/82/96/849448296.db2.gz KNPOTPDVYTXCMH-GFCCVEGCSA-N 0 1 275.352 0.985 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001038657761 849461644 /nfs/dbraw/zinc/46/16/44/849461644.db2.gz OTPLKDFGIWWORK-JTQLQIEISA-N 0 1 264.329 0.506 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000720509149 849476218 /nfs/dbraw/zinc/47/62/18/849476218.db2.gz GPYITKHFUZNEBZ-MXWKQRLJSA-N 0 1 253.371 0.842 20 30 CCEDMN C=C[C@H](O)CN1Cc2cnn(C)c2[C@@H](COCC)C1 ZINC001253578334 849528906 /nfs/dbraw/zinc/52/89/06/849528906.db2.gz ZFHONQQFYSHWEQ-OLZOCXBDSA-N 0 1 265.357 0.903 20 30 CCEDMN C=C[C@H](O)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC001253578496 849531560 /nfs/dbraw/zinc/53/15/60/849531560.db2.gz CIUVQBPQPCUYMS-ZDUSSCGKSA-N 0 1 258.325 0.622 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(C)C(C)(C)C(=O)[O-] ZINC000393235626 849690379 /nfs/dbraw/zinc/69/03/79/849690379.db2.gz ATFSPQGQXKGCGE-UHFFFAOYSA-N 0 1 269.345 0.446 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1Nc1cncc(C#N)n1 ZINC001067029170 849714706 /nfs/dbraw/zinc/71/47/06/849714706.db2.gz KABPGTGHEBEJTH-SKDRFNHKSA-N 0 1 297.322 0.644 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1Nc1cnc(C#N)cn1 ZINC001067030152 849715285 /nfs/dbraw/zinc/71/52/85/849715285.db2.gz QTSRFZKOWMCHQQ-CABZTGNLSA-N 0 1 297.322 0.644 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccoc1CC(N)=O ZINC001038636133 849759733 /nfs/dbraw/zinc/75/97/33/849759733.db2.gz IZYBSEQEKOHNQS-LLVKDONJSA-N 0 1 291.351 0.688 20 30 CCEDMN CO[C@H](C)CN1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038172019 849805670 /nfs/dbraw/zinc/80/56/70/849805670.db2.gz DTXFOXXSVFLPJX-PWSUYJOCSA-N 0 1 276.340 0.725 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnc2[nH]cnc2c1 ZINC001038304989 849846062 /nfs/dbraw/zinc/84/60/62/849846062.db2.gz FKEMVXUAGGNUMU-LBPRGKRZSA-N 0 1 283.335 0.785 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCCNC1=O ZINC001038366021 849866890 /nfs/dbraw/zinc/86/68/90/849866890.db2.gz IXJPSIFUCCOGMF-NWDGAFQWSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cn2c(n1)COCC2 ZINC001038410881 849882514 /nfs/dbraw/zinc/88/25/14/849882514.db2.gz OZJVZPFYAKABHN-LBPRGKRZSA-N 0 1 288.351 0.241 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCn2ccnc2C1 ZINC001038425178 849891846 /nfs/dbraw/zinc/89/18/46/849891846.db2.gz YSFFWRGNZPESNZ-UONOGXRCSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001038444700 849903333 /nfs/dbraw/zinc/90/33/33/849903333.db2.gz FDYUWLGAXYYESA-GFCCVEGCSA-N 0 1 295.342 0.965 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001038706827 849989118 /nfs/dbraw/zinc/98/91/18/849989118.db2.gz CUWWQIYLPITPEC-AGIUHOORSA-N 0 1 279.384 0.655 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cccn(C)c1=O ZINC001038928920 850087526 /nfs/dbraw/zinc/08/75/26/850087526.db2.gz YSNKGNYHPNTOIG-LBPRGKRZSA-N 0 1 275.352 0.766 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cncc(C(N)=O)c1 ZINC001039035299 850132492 /nfs/dbraw/zinc/13/24/92/850132492.db2.gz ZSGZDJYUTIIXTK-CYBMUJFWSA-N 0 1 288.351 0.561 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCN(C)C1=O ZINC001039421272 850187943 /nfs/dbraw/zinc/18/79/43/850187943.db2.gz XQSCHJRUDRGGGK-HZSPNIEDSA-N 0 1 291.395 0.716 20 30 CCEDMN C#CCN1CCC[C@@]2(CCN(C(=O)c3nc[nH]n3)C2)C1 ZINC001040456318 850291560 /nfs/dbraw/zinc/29/15/60/850291560.db2.gz MBQVMBBYKHLIKZ-CQSZACIVSA-N 0 1 273.340 0.366 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CC[C@@]2(CCN(CC#N)C2)C1 ZINC001041137996 850380744 /nfs/dbraw/zinc/38/07/44/850380744.db2.gz ABBSAOUXIXEQHL-UKRRQHHQSA-N 0 1 276.384 0.529 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)C[C@H]3CCNC3=O)C2)C1 ZINC001041353635 850434292 /nfs/dbraw/zinc/43/42/92/850434292.db2.gz OYDPKQAIIBOQNC-CJNGLKHVSA-N 0 1 289.379 0.070 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@@H]21 ZINC001041993397 850553513 /nfs/dbraw/zinc/55/35/13/850553513.db2.gz YYFAXLAYQNMDNF-YPMHNXCESA-N 0 1 273.340 0.399 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H]2CCN(CC#N)[C@@H]2C1 ZINC001042179336 850590099 /nfs/dbraw/zinc/59/00/99/850590099.db2.gz LANLZFBJJVBLJA-GHMZBOCLSA-N 0 1 274.328 0.173 20 30 CCEDMN CN1CC(C(=O)N2CC[C@H]3CCN(CC#N)[C@H]3C2)=NC1=O ZINC001042212617 850597729 /nfs/dbraw/zinc/59/77/29/850597729.db2.gz AFAKKMXXOAFVPU-PWSUYJOCSA-N 0 1 289.339 0.186 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)CCc3c[nH]nn3)C[C@@H]21 ZINC001042239103 850600785 /nfs/dbraw/zinc/60/07/85/850600785.db2.gz DCBCHTPJQOJPPE-AAEUAGOBSA-N 0 1 288.355 0.184 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)CCc3cnn[nH]3)C[C@@H]21 ZINC001042239103 850600791 /nfs/dbraw/zinc/60/07/91/850600791.db2.gz DCBCHTPJQOJPPE-AAEUAGOBSA-N 0 1 288.355 0.184 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccnnc3)C[C@@H]21 ZINC001042243577 850603564 /nfs/dbraw/zinc/60/35/64/850603564.db2.gz FRKKUUBZURYYHP-JSGCOSHPSA-N 0 1 270.336 0.646 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3ccn(C)n3)C[C@@H]21 ZINC001042355665 850617289 /nfs/dbraw/zinc/61/72/89/850617289.db2.gz DCROEMNCBUXJCJ-HIFRSBDPSA-N 0 1 286.379 0.980 20 30 CCEDMN CN(C(=O)[C@H]1CCCCN1C)C1CN(CC#N)C1 ZINC001043096042 850811127 /nfs/dbraw/zinc/81/11/27/850811127.db2.gz QNIRJXPVEUHXDG-GFCCVEGCSA-N 0 1 250.346 0.137 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001043435025 850867494 /nfs/dbraw/zinc/86/74/94/850867494.db2.gz KQEVPDQBWPTVEC-OAHLLOKOSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001043529632 850891332 /nfs/dbraw/zinc/89/13/32/850891332.db2.gz DGTBISHXZPXMLZ-UHFFFAOYSA-N 0 1 278.356 0.848 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001044045850 850991345 /nfs/dbraw/zinc/99/13/45/850991345.db2.gz OSLAXKMHOPIPBP-LLVKDONJSA-N 0 1 265.357 0.374 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001044206390 851042581 /nfs/dbraw/zinc/04/25/81/851042581.db2.gz BOTIEGXPOXHMAS-LBPRGKRZSA-N 0 1 265.357 0.374 20 30 CCEDMN CCN(CCCNC(=O)c1cnn[nH]1)c1ncccc1C#N ZINC001095987689 851130093 /nfs/dbraw/zinc/13/00/93/851130093.db2.gz OAQNIDUOYZYNNZ-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H]1CNCc1ccn(C)n1 ZINC001044962088 851194569 /nfs/dbraw/zinc/19/45/69/851194569.db2.gz CZODWIZMXZWGKD-AAEUAGOBSA-N 0 1 275.356 0.660 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cnn(C)c2C)C1 ZINC001046148220 851396500 /nfs/dbraw/zinc/39/65/00/851396500.db2.gz UBFUKHBGADMUAT-CQSZACIVSA-N 0 1 260.341 0.556 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cc3n(n2)CCO3)C1 ZINC001046383139 851481246 /nfs/dbraw/zinc/48/12/46/851481246.db2.gz ZDBHJPSCBPZFNJ-AWEZNQCLSA-N 0 1 276.340 0.656 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc3n(n2)CCO3)C1 ZINC001046381450 851481887 /nfs/dbraw/zinc/48/18/87/851481887.db2.gz DZAGLFBZFWBNMK-HNNXBMFYSA-N 0 1 288.351 0.493 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cn3c(n2)COCC3)C1 ZINC001046413979 851493672 /nfs/dbraw/zinc/49/36/72/851493672.db2.gz DQHMYLWOEOOTAG-OAHLLOKOSA-N 0 1 290.367 0.794 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc3nnc(C)n3c2)C1 ZINC001046436156 851503678 /nfs/dbraw/zinc/50/36/78/851503678.db2.gz MIBWEUQXAHUZDZ-MRXNPFEDSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001046624968 851569765 /nfs/dbraw/zinc/56/97/65/851569765.db2.gz OVWRSZHXHJVWPP-HNNXBMFYSA-N 0 1 289.335 0.623 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cccc(C(=O)NC)c2)C1 ZINC001046846172 851628749 /nfs/dbraw/zinc/62/87/49/851628749.db2.gz RPFNLRIVLFFBJU-QGZVFWFLSA-N 0 1 299.374 0.874 20 30 CCEDMN CC#CC[N@H+]1CCC(F)(F)[C@H](CNC(=O)c2ncn[n-]2)C1 ZINC001046984371 851652263 /nfs/dbraw/zinc/65/22/63/851652263.db2.gz QXXVQZRXUZCMJH-SNVBAGLBSA-N 0 1 297.309 0.515 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2coc(C)n2)C1 ZINC001047360543 851736768 /nfs/dbraw/zinc/73/67/68/851736768.db2.gz RVJOEAFOMMXRKI-STQMWFEESA-N 0 1 277.324 0.123 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CC2(F)F)C1 ZINC001047370586 851739296 /nfs/dbraw/zinc/73/92/96/851739296.db2.gz OWBAYMRZHLTHBX-VWYCJHECSA-N 0 1 274.311 0.721 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc[nH]c2)C1 ZINC001047393061 851750693 /nfs/dbraw/zinc/75/06/93/851750693.db2.gz WBUYCAPGACPKQK-STQMWFEESA-N 0 1 261.325 0.155 20 30 CCEDMN C=C(C)C[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)Cn2nccc2C)C1 ZINC001047548077 851810362 /nfs/dbraw/zinc/81/03/62/851810362.db2.gz OUSPWZMLWHBUHY-KBPBESRZSA-N 0 1 292.383 0.271 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cn2nccc2C)C1 ZINC001047548077 851810372 /nfs/dbraw/zinc/81/03/72/851810372.db2.gz OUSPWZMLWHBUHY-KBPBESRZSA-N 0 1 292.383 0.271 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(OC)o2)C1 ZINC001047575778 851820531 /nfs/dbraw/zinc/82/05/31/851820531.db2.gz INCOIMFJXXZWET-RYUDHWBXSA-N 0 1 292.335 0.429 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccnn1)C2 ZINC001096220295 851832053 /nfs/dbraw/zinc/83/20/53/851832053.db2.gz WGFBQFUIKAAYHJ-XBFCOCLRSA-N 0 1 270.336 0.835 20 30 CCEDMN C#CCN1C[C@@H]2CN(C(=O)C(=O)C(C)(C)C)C[C@@H]2C1 ZINC001048727206 852035696 /nfs/dbraw/zinc/03/56/96/852035696.db2.gz JHGBKHCLZTXUHF-TXEJJXNPSA-N 0 1 262.353 0.625 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)c3ccc4[nH]nnc4c3)C[C@@H]2C1 ZINC001049209074 852191752 /nfs/dbraw/zinc/19/17/52/852191752.db2.gz MLYBGRUBDAWTDX-TXEJJXNPSA-N 0 1 296.334 0.485 20 30 CCEDMN COCCN1CC[C@H](NC(=O)CSCC#N)[C@H]1C ZINC001316775736 908767499 /nfs/dbraw/zinc/76/74/99/908767499.db2.gz HMSVLSDXXPXOOJ-MNOVXSKESA-N 0 1 271.386 0.469 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)Cn1cccn1 ZINC001049329984 852232595 /nfs/dbraw/zinc/23/25/95/852232595.db2.gz DRHZYEJZQGDPCH-UONOGXRCSA-N 0 1 272.352 0.582 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1COCCO1 ZINC001049347371 852239296 /nfs/dbraw/zinc/23/92/96/852239296.db2.gz RWOSHTATYRKMEU-MJBXVCDLSA-N 0 1 278.352 0.100 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1nccnc1N ZINC001049381774 852252738 /nfs/dbraw/zinc/25/27/38/852252738.db2.gz KYYZKKLCWGSEBO-VXGBXAGGSA-N 0 1 285.351 0.371 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C[C@H]1COC(=O)C1 ZINC001049428755 852267530 /nfs/dbraw/zinc/26/75/30/852267530.db2.gz VUTHMOVHOUJPIS-HZSPNIEDSA-N 0 1 290.363 0.638 20 30 CCEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C(C)(C)C(N)=O ZINC001049530984 852302189 /nfs/dbraw/zinc/30/21/89/852302189.db2.gz GLSNNRRGOCYCNL-STQMWFEESA-N 0 1 291.395 0.587 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCCNC1=O ZINC001049534549 852305023 /nfs/dbraw/zinc/30/50/23/852305023.db2.gz WABUJWMPRSIDDO-MELADBBJSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCC(=O)N1C ZINC001049648641 852330821 /nfs/dbraw/zinc/33/08/21/852330821.db2.gz XSFQFEIRRVDAME-IHRRRGAJSA-N 0 1 289.379 0.306 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3cc[nH]n3)[C@@H]2C1 ZINC001049979792 852413597 /nfs/dbraw/zinc/41/35/97/852413597.db2.gz FMPRAJWHQKCJTN-GXTWGEPZSA-N 0 1 272.352 0.969 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccon1)C2 ZINC001096899052 852462173 /nfs/dbraw/zinc/46/21/73/852462173.db2.gz YCDRTLFDIZTJAZ-MCIONIFRSA-N 0 1 273.336 0.962 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccnn1C)C2 ZINC001097017934 852489802 /nfs/dbraw/zinc/48/98/02/852489802.db2.gz QIPLOWKMRYKKJH-MCIONIFRSA-N 0 1 272.352 0.317 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCNC1=O)C2 ZINC001097211386 852514541 /nfs/dbraw/zinc/51/45/41/852514541.db2.gz PKUQXCFUJIIFKH-LPWJVIDDSA-N 0 1 277.368 0.420 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001097341215 852529910 /nfs/dbraw/zinc/52/99/10/852529910.db2.gz OJVXYZICDZXYAP-MQYQWHSLSA-N 0 1 276.384 0.716 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCCC(=O)N1)C2 ZINC001097496066 852542357 /nfs/dbraw/zinc/54/23/57/852542357.db2.gz HLNKQOFGKZYUMH-SYQHCUMBSA-N 0 1 289.379 0.400 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccc(OC)cn1)C2 ZINC001097715846 852577796 /nfs/dbraw/zinc/57/77/96/852577796.db2.gz ZULCZEQORAUBDH-BMFZPTHFSA-N 0 1 299.374 0.987 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1n[nH]cc1F)C2 ZINC001097797702 852617920 /nfs/dbraw/zinc/61/79/20/852617920.db2.gz RBHVFAYYYDULNX-JLLWLGSASA-N 0 1 276.315 0.907 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(=O)n1C)C2 ZINC001097817096 852628370 /nfs/dbraw/zinc/62/83/70/852628370.db2.gz OIYFZYUXGIMGFZ-UPJWGTAASA-N 0 1 287.363 0.906 20 30 CCEDMN CC1(C)CCN(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CC#N)C1 ZINC001097860903 852633210 /nfs/dbraw/zinc/63/32/10/852633210.db2.gz UHPBXJFPSHJFDY-MCIONIFRSA-N 0 1 290.411 0.963 20 30 CCEDMN C[C@@H](CNC(=O)CN1CCCC1)Nc1ccc(C#N)cn1 ZINC001097863190 852634221 /nfs/dbraw/zinc/63/42/21/852634221.db2.gz IECURALCEHSOTE-LBPRGKRZSA-N 0 1 287.367 0.966 20 30 CCEDMN C#CCN1CC2(C1)CN(C(=O)[C@@H]1CCCCN1C)CCO2 ZINC001053311241 852726424 /nfs/dbraw/zinc/72/64/24/852726424.db2.gz WGHDROOMVANCEO-AWEZNQCLSA-N 0 1 291.395 0.017 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCOC1)O2 ZINC001053582075 852765271 /nfs/dbraw/zinc/76/52/71/852765271.db2.gz ZDSMMXUFZDNXLH-KGLIPLIRSA-N 0 1 294.395 0.949 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)Cc1cnc[nH]1)CO2 ZINC001053941295 852852959 /nfs/dbraw/zinc/85/29/59/852852959.db2.gz VWNRRQWKQUSURH-GFCCVEGCSA-N 0 1 276.340 0.098 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cnn(C)c2N)C[C@@H]1C ZINC001054592853 852975437 /nfs/dbraw/zinc/97/54/37/852975437.db2.gz BXMHUHAJKUUIIN-GZMMTYOYSA-N 0 1 297.790 0.805 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cn[nH]n2)C[C@@H]1C ZINC001054842865 853022328 /nfs/dbraw/zinc/02/23/28/853022328.db2.gz XVJFTTWFOHHRLV-XVKPBYJWSA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@@H]1C ZINC001054842863 853022572 /nfs/dbraw/zinc/02/25/72/853022572.db2.gz XVJFTTWFOHHRLV-OIBJUYFYSA-N 0 1 269.736 0.607 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C3CN(C(C)=O)C3)[C@@H]2C1 ZINC001050031747 853298481 /nfs/dbraw/zinc/29/84/81/853298481.db2.gz CWPXVKNEQCKUEW-DZGCQCFKSA-N 0 1 289.379 0.021 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001050268391 853349575 /nfs/dbraw/zinc/34/95/75/853349575.db2.gz LBJKFOOEZWLGJX-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001050268391 853349578 /nfs/dbraw/zinc/34/95/78/853349578.db2.gz LBJKFOOEZWLGJX-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(Cl)CN1CCC2(CN(C(=O)CC(N)=O)C2)CC1 ZINC001050469152 853381627 /nfs/dbraw/zinc/38/16/27/853381627.db2.gz JJLQKNHRJVORNK-UHFFFAOYSA-N 0 1 285.775 0.539 20 30 CCEDMN C=CCCN1CCOC[C@H]1CNC(=O)[C@H]1CCCCN1C ZINC001050981316 853500653 /nfs/dbraw/zinc/50/06/53/853500653.db2.gz GFIBYGQFQHFGTJ-HUUCEWRRSA-N 0 1 295.427 0.864 20 30 CCEDMN C=C(C)CN1CCOC[C@@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001051091462 853522560 /nfs/dbraw/zinc/52/25/60/853522560.db2.gz IKKDHTRSMARMHM-NSHDSACASA-N 0 1 294.355 0.132 20 30 CCEDMN C=CCN1CCOC[C@H]1CNC(=O)c1[nH]ncc1F ZINC001051098797 853523172 /nfs/dbraw/zinc/52/31/72/853523172.db2.gz PRYPZQQKFOIROE-SECBINFHSA-N 0 1 268.292 0.165 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2[n-]nnc2C)CC1 ZINC001052615540 853769317 /nfs/dbraw/zinc/76/93/17/853769317.db2.gz OHQMFRBEOOMIMF-GFCCVEGCSA-N 0 1 275.356 0.721 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](NC(=O)c2[n-]nnc2C)CC1 ZINC001052615540 853769322 /nfs/dbraw/zinc/76/93/22/853769322.db2.gz OHQMFRBEOOMIMF-GFCCVEGCSA-N 0 1 275.356 0.721 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2CCNC2=O)CC1 ZINC001052622292 853771288 /nfs/dbraw/zinc/77/12/88/853771288.db2.gz QKQATLAWIRMHOL-VXGBXAGGSA-N 0 1 299.802 0.846 20 30 CCEDMN C[C@H](CNC(=O)Cc1nnc[nH]1)Nc1ncccc1C#N ZINC001098056841 853879027 /nfs/dbraw/zinc/87/90/27/853879027.db2.gz ZINNGCAFDYGFBU-SECBINFHSA-N 0 1 285.311 0.231 20 30 CCEDMN C[C@H]1C[C@H](Nc2ccncc2C#N)CN1C(=O)c1ccn[nH]1 ZINC001069020324 853939750 /nfs/dbraw/zinc/93/97/50/853939750.db2.gz XEFBMNFPYPZALP-JQWIXIFHSA-N 0 1 296.334 0.813 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](c2cn(C)cn2)[C@H](NCCF)C1 ZINC001070476499 854066157 /nfs/dbraw/zinc/06/61/57/854066157.db2.gz PAKHCQAZOMXUFJ-NTZNESFSSA-N 0 1 293.346 0.433 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C2=COCCO2)CC[C@@H]1C ZINC001071331676 854159451 /nfs/dbraw/zinc/15/94/51/854159451.db2.gz HRUJWRZXDQDCIM-QWHCGFSZSA-N 0 1 278.352 0.867 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2ncn(C)n2)CC[C@@H]1C ZINC001071488986 854218005 /nfs/dbraw/zinc/21/80/05/854218005.db2.gz YBCVDEVJRIBVOY-WDEREUQCSA-N 0 1 263.345 0.584 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2ncn(C)n2)CC[C@@H]1C ZINC001071488985 854218899 /nfs/dbraw/zinc/21/88/99/854218899.db2.gz YBCVDEVJRIBVOY-QWRGUYRKSA-N 0 1 263.345 0.584 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2ccn(C)n2)CC[C@@H]1C ZINC001071500811 854222347 /nfs/dbraw/zinc/22/23/47/854222347.db2.gz CETDFJBLHBGWQA-DZGCQCFKSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cc(C)nn2C)CC[C@H]1C ZINC001071683276 854265104 /nfs/dbraw/zinc/26/51/04/854265104.db2.gz ZDXPFCTTWCBNPY-KGLIPLIRSA-N 0 1 288.395 0.873 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cn(CC)nn2)CC[C@@H]1C ZINC001071698054 854267545 /nfs/dbraw/zinc/26/75/45/854267545.db2.gz KGBZYWOVTWDFOE-STQMWFEESA-N 0 1 289.383 0.443 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC[C@H]1C ZINC001071747449 854279686 /nfs/dbraw/zinc/27/96/86/854279686.db2.gz DCSVXHWNWBBNNG-POQQGIQPSA-N 0 1 262.353 0.481 20 30 CCEDMN C[C@@H]1CC[C@H](NC(=O)Cc2cnc[nH]2)CN1CC#N ZINC001071847087 854307143 /nfs/dbraw/zinc/30/71/43/854307143.db2.gz HUWYRBWFHYNCDP-MNOVXSKESA-N 0 1 261.329 0.445 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2c[nH]cn2)CC[C@H]1C ZINC001071852247 854308899 /nfs/dbraw/zinc/30/88/99/854308899.db2.gz XTXVJJKHBVAPBM-CHWSQXEVSA-N 0 1 274.368 0.945 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cn2ccnc2)CC[C@@H]1C ZINC001072122904 854349289 /nfs/dbraw/zinc/34/92/89/854349289.db2.gz LKJOPKSHABXVDJ-UONOGXRCSA-N 0 1 274.368 0.876 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H](NC(=O)c2ncn[nH]2)[C@@H](C)C1 ZINC001072217871 854359669 /nfs/dbraw/zinc/35/96/69/854359669.db2.gz BWUHPLMVKRQSTB-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H](NC(=O)c2nc[nH]n2)[C@@H](C)C1 ZINC001072217871 854359672 /nfs/dbraw/zinc/35/96/72/854359672.db2.gz BWUHPLMVKRQSTB-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3ccon3)C2)C1 ZINC001072509317 854402094 /nfs/dbraw/zinc/40/20/94/854402094.db2.gz YNBSRUAYPOXTJH-UHFFFAOYSA-N 0 1 259.309 0.846 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccn(C)c3)C2)C1 ZINC001072618461 854430239 /nfs/dbraw/zinc/43/02/39/854430239.db2.gz JUYDGMFCXODIJW-UHFFFAOYSA-N 0 1 257.337 0.806 20 30 CCEDMN CC#CC[N@@H+]1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001072656359 854439319 /nfs/dbraw/zinc/43/93/19/854439319.db2.gz ZTENSJOMYJOPSX-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN CC#CC[N@H+]1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001072656359 854439320 /nfs/dbraw/zinc/43/93/20/854439320.db2.gz ZTENSJOMYJOPSX-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCCOCC3)C2)C1 ZINC001072678573 854444754 /nfs/dbraw/zinc/44/47/54/854444754.db2.gz PHUREACBTHGTED-CQSZACIVSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCCc4cn[nH]c43)C2)C1 ZINC001072735917 854455298 /nfs/dbraw/zinc/45/52/98/854455298.db2.gz WQPJDMCJNPSRMC-AWEZNQCLSA-N 0 1 298.390 0.997 20 30 CCEDMN C=CCCC(=O)N[C@H]1CN(C(=O)Cc2ncn[nH]2)C[C@@H]1C ZINC001072778916 854460847 /nfs/dbraw/zinc/46/08/47/854460847.db2.gz WYRHHLRWGUJGFK-QWRGUYRKSA-N 0 1 291.355 0.277 20 30 CCEDMN C#CCN1CCCO[C@H](CNC(=O)c2ccc(C)n2C)C1 ZINC001073532115 854581791 /nfs/dbraw/zinc/58/17/91/854581791.db2.gz OHVUCEPBIBECQY-CQSZACIVSA-N 0 1 289.379 0.787 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cc(CC)n[nH]2)C1 ZINC001073539261 854584169 /nfs/dbraw/zinc/58/41/69/854584169.db2.gz GMBFYWMRODXLHZ-CYBMUJFWSA-N 0 1 292.383 0.979 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2ccn(C)c2)C1 ZINC001073576952 854602134 /nfs/dbraw/zinc/60/21/34/854602134.db2.gz GPVFRAVWWDHMTO-OAHLLOKOSA-N 0 1 289.379 0.869 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001073642354 854623429 /nfs/dbraw/zinc/62/34/29/854623429.db2.gz LCKYBRFOSNNLEL-MJBXVCDLSA-N 0 1 298.383 0.183 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H]3OCCN(CC#N)[C@H]3C2)n[nH]1 ZINC001074185200 854690248 /nfs/dbraw/zinc/69/02/48/854690248.db2.gz YHLLDMOJISMGKR-STQMWFEESA-N 0 1 289.339 0.157 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@@H]21 ZINC001074194205 854694211 /nfs/dbraw/zinc/69/42/11/854694211.db2.gz GXTVBPDJZSPUCR-UONOGXRCSA-N 0 1 290.367 0.819 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001075037652 854808068 /nfs/dbraw/zinc/80/80/68/854808068.db2.gz JQTYBPLILWZUIK-DCAQKATOSA-N 0 1 288.355 0.012 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001075037652 854808076 /nfs/dbraw/zinc/80/80/76/854808076.db2.gz JQTYBPLILWZUIK-DCAQKATOSA-N 0 1 288.355 0.012 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccn(C)c1=O)C2 ZINC001098240115 854853835 /nfs/dbraw/zinc/85/38/35/854853835.db2.gz HBKGESPRCBCRFL-BNOWGMLFSA-N 0 1 287.363 0.906 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@]12CCC[C@H]1CN(CC#N)C2 ZINC001098984783 854903745 /nfs/dbraw/zinc/90/37/45/854903745.db2.gz SXDDZAGRRBGZNB-DZKIICNBSA-N 0 1 290.411 0.965 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)COC)C[C@H]1C(F)(F)F ZINC001099193678 854920168 /nfs/dbraw/zinc/92/01/68/854920168.db2.gz LLNPLTGBJDGBGD-RKDXNWHRSA-N 0 1 264.247 0.245 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H]2CCCO2)C[C@H]1C(F)(F)F ZINC001099207225 854922423 /nfs/dbraw/zinc/92/24/23/854922423.db2.gz ZNGQSLWFNLZVHW-GMTAPVOTSA-N 0 1 290.285 0.778 20 30 CCEDMN C#CC[N@@H+]1CC(C)(C)CC[C@H]1CNC(=O)Cc1nnc[nH]1 ZINC001099299254 854926737 /nfs/dbraw/zinc/92/67/37/854926737.db2.gz RFWFBSBGYQDJBB-LBPRGKRZSA-N 0 1 289.383 0.587 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)Cc1nc[nH]n1 ZINC001099299254 854926744 /nfs/dbraw/zinc/92/67/44/854926744.db2.gz RFWFBSBGYQDJBB-LBPRGKRZSA-N 0 1 289.383 0.587 20 30 CCEDMN C[C@@H](CCNC(=O)c1ncn[nH]1)Nc1nccnc1C#N ZINC001099383120 854929582 /nfs/dbraw/zinc/92/95/82/854929582.db2.gz YYYLFABIAOHASI-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CCNC(=O)c1nc[nH]n1)Nc1nccnc1C#N ZINC001099383120 854929587 /nfs/dbraw/zinc/92/95/87/854929587.db2.gz YYYLFABIAOHASI-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2ccon2)[C@H](O)C1 ZINC001099702183 854969840 /nfs/dbraw/zinc/96/98/40/854969840.db2.gz PZYQMHYPJQKVMR-WCQYABFASA-N 0 1 295.339 0.042 20 30 CCEDMN C[C@@H](CCNC(=O)Cc1cnc[nH]1)Nc1nccnc1C#N ZINC001099713061 854974985 /nfs/dbraw/zinc/97/49/85/854974985.db2.gz KGBWBWBVXBWUMN-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC(F)(F)F)[C@H](O)C1 ZINC001099723387 854976940 /nfs/dbraw/zinc/97/69/40/854976940.db2.gz HCYGUPFMDJHGGP-VHSXEESVSA-N 0 1 278.274 0.514 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCC#CC)[C@@H](O)C1 ZINC001099960492 855050949 /nfs/dbraw/zinc/05/09/49/855050949.db2.gz URGICRLRWUIUAI-KGLIPLIRSA-N 0 1 264.369 0.917 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC(C)(F)F)[C@H](O)C1 ZINC001100003708 855068292 /nfs/dbraw/zinc/06/82/92/855068292.db2.gz KWRSUOZTRSUTLN-GHMZBOCLSA-N 0 1 274.311 0.606 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@@H]2CN(C(=O)CC)C[C@]2(C)C1 ZINC001101098501 855263501 /nfs/dbraw/zinc/26/35/01/855263501.db2.gz BEROPHDVLNUFIS-IOASZLSFSA-N 0 1 291.395 0.315 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(c1ncnc2[nH]cnc21)C1CC1 ZINC001101320385 855283117 /nfs/dbraw/zinc/28/31/17/855283117.db2.gz UTYMHGLCDLMVDZ-SECBINFHSA-N 0 1 299.338 0.598 20 30 CCEDMN CC#CCCCC(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001101333536 855283511 /nfs/dbraw/zinc/28/35/11/855283511.db2.gz ZIIQCWBIVRDJHL-CJNGLKHVSA-N 0 1 291.395 0.446 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)C2CC2)[C@H](C)C1 ZINC001101665770 855335156 /nfs/dbraw/zinc/33/51/56/855335156.db2.gz HRUZJWPOALTMAT-DGCLKSJQSA-N 0 1 279.384 0.383 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)CCOC)[C@H](C)C1 ZINC001101668855 855337371 /nfs/dbraw/zinc/33/73/71/855337371.db2.gz IJDICBWHFQUZSZ-CHWSQXEVSA-N 0 1 297.399 0.009 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CN(CC(=O)NCC)C[C@H]1C ZINC001101817371 855371921 /nfs/dbraw/zinc/37/19/21/855371921.db2.gz NNRCWTDZQSJQDG-CHWSQXEVSA-N 0 1 297.399 0.009 20 30 CCEDMN CN(CCNc1ccc(C#N)cn1)C(=O)Cc1c[nH]cn1 ZINC001101975699 855402860 /nfs/dbraw/zinc/40/28/60/855402860.db2.gz NVFLTQBNMSCGMN-UHFFFAOYSA-N 0 1 284.323 0.789 20 30 CCEDMN CC[C@H](CNC(=O)c1ncn[nH]1)Nc1nccnc1C#N ZINC001103170438 855501690 /nfs/dbraw/zinc/50/16/90/855501690.db2.gz IWWMWIKPPTYGNA-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN CC[C@H](CNC(=O)c1nc[nH]n1)Nc1nccnc1C#N ZINC001103170438 855501693 /nfs/dbraw/zinc/50/16/93/855501693.db2.gz IWWMWIKPPTYGNA-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN CC[C@H](CNC(=O)c1cnn[nH]1)Nc1cc(C)ncc1C#N ZINC001103370781 855514826 /nfs/dbraw/zinc/51/48/26/855514826.db2.gz AEJSMLZJWWXSJW-LLVKDONJSA-N 0 1 299.338 0.422 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cnccn1 ZINC001115079306 855639570 /nfs/dbraw/zinc/63/95/70/855639570.db2.gz WXAZUAXIIBEPQX-FOLVSLTJSA-N 0 1 284.363 0.479 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COc1cccc(F)c1 ZINC001115236158 855653598 /nfs/dbraw/zinc/65/35/98/855653598.db2.gz VWFPCIMROZYJIT-FOLVSLTJSA-N 0 1 288.322 0.884 20 30 CCEDMN C=CCCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CS(=O)(=O)CC ZINC001115300760 855659478 /nfs/dbraw/zinc/65/94/78/855659478.db2.gz ZCBJRPAIFHZQLS-PJXYFTJBSA-N 0 1 286.397 0.044 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@@H](C)Nc2ccncc2C#N)n[nH]1 ZINC001115622545 855682670 /nfs/dbraw/zinc/68/26/70/855682670.db2.gz RDMYZBUWIQYCIP-LLVKDONJSA-N 0 1 298.350 0.979 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1ccn[nH]1)Nc1nccnc1C#N ZINC001115656985 855686676 /nfs/dbraw/zinc/68/66/76/855686676.db2.gz WYIVHMPYWKLYJP-VIFPVBQESA-N 0 1 285.311 0.644 20 30 CCEDMN Cc1noc(CCCC(=O)NC2(C#N)CCN(C)CC2)n1 ZINC001116001474 855709724 /nfs/dbraw/zinc/70/97/24/855709724.db2.gz AFXMVSBSNGHZEY-UHFFFAOYSA-N 0 1 291.355 0.805 20 30 CCEDMN C[C@H](O)CN1CCN(c2cccc(CC#N)n2)CC1 ZINC001116689672 855813285 /nfs/dbraw/zinc/81/32/85/855813285.db2.gz BOBIRWFYIMEOPG-LBPRGKRZSA-N 0 1 260.341 0.650 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)N2CCC(C)(C#N)CC2)n[nH]1 ZINC001117346400 855950262 /nfs/dbraw/zinc/95/02/62/855950262.db2.gz BBYCPVJHETWRMH-UHFFFAOYSA-N 0 1 289.339 0.487 20 30 CCEDMN CN(C[C@@H]1CCC[N@H+]1C)C(=O)C(=O)N1CC[C@](F)(C#N)C1 ZINC001118063861 856151020 /nfs/dbraw/zinc/15/10/20/856151020.db2.gz RTCHVPMPQNLFJR-FZMZJTMJSA-N 0 1 296.346 0.003 20 30 CCEDMN COCc1nc(CNC(=O)NCC2(C#N)CC2)n[nH]1 ZINC001118601445 856362662 /nfs/dbraw/zinc/36/26/62/856362662.db2.gz FIMHQTWCPKFNAI-UHFFFAOYSA-N 0 1 264.289 0.054 20 30 CCEDMN COCc1nnc(CNC(=O)NCC2(C#N)CC2)[nH]1 ZINC001118601445 856362671 /nfs/dbraw/zinc/36/26/71/856362671.db2.gz FIMHQTWCPKFNAI-UHFFFAOYSA-N 0 1 264.289 0.054 20 30 CCEDMN C=CCC[C@@H](NC(=O)NCC[N@H+]1CCCOCC1)C(=O)[O-] ZINC001119577754 856751711 /nfs/dbraw/zinc/75/17/11/856751711.db2.gz NFKZDXBEQGDAEZ-GFCCVEGCSA-N 0 1 299.371 0.427 20 30 CCEDMN N#CCCCCC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC001119629617 856791259 /nfs/dbraw/zinc/79/12/59/856791259.db2.gz GTWDPEZVIQNHOY-SECBINFHSA-N 0 1 280.357 0.900 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)[C@@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC001119646006 856807314 /nfs/dbraw/zinc/80/73/14/856807314.db2.gz XNRNQDJDKQWYSS-RKDXNWHRSA-N 0 1 260.721 0.650 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)Cc1cncs1 ZINC001323260779 912227455 /nfs/dbraw/zinc/22/74/55/912227455.db2.gz HLSYVXMGNMHLNL-LLVKDONJSA-N 0 1 263.366 0.899 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)[C@@H](COC)OC ZINC001323301608 912258806 /nfs/dbraw/zinc/25/88/06/912258806.db2.gz JITZWSVCSMFKMW-NWDGAFQWSA-N 0 1 256.346 0.414 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@H]2CC2(C)C)CC1 ZINC001323424214 912338824 /nfs/dbraw/zinc/33/88/24/912338824.db2.gz RAFHQEFWFNFQPX-VXGBXAGGSA-N 0 1 293.411 0.962 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H]2CC(=O)NC2=O)CC1 ZINC001323628878 912454646 /nfs/dbraw/zinc/45/46/46/912454646.db2.gz QNPRVTAWHNCKEY-SECBINFHSA-N 0 1 299.758 0.030 20 30 CCEDMN C=CCS(=O)(=O)CCNCC(C)(C)S(C)(=O)=O ZINC001323682181 912474117 /nfs/dbraw/zinc/47/41/17/912474117.db2.gz SRCYQOFHHNOMNE-UHFFFAOYSA-N 0 1 283.415 0.000 20 30 CCEDMN CN1CCN(c2ccc(C=[NH+]NCC(=O)[O-])cc2F)CC1 ZINC001324207906 912721669 /nfs/dbraw/zinc/72/16/69/912721669.db2.gz SRFJFXQQALDNEU-UHFFFAOYSA-N 0 1 294.330 0.586 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2cnc(C)s2)C1 ZINC001325068917 913186094 /nfs/dbraw/zinc/18/60/94/913186094.db2.gz DWAIKSKOGASJLY-CQSZACIVSA-N 0 1 293.392 0.641 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001325100751 913214460 /nfs/dbraw/zinc/21/44/60/913214460.db2.gz CJZHKXGJDLZHQN-DGCLKSJQSA-N 0 1 286.322 0.608 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)c2ccn[nH]2)C1 ZINC001325184644 913263620 /nfs/dbraw/zinc/26/36/20/913263620.db2.gz KKRPYFBTILBURL-LLVKDONJSA-N 0 1 278.356 0.541 20 30 CCEDMN Cn1ccc(N=NCc2ccc(F)c(S(N)(=O)=O)c2)n1 ZINC001325252579 913299243 /nfs/dbraw/zinc/29/92/43/913299243.db2.gz BSSIKHJXNIOUQG-UHFFFAOYSA-N 0 1 297.315 0.653 20 30 CCEDMN CC#CCN(C)CCNC(=O)Cc1nc(CC)c[nH]1 ZINC001480874513 891493191 /nfs/dbraw/zinc/49/31/91/891493191.db2.gz HULBJZBSRUQAAY-UHFFFAOYSA-N 0 1 262.357 0.586 20 30 CCEDMN CCC1(C(=O)NCCN(C)CC#CCOC)CC1 ZINC001480909688 891750548 /nfs/dbraw/zinc/75/05/48/891750548.db2.gz HQTPVFFEXCJORP-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C[C@@H](CNC(=O)NCCCC#N)N1CCN(C)CC1 ZINC001349652513 891763245 /nfs/dbraw/zinc/76/32/45/891763245.db2.gz KKBROWJYQVJYTP-LBPRGKRZSA-N 0 1 267.377 0.225 20 30 CCEDMN C[C@H](O)CN1CCC[C@@](CO)(NC(=O)C#CC(C)(C)C)C1 ZINC001325835093 913603686 /nfs/dbraw/zinc/60/36/86/913603686.db2.gz MEMUYNBXGGSOIO-XJKSGUPXSA-N 0 1 296.411 0.360 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnc2n[nH]nc2c1 ZINC001480980558 892011324 /nfs/dbraw/zinc/01/13/24/892011324.db2.gz JREOJVCYTPAIQQ-UHFFFAOYSA-N 0 1 272.312 0.038 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H](OCC)[C@@H]1CCOC1 ZINC001480991106 892024785 /nfs/dbraw/zinc/02/47/85/892024785.db2.gz AORSDEOFOJASJA-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001480992300 892026123 /nfs/dbraw/zinc/02/61/23/892026123.db2.gz WXURYTFZGDEPGG-ZDUSSCGKSA-N 0 1 279.384 0.364 20 30 CCEDMN C#CCN(CC)CCNC(=O)Cn1cc(Cl)cn1 ZINC001481002201 892039934 /nfs/dbraw/zinc/03/99/34/892039934.db2.gz CZHDEBGXWKVTGB-UHFFFAOYSA-N 0 1 268.748 0.608 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCOC1 ZINC001481030508 892085904 /nfs/dbraw/zinc/08/59/04/892085904.db2.gz IILYLIDKXWGQNZ-LSDHHAIUSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCCN1[C@@H]1CCN(C)C1=O ZINC001481030399 892089630 /nfs/dbraw/zinc/08/96/30/892089630.db2.gz GJGSACYXZRKILQ-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)[C@@H](C)CC)C1 ZINC001481077058 892136211 /nfs/dbraw/zinc/13/62/11/892136211.db2.gz OKZHUYDTQUYQGF-QWHCGFSZSA-N 0 1 281.400 0.773 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)c2cc[nH]c2)C1 ZINC001481093310 892156751 /nfs/dbraw/zinc/15/67/51/892156751.db2.gz CHNOFPVSLPHSOD-LBPRGKRZSA-N 0 1 290.367 0.369 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(CCC)CCNC(=O)C=C(C)C ZINC001481129676 892205240 /nfs/dbraw/zinc/20/52/40/892205240.db2.gz XAGYMQIUHJDVNX-AWEZNQCLSA-N 0 1 293.411 0.919 20 30 CCEDMN CC#CC[N@@H+](CCC)CCNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001481145061 892229149 /nfs/dbraw/zinc/22/91/49/892229149.db2.gz YVJXKHRCNYYFKR-MRXNPFEDSA-N 0 1 293.411 0.754 20 30 CCEDMN C#CCN(CCNC(=O)c1cc(Cl)n(C)n1)C1CC1 ZINC001481178467 892277383 /nfs/dbraw/zinc/27/73/83/892277383.db2.gz XYITWYMHGPPMFE-UHFFFAOYSA-N 0 1 280.759 0.901 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H](NCc2ccn(C)n2)C[C@@H]1C ZINC001283966717 892520130 /nfs/dbraw/zinc/52/01/30/892520130.db2.gz VCJHBKKYADYAMW-JSGCOSHPSA-N 0 1 292.383 0.702 20 30 CCEDMN C#CCN[C@H](CNC(=O)CCn1cncn1)c1ccccc1 ZINC001481359521 892599202 /nfs/dbraw/zinc/59/92/02/892599202.db2.gz DNAYLBJVWWGRPJ-OAHLLOKOSA-N 0 1 297.362 0.749 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](CN(C)CC(=O)NC)C1 ZINC001481478743 892726058 /nfs/dbraw/zinc/72/60/58/892726058.db2.gz AYQDTULDSFOMQL-LBPRGKRZSA-N 0 1 267.373 0.479 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CCc2nc(C)no2)C1 ZINC001481771989 893133822 /nfs/dbraw/zinc/13/38/22/893133822.db2.gz SDSRPBFGFDNEQQ-ZDUSSCGKSA-N 0 1 290.367 0.867 20 30 CCEDMN C#CC[NH2+]CC[C@H]1CCCN(C(=O)Cc2nnc[n-]2)C1 ZINC001481987782 893297124 /nfs/dbraw/zinc/29/71/24/893297124.db2.gz KXXDFQAAECLPML-GFCCVEGCSA-N 0 1 275.356 0.199 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)[C@H]2CCC(=O)N2)C1 ZINC001482185696 893478382 /nfs/dbraw/zinc/47/83/82/893478382.db2.gz VNTRVJAUWYKCRK-QWHCGFSZSA-N 0 1 295.383 0.048 20 30 CCEDMN C#CCN(C)CCN(C(=O)C[C@@H]1CCN(C)C1=O)C(C)C ZINC001284539063 893609947 /nfs/dbraw/zinc/60/99/47/893609947.db2.gz ZGOWRNBCTYQHSM-AWEZNQCLSA-N 0 1 293.411 0.657 20 30 CCEDMN CC(C)N(CCN(C)C(=O)c1ccn[nH]1)C(=O)[C@H](C)C#N ZINC001396106917 913807951 /nfs/dbraw/zinc/80/79/51/913807951.db2.gz CJOFEKUYXPHRJD-LLVKDONJSA-N 0 1 291.355 0.878 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CCCN(C(=O)CC)C1 ZINC001482599301 894381764 /nfs/dbraw/zinc/38/17/64/894381764.db2.gz SLJGZPMHRKBMEW-AWEZNQCLSA-N 0 1 293.411 0.658 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CCCCC(N)=O ZINC001482640335 894441846 /nfs/dbraw/zinc/44/18/46/894441846.db2.gz ITVWEHQSJDLNOE-UHFFFAOYSA-N 0 1 267.373 0.446 20 30 CCEDMN C#CC[N@H+](C)CCN(C)C(=O)CCCc1nc(C)no1 ZINC001482648341 894446465 /nfs/dbraw/zinc/44/64/65/894446465.db2.gz DVJPCDSDXFALIH-UHFFFAOYSA-N 0 1 278.356 0.724 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCCc1nc(C)no1 ZINC001482648341 894446470 /nfs/dbraw/zinc/44/64/70/894446470.db2.gz DVJPCDSDXFALIH-UHFFFAOYSA-N 0 1 278.356 0.724 20 30 CCEDMN CCc1nc(C)c(CNC[C@H](O)CNC(=O)[C@@H](C)C#N)o1 ZINC001482716354 894510647 /nfs/dbraw/zinc/51/06/47/894510647.db2.gz MXFNDEIECMZYEG-ONGXEEELSA-N 0 1 294.355 0.272 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CC1CCOCC1 ZINC001482715204 894512494 /nfs/dbraw/zinc/51/24/94/894512494.db2.gz ITLBLLNQBDQYNG-GFCCVEGCSA-N 0 1 290.791 0.622 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)CN(C)C(C)=O ZINC001482984036 894759422 /nfs/dbraw/zinc/75/94/22/894759422.db2.gz AEQOJDAAZKVOIQ-SNAWJCMRSA-N 0 1 273.764 0.479 20 30 CCEDMN CCc1nc([C@H](C)NCC=CCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001482990958 894777485 /nfs/dbraw/zinc/77/74/85/894777485.db2.gz KHIQTCRXLGWPKJ-PZIAFJOJSA-N 0 1 290.371 0.850 20 30 CCEDMN C[C@H](C#N)C(=O)NC/C=C\CNCC(=O)Nc1ccon1 ZINC001482990989 894777660 /nfs/dbraw/zinc/77/76/60/894777660.db2.gz KWSQFJYNDZDIAG-MXQLGCADSA-N 0 1 291.311 0.035 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001483386294 895523973 /nfs/dbraw/zinc/52/39/73/895523973.db2.gz CLMCGSQKGBOGQX-VHDGCEQUSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cccc(-n2cncn2)n1 ZINC001483402622 895542724 /nfs/dbraw/zinc/54/27/24/895542724.db2.gz GZNGTDXZLLLZPL-LBPRGKRZSA-N 0 1 298.350 0.346 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCCn1cncn1 ZINC001483401484 895543079 /nfs/dbraw/zinc/54/30/79/895543079.db2.gz ASOQOCTWOKBWKG-GFCCVEGCSA-N 0 1 263.345 0.128 20 30 CCEDMN C#CC[N@H+](C)C[C@@H](C)NC(=O)c1c2c(nn1C)CCC2 ZINC001483406641 895545918 /nfs/dbraw/zinc/54/59/18/895545918.db2.gz DDQBOUYIYCXHOO-LLVKDONJSA-N 0 1 274.368 0.592 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1c2c(nn1C)CCC2 ZINC001483406641 895545932 /nfs/dbraw/zinc/54/59/32/895545932.db2.gz DDQBOUYIYCXHOO-LLVKDONJSA-N 0 1 274.368 0.592 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)C1CN(C(C)=O)C1)C1CC1 ZINC001483556427 895645675 /nfs/dbraw/zinc/64/56/75/895645675.db2.gz DPXSLNVAWBZFBJ-ZDUSSCGKSA-N 0 1 299.802 0.702 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CCc1cnn(C)c1C ZINC001483652303 895801843 /nfs/dbraw/zinc/80/18/43/895801843.db2.gz CPTLISUQXAOWLO-OAHLLOKOSA-N 0 1 288.395 0.875 20 30 CCEDMN C#Cc1cncc(C(=O)NCc2n[nH]c(C3CC3)n2)c1 ZINC001326544203 914010753 /nfs/dbraw/zinc/01/07/53/914010753.db2.gz JKAKWBCNCFUSFE-UHFFFAOYSA-N 0 1 267.292 0.988 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCCCC(N)=O ZINC001483691596 895862767 /nfs/dbraw/zinc/86/27/67/895862767.db2.gz KIYNOQQHOPUEES-GFCCVEGCSA-N 0 1 267.373 0.799 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc[nH]c2C(C)C)[C@@H](O)C1 ZINC001083716130 895971801 /nfs/dbraw/zinc/97/18/01/895971801.db2.gz NLFFQPHDHRXSHZ-KGLIPLIRSA-N 0 1 289.379 0.936 20 30 CCEDMN C#Cc1ccc(C(=O)NCC2(N[C@@H](C)C(=O)NC)CC2)cc1 ZINC001483756479 896012875 /nfs/dbraw/zinc/01/28/75/896012875.db2.gz BMSMJAOUIBUJSN-LBPRGKRZSA-N 0 1 299.374 0.654 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)CO[C@H]2CCOC2)C1 ZINC001483863859 896088074 /nfs/dbraw/zinc/08/80/74/896088074.db2.gz DLPVHRFHLVGRDA-AWEZNQCLSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCN(C(=O)[C@@H]1CC1[N+](=O)[O-])C1CCN(CC#N)CC1 ZINC001483984653 896142262 /nfs/dbraw/zinc/14/22/62/896142262.db2.gz UKWDYWKZKIZMBQ-CHWSQXEVSA-N 0 1 290.323 0.101 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CCCC(C)C)C1 ZINC001484236949 896269141 /nfs/dbraw/zinc/26/91/41/896269141.db2.gz MBZGYCALQNQEOF-HNNXBMFYSA-N 0 1 266.385 0.999 20 30 CCEDMN C[C@@H]1CO[C@H](C(=O)NCCN2CCC(NCC#N)CC2)C1 ZINC001484420153 896385477 /nfs/dbraw/zinc/38/54/77/896385477.db2.gz UEGPPLNTHNWKMK-JSGCOSHPSA-N 0 1 294.399 0.105 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)C2CCOCC2)CC1 ZINC001484417226 896389260 /nfs/dbraw/zinc/38/92/60/896389260.db2.gz SIJRLGNTPYBGHT-UHFFFAOYSA-N 0 1 294.399 0.107 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)Cc2nnc(C)o2)C1 ZINC001484434757 896405892 /nfs/dbraw/zinc/40/58/92/896405892.db2.gz PBEIGOBPACLIIF-AWEZNQCLSA-N 0 1 294.355 0.050 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001484437180 896407712 /nfs/dbraw/zinc/40/77/12/896407712.db2.gz NJSBIVVXZPQZIS-DOMZBBRYSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](NC(=O)CC)CC1 ZINC001484703070 896548275 /nfs/dbraw/zinc/54/82/75/896548275.db2.gz DBCRVQYLSUIDLI-GFCCVEGCSA-N 0 1 267.373 0.669 20 30 CCEDMN C#CCN1CCOC[C@H]1CNC(=O)CN1CCC(C)CC1 ZINC001326659194 914082582 /nfs/dbraw/zinc/08/25/82/914082582.db2.gz WVCZUFTZWGGKLW-OAHLLOKOSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)CCc2cnn(C)c2)C[C@H]1C ZINC001484986453 896697022 /nfs/dbraw/zinc/69/70/22/896697022.db2.gz RMJKGENJDYOVCN-HIFRSBDPSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CCN(C)C1=O ZINC001485066822 896743030 /nfs/dbraw/zinc/74/30/30/896743030.db2.gz BTCIQHPCZIVPLA-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C[C@H]1CCC(=O)N1 ZINC001485071022 896753252 /nfs/dbraw/zinc/75/32/52/896753252.db2.gz IDWSAMLCYJJHDU-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)Cn1nccc1C ZINC001485071486 896756522 /nfs/dbraw/zinc/75/65/22/896756522.db2.gz QMMSGUAUFBUJMF-CQSZACIVSA-N 0 1 274.368 0.748 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)[C@@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001485153370 896816812 /nfs/dbraw/zinc/81/68/12/896816812.db2.gz QXHOOFBCAIGRGM-GHMZBOCLSA-N 0 1 295.387 0.448 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@H](C)CNC(=O)C#CC(C)(C)C ZINC001485299896 896929246 /nfs/dbraw/zinc/92/92/46/896929246.db2.gz MLHKJUSRELQRER-VXGBXAGGSA-N 0 1 281.400 0.736 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc(COC)on1 ZINC001485359814 896984471 /nfs/dbraw/zinc/98/44/71/896984471.db2.gz BHXHIPROGZKWRP-NSHDSACASA-N 0 1 279.340 0.894 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnn2cc(C)cnc12 ZINC001485357522 896999303 /nfs/dbraw/zinc/99/93/03/896999303.db2.gz STBRGEJYHWABHO-GFCCVEGCSA-N 0 1 285.351 0.721 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCCCC(N)=O)C1 ZINC001485528132 897106679 /nfs/dbraw/zinc/10/66/79/897106679.db2.gz GEIWTYNHRKVHCT-GFCCVEGCSA-N 0 1 279.384 0.492 20 30 CCEDMN C=CC[N@H+]1C[C@H](NC(=O)CCn2ccnn2)C(C)(C)C1 ZINC001485567864 897120411 /nfs/dbraw/zinc/12/04/11/897120411.db2.gz PYXWDXGYZGABHK-LBPRGKRZSA-N 0 1 277.372 0.681 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C2(C(N)=O)CC2)C(C)(C)C1 ZINC001485576534 897134886 /nfs/dbraw/zinc/13/48/86/897134886.db2.gz FSJPKVLSGBTKDN-NSHDSACASA-N 0 1 277.368 0.102 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2C[C@H](CNCC#N)C2)c1C ZINC001485678190 897176984 /nfs/dbraw/zinc/17/69/84/897176984.db2.gz ASFZRIFWUMCGIQ-XYPYZODXSA-N 0 1 261.329 0.648 20 30 CCEDMN C#CC[N@@H+](C)CCOCCNC(=O)c1ccccn1 ZINC001485770475 897232837 /nfs/dbraw/zinc/23/28/37/897232837.db2.gz PWNSUIUAUXNIQV-UHFFFAOYSA-N 0 1 261.325 0.393 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cnn(CCC)c2)C1 ZINC001077762051 897424866 /nfs/dbraw/zinc/42/48/66/897424866.db2.gz GSONZLMRDKCNJB-ZIAGYGMSSA-N 0 1 290.367 0.091 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]c(=O)[nH]c1C ZINC001032462006 897596266 /nfs/dbraw/zinc/59/62/66/897596266.db2.gz DBVDCURCMVSGRT-QWRGUYRKSA-N 0 1 276.340 0.899 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2nccn2C1 ZINC001032484617 897635793 /nfs/dbraw/zinc/63/57/93/897635793.db2.gz LQSIOJZYZSRNKU-ILXRZTDVSA-N 0 1 298.390 0.754 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001032484454 897637609 /nfs/dbraw/zinc/63/76/09/897637609.db2.gz SVOARFFNJTZELA-RDBSUJKOSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3cc(C)ccn3)C2)OCC1=O ZINC001272781313 897666521 /nfs/dbraw/zinc/66/65/21/897666521.db2.gz BMGZZPAIJQPNGO-QGZVFWFLSA-N 0 1 299.374 0.827 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN(CC(N)=O)CC2 ZINC001272792859 897680052 /nfs/dbraw/zinc/68/00/52/897680052.db2.gz OXJCHWMZBPSJHW-UHFFFAOYSA-N 0 1 265.357 0.362 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn(CC)nn1 ZINC001032534630 897713778 /nfs/dbraw/zinc/71/37/78/897713778.db2.gz XXNIZUMGNAZYAT-KBPBESRZSA-N 0 1 287.367 0.149 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCNC(=O)CC1 ZINC001032542961 897726483 /nfs/dbraw/zinc/72/64/83/897726483.db2.gz ZZSUMNVDIUBCSX-IHRRRGAJSA-N 0 1 291.395 0.764 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2CN3C[C@H](C)OC)c1 ZINC001032617118 897833080 /nfs/dbraw/zinc/83/30/80/897833080.db2.gz MDTZVSLFKTVZPV-RCBQFDQVSA-N 0 1 299.374 0.997 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001032641304 897886382 /nfs/dbraw/zinc/88/63/82/897886382.db2.gz JBQBPMBPYNRYEB-YHUYYLMFSA-N 0 1 298.390 0.832 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[n-]n2c1nccc2=O ZINC001032670963 897916024 /nfs/dbraw/zinc/91/60/24/897916024.db2.gz VHEOGNZNKKRMGJ-QWRGUYRKSA-N 0 1 299.334 0.107 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1c[n-]n2c1nccc2=O ZINC001032670963 897916032 /nfs/dbraw/zinc/91/60/32/897916032.db2.gz VHEOGNZNKKRMGJ-QWRGUYRKSA-N 0 1 299.334 0.107 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)c1ncn[nH]1 ZINC001077836916 897963885 /nfs/dbraw/zinc/96/38/85/897963885.db2.gz VSZZTPIFXRKLHJ-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)c1nc[nH]n1 ZINC001077836916 897963899 /nfs/dbraw/zinc/96/38/99/897963899.db2.gz VSZZTPIFXRKLHJ-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnsn1 ZINC001049343208 897971059 /nfs/dbraw/zinc/97/10/59/897971059.db2.gz YSGWCMBGHNSAQA-VXGBXAGGSA-N 0 1 276.365 0.850 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cnn(CC)c1 ZINC001032726319 898018308 /nfs/dbraw/zinc/01/83/08/898018308.db2.gz JAISHPSVYBEDAG-GJZGRUSLSA-N 0 1 286.379 0.754 20 30 CCEDMN C=CCOc1cccnc1C(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001077977739 898133246 /nfs/dbraw/zinc/13/32/46/898133246.db2.gz MVCRADVDSWNHIP-VXGBXAGGSA-N 0 1 291.351 0.441 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001077986279 898148605 /nfs/dbraw/zinc/14/86/05/898148605.db2.gz WHMJHYFDDYHYDM-HUUCEWRRSA-N 0 1 289.379 0.854 20 30 CCEDMN CN1C[C@]2(CCN(Cc3ccc(C#N)cc3)C2)OCC1=O ZINC001272912583 898158093 /nfs/dbraw/zinc/15/80/93/898158093.db2.gz YJNFTIFJYVCBFN-INIZCTEOSA-N 0 1 285.347 0.991 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3ncc[nH]3)C2)C1=O ZINC001272918130 898167170 /nfs/dbraw/zinc/16/71/70/898167170.db2.gz DPLGMHZAECDCIJ-AWEZNQCLSA-N 0 1 258.325 0.467 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001078041341 898196108 /nfs/dbraw/zinc/19/61/08/898196108.db2.gz HSDVHTQKZHIPEU-KBUPBQIOSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnon1 ZINC001049802100 898256345 /nfs/dbraw/zinc/25/63/45/898256345.db2.gz LSYSMSZOCQVWLN-VXGBXAGGSA-N 0 1 260.297 0.382 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CN(CC)CCO1 ZINC001032792668 898265464 /nfs/dbraw/zinc/26/54/64/898265464.db2.gz JMLVJTAPDWKGTB-KKUMJFAQSA-N 0 1 291.395 0.016 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCCN2CC)C1 ZINC001078228059 898302893 /nfs/dbraw/zinc/30/28/93/898302893.db2.gz AWTHMOIJNBLUKZ-HZSPNIEDSA-N 0 1 281.400 0.208 20 30 CCEDMN C=C1CC(C)(C(=O)NCC[C@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001078277219 898321088 /nfs/dbraw/zinc/32/10/88/898321088.db2.gz WNWLVLBYVOJDEE-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)Cc1nnc[nH]1 ZINC001078594733 898418634 /nfs/dbraw/zinc/41/86/34/898418634.db2.gz OFNFNLZNPCZJLI-NSHDSACASA-N 0 1 291.355 0.018 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](CO)N[C@@H](C)c1n[nH]c(C)n1 ZINC001486000230 898564258 /nfs/dbraw/zinc/56/42/58/898564258.db2.gz NODQRCPZRYBRCM-JQWIXIFHSA-N 0 1 295.387 0.597 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cnc2cnccc2c1 ZINC001486016398 898589457 /nfs/dbraw/zinc/58/94/57/898589457.db2.gz FJTYONFRFYHVJL-CQSZACIVSA-N 0 1 298.346 0.333 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1(C)CCCC1 ZINC001486021523 898595756 /nfs/dbraw/zinc/59/57/56/898595756.db2.gz XCAAPUBGZNFYIW-GFCCVEGCSA-N 0 1 252.358 0.657 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1(C)CCCC1 ZINC001486021524 898596765 /nfs/dbraw/zinc/59/67/65/898596765.db2.gz XCAAPUBGZNFYIW-LBPRGKRZSA-N 0 1 252.358 0.657 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CC[C@@H](C)CC ZINC001486046706 898612188 /nfs/dbraw/zinc/61/21/88/898612188.db2.gz WOFZESGQQJFXHN-STQMWFEESA-N 0 1 254.374 0.903 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C(=O)NCC2CC2)[C@@H]1C ZINC001486183994 898702744 /nfs/dbraw/zinc/70/27/44/898702744.db2.gz PAIAQBQWYNSAIX-AAEUAGOBSA-N 0 1 279.384 0.668 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)CC(C)C ZINC001486325546 898786976 /nfs/dbraw/zinc/78/69/76/898786976.db2.gz XUBRFCNODJLEBP-CYBMUJFWSA-N 0 1 254.374 0.807 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H]1CC1(C)C ZINC001486330617 898794426 /nfs/dbraw/zinc/79/44/26/898794426.db2.gz HYWGEQKXZYZTEE-RYUDHWBXSA-N 0 1 252.358 0.417 20 30 CCEDMN C#CCN(C)CCN(C(=O)c1cccc(=O)n1C)C(C)C ZINC001486375279 898837160 /nfs/dbraw/zinc/83/71/60/898837160.db2.gz DKFVEKKSKCVDPZ-UHFFFAOYSA-N 0 1 289.379 0.801 20 30 CCEDMN COCC#CCN1CC=C(CNC(=O)[C@H](O)C(C)C)CC1 ZINC001486505564 898909704 /nfs/dbraw/zinc/90/97/04/898909704.db2.gz GPIHZNGFWNDIGC-OAHLLOKOSA-N 0 1 294.395 0.401 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@H](N[C@H](C)c2nncn2C)C1 ZINC001397624368 914308697 /nfs/dbraw/zinc/30/86/97/914308697.db2.gz LFQJHPRGYADQAF-DDHJBXDOSA-N 0 1 290.371 0.520 20 30 CCEDMN CO[C@@H](C)C(=O)NC[C@@H](CO)NCc1ccc(C#N)cc1 ZINC001410580648 899480470 /nfs/dbraw/zinc/48/04/70/899480470.db2.gz MBNROIDUDYNNEV-FZMZJTMJSA-N 0 1 291.351 0.160 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@]2(C)CCNC2=O)C1 ZINC001323982002 899561883 /nfs/dbraw/zinc/56/18/83/899561883.db2.gz UWAUROCLYHASIA-OAHLLOKOSA-N 0 1 279.384 0.621 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC(N(C)C(=O)CCc2cnc[nH]2)C1 ZINC001410910811 899628603 /nfs/dbraw/zinc/62/86/03/899628603.db2.gz YQBOTONQKUAAAT-JTQLQIEISA-N 0 1 289.339 0.171 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cccnn1 ZINC001411132483 899692299 /nfs/dbraw/zinc/69/22/99/899692299.db2.gz UXJLFLNCBRLBGK-SNVBAGLBSA-N 0 1 284.747 0.252 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001415293938 899768333 /nfs/dbraw/zinc/76/83/33/899768333.db2.gz WZRXPUUNFPJEFJ-ZJUUUORDSA-N 0 1 275.312 0.148 20 30 CCEDMN Cc1ncc(C#N)cc1NS(=O)(=O)[C@@H](C)C#N ZINC001193107708 900032566 /nfs/dbraw/zinc/03/25/66/900032566.db2.gz ZXWXQNNETGCTQG-ZETCQYMHSA-N 0 1 250.283 0.915 20 30 CCEDMN C=CCCCC(=O)N1CCCN(CCOCCO)CC1 ZINC001197000738 900060540 /nfs/dbraw/zinc/06/05/40/900060540.db2.gz YNNGLHYNXBZLFI-UHFFFAOYSA-N 0 1 284.400 0.886 20 30 CCEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NC(=O)c1cnn[nH]1 ZINC001487797251 900133919 /nfs/dbraw/zinc/13/39/19/900133919.db2.gz XMHGCBXRRWTRPQ-JTQLQIEISA-N 0 1 279.344 0.738 20 30 CCEDMN C[C@H](CCCCNC(=O)[C@@H](C)C#N)NC(=O)c1ncn[nH]1 ZINC001489142252 900438208 /nfs/dbraw/zinc/43/82/08/900438208.db2.gz BRQGBZNRHDZGFE-VHSXEESVSA-N 0 1 292.343 0.369 20 30 CCEDMN C[C@H](CCCCNC(=O)[C@@H](C)C#N)NC(=O)c1nc[nH]n1 ZINC001489142252 900438222 /nfs/dbraw/zinc/43/82/22/900438222.db2.gz BRQGBZNRHDZGFE-VHSXEESVSA-N 0 1 292.343 0.369 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2conc2C)C1 ZINC001489171526 900438596 /nfs/dbraw/zinc/43/85/96/900438596.db2.gz ZASPYUYBBKDCIF-CYBMUJFWSA-N 0 1 291.351 0.515 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)C(=O)NCCCC#N)CN1C1CC1 ZINC001327274203 914458863 /nfs/dbraw/zinc/45/88/63/914458863.db2.gz FNCXSVYNXRUDRR-MNOVXSKESA-N 0 1 278.356 0.148 20 30 CCEDMN CN(CCCNC(=O)C#CC1CC1)CC(=O)NCC1CC1 ZINC001490193601 900564723 /nfs/dbraw/zinc/56/47/23/900564723.db2.gz UNPOBABGJNYEJP-UHFFFAOYSA-N 0 1 291.395 0.364 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1cc(F)c[nH]1 ZINC001490225961 900567593 /nfs/dbraw/zinc/56/75/93/900567593.db2.gz CZOIFHWFPVOAMG-UHFFFAOYSA-N 0 1 296.346 0.508 20 30 CCEDMN CCN(CCCNC(=O)C=C(C)C)CC(=O)NCC#N ZINC001490313255 900603488 /nfs/dbraw/zinc/60/34/88/900603488.db2.gz XKMIKBYQQJWTLW-UHFFFAOYSA-N 0 1 280.372 0.421 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)CN(C)C(C)=O)CC1 ZINC001490398142 900617865 /nfs/dbraw/zinc/61/78/65/900617865.db2.gz FLNDFERHUHOUNV-UHFFFAOYSA-N 0 1 279.384 0.411 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@H]2CCO[C@@H]2C)CC1 ZINC001490473144 900634987 /nfs/dbraw/zinc/63/49/87/900634987.db2.gz YTAQDIQZDCIILA-CABCVRRESA-N 0 1 293.411 0.169 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cncs2)CC1 ZINC001490483436 900637391 /nfs/dbraw/zinc/63/73/91/900637391.db2.gz AVIHHLCFFUUIGZ-UHFFFAOYSA-N 0 1 280.397 0.677 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCN1CCN(C[C@H](C)O)CC1 ZINC001490499518 900639487 /nfs/dbraw/zinc/63/94/87/900639487.db2.gz AROMMLUJEXCGGW-GJZGRUSLSA-N 0 1 297.443 0.703 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C#CC(C)C)CC1 ZINC001490492800 900639687 /nfs/dbraw/zinc/63/96/87/900639687.db2.gz RDNURGWOFBXBRB-UHFFFAOYSA-N 0 1 263.385 0.566 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCN1CCN(C[C@@H](C)O)CC1 ZINC001490499517 900641558 /nfs/dbraw/zinc/64/15/58/900641558.db2.gz AROMMLUJEXCGGW-CABCVRRESA-N 0 1 297.443 0.703 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCN1CCN(CCOC)CC1 ZINC001490501391 900643400 /nfs/dbraw/zinc/64/34/00/900643400.db2.gz SSEPPDUFPZNMMA-INIZCTEOSA-N 0 1 297.443 0.969 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)CCCC)CC1 ZINC001490462773 900644848 /nfs/dbraw/zinc/64/48/48/900644848.db2.gz IUBDZOLSOFRWJP-UHFFFAOYSA-N 0 1 265.401 0.934 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001490537089 900652067 /nfs/dbraw/zinc/65/20/67/900652067.db2.gz DGZHIVOITKVONN-HOCLYGCPSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H](C)Cc2cnn(C)c2)C1 ZINC001490568536 900669216 /nfs/dbraw/zinc/66/92/16/900669216.db2.gz RIDPEJVTEOBHCH-TZMCWYRMSA-N 0 1 276.384 0.975 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](CN(C)CC(=O)N(C)C)C1 ZINC001490750910 900716285 /nfs/dbraw/zinc/71/62/85/900716285.db2.gz BYOZTSCWMLMICI-STQMWFEESA-N 0 1 294.399 0.405 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@H](CNC(=O)[C@H](O)C(C)C)O2 ZINC001327349002 914490858 /nfs/dbraw/zinc/49/08/58/914490858.db2.gz FRBZTBXBEVKFPA-ZIAGYGMSSA-N 0 1 296.411 0.929 20 30 CCEDMN CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)CCc1c[nH]nn1 ZINC001412323912 901641620 /nfs/dbraw/zinc/64/16/20/901641620.db2.gz ABZCFLNZCZITLJ-CQSZACIVSA-N 0 1 299.334 0.801 20 30 CCEDMN CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)CCc1cnn[nH]1 ZINC001412323912 901641626 /nfs/dbraw/zinc/64/16/26/901641626.db2.gz ABZCFLNZCZITLJ-CQSZACIVSA-N 0 1 299.334 0.801 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)N[C@H](CO)Cc1cnc[nH]1 ZINC001412496211 901771837 /nfs/dbraw/zinc/77/18/37/901771837.db2.gz XQPPPIFWDVSRNI-ZDUSSCGKSA-N 0 1 284.319 0.923 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@H]2CNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001398216928 914573919 /nfs/dbraw/zinc/57/39/19/914573919.db2.gz SAKSLYLTKQKIJR-ONGXEEELSA-N 0 1 289.339 0.599 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCN(CCCN2CCCC2=O)C1 ZINC001398287256 914601771 /nfs/dbraw/zinc/60/17/71/914601771.db2.gz IGIXWAHSUUBDSP-QWHCGFSZSA-N 0 1 292.383 0.349 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001046711125 902819707 /nfs/dbraw/zinc/81/97/07/902819707.db2.gz XUPXXVMBWDYPRP-HNNXBMFYSA-N 0 1 288.351 0.091 20 30 CCEDMN CSCC[C@H](NC(=O)CC1(C#N)CC1)c1nn[nH]n1 ZINC001413294475 902850694 /nfs/dbraw/zinc/85/06/94/902850694.db2.gz BMAVUDIKEXVWON-QMMMGPOBSA-N 0 1 280.357 0.804 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](NC(=O)c1cnn[nH]1)C(C)(C)C ZINC001413474354 902978531 /nfs/dbraw/zinc/97/85/31/902978531.db2.gz ZXSHMAXULGCWQF-WPRPVWTQSA-N 0 1 292.343 0.225 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NC1(c2nn[nH]n2)CCC1 ZINC001413505109 902997994 /nfs/dbraw/zinc/99/79/94/902997994.db2.gz ISURQSWHFYTMNG-UHFFFAOYSA-N 0 1 298.306 0.889 20 30 CCEDMN CCc1nc(CNC(=O)Nc2ccc(C#N)cn2)n[nH]1 ZINC001413609773 903115726 /nfs/dbraw/zinc/11/57/26/903115726.db2.gz RGOOBXDXSURQRQ-UHFFFAOYSA-N 0 1 271.284 0.955 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NCCNC(=O)Cc1n[nH]c(C)n1 ZINC001491281582 903484837 /nfs/dbraw/zinc/48/48/37/903484837.db2.gz CDWZTLDCKASCGY-JTQLQIEISA-N 0 1 293.371 0.490 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCNC(=O)CN1CCCC1 ZINC001491319635 903495689 /nfs/dbraw/zinc/49/56/89/903495689.db2.gz INURCSKWPTUVIJ-HNNXBMFYSA-N 0 1 281.400 0.917 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)CC ZINC001491321446 903497442 /nfs/dbraw/zinc/49/74/42/903497442.db2.gz YODQHUICOAQNII-KIJLLGNVSA-N 0 1 297.374 0.472 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCN(Cc2cncn2C)C1 ZINC001493185112 903573785 /nfs/dbraw/zinc/57/37/85/903573785.db2.gz RTAWJLBGOWMBLQ-VXGBXAGGSA-N 0 1 275.356 0.518 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNC(=O)c1ncn[nH]1 ZINC001491510627 903634020 /nfs/dbraw/zinc/63/40/20/903634020.db2.gz UFAWQOVEPWBGHP-RKDXNWHRSA-N 0 1 265.317 0.109 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNC(=O)c1nc[nH]n1 ZINC001491510627 903634034 /nfs/dbraw/zinc/63/40/34/903634034.db2.gz UFAWQOVEPWBGHP-RKDXNWHRSA-N 0 1 265.317 0.109 20 30 CCEDMN C#CC[C@@H](COC)NCc1c(C)cnn1COC ZINC001331533225 903995054 /nfs/dbraw/zinc/99/50/54/903995054.db2.gz JSMCNTBYNCGUHI-LBPRGKRZSA-N 0 1 251.330 0.923 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001316599195 904113100 /nfs/dbraw/zinc/11/31/00/904113100.db2.gz BDPMJXAFJGFKMN-KBXIAJHMSA-N 0 1 294.395 0.614 20 30 CCEDMN C=CCCC(=O)N(C)CCCN(C)CC(=O)NC ZINC001280794730 904153092 /nfs/dbraw/zinc/15/30/92/904153092.db2.gz WQOJFUGGSFMFMY-UHFFFAOYSA-N 0 1 255.362 0.479 20 30 CCEDMN C=CCNC(=O)CN[C@H](c1nnc[nH]1)c1cccnc1 ZINC001327833152 914798806 /nfs/dbraw/zinc/79/88/06/914798806.db2.gz CRUGVKMKZGBMDC-LBPRGKRZSA-N 0 1 272.312 0.181 20 30 CCEDMN CCN(CC#N)CC1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001281845213 904354610 /nfs/dbraw/zinc/35/46/10/904354610.db2.gz SRQVTOKSAFHNNZ-UHFFFAOYSA-N 0 1 290.371 0.431 20 30 CCEDMN C[C@@H](NC(=O)c1ccn(-c2ccncc2)n1)[C@H](C)NCC#N ZINC001282154886 904422956 /nfs/dbraw/zinc/42/29/56/904422956.db2.gz DHSSWZUSFZHYBP-NWDGAFQWSA-N 0 1 298.350 0.887 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)COC(C)C ZINC001282357854 904456517 /nfs/dbraw/zinc/45/65/17/904456517.db2.gz XEZAEQQHKLQRPS-ZDUSSCGKSA-N 0 1 270.373 0.498 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H](CC)N1CCCC1=O ZINC001282495643 904490245 /nfs/dbraw/zinc/49/02/45/904490245.db2.gz UQGJTAAOAGCBFV-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN C=CCCN(C)[C@H](C)CNC(=O)[C@@H](C)CS(C)(=O)=O ZINC001282495403 904491271 /nfs/dbraw/zinc/49/12/71/904491271.db2.gz FMJGVBMGGSKCEU-NWDGAFQWSA-N 0 1 290.429 0.680 20 30 CCEDMN CCC[C@H](C)C(=O)N(C)C[C@H](O)CN(C)CC#CCOC ZINC001332621411 904529513 /nfs/dbraw/zinc/52/95/13/904529513.db2.gz UQUNWKLKFKZEAB-LSDHHAIUSA-N 0 1 298.427 0.824 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](CNCc2nncn2C)C1 ZINC001283024912 904672263 /nfs/dbraw/zinc/67/22/63/904672263.db2.gz XOGFBXJCMOGKSO-HAQNSBGRSA-N 0 1 277.372 0.766 20 30 CCEDMN C=CCCC(=O)N1CCC([N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC001283297270 904793278 /nfs/dbraw/zinc/79/32/78/904793278.db2.gz WMGXXPPHSDOSDY-UHFFFAOYSA-N 0 1 293.371 0.899 20 30 CCEDMN C=CCN(C)C1CCN(C(=O)CCS(C)(=O)=O)CC1 ZINC001283317299 904799877 /nfs/dbraw/zinc/79/98/77/904799877.db2.gz PNYMBXOSRFDVRO-UHFFFAOYSA-N 0 1 288.413 0.530 20 30 CCEDMN C=CCCC(=O)N(CC)CCCNC(=O)c1cnn[nH]1 ZINC001283737328 904984079 /nfs/dbraw/zinc/98/40/79/904984079.db2.gz RKZRCAFKMPDOLN-UHFFFAOYSA-N 0 1 279.344 0.739 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C[C@@H]1CC=CCC1 ZINC001283793480 905019130 /nfs/dbraw/zinc/01/91/30/905019130.db2.gz VHVBFDKBMWDFMK-CHWSQXEVSA-N 0 1 250.342 0.433 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](CO)NCc1cncn1C ZINC001283792938 905019359 /nfs/dbraw/zinc/01/93/59/905019359.db2.gz QFLPZFDCPQJATG-ZDUSSCGKSA-N 0 1 292.383 0.180 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H](C)Cc1ccco1 ZINC001283812320 905028964 /nfs/dbraw/zinc/02/89/64/905028964.db2.gz GNZNGVUREKUPKI-OLZOCXBDSA-N 0 1 278.352 0.548 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001284095728 905147524 /nfs/dbraw/zinc/14/75/24/905147524.db2.gz FWPSOOSBRRVWSX-ZRUFSTJUSA-N 0 1 291.355 0.640 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(C(N)=O)[nH]1 ZINC001377848710 905187059 /nfs/dbraw/zinc/18/70/59/905187059.db2.gz FZPJQNNYEKIGNE-IUCAKERBSA-N 0 1 298.774 0.963 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@H](O)CNCC(=C)Cl ZINC001284419039 905285042 /nfs/dbraw/zinc/28/50/42/905285042.db2.gz XUPJXZPMPFNISK-NWDGAFQWSA-N 0 1 288.775 0.186 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccco1 ZINC001284479452 905313923 /nfs/dbraw/zinc/31/39/23/905313923.db2.gz ZDGVPAUORWWNPH-NSHDSACASA-N 0 1 250.298 0.278 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)CCNC(=O)c1ncn[nH]1 ZINC001284570050 905377754 /nfs/dbraw/zinc/37/77/54/905377754.db2.gz BOIWCOKKQQYLRH-UHFFFAOYSA-N 0 1 265.317 0.205 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)CCNC(=O)c1nc[nH]n1 ZINC001284570050 905377772 /nfs/dbraw/zinc/37/77/72/905377772.db2.gz BOIWCOKKQQYLRH-UHFFFAOYSA-N 0 1 265.317 0.205 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N(C)CCNC(=O)c1ncn[nH]1 ZINC001284569971 905377895 /nfs/dbraw/zinc/37/78/95/905377895.db2.gz RFBMBBCHCORMMG-ZDUSSCGKSA-N 0 1 279.344 0.595 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N(C)CCNC(=O)c1nc[nH]n1 ZINC001284569971 905377914 /nfs/dbraw/zinc/37/79/14/905377914.db2.gz RFBMBBCHCORMMG-ZDUSSCGKSA-N 0 1 279.344 0.595 20 30 CCEDMN C=CCN(CCNC(=O)[C@@]1(C)CCC(=O)NC1)CCOC ZINC001284657122 905412928 /nfs/dbraw/zinc/41/29/28/905412928.db2.gz FDDMAODKKGDYGF-HNNXBMFYSA-N 0 1 297.399 0.153 20 30 CCEDMN C=CCN(CCNC(=O)c1cncn1C)CCOC ZINC001284658471 905413780 /nfs/dbraw/zinc/41/37/80/905413780.db2.gz XLQYHWMDEVRJFQ-UHFFFAOYSA-N 0 1 266.345 0.284 20 30 CCEDMN C#CCCCC(=O)N(CCNC(=O)c1ncn[nH]1)C1CC1 ZINC001284747856 905427274 /nfs/dbraw/zinc/42/72/74/905427274.db2.gz XXQKTNWCAGWSGJ-UHFFFAOYSA-N 0 1 289.339 0.329 20 30 CCEDMN C#CCCCC(=O)N(CCNC(=O)c1nc[nH]n1)C1CC1 ZINC001284747856 905427292 /nfs/dbraw/zinc/42/72/92/905427292.db2.gz XXQKTNWCAGWSGJ-UHFFFAOYSA-N 0 1 289.339 0.329 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001285234136 905579187 /nfs/dbraw/zinc/57/91/87/905579187.db2.gz FUPCOOONWPUXGC-NSHDSACASA-N 0 1 291.355 0.480 20 30 CCEDMN C=CCOCC(=O)N(C)[C@H](C)CNC(=O)[C@H]1CCCN1C ZINC001285775160 905761360 /nfs/dbraw/zinc/76/13/60/905761360.db2.gz IZKZDSXARDHKEX-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN CN(C(=O)c1cnc(CN)nc1)[C@@H]1CCC[C@H]1C#N ZINC001332992541 905876595 /nfs/dbraw/zinc/87/65/95/905876595.db2.gz IFYBDPJDWHUYJE-GXSJLCMTSA-N 0 1 259.313 0.700 20 30 CCEDMN CC(F)(F)C(=O)NC[C@@H](O)CNCc1ccccc1C#N ZINC001379158739 906026451 /nfs/dbraw/zinc/02/64/51/906026451.db2.gz XZDHYQVBHNTJCV-LBPRGKRZSA-N 0 1 297.305 0.780 20 30 CCEDMN COc1ncccc1CNC[C@@H](C)NC(=O)[C@H](C)C#N ZINC001379344894 906167232 /nfs/dbraw/zinc/16/72/32/906167232.db2.gz WHQMLNXBVCAFMQ-GHMZBOCLSA-N 0 1 276.340 0.844 20 30 CCEDMN CC(C)C(=O)NC[C@@H](CO)NCc1ccc(C#N)s1 ZINC001379347131 906170354 /nfs/dbraw/zinc/17/03/54/906170354.db2.gz APEZVSALZDUFDO-JTQLQIEISA-N 0 1 281.381 0.842 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001379384740 906206701 /nfs/dbraw/zinc/20/67/01/906206701.db2.gz GRQFESAKPDPADD-GHMZBOCLSA-N 0 1 287.791 0.702 20 30 CCEDMN N#Cc1cccc(CN[C@@H](CO)CNC(=O)[C@@H]2CC23CC3)c1 ZINC001379473494 906271728 /nfs/dbraw/zinc/27/17/28/906271728.db2.gz JUWBGXKUACCFNO-CABCVRRESA-N 0 1 299.374 0.925 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cc[nH]c1 ZINC001379795072 906483974 /nfs/dbraw/zinc/48/39/74/906483974.db2.gz VVZQKRHHLYIQJD-NSHDSACASA-N 0 1 271.748 0.790 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H]1CC[N@@H+](C)[C@@H]1C)C(=O)[O-] ZINC001334981513 906509688 /nfs/dbraw/zinc/50/96/88/906509688.db2.gz WXKWZRJCIZAHOR-GMTAPVOTSA-N 0 1 270.329 0.099 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc2c(cn1)OCCC2 ZINC001335076353 906537667 /nfs/dbraw/zinc/53/76/67/906537667.db2.gz UPORFIZNQUWBLH-UHFFFAOYSA-N 0 1 273.336 0.701 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)CCN1CC[C@H](F)C1 ZINC001294686611 906615080 /nfs/dbraw/zinc/61/50/80/906615080.db2.gz ZAMMEVUKSUXABO-JQWIXIFHSA-N 0 1 257.309 0.465 20 30 CCEDMN Cc1nc(CNC2(CNC(=O)c3ccc(C#N)[nH]3)CC2)n[nH]1 ZINC001380068812 906621864 /nfs/dbraw/zinc/62/18/64/906621864.db2.gz FOBMSFHZJGFQIG-UHFFFAOYSA-N 0 1 299.338 0.365 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)CCCc1nn[nH]n1 ZINC001295187499 906693418 /nfs/dbraw/zinc/69/34/18/906693418.db2.gz QBDDTJUNZLAETL-UHFFFAOYSA-N 0 1 281.316 0.100 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)C[C@@H](C)c2nn[nH]n2)c1 ZINC001296063553 906857300 /nfs/dbraw/zinc/85/73/00/906857300.db2.gz JIUVTIOIGWFNME-SECBINFHSA-N 0 1 270.296 0.452 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC001296815229 906961485 /nfs/dbraw/zinc/96/14/85/906961485.db2.gz VHAYZQUEZHPONE-QWHCGFSZSA-N 0 1 298.383 0.409 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)c1 ZINC001296795289 906962401 /nfs/dbraw/zinc/96/24/01/906962401.db2.gz LKLZNTHXMDCZFT-NSHDSACASA-N 0 1 282.307 0.596 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001299060549 907377460 /nfs/dbraw/zinc/37/74/60/907377460.db2.gz NFJJDFJAOOSOHL-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN Cc1nc(CN2CC([C@@H](C)NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001381767447 907387446 /nfs/dbraw/zinc/38/74/46/907387446.db2.gz BWUPPANBPHGMQK-RKDXNWHRSA-N 0 1 276.344 0.209 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)NCc1ccn(C)n1 ZINC001491586361 907425109 /nfs/dbraw/zinc/42/51/09/907425109.db2.gz XYXCPIIYLCPYGB-BNOWGMLFSA-N 0 1 292.383 0.606 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@@H](C)NC(N)=O ZINC001382064248 907527999 /nfs/dbraw/zinc/52/79/99/907527999.db2.gz GHTPLBFAOTZPCU-NXEZZACHSA-N 0 1 290.795 0.622 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@@H](C)NC(N)=O ZINC001382064255 907527975 /nfs/dbraw/zinc/52/79/75/907527975.db2.gz GHTPLBFAOTZPCU-ZJUUUORDSA-N 0 1 290.795 0.622 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](CNCc2cnon2)C1 ZINC001491859128 907607684 /nfs/dbraw/zinc/60/76/84/907607684.db2.gz QDMRKCIDIOFKQO-LBPRGKRZSA-N 0 1 294.355 0.600 20 30 CCEDMN C#Cc1ccc(N2C[C@@H](C)N(CCO)C[C@H]2C)nc1 ZINC001338029203 921344102 /nfs/dbraw/zinc/34/41/02/921344102.db2.gz ZMFULODPQZDDCM-CHWSQXEVSA-N 0 1 259.353 0.954 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1ccnc(C)n1 ZINC001382440687 907740538 /nfs/dbraw/zinc/74/05/38/907740538.db2.gz JGNFGFRUQOJDIK-LLVKDONJSA-N 0 1 298.774 0.560 20 30 CCEDMN CN(CCNC(=O)c1cc(C#N)c[nH]1)CCn1cccn1 ZINC001492224043 907775599 /nfs/dbraw/zinc/77/55/99/907775599.db2.gz VFRISTFRKVXVAM-UHFFFAOYSA-N 0 1 286.339 0.445 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CC[C@H](C)OC ZINC001492231805 907786574 /nfs/dbraw/zinc/78/65/74/907786574.db2.gz HSTUKQKHSFBLGW-ZDUSSCGKSA-N 0 1 270.373 0.499 20 30 CCEDMN C=C(C)C[N@@H+](C)CCNC(=O)Cc1ccc(C(N)=O)nc1 ZINC001492303373 907832139 /nfs/dbraw/zinc/83/21/39/907832139.db2.gz IMQJHRKVTPICBO-UHFFFAOYSA-N 0 1 290.367 0.347 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccc(N(C)C)nc1 ZINC001492315237 907846700 /nfs/dbraw/zinc/84/67/00/907846700.db2.gz AAOBEWKSNBLFBU-UHFFFAOYSA-N 0 1 274.368 0.833 20 30 CCEDMN C[C@@H](CNC(=O)CC(N)=O)NCC#Cc1ccccc1 ZINC001317233607 907976145 /nfs/dbraw/zinc/97/61/45/907976145.db2.gz XQRCYVGWOMNUDR-LBPRGKRZSA-N 0 1 273.336 0.008 20 30 CCEDMN C#CCCCn1nnnc1N1CCN(C)C(C)(C)C1 ZINC001337176439 908077210 /nfs/dbraw/zinc/07/72/10/908077210.db2.gz WQDAAFSUTNUPNK-UHFFFAOYSA-N 0 1 262.361 0.617 20 30 CCEDMN C=CCOc1cccnc1C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001303584742 908101447 /nfs/dbraw/zinc/10/14/47/908101447.db2.gz ZCCANXVSKIMJGW-LLVKDONJSA-N 0 1 288.307 0.833 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCn1cc(C)cn1 ZINC001317471815 908317278 /nfs/dbraw/zinc/31/72/78/908317278.db2.gz PRXYLBLWTSQCDJ-UHFFFAOYSA-N 0 1 262.357 0.653 20 30 CCEDMN CO[C@H]1c2ccccc2C[C@H]1NCC(=O)NCC#N ZINC001307848321 908359146 /nfs/dbraw/zinc/35/91/46/908359146.db2.gz XNWWDKZNGGEMAV-OCCSQVGLSA-N 0 1 259.309 0.528 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)[C@@H](C)COC ZINC001317496636 908527388 /nfs/dbraw/zinc/52/73/88/908527388.db2.gz OWQPOLTWDIDOPN-UONOGXRCSA-N 0 1 282.384 0.499 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCOC1 ZINC001317497650 908532058 /nfs/dbraw/zinc/53/20/58/908532058.db2.gz OFWCGUGCYKWOHA-KGLIPLIRSA-N 0 1 280.368 0.253 20 30 CCEDMN C#CCNC(=O)CC[N@H+](C[C@@H](C)C(=O)[O-])C1CC1 ZINC001588479189 983517966 /nfs/dbraw/zinc/51/79/66/983517966.db2.gz BJGCZFICXLDTHW-SNVBAGLBSA-N 0 1 252.314 0.311 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1[C@H]2CCO[C@H]2C1(C)C ZINC001312066542 908580257 /nfs/dbraw/zinc/58/02/57/908580257.db2.gz ZKCRWNCWLMXQMW-JHJVBQTASA-N 0 1 279.384 0.664 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1[C@@H]2CCO[C@H]2C1(C)C ZINC001312066545 908581796 /nfs/dbraw/zinc/58/17/96/908581796.db2.gz ZKCRWNCWLMXQMW-YNEHKIRRSA-N 0 1 279.384 0.664 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(CCC)CCNC(=O)C(C)(C)F ZINC001317538086 908649198 /nfs/dbraw/zinc/64/91/98/908649198.db2.gz DHHQEZKWOWYKLI-LBPRGKRZSA-N 0 1 299.390 0.701 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@H](O)Cc1ccccc1 ZINC001313439241 908667532 /nfs/dbraw/zinc/66/75/32/908667532.db2.gz IKFFLRUGZPOLAO-OAHLLOKOSA-N 0 1 289.379 0.454 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)[C@H]2C[C@H]2C)n1 ZINC001316714949 908692866 /nfs/dbraw/zinc/69/28/66/908692866.db2.gz DVMOVXOZQGKAKS-KOLCDFICSA-N 0 1 274.324 0.177 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](C)NC(=O)c1ccn2cncc2c1 ZINC001386460794 908753848 /nfs/dbraw/zinc/75/38/48/908753848.db2.gz YNVZPHFNXVPXFH-QWRGUYRKSA-N 0 1 299.334 0.728 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCOCCOC)[C@H]1CC ZINC001316741046 908761972 /nfs/dbraw/zinc/76/19/72/908761972.db2.gz FWINIRWQXBCVMW-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(=O)Nc2cc(C)on2)[C@H]1C ZINC001316801749 908777710 /nfs/dbraw/zinc/77/77/10/908777710.db2.gz QSTZNQNABNZCGD-MNOVXSKESA-N 0 1 292.339 0.687 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H]1CC[C@H](C)C1 ZINC001316853951 908806328 /nfs/dbraw/zinc/80/63/28/908806328.db2.gz IZHKQQLCPANROA-KBPBESRZSA-N 0 1 293.411 0.610 20 30 CCEDMN C=CCOCCN1CCN(CCNC(=O)[C@H]2C[C@H]2C)CC1 ZINC001316961775 908866363 /nfs/dbraw/zinc/86/63/63/908866363.db2.gz DYECHTUKTRAGOD-CABCVRRESA-N 0 1 295.427 0.579 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)c2ncoc2C)CC1 ZINC001316956343 908868129 /nfs/dbraw/zinc/86/81/29/908868129.db2.gz BNNYPWFMXKVSLO-UHFFFAOYSA-N 0 1 290.367 0.354 20 30 CCEDMN C=CCOCCN1CCN(CCNC(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001316961780 908870038 /nfs/dbraw/zinc/87/00/38/908870038.db2.gz DYECHTUKTRAGOD-LSDHHAIUSA-N 0 1 295.427 0.579 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)CCC=C)CC1 ZINC001316958110 908870608 /nfs/dbraw/zinc/87/06/08/908870608.db2.gz WTSCZFWAIFMKBI-UHFFFAOYSA-N 0 1 263.385 0.710 20 30 CCEDMN C=CCCC(=O)NCCN1CCN(C[C@@H](C)OC)CC1 ZINC001316963453 908874691 /nfs/dbraw/zinc/87/46/91/908874691.db2.gz SVVNIITVQTXFKW-CQSZACIVSA-N 0 1 283.416 0.721 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)NC(C)=O ZINC001317042842 908934615 /nfs/dbraw/zinc/93/46/15/908934615.db2.gz LEGWHWPPASHYAZ-BNOWGMLFSA-N 0 1 277.368 0.210 20 30 CCEDMN Cc1ncc(CN[C@@H](C)CNC(=O)[C@@H](C)C#N)o1 ZINC001317228800 909063245 /nfs/dbraw/zinc/06/32/45/909063245.db2.gz KMDDKRLPKIJLDD-IUCAKERBSA-N 0 1 250.302 0.737 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@H](NCc2cnoc2C)C1 ZINC001317299526 909124804 /nfs/dbraw/zinc/12/48/04/909124804.db2.gz GTURWRGLXAZSGE-JSGCOSHPSA-N 0 1 291.351 0.712 20 30 CCEDMN C[C@H](CCNC(=O)Cc1cnc[nH]1)NC(=O)[C@@H](C)C#N ZINC001387592933 909160099 /nfs/dbraw/zinc/16/00/99/909160099.db2.gz HILMZIYOCGRZAA-VHSXEESVSA-N 0 1 277.328 0.123 20 30 CCEDMN C=CCCC(=O)NCCN(C)CCn1cncn1 ZINC001317440575 909203184 /nfs/dbraw/zinc/20/31/84/909203184.db2.gz IFHAXYZDJYHMOM-UHFFFAOYSA-N 0 1 251.334 0.292 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)Cn1nccc1C ZINC001317486757 909262272 /nfs/dbraw/zinc/26/22/72/909262272.db2.gz HPUOHHINDBFDGM-UHFFFAOYSA-N 0 1 292.383 0.279 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H](C(C)C)N1CCCC1=O ZINC001317491953 909263202 /nfs/dbraw/zinc/26/32/02/909263202.db2.gz HHZBFBZNGQGGOM-HNNXBMFYSA-N 0 1 293.411 0.705 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(=O)[nH]n1 ZINC001317565701 909340757 /nfs/dbraw/zinc/34/07/57/909340757.db2.gz LIZVRKHYSWKPGZ-UHFFFAOYSA-N 0 1 270.720 0.596 20 30 CCEDMN C#C[C@H](NC[C@H](O)C[C@]1(O)CCOC1)c1ccccc1 ZINC001338506112 921492049 /nfs/dbraw/zinc/49/20/49/921492049.db2.gz VKCZFZGQZMDHCO-OWCLPIDISA-N 0 1 275.348 0.853 20 30 CCEDMN CC#CCN[C@H](CNC(=O)[C@@H]1CCC(=O)N1)c1ccccc1 ZINC001317726776 909477531 /nfs/dbraw/zinc/47/75/31/909477531.db2.gz CBNAEFXLVHXUFH-LSDHHAIUSA-N 0 1 299.374 0.735 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCc2nccn2C)C1 ZINC001317937297 909546980 /nfs/dbraw/zinc/54/69/80/909546980.db2.gz ZWOUAMVPWFEENF-CQSZACIVSA-N 0 1 288.395 0.957 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)Cn2cccn2)C1 ZINC001318101009 909619838 /nfs/dbraw/zinc/61/98/38/909619838.db2.gz GNXRNGKJUVMQCB-UHFFFAOYSA-N 0 1 278.356 0.134 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001318129236 909637367 /nfs/dbraw/zinc/63/73/67/909637367.db2.gz LOMJXYBJIVLEDK-HNNXBMFYSA-N 0 1 279.384 0.527 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001318283742 909685390 /nfs/dbraw/zinc/68/53/90/909685390.db2.gz HSNCYNXZDCVEHY-LBPRGKRZSA-N 0 1 267.373 0.525 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(CCn2cncn2)C1 ZINC001318320409 909704180 /nfs/dbraw/zinc/70/41/80/909704180.db2.gz XBXQFQAAFKFWSA-GFCCVEGCSA-N 0 1 263.345 0.435 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H](C)COC)C1 ZINC001318385500 909720996 /nfs/dbraw/zinc/72/09/96/909720996.db2.gz HYDWKAQAWKLYQI-QWHCGFSZSA-N 0 1 252.358 0.825 20 30 CCEDMN C=C(Cl)CN1CCC(CCNC(=O)CC(N)=O)CC1 ZINC001319019091 909952968 /nfs/dbraw/zinc/95/29/68/909952968.db2.gz HOFGNLPOFIOMLJ-UHFFFAOYSA-N 0 1 287.791 0.833 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)CNCc1nonc1C ZINC001319180183 910022938 /nfs/dbraw/zinc/02/29/38/910022938.db2.gz HMYSCWZTFLFHSI-IINYFYTJSA-N 0 1 296.371 0.547 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](C)CNCc1csnn1 ZINC001319181631 910023483 /nfs/dbraw/zinc/02/34/83/910023483.db2.gz OPJCGCCFKVTTTQ-ZWNOBZJWSA-N 0 1 298.412 0.707 20 30 CCEDMN C#C[C@H](C)N(C)c1nnc(-c2nc(C3CC3)n[nH]2)n1C ZINC001338661474 921541769 /nfs/dbraw/zinc/54/17/69/921541769.db2.gz PQZNUULNGHRCNR-QMMMGPOBSA-N 0 1 271.328 0.936 20 30 CCEDMN C#C[C@H](C)N(C)c1nnc(-c2nnc(C3CC3)[nH]2)n1C ZINC001338661474 921541776 /nfs/dbraw/zinc/54/17/76/921541776.db2.gz PQZNUULNGHRCNR-QMMMGPOBSA-N 0 1 271.328 0.936 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)c2[nH]nnc2C)C1 ZINC001319320692 910096224 /nfs/dbraw/zinc/09/62/24/910096224.db2.gz ZUMIABUOGAZAHD-LBPRGKRZSA-N 0 1 293.371 0.510 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)Cn1ncnn1 ZINC001390143272 910245745 /nfs/dbraw/zinc/24/57/45/910245745.db2.gz FEAXFHRQUXDWOG-NSHDSACASA-N 0 1 298.778 0.396 20 30 CCEDMN COCC#CC[NH2+][C@H](CNC(=O)c1[n-]nnc1C)C(C)C ZINC001319927495 910352860 /nfs/dbraw/zinc/35/28/60/910352860.db2.gz GMKJIFAJMSGJPL-GFCCVEGCSA-N 0 1 293.371 0.107 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H]1C[C@H]1C ZINC001319970521 910381263 /nfs/dbraw/zinc/38/12/63/910381263.db2.gz VLORSLXXUXQUIL-OLZOCXBDSA-N 0 1 252.358 0.682 20 30 CCEDMN C=CCO[C@H]1CCN(CCN2CCCS2(=O)=O)C1 ZINC001320160090 910487001 /nfs/dbraw/zinc/48/70/01/910487001.db2.gz LWGXVVVRLDSZHW-LBPRGKRZSA-N 0 1 274.386 0.299 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)c1ccc2cncn2c1C ZINC001320171643 910492710 /nfs/dbraw/zinc/49/27/10/910492710.db2.gz WWJWENKIJVAFOP-NSHDSACASA-N 0 1 285.303 0.937 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001390564029 910522637 /nfs/dbraw/zinc/52/26/37/910522637.db2.gz LPLUOXZMDMETJC-NWDGAFQWSA-N 0 1 287.791 0.844 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H](O)CNCc1ccn(C)n1 ZINC001320259723 910559378 /nfs/dbraw/zinc/55/93/78/910559378.db2.gz RUQMGGOYJMOJJT-CYBMUJFWSA-N 0 1 294.399 0.589 20 30 CCEDMN C=C[C@H](COC)NC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC001320305792 910595950 /nfs/dbraw/zinc/59/59/50/910595950.db2.gz GGMFLMKWNLGALY-ZIAGYGMSSA-N 0 1 299.415 0.843 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)Cc1n[nH]c(C(C)(C)C)n1 ZINC001390743044 910641123 /nfs/dbraw/zinc/64/11/23/910641123.db2.gz RWCMXDLDNYFONN-JTQLQIEISA-N 0 1 292.387 0.810 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCN(CC(=O)N2CCCC2)C1 ZINC001391090842 910872561 /nfs/dbraw/zinc/87/25/61/910872561.db2.gz UKTVPBPOXQAMFO-QWHCGFSZSA-N 0 1 292.383 0.207 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)[C@@H]1CC=CCC1 ZINC001320965861 910963269 /nfs/dbraw/zinc/96/32/69/910963269.db2.gz JUNWEQCXANSBGP-LMVHVUTASA-N 0 1 291.395 0.907 20 30 CCEDMN C#CCC(CC#C)C(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001339005532 921623769 /nfs/dbraw/zinc/62/37/69/921623769.db2.gz IQVWTFWXRPXKCM-GFCCVEGCSA-N 0 1 286.335 0.588 20 30 CCEDMN C#CCC(CC#C)C(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001339005532 921623782 /nfs/dbraw/zinc/62/37/82/921623782.db2.gz IQVWTFWXRPXKCM-GFCCVEGCSA-N 0 1 286.335 0.588 20 30 CCEDMN Cc1cc(CNC/C=C\CNC(=O)[C@H](C)C#N)ncn1 ZINC001321000518 911003081 /nfs/dbraw/zinc/00/30/81/911003081.db2.gz ZHEGQPKODMZGOW-DLRQAJBASA-N 0 1 273.340 0.707 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ncn(C)n1 ZINC001321053689 911050530 /nfs/dbraw/zinc/05/05/30/911050530.db2.gz IWZVCEYXHBNXRH-ONEGZZNKSA-N 0 1 269.736 0.443 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2C[C@]23CCOC3)CC1 ZINC001321140813 911113410 /nfs/dbraw/zinc/11/34/10/911113410.db2.gz SLZSBYAUSQJMLO-AAEUAGOBSA-N 0 1 263.341 0.517 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CCc1cnn(C)n1 ZINC001391722001 911362174 /nfs/dbraw/zinc/36/21/74/911362174.db2.gz PQNCDRICTPRKPJ-NSHDSACASA-N 0 1 299.806 0.985 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)CNCc1cnnn1C ZINC001321955415 911587383 /nfs/dbraw/zinc/58/73/83/911587383.db2.gz GMXMDMAAQDRVDB-LBPRGKRZSA-N 0 1 277.372 0.603 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)Cc1ccn(C)n1 ZINC001322156652 911684464 /nfs/dbraw/zinc/68/44/64/911684464.db2.gz MLJOEHMZJDPESD-LBPRGKRZSA-N 0 1 262.357 0.422 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H](OC)C1CC1 ZINC001322150225 911684508 /nfs/dbraw/zinc/68/45/08/911684508.db2.gz ZGEQJTJEVZZQNQ-DGCLKSJQSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCc2cncn2C1 ZINC001322191554 911702441 /nfs/dbraw/zinc/70/24/41/911702441.db2.gz BZRAHUGTNLWBEI-CHWSQXEVSA-N 0 1 274.368 0.515 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)C(=O)NCc2cn[nH]c2C)C1 ZINC001322198218 911709700 /nfs/dbraw/zinc/70/97/00/911709700.db2.gz TZVQMBDPORTCGX-LBPRGKRZSA-N 0 1 292.339 0.138 20 30 CCEDMN CCC[C@H](OC)C(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001322219455 911711608 /nfs/dbraw/zinc/71/16/08/911711608.db2.gz VSPCPGPDCYGEFI-KBPBESRZSA-N 0 1 284.400 0.888 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)Cn1nnnc1C(C)C ZINC001322262141 911733353 /nfs/dbraw/zinc/73/33/53/911733353.db2.gz MUZNGPSOAVYKSV-GFCCVEGCSA-N 0 1 292.387 0.256 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)NC(=O)C(C)(C)c1cnc[nH]1 ZINC001392497857 911862271 /nfs/dbraw/zinc/86/22/71/911862271.db2.gz JJTBKAYOJXHRPV-NXEZZACHSA-N 0 1 291.355 0.468 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)c1ccc(C#N)o1 ZINC001322567231 911862792 /nfs/dbraw/zinc/86/27/92/911862792.db2.gz IOVVOBQDJZHRCW-UHFFFAOYSA-N 0 1 267.270 0.090 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@@H](CNCC#N)C2CC2)C1 ZINC001323064790 912098554 /nfs/dbraw/zinc/09/85/54/912098554.db2.gz RDRKPXZLNWLOKG-YPMHNXCESA-N 0 1 264.373 0.336 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@](C)(O)C=C ZINC001323232133 912205699 /nfs/dbraw/zinc/20/56/99/912205699.db2.gz NQPRDYGKBYWMIE-TZMCWYRMSA-N 0 1 250.342 0.527 20 30 CCEDMN C#CC[C@@H](NC(=O)C[N@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC001339540309 921744854 /nfs/dbraw/zinc/74/48/54/921744854.db2.gz SAPAQKXPHGFNQW-VHSXEESVSA-N 0 1 270.354 0.016 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H](C)NCc1nnnn1C1CC1 ZINC001399308808 915111053 /nfs/dbraw/zinc/11/10/53/915111053.db2.gz AXMWZHWCRQRAMS-ZJUUUORDSA-N 0 1 291.359 0.152 20 30 CCEDMN COCC#CC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC001328338230 915121367 /nfs/dbraw/zinc/12/13/67/915121367.db2.gz FPKMUYBDOUJUQL-UHFFFAOYSA-N 0 1 250.302 0.368 20 30 CCEDMN C=C(Cl)CN(C)CCNC(=O)Cc1n[nH]c(C)n1 ZINC001399490847 915197653 /nfs/dbraw/zinc/19/76/53/915197653.db2.gz PRBKZGXZINHLNV-UHFFFAOYSA-N 0 1 271.752 0.456 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H]2CCO[C@@H](C)C2)CC1 ZINC001328554829 915271961 /nfs/dbraw/zinc/27/19/61/915271961.db2.gz NJXPIVJAPPMHEJ-KBPBESRZSA-N 0 1 296.411 0.931 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCN(C)C(=O)Cc1ccn[nH]1 ZINC001399675091 915316097 /nfs/dbraw/zinc/31/60/97/915316097.db2.gz GBPIRTIQDOAFNN-JTQLQIEISA-N 0 1 277.328 0.029 20 30 CCEDMN C#CCOCCC(=O)N1CCC[C@@H]1CN(C)CC#CC ZINC001328719326 915380656 /nfs/dbraw/zinc/38/06/56/915380656.db2.gz GGUUVBYEWCXLKX-OAHLLOKOSA-N 0 1 276.380 0.972 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)CC[C@@H]1C[C@H](C(=O)[O-])CO1 ZINC001339602896 921775619 /nfs/dbraw/zinc/77/56/19/921775619.db2.gz OZLPMKPJHVUHAD-QWHCGFSZSA-N 0 1 296.367 0.328 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001329474739 915947698 /nfs/dbraw/zinc/94/76/98/915947698.db2.gz NJFRYJKDYIASAP-CHWSQXEVSA-N 0 1 274.368 0.515 20 30 CCEDMN C=CCCN(C)[C@@H](C)CNC(=O)[C@@H](C)S(C)(=O)=O ZINC001329474816 915951062 /nfs/dbraw/zinc/95/10/62/915951062.db2.gz PFSBJXIQUQBOLF-WDEREUQCSA-N 0 1 276.402 0.432 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@](C)(CNCc1nccn1C)C1CC1 ZINC001400988846 916001441 /nfs/dbraw/zinc/00/14/41/916001441.db2.gz DNRDTFKMOAYXBJ-XHDPSFHLSA-N 0 1 289.383 0.954 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C(=O)N1CCC[C@H](C)C1 ZINC001329570230 916023280 /nfs/dbraw/zinc/02/32/80/916023280.db2.gz DAWNXOXOCHLUMT-QWHCGFSZSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1noc2c1COCC2 ZINC001329624761 916074015 /nfs/dbraw/zinc/07/40/15/916074015.db2.gz TUGFTLSVBAHBJE-SNVBAGLBSA-N 0 1 277.324 0.431 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CN1CCCC1=O ZINC001401197719 916100969 /nfs/dbraw/zinc/10/09/69/916100969.db2.gz BULUNQSIVHIJSA-LLVKDONJSA-N 0 1 287.791 0.798 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)C(=O)N1CCC[C@](C)(C#N)C1 ZINC001329677975 916117372 /nfs/dbraw/zinc/11/73/72/916117372.db2.gz YNRPWQSPWZDDHL-CQSZACIVSA-N 0 1 289.339 0.520 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cnnc(C)c1 ZINC001401487572 916274587 /nfs/dbraw/zinc/27/45/87/916274587.db2.gz HNCLYMIBBNXVRD-NSHDSACASA-N 0 1 284.747 0.218 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)COc2cccnc2)C1 ZINC001330060853 916393217 /nfs/dbraw/zinc/39/32/17/916393217.db2.gz NGNGKFBCCDRWCS-ZDUSSCGKSA-N 0 1 287.363 0.920 20 30 CCEDMN N#Cc1ccc(C[N@@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)o1 ZINC001330118340 916419960 /nfs/dbraw/zinc/41/99/60/916419960.db2.gz GAKNBTJKVNNBPC-NOZJJQNGSA-N 0 1 262.265 0.684 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cn[nH]c(=O)c1 ZINC001401688524 916479650 /nfs/dbraw/zinc/47/96/50/916479650.db2.gz UIEHEMJGHMXKBY-QMMMGPOBSA-N 0 1 270.720 0.643 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)C(=O)NCC[N@H+](C)C2CC2)CC1 ZINC001330554262 916694582 /nfs/dbraw/zinc/69/45/82/916694582.db2.gz BSTDHNXNHOOKIM-JOCQHMNTSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)[C@H]2CCC(=O)N2)CC1 ZINC001401973227 916738619 /nfs/dbraw/zinc/73/86/19/916738619.db2.gz YSLHDRMRMRUZLY-WDEREUQCSA-N 0 1 299.802 0.892 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CCn1cnnn1 ZINC001402066516 916788721 /nfs/dbraw/zinc/78/87/21/916788721.db2.gz XUJCKHUQMLYZHQ-LLVKDONJSA-N 0 1 298.778 0.396 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CCCC(N)=O ZINC001402082353 916798891 /nfs/dbraw/zinc/79/88/91/916798891.db2.gz SSEWINGACZWPEL-NSHDSACASA-N 0 1 287.791 0.975 20 30 CCEDMN Cc1nonc1CNC[C@@]1(C)CCN(C(=O)[C@H](C)C#N)C1 ZINC001402163610 916847173 /nfs/dbraw/zinc/84/71/73/916847173.db2.gz RNORFICPDYTZEP-QMTHXVAHSA-N 0 1 291.355 0.866 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H](C)CC(N)=O)C[C@@H]2C1 ZINC001402438895 917015276 /nfs/dbraw/zinc/01/52/76/917015276.db2.gz QFDFOIUBYFKNIV-JLLWLGSASA-N 0 1 299.802 0.641 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1nccs1 ZINC001331157748 917134089 /nfs/dbraw/zinc/13/40/89/917134089.db2.gz HPIPTRYAIHHKBX-UHFFFAOYSA-N 0 1 281.381 0.845 20 30 CCEDMN C#CC[C@@H](NC(=O)C(=O)N1CCNCC1)c1ccccc1 ZINC001331477337 917362383 /nfs/dbraw/zinc/36/23/83/917362383.db2.gz WGHSWDCZYDHJQR-CQSZACIVSA-N 0 1 285.347 0.299 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(=O)n(CC)n1 ZINC001403079241 917462014 /nfs/dbraw/zinc/46/20/14/917462014.db2.gz XLCNNYIBPNXPIW-SNVBAGLBSA-N 0 1 298.774 0.724 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccccc1O ZINC001331687243 917512574 /nfs/dbraw/zinc/51/25/74/917512574.db2.gz ZPYAIJRHRBEWDG-NSHDSACASA-N 0 1 262.309 0.096 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ncc(C)cc1OC ZINC001331727606 917552605 /nfs/dbraw/zinc/55/26/05/917552605.db2.gz ZQNVSUBWNKBRHG-LBPRGKRZSA-N 0 1 291.351 0.102 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C[C@@H]1CC(C)(C)CO1 ZINC001331755768 917575126 /nfs/dbraw/zinc/57/51/26/917575126.db2.gz DDZVKYWFKNUHGH-CHWSQXEVSA-N 0 1 282.384 0.282 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C[C@H]1CC(C)(C)CO1 ZINC001331755770 917575708 /nfs/dbraw/zinc/57/57/08/917575708.db2.gz DDZVKYWFKNUHGH-OLZOCXBDSA-N 0 1 282.384 0.282 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1cccc(C)c1Cl ZINC001331754970 917575938 /nfs/dbraw/zinc/57/59/38/917575938.db2.gz ADVRXFQIWABRFK-ZDUSSCGKSA-N 0 1 294.782 0.891 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1cccc(C)c1Cl ZINC001331754969 917576659 /nfs/dbraw/zinc/57/66/59/917576659.db2.gz ADVRXFQIWABRFK-CYBMUJFWSA-N 0 1 294.782 0.891 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(Cl)ccc1OC ZINC001331820468 917636307 /nfs/dbraw/zinc/63/63/07/917636307.db2.gz SMOGJOGQMQZCPC-NSHDSACASA-N 0 1 296.754 0.662 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001331824257 917641573 /nfs/dbraw/zinc/64/15/73/917641573.db2.gz HLYRIPOFGTWWNK-MGPQQGTHSA-N 0 1 266.385 0.903 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H](CO)NC[C@@H](F)CC)nc1 ZINC001331826570 917643663 /nfs/dbraw/zinc/64/36/63/917643663.db2.gz ULRICACTQWNJJM-STQMWFEESA-N 0 1 293.342 0.491 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1c[nH]c2ncccc12 ZINC001331836346 917650260 /nfs/dbraw/zinc/65/02/60/917650260.db2.gz RCEUWFPGSRJPIF-NSHDSACASA-N 0 1 286.335 0.267 20 30 CCEDMN CN1CCN(C2CN(C(=O)CC3(C#N)CC3)C2)CC1 ZINC001332111202 917869616 /nfs/dbraw/zinc/86/96/16/917869616.db2.gz ACKAQPVDVMLAAQ-UHFFFAOYSA-N 0 1 262.357 0.138 20 30 CCEDMN Cc1cccc2nc(C(=O)N[C@H]3CNC[C@H]3C#N)cn21 ZINC001332179257 917936869 /nfs/dbraw/zinc/93/68/69/917936869.db2.gz JVAFHXGXXJMQIW-MNOVXSKESA-N 0 1 269.308 0.484 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H]1CCCO1 ZINC001403833392 918040079 /nfs/dbraw/zinc/04/00/79/918040079.db2.gz QJRYSTOJZSMMJE-WDEREUQCSA-N 0 1 276.764 0.327 20 30 CCEDMN CC(C)CNC(=O)CN1CC[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001404044085 918180141 /nfs/dbraw/zinc/18/01/41/918180141.db2.gz RWASRMMSGMOKNM-OLZOCXBDSA-N 0 1 294.399 0.356 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CCC(C)C ZINC001332616270 918316979 /nfs/dbraw/zinc/31/69/79/918316979.db2.gz KMDVMOHWCCYNSD-ZDUSSCGKSA-N 0 1 254.374 0.807 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C[C@@H]1CCOC1 ZINC001332619128 918321306 /nfs/dbraw/zinc/32/13/06/918321306.db2.gz NJTIEVRHXUEGQA-KBPBESRZSA-N 0 1 282.384 0.187 20 30 CCEDMN CCC[C@@H](C)C(=O)N(C)C[C@H](O)CN(C)CC#CCOC ZINC001332621407 918322506 /nfs/dbraw/zinc/32/25/06/918322506.db2.gz UQUNWKLKFKZEAB-HUUCEWRRSA-N 0 1 298.427 0.824 20 30 CCEDMN C=C(Cl)C[N@@H+]1CC[C@@H](CCNC(=O)c2nc[nH]n2)C1 ZINC001404511137 918372811 /nfs/dbraw/zinc/37/28/11/918372811.db2.gz HVBPAMATSIGWIB-SNVBAGLBSA-N 0 1 283.763 0.999 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H](C)C#N)NCc1snnc1C ZINC001405216463 918674222 /nfs/dbraw/zinc/67/42/22/918674222.db2.gz QTPUFVZVHJIKLV-WCBMZHEXSA-N 0 1 281.385 0.991 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CCS(C)(=O)=O ZINC001405278284 918707061 /nfs/dbraw/zinc/70/70/61/918707061.db2.gz ZMPFDZHLUFCIGN-JTQLQIEISA-N 0 1 296.820 0.658 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NCc2nncn2C)CCCC1 ZINC001405747696 918882930 /nfs/dbraw/zinc/88/29/30/918882930.db2.gz SLDUVZJYFFXUSO-LLVKDONJSA-N 0 1 290.371 0.493 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001405860009 918946435 /nfs/dbraw/zinc/94/64/35/918946435.db2.gz PPTSYXUYGUYLEE-BMQDGWLCSA-N 0 1 289.339 0.217 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001405860078 918948853 /nfs/dbraw/zinc/94/88/53/918948853.db2.gz PPTSYXUYGUYLEE-GRZMOONWSA-N 0 1 289.339 0.217 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H](OC)C1CC1 ZINC001406221325 919123297 /nfs/dbraw/zinc/12/32/97/919123297.db2.gz UJSDXLJSCKLMQW-MNOVXSKESA-N 0 1 276.764 0.231 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001333980094 919294632 /nfs/dbraw/zinc/29/46/32/919294632.db2.gz LRIDZHOWMKYKMH-MXWKQRLJSA-N 0 1 292.339 0.516 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001333980094 919294643 /nfs/dbraw/zinc/29/46/43/919294643.db2.gz LRIDZHOWMKYKMH-MXWKQRLJSA-N 0 1 292.339 0.516 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1c[nH]c(C(N)=O)c1 ZINC001406553880 919346147 /nfs/dbraw/zinc/34/61/47/919346147.db2.gz BNMZCPNPTZMYLQ-QMMMGPOBSA-N 0 1 284.747 0.574 20 30 CCEDMN N#Cc1ccc(N2CCC(c3nc[nH]n3)CC2)nn1 ZINC001334234573 919445307 /nfs/dbraw/zinc/44/53/07/919445307.db2.gz QVXFIHUJYLXYBM-UHFFFAOYSA-N 0 1 255.285 0.850 20 30 CCEDMN Cn1cncc1CNC1(CNC(=O)c2ccc(C#N)[nH]2)CC1 ZINC001406908322 919531818 /nfs/dbraw/zinc/53/18/18/919531818.db2.gz OMGBTVHBCUVZHX-UHFFFAOYSA-N 0 1 298.350 0.672 20 30 CCEDMN C=CCn1nnnc1N1CC[C@H](c2n[nH]c(C)n2)C1 ZINC001334450172 919594185 /nfs/dbraw/zinc/59/41/85/919594185.db2.gz ZKHPIJMWNANKFH-VIFPVBQESA-N 0 1 260.305 0.280 20 30 CCEDMN C=CCn1nnnc1N1CC[C@H](c2nnc(C)[nH]2)C1 ZINC001334450172 919594193 /nfs/dbraw/zinc/59/41/93/919594193.db2.gz ZKHPIJMWNANKFH-VIFPVBQESA-N 0 1 260.305 0.280 20 30 CCEDMN C#C[C@H](NS(=O)(=O)c1cc(C(=O)OC)no1)C(C)C ZINC001334956259 919864148 /nfs/dbraw/zinc/86/41/48/919864148.db2.gz DRXZLXDVOGAOJR-QMMMGPOBSA-N 0 1 286.309 0.397 20 30 CCEDMN COCCOC[C@@H](O)CNCC1(C#N)CCCC1 ZINC001335447705 920199162 /nfs/dbraw/zinc/19/91/62/920199162.db2.gz IQGBZOISKCHJOJ-LBPRGKRZSA-N 0 1 256.346 0.684 20 30 CCEDMN Cn1c(CNC(=O)N2CCC[C@H](CC#N)C2)n[nH]c1=O ZINC001413916790 920215460 /nfs/dbraw/zinc/21/54/60/920215460.db2.gz KTKHXZORVFSPAG-SECBINFHSA-N 0 1 278.316 0.356 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)N1CCNC[C@H]1CCOC ZINC001335538773 920260951 /nfs/dbraw/zinc/26/09/51/920260951.db2.gz OCQUDFQYIWXFBV-MCIONIFRSA-N 0 1 297.399 0.740 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CC(=O)OC)c1ccc(C)cc1 ZINC001335856030 920480472 /nfs/dbraw/zinc/48/04/72/920480472.db2.gz IKUZHFAHFHXYKE-AWEZNQCLSA-N 0 1 288.347 0.938 20 30 CCEDMN C#C[C@@H](NC[C@@H](O)COCCOC)c1ccccc1 ZINC001335986695 920543832 /nfs/dbraw/zinc/54/38/32/920543832.db2.gz OVDUVUPVEKLTQU-HUUCEWRRSA-N 0 1 263.337 0.974 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@]3(CCOC3=O)C2)CC1 ZINC001336357398 920732291 /nfs/dbraw/zinc/73/22/91/920732291.db2.gz IHGOSBMEVLIJFI-MRXNPFEDSA-N 0 1 290.363 0.497 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)C(=O)[C@@H]1CCCCN1C ZINC001415598185 920778568 /nfs/dbraw/zinc/77/85/68/920778568.db2.gz MDFXGMQEQIDPPX-NEPJUHHUSA-N 0 1 280.372 0.205 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N2CC[C@@H]3C[N@H+](C)C[C@H]32)cc1 ZINC001336789064 921012030 /nfs/dbraw/zinc/01/20/30/921012030.db2.gz PYMVEFGJLVFWIZ-UKRRQHHQSA-N 0 1 297.358 0.769 20 30 CCEDMN Cc1cc(NC(=O)CN[C@H](C)CNC(=O)[C@H](C)C#N)on1 ZINC001418217354 921928717 /nfs/dbraw/zinc/92/87/17/921928717.db2.gz WBYYXWDLECCBEI-PSASIEDQSA-N 0 1 293.327 0.176 20 30 CCEDMN C#CCNCC(=O)NCCc1nc2cc(F)ccc2[nH]1 ZINC001340242674 922021930 /nfs/dbraw/zinc/02/19/30/922021930.db2.gz WAYWTTVVQLYTFQ-UHFFFAOYSA-N 0 1 274.299 0.584 20 30 CCEDMN C#CCNCC(=O)NCc1cc2c(cc1OC)C[C@H](C)O2 ZINC001340319376 922053362 /nfs/dbraw/zinc/05/33/62/922053362.db2.gz JYUVXFKFEXHICG-NSHDSACASA-N 0 1 288.347 0.858 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CC[C@@H](COC)C1 ZINC001340493258 922099526 /nfs/dbraw/zinc/09/95/26/922099526.db2.gz KMSHSMVKYXNAPJ-SNVBAGLBSA-N 0 1 289.343 0.722 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc2c(c1)OC(F)(F)O2 ZINC001340556501 922122572 /nfs/dbraw/zinc/12/25/72/922122572.db2.gz JEQHXSZZAGLHAN-UHFFFAOYSA-N 0 1 296.273 0.890 20 30 CCEDMN C#CCNCC(=O)N1CC(C)(C)[C@@H]1c1nccn1C ZINC001340670134 922159434 /nfs/dbraw/zinc/15/94/34/922159434.db2.gz DIZLNMUJTGDVIT-LBPRGKRZSA-N 0 1 260.341 0.552 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CCCNC[C@H]1C(=O)OC ZINC001340928654 922279476 /nfs/dbraw/zinc/27/94/76/922279476.db2.gz IJNWMVKIYUSBGN-RYUDHWBXSA-N 0 1 268.357 0.952 20 30 CCEDMN C#CCNCC(=O)NCC1(CCOCC)CCC1 ZINC001341516307 922528164 /nfs/dbraw/zinc/52/81/64/922528164.db2.gz LMBHEJCEZWTHSG-UHFFFAOYSA-N 0 1 252.358 0.922 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@@H](c2cccc(F)c2)C1 ZINC001341531832 922538855 /nfs/dbraw/zinc/53/88/55/922538855.db2.gz FIEFSNIXWFESNC-CQSZACIVSA-N 0 1 276.311 0.948 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1CCCN1CC(F)(F)F ZINC001341794205 922674556 /nfs/dbraw/zinc/67/45/56/922674556.db2.gz BTEDTTZOMMFFST-JTQLQIEISA-N 0 1 277.290 0.352 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)[C@@H](O)Cc1ccccc1 ZINC001341791202 922676793 /nfs/dbraw/zinc/67/67/93/922676793.db2.gz GCDKPHTZIMQLDO-JSGCOSHPSA-N 0 1 260.337 0.318 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)C(=O)NCCCCC#N)n[nH]1 ZINC001341850852 922695858 /nfs/dbraw/zinc/69/58/58/922695858.db2.gz VHCLYNPIHOHERM-SNVBAGLBSA-N 0 1 291.355 0.575 20 30 CCEDMN CCn1nncc1CNC[C@H](C)N(C)C(=O)[C@H](C)C#N ZINC001419649070 922968893 /nfs/dbraw/zinc/96/88/93/922968893.db2.gz LZCPSMXFUDWTCR-MNOVXSKESA-N 0 1 278.360 0.394 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(=O)[nH]n1 ZINC001419700842 923002335 /nfs/dbraw/zinc/00/23/35/923002335.db2.gz VHCXYGCPHUYWTL-MRVPVSSYSA-N 0 1 270.720 0.643 20 30 CCEDMN CNC(=O)C(C#N)C(=O)[C@H]1CC12CCSCC2 ZINC001342761016 923082213 /nfs/dbraw/zinc/08/22/13/923082213.db2.gz JPFVLYFVKZXGAC-RKDXNWHRSA-N 0 1 252.339 0.975 20 30 CCEDMN C=C(C)Cn1c(C[C@@H](C)O)nnc1N1CCN(CC)CC1 ZINC001342784744 923088136 /nfs/dbraw/zinc/08/81/36/923088136.db2.gz VEJNMPSOKIKBAP-CYBMUJFWSA-N 0 1 293.415 0.919 20 30 CCEDMN CCn1c(-c2c[nH]nn2)nnc1N(C)[C@H](C)CC#N ZINC001342920369 923136547 /nfs/dbraw/zinc/13/65/47/923136547.db2.gz DZQAJVNPYZVLNT-MRVPVSSYSA-N 0 1 260.305 0.821 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)CN1CCSC1=O ZINC001342925096 923136605 /nfs/dbraw/zinc/13/66/05/923136605.db2.gz RTCONJHRUUIRAT-QMMMGPOBSA-N 0 1 264.310 0.765 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@H]1c1noc(CC)n1 ZINC001343195961 923232675 /nfs/dbraw/zinc/23/26/75/923232675.db2.gz HZNKBXXSOJHDOU-NSHDSACASA-N 0 1 276.340 0.908 20 30 CCEDMN C#CCNCC(=O)NCc1cc(F)ccc1OC ZINC001343556695 923371748 /nfs/dbraw/zinc/37/17/48/923371748.db2.gz FGBQVEYPLZQFEN-UHFFFAOYSA-N 0 1 250.273 0.673 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CCc1cnccn1 ZINC001420188430 923386395 /nfs/dbraw/zinc/38/63/95/923386395.db2.gz BDNMVWQQEAGHAM-GFCCVEGCSA-N 0 1 298.774 0.228 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@@H]1c1c(C)n[nH]c1C ZINC001343626787 923397721 /nfs/dbraw/zinc/39/77/21/923397721.db2.gz XDZNIWFRLIGICK-GFCCVEGCSA-N 0 1 276.340 0.149 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1cnn(C)c1 ZINC001420282398 923496593 /nfs/dbraw/zinc/49/65/93/923496593.db2.gz QHBCAZDQILXNMT-SNVBAGLBSA-N 0 1 270.764 0.809 20 30 CCEDMN C#CCN1CCC(OC(=O)c2c(C)cnn2C)CC1 ZINC001344373090 923588281 /nfs/dbraw/zinc/58/82/81/923588281.db2.gz JHDDWHOLCYOHSM-UHFFFAOYSA-N 0 1 261.325 0.983 20 30 CCEDMN C#CCNCC(=O)N1CCOc2cc(N)ccc2C1 ZINC001344436010 923602982 /nfs/dbraw/zinc/60/29/82/923602982.db2.gz KGCXQIGEOHZVOG-UHFFFAOYSA-N 0 1 259.309 0.213 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CCCS(C)(=O)=O ZINC001346026065 924046938 /nfs/dbraw/zinc/04/69/38/924046938.db2.gz FSQNGPDMFJZYAF-VIFPVBQESA-N 0 1 274.342 0.045 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cn(CC=C)nn2)CC1 ZINC001346160375 924092310 /nfs/dbraw/zinc/09/23/10/924092310.db2.gz CHLSUCOMKXEICS-UHFFFAOYSA-N 0 1 274.324 0.719 20 30 CCEDMN C#CCCCNC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001346340942 924166816 /nfs/dbraw/zinc/16/68/16/924166816.db2.gz CNDOXRCLEMRCPB-ZDUSSCGKSA-N 0 1 266.389 0.335 20 30 CCEDMN C=C(CC(C)C)C(=O)NC[C@H]1CN(C)CCN1C ZINC001346431940 924207123 /nfs/dbraw/zinc/20/71/23/924207123.db2.gz HBOXDFWLGUKJMB-ZDUSSCGKSA-N 0 1 253.390 0.951 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001346606722 924276459 /nfs/dbraw/zinc/27/64/59/924276459.db2.gz NCRXPWVBEOBYEE-MELADBBJSA-N 0 1 298.431 0.105 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N[C@@]1(C#N)CC1(C)C ZINC001346986341 924344698 /nfs/dbraw/zinc/34/46/98/924344698.db2.gz OPAXDFCHSSGBJO-JTNHKYCSSA-N 0 1 262.357 0.183 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)c1cnncc1O ZINC001347060560 924360751 /nfs/dbraw/zinc/36/07/51/924360751.db2.gz MEWWBCRUSSWSLS-CABZTGNLSA-N 0 1 263.297 0.893 20 30 CCEDMN CC#CCCNC(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC001347055253 924361121 /nfs/dbraw/zinc/36/11/21/924361121.db2.gz CGDTWPDJVANAFC-NEPJUHHUSA-N 0 1 277.368 0.257 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)[C@H]2CN3CCN2C[C@H]3C)C1 ZINC001347341988 924427414 /nfs/dbraw/zinc/42/74/14/924427414.db2.gz CYVOUSLOOXWQMC-MGPQQGTHSA-N 0 1 263.385 0.799 20 30 CCEDMN C#CCN(C)c1nnc(C2=NO[C@H](CO)C2)n1CC1CC1 ZINC001347697263 924513748 /nfs/dbraw/zinc/51/37/48/924513748.db2.gz QNPQPGLGADUNLB-NSHDSACASA-N 0 1 289.339 0.243 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N(CC#N)C1CCCC1 ZINC001347741654 924524348 /nfs/dbraw/zinc/52/43/48/924524348.db2.gz DGHJANQCBJXBST-OCCSQVGLSA-N 0 1 276.384 0.669 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@@H](c3nn[nH]n3)C2)cc1 ZINC001347976407 924571419 /nfs/dbraw/zinc/57/14/19/924571419.db2.gz YVPKVZGTCCRVTD-GFCCVEGCSA-N 0 1 267.292 0.811 20 30 CCEDMN CC#CCCCC(=O)N1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001348169811 924611554 /nfs/dbraw/zinc/61/15/54/924611554.db2.gz ABVNIPRDFGBNQL-UHFFFAOYSA-N 0 1 288.351 0.888 20 30 CCEDMN C=CCC1(O)CN(C(=O)C(C)(C)CN2CCOCC2)C1 ZINC001348328217 924654567 /nfs/dbraw/zinc/65/45/67/924654567.db2.gz LXNFLFBWHQCOSV-UHFFFAOYSA-N 0 1 282.384 0.494 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn3c2NCCC3)CC1 ZINC001349384731 924945048 /nfs/dbraw/zinc/94/50/48/924945048.db2.gz VAKIKNSSIGDKDN-UHFFFAOYSA-N 0 1 287.367 0.526 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCNC(=O)[C@H]1CCCN1C ZINC001349453484 924963402 /nfs/dbraw/zinc/96/34/02/924963402.db2.gz SWAXYZCUSAIMTP-CHWSQXEVSA-N 0 1 281.400 0.915 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001349951491 925103729 /nfs/dbraw/zinc/10/37/29/925103729.db2.gz PAJLMHARBPOCGS-RQJABVFESA-N 0 1 279.384 0.082 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC001350294259 925164919 /nfs/dbraw/zinc/16/49/19/925164919.db2.gz PJEHJDRMYBWHPJ-SNVBAGLBSA-N 0 1 265.317 0.326 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)CCCc2nn[nH]n2)C1 ZINC001350294259 925164927 /nfs/dbraw/zinc/16/49/27/925164927.db2.gz PJEHJDRMYBWHPJ-SNVBAGLBSA-N 0 1 265.317 0.326 20 30 CCEDMN C#CCN(CC#C)c1nnc(C2CCN(CC#C)CC2)n1C ZINC001350759127 925271687 /nfs/dbraw/zinc/27/16/87/925271687.db2.gz JJTKFPIJSMFINP-UHFFFAOYSA-N 0 1 295.390 0.701 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CC[C@@](COC)(C(=O)[O-])C2)C1=O ZINC001352178058 925538709 /nfs/dbraw/zinc/53/87/09/925538709.db2.gz JJKFCELDXUWWGQ-BXUZGUMPSA-N 0 1 282.340 0.196 20 30 CCEDMN CC(=O)NCC(=O)NC[C@@H](C)NCc1ccccc1C#N ZINC001480417631 925653040 /nfs/dbraw/zinc/65/30/40/925653040.db2.gz YJUBHVVZFVRYOZ-LLVKDONJSA-N 0 1 288.351 0.289 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@H](C)NC(N)=O ZINC001480459687 925778014 /nfs/dbraw/zinc/77/80/14/925778014.db2.gz MWFJDNHKEQSZAE-IUCAKERBSA-N 0 1 276.768 0.280 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccnc2NC)CC1 ZINC001353810181 925936996 /nfs/dbraw/zinc/93/69/96/925936996.db2.gz FFGNQOOTJBRRDW-UHFFFAOYSA-N 0 1 272.352 0.951 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)C(=O)N[C@H]1CCN(C)C1 ZINC001354436030 926108914 /nfs/dbraw/zinc/10/89/14/926108914.db2.gz XRPMPVBWOHTKKO-LBPRGKRZSA-N 0 1 286.335 0.626 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)C(=O)NCc2n[nH]c(C)n2)CC1 ZINC001354857063 926175552 /nfs/dbraw/zinc/17/55/52/926175552.db2.gz DMNQVXHFCMBZJJ-XYPYZODXSA-N 0 1 289.339 0.038 20 30 CCEDMN C#C[C@H]1CCCN(c2nnc(C3=NO[C@@H](CO)C3)n2C)C1 ZINC001355583145 926288927 /nfs/dbraw/zinc/28/89/27/926288927.db2.gz NEOVFEOICFLTLL-WDEREUQCSA-N 0 1 289.339 0.150 20 30 CCEDMN CN(CCNC(=O)c1cnn[nH]1)C(=O)C#CC(C)(C)C ZINC001355736721 926307648 /nfs/dbraw/zinc/30/76/48/926307648.db2.gz QNOJEGAXVFWGQN-UHFFFAOYSA-N 0 1 277.328 0.042 20 30 CCEDMN Cc1ccc(CNC[C@H](O)CN(C)CCC#N)nc1 ZINC001356586530 926405799 /nfs/dbraw/zinc/40/57/99/926405799.db2.gz ZYAIGFAAHCIEOD-AWEZNQCLSA-N 0 1 262.357 0.686 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001357031098 926478371 /nfs/dbraw/zinc/47/83/71/926478371.db2.gz RPRKPBJCLNVHLM-SNVBAGLBSA-N 0 1 293.371 0.889 20 30 CCEDMN C#CCNCC(=O)N1CCNC(=O)C[C@H]1c1ccccc1 ZINC001357202604 926495500 /nfs/dbraw/zinc/49/55/00/926495500.db2.gz IUSDFIVQVAIUEY-AWEZNQCLSA-N 0 1 285.347 0.299 20 30 CCEDMN C#CCNCC(=O)NCC1CCN(CC(F)(F)F)CC1 ZINC001357537408 926558038 /nfs/dbraw/zinc/55/80/38/926558038.db2.gz XOZSKOPXSMSFHV-UHFFFAOYSA-N 0 1 291.317 0.600 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1nnn(C)c1C ZINC001421372767 926707968 /nfs/dbraw/zinc/70/79/68/926707968.db2.gz DVNXMXSZWMQESA-SNVBAGLBSA-N 0 1 285.779 0.974 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)[C@@H]2CCCO2)CCO1 ZINC001421789446 926910609 /nfs/dbraw/zinc/91/06/09/926910609.db2.gz UYZQCFWYBYASDZ-NEPJUHHUSA-N 0 1 288.775 0.735 20 30 CCEDMN CN(C)Cc1ccc(C(N)=O)cc1NC(=O)[C@@H]1C[C@@H]1C#N ZINC001361737332 927288754 /nfs/dbraw/zinc/28/87/54/927288754.db2.gz YQLHYZINIJCGOZ-VXGBXAGGSA-N 0 1 286.335 0.945 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CN(CCn2cccn2)CC1(C)C ZINC001422799909 927520346 /nfs/dbraw/zinc/52/03/46/927520346.db2.gz MYSHFFBIIUOPJA-STQMWFEESA-N 0 1 289.383 0.869 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1ccc(C)nn1 ZINC001423451277 927866391 /nfs/dbraw/zinc/86/63/91/927866391.db2.gz YCTNXEWZVMXOOZ-NSHDSACASA-N 0 1 298.774 0.560 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@@H](OC)C(C)C ZINC001423476221 927878403 /nfs/dbraw/zinc/87/84/03/927878403.db2.gz SCYQLOXTUJTRCR-NEPJUHHUSA-N 0 1 292.807 0.819 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)[C@@]2(C#N)CCCOC2)n[nH]1 ZINC001362414167 927984140 /nfs/dbraw/zinc/98/41/40/927984140.db2.gz NXGRTAAMLYVSKT-TVQRCGJNSA-N 0 1 277.328 0.865 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCc1ccnc(C#N)c1 ZINC001362421195 927993781 /nfs/dbraw/zinc/99/37/81/927993781.db2.gz RVBUPGVFXKURJD-TZMCWYRMSA-N 0 1 274.324 0.025 20 30 CCEDMN CCc1nc(CNS(=O)(=O)CC2(CC#N)CC2)n[nH]1 ZINC001424111465 928101693 /nfs/dbraw/zinc/10/16/93/928101693.db2.gz DXJBDQBLJOWVEK-UHFFFAOYSA-N 0 1 283.357 0.480 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)[C@@H](C)OC)CCO1 ZINC001424216549 928128121 /nfs/dbraw/zinc/12/81/21/928128121.db2.gz FUJAAPRFYUAUMR-GHMZBOCLSA-N 0 1 276.764 0.591 20 30 CCEDMN CN(CCC#N)S(=O)(=O)NC[C@H]1CCCCN1C ZINC001424848013 928317484 /nfs/dbraw/zinc/31/74/84/928317484.db2.gz SQKIEPSZYIUVHI-LLVKDONJSA-N 0 1 274.390 0.151 20 30 CCEDMN CCc1ncc(CNS(=O)(=O)N(C)C[C@@H](C)C#N)[nH]1 ZINC001424794854 928296674 /nfs/dbraw/zinc/29/66/74/928296674.db2.gz NRLFGNSEGYOHED-VIFPVBQESA-N 0 1 285.373 0.398 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)NCCN1CCC(O)CC1 ZINC001362694314 928368623 /nfs/dbraw/zinc/36/86/23/928368623.db2.gz HXLMWIFIIRPXLT-UHFFFAOYSA-N 0 1 276.340 0.083 20 30 CCEDMN C[C@H](CN(C)C(=O)c1cn[nH]n1)NCc1ccccc1C#N ZINC001425585447 928603329 /nfs/dbraw/zinc/60/33/29/928603329.db2.gz JYANUSTVWKDLNB-LLVKDONJSA-N 0 1 298.350 0.927 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CCNC(=O)C3)[nH]c2c1 ZINC001363168198 928941253 /nfs/dbraw/zinc/94/12/53/928941253.db2.gz MFXMKGSGTDJRPP-VIFPVBQESA-N 0 1 283.291 0.899 20 30 CCEDMN N#CC1(C(=O)N[C@H](CO)Cc2cnc[nH]2)CC2(CC2)C1 ZINC001363284534 929073541 /nfs/dbraw/zinc/07/35/41/929073541.db2.gz WBUMKJROMCXRGW-NSHDSACASA-N 0 1 274.324 0.513 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)C#N)NC(=O)CCc1cnc[nH]1 ZINC001428786829 929317533 /nfs/dbraw/zinc/31/75/33/929317533.db2.gz LKZNFRNMIMNKRC-VHSXEESVSA-N 0 1 277.328 0.123 20 30 CCEDMN N#CCOc1ccccc1C(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001363527704 929329453 /nfs/dbraw/zinc/32/94/53/929329453.db2.gz UCRJUGQTAULSOF-SNVBAGLBSA-N 0 1 298.306 0.732 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCNC(=O)[C@H](C)Cc1cnc[nH]1 ZINC001429286151 929426921 /nfs/dbraw/zinc/42/69/21/929426921.db2.gz LRKIFRUFIMOIMU-GHMZBOCLSA-N 0 1 291.355 0.323 20 30 CCEDMN CC[C@@H](CO)N1CCN(Cc2cc(C#N)ccn2)CC1 ZINC001363773452 929561587 /nfs/dbraw/zinc/56/15/87/929561587.db2.gz JZWGMHKQRMAJOH-HNNXBMFYSA-N 0 1 274.368 0.842 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@@H+]1CCC[C@@H]1CCO)cc2=O ZINC001363784540 929572358 /nfs/dbraw/zinc/57/23/58/929572358.db2.gz APXMEXSFAPUGTM-GFCCVEGCSA-N 0 1 287.323 0.653 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](N(C)C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001372062308 929721679 /nfs/dbraw/zinc/72/16/79/929721679.db2.gz YXYLPQZNPROJHJ-ONGXEEELSA-N 0 1 289.339 0.551 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](O)CNC/C(Cl)=C/Cl ZINC001445164567 930056190 /nfs/dbraw/zinc/05/61/90/930056190.db2.gz WBNBKTMAPMUWPM-CGXVZKHXSA-N 0 1 280.155 0.532 20 30 CCEDMN CCN(C(=O)c1ccn[nH]1)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001445352427 930111495 /nfs/dbraw/zinc/11/14/95/930111495.db2.gz DYKKXKXIBLGECW-VHSXEESVSA-N 0 1 277.328 0.536 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1cncn1C ZINC001445472232 930141651 /nfs/dbraw/zinc/14/16/51/930141651.db2.gz GNIWDONGTCTUGA-SNVBAGLBSA-N 0 1 270.764 0.809 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(N[C@H](C)C(=O)NC(C)(C)C)CC1 ZINC001446157942 930354556 /nfs/dbraw/zinc/35/45/56/930354556.db2.gz IIKCMVJBRZKXIE-GHMZBOCLSA-N 0 1 294.399 0.688 20 30 CCEDMN CC(C)c1nc(CN2CC(N(C)C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001446361377 930399969 /nfs/dbraw/zinc/39/99/69/930399969.db2.gz HBSZHEPMSJEOJS-SNVBAGLBSA-N 0 1 290.371 0.730 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CNC(=O)NC ZINC001446437643 930411628 /nfs/dbraw/zinc/41/16/28/930411628.db2.gz UUZCQPHFBSMDKN-SNVBAGLBSA-N 0 1 288.779 0.249 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1cc(F)cc(F)c1O ZINC001446849988 930501463 /nfs/dbraw/zinc/50/14/63/930501463.db2.gz VBRYBAGNHDGWFZ-UHFFFAOYSA-N 0 1 270.235 0.702 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCN(C)Cc1cnn(C)c1 ZINC001447324257 930611521 /nfs/dbraw/zinc/61/15/21/930611521.db2.gz AQEYGVBHAHGIFV-LBPRGKRZSA-N 0 1 277.372 0.860 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H](C)C#N)NC(=O)Cc1cnc[nH]1 ZINC001375220655 930823455 /nfs/dbraw/zinc/82/34/55/930823455.db2.gz PTKOADMJKIVXSC-ZJUUUORDSA-N 0 1 277.328 0.123 20 30 CCEDMN Cc1nn(C)cc1CNC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001375403356 930878593 /nfs/dbraw/zinc/87/85/93/930878593.db2.gz MJTXIIYLBKTFRC-SNVBAGLBSA-N 0 1 275.356 0.627 20 30 CCEDMN Cc1nnsc1CN[C@@H](CNC(=O)[C@H](C)C#N)C1CC1 ZINC001376195646 931088053 /nfs/dbraw/zinc/08/80/53/931088053.db2.gz HLMOQFZSAFMOTJ-KCJUWKMLSA-N 0 1 293.396 0.991 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCN(C)C(=O)CCc1cnc[nH]1 ZINC001376318016 931120583 /nfs/dbraw/zinc/12/05/83/931120583.db2.gz NOXUCUQIDJKXRP-LLVKDONJSA-N 0 1 291.355 0.419 20 30 CCEDMN CCCC[C@@H](CNC(=O)c1ncn[nH]1)NC(=O)[C@H](C)C#N ZINC001450257431 931250706 /nfs/dbraw/zinc/25/07/06/931250706.db2.gz JRKUTCRVSGTXJR-ZJUUUORDSA-N 0 1 292.343 0.369 20 30 CCEDMN CCCC[C@@H](CNC(=O)c1nc[nH]n1)NC(=O)[C@H](C)C#N ZINC001450257431 931250714 /nfs/dbraw/zinc/25/07/14/931250714.db2.gz JRKUTCRVSGTXJR-ZJUUUORDSA-N 0 1 292.343 0.369 20 30 CCEDMN C#CCCN1CCN(c2cc(C)c(-c3nn[nH]n3)cn2)CC1 ZINC001573282640 946694926 /nfs/dbraw/zinc/69/49/26/946694926.db2.gz LYXDSHAYYPPUGV-UHFFFAOYSA-N 0 1 297.366 0.715 20 30 CCEDMN C#CC[N@H+](Cc1ccccc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001602700977 971325104 /nfs/dbraw/zinc/32/51/04/971325104.db2.gz QKMULUOAIOQYRV-AWEZNQCLSA-N 0 1 286.331 0.807 20 30 CCEDMN C#CC[N@@H+](Cc1ccccc1)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001602700977 971325111 /nfs/dbraw/zinc/32/51/11/971325111.db2.gz QKMULUOAIOQYRV-AWEZNQCLSA-N 0 1 286.331 0.807 20 30 CCEDMN C=CCC[C@@H]1CCC[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001602719110 971381967 /nfs/dbraw/zinc/38/19/67/971381967.db2.gz PYBZTQBPGLVGRQ-LLVKDONJSA-N 0 1 275.370 0.916 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]([C@H](C)C(=O)[O-])C2CC2)C1=O ZINC001602722678 971388148 /nfs/dbraw/zinc/38/81/48/971388148.db2.gz GCMSOZNYWJLXKL-MWLCHTKSSA-N 0 1 252.314 0.711 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]([C@H](C)C(=O)[O-])C2CC2)C1=O ZINC001602722678 971388156 /nfs/dbraw/zinc/38/81/56/971388156.db2.gz GCMSOZNYWJLXKL-MWLCHTKSSA-N 0 1 252.314 0.711 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H](C)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC000092478320 949149282 /nfs/dbraw/zinc/14/92/82/949149282.db2.gz KXUNSXUWRGUBHW-TUAOUCFPSA-N 0 1 266.341 0.794 20 30 CCEDMN C[C@@H]1[C@@H](Nc2cnc(C#N)c(-c3nn[n-]n3)n2)CC[N@H+]1C ZINC001575927739 950756702 /nfs/dbraw/zinc/75/67/02/950756702.db2.gz SZSQNGCHSIJMAW-SFYZADRCSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@@H]1[C@@H](Nc2cnc(C#N)c(-c3nnn[n-]3)n2)CC[N@H+]1C ZINC001575927739 950756647 /nfs/dbraw/zinc/75/66/47/950756647.db2.gz SZSQNGCHSIJMAW-SFYZADRCSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@@H]1[C@@H](Nc2cnc(C#N)c(-c3nn[n-]n3)n2)CC[N@@H+]1C ZINC001575927739 950756690 /nfs/dbraw/zinc/75/66/90/950756690.db2.gz SZSQNGCHSIJMAW-SFYZADRCSA-N 0 1 285.315 0.033 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000381069287 971735855 /nfs/dbraw/zinc/73/58/55/971735855.db2.gz BBIXICKCTJDTLU-GARJFASQSA-N 0 1 252.314 0.167 20 30 CCEDMN CCC#C[C@@H](C)[N@@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001589420563 954597887 /nfs/dbraw/zinc/59/78/87/954597887.db2.gz WKROZGZQQOMORQ-YPMHNXCESA-N 0 1 255.314 0.590 20 30 CCEDMN CCC#C[C@@H](C)[N@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001589420563 954597896 /nfs/dbraw/zinc/59/78/96/954597896.db2.gz WKROZGZQQOMORQ-YPMHNXCESA-N 0 1 255.314 0.590 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC001589441143 954718914 /nfs/dbraw/zinc/71/89/14/954718914.db2.gz SWTFMSKIHSUJMG-ZDUSSCGKSA-N 0 1 289.335 0.969 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC001589441143 954718922 /nfs/dbraw/zinc/71/89/22/954718922.db2.gz SWTFMSKIHSUJMG-ZDUSSCGKSA-N 0 1 289.335 0.969 20 30 CCEDMN C[C@H](C[N@@H+](C)[C@@H](C)C(=O)NC1(C#N)CCC1)C(=O)[O-] ZINC001589032873 955530681 /nfs/dbraw/zinc/53/06/81/955530681.db2.gz QRMULJYXMVCOOL-ZJUUUORDSA-N 0 1 267.329 0.590 20 30 CCEDMN N#Cc1c2c(cn(C[N@H+]3CC[C@H](C(=O)[O-])C3)c1=O)CCC2 ZINC001594613922 956228739 /nfs/dbraw/zinc/22/87/39/956228739.db2.gz HLAGAJYFQULFCT-NSHDSACASA-N 0 1 287.319 0.573 20 30 CCEDMN N#Cc1c2c(cn(C[N@@H+]3CC[C@H](C(=O)[O-])C3)c1=O)CCC2 ZINC001594613922 956228751 /nfs/dbraw/zinc/22/87/51/956228751.db2.gz HLAGAJYFQULFCT-NSHDSACASA-N 0 1 287.319 0.573 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CCn2c(C)[nH+]cc2C1 ZINC001588399021 958069660 /nfs/dbraw/zinc/06/96/60/958069660.db2.gz FPZYDKRATRGBEK-LLVKDONJSA-N 0 1 275.308 0.300 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCCNc1ccc(C)c[nH+]1 ZINC001588408654 958114631 /nfs/dbraw/zinc/11/46/31/958114631.db2.gz XHDCXELECAQNJY-UHFFFAOYSA-N 0 1 290.323 0.531 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)[C@@H]2CC[C@H]2C(=O)[O-])C1 ZINC001588430766 958260536 /nfs/dbraw/zinc/26/05/36/958260536.db2.gz OJMQVMJILCGCGF-IJLUTSLNSA-N 0 1 264.325 0.311 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CC[C@H]2C(=O)[O-])C1 ZINC001588430766 958260552 /nfs/dbraw/zinc/26/05/52/958260552.db2.gz OJMQVMJILCGCGF-IJLUTSLNSA-N 0 1 264.325 0.311 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)c2cnc(C(=O)[O-])cn2)C1 ZINC001588430836 958263230 /nfs/dbraw/zinc/26/32/30/958263230.db2.gz PPOKAWSOZWFSIW-JTQLQIEISA-N 0 1 288.307 0.002 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2cnc(C(=O)[O-])cn2)C1 ZINC001588430836 958263241 /nfs/dbraw/zinc/26/32/41/958263241.db2.gz PPOKAWSOZWFSIW-JTQLQIEISA-N 0 1 288.307 0.002 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC001588431383 958267769 /nfs/dbraw/zinc/26/77/69/958267769.db2.gz XBTSTSRPBAQYSW-UPJWGTAASA-N 0 1 278.352 0.653 20 30 CCEDMN C#CC[N@@H+](CCOc1ccc2c(c1)OCO2)CC(=O)[O-] ZINC001588432448 958284394 /nfs/dbraw/zinc/28/43/94/958284394.db2.gz VDRCDKZHVJEOPQ-UHFFFAOYSA-N 0 1 277.276 0.814 20 30 CCEDMN C#CC[N@H+](CCOc1ccc2c(c1)OCO2)CC(=O)[O-] ZINC001588432448 958284409 /nfs/dbraw/zinc/28/44/09/958284409.db2.gz VDRCDKZHVJEOPQ-UHFFFAOYSA-N 0 1 277.276 0.814 20 30 CCEDMN C=CCC[C@@H](O)C[N@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001588457112 958444988 /nfs/dbraw/zinc/44/49/88/958444988.db2.gz KRMSSOUVFXPAGU-YPMHNXCESA-N 0 1 273.329 0.116 20 30 CCEDMN CC(=[NH+]NCCC(=O)[O-])c1cc([N+](=O)[O-])ccc1N ZINC001588485824 958606489 /nfs/dbraw/zinc/60/64/89/958606489.db2.gz LGACDJQTBFMYQB-UHFFFAOYSA-N 0 1 266.257 0.965 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1ccc(C#N)cn1)[C@H](C)C(=O)[O-] ZINC001588514784 958783505 /nfs/dbraw/zinc/78/35/05/958783505.db2.gz CVJPIYCGEWMKOQ-SNVBAGLBSA-N 0 1 290.323 0.364 20 30 CCEDMN N#Cc1cccc([C@@H](O)C[N@H+]2CC[C@@H](C(=O)[O-])C2)c1 ZINC001574490283 961712533 /nfs/dbraw/zinc/71/25/33/961712533.db2.gz ZQFDMUSFOIWXGE-OLZOCXBDSA-N 0 1 260.293 0.998 20 30 CCEDMN C=C(Cl)C[N@H+]1CC[C@](C)(NC(=O)CNC(=O)[O-])C1 ZINC001573338720 962879917 /nfs/dbraw/zinc/87/99/17/962879917.db2.gz HEMUXRDIUCCXGM-NSHDSACASA-N 0 1 275.736 0.587 20 30 CCEDMN C=C(Cl)C[N@@H+]1CC[C@](C)(NC(=O)CNC(=O)[O-])C1 ZINC001573338720 962879925 /nfs/dbraw/zinc/87/99/25/962879925.db2.gz HEMUXRDIUCCXGM-NSHDSACASA-N 0 1 275.736 0.587 20 30 CCEDMN C#CCCC[N@H+]1C[C@H](C(=O)OC)CC[C@H]1C(=O)[O-] ZINC001588446372 964072576 /nfs/dbraw/zinc/07/25/76/964072576.db2.gz IUGDRMNLJBCKOH-MNOVXSKESA-N 0 1 253.298 0.738 20 30 CCEDMN N#Cc1cc(F)ccc1C[N@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC000401924120 973538004 /nfs/dbraw/zinc/53/80/04/973538004.db2.gz QAGRYAAHHCWQCS-YPMHNXCESA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1cc(F)ccc1C[N@@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC000401924120 973538009 /nfs/dbraw/zinc/53/80/09/973538009.db2.gz QAGRYAAHHCWQCS-YPMHNXCESA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1ccc(F)c(C[N@H+]2C[C@@H](O)C[C@H](C(=O)[O-])C2)c1 ZINC000401933006 973542713 /nfs/dbraw/zinc/54/27/13/973542713.db2.gz XZHNYRSLRKNHKN-RYUDHWBXSA-N 0 1 278.283 0.965 20 30 CCEDMN N#CC[C@H]1CCC[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001605582034 973864495 /nfs/dbraw/zinc/86/44/95/973864495.db2.gz SBRZSUBVJISWAA-GHMZBOCLSA-N 0 1 265.313 0.298 20 30 CCEDMN N#CC[C@H]1CCC[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001605582034 973864501 /nfs/dbraw/zinc/86/45/01/973864501.db2.gz SBRZSUBVJISWAA-GHMZBOCLSA-N 0 1 265.313 0.298 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588453707 983477095 /nfs/dbraw/zinc/47/70/95/983477095.db2.gz OCRIQWKJSSSHNQ-VXGBXAGGSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CC[N@@H+](CC1CC1)[C@H](CCC(=O)OC)C(=O)[O-] ZINC001588467727 983499064 /nfs/dbraw/zinc/49/90/64/983499064.db2.gz DDSZRLBXWCDDTF-LLVKDONJSA-N 0 1 253.298 0.738 20 30 CCEDMN C#CC[N@@H+](CC1CC1)[C@@H](CCC(=O)OC)C(=O)[O-] ZINC001588467728 983499081 /nfs/dbraw/zinc/49/90/81/983499081.db2.gz DDSZRLBXWCDDTF-NSHDSACASA-N 0 1 253.298 0.738 20 30 CCEDMN C#CC[N@H+](CCC(=O)N(C)CC(=O)[O-])CC1CC1 ZINC001588469870 983503537 /nfs/dbraw/zinc/50/35/37/983503537.db2.gz QYHNLXPAORTTHZ-UHFFFAOYSA-N 0 1 252.314 0.265 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)NC/C=C(/C)C(=O)[O-])C1 ZINC001588477176 983514657 /nfs/dbraw/zinc/51/46/57/983514657.db2.gz VXBPLOAGXFLKFA-DSDFTUOUSA-N 0 1 279.340 0.414 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)NC/C=C(/C)C(=O)[O-])C1 ZINC001588477176 983514659 /nfs/dbraw/zinc/51/46/59/983514659.db2.gz VXBPLOAGXFLKFA-DSDFTUOUSA-N 0 1 279.340 0.414 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N2CC[C@@H](C(=O)[O-])[C@H]2C)C1 ZINC001588477382 983515911 /nfs/dbraw/zinc/51/59/11/983515911.db2.gz ZCQBJQRCOXXHSX-FRRDWIJNSA-N 0 1 293.367 0.589 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N2CC[C@@H](C(=O)[O-])[C@H]2C)C1 ZINC001588477382 983515912 /nfs/dbraw/zinc/51/59/12/983515912.db2.gz ZCQBJQRCOXXHSX-FRRDWIJNSA-N 0 1 293.367 0.589 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](Nc2nccnc2C(=O)[O-])C1 ZINC001588477669 983517566 /nfs/dbraw/zinc/51/75/66/983517566.db2.gz YKCONVYFHASAFJ-JTQLQIEISA-N 0 1 260.297 0.684 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](Nc2nccnc2C(=O)[O-])C1 ZINC001588477669 983517568 /nfs/dbraw/zinc/51/75/68/983517568.db2.gz YKCONVYFHASAFJ-JTQLQIEISA-N 0 1 260.297 0.684 20 30 CCEDMN C#CCSCC(=O)N1CC[NH+]([C@H](C)CC(=O)[O-])CC1 ZINC001588504872 983549286 /nfs/dbraw/zinc/54/92/86/983549286.db2.gz OASZFRBIPYPJKZ-LLVKDONJSA-N 0 1 284.381 0.360 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588534519 983585767 /nfs/dbraw/zinc/58/57/67/983585767.db2.gz HIYSRXYRKUPUPK-ONGXEEELSA-N 0 1 266.301 0.160 20 30 CCEDMN C=C(C)C[N@H+]1CCC2(CN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)C1 ZINC001588585635 983663477 /nfs/dbraw/zinc/66/34/77/983663477.db2.gz RGQKGSOWAIETPF-VXGBXAGGSA-N 0 1 278.352 0.818 20 30 CCEDMN C=C(C)C[NH+]1CCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588585417 983663505 /nfs/dbraw/zinc/66/35/05/983663505.db2.gz QNZIIURZWFETAQ-MNOVXSKESA-N 0 1 252.314 0.427 20 30 CCEDMN CC[N@@H+](CCC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001596314139 983742032 /nfs/dbraw/zinc/74/20/32/983742032.db2.gz HYFDKLVUWQQTCU-UHFFFAOYSA-N 0 1 253.302 0.345 20 30 CCEDMN C=C[C@H](C(=O)[O-])N1CCC([N@@H+](C)CCCO)CC1 ZINC001588662557 983789780 /nfs/dbraw/zinc/78/97/80/983789780.db2.gz BRNFRIQMNOVZOW-GFCCVEGCSA-N 0 1 256.346 0.404 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](Cn2ccnn2)C1 ZINC001588663572 983795559 /nfs/dbraw/zinc/79/55/59/983795559.db2.gz NYFOKAJBOFVOPV-MNOVXSKESA-N 0 1 250.302 0.629 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](Cn2ccnn2)C1 ZINC001588663576 983795788 /nfs/dbraw/zinc/79/57/88/983795788.db2.gz NYFOKAJBOFVOPV-WDEREUQCSA-N 0 1 250.302 0.629 20 30 CCEDMN C=CC[C@H](Nc1cc2n(n1)CC[N@H+](C)C2)C(=O)[O-] ZINC001588751669 984078555 /nfs/dbraw/zinc/07/85/55/984078555.db2.gz PKZRGYKGXLZIRR-JTQLQIEISA-N 0 1 250.302 0.770 20 30 CCEDMN C=CC[C@H]1CC[N@@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001588772292 984142525 /nfs/dbraw/zinc/14/25/25/984142525.db2.gz CGDPUNORYPMHND-LBPRGKRZSA-N 0 1 266.341 0.960 20 30 CCEDMN C=CCCOCC(=O)O[C@H]1C[C@@H](C(=O)[O-])[N@H+](C)C1 ZINC001588810309 984266419 /nfs/dbraw/zinc/26/64/19/984266419.db2.gz IIMQZRRKSJABFF-UWVGGRQHSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCCOCC(=O)O[C@H]1C[C@@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588810309 984266425 /nfs/dbraw/zinc/26/64/25/984266425.db2.gz IIMQZRRKSJABFF-UWVGGRQHSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1C[C@H](OC)C[C@]1(C)C(=O)[O-] ZINC001588825494 984300719 /nfs/dbraw/zinc/30/07/19/984300719.db2.gz RGNSBAHJAINPLN-IUODEOHRSA-N 0 1 296.367 0.751 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1C[C@H](OC)C[C@]1(C)C(=O)[O-] ZINC001588825494 984300724 /nfs/dbraw/zinc/30/07/24/984300724.db2.gz RGNSBAHJAINPLN-IUODEOHRSA-N 0 1 296.367 0.751 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CCC[C@@H]3C[C@@]32C(=O)[O-])C1=O ZINC001588838993 984343373 /nfs/dbraw/zinc/34/33/73/984343373.db2.gz MWXASXWZZPMFKL-SUNKGSAMSA-N 0 1 264.325 0.712 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCC[C@@H]3C[C@@]32C(=O)[O-])C1=O ZINC001588838993 984343378 /nfs/dbraw/zinc/34/33/78/984343378.db2.gz MWXASXWZZPMFKL-SUNKGSAMSA-N 0 1 264.325 0.712 20 30 CCEDMN C=CCOCC(=O)O[C@@H]1C[N@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001588850940 984381427 /nfs/dbraw/zinc/38/14/27/984381427.db2.gz LCSPQCGLLCXWGI-JOYOIKCWSA-N 0 1 257.286 0.280 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC001589329665 986166058 /nfs/dbraw/zinc/16/60/58/986166058.db2.gz RMZXHXQCIJQNDS-NXEZZACHSA-N 0 1 253.302 0.153 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](C(=O)[O-])[C@@H]1C ZINC001589339583 986237937 /nfs/dbraw/zinc/23/79/37/986237937.db2.gz FDMQWIIGKJQEQU-HBNTYKKESA-N 0 1 267.329 0.542 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCC[C@](C)(C(=O)[O-])C1 ZINC001598468402 991986456 /nfs/dbraw/zinc/98/64/56/991986456.db2.gz MZMSIUFVPSWMKL-AWEZNQCLSA-N 0 1 281.356 0.935 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@@H+](C)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001598469107 991996127 /nfs/dbraw/zinc/99/61/27/991996127.db2.gz XEGDUHUVUJJJNJ-PHIMTYICSA-N 0 1 267.329 0.544 20 30 CCEDMN C[N@H+](CCc1cn(CC(=O)[O-])nn1)[C@H]1CCC[C@H]1C#N ZINC001598502920 992459168 /nfs/dbraw/zinc/45/91/68/992459168.db2.gz SJKDTMHDKJKEAL-JQWIXIFHSA-N 0 1 277.328 0.529 20 30 CCEDMN C[N@@H+](CCc1cn(CC(=O)[O-])nn1)[C@H]1CCC[C@H]1C#N ZINC001598502920 992459174 /nfs/dbraw/zinc/45/91/74/992459174.db2.gz SJKDTMHDKJKEAL-JQWIXIFHSA-N 0 1 277.328 0.529 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)CC2(C#N)CC2)C[C@@]1(C)C(=O)[O-] ZINC001598572966 993228106 /nfs/dbraw/zinc/22/81/06/993228106.db2.gz KHJFRQXTPILWKX-SKDRFNHKSA-N 0 1 266.297 0.771 20 30 CCEDMN C[N@H+]1CCN(Cc2cccc(C(=O)[O-])c2C#N)C[C@@H]1CO ZINC001598619192 993966198 /nfs/dbraw/zinc/96/61/98/993966198.db2.gz KASDFIZYUWBHRG-GFCCVEGCSA-N 0 1 289.335 0.365 20 30 CCEDMN C[C@@H](O)C[N@H+](CCO)Cc1cccc(C(=O)[O-])c1C#N ZINC001593459317 995372731 /nfs/dbraw/zinc/37/27/31/995372731.db2.gz OYBVQCUDOUUOGK-SNVBAGLBSA-N 0 1 278.308 0.432 20 30 CCEDMN C[C@@H](O)C[N@@H+](CCO)Cc1cccc(C(=O)[O-])c1C#N ZINC001593459317 995372740 /nfs/dbraw/zinc/37/27/40/995372740.db2.gz OYBVQCUDOUUOGK-SNVBAGLBSA-N 0 1 278.308 0.432 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](CCCSCC#N)C[C@@H]1C(=O)[O-] ZINC001598820243 996317051 /nfs/dbraw/zinc/31/70/51/996317051.db2.gz HCPZPPXMHJROJF-UWVGGRQHSA-N 0 1 286.353 0.439 20 30 CCEDMN COC(=O)[C@@H]1C[N@H+](Cc2ccncc2C#N)C[C@H]1C(=O)[O-] ZINC001598826495 996388536 /nfs/dbraw/zinc/38/85/36/996388536.db2.gz HZOHMXNNVRWXOS-VXGBXAGGSA-N 0 1 289.291 0.259 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](Cc2ccncc2C#N)C[C@H]1C(=O)[O-] ZINC001598826495 996388544 /nfs/dbraw/zinc/38/85/44/996388544.db2.gz HZOHMXNNVRWXOS-VXGBXAGGSA-N 0 1 289.291 0.259 20 30 CCEDMN CO[C@@H]1CC[N@@H+](CCOCCC#N)[C@H](C(=O)[O-])C1 ZINC001599123135 997780042 /nfs/dbraw/zinc/78/00/42/997780042.db2.gz HNUWBLOTDQARHI-MNOVXSKESA-N 0 1 256.302 0.481 20 30 CCEDMN COCC#CC[NH2+][C@@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC001599139307 998099184 /nfs/dbraw/zinc/09/91/84/998099184.db2.gz UCHVBQKCASCMHP-CQSZACIVSA-N 0 1 275.304 0.987 20 30 CCEDMN C[C@@H]1C[C@@H](C(=O)[O-])C[C@H](C)[N@@H+]1CC(=O)NCCC#N ZINC001594208240 998805701 /nfs/dbraw/zinc/80/57/01/998805701.db2.gz QOMKDZDIAQQENE-JGPRNRPPSA-N 0 1 267.329 0.590 20 30 CCEDMN CC[C@H](CO)N1CCN(c2cnccc2C#N)CC1 ZINC000091727938 349473855 /nfs/dbraw/zinc/47/38/55/349473855.db2.gz JMAKOGVLJBNMGE-CYBMUJFWSA-N 0 1 260.341 0.846 20 30 CCEDMN N#Cc1ccc(CCNC(=O)NC[C@@H]2COCCN2)cc1 ZINC000599937588 361835445 /nfs/dbraw/zinc/83/54/45/361835445.db2.gz GHOMVYBZLKCNGO-CQSZACIVSA-N 0 1 288.351 0.388 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1CCNC[C@H]1C(C)C ZINC000599943070 361837666 /nfs/dbraw/zinc/83/76/66/361837666.db2.gz AEPJBUDAWNUUIB-LBPRGKRZSA-N 0 1 299.440 0.478 20 30 CCEDMN CC[C@@H]1CN(CC)CCN1C(=O)[C@@H](C)n1cnc(C#N)n1 ZINC000456394011 529387429 /nfs/dbraw/zinc/38/74/29/529387429.db2.gz YGXYBHYNPSSGRH-VXGBXAGGSA-N 0 1 290.371 0.653 20 30 CCEDMN N#CC1(C(=O)NCCN2CC[C@@H](O)C2)CCCC1 ZINC000600527366 362003773 /nfs/dbraw/zinc/00/37/73/362003773.db2.gz OGCXGAASYQVBLU-LLVKDONJSA-N 0 1 251.330 0.253 20 30 CCEDMN COCCN1CCC[C@@H]1CNS(=O)(=O)CCCC#N ZINC000111339002 349771429 /nfs/dbraw/zinc/77/14/29/349771429.db2.gz BWOVTQUNMVFRFQ-GFCCVEGCSA-N 0 1 289.401 0.320 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)C(=O)N1CC[C@](C)(C#N)C1 ZINC000600798594 362061250 /nfs/dbraw/zinc/06/12/50/362061250.db2.gz VFPUQEHPWKSOAU-IUODEOHRSA-N 0 1 292.383 0.205 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NCCN1CC[C@H](O)C1 ZINC000600808754 362065947 /nfs/dbraw/zinc/06/59/47/362065947.db2.gz PFUNLPZKJJHOAS-LBPRGKRZSA-N 0 1 274.324 0.058 20 30 CCEDMN N#CCN1CCN(C(=O)c2n[nH]cc2C(F)(F)F)CC1 ZINC000601206108 362177913 /nfs/dbraw/zinc/17/79/13/362177913.db2.gz WKPWENOCCUIPJU-UHFFFAOYSA-N 0 1 287.245 0.710 20 30 CCEDMN N#C[C@@H]1CSCCN1S(=O)(=O)c1ncc[nH]1 ZINC000601387151 362253351 /nfs/dbraw/zinc/25/33/51/362253351.db2.gz OHJQHQMSFHKPPA-SSDOTTSWSA-N 0 1 258.328 0.039 20 30 CCEDMN N#CC1(C(=O)N2CC[C@@H](N3CC[C@@H](O)C3)C2)CC2(CC2)C1 ZINC000601588833 362319726 /nfs/dbraw/zinc/31/97/26/362319726.db2.gz QNVZFNVELZNULB-CHWSQXEVSA-N 0 1 289.379 0.738 20 30 CCEDMN N#CCCN(CC1CC1)C(=O)CCCc1nn[nH]n1 ZINC000602091584 362482829 /nfs/dbraw/zinc/48/28/29/362482829.db2.gz LGSQOLMSAVCUPB-UHFFFAOYSA-N 0 1 262.317 0.675 20 30 CCEDMN C[C@@]1(C#N)CCCN(C(=O)CCCc2nn[nH]n2)C1 ZINC000602134876 362515046 /nfs/dbraw/zinc/51/50/46/362515046.db2.gz GXDNXNVUQJOIAK-LBPRGKRZSA-N 0 1 262.317 0.675 20 30 CCEDMN CN(CC(=O)N1CCN(CCC#N)CC1)[C@@H]1CCSC1 ZINC000602205910 362557792 /nfs/dbraw/zinc/55/77/92/362557792.db2.gz LDQHNSSSQNUGBU-CYBMUJFWSA-N 0 1 296.440 0.482 20 30 CCEDMN C[C@]1(C(=O)N2Cc3ccc(C#N)cc3C2)CNCCO1 ZINC000602519633 362653271 /nfs/dbraw/zinc/65/32/71/362653271.db2.gz CDGAGBIMNBTGIG-OAHLLOKOSA-N 0 1 271.320 0.779 20 30 CCEDMN N#Cc1ccc(NC(=O)CN2CC[C@@H](CC(N)=O)C2)cc1 ZINC000367300437 232300034 /nfs/dbraw/zinc/30/00/34/232300034.db2.gz MWOGTDKUPJBCAD-LBPRGKRZSA-N 0 1 286.335 0.694 20 30 CCEDMN CCOC(=O)[C@](C)(O)CN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854384 362840742 /nfs/dbraw/zinc/84/07/42/362840742.db2.gz OOLRTRSTFXLUEJ-MBNYWOFBSA-N 0 1 283.372 0.219 20 30 CCEDMN Cc1cc(NCCN2CCN(C)CC2)cc(C#N)n1 ZINC000308280019 137071073 /nfs/dbraw/zinc/07/10/73/137071073.db2.gz QNHDEUIYBSYDQO-UHFFFAOYSA-N 0 1 259.357 0.921 20 30 CCEDMN C[C@@H](C(N)=O)N(C)CCOCc1ccc(C#N)cc1 ZINC000344078705 137175964 /nfs/dbraw/zinc/17/59/64/137175964.db2.gz YODRRKQOZHHQSI-NSHDSACASA-N 0 1 261.325 0.880 20 30 CCEDMN N#Cc1cccc(OCCCN2CCN(CCO)CC2)c1 ZINC000036231458 348161800 /nfs/dbraw/zinc/16/18/00/348161800.db2.gz ICCNDRWDGBMFEL-UHFFFAOYSA-N 0 1 289.379 0.937 20 30 CCEDMN C[C@H](CO)C1CCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000602886115 362865134 /nfs/dbraw/zinc/86/51/34/362865134.db2.gz JGUINHSNWRMXGD-SNVBAGLBSA-N 0 1 291.355 0.586 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CC2(CCC2)O1 ZINC000602889140 362866996 /nfs/dbraw/zinc/86/69/96/362866996.db2.gz XCKDOWCVJWVFBB-SNVBAGLBSA-N 0 1 289.339 0.889 20 30 CCEDMN CN(CC(=O)N1CCN(C)CC1)[C@H]1CC[C@@H](C#N)C1 ZINC000602989494 362924583 /nfs/dbraw/zinc/92/45/83/362924583.db2.gz QPTQSMZOXDKWNS-OLZOCXBDSA-N 0 1 264.373 0.384 20 30 CCEDMN CC(C)N(CC(N)=O)C[C@H](O)COc1ccc(C#N)cc1 ZINC000049932619 348462010 /nfs/dbraw/zinc/46/20/10/348462010.db2.gz BXQVLRBWXUMBLQ-ZDUSSCGKSA-N 0 1 291.351 0.494 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(=O)C(C)C)CC1 ZINC000061022740 348650830 /nfs/dbraw/zinc/65/08/30/348650830.db2.gz HNTJFHZWOVKZQG-GFCCVEGCSA-N 0 1 281.400 0.914 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(CC)CC1 ZINC000076424967 349082466 /nfs/dbraw/zinc/08/24/66/349082466.db2.gz MMJRTLCYSPLTSN-UHFFFAOYSA-N 0 1 252.362 0.386 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(c2nnc[nH]2)CC1 ZINC000083443520 349306018 /nfs/dbraw/zinc/30/60/18/349306018.db2.gz JDWATHMOQWKVHK-SNVBAGLBSA-N 0 1 263.345 0.675 20 30 CCEDMN C=CCCOCC(=O)NC[C@H](C(C)C)N1CC[NH+](C)CC1 ZINC000138451042 350441253 /nfs/dbraw/zinc/44/12/53/350441253.db2.gz OGALOJRCXRBRPY-OAHLLOKOSA-N 0 1 297.443 0.967 20 30 CCEDMN C=CCCOCC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000138451042 350441255 /nfs/dbraw/zinc/44/12/55/350441255.db2.gz OGALOJRCXRBRPY-OAHLLOKOSA-N 0 1 297.443 0.967 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)Nc1nc(SCCO)n[nH]1 ZINC000155283466 350614586 /nfs/dbraw/zinc/61/45/86/350614586.db2.gz DLHAZROQTDEOBV-UHFFFAOYSA-N 0 1 292.324 0.352 20 30 CCEDMN N#CC1(NC(=O)CN2CCC23CCOCC3)CCC1 ZINC000618318325 365988036 /nfs/dbraw/zinc/98/80/36/365988036.db2.gz RHQRDZDNOOELKL-UHFFFAOYSA-N 0 1 263.341 0.804 20 30 CCEDMN N#Cc1ccc(Cn2cc(CNC3CC3)nn2)nc1 ZINC000603221150 363006301 /nfs/dbraw/zinc/00/63/01/363006301.db2.gz DEMQMHTVZBYEOJ-UHFFFAOYSA-N 0 1 254.297 0.845 20 30 CCEDMN C[C@@H](NC(=O)CNC(=O)c1ccc(C#N)cc1)c1nnc[nH]1 ZINC000176212886 351161473 /nfs/dbraw/zinc/16/14/73/351161473.db2.gz HRPHCSNNMVFKME-SECBINFHSA-N 0 1 298.306 0.284 20 30 CCEDMN C=C[C@H](C(=O)OC)n1cc([C@H](N)[C@H]2CCCO2)nn1 ZINC000603233700 363014503 /nfs/dbraw/zinc/01/45/03/363014503.db2.gz JVBDOBJUFMQMFP-MXWKQRLJSA-N 0 1 266.301 0.357 20 30 CCEDMN C=CCNC(=O)CN1CCC(CCC(=O)OC)CC1 ZINC000531479762 358464493 /nfs/dbraw/zinc/46/44/93/358464493.db2.gz VUFORSOIJIRWON-UHFFFAOYSA-N 0 1 268.357 0.954 20 30 CCEDMN C#CCOc1ccc([C@H](C)NCCS(=O)(=O)NC)cc1 ZINC000187174835 351532624 /nfs/dbraw/zinc/53/26/24/351532624.db2.gz ITSPSADGVLVHBY-LBPRGKRZSA-N 0 1 296.392 0.898 20 30 CCEDMN C[NH+](C)C[C@@H]1CN(C(=O)c2ccc(C#N)c([O-])c2)CCO1 ZINC000188514393 351603025 /nfs/dbraw/zinc/60/30/25/351603025.db2.gz FNSZAJAUPDPKTI-CYBMUJFWSA-N 0 1 289.335 0.666 20 30 CCEDMN Cc1nc([C@@H]2CN(Cc3cc(C#N)n(C)c3)CCO2)n[nH]1 ZINC000191425570 351756929 /nfs/dbraw/zinc/75/69/29/351756929.db2.gz MXDLQPAXZLREKR-ZDUSSCGKSA-N 0 1 286.339 0.897 20 30 CCEDMN CCOC(=O)[C@@]1(C(C)C)CCN(CC(=O)NCC#N)C1 ZINC000194870969 351889031 /nfs/dbraw/zinc/88/90/31/351889031.db2.gz OLKLPTRHVZVSJD-AWEZNQCLSA-N 0 1 281.356 0.537 20 30 CCEDMN COc1cc(CNCCNS(C)(=O)=O)ccc1C#N ZINC000234841324 352174658 /nfs/dbraw/zinc/17/46/58/352174658.db2.gz QJPKSIZENVNUNE-UHFFFAOYSA-N 0 1 283.353 0.206 20 30 CCEDMN N#Cc1ccccc1OC[C@@H](O)CNCc1ccncn1 ZINC000236518685 352196529 /nfs/dbraw/zinc/19/65/29/352196529.db2.gz DIOSRMSZFIHYOT-AWEZNQCLSA-N 0 1 284.319 0.878 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)CC(C)(CO)CO ZINC000270356440 352924887 /nfs/dbraw/zinc/92/48/87/352924887.db2.gz VPYQRKTWWJRBDB-ZDUSSCGKSA-N 0 1 284.400 0.498 20 30 CCEDMN C[C@H](O)CN1CCN(c2cnccc2C#N)C[C@H]1C ZINC000270004571 352895251 /nfs/dbraw/zinc/89/52/51/352895251.db2.gz ZHQWTSYBYFUCOI-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2ccnc(C#N)c2)n1 ZINC000280485082 353365202 /nfs/dbraw/zinc/36/52/02/353365202.db2.gz JQFBWCANSBGIGF-UHFFFAOYSA-N 0 1 256.269 0.352 20 30 CCEDMN C[C@H](CNC(=O)c1cc(C#N)ccn1)N1CCN(C)CC1 ZINC000282905279 353462203 /nfs/dbraw/zinc/46/22/03/353462203.db2.gz BBURGAPYWOYCAH-GFCCVEGCSA-N 0 1 287.367 0.319 20 30 CCEDMN Cc1nnc(N2CCC[C@@H](c3nc(=O)[nH][nH]3)C2)c(C#N)c1C ZINC000285503362 353559903 /nfs/dbraw/zinc/55/99/03/353559903.db2.gz FJTIELCMPPFSMO-SNVBAGLBSA-N 0 1 299.338 0.761 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NC[C@H](O)C(F)(F)F)o1 ZINC000287659602 353660102 /nfs/dbraw/zinc/66/01/02/353660102.db2.gz CPYPNHWQCWHMPH-LURJTMIESA-N 0 1 284.215 0.353 20 30 CCEDMN C[C@H](CCC#N)CN1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000618888259 366113338 /nfs/dbraw/zinc/11/33/38/366113338.db2.gz UYTOVFNGLMEJJN-ZJUUUORDSA-N 0 1 250.302 0.162 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC000329338946 354255586 /nfs/dbraw/zinc/25/55/86/354255586.db2.gz QUEYVEDTQBEUFV-NXEZZACHSA-N 0 1 283.328 0.557 20 30 CCEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCCOCC1 ZINC000329743004 354259903 /nfs/dbraw/zinc/25/99/03/354259903.db2.gz PULWEZQILMAKKA-SNVBAGLBSA-N 0 1 264.329 0.903 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2nc[nH]c2C1)N1CCCOCC1 ZINC000329743004 354259905 /nfs/dbraw/zinc/25/99/05/354259905.db2.gz PULWEZQILMAKKA-SNVBAGLBSA-N 0 1 264.329 0.903 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2[nH]cnc2C1)N1CCCOCC1 ZINC000329743004 354259907 /nfs/dbraw/zinc/25/99/07/354259907.db2.gz PULWEZQILMAKKA-SNVBAGLBSA-N 0 1 264.329 0.903 20 30 CCEDMN C=CC[C@@H](CO)NCCS(=O)(=O)c1cccc(C#N)c1 ZINC000321022949 354228036 /nfs/dbraw/zinc/22/80/36/354228036.db2.gz ONKKJBIINPXUQP-ZDUSSCGKSA-N 0 1 294.376 0.859 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(C)(OC)CC1 ZINC000271810203 191340242 /nfs/dbraw/zinc/34/02/42/191340242.db2.gz YKCNMWBYKYMXSN-NSHDSACASA-N 0 1 283.372 0.888 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@H](CO)CC2)CCC1 ZINC000339748467 355504539 /nfs/dbraw/zinc/50/45/39/355504539.db2.gz DNGIOTWWPSZIDY-LBPRGKRZSA-N 0 1 265.357 0.643 20 30 CCEDMN CN(CCC(=O)Nc1ccc(C#N)cc1)[C@@H]1CCNC1=O ZINC000340224017 355529467 /nfs/dbraw/zinc/52/94/67/355529467.db2.gz UYZSJLZLIGKGRC-CYBMUJFWSA-N 0 1 286.335 0.707 20 30 CCEDMN CC[C@H]1CN(CC(=O)N(CC)CCC#N)C[C@H]1O ZINC000341045320 355594052 /nfs/dbraw/zinc/59/40/52/355594052.db2.gz LDUCUWPFKNLYSX-NWDGAFQWSA-N 0 1 253.346 0.451 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCc3[nH]nnc3C2)cc1 ZINC000359746235 283127436 /nfs/dbraw/zinc/12/74/36/283127436.db2.gz VBYWTBSLEYOGJD-UHFFFAOYSA-N 0 1 253.265 0.875 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)C(C)C#N ZINC000351534212 356002625 /nfs/dbraw/zinc/00/26/25/356002625.db2.gz KYZTURIGNNIYAX-BBBLOLIVSA-N 0 1 254.286 0.325 20 30 CCEDMN CO[C@@]1(CNCc2nc(C#N)cs2)CCOC1 ZINC000352899121 356106176 /nfs/dbraw/zinc/10/61/76/356106176.db2.gz QERXFWFCTDUXFC-LLVKDONJSA-N 0 1 253.327 0.910 20 30 CCEDMN N#Cc1cccc(F)c1CC(=O)N1CCc2[nH]nnc2C1 ZINC000353503271 356240350 /nfs/dbraw/zinc/24/03/50/356240350.db2.gz HYOMBIJDDWQKDI-UHFFFAOYSA-N 0 1 285.282 0.943 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)c1cnccc1N(C)C ZINC000273631718 192237716 /nfs/dbraw/zinc/23/77/16/192237716.db2.gz QSYFNRULBPZWBM-UHFFFAOYSA-N 0 1 297.380 0.478 20 30 CCEDMN CC(C)(NC(=O)c1ccc(C#N)nc1)c1nn[nH]n1 ZINC000354792337 356499359 /nfs/dbraw/zinc/49/93/59/356499359.db2.gz PKRANTAOPDEDPP-UHFFFAOYSA-N 0 1 257.257 0.131 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(CCC(=O)N(C)C)CC1 ZINC000609486491 363498414 /nfs/dbraw/zinc/49/84/14/363498414.db2.gz LFEOKNKLZXPVAT-ZDUSSCGKSA-N 0 1 266.389 0.630 20 30 CCEDMN Cn1cc(CNC2CCN(c3ccc(C#N)cn3)CC2)nn1 ZINC000610270851 363547187 /nfs/dbraw/zinc/54/71/87/363547187.db2.gz IPIYCAHFFDFGMH-UHFFFAOYSA-N 0 1 297.366 0.840 20 30 CCEDMN N#CCSCC(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000619871515 366366181 /nfs/dbraw/zinc/36/61/81/366366181.db2.gz LVRKOWMFJGVVFA-ZDUSSCGKSA-N 0 1 297.424 0.956 20 30 CCEDMN C=CCOC[C@@H](NC(=O)c1[nH]nc2c1CCC2)C(=O)OC ZINC000360706791 357040910 /nfs/dbraw/zinc/04/09/10/357040910.db2.gz CXZFSFHHNMMNAE-LLVKDONJSA-N 0 1 293.323 0.372 20 30 CCEDMN COC(=O)[C@H](CC(F)(F)F)NC(=O)C(C)C#N ZINC000361335826 357044830 /nfs/dbraw/zinc/04/48/30/357044830.db2.gz MUUGFBWRIYKMHW-WDSKDSINSA-N 0 1 252.192 0.756 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCN2CCCOCC2)C1=O ZINC000361388003 357046703 /nfs/dbraw/zinc/04/67/03/357046703.db2.gz CJHLPIPTKKZRIL-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN COCCCN(CCOC)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610528172 363572721 /nfs/dbraw/zinc/57/27/21/363572721.db2.gz SZVNIPDOXZHANG-UHFFFAOYSA-N 0 1 295.343 0.230 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCCC[C@H]2O)[nH]1 ZINC000610527965 363572524 /nfs/dbraw/zinc/57/25/24/363572524.db2.gz CJNQSNZEIANCCC-NXEZZACHSA-N 0 1 263.301 0.139 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCOc2ccccc2)[nH]1 ZINC000610527819 363573009 /nfs/dbraw/zinc/57/30/09/363573009.db2.gz MFAWWCIVJDHCIR-UHFFFAOYSA-N 0 1 285.307 0.914 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2cccc(O)c2)[nH]1 ZINC000610561139 363576887 /nfs/dbraw/zinc/57/68/87/363576887.db2.gz PSXURJPPZRSMND-UHFFFAOYSA-N 0 1 271.280 0.741 20 30 CCEDMN Cn1cc(CN2C[C@@H]3CCC[C@H]3[C@@H]2C(N)=O)cc1C#N ZINC000364586254 357122700 /nfs/dbraw/zinc/12/27/00/357122700.db2.gz WPOLTTBKMCHPEE-IACUBPJLSA-N 0 1 272.352 0.983 20 30 CCEDMN CN(C[C@H]1CCCCO1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610562820 363578069 /nfs/dbraw/zinc/57/80/69/363578069.db2.gz HXHJTTSQTRGEEP-LLVKDONJSA-N 0 1 277.328 0.746 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@H]2CCOC)CC1 ZINC000366026151 357185758 /nfs/dbraw/zinc/18/57/58/357185758.db2.gz ZRLJGFHGSCYWFD-OAHLLOKOSA-N 0 1 294.395 0.596 20 30 CCEDMN CN(C(=O)CN1C[C@H]2[C@H](CO)[C@H]2C1)C1(C#N)CCCCC1 ZINC000367831705 357282744 /nfs/dbraw/zinc/28/27/44/357282744.db2.gz KAFRJMBVLILMDB-WDNDVIMCSA-N 0 1 291.395 0.841 20 30 CCEDMN CN(CC(=O)NCc1cccc(C#N)c1)C[C@H](O)C1CC1 ZINC000610572838 363580819 /nfs/dbraw/zinc/58/08/19/363580819.db2.gz IEBRUJVUMUFKCQ-HNNXBMFYSA-N 0 1 287.363 0.877 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](n3cncn3)C2)C1=O ZINC000374172456 357396756 /nfs/dbraw/zinc/39/67/56/357396756.db2.gz NKVFYASTRAKREH-VXGBXAGGSA-N 0 1 261.329 0.312 20 30 CCEDMN CCN(CC(C)(C)O)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610584931 363582289 /nfs/dbraw/zinc/58/22/89/363582289.db2.gz NLTCNCDLEIOAMR-UHFFFAOYSA-N 0 1 265.317 0.338 20 30 CCEDMN N#Cc1ccc(CN[C@]2(CO)CCOC2)cc1F ZINC000404062273 357814933 /nfs/dbraw/zinc/81/49/33/357814933.db2.gz MLTHDCXNCWSQDH-ZDUSSCGKSA-N 0 1 250.273 0.938 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)C2(C#N)CCC2)C1 ZINC000398923906 357779088 /nfs/dbraw/zinc/77/90/88/357779088.db2.gz DZEDIBJWOUIIPX-LBPRGKRZSA-N 0 1 265.357 0.907 20 30 CCEDMN N#Cc1ccnc(N2CCN(CC3(O)CCC3)CC2)c1 ZINC000407951297 357835183 /nfs/dbraw/zinc/83/51/83/357835183.db2.gz LJEVJYNVQKDLRJ-UHFFFAOYSA-N 0 1 272.352 0.990 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CCCN(CCCO)C2)cn1 ZINC000452386625 236242418 /nfs/dbraw/zinc/24/24/18/236242418.db2.gz YBAUMODPUDAAMB-AWEZNQCLSA-N 0 1 288.351 0.530 20 30 CCEDMN C=CCOCC[N@H+]1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000294881623 199228710 /nfs/dbraw/zinc/22/87/10/199228710.db2.gz AEJVXLQIIZZYTB-SNVBAGLBSA-N 0 1 253.302 0.745 20 30 CCEDMN CC[C@@](C)(CCO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610773216 363613040 /nfs/dbraw/zinc/61/30/40/363613040.db2.gz NIQFWJSKHTYHDC-LBPRGKRZSA-N 0 1 265.317 0.386 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N(CCCO)C2CC2)[nH]1 ZINC000610782510 363613986 /nfs/dbraw/zinc/61/39/86/363613986.db2.gz IUSOTZVVNATZOL-UHFFFAOYSA-N 0 1 263.301 0.092 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCCc3c[nH]nc32)[nH]1 ZINC000610821349 363617253 /nfs/dbraw/zinc/61/72/53/363617253.db2.gz IKRCYOTVMJQXGP-JTQLQIEISA-N 0 1 285.311 0.636 20 30 CCEDMN Cn1cc([C@@H]2CN(Cc3ccc(C#N)cn3)C[C@H]2CO)cn1 ZINC000579974699 359073056 /nfs/dbraw/zinc/07/30/56/359073056.db2.gz UYAIXJCQLYBKJA-HOCLYGCPSA-N 0 1 297.362 0.895 20 30 CCEDMN CC1(C(N)=O)CN(C[C@H](O)COc2ccc(C#N)cc2)C1 ZINC000580772033 359091639 /nfs/dbraw/zinc/09/16/39/359091639.db2.gz SBNUEHHDIMGBEX-LBPRGKRZSA-N 0 1 289.335 0.105 20 30 CCEDMN CC(C)(CO)N1CCN(c2cc(C#N)ccn2)CC1 ZINC000587979241 359303697 /nfs/dbraw/zinc/30/36/97/359303697.db2.gz HODYLIQQIBQZBO-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H](CO)CC(C)(C)C)[nH]1 ZINC000610949339 363636437 /nfs/dbraw/zinc/63/64/37/363636437.db2.gz JOAFHYCWDPFFSF-JTQLQIEISA-N 0 1 279.344 0.632 20 30 CCEDMN CN(CC1(O)CCCC1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611050025 363653598 /nfs/dbraw/zinc/65/35/98/363653598.db2.gz ZSGPTMWFZSTRTM-UHFFFAOYSA-N 0 1 277.328 0.482 20 30 CCEDMN CCCC[N@@H+](C)C[C@@H](C)NC(=O)N=c1[n-]n(C)cc1C#N ZINC000589244560 359374252 /nfs/dbraw/zinc/37/42/52/359374252.db2.gz LNZJGRNUYLUXEG-LLVKDONJSA-N 0 1 292.387 0.956 20 30 CCEDMN CC(C)[C@H](CO)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000588259648 359319787 /nfs/dbraw/zinc/31/97/87/359319787.db2.gz BTRBHKAYEGIGAL-JTQLQIEISA-N 0 1 265.317 0.100 20 30 CCEDMN N#CC1(C(=O)N2CC[C@H](N3CC[C@H](O)C3)C2)CCC1 ZINC000588862919 359343875 /nfs/dbraw/zinc/34/38/75/359343875.db2.gz LCCKTPAKMCRYON-RYUDHWBXSA-N 0 1 263.341 0.348 20 30 CCEDMN CCN1C(=O)[C@@H]2CN([C@H]3CC[C@H](C#N)C3)CCN2C1=O ZINC000590161201 359428019 /nfs/dbraw/zinc/42/80/19/359428019.db2.gz JSZJLQIMPQJWOL-SRVKXCTJSA-N 0 1 276.340 0.647 20 30 CCEDMN Cc1cn[nH]c1CN1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000589514018 359389646 /nfs/dbraw/zinc/38/96/46/359389646.db2.gz XRFLQRCNCUKRIP-UHFFFAOYSA-N 0 1 299.382 0.954 20 30 CCEDMN CN1CC[C@@H]2CN(S(=O)(=O)c3ccccc3C#N)C[C@@H]21 ZINC000590762601 359519853 /nfs/dbraw/zinc/51/98/53/359519853.db2.gz WUXSEHVAELKJGV-OLZOCXBDSA-N 0 1 291.376 0.883 20 30 CCEDMN CO[C@@H]1CCC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000590819225 359526200 /nfs/dbraw/zinc/52/62/00/359526200.db2.gz AJFNJWOEODVEEL-NXEZZACHSA-N 0 1 263.301 0.403 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@@H]2CCCN(CCCO)C2)n1 ZINC000590767967 359521218 /nfs/dbraw/zinc/52/12/18/359521218.db2.gz XNPOWYWOBUAVMT-CYBMUJFWSA-N 0 1 288.351 0.530 20 30 CCEDMN Cn1cnc(=NC(=O)N[C@@H]2CCc3cc(C#N)ccc32)[nH]1 ZINC000590839322 359529727 /nfs/dbraw/zinc/52/97/27/359529727.db2.gz ROOWNCQWYITRLF-GFCCVEGCSA-N 0 1 282.307 0.918 20 30 CCEDMN C[C@@H]1CN(c2cc(C#N)ncn2)[C@@H](C)CN1CCO ZINC000591370631 359585057 /nfs/dbraw/zinc/58/50/57/359585057.db2.gz NRPUKYWYFCQIKV-MNOVXSKESA-N 0 1 261.329 0.240 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000591990100 359720944 /nfs/dbraw/zinc/72/09/44/359720944.db2.gz SPEZUYQIABIHDJ-FZMZJTMJSA-N 0 1 289.339 0.847 20 30 CCEDMN C[N@H+]1CC[C@@H]2CCN(C(=O)CNc3ccc(C#N)cn3)[C@@H]2C1 ZINC000329313020 202279314 /nfs/dbraw/zinc/27/93/14/202279314.db2.gz APZMCCBALLLAJQ-ZIAGYGMSSA-N 0 1 299.378 0.340 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](O)CN(Cc2cccc(C#N)c2)C1 ZINC000592196896 359787289 /nfs/dbraw/zinc/78/72/89/359787289.db2.gz ZQDZEWKRNKXDNP-KGLIPLIRSA-N 0 1 274.320 0.914 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@H](O)COc2ccccc2)C1 ZINC000592144783 359764412 /nfs/dbraw/zinc/76/44/12/359764412.db2.gz INJLGQYYBNPBAE-DZGCQCFKSA-N 0 1 276.336 0.777 20 30 CCEDMN N#Cc1ccc(N2CCN([C@H]3CCC[C@@H]3O)CC2)nn1 ZINC000611240793 363693476 /nfs/dbraw/zinc/69/34/76/363693476.db2.gz VIFXXDNMFIZQCD-STQMWFEESA-N 0 1 273.340 0.384 20 30 CCEDMN CC(=O)N1CCC[C@@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)C1 ZINC000592645508 359908055 /nfs/dbraw/zinc/90/80/55/359908055.db2.gz BFLHTYGSJHEYIT-LLVKDONJSA-N 0 1 289.339 0.219 20 30 CCEDMN CCn1cc(C(=O)N=c2[nH]n(C)c(C)c2C#N)cn1 ZINC000592646024 359908265 /nfs/dbraw/zinc/90/82/65/359908265.db2.gz KUZFTPGNOHHUHV-UHFFFAOYSA-N 0 1 258.285 0.491 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H](C)n2cccn2)[nH]n1C ZINC000592648318 359908330 /nfs/dbraw/zinc/90/83/30/359908330.db2.gz XWGQYNWYEAQJML-SECBINFHSA-N 0 1 258.285 0.418 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H](C)Oc2ccccn2)[nH]n1C ZINC000592649200 359909701 /nfs/dbraw/zinc/90/97/01/359909701.db2.gz KQVFOLCCBUKPOF-SNVBAGLBSA-N 0 1 285.307 0.823 20 30 CCEDMN CCOCCN(C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611322808 363707775 /nfs/dbraw/zinc/70/77/75/363707775.db2.gz JOHLDSXYUKFLOS-UHFFFAOYSA-N 0 1 251.290 0.214 20 30 CCEDMN CCN1C(=O)[C@@H]2CN([C@H](C)CCC#N)CCN2C1=O ZINC000593015119 360014864 /nfs/dbraw/zinc/01/48/64/360014864.db2.gz MXYWABBHZBCABI-MNOVXSKESA-N 0 1 264.329 0.647 20 30 CCEDMN C[C@@H](CO)C1CCN(CC(=O)NC2(C#N)CCC2)CC1 ZINC000593085042 360030515 /nfs/dbraw/zinc/03/05/15/360030515.db2.gz UELRSNXPJPWRKJ-LBPRGKRZSA-N 0 1 279.384 0.889 20 30 CCEDMN C[C@H](CCO)N(C)CC(=O)N(CCC#N)CCC#N ZINC000593110667 360042054 /nfs/dbraw/zinc/04/20/54/360042054.db2.gz YOYMALALRRDVQK-GFCCVEGCSA-N 0 1 266.345 0.345 20 30 CCEDMN N#Cc1cc(F)ccc1N1CC(N2CC[C@@H](O)C2)C1 ZINC000593506793 360102635 /nfs/dbraw/zinc/10/26/35/360102635.db2.gz CAFXDGFYJOXVMY-CYBMUJFWSA-N 0 1 261.300 0.953 20 30 CCEDMN N#Cc1cnccc1N1CCN(Cc2nnc[nH]2)CC1 ZINC000593509524 360103345 /nfs/dbraw/zinc/10/33/45/360103345.db2.gz WKDUOWNWXKYTMY-UHFFFAOYSA-N 0 1 269.312 0.394 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1ccsc1C#N ZINC000593595118 360118063 /nfs/dbraw/zinc/11/80/63/360118063.db2.gz BLQLMFKELCXCDG-QMMMGPOBSA-N 0 1 273.383 0.848 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000593857412 360177352 /nfs/dbraw/zinc/17/73/52/360177352.db2.gz ZXGFWOPFAIWIIJ-UHFFFAOYSA-N 0 1 299.338 0.271 20 30 CCEDMN COCCNCC(=O)Nc1n[nH]c2cc(C#N)c(C)cc21 ZINC000593916987 360211359 /nfs/dbraw/zinc/21/13/59/360211359.db2.gz UIXPCXFHHAEWDC-UHFFFAOYSA-N 0 1 287.323 0.918 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C[C@H](C)O)C1CC1 ZINC000594064201 360244887 /nfs/dbraw/zinc/24/48/87/360244887.db2.gz LHRPKMNANHSUJC-NSHDSACASA-N 0 1 253.346 0.594 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@@]2(C#N)CCSC2)C1 ZINC000594257330 360264594 /nfs/dbraw/zinc/26/45/94/360264594.db2.gz IFHPAMRIPAOQCF-ZYHUDNBSSA-N 0 1 253.371 0.844 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC000611350503 363710959 /nfs/dbraw/zinc/71/09/59/363710959.db2.gz PGFZEZMYZNRVIS-STQMWFEESA-N 0 1 265.357 0.765 20 30 CCEDMN N#Cc1ccc(N2CCN(C[C@H]3CCCO3)CC2)nc1N ZINC000594539378 360327561 /nfs/dbraw/zinc/32/75/61/360327561.db2.gz FGQCERGBQSXTLQ-CYBMUJFWSA-N 0 1 287.367 0.836 20 30 CCEDMN C[C@H](CC#N)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594751849 360375874 /nfs/dbraw/zinc/37/58/74/360375874.db2.gz DWSGXPSNSVVUKK-SSDOTTSWSA-N 0 1 270.270 0.570 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@@H]2CC[C@H](C[NH3+])C2)o1 ZINC000595109717 360438316 /nfs/dbraw/zinc/43/83/16/360438316.db2.gz JYRZGIFSDDRRAQ-DTWKUNHWSA-N 0 1 269.326 0.557 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@H]3CC[N@@H+](C)C[C@H]32)[n-]1 ZINC000611519436 363732163 /nfs/dbraw/zinc/73/21/63/363732163.db2.gz JRIVBRJTQBWHAF-CMPLNLGQSA-N 0 1 288.355 0.272 20 30 CCEDMN N#Cc1csc(CNC[C@]2(OCCO)CCOC2)n1 ZINC000594975779 360429206 /nfs/dbraw/zinc/42/92/06/360429206.db2.gz UHWDWBHRUXGRBI-GFCCVEGCSA-N 0 1 283.353 0.272 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)C(=O)NCC1(C#N)CCCCC1 ZINC000595278700 360476710 /nfs/dbraw/zinc/47/67/10/360476710.db2.gz SGGUEHMNSGJYLM-GFCCVEGCSA-N 0 1 292.383 0.397 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)CCCC#N)CCN1 ZINC000595413247 360525866 /nfs/dbraw/zinc/52/58/66/360525866.db2.gz SDPFVDODXLTGIN-LLVKDONJSA-N 0 1 259.375 0.550 20 30 CCEDMN COC(=O)[C@@H]1CCN(CC(=O)NCC#N)[C@H]2CCCC[C@@H]12 ZINC000595737178 360634088 /nfs/dbraw/zinc/63/40/88/360634088.db2.gz PMBKRMBLNMLKBZ-XQQFMLRXSA-N 0 1 293.367 0.680 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H]2C[C@@H](C(=O)OC)C2)C1=O ZINC000595857114 360681234 /nfs/dbraw/zinc/68/12/34/360681234.db2.gz UITLJDBTOFMQNF-GRYCIOLGSA-N 0 1 266.341 0.657 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CC[C@H]2C[C@H]2C1 ZINC000595808125 360662703 /nfs/dbraw/zinc/66/27/03/360662703.db2.gz LJYRJKOUVJJXPO-STQMWFEESA-N 0 1 260.341 0.984 20 30 CCEDMN C[C@@H]1CN(C(=O)CC2(C#N)CCOCC2)CCN1 ZINC000595924006 360705085 /nfs/dbraw/zinc/70/50/85/360705085.db2.gz FFBXERXRDMGJBS-LLVKDONJSA-N 0 1 251.330 0.517 20 30 CCEDMN CC(C)[C@@H](CNc1c(C#N)cnn1C)N1CCN(C)CC1 ZINC000596069633 360787354 /nfs/dbraw/zinc/78/73/54/360787354.db2.gz HHEWTACBQAJZBQ-CQSZACIVSA-N 0 1 290.415 0.976 20 30 CCEDMN COCc1nc(CNc2cc(C)ncc2C#N)n[nH]1 ZINC000596435477 360894985 /nfs/dbraw/zinc/89/49/85/360894985.db2.gz FGNGLVJUHIZADL-UHFFFAOYSA-N 0 1 258.285 0.560 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)N2CC[C@@](C)(C#N)C2)[nH]1 ZINC000596764408 360954882 /nfs/dbraw/zinc/95/48/82/360954882.db2.gz HJENNSXJHBUCHL-AWEZNQCLSA-N 0 1 290.371 0.634 20 30 CCEDMN CCC[C@@H](C#N)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597040178 360999700 /nfs/dbraw/zinc/99/97/00/360999700.db2.gz SOBGCCLEAXYMKG-QMMMGPOBSA-N 0 1 297.336 0.462 20 30 CCEDMN COCc1nc(CNC(=O)Nc2cccc(C#N)n2)n[nH]1 ZINC000596936215 360981656 /nfs/dbraw/zinc/98/16/56/360981656.db2.gz WENPSSIRKYJTQO-UHFFFAOYSA-N 0 1 287.283 0.539 20 30 CCEDMN COCc1nnc(CNC(=O)Nc2cccc(C#N)n2)[nH]1 ZINC000596936215 360981659 /nfs/dbraw/zinc/98/16/59/360981659.db2.gz WENPSSIRKYJTQO-UHFFFAOYSA-N 0 1 287.283 0.539 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000597346519 361067275 /nfs/dbraw/zinc/06/72/75/361067275.db2.gz KBICAIKPUFRPGE-STQMWFEESA-N 0 1 265.357 0.717 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000597543879 361134270 /nfs/dbraw/zinc/13/42/70/361134270.db2.gz DKQZQLASORESNR-VXGBXAGGSA-N 0 1 267.373 0.840 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000597623926 361165501 /nfs/dbraw/zinc/16/55/01/361165501.db2.gz FFDBHLQHEVGFHK-CYBMUJFWSA-N 0 1 287.367 0.016 20 30 CCEDMN CN(CC(=O)N1CC(CC#N)C1)[C@@H]1CCSC1 ZINC000598032579 361319009 /nfs/dbraw/zinc/31/90/09/361319009.db2.gz CMULSFGZTPNJEF-LLVKDONJSA-N 0 1 253.371 0.796 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@H](C)c1nnc[nH]1 ZINC000611707809 363768173 /nfs/dbraw/zinc/76/81/73/363768173.db2.gz SEZHXKXSDOTULA-SCZZXKLOSA-N 0 1 265.317 0.453 20 30 CCEDMN COc1ccc(CN2CC[C@H](CO)[C@@H](O)C2)cc1C#N ZINC000564151824 291267548 /nfs/dbraw/zinc/26/75/48/291267548.db2.gz JSKIPQBNTINIDK-OCCSQVGLSA-N 0 1 276.336 0.742 20 30 CCEDMN CN(CC(=O)N1CCOCC1)C[C@@H](O)CC(C)(C)C#N ZINC000598585827 361448544 /nfs/dbraw/zinc/44/85/44/361448544.db2.gz BGZCLXNNTWJVCR-LBPRGKRZSA-N 0 1 283.372 0.078 20 30 CCEDMN CNC(=O)[C@@H]1CCCN1C[C@@H](O)CC(C)(C)C#N ZINC000598587097 361448689 /nfs/dbraw/zinc/44/86/89/361448689.db2.gz NWMZSGMMCMDLHY-QWRGUYRKSA-N 0 1 253.346 0.498 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](C)[C@@H](CO)C1 ZINC000598644953 361471625 /nfs/dbraw/zinc/47/16/25/361471625.db2.gz BOWAXGFRXMXNOC-GRYCIOLGSA-N 0 1 283.372 0.337 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NC2(C#N)CCC2)C[C@@H]1CO ZINC000598647034 361472644 /nfs/dbraw/zinc/47/26/44/361472644.db2.gz MUYPEZAUIWEKAI-VXGBXAGGSA-N 0 1 265.357 0.499 20 30 CCEDMN N#Cc1ccc(NCCN2CC[C@H](O)C2)c([N+](=O)[O-])c1 ZINC000599203544 361611429 /nfs/dbraw/zinc/61/14/29/361611429.db2.gz WOAJIFLIFCHQCG-NSHDSACASA-N 0 1 276.296 0.945 20 30 CCEDMN N#Cc1cnc(NCCN2CC[C@@H](O)C2)c([N+](=O)[O-])c1 ZINC000599176406 361600012 /nfs/dbraw/zinc/60/00/12/361600012.db2.gz SSKREFWBHWZLKD-SNVBAGLBSA-N 0 1 277.284 0.340 20 30 CCEDMN CC(C)[C@H](C#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599412276 361689891 /nfs/dbraw/zinc/68/98/91/361689891.db2.gz MXENEGWZAPEHLR-JTQLQIEISA-N 0 1 296.352 0.731 20 30 CCEDMN N#CCCCNC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000612380499 363897968 /nfs/dbraw/zinc/89/79/68/363897968.db2.gz VSJAQGXOYLCDCQ-QWHCGFSZSA-N 0 1 280.372 0.531 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000613252118 364181027 /nfs/dbraw/zinc/18/10/27/364181027.db2.gz BBJKQDUDPFLKRO-VHSXEESVSA-N 0 1 264.329 0.525 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N1CCN(C(C)(C)CC)CC1 ZINC000656062304 412738014 /nfs/dbraw/zinc/73/80/14/412738014.db2.gz KVXZYILJWSTQNL-UHFFFAOYSA-N 0 1 281.400 0.964 20 30 CCEDMN CCN1CCN([C@@H](C)C(=O)NC2(C#N)CCC2)C[C@@H]1C ZINC000615793565 365091269 /nfs/dbraw/zinc/09/12/69/365091269.db2.gz PWKVCLLPJGHTNR-STQMWFEESA-N 0 1 278.400 0.963 20 30 CCEDMN C=C[C@](C)(O)C(=O)NC[C@H](c1nc[nH]n1)c1ccccc1 ZINC000615999714 365154114 /nfs/dbraw/zinc/15/41/14/365154114.db2.gz GHMRUWBCOSDFIX-WFASDCNBSA-N 0 1 286.335 0.990 20 30 CCEDMN C=CC[C@@H](C)NS(=O)(=O)CCCN1CCN(C)CC1 ZINC000631982476 370810282 /nfs/dbraw/zinc/81/02/82/370810282.db2.gz YVKRHLOOXPVOTH-CYBMUJFWSA-N 0 1 289.445 0.508 20 30 CCEDMN C=CCCN1CCN(Cc2nc(COC)no2)CC1 ZINC000275467092 193049554 /nfs/dbraw/zinc/04/95/54/193049554.db2.gz CHUCTIPZKUMCFL-UHFFFAOYSA-N 0 1 266.345 0.910 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NCc2ccc(C#N)cc2)[C@H]1CO ZINC000275985053 193180534 /nfs/dbraw/zinc/18/05/34/193180534.db2.gz FKCAHERHBFZPOA-DOMZBBRYSA-N 0 1 287.363 0.877 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)NCC(=O)N2CCNCC2)C1 ZINC000627063744 368765212 /nfs/dbraw/zinc/76/52/12/368765212.db2.gz MWLQOWKPUQZEGN-LBPRGKRZSA-N 0 1 280.372 0.026 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)CCc1c[nH]nn1 ZINC000632840828 371296474 /nfs/dbraw/zinc/29/64/74/371296474.db2.gz MNDLSVOCQHNWFL-MFKMUULPSA-N 0 1 264.329 0.835 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)CCc1cnn[nH]1 ZINC000632840828 371296479 /nfs/dbraw/zinc/29/64/79/371296479.db2.gz MNDLSVOCQHNWFL-MFKMUULPSA-N 0 1 264.329 0.835 20 30 CCEDMN CSc1n[nH]c(NC(=O)[C@H](C)n2cnc(C#N)n2)n1 ZINC000336934304 213794473 /nfs/dbraw/zinc/79/44/73/213794473.db2.gz COBLKGQMGNVYKJ-YFKPBYRVSA-N 0 1 278.301 0.189 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)CCCc1nn[nH]n1 ZINC000635890052 373059263 /nfs/dbraw/zinc/05/92/63/373059263.db2.gz PYZXRNIGNRYDIS-GXFFZTMASA-N 0 1 279.344 0.620 20 30 CCEDMN C=CCN(C(=O)CCCc1nn[nH]n1)[C@H](C)COC ZINC000636161493 373419847 /nfs/dbraw/zinc/41/98/47/373419847.db2.gz WJHSRFPAULPTQF-SNVBAGLBSA-N 0 1 267.333 0.572 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N[C@@H]1CCCN(C)C1 ZINC000637039978 373896209 /nfs/dbraw/zinc/89/62/09/373896209.db2.gz HFIHLKBSXPBWAM-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN CC#CCN(C)C(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC000637642652 374266291 /nfs/dbraw/zinc/26/62/91/374266291.db2.gz KLRYWJAYPJPJFX-UHFFFAOYSA-N 0 1 291.355 0.863 20 30 CCEDMN C=CCOc1cccnc1C(=O)NC[C@H]1COCCN1 ZINC000638616938 375209574 /nfs/dbraw/zinc/20/95/74/375209574.db2.gz QONHSLFDASQEKG-NSHDSACASA-N 0 1 277.324 0.365 20 30 CCEDMN C=CCNC(=O)CNCc1cccn(C(F)F)c1=O ZINC000638847157 375404668 /nfs/dbraw/zinc/40/46/68/375404668.db2.gz MMVLVIGHZDKACZ-UHFFFAOYSA-N 0 1 271.267 0.635 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@H](N3CCCC3=O)C2)C1=O ZINC000685001257 545782984 /nfs/dbraw/zinc/78/29/84/545782984.db2.gz VSLDXJYUBSIFMN-ZIAGYGMSSA-N 0 1 291.395 0.860 20 30 CCEDMN CC(=O)[C@](C)(O)CN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000639699031 375928206 /nfs/dbraw/zinc/92/82/06/375928206.db2.gz RBHNNADYQFCNSP-NTZNESFSSA-N 0 1 253.346 0.245 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)n1cc([C@@H](N)CO)nn1 ZINC000640921534 376616419 /nfs/dbraw/zinc/61/64/19/376616419.db2.gz UQXXQVAJOOEZCI-QWRGUYRKSA-N 0 1 279.344 0.032 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)NCCCc1nc[nH]n1 ZINC000516658651 249175025 /nfs/dbraw/zinc/17/50/25/249175025.db2.gz TVTAZEKPIJUXFJ-UHFFFAOYSA-N 0 1 258.285 0.676 20 30 CCEDMN CCNC(=O)NC(=O)[C@H](C)N1CCC(CCCO)CC1 ZINC000330795239 335042239 /nfs/dbraw/zinc/04/22/39/335042239.db2.gz DTIFGGIVOBJORK-NSHDSACASA-N 0 1 285.388 0.910 20 30 CCEDMN NC(=O)[C@]1(O)CCN(CC#Cc2ccc(Cl)cc2)C1 ZINC000279303310 194376408 /nfs/dbraw/zinc/37/64/08/194376408.db2.gz QNWFRNAXUGUUQP-AWEZNQCLSA-N 0 1 278.739 0.614 20 30 CCEDMN C=CCCn1cc(CN[C@H]2CCN(CCOC)C2)nn1 ZINC000657354293 412970375 /nfs/dbraw/zinc/97/03/75/412970375.db2.gz RREXYEXBEKNOGZ-ZDUSSCGKSA-N 0 1 279.388 0.665 20 30 CCEDMN C=CCCn1cc(CNC[C@@H](CO)[C@H]2CCOC2)nn1 ZINC000657455212 412996021 /nfs/dbraw/zinc/99/60/21/412996021.db2.gz PZAHGPMKNMLSGR-STQMWFEESA-N 0 1 280.372 0.589 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC(N)=O)C(C)C)CC1 ZINC000264051613 533145013 /nfs/dbraw/zinc/14/50/13/533145013.db2.gz XDSRXAZASZGODR-UHFFFAOYSA-N 0 1 265.357 0.054 20 30 CCEDMN C=CCCCCNC(=O)C(=O)NCCC[N@H+](C)CCOC ZINC000283845502 388771281 /nfs/dbraw/zinc/77/12/81/388771281.db2.gz DCVOLAZYWYUWIK-UHFFFAOYSA-N 0 1 299.415 0.543 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1ccccc1C#N ZINC000012141646 388779078 /nfs/dbraw/zinc/77/90/78/388779078.db2.gz COYBXKHZCNQRGX-UHFFFAOYSA-N 0 1 253.327 0.398 20 30 CCEDMN Cc1nc(C(=O)N2CCOC[C@@H]2c2nnc[nH]2)ccc1C#N ZINC000284572251 388780179 /nfs/dbraw/zinc/78/01/79/388780179.db2.gz YVYOBDFJOCJSCR-GFCCVEGCSA-N 0 1 298.306 0.594 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N1CC[C@](O)(c2c[nH]nn2)C1 ZINC000284925462 388784747 /nfs/dbraw/zinc/78/47/47/388784747.db2.gz VTRXBVFEDFDQQV-MPKXVKKWSA-N 0 1 292.339 0.206 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@](O)(C(N)=O)C1 ZINC000286232991 388838805 /nfs/dbraw/zinc/83/88/05/388838805.db2.gz PQYHDRFYSNYUCY-IUODEOHRSA-N 0 1 297.399 0.112 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000287464619 388853288 /nfs/dbraw/zinc/85/32/88/388853288.db2.gz HEWKYVKYIYYMHY-JTQLQIEISA-N 0 1 262.313 0.548 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N1CCCN(CC)CC1 ZINC000289471780 388870481 /nfs/dbraw/zinc/87/04/81/388870481.db2.gz WEXCJLVFIBHQKA-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(C(=O)N(C)OC)CC1 ZINC000291724287 388935219 /nfs/dbraw/zinc/93/52/19/388935219.db2.gz JFAMOAJUENCXSV-LLVKDONJSA-N 0 1 283.372 0.409 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NC[C@H]1CCCCN1C1CC1 ZINC000290339008 388904191 /nfs/dbraw/zinc/90/41/91/388904191.db2.gz BHYPLHPCNLMCDA-CYBMUJFWSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(C2OCCO2)CC1 ZINC000171238112 335060435 /nfs/dbraw/zinc/06/04/35/335060435.db2.gz ZQDYFYHHKQHSEV-LLVKDONJSA-N 0 1 266.341 0.209 20 30 CCEDMN C=CCC(F)(F)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000293601628 388985423 /nfs/dbraw/zinc/98/54/23/388985423.db2.gz XVUXCYSXQYVDOX-VIFPVBQESA-N 0 1 287.266 0.821 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@@H](O)CC(C)(C)C1 ZINC000295269625 388998113 /nfs/dbraw/zinc/99/81/13/388998113.db2.gz DWLMLRIGKTTYKN-NWDGAFQWSA-N 0 1 297.399 0.870 20 30 CCEDMN C[C@H](CNC(=O)C#Cc1ccccc1)N1CCN(C)CC1 ZINC000080653309 388998689 /nfs/dbraw/zinc/99/86/89/388998689.db2.gz YQRAQZFNKULIRZ-OAHLLOKOSA-N 0 1 285.391 0.790 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@H]1CO ZINC000190833623 389000027 /nfs/dbraw/zinc/00/00/27/389000027.db2.gz XAURMXSRSQANTK-SUNKGSAMSA-N 0 1 265.357 0.355 20 30 CCEDMN NC(=O)CO/N=C/c1ccc(N2CCOCC2)cc1 ZINC000072809721 388970576 /nfs/dbraw/zinc/97/05/76/388970576.db2.gz HZXHMPWFEUWAGK-OQLLNIDSSA-N 0 1 263.297 0.359 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)NC[C@H]1CCCN1C ZINC000336906653 389029942 /nfs/dbraw/zinc/02/99/42/389029942.db2.gz FDHSMWOBHWWBQZ-LLVKDONJSA-N 0 1 274.390 0.151 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCC[C@H]1CCO ZINC000336810676 389022482 /nfs/dbraw/zinc/02/24/82/389022482.db2.gz IXGMHHNMVFOBQP-JSGCOSHPSA-N 0 1 267.373 0.888 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCN2CCOCC2)C1=O ZINC000337141766 389047200 /nfs/dbraw/zinc/04/72/00/389047200.db2.gz SMLDSNVMNJACAJ-ZDUSSCGKSA-N 0 1 267.373 0.037 20 30 CCEDMN C=CCN1CC[C@H](N(CCCOC)CCOC)C1=O ZINC000337148083 389048245 /nfs/dbraw/zinc/04/82/45/389048245.db2.gz ZTHKTZNEXKSJTL-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](CNC(=O)CCC)C1 ZINC000101915495 389073697 /nfs/dbraw/zinc/07/36/97/389073697.db2.gz ROXYUBNJUXCUCO-ZDUSSCGKSA-N 0 1 281.400 0.917 20 30 CCEDMN Cc1ccc(N2CCN(C[C@H](C)O)CC2)nc1C#N ZINC000302774372 389078065 /nfs/dbraw/zinc/07/80/65/389078065.db2.gz OAZZAPDSTSXXBC-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN CC[C@@H]1CN(C)CCCN1S(=O)(=O)[C@H](C)C#N ZINC000300531960 389045170 /nfs/dbraw/zinc/04/51/70/389045170.db2.gz DOUCYMJNCGMKPN-GHMZBOCLSA-N 0 1 259.375 0.644 20 30 CCEDMN CC(C)(C#N)C(=O)Nc1nc(SCCO)n[nH]1 ZINC000120690116 389144753 /nfs/dbraw/zinc/14/47/53/389144753.db2.gz CCOOACKWTINFCS-UHFFFAOYSA-N 0 1 255.303 0.377 20 30 CCEDMN CC(C)N1C[C@H](NC(=O)[C@H]2C[N@H+]3CCN2CCC3)CC1=O ZINC000328939677 389146433 /nfs/dbraw/zinc/14/64/33/389146433.db2.gz SBCCOYYMJXCIPI-CHWSQXEVSA-N 0 1 294.399 0.342 20 30 CCEDMN CNC(=O)[C@H]1CCCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000111265675 389103691 /nfs/dbraw/zinc/10/36/91/389103691.db2.gz PSMQZHVGRHMBLQ-XHDPSFHLSA-N 0 1 292.383 0.253 20 30 CCEDMN C=CC1CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)CC1 ZINC000111257492 389103893 /nfs/dbraw/zinc/10/38/93/389103893.db2.gz DNQLMRZIRLCDIM-NSHDSACASA-N 0 1 267.373 0.477 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](Cc1cnc[nH]1)NC(C)=O ZINC000339243668 389128904 /nfs/dbraw/zinc/12/89/04/389128904.db2.gz LPFHXZWCFMQXLC-CYBMUJFWSA-N 0 1 278.356 0.882 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)N[C@@H]1CCCOC1 ZINC000329662624 389184435 /nfs/dbraw/zinc/18/44/35/389184435.db2.gz PNTUWWXNJMUHIS-QWHCGFSZSA-N 0 1 299.415 0.856 20 30 CCEDMN O=C(NCCN1CCCOCC1)N[C@H]1CCCOC1 ZINC000329688726 389188287 /nfs/dbraw/zinc/18/82/87/389188287.db2.gz AYZBZEVCOGPBPO-LBPRGKRZSA-N 0 1 271.361 0.391 20 30 CCEDMN O=C(N[C@H]1CCc2nc[nH]c2C1)N1CCC(CO)CC1 ZINC000329725770 389192720 /nfs/dbraw/zinc/19/27/20/389192720.db2.gz GJBBRTZWUOENJU-NSHDSACASA-N 0 1 278.356 0.885 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000329727695 389193432 /nfs/dbraw/zinc/19/34/32/389193432.db2.gz FHUKKAFPGJOVGG-XQHKEYJVSA-N 0 1 278.356 0.888 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCCN1CCc2ccccc2C1 ZINC000358610029 389155765 /nfs/dbraw/zinc/15/57/65/389155765.db2.gz VPWVJHCGTPWNSU-OAHLLOKOSA-N 0 1 289.379 0.891 20 30 CCEDMN [O-]C(N[C@@H]1CCCOC1)=[NH+][C@H]1CCn2ccnc2C1 ZINC000329334884 389157316 /nfs/dbraw/zinc/15/73/16/389157316.db2.gz OGNWWNFPRSTWJO-WDEREUQCSA-N 0 1 264.329 0.881 20 30 CCEDMN [O-]C(N[C@H]1CCn2ccnc2C1)=[NH+][C@@H]1CCCOC1 ZINC000329334884 389157320 /nfs/dbraw/zinc/15/73/20/389157320.db2.gz OGNWWNFPRSTWJO-WDEREUQCSA-N 0 1 264.329 0.881 20 30 CCEDMN Cc1nnc2n1C[C@@H](CNC(=O)N[C@@H]1CCCOC1)CC2 ZINC000329350199 389158874 /nfs/dbraw/zinc/15/88/74/389158874.db2.gz WTEMEHSMDSSCCN-VXGBXAGGSA-N 0 1 293.371 0.832 20 30 CCEDMN Cc1nnc2n1C[C@@H](C[NH+]=C([O-])N[C@@H]1CCCOC1)CC2 ZINC000329350199 389158876 /nfs/dbraw/zinc/15/88/76/389158876.db2.gz WTEMEHSMDSSCCN-VXGBXAGGSA-N 0 1 293.371 0.832 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)Cn2cccn2)C1 ZINC000329359837 389159788 /nfs/dbraw/zinc/15/97/88/389159788.db2.gz HXNWLYLOXHSILU-LLVKDONJSA-N 0 1 251.334 0.085 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CCc1ccccn1 ZINC000124488608 389161740 /nfs/dbraw/zinc/16/17/40/389161740.db2.gz BOTNAVVQSLVLKJ-LBPRGKRZSA-N 0 1 290.367 0.956 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N[C@H]2CCCCNC2=O)C1 ZINC000329406190 389162124 /nfs/dbraw/zinc/16/21/24/389162124.db2.gz AUYZNUACGRSLJG-NEPJUHHUSA-N 0 1 297.403 0.245 20 30 CCEDMN Cc1nnc2n1C[C@H](C[NH+]=C([O-])N1CCC[C@@H]1CO)CC2 ZINC000329572475 389171608 /nfs/dbraw/zinc/17/16/08/389171608.db2.gz RAMRCDNFZDIWIQ-NWDGAFQWSA-N 0 1 293.371 0.520 20 30 CCEDMN CCN(CCC#N)C(=O)CN1[C@H](C)C[C@@H](O)C[C@@H]1C ZINC000248744850 389250205 /nfs/dbraw/zinc/25/02/05/389250205.db2.gz FFNFZUVYEZNVLC-CLLJXQQHSA-N 0 1 267.373 0.982 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N[C@@H]1CCCc2cn[nH]c21 ZINC000329938397 389212436 /nfs/dbraw/zinc/21/24/36/389212436.db2.gz AHOTZIXIVZCWBG-KLBPJQLPSA-N 0 1 262.313 0.936 20 30 CCEDMN CCN1CCC[C@@H]1CNS(=O)(=O)N(C)C[C@H](C)C#N ZINC000330382882 389223923 /nfs/dbraw/zinc/22/39/23/389223923.db2.gz XRBOQKATAGXZRQ-VXGBXAGGSA-N 0 1 288.417 0.397 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NC[C@H]1CN(C)CCN1C ZINC000136254555 389266160 /nfs/dbraw/zinc/26/61/60/389266160.db2.gz OCCGFTYYOBLBIM-WCQYABFASA-N 0 1 252.362 0.288 20 30 CCEDMN CC(=O)NC[C@@H]1CCCN(C(=O)NCc2cn[nH]c2C)C1 ZINC000330469985 389232957 /nfs/dbraw/zinc/23/29/57/389232957.db2.gz LZYKPSMEWVTIHN-LBPRGKRZSA-N 0 1 293.371 0.980 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@H](c2cnn(C)c2)C1 ZINC000246920388 389233549 /nfs/dbraw/zinc/23/35/49/389233549.db2.gz HUYDMSJBMJHUFU-VXGBXAGGSA-N 0 1 262.357 0.900 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000362410823 389235773 /nfs/dbraw/zinc/23/57/73/389235773.db2.gz PVLQQEKLYDULNU-FZMZJTMJSA-N 0 1 265.357 0.279 20 30 CCEDMN CCNC(=O)NC(=O)[C@@H](C)N1C[C@H](OC)C[C@H]1COC ZINC000330544930 389240327 /nfs/dbraw/zinc/24/03/27/389240327.db2.gz YFZWHJOIFKYUCV-OUAUKWLOSA-N 0 1 287.360 0.161 20 30 CCEDMN N#Cc1ccc([C@@H](Sc2c[nH]nn2)C(N)=O)cc1 ZINC000155963602 389323240 /nfs/dbraw/zinc/32/32/40/389323240.db2.gz JOIHCMUHXAYPSA-SNVBAGLBSA-N 0 1 259.294 0.995 20 30 CCEDMN CC#CCCN1CC[C@@H](S(=O)(=O)NC(C)C)C1 ZINC000156768488 389326938 /nfs/dbraw/zinc/32/69/38/389326938.db2.gz URYFYYGIPPJULC-GFCCVEGCSA-N 0 1 258.387 0.802 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cc(C#N)cn2C)C1 ZINC000330982682 389271863 /nfs/dbraw/zinc/27/18/63/389271863.db2.gz ORMNBSOEIDHWKB-LBPRGKRZSA-N 0 1 276.340 0.347 20 30 CCEDMN C[C@H](O)[C@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000346961890 389342329 /nfs/dbraw/zinc/34/23/29/389342329.db2.gz LKHVEXACUQJQCO-QWRGUYRKSA-N 0 1 251.330 0.252 20 30 CCEDMN COC(=O)CCN1CCN(CC2(C#N)CC2)[C@H](C)C1 ZINC000331403895 389304474 /nfs/dbraw/zinc/30/44/74/389304474.db2.gz OESOXJBZDPWSFB-GFCCVEGCSA-N 0 1 265.357 0.859 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)C[C@@H]1CCC[C@@H]1O ZINC000159558925 389369759 /nfs/dbraw/zinc/36/97/59/389369759.db2.gz KQROTQYTBQMMJQ-KBPBESRZSA-N 0 1 292.383 0.735 20 30 CCEDMN C[C@H]1CN(CC(=O)NC2(C#N)CCC2)[C@@H](C)CN1C ZINC000347014925 389344778 /nfs/dbraw/zinc/34/47/78/389344778.db2.gz UUUJRBMZJGAWBU-RYUDHWBXSA-N 0 1 264.373 0.573 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@@H]2CC[N@H+](C)C[C@@H]21 ZINC000334234300 389462061 /nfs/dbraw/zinc/46/20/61/389462061.db2.gz PSFCFWOFSLDTGF-RYUDHWBXSA-N 0 1 258.387 0.918 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@@H]2CCN(C)C[C@@H]21 ZINC000334234300 389462064 /nfs/dbraw/zinc/46/20/64/389462064.db2.gz PSFCFWOFSLDTGF-RYUDHWBXSA-N 0 1 258.387 0.918 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@](C)(C(=O)OC)C1 ZINC000412105378 389476086 /nfs/dbraw/zinc/47/60/86/389476086.db2.gz OYVYUBSSNBBHMQ-AWEZNQCLSA-N 0 1 297.355 0.273 20 30 CCEDMN C#CCCNC(=O)N1CCN(C2CCOCC2)CC1 ZINC000371826626 389439574 /nfs/dbraw/zinc/43/95/74/389439574.db2.gz KXRIVJJXAMXUKR-UHFFFAOYSA-N 0 1 265.357 0.516 20 30 CCEDMN C[C@H](O)CN1CCN(c2ncccc2C#N)[C@H](C)C1 ZINC000275382657 389510128 /nfs/dbraw/zinc/51/01/28/389510128.db2.gz PIHSMTIBADDCCU-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](O)C(C)(C)C1 ZINC000275429276 389510538 /nfs/dbraw/zinc/51/05/38/389510538.db2.gz IQSUKOLWTWOOIA-QWRGUYRKSA-N 0 1 283.372 0.480 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2cccc(C#N)n2)C1 ZINC000413506398 389525800 /nfs/dbraw/zinc/52/58/00/389525800.db2.gz VSICYLPZWBAZOK-LLVKDONJSA-N 0 1 274.324 0.494 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnc(C)[nH]2)C1=O ZINC000281567327 389619614 /nfs/dbraw/zinc/61/96/14/389619614.db2.gz AIZVEUMMJQDPAH-NSHDSACASA-N 0 1 262.313 0.577 20 30 CCEDMN C=CCn1cc(CNC(=O)c2[nH]nc(C)c2C)nn1 ZINC000424908002 389635206 /nfs/dbraw/zinc/63/52/06/389635206.db2.gz MPZKLVDDGWDXKD-UHFFFAOYSA-N 0 1 260.301 0.734 20 30 CCEDMN C[C@@H]1CN(CC(=O)N(C)C2(C#N)CCCCC2)C[C@H]1O ZINC000351957822 389725148 /nfs/dbraw/zinc/72/51/48/389725148.db2.gz IIUJGFOOIKYZIT-CHWSQXEVSA-N 0 1 279.384 0.984 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](N3CCCC3=O)C2)C1=O ZINC000282138389 389658302 /nfs/dbraw/zinc/65/83/02/389658302.db2.gz ZGVBPBZHDUKZPU-QWHCGFSZSA-N 0 1 277.368 0.470 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)N[C@@H]2CC[C@H](C#N)C2)[C@H](C)C1 ZINC000425860554 389658348 /nfs/dbraw/zinc/65/83/48/389658348.db2.gz KMPYXWFSQVLMOX-YIYPIFLZSA-N 0 1 294.399 0.775 20 30 CCEDMN CC[C@]1(O)CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000425933185 389661632 /nfs/dbraw/zinc/66/16/32/389661632.db2.gz YAMJSFJCKRRPLS-KBPBESRZSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C#N)cc2)[C@H](C)CN1 ZINC000415550469 389691459 /nfs/dbraw/zinc/69/14/59/389691459.db2.gz CJYMSADHBCDMKG-GHMZBOCLSA-N 0 1 286.335 0.706 20 30 CCEDMN C=CCC[C@H](O)CN1CC[C@H](CS(C)(=O)=O)C1 ZINC000429388675 389754149 /nfs/dbraw/zinc/75/41/49/389754149.db2.gz WCLJHEZKQJIFPU-RYUDHWBXSA-N 0 1 261.387 0.680 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCc2n[nH]nc2C1 ZINC000429319937 389750955 /nfs/dbraw/zinc/75/09/55/389750955.db2.gz SXNNVPIUPIPXSB-VIFPVBQESA-N 0 1 274.328 0.114 20 30 CCEDMN CCOC(=O)[C@H](Cc1ccccn1)NC(=O)C(C)C#N ZINC000430511096 389803209 /nfs/dbraw/zinc/80/32/09/389803209.db2.gz OBRDRAINBBHOJC-PWSUYJOCSA-N 0 1 275.308 0.832 20 30 CCEDMN CCN1CCN(CC(=O)N(CCC#N)CCC#N)[C@H](C)C1 ZINC000352660099 389833679 /nfs/dbraw/zinc/83/36/79/389833679.db2.gz QKJFDYNNJFHXRX-CQSZACIVSA-N 0 1 291.399 0.668 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@H](N3CCOCC3)C[C@@H]2C)C1=O ZINC000352890932 389839874 /nfs/dbraw/zinc/83/98/74/389839874.db2.gz ZXFRLCVPIXEWHU-ZNMIVQPWSA-N 0 1 293.411 0.568 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC2(CC#N)CC2)[C@@H](C)CN1 ZINC000418822867 389884660 /nfs/dbraw/zinc/88/46/60/389884660.db2.gz HXTOWGKWPIGBEZ-MNOVXSKESA-N 0 1 278.356 0.005 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000419036229 389886686 /nfs/dbraw/zinc/88/66/86/389886686.db2.gz BDIDJTSOAYDLAS-TXEJJXNPSA-N 0 1 265.357 0.422 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000419134160 389887565 /nfs/dbraw/zinc/88/75/65/389887565.db2.gz HWEBLOIAPVCTLG-QWRGUYRKSA-N 0 1 253.346 0.278 20 30 CCEDMN CCN(CCC#N)C(=O)CN(CCO)[C@@H]1CCCSC1 ZINC000433209057 389906270 /nfs/dbraw/zinc/90/62/70/389906270.db2.gz QBBUDYGPLFBWCP-CYBMUJFWSA-N 0 1 299.440 0.938 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC000435441453 389957534 /nfs/dbraw/zinc/95/75/34/389957534.db2.gz FTTCDWUORIVOPH-PWSUYJOCSA-N 0 1 258.387 0.965 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](O)C(C)(C)C1 ZINC000447875229 389967269 /nfs/dbraw/zinc/96/72/69/389967269.db2.gz PBSLKAVKKQHAPB-GHMZBOCLSA-N 0 1 265.357 0.640 20 30 CCEDMN CC#CCCNC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000436431326 389976415 /nfs/dbraw/zinc/97/64/15/389976415.db2.gz PESMURZJUUSGPZ-CYBMUJFWSA-N 0 1 281.400 0.886 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000469368226 390077084 /nfs/dbraw/zinc/07/70/84/390077084.db2.gz WMVJJZLTLYKFFU-RBSFLKMASA-N 0 1 295.427 0.720 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)c2cnccc2C#N)n[nH]1 ZINC000488445795 390093880 /nfs/dbraw/zinc/09/38/80/390093880.db2.gz SFQYEDKWZLOJAS-ZETCQYMHSA-N 0 1 256.269 0.871 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCCNC(=O)OC ZINC000490871189 390108342 /nfs/dbraw/zinc/10/83/42/390108342.db2.gz AROBAKKMDZPQHN-GFCCVEGCSA-N 0 1 282.340 0.763 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CC(=O)N[C@H](CC)C1 ZINC000490949725 390108909 /nfs/dbraw/zinc/10/89/09/390108909.db2.gz LXYANTNMHOQLIK-BFHYXJOUSA-N 0 1 292.379 0.932 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H](C)n1cnc(C#N)n1 ZINC000490996887 390109276 /nfs/dbraw/zinc/10/92/76/390109276.db2.gz QBJCHDVYHRMDSM-NWDGAFQWSA-N 0 1 287.323 0.352 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)NCCCCC)CC1 ZINC000491711186 390116206 /nfs/dbraw/zinc/11/62/06/390116206.db2.gz ZWPYANQIRGXVBY-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN N#Cc1ccc(C=CC(=O)NC2(c3nn[nH]n3)CC2)cc1 ZINC000493362267 390124131 /nfs/dbraw/zinc/12/41/31/390124131.db2.gz BJWUNVBQFQOMRK-AATRIKPKSA-N 0 1 280.291 0.890 20 30 CCEDMN CC[C@@H]1CN(Cc2cnc3c(C#N)cnn3c2)C[C@@H]1O ZINC000579110474 390142432 /nfs/dbraw/zinc/14/24/32/390142432.db2.gz VBQMGDSGZIQCSJ-YPMHNXCESA-N 0 1 271.324 0.804 20 30 CCEDMN CSC[C@@H](O)CN1CCN(c2cccc(C#N)n2)CC1 ZINC000494661204 390190637 /nfs/dbraw/zinc/19/06/37/390190637.db2.gz KGZLNPWQBJAGDJ-ZDUSSCGKSA-N 0 1 292.408 0.799 20 30 CCEDMN C=CCn1cc(C(=O)N(C)[C@@H]2CCCN(C)C2)nn1 ZINC000648301185 390354781 /nfs/dbraw/zinc/35/47/81/390354781.db2.gz XOFXSOIKAGGSPP-LLVKDONJSA-N 0 1 263.345 0.630 20 30 CCEDMN C[C@@H](C(=O)N1CCN2CCC1CC2)n1cnc(C#N)n1 ZINC000546696428 390357962 /nfs/dbraw/zinc/35/79/62/390357962.db2.gz QTDZVCOMJJEKAG-JTQLQIEISA-N 0 1 274.328 0.017 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000645375543 390417509 /nfs/dbraw/zinc/41/75/09/390417509.db2.gz NJTAQIVDYZHKAS-VXGBXAGGSA-N 0 1 276.340 0.861 20 30 CCEDMN C=CCC(C)(C)CNC(=O)NCC(=O)N1CCNCC1 ZINC000562819466 390490255 /nfs/dbraw/zinc/49/02/55/390490255.db2.gz VGNSYTXTYJWQFL-UHFFFAOYSA-N 0 1 282.388 0.320 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@H](C)COC)CC1 ZINC000527355892 390702942 /nfs/dbraw/zinc/70/29/42/390702942.db2.gz GMEGMUMTUBNWEL-CYBMUJFWSA-N 0 1 269.389 0.189 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1(c2nnc[nH]2)CCC1 ZINC000570405065 390718642 /nfs/dbraw/zinc/71/86/42/390718642.db2.gz UAURLZCLIBWFND-NSHDSACASA-N 0 1 250.302 0.627 20 30 CCEDMN N#Cc1ccc(CC(=O)NCCN2CC=CCC2)cn1 ZINC000529224725 390799336 /nfs/dbraw/zinc/79/93/36/390799336.db2.gz XXBCXLSIXUPGMW-UHFFFAOYSA-N 0 1 270.336 0.874 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCOC3(CCOCC3)C2)CC1 ZINC000528408207 390741448 /nfs/dbraw/zinc/74/14/48/390741448.db2.gz SBOWWJGXEIGEJK-ZDUSSCGKSA-N 0 1 280.368 0.923 20 30 CCEDMN C=CCN1C(=O)N=NC1SCC(=O)NC1CCOCC1 ZINC000532107146 390879220 /nfs/dbraw/zinc/87/92/20/390879220.db2.gz JRMYDZDIMBSOKJ-UHFFFAOYSA-N 0 1 298.368 0.557 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N(CCC)[C@H]1CCN(C)C1 ZINC000647567800 390841895 /nfs/dbraw/zinc/84/18/95/390841895.db2.gz AZJNTTYYDQATMQ-LBPRGKRZSA-N 0 1 267.373 0.574 20 30 CCEDMN N#Cc1ccc(NCCN2CCCOCC2)nc1N ZINC000576718272 335239990 /nfs/dbraw/zinc/23/99/90/335239990.db2.gz YXSXTXAABVCFDY-UHFFFAOYSA-N 0 1 261.329 0.092 20 30 CCEDMN C[C@@H]1CN(c2nccnc2C#N)CCN1C(=O)c1cnc[nH]1 ZINC000268377153 132000502 /nfs/dbraw/zinc/00/05/02/132000502.db2.gz NGXFZXPUIBJJCF-SNVBAGLBSA-N 0 1 297.322 0.422 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)CC1(O)CCOCC1 ZINC000189750905 397425367 /nfs/dbraw/zinc/42/53/67/397425367.db2.gz OBCFVQCRSBJDMM-UHFFFAOYSA-N 0 1 283.372 0.222 20 30 CCEDMN CN1CCC[C@H](NC(=O)C2(C#N)CCOCC2)C1 ZINC000044766105 411632245 /nfs/dbraw/zinc/63/22/45/411632245.db2.gz ZDDRBNDDYRYSSX-NSHDSACASA-N 0 1 251.330 0.517 20 30 CCEDMN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1cc(C#N)ccn1 ZINC000284686425 196112233 /nfs/dbraw/zinc/11/22/33/196112233.db2.gz GOTTZLLZINVJMT-MRVPVSSYSA-N 0 1 282.325 0.172 20 30 CCEDMN C=CCCn1cc(CS(=O)(=O)c2ncc[nH]2)nn1 ZINC000653487294 412305449 /nfs/dbraw/zinc/30/54/49/412305449.db2.gz PZMNWZBWWNDGPO-UHFFFAOYSA-N 0 1 267.314 0.551 20 30 CCEDMN CN(CCC(=O)Nc1ccccc1C#N)CC(N)=O ZINC000037268568 173080136 /nfs/dbraw/zinc/08/01/36/173080136.db2.gz JRGZAUWWZLFSRD-UHFFFAOYSA-N 0 1 260.297 0.304 20 30 CCEDMN C=CC[C@H]1CCCN(CC(=O)N[C@@H](C)C(=O)N(C)C)C1 ZINC000661378681 414607255 /nfs/dbraw/zinc/60/72/55/414607255.db2.gz KFQZNNPXDNLIBC-STQMWFEESA-N 0 1 281.400 0.867 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1ccc(OC)c(OC)c1 ZINC000662167574 414695087 /nfs/dbraw/zinc/69/50/87/414695087.db2.gz KOHGYOYSFLMRHR-GFCCVEGCSA-N 0 1 294.351 0.457 20 30 CCEDMN C=CCN(C(=O)NC[C@@H]1CN(C)CCN1C)[C@H](C)COC ZINC000664083186 415236148 /nfs/dbraw/zinc/23/61/48/415236148.db2.gz OQALDJMKHYHLDV-ZIAGYGMSSA-N 0 1 298.431 0.465 20 30 CCEDMN Cc1noc(CCNC(=O)[C@@H](C)CN2CCOCC2)n1 ZINC000329039925 227268824 /nfs/dbraw/zinc/26/88/24/227268824.db2.gz HWTYNEMPPSVJDS-JTQLQIEISA-N 0 1 282.344 0.845 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@@H]1CCO[C@@H](C)C1 ZINC000329110353 227303396 /nfs/dbraw/zinc/30/33/96/227303396.db2.gz QGQKLLDIHDULTG-HZSPNIEDSA-N 0 1 298.431 0.693 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)CN1CCCCC1=O ZINC000329167934 227337516 /nfs/dbraw/zinc/33/75/16/227337516.db2.gz IUIXIRMOLZDHCT-CYBMUJFWSA-N 0 1 296.415 0.591 20 30 CCEDMN CCn1ncnc1CNC(=O)NCCN1CCCOCC1 ZINC000330006342 228014011 /nfs/dbraw/zinc/01/40/11/228014011.db2.gz CRZFSEAQNFCKRS-UHFFFAOYSA-N 0 1 296.375 0.024 20 30 CCEDMN CN(C(=O)N[C@H]1CCc2ncnn2C1)[C@@H]1CCCC[C@H]1O ZINC000330285042 228092994 /nfs/dbraw/zinc/09/29/94/228092994.db2.gz YHNMCIUHJAULDT-QJPTWQEYSA-N 0 1 293.371 0.742 20 30 CCEDMN N#C[C@@H]1CN(C(=O)NCCCCN2CCOCC2)CCO1 ZINC000332619782 228164115 /nfs/dbraw/zinc/16/41/15/228164115.db2.gz RXUMRSMQNYRIEI-CYBMUJFWSA-N 0 1 296.371 0.033 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NC[C@]1(C)CCOC1 ZINC000329566883 545023561 /nfs/dbraw/zinc/02/35/61/545023561.db2.gz SVTAVEMKICXFCD-HIFRSBDPSA-N 0 1 298.431 0.553 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cccc2c[nH]nc21 ZINC000408150960 260294796 /nfs/dbraw/zinc/29/47/96/260294796.db2.gz NYZJZBNOMLSOMY-JTQLQIEISA-N 0 1 256.265 0.927 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)C(C)(C)C#N ZINC000106818387 261373288 /nfs/dbraw/zinc/37/32/88/261373288.db2.gz IRMZKDYMHXVJHQ-LLVKDONJSA-N 0 1 252.362 0.288 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCOC2(CCOCC2)CC1 ZINC000364259831 262191805 /nfs/dbraw/zinc/19/18/05/262191805.db2.gz VCIAOYXJBNTGKQ-CYBMUJFWSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@]2(C1)c1ccccc1NC2=O ZINC000364436773 262239491 /nfs/dbraw/zinc/23/94/91/262239491.db2.gz ZCEVOIWKBKLCFF-YVEFUNNKSA-N 0 1 297.358 0.720 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)CC1(CO)CC1 ZINC000186802182 262324343 /nfs/dbraw/zinc/32/43/43/262324343.db2.gz LMVHRKPJTDGJJI-UHFFFAOYSA-N 0 1 253.346 0.453 20 30 CCEDMN CCN1CCN([C@@H]2CCN(C(=O)CSCC#N)C2)CC1 ZINC000365213469 262351833 /nfs/dbraw/zinc/35/18/33/262351833.db2.gz ROQVQLXSWBXDFY-CYBMUJFWSA-N 0 1 296.440 0.482 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(OCCOC)CC2)C1=O ZINC000337198037 262432802 /nfs/dbraw/zinc/43/28/02/262432802.db2.gz XXAVBYKDMMBICL-CQSZACIVSA-N 0 1 282.384 0.901 20 30 CCEDMN N#CCSCC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000112197919 262487969 /nfs/dbraw/zinc/48/79/69/262487969.db2.gz LICDJMYZWRNZGW-CYBMUJFWSA-N 0 1 297.424 0.814 20 30 CCEDMN CC(C)c1[nH]nc(C(=O)N2CCO[C@H](C#N)C2)c1[N+](=O)[O-] ZINC000269482791 262970224 /nfs/dbraw/zinc/97/02/24/262970224.db2.gz WKSUHDPVYRNRSE-MRVPVSSYSA-N 0 1 293.283 0.806 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000340183249 263083734 /nfs/dbraw/zinc/08/37/34/263083734.db2.gz YMFXVXVUMNNJFY-LBPRGKRZSA-N 0 1 253.390 0.951 20 30 CCEDMN C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N[C@@H](C)C#N ZINC000340433942 263144775 /nfs/dbraw/zinc/14/47/75/263144775.db2.gz JQRLAHLCFPUFCH-DTWKUNHWSA-N 0 1 285.307 0.709 20 30 CCEDMN CC(=O)N(C)C1CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000119868061 263280093 /nfs/dbraw/zinc/28/00/93/263280093.db2.gz DRHCAYVLLOKSKT-GFCCVEGCSA-N 0 1 294.399 0.690 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N(CC)C[C@H](C)C#N)C[C@@H]1O ZINC000341058499 263319757 /nfs/dbraw/zinc/31/97/57/263319757.db2.gz VQUBKOOOWZJRML-UPJWGTAASA-N 0 1 267.373 0.697 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NCCCCN1CCOCC1 ZINC000341857940 263356104 /nfs/dbraw/zinc/35/61/04/263356104.db2.gz FRPLKEITRNNQKC-CQSZACIVSA-N 0 1 299.415 0.583 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N[C@H]1CCCN(C)[C@@H]1C ZINC000342005640 263376481 /nfs/dbraw/zinc/37/64/81/263376481.db2.gz OJFIVKLHXHVRNV-OLZOCXBDSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@@H](C)CN1CCOCC1 ZINC000342189178 263397104 /nfs/dbraw/zinc/39/71/04/263397104.db2.gz YYDQVXCSMDJQJA-STQMWFEESA-N 0 1 270.373 0.256 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NCCN1CCCC(C)(C)C1 ZINC000416475504 263722493 /nfs/dbraw/zinc/72/24/93/263722493.db2.gz JTWZSQJZHQFPKY-LLVKDONJSA-N 0 1 273.402 0.940 20 30 CCEDMN COCc1nc([C@H]2CCCCN2CC(=O)NCC#N)n[nH]1 ZINC000330826496 264048662 /nfs/dbraw/zinc/04/86/62/264048662.db2.gz FRUHDLNBGXBWQK-SNVBAGLBSA-N 0 1 292.343 0.118 20 30 CCEDMN NC(=O)[C@H]1CN(C(=O)NCCn2cccn2)CCO1 ZINC000330849419 264067137 /nfs/dbraw/zinc/06/71/37/264067137.db2.gz ZOGSNRVSZVKZDA-SECBINFHSA-N 0 1 267.289 0.033 20 30 CCEDMN C=C[C@H](C)NC([O-])=[NH+][C@H]1CCc2nc(COC)nn2C1 ZINC000330949426 264085406 /nfs/dbraw/zinc/08/54/06/264085406.db2.gz NPNSAFXCXYNLID-UWVGGRQHSA-N 0 1 279.344 0.817 20 30 CCEDMN C=C[C@H](C)NC(=O)N[C@H]1CCc2nc(COC)nn2C1 ZINC000330949426 264085408 /nfs/dbraw/zinc/08/54/08/264085408.db2.gz NPNSAFXCXYNLID-UWVGGRQHSA-N 0 1 279.344 0.817 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000330948841 264085616 /nfs/dbraw/zinc/08/56/16/264085616.db2.gz JAZWLCYAUSSONO-OCCSQVGLSA-N 0 1 269.389 0.066 20 30 CCEDMN COCCN(C)C([O-])=[NH+]C[C@H]1CCc2nccn2C1 ZINC000330955396 264087377 /nfs/dbraw/zinc/08/73/77/264087377.db2.gz HDCWLCFUODHPFA-LLVKDONJSA-N 0 1 266.345 0.938 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CC[C@@H](CCO)C1 ZINC000330959499 264088090 /nfs/dbraw/zinc/08/80/90/264088090.db2.gz ABJXVPHFBPTIQE-JTQLQIEISA-N 0 1 252.318 0.836 20 30 CCEDMN CC(C)(CCC#N)CN1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000330919939 264093765 /nfs/dbraw/zinc/09/37/65/264093765.db2.gz ZBNRDMFEZIVWBU-SNVBAGLBSA-N 0 1 264.329 0.552 20 30 CCEDMN C[S@](=O)CCC[NH+]=C([O-])N[C@H]1CCc2n[nH]cc2C1 ZINC000331146001 264137549 /nfs/dbraw/zinc/13/75/49/264137549.db2.gz ZDFNREXATZZWDY-OVWNDWIMSA-N 0 1 284.385 0.539 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC[C@H](C2N=NC(=O)O2)C1 ZINC000331244852 264178591 /nfs/dbraw/zinc/17/85/91/264178591.db2.gz QXJRRVSONMLFRS-TVQRCGJNSA-N 0 1 281.312 0.808 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000331244852 264178593 /nfs/dbraw/zinc/17/85/93/264178593.db2.gz QXJRRVSONMLFRS-TVQRCGJNSA-N 0 1 281.312 0.808 20 30 CCEDMN C=CCNC(=O)CN1CCN([C@H](C)c2n[nH]c(C)n2)CC1 ZINC000331326875 264190670 /nfs/dbraw/zinc/19/06/70/264190670.db2.gz GOAUCVCRXHGOBI-LLVKDONJSA-N 0 1 292.387 0.094 20 30 CCEDMN O=C(NC[C@H]1CCCCN1CCO)c1cnc[nH]1 ZINC000331328231 264191124 /nfs/dbraw/zinc/19/11/24/264191124.db2.gz NLPRREZDNFCZRX-SNVBAGLBSA-N 0 1 252.318 0.561 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H](OC)C2CC2)CC1 ZINC000425385568 264221010 /nfs/dbraw/zinc/22/10/10/264221010.db2.gz NRZFOSDMRHURBZ-AWEZNQCLSA-N 0 1 281.400 0.331 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@H]2CCCCN2CC)nn1 ZINC000424909558 264375015 /nfs/dbraw/zinc/37/50/15/264375015.db2.gz UQAPWABRBQNMLY-CYBMUJFWSA-N 0 1 277.372 0.955 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)Cc2cnc[nH]2)C1=O ZINC000518998392 265289301 /nfs/dbraw/zinc/28/93/01/265289301.db2.gz IWPPCTMHWSPRFF-DGCLKSJQSA-N 0 1 290.367 0.834 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000356814284 266179438 /nfs/dbraw/zinc/17/94/38/266179438.db2.gz ALLPHUKWDPZWGK-UHFFFAOYSA-N 0 1 293.235 0.439 20 30 CCEDMN C=CCCCN1CCN2C(=O)N(CC)C(=O)[C@@H]2C1 ZINC000369436756 267243375 /nfs/dbraw/zinc/24/33/75/267243375.db2.gz JIFLHKRBHXULIW-NSHDSACASA-N 0 1 251.330 0.921 20 30 CCEDMN Cc1cc(NCCN2CCC(O)CC2)c(C#N)cn1 ZINC000399013768 267300013 /nfs/dbraw/zinc/30/00/13/267300013.db2.gz ZYIWBSDZMNQOQO-UHFFFAOYSA-N 0 1 260.341 0.552 20 30 CCEDMN CN(Cc1cccc(C#N)c1)C(=O)NC[C@@H]1COCCN1 ZINC000529374457 267318404 /nfs/dbraw/zinc/31/84/04/267318404.db2.gz MBHHDZZNOGLFDN-CQSZACIVSA-N 0 1 288.351 0.688 20 30 CCEDMN N#Cc1ncc(NC(=O)NC[C@@H]2COCCN2)cc1Cl ZINC000528691360 268322977 /nfs/dbraw/zinc/32/29/77/268322977.db2.gz FVMCVXRACZIMLW-SECBINFHSA-N 0 1 295.730 0.717 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2C[C@H](C)NC[C@H]2C)CC1 ZINC000335050166 275741992 /nfs/dbraw/zinc/74/19/92/275741992.db2.gz KHXJYXGSGPXMCX-NWDGAFQWSA-N 0 1 279.384 0.620 20 30 CCEDMN CN1CCO[C@@H]2CN(c3cccc(C#N)c3[N+](=O)[O-])C[C@@H]21 ZINC000413471385 277124931 /nfs/dbraw/zinc/12/49/31/277124931.db2.gz PMZRAVGWGCLCJK-QWHCGFSZSA-N 0 1 288.307 0.986 20 30 CCEDMN C[C@@H]1[C@H](C)N(CC(=O)NC2(C#N)CCC2)CCN1C ZINC000347009665 279103808 /nfs/dbraw/zinc/10/38/08/279103808.db2.gz GFGLCWRDCQDOTE-NEPJUHHUSA-N 0 1 264.373 0.573 20 30 CCEDMN Cc1nc2n(n1)CCN(C(=O)N[C@@H]1CCCOCC1)C2 ZINC000329203657 279137471 /nfs/dbraw/zinc/13/74/71/279137471.db2.gz CUVALAWCPZXDAL-LLVKDONJSA-N 0 1 279.344 0.885 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](C(N)=O)CC[C@@H]1C ZINC000111220741 279224399 /nfs/dbraw/zinc/22/43/99/279224399.db2.gz LWHJTQNKXYPEBF-FRRDWIJNSA-N 0 1 294.399 0.580 20 30 CCEDMN CC[C@H](C(=O)NC1(C#N)CCN(C)CC1)C(=O)OC ZINC000580333979 327923848 /nfs/dbraw/zinc/92/38/48/327923848.db2.gz BCUIQRACFIASBV-SNVBAGLBSA-N 0 1 267.329 0.290 20 30 CCEDMN CC(C)[C@]1(CO)CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000377647490 329022598 /nfs/dbraw/zinc/02/25/98/329022598.db2.gz IVPOGIOOZCBQRY-CQSZACIVSA-N 0 1 279.384 0.889 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCC[C@@]1(C)CO)C1CC1 ZINC000546103264 328186341 /nfs/dbraw/zinc/18/63/41/328186341.db2.gz KRSRTOFOAJJVIB-KBPBESRZSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)N2CCC(CCC#N)CC2)CCN1 ZINC000583564951 328616002 /nfs/dbraw/zinc/61/60/02/328616002.db2.gz LFQRZRPOQGAEDF-LBPRGKRZSA-N 0 1 292.383 0.349 20 30 CCEDMN CNS(=O)(=O)NC1CCN([C@H]2CC[C@@H](C#N)C2)CC1 ZINC000407991961 326916345 /nfs/dbraw/zinc/91/63/45/326916345.db2.gz AJKSTSFYYKTSHB-PWSUYJOCSA-N 0 1 286.401 0.197 20 30 CCEDMN N#CCNC(=O)CN1CCCN2c3ccccc3C[C@@H]2C1 ZINC000558467183 327096844 /nfs/dbraw/zinc/09/68/44/327096844.db2.gz VAZDQPPCEKNOLA-CQSZACIVSA-N 0 1 284.363 0.763 20 30 CCEDMN CN(C)c1ccc(C(=O)NC2(C#N)CCN(C)CC2)nc1 ZINC000583672765 328845201 /nfs/dbraw/zinc/84/52/01/328845201.db2.gz NCOYKLXDYBUNCN-UHFFFAOYSA-N 0 1 287.367 0.865 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(CC(=O)N[C@H](C)CC)CC1 ZINC000122300625 329000969 /nfs/dbraw/zinc/00/09/69/329000969.db2.gz GRCKPFBUWHNFDG-CABCVRRESA-N 0 1 297.443 0.846 20 30 CCEDMN C[N@@H+](CCCc1[nH]nc(N)c1C#N)Cc1ncccc1O ZINC000582673713 329123375 /nfs/dbraw/zinc/12/33/75/329123375.db2.gz AKFAZRKAEUWVDD-UHFFFAOYSA-N 0 1 286.339 0.859 20 30 CCEDMN C[N@@H+](CCCc1[nH]nc(N)c1C#N)Cc1ncccc1[O-] ZINC000582673713 329123379 /nfs/dbraw/zinc/12/33/79/329123379.db2.gz AKFAZRKAEUWVDD-UHFFFAOYSA-N 0 1 286.339 0.859 20 30 CCEDMN COC(=O)[C@]1(C)CCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000177468372 329184569 /nfs/dbraw/zinc/18/45/69/329184569.db2.gz HRQGGKVSAQLIIU-SMDDNHRTSA-N 0 1 281.356 0.632 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000185874713 329334070 /nfs/dbraw/zinc/33/40/70/329334070.db2.gz KYHSFVHIKQYWIY-GFCCVEGCSA-N 0 1 283.291 0.836 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCN1CCCOCC1 ZINC000189439779 329382443 /nfs/dbraw/zinc/38/24/43/329382443.db2.gz IHJNEJBYTYAVEC-ZDUSSCGKSA-N 0 1 270.373 0.806 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1C(=O)C(=O)NCC1(CC#N)CC1 ZINC000418822869 329583563 /nfs/dbraw/zinc/58/35/63/329583563.db2.gz HXTOWGKWPIGBEZ-WDEREUQCSA-N 0 1 278.356 0.005 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1 ZINC000418894461 329681068 /nfs/dbraw/zinc/68/10/68/329681068.db2.gz ZGDQQGLUHPDGFA-GHMZBOCLSA-N 0 1 253.346 0.278 20 30 CCEDMN CNC(=O)[C@@H](C)CN(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000081527040 330212414 /nfs/dbraw/zinc/21/24/14/330212414.db2.gz HHEPJKBCRJMZHP-HZMBPMFUSA-N 0 1 280.372 0.109 20 30 CCEDMN CC(C)C[C@@H](CN(C)C)NS(=O)(=O)[C@H](C)C#N ZINC000088477130 330376602 /nfs/dbraw/zinc/37/66/02/330376602.db2.gz JVJGRJLJIVSPLL-MNOVXSKESA-N 0 1 261.391 0.794 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H]2CCN3CCO[C@H]2C3)C1=O ZINC000451135111 331016984 /nfs/dbraw/zinc/01/69/84/331016984.db2.gz BAXBSIQVJOEOCL-MJBXVCDLSA-N 0 1 279.384 0.178 20 30 CCEDMN CO[C@H]1C[C@@H](CN(C)C(=O)[C@@]2(C#N)C[C@H]2C)N(C)C1 ZINC000451607342 331036521 /nfs/dbraw/zinc/03/65/21/331036521.db2.gz KNOWKBOBWXCECP-OWTLIXCDSA-N 0 1 265.357 0.714 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)c1cnc2[n-]nnc2c1 ZINC000490713456 332107289 /nfs/dbraw/zinc/10/72/89/332107289.db2.gz KCCQSJFGTFQQTB-NSHDSACASA-N 0 1 285.307 0.607 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H]2COC(=O)O2)CC1 ZINC000490853467 332121137 /nfs/dbraw/zinc/12/11/37/332121137.db2.gz RMEQZACIMNMUDX-LLVKDONJSA-N 0 1 267.281 0.410 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)Cn1ccc([N+](=O)[O-])n1 ZINC000490892743 332125926 /nfs/dbraw/zinc/12/59/26/332125926.db2.gz OEOZTXMQOBMBCJ-NSHDSACASA-N 0 1 292.295 0.432 20 30 CCEDMN C#CCNC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000491038405 332141292 /nfs/dbraw/zinc/14/12/92/332141292.db2.gz JLWQCEWFBFEPBG-LLVKDONJSA-N 0 1 253.346 0.106 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2ncnn2CCF)CC1 ZINC000491142912 332155535 /nfs/dbraw/zinc/15/55/35/332155535.db2.gz DOOQASYXWGBSQZ-UHFFFAOYSA-N 0 1 294.330 0.636 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H](C)C(=O)NCCCC)CC1 ZINC000491154374 332157684 /nfs/dbraw/zinc/15/76/84/332157684.db2.gz JCDWAFGKSLMVKM-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCCO[C@H](C(C)C)C1 ZINC000491167568 332160505 /nfs/dbraw/zinc/16/05/05/332160505.db2.gz YVAANRJNSJVVFJ-OLZOCXBDSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)C[C@@]1(O)CCc2ccccc21 ZINC000491386603 332202446 /nfs/dbraw/zinc/20/24/46/332202446.db2.gz VTMGBBJTGMGTLS-DYVFJYSZSA-N 0 1 286.375 0.890 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](c1ccccc1F)[C@H](C)O ZINC000491452176 332213970 /nfs/dbraw/zinc/21/39/70/332213970.db2.gz JLLGPJKZSGFMBI-XHDPSFHLSA-N 0 1 278.327 0.977 20 30 CCEDMN C#CC[NH+]1CCC(C(=O)N(C)C[C@H](C)c2nn[n-]n2)CC1 ZINC000491505271 332224797 /nfs/dbraw/zinc/22/47/97/332224797.db2.gz LENSZNOSHRWXDB-NSHDSACASA-N 0 1 290.371 0.107 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CC[C@@H](OC)C(C)(C)C2)C1=O ZINC000491618158 332244692 /nfs/dbraw/zinc/24/46/92/332244692.db2.gz CBDYLUCWSFTRJY-VXGBXAGGSA-N 0 1 278.352 0.494 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@H](c2cnn(C)c2)C(C)(C)C1 ZINC000491667987 332275997 /nfs/dbraw/zinc/27/59/97/332275997.db2.gz SFJBIXHCPBUYPG-GXTWGEPZSA-N 0 1 288.395 0.983 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1ccc(C)cc1C ZINC000491694284 332290317 /nfs/dbraw/zinc/29/03/17/332290317.db2.gz VRZTUMVMBSUFHZ-KGLIPLIRSA-N 0 1 263.337 0.627 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCCC[C@@H]2C=C)CC1 ZINC000491740135 332319405 /nfs/dbraw/zinc/31/94/05/332319405.db2.gz QPOOXBFGHJZPET-HNNXBMFYSA-N 0 1 275.396 0.804 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000491742912 332321347 /nfs/dbraw/zinc/32/13/47/332321347.db2.gz HHGCGPNKJSZMRO-CYBMUJFWSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC(C)(OC)CC2)C1=O ZINC000491805559 332359206 /nfs/dbraw/zinc/35/92/06/332359206.db2.gz OJEHSQCKPFUCCA-LLVKDONJSA-N 0 1 264.325 0.248 20 30 CCEDMN C=CCNC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000175507075 333025969 /nfs/dbraw/zinc/02/59/69/333025969.db2.gz XQSAXSGJLAUFQP-LBPRGKRZSA-N 0 1 253.346 0.536 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(OCCCOC)C2)CC1 ZINC000176911023 333050403 /nfs/dbraw/zinc/05/04/03/333050403.db2.gz OUKABYBHKRLNGA-UHFFFAOYSA-N 0 1 294.395 0.596 20 30 CCEDMN OCC#CCNC[C@H](O)COc1ccccc1F ZINC000516867495 333084692 /nfs/dbraw/zinc/08/46/92/333084692.db2.gz ZMROOGJWKTVVPD-NSHDSACASA-N 0 1 253.273 0.151 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@@H](C)[C@@H]1CO ZINC000227178998 333277706 /nfs/dbraw/zinc/27/77/06/333277706.db2.gz FKCJDOSQUDMYFF-DYEKYZERSA-N 0 1 267.373 0.744 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000252885501 334398659 /nfs/dbraw/zinc/39/86/59/334398659.db2.gz XZQGYJDMFIOKIY-XPCVCDNBSA-N 0 1 279.384 0.744 20 30 CCEDMN C[C@H]1CCN(CCNS(=O)(=O)c2ccc(C#N)o2)C1 ZINC000553826923 334399871 /nfs/dbraw/zinc/39/98/71/334399871.db2.gz YFXOUCGLFNQEFW-JTQLQIEISA-N 0 1 283.353 0.771 20 30 CCEDMN CCN(CC)C(=O)CN1CCC(NC(=O)CC#N)CC1 ZINC000568646556 334834572 /nfs/dbraw/zinc/83/45/72/334834572.db2.gz IQZUBVIUFJPMSG-UHFFFAOYSA-N 0 1 280.372 0.349 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@H](C)[C@H]2C)CC1 ZINC000166163889 334907455 /nfs/dbraw/zinc/90/74/55/334907455.db2.gz VGTDIUDEWKUZKS-CHWSQXEVSA-N 0 1 264.369 0.967 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CNC1(CC(=O)OC)CC1 ZINC000576350482 335193230 /nfs/dbraw/zinc/19/32/30/335193230.db2.gz QBPZQODPJJCUSH-LLVKDONJSA-N 0 1 281.356 0.680 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCN[C@@H](C)C1 ZINC000577215586 335324270 /nfs/dbraw/zinc/32/42/70/335324270.db2.gz ALMZZNFECOTENM-SRVKXCTJSA-N 0 1 253.346 0.581 20 30 CCEDMN C=CCn1cc(CNC(=O)N2CCNC[C@H]2CCC)nn1 ZINC000578879703 335684337 /nfs/dbraw/zinc/68/43/37/335684337.db2.gz BCVZJJJWRQTYTN-CYBMUJFWSA-N 0 1 292.387 0.748 20 30 CCEDMN N#CC1(CNC(=O)N2CCN(C3CCOCC3)CC2)CC1 ZINC000579187742 335719513 /nfs/dbraw/zinc/71/95/13/335719513.db2.gz FQGIPNPVXNICFG-UHFFFAOYSA-N 0 1 292.383 0.796 20 30 CCEDMN CO[C@@H]1COC[C@H]1NCC(=O)NCc1ccc(C#N)cc1 ZINC000581427922 336075721 /nfs/dbraw/zinc/07/57/21/336075721.db2.gz TXKAWMSEFKVSHA-ZIAGYGMSSA-N 0 1 289.335 0.178 20 30 CCEDMN C[C@@H]1[C@H](CO)CCN1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000385965445 336163473 /nfs/dbraw/zinc/16/34/73/336163473.db2.gz ZKGYLWHFFYAANB-UHIISALHSA-N 0 1 265.357 0.498 20 30 CCEDMN CCN1CC[C@H]2OCCN(C(=O)[C@]3(C#N)C[C@@H]3C)[C@H]2C1 ZINC000581985036 336184715 /nfs/dbraw/zinc/18/47/15/336184715.db2.gz UBTUEDISHRZVDO-XPCVCDNBSA-N 0 1 277.368 0.858 20 30 CCEDMN Cc1cc(=NC(=O)N[C@@H](C)c2nnc[nH]2)[nH]n1CCC#N ZINC000352827144 534027876 /nfs/dbraw/zinc/02/78/76/534027876.db2.gz MDMFCJBOWZPKLN-VIFPVBQESA-N 0 1 288.315 0.528 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2ccc(C#N)o2)C1 ZINC000340046321 523874584 /nfs/dbraw/zinc/87/45/84/523874584.db2.gz QKMZLRCTDXSJET-JTQLQIEISA-N 0 1 263.297 0.602 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)Cc2ccc(C#N)cc2)C1 ZINC000068808180 523887517 /nfs/dbraw/zinc/88/75/17/523887517.db2.gz ZUVYGVIZKQTCSR-OAHLLOKOSA-N 0 1 286.379 0.463 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N1CCC[C@@H](O)C1 ZINC000330267838 534763828 /nfs/dbraw/zinc/76/38/28/534763828.db2.gz IIEDTBYXHLLVSY-GHMZBOCLSA-N 0 1 264.329 0.569 20 30 CCEDMN C[C@H]1C[C@@H](O)CN1CC(=O)NCc1ccc(C#N)cc1 ZINC000340887803 524819694 /nfs/dbraw/zinc/81/96/94/524819694.db2.gz DRRNNJOZUAQDQC-SMDDNHRTSA-N 0 1 273.336 0.630 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000352092362 526445953 /nfs/dbraw/zinc/44/59/53/526445953.db2.gz RCUIBCFRUUSMBK-UHFFFAOYSA-N 0 1 281.400 0.429 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC3(CNC(=O)N3C)CC2)C1=O ZINC000333795763 526476193 /nfs/dbraw/zinc/47/61/93/526476193.db2.gz XEYVNWCKMIZOQY-GFCCVEGCSA-N 0 1 292.383 0.263 20 30 CCEDMN C=CCOCCCNC(=O)NCc1n[nH]c(COC)n1 ZINC000666113892 545112904 /nfs/dbraw/zinc/11/29/04/545112904.db2.gz ZLDGSPVUUBSSFP-UHFFFAOYSA-N 0 1 283.332 0.343 20 30 CCEDMN C=CCOCCCNC(=O)NCc1nnc(COC)[nH]1 ZINC000666113892 545112905 /nfs/dbraw/zinc/11/29/05/545112905.db2.gz ZLDGSPVUUBSSFP-UHFFFAOYSA-N 0 1 283.332 0.343 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(CC)C[C@H]1C ZINC000352667270 526592291 /nfs/dbraw/zinc/59/22/91/526592291.db2.gz JPSKELVQHOYPGV-LLVKDONJSA-N 0 1 268.361 0.024 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCSC[C@@H](C(=O)OC)C1 ZINC000331914500 526626629 /nfs/dbraw/zinc/62/66/29/526626629.db2.gz GFXRZBMBFIEBLQ-MNOVXSKESA-N 0 1 286.397 0.515 20 30 CCEDMN C=CCOCC(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC000354566031 526721371 /nfs/dbraw/zinc/72/13/71/526721371.db2.gz VVLRVYZADIBQMD-UHFFFAOYSA-N 0 1 290.367 0.975 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000666515109 545124483 /nfs/dbraw/zinc/12/44/83/545124483.db2.gz REWVWNMHPXFGCW-HNNXBMFYSA-N 0 1 282.384 0.494 20 30 CCEDMN CC(=O)[C@](C)(O)CN1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000451059989 527153506 /nfs/dbraw/zinc/15/35/06/527153506.db2.gz VSOMRIRSCDONQM-UKRRQHHQSA-N 0 1 289.335 0.746 20 30 CCEDMN C=CCn1c(=O)[nH]nc1SC[C@H]1COCCO1 ZINC000329256237 527332107 /nfs/dbraw/zinc/33/21/07/527332107.db2.gz XMDGMNPYOZBFNP-MRVPVSSYSA-N 0 1 257.315 0.677 20 30 CCEDMN C=CCN1C(=O)N=NC1S[C@@H](C)C(=O)NCC(C)=O ZINC000344721099 527333319 /nfs/dbraw/zinc/33/33/19/527333319.db2.gz CFPDPWHJQUTBNF-QMMMGPOBSA-N 0 1 284.341 0.356 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)CC1(O)CCC1 ZINC000353475490 527925190 /nfs/dbraw/zinc/92/51/90/527925190.db2.gz XTNVWJIHADRAKH-ZDUSSCGKSA-N 0 1 267.373 0.888 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)C[C@@H](C)C(=O)OC ZINC000114063431 528617791 /nfs/dbraw/zinc/61/77/91/528617791.db2.gz IIODURLNLHCHHZ-NWDGAFQWSA-N 0 1 283.372 0.735 20 30 CCEDMN C=CCOCCNC(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000673010911 545277985 /nfs/dbraw/zinc/27/79/85/545277985.db2.gz HKGCGMRYCNTIKG-MRVPVSSYSA-N 0 1 253.306 0.676 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCN(C(C)(C)CO)CC1 ZINC000673894633 545294545 /nfs/dbraw/zinc/29/45/45/545294545.db2.gz FCXBKDWQWNXJBW-UHFFFAOYSA-N 0 1 297.443 0.800 20 30 CCEDMN CC[C@H]1CN(CC)CCN1C(=O)[C@@H](C)n1cnc(C#N)n1 ZINC000456394000 529289527 /nfs/dbraw/zinc/28/95/27/529289527.db2.gz YGXYBHYNPSSGRH-NEPJUHHUSA-N 0 1 290.371 0.653 20 30 CCEDMN COCC#CCN1CCC(C(=O)NCCCOC)CC1 ZINC000677133238 545358108 /nfs/dbraw/zinc/35/81/08/545358108.db2.gz NZZYSIMFMRBDAW-UHFFFAOYSA-N 0 1 282.384 0.501 20 30 CCEDMN COCc1nc2n(n1)C[C@H](NCc1ccc(C#N)o1)CC2 ZINC000344869833 536824837 /nfs/dbraw/zinc/82/48/37/536824837.db2.gz DEQWSAWWGDKDJS-SNVBAGLBSA-N 0 1 287.323 0.994 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000739404857 581132115 /nfs/dbraw/zinc/13/21/15/581132115.db2.gz SAVGPSNILGYQSH-MNOVXSKESA-N 0 1 282.344 0.336 20 30 CCEDMN NS(=O)(=O)c1ccc(NN=Cc2ccc(F)nc2)nc1 ZINC000777431615 581115246 /nfs/dbraw/zinc/11/52/46/581115246.db2.gz WDNWXVQTTPRDMF-UHFFFAOYSA-N 0 1 295.299 0.709 20 30 CCEDMN C[C@H]1OC(=O)N[C@@H]1C(=O)Nc1cc(C#N)ccc1O ZINC000788662814 581120792 /nfs/dbraw/zinc/12/07/92/581120792.db2.gz ZRNDNLZGOAPAPK-LDWIPMOCSA-N 0 1 261.237 0.699 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000739404857 581132113 /nfs/dbraw/zinc/13/21/13/581132113.db2.gz SAVGPSNILGYQSH-MNOVXSKESA-N 0 1 282.344 0.336 20 30 CCEDMN Cn1cc([C@@H](CO)NC[C@H](O)c2ccc(C#N)cc2)cn1 ZINC000778138613 581143367 /nfs/dbraw/zinc/14/33/67/581143367.db2.gz RLCYDOYZRPJBAZ-CABCVRRESA-N 0 1 286.335 0.648 20 30 CCEDMN C#CCNC(=O)CN[C@@H](CO)c1sccc1C ZINC000778159507 581144005 /nfs/dbraw/zinc/14/40/05/581144005.db2.gz XHWNRAOQUXXZQB-JTQLQIEISA-N 0 1 252.339 0.429 20 30 CCEDMN C/C=C(/CC)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000789760383 581155830 /nfs/dbraw/zinc/15/58/30/581155830.db2.gz RTEHEFKMBBXZGO-KXTULRPLSA-N 0 1 277.324 0.985 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)c1ccc2cncn2c1 ZINC000790935430 581202829 /nfs/dbraw/zinc/20/28/29/581202829.db2.gz MDDURDGZUPCFFK-UHFFFAOYSA-N 0 1 293.348 0.665 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cc(-c3cccnc3)[nH]n2)N1 ZINC000779799260 581206466 /nfs/dbraw/zinc/20/64/66/581206466.db2.gz JOEJUPFEJPEOQC-VIFPVBQESA-N 0 1 269.312 0.743 20 30 CCEDMN C=C(CO)C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000791327925 581215017 /nfs/dbraw/zinc/21/50/17/581215017.db2.gz RSOLSNUXPLPXAI-UHFFFAOYSA-N 0 1 268.357 0.106 20 30 CCEDMN C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)OCC#N ZINC000728367136 581360425 /nfs/dbraw/zinc/36/04/25/581360425.db2.gz XRQCUIAQJIBPNB-QMMMGPOBSA-N 0 1 272.264 0.748 20 30 CCEDMN C[C@@H]1COC(=O)C1N=Nc1ccc(-n2cccn2)nn1 ZINC000794894027 581390217 /nfs/dbraw/zinc/39/02/17/581390217.db2.gz AUDIEFCOXIWMQU-MRVPVSSYSA-N 0 1 272.268 0.623 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)c1cccc2[nH]ncc21 ZINC000785587736 581413233 /nfs/dbraw/zinc/41/32/33/581413233.db2.gz PKDWMCXNBRAENA-SECBINFHSA-N 0 1 271.276 0.858 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@H]1CCCOCC1 ZINC000786306046 581436433 /nfs/dbraw/zinc/43/64/33/581436433.db2.gz JMMHDCADYCTRFL-ONGXEEELSA-N 0 1 250.298 0.791 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@@H]1CCC[C@H](C(N)=O)C1 ZINC000786929576 581453421 /nfs/dbraw/zinc/45/34/21/581453421.db2.gz LGTZMTVSFQWUOQ-OUAUKWLOSA-N 0 1 279.340 0.512 20 30 CCEDMN N#CCCNC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000787359101 581469019 /nfs/dbraw/zinc/46/90/19/581469019.db2.gz XBNNWNUDPDIWGY-NEPJUHHUSA-N 0 1 266.345 0.141 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](O)CC(F)(F)C2)CC1 ZINC000787832623 581479969 /nfs/dbraw/zinc/47/99/69/581479969.db2.gz XCLBOOMXROLNLA-LBPRGKRZSA-N 0 1 286.322 0.560 20 30 CCEDMN C[NH+]1CCN(N=Cc2[nH]nc3cc(C(=O)[O-])ccc23)CC1 ZINC000765543218 581525276 /nfs/dbraw/zinc/52/52/76/581525276.db2.gz OMJFAYVFTJEPTF-UHFFFAOYSA-N 0 1 287.323 0.842 20 30 CCEDMN COC[C@H](C)NC(=S)NN=C1CCN2CCC[C@H]12 ZINC000765558227 581525287 /nfs/dbraw/zinc/52/52/87/581525287.db2.gz ADOHLCXLRRFRHK-GXSJLCMTSA-N 0 1 270.402 0.710 20 30 CCEDMN C#CCOCCNC(=O)c1c[nH]c2ccccc2c1=O ZINC000753239852 581532797 /nfs/dbraw/zinc/53/27/97/581532797.db2.gz NFSHMGHGSJDRTH-UHFFFAOYSA-N 0 1 270.288 0.908 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](CNC(=O)[O-])C1 ZINC000739404250 581547743 /nfs/dbraw/zinc/54/77/43/581547743.db2.gz PUJKOMBYIPGIKW-NEPJUHHUSA-N 0 1 296.371 0.584 20 30 CCEDMN C#Cc1ccc(C(=O)NC(C)(C)c2c[nH]nn2)cn1 ZINC000734330003 581555260 /nfs/dbraw/zinc/55/52/60/581555260.db2.gz ZKSWLZJGYGSGRF-UHFFFAOYSA-N 0 1 255.281 0.846 20 30 CCEDMN CCS(=O)(=O)Nc1ccc(C(C)=NNC(=N)N)cc1 ZINC000729633208 581607953 /nfs/dbraw/zinc/60/79/53/581607953.db2.gz LSQJRUPXKQEWLS-UHFFFAOYSA-N 0 1 283.357 0.655 20 30 CCEDMN C=CC[C@H](C)N[C@H](C(N)=O)c1ccc(-c2nn[nH]n2)cc1 ZINC000735410162 581641489 /nfs/dbraw/zinc/64/14/89/581641489.db2.gz WCLGGVORVNSVAU-CABZTGNLSA-N 0 1 286.339 0.947 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(CC2CC2)CC1 ZINC000730097733 581645335 /nfs/dbraw/zinc/64/53/35/581645335.db2.gz IJNVOCJHQCYKNN-HNNXBMFYSA-N 0 1 275.396 0.638 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)NCC(C)C)CC1 ZINC000735937779 581673255 /nfs/dbraw/zinc/67/32/55/581673255.db2.gz OKCVQAOQWQBRNG-UHFFFAOYSA-N 0 1 280.368 0.647 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)NCC=C)CC1 ZINC000735939292 581673480 /nfs/dbraw/zinc/67/34/80/581673480.db2.gz CELGOIXKMLOROB-UHFFFAOYSA-N 0 1 264.325 0.177 20 30 CCEDMN C[C@@H]1CN(C2CC2)CC1N=Nc1ccc(C(N)=O)nn1 ZINC000756839558 581680294 /nfs/dbraw/zinc/68/02/94/581680294.db2.gz UZMPPKOPTAQDHX-MRVPVSSYSA-N 0 1 274.328 0.458 20 30 CCEDMN C[C@H](C#N)Oc1ccc(CN2C[C@H](O)C[C@H](O)C2)cc1 ZINC000769565447 581696095 /nfs/dbraw/zinc/69/60/95/581696095.db2.gz WVPKASXMVGVBEG-BNOWGMLFSA-N 0 1 276.336 0.905 20 30 CCEDMN C[C@H]1CC(=NNc2nc(=O)c3cnn(C)c3[n-]2)CC[N@@H+]1C ZINC000769819134 581709094 /nfs/dbraw/zinc/70/90/94/581709094.db2.gz ZNHBICUPCKRWLG-QMMMGPOBSA-N 0 1 289.343 0.951 20 30 CCEDMN C[C@H]1CC(=NNc2nc(=O)c3cnn(C)c3[n-]2)CC[N@H+]1C ZINC000769819134 581709096 /nfs/dbraw/zinc/70/90/96/581709096.db2.gz ZNHBICUPCKRWLG-QMMMGPOBSA-N 0 1 289.343 0.951 20 30 CCEDMN C#CCNC(=S)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000758187657 581725749 /nfs/dbraw/zinc/72/57/49/581725749.db2.gz YJYIIZJAZNVQOE-VXGBXAGGSA-N 0 1 269.414 0.583 20 30 CCEDMN C#CCNC(=O)[C@H](C)N[C@@H](CCO)c1cccs1 ZINC000754386621 581742114 /nfs/dbraw/zinc/74/21/14/581742114.db2.gz LAQYSHXEAZJMJW-QWRGUYRKSA-N 0 1 266.366 0.899 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000736350504 581748503 /nfs/dbraw/zinc/74/85/03/581748503.db2.gz YJNRZAVONGKBLV-RISCZKNCSA-N 0 1 281.356 0.837 20 30 CCEDMN C[C@@H](C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C1CC1)C(=O)[O-] ZINC000736578208 581790780 /nfs/dbraw/zinc/79/07/80/581790780.db2.gz JQYJYFCYPFZKCL-ZUZCIYMTSA-N 0 1 293.367 0.980 20 30 CCEDMN Cc1ncsc1C=NNc1ccc(S(N)(=O)=O)cn1 ZINC000743436540 581811597 /nfs/dbraw/zinc/81/15/97/581811597.db2.gz YZRHEQXZBVBDIL-UHFFFAOYSA-N 0 1 297.365 0.940 20 30 CCEDMN C=CCN1C(=O)N=NC1SCCN1CCOC1=O ZINC000759716744 581817319 /nfs/dbraw/zinc/81/73/19/581817319.db2.gz DSNRVERMJMRHBP-UHFFFAOYSA-N 0 1 270.314 0.714 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C2SCCS2)CC1 ZINC000761158524 581893085 /nfs/dbraw/zinc/89/30/85/581893085.db2.gz XXCUCSJFTPVNRO-UHFFFAOYSA-N 0 1 271.411 0.897 20 30 CCEDMN C#CCOc1ccccc1CNC(=O)c1ncn[nH]1 ZINC000746181627 581898200 /nfs/dbraw/zinc/89/82/00/581898200.db2.gz HOIDKXXFDQYKEK-UHFFFAOYSA-N 0 1 256.265 0.747 20 30 CCEDMN C#CCOc1ccccc1CNC(=O)c1nc[nH]n1 ZINC000746181627 581898201 /nfs/dbraw/zinc/89/82/01/581898201.db2.gz HOIDKXXFDQYKEK-UHFFFAOYSA-N 0 1 256.265 0.747 20 30 CCEDMN C[C@@H](O)c1ncc(C=NNC2=NC[C@@H](C)N2)s1 ZINC000773080667 581908545 /nfs/dbraw/zinc/90/85/45/581908545.db2.gz XBUONZZBCQIXEW-RNFRBKRXSA-N 0 1 253.331 0.468 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000773163265 581911666 /nfs/dbraw/zinc/91/16/66/581911666.db2.gz UOCIVBQGMICMQY-NXEZZACHSA-N 0 1 297.384 0.797 20 30 CCEDMN C#CCNCC(=O)NC1CCN(C(=O)c2ccccc2)CC1 ZINC000746514259 581918001 /nfs/dbraw/zinc/91/80/01/581918001.db2.gz WXJAHRRKMKNVQB-UHFFFAOYSA-N 0 1 299.374 0.630 20 30 CCEDMN C[C@@H]1COCC[C@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC000774053897 581955647 /nfs/dbraw/zinc/95/56/47/581955647.db2.gz PXIQCCPNAXGVBH-FBIMIBRVSA-N 0 1 250.298 0.646 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CCN2C(=O)CCC2=O)c1 ZINC000748002137 581959321 /nfs/dbraw/zinc/95/93/21/581959321.db2.gz GNAAFUTUTBHFEB-UHFFFAOYSA-N 0 1 287.275 0.741 20 30 CCEDMN Cc1[nH]nc(C(=O)N2CCOC[C@H]2C#N)c1Br ZINC000748342697 581969424 /nfs/dbraw/zinc/96/94/24/581969424.db2.gz PSRKOSAWWPVGOT-SSDOTTSWSA-N 0 1 299.128 0.845 20 30 CCEDMN C#CCNC(=O)CN[C@H](CC(=O)N(C)C)c1ccccc1 ZINC000775217229 582014475 /nfs/dbraw/zinc/01/44/75/582014475.db2.gz LWMUSZJLWRGMTG-CQSZACIVSA-N 0 1 287.363 0.545 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)COC(=O)CCc1nc[nH]n1 ZINC000764002928 582025401 /nfs/dbraw/zinc/02/54/01/582025401.db2.gz OKJILDRFQXJUJP-ZDUSSCGKSA-N 0 1 293.327 0.335 20 30 CCEDMN COCC#CCONC(=N)[C@H]1COc2ccccc2O1 ZINC000775684122 582033789 /nfs/dbraw/zinc/03/37/89/582033789.db2.gz WMRLUHIJKMYSJR-CYBMUJFWSA-N 0 1 276.292 0.975 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NC(=O)NC(C)(C)C)[C@H]1CCCO1 ZINC000775798151 582039473 /nfs/dbraw/zinc/03/94/73/582039473.db2.gz IISDHZPWBSAVLP-SDDRHHMPSA-N 0 1 295.383 0.770 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)CCCNC(=O)[O-] ZINC000738459922 582053112 /nfs/dbraw/zinc/05/31/12/582053112.db2.gz HUJQOHUMGXIEMD-NSHDSACASA-N 0 1 268.313 0.675 20 30 CCEDMN CS(=O)(=O)NN=Cc1cc(F)c(O)c(F)c1 ZINC000764708846 582063760 /nfs/dbraw/zinc/06/37/60/582063760.db2.gz UOVJQLLRSXWYID-UHFFFAOYSA-N 0 1 250.226 0.554 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@@]12CCOC2 ZINC000764759185 582067444 /nfs/dbraw/zinc/06/74/44/582067444.db2.gz INMSGGCKYCDASF-UHIISALHSA-N 0 1 280.324 0.275 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)[N@H+]2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000739105399 582102796 /nfs/dbraw/zinc/10/27/96/582102796.db2.gz GTCMSZPNSPVXHO-VXGBXAGGSA-N 0 1 273.292 0.491 20 30 CCEDMN C#CCOCCN1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000851746813 612982755 /nfs/dbraw/zinc/98/27/55/612982755.db2.gz AKKVTXGSGZWNMK-CQSZACIVSA-N 0 1 280.368 0.350 20 30 CCEDMN C[N@H+](CCC(=O)[O-])CC(=O)Nc1sccc1C#N ZINC000037933000 596997292 /nfs/dbraw/zinc/99/72/92/596997292.db2.gz UTHUONVYJWSEQJ-UHFFFAOYSA-N 0 1 267.310 0.965 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])CC(=O)Nc1sccc1C#N ZINC000037933000 596997298 /nfs/dbraw/zinc/99/72/98/596997298.db2.gz UTHUONVYJWSEQJ-UHFFFAOYSA-N 0 1 267.310 0.965 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)C[N@H+]2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000819100617 597530889 /nfs/dbraw/zinc/53/08/89/597530889.db2.gz SWWDWUPGNGEUPK-CHWSQXEVSA-N 0 1 290.319 0.704 20 30 CCEDMN Cc1cccc(NCCNC(=O)[C@](C)(C#N)CC(=O)[O-])[nH+]1 ZINC000821116784 597649061 /nfs/dbraw/zinc/64/90/61/597649061.db2.gz QIOGLDWWOUCATL-AWEZNQCLSA-N 0 1 290.323 0.923 20 30 CCEDMN C[C@@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820246401 597655855 /nfs/dbraw/zinc/65/58/55/597655855.db2.gz WZGZMKVOWNYFPD-PUYPPJJSSA-N 0 1 279.340 0.590 20 30 CCEDMN C[C@@H]1C[N@H+](C2CC2)C[C@H]1NC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820246399 597655871 /nfs/dbraw/zinc/65/58/71/597655871.db2.gz WZGZMKVOWNYFPD-GLXFQSAKSA-N 0 1 279.340 0.590 20 30 CCEDMN C#CCCN(CCOC)C(=O)NC[C@@H]1CCN1C ZINC000925434464 612987565 /nfs/dbraw/zinc/98/75/65/612987565.db2.gz LBEDDFGZJXTHDP-LBPRGKRZSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCCN(CCOC)CCc1nnn(C(C)(C)C)n1 ZINC000852750008 612989020 /nfs/dbraw/zinc/98/90/20/612989020.db2.gz KVGJNFZFLPCGKD-UHFFFAOYSA-N 0 1 279.388 0.942 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC[N@@H+]1CC=C(C)CC1 ZINC000828498819 613001172 /nfs/dbraw/zinc/00/11/72/613001172.db2.gz FGNMJUBWBBQEHO-CYBMUJFWSA-N 0 1 250.342 0.793 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCN1CC=C(C)CC1 ZINC000828498819 613001174 /nfs/dbraw/zinc/00/11/74/613001174.db2.gz FGNMJUBWBBQEHO-CYBMUJFWSA-N 0 1 250.342 0.793 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC[N@H+]1CCC(C)(C)C1 ZINC000890069413 613001287 /nfs/dbraw/zinc/00/12/87/613001287.db2.gz WUFMPACKPZLUCF-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1[C@@H]2CCCC[C@@H]2C[C@H]1C(=O)[O-] ZINC000250940785 599826752 /nfs/dbraw/zinc/82/67/52/599826752.db2.gz ZHOZIXBLOBKURZ-MXWKQRLJSA-N 0 1 265.313 0.344 20 30 CCEDMN C#CC1(F)CN(C(=O)NCc2n[nH]c(C(C)C)n2)C1 ZINC000883720411 612947628 /nfs/dbraw/zinc/94/76/28/612947628.db2.gz MYPVQWNBLCOCEF-UHFFFAOYSA-N 0 1 265.292 0.795 20 30 CCEDMN C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C[C@@H]1C(=O)[O-] ZINC000828433072 601506539 /nfs/dbraw/zinc/50/65/39/601506539.db2.gz QZIFQSOERLODMC-RYUDHWBXSA-N 0 1 293.367 0.982 20 30 CCEDMN C#CCSCCNC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000806845597 613016177 /nfs/dbraw/zinc/01/61/77/613016177.db2.gz OXWUJKLJCATYRI-UHFFFAOYSA-N 0 1 299.440 0.451 20 30 CCEDMN C[C@@]1(C(=O)[O-])CC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000828020581 601913883 /nfs/dbraw/zinc/91/38/83/601913883.db2.gz TVLWNBLFWSINCW-IUODEOHRSA-N 0 1 287.319 0.881 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCCCCN1CCOCC1 ZINC000858152402 613034758 /nfs/dbraw/zinc/03/47/58/613034758.db2.gz PLKGFPNZSOFVCT-AWEZNQCLSA-N 0 1 297.399 0.436 20 30 CCEDMN C[C@@H]1CCN(CCO)C[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000965886796 649938992 /nfs/dbraw/zinc/93/89/92/649938992.db2.gz OCGZLNPTLWBTOO-ZWNOBZJWSA-N 0 1 276.340 0.319 20 30 CCEDMN C[C@H](C[N@@H+](CCC(=O)N(C)CCC#N)C1CC1)C(=O)[O-] ZINC000833516203 604842035 /nfs/dbraw/zinc/84/20/35/604842035.db2.gz HUXPIKLPKPDXOZ-LLVKDONJSA-N 0 1 281.356 0.934 20 30 CCEDMN C=C(C)C[N@H+](C)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000382496903 605389486 /nfs/dbraw/zinc/38/94/86/605389486.db2.gz KYRBUAWIOOKXBK-UHFFFAOYSA-N 0 1 254.330 0.818 20 30 CCEDMN C#CCCOC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000859208454 613049027 /nfs/dbraw/zinc/04/90/27/613049027.db2.gz NMVFSUAJWRYRFJ-UHFFFAOYSA-N 0 1 263.301 0.082 20 30 CCEDMN C#CCCOC(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000917066720 613050213 /nfs/dbraw/zinc/05/02/13/613050213.db2.gz PMLQMHJKFMQYIB-GFCCVEGCSA-N 0 1 251.330 0.126 20 30 CCEDMN C#CCN(C(=O)NC[C@H]1CN(C)CCN1C)C(C)C ZINC000917961165 613067135 /nfs/dbraw/zinc/06/71/35/613067135.db2.gz SAHJUDFXUMOWEL-ZDUSSCGKSA-N 0 1 266.389 0.285 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](O)c1cc(OC)cc(OC)c1 ZINC000912368004 612956733 /nfs/dbraw/zinc/95/67/33/612956733.db2.gz IGXGTLMXYKEWPR-CQSZACIVSA-N 0 1 292.335 0.076 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2(CF)CCOCC2)C1 ZINC000972335687 613079817 /nfs/dbraw/zinc/07/98/17/613079817.db2.gz RWYPJXZWFYUMJV-CYBMUJFWSA-N 0 1 282.359 0.919 20 30 CCEDMN C#CC1(O)CN(C(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC000890527978 612958037 /nfs/dbraw/zinc/95/80/37/612958037.db2.gz SVSTUHHHPBVDAZ-SKDRFNHKSA-N 0 1 259.309 0.282 20 30 CCEDMN COc1cc(N)c(Cl)cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834485549 617198345 /nfs/dbraw/zinc/19/83/45/617198345.db2.gz YKPXQQNGVIHGQH-CPCISQLKSA-N 0 1 294.742 0.772 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)[C@@H]2CCCCN2C)C1 ZINC000950631209 613110234 /nfs/dbraw/zinc/11/02/34/613110234.db2.gz GKYAUKYHBPRYHN-AWEZNQCLSA-N 0 1 263.385 0.637 20 30 CCEDMN COc1cc(NC(=O)NCC#CCN(C)C)[nH]n1 ZINC000932000159 617202853 /nfs/dbraw/zinc/20/28/53/617202853.db2.gz ALVQNVBJEJVGNO-UHFFFAOYSA-N 0 1 251.290 0.105 20 30 CCEDMN C#CCC1(NC(=O)NCc2n[nH]c(=O)n2C)CCC1 ZINC000923878248 612969487 /nfs/dbraw/zinc/96/94/87/612969487.db2.gz JOINAWWVJXBKDF-UHFFFAOYSA-N 0 1 263.301 0.266 20 30 CCEDMN C#CCC1(O)CCN([C@@H](C)C(=O)NCCCOC)CC1 ZINC000880478383 612974187 /nfs/dbraw/zinc/97/41/87/612974187.db2.gz CMIRVOHRWJNEIF-ZDUSSCGKSA-N 0 1 282.384 0.378 20 30 CCEDMN CSCC1(CC(=O)N[C@@H]2CNC[C@@H]2C#N)CC1 ZINC000846676647 617231360 /nfs/dbraw/zinc/23/13/60/617231360.db2.gz GICJFYLTMHVFTN-VHSXEESVSA-N 0 1 253.371 0.747 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829273676 617286938 /nfs/dbraw/zinc/28/69/38/617286938.db2.gz WVZGWWPMULAKKS-LBPRGKRZSA-N 0 1 265.357 0.717 20 30 CCEDMN COc1ccc2c(c1)C=C(C(=O)N[C@@H]1CNC[C@@H]1C#N)CO2 ZINC000834500379 617283757 /nfs/dbraw/zinc/28/37/57/617283757.db2.gz SLYBELVFFPZLKF-GXTWGEPZSA-N 0 1 299.330 0.699 20 30 CCEDMN COc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1O ZINC000834506709 617292878 /nfs/dbraw/zinc/29/28/78/617292878.db2.gz VJWGSRRVCKAJKG-PSASIEDQSA-N 0 1 261.281 0.242 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1C[C@@H](O)CC(C)(C)C1 ZINC000879618222 617300532 /nfs/dbraw/zinc/30/05/32/617300532.db2.gz WOPDZKVVZIYRHO-RYUDHWBXSA-N 0 1 267.373 0.840 20 30 CCEDMN C#CC(=O)N1CC[C@H](c2[nH]ncc2C(=O)OCC)C1 ZINC000912493608 612945491 /nfs/dbraw/zinc/94/54/91/612945491.db2.gz LLEHILVQZCGLEA-VIFPVBQESA-N 0 1 261.281 0.536 20 30 CCEDMN C#CC1(F)CN(C(=O)NCC(C)(C)N2CCN(C)CC2)C1 ZINC000883097937 612946913 /nfs/dbraw/zinc/94/69/13/612946913.db2.gz XTGSOLDSCUYMTH-UHFFFAOYSA-N 0 1 296.390 0.379 20 30 CCEDMN C#CC1(O)CN(C(=O)NC[C@H]2CCN2Cc2ccccc2)C1 ZINC000895897476 612955621 /nfs/dbraw/zinc/95/56/21/612955621.db2.gz QTTBDXQWWJLTBI-OAHLLOKOSA-N 0 1 299.374 0.650 20 30 CCEDMN C#CCC1(NC(=O)C2CN([C@@H]3CCOC3)C2)CCC1 ZINC000886802720 612968601 /nfs/dbraw/zinc/96/86/01/612968601.db2.gz WLBGQQMUZIMYQN-CYBMUJFWSA-N 0 1 262.353 0.769 20 30 CCEDMN C#CCC1(NC(=O)NCCCc2nc[nH]n2)CCOCC1 ZINC000923127626 612969043 /nfs/dbraw/zinc/96/90/43/612969043.db2.gz PWQUBILBVIPBAI-UHFFFAOYSA-N 0 1 291.355 0.609 20 30 CCEDMN C#CCC1(NC(=O)[C@H]2CCCN2C)CCOCC1 ZINC000925416720 612970254 /nfs/dbraw/zinc/97/02/54/612970254.db2.gz LSSQHHOQTBOBLH-GFCCVEGCSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCCCCNC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000830038231 612978327 /nfs/dbraw/zinc/97/83/27/612978327.db2.gz DHDJIWVUTZQQIN-UHFFFAOYSA-N 0 1 296.348 0.573 20 30 CCEDMN C#CCCCNC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000859668893 612982509 /nfs/dbraw/zinc/98/25/09/612982509.db2.gz MTPJDWQQKVXTCI-UHFFFAOYSA-N 0 1 281.337 0.596 20 30 CCEDMN C#CCOCCNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872471047 612989719 /nfs/dbraw/zinc/98/97/19/612989719.db2.gz MKXVLAXWZPOOBT-QGZVFWFLSA-N 0 1 296.414 0.367 20 30 CCEDMN C#CCOCCOC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000859923536 612991009 /nfs/dbraw/zinc/99/10/09/612991009.db2.gz SLYLKXVUERGQKF-UHFFFAOYSA-N 0 1 298.320 0.260 20 30 CCEDMN C#CCO[N-]C(=O)[C@@]1(O)CC[N@@H+](Cc2ccccc2)C1 ZINC000855603866 612992595 /nfs/dbraw/zinc/99/25/95/612992595.db2.gz UDVDMRHHXXXIRK-OAHLLOKOSA-N 0 1 274.320 0.304 20 30 CCEDMN C#CCONC(=O)c1ccc(CN2CCN(C)CC2)cc1 ZINC000812782289 612993525 /nfs/dbraw/zinc/99/35/25/612993525.db2.gz VPIXVQZLJWAFRC-UHFFFAOYSA-N 0 1 287.363 0.729 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000831321078 612994596 /nfs/dbraw/zinc/99/45/96/612994596.db2.gz JLCHVYQWQCBKOX-GJZGRUSLSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN([C@H](C)CC)CC1 ZINC000837003905 612999984 /nfs/dbraw/zinc/99/99/84/612999984.db2.gz BZYYFWLWUPXTDN-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cccc3ncnn32)CC1 ZINC000981562659 613006133 /nfs/dbraw/zinc/00/61/33/613006133.db2.gz ZIRNQJOGYOOPGJ-UHFFFAOYSA-N 0 1 297.362 0.901 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnn(CC)n2)CC1 ZINC000981108954 613006842 /nfs/dbraw/zinc/00/68/42/613006842.db2.gz XTJSAXDZGOMPJH-UHFFFAOYSA-N 0 1 275.356 0.469 20 30 CCEDMN C#CCCN1CCN(C[C@@H](O)C[C@](C)(O)C2CC2)CC1 ZINC000878314684 613011639 /nfs/dbraw/zinc/01/16/39/613011639.db2.gz ZSQLUFRODDPJEF-HOTGVXAUSA-N 0 1 280.412 0.539 20 30 CCEDMN C#CCCN1CCN([C@@H](CC=C)C(=O)OC)CC1 ZINC000878312035 613012000 /nfs/dbraw/zinc/01/20/00/613012000.db2.gz FEBRJQFAYUZGDH-ZDUSSCGKSA-N 0 1 250.342 0.745 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000921523475 613026856 /nfs/dbraw/zinc/02/68/56/613026856.db2.gz YFCOLKPKQRQHSH-QWRGUYRKSA-N 0 1 262.313 0.471 20 30 CCEDMN C#CCCNS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914110225 613048127 /nfs/dbraw/zinc/04/81/27/613048127.db2.gz CXLQHLCZWLVXSZ-LBPRGKRZSA-N 0 1 256.371 0.415 20 30 CCEDMN C#CCCOC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000859122193 613049170 /nfs/dbraw/zinc/04/91/70/613049170.db2.gz DJSBUEGOYPAQQD-GFCCVEGCSA-N 0 1 268.357 0.923 20 30 CCEDMN C#CCCS(=O)(=O)NCCN1CCCC[C@@H]1C ZINC000808281479 613054075 /nfs/dbraw/zinc/05/40/75/613054075.db2.gz HMGCFPSXOJXXAL-LBPRGKRZSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000799073491 613062830 /nfs/dbraw/zinc/06/28/30/613062830.db2.gz KJJXVIWXGXBGFL-WDEREUQCSA-N 0 1 261.325 0.720 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCCCc1nc[nH]n1 ZINC000875926131 613063231 /nfs/dbraw/zinc/06/32/31/613063231.db2.gz BKOFKIPCQBWJES-SNVBAGLBSA-N 0 1 265.317 0.075 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NC[C@H]1CCC[N@@H+]1CCOC ZINC000858234301 613063651 /nfs/dbraw/zinc/06/36/51/613063651.db2.gz JIVDGTOGNXNOMD-ZIAGYGMSSA-N 0 1 297.399 0.435 20 30 CCEDMN C#CC[C@H](COC)NCc1cnc2c(C#N)cnn2c1 ZINC000862548938 613066347 /nfs/dbraw/zinc/06/63/47/613066347.db2.gz RFIIAJTXRQVWBU-CYBMUJFWSA-N 0 1 269.308 0.729 20 30 CCEDMN C#CCN(C(=O)NCc1n[nH]c(COC)n1)C(C)C ZINC000923248685 613067475 /nfs/dbraw/zinc/06/74/75/613067475.db2.gz POJCFXYOEVMLNZ-UHFFFAOYSA-N 0 1 265.317 0.504 20 30 CCEDMN C#CCN(C(=O)NCc1nnc(COC)[nH]1)C(C)C ZINC000923248685 613067477 /nfs/dbraw/zinc/06/74/77/613067477.db2.gz POJCFXYOEVMLNZ-UHFFFAOYSA-N 0 1 265.317 0.504 20 30 CCEDMN C#CC[C@H](NC(=O)CCCn1cc[nH+]c1C)C(=O)[O-] ZINC000910050984 613067679 /nfs/dbraw/zinc/06/76/79/613067679.db2.gz COXBBPNRYPCVAG-NSHDSACASA-N 0 1 263.297 0.564 20 30 CCEDMN C#CC[C@H](NC(=O)Cc1[nH]nc2ccccc21)C(=O)OC ZINC000837936911 613068317 /nfs/dbraw/zinc/06/83/17/613068317.db2.gz ATDNZJSNCRFXBS-LBPRGKRZSA-N 0 1 285.303 0.787 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N([C@H](CC)C(=O)[O-])C1CC1 ZINC000909037812 613073208 /nfs/dbraw/zinc/07/32/08/613073208.db2.gz NOKUHZLKIKOWNS-CYBMUJFWSA-N 0 1 295.383 0.979 20 30 CCEDMN C#CC[C@H]1NC(=O)N(Cc2cc(N(C)C)ccn2)C1=O ZINC000925178616 613075956 /nfs/dbraw/zinc/07/59/56/613075956.db2.gz KNAUXXFNLKPXSY-GFCCVEGCSA-N 0 1 272.308 0.591 20 30 CCEDMN C#C[C@@H](C)NCc1cc(OC)c(OCC(N)=O)c(OC)c1 ZINC000809683588 613082215 /nfs/dbraw/zinc/08/22/15/613082215.db2.gz HERZVKJBVGXHIK-SNVBAGLBSA-N 0 1 292.335 0.679 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC000972161990 613082510 /nfs/dbraw/zinc/08/25/10/613082510.db2.gz HMPCZBKOWVVYMV-KGLIPLIRSA-N 0 1 291.395 0.552 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC000972680021 613084247 /nfs/dbraw/zinc/08/42/47/613084247.db2.gz HFDHCFRHXUDCGQ-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CCOC2)C1 ZINC000972627452 613084594 /nfs/dbraw/zinc/08/45/94/613084594.db2.gz XKLAZODNRSGOBC-TZMCWYRMSA-N 0 1 250.342 0.579 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NC[C@@H]1CCCC[N@H+]1CCO ZINC000820666399 613085528 /nfs/dbraw/zinc/08/55/28/613085528.db2.gz JUKQDWVDVZFFJY-KBPBESRZSA-N 0 1 281.400 0.934 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc(OCC)cn2)C1 ZINC000980553116 613087241 /nfs/dbraw/zinc/08/72/41/613087241.db2.gz LZVVYZLEGDEPST-GFCCVEGCSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(CCC=C)nn2)C1 ZINC000972519461 613087339 /nfs/dbraw/zinc/08/73/39/613087339.db2.gz ZPHQKMOXFJYUNK-CYBMUJFWSA-N 0 1 287.367 0.634 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c[nH]c(=O)cc2C)C1 ZINC000972299690 613087424 /nfs/dbraw/zinc/08/74/24/613087424.db2.gz HGJRQZMVYJCAFZ-GFCCVEGCSA-N 0 1 273.336 0.875 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC000972697621 613089035 /nfs/dbraw/zinc/08/90/35/613089035.db2.gz PTFIBLXKAKRTHL-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C#C[C@@H](CO)NC(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000854773763 613089266 /nfs/dbraw/zinc/08/92/66/613089266.db2.gz MEVWXSPSHAQSGU-JTQLQIEISA-N 0 1 273.296 0.017 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2CCN(C(C)=O)CC2)C1 ZINC000980353074 613089466 /nfs/dbraw/zinc/08/94/66/613089466.db2.gz OJKWGJGFVPCMMH-HNNXBMFYSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC000980515841 613090283 /nfs/dbraw/zinc/09/02/83/613090283.db2.gz QBDPMIVQLLBTMD-HNNXBMFYSA-N 0 1 299.374 0.494 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2nonc2C)C1 ZINC000972648545 613091065 /nfs/dbraw/zinc/09/10/65/613091065.db2.gz PELOBGGSXYHYFR-NSHDSACASA-N 0 1 262.313 0.086 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)c2cncnc2)C1 ZINC000972166699 613091484 /nfs/dbraw/zinc/09/14/84/613091484.db2.gz VXMRNXHFZXVVCU-JSGCOSHPSA-N 0 1 272.352 0.746 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCO[C@H]2C=C)C1 ZINC000972374296 613091780 /nfs/dbraw/zinc/09/17/80/613091780.db2.gz QQULMUNQCVSGFG-MJBXVCDLSA-N 0 1 262.353 0.743 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC000972188225 613092747 /nfs/dbraw/zinc/09/27/47/613092747.db2.gz DEOPVLMKPSLOLI-RYUDHWBXSA-N 0 1 287.367 0.391 20 30 CCEDMN C#C[C@@H](NC(=O)N(C)CC1CN(C)C1)C1CCOCC1 ZINC000852640022 613093173 /nfs/dbraw/zinc/09/31/73/613093173.db2.gz YTXPUNYAFGUJKA-CQSZACIVSA-N 0 1 279.384 0.618 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnc3n[nH]nc32)C1 ZINC000971920080 613095677 /nfs/dbraw/zinc/09/56/77/613095677.db2.gz QWPQAFAJMJNXPW-JTQLQIEISA-N 0 1 284.323 0.132 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccn(C)c(=O)c2)C1 ZINC000971810886 613095965 /nfs/dbraw/zinc/09/59/65/613095965.db2.gz KPJBGSVBSKCAAI-ZDUSSCGKSA-N 0 1 273.336 0.165 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)CC(C)(C)C#N ZINC000922023939 613098201 /nfs/dbraw/zinc/09/82/01/613098201.db2.gz NAGCQQJWYABJQK-UHFFFAOYSA-N 0 1 297.424 0.895 20 30 CCEDMN C#CCN(CC)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000930580237 613105631 /nfs/dbraw/zinc/10/56/31/613105631.db2.gz QOHWOAXCNHPKRX-UHFFFAOYSA-N 0 1 263.341 0.857 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)[C@]2(C)C[C@H](O)CN2C)CC1 ZINC000913815200 613108684 /nfs/dbraw/zinc/10/86/84/613108684.db2.gz AHBDEQLJTJMGLC-ABHRYQDASA-N 0 1 264.369 0.750 20 30 CCEDMN C#C[C@H](C)NC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000820394356 613116772 /nfs/dbraw/zinc/11/67/72/613116772.db2.gz JLPWGZZYDJWWQM-QJPTWQEYSA-N 0 1 253.346 0.105 20 30 CCEDMN C#C[C@H](CC)NC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000811998694 613120692 /nfs/dbraw/zinc/12/06/92/613120692.db2.gz WFUSZGWRAJDTAB-CYBMUJFWSA-N 0 1 280.416 0.723 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)C(O)[C@H](C)C2)CC1 ZINC000855687820 613129790 /nfs/dbraw/zinc/12/97/90/613129790.db2.gz LIYHHSWUCISOMP-CHWSQXEVSA-N 0 1 278.396 0.807 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H]2CN(CC)C(=O)O2)CC1 ZINC000848720484 613132063 /nfs/dbraw/zinc/13/20/63/613132063.db2.gz YIKZYLSLKLRGMS-ZDUSSCGKSA-N 0 1 294.351 0.716 20 30 CCEDMN C#C[C@H](NC(=O)C1CN([C@@H]2CCOC2)C1)C(C)C ZINC000897653426 613132606 /nfs/dbraw/zinc/13/26/06/613132606.db2.gz RMNCJGDESLEXAQ-OLZOCXBDSA-N 0 1 250.342 0.481 20 30 CCEDMN C#CCN1CCC(NC(=O)CCOC[C@H]2CCCO2)CC1 ZINC000928657347 613132832 /nfs/dbraw/zinc/13/28/32/613132832.db2.gz YEEQWQINVWADQY-OAHLLOKOSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2cncnc2)CC1 ZINC000928650995 613132995 /nfs/dbraw/zinc/13/29/95/613132995.db2.gz JPNJJFIGVCJXST-UHFFFAOYSA-N 0 1 272.352 0.623 20 30 CCEDMN C#CCN1CCC(NC(=O)CCn2ccccc2=O)CC1 ZINC000928657403 613133037 /nfs/dbraw/zinc/13/30/37/613133037.db2.gz ZYEVVVJVUBMPGB-UHFFFAOYSA-N 0 1 287.363 0.452 20 30 CCEDMN C#C[C@H](NC(=O)CCc1c[nH]nn1)C1CCOCC1 ZINC000869288730 613133124 /nfs/dbraw/zinc/13/31/24/613133124.db2.gz UVQRGJVMMXVUOP-LBPRGKRZSA-N 0 1 262.313 0.282 20 30 CCEDMN C#C[C@H](NC(=O)CCc1cnn[nH]1)C1CCOCC1 ZINC000869288730 613133126 /nfs/dbraw/zinc/13/31/26/613133126.db2.gz UVQRGJVMMXVUOP-LBPRGKRZSA-N 0 1 262.313 0.282 20 30 CCEDMN C#C[C@H](NC(=O)CN(C)C1CCC1)[C@H]1CCCO1 ZINC000863906747 613133132 /nfs/dbraw/zinc/13/31/32/613133132.db2.gz VWDBYDHTIQHYBF-QWHCGFSZSA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@](C)(O)C2CC2)CC1 ZINC000928654414 613133293 /nfs/dbraw/zinc/13/32/93/613133293.db2.gz AJNDFKMETONZBS-OAHLLOKOSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)COc2ccccc2O)CC1 ZINC000928660405 613133515 /nfs/dbraw/zinc/13/35/15/613133515.db2.gz CSIUNUFQCSAGJH-UHFFFAOYSA-N 0 1 288.347 0.985 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ccncc2F)CC1 ZINC000930623285 613133539 /nfs/dbraw/zinc/13/35/39/613133539.db2.gz QMQHWZJXTAYKIQ-UHFFFAOYSA-N 0 1 276.315 0.862 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCCCOC)CC1 ZINC000914095974 613133884 /nfs/dbraw/zinc/13/38/84/613133884.db2.gz BKASPLPTBQDJHE-UHFFFAOYSA-N 0 1 267.373 0.810 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCOCCOC)CC1 ZINC000914204460 613133980 /nfs/dbraw/zinc/13/39/80/613133980.db2.gz WMQTTZIIRDCGOP-UHFFFAOYSA-N 0 1 283.372 0.046 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cnn(CC)c2)CC1 ZINC000922446184 613134433 /nfs/dbraw/zinc/13/44/33/613134433.db2.gz JKMXRZNAYSMENQ-UHFFFAOYSA-N 0 1 289.383 0.800 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cnnn2CC)CC1 ZINC000923388542 613134551 /nfs/dbraw/zinc/13/45/51/613134551.db2.gz PBGIWLWKSCDGPM-UHFFFAOYSA-N 0 1 290.371 0.195 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H](C)COC)CC1 ZINC000919510040 613134577 /nfs/dbraw/zinc/13/45/77/613134577.db2.gz SCPJYTGQBOVBJV-LBPRGKRZSA-N 0 1 267.373 0.666 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC000922292839 613134890 /nfs/dbraw/zinc/13/48/90/613134890.db2.gz JILCTJYSXUNWSB-ITGUQSILSA-N 0 1 263.341 0.028 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCC(=O)N(C)C2)CC1 ZINC000919687744 613135342 /nfs/dbraw/zinc/13/53/42/613135342.db2.gz DWOIQODHIMTFDA-ZDUSSCGKSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2nc(C)no2)CC1 ZINC000930753479 613135438 /nfs/dbraw/zinc/13/54/38/613135438.db2.gz FLKGJQVRDQOKCG-UHFFFAOYSA-N 0 1 263.301 0.597 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@]2(OC)CCSC2)CC1 ZINC000928659895 613136142 /nfs/dbraw/zinc/13/61/42/613136142.db2.gz DAESBGRHOHYCQE-CQSZACIVSA-N 0 1 282.409 0.722 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@@]23CCOC3)CC1 ZINC000928654185 613136403 /nfs/dbraw/zinc/13/64/03/613136403.db2.gz QNLHXQYWRLHOAL-DZGCQCFKSA-N 0 1 262.353 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CO[C@@H](C)C2)CC1 ZINC000928652706 613136408 /nfs/dbraw/zinc/13/64/08/613136408.db2.gz JHNBWYPHQVIUCR-RYUDHWBXSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](OC)c2cnn(C)c2)CC1 ZINC000928653533 613136571 /nfs/dbraw/zinc/13/65/71/613136571.db2.gz PNOLDOCOPWKYGG-CQSZACIVSA-N 0 1 290.367 0.321 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CSC(=O)N2)CC1 ZINC000928657315 613136692 /nfs/dbraw/zinc/13/66/92/613136692.db2.gz WQPBKFNOZLFNPS-SNVBAGLBSA-N 0 1 267.354 0.025 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCn3ccnc3C2)CC1 ZINC000928649924 613136783 /nfs/dbraw/zinc/13/67/83/613136783.db2.gz WGCCNZUURUXNKB-ZDUSSCGKSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(N(C)C)ccn2)CC1 ZINC000928657830 613137130 /nfs/dbraw/zinc/13/71/30/613137130.db2.gz ASUZQEZCSMEWER-UHFFFAOYSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(CC3CC3)nn2)CC1 ZINC000928650691 613137569 /nfs/dbraw/zinc/13/75/69/613137569.db2.gz GFFOSNZCZKCELT-UHFFFAOYSA-N 0 1 287.367 0.516 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccc(=O)n2C)CC1 ZINC000928654641 613137765 /nfs/dbraw/zinc/13/77/65/613137765.db2.gz KOZLHATYGGNBSA-UHFFFAOYSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCN1CCC(NS(=O)(=O)c2ccccc2O)CC1 ZINC000921437425 613138581 /nfs/dbraw/zinc/13/85/81/613138581.db2.gz XDDQXMKRNYWJOY-UHFFFAOYSA-N 0 1 294.376 0.768 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)c1ccon1)CCO2 ZINC000949037705 613139742 /nfs/dbraw/zinc/13/97/42/613139742.db2.gz CCQNTRVKOIIRIB-UHFFFAOYSA-N 0 1 289.335 0.615 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)c1cn[nH]c1)CCO2 ZINC000949040969 613139755 /nfs/dbraw/zinc/13/97/55/613139755.db2.gz KMGNYYRSHDLENS-UHFFFAOYSA-N 0 1 288.351 0.350 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3cnoc3)C2)C1 ZINC000981955372 613141259 /nfs/dbraw/zinc/14/12/59/613141259.db2.gz CVUQRGITFVAUPJ-UHFFFAOYSA-N 0 1 273.336 0.775 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](C)OC)C2)C1 ZINC000981652568 613141513 /nfs/dbraw/zinc/14/15/13/613141513.db2.gz JBMFFYRXQZQXNC-GFCCVEGCSA-N 0 1 250.342 0.579 20 30 CCEDMN C#C[C@H](NC(=O)NCc1n[nH]c(C)n1)[C@H]1CCCO1 ZINC000864261539 613141841 /nfs/dbraw/zinc/14/18/41/613141841.db2.gz OMGHFKDGJYFADG-VHSXEESVSA-N 0 1 263.301 0.093 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1c[nH]c(=O)cn1 ZINC000969004732 613146803 /nfs/dbraw/zinc/14/68/03/613146803.db2.gz ATXPTXQKNYPWNS-GFCCVEGCSA-N 0 1 288.351 0.378 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC000968787650 613148048 /nfs/dbraw/zinc/14/80/48/613148048.db2.gz HKGFXPZSWUAOSK-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC000968740579 613148759 /nfs/dbraw/zinc/14/87/59/613148759.db2.gz CNGBOWUZVSGCKK-HNNXBMFYSA-N 0 1 287.363 0.928 20 30 CCEDMN C#C[C@H](NS(=O)(=O)c1cc(O)cc(F)c1)[C@H]1CCCO1 ZINC000867125819 613152435 /nfs/dbraw/zinc/15/24/35/613152435.db2.gz ZHZPLJHPOXMQAR-QWHCGFSZSA-N 0 1 299.323 0.990 20 30 CCEDMN C#C[C@](C)(CC)NCC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000852100574 613164328 /nfs/dbraw/zinc/16/43/28/613164328.db2.gz YFYWARNGELDMGL-CZUORRHYSA-N 0 1 293.411 0.895 20 30 CCEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000852098752 613164966 /nfs/dbraw/zinc/16/49/66/613164966.db2.gz VBZXYNQKPKMWGS-DMDPSCGWSA-N 0 1 286.397 0.070 20 30 CCEDMN C#CCN1CCC[C@@H](N[C@H](C)c2cc(CO)on2)C1 ZINC000926865865 613176336 /nfs/dbraw/zinc/17/63/36/613176336.db2.gz IMRNHTCDIZHPPS-VXGBXAGGSA-N 0 1 263.341 0.915 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)C2CN(CCO)C2)nc1 ZINC000970762375 613183301 /nfs/dbraw/zinc/18/33/01/613183301.db2.gz CLVATJPCXAWKAG-NSHDSACASA-N 0 1 273.336 0.105 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(CCCO)C2)nc1 ZINC000833565362 613183961 /nfs/dbraw/zinc/18/39/61/613183961.db2.gz DOFGZJGHPRPRGG-CQSZACIVSA-N 0 1 287.363 0.640 20 30 CCEDMN C#Cc1ccc(CNC(=O)[C@]2(C)C[C@@H](O)CN2C)cc1 ZINC000908530809 613194405 /nfs/dbraw/zinc/19/44/05/613194405.db2.gz OBGBRIONSIRMEC-ZBFHGGJFSA-N 0 1 272.348 0.739 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NCC(C)(C)C(=O)[O-] ZINC000825618935 613198467 /nfs/dbraw/zinc/19/84/67/613198467.db2.gz IADQWKHLBFUVJF-JTQLQIEISA-N 0 1 252.314 0.311 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCC(C)(C)C(=O)[O-] ZINC000825618935 613198468 /nfs/dbraw/zinc/19/84/68/613198468.db2.gz IADQWKHLBFUVJF-JTQLQIEISA-N 0 1 252.314 0.311 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2CCNC[C@@H]2C)c1 ZINC000841161291 613201671 /nfs/dbraw/zinc/20/16/71/613201671.db2.gz NYWXYWQOISMOFU-NSHDSACASA-N 0 1 271.320 0.427 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CC(F)F)C(=O)[O-] ZINC000833364016 613201975 /nfs/dbraw/zinc/20/19/75/613201975.db2.gz CTBASEZISQXHSF-BDAKNGLRSA-N 0 1 274.267 0.309 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CC(F)F)C(=O)[O-] ZINC000833364016 613201976 /nfs/dbraw/zinc/20/19/76/613201976.db2.gz CTBASEZISQXHSF-BDAKNGLRSA-N 0 1 274.267 0.309 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CCNC(=O)CC1 ZINC000815939949 613203288 /nfs/dbraw/zinc/20/32/88/613203288.db2.gz GAWVXNKMNVSHBE-STQMWFEESA-N 0 1 278.352 0.544 20 30 CCEDMN C#Cc1ccccc1CC(=O)NC[C@@H]1CN(C)CCN1C ZINC000810382478 613206549 /nfs/dbraw/zinc/20/65/49/613206549.db2.gz OPAUFRHHVSVFFW-MRXNPFEDSA-N 0 1 285.391 0.572 20 30 CCEDMN C#CCN1CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)CC1 ZINC000827519913 613207799 /nfs/dbraw/zinc/20/77/99/613207799.db2.gz WVGCVXKQEDCMDU-LBPRGKRZSA-N 0 1 272.352 0.292 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCN(C3CCOCC3)CC2)c1 ZINC000798174403 613213315 /nfs/dbraw/zinc/21/33/15/613213315.db2.gz XHJYPNNTOFVLBU-UHFFFAOYSA-N 0 1 299.374 1.000 20 30 CCEDMN C(=[NH+][N-]c1ncn[nH]1)c1cnc(N2CCCC2)nc1 ZINC000807997363 613223924 /nfs/dbraw/zinc/22/39/24/613223924.db2.gz KIXAUFQXVMHQEN-UHFFFAOYSA-N 0 1 258.289 0.641 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CCCNC2=O)C(C)(C)C1 ZINC000940813256 613229669 /nfs/dbraw/zinc/22/96/69/613229669.db2.gz UXPJQWJGNXZLMY-QWHCGFSZSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnnc2)C[C@H]1C ZINC000947746096 613239066 /nfs/dbraw/zinc/23/90/66/613239066.db2.gz LGGLZUYGDKZEJI-DGCLKSJQSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C(C)C)nn2)[C@@H](C)C1 ZINC000943800982 613239921 /nfs/dbraw/zinc/23/99/21/613239921.db2.gz XCHSOXBPFHRHAQ-QWHCGFSZSA-N 0 1 289.383 0.932 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)cn2)C(C)(C)C1 ZINC000940676480 613239987 /nfs/dbraw/zinc/23/99/87/613239987.db2.gz MPVRNHJOTDFWJK-CYBMUJFWSA-N 0 1 274.368 0.884 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc(C)cn2)[C@@H](C)C1 ZINC000941772080 613240629 /nfs/dbraw/zinc/24/06/29/613240629.db2.gz KNMMZAAHRJUKKC-WCQYABFASA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncc(OC)cn2)C[C@H]1C ZINC000947533942 613243827 /nfs/dbraw/zinc/24/38/27/613243827.db2.gz WEMPLCBSQAXIGE-VXGBXAGGSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cn2ccnc2)C1 ZINC000968699713 613247287 /nfs/dbraw/zinc/24/72/87/613247287.db2.gz YYYGDGPGWCIAIN-STQMWFEESA-N 0 1 260.341 0.343 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC000949654827 613260202 /nfs/dbraw/zinc/26/02/02/613260202.db2.gz IRNJBYXREARXRR-OLZOCXBDSA-N 0 1 279.384 0.608 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)c2cc(=O)n(C)cn2)CC1 ZINC000948835509 613261305 /nfs/dbraw/zinc/26/13/05/613261305.db2.gz PYIYHYOBCKUPDF-UHFFFAOYSA-N 0 1 290.367 0.504 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc3n(n2)CCC3)[C@@H](C)C1 ZINC000942107771 613267144 /nfs/dbraw/zinc/26/71/44/613267144.db2.gz BEAKKOTWCFBOLT-JSGCOSHPSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc[n+]([O-])cc2)C(C)(C)C1 ZINC000940554403 613267951 /nfs/dbraw/zinc/26/79/51/613267951.db2.gz QTQDVSPTOWNCME-AWEZNQCLSA-N 0 1 287.363 0.784 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc(OC)n2)[C@@H](C)C1 ZINC000942444152 613272031 /nfs/dbraw/zinc/27/20/31/613272031.db2.gz LUARNMMWJQECCM-RYUDHWBXSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CC)nn2)[C@@H](C)C1 ZINC000943778016 613273407 /nfs/dbraw/zinc/27/34/07/613273407.db2.gz PKDWRAULFPQHMX-RYUDHWBXSA-N 0 1 275.356 0.371 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nn2)C[C@@H]1C ZINC000947261552 613273413 /nfs/dbraw/zinc/27/34/13/613273413.db2.gz KOPRIDZZFZPFJO-QWRGUYRKSA-N 0 1 261.329 0.031 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2cccn2)C(C)(C)C1 ZINC000972808061 613281030 /nfs/dbraw/zinc/28/10/30/613281030.db2.gz JTPIJKJZOTXQRW-GFCCVEGCSA-N 0 1 260.341 0.343 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cncn2C)C(C)(C)C1 ZINC000977449722 613281163 /nfs/dbraw/zinc/28/11/63/613281163.db2.gz CKQZSQRDURAIFI-CYBMUJFWSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C(C)(C)C1 ZINC000974592903 613282388 /nfs/dbraw/zinc/28/23/88/613282388.db2.gz HTSYIFWUDAWOFY-JHJVBQTASA-N 0 1 291.395 0.361 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC000958160908 613285347 /nfs/dbraw/zinc/28/53/47/613285347.db2.gz GLSKMTRUYNQSPE-UONOGXRCSA-N 0 1 298.346 0.170 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(C)cncc2C)C1 ZINC000958263336 613285752 /nfs/dbraw/zinc/28/57/52/613285752.db2.gz PAODOISYVPINJJ-UONOGXRCSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(F)cc2)C1 ZINC000957811700 613286661 /nfs/dbraw/zinc/28/66/61/613286661.db2.gz JKMMYGXTUZCLLM-GXTWGEPZSA-N 0 1 276.311 0.481 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccnc2C2CC2)C1 ZINC000958214914 613286705 /nfs/dbraw/zinc/28/67/05/613286705.db2.gz OLBOTVOYVGLUOW-DZGCQCFKSA-N 0 1 299.374 0.615 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccnn2C(C)C)C1 ZINC000958097404 613286768 /nfs/dbraw/zinc/28/67/68/613286768.db2.gz YCGADMKMPSJIDO-GXTWGEPZSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(F)c(C)c2)C1 ZINC000958345569 613286834 /nfs/dbraw/zinc/28/68/34/613286834.db2.gz OXFIERVEWNQUEH-DZGCQCFKSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(CC)cc2)[C@H](O)C1 ZINC000958640033 613288816 /nfs/dbraw/zinc/28/88/16/613288816.db2.gz OEIZSFOIXFZPLY-HZPDHXFCSA-N 0 1 286.375 0.905 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(OC)cs2)[C@H](O)C1 ZINC000958317227 613288842 /nfs/dbraw/zinc/28/88/42/613288842.db2.gz UWNHMMJTDJAANO-ZYHUDNBSSA-N 0 1 294.376 0.412 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc3c[nH]nc32)[C@H](O)C1 ZINC000958313293 613289474 /nfs/dbraw/zinc/28/94/74/613289474.db2.gz MNCYYHADEFBTPJ-TZMCWYRMSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc3[nH]ccc3n2)[C@H](O)C1 ZINC000958258306 613289561 /nfs/dbraw/zinc/28/95/61/613289561.db2.gz PCVGFAHFCOCRDA-IAQYHMDHSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc(C)c2C)[C@H](O)C1 ZINC000958639959 613289665 /nfs/dbraw/zinc/28/96/65/613289665.db2.gz MYCMYDTYSXGZHB-GDBMZVCRSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cncc(Cl)c2)[C@H](O)C1 ZINC000958485204 613290043 /nfs/dbraw/zinc/29/00/43/613290043.db2.gz HZUSJNCIRRZLRW-DGCLKSJQSA-N 0 1 293.754 0.391 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(CO)(CO)CC1 ZINC000879967633 613293589 /nfs/dbraw/zinc/29/35/89/613293589.db2.gz BIKUJZJZKNHYMW-CQSZACIVSA-N 0 1 298.427 0.866 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(OC)cs2)C1 ZINC000958317225 613294464 /nfs/dbraw/zinc/29/44/64/613294464.db2.gz UWNHMMJTDJAANO-JQWIXIFHSA-N 0 1 294.376 0.412 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(CCC)on2)C1 ZINC000958551404 613294709 /nfs/dbraw/zinc/29/47/09/613294709.db2.gz KWBOSJNZLHZZKE-FZMZJTMJSA-N 0 1 291.351 0.283 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC000958309507 613295074 /nfs/dbraw/zinc/29/50/74/613295074.db2.gz MOVPCCHIGFAMPD-NHYWBVRUSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccccc2CC)C1 ZINC000958349195 613295116 /nfs/dbraw/zinc/29/51/16/613295116.db2.gz GGTRFZLKYCPBLM-HOCLYGCPSA-N 0 1 286.375 0.905 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(Cl)cc2)C1 ZINC000958610216 613295275 /nfs/dbraw/zinc/29/52/75/613295275.db2.gz POJIWVNYSQMFSW-JSGCOSHPSA-N 0 1 292.766 0.996 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cnccc2C)C1 ZINC000957953925 613295762 /nfs/dbraw/zinc/29/57/62/613295762.db2.gz UXBLVBQJTRZXIK-JSGCOSHPSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2nc(C)sc2C)C1 ZINC000958175430 613296198 /nfs/dbraw/zinc/29/61/98/613296198.db2.gz LTXRTSGCVBVOOI-RYUDHWBXSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cc(C)on2)C(C)(C)C1 ZINC000972973870 613297143 /nfs/dbraw/zinc/29/71/43/613297143.db2.gz VEUHPIDOZDFLIW-ZDUSSCGKSA-N 0 1 275.352 0.985 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C)s2)C1 ZINC000957816143 613303003 /nfs/dbraw/zinc/30/30/03/613303003.db2.gz HBIJHCFCXHYRPT-NEPJUHHUSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cscc2C)C1 ZINC000957961509 613304500 /nfs/dbraw/zinc/30/45/00/613304500.db2.gz NICNXIDJFZGRGV-YPMHNXCESA-N 0 1 278.377 0.712 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)Cc2cn(CC)nn2)CC1 ZINC000981665547 613308855 /nfs/dbraw/zinc/30/88/55/613308855.db2.gz MIAPCYOTOXUHJS-UHFFFAOYSA-N 0 1 291.399 0.951 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCC(=O)N2C)CC1 ZINC000981811650 613310870 /nfs/dbraw/zinc/31/08/70/613310870.db2.gz RUBDKDRQXCGUER-CYBMUJFWSA-N 0 1 279.384 0.718 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2COCCO2)CC1 ZINC000980739842 613311282 /nfs/dbraw/zinc/31/12/82/613311282.db2.gz DSEHGSMRPBVEFV-CYBMUJFWSA-N 0 1 268.357 0.512 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2cc(=O)n(C)cn2)CC1 ZINC000981366743 613311575 /nfs/dbraw/zinc/31/15/75/613311575.db2.gz DCCPBGFYYMMEDT-UHFFFAOYSA-N 0 1 290.367 0.504 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2nccnc2N)CC1 ZINC000980866594 613313447 /nfs/dbraw/zinc/31/34/47/613313447.db2.gz ZMMQWWOWRAVDJV-UHFFFAOYSA-N 0 1 275.356 0.783 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CN1CCCCCC1=O ZINC000962476612 613313681 /nfs/dbraw/zinc/31/36/81/613313681.db2.gz QULKRPFMDDJWKQ-VIKVFOODSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cnccc1OC ZINC000960542301 613314093 /nfs/dbraw/zinc/31/40/93/613314093.db2.gz XKVFBJVLUIRHDD-VIKVFOODSA-N 0 1 285.347 0.312 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc2c(c1)CCO2 ZINC000961412964 613314498 /nfs/dbraw/zinc/31/44/98/613314498.db2.gz PIKFQZIYXCIXIE-HWWDLCQESA-N 0 1 296.370 0.844 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc(OC)cc1 ZINC000962386823 613314595 /nfs/dbraw/zinc/31/45/95/613314595.db2.gz MPJKATDKBWAICA-QLPKVWCKSA-N 0 1 284.359 0.917 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCOCC1 ZINC000958724240 613316615 /nfs/dbraw/zinc/31/66/15/613316615.db2.gz RXSCJYKDFCOWLM-IGQOVBAYSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1OCC[C@H]1C(C)C ZINC000959925280 613317557 /nfs/dbraw/zinc/31/75/57/613317557.db2.gz OQBYHMVAXYKHFV-AHDPXTMNSA-N 0 1 276.380 0.727 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CCC)n[nH]1 ZINC000961418363 613318024 /nfs/dbraw/zinc/31/80/24/613318024.db2.gz MCVORYYKUDRUFN-IMRBUKKESA-N 0 1 272.352 0.655 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C)c(C)n1 ZINC000961181844 613319102 /nfs/dbraw/zinc/31/91/02/613319102.db2.gz HHIWMJGZAOXELY-NHAGDIPZSA-N 0 1 269.348 0.992 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(OC)n1 ZINC000962181683 613319444 /nfs/dbraw/zinc/31/94/44/613319444.db2.gz QZXSAEUUCVNIFZ-YABSGUDNSA-N 0 1 271.320 0.383 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2cc(C)ccc2n1 ZINC000960552109 613320672 /nfs/dbraw/zinc/32/06/72/613320672.db2.gz VWSRJDIRCHMTEN-VIKVFOODSA-N 0 1 294.358 0.936 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2n[nH]c(C)c2c1 ZINC000962581594 613321313 /nfs/dbraw/zinc/32/13/13/613321313.db2.gz XUNSQRQPKDOSIC-WDNDVIMCSA-N 0 1 295.346 0.560 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc2[nH]cnc21 ZINC000960010134 613321453 /nfs/dbraw/zinc/32/14/53/613321453.db2.gz GOPANRVKVUNADI-PJXYFTJBSA-N 0 1 281.319 0.251 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2onc(C)c2c1 ZINC000961430218 613321581 /nfs/dbraw/zinc/32/15/81/613321581.db2.gz NLONKZZXDHPATN-WDNDVIMCSA-N 0 1 296.330 0.824 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c2c1C[C@@H](C)CC2 ZINC000962178279 613321795 /nfs/dbraw/zinc/32/17/95/613321795.db2.gz JNMBXEZACJAUQN-MUYACECFSA-N 0 1 298.390 0.828 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1csc(=O)[nH]1 ZINC000961519502 613322167 /nfs/dbraw/zinc/32/21/67/613322167.db2.gz PTFPQBSCUKAEIE-MBTKJCJQSA-N 0 1 263.322 0.142 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2nccnc2C)CC1 ZINC000815108526 613324883 /nfs/dbraw/zinc/32/48/83/613324883.db2.gz YUXLCAJIDPFKBW-UHFFFAOYSA-N 0 1 287.367 0.047 20 30 CCEDMN C#CCNC(=O)CCN1CCOC[C@@H]1CC1CCOCC1 ZINC000934632351 613325153 /nfs/dbraw/zinc/32/51/53/613325153.db2.gz BHIAIUTZPFPNBT-HNNXBMFYSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCNC(=O)C[N@H+](C)CC[C@H](NC(=O)[O-])C(C)C ZINC000823456926 613327840 /nfs/dbraw/zinc/32/78/40/613327840.db2.gz SBOFPLIMTMXUFT-NSHDSACASA-N 0 1 269.345 0.350 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H]1[C@H](O)c1ccccc1 ZINC000879239474 613329185 /nfs/dbraw/zinc/32/91/85/613329185.db2.gz BKTAWKZXZJNMAA-GDBMZVCRSA-N 0 1 272.348 0.934 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H]1[C@@H](O)c1ccccc1 ZINC000879239477 613329281 /nfs/dbraw/zinc/32/92/81/613329281.db2.gz BKTAWKZXZJNMAA-ZBFHGGJFSA-N 0 1 272.348 0.934 20 30 CCEDMN C#CCNC(=O)CN1CCN(C[C@@H](OCC)C2CC2)CC1 ZINC000859119732 613329708 /nfs/dbraw/zinc/32/97/08/613329708.db2.gz VPVPBNPEQKZMEL-OAHLLOKOSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCNC(=O)COC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000885266915 613332280 /nfs/dbraw/zinc/33/22/80/613332280.db2.gz AUMVDHBDDUVNBB-ZANVPECISA-N 0 1 275.308 0.368 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992645 613339526 /nfs/dbraw/zinc/33/95/26/613339526.db2.gz ZBECTMOZQZADNN-WCQYABFASA-N 0 1 291.351 0.386 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992645 613339527 /nfs/dbraw/zinc/33/95/27/613339527.db2.gz ZBECTMOZQZADNN-WCQYABFASA-N 0 1 291.351 0.386 20 30 CCEDMN C=C(C)CONC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000845596002 613352823 /nfs/dbraw/zinc/35/28/23/613352823.db2.gz MRQRHRDQYYUIMU-QWHCGFSZSA-N 0 1 283.372 0.735 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000922737931 613355210 /nfs/dbraw/zinc/35/52/10/613355210.db2.gz VUNMERVHGLPXMX-VHSXEESVSA-N 0 1 264.329 0.668 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)OCc1n[nH]c(C)n1 ZINC000849964961 613356761 /nfs/dbraw/zinc/35/67/61/613356761.db2.gz QFLHNYZHOHESNJ-SNVBAGLBSA-N 0 1 266.301 0.627 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NC12CCN(CC1)C2 ZINC000913635831 613359283 /nfs/dbraw/zinc/35/92/83/613359283.db2.gz OXORTQMTUZFCGY-LBPRGKRZSA-N 0 1 265.357 0.422 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NC[C@H]1CC[N@@H+]1C ZINC000928550159 613359390 /nfs/dbraw/zinc/35/93/90/613359390.db2.gz CXYDVKAPWKPREY-NEPJUHHUSA-N 0 1 253.346 0.278 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NC[C@H]1CCN1C ZINC000928550159 613359391 /nfs/dbraw/zinc/35/93/91/613359391.db2.gz CXYDVKAPWKPREY-NEPJUHHUSA-N 0 1 253.346 0.278 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000908010676 613363720 /nfs/dbraw/zinc/36/37/20/613363720.db2.gz FZKWPZHXCDYFOQ-UHFFFAOYSA-N 0 1 294.355 0.989 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC000854342395 613365896 /nfs/dbraw/zinc/36/58/96/613365896.db2.gz RPAUZBJEMKMGKX-UHFFFAOYSA-N 0 1 280.328 0.599 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)NCc1cc(=O)[n-]c(SC)n1 ZINC000912246963 613366712 /nfs/dbraw/zinc/36/67/12/613366712.db2.gz IDMFSZZRHXRRLF-UHFFFAOYSA-N 0 1 282.369 0.638 20 30 CCEDMN C=C(Cl)CN1CC([C@H](C)NC(=O)C2=NC(=O)N(C)C2)C1 ZINC000970369620 613372333 /nfs/dbraw/zinc/37/23/33/613372333.db2.gz SBFLTUOAJPWSFP-VIFPVBQESA-N 0 1 298.774 0.928 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2cnn(C)n2)C1 ZINC000969977019 613384625 /nfs/dbraw/zinc/38/46/25/613384625.db2.gz HJVQMOOCBCOMDT-GFCCVEGCSA-N 0 1 297.790 0.691 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H]2COC(=O)C2)C1 ZINC000968420022 613384882 /nfs/dbraw/zinc/38/48/82/613384882.db2.gz WQDJULABUHIOHU-WDEREUQCSA-N 0 1 286.759 0.883 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC000968845858 613384946 /nfs/dbraw/zinc/38/49/46/613384946.db2.gz VHBBGSCKAFXSPN-GHMZBOCLSA-N 0 1 285.775 0.408 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn(C)nn2)C1 ZINC000968571969 613385893 /nfs/dbraw/zinc/38/58/93/613385893.db2.gz JASKRQCMQFHMMC-SECBINFHSA-N 0 1 269.736 0.372 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)Cc1nnc[nH]1)C(=O)OCC ZINC000870035562 613388625 /nfs/dbraw/zinc/38/86/25/613388625.db2.gz RLTUAAFIPUYXTH-MRVPVSSYSA-N 0 1 286.719 0.538 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)NCC(C)(C)S(C)(=O)=O ZINC000876640152 613389780 /nfs/dbraw/zinc/38/97/80/613389780.db2.gz ZPCRGSLHOAJJPP-QMMMGPOBSA-N 0 1 282.793 0.396 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN(CC(C)(C)O)CC1 ZINC000878046033 613397802 /nfs/dbraw/zinc/39/78/02/613397802.db2.gz XQYUHADVSXWPBJ-LBPRGKRZSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000878679694 613398803 /nfs/dbraw/zinc/39/88/03/613398803.db2.gz KSVHNLQKUKDGKW-XQQFMLRXSA-N 0 1 270.373 0.491 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2[C@H]3CN(CC(=O)N(C)C)C[C@H]32)C1 ZINC000961687869 613402116 /nfs/dbraw/zinc/40/21/16/613402116.db2.gz ABPHHZBKIWKQSC-IMRBUKKESA-N 0 1 291.395 0.477 20 30 CCEDMN C=CC[C@@H](NC(=O)CCc1nn[nH]n1)c1ccncc1 ZINC000865892145 613405247 /nfs/dbraw/zinc/40/52/47/613405247.db2.gz XWYYIWKVGMCBGT-LLVKDONJSA-N 0 1 272.312 0.961 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCC(N2CCOCC2)CC1 ZINC000878968207 613410600 /nfs/dbraw/zinc/41/06/00/613410600.db2.gz LRWZHXTZOITVDR-CQSZACIVSA-N 0 1 282.384 0.901 20 30 CCEDMN C=C1CN(C(=O)Cc2n[nH]cc2C(=O)N2CC(=C)C2)C1 ZINC000825129137 613415492 /nfs/dbraw/zinc/41/54/92/613415492.db2.gz FPFDHLIZPKJPIX-UHFFFAOYSA-N 0 1 272.308 0.363 20 30 CCEDMN C=CCn1cc(CN[C@H]2CCO[C@@]23CCOC3)nn1 ZINC000903192887 613435948 /nfs/dbraw/zinc/43/59/48/613435948.db2.gz RFMBYOAVARVUEG-QWHCGFSZSA-N 0 1 264.329 0.502 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H](NC(=O)c2cnn[nH]2)[C@@H](C)C1 ZINC000966670737 613442957 /nfs/dbraw/zinc/44/29/57/613442957.db2.gz XESKJCLTSWDHHO-ONGXEEELSA-N 0 1 291.355 0.594 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896538933 613444358 /nfs/dbraw/zinc/44/43/58/613444358.db2.gz HSIRWKHKEJOABK-RYUDHWBXSA-N 0 1 253.390 0.949 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000875699991 613446010 /nfs/dbraw/zinc/44/60/10/613446010.db2.gz YNVBGMPHZBAJAK-MNOVXSKESA-N 0 1 284.360 0.132 20 30 CCEDMN C=C[C@@H](C)ON=C([O-])Nc1cc2n(n1)CC[N@H+](C)C2 ZINC000880822552 613446807 /nfs/dbraw/zinc/44/68/07/613446807.db2.gz VYWNURURDIVRNA-SECBINFHSA-N 0 1 265.317 0.956 20 30 CCEDMN C=C[C@@H](C)ON=C([O-])Nc1cc2n(n1)CC[N@@H+](C)C2 ZINC000880822552 613446808 /nfs/dbraw/zinc/44/68/08/613446808.db2.gz VYWNURURDIVRNA-SECBINFHSA-N 0 1 265.317 0.956 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CC[C@@H](c2[nH]ncc2C(=O)OCC)C1 ZINC000912493824 613450561 /nfs/dbraw/zinc/45/05/61/613450561.db2.gz LYTVOHYQAXYKOC-MWLCHTKSSA-N 0 1 293.323 0.449 20 30 CCEDMN C=CCC(CC=C)C(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000913496259 613453610 /nfs/dbraw/zinc/45/36/10/613453610.db2.gz WUXNRMJQMMEVDP-LLVKDONJSA-N 0 1 277.328 0.868 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC000910918325 613470978 /nfs/dbraw/zinc/47/09/78/613470978.db2.gz YAJWVODGBYTOGR-MNOVXSKESA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC000910918325 613470981 /nfs/dbraw/zinc/47/09/81/613470981.db2.gz YAJWVODGBYTOGR-MNOVXSKESA-N 0 1 270.329 0.243 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NS(=O)(=O)N=[S@](C)(=O)CC ZINC000867351712 613472046 /nfs/dbraw/zinc/47/20/46/613472046.db2.gz GMMMKNIVAANCIK-YNEJBJPTSA-N 0 1 282.387 0.282 20 30 CCEDMN C=CCCC[C@H]1NC(=O)N(C[C@@H]2CCN2C)C1=O ZINC000925197104 613475309 /nfs/dbraw/zinc/47/53/09/613475309.db2.gz QBAVUUKJMGOWCR-WDEREUQCSA-N 0 1 251.330 0.967 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)[C@H](CC)OC)CC2)C1 ZINC000941338377 613481956 /nfs/dbraw/zinc/48/19/56/613481956.db2.gz APDPLWMBHJHNFT-HNNXBMFYSA-N 0 1 295.427 0.816 20 30 CCEDMN C=C[C@H](O)C(=O)Nc1cc(S(=O)(=O)CC)ccc1O ZINC000816823085 613497786 /nfs/dbraw/zinc/49/77/86/613497786.db2.gz HVDSVUFRXAQQHP-JTQLQIEISA-N 0 1 285.321 0.671 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NC[C@H]1C[C@@H](O)CN1C ZINC000924980211 613505751 /nfs/dbraw/zinc/50/57/51/613505751.db2.gz DZLKFNASJDQPBV-YRGRVCCFSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CN(CC)CCO2)CC1 ZINC000957724628 613508338 /nfs/dbraw/zinc/50/83/38/613508338.db2.gz ZSCUTNASMDYERD-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000810189206 613509821 /nfs/dbraw/zinc/50/98/21/613509821.db2.gz MKBGHPZEKVIRCD-JSGCOSHPSA-N 0 1 287.323 0.732 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCOC2)C1 ZINC000969391386 613530574 /nfs/dbraw/zinc/53/05/74/613530574.db2.gz JRIDGNIABAMREW-CHWSQXEVSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC000970931106 613531274 /nfs/dbraw/zinc/53/12/74/613531274.db2.gz ISYWTNSVQXZSKR-OLZOCXBDSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccnn2CC)C1 ZINC000969550545 613533568 /nfs/dbraw/zinc/53/35/68/613533568.db2.gz DNRORDJRFZREJA-GFCCVEGCSA-N 0 1 274.368 0.976 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC000970217456 613536342 /nfs/dbraw/zinc/53/63/42/613536342.db2.gz OTOQYMKMCARPPL-STQMWFEESA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)Cn3nccn3)C2)C1 ZINC000981793086 613542328 /nfs/dbraw/zinc/54/23/28/613542328.db2.gz JCHRPGIJLZTLOH-UHFFFAOYSA-N 0 1 287.367 0.226 20 30 CCEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cn(C)nn1 ZINC000968842983 613546979 /nfs/dbraw/zinc/54/69/79/613546979.db2.gz GRIXWRBQMZFXNJ-ZDUSSCGKSA-N 0 1 289.383 0.813 20 30 CCEDMN CC#CCN1CCN(C(=O)CCc2cnc[nH]2)CC1 ZINC000948678300 613561911 /nfs/dbraw/zinc/56/19/11/613561911.db2.gz FXALIVJWZZSMJC-UHFFFAOYSA-N 0 1 260.341 0.510 20 30 CCEDMN CC#CCN1CCN(C(=O)[C@@H](C)Cc2cnc[nH]2)CC1 ZINC000951604094 613564163 /nfs/dbraw/zinc/56/41/63/613564163.db2.gz DIQYTCYNZGXRSY-ZDUSSCGKSA-N 0 1 274.368 0.756 20 30 CCEDMN C=CCC[C@H](NC(=O)C[N@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC000909712774 613589410 /nfs/dbraw/zinc/58/94/10/613589410.db2.gz AABQXXVALWSITL-MNOVXSKESA-N 0 1 286.397 0.959 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cn2cccn2)C1 ZINC000965147984 613593964 /nfs/dbraw/zinc/59/39/64/613593964.db2.gz HACIZEKGUPWTHO-UONOGXRCSA-N 0 1 274.368 0.733 20 30 CCEDMN C=CCCn1cc(CNCC[C@@H]2CC(=O)N(C)C2)nn1 ZINC000922485223 613599263 /nfs/dbraw/zinc/59/92/63/613599263.db2.gz VPAFCUNHFFFKMI-GFCCVEGCSA-N 0 1 277.372 0.812 20 30 CCEDMN C=CCN(C(=O)C12CCN(CC1)C2)[C@@H]1CCS(=O)(=O)C1 ZINC000900310898 613604027 /nfs/dbraw/zinc/60/40/27/613604027.db2.gz UBPIHGUBZLOJNE-GFCCVEGCSA-N 0 1 298.408 0.284 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccn2C)C1 ZINC000957882828 613613134 /nfs/dbraw/zinc/61/31/34/613613134.db2.gz PZNKDAZHNVFVOF-GXTWGEPZSA-N 0 1 275.352 0.071 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2c(C)n[nH]c2C)[C@H](O)C1 ZINC000958488535 613614364 /nfs/dbraw/zinc/61/43/64/613614364.db2.gz BXJJFDCBZMVYLJ-CHWSQXEVSA-N 0 1 290.367 0.072 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)C2CC=CC2)[C@H](O)C1 ZINC000957930101 613614681 /nfs/dbraw/zinc/61/46/81/613614681.db2.gz FCFGFTGZBRMYGI-ZIAGYGMSSA-N 0 1 262.353 0.385 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2c[nH]cc2C)[C@H](O)C1 ZINC000958667895 613614869 /nfs/dbraw/zinc/61/48/69/613614869.db2.gz UUZUAPWCEDKMRG-TZMCWYRMSA-N 0 1 275.352 0.369 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc[nH]c2CC)C1 ZINC000958020448 613618471 /nfs/dbraw/zinc/61/84/71/613618471.db2.gz PEJMNRRXTMISRW-WFASDCNBSA-N 0 1 289.379 0.623 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2c[nH]cc2C)C1 ZINC000958667893 613618487 /nfs/dbraw/zinc/61/84/87/613618487.db2.gz UUZUAPWCEDKMRG-JSGCOSHPSA-N 0 1 275.352 0.369 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccnn1C ZINC000958722824 613634045 /nfs/dbraw/zinc/63/40/45/613634045.db2.gz HBIQESXYENHMRB-NHAGDIPZSA-N 0 1 272.352 0.032 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccnc(OC)c1 ZINC000961777690 613634407 /nfs/dbraw/zinc/63/44/07/613634407.db2.gz ISSLILIKJGGEQX-HALDLXJZSA-N 0 1 299.374 0.702 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cncs1 ZINC000960425320 613635193 /nfs/dbraw/zinc/63/51/93/613635193.db2.gz UKYVEVMSCULGTJ-IMRBUKKESA-N 0 1 275.377 0.755 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1ccc(C)cc1=O ZINC000961940805 613635205 /nfs/dbraw/zinc/63/52/05/613635205.db2.gz NJYGVPJIYHNQGH-HALDLXJZSA-N 0 1 299.374 0.226 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)n1cccn1 ZINC000958279376 613635308 /nfs/dbraw/zinc/63/53/08/613635308.db2.gz KKGINQCGETZMTD-MQYQWHSLSA-N 0 1 272.352 0.514 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)n(C)n1 ZINC000958336951 613638403 /nfs/dbraw/zinc/63/84/03/613638403.db2.gz WOKYMSMEMIQWLV-IMRBUKKESA-N 0 1 272.352 0.412 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(N(C)C)c1 ZINC000961933760 613641789 /nfs/dbraw/zinc/64/17/89/613641789.db2.gz SBOSRAHIXGNYPE-FOLVSLTJSA-N 0 1 298.390 0.831 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c2c1CCC2 ZINC000960727521 613642320 /nfs/dbraw/zinc/64/23/20/613642320.db2.gz ILLAMCZXBXHISY-IMRBUKKESA-N 0 1 284.363 0.582 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncn1C ZINC000960848991 613642400 /nfs/dbraw/zinc/64/24/00/613642400.db2.gz BBTILFSOSLWXHT-PJXYFTJBSA-N 0 1 258.325 0.103 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@H]1C[N@@H+](C2CC2)C[C@@H]1C ZINC000909141211 613643351 /nfs/dbraw/zinc/64/33/51/613643351.db2.gz CPOPPHXPMWFSES-JQWIXIFHSA-N 0 1 281.356 0.751 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000880442147 613652097 /nfs/dbraw/zinc/65/20/97/613652097.db2.gz UGRCNIAPCJMVFP-MISXGVKJSA-N 0 1 275.352 0.966 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC000970291792 613663432 /nfs/dbraw/zinc/66/34/32/613663432.db2.gz FUCFSHBHDJEMRG-ZYHUDNBSSA-N 0 1 265.357 0.087 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC000970970409 613665124 /nfs/dbraw/zinc/66/51/24/613665124.db2.gz ZNJRSXPQOQJJLH-SNVBAGLBSA-N 0 1 288.351 0.417 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC000969794006 613665737 /nfs/dbraw/zinc/66/57/37/613665737.db2.gz UAAGGAGUDMJUNQ-SECBINFHSA-N 0 1 286.339 0.589 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccn3nnnc3c2)C1 ZINC000970267600 613666494 /nfs/dbraw/zinc/66/64/94/613666494.db2.gz GVVGIZVREOBCPM-SNVBAGLBSA-N 0 1 286.339 0.360 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cn3c(n2)COCC3)C1 ZINC000969960322 613666953 /nfs/dbraw/zinc/66/69/53/613666953.db2.gz DEUDCEUQCOXXII-LLVKDONJSA-N 0 1 290.367 0.649 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC000970814689 613668607 /nfs/dbraw/zinc/66/86/07/613668607.db2.gz DCKKAEVAXKBLGC-AAEUAGOBSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000970281701 613669473 /nfs/dbraw/zinc/66/94/73/613669473.db2.gz JQLQIOXYGOUMDL-FTYKPCCVSA-N 0 1 250.342 0.501 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2c(C)cnn2C)C1 ZINC000970297751 613670032 /nfs/dbraw/zinc/67/00/32/613670032.db2.gz JZBSYBIHWZWWQJ-NSHDSACASA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(=O)n(C)o2)C1 ZINC000969572106 613670479 /nfs/dbraw/zinc/67/04/79/613670479.db2.gz FBWLCYCWYWHOEU-VIFPVBQESA-N 0 1 265.313 0.214 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnn3ncccc23)C1 ZINC000969854440 613673183 /nfs/dbraw/zinc/67/31/83/613673183.db2.gz DHTCSDZLQGRNHN-NSHDSACASA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnn(CC)n2)C1 ZINC000969620986 613673312 /nfs/dbraw/zinc/67/33/12/613673312.db2.gz PCPBXUWZIAEJCI-JTQLQIEISA-N 0 1 263.345 0.534 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@@H](CSC)C2=O)CC1 ZINC000924605942 613674505 /nfs/dbraw/zinc/67/45/05/613674505.db2.gz YRYUQJBHKXJATP-NSHDSACASA-N 0 1 283.397 0.920 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@H](CCF)C2=O)CC1 ZINC000844714341 613674601 /nfs/dbraw/zinc/67/46/01/613674601.db2.gz QTASCDGNWCQYET-LLVKDONJSA-N 0 1 269.320 0.917 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2noc3c2COCC3)C1 ZINC000970910019 613674618 /nfs/dbraw/zinc/67/46/18/613674618.db2.gz KDVISJUSODRWOG-JTQLQIEISA-N 0 1 291.351 0.983 20 30 CCEDMN C=CC[N@@H+]1CCCC2(CN(C(=O)c3n[nH]nc3C)C2)C1 ZINC000981931305 613681486 /nfs/dbraw/zinc/68/14/86/613681486.db2.gz WOUHOBFYSLWDKG-UHFFFAOYSA-N 0 1 275.356 0.837 20 30 CCEDMN C=CCN1CCCN(C(=O)C(C)(C)C(N)=O)CC1 ZINC000981382887 613687512 /nfs/dbraw/zinc/68/75/12/613687512.db2.gz BDUSVXRBYVHQIF-UHFFFAOYSA-N 0 1 253.346 0.218 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cn(C)c(=O)cn2)CC1 ZINC000981650767 613698821 /nfs/dbraw/zinc/69/88/21/613698821.db2.gz TUNKCIPYGCGZRX-UHFFFAOYSA-N 0 1 276.340 0.114 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230552 613730729 /nfs/dbraw/zinc/73/07/29/613730729.db2.gz FTRFZWBFUCJQER-MNOVXSKESA-N 0 1 278.356 0.752 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968628172 613768217 /nfs/dbraw/zinc/76/82/17/613768217.db2.gz UEDNUOBNCCXDBW-KBPBESRZSA-N 0 1 289.379 0.861 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@H](O)CC(C)(C)C2)C1=O ZINC000879624005 613778982 /nfs/dbraw/zinc/77/89/82/613778982.db2.gz KOBBOBFGSQOAMB-NEPJUHHUSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2cn(CC)nn2)C(C)(C)C1 ZINC000974857955 613807036 /nfs/dbraw/zinc/80/70/36/613807036.db2.gz WHYFNSSXNUDSNW-CYBMUJFWSA-N 0 1 291.399 0.853 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H](C)n2cncn2)C(C)(C)C1 ZINC000974484293 613807940 /nfs/dbraw/zinc/80/79/40/613807940.db2.gz MBHPVWSRHHEAGF-VXGBXAGGSA-N 0 1 277.372 0.852 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C(C)(C)C1 ZINC000977630712 613827747 /nfs/dbraw/zinc/82/77/47/613827747.db2.gz VWNPKMJXGNUTMO-STQMWFEESA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2COCCO2)C(C)(C)C1 ZINC000972820201 613828244 /nfs/dbraw/zinc/82/82/44/613828244.db2.gz BSHYEIKWQJMCMB-NEPJUHHUSA-N 0 1 268.357 0.414 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cn(C)c(=O)cn2)C(C)(C)C1 ZINC000974823311 613829560 /nfs/dbraw/zinc/82/95/60/613829560.db2.gz DKBIQODVCXPAPF-LBPRGKRZSA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(CC1)OCCCO2 ZINC000815156227 613853316 /nfs/dbraw/zinc/85/33/16/613853316.db2.gz XWKLYTVQUAJMLC-GFCCVEGCSA-N 0 1 268.357 0.906 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(CC1CC1)[C@H](C(N)=O)C1CC1 ZINC000879494342 613853326 /nfs/dbraw/zinc/85/33/26/613853326.db2.gz PUFPFAOTQFTANT-MFKMUULPSA-N 0 1 279.384 0.653 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC([C@@H]2COC(=O)N2)CC1 ZINC000932783381 613853347 /nfs/dbraw/zinc/85/33/47/613853347.db2.gz OVUHGNUNHWWKOP-PWSUYJOCSA-N 0 1 281.356 0.498 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(C2OCCO2)CC1 ZINC000110078265 613853349 /nfs/dbraw/zinc/85/33/49/613853349.db2.gz CREQMTDYFXNPMR-LLVKDONJSA-N 0 1 268.357 0.762 20 30 CCEDMN C=CCOCCNS(=O)(=O)N=S(=O)(CC)CC ZINC000867072167 613881137 /nfs/dbraw/zinc/88/11/37/613881137.db2.gz WPTNIVNBKYCUFQ-UHFFFAOYSA-N 0 1 284.403 0.531 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC000847708019 613885383 /nfs/dbraw/zinc/88/53/83/613885383.db2.gz RBFBTXJBJSJXIZ-JTQLQIEISA-N 0 1 285.369 0.305 20 30 CCEDMN CC(=O)N[C@@H](CC(C)C)C(=O)NCC#CCN(C)C ZINC000913520906 613967608 /nfs/dbraw/zinc/96/76/08/613967608.db2.gz DATWNWQICIHBGT-ZDUSSCGKSA-N 0 1 267.373 0.218 20 30 CCEDMN CC(C)(C)OC(=O)N1CC[C@@H](NCCC#N)[C@@H](O)C1 ZINC000872225306 613977848 /nfs/dbraw/zinc/97/78/48/613977848.db2.gz HEJFQHLSVYAMAI-MNOVXSKESA-N 0 1 269.345 0.860 20 30 CCEDMN CC(C)Nc1ncccc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834490326 613984163 /nfs/dbraw/zinc/98/41/63/613984163.db2.gz UEKXXYBWWYIIEC-PWSUYJOCSA-N 0 1 273.340 0.743 20 30 CCEDMN CC(C)Oc1cccnc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834479504 614057674 /nfs/dbraw/zinc/05/76/74/614057674.db2.gz FBQBAVZPAKBIPR-WDEREUQCSA-N 0 1 274.324 0.710 20 30 CCEDMN CC(=O)Nc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)ccc1F ZINC000834499967 614198350 /nfs/dbraw/zinc/19/83/50/614198350.db2.gz PFIHSTBHOLLEFW-MFKMUULPSA-N 0 1 290.298 0.625 20 30 CCEDMN CC(C)C[C@@H]1CN(C)CCN1CC(=O)NCCC#N ZINC000933247372 614202132 /nfs/dbraw/zinc/20/21/32/614202132.db2.gz PREKUNYTUOWWPE-CYBMUJFWSA-N 0 1 266.389 0.678 20 30 CCEDMN CC(C)[N@@H+](C)CCNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000819996658 614256149 /nfs/dbraw/zinc/25/61/49/614256149.db2.gz XQFSGRCERONRJT-GFCCVEGCSA-N 0 1 255.318 0.447 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)COC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840672947 614286171 /nfs/dbraw/zinc/28/61/71/614286171.db2.gz SMSVYQWFBFYVOV-LNLATYFQSA-N 0 1 283.284 0.249 20 30 CCEDMN CC(C)C#CC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907479845 614326373 /nfs/dbraw/zinc/32/63/73/614326373.db2.gz JBIIOXHQHCMIBH-SECBINFHSA-N 0 1 265.342 0.476 20 30 CCEDMN CC1(C(=O)NCCNC(O)=C2N=CC=CC2=O)CC=CC1 ZINC000932531397 614464015 /nfs/dbraw/zinc/46/40/15/614464015.db2.gz RIQJZGKUVTWSRY-SEYXRHQNSA-N 0 1 289.335 0.985 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])C[C@H]1CNc2c(C#N)cnn2C1 ZINC000829472901 614560631 /nfs/dbraw/zinc/56/06/31/614560631.db2.gz CUPIVOCFKAAXTH-SNVBAGLBSA-N 0 1 277.328 0.593 20 30 CCEDMN CC1(C)CN(CCO)C[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000974474003 614666114 /nfs/dbraw/zinc/66/61/14/614666114.db2.gz WLJWHGBKHCZYMH-GFCCVEGCSA-N 0 1 276.340 0.319 20 30 CCEDMN CCN(C(=O)[C@H]1CCc2[nH]cnc2C1)C1CN(CC#N)C1 ZINC000957108940 614683575 /nfs/dbraw/zinc/68/35/75/614683575.db2.gz QVFXUSDVUCKEFK-NSHDSACASA-N 0 1 287.367 0.571 20 30 CCEDMN CCN(C(=O)c1cc(C)[nH]n1)C1CN(C(=O)[C@H](C)C#N)C1 ZINC000967814566 614709735 /nfs/dbraw/zinc/70/97/35/614709735.db2.gz WJEDBTJUEPNBGM-SECBINFHSA-N 0 1 289.339 0.551 20 30 CCEDMN CCCC(=O)N1CCC[C@H](C(=O)N[C@H]2CNC[C@@H]2C#N)C1 ZINC000834488618 614765260 /nfs/dbraw/zinc/76/52/60/614765260.db2.gz DZAYZBPDWXZIII-AVGNSLFASA-N 0 1 292.383 0.253 20 30 CCEDMN CC[N@@H+](C)C[C@@H]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820333101 614865316 /nfs/dbraw/zinc/86/53/16/614865316.db2.gz RNEIETOKXTYHFR-FZMZJTMJSA-N 0 1 281.356 0.791 20 30 CCEDMN CCN(CC)Cc1ccc(C(=O)N[C@@H]2CCN(O)C2=O)o1 ZINC000820157328 615004776 /nfs/dbraw/zinc/00/47/76/615004776.db2.gz VRHKDUPPNQTSGA-LLVKDONJSA-N 0 1 295.339 0.841 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C)C1CCN(C(=O)[O-])CC1 ZINC000827112174 615021627 /nfs/dbraw/zinc/02/16/27/615021627.db2.gz XTPBHDVXRNVDOZ-UHFFFAOYSA-N 0 1 296.371 0.823 20 30 CCEDMN CCN1CCC(=NN[C@@H]2CCCS(=O)(=O)C2)[C@@H](C)C1 ZINC000863130350 615098658 /nfs/dbraw/zinc/09/86/58/615098658.db2.gz MYVOSDLPPBBQDV-NWDGAFQWSA-N 0 1 287.429 0.871 20 30 CCEDMN CCNc1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000834480003 615141107 /nfs/dbraw/zinc/14/11/07/615141107.db2.gz KHIJPBFUOPKNMF-JGVFFNPUSA-N 0 1 265.342 0.416 20 30 CCEDMN CC[N@H+]1CCC[C@H]1CNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820348011 615145784 /nfs/dbraw/zinc/14/57/84/615145784.db2.gz GDGXAIAFUBUWDN-GXFFZTMASA-N 0 1 267.329 0.591 20 30 CCEDMN CCOC(=O)CON=C(C(=O)N[C@@H]1C[C@H]1C)c1ccn[nH]1 ZINC000803690564 615200458 /nfs/dbraw/zinc/20/04/58/615200458.db2.gz OHNXDXUWRSYWMD-PSASIEDQSA-N 0 1 294.311 0.218 20 30 CCEDMN CCOC(=O)C[C@H](O)CN1CCC2(CC1)CC(=O)C=CO2 ZINC000933645814 615214734 /nfs/dbraw/zinc/21/47/34/615214734.db2.gz XNMSGZPFBGVXHI-ZDUSSCGKSA-N 0 1 297.351 0.638 20 30 CCEDMN CCOC(=O)NCCCC(=O)NCC#CCN(C)C ZINC000913520242 615249719 /nfs/dbraw/zinc/24/97/19/615249719.db2.gz JGBIPZANMIGGAV-UHFFFAOYSA-N 0 1 269.345 0.194 20 30 CCEDMN CCOC(=O)[C@@](C)(O)CN1CCC2(CC1)CC(=O)C=CO2 ZINC000933649049 615328818 /nfs/dbraw/zinc/32/88/18/615328818.db2.gz VYSJQIKJVHZJPQ-AWEZNQCLSA-N 0 1 297.351 0.638 20 30 CCEDMN CCS[C@H](C(=O)N[C@H]1CNC[C@H]1C#N)C(C)C ZINC000834494512 615363166 /nfs/dbraw/zinc/36/31/66/615363166.db2.gz NQFIBUSUWRZUCU-VWYCJHECSA-N 0 1 255.387 0.992 20 30 CCEDMN CCOC(=O)[C@H]1CN(CC#CCOC)CC12COC2 ZINC000830274459 615382659 /nfs/dbraw/zinc/38/26/59/615382659.db2.gz NLHWTKQZSFBPAI-GFCCVEGCSA-N 0 1 267.325 0.148 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC1CC1)C1CCOCC1 ZINC000870143636 615476774 /nfs/dbraw/zinc/47/67/74/615476774.db2.gz WINYMEBQRNPPTA-JSGCOSHPSA-N 0 1 294.351 0.806 20 30 CCEDMN CCn1nc(C(=O)NC2=NO[C@@H](C)C2)ccc1=O ZINC000765375157 615636755 /nfs/dbraw/zinc/63/67/55/615636755.db2.gz FSQCVKQTLUEDCQ-ZETCQYMHSA-N 0 1 250.258 0.115 20 30 CCEDMN CCc1ccc(C#CC(=O)N2CCN3C[C@H](O)C[C@H]3C2)cc1 ZINC000848307048 615660060 /nfs/dbraw/zinc/66/00/60/615660060.db2.gz OWUZPSCLJXMDCA-DLBZAZTESA-N 0 1 298.386 0.878 20 30 CCEDMN CCc1ccc(C#CC(=O)NC[C@H]2C[C@@H](O)CN2C)cc1 ZINC000924980524 615660397 /nfs/dbraw/zinc/66/03/97/615660397.db2.gz SNIOATPZALEWTI-HZPDHXFCSA-N 0 1 286.375 0.782 20 30 CCEDMN CC[C@H](C)[C@H](NCC(=O)N(CC)C[C@@H](C)C#N)C(N)=O ZINC000887059541 615698178 /nfs/dbraw/zinc/69/81/78/615698178.db2.gz ZHRNPLWTHNSRKK-GVXVVHGQSA-N 0 1 282.388 0.484 20 30 CCEDMN CCn1ncn(N=Cc2cc(-c3cccnc3)[nH]n2)c1=O ZINC000814234242 615729085 /nfs/dbraw/zinc/72/90/85/615729085.db2.gz JNKUBYGPHKBHHU-UHFFFAOYSA-N 0 1 283.295 0.732 20 30 CCEDMN CCc1nc(COC(=O)[C@H](C)n2cnc(C#N)n2)n[nH]1 ZINC000798740226 615749149 /nfs/dbraw/zinc/74/91/49/615749149.db2.gz NZMWBYBAZWQRNH-ZETCQYMHSA-N 0 1 275.272 0.135 20 30 CCEDMN CCc1noc(CC)c1CC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834479785 615834787 /nfs/dbraw/zinc/83/47/87/615834787.db2.gz IPMZZZPAZIZZPA-SKDRFNHKSA-N 0 1 276.340 0.570 20 30 CCEDMN CN(C)CC#CCNC(=O)COc1ccc(CO)cc1 ZINC000913522285 615858215 /nfs/dbraw/zinc/85/82/15/615858215.db2.gz CNTUFXGGNXTNTO-UHFFFAOYSA-N 0 1 276.336 0.239 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cc(N(C)C)ncn1 ZINC000931108815 615858767 /nfs/dbraw/zinc/85/87/67/615858767.db2.gz OWAKODQWWNXKGP-UHFFFAOYSA-N 0 1 276.344 0.229 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cc2n(n1)CCN(C)C2 ZINC000932221619 615858915 /nfs/dbraw/zinc/85/89/15/615858915.db2.gz PBMPSQZPYOKMRR-UHFFFAOYSA-N 0 1 290.371 0.015 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cnc2nccn2c1 ZINC000931981261 615858920 /nfs/dbraw/zinc/85/89/20/615858920.db2.gz LYFULOWSBXGPJA-UHFFFAOYSA-N 0 1 272.312 0.416 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCCN(C2CC2)C1=O ZINC000827971922 615860138 /nfs/dbraw/zinc/86/01/38/615860138.db2.gz MGWIYNFAKVXQTE-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)NC(=O)CO2 ZINC000913515136 615861200 /nfs/dbraw/zinc/86/12/00/615861200.db2.gz KQEHJQDXYHASAQ-UHFFFAOYSA-N 0 1 287.319 0.312 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2[nH]cnc21 ZINC000913519964 615861325 /nfs/dbraw/zinc/86/13/25/615861325.db2.gz WXVWVTDPJGBPNC-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)CCC(=O)N2 ZINC000913515941 615861380 /nfs/dbraw/zinc/86/13/80/615861380.db2.gz UGJXWAWMLVUQGW-UHFFFAOYSA-N 0 1 285.347 0.866 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnc(N2CCCC2)n1 ZINC000913519422 615861486 /nfs/dbraw/zinc/86/14/86/615861486.db2.gz ZFUBWRJBDQNJSA-UHFFFAOYSA-N 0 1 287.367 0.372 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nnc(-c2cscn2)o1 ZINC000905152408 615862446 /nfs/dbraw/zinc/86/24/46/615862446.db2.gz XULQJHOGXHRELR-UHFFFAOYSA-N 0 1 291.336 0.488 20 30 CCEDMN CN(C)CC(=O)N1CCN(C(=O)C#CC(C)(C)C)CC1 ZINC000837337629 615862942 /nfs/dbraw/zinc/86/29/42/615862942.db2.gz IKHHCWSINAMVJE-UHFFFAOYSA-N 0 1 279.384 0.268 20 30 CCEDMN CN(C)Cc1ccc(C(=O)N[C@@H]2CCCN(O)C2=O)cn1 ZINC000848133145 615953797 /nfs/dbraw/zinc/95/37/97/615953797.db2.gz SSTQJNXZYRHKFN-GFCCVEGCSA-N 0 1 292.339 0.253 20 30 CCEDMN CN(C)[C@@H](CNC(=O)NCC#CCO)c1ccsc1 ZINC000923786665 615974120 /nfs/dbraw/zinc/97/41/20/615974120.db2.gz XBNWLDGXXZBDSJ-LBPRGKRZSA-N 0 1 281.381 0.646 20 30 CCEDMN C[N@@H+](CC(=O)NCC#N)C1CCC(NC(=O)[O-])CC1 ZINC000828103044 616046815 /nfs/dbraw/zinc/04/68/15/616046815.db2.gz XDAMOMDCRYYKSK-UHFFFAOYSA-N 0 1 268.317 0.137 20 30 CCEDMN C[N@@H+](CC(=O)NCCC#N)C1CCN(C(=O)[O-])CC1 ZINC000828108969 616046903 /nfs/dbraw/zinc/04/69/03/616046903.db2.gz ZXTNAOCAKRZXCB-UHFFFAOYSA-N 0 1 268.317 0.090 20 30 CCEDMN CN(CCN1CCCC1)S(=O)(=O)c1ccc(C#N)cn1 ZINC000906911493 616086285 /nfs/dbraw/zinc/08/62/85/616086285.db2.gz HTHIGVNRKQEIEM-UHFFFAOYSA-N 0 1 294.380 0.670 20 30 CCEDMN CN1C(=O)[C@H]2CN(Cc3cc(C#N)ccc3F)C[C@H]2C1=O ZINC000879531324 616158614 /nfs/dbraw/zinc/15/86/14/616158614.db2.gz CTVBGAWNJLIAHS-TXEJJXNPSA-N 0 1 287.294 0.744 20 30 CCEDMN CN(Cc1cccc(C#N)c1)[C@H]1CCN(C(=O)C(N)=O)C1 ZINC000972210036 616168046 /nfs/dbraw/zinc/16/80/46/616168046.db2.gz ONBPDNBCTLPWPV-ZDUSSCGKSA-N 0 1 286.335 0.076 20 30 CCEDMN CN1CCC[C@@H]1c1cc(C(=O)N2CC(C#N)C2)[nH]n1 ZINC000897843180 616197272 /nfs/dbraw/zinc/19/72/72/616197272.db2.gz OTISWGQUBYDGIQ-GFCCVEGCSA-N 0 1 259.313 0.772 20 30 CCEDMN C[N@H+]1CCC[C@@H]2CN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC[C@H]21 ZINC000820620403 616197679 /nfs/dbraw/zinc/19/76/79/616197679.db2.gz MBDMEHHZEXRFGS-JMSVASOKSA-N 0 1 293.367 0.934 20 30 CCEDMN CN1CCC[C@H](NC(=O)[C@@]2(C#N)CCCOC2)C1 ZINC000865185595 616207844 /nfs/dbraw/zinc/20/78/44/616207844.db2.gz YNMRONIYCITNGW-WCQYABFASA-N 0 1 251.330 0.517 20 30 CCEDMN CN1CCN(C)CCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000888988297 616236132 /nfs/dbraw/zinc/23/61/32/616236132.db2.gz JLGOIOMLLSXRQB-UHFFFAOYSA-N 0 1 275.356 0.206 20 30 CCEDMN CN1CCN(CCNC(=O)c2ccsc2C#N)CC1 ZINC000905550342 616242091 /nfs/dbraw/zinc/24/20/91/616242091.db2.gz AYCBFLJLFZLBRH-UHFFFAOYSA-N 0 1 278.381 0.597 20 30 CCEDMN CN1CCN(C[C@@H](O)CC2(C#N)CCC2)C[C@H]1CO ZINC000931398246 616242889 /nfs/dbraw/zinc/24/28/89/616242889.db2.gz JTVPFYQUGLXRRQ-STQMWFEESA-N 0 1 267.373 0.040 20 30 CCEDMN CN1CCN(C[C@H](O)CC2(C#N)CCC2)C[C@@H]1CO ZINC000931398243 616243566 /nfs/dbraw/zinc/24/35/66/616243566.db2.gz JTVPFYQUGLXRRQ-CHWSQXEVSA-N 0 1 267.373 0.040 20 30 CCEDMN CN1CCN(Cc2ccc(OCC#N)cc2)C[C@@H]1CO ZINC000931406917 616245037 /nfs/dbraw/zinc/24/50/37/616245037.db2.gz DFWMGDRATWQIJI-CQSZACIVSA-N 0 1 275.352 0.697 20 30 CCEDMN CN1CCOc2ccc(C(=O)N[C@H]3CNC[C@@H]3C#N)cc21 ZINC000876802639 616263631 /nfs/dbraw/zinc/26/36/31/616263631.db2.gz RHYDXGMMAULMMF-RYUDHWBXSA-N 0 1 286.335 0.357 20 30 CCEDMN CN1CCOc2ccc(C(=O)N[C@H]3CNC[C@H]3C#N)cc21 ZINC000876802633 616263677 /nfs/dbraw/zinc/26/36/77/616263677.db2.gz RHYDXGMMAULMMF-NEPJUHHUSA-N 0 1 286.335 0.357 20 30 CCEDMN COC(=O)/C=C/c1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)o1 ZINC000852827260 616317679 /nfs/dbraw/zinc/31/76/79/616317679.db2.gz FHLATVCJGAJJMB-DLSFUBIUSA-N 0 1 289.291 0.307 20 30 CCEDMN CN1CC[C@H](C(=O)N[C@@H]2CNC[C@@H]2C#N)c2ccccc21 ZINC000876803949 616375966 /nfs/dbraw/zinc/37/59/66/616375966.db2.gz ZWAFZARWZSFBGQ-FPMFFAJLSA-N 0 1 284.363 0.838 20 30 CCEDMN COC(=O)C12CC(C(=O)NCC#CCN(C)C)(C1)C2 ZINC000913523941 616396900 /nfs/dbraw/zinc/39/69/00/616396900.db2.gz SFQJJIAMLUGXIA-UHFFFAOYSA-N 0 1 264.325 0.011 20 30 CCEDMN CN1C[C@H](O)C[C@H]1CNC(=O)[C@]1(C#N)CC2CCC1CC2 ZINC000924994134 616488589 /nfs/dbraw/zinc/48/85/89/616488589.db2.gz YFZBDTWKDKOZKK-PNXXAQSRSA-N 0 1 291.395 0.888 20 30 CCEDMN COCCOC1CCC(C(=O)NCC#CCN(C)C)CC1 ZINC000913521713 616522778 /nfs/dbraw/zinc/52/27/78/616522778.db2.gz KLPHBLMCSJKEQD-UHFFFAOYSA-N 0 1 296.411 0.889 20 30 CCEDMN COC(=O)[C@@]1(OC)CCN(CCO[C@H](C)C#N)C1 ZINC000859557616 616565978 /nfs/dbraw/zinc/56/59/78/616565978.db2.gz VBBPMQKMRFICNU-ZYHUDNBSSA-N 0 1 256.302 0.179 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@@H]1CCCN1C(C)C ZINC000933219442 616587281 /nfs/dbraw/zinc/58/72/81/616587281.db2.gz QVAFAPKBIUZKGP-NSHDSACASA-N 0 1 267.329 0.384 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1NCc1cnc2c(C#N)cnn2c1 ZINC000897891774 616674772 /nfs/dbraw/zinc/67/47/72/616674772.db2.gz PRQQSNPVSPBBHV-CHWSQXEVSA-N 0 1 287.323 0.494 20 30 CCEDMN COC(=O)[C@H]1CNCCCN1C(=O)c1coc(C#N)c1 ZINC000876849743 616692190 /nfs/dbraw/zinc/69/21/90/616692190.db2.gz XUGOVFFWDKKTJL-LLVKDONJSA-N 0 1 277.280 0.128 20 30 CCEDMN COCCn1c(=O)cc(O)nc1SCCO[C@@H](C)C#N ZINC000802726267 616714066 /nfs/dbraw/zinc/71/40/66/616714066.db2.gz HDULMHLZVZWLAF-VIFPVBQESA-N 0 1 299.352 0.616 20 30 CCEDMN CNC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC000870154622 616838547 /nfs/dbraw/zinc/83/85/47/616838547.db2.gz NNARLRZTBXOLRJ-HBNTYKKESA-N 0 1 250.298 0.504 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)COc1cc(C)on1 ZINC000916871412 616897719 /nfs/dbraw/zinc/89/77/19/616897719.db2.gz VHVQEMGFIOKRPY-JTQLQIEISA-N 0 1 295.295 0.223 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)COc1ccsc1 ZINC000800385018 616901535 /nfs/dbraw/zinc/90/15/35/616901535.db2.gz PLELNDHTXXTGRU-LLVKDONJSA-N 0 1 296.348 0.988 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CC[C@H](OC)C1 ZINC000850751878 616902126 /nfs/dbraw/zinc/90/21/26/616902126.db2.gz IRWWHXYKCALPTQ-SDDRHHMPSA-N 0 1 282.340 0.663 20 30 CCEDMN COCc1cccc(CC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834499678 616903144 /nfs/dbraw/zinc/90/31/44/616903144.db2.gz LDYLUKISUQPLKL-KGLIPLIRSA-N 0 1 273.336 0.603 20 30 CCEDMN COC(=O)c1ccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000841040214 616915271 /nfs/dbraw/zinc/91/52/71/616915271.db2.gz IRQWCJDHMJOOJM-JQWIXIFHSA-N 0 1 288.307 0.706 20 30 CCEDMN COC(=O)c1ccc(NN=Cc2cnn(C)n2)nc1 ZINC000814886407 616917171 /nfs/dbraw/zinc/91/71/71/616917171.db2.gz AQPBNCOYASPMAR-UHFFFAOYSA-N 0 1 260.257 0.443 20 30 CCEDMN COCCN(C)c1ccc(C=[NH+]NCC(=O)[O-])cn1 ZINC000814960573 616972704 /nfs/dbraw/zinc/97/27/04/616972704.db2.gz PMXUOILBAFMAAV-UHFFFAOYSA-N 0 1 266.301 0.172 20 30 CCEDMN COCCN(C)c1ccc(C=NNC[C@H](C)O)cn1 ZINC000814964639 616972850 /nfs/dbraw/zinc/97/28/50/616972850.db2.gz ANTFVBVQPLZOAN-NSHDSACASA-N 0 1 266.345 0.469 20 30 CCEDMN COCCN(C[C@@H](O)CC1(C#N)CCC1)[C@@H](C)C(N)=O ZINC000885999124 617025636 /nfs/dbraw/zinc/02/56/36/617025636.db2.gz FJAOPENNTCQRBT-RYUDHWBXSA-N 0 1 283.372 0.253 20 30 CCEDMN CNc1ccccc1C=NNCCS(C)(=O)=O ZINC000872352608 617065948 /nfs/dbraw/zinc/06/59/48/617065948.db2.gz ZOMTWFQNNAXPHP-UHFFFAOYSA-N 0 1 255.343 0.696 20 30 CCEDMN COc1cc(C#N)ccc1NC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000841191953 617152570 /nfs/dbraw/zinc/15/25/70/617152570.db2.gz JSGCQSMOQVQLFX-ZYHUDNBSSA-N 0 1 285.307 0.800 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@]1(C)CCO[C@@H]1C ZINC001025924270 625304988 /nfs/dbraw/zinc/30/49/88/625304988.db2.gz OUTVCOZFVPCOTB-USRAEIGSSA-N 0 1 276.380 0.871 20 30 CCEDMN N#CC[C@@H](O)C[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000930543374 625354642 /nfs/dbraw/zinc/35/46/42/625354642.db2.gz TVLMRHQEHMZDDM-OLZOCXBDSA-N 0 1 272.308 0.681 20 30 CCEDMN N#CC[C@@H](O)CN1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000930543374 625354643 /nfs/dbraw/zinc/35/46/43/625354643.db2.gz TVLMRHQEHMZDDM-OLZOCXBDSA-N 0 1 272.308 0.681 20 30 CCEDMN Cc1cnc(CNC[C@H]2CCN(C(=O)[C@H](C)C#N)C2)nc1 ZINC001026732012 625576909 /nfs/dbraw/zinc/57/69/09/625576909.db2.gz FKFXCCIMENWDAJ-CHWSQXEVSA-N 0 1 287.367 0.883 20 30 CCEDMN Cc1nnc(CNC[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001026732945 625579562 /nfs/dbraw/zinc/57/95/62/625579562.db2.gz PKRFVEKZZOSHJV-KOLCDFICSA-N 0 1 293.396 0.944 20 30 CCEDMN C#CCN1CCO[C@@]2(CCN(C(=O)[C@H]3CCC[N@@H+]3C)C2)C1 ZINC000972295108 622748404 /nfs/dbraw/zinc/74/84/04/622748404.db2.gz QQZSMFMQWINTJF-ZBFHGGJFSA-N 0 1 291.395 0.017 20 30 CCEDMN C#CCN1CCO[C@@]2(CCN(C(=O)[C@H]3CCCN3C)C2)C1 ZINC000972295108 622748409 /nfs/dbraw/zinc/74/84/09/622748409.db2.gz QQZSMFMQWINTJF-ZBFHGGJFSA-N 0 1 291.395 0.017 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CC[C@H](N(C)CC#N)C1 ZINC000972516228 622921102 /nfs/dbraw/zinc/92/11/02/622921102.db2.gz KEADQMLXENAFSZ-YPMHNXCESA-N 0 1 275.356 0.645 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2cnsn2)C1 ZINC001023240373 622964761 /nfs/dbraw/zinc/96/47/61/622964761.db2.gz JLVVTAMSSUPICZ-JTQLQIEISA-N 0 1 264.354 0.613 20 30 CCEDMN Cc1nonc1CNC[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001026834994 625634639 /nfs/dbraw/zinc/63/46/39/625634639.db2.gz OAMPLZNSAYUHMY-CYBMUJFWSA-N 0 1 288.351 0.730 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1nnn(C)c1C ZINC001024373255 623305625 /nfs/dbraw/zinc/30/56/25/623305625.db2.gz KSHXISNLUCZGTB-LBPRGKRZSA-N 0 1 277.372 0.894 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1COCCN1C ZINC001024392609 623316134 /nfs/dbraw/zinc/31/61/34/623316134.db2.gz UDDOCDRIJKIKIU-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cn(C)nn1 ZINC001024420439 623317069 /nfs/dbraw/zinc/31/70/69/623317069.db2.gz PMFXDRMTKMWGDU-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001024580069 623376363 /nfs/dbraw/zinc/37/63/63/623376363.db2.gz QMTPZZBADIWMMR-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1CN(C)C(=O)N1 ZINC001024595626 623388086 /nfs/dbraw/zinc/38/80/86/623388086.db2.gz KOSDOAXRRRDKMS-VXGBXAGGSA-N 0 1 280.372 0.167 20 30 CCEDMN C[C@@H]1CN2CCCC[C@H]2CN1C(=O)NCC#CCO ZINC000923780190 623419426 /nfs/dbraw/zinc/41/94/26/623419426.db2.gz HYRUHAQQEUBVQR-OLZOCXBDSA-N 0 1 265.357 0.250 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cnn(C)n1 ZINC001024707357 623419979 /nfs/dbraw/zinc/41/99/79/623419979.db2.gz LUCJMDLLFHAYNE-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001024779098 623439284 /nfs/dbraw/zinc/43/92/84/623439284.db2.gz AGABSCYJDJQUES-LSDHHAIUSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H]1CCCCN1CC(N)=NOCc1ncnn1C ZINC000177673608 623442942 /nfs/dbraw/zinc/44/29/42/623442942.db2.gz JAIIDVCHUCWXTE-SNVBAGLBSA-N 0 1 266.349 0.478 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001024817731 623453129 /nfs/dbraw/zinc/45/31/29/623453129.db2.gz FQDWSNISOQAGRQ-LSDHHAIUSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1[C@H]1CCCCN1C(=O)c1ncn[n-]1 ZINC001025279993 623687080 /nfs/dbraw/zinc/68/70/80/623687080.db2.gz KBVMEUUQCHOYCP-QWHCGFSZSA-N 0 1 287.367 0.897 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NCc2ccn(C)n2)C1 ZINC001018633673 623700642 /nfs/dbraw/zinc/70/06/42/623700642.db2.gz ZCCYEYGLLUZLNX-JQWIXIFHSA-N 0 1 261.329 0.270 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]([C@@H](C)NC(=O)c2ncc[nH]2)C1 ZINC001025416895 623770319 /nfs/dbraw/zinc/77/03/19/623770319.db2.gz LWMNTTRGHKOZBX-NEPJUHHUSA-N 0 1 260.341 0.873 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[C@@H](C)C[C@@H]1C(=O)[O-] ZINC000327953275 623817313 /nfs/dbraw/zinc/81/73/13/623817313.db2.gz AMWIQNHVJMQUIC-CHWSQXEVSA-N 0 1 295.383 0.836 20 30 CCEDMN C[C@H](NC(=O)c1[nH]ncc1F)[C@@H]1CCCN(CC#N)C1 ZINC001025521858 623822651 /nfs/dbraw/zinc/82/26/51/623822651.db2.gz DDLLMQQHZFFLBY-VHSXEESVSA-N 0 1 279.319 0.903 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CO[C@H](C)C1 ZINC001025830414 623893549 /nfs/dbraw/zinc/89/35/49/623893549.db2.gz OHLOXCWEPUJLPC-BJJPWKGXSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CO[C@H](C)C1 ZINC001025830416 623894075 /nfs/dbraw/zinc/89/40/75/623894075.db2.gz OHLOXCWEPUJLPC-POQQGIQPSA-N 0 1 262.353 0.481 20 30 CCEDMN Cc1c(C(=O)N2CC[C@@H](NCC#N)C2)ccc2cncn21 ZINC000969156826 624469129 /nfs/dbraw/zinc/46/91/29/624469129.db2.gz HIDPBKQQJOCYKK-GFCCVEGCSA-N 0 1 283.335 0.970 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2cccc3nc[nH]c32)C1 ZINC001020801830 624605334 /nfs/dbraw/zinc/60/53/34/624605334.db2.gz NOEADHVWRXWZMX-MGCOHNPYSA-N 0 1 269.308 0.937 20 30 CCEDMN CN(C)c1ccncc1C(=O)N[C@H]1C[C@H](NCC#N)C1 ZINC001020938222 624627581 /nfs/dbraw/zinc/62/75/81/624627581.db2.gz HELWBANCVXMLEP-XYPYZODXSA-N 0 1 273.340 0.522 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](NCc2ccn(C)n2)C1 ZINC000967945917 624635051 /nfs/dbraw/zinc/63/50/51/624635051.db2.gz ZCCYEYGLLUZLNX-ZYHUDNBSSA-N 0 1 261.329 0.270 20 30 CCEDMN O=C(CCc1c[nH]nn1)NCC#C[C@H]1CCCCO1 ZINC000891116049 624729251 /nfs/dbraw/zinc/72/92/51/624729251.db2.gz KCZPHBLSYFDBCN-GFCCVEGCSA-N 0 1 262.313 0.426 20 30 CCEDMN O=C(CCc1cnn[nH]1)NCC#C[C@H]1CCCCO1 ZINC000891116049 624729256 /nfs/dbraw/zinc/72/92/56/624729256.db2.gz KCZPHBLSYFDBCN-GFCCVEGCSA-N 0 1 262.313 0.426 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000970719836 624738147 /nfs/dbraw/zinc/73/81/47/624738147.db2.gz LPVHNPYLFCFVSC-LLVKDONJSA-N 0 1 285.307 0.997 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCN(CC#N)[C@H](C)C2)[nH]n1 ZINC000947194347 624815273 /nfs/dbraw/zinc/81/52/73/624815273.db2.gz AGAGWKAEGIZCDH-VXGBXAGGSA-N 0 1 275.356 0.753 20 30 CCEDMN C[C@@H](NC[C@]1(CO)COCCN1C)c1cc(C#N)ccn1 ZINC000903280168 634551212 /nfs/dbraw/zinc/55/12/12/634551212.db2.gz SVNXUXFMLBXMQH-DOMZBBRYSA-N 0 1 290.367 0.297 20 30 CCEDMN C[C@@H]1C[NH2+]C[C@H]1C[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000903533247 634604903 /nfs/dbraw/zinc/60/49/03/634604903.db2.gz AFWQVQGSEVTCSS-BDAKNGLRSA-N 0 1 269.326 0.285 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCO1 ZINC001027740735 630887990 /nfs/dbraw/zinc/88/79/90/630887990.db2.gz OANNVFHPDHHPQR-QWHCGFSZSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc(C)nn1C ZINC001027776622 630908340 /nfs/dbraw/zinc/90/83/40/630908340.db2.gz VCZZIAPBFCBQIN-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCOC1 ZINC001027778550 630909703 /nfs/dbraw/zinc/90/97/03/630909703.db2.gz QAPSYICYIOZMDO-QWHCGFSZSA-N 0 1 250.342 0.627 20 30 CCEDMN N#CCN1CCCC2(CN(C(=O)CCc3cnc[nH]3)C2)C1 ZINC000981807000 631321352 /nfs/dbraw/zinc/32/13/52/631321352.db2.gz SVQYWZLMJMYSRA-UHFFFAOYSA-N 0 1 287.367 0.790 20 30 CCEDMN COc1cncc(CN[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000967975042 650005996 /nfs/dbraw/zinc/00/59/96/650005996.db2.gz JVUSRWOTCRGDCP-WDEREUQCSA-N 0 1 289.339 0.335 20 30 CCEDMN Cc1oncc1CN[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000967945411 650006128 /nfs/dbraw/zinc/00/61/28/650006128.db2.gz WTGYHLKGWZZICW-BXKDBHETSA-N 0 1 262.313 0.833 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN(CCCCCO)CC2)n1 ZINC000894966747 631886374 /nfs/dbraw/zinc/88/63/74/631886374.db2.gz NBFDRZOFWIXEMQ-UHFFFAOYSA-N 0 1 289.383 0.941 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CN2CCCC2=O)C1 ZINC001006710677 650049319 /nfs/dbraw/zinc/04/93/19/650049319.db2.gz FNBMMGXFVFZYOP-ZDUSSCGKSA-N 0 1 277.368 0.213 20 30 CCEDMN N#Cc1cccc(CNC(=O)C2CN([C@H]3CCOC3)C2)c1 ZINC000895637055 632119539 /nfs/dbraw/zinc/11/95/39/632119539.db2.gz DJAGGPCNPHTEOC-HNNXBMFYSA-N 0 1 285.347 0.895 20 30 CCEDMN N#Cc1csc(CN2CCC(O)(COCCO)CC2)c1 ZINC000895826871 632158921 /nfs/dbraw/zinc/15/89/21/632158921.db2.gz BACLYJZGZGMLEY-UHFFFAOYSA-N 0 1 296.392 0.956 20 30 CCEDMN C[C@H](NC(=O)CC1(C#N)CC1)[C@@H]1CN(C)CCN1C ZINC000896539497 632271013 /nfs/dbraw/zinc/27/10/13/632271013.db2.gz PORYZNZZYWLWCU-RYUDHWBXSA-N 0 1 264.373 0.431 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)cc1)[C@H]1CN(C)CCN1C ZINC000896578800 632293692 /nfs/dbraw/zinc/29/36/92/632293692.db2.gz AOSCNQXKZULZEI-IUODEOHRSA-N 0 1 286.379 0.922 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CN(C)CCO2)C1 ZINC001006995211 650061223 /nfs/dbraw/zinc/06/12/23/650061223.db2.gz DCVJCZBLYWZJNC-CHWSQXEVSA-N 0 1 267.373 0.084 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001007476533 650079846 /nfs/dbraw/zinc/07/98/46/650079846.db2.gz DIGYLOPQRXAFJG-HNNXBMFYSA-N 0 1 288.395 0.875 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cn3c(n2)COCC3)C1 ZINC001007639842 650087231 /nfs/dbraw/zinc/08/72/31/650087231.db2.gz JNGWMFVWIHLZHS-LBPRGKRZSA-N 0 1 290.367 0.794 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@H]1CCCN(CC#N)C1 ZINC001007646718 650087322 /nfs/dbraw/zinc/08/73/22/650087322.db2.gz PXFIRTMYDMSFMP-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@@H]2CCCN(CCO)C2)c1 ZINC001007030038 632815580 /nfs/dbraw/zinc/81/55/80/632815580.db2.gz PZITUIDXQZKOMV-LLVKDONJSA-N 0 1 262.313 0.073 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001008205886 650104803 /nfs/dbraw/zinc/10/48/03/650104803.db2.gz XHYIAWFSMGGWQU-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cc(=O)n(C)o2)C1 ZINC000988719844 633090933 /nfs/dbraw/zinc/09/09/33/633090933.db2.gz OOJDFTXSQPJJNF-NXEZZACHSA-N 0 1 299.758 0.923 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCN(C(C)=O)C2)CC1 ZINC000989568411 633328217 /nfs/dbraw/zinc/32/82/17/633328217.db2.gz DFSFRNDGLRFLQT-AWEZNQCLSA-N 0 1 279.384 0.575 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)c2ccn(C)n2)CC1 ZINC000989665213 633341139 /nfs/dbraw/zinc/34/11/39/633341139.db2.gz AFDATKNETFOAPC-UHFFFAOYSA-N 0 1 260.341 0.591 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccn(C)n2)CC1 ZINC000989665213 633341142 /nfs/dbraw/zinc/34/11/42/633341142.db2.gz AFDATKNETFOAPC-UHFFFAOYSA-N 0 1 260.341 0.591 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001014446839 633367942 /nfs/dbraw/zinc/36/79/42/633367942.db2.gz JFYISZXVVQSXQP-MNOVXSKESA-N 0 1 273.340 0.544 20 30 CCEDMN C=CC[N@H+]1CC[C@]2(NC(=O)[C@H]3COCCO3)CCC[C@H]12 ZINC000989963577 633393377 /nfs/dbraw/zinc/39/33/77/633393377.db2.gz MROIPIHPABGNJZ-VNHYZAJKSA-N 0 1 280.368 0.701 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ccn[nH]3)CCC[C@H]12 ZINC000989970316 633396000 /nfs/dbraw/zinc/39/60/00/633396000.db2.gz OMIBFPNCUDRPER-GXTWGEPZSA-N 0 1 258.325 0.770 20 30 CCEDMN Cc1cc(CC(=O)N[C@]23CCC[C@H]2N(CC#N)CC3)[nH]n1 ZINC000990194327 633477177 /nfs/dbraw/zinc/47/71/77/633477177.db2.gz SIOQUIURSMZIGX-HIFRSBDPSA-N 0 1 287.367 0.897 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(NCc2ncnn2C)CC1 ZINC000990261332 633497850 /nfs/dbraw/zinc/49/78/50/633497850.db2.gz DPMOEYSYMXMCAS-JTQLQIEISA-N 0 1 276.344 0.055 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(NCc2ccon2)CC1 ZINC000990263081 633499005 /nfs/dbraw/zinc/49/90/05/633499005.db2.gz ZOXYNXRMHRWWPD-SNVBAGLBSA-N 0 1 262.313 0.915 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3COCCN3C)CCC[C@@H]12 ZINC000990304918 633504505 /nfs/dbraw/zinc/50/45/05/633504505.db2.gz BTTZBBQIRKBDBW-IIAWOOMASA-N 0 1 291.395 0.063 20 30 CCEDMN C=C(C)CCN1CC[C@H](NC(=O)C2CS(=O)(=O)C2)C1 ZINC001014538417 633523440 /nfs/dbraw/zinc/52/34/40/633523440.db2.gz DVQHPABPMOAVGH-LBPRGKRZSA-N 0 1 286.397 0.188 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnnn3CC)CCC[C@H]12 ZINC000990585389 633558090 /nfs/dbraw/zinc/55/80/90/633558090.db2.gz CFLRPGUFPDXXPU-DZGCQCFKSA-N 0 1 287.367 0.658 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnn(C)n2)C1 ZINC001008851544 650129807 /nfs/dbraw/zinc/12/98/07/650129807.db2.gz XFTCJLMKRXMBFG-LLVKDONJSA-N 0 1 261.329 0.033 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001009134034 650137903 /nfs/dbraw/zinc/13/79/03/650137903.db2.gz TUQJEJFUOQMFNC-JTQLQIEISA-N 0 1 283.763 0.680 20 30 CCEDMN Cc1nc(Br)c(C=NOCC(N)=O)[nH]1 ZINC000901208546 634208168 /nfs/dbraw/zinc/20/81/68/634208168.db2.gz RTYWKAKUSRYRKP-UHFFFAOYSA-N 0 1 261.079 0.316 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2ncc(Br)cc2[O-])N1 ZINC000901216939 634210850 /nfs/dbraw/zinc/21/08/50/634210850.db2.gz UDPDSOINDVYCBZ-LURJTMIESA-N 0 1 298.144 0.821 20 30 CCEDMN Cn1ccnc1NN=Cc1c2c(nn1C)CCOC2 ZINC000901236773 634211401 /nfs/dbraw/zinc/21/14/01/634211401.db2.gz WEPWXZPWNZJDID-UHFFFAOYSA-N 0 1 260.301 0.672 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCOC2)C1 ZINC001010371578 650208307 /nfs/dbraw/zinc/20/83/07/650208307.db2.gz IRTNSESADIEGSQ-STQMWFEESA-N 0 1 250.342 0.627 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1c1ccc(C#N)nc1 ZINC000910454066 635772615 /nfs/dbraw/zinc/77/26/15/635772615.db2.gz CMORNFGEUWDAOP-NSHDSACASA-N 0 1 274.324 0.938 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN(C(=O)c3ccn[nH]3)CC2)c[nH]1 ZINC001010409409 650220228 /nfs/dbraw/zinc/22/02/28/650220228.db2.gz IQXNSCJFUVQKBI-UHFFFAOYSA-N 0 1 298.306 0.208 20 30 CCEDMN Cc1cc(C(=O)N2CCN(C(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001010515744 650236680 /nfs/dbraw/zinc/23/66/80/650236680.db2.gz LAIIYHCJNLXFLY-SECBINFHSA-N 0 1 275.312 0.162 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010640369 650246252 /nfs/dbraw/zinc/24/62/52/650246252.db2.gz POCDONOMGAMIJN-SNVBAGLBSA-N 0 1 262.313 0.251 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001010568022 650243087 /nfs/dbraw/zinc/24/30/87/650243087.db2.gz RRWVFIHVKVLXGL-NWDGAFQWSA-N 0 1 264.325 0.153 20 30 CCEDMN C[C@@H]1CN(C(=O)C2(C#N)CCSCC2)CCN1 ZINC000911812726 636406235 /nfs/dbraw/zinc/40/62/35/636406235.db2.gz VDYKSSNBZXHBDG-SNVBAGLBSA-N 0 1 253.371 0.844 20 30 CCEDMN C[C@@H](NC(=O)c1ccoc1)C(=O)NCC#CCN(C)C ZINC000913517041 636834852 /nfs/dbraw/zinc/83/48/52/636834852.db2.gz PZOQBQICPDHQLE-LLVKDONJSA-N 0 1 277.324 0.079 20 30 CCEDMN C[C@H](Oc1cccnc1)C(=O)NCC#CCN(C)C ZINC000913519157 636835093 /nfs/dbraw/zinc/83/50/93/636835093.db2.gz PXMIJIFJVYTQMF-LBPRGKRZSA-N 0 1 261.325 0.530 20 30 CCEDMN C#CCN1CCN(C(=O)c2cc(C(C)C)[nH]n2)CC1 ZINC000170813584 637099507 /nfs/dbraw/zinc/09/95/07/637099507.db2.gz OLEZFOGHSCTHJF-UHFFFAOYSA-N 0 1 260.341 0.924 20 30 CCEDMN C#CCCCNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000174125241 637244404 /nfs/dbraw/zinc/24/44/04/637244404.db2.gz ZPBCKSDBIKJUDG-ZDUSSCGKSA-N 0 1 266.389 0.335 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)c3[nH]ncc3F)CCC[C@H]12 ZINC000992149627 637322868 /nfs/dbraw/zinc/32/28/68/637322868.db2.gz GAZVHVZUMOMVMB-GXFFZTMASA-N 0 1 277.303 0.799 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@@]23CCC[C@H]2N(CC#N)CC3)C1 ZINC000992187127 637334586 /nfs/dbraw/zinc/33/45/86/637334586.db2.gz IAGJKBDRNFUHAA-IIAWOOMASA-N 0 1 290.411 0.965 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cccnn3)CCC[C@@H]12 ZINC000992346859 637373383 /nfs/dbraw/zinc/37/33/83/637373383.db2.gz KFOWFYRJJJTDCQ-HIFRSBDPSA-N 0 1 270.336 0.837 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cn(C)cn2)C1 ZINC001007119128 637504011 /nfs/dbraw/zinc/50/40/11/637504011.db2.gz CNUPGHHTPJHAAH-LBPRGKRZSA-N 0 1 260.341 0.638 20 30 CCEDMN C[C@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)CC(C)(C)C#N ZINC000914244433 637569688 /nfs/dbraw/zinc/56/96/88/637569688.db2.gz IOGCWQFJFFHAOE-JQWIXIFHSA-N 0 1 285.413 0.938 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cn2cccn2)[C@H]1C ZINC000993040221 637674688 /nfs/dbraw/zinc/67/46/88/637674688.db2.gz QQDVMBIGBVICCY-ZIAGYGMSSA-N 0 1 274.368 0.876 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC001015516980 637680526 /nfs/dbraw/zinc/68/05/26/637680526.db2.gz CZGODMHUDSICPC-SECBINFHSA-N 0 1 250.302 0.116 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)C2=CCOCC2)[C@@H]1C ZINC000993213384 637745660 /nfs/dbraw/zinc/74/56/60/637745660.db2.gz IQGWVBPTVZELEU-JSGCOSHPSA-N 0 1 262.353 0.935 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001015619520 637752893 /nfs/dbraw/zinc/75/28/93/637752893.db2.gz APCJDUCJBUPMIT-NWDGAFQWSA-N 0 1 287.367 0.438 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001015619520 637752898 /nfs/dbraw/zinc/75/28/98/637752898.db2.gz APCJDUCJBUPMIT-NWDGAFQWSA-N 0 1 287.367 0.438 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc3c2ncn3C)C1 ZINC001015686114 637851626 /nfs/dbraw/zinc/85/16/26/637851626.db2.gz VNSKPPNCGLVTLA-LLVKDONJSA-N 0 1 285.351 0.958 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C2CC(=O)NC(=O)C2)[C@H]1C ZINC000993972281 637858417 /nfs/dbraw/zinc/85/84/17/637858417.db2.gz LXZAWDWXPFTASL-ZYHUDNBSSA-N 0 1 293.367 0.194 20 30 CCEDMN C[C@@H]1CN(C(=O)C(C)(C)c2c[nH]cn2)C[C@@H]1NCC#N ZINC000939535058 638375746 /nfs/dbraw/zinc/37/57/46/638375746.db2.gz OVAADMJQPCKHAL-MNOVXSKESA-N 0 1 275.356 0.647 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N1CC(NC(=O)c2cnn[nH]2)C1 ZINC000995172713 638566104 /nfs/dbraw/zinc/56/61/04/638566104.db2.gz DUUNVPHDMVDSLZ-UHFFFAOYSA-N 0 1 297.746 0.524 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CCN(CC#N)C[C@@H]2C)n[nH]1 ZINC000942031410 638605055 /nfs/dbraw/zinc/60/50/55/638605055.db2.gz FYQJKXHFRNUMAL-GXSJLCMTSA-N 0 1 276.344 0.006 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000942220178 638630820 /nfs/dbraw/zinc/63/08/20/638630820.db2.gz QJJAPVGERJDHSP-PWSUYJOCSA-N 0 1 287.367 0.862 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC000942351063 638646613 /nfs/dbraw/zinc/64/66/13/638646613.db2.gz OJKGXOAFYGUPDN-HTQZYQBOSA-N 0 1 279.275 0.039 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@@H]1NC(=O)c1[nH]ncc1F ZINC000942599983 638676867 /nfs/dbraw/zinc/67/68/67/638676867.db2.gz IOKBADPCFJDGKU-SCZZXKLOSA-N 0 1 265.292 0.513 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@H]1NC(=O)c1[nH]ncc1F ZINC000942599982 638677318 /nfs/dbraw/zinc/67/73/18/638677318.db2.gz IOKBADPCFJDGKU-PSASIEDQSA-N 0 1 265.292 0.513 20 30 CCEDMN C[C@@H](CS(C)(=O)=O)NC[C@@H](O)c1ccc(C#N)cc1 ZINC000081903813 638756287 /nfs/dbraw/zinc/75/62/87/638756287.db2.gz FTELNJYNDRDVFS-GXFFZTMASA-N 0 1 282.365 0.614 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)C(=O)N2CCC[C@H]2CC)C1 ZINC001015963830 638823206 /nfs/dbraw/zinc/82/32/06/638823206.db2.gz OOKVVLNNOFEQIR-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CN2C(=O)CC(C)(C)C2=O)C1 ZINC001014441555 650452246 /nfs/dbraw/zinc/45/22/46/650452246.db2.gz ZECLYYZWZLNRNU-LLVKDONJSA-N 0 1 293.367 0.148 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H](C)c2ccnn2C)C1 ZINC001014458805 650453662 /nfs/dbraw/zinc/45/36/62/650453662.db2.gz JEPKHRDKWHINMG-CHWSQXEVSA-N 0 1 274.368 0.737 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(CNCc2nncn2C)CC1 ZINC000997616336 638878389 /nfs/dbraw/zinc/87/83/89/638878389.db2.gz ASUICGOFYRWINP-NSHDSACASA-N 0 1 290.371 0.303 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC(NC(=O)c3ncn[nH]3)C2)cc1 ZINC000997805369 638883402 /nfs/dbraw/zinc/88/34/02/638883402.db2.gz QGWNQCCFHLMSPS-UHFFFAOYSA-N 0 1 295.302 0.040 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC(NC(=O)c3nc[nH]n3)C2)cc1 ZINC000997805369 638883403 /nfs/dbraw/zinc/88/34/03/638883403.db2.gz QGWNQCCFHLMSPS-UHFFFAOYSA-N 0 1 295.302 0.040 20 30 CCEDMN C=CCN[C@@H]1CN(C(=O)C(N)=O)CC[C@H]1C(F)(F)F ZINC000998287206 638903120 /nfs/dbraw/zinc/90/31/20/638903120.db2.gz NOHOGMXNXGHRFZ-HTQZYQBOSA-N 0 1 279.262 0.027 20 30 CCEDMN CCn1nncc1CN[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC000998745755 638938524 /nfs/dbraw/zinc/93/85/24/638938524.db2.gz JQQFYJJBYFEVQB-VXGBXAGGSA-N 0 1 290.371 0.538 20 30 CCEDMN CN(C(=O)[C@H]1CCCc2c[nH]nc21)C1CC(NCC#N)C1 ZINC000998770324 638940365 /nfs/dbraw/zinc/94/03/65/638940365.db2.gz PIVAJVSUZUQKQW-BPCQOVAHSA-N 0 1 287.367 0.932 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC000998919283 638949523 /nfs/dbraw/zinc/94/95/23/638949523.db2.gz YJJBXIMVJGAZOR-NEPJUHHUSA-N 0 1 299.802 0.846 20 30 CCEDMN C[C@H](ON=C(N)CN1CCCCCC1)C(=O)NC1CC1 ZINC000113250030 650459537 /nfs/dbraw/zinc/45/95/37/650459537.db2.gz MAJCITAIOOHFCK-NSHDSACASA-N 0 1 282.388 0.818 20 30 CCEDMN C#CCN1CC[C@H](n2cc(CNC(=O)C3CC=CC3)nn2)C1 ZINC000999970410 639021488 /nfs/dbraw/zinc/02/14/88/639021488.db2.gz GGIZVEUYNAHJIT-HNNXBMFYSA-N 0 1 299.378 0.741 20 30 CCEDMN C#CCN1CC[C@H](n2cc(CNC(=O)[C@@H]3C[C@H]3C)nn2)C1 ZINC001000019393 639035461 /nfs/dbraw/zinc/03/54/61/639035461.db2.gz SCGNZSBNKHWCIC-KWCYVHTRSA-N 0 1 287.367 0.430 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc(=O)[nH]n2)CC1 ZINC001000392721 639082601 /nfs/dbraw/zinc/08/26/01/639082601.db2.gz VSWVWJOBIFRRMW-UHFFFAOYSA-N 0 1 272.308 0.177 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2CCCO2)CC1 ZINC001000416323 639085748 /nfs/dbraw/zinc/08/57/48/639085748.db2.gz UKYRSGZLEHYRBV-CQSZACIVSA-N 0 1 262.353 0.937 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@H]2COCCO2)CC1 ZINC001000458914 639090701 /nfs/dbraw/zinc/09/07/01/639090701.db2.gz OEMCIVFLLRISGT-CYBMUJFWSA-N 0 1 266.341 0.336 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2CCOC2)CC1 ZINC001000499734 639104662 /nfs/dbraw/zinc/10/46/62/639104662.db2.gz HAZUHODHTRKKRP-CQSZACIVSA-N 0 1 262.353 0.795 20 30 CCEDMN C#CC[N@@H+]1CC=C(CNC(=O)[C@@H]2CCCCO2)CC1 ZINC001000568119 639121849 /nfs/dbraw/zinc/12/18/49/639121849.db2.gz KJRWCDXAMUYIBK-AWEZNQCLSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCCCO2)CC1 ZINC001000568119 639121853 /nfs/dbraw/zinc/12/18/53/639121853.db2.gz KJRWCDXAMUYIBK-AWEZNQCLSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cccc3n[nH]nc32)CC1 ZINC001000719681 639160559 /nfs/dbraw/zinc/16/05/59/639160559.db2.gz FYNQQZWWVNNMMI-UHFFFAOYSA-N 0 1 295.346 0.953 20 30 CCEDMN C=CCN1CC=C(CNC(=O)c2cn(C)ccc2=O)CC1 ZINC001000931144 639198512 /nfs/dbraw/zinc/19/85/12/639198512.db2.gz FNSWVOWQLVTQAR-UHFFFAOYSA-N 0 1 287.363 0.933 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnc3cccnn32)CC1 ZINC001001241354 639265568 /nfs/dbraw/zinc/26/55/68/639265568.db2.gz KAPZJVCRRPIAGF-UHFFFAOYSA-N 0 1 295.346 0.724 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccn(CC(F)F)n2)C1 ZINC001014844854 650494942 /nfs/dbraw/zinc/49/49/42/650494942.db2.gz LCOXKGOHGXSEIM-LLVKDONJSA-N 0 1 296.321 0.976 20 30 CCEDMN C=C(Cl)CN1CCC(NC(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001002784032 639457170 /nfs/dbraw/zinc/45/71/70/639457170.db2.gz XRUYGPGLCFDAQX-GHMZBOCLSA-N 0 1 287.747 0.985 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC001003063246 639501824 /nfs/dbraw/zinc/50/18/24/639501824.db2.gz CIVRXUGUSGTFHR-VXGBXAGGSA-N 0 1 273.340 0.617 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001014894757 650501569 /nfs/dbraw/zinc/50/15/69/650501569.db2.gz QYAINMXBHULWQK-NSHDSACASA-N 0 1 276.340 0.377 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn3c(n2)COCC3)C1 ZINC001014936739 650505295 /nfs/dbraw/zinc/50/52/95/650505295.db2.gz XYRFUJQRYFTIKD-GFCCVEGCSA-N 0 1 288.351 0.241 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2c(C)cnn2C)CC1 ZINC001003456736 639550575 /nfs/dbraw/zinc/55/05/75/639550575.db2.gz UJTIIAOWBGJRKG-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnc(C)n2C)CC1 ZINC001003501380 639555233 /nfs/dbraw/zinc/55/52/33/639555233.db2.gz LZMDLIRJXSNZLW-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccnnc2)CC1 ZINC001003686276 639593932 /nfs/dbraw/zinc/59/39/32/639593932.db2.gz LHJFPWPNUKBIFW-UHFFFAOYSA-N 0 1 258.325 0.694 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC001003845078 639633171 /nfs/dbraw/zinc/63/31/71/639633171.db2.gz PKHBWRKFQHYYNG-UHFFFAOYSA-N 0 1 288.351 0.331 20 30 CCEDMN Cn1cncc1CNc1n[nH]c(N2CCOCC2)c1C#N ZINC000922359644 639668420 /nfs/dbraw/zinc/66/84/20/639668420.db2.gz GPXPBDYEFBJTKU-UHFFFAOYSA-N 0 1 287.327 0.464 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)N2CCCCC2=O)CC1 ZINC001004202551 639718890 /nfs/dbraw/zinc/71/88/90/639718890.db2.gz CSTQDTKYLLUEFJ-CYBMUJFWSA-N 0 1 291.395 0.601 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001015078976 650526462 /nfs/dbraw/zinc/52/64/62/650526462.db2.gz VMXZSXCPXZCVGK-SECBINFHSA-N 0 1 259.313 0.226 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cc2ccnn2C)CC1 ZINC001005334394 639902458 /nfs/dbraw/zinc/90/24/58/639902458.db2.gz OKXIJSVMMKQRFQ-UHFFFAOYSA-N 0 1 288.395 0.909 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cn(C)ccc2=O)C1 ZINC001015210637 650539729 /nfs/dbraw/zinc/53/97/29/650539729.db2.gz CVSDIGZVHWDVDM-GFCCVEGCSA-N 0 1 275.352 0.766 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc3nnnn3c2)C1 ZINC001015258355 650544271 /nfs/dbraw/zinc/54/42/71/650544271.db2.gz AKHMSXGPMAMOPF-LBPRGKRZSA-N 0 1 286.339 0.505 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccc3nncn3c2)CC1 ZINC001006137050 640181762 /nfs/dbraw/zinc/18/17/62/640181762.db2.gz UEHHIHOYDDJCMD-UHFFFAOYSA-N 0 1 297.362 0.899 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cc2ncc[nH]2)CC1 ZINC001006193857 640200122 /nfs/dbraw/zinc/20/01/22/640200122.db2.gz UKVOHDFQCSWWHL-UHFFFAOYSA-N 0 1 274.368 0.898 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCc3nc(C)nn3C2)C1 ZINC001015367734 650559614 /nfs/dbraw/zinc/55/96/14/650559614.db2.gz XNUZDAOQEOOCAC-STQMWFEESA-N 0 1 289.383 0.525 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2cncn2C)CC1 ZINC001006292361 640217028 /nfs/dbraw/zinc/21/70/28/640217028.db2.gz NHUZUVIWWHGXBP-UHFFFAOYSA-N 0 1 274.368 0.519 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2COCCN2CCCC)C1 ZINC001015389702 650561710 /nfs/dbraw/zinc/56/17/10/650561710.db2.gz UOVSMOJDYYPNKO-LSDHHAIUSA-N 0 1 295.427 0.864 20 30 CCEDMN N#CCSCCCOC(=O)CCc1nc[nH]n1 ZINC000805490597 640392549 /nfs/dbraw/zinc/39/25/49/640392549.db2.gz WDBIAFCNTREDLZ-UHFFFAOYSA-N 0 1 254.315 0.927 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001015592893 650586578 /nfs/dbraw/zinc/58/65/78/650586578.db2.gz QJABSQOGXLGBJO-IUODEOHRSA-N 0 1 279.384 0.669 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001015737077 650619256 /nfs/dbraw/zinc/61/92/56/650619256.db2.gz VKSSTMJYDOIQFH-QLFBSQMISA-N 0 1 286.379 0.737 20 30 CCEDMN Cn1cc(C=[NH+][N-]c2cccc(S(C)(=O)=O)c2)c(=O)[nH]1 ZINC000814226347 641061220 /nfs/dbraw/zinc/06/12/20/641061220.db2.gz VMYRKSXLGPAYQI-UHFFFAOYSA-N 0 1 294.336 0.975 20 30 CCEDMN Cc1nccc(C=NNc2ncnc3nc[nH]c32)n1 ZINC000814814058 641076819 /nfs/dbraw/zinc/07/68/19/641076819.db2.gz VCPQXCWDIBVNEI-UHFFFAOYSA-N 0 1 254.257 0.897 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cncc(OC)n2)C1 ZINC001015795615 650630337 /nfs/dbraw/zinc/63/03/37/650630337.db2.gz WRWYZEFQDXLLGS-LLVKDONJSA-N 0 1 274.324 0.313 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(CCC)nn2)C1 ZINC001015915796 650656779 /nfs/dbraw/zinc/65/67/79/650656779.db2.gz WMEVXUGWEUNLOU-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2cc3c(cn2)OCCO3)NO1 ZINC000819441103 641409109 /nfs/dbraw/zinc/40/91/09/641409109.db2.gz YLZUEWGYEIAPID-SSDOTTSWSA-N 0 1 263.253 0.705 20 30 CCEDMN O=C(N[C@H]1CCN(O)C1=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000820173774 641478865 /nfs/dbraw/zinc/47/88/65/641478865.db2.gz CJUSEPUCVNRFKT-JTQLQIEISA-N 0 1 287.279 0.192 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001015958649 650664220 /nfs/dbraw/zinc/66/42/20/650664220.db2.gz RTSUGBOMPOZERN-RYUDHWBXSA-N 0 1 272.352 0.338 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cccnc2C(N)=O)C1 ZINC001016319738 650717336 /nfs/dbraw/zinc/71/73/36/650717336.db2.gz KDDWCKMVMBWBQV-NSHDSACASA-N 0 1 288.351 0.561 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001016330262 650718526 /nfs/dbraw/zinc/71/85/26/650718526.db2.gz FSJQSKLCDXUGSW-VXGBXAGGSA-N 0 1 275.356 0.217 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001016330258 650718690 /nfs/dbraw/zinc/71/86/90/650718690.db2.gz FSJQSKLCDXUGSW-NWDGAFQWSA-N 0 1 275.356 0.217 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(N(C)C)ccn2)C1 ZINC001016383783 650723315 /nfs/dbraw/zinc/72/33/15/650723315.db2.gz KOMUQHPQAFAFRA-CYBMUJFWSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CC[C@@H]1CCCN(CC(=O)NC(=O)NC2CC2)C1 ZINC000829774570 642189919 /nfs/dbraw/zinc/18/99/19/642189919.db2.gz QGZLSSZCUQTQJV-LLVKDONJSA-N 0 1 263.341 0.710 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001016446200 650733075 /nfs/dbraw/zinc/73/30/75/650733075.db2.gz IUXMJJQGBWWRNY-LBPRGKRZSA-N 0 1 286.335 0.008 20 30 CCEDMN N#CCOc1ccc(Cl)cc1Cn1nnnc1CN ZINC000830463014 642265399 /nfs/dbraw/zinc/26/53/99/642265399.db2.gz FJXFVFPUKQRTKE-UHFFFAOYSA-N 0 1 278.703 0.736 20 30 CCEDMN Cn1c2cc(C(=O)N[C@H]3CNC[C@H]3C#N)ccc2[nH]c1=O ZINC000834479354 642570883 /nfs/dbraw/zinc/57/08/83/642570883.db2.gz DCZQUVVQBDHTKV-KOLCDFICSA-N 0 1 285.307 0.120 20 30 CCEDMN Cn1cnc2cc(C(=O)N[C@@H]3CNC[C@H]3C#N)ccc21 ZINC000834480882 642572970 /nfs/dbraw/zinc/57/29/70/642572970.db2.gz XOBOKJVRYZFRAP-ZYHUDNBSSA-N 0 1 269.308 0.415 20 30 CCEDMN Cn1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(C(C)(C)C)n1 ZINC000834484067 642574724 /nfs/dbraw/zinc/57/47/24/642574724.db2.gz DGYKYEUJHFYSQI-ONGXEEELSA-N 0 1 275.356 0.559 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000834484335 642574898 /nfs/dbraw/zinc/57/48/98/642574898.db2.gz HLDIYMGOTLVVLD-KWQFWETISA-N 0 1 278.243 0.575 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1csc([C@@H]2CCCO2)n1 ZINC000834485029 642576949 /nfs/dbraw/zinc/57/69/49/642576949.db2.gz QYGHEYHSYDSPKJ-KKZNHRDASA-N 0 1 292.364 0.836 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccnc(-n2cccn2)c1 ZINC000834488316 642577710 /nfs/dbraw/zinc/57/77/10/642577710.db2.gz BMOCXMIHQWNEMY-VXGBXAGGSA-N 0 1 282.307 0.109 20 30 CCEDMN Cc1cccc(C)c1NCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834488288 642577717 /nfs/dbraw/zinc/57/77/17/642577717.db2.gz BGFWRHLRHGCKJZ-STQMWFEESA-N 0 1 272.352 0.943 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000834489678 642579191 /nfs/dbraw/zinc/57/91/91/642579191.db2.gz OPHCYKDNHRICHP-HQJQHLMTSA-N 0 1 278.243 0.575 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(-n2cccn2)ccn1 ZINC000834490336 642581998 /nfs/dbraw/zinc/58/19/98/642581998.db2.gz UFCXXHGQIIAAQG-GWCFXTLKSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(Br)cn1 ZINC000834494202 642582856 /nfs/dbraw/zinc/58/28/56/642582856.db2.gz LQNOXTYNMFNTAU-XVKPBYJWSA-N 0 1 295.140 0.685 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1CCOc2ccccc21 ZINC000834494115 642583016 /nfs/dbraw/zinc/58/30/16/642583016.db2.gz KHRCCKQSPHSGFF-RAIGVLPGSA-N 0 1 271.320 0.780 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc2c1OCCO2 ZINC000834494911 642584850 /nfs/dbraw/zinc/58/48/50/642584850.db2.gz QWNWCMKJHAQPLM-GXSJLCMTSA-N 0 1 273.292 0.299 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(-n2cnnc2)cc1 ZINC000834495783 642586373 /nfs/dbraw/zinc/58/63/73/642586373.db2.gz ZQBSUIHHXPCEPS-AAEUAGOBSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccnc(C2CC2)c1 ZINC000834500313 642588402 /nfs/dbraw/zinc/58/84/02/642588402.db2.gz RWQKGOANHZSWIC-DGCLKSJQSA-N 0 1 256.309 0.800 20 30 CCEDMN Cc1cc(C)cc(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834510624 642595334 /nfs/dbraw/zinc/59/53/34/642595334.db2.gz DLQHYPQHGFSJHG-GXTWGEPZSA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cn(Cc2ccccc2)nn1 ZINC000834516933 642600149 /nfs/dbraw/zinc/60/01/49/642600149.db2.gz NTBONYOMWPPMIH-CHWSQXEVSA-N 0 1 296.334 0.168 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(Cn2cccn2)o1 ZINC000834521401 642602626 /nfs/dbraw/zinc/60/26/26/642602626.db2.gz HVWCSXMBOYCRHB-ZYHUDNBSSA-N 0 1 285.307 0.366 20 30 CCEDMN COc1nccc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)n1 ZINC000834965733 642644241 /nfs/dbraw/zinc/64/42/41/642644241.db2.gz HSQWDCKZDOQFAD-GHMZBOCLSA-N 0 1 274.328 0.679 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccnc(Cl)c1 ZINC000841168554 642805153 /nfs/dbraw/zinc/80/51/53/642805153.db2.gz LMLVCWLIARKUFP-IONNQARKSA-N 0 1 265.704 0.968 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1nc(-c2ccccc2)no1 ZINC000841191111 642806944 /nfs/dbraw/zinc/80/69/44/642806944.db2.gz UIHTYEJTMZLEPD-MNOVXSKESA-N 0 1 298.306 0.970 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1cccn1 ZINC001017355055 650796095 /nfs/dbraw/zinc/79/60/95/650796095.db2.gz WOCGRCKPXSMZPO-OKILXGFUSA-N 0 1 272.352 0.582 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(Cl)cc(F)c1N ZINC000843459837 643064580 /nfs/dbraw/zinc/06/45/80/643064580.db2.gz IZNKQFZQLFIRCL-LHLIQPBNSA-N 0 1 282.706 0.903 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cn1cc2ccccc2n1 ZINC000843463235 643067607 /nfs/dbraw/zinc/06/76/07/643067607.db2.gz VVSNKBSFZHOYPR-AAEUAGOBSA-N 0 1 269.308 0.264 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC[C@@H]1C(N)=O ZINC001017792091 650822619 /nfs/dbraw/zinc/82/26/19/650822619.db2.gz HVNNBFIDMWAWHU-RFQIPJPRSA-N 0 1 289.379 0.196 20 30 CCEDMN N#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1c[nH]cn1 ZINC001017998612 650839004 /nfs/dbraw/zinc/83/90/04/650839004.db2.gz PUHUULSEAUNYTR-TXEJJXNPSA-N 0 1 259.313 0.151 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc2c1OCCCO2 ZINC000846679285 643442817 /nfs/dbraw/zinc/44/28/17/643442817.db2.gz ZTTVAVXXFUQWSZ-ZYHUDNBSSA-N 0 1 287.319 0.689 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2CC[C@H]2C(N)=O)c1 ZINC000847615549 643538064 /nfs/dbraw/zinc/53/80/64/643538064.db2.gz GRIFKQBGBOZRRQ-RKDXNWHRSA-N 0 1 259.265 0.714 20 30 CCEDMN C#C[C@@](C)(CC)NCC(=O)N(CC)CC(=O)NC(C)C ZINC000852098642 643927272 /nfs/dbraw/zinc/92/72/72/643927272.db2.gz RUECUMYCQYPKCV-HNNXBMFYSA-N 0 1 281.400 0.751 20 30 CCEDMN C[C@H](CC(=O)N[C@@H]1CNC[C@H]1C#N)[C@@H]1CCCO1 ZINC000852827675 644014311 /nfs/dbraw/zinc/01/43/11/644014311.db2.gz NBDJRMCWMVRIAF-KKOKHZNYSA-N 0 1 251.330 0.419 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1COC[C@H]1c1ccccc1 ZINC000852875176 644036636 /nfs/dbraw/zinc/03/66/36/644036636.db2.gz GJHNMSMDMVLHGB-BARDWOONSA-N 0 1 285.347 0.644 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccccc1Cn1ccnn1 ZINC000852874638 644036741 /nfs/dbraw/zinc/03/67/41/644036741.db2.gz BQFBRSXWOIWCES-OCCSQVGLSA-N 0 1 296.334 0.168 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)N2CC[N@H+]3CC[C@H]2C3)cc1 ZINC000854729543 644254301 /nfs/dbraw/zinc/25/43/01/644254301.db2.gz OEEFDYXLYOUTEK-KBPBESRZSA-N 0 1 271.320 0.508 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@H](NCc2n[nH]c(C3CC3)n2)C1 ZINC001018827531 650913355 /nfs/dbraw/zinc/91/33/55/650913355.db2.gz UPFBUCNCFGZGLJ-ZDUSSCGKSA-N 0 1 299.378 0.786 20 30 CCEDMN Cc1nc([C@H](C)N[C@H]2CCN(C(=O)C#CC3CC3)C2)n[nH]1 ZINC001018830319 650914029 /nfs/dbraw/zinc/91/40/29/650914029.db2.gz ICLCMWNMGJWLAU-GWCFXTLKSA-N 0 1 287.367 0.778 20 30 CCEDMN C#CC[NH2+][C@H]1CCN(C(=O)c2cccc3nn[n-]c32)C1 ZINC001018900572 650919948 /nfs/dbraw/zinc/91/99/48/650919948.db2.gz CXPCZNRCLBTJDG-JTQLQIEISA-N 0 1 269.308 0.395 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001019182747 650944802 /nfs/dbraw/zinc/94/48/02/650944802.db2.gz IVMYEWBOEWLJBS-GXFFZTMASA-N 0 1 285.775 0.456 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1cccc2c1OCC2 ZINC000859723587 644727122 /nfs/dbraw/zinc/72/71/22/644727122.db2.gz XKIGJVWQNKNDKR-PWSUYJOCSA-N 0 1 272.308 0.855 20 30 CCEDMN C[C@H]1C[N@H+](Cc2ccccc2)CC1N=NC1=NCC(=O)[N-]1 ZINC000863175107 645065902 /nfs/dbraw/zinc/06/59/02/645065902.db2.gz RTYGUEIKDLBOBT-NSHDSACASA-N 0 1 285.351 0.570 20 30 CCEDMN C[C@H]1C[N@@H+](Cc2ccccc2)CC1N=NC1=NCC(=O)[N-]1 ZINC000863175107 645065907 /nfs/dbraw/zinc/06/59/07/645065907.db2.gz RTYGUEIKDLBOBT-NSHDSACASA-N 0 1 285.351 0.570 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2ncc[nH]2)C1 ZINC001019564170 650988455 /nfs/dbraw/zinc/98/84/55/650988455.db2.gz BEMPBOCERWTFSF-JTQLQIEISA-N 0 1 268.748 0.895 20 30 CCEDMN N#CC(C(=O)C[C@H]1CCCCO1)C(=O)NC1CC1 ZINC000120054238 645227377 /nfs/dbraw/zinc/22/73/77/645227377.db2.gz QCSBEXFXQZLKPX-GHMZBOCLSA-N 0 1 250.298 0.933 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1coc(C#N)c1 ZINC000865203131 645340397 /nfs/dbraw/zinc/34/03/97/645340397.db2.gz RHQQQBWQWMUMLP-LLVKDONJSA-N 0 1 276.340 0.517 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccn(CCF)n1 ZINC000868198928 645729538 /nfs/dbraw/zinc/72/95/38/645729538.db2.gz MNNGQESBSORGEI-DTWKUNHWSA-N 0 1 266.280 0.086 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C1C[C@H]2CCCC[C@@H](C1)C2=O ZINC000870940295 646054226 /nfs/dbraw/zinc/05/42/26/646054226.db2.gz HYUSCTYFPBKCDV-AEBFUODCSA-N 0 1 289.379 1.000 20 30 CCEDMN Cn1nc(C(=O)N[C@H]2CNC[C@H]2C#N)c2ccccc21 ZINC000876801854 646668878 /nfs/dbraw/zinc/66/88/78/646668878.db2.gz JTUGUJZQQALILS-KOLCDFICSA-N 0 1 269.308 0.415 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC000876803640 646671356 /nfs/dbraw/zinc/67/13/56/646671356.db2.gz XSRKTEIXCZRDBL-WUHRBBMRSA-N 0 1 263.341 0.277 20 30 CCEDMN C[C@]1(C(=O)N[C@H]2CNC[C@H]2C#N)COc2ccccc2O1 ZINC000876803909 646671469 /nfs/dbraw/zinc/67/14/69/646671469.db2.gz ZDLCOVVTWKZRQX-JRPNMDOOSA-N 0 1 287.319 0.444 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NC/C=C/CO ZINC000876961975 646707515 /nfs/dbraw/zinc/70/75/15/646707515.db2.gz XTGVOZXMPHIDTL-YUKKFKLSSA-N 0 1 271.320 0.410 20 30 CCEDMN N#CCc1ccsc1C(=O)NC[C@@H]1COCCN1 ZINC000877038868 646718807 /nfs/dbraw/zinc/71/88/07/646718807.db2.gz ZXCPWVMUKVPBPN-SNVBAGLBSA-N 0 1 265.338 0.532 20 30 CCEDMN N#Cc1cnc(Cl)c(CN[C@H]2C[C@H](C(N)=O)C2)c1 ZINC000877654110 646833091 /nfs/dbraw/zinc/83/30/91/646833091.db2.gz VKYSVMSAFVPZQL-CZMCAQCFSA-N 0 1 264.716 0.960 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN(CCn3cnnn3)C2)nc1 ZINC000878640850 646950124 /nfs/dbraw/zinc/95/01/24/646950124.db2.gz KUTLYMITVHWBFH-LBPRGKRZSA-N 0 1 285.311 0.093 20 30 CCEDMN C[C@@H]1CCCCN1CC(N)=NOCC1(CO)COC1 ZINC000127427306 647001238 /nfs/dbraw/zinc/00/12/38/647001238.db2.gz XUEQCZOPEFFWQY-LLVKDONJSA-N 0 1 271.361 0.159 20 30 CCEDMN N#CCc1ccc(OC[C@@H](O)CN2CC=C[C@H]2CO)cc1 ZINC000880483502 647207418 /nfs/dbraw/zinc/20/74/18/647207418.db2.gz HCTYDTNVCZQRHS-GJZGRUSLSA-N 0 1 288.347 0.725 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccn2nccc12 ZINC000884249096 647680066 /nfs/dbraw/zinc/68/00/66/647680066.db2.gz DCCGZRYVLSYSIK-KOLCDFICSA-N 0 1 255.281 0.176 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCC3(C2)OCCO3)CCC1 ZINC000886062371 647896093 /nfs/dbraw/zinc/89/60/93/647896093.db2.gz NPBVOIPLYBCANY-LBPRGKRZSA-N 0 1 266.341 0.880 20 30 CCEDMN C#CC[C@@H]1CCN([C@H]2CS(=O)(=O)C[C@H]2OC)C1 ZINC000886365577 647969456 /nfs/dbraw/zinc/96/94/56/647969456.db2.gz LNLQPILLFVULTC-GRYCIOLGSA-N 0 1 257.355 0.144 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)[C@H]2CCCN2C2CC2)CCO1 ZINC000887684333 648141951 /nfs/dbraw/zinc/14/19/51/648141951.db2.gz GNAAFGFTWNRHFU-TZMCWYRMSA-N 0 1 263.341 0.754 20 30 CCEDMN COc1ncncc1NC(=O)NCC#CCN(C)C ZINC000930393046 648856556 /nfs/dbraw/zinc/85/65/56/648856556.db2.gz PBYVPACWXLCZCW-UHFFFAOYSA-N 0 1 263.301 0.172 20 30 CCEDMN C[C@@H]1CN(CCO[C@@H]2CCOC2)C[C@@H](C)N1CC#N ZINC000930829047 648911775 /nfs/dbraw/zinc/91/17/75/648911775.db2.gz PODZEFBRJVQEKV-MGPQQGTHSA-N 0 1 267.373 0.710 20 30 CCEDMN COc1cncc(NC(=O)NCC#CCN(C)C)c1 ZINC000932161616 649054694 /nfs/dbraw/zinc/05/46/94/649054694.db2.gz MULHXPHCXGHICV-UHFFFAOYSA-N 0 1 262.313 0.777 20 30 CCEDMN COc1ncc(NC(=O)NCC#CCN(C)C)cc1C#N ZINC000932518790 649078319 /nfs/dbraw/zinc/07/83/19/649078319.db2.gz BKTRRXCUGSCQCF-UHFFFAOYSA-N 0 1 287.323 0.648 20 30 CCEDMN COc1ccnc(N2C[C@@H]3OCCN(C)[C@H]3C2)c1C#N ZINC001164669364 719385987 /nfs/dbraw/zinc/38/59/87/719385987.db2.gz UVQPBQJQGYNOIF-AAEUAGOBSA-N 0 1 274.324 0.481 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncc4c(C#N)c[nH]c4n3)C[C@H]21 ZINC001164670614 719420500 /nfs/dbraw/zinc/42/05/00/719420500.db2.gz PBLXOEQGIIBAHY-NEPJUHHUSA-N 0 1 284.323 0.349 20 30 CCEDMN CN1CCO[C@H]2CN(c3nc4c(cc3C#N)CCC4)C[C@H]21 ZINC000329903619 719439264 /nfs/dbraw/zinc/43/92/64/719439264.db2.gz IKUHSVLRCUUGLJ-CABCVRRESA-N 0 1 284.363 0.961 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC1CCN(CC#CCOC)CC1 ZINC001230407666 805600303 /nfs/dbraw/zinc/60/03/03/805600303.db2.gz WMKKQNDJPNVSSE-AWEZNQCLSA-N 0 1 294.395 0.808 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)COC ZINC001230544447 805615577 /nfs/dbraw/zinc/61/55/77/805615577.db2.gz OGUJMEVVIZTEOW-LBPRGKRZSA-N 0 1 256.346 0.368 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)[C@H](CC)OC)CC1 ZINC001266281340 790392573 /nfs/dbraw/zinc/39/25/73/790392573.db2.gz LZUIYROLEMOHEA-AWEZNQCLSA-N 0 1 281.400 0.169 20 30 CCEDMN C#CCN(C)CCNC(=O)c1nccc2cccnc21 ZINC001266282407 790394332 /nfs/dbraw/zinc/39/43/32/790394332.db2.gz AAIZKFHGNBESPW-UHFFFAOYSA-N 0 1 268.320 0.925 20 30 CCEDMN C#CCN(C)CCNC(=O)c1c(Cl)n[nH]c1CC ZINC001266282708 790396109 /nfs/dbraw/zinc/39/61/09/790396109.db2.gz OLCYMTLJNJKJTF-UHFFFAOYSA-N 0 1 268.748 0.920 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC([C@@H](C)NC(=O)c2[nH]ncc2F)C1 ZINC001006791806 790405625 /nfs/dbraw/zinc/40/56/25/790405625.db2.gz HAQXGAJFPKWXMI-HTQZYQBOSA-N 0 1 293.302 0.285 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](OC)C1CCC1 ZINC001266304460 790473564 /nfs/dbraw/zinc/47/35/64/790473564.db2.gz WWAVSTFFLGVBMI-CYBMUJFWSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN([C@@H]2CCCN(C)C2=O)C1 ZINC001266307699 790480466 /nfs/dbraw/zinc/48/04/66/790480466.db2.gz JCKVBTOWKNJZOT-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCc1ccc(=O)[nH]c1 ZINC001266312981 790490633 /nfs/dbraw/zinc/49/06/33/790490633.db2.gz CPJYWIUVAZMLLW-UHFFFAOYSA-N 0 1 275.352 0.791 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](CCn2cccn2)C1 ZINC001266317531 790495349 /nfs/dbraw/zinc/49/53/49/790495349.db2.gz RHPUGRQRLAQMJE-UKRRQHHQSA-N 0 1 292.383 0.401 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CCN(CCn2cccn2)C1 ZINC001266317531 790495357 /nfs/dbraw/zinc/49/53/57/790495357.db2.gz RHPUGRQRLAQMJE-UKRRQHHQSA-N 0 1 292.383 0.401 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@H+](CC#CC)C1 ZINC001266317526 790495932 /nfs/dbraw/zinc/49/59/32/790495932.db2.gz RHAAITWJPBJRFE-JSGCOSHPSA-N 0 1 250.342 0.527 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H]1CCN(CC#CC)C1 ZINC001266317526 790495941 /nfs/dbraw/zinc/49/59/41/790495941.db2.gz RHAAITWJPBJRFE-JSGCOSHPSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CCC[N@@H+](C)CCNC(=O)c1c[n-]n2c1nccc2=O ZINC001266335526 790532499 /nfs/dbraw/zinc/53/24/99/790532499.db2.gz YXEULXATRHDTTJ-UHFFFAOYSA-N 0 1 289.339 0.260 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCCCNC(C)=O)C1 ZINC001266356317 790579285 /nfs/dbraw/zinc/57/92/85/790579285.db2.gz SWBASFAJRATZHA-HNNXBMFYSA-N 0 1 293.411 0.897 20 30 CCEDMN C=CCCC(=O)NCCN(CC)[C@@H]1CCN(C)C1=O ZINC001266380849 790615827 /nfs/dbraw/zinc/61/58/27/790615827.db2.gz RFDWQPSJNLEXAI-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@H]1CNC(=O)CC ZINC001266443519 790722255 /nfs/dbraw/zinc/72/22/55/790722255.db2.gz PBIKWHGJGUJJBI-NEPJUHHUSA-N 0 1 267.373 0.668 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)CCNCCS(C)(=O)=O)C1 ZINC001266831568 791276915 /nfs/dbraw/zinc/27/69/15/791276915.db2.gz GHHPTPKADYSSFF-UHFFFAOYSA-N 0 1 288.413 0.435 20 30 CCEDMN CC#CCN(CCNC(=O)C1CN(C(C)=O)C1)C1CC1 ZINC001266590667 790992397 /nfs/dbraw/zinc/99/23/97/790992397.db2.gz PBOBZOOQGOLNSU-UHFFFAOYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(CCNC(=O)c1cccnc1OC)C1CC1 ZINC001266609425 791020528 /nfs/dbraw/zinc/02/05/28/791020528.db2.gz NFXNZRXREOMHRU-UHFFFAOYSA-N 0 1 273.336 0.918 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)C(F)F)C[C@@H](C)O2 ZINC001098547710 791100600 /nfs/dbraw/zinc/10/06/00/791100600.db2.gz BNWXTAWHPUWOPG-LLVKDONJSA-N 0 1 286.322 0.967 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cn1cccn1 ZINC001230772245 805663781 /nfs/dbraw/zinc/66/37/81/805663781.db2.gz DKJWWHVVTNEQIX-CYBMUJFWSA-N 0 1 260.341 0.439 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCn1cncn1 ZINC001230781580 805665741 /nfs/dbraw/zinc/66/57/41/805665741.db2.gz MLEXLTGLSRTNBN-ZDUSSCGKSA-N 0 1 275.356 0.224 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCCOC ZINC001230888416 805682965 /nfs/dbraw/zinc/68/29/65/805682965.db2.gz BBTYZPMACISSHT-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1nncc2n[nH]cc21 ZINC001230900489 805687177 /nfs/dbraw/zinc/68/71/77/805687177.db2.gz IUNYDRONCWLDDD-MRVPVSSYSA-N 0 1 260.253 0.687 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(=O)C(F)F)C1=O ZINC001231052772 805717002 /nfs/dbraw/zinc/71/70/02/805717002.db2.gz ZUICNFIYRASJCW-JTQLQIEISA-N 0 1 289.326 0.477 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1ncc[nH]1 ZINC001277488058 805733731 /nfs/dbraw/zinc/73/37/31/805733731.db2.gz VLOFWQGSTQIARX-UHFFFAOYSA-N 0 1 264.329 0.111 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)CCC ZINC001231231057 805755316 /nfs/dbraw/zinc/75/53/16/805755316.db2.gz LBOWSQQXRRPONC-LBPRGKRZSA-N 0 1 269.389 0.915 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1CCOC ZINC001231241705 805757790 /nfs/dbraw/zinc/75/77/90/805757790.db2.gz XNJFMIXAOVKURP-LBPRGKRZSA-N 0 1 256.346 0.368 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CCN1CCOC ZINC001231241705 805757793 /nfs/dbraw/zinc/75/77/93/805757793.db2.gz XNJFMIXAOVKURP-LBPRGKRZSA-N 0 1 256.346 0.368 20 30 CCEDMN CC(C)CC(=O)NCCCN(C)CC(=O)NCC#N ZINC001231309546 805774743 /nfs/dbraw/zinc/77/47/43/805774743.db2.gz KZLYFNBFCVMRIV-UHFFFAOYSA-N 0 1 268.361 0.110 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cc1cc[nH]n1 ZINC001231407188 805795825 /nfs/dbraw/zinc/79/58/25/805795825.db2.gz HMMFRNDOJHYZFD-CYBMUJFWSA-N 0 1 260.341 0.508 20 30 CCEDMN COC(=O)[C@@H]1COCCN1Cc1cc(C#N)ccc1O ZINC001232678611 805969420 /nfs/dbraw/zinc/96/94/20/805969420.db2.gz JWERIGLYDMNVMT-LBPRGKRZSA-N 0 1 276.292 0.638 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@]1(C)CCC(=O)N1 ZINC001266853280 791290047 /nfs/dbraw/zinc/29/00/47/791290047.db2.gz JGOJQXIODMAZLE-CJNGLKHVSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cncnc3C)C[C@H]21 ZINC001041953864 791498694 /nfs/dbraw/zinc/49/86/94/791498694.db2.gz PBJZMWMPMXKQCR-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H](NC(=O)CCc2c[nH]nn2)C1 ZINC001267089224 791523877 /nfs/dbraw/zinc/52/38/77/791523877.db2.gz QNJHUQMNQKVTJR-MNOVXSKESA-N 0 1 276.344 0.278 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001267089224 791523885 /nfs/dbraw/zinc/52/38/85/791523885.db2.gz QNJHUQMNQKVTJR-MNOVXSKESA-N 0 1 276.344 0.278 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H](NC(=O)CCc2c[nH]nn2)C1 ZINC001267089219 791524372 /nfs/dbraw/zinc/52/43/72/791524372.db2.gz QNJHUQMNQKVTJR-GHMZBOCLSA-N 0 1 276.344 0.278 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001267089219 791524383 /nfs/dbraw/zinc/52/43/83/791524383.db2.gz QNJHUQMNQKVTJR-GHMZBOCLSA-N 0 1 276.344 0.278 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CCc1ccncn1 ZINC001267197515 791658225 /nfs/dbraw/zinc/65/82/25/791658225.db2.gz NMLBFHPYKQGTII-UHFFFAOYSA-N 0 1 290.367 0.107 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCN(C)CCN1CCNC1=O ZINC001267210608 791678568 /nfs/dbraw/zinc/67/85/68/791678568.db2.gz JGFXEAQVVOHVML-UHFFFAOYSA-N 0 1 296.415 0.662 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H](CC(=C)C)NC(C)=O ZINC001267220146 791692528 /nfs/dbraw/zinc/69/25/28/791692528.db2.gz KCXLEAZGKXISDE-ZDUSSCGKSA-N 0 1 265.357 0.139 20 30 CCEDMN C=CCOC[C@H]1c2c(ncn2C)CCN1Cc1c[nH]nn1 ZINC001203206047 791703897 /nfs/dbraw/zinc/70/38/97/791703897.db2.gz RKHGXVPORDLVDQ-ZDUSSCGKSA-N 0 1 288.355 0.840 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)c1ccoc1C ZINC001233740423 806112268 /nfs/dbraw/zinc/11/22/68/806112268.db2.gz MFNDCQNFPVKXLT-UHFFFAOYSA-N 0 1 291.351 0.389 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)c1ccoc1 ZINC001233940749 806152540 /nfs/dbraw/zinc/15/25/40/806152540.db2.gz HNJWSCNBWDPJNU-LBPRGKRZSA-N 0 1 291.351 0.469 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CCCO ZINC001233947106 806155065 /nfs/dbraw/zinc/15/50/65/806155065.db2.gz JDINNCBQWAQBFX-CYBMUJFWSA-N 0 1 252.358 0.705 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1CCCO ZINC001233947106 806155068 /nfs/dbraw/zinc/15/50/68/806155068.db2.gz JDINNCBQWAQBFX-CYBMUJFWSA-N 0 1 252.358 0.705 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@](C)(O)C=C ZINC001233978074 806160298 /nfs/dbraw/zinc/16/02/98/806160298.db2.gz QUXVKGZETXGYKL-UKRRQHHQSA-N 0 1 264.369 0.870 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cc1nnc(C)[nH]1 ZINC001234204320 806205185 /nfs/dbraw/zinc/20/51/85/806205185.db2.gz CCWSLIDWBXFARK-GFCCVEGCSA-N 0 1 275.356 0.212 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@@H]1CCN1CC=C ZINC001234255340 806212691 /nfs/dbraw/zinc/21/26/91/806212691.db2.gz LYPCGDPSSNBOJD-ZDUSSCGKSA-N 0 1 250.342 0.745 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@H]1CCN1CCOCC=C ZINC001234267025 806216269 /nfs/dbraw/zinc/21/62/69/806216269.db2.gz VGHFIEVZGPQPKL-HUUCEWRRSA-N 0 1 294.395 0.760 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@H](C)OCC ZINC001234302876 806219576 /nfs/dbraw/zinc/21/95/76/806219576.db2.gz ZBEYKNYGJKMUCS-OLZOCXBDSA-N 0 1 299.415 0.540 20 30 CCEDMN C#CCCCC(=O)NCCN(CC)[C@@H]1CCN(C)C1=O ZINC001267240209 793226727 /nfs/dbraw/zinc/22/67/27/793226727.db2.gz DRABGBRTXMWZIQ-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C=C(C)C(=O)NCCCNc1ncnc2[nH]cnc21 ZINC001167665552 793231579 /nfs/dbraw/zinc/23/15/79/793231579.db2.gz NHBMDCARWFWICU-UHFFFAOYSA-N 0 1 260.301 0.799 20 30 CCEDMN C=C(C)C(=O)NCCCNc1nccc2nn[nH]c21 ZINC001167665796 793232028 /nfs/dbraw/zinc/23/20/28/793232028.db2.gz VBSBDQNBUJJNPG-UHFFFAOYSA-N 0 1 260.301 0.847 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1COc2ccccc2O1 ZINC001267254719 793251410 /nfs/dbraw/zinc/25/14/10/793251410.db2.gz AZVSWUBWZUUGHH-HNNXBMFYSA-N 0 1 288.347 0.898 20 30 CCEDMN N#CCNC[C@@H]1CCCN1C(=O)CCCCc1cn[nH]n1 ZINC001277907457 806311899 /nfs/dbraw/zinc/31/18/99/806311899.db2.gz AODALHCJIQLUNL-ZDUSSCGKSA-N 0 1 290.371 0.622 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)NCCC ZINC001235436219 806423001 /nfs/dbraw/zinc/42/30/01/806423001.db2.gz IGSATORKSDNVPF-UHFFFAOYSA-N 0 1 269.389 0.917 20 30 CCEDMN COCCOCN1CCO[C@H]2CN(CCCC#N)C[C@@H]21 ZINC001277943094 806417590 /nfs/dbraw/zinc/41/75/90/806417590.db2.gz NFKJWSKOARMEIV-KBPBESRZSA-N 0 1 283.372 0.296 20 30 CCEDMN COCCOCN1CCC2(CN(CCCC#N)C2)C1 ZINC001277943060 806418572 /nfs/dbraw/zinc/41/85/72/806418572.db2.gz LIZSRIIWBNNHTA-UHFFFAOYSA-N 0 1 267.373 0.918 20 30 CCEDMN C=CCCC(=O)NCCCN(C)[C@@H](C)C(=O)NC(=O)NC ZINC001235464112 806426924 /nfs/dbraw/zinc/42/69/24/806426924.db2.gz HJFSWLICEOWMLX-NSHDSACASA-N 0 1 298.387 0.235 20 30 CCEDMN C[C@H](O)CN1CC2(CCN2Cc2cc(C#N)n(C)c2)C1 ZINC001277959677 806482441 /nfs/dbraw/zinc/48/24/41/806482441.db2.gz BDLFPCUPGUKYIJ-LBPRGKRZSA-N 0 1 274.368 0.538 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)Cc1nccnc1C ZINC001235684156 806490907 /nfs/dbraw/zinc/49/09/07/806490907.db2.gz RJXMSAXZKKGPRW-UHFFFAOYSA-N 0 1 292.383 0.926 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc2c(o1)CCCC2 ZINC001278093788 806659711 /nfs/dbraw/zinc/65/97/11/806659711.db2.gz QYEJLWSYHVOBHN-ZDUSSCGKSA-N 0 1 290.363 0.862 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cnn(-c2ccccc2)c1 ZINC001278112317 806679461 /nfs/dbraw/zinc/67/94/61/806679461.db2.gz JZPREHCCNKQBTG-CQSZACIVSA-N 0 1 298.346 0.186 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2nnc[nH]2)C1 ZINC001237602415 806696582 /nfs/dbraw/zinc/69/65/82/806696582.db2.gz AJNOAEFISRKIAF-JTQLQIEISA-N 0 1 265.317 0.681 20 30 CCEDMN C=C[C@@H](O)c1nnc(N2CCN(C)C(C)(C)C2)n1C ZINC001121384779 799039046 /nfs/dbraw/zinc/03/90/46/799039046.db2.gz LATSRMJIRKMOAP-SNVBAGLBSA-N 0 1 265.361 0.565 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@H]1c1cccc(F)c1 ZINC001122069946 799135721 /nfs/dbraw/zinc/13/57/21/799135721.db2.gz QMPYHUDFOWBMPX-AWEZNQCLSA-N 0 1 276.311 0.948 20 30 CCEDMN C#CCNCC(=O)N1CCc2cc(Cl)nnc2C1 ZINC001122085875 799139365 /nfs/dbraw/zinc/13/93/65/799139365.db2.gz OFFPMELFKACCRM-UHFFFAOYSA-N 0 1 264.716 0.238 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC001122453140 799237494 /nfs/dbraw/zinc/23/74/94/799237494.db2.gz VULUEQVPLAOASP-ZDCRXTMVSA-N 0 1 262.309 0.835 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc2cc[nH]c21 ZINC001278156634 806729497 /nfs/dbraw/zinc/72/94/97/806729497.db2.gz YUSXPHBESAJUPL-GFCCVEGCSA-N 0 1 271.320 0.481 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1n[nH]cc1Br ZINC001123725234 799461845 /nfs/dbraw/zinc/46/18/45/799461845.db2.gz VGPNMTBKPZMNPS-SSDOTTSWSA-N 0 1 284.113 0.646 20 30 CCEDMN C=C(C)CCNC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001123751944 799466424 /nfs/dbraw/zinc/46/64/24/799466424.db2.gz QWTSZQQIJGBFGE-CHWSQXEVSA-N 0 1 268.405 0.886 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C[C@@H](C)c1ccco1 ZINC001278187613 806749559 /nfs/dbraw/zinc/74/95/59/806749559.db2.gz VAIWHXFMBJOHKV-NEPJUHHUSA-N 0 1 264.325 0.473 20 30 CCEDMN C=C(Br)CNCCNC(=O)CSCC#N ZINC001123788076 799475320 /nfs/dbraw/zinc/47/53/20/799475320.db2.gz ZYAMUFQADAKIBQ-UHFFFAOYSA-N 0 1 292.202 0.858 20 30 CCEDMN C[C@@H]1CNc2c(C(=O)NCC#CCN(C)C)cnn2C1 ZINC001123845049 799485737 /nfs/dbraw/zinc/48/57/37/799485737.db2.gz LVBYUGRCFDXIJA-LLVKDONJSA-N 0 1 275.356 0.240 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCC(=O)NCC ZINC001124726629 799629177 /nfs/dbraw/zinc/62/91/77/799629177.db2.gz IKXUZGUAWXECJZ-UHFFFAOYSA-N 0 1 261.753 0.361 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](C)c1ccc(F)cc1F ZINC001278201326 806770103 /nfs/dbraw/zinc/77/01/03/806770103.db2.gz FBVDZMUPXQRHKU-JQWIXIFHSA-N 0 1 296.317 0.768 20 30 CCEDMN O=C(NCc1ccc(C(=O)NO)cc1)c1[nH]ncc1F ZINC001139227663 799794617 /nfs/dbraw/zinc/79/46/17/799794617.db2.gz UBRCGFAVUZARJM-UHFFFAOYSA-N 0 1 278.243 0.598 20 30 CCEDMN C=CCn1cc(CN2CC[C@](C)(CO)[C@@H](O)C2)cn1 ZINC001139767810 799839912 /nfs/dbraw/zinc/83/99/12/799839912.db2.gz BPJPCSKINPYCKO-UONOGXRCSA-N 0 1 265.357 0.634 20 30 CCEDMN CCC[C@@H](C#N)NC(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001142685253 800146242 /nfs/dbraw/zinc/14/62/42/800146242.db2.gz PQWHYDRJNGDCLI-QJPTWQEYSA-N 0 1 253.346 0.640 20 30 CCEDMN COCCN1CCCO[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001149686357 800289618 /nfs/dbraw/zinc/28/96/18/800289618.db2.gz DCDUKJAUFBIMHG-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CC2(O)CCC2)C1 ZINC001149707055 800293378 /nfs/dbraw/zinc/29/33/78/800293378.db2.gz BZJJPBSWKVAXLM-ZDUSSCGKSA-N 0 1 282.384 0.685 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)c2ccon2)CC1 ZINC001151001121 800383472 /nfs/dbraw/zinc/38/34/72/800383472.db2.gz PWNCGDGPADRVOX-UHFFFAOYSA-N 0 1 291.351 0.909 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCc2nnc(C)n2C1 ZINC001152090626 800488980 /nfs/dbraw/zinc/48/89/80/800488980.db2.gz IVDSRYRUGTUTJR-NSHDSACASA-N 0 1 297.790 0.607 20 30 CCEDMN CCCOCC(=O)NC[C@@H](C)[N@@H+](CC)CC#CCOC ZINC001152221212 800502962 /nfs/dbraw/zinc/50/29/62/800502962.db2.gz FWKOPDZWMKVNBX-CQSZACIVSA-N 0 1 284.400 0.889 20 30 CCEDMN C#CCNC(=O)CC(=O)NC[C@@H](C)[N@@H+](CC)C/C=C/Cl ZINC001152861595 800562831 /nfs/dbraw/zinc/56/28/31/800562831.db2.gz FKDUQQQRDOFKMY-NNNHXZLVSA-N 0 1 299.802 0.705 20 30 CCEDMN C#CCNC(=O)CC(=O)NC[C@@H](C)N(CC)C/C=C/Cl ZINC001152861595 800562836 /nfs/dbraw/zinc/56/28/36/800562836.db2.gz FKDUQQQRDOFKMY-NNNHXZLVSA-N 0 1 299.802 0.705 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001153135276 800599922 /nfs/dbraw/zinc/59/99/22/800599922.db2.gz OUIUQYSILISVSB-SNVBAGLBSA-N 0 1 293.371 0.479 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1c[nH]c2nccnc12 ZINC001153190552 800606487 /nfs/dbraw/zinc/60/64/87/800606487.db2.gz KABORPSBYGWRHQ-UHFFFAOYSA-N 0 1 253.225 0.757 20 30 CCEDMN C#Cc1cnc(NC(=O)c2cnc3nc[nH]c3c2)c(C#C)n1 ZINC001153724882 800656797 /nfs/dbraw/zinc/65/67/97/800656797.db2.gz GJBSFEPAPHDEKE-UHFFFAOYSA-N 0 1 288.270 0.963 20 30 CCEDMN C=C(Cl)CN(CC)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001154134357 800726081 /nfs/dbraw/zinc/72/60/81/800726081.db2.gz ADMOBBFZRRZOFZ-SECBINFHSA-N 0 1 271.752 0.997 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)c1cc(=O)c(OC)co1 ZINC001154327327 800759734 /nfs/dbraw/zinc/75/97/34/800759734.db2.gz FOUHTRMUFPHIRH-LLVKDONJSA-N 0 1 292.335 0.722 20 30 CCEDMN Cc1cc(NCc2nnc3n2CCCNC3)ncc1C#N ZINC001155431027 800975063 /nfs/dbraw/zinc/97/50/63/800975063.db2.gz VUSYVFNLFFBKMS-UHFFFAOYSA-N 0 1 283.339 0.959 20 30 CCEDMN N#Cc1nccnc1NCc1noc2c1CNCC2 ZINC001155494843 800990193 /nfs/dbraw/zinc/99/01/93/800990193.db2.gz IIPFCTLBXANSQJ-UHFFFAOYSA-N 0 1 256.269 0.594 20 30 CCEDMN Cc1nc(C#N)cc(NCc2noc3c2CNCC3)n1 ZINC001155502254 800992237 /nfs/dbraw/zinc/99/22/37/800992237.db2.gz PGABRDAXBHYHCF-UHFFFAOYSA-N 0 1 270.296 0.903 20 30 CCEDMN CC#CCN(CC)[C@H](C)CNC(=O)C(=O)NCC1CC1 ZINC001156051502 801102818 /nfs/dbraw/zinc/10/28/18/801102818.db2.gz PCWJFRRVOMPYMH-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN Cc1nccn2c(C(=O)Nc3nc[nH]c3C#N)nnc12 ZINC001156405318 801161512 /nfs/dbraw/zinc/16/15/12/801161512.db2.gz HPQCWKQZPBQAMR-UHFFFAOYSA-N 0 1 268.240 0.280 20 30 CCEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)Cn1ccccc1=O ZINC001156823773 801242331 /nfs/dbraw/zinc/24/23/31/801242331.db2.gz IMOGVEMXPCVNJU-CQSZACIVSA-N 0 1 289.379 0.698 20 30 CCEDMN C#CCCCC(=O)NCCCN[C@@H](C)c1nncn1C ZINC001157170645 801317271 /nfs/dbraw/zinc/31/72/71/801317271.db2.gz ORZCRJIWWXRPMG-LBPRGKRZSA-N 0 1 277.372 0.776 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1nonc1C ZINC001157189262 801320927 /nfs/dbraw/zinc/32/09/27/801320927.db2.gz ISRWLLBNNGXWNO-ZDUSSCGKSA-N 0 1 282.344 0.301 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1ncc(C)o1 ZINC001157188947 801321059 /nfs/dbraw/zinc/32/10/59/801321059.db2.gz CRHGSXDFBJWDHO-CQSZACIVSA-N 0 1 281.356 0.906 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1cc(C)on1 ZINC001157189521 801321566 /nfs/dbraw/zinc/32/15/66/801321566.db2.gz NGZDABXEBLCHHT-CQSZACIVSA-N 0 1 281.356 0.906 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@@H]1Cc2cc(OC)c(OC)cc21 ZINC001157420893 801377331 /nfs/dbraw/zinc/37/73/31/801377331.db2.gz CHWVBLBTGVIREA-ZBEGNZNMSA-N 0 1 288.347 0.810 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)CCn1cncn1 ZINC001157540601 801411714 /nfs/dbraw/zinc/41/17/14/801411714.db2.gz FVRFHYMLXWOGBX-CQSZACIVSA-N 0 1 289.383 0.614 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCn1cncn1 ZINC001157540601 801411718 /nfs/dbraw/zinc/41/17/18/801411718.db2.gz FVRFHYMLXWOGBX-CQSZACIVSA-N 0 1 289.383 0.614 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1COCCO1 ZINC001157567598 801422894 /nfs/dbraw/zinc/42/28/94/801422894.db2.gz FBHDULAGYXSTHZ-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN[C@H](C)c1nncn1C ZINC001157874602 801508667 /nfs/dbraw/zinc/50/86/67/801508667.db2.gz UUFQQWOSAAOYGG-VXGBXAGGSA-N 0 1 293.371 0.010 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCCC[C@@H]1CN(C)CC#C ZINC001157945796 801536160 /nfs/dbraw/zinc/53/61/60/801536160.db2.gz PYQOMOCXZUTZGU-LSDHHAIUSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CC(=O)N(C)C1 ZINC001158035545 801557631 /nfs/dbraw/zinc/55/76/31/801557631.db2.gz FOGXNPPMYGYKIQ-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN Cc1cc(N2CC(N(C)[C@H]3CCOC3)C2)nc(C#N)n1 ZINC001158731786 801696023 /nfs/dbraw/zinc/69/60/23/801696023.db2.gz YSKRZGRVLGLHFX-NSHDSACASA-N 0 1 273.340 0.566 20 30 CCEDMN Cc1nc(Cl)nc(NC[C@H]2COCCN2)c1C#N ZINC001159043562 801748087 /nfs/dbraw/zinc/74/80/87/801748087.db2.gz DTOHXCYAVRWZRW-QMMMGPOBSA-N 0 1 267.720 0.132 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cnsn2)CC1 ZINC001159397726 801800666 /nfs/dbraw/zinc/80/06/66/801800666.db2.gz FPDPHFNHANQAAG-UHFFFAOYSA-N 0 1 276.365 0.923 20 30 CCEDMN C#C[C@@H](CO)NC(=O)c1ccc(Nc2ccncc2)cc1 ZINC001159762362 801865767 /nfs/dbraw/zinc/86/57/67/801865767.db2.gz NOUIFXSWVXJCTJ-ZDUSSCGKSA-N 0 1 281.315 0.971 20 30 CCEDMN Cc1cc(CC(=O)NCCC2=CCN(CC#N)CC2)[nH]n1 ZINC001159781104 801867970 /nfs/dbraw/zinc/86/79/70/801867970.db2.gz OUVOUCGXCDOXIS-UHFFFAOYSA-N 0 1 287.367 0.923 20 30 CCEDMN C[C@H](C(N)=O)N1CC=C(CCNC(=O)C#CC2CC2)CC1 ZINC001160081807 801904855 /nfs/dbraw/zinc/90/48/55/801904855.db2.gz WFNHBQKRMQTLBN-GFCCVEGCSA-N 0 1 289.379 0.412 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1[n-]nc([N+](=O)[O-])c1Cl ZINC001160103848 801909256 /nfs/dbraw/zinc/90/92/56/801909256.db2.gz PVQKBYUREBTFFV-UHFFFAOYSA-N 0 1 299.718 0.656 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1[n-]nc([N+](=O)[O-])c1Cl ZINC001160103848 801909260 /nfs/dbraw/zinc/90/92/60/801909260.db2.gz PVQKBYUREBTFFV-UHFFFAOYSA-N 0 1 299.718 0.656 20 30 CCEDMN C#CCOCCOCCNc1ncc2c(n1)CNC2 ZINC001160673223 801996703 /nfs/dbraw/zinc/99/67/03/801996703.db2.gz CPYYXWAJJWOOQP-UHFFFAOYSA-N 0 1 262.313 0.158 20 30 CCEDMN COc1ccc(C#CC(=O)N2CCN[C@H](C)C2)cc1 ZINC001161015678 802061966 /nfs/dbraw/zinc/06/19/66/802061966.db2.gz JEJJBAGYWKDAEU-GFCCVEGCSA-N 0 1 258.321 0.867 20 30 CCEDMN N#Cc1cc(CC(=O)N2CCNC3(CC3)C2)ccn1 ZINC001161545315 802174818 /nfs/dbraw/zinc/17/48/18/802174818.db2.gz QLOLGPFCAASZFC-UHFFFAOYSA-N 0 1 256.309 0.460 20 30 CCEDMN C=C(CO)C(=O)Nc1c(C(C)C)n[nH]c1C(N)=O ZINC001161678669 802198451 /nfs/dbraw/zinc/19/84/51/802198451.db2.gz KLKWQPLLJMJCMS-UHFFFAOYSA-N 0 1 252.274 0.119 20 30 CCEDMN CN1CCC(C#N)(Nc2ccc3c(n2)NC(=O)CO3)CC1 ZINC001162227253 802292383 /nfs/dbraw/zinc/29/23/83/802292383.db2.gz NQTPNQDKSLEYRI-UHFFFAOYSA-N 0 1 287.323 0.812 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CSC(C)C ZINC001278844607 808322514 /nfs/dbraw/zinc/32/25/14/808322514.db2.gz IEWVXKVMJSOAFA-GFCCVEGCSA-N 0 1 272.414 0.512 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)CC[C@H](C)OC ZINC001278854359 808335519 /nfs/dbraw/zinc/33/55/19/808335519.db2.gz BKSCVWKQLDZSJW-UONOGXRCSA-N 0 1 284.400 0.576 20 30 CCEDMN N#Cc1cccc(NCc2nnc3n2CCCNC3)n1 ZINC001163017566 802460001 /nfs/dbraw/zinc/46/00/01/802460001.db2.gz KPKMKBGNEFOHJT-UHFFFAOYSA-N 0 1 269.312 0.650 20 30 CCEDMN C=C(C)CN(C)CCCNC(=O)[C@@H](C)S(C)(=O)=O ZINC001265002466 809691338 /nfs/dbraw/zinc/69/13/38/809691338.db2.gz HNJUUPLGPWMUCI-LLVKDONJSA-N 0 1 276.402 0.434 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCNCc1nncn1C ZINC001164223693 802691472 /nfs/dbraw/zinc/69/14/72/802691472.db2.gz VEJCMYPGNMFLLK-NEPJUHHUSA-N 0 1 279.388 0.869 20 30 CCEDMN N#CCc1cnc(N2CCNC(=O)C23CNC3)c(Cl)c1 ZINC001165300422 802819058 /nfs/dbraw/zinc/81/90/58/802819058.db2.gz ARGAMYXXIDAWLB-UHFFFAOYSA-N 0 1 291.742 0.079 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1nccc(F)c1C#N ZINC001165437725 802841082 /nfs/dbraw/zinc/84/10/82/802841082.db2.gz BOHAMXYDQAEJTR-JTQLQIEISA-N 0 1 264.260 0.044 20 30 CCEDMN C=CCCC1(C(=O)NCCCNCc2nnnn2C)CC1 ZINC001165913511 802874902 /nfs/dbraw/zinc/87/49/02/802874902.db2.gz DHRSZHDQCPCNTL-UHFFFAOYSA-N 0 1 292.387 0.552 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](CC)NCc1cnnn1C ZINC001267799217 811794670 /nfs/dbraw/zinc/79/46/70/811794670.db2.gz JUAUKFVMQXHUCX-GFCCVEGCSA-N 0 1 277.372 0.603 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCNCc2nnnn2C)C1 ZINC001166249315 802896150 /nfs/dbraw/zinc/89/61/50/802896150.db2.gz QHNKRQAIHUHFCL-UHFFFAOYSA-N 0 1 278.360 0.162 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001028536816 812828674 /nfs/dbraw/zinc/82/86/74/812828674.db2.gz YVZLUVOXMJQNST-NEPJUHHUSA-N 0 1 287.367 0.791 20 30 CCEDMN CCCC1(C(=O)NCC2(NCC(=O)NCC#N)CC2)CC1 ZINC001269484577 813386011 /nfs/dbraw/zinc/38/60/11/813386011.db2.gz OVQIYIFLQHNDOP-UHFFFAOYSA-N 0 1 292.383 0.445 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)C2=COCCC2)C1 ZINC001206509962 803255190 /nfs/dbraw/zinc/25/51/90/803255190.db2.gz VUPWVAUFOIQEFK-UKRRQHHQSA-N 0 1 292.379 0.767 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CC2(O)CCC2)C1 ZINC001206942480 803314992 /nfs/dbraw/zinc/31/49/92/803314992.db2.gz GKPPPZWLOZMUMI-CHWSQXEVSA-N 0 1 264.369 0.751 20 30 CCEDMN Cn1cc(CN2CCC3(CC2)NC(=O)NC3=O)cc1C#N ZINC001207109485 803353215 /nfs/dbraw/zinc/35/32/15/803353215.db2.gz MDMKDQZTGOAJND-UHFFFAOYSA-N 0 1 287.323 0.071 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CN(C)C(=O)C(C)C)C1 ZINC001207273730 803376909 /nfs/dbraw/zinc/37/69/09/803376909.db2.gz FXWDGMOWXPLPLQ-CHWSQXEVSA-N 0 1 279.384 0.171 20 30 CCEDMN CC(C)[C@@H](O)C(=O)NCCNCc1cc(C#N)ccc1F ZINC001126632153 814701645 /nfs/dbraw/zinc/70/16/45/814701645.db2.gz OKZGFWRUYDKCSA-CQSZACIVSA-N 0 1 293.342 0.920 20 30 CCEDMN CS(=O)(=O)N1CCC(CN2CC(CC#N)C2)CC1 ZINC001207442854 803402308 /nfs/dbraw/zinc/40/23/08/803402308.db2.gz IAHFNUWNRMEMCQ-UHFFFAOYSA-N 0 1 271.386 0.503 20 30 CCEDMN C=CCN1C[C@H](NC(=O)CN(C)C(C)=O)C(C)(C)C1 ZINC001276554977 803490110 /nfs/dbraw/zinc/49/01/10/803490110.db2.gz IOJJCJJANJOJQO-LBPRGKRZSA-N 0 1 267.373 0.477 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)Nc1nn[nH]c1C(=O)NC ZINC001208745837 803544814 /nfs/dbraw/zinc/54/48/14/803544814.db2.gz XDGBKWPVTIRHIK-MRVPVSSYSA-N 0 1 278.316 0.737 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001209360500 803599119 /nfs/dbraw/zinc/59/91/19/803599119.db2.gz ARRWJCDSVXEUGD-DGCLKSJQSA-N 0 1 281.400 0.771 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccc[nH]2)C1 ZINC001211194068 803672372 /nfs/dbraw/zinc/67/23/72/803672372.db2.gz CIJZFJZRXCBNHJ-UKRRQHHQSA-N 0 1 289.379 0.644 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1OC ZINC001213260607 803687915 /nfs/dbraw/zinc/68/79/15/803687915.db2.gz NBOBGDZQXXHAAD-JHJVBQTASA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001213964495 803708182 /nfs/dbraw/zinc/70/81/82/803708182.db2.gz ZFQPFFWVMAUSNM-IJLUTSLNSA-N 0 1 256.346 0.413 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COCCC)[C@H](OC)C1 ZINC001211985862 814961858 /nfs/dbraw/zinc/96/18/58/814961858.db2.gz BHBHIXSOMHPXQQ-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN N#Cc1cnc(NC(=O)[C@@H](N)Cc2ccsc2)cn1 ZINC001218504697 803971899 /nfs/dbraw/zinc/97/18/99/803971899.db2.gz RQRIWSYQOMOSRN-JTQLQIEISA-N 0 1 273.321 0.918 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H](C)C#N)[C@H](OC)C1 ZINC001212041617 814984276 /nfs/dbraw/zinc/98/42/76/814984276.db2.gz WAQKANQEXVPLOR-JHJVBQTASA-N 0 1 281.356 0.164 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)n1c(N)c(F)ccc1=N ZINC001218604341 804030575 /nfs/dbraw/zinc/03/05/75/804030575.db2.gz NTWQBRGHXTXXFE-LBPRGKRZSA-N 0 1 299.309 0.771 20 30 CCEDMN Cc1ncc(C#N)cc1NC(=O)[C@@H](N)CC[S@](C)=O ZINC001218659979 804069382 /nfs/dbraw/zinc/06/93/82/804069382.db2.gz YXOUYZIJPXGCTD-OVWNDWIMSA-N 0 1 280.353 0.296 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C[C@H](C)COC)[C@H]2C1 ZINC001218880442 804146281 /nfs/dbraw/zinc/14/62/81/804146281.db2.gz FVSKTNLQBXOLPA-SOUVJXGZSA-N 0 1 294.395 0.594 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C=C(/C)C2CC2)[C@@H](O)C1 ZINC001219281532 804254597 /nfs/dbraw/zinc/25/45/97/804254597.db2.gz JLAZQSLHPBQJKY-PVBRJXJSSA-N 0 1 294.395 0.707 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H](C)OC)[C@@H](O)C1 ZINC001219338575 804263464 /nfs/dbraw/zinc/26/34/64/804263464.db2.gz FWHNAMAQJKFYCO-TUAOUCFPSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1CN(C(C)C)C[C@@H]1O ZINC001219313256 804266416 /nfs/dbraw/zinc/26/64/16/804266416.db2.gz ZVVVWRFRVZGSNB-XQQFMLRXSA-N 0 1 270.373 0.537 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOC2CCC2)C[C@@H]1O ZINC001219344107 804279968 /nfs/dbraw/zinc/27/99/68/804279968.db2.gz AKVWLRRJXTVVEX-KGLIPLIRSA-N 0 1 282.384 0.683 20 30 CCEDMN C#Cc1ccc(NC(=O)[C@@H](N)CC[S@@](C)=O)cc1F ZINC001219384804 804294105 /nfs/dbraw/zinc/29/41/05/804294105.db2.gz XFYAXDJISCZPIC-HXPMCKFVSA-N 0 1 282.340 0.841 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)[C@@H](O)C1 ZINC001219420322 804305027 /nfs/dbraw/zinc/30/50/27/804305027.db2.gz PAYOJZJWFFDKFD-SEBNEYGDSA-N 0 1 294.395 0.396 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C=C\C2CC2)[C@@H](O)C1 ZINC001219431089 804308157 /nfs/dbraw/zinc/30/81/57/804308157.db2.gz XBWXLMGABMLUHK-QJTIAFMVSA-N 0 1 280.368 0.317 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C\C2CC2)[C@H](OC)C1 ZINC001212090195 815007835 /nfs/dbraw/zinc/00/78/35/815007835.db2.gz OLIMIPLKKXXYBU-JUBSNLHESA-N 0 1 262.353 0.791 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2coc(CC)n2)[C@@H](O)C1 ZINC001219492409 804331038 /nfs/dbraw/zinc/33/10/38/804331038.db2.gz KVEPDBOEOMQRBX-YPMHNXCESA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC[C@@H](C)OC)[C@@H](O)C1 ZINC001219493718 804331435 /nfs/dbraw/zinc/33/14/35/804331435.db2.gz CNVMOPDJONBVNM-UPJWGTAASA-N 0 1 270.373 0.539 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(CCCCCF)C[C@@H]1O ZINC001219591673 804363168 /nfs/dbraw/zinc/36/31/68/804363168.db2.gz PRUCSNJNFBIVHW-KGLIPLIRSA-N 0 1 282.359 0.701 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC2(COC)CC2)[C@@H](O)C1 ZINC001219603125 804371156 /nfs/dbraw/zinc/37/11/56/804371156.db2.gz UDDUCBCJLMPFAN-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN CC(C)C[C@H](CO)NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001219631297 804381637 /nfs/dbraw/zinc/38/16/37/804381637.db2.gz WLLFJNYTWQSAMX-CABCVRRESA-N 0 1 289.379 0.951 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@@H]1O ZINC001219690026 804405080 /nfs/dbraw/zinc/40/50/80/804405080.db2.gz UIAWEUJZIVMUPH-YUELXQCFSA-N 0 1 296.411 0.951 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CC(C)C)CC2)[C@@H](O)C1 ZINC001219778469 804422970 /nfs/dbraw/zinc/42/29/70/804422970.db2.gz AWULGPMLAMEWDP-KGLIPLIRSA-N 0 1 278.396 0.997 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(COCC)CC2)[C@@H](O)C1 ZINC001219905141 804445607 /nfs/dbraw/zinc/44/56/07/804445607.db2.gz URNOYRGDLTYTBY-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@H](N)c1ccccc1 ZINC001220124584 804505781 /nfs/dbraw/zinc/50/57/81/804505781.db2.gz DEWWAMNKKMOTHI-GFCCVEGCSA-N 0 1 262.309 0.922 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C[C@@H](C=C)CC)[C@@H](O)C1 ZINC001220205633 804537926 /nfs/dbraw/zinc/53/79/26/804537926.db2.gz HDAKGEQUTNYTNQ-ZNMIVQPWSA-N 0 1 296.411 0.953 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2n[nH]cc2F)[C@@H](O)C1 ZINC001220295352 804566060 /nfs/dbraw/zinc/56/60/60/804566060.db2.gz MZOLGNJPPBJDBP-MNOVXSKESA-N 0 1 282.319 0.290 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(F)(F)C(F)F)[C@@H](O)C1 ZINC001220363828 804582472 /nfs/dbraw/zinc/58/24/72/804582472.db2.gz QSZUUDFBYRQHAV-BDAKNGLRSA-N 0 1 296.264 0.462 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001220383723 804589578 /nfs/dbraw/zinc/58/95/78/804589578.db2.gz UNCPHHUQUNXZDH-SSDOTTSWSA-N 0 1 276.300 0.182 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)[C@H](N)[C@@H](C)OC(C)(C)C ZINC001220498172 804615194 /nfs/dbraw/zinc/61/51/94/804615194.db2.gz CUAYLDZDDJJVJU-OPRDCNLKSA-N 0 1 285.344 0.089 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)Cc2ccco2)[C@@H](O)C1 ZINC001220585873 804631606 /nfs/dbraw/zinc/63/16/06/804631606.db2.gz BBSPQURHSCXKNA-KGLIPLIRSA-N 0 1 294.351 0.186 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H]1CCc2ccc(O)cc2C1 ZINC001220592702 804633743 /nfs/dbraw/zinc/63/37/43/804633743.db2.gz SDNNNJLCHVXDJK-DOMZBBRYSA-N 0 1 258.321 0.716 20 30 CCEDMN C[C@H]1CCN[C@H](C(=O)N=C(N)c2ccc(C(N)=O)cc2)C1 ZINC001220643726 804642593 /nfs/dbraw/zinc/64/25/93/804642593.db2.gz QIZQJWKGWQGFKI-CABZTGNLSA-N 0 1 288.351 0.406 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2oncc2C)[C@@H](O)C1 ZINC001220673484 804647462 /nfs/dbraw/zinc/64/74/62/804647462.db2.gz ZYALOARTZIAEIL-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCC2(C)CC2)[C@@H](O)C1 ZINC001220680174 804648826 /nfs/dbraw/zinc/64/88/26/804648826.db2.gz OPGBBCBFQMZMJY-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H](NC(N)=O)C(C)(C)C ZINC001272151794 815030048 /nfs/dbraw/zinc/03/00/48/815030048.db2.gz CSIVTPUSCKBDLR-LBPRGKRZSA-N 0 1 296.415 0.483 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](C)n2cccc2)[C@@H](O)C1 ZINC001220805003 804670048 /nfs/dbraw/zinc/67/00/48/804670048.db2.gz RWEHPLKTOWEFKS-ZNMIVQPWSA-N 0 1 289.379 0.624 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1c(OC)cc(C)[nH]c1=O ZINC001221016648 804720103 /nfs/dbraw/zinc/72/01/03/804720103.db2.gz YLHMCSVCRHNIKU-ZDUSSCGKSA-N 0 1 263.297 0.071 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](NC(=O)CCCCC(=O)NC)[C@@H](O)C1 ZINC001221135281 804734344 /nfs/dbraw/zinc/73/43/44/804734344.db2.gz HAIUOFSVMYVCGE-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCCCC(=O)NC)[C@@H](O)C1 ZINC001221135281 804734351 /nfs/dbraw/zinc/73/43/51/804734351.db2.gz HAIUOFSVMYVCGE-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2cscn2)[C@@H](O)C1 ZINC001221156343 804737760 /nfs/dbraw/zinc/73/77/60/804737760.db2.gz FHELJRBKYZEVGB-OLZOCXBDSA-N 0 1 293.392 0.260 20 30 CCEDMN CC(=O)OC[C@H](N)C(=O)NC1(C#N)Cc2ccccc2C1 ZINC001221366695 804787962 /nfs/dbraw/zinc/78/79/62/804787962.db2.gz KERVBMMOZPRRGK-ZDUSSCGKSA-N 0 1 287.319 0.054 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cccnc1N(C)C ZINC001272171816 815037222 /nfs/dbraw/zinc/03/72/22/815037222.db2.gz FTEVPJZGYDCCPI-UHFFFAOYSA-N 0 1 274.368 0.785 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CNC(=O)[C@@H](C)CC)[C@@H]2C1 ZINC001222027080 804860100 /nfs/dbraw/zinc/86/01/00/804860100.db2.gz KCDYASCDANTGKA-BFHYXJOUSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001222071663 804861390 /nfs/dbraw/zinc/86/13/90/804861390.db2.gz DOUJOTBOVDJBJP-JHJVBQTASA-N 0 1 268.357 0.103 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)COC[C@H]1CCCO1 ZINC001272186029 815040580 /nfs/dbraw/zinc/04/05/80/815040580.db2.gz WZGLGXKAKKIQRY-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@H]2CCN(CC#C)[C@H]2C1 ZINC001222661777 804923418 /nfs/dbraw/zinc/92/34/18/804923418.db2.gz QEGBNMMWZOSFMR-ZNMIVQPWSA-N 0 1 274.364 0.581 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CCN(C(=O)[C@H](C)CC(N)=O)C[C@@H]21 ZINC001223251703 804961854 /nfs/dbraw/zinc/96/18/54/804961854.db2.gz FIEIYSCQPZIFQQ-UPJWGTAASA-N 0 1 279.384 0.607 20 30 CCEDMN C=CCCCC(=O)NCC1CCN(CC(=O)NC)CC1 ZINC001224272702 805020351 /nfs/dbraw/zinc/02/03/51/805020351.db2.gz GAYTVFMACCXRDF-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN C#CCCCN1CC[C@@H]2C[C@@]21C(=O)NCc1noc(C)n1 ZINC001276977910 805045608 /nfs/dbraw/zinc/04/56/08/805045608.db2.gz HTHIYURLMXIJPD-DOMZBBRYSA-N 0 1 288.351 0.872 20 30 CCEDMN C=CCNC(=O)NC(C)(C)C(=O)NC[C@@H]1CCN1CC ZINC001276977861 805046141 /nfs/dbraw/zinc/04/61/41/805046141.db2.gz JOFDVNOFYPUOMG-NSHDSACASA-N 0 1 282.388 0.461 20 30 CCEDMN C=CCCC(=O)NCC1(N[C@@H]2CCN(CC)C2=O)CC1 ZINC001277013065 805103832 /nfs/dbraw/zinc/10/38/32/805103832.db2.gz AXZMNRQFIZNAPI-GFCCVEGCSA-N 0 1 279.384 0.812 20 30 CCEDMN C#CC(C#C)Oc1nc(NC(C)=O)nc2nc[nH]c21 ZINC001225834103 805168512 /nfs/dbraw/zinc/16/85/12/805168512.db2.gz PFKZJSFNTZGUAZ-UHFFFAOYSA-N 0 1 255.237 0.325 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ccc[nH]2)CC1 ZINC001226017287 805187056 /nfs/dbraw/zinc/18/70/56/805187056.db2.gz BUPJDGNOSRXVMA-UHFFFAOYSA-N 0 1 275.352 0.859 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2CO[C@H](C)C2)CC1 ZINC001228064096 805411273 /nfs/dbraw/zinc/41/12/73/805411273.db2.gz GTIUFTXXQFEJBV-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN C#C[C@H](Oc1[nH]c(=O)ncc1Cl)C(=O)OCC ZINC001228481561 805451579 /nfs/dbraw/zinc/45/15/79/805451579.db2.gz CIBZYHUTFUVSRE-ZETCQYMHSA-N 0 1 256.645 0.779 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2ccc(=O)[nH]c2)CC1 ZINC001228852442 805483779 /nfs/dbraw/zinc/48/37/79/805483779.db2.gz FXONBDHPSROEIG-UHFFFAOYSA-N 0 1 287.363 0.934 20 30 CCEDMN C=C[C@H](Oc1nc(C)[nH]c(=O)c1[N+](=O)[O-])C(=O)OC ZINC001229380877 805527168 /nfs/dbraw/zinc/52/71/68/805527168.db2.gz MNLYUHANNYIVDS-LURJTMIESA-N 0 1 269.213 0.505 20 30 CCEDMN CC#CCN1CCC(NC(=O)C2(C(=O)N(C)C)CC2)CC1 ZINC001229992941 805571705 /nfs/dbraw/zinc/57/17/05/805571705.db2.gz MJEUEDSUEPNNNI-UHFFFAOYSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)Cc2nnc(C)o2)C1 ZINC001278388771 807058447 /nfs/dbraw/zinc/05/84/47/807058447.db2.gz NMDIIQNTJXCXMJ-AWEZNQCLSA-N 0 1 276.340 0.524 20 30 CCEDMN C=CCOC[C@@H](O)C[N@H+]1CCC(F)(F)[C@@H](N)C1 ZINC001246030143 807126928 /nfs/dbraw/zinc/12/69/28/807126928.db2.gz FAZPCUVBSBACIU-UWVGGRQHSA-N 0 1 250.289 0.218 20 30 CCEDMN COCC#CCN1CC[C@@]2(NC(C)=O)CCC[C@H]12 ZINC001278430578 807148149 /nfs/dbraw/zinc/14/81/49/807148149.db2.gz IIOPEMOJKFIQRH-KBPBESRZSA-N 0 1 250.342 0.769 20 30 CCEDMN C=CCCCNC(=S)NCCN1CCC[C@@H](O)C1 ZINC001247775078 807489416 /nfs/dbraw/zinc/48/94/16/807489416.db2.gz VBDVXNMLFYQTHP-GFCCVEGCSA-N 0 1 271.430 0.873 20 30 CCEDMN N#Cc1c(N)nn(C2=CC[C@@H](N3CCOCC3)CC2)c1N ZINC001249557211 807560626 /nfs/dbraw/zinc/56/06/26/807560626.db2.gz JORLEQXTDXRGKD-SNVBAGLBSA-N 0 1 288.355 0.645 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NCc1nc(CSC)n[nH]1 ZINC001251113972 807667954 /nfs/dbraw/zinc/66/79/54/807667954.db2.gz SGNVENIYFFOKHD-VIFPVBQESA-N 0 1 299.400 0.652 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NCc1nnc(CSC)[nH]1 ZINC001251113972 807667957 /nfs/dbraw/zinc/66/79/57/807667957.db2.gz SGNVENIYFFOKHD-VIFPVBQESA-N 0 1 299.400 0.652 20 30 CCEDMN CO[C@H]1CCCN(C(=O)NCC#CCN(C)C)C1 ZINC001251708380 807696651 /nfs/dbraw/zinc/69/66/51/807696651.db2.gz QFNHJWBZTONSNN-LBPRGKRZSA-N 0 1 253.346 0.372 20 30 CCEDMN CC(C)[C@@H](O)C1(CNC(=O)NCC#CCN(C)C)CC1 ZINC001251708772 807696749 /nfs/dbraw/zinc/69/67/49/807696749.db2.gz XNZSLIBAFSWUBK-CYBMUJFWSA-N 0 1 281.400 0.648 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCCc2n[nH]cc2C1 ZINC001251708867 807697147 /nfs/dbraw/zinc/69/71/47/807697147.db2.gz ZHYHWAWOBXXUPZ-UHFFFAOYSA-N 0 1 275.356 0.433 20 30 CCEDMN N#CCCCCN1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000348377234 807710428 /nfs/dbraw/zinc/71/04/28/807710428.db2.gz DQCGFSQKBFLPQR-JTQLQIEISA-N 0 1 261.285 0.566 20 30 CCEDMN C#CCOC[C@H](O)CN[C@@H]1Cc2ccccc2NC1=O ZINC001251884513 807733758 /nfs/dbraw/zinc/73/37/58/807733758.db2.gz AFYQUFBBJBTURT-TZMCWYRMSA-N 0 1 274.320 0.150 20 30 CCEDMN N#Cc1cccc(O[C@@H]2CCC[N@H+](C[C@H](O)CO)C2)c1 ZINC001252061777 807784685 /nfs/dbraw/zinc/78/46/85/807784685.db2.gz UZKFFHOVLXOJMQ-DZGCQCFKSA-N 0 1 276.336 0.755 20 30 CCEDMN C#CCCCC(=O)NC[C@@]1(C)CCCN([C@H](C)C(N)=O)C1 ZINC001278659310 807808370 /nfs/dbraw/zinc/80/83/70/807808370.db2.gz SXBYRAMBYVUMCT-CZUORRHYSA-N 0 1 293.411 0.882 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c[nH]nc2C)[C@H]1C ZINC001088784242 815155786 /nfs/dbraw/zinc/15/57/86/815155786.db2.gz PFUKFWMMQNXMOV-YPMHNXCESA-N 0 1 260.341 0.934 20 30 CCEDMN C=CCOC[C@H](O)CNCc1[nH]cnc1C(=O)OCC ZINC001252486383 807889863 /nfs/dbraw/zinc/88/98/63/807889863.db2.gz XWBYWIVMHGWHMN-SNVBAGLBSA-N 0 1 283.328 0.240 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(c2cc(C)ncn2)CC1 ZINC001252558411 807910262 /nfs/dbraw/zinc/91/02/62/807910262.db2.gz VZEOAILVALCQRO-AWEZNQCLSA-N 0 1 262.357 0.844 20 30 CCEDMN C=C[C@](C)(O)CN[C@H](Cc1cnc[nH]1)C(=O)OC ZINC001252584981 807914532 /nfs/dbraw/zinc/91/45/32/807914532.db2.gz IUIZCYDQFBGFQC-PWSUYJOCSA-N 0 1 253.302 0.020 20 30 CCEDMN CC(C)OC[C@@H](O)CNC1(C#N)CCN(C)CC1 ZINC001252699912 807947235 /nfs/dbraw/zinc/94/72/35/807947235.db2.gz WZRPGFYKWQOULX-LBPRGKRZSA-N 0 1 255.362 0.350 20 30 CCEDMN C=C[C@H](O)CN1Cc2cnn(CC)c2[C@@H](COC)C1 ZINC001253578200 808087364 /nfs/dbraw/zinc/08/73/64/808087364.db2.gz XBGXPBQSLCUGHF-OLZOCXBDSA-N 0 1 265.357 0.996 20 30 CCEDMN C=C[C@@H](O)CN1CCN(c2cc(C)nc(C)n2)CC1 ZINC001253579704 808088027 /nfs/dbraw/zinc/08/80/27/808088027.db2.gz SJBGMNLFFMWUNW-CYBMUJFWSA-N 0 1 262.357 0.762 20 30 CCEDMN C=C[C@@H](O)CN1CC2(C1)CCN(C(=O)c1cccnc1)C2 ZINC001253582998 808089556 /nfs/dbraw/zinc/08/95/56/808089556.db2.gz XFKKGOBHKSYXLX-CQSZACIVSA-N 0 1 287.363 0.776 20 30 CCEDMN Cc1oncc1CNC[C@@H](O)CN(C)C(=O)C#CC1CC1 ZINC001278756681 808169561 /nfs/dbraw/zinc/16/95/61/808169561.db2.gz UDAQJZHMSQIBSQ-CQSZACIVSA-N 0 1 291.351 0.305 20 30 CCEDMN Cc1cc(CNCCNC(=O)C#CC2CC2)ncn1 ZINC001126881246 815181071 /nfs/dbraw/zinc/18/10/71/815181071.db2.gz NLXFWQZWEFXBJF-UHFFFAOYSA-N 0 1 258.325 0.404 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC001254557346 808260002 /nfs/dbraw/zinc/26/00/02/808260002.db2.gz QFTBEEFMCHPLBI-UONOGXRCSA-N 0 1 296.415 0.219 20 30 CCEDMN COCC(=O)N[C@@H]1CCCN([C@@H]2CC[C@@H](C#N)C2)C1 ZINC001254676039 808273388 /nfs/dbraw/zinc/27/33/88/808273388.db2.gz ILOGWAYKBMZDAC-JHJVBQTASA-N 0 1 265.357 0.906 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H](C)CCCOC)C1 ZINC001278816994 808282042 /nfs/dbraw/zinc/28/20/42/808282042.db2.gz ZSELQHUYUGOURC-ZFWWWQNUSA-N 0 1 282.384 0.235 20 30 CCEDMN CC(C)c1nc(CNCCNC(=O)C#CC2CC2)n[nH]1 ZINC001126898874 815202597 /nfs/dbraw/zinc/20/25/97/815202597.db2.gz DFIKVHRSQIQQSO-UHFFFAOYSA-N 0 1 275.356 0.547 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@H](OC)[C@@H]1CCOC1)C(C)C ZINC001278914513 808472813 /nfs/dbraw/zinc/47/28/13/808472813.db2.gz GPSZJWSJNLLYFZ-HUUCEWRRSA-N 0 1 296.411 0.840 20 30 CCEDMN C[C@@H](CC(=O)N1CCOCC1)N1CCN(CCC#N)CC1 ZINC001256347840 808510404 /nfs/dbraw/zinc/51/04/04/808510404.db2.gz XKYVAQVSAAIOBJ-AWEZNQCLSA-N 0 1 294.399 0.155 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCO[C@@H](C(F)F)C1 ZINC001256584762 808536421 /nfs/dbraw/zinc/53/64/21/808536421.db2.gz JVIBQXOIRZDBLZ-SNVBAGLBSA-N 0 1 275.299 0.227 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC[C@H](CO)CC1 ZINC001256585811 808537139 /nfs/dbraw/zinc/53/71/39/808537139.db2.gz VFMGXWNLTGXTPV-ZDUSSCGKSA-N 0 1 267.373 0.355 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC(C)(C)[C@H]2COC[C@H]21 ZINC001256585854 808537540 /nfs/dbraw/zinc/53/75/40/808537540.db2.gz WBZHHSWWXXDYNX-QWHCGFSZSA-N 0 1 279.384 0.618 20 30 CCEDMN COC(C)(C)CN(C)C(=O)NCC#CCN(C)C ZINC001256585009 808538020 /nfs/dbraw/zinc/53/80/20/808538020.db2.gz MSPGPABTZDORNH-UHFFFAOYSA-N 0 1 255.362 0.618 20 30 CCEDMN CN1CC[C@H]2CN(S(=O)(=O)c3ccccc3C#N)C[C@H]21 ZINC001258243817 808667100 /nfs/dbraw/zinc/66/71/00/808667100.db2.gz WUXSEHVAELKJGV-QWHCGFSZSA-N 0 1 291.376 0.883 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)C(=O)N2CCNC(C)(C)C2)C1 ZINC001261424641 808976115 /nfs/dbraw/zinc/97/61/15/808976115.db2.gz ZQSZRUZYVMKVDS-LBPRGKRZSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)c3cncnc3)[C@@H]2C1 ZINC001076168567 815254208 /nfs/dbraw/zinc/25/42/08/815254208.db2.gz RGQCKGCFCXGCGF-KCQAQPDRSA-N 0 1 284.363 0.746 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnc(C)n3C)[C@@H]2C1 ZINC001076177295 815254764 /nfs/dbraw/zinc/25/47/64/815254764.db2.gz UGPQFGPQDVSWKY-GXTWGEPZSA-N 0 1 272.352 0.508 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)C(=O)NCC1(CC#N)CC1 ZINC001261819466 809097869 /nfs/dbraw/zinc/09/78/69/809097869.db2.gz ZZAHHQIKXNVZNK-GFCCVEGCSA-N 0 1 292.383 0.253 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3[nH]c(C)nc3C)[C@@H]2C1 ZINC001076256226 815268173 /nfs/dbraw/zinc/26/81/73/815268173.db2.gz PVJWUUBEIRMWKI-QWHCGFSZSA-N 0 1 272.352 0.806 20 30 CCEDMN C#CCSCC(=O)NCCCCN1CCOCC1 ZINC001262113472 809194026 /nfs/dbraw/zinc/19/40/26/809194026.db2.gz PAOISLDUDJUPSH-UHFFFAOYSA-N 0 1 270.398 0.581 20 30 CCEDMN C#CCNCC(=O)NCc1nc2ccccc2n1CC ZINC001263079245 809434702 /nfs/dbraw/zinc/43/47/02/809434702.db2.gz KPRPVGRAJFQLSN-UHFFFAOYSA-N 0 1 270.336 0.895 20 30 CCEDMN COc1c(F)nccc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC001263105236 809446182 /nfs/dbraw/zinc/44/61/82/809446182.db2.gz IMVMESJNOZUALZ-IONNQARKSA-N 0 1 264.260 0.071 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc(C(N)=O)c[nH]3)[C@@H]2C1 ZINC001076406641 815290279 /nfs/dbraw/zinc/29/02/79/815290279.db2.gz VXLYLHGNJQRPCX-GXFFZTMASA-N 0 1 288.351 0.446 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCNC[C@@H]1CCOC ZINC001263254289 809496953 /nfs/dbraw/zinc/49/69/53/809496953.db2.gz CQOGLCWDYFCZCF-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)c1cnn2ccncc12 ZINC001263293073 809504330 /nfs/dbraw/zinc/50/43/30/809504330.db2.gz BEDOMUYRHWVCBT-LBPRGKRZSA-N 0 1 284.319 0.984 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2CCC(=O)N2C)CC1 ZINC001263621344 809542952 /nfs/dbraw/zinc/54/29/52/809542952.db2.gz ZHVZALYWBMJFNT-GFCCVEGCSA-N 0 1 264.325 0.248 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CCC[N@@H+]1CC(C)C)C(=O)[O-] ZINC001263631353 809544311 /nfs/dbraw/zinc/54/43/11/809544311.db2.gz NVNVFUHJMZURJV-RYUDHWBXSA-N 0 1 266.341 0.700 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2CCN(C(=O)[C@@H]3CCc4[nH]cnc4C3)[C@@H]2C1 ZINC001076439936 815298693 /nfs/dbraw/zinc/29/86/93/815298693.db2.gz NDJMNLSYVOYWDT-DVOMOZLQSA-N 0 1 298.390 0.681 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H]3CCc4[nH]cnc4C3)[C@@H]2C1 ZINC001076439936 815298700 /nfs/dbraw/zinc/29/87/00/815298700.db2.gz NDJMNLSYVOYWDT-DVOMOZLQSA-N 0 1 298.390 0.681 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H]2CCN(C(=O)Cc3ncn[nH]3)[C@@H]2C1 ZINC001076444619 815298863 /nfs/dbraw/zinc/29/88/63/815298863.db2.gz JZIDVSYOOVWZHM-NWDGAFQWSA-N 0 1 275.356 0.456 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](CC)NC(C)=O)[C@H]1CC ZINC001264064162 809627460 /nfs/dbraw/zinc/62/74/60/809627460.db2.gz YZQANKVOOVUKOJ-QLFBSQMISA-N 0 1 293.411 0.894 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cn1ccc(C)cc1=O ZINC001264373563 809647312 /nfs/dbraw/zinc/64/73/12/809647312.db2.gz WWDWSPKUWZYGLR-AWEZNQCLSA-N 0 1 289.379 0.875 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2cnns2)C1 ZINC001264628106 809666592 /nfs/dbraw/zinc/66/65/92/809666592.db2.gz GXHBTUALWBMIMV-LLVKDONJSA-N 0 1 294.380 0.070 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H]1CCO[C@@H]1C ZINC001265052080 809700205 /nfs/dbraw/zinc/70/02/05/809700205.db2.gz GMOYYNSUIQZSBV-OLZOCXBDSA-N 0 1 297.399 0.152 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(CCCNC(=O)C(C)C)C1CC1 ZINC001265154165 809717648 /nfs/dbraw/zinc/71/76/48/809717648.db2.gz VQWVCSOMNRIBKL-ZDUSSCGKSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H](OC)[C@H]2CCOC2)CC1 ZINC001265194775 809721671 /nfs/dbraw/zinc/72/16/71/809721671.db2.gz MYGPJSOHKVMWCN-DZGCQCFKSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H](C)NC(=O)CC)CC1 ZINC001265192036 809722206 /nfs/dbraw/zinc/72/22/06/809722206.db2.gz MYMOIPXBPVWSHE-GFCCVEGCSA-N 0 1 279.384 0.457 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2ccc[nH]2)CC1 ZINC001265256205 809732305 /nfs/dbraw/zinc/73/23/05/809732305.db2.gz PMDSQOOUEJVYHZ-UHFFFAOYSA-N 0 1 276.384 0.938 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cnco2)CC1 ZINC001265261405 809734411 /nfs/dbraw/zinc/73/44/11/809734411.db2.gz IZNMQNAPMMYNDV-UHFFFAOYSA-N 0 1 264.329 0.208 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2nccs2)CC1 ZINC001265264773 809735185 /nfs/dbraw/zinc/73/51/85/809735185.db2.gz CDLXPGRRRPISTN-UHFFFAOYSA-N 0 1 280.397 0.677 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2ccnc(C)n2)C1 ZINC001265317490 809757571 /nfs/dbraw/zinc/75/75/71/809757571.db2.gz PXEVFRYCLIBTNO-ZDUSSCGKSA-N 0 1 290.367 0.792 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001265387311 809770619 /nfs/dbraw/zinc/77/06/19/809770619.db2.gz XOOSYRDIIQWNJE-GFCCVEGCSA-N 0 1 290.367 0.841 20 30 CCEDMN N#CCNC[C@H]1CCCCN1C(=O)CCc1nc[nH]n1 ZINC001265665438 809822010 /nfs/dbraw/zinc/82/20/10/809822010.db2.gz DNYPVIITSCZCQB-LLVKDONJSA-N 0 1 276.344 0.232 20 30 CCEDMN C[C@H](CNC(=O)CSCC#N)N[C@H](C)c1cnccn1 ZINC001265754425 809840596 /nfs/dbraw/zinc/84/05/96/809840596.db2.gz DOVWCIXODMREDS-GHMZBOCLSA-N 0 1 293.396 0.889 20 30 CCEDMN Cc1nnc(CNC[C@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001265973465 809910043 /nfs/dbraw/zinc/91/00/43/809910043.db2.gz ODBTYXXTDQZMDJ-CYBMUJFWSA-N 0 1 290.367 0.976 20 30 CCEDMN N#CCNC[C@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC001265980182 809911896 /nfs/dbraw/zinc/91/18/96/809911896.db2.gz ZPXRERSHKVSTIA-SNVBAGLBSA-N 0 1 284.323 0.533 20 30 CCEDMN C[C@@H]1C[C@H]1C(=O)NCCCN(CC(=O)NCC#N)C1CC1 ZINC001266118890 809938365 /nfs/dbraw/zinc/93/83/65/809938365.db2.gz WKPQFKBUJQIORG-DGCLKSJQSA-N 0 1 292.383 0.253 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC(C)(C)C)NCc1cn(C)nn1 ZINC001266144579 809948074 /nfs/dbraw/zinc/94/80/74/809948074.db2.gz VNTKRZFKIOSMCV-LBPRGKRZSA-N 0 1 291.399 0.849 20 30 CCEDMN CO[C@@H](C)CN1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001266204305 809962587 /nfs/dbraw/zinc/96/25/87/809962587.db2.gz BMJXRLRVWJHSIJ-RYUDHWBXSA-N 0 1 285.413 0.859 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2ccnnc2)C1 ZINC001266239447 809970147 /nfs/dbraw/zinc/97/01/47/809970147.db2.gz CJQYOGMNNMGBPN-CQSZACIVSA-N 0 1 290.367 0.873 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CN(C(=O)C(C)(C)C)C[C@@H]2C1 ZINC001279409777 809977666 /nfs/dbraw/zinc/97/76/66/809977666.db2.gz LXDTURONQJIGEX-BETUJISGSA-N 0 1 293.411 0.725 20 30 CCEDMN C=CCCCC(=O)N(C)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001280513061 810026578 /nfs/dbraw/zinc/02/65/78/810026578.db2.gz GWJMDTZVUMBYPZ-SNVBAGLBSA-N 0 1 279.344 0.738 20 30 CCEDMN CC#CCCCC(=O)N(C)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001280529112 810028958 /nfs/dbraw/zinc/02/89/58/810028958.db2.gz CMBQKZRWUBKXOW-LLVKDONJSA-N 0 1 291.355 0.575 20 30 CCEDMN CC#CCCCC(=O)N(C)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001280529112 810028963 /nfs/dbraw/zinc/02/89/63/810028963.db2.gz CMBQKZRWUBKXOW-LLVKDONJSA-N 0 1 291.355 0.575 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2CC(C)C2)C1 ZINC001076863385 815397144 /nfs/dbraw/zinc/39/71/44/815397144.db2.gz HOMLFCRUTIGVTQ-FIYWTHMPSA-N 0 1 252.358 0.770 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)n2cccc2)C1 ZINC001076894966 815407237 /nfs/dbraw/zinc/40/72/37/815407237.db2.gz XNRHRCQFUCIYRV-BFHYXJOUSA-N 0 1 277.368 0.787 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC2(C)C)C1 ZINC001076897162 815407433 /nfs/dbraw/zinc/40/74/33/815407433.db2.gz HVDFVLSEOSOFDH-QJPTWQEYSA-N 0 1 252.358 0.770 20 30 CCEDMN CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001076890187 815408693 /nfs/dbraw/zinc/40/86/93/815408693.db2.gz OBBZRVDHXIQFPF-VXGBXAGGSA-N 0 1 262.313 0.071 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)Cn1cc(Cl)cn1 ZINC001267278316 811084467 /nfs/dbraw/zinc/08/44/67/811084467.db2.gz INLKCEJPRIOJHE-GFCCVEGCSA-N 0 1 280.759 0.750 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001076952241 815420774 /nfs/dbraw/zinc/42/07/74/815420774.db2.gz GCZUXFCBSPRGQG-UKKRHICBSA-N 0 1 274.311 0.625 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)[C@@H](C)SC)C1 ZINC001267288371 811100067 /nfs/dbraw/zinc/10/00/67/811100067.db2.gz KOQXISVTWNTTON-NEPJUHHUSA-N 0 1 299.440 0.478 20 30 CCEDMN COCC#CC[N@H+]1CC[C@@H](CNC(=O)[C@H](C)SC)C1 ZINC001267290520 811106209 /nfs/dbraw/zinc/10/62/09/811106209.db2.gz ZUJBJWOCRACMBQ-STQMWFEESA-N 0 1 284.425 0.826 20 30 CCEDMN Cc1cc(NC[C@H](C)N(C)C(=O)c2ccn[nH]2)c(C#N)cn1 ZINC001104478818 811117134 /nfs/dbraw/zinc/11/71/34/811117134.db2.gz ATPPLWBEXLVVED-NSHDSACASA-N 0 1 298.350 0.979 20 30 CCEDMN C[C@@H](CNc1cnc(C#N)cn1)N(C)C(=O)c1ccn[nH]1 ZINC001104480022 811120116 /nfs/dbraw/zinc/12/01/16/811120116.db2.gz VHISOSGKSYIQJA-VIFPVBQESA-N 0 1 285.311 0.644 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1nccc(C#N)c1[N+](=O)[O-] ZINC001167673240 811156661 /nfs/dbraw/zinc/15/66/61/811156661.db2.gz RJVZHQQIOYCHQY-UHFFFAOYSA-N 0 1 285.267 0.294 20 30 CCEDMN COc1ccnc(CNCCN(C)C(=O)[C@@H](C)C#N)c1 ZINC001267354913 811202815 /nfs/dbraw/zinc/20/28/15/811202815.db2.gz CBDDMMSSVFXLRA-NSHDSACASA-N 0 1 276.340 0.798 20 30 CCEDMN COC(=O)c1ccc(-n2c(C)ncc(CN)c2=N)c(C#N)n1 ZINC001167675977 811230160 /nfs/dbraw/zinc/23/01/60/811230160.db2.gz UQNMNHHAEDJJEZ-UHFFFAOYSA-N 0 1 298.306 0.172 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cc3cnoc3)C[C@H]21 ZINC001042198518 811381279 /nfs/dbraw/zinc/38/12/79/811381279.db2.gz LALUZZZOAZKKGM-UONOGXRCSA-N 0 1 273.336 0.773 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](NC(=O)c3cnn[nH]3)C2)cn1 ZINC001058599033 811423658 /nfs/dbraw/zinc/42/36/58/811423658.db2.gz FCAMIIFBDKUYQO-JTQLQIEISA-N 0 1 283.295 0.080 20 30 CCEDMN C=CCN1CC[C@@H](CCNC(=O)[C@@H](C)S(C)(=O)=O)C1 ZINC001267558337 811441542 /nfs/dbraw/zinc/44/15/42/811441542.db2.gz WTYCZCIQOJIGEJ-VXGBXAGGSA-N 0 1 288.413 0.434 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2cnns2)CC1 ZINC001267574000 811466102 /nfs/dbraw/zinc/46/61/02/811466102.db2.gz RVFAPTADSKXJNI-UHFFFAOYSA-N 0 1 295.412 0.414 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)CCOCC)CC1 ZINC001267606032 811546112 /nfs/dbraw/zinc/54/61/12/811546112.db2.gz LIPGLGBMJXVNGG-UHFFFAOYSA-N 0 1 283.416 0.675 20 30 CCEDMN C=CCCCN1CC(NC(=O)CCN2C(=O)CCC2=O)C1 ZINC001267651994 811620115 /nfs/dbraw/zinc/62/01/15/811620115.db2.gz CKKYSPJVHHRSGD-UHFFFAOYSA-N 0 1 293.367 0.292 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@]2(F)CCOC2)C1 ZINC001267689897 811652691 /nfs/dbraw/zinc/65/26/91/811652691.db2.gz SILAHUIZYKUSDN-CYBMUJFWSA-N 0 1 256.321 0.739 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)[C@@H](C)CNc1ccc(C#N)nc1 ZINC001104751929 811676761 /nfs/dbraw/zinc/67/67/61/811676761.db2.gz HCVJKBDSTQHDEE-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)[C@H](C)CNc1ccc(C#N)cn1 ZINC001104752497 811681806 /nfs/dbraw/zinc/68/18/06/811681806.db2.gz PRVZJGYHWMCWNK-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C[C@@H]2C=CCCC2)C1 ZINC001077216617 815462422 /nfs/dbraw/zinc/46/24/22/815462422.db2.gz JUGQGFDEFVYDMC-RBSFLKMASA-N 0 1 276.380 0.917 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H]2C=CCCC2)C1 ZINC001077216617 815462424 /nfs/dbraw/zinc/46/24/24/815462424.db2.gz JUGQGFDEFVYDMC-RBSFLKMASA-N 0 1 276.380 0.917 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCCc2nccn21 ZINC001038355845 811703924 /nfs/dbraw/zinc/70/39/24/811703924.db2.gz FBYLTWRIKGRUEL-ZIAGYGMSSA-N 0 1 286.379 0.974 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cn3cccn3)[C@@H]2C1 ZINC001075547719 811772520 /nfs/dbraw/zinc/77/25/20/811772520.db2.gz XQZLLXUJXRCVTK-UONOGXRCSA-N 0 1 272.352 0.439 20 30 CCEDMN C=CCCCC(=O)N[C@@H](C)CCNC(=O)c1cnn[nH]1 ZINC001077295741 815474200 /nfs/dbraw/zinc/47/42/00/815474200.db2.gz DDGFWDXUUBACOE-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(C)C(=O)CNC(=O)c2ccccc2)C1 ZINC001267776340 811777488 /nfs/dbraw/zinc/77/74/88/811777488.db2.gz JMSCWOFYVJTQFF-HNNXBMFYSA-N 0 1 299.374 0.582 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CNC(=O)c2ccccc2)C1 ZINC001267776340 811777493 /nfs/dbraw/zinc/77/74/93/811777493.db2.gz JMSCWOFYVJTQFF-HNNXBMFYSA-N 0 1 299.374 0.582 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCC(=O)NCCC)C1 ZINC001267778042 811778256 /nfs/dbraw/zinc/77/82/56/811778256.db2.gz WAZQBWHVRJWWSF-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cc3nonc3C)C[C@H]21 ZINC001042294290 811793057 /nfs/dbraw/zinc/79/30/57/811793057.db2.gz MPFRBAQBGIZCLR-TZMCWYRMSA-N 0 1 288.351 0.477 20 30 CCEDMN C[C@@](CNCC#N)(NC(=O)Cc1cnc[nH]1)C1CC1 ZINC001267859393 811840897 /nfs/dbraw/zinc/84/08/97/811840897.db2.gz AKIVWDVHCNTFDI-ZDUSSCGKSA-N 0 1 261.329 0.350 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)n(C)n2)C1 ZINC001077354371 815486484 /nfs/dbraw/zinc/48/64/84/815486484.db2.gz CACXDKPYDCAIOW-GHMZBOCLSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)n(C)n2)C1 ZINC001077354371 815486487 /nfs/dbraw/zinc/48/64/87/815486487.db2.gz CACXDKPYDCAIOW-GHMZBOCLSA-N 0 1 298.774 0.425 20 30 CCEDMN N#Cc1cc(C(=O)NCCNCc2ccc(F)cn2)c[nH]1 ZINC001125987957 811968834 /nfs/dbraw/zinc/96/88/34/811968834.db2.gz JHEAFPKXGCMPKB-UHFFFAOYSA-N 0 1 287.298 0.940 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H]1CN(CCC(C)C)CCO1 ZINC001268022833 811975810 /nfs/dbraw/zinc/97/58/10/811975810.db2.gz ZAKUUHRJJXHASQ-OAHLLOKOSA-N 0 1 296.411 0.889 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001077380335 815489273 /nfs/dbraw/zinc/48/92/73/815489273.db2.gz PLWXSNIVWPAVIJ-ZIAGYGMSSA-N 0 1 292.383 0.008 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CC(=O)N(CCC)C1 ZINC001038758475 812052871 /nfs/dbraw/zinc/05/28/71/812052871.db2.gz XROYOAFGQBGIAS-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001027888073 812120825 /nfs/dbraw/zinc/12/08/25/812120825.db2.gz FKLYGHGUUUYLCV-HZSPNIEDSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCCC(N)=O)CC2 ZINC001268049426 812121040 /nfs/dbraw/zinc/12/10/40/812121040.db2.gz ZRUBDUZDGQFNRI-UHFFFAOYSA-N 0 1 277.368 0.200 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnc2[nH]cnc2c1 ZINC001027909392 812134594 /nfs/dbraw/zinc/13/45/94/812134594.db2.gz PTACSYSLNGOLEK-GFCCVEGCSA-N 0 1 283.335 0.785 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H](C)CNCc1cnns1 ZINC001268054791 812146486 /nfs/dbraw/zinc/14/64/86/812146486.db2.gz LXLJBVFOHMAXNF-RKDXNWHRSA-N 0 1 267.358 0.634 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnn2ncccc12 ZINC001027935290 812150596 /nfs/dbraw/zinc/15/05/96/812150596.db2.gz HWTXNFLTDGSZKM-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cn3cc(C)cn3)[C@@H]2C1 ZINC001075593600 812201348 /nfs/dbraw/zinc/20/13/48/812201348.db2.gz NORKJBOAPIHKPC-UONOGXRCSA-N 0 1 272.352 0.357 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnc2nccn2c1 ZINC001028028061 812224333 /nfs/dbraw/zinc/22/43/33/812224333.db2.gz UEARNEGZIHHUMU-ZDUSSCGKSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1csnn1 ZINC001028052681 812235750 /nfs/dbraw/zinc/23/57/50/812235750.db2.gz BYULTYYPGUWFBM-VIFPVBQESA-N 0 1 252.343 0.918 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001028078430 812256940 /nfs/dbraw/zinc/25/69/40/812256940.db2.gz IWJYBTZMHNNNAK-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCC[C@@H](C)N(C)CCN(C)C(=O)CS(C)(=O)=O ZINC001268231510 812387090 /nfs/dbraw/zinc/38/70/90/812387090.db2.gz BVOLLUJNMIXITC-GFCCVEGCSA-N 0 1 290.429 0.776 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001268247458 812412435 /nfs/dbraw/zinc/41/24/35/812412435.db2.gz LZWYCJJSLCRNPZ-ZDUSSCGKSA-N 0 1 290.367 0.898 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](O)CNCc1cc(C)no1 ZINC001268316375 812480893 /nfs/dbraw/zinc/48/08/93/812480893.db2.gz KWBZVZRKVMWJAC-NSHDSACASA-N 0 1 281.356 0.762 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001028255935 812515031 /nfs/dbraw/zinc/51/50/31/812515031.db2.gz SWMKIKXHRCMOPR-JSGCOSHPSA-N 0 1 286.379 0.728 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CCN[C@@H](C)c1nnnn1C ZINC001268362140 812519768 /nfs/dbraw/zinc/51/97/68/812519768.db2.gz CHJUALZMIKEOIO-NEPJUHHUSA-N 0 1 292.387 0.415 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001028318441 812615134 /nfs/dbraw/zinc/61/51/34/812615134.db2.gz WSHBQCNNAHYDSS-GFCCVEGCSA-N 0 1 288.351 0.331 20 30 CCEDMN Cc1cc(C#N)ccc1CN1CCN(C)[C@@H](CO)C1 ZINC000687593800 812620343 /nfs/dbraw/zinc/62/03/43/812620343.db2.gz MGOVVGRVVGZZFS-OAHLLOKOSA-N 0 1 259.353 0.975 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCN1C(N)=O ZINC001028350188 812635333 /nfs/dbraw/zinc/63/53/33/812635333.db2.gz ZTFJFXGCTJWWAA-OLZOCXBDSA-N 0 1 292.383 0.133 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H]3CCOC3)[C@@H]2C1 ZINC001075637748 812635646 /nfs/dbraw/zinc/63/56/46/812635646.db2.gz WMHAQSJFLMNGKF-QLFBSQMISA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCN1C(N)=O ZINC001028350190 812635776 /nfs/dbraw/zinc/63/57/76/812635776.db2.gz ZTFJFXGCTJWWAA-STQMWFEESA-N 0 1 292.383 0.133 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)c1cnn(C)n1 ZINC001268486287 812669112 /nfs/dbraw/zinc/66/91/12/812669112.db2.gz SBZHYCSPVSXIIR-UHFFFAOYSA-N 0 1 271.752 0.619 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2cn3c(n2)CCC3)C1 ZINC001028547725 812831288 /nfs/dbraw/zinc/83/12/88/812831288.db2.gz CEIRHWHSFXWPSY-CYBMUJFWSA-N 0 1 286.379 0.904 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](Nc2ccc(C#N)nc2)C1 ZINC001059025275 812851349 /nfs/dbraw/zinc/85/13/49/812851349.db2.gz CXABRHIJSQOCAC-LBPRGKRZSA-N 0 1 297.322 0.706 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)[C@H]1C ZINC001088839271 815557076 /nfs/dbraw/zinc/55/70/76/815557076.db2.gz CSIDEMCSDQQCLF-SUNKGSAMSA-N 0 1 265.357 0.420 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1c(C)noc1C ZINC001127027359 815564448 /nfs/dbraw/zinc/56/44/48/815564448.db2.gz PFKILCPOINLEOS-UHFFFAOYSA-N 0 1 279.340 0.537 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CN(C)[C@@H]1CCCN(C)C1=O ZINC001268729502 813044154 /nfs/dbraw/zinc/04/41/54/813044154.db2.gz GNEXAVZGEHRSAK-ZIAGYGMSSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCCN1C(=O)CCC ZINC001268759874 813071752 /nfs/dbraw/zinc/07/17/52/813071752.db2.gz POTBPAADKPWSJX-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H](CCC(C)C)NC(C)=O ZINC001268763792 813072566 /nfs/dbraw/zinc/07/25/66/813072566.db2.gz MXGOVHSVAXLLMO-HIFRSBDPSA-N 0 1 295.427 0.997 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](C)c1cnn(C)c1 ZINC001268765998 813074341 /nfs/dbraw/zinc/07/43/41/813074341.db2.gz VETQGVSRMPXZQH-OLZOCXBDSA-N 0 1 276.384 0.983 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001268879807 813129954 /nfs/dbraw/zinc/12/99/54/813129954.db2.gz WKIMHRIVZJBGHU-AWEZNQCLSA-N 0 1 265.357 0.137 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@@H](C)OC ZINC001268929845 813148963 /nfs/dbraw/zinc/14/89/63/813148963.db2.gz NTKJQAJFDUVIFT-AGIUHOORSA-N 0 1 268.357 0.509 20 30 CCEDMN O=C(CCS)N1C[C@H]2CC[C@@H](C1)N2CCn1ccnc1 ZINC001268959879 813161792 /nfs/dbraw/zinc/16/17/92/813161792.db2.gz OQBDNVUPLUXHJG-BETUJISGSA-N 0 1 294.424 0.878 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2C(=O)[C@@H]2CCCN2C)C1=O ZINC001269085236 813208699 /nfs/dbraw/zinc/20/86/99/813208699.db2.gz YAQCOPXRFARQTN-XJKSGUPXSA-N 0 1 291.395 0.860 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2C(=O)[C@@H]2CCCN2C)C1=O ZINC001269084997 813208866 /nfs/dbraw/zinc/20/88/66/813208866.db2.gz VKSRDEDBRQEGGI-XJKSGUPXSA-N 0 1 289.379 0.307 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2cncn2C)C1 ZINC001269192289 813258336 /nfs/dbraw/zinc/25/83/36/813258336.db2.gz RUEZNOXDWVBADR-CYBMUJFWSA-N 0 1 274.368 0.567 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H]1CC[N@@H+]1CCOC ZINC001269223338 813277746 /nfs/dbraw/zinc/27/77/46/813277746.db2.gz MZCHVVWJTQFUQQ-YPMHNXCESA-N 0 1 256.346 0.150 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H]1CCN1CCOC ZINC001269223338 813277749 /nfs/dbraw/zinc/27/77/49/813277749.db2.gz MZCHVVWJTQFUQQ-YPMHNXCESA-N 0 1 256.346 0.150 20 30 CCEDMN C#CCOCCC(=O)NC[C@H]1CCN1[C@H](C)COC ZINC001269235861 813282895 /nfs/dbraw/zinc/28/28/95/813282895.db2.gz QIPDFHSLADKZHM-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCn2ccnc2)C1 ZINC001269264345 813296682 /nfs/dbraw/zinc/29/66/82/813296682.db2.gz WUAPMGQUTMXSAE-ZDUSSCGKSA-N 0 1 260.341 0.439 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C(C)(C)NC(C)=O)C1 ZINC001269279705 813304126 /nfs/dbraw/zinc/30/41/26/813304126.db2.gz CBOMYIGTRUBDKZ-CYBMUJFWSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)C(C)(C)CNC(C)=O)C1 ZINC001269279512 813304387 /nfs/dbraw/zinc/30/43/87/813304387.db2.gz ADCNLXNDYPKPKY-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C(C)(C)CNC(C)=O)C1 ZINC001269279512 813304392 /nfs/dbraw/zinc/30/43/92/813304392.db2.gz ADCNLXNDYPKPKY-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)COCCCOC)C1 ZINC001269347652 813334511 /nfs/dbraw/zinc/33/45/11/813334511.db2.gz BBXGIDNFNRZFII-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)COCCCOC)C1 ZINC001269347652 813334518 /nfs/dbraw/zinc/33/45/18/813334518.db2.gz BBXGIDNFNRZFII-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCC1(NCCF)CC1 ZINC001269501882 813394634 /nfs/dbraw/zinc/39/46/34/813394634.db2.gz HCVAPDPIDYESJX-GFCCVEGCSA-N 0 1 285.363 0.665 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001028766137 813465295 /nfs/dbraw/zinc/46/52/95/813465295.db2.gz MYCXEZQLEOWDFK-WDEREUQCSA-N 0 1 288.355 0.186 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cncnc3)[C@@H]2C1 ZINC001075717000 813566131 /nfs/dbraw/zinc/56/61/31/813566131.db2.gz WHSXSVUZKOHTCR-GXTWGEPZSA-N 0 1 270.336 0.646 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2c(C)nn(C)c2OC)C1 ZINC001028842221 813571790 /nfs/dbraw/zinc/57/17/90/813571790.db2.gz QAEZZSJIZUJUBS-LBPRGKRZSA-N 0 1 290.367 0.422 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](CNC(=O)[C@H]2C[NH+](CC)CCO2)C1 ZINC001028996331 813736476 /nfs/dbraw/zinc/73/64/76/813736476.db2.gz DWQIJTPHOJOLQE-LSDHHAIUSA-N 0 1 293.411 0.169 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@@H]1CCN(CC#N)C1 ZINC001029004583 813747732 /nfs/dbraw/zinc/74/77/32/813747732.db2.gz ZWJCJBWSCHJIAY-KBPBESRZSA-N 0 1 278.400 0.822 20 30 CCEDMN C[C@@H](CNCC#N)CNC(=O)[C@@H]1CCCCN1C ZINC001270485929 813781303 /nfs/dbraw/zinc/78/13/03/813781303.db2.gz HPVGSZXAYPXVEC-RYUDHWBXSA-N 0 1 252.362 0.336 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H](C)NC(C)=O)C1 ZINC001270538534 813801704 /nfs/dbraw/zinc/80/17/04/813801704.db2.gz QCBIBAHUQMCKKK-FZMZJTMJSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCc2cncn2C)C1 ZINC001270650213 813871502 /nfs/dbraw/zinc/87/15/02/813871502.db2.gz ROWDFFXWGFFCSD-OAHLLOKOSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cnnn3CC)[C@@H]2C1 ZINC001075765270 813886034 /nfs/dbraw/zinc/88/60/34/813886034.db2.gz JHUDDFQFCAFTJN-GXTWGEPZSA-N 0 1 287.367 0.468 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2COCCO2)[C@H]1CC ZINC001087507836 814031795 /nfs/dbraw/zinc/03/17/95/814031795.db2.gz OOTGYLFVUAOWAB-BFHYXJOUSA-N 0 1 280.368 0.394 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001038444994 814117473 /nfs/dbraw/zinc/11/74/73/814117473.db2.gz FGLKHHXGXMCWFS-ZDUSSCGKSA-N 0 1 275.352 0.766 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CC2OCCCO2)[C@H]1CC ZINC001087553075 814147596 /nfs/dbraw/zinc/14/75/96/814147596.db2.gz NQDWQHVVLZPVRI-QWHCGFSZSA-N 0 1 280.368 0.742 20 30 CCEDMN Cn1nncc1C(=O)NCCNCC#Cc1ccccc1 ZINC001126541010 814169248 /nfs/dbraw/zinc/16/92/48/814169248.db2.gz NSVSTXXFQVXYHG-UHFFFAOYSA-N 0 1 283.335 0.186 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(C)C2)[C@H]1CC ZINC001087592775 814172413 /nfs/dbraw/zinc/17/24/13/814172413.db2.gz XRIMVWVNWQJLRU-RWMBFGLXSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2)[C@H]1CC ZINC001087615573 814175975 /nfs/dbraw/zinc/17/59/75/814175975.db2.gz BLZPAZDLYNOQKW-YNEHKIRRSA-N 0 1 277.368 0.257 20 30 CCEDMN C#CC[N@H+]1CC[C@H](NC(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)[C@H]1CC ZINC001087621598 814176392 /nfs/dbraw/zinc/17/63/92/814176392.db2.gz BLIFRIWIKQYCON-LXTVHRRPSA-N 0 1 293.411 0.308 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCN(C)C2=O)[C@H]1CC ZINC001087692660 814190176 /nfs/dbraw/zinc/19/01/76/814190176.db2.gz ZQPQJBWVMRTBKC-FRRDWIJNSA-N 0 1 277.368 0.067 20 30 CCEDMN CCOC(=O)[C@@H](Nc1cc2[nH]cnc2c(C#N)n1)[C@@H](C)O ZINC001168029689 814243179 /nfs/dbraw/zinc/24/31/79/814243179.db2.gz SQZJSLCODHXTSY-HQJQHLMTSA-N 0 1 289.295 0.554 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001271160506 814253467 /nfs/dbraw/zinc/25/34/67/814253467.db2.gz ULADSSFPCHWLKG-MRXNPFEDSA-N 0 1 298.346 0.363 20 30 CCEDMN N#Cc1cnc(N[C@H]2C[C@H](NC(=O)Cc3cnc[nH]3)C2)cn1 ZINC001059426485 814301151 /nfs/dbraw/zinc/30/11/51/814301151.db2.gz BNWBGSHTSHVPDT-MGCOHNPYSA-N 0 1 297.322 0.373 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)CSCC#N)[C@H](OC)C1 ZINC001211888977 814321562 /nfs/dbraw/zinc/32/15/62/814321562.db2.gz XHNFGFQYUIZZPG-VXGBXAGGSA-N 0 1 283.397 0.635 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2cccnc2C)C1 ZINC001271184179 814327405 /nfs/dbraw/zinc/32/74/05/814327405.db2.gz IMKFWOIUDQNTAE-INIZCTEOSA-N 0 1 287.363 0.580 20 30 CCEDMN C=C1CC(C)(C(=O)N2C[C@H]3CCN(CC(N)=O)C[C@H]3C2)C1 ZINC001088098304 814407594 /nfs/dbraw/zinc/40/75/94/814407594.db2.gz CPCFXOIAVJDKRR-OLZOCXBDSA-N 0 1 291.395 0.608 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2coc(CC)n2)[C@@H](O)C1 ZINC001083422565 814424033 /nfs/dbraw/zinc/42/40/33/814424033.db2.gz DSCFDRNVXMPTGD-PWSUYJOCSA-N 0 1 279.340 0.588 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccoc2)[C@@H](O)C1 ZINC001083424080 814517463 /nfs/dbraw/zinc/51/74/63/814517463.db2.gz AANWCUOHSQAKKE-OLZOCXBDSA-N 0 1 264.325 0.560 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2C(=O)c2nc(C)n[nH]2)C1=O ZINC001271916854 814629905 /nfs/dbraw/zinc/62/99/05/814629905.db2.gz OQUJFPYBRDLJEG-CQSZACIVSA-N 0 1 289.339 0.506 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)C#CC(C)C)C1 ZINC001271956667 814650791 /nfs/dbraw/zinc/65/07/91/814650791.db2.gz FIQJCCJROXDIDT-HNNXBMFYSA-N 0 1 264.369 0.775 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)C2CC(OC)C2)C1 ZINC001271964165 814653776 /nfs/dbraw/zinc/65/37/76/814653776.db2.gz AVQWGYBBHWJFLL-XUJLQICISA-N 0 1 296.411 0.931 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H](O)C(C)C ZINC001272005821 814700406 /nfs/dbraw/zinc/70/04/06/814700406.db2.gz YSCKZVMLLOXVLI-CYBMUJFWSA-N 0 1 270.373 0.043 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)n2cncn2)[C@H]1C ZINC001088531219 814855102 /nfs/dbraw/zinc/85/51/02/814855102.db2.gz AFKMWJQUBVWMJC-UPJWGTAASA-N 0 1 275.356 0.441 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ncc(OC)cn1 ZINC001272060791 814897450 /nfs/dbraw/zinc/89/74/50/814897450.db2.gz LIBMHDGGPLEHFT-UHFFFAOYSA-N 0 1 276.340 0.512 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)[C@H]2CCCN2C)C1 ZINC001030153834 815842664 /nfs/dbraw/zinc/84/26/64/815842664.db2.gz DTWPLXXCMMWEDD-LLVKDONJSA-N 0 1 257.765 0.634 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001030291933 815961012 /nfs/dbraw/zinc/96/10/12/815961012.db2.gz OAZUNQICIKMBBS-RKDXNWHRSA-N 0 1 259.693 0.205 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](CNCc2cnn(C)n2)[C@H](C)C1 ZINC001105927130 816003334 /nfs/dbraw/zinc/00/33/34/816003334.db2.gz YWZNJPUNIJOVDT-CHWSQXEVSA-N 0 1 291.399 0.965 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2OCC[C@H]2C)[C@H]1C ZINC001088864485 816109405 /nfs/dbraw/zinc/10/94/05/816109405.db2.gz NLTPTXZRFKFPGA-NDBYEHHHSA-N 0 1 250.342 0.624 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1OC ZINC001212187582 816114763 /nfs/dbraw/zinc/11/47/63/816114763.db2.gz ZOENTFSGOQQTKE-BFHYXJOUSA-N 0 1 282.384 0.640 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCN(C(=O)CC)C2)[C@H]1C ZINC001088963827 816195947 /nfs/dbraw/zinc/19/59/47/816195947.db2.gz YAIPMALWTMWNCH-RDBSUJKOSA-N 0 1 291.395 0.457 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](C[C@H](C)O)[C@@H]2C)nc1 ZINC001088990725 816206267 /nfs/dbraw/zinc/20/62/67/816206267.db2.gz MSERTFCTUAISNJ-SCRDCRAPSA-N 0 1 287.363 0.636 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2C)[C@H]1C ZINC001089012966 816212067 /nfs/dbraw/zinc/21/20/67/816212067.db2.gz VUYJJHWQEYUMGQ-FRRDWIJNSA-N 0 1 279.384 0.762 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N[C@H]2CCN(C)C2)cc1 ZINC001120950965 816267500 /nfs/dbraw/zinc/26/75/00/816267500.db2.gz XCHAOMSVXYZHKU-ZDUSSCGKSA-N 0 1 271.320 0.427 20 30 CCEDMN N#CCN1CC(NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001030779212 816284652 /nfs/dbraw/zinc/28/46/52/816284652.db2.gz QFBNIBCMWYTBFQ-UHFFFAOYSA-N 0 1 282.307 0.409 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C#C)cc2)C1 ZINC001077493783 816289140 /nfs/dbraw/zinc/28/91/40/816289140.db2.gz KIBDHDVJOYLZJT-HZPDHXFCSA-N 0 1 282.343 0.466 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@H](C)C1CC1 ZINC001272388977 816381594 /nfs/dbraw/zinc/38/15/94/816381594.db2.gz JNUKWABSRULKMM-MWLCHTKSSA-N 0 1 260.765 0.852 20 30 CCEDMN Cc1ncc(CNC[C@@H](O)CNC(=O)C#CC(C)(C)C)o1 ZINC001272414339 816404789 /nfs/dbraw/zinc/40/47/89/816404789.db2.gz OMVTUACGCPUVNR-GFCCVEGCSA-N 0 1 293.367 0.599 20 30 CCEDMN C=C(C)CN1C(=O)COCC12CN(CC1CC1)C2 ZINC001272483449 816477116 /nfs/dbraw/zinc/47/71/16/816477116.db2.gz GWYRWRYSHUWXCL-UHFFFAOYSA-N 0 1 250.342 0.886 20 30 CCEDMN C=CCCN1CC(NC(=O)C[N@@H+]2CC[C@H](C)C2)C1 ZINC001030958512 816503251 /nfs/dbraw/zinc/50/32/51/816503251.db2.gz MBTKOEMLHYDFIF-LBPRGKRZSA-N 0 1 251.374 0.705 20 30 CCEDMN C#CCN1C[C@]2(CCN(CCC(F)(F)F)C2)OCC1=O ZINC001272502289 816515403 /nfs/dbraw/zinc/51/54/03/816515403.db2.gz LMDZPFQCAIBWLL-GFCCVEGCSA-N 0 1 290.285 0.875 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CN2CCOCC2)C1 ZINC001007117938 816528090 /nfs/dbraw/zinc/52/80/90/816528090.db2.gz UQMJEFPEAKCIEU-ZDUSSCGKSA-N 0 1 267.373 0.085 20 30 CCEDMN C=CCN1C(=O)COCC12CN(Cc1cc(C)[nH]n1)C2 ZINC001272545445 816569456 /nfs/dbraw/zinc/56/94/56/816569456.db2.gz YUMCCIRVVLIPFE-UHFFFAOYSA-N 0 1 276.340 0.317 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001046417010 816572084 /nfs/dbraw/zinc/57/20/84/816572084.db2.gz HGNQUDJMYAVHNV-OAHLLOKOSA-N 0 1 288.351 0.214 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001046417010 816572090 /nfs/dbraw/zinc/57/20/90/816572090.db2.gz HGNQUDJMYAVHNV-OAHLLOKOSA-N 0 1 288.351 0.214 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3cccn3C)C2)OCC1=O ZINC001272572446 816596806 /nfs/dbraw/zinc/59/68/06/816596806.db2.gz DVNXALHLTMJNEX-MRXNPFEDSA-N 0 1 287.363 0.462 20 30 CCEDMN C[C@@H](CCNc1ccncc1C#N)NC(=O)c1cnn[nH]1 ZINC001106637873 816828566 /nfs/dbraw/zinc/82/85/66/816828566.db2.gz KYYXFPUBVDWXGN-VIFPVBQESA-N 0 1 285.311 0.114 20 30 CCEDMN C#CCN1CC(NC(=O)c2cnccc2N(C)C)C1 ZINC001031267521 816915403 /nfs/dbraw/zinc/91/54/03/816915403.db2.gz BAWVCIQUFDXKOY-UHFFFAOYSA-N 0 1 258.325 0.195 20 30 CCEDMN N#CCN1CC(NC(=O)c2n[nH]c3ccccc32)C1 ZINC001031340574 816991098 /nfs/dbraw/zinc/99/10/98/816991098.db2.gz HLYYDEPVGYYRKT-UHFFFAOYSA-N 0 1 255.281 0.500 20 30 CCEDMN Cc1noc(C)c1CNCCNC(=O)CSCC#N ZINC001123786560 817064095 /nfs/dbraw/zinc/06/40/95/817064095.db2.gz BRYJZNXEJRFFJU-UHFFFAOYSA-N 0 1 282.369 0.754 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(OC)ncn1 ZINC001127458522 817124929 /nfs/dbraw/zinc/12/49/29/817124929.db2.gz JESPJHXLPJHYGY-UHFFFAOYSA-N 0 1 270.720 0.557 20 30 CCEDMN N#Cc1ccc(C(=O)NCC2CN(CCn3cccn3)C2)[nH]1 ZINC001031619134 817188446 /nfs/dbraw/zinc/18/84/46/817188446.db2.gz LINVUHHXURUZFZ-UHFFFAOYSA-N 0 1 298.350 0.445 20 30 CCEDMN CCNCc1cn([C@@H]2CCN(C(=O)[C@H](C)C#N)C2)nn1 ZINC001089581278 817208629 /nfs/dbraw/zinc/20/86/29/817208629.db2.gz ZXKHWWQTLKYHPT-ZYHUDNBSSA-N 0 1 276.344 0.321 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(OC)ns2)C1 ZINC001031678736 817238324 /nfs/dbraw/zinc/23/83/24/817238324.db2.gz TVCWGNCSGFVZRX-UHFFFAOYSA-N 0 1 267.354 0.999 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCCCN2C(C)=O)C1 ZINC001015831094 817268483 /nfs/dbraw/zinc/26/84/83/817268483.db2.gz LUXKQWLCMCNRDD-GJZGRUSLSA-N 0 1 291.395 0.601 20 30 CCEDMN C[C@H](CCNC(=O)c1ncn[nH]1)Nc1cnc(C#N)cn1 ZINC001099382531 817273791 /nfs/dbraw/zinc/27/37/91/817273791.db2.gz ORGHHAMCPFEGIQ-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CCNC(=O)c1nc[nH]n1)Nc1cnc(C#N)cn1 ZINC001099382531 817273798 /nfs/dbraw/zinc/27/37/98/817273798.db2.gz ORGHHAMCPFEGIQ-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](OCC)C(C)C)[C@H](OC)C1 ZINC001212264384 817283632 /nfs/dbraw/zinc/28/36/32/817283632.db2.gz HJUSVZPEGPZJLE-RBSFLKMASA-N 0 1 296.411 0.886 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCNC1=O ZINC001089680733 817311294 /nfs/dbraw/zinc/31/12/94/817311294.db2.gz QVCMOHDULKSOMA-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN C=CCN1C(=O)COCC12CN(C[C@@H]1C[C@H]1C)C2 ZINC001272693363 817401146 /nfs/dbraw/zinc/40/11/46/817401146.db2.gz VWVLOKIULPFSSC-NEPJUHHUSA-N 0 1 250.342 0.742 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc(Cl)n2C)C1 ZINC001031846841 817413925 /nfs/dbraw/zinc/41/39/25/817413925.db2.gz CJAKKNYPSQEXNG-UHFFFAOYSA-N 0 1 268.748 0.921 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCO[C@H]2C=C)C1 ZINC001031986764 817523939 /nfs/dbraw/zinc/52/39/39/817523939.db2.gz CCEVTBDWTQAAIK-OLZOCXBDSA-N 0 1 250.342 0.812 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)c2ccco2)[C@@H](O)C1 ZINC001083773499 817525409 /nfs/dbraw/zinc/52/54/09/817525409.db2.gz QSUHPKSPZOSWHX-UPJWGTAASA-N 0 1 276.336 0.568 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cn(C)nc1OC ZINC001038598679 817530014 /nfs/dbraw/zinc/53/00/14/817530014.db2.gz WCZIMSRNDRRRRZ-LLVKDONJSA-N 0 1 278.356 0.809 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001032056966 817586620 /nfs/dbraw/zinc/58/66/20/817586620.db2.gz QEAXNLHIQAWGFW-UHFFFAOYSA-N 0 1 290.367 0.501 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccn(C)c2CC)[C@@H](O)C1 ZINC001083780281 817603777 /nfs/dbraw/zinc/60/37/77/817603777.db2.gz QSNNARNNHYJUDD-HIFRSBDPSA-N 0 1 289.379 0.386 20 30 CCEDMN Cc1ccccc1NC(=O)CNCCNC(=O)[C@@H](C)C#N ZINC001124888404 817616122 /nfs/dbraw/zinc/61/61/22/817616122.db2.gz JBVAPLZNLDNCGT-LBPRGKRZSA-N 0 1 288.351 0.799 20 30 CCEDMN Cc1nn(C)c(Cl)c1CNCCNC(=O)[C@@H](C)C#N ZINC001124889564 817617692 /nfs/dbraw/zinc/61/76/92/817617692.db2.gz RJJQDAFBMGKSBE-QMMMGPOBSA-N 0 1 283.763 0.747 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCC(=O)Nc1ccc(F)cc1 ZINC001124896085 817621968 /nfs/dbraw/zinc/62/19/68/817621968.db2.gz LNSFNRMWSRTPNW-SNVBAGLBSA-N 0 1 292.314 0.630 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001032139159 817658877 /nfs/dbraw/zinc/65/88/77/817658877.db2.gz XDOONAJPPCPYDE-ZDUSSCGKSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnn(CCOC)c2)C1 ZINC001032157098 817670086 /nfs/dbraw/zinc/67/00/86/817670086.db2.gz KKRICXNNTHPXOO-UHFFFAOYSA-N 0 1 292.383 0.767 20 30 CCEDMN C#CCN1CC[C@]2(CC[N@@H+](Cc3cnco3)C2)C1=O ZINC001272741554 817699810 /nfs/dbraw/zinc/69/98/10/817699810.db2.gz DQZDVCMJLJLBHU-AWEZNQCLSA-N 0 1 259.309 0.732 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCCO1 ZINC001032294334 817794282 /nfs/dbraw/zinc/79/42/82/817794282.db2.gz MRRUCEHUSSVDBR-IHRRRGAJSA-N 0 1 262.353 0.864 20 30 CCEDMN N#Cc1ccc(NC/C=C\CNC(=O)Cc2nnc[nH]2)nc1 ZINC001107334396 817836410 /nfs/dbraw/zinc/83/64/10/817836410.db2.gz YTEOHHWQHWNTJZ-UPHRSURJSA-N 0 1 297.322 0.398 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)c2cnn[nH]2)cn1 ZINC001107586497 817890953 /nfs/dbraw/zinc/89/09/53/817890953.db2.gz AOTUXZSNLMYKJZ-OWOJBTEDSA-N 0 1 283.295 0.469 20 30 CCEDMN C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1CCCN1C ZINC001107644459 817911757 /nfs/dbraw/zinc/91/17/57/817911757.db2.gz AYBZPAKJRTVYCN-YPMHNXCESA-N 0 1 287.367 0.964 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C2=COCCO2)C1 ZINC001107715378 817960774 /nfs/dbraw/zinc/96/07/74/817960774.db2.gz OEJIIHUHZGPIQC-HNNXBMFYSA-N 0 1 296.367 0.658 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2cnsn2)C1 ZINC001107771470 817996454 /nfs/dbraw/zinc/99/64/54/817996454.db2.gz PLCSNMGUXDSMSY-ZDUSSCGKSA-N 0 1 296.396 0.935 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2nccc3[nH]ccc32)C1 ZINC001077697155 818019446 /nfs/dbraw/zinc/01/94/46/818019446.db2.gz YCIZGLNZJHAQPU-ZIAGYGMSSA-N 0 1 298.346 0.361 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)C2(COCC)CC2)[C@H](OC)C1 ZINC001212484756 818194719 /nfs/dbraw/zinc/19/47/19/818194719.db2.gz ASDLGCRFSMUSTD-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(COCC)CC2)[C@H](OC)C1 ZINC001212484756 818194725 /nfs/dbraw/zinc/19/47/25/818194725.db2.gz ASDLGCRFSMUSTD-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2COCCO2)C1 ZINC001032929626 818369196 /nfs/dbraw/zinc/36/91/96/818369196.db2.gz XDYWWICMAUAWEQ-RYUDHWBXSA-N 0 1 254.330 0.121 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2cc(OC)no2)C1 ZINC001033027080 818436463 /nfs/dbraw/zinc/43/64/63/818436463.db2.gz TUMGFKYUFRZBHV-NSHDSACASA-N 0 1 277.324 0.853 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2snnc2C)[C@@H](O)C1 ZINC001090066131 818446298 /nfs/dbraw/zinc/44/62/98/818446298.db2.gz VGDWMZIDCKSEEA-UWVGGRQHSA-N 0 1 282.369 0.198 20 30 CCEDMN C=C(C)CN1CC2(CN(Cc3cnc[nH]3)C2)OCC1=O ZINC001273023329 818456749 /nfs/dbraw/zinc/45/67/49/818456749.db2.gz LWHPSGWJFJXMIX-UHFFFAOYSA-N 0 1 276.340 0.399 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)Cc2nnc(C)[nH]2)C1 ZINC001033067173 818487716 /nfs/dbraw/zinc/48/77/16/818487716.db2.gz DYRACOGIENJGJF-LLVKDONJSA-N 0 1 263.345 0.374 20 30 CCEDMN C#CCN1CC2(CN(C[C@H]3C[C@H]4C=C[C@@H]3C4)C2)OCC1=O ZINC001273050766 818534436 /nfs/dbraw/zinc/53/44/36/818534436.db2.gz XGELSYCNPVHBHF-RRFJBIMHSA-N 0 1 286.375 0.745 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2cn(C)nc2C)C1 ZINC001033152218 818576944 /nfs/dbraw/zinc/57/69/44/818576944.db2.gz OLXXTQCHRGVLQB-HNNXBMFYSA-N 0 1 288.395 0.827 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001033181949 818632958 /nfs/dbraw/zinc/63/29/58/818632958.db2.gz KSGCVWUQHKJKJL-LBPRGKRZSA-N 0 1 288.351 0.166 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2=COCCC2)[C@@H](O)C1 ZINC001090128049 818679012 /nfs/dbraw/zinc/67/90/12/818679012.db2.gz JGTKJKIFCHHKLH-OLZOCXBDSA-N 0 1 266.341 0.418 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc3nnnn3c2)C1 ZINC001033266913 818683469 /nfs/dbraw/zinc/68/34/69/818683469.db2.gz KPZABGFZMNNVFR-GFCCVEGCSA-N 0 1 286.339 0.457 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(C)s2)[C@@H](O)C1 ZINC001090129959 818687885 /nfs/dbraw/zinc/68/78/85/818687885.db2.gz RSTXHWBXWJEVDU-QWRGUYRKSA-N 0 1 281.381 0.803 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2coc(OC)n2)C1 ZINC001033305239 818701114 /nfs/dbraw/zinc/70/11/14/818701114.db2.gz UZJVKYFNUDBLAH-LLVKDONJSA-N 0 1 277.324 0.853 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](CNC(=O)c3ncn[nH]3)C2)cn1 ZINC001061634276 818702435 /nfs/dbraw/zinc/70/24/35/818702435.db2.gz CRWAHWVRHQMEIC-JTQLQIEISA-N 0 1 297.322 0.328 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](CNC(=O)c3nc[nH]n3)C2)cn1 ZINC001061634276 818702440 /nfs/dbraw/zinc/70/24/40/818702440.db2.gz CRWAHWVRHQMEIC-JTQLQIEISA-N 0 1 297.322 0.328 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2ccccc2C(N)=O)C1 ZINC001033323146 818708781 /nfs/dbraw/zinc/70/87/81/818708781.db2.gz DBGYPQYXJQJTCZ-CQSZACIVSA-N 0 1 299.374 0.494 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cn(C)nc2OC)C1 ZINC001033323958 818709605 /nfs/dbraw/zinc/70/96/05/818709605.db2.gz BMDLBRVZJJSEDW-LLVKDONJSA-N 0 1 278.356 0.761 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001033438838 818777379 /nfs/dbraw/zinc/77/73/79/818777379.db2.gz UCYMOSFBTCHQIJ-MGPQQGTHSA-N 0 1 286.379 0.690 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cn2ccc(C)n2)C1 ZINC001033438440 818777931 /nfs/dbraw/zinc/77/79/31/818777931.db2.gz QQWHASZUFOVWHO-ZDUSSCGKSA-N 0 1 260.341 0.357 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001033440962 818779220 /nfs/dbraw/zinc/77/92/20/818779220.db2.gz XJAGMXIPRMVDLO-DGAVXFQQSA-N 0 1 262.353 0.720 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001033532574 818817318 /nfs/dbraw/zinc/81/73/18/818817318.db2.gz KLCMOXWMTWNMSY-LBPRGKRZSA-N 0 1 288.351 0.283 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cncn2C)C1 ZINC001033594991 818844485 /nfs/dbraw/zinc/84/44/85/818844485.db2.gz PKGCSRWQNHVSGS-GFCCVEGCSA-N 0 1 260.341 0.129 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001033584143 818845381 /nfs/dbraw/zinc/84/53/81/818845381.db2.gz QYLFZERTSCDPMD-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001033725655 818906357 /nfs/dbraw/zinc/90/63/57/818906357.db2.gz XHXAZSFAMBYIKX-JSGCOSHPSA-N 0 1 274.368 0.690 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cc[n+]([O-])cc2)C1 ZINC001033741164 818911567 /nfs/dbraw/zinc/91/15/67/818911567.db2.gz UXYLHTHAICDJMV-HNNXBMFYSA-N 0 1 287.363 0.880 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCOC2)C1 ZINC001033772306 818926977 /nfs/dbraw/zinc/92/69/77/818926977.db2.gz ILLQNLODAIBJJA-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN CCN(C(=O)c1ccc(C#N)[nH]1)[C@@H]1CC[N@@H+](C[C@H](C)O)C1 ZINC001033800176 818939754 /nfs/dbraw/zinc/93/97/54/818939754.db2.gz BMWKFDLUJBQMAQ-WCQYABFASA-N 0 1 290.367 0.804 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033803509 818948522 /nfs/dbraw/zinc/94/85/22/818948522.db2.gz DRBHNHJLZMZWFU-CQSZACIVSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033866880 818975405 /nfs/dbraw/zinc/97/54/05/818975405.db2.gz LXDNEDZTBPPGHQ-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccc(=O)n(C)c2)C1 ZINC001033886198 818981648 /nfs/dbraw/zinc/98/16/48/818981648.db2.gz UPIBUHMGEGMMFI-CQSZACIVSA-N 0 1 287.363 0.555 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@H](O)C1 ZINC001090171243 819009061 /nfs/dbraw/zinc/00/90/61/819009061.db2.gz OKRWINDYQDOPBJ-DGCLKSJQSA-N 0 1 274.324 0.237 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ocnc2C)[C@@H](O)C1 ZINC001090172945 819019834 /nfs/dbraw/zinc/01/98/34/819019834.db2.gz XYQHTNUVIYWSDP-RYUDHWBXSA-N 0 1 279.340 0.724 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCCCN(CC#N)C2)[nH]n1 ZINC001034153659 819099541 /nfs/dbraw/zinc/09/95/41/819099541.db2.gz GCHRMFUWKGXSPJ-GFCCVEGCSA-N 0 1 275.356 0.755 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)ncn2)[C@H](O)C1 ZINC001090199824 819134467 /nfs/dbraw/zinc/13/44/67/819134467.db2.gz KDNUFACXPQMRHQ-WCQYABFASA-N 0 1 276.340 0.136 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@H](O)C1 ZINC001090198729 819138240 /nfs/dbraw/zinc/13/82/40/819138240.db2.gz BAIHIDGSEQQVEN-DGCLKSJQSA-N 0 1 274.324 0.237 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CCCNC2=O)C1 ZINC001034258206 819139905 /nfs/dbraw/zinc/13/99/05/819139905.db2.gz UUXLQXVCFIWLBG-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001034259988 819140238 /nfs/dbraw/zinc/14/02/38/819140238.db2.gz ALCTVFUITZZBSR-ZIAGYGMSSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnn2c1CCC2 ZINC001128922968 819158815 /nfs/dbraw/zinc/15/88/15/819158815.db2.gz LITPLAHRVSLVNF-UHFFFAOYSA-N 0 1 268.748 0.901 20 30 CCEDMN C[C@H]1CCC[N@H+]1CC(=O)N[C@H]1CCCCN(CC#N)C1 ZINC001034397212 819193731 /nfs/dbraw/zinc/19/37/31/819193731.db2.gz JOAIZLHOXIELQM-KBPBESRZSA-N 0 1 278.400 0.965 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090214204 819199276 /nfs/dbraw/zinc/19/92/76/819199276.db2.gz VJSLLKYHCKHKOC-AAEUAGOBSA-N 0 1 291.351 0.528 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090214204 819199282 /nfs/dbraw/zinc/19/92/82/819199282.db2.gz VJSLLKYHCKHKOC-AAEUAGOBSA-N 0 1 291.351 0.528 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnnc(C)c2)[C@@H](O)C1 ZINC001090222281 819247390 /nfs/dbraw/zinc/24/73/90/819247390.db2.gz SDRABHYWZNYSHU-OLZOCXBDSA-N 0 1 276.340 0.136 20 30 CCEDMN N#Cc1cccnc1N1CC[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001061777203 819295499 /nfs/dbraw/zinc/29/54/99/819295499.db2.gz OBFWWOOGYOOYHP-SNVBAGLBSA-N 0 1 297.322 0.328 20 30 CCEDMN CCCCCCCNS(=O)(=O)C[C@H]1CNCCO1 ZINC000703718659 819316867 /nfs/dbraw/zinc/31/68/67/819316867.db2.gz WPDJZADALSTKEX-GFCCVEGCSA-N 0 1 278.418 0.865 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H]1CNCc1cnns1 ZINC001034885967 819356322 /nfs/dbraw/zinc/35/63/22/819356322.db2.gz DCKRVJNAPNEEBE-VHSXEESVSA-N 0 1 279.369 0.778 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@H]2CCC(=O)N2)C1 ZINC001035317042 819466587 /nfs/dbraw/zinc/46/65/87/819466587.db2.gz OSVZWBQRUUZJFX-QWHCGFSZSA-N 0 1 295.383 0.048 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCF)[C@@H](n2ccnn2)C1 ZINC001129165461 819469103 /nfs/dbraw/zinc/46/91/03/819469103.db2.gz VWHHDFGANIZOJP-OLZOCXBDSA-N 0 1 293.346 0.393 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1cn(C)nn1)CC2 ZINC001035697202 819609637 /nfs/dbraw/zinc/60/96/37/819609637.db2.gz BITZWPXQVKRBJY-UHFFFAOYSA-N 0 1 275.356 0.539 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CC(=O)N(C)C1)CC2 ZINC001035717080 819614858 /nfs/dbraw/zinc/61/48/58/819614858.db2.gz FQDXOJGJHLRIDU-ZDUSSCGKSA-N 0 1 291.395 0.575 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@H]1C(N)=O)CC2 ZINC001035795333 819626452 /nfs/dbraw/zinc/62/64/52/819626452.db2.gz BFNBGTKEICMDTL-OLZOCXBDSA-N 0 1 289.379 0.056 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cccnn1)CC2 ZINC001035820317 819629478 /nfs/dbraw/zinc/62/94/78/819629478.db2.gz AEVCGHWHSIKNAV-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCO[C@H]1C)CC2 ZINC001035825484 819630365 /nfs/dbraw/zinc/63/03/65/819630365.db2.gz LHOPEFRZIJJDKI-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C[C@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC[C@@H]1NCC#N ZINC001036169130 819696863 /nfs/dbraw/zinc/69/68/63/819696863.db2.gz UUNGWQPFPZNJHK-UWVGGRQHSA-N 0 1 277.328 0.089 20 30 CCEDMN C#CC1(O)CCN(CC(=O)N2CCCCC2)CC1 ZINC000708152971 819760596 /nfs/dbraw/zinc/76/05/96/819760596.db2.gz WLJOSWVYFPDRBJ-UHFFFAOYSA-N 0 1 250.342 0.459 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000710051603 819819536 /nfs/dbraw/zinc/81/95/36/819819536.db2.gz UPCXVRSDGOJMPA-MRVPVSSYSA-N 0 1 256.331 0.507 20 30 CCEDMN C#CCCCS(=O)(=O)N(C)C1CCN(C)CC1 ZINC000710261382 819822663 /nfs/dbraw/zinc/82/26/63/819822663.db2.gz OVTAOBLQQHFJTQ-UHFFFAOYSA-N 0 1 258.387 0.756 20 30 CCEDMN C=CCCSCCNC(=O)[C@@]1(COC)CNCCO1 ZINC000710841099 819848507 /nfs/dbraw/zinc/84/85/07/819848507.db2.gz DHZFNZHEHSWHMV-ZDUSSCGKSA-N 0 1 288.413 0.417 20 30 CCEDMN N#Cc1cnn2cc(CN3CC[C@@H](CO)C3)cnc12 ZINC001118200364 820090510 /nfs/dbraw/zinc/09/05/10/820090510.db2.gz AWOCUVZNUABSDD-SNVBAGLBSA-N 0 1 257.297 0.415 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2cn(C(C)C)nn2)[C@@H](O)C1 ZINC001083898305 820204532 /nfs/dbraw/zinc/20/45/32/820204532.db2.gz MMUYUAISCVLMIK-YPMHNXCESA-N 0 1 293.371 0.210 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cn(C(C)C)nn2)[C@@H](O)C1 ZINC001083898305 820204538 /nfs/dbraw/zinc/20/45/38/820204538.db2.gz MMUYUAISCVLMIK-YPMHNXCESA-N 0 1 293.371 0.210 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CN(C(=O)c2ccn[nH]2)CCO1 ZINC001064888051 820265940 /nfs/dbraw/zinc/26/59/40/820265940.db2.gz SFLMZSNOXWTJKK-NSHDSACASA-N 0 1 292.339 0.333 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cn(C)nc2C)[C@H](O)C1 ZINC001090332079 820268845 /nfs/dbraw/zinc/26/88/45/820268845.db2.gz QZJAFYWHYWNQGX-UONOGXRCSA-N 0 1 292.383 0.008 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(OCC)n[nH]2)[C@H](O)C1 ZINC001090355876 820295062 /nfs/dbraw/zinc/29/50/62/820295062.db2.gz SJWLDUIGARTZAO-ZYHUDNBSSA-N 0 1 294.355 0.159 20 30 CCEDMN CCN(C(=O)c1ccn[nH]1)C1CN(C(=O)C#CC(C)C)C1 ZINC001079352785 820366217 /nfs/dbraw/zinc/36/62/17/820366217.db2.gz MQFXYPIZGSDRNW-UHFFFAOYSA-N 0 1 288.351 0.742 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C)nn2C)C1 ZINC001079464243 820401527 /nfs/dbraw/zinc/40/15/27/820401527.db2.gz YZAKZJUWPIHCNK-ZYHUDNBSSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CSCCC)[C@H](O)C1 ZINC001099701774 820402756 /nfs/dbraw/zinc/40/27/56/820402756.db2.gz JMXMONFJVHPHNG-NWDGAFQWSA-N 0 1 272.414 0.867 20 30 CCEDMN C=CCCC(=O)N(CC)C1CN(C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001079469353 820404256 /nfs/dbraw/zinc/40/42/56/820404256.db2.gz HJCOUPNIYAAJAI-VXGBXAGGSA-N 0 1 295.339 0.677 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C2CCC(O)CC2)C1 ZINC001079640306 820446981 /nfs/dbraw/zinc/44/69/81/820446981.db2.gz OWDICQUWXPJUBB-XSCHDIRWSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cn3c(n2)CCC3)C1 ZINC001079724880 820459318 /nfs/dbraw/zinc/45/93/18/820459318.db2.gz JYZWEEKITXNEGN-VXGBXAGGSA-N 0 1 272.352 0.513 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2nnn(C(C)(C)C)n2)C1 ZINC001079739806 820462892 /nfs/dbraw/zinc/46/28/92/820462892.db2.gz JHBGYLJWOJBWCA-GHMZBOCLSA-N 0 1 292.387 0.664 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3c2CCC3)C1 ZINC001079817401 820468713 /nfs/dbraw/zinc/46/87/13/820468713.db2.gz WQNZJQZABAHWFX-TZMCWYRMSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001079974725 820497886 /nfs/dbraw/zinc/49/78/86/820497886.db2.gz CPSBKYHTBGVRJM-BXUZGUMPSA-N 0 1 285.347 0.469 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001080163344 820520838 /nfs/dbraw/zinc/52/08/38/820520838.db2.gz AYTCMXNIUHMMTE-WOFPZQRTSA-N 0 1 288.391 0.870 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001080324905 820550165 /nfs/dbraw/zinc/55/01/65/820550165.db2.gz JELOULBMKPVBLC-NQBHXWOUSA-N 0 1 256.321 0.738 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCCOC)[C@H](O)C1 ZINC001099711929 820553745 /nfs/dbraw/zinc/55/37/45/820553745.db2.gz HVKFENZUOKUXRF-QWHCGFSZSA-N 0 1 270.373 0.541 20 30 CCEDMN C=C(Cl)CN1C[C@@H](C)[C@H](NC(=O)C2=NC(=O)N(C)C2)C1 ZINC001080387387 820557455 /nfs/dbraw/zinc/55/74/55/820557455.db2.gz ZQJRHXAOTFYKRH-PSASIEDQSA-N 0 1 298.774 0.928 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001080463007 820580631 /nfs/dbraw/zinc/58/06/31/820580631.db2.gz VGFHRVBFGWZEKD-ZWNOBZJWSA-N 0 1 288.351 0.187 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC001080688130 820621504 /nfs/dbraw/zinc/62/15/04/820621504.db2.gz VJAPGAFMIQKHIV-VXGBXAGGSA-N 0 1 275.356 0.371 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001080768626 820635707 /nfs/dbraw/zinc/63/57/07/820635707.db2.gz FLVLARPVFRIARX-BXUZGUMPSA-N 0 1 286.379 0.592 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC001080848946 820645451 /nfs/dbraw/zinc/64/54/51/820645451.db2.gz FNPJPWPFVUWPLZ-MGPQQGTHSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001081040505 820671249 /nfs/dbraw/zinc/67/12/49/820671249.db2.gz HOURLTBOTHVHTE-ZWNOBZJWSA-N 0 1 288.351 0.417 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001081040505 820671253 /nfs/dbraw/zinc/67/12/53/820671253.db2.gz HOURLTBOTHVHTE-ZWNOBZJWSA-N 0 1 288.351 0.417 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001081073194 820675628 /nfs/dbraw/zinc/67/56/28/820675628.db2.gz BHDKHIZAEUKOLZ-UMVBOHGHSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)n2cccn2)[C@H](OC)C1 ZINC001081389809 820751556 /nfs/dbraw/zinc/75/15/56/820751556.db2.gz DDTOTXWRFBQXHV-BFHYXJOUSA-N 0 1 290.367 0.283 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(OC)no2)[C@H](OC)C1 ZINC001081557674 820788339 /nfs/dbraw/zinc/78/83/39/820788339.db2.gz VQNZAHTWDFLNJT-ZYHUDNBSSA-N 0 1 295.339 0.688 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@]23C[C@H]2COC3)[C@H](OC)C1 ZINC001081700621 820818212 /nfs/dbraw/zinc/81/82/12/820818212.db2.gz WWIVVSQESBKURH-JLNYLFASSA-N 0 1 280.368 0.414 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)c2csc(=O)[nH]2)[C@H](OC)C1 ZINC001082045349 820881801 /nfs/dbraw/zinc/88/18/01/820881801.db2.gz VXIWORHTOQAZJM-MWLCHTKSSA-N 0 1 297.380 0.854 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2csc(=O)[nH]2)[C@H](OC)C1 ZINC001082045349 820881810 /nfs/dbraw/zinc/88/18/10/820881810.db2.gz VXIWORHTOQAZJM-MWLCHTKSSA-N 0 1 297.380 0.854 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[C@H](OC)C1 ZINC001082038402 820883869 /nfs/dbraw/zinc/88/38/69/820883869.db2.gz GEXFESSFEHTEQI-ITGHMWBKSA-N 0 1 280.368 0.270 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(=O)[nH]c2)[C@H](OC)C1 ZINC001082355255 820943876 /nfs/dbraw/zinc/94/38/76/820943876.db2.gz NTWOBJYEAHTMEQ-CHWSQXEVSA-N 0 1 289.335 0.240 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(C)c2CC)[C@H](O)C1 ZINC001090393042 821088476 /nfs/dbraw/zinc/08/84/76/821088476.db2.gz ZOWPOXPTVFGYAB-GXTWGEPZSA-N 0 1 292.383 0.334 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3cnsn3)[C@H]2C1 ZINC001083013761 821108347 /nfs/dbraw/zinc/10/83/47/821108347.db2.gz AXXHXBNQGXVDBA-NWDGAFQWSA-N 0 1 294.380 0.639 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H]3C[C@H]3C)[C@H]2C1 ZINC001083164396 821150614 /nfs/dbraw/zinc/15/06/14/821150614.db2.gz ZDYYCFXQSPFNDW-YIYPIFLZSA-N 0 1 262.353 0.577 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC001084201588 821234010 /nfs/dbraw/zinc/23/40/10/821234010.db2.gz OQRCICKGVGVTDU-DGCLKSJQSA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cn(C)nc3C)[C@@H]2C1 ZINC001084234999 821249274 /nfs/dbraw/zinc/24/92/74/821249274.db2.gz MWUBCKVQFLRNEM-UKRRQHHQSA-N 0 1 286.379 0.898 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@H]3CCN(CC#N)C[C@H]32)[nH]1 ZINC001084233625 821249498 /nfs/dbraw/zinc/24/94/98/821249498.db2.gz IFWGWPXTRNMHQF-ZYHUDNBSSA-N 0 1 259.313 0.388 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3cc(C)n[nH]3)[C@@H]2C1 ZINC001084272189 821258293 /nfs/dbraw/zinc/25/82/93/821258293.db2.gz PIVNIRAUCAHGIS-UKRRQHHQSA-N 0 1 286.379 0.817 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CC(C)(C)O)[C@@H]2C1 ZINC001084312979 821267220 /nfs/dbraw/zinc/26/72/20/821267220.db2.gz ICGNDVAITMJZGN-VXGBXAGGSA-N 0 1 252.358 0.866 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3n[nH]cc3C)[C@@H]2C1 ZINC001084331710 821272302 /nfs/dbraw/zinc/27/23/02/821272302.db2.gz DITTXWGPONLCEE-CHWSQXEVSA-N 0 1 272.352 0.888 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccn4nnnc4c3)[C@@H]2C1 ZINC001084572542 821315204 /nfs/dbraw/zinc/31/52/04/821315204.db2.gz NEVCTOVEFZTBTJ-CHWSQXEVSA-N 0 1 298.350 0.457 20 30 CCEDMN N#C[C@H]1CCCN(C(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3)C1 ZINC001119544672 821360090 /nfs/dbraw/zinc/36/00/90/821360090.db2.gz GQFFHUNXDNQCQV-UPJWGTAASA-N 0 1 290.367 0.101 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCc3cn(C)nn3)[C@@H]2C1 ZINC001084871620 821416392 /nfs/dbraw/zinc/41/63/92/821416392.db2.gz VOVYZEGLXOQONC-TZMCWYRMSA-N 0 1 289.383 0.466 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)CO[C@H]3CCOC3)C[C@@H]21 ZINC001084938708 821436725 /nfs/dbraw/zinc/43/67/25/821436725.db2.gz BUORYMXKHNJDQW-ILXRZTDVSA-N 0 1 292.379 0.348 20 30 CCEDMN N#CC[C@@H](O)CN[C@H](c1nnc[nH]1)c1ccccc1 ZINC001119841827 821439392 /nfs/dbraw/zinc/43/93/92/821439392.db2.gz PEHCYUYPBUJRMS-NEPJUHHUSA-N 0 1 257.297 0.758 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cc1cnn(C)c1 ZINC001231161804 821502853 /nfs/dbraw/zinc/50/28/53/821502853.db2.gz OQGDITIZUHFQCS-AWEZNQCLSA-N 0 1 274.368 0.519 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nn(C)c2ccccc21 ZINC000823675006 821531020 /nfs/dbraw/zinc/53/10/20/821531020.db2.gz RIHUHHVFVOMBJH-UHFFFAOYSA-N 0 1 270.336 0.868 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)c1cnn2[nH]c(C)nc12 ZINC001154668081 821647308 /nfs/dbraw/zinc/64/73/08/821647308.db2.gz QFPXQCXVNPGONR-UHFFFAOYSA-N 0 1 277.284 0.215 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)Nc1ncccc1C#N ZINC001098306413 821661302 /nfs/dbraw/zinc/66/13/02/821661302.db2.gz FPDDTHLEEVQGPB-JTQLQIEISA-N 0 1 284.323 0.836 20 30 CCEDMN COc1cc(CNCCCNC(=O)CSCC#N)on1 ZINC001154814736 821671795 /nfs/dbraw/zinc/67/17/95/821671795.db2.gz OFZXVXAUTDEWPY-UHFFFAOYSA-N 0 1 298.368 0.536 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C1=COCCO1 ZINC001085470280 821721857 /nfs/dbraw/zinc/72/18/57/821721857.db2.gz LJQVNGPMUZEIBC-LBPRGKRZSA-N 0 1 264.325 0.431 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCC(=O)N1 ZINC001085519590 821764531 /nfs/dbraw/zinc/76/45/31/821764531.db2.gz SBVSFOVOHZGFIC-NWDGAFQWSA-N 0 1 265.357 0.374 20 30 CCEDMN CN(C[C@@H]1CCN1CCCO)C(=O)c1c[nH]c(C#N)c1 ZINC001085552845 821784039 /nfs/dbraw/zinc/78/40/39/821784039.db2.gz POWOWEYFXDQLPS-ZDUSSCGKSA-N 0 1 276.340 0.415 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1COCCN1C ZINC001085555317 821785343 /nfs/dbraw/zinc/78/53/43/821785343.db2.gz QJDIETVZLBZXNB-KGLIPLIRSA-N 0 1 281.400 0.426 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C1CN(C(C)=O)C1 ZINC001085567357 821815041 /nfs/dbraw/zinc/81/50/41/821815041.db2.gz GZLIMWDZCHREOB-CQSZACIVSA-N 0 1 277.368 0.021 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N(C)C[C@H]1CCN1CCCO ZINC001085601630 821840006 /nfs/dbraw/zinc/84/00/06/821840006.db2.gz CEOJPQMSPYBNIZ-KFWWJZLASA-N 0 1 296.411 0.883 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)c1c[nH]cc2ncnc1-2 ZINC001085609980 821847721 /nfs/dbraw/zinc/84/77/21/821847721.db2.gz GIJZQJAFGRQYPA-LLVKDONJSA-N 0 1 283.335 0.737 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001085626021 821860922 /nfs/dbraw/zinc/86/09/22/821860922.db2.gz DKUVLMPTMVLUTP-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001085626021 821860926 /nfs/dbraw/zinc/86/09/26/821860926.db2.gz DKUVLMPTMVLUTP-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccnc2ccnn21 ZINC001085637707 821869930 /nfs/dbraw/zinc/86/99/30/821869930.db2.gz XFSIQIOLPDNBEZ-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnc2nccn2c1 ZINC001085724364 821921620 /nfs/dbraw/zinc/92/16/20/821921620.db2.gz UHBOSHUTHPSMHN-CQSZACIVSA-N 0 1 297.362 0.899 20 30 CCEDMN CC(C)N1CCO[C@@H](C(=O)N(C)C[C@@H]2CCN2CC#N)C1 ZINC001085779666 821948069 /nfs/dbraw/zinc/94/80/69/821948069.db2.gz AKLZKJRRBGTNAD-UONOGXRCSA-N 0 1 294.399 0.152 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)C[C@@H]2CCN2CCCO)c1 ZINC001085810004 821961358 /nfs/dbraw/zinc/96/13/58/821961358.db2.gz SGEQXHMPQAYHDQ-HNNXBMFYSA-N 0 1 287.363 0.592 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001086392390 822235759 /nfs/dbraw/zinc/23/57/59/822235759.db2.gz LFZZIWMXWNLDMD-DDHJBXDOSA-N 0 1 273.340 0.521 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CC)OC ZINC001114012827 837409034 /nfs/dbraw/zinc/40/90/34/837409034.db2.gz MOHBXCGQUDLION-QNWHQSFQSA-N 0 1 250.342 0.481 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(C#N)c1 ZINC001114149254 837454604 /nfs/dbraw/zinc/45/46/04/837454604.db2.gz RRRPGDUEXFFKRX-FICVDOATSA-N 0 1 298.346 0.196 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COC(C)(C)C ZINC001114166708 837460780 /nfs/dbraw/zinc/46/07/80/837460780.db2.gz XBCKEPLXVRGPGN-NHAGDIPZSA-N 0 1 294.395 0.498 20 30 CCEDMN Cc1nn(C)cc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC1CC1 ZINC001114253178 837487445 /nfs/dbraw/zinc/48/74/45/837487445.db2.gz JKRCDWKRRFDQQE-QLPKVWCKSA-N 0 1 298.390 0.688 20 30 CCEDMN C=CCN1C[C@@H](OC)C[C@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001130256050 837740638 /nfs/dbraw/zinc/74/06/38/837740638.db2.gz WHTDECLFOQNCOV-QWRGUYRKSA-N 0 1 293.371 0.779 20 30 CCEDMN C=CCN1C[C@@H](OC)C[C@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001130256050 837740647 /nfs/dbraw/zinc/74/06/47/837740647.db2.gz WHTDECLFOQNCOV-QWRGUYRKSA-N 0 1 293.371 0.779 20 30 CCEDMN CC[C@@H]1CCN(CC(=O)Nc2nc[nH]c2C#N)C1=O ZINC001183667034 844061448 /nfs/dbraw/zinc/06/14/48/844061448.db2.gz OKHDMBKQSBZCTN-MRVPVSSYSA-N 0 1 261.285 0.478 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(COC)o2)[C@H](O)C1 ZINC001090417995 835982324 /nfs/dbraw/zinc/98/23/24/835982324.db2.gz WDLVOPFHTDOBFD-QWHCGFSZSA-N 0 1 294.351 0.777 20 30 CCEDMN C#CCCN1CCN(C[C@@H]2C[C@H]2C(=O)OCC)CC1 ZINC001168951297 836071548 /nfs/dbraw/zinc/07/15/48/836071548.db2.gz ZSBXEMCYUINDCK-UONOGXRCSA-N 0 1 264.369 0.827 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN([C@H]2CCN(C(C)=O)C2)C1 ZINC001169565276 836354290 /nfs/dbraw/zinc/35/42/90/836354290.db2.gz OMTBRTWCBFPZFB-KGLIPLIRSA-N 0 1 295.383 0.984 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)NCCCNCC#N)c2C1 ZINC001169887465 836466349 /nfs/dbraw/zinc/46/63/49/836466349.db2.gz RXWMUYBYJFACTH-JTQLQIEISA-N 0 1 275.356 0.768 20 30 CCEDMN C#CC[NH2+]C[C@@H]1CCN(C(=O)CCc2nc[nH]n2)C[C@H]1C ZINC001184157615 844171663 /nfs/dbraw/zinc/17/16/63/844171663.db2.gz BZKPFQTXOFEZPY-OLZOCXBDSA-N 0 1 289.383 0.445 20 30 CCEDMN C[C@@H](CNc1ncccc1C#N)NC(=O)c1cnn[nH]1 ZINC001108783321 836524815 /nfs/dbraw/zinc/52/48/15/836524815.db2.gz JEMSOZAPJLJWKW-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN Cc1nc(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)C#CC2CC2)C3)n[nH]1 ZINC001109257765 836650645 /nfs/dbraw/zinc/65/06/45/836650645.db2.gz MEZJCWPWVXDKMT-RDBSUJKOSA-N 0 1 299.378 0.748 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)NC(=O)COC)C2 ZINC001109324953 836657703 /nfs/dbraw/zinc/65/77/03/836657703.db2.gz QJHSDCAMPCRMOM-NDBYEHHHSA-N 0 1 295.383 0.045 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCNC(=O)COC)C2 ZINC001109367501 836661983 /nfs/dbraw/zinc/66/19/83/836661983.db2.gz TYJKUSURCRMYCV-AGIUHOORSA-N 0 1 295.383 0.047 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(Cl)n2C)[C@H](O)C1 ZINC001090425172 836665037 /nfs/dbraw/zinc/66/50/37/836665037.db2.gz MATCQTLYZDWENW-GXSJLCMTSA-N 0 1 298.774 0.425 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1ncnn1CC ZINC001157164044 836841616 /nfs/dbraw/zinc/84/16/16/836841616.db2.gz AAJVKPVDULXECQ-UHFFFAOYSA-N 0 1 277.372 0.697 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2COCCN2C)CC1 ZINC001112770284 836901734 /nfs/dbraw/zinc/90/17/34/836901734.db2.gz JLQNGFQQQSFCJP-GJZGRUSLSA-N 0 1 295.427 0.816 20 30 CCEDMN C=CCCN1CCN(C(=O)CC(=O)NCC)CC1 ZINC001112779367 836909513 /nfs/dbraw/zinc/90/95/13/836909513.db2.gz XCZOSFHGFGHCTG-UHFFFAOYSA-N 0 1 253.346 0.233 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(CCCOC)CC1 ZINC001112804947 836919021 /nfs/dbraw/zinc/91/90/21/836919021.db2.gz XQHLGCUGABXHLS-AWEZNQCLSA-N 0 1 270.373 0.494 20 30 CCEDMN Cc1nc(N[C@H](C)[C@@H](C)NC(=O)c2ncn[nH]2)ccc1C#N ZINC001113117254 837025680 /nfs/dbraw/zinc/02/56/80/837025680.db2.gz VZKFXJHAYRIQME-RKDXNWHRSA-N 0 1 299.338 0.999 20 30 CCEDMN Cc1nc(N[C@H](C)[C@@H](C)NC(=O)c2nc[nH]n2)ccc1C#N ZINC001113117254 837025690 /nfs/dbraw/zinc/02/56/90/837025690.db2.gz VZKFXJHAYRIQME-RKDXNWHRSA-N 0 1 299.338 0.999 20 30 CCEDMN C[C@@H](NC(=O)Cc1nnc[nH]1)[C@H](C)Nc1ncccc1C#N ZINC001113150343 837035244 /nfs/dbraw/zinc/03/52/44/837035244.db2.gz KTTWECNDUALHRQ-ZJUUUORDSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CN(C)C(=O)N2)CC1 ZINC001113190248 837048510 /nfs/dbraw/zinc/04/85/10/837048510.db2.gz DXQHFJVGTAGEMR-LBPRGKRZSA-N 0 1 280.372 0.120 20 30 CCEDMN C[C@@H](Nc1cncc(C#N)n1)[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001113313761 837084576 /nfs/dbraw/zinc/08/45/76/837084576.db2.gz MVELXXJYQFOCFA-ZJUUUORDSA-N 0 1 299.338 0.619 20 30 CCEDMN C[C@@H](Nc1nccnc1C#N)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001113356274 837097189 /nfs/dbraw/zinc/09/71/89/837097189.db2.gz DMXZZFLLKKITKQ-SFYZADRCSA-N 0 1 286.299 0.085 20 30 CCEDMN CC1(C)OCC(C(=O)Nc2nc[nH]c2C#N)CO1 ZINC001184448998 844235368 /nfs/dbraw/zinc/23/53/68/844235368.db2.gz DXLKPGKVEWYPQU-UHFFFAOYSA-N 0 1 250.258 0.619 20 30 CCEDMN C=CCCN1CCN(C(=O)COC[C@@H]2CCOC2)CC1 ZINC001113399105 837108223 /nfs/dbraw/zinc/10/82/23/837108223.db2.gz QRBGDZWCMGPJST-CQSZACIVSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](NC(C)=O)C(C)C)CC1 ZINC001113566248 837164582 /nfs/dbraw/zinc/16/45/82/837164582.db2.gz LDPUOIBGZAOBNM-CQSZACIVSA-N 0 1 281.400 0.867 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CNC(=O)CCC ZINC001157636891 837189381 /nfs/dbraw/zinc/18/93/81/837189381.db2.gz QTMXGBFJPMDHFI-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C[C@H](CNC(=O)Cc1nnc[nH]1)N(C)c1ncccc1C#N ZINC001113713881 837204733 /nfs/dbraw/zinc/20/47/33/837204733.db2.gz ARKYVWNDBDRXHD-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC ZINC001113760036 837218312 /nfs/dbraw/zinc/21/83/12/837218312.db2.gz QGNKCWZUKYTIPS-VIKVFOODSA-N 0 1 293.411 0.867 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccoc1C ZINC001113832081 837313048 /nfs/dbraw/zinc/31/30/48/837313048.db2.gz YLHYJILCAMYRMJ-FICVDOATSA-N 0 1 288.347 0.898 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)N(C)c1ccc(C#N)cn1 ZINC001113898286 837358032 /nfs/dbraw/zinc/35/80/32/837358032.db2.gz PTMMHMFYJPFWCB-LLVKDONJSA-N 0 1 298.350 0.860 20 30 CCEDMN CCOCCCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001113928135 837372198 /nfs/dbraw/zinc/37/21/98/837372198.db2.gz VXYIFBCIZXNMFB-FOLVSLTJSA-N 0 1 294.395 0.499 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cncn1C ZINC001130896855 837949653 /nfs/dbraw/zinc/94/96/53/837949653.db2.gz OSRUOZBWDKYQCU-UHFFFAOYSA-N 0 1 287.161 0.648 20 30 CCEDMN COC(=O)c1cc2[nH]cnc2c(N[C@H](C#N)C(N)=O)c1 ZINC001170537489 837991381 /nfs/dbraw/zinc/99/13/81/837991381.db2.gz WBJJNXJUHGODEF-SECBINFHSA-N 0 1 273.252 0.139 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)CNC(C)=O)C[C@@H](C)O2 ZINC001131620536 838157392 /nfs/dbraw/zinc/15/73/92/838157392.db2.gz HVIDBLLNIGLQGS-DOMZBBRYSA-N 0 1 295.383 0.000 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CC(=O)N[C@@H](CC)C1 ZINC001131681325 838173681 /nfs/dbraw/zinc/17/36/81/838173681.db2.gz ZQJMDTMICCLGSY-QWRGUYRKSA-N 0 1 287.791 0.750 20 30 CCEDMN C[C@H]1CC[C@@H](NC(=O)CSCC#N)CN1CCO ZINC001131830541 838242128 /nfs/dbraw/zinc/24/21/28/838242128.db2.gz SCUYAOVHBLPKIS-WDEREUQCSA-N 0 1 271.386 0.205 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC(=O)NCC)CC[C@H]1C ZINC001131980377 838282547 /nfs/dbraw/zinc/28/25/47/838282547.db2.gz MAOVRVOKIXXUJS-VXGBXAGGSA-N 0 1 265.357 0.115 20 30 CCEDMN C[C@H]1CC[C@@H](NC(=O)CCc2cnc[nH]2)CN1CC#N ZINC001132087062 838319081 /nfs/dbraw/zinc/31/90/81/838319081.db2.gz LXNRBZHCTYEDMM-WCQYABFASA-N 0 1 275.356 0.835 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2c[nH]cn2)CC[C@@H]1C ZINC001132086549 838319151 /nfs/dbraw/zinc/31/91/51/838319151.db2.gz HJTCAORTOMWKPL-GXTWGEPZSA-N 0 1 274.368 0.945 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C(=O)NCC(F)F)CC[C@H]1C ZINC001132145690 838330324 /nfs/dbraw/zinc/33/03/24/838330324.db2.gz RQRQQRBRIVEOCL-ZJUUUORDSA-N 0 1 289.326 0.523 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COCC(F)F)CC[C@@H]1C ZINC001132202555 838337658 /nfs/dbraw/zinc/33/76/58/838337658.db2.gz ITNOQTFJDBNDGO-WDEREUQCSA-N 0 1 274.311 0.870 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCNCC(=O)Nc1ccon1 ZINC001132308907 838360132 /nfs/dbraw/zinc/36/01/32/838360132.db2.gz WLVCRZQIBWLRSF-UHFFFAOYSA-N 0 1 294.355 0.921 20 30 CCEDMN NC(=O)[C@H]1CC[C@@H]1C(=O)NCCNCC#Cc1ccccc1 ZINC001132337329 838363081 /nfs/dbraw/zinc/36/30/81/838363081.db2.gz JCELRZAXPVMOFU-GJZGRUSLSA-N 0 1 299.374 0.255 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNCC(=O)Nc1nccs1 ZINC001132401968 838384674 /nfs/dbraw/zinc/38/46/74/838384674.db2.gz HROVNFOLTPBPST-UHFFFAOYSA-N 0 1 296.396 1.000 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNCc1ccc(OC)nn1 ZINC001132404298 838387289 /nfs/dbraw/zinc/38/72/89/838387289.db2.gz ZNSGVXVHIJXYEE-UHFFFAOYSA-N 0 1 278.356 0.903 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCC(=O)N(C)C)CC[C@@H]1C ZINC001132429447 838394732 /nfs/dbraw/zinc/39/47/32/838394732.db2.gz LZVXLYBEZTYLFW-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCNC(N)=O)CC[C@@H]1C ZINC001132479055 838413571 /nfs/dbraw/zinc/41/35/71/838413571.db2.gz UKTUWXVSJBYLIF-NWDGAFQWSA-N 0 1 280.372 0.037 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCCC(=O)NC)CC[C@@H]1C ZINC001132533325 838429428 /nfs/dbraw/zinc/42/94/28/838429428.db2.gz LSIVZQUGUHRESK-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCNCCS(C)(=O)=O ZINC001132667813 838465646 /nfs/dbraw/zinc/46/56/46/838465646.db2.gz UBMIPZUMNNYCIK-NSHDSACASA-N 0 1 276.402 0.339 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCNCC(=O)Nc1ccon1 ZINC001132667651 838466048 /nfs/dbraw/zinc/46/60/48/838466048.db2.gz PTOZFSOAMAEHAC-NSHDSACASA-N 0 1 294.355 0.921 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](C)[C@@H](CNCC#N)C2)[nH]1 ZINC001132705658 838472807 /nfs/dbraw/zinc/47/28/07/838472807.db2.gz PXAULFWHNXANRG-JQWIXIFHSA-N 0 1 275.356 0.930 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)NCCNCC#N ZINC001132983717 838530104 /nfs/dbraw/zinc/53/01/04/838530104.db2.gz IZSSOMCQTRHHSR-UHFFFAOYSA-N 0 1 250.302 0.184 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001133381126 838614609 /nfs/dbraw/zinc/61/46/09/838614609.db2.gz WODPBQPOGMWYQU-ZDUSSCGKSA-N 0 1 265.361 0.921 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)C[C@H](C)NCc1cn(C)nn1 ZINC001134205657 838903911 /nfs/dbraw/zinc/90/39/11/838903911.db2.gz KLWIBCMNCDEUFS-QWHCGFSZSA-N 0 1 291.399 0.992 20 30 CCEDMN CCCCN(CC#N)C(=O)[C@H]1CN(C)CCN1C ZINC001185258098 844382216 /nfs/dbraw/zinc/38/22/16/844382216.db2.gz PVLTZIGKAVSRRR-GFCCVEGCSA-N 0 1 252.362 0.384 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)Nc2cc(C#N)c(C#N)cc2N)C1 ZINC001185256857 844382846 /nfs/dbraw/zinc/38/28/46/844382846.db2.gz XABFKEVSDMFTFK-AWEZNQCLSA-N 0 1 298.350 0.196 20 30 CCEDMN CC#CC[N@@H+](C)CCCN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001273501548 844395270 /nfs/dbraw/zinc/39/52/70/844395270.db2.gz LCZUPPKCIQIVJO-UHFFFAOYSA-N 0 1 278.356 0.841 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C1(NC(C)=O)CCCC1 ZINC001135199810 839178319 /nfs/dbraw/zinc/17/83/19/839178319.db2.gz KJKRYXYYEOZZAK-UHFFFAOYSA-N 0 1 287.791 0.894 20 30 CCEDMN CC[C@@](N)(CO)Nc1ccc([N+](=O)[O-])c(C#N)n1 ZINC001170854541 839422290 /nfs/dbraw/zinc/42/22/90/839422290.db2.gz FLYIFTBDCCPMSW-JTQLQIEISA-N 0 1 251.246 0.331 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC(=O)c2cnncc2O)c1 ZINC001136327900 839591045 /nfs/dbraw/zinc/59/10/45/839591045.db2.gz SXDWGDDRMPMASL-UHFFFAOYSA-N 0 1 296.286 0.532 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2conc2C)[C@@H](O)C1 ZINC001090536104 839623539 /nfs/dbraw/zinc/62/35/39/839623539.db2.gz AGCJWKNJHNJJOZ-NEPJUHHUSA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C(C)C)n[nH]2)[C@H](O)C1 ZINC001090578983 839652083 /nfs/dbraw/zinc/65/20/83/839652083.db2.gz ADNCVGVZIYNGER-SMDDNHRTSA-N 0 1 292.383 0.884 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cn2nccn2)CC1 ZINC001160247128 839738937 /nfs/dbraw/zinc/73/89/37/839738937.db2.gz GZAXNGRWVVIJSI-UHFFFAOYSA-N 0 1 273.340 0.050 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]nc2C2CC2)[C@H](O)C1 ZINC001090696348 839742254 /nfs/dbraw/zinc/74/22/54/839742254.db2.gz RGRPPPINUDYLKC-CHWSQXEVSA-N 0 1 290.367 0.638 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2[nH]cnc2CC)[C@@H](O)C1 ZINC001090767313 839788336 /nfs/dbraw/zinc/78/83/36/839788336.db2.gz IOHMLMOWGVODAL-OLZOCXBDSA-N 0 1 290.367 0.160 20 30 CCEDMN C#CC(=O)N1CC[C@@H](OC)[C@H]2CN(Cc3cccnc3)C[C@H]21 ZINC001143907375 839890004 /nfs/dbraw/zinc/89/00/04/839890004.db2.gz RRCAGJFCAVNEFE-ARFHVFGLSA-N 0 1 299.374 0.763 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)CCS)CC1 ZINC001143978352 839910168 /nfs/dbraw/zinc/91/01/68/839910168.db2.gz AITVTWPXJJXAJD-SECBINFHSA-N 0 1 273.354 0.677 20 30 CCEDMN CSCC[C@H](NC(C)=O)C(=O)Nc1nc[nH]c1C#N ZINC001144854189 840161888 /nfs/dbraw/zinc/16/18/88/840161888.db2.gz XCNKSPBATZBTEW-QMMMGPOBSA-N 0 1 281.341 0.478 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(CN(C(=O)CC)C2)C1 ZINC001146861876 840384496 /nfs/dbraw/zinc/38/44/96/840384496.db2.gz ZEHIDRCSKHBDBW-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(Cl)C[NH2+]CCNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001146980379 840429745 /nfs/dbraw/zinc/42/97/45/840429745.db2.gz VAPLBJRKPGCDTG-SNVBAGLBSA-N 0 1 282.775 0.973 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1C[C@]12CCOC2 ZINC001147003177 840455416 /nfs/dbraw/zinc/45/54/16/840455416.db2.gz DKCMEJMJKYYAGZ-PWSUYJOCSA-N 0 1 258.749 0.871 20 30 CCEDMN N#CC1(NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CCOCC1 ZINC001147006980 840457307 /nfs/dbraw/zinc/45/73/07/840457307.db2.gz JLAGDRRLDMXNMW-NXEZZACHSA-N 0 1 260.297 0.702 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001148131538 840751541 /nfs/dbraw/zinc/75/15/41/840751541.db2.gz UZYKIOCUSSUYCO-QWHCGFSZSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](CC)NC(C)=O)C2)C1 ZINC001148321160 840781162 /nfs/dbraw/zinc/78/11/62/840781162.db2.gz PJMGBRXYIUTRML-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C[C@H](CO)NC(=O)c1nnc2ccccc2c1O ZINC001148559540 840838021 /nfs/dbraw/zinc/83/80/21/840838021.db2.gz OXXQWJBQJUWPNC-MRVPVSSYSA-N 0 1 259.265 0.612 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCCC(=O)N1C ZINC001149456051 840878259 /nfs/dbraw/zinc/87/82/59/840878259.db2.gz WSAVJDPTWMGPBZ-JTQLQIEISA-N 0 1 273.764 0.456 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CNC(=O)C3CCC3)C2)C1 ZINC001148844496 840895528 /nfs/dbraw/zinc/89/55/28/840895528.db2.gz OBKAIPLOYFRSRV-UHFFFAOYSA-N 0 1 289.379 0.070 20 30 CCEDMN C=C(Cl)CN1CCC2(C[C@H](C)N(C(=O)C(N)=O)C2)CC1 ZINC001086939854 840939292 /nfs/dbraw/zinc/93/92/92/840939292.db2.gz ATBUZPLJPVFHAN-NSHDSACASA-N 0 1 299.802 0.927 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](CNC(=O)c2[nH]nc(C)c2C)[C@H](C)C1 ZINC001092513917 841032599 /nfs/dbraw/zinc/03/25/99/841032599.db2.gz PHJJNTJEYZLNOV-ZWNOBZJWSA-N 0 1 274.368 0.957 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H]2[C@@H](CCN2CC(N)=O)C1 ZINC001036789013 841220149 /nfs/dbraw/zinc/22/01/49/841220149.db2.gz KZKYRCCOBRGJIM-RYUDHWBXSA-N 0 1 279.384 0.607 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCCNc1cncc(C#N)n1 ZINC001094044727 841518145 /nfs/dbraw/zinc/51/81/45/841518145.db2.gz FLWSWAIFNSJYDP-LBPRGKRZSA-N 0 1 288.355 0.361 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2cnc(C)o2)C1 ZINC001149853876 841521223 /nfs/dbraw/zinc/52/12/23/841521223.db2.gz DYVZZMHMVNELNA-AWEZNQCLSA-N 0 1 293.367 0.919 20 30 CCEDMN N#Cc1cnc(NCCNC(=O)c2[nH]ncc2F)c(F)c1 ZINC001094104363 841546593 /nfs/dbraw/zinc/54/65/93/841546593.db2.gz KAQPPPXKHIJZMM-UHFFFAOYSA-N 0 1 292.249 0.796 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)c2[nH]ncc2F)nn1 ZINC001094764449 841713813 /nfs/dbraw/zinc/71/38/13/841713813.db2.gz BBPRPSLPJKBUHX-UHFFFAOYSA-N 0 1 289.274 0.442 20 30 CCEDMN C[C@H](CCCCNCC#N)NC(=O)c1cnn[nH]1 ZINC001171660029 841745919 /nfs/dbraw/zinc/74/59/19/841745919.db2.gz XMASYYQWTCKMBI-SECBINFHSA-N 0 1 250.306 0.206 20 30 CCEDMN CC(C)(NC(=O)Cc1nn[nH]n1)c1ccc(C#N)cc1 ZINC001176845235 842443838 /nfs/dbraw/zinc/44/38/38/842443838.db2.gz LMKMLPFCUHUUIV-UHFFFAOYSA-N 0 1 270.296 0.665 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1nccc(C)n1 ZINC001177268933 842539826 /nfs/dbraw/zinc/53/98/26/842539826.db2.gz AYHFBJVJYMTSLZ-CYBMUJFWSA-N 0 1 292.383 0.972 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ccc(O)c(O)c2)CC1 ZINC001178206988 842789328 /nfs/dbraw/zinc/78/93/28/842789328.db2.gz DTVVHIFIFREDMX-UHFFFAOYSA-N 0 1 288.347 0.854 20 30 CCEDMN NC(=O)c1[nH]ncc1NC(=O)C#Cc1ccc(F)cc1F ZINC001178565163 842880078 /nfs/dbraw/zinc/88/00/78/842880078.db2.gz IMDYMZYLEDHJIE-UHFFFAOYSA-N 0 1 290.229 0.777 20 30 CCEDMN CCc1ncc(CNC(=O)[C@@]2(C#N)CCCOC2)[nH]1 ZINC001178669410 842894879 /nfs/dbraw/zinc/89/48/79/842894879.db2.gz IXIZDNXCMGLVTP-CYBMUJFWSA-N 0 1 262.313 0.909 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)[C@H]2CCCCN2C)CC1 ZINC001178697017 842904507 /nfs/dbraw/zinc/90/45/07/842904507.db2.gz RPZUJCIGBKGBCD-GFCCVEGCSA-N 0 1 293.367 0.776 20 30 CCEDMN COC(=O)[C@H](COC(C)(C)C)NC(=O)C(C)C#N ZINC001179900086 843073092 /nfs/dbraw/zinc/07/30/92/843073092.db2.gz BWTHJEGFRKWDSA-IUCAKERBSA-N 0 1 256.302 0.619 20 30 CCEDMN COC(=O)c1ncc(=O)[nH]c1NC(=O)C(C)C#N ZINC001179902281 843086797 /nfs/dbraw/zinc/08/67/97/843086797.db2.gz YDLLSNHVWYAEIJ-RXMQYKEDSA-N 0 1 250.214 0.067 20 30 CCEDMN COCC(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001180570862 843211000 /nfs/dbraw/zinc/21/10/00/843211000.db2.gz RXRZDMKPYKIDAC-OAHLLOKOSA-N 0 1 272.348 0.875 20 30 CCEDMN N#C[C@H](NC(=O)C(F)(F)c1ccc(F)cc1)C(N)=O ZINC001182890888 843936919 /nfs/dbraw/zinc/93/69/19/843936919.db2.gz VIGYLJCGRACSKB-QMMMGPOBSA-N 0 1 271.198 0.411 20 30 CCEDMN N#C[C@@H](NC(=O)C(F)(F)c1ccc(F)cc1)C(N)=O ZINC001182890876 843937397 /nfs/dbraw/zinc/93/73/97/843937397.db2.gz VIGYLJCGRACSKB-MRVPVSSYSA-N 0 1 271.198 0.411 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)CC[C@H](C)OC)[C@@H]2C1 ZINC001187613035 844739328 /nfs/dbraw/zinc/73/93/28/844739328.db2.gz AMJWOEYDQCMIQK-MELADBBJSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CC[C@H](C)OC)[C@@H]2C1 ZINC001187613035 844739332 /nfs/dbraw/zinc/73/93/32/844739332.db2.gz AMJWOEYDQCMIQK-MELADBBJSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)CC(C)C)C1 ZINC001188677037 844945509 /nfs/dbraw/zinc/94/55/09/844945509.db2.gz WCPAHSQYDTWCIK-KGLIPLIRSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)CCC)C1 ZINC001188641536 844945888 /nfs/dbraw/zinc/94/58/88/844945888.db2.gz HTNHJAPGLHAKMF-STQMWFEESA-N 0 1 279.384 0.457 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)CCSC)C1 ZINC001188793291 844967558 /nfs/dbraw/zinc/96/75/58/844967558.db2.gz PDYPPCUSKZDVAN-ZDUSSCGKSA-N 0 1 284.425 0.922 20 30 CCEDMN COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2cc(C)on2)C1 ZINC001188774584 844972824 /nfs/dbraw/zinc/97/28/24/844972824.db2.gz BZINJBBTFSFNQS-ZDUSSCGKSA-N 0 1 291.351 0.779 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cc(C)on2)C1 ZINC001188774584 844972828 /nfs/dbraw/zinc/97/28/28/844972828.db2.gz BZINJBBTFSFNQS-ZDUSSCGKSA-N 0 1 291.351 0.779 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnn(C)c2C)C1 ZINC001188870438 844987011 /nfs/dbraw/zinc/98/70/11/844987011.db2.gz RLYNHBPDZPNGNR-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)OCC)C1 ZINC001189023301 845025361 /nfs/dbraw/zinc/02/53/61/845025361.db2.gz UCXKHCNJORRCEK-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)CCCOC)C1 ZINC001189076394 845042481 /nfs/dbraw/zinc/04/24/81/845042481.db2.gz GVRCSSOZNYULKU-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccnn2C)C1 ZINC001189231913 845082298 /nfs/dbraw/zinc/08/22/98/845082298.db2.gz MVBTVWVEIQWRLT-LBPRGKRZSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCOC)C2 ZINC001110405659 845085795 /nfs/dbraw/zinc/08/57/95/845085795.db2.gz IGWLECOOJCTYRB-MCIONIFRSA-N 0 1 280.368 0.394 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)COC)C1 ZINC001189363041 845128137 /nfs/dbraw/zinc/12/81/37/845128137.db2.gz KQRSMKCUWRKPPW-UONOGXRCSA-N 0 1 282.384 0.451 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)Cc2ccon2)C1 ZINC001189470086 845137277 /nfs/dbraw/zinc/13/72/77/845137277.db2.gz BSZYXKNDNNNACZ-CQSZACIVSA-N 0 1 291.351 0.400 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCCO2)C1 ZINC001189650797 845189090 /nfs/dbraw/zinc/18/90/90/845189090.db2.gz FCWGBZBODLZLKF-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCOCCCOC)[C@@H]2C1 ZINC001189713658 845197487 /nfs/dbraw/zinc/19/74/87/845197487.db2.gz LQHOFHGYNOEBFT-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnc(N(C)C)nc1 ZINC001189856317 845261357 /nfs/dbraw/zinc/26/13/57/845261357.db2.gz GVISXVZGTNXQCQ-ZDUSSCGKSA-N 0 1 289.383 0.923 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(=O)N(C)C)C1 ZINC001189925459 845271015 /nfs/dbraw/zinc/27/10/15/845271015.db2.gz BROYJMUSUZBSLT-KBPBESRZSA-N 0 1 293.411 0.799 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2nnc(C)o2)C1 ZINC001189962606 845299083 /nfs/dbraw/zinc/29/90/83/845299083.db2.gz GGIJUSJUEHHTBI-LBPRGKRZSA-N 0 1 276.340 0.477 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2ncc(F)cc2F)cc[nH]1 ZINC001190141664 845329865 /nfs/dbraw/zinc/32/98/65/845329865.db2.gz RDGMEFBUPVOJMC-UHFFFAOYSA-N 0 1 295.270 0.959 20 30 CCEDMN N#CCCCNS(=O)(=O)c1ncc(F)cc1F ZINC001190207157 845367586 /nfs/dbraw/zinc/36/75/86/845367586.db2.gz HNEHZOHGIVNZJA-UHFFFAOYSA-N 0 1 261.253 0.942 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CNC(=O)c2ccoc2)C1 ZINC001190319629 845385937 /nfs/dbraw/zinc/38/59/37/845385937.db2.gz QIUWALYKWNKITE-ZDUSSCGKSA-N 0 1 289.335 0.175 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnn3ccncc23)C1 ZINC001190684945 845464495 /nfs/dbraw/zinc/46/44/95/845464495.db2.gz PDKMLFFFJXJAEA-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN N#Cc1cc(CNS(=O)(=O)c2ncc[nH]2)cs1 ZINC001190755643 845471736 /nfs/dbraw/zinc/47/17/36/845471736.db2.gz AISOCZUUCFCIDT-UHFFFAOYSA-N 0 1 268.323 0.821 20 30 CCEDMN CN(C)c1cncc(C(=O)Nc2nc[nH]c2C#N)n1 ZINC001190718881 845476045 /nfs/dbraw/zinc/47/60/45/845476045.db2.gz CSRHOSYFTWVTKV-UHFFFAOYSA-N 0 1 257.257 0.390 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCc2cncnc2)C1 ZINC001191351026 845628488 /nfs/dbraw/zinc/62/84/88/845628488.db2.gz DCAPYPZCKYUVFB-OAHLLOKOSA-N 0 1 286.379 0.965 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)COC2CCCC2)C1 ZINC001191369933 845641280 /nfs/dbraw/zinc/64/12/80/845641280.db2.gz XUDYFVWZVOHEOH-ZIAGYGMSSA-N 0 1 280.368 0.130 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)COC2CCCC2)C1 ZINC001191369933 845641284 /nfs/dbraw/zinc/64/12/84/845641284.db2.gz XUDYFVWZVOHEOH-ZIAGYGMSSA-N 0 1 280.368 0.130 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)CNC(=O)CCC)C1 ZINC001191466822 845667499 /nfs/dbraw/zinc/66/74/99/845667499.db2.gz TVXKUZXPJSNOOK-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCOC(C)C)C[C@H]1O ZINC001192375334 845829794 /nfs/dbraw/zinc/82/97/94/845829794.db2.gz YHNPXRUSDQQCGQ-HUUCEWRRSA-N 0 1 296.411 0.766 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1O ZINC001192529172 845873971 /nfs/dbraw/zinc/87/39/71/845873971.db2.gz BUWLVNLAIBHZOK-YRGRVCCFSA-N 0 1 268.357 0.051 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)OCCOC)C1 ZINC001192632341 845890093 /nfs/dbraw/zinc/89/00/93/845890093.db2.gz OYJXPJIZSNRUIX-CHWSQXEVSA-N 0 1 268.357 0.204 20 30 CCEDMN CSc1n[nH]c(NC(=O)c2ccc(C#N)o2)c1C(N)=O ZINC001192677118 845892217 /nfs/dbraw/zinc/89/22/17/845892217.db2.gz GZHUIPBFXJQJCO-UHFFFAOYSA-N 0 1 291.292 0.947 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC001192692565 845893811 /nfs/dbraw/zinc/89/38/11/845893811.db2.gz APQLIMGEQPDTAH-CHWSQXEVSA-N 0 1 293.371 0.039 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cncc(OC)n2)C1 ZINC001192696089 845894974 /nfs/dbraw/zinc/89/49/74/845894974.db2.gz LVCDKEGADUMFQC-LBPRGKRZSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)/C=C(\C)CC)C1 ZINC001192742849 845900082 /nfs/dbraw/zinc/90/00/82/845900082.db2.gz NMPBFXSDCMFJHE-NALFIARHSA-N 0 1 250.342 0.527 20 30 CCEDMN CC(C)=CCN1C[C@@H](O)[C@H](NC(=O)C#CC(C)C)C1 ZINC001192761717 845902548 /nfs/dbraw/zinc/90/25/48/845902548.db2.gz NKQORJBWDSMXEW-ZIAGYGMSSA-N 0 1 264.369 0.773 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCc2ncccn2)C1 ZINC001192960938 845935559 /nfs/dbraw/zinc/93/55/59/845935559.db2.gz VQFUSZRCQZBRIQ-AWEZNQCLSA-N 0 1 286.379 0.965 20 30 CCEDMN Cc1cn2cccc([N-]S(=O)(=O)CC#N)c2[nH+]1 ZINC001192934751 845940356 /nfs/dbraw/zinc/94/03/56/845940356.db2.gz DSRDSAVINLAWJQ-UHFFFAOYSA-N 0 1 250.283 0.908 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ncccn2)C1 ZINC001193008835 845944647 /nfs/dbraw/zinc/94/46/47/845944647.db2.gz SKLXWCDJNISHRP-GFCCVEGCSA-N 0 1 258.325 0.646 20 30 CCEDMN CSc1nc(NS(=O)(=O)[C@@H](C)C#N)cc(=O)[nH]1 ZINC001193104064 845978890 /nfs/dbraw/zinc/97/88/90/845978890.db2.gz FHBNURLELUIJSP-YFKPBYRVSA-N 0 1 274.327 0.558 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cncc(N2CCOCC2)c1 ZINC001193111458 845980709 /nfs/dbraw/zinc/98/07/09/845980709.db2.gz HYSOTHGDLCLZSO-SNVBAGLBSA-N 0 1 296.352 0.572 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C(=O)NCC2CC2)C1 ZINC001193136555 846001373 /nfs/dbraw/zinc/00/13/73/846001373.db2.gz XVJHPYFRIUTNKP-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN N#Cc1ccnc(NS(=O)(=O)C[C@@H]2CCCO2)c1 ZINC001193196339 846015051 /nfs/dbraw/zinc/01/50/51/846015051.db2.gz KLPPCMZQBDGMNG-JTQLQIEISA-N 0 1 267.310 0.874 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001193241607 846031819 /nfs/dbraw/zinc/03/18/19/846031819.db2.gz VBSCYHOPQMEMIB-CHWSQXEVSA-N 0 1 252.358 0.936 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCOCCCOC)C1 ZINC001193359108 846060947 /nfs/dbraw/zinc/06/09/47/846060947.db2.gz VWHXISPOCCSDDB-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCOC2CCOCC2)C1 ZINC001193383044 846067231 /nfs/dbraw/zinc/06/72/31/846067231.db2.gz OGWZLBSLESUJHM-CQSZACIVSA-N 0 1 294.395 0.738 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@@H](O)[C@@H](CO)C2)ccc1O ZINC001193507291 846101804 /nfs/dbraw/zinc/10/18/04/846101804.db2.gz VBUPGNCDBUDVER-DGCLKSJQSA-N 0 1 276.292 0.079 20 30 CCEDMN CCNC(=O)CN(C)C(=O)c1cccc(C#N)c1O ZINC001193635466 846131451 /nfs/dbraw/zinc/13/14/51/846131451.db2.gz VJENZJMMLLGOFS-UHFFFAOYSA-N 0 1 261.281 0.472 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCO[C@@H](C#N)C2)c1O ZINC001193646771 846138935 /nfs/dbraw/zinc/13/89/35/846138935.db2.gz NIXNNRIAFKHZDC-JTQLQIEISA-N 0 1 257.249 0.628 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCCCC(=O)NC)C1 ZINC001194388205 846284170 /nfs/dbraw/zinc/28/41/70/846284170.db2.gz NKLSXOSRAUOBGW-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCCC(N)=O)C1 ZINC001194484040 846308540 /nfs/dbraw/zinc/30/85/40/846308540.db2.gz LZRJIHZIBFIVNH-GFCCVEGCSA-N 0 1 265.357 0.198 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)NC(C)=O)CC1 ZINC001194739059 846364734 /nfs/dbraw/zinc/36/47/34/846364734.db2.gz IUQVBRYWCMONEG-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cnc(C(F)(F)F)[nH]1 ZINC001194779235 846382827 /nfs/dbraw/zinc/38/28/27/846382827.db2.gz SPGYOSQPJYYZEQ-ZCFIWIBFSA-N 0 1 274.202 0.793 20 30 CCEDMN C=CCOCC(=O)N1CCC[N@@H+](CCn2cccn2)CC1 ZINC001195244637 846483775 /nfs/dbraw/zinc/48/37/75/846483775.db2.gz DSJVSHKWMLFNQZ-UHFFFAOYSA-N 0 1 292.383 0.620 20 30 CCEDMN C=CCOCC(=O)N1CCCN(CCn2cccn2)CC1 ZINC001195244637 846483782 /nfs/dbraw/zinc/48/37/82/846483782.db2.gz DSJVSHKWMLFNQZ-UHFFFAOYSA-N 0 1 292.383 0.620 20 30 CCEDMN C=CCN1C(=O)C[C@]2(CCC[N@H+](CC(=O)NCC)C2)C1=O ZINC001273698608 846524774 /nfs/dbraw/zinc/52/47/74/846524774.db2.gz NRTACCGZZBAIMO-HNNXBMFYSA-N 0 1 293.367 0.150 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCC(=O)NC(C)C ZINC001115140419 846551284 /nfs/dbraw/zinc/55/12/84/846551284.db2.gz XNSTWZSOAJSRKJ-VIKVFOODSA-N 0 1 291.395 0.361 20 30 CCEDMN C=CCCS(=O)(=O)NCC(F)(F)C(=O)OC ZINC001195669239 846602184 /nfs/dbraw/zinc/60/21/84/846602184.db2.gz GTOIUHNINRWNRV-UHFFFAOYSA-N 0 1 257.258 0.290 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1O ZINC001195732785 846604303 /nfs/dbraw/zinc/60/43/03/846604303.db2.gz IWVSGJCZEXURJR-YNEHKIRRSA-N 0 1 270.373 0.539 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CCS(=O)(=O)C2)c(O)c1 ZINC001195757356 846610411 /nfs/dbraw/zinc/61/04/11/846610411.db2.gz AZDKFFDCITUQLI-SECBINFHSA-N 0 1 280.305 0.181 20 30 CCEDMN CC(=O)N1CC(NC(=O)c2ccc(C#N)cc2O)C1 ZINC001195764993 846613808 /nfs/dbraw/zinc/61/38/08/846613808.db2.gz VNBWSMYUZJFQJZ-UHFFFAOYSA-N 0 1 259.265 0.224 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](C(N)=O)C2)c(O)c1 ZINC001195742121 846619386 /nfs/dbraw/zinc/61/93/86/846619386.db2.gz YCDSJPPYVJXDHI-SECBINFHSA-N 0 1 259.265 0.211 20 30 CCEDMN COCCN1CCN(C(=O)c2ccc(C#N)cc2O)CC1 ZINC001195740363 846619502 /nfs/dbraw/zinc/61/95/02/846619502.db2.gz DHRZPOURFBEPAU-UHFFFAOYSA-N 0 1 289.335 0.668 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[N@H+]3CC[C@@H]3C2)c([O-])c1 ZINC001195750235 846620947 /nfs/dbraw/zinc/62/09/47/846620947.db2.gz GPLHDJDAXWSKQD-LLVKDONJSA-N 0 1 257.293 0.794 20 30 CCEDMN C#CCOCCC(=O)N1CCCN(CCOCC=C)CC1 ZINC001195832613 846635828 /nfs/dbraw/zinc/63/58/28/846635828.db2.gz NQHGPCQQGJEREB-UHFFFAOYSA-N 0 1 294.395 0.763 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@H]2O)CCC1 ZINC001195896921 846639360 /nfs/dbraw/zinc/63/93/60/846639360.db2.gz GGELABZNJCNTOT-YNEHKIRRSA-N 0 1 282.384 0.275 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1CCCN(CC#N)CC1 ZINC001196025978 846671078 /nfs/dbraw/zinc/67/10/78/846671078.db2.gz XWQLCDAUSFYJCH-ZDUSSCGKSA-N 0 1 284.379 0.724 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1cc(Cl)ncc1O ZINC001196401217 846744337 /nfs/dbraw/zinc/74/43/37/846744337.db2.gz WEUIOKGRGPESKJ-SSDOTTSWSA-N 0 1 283.671 0.626 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C(C)C)C1 ZINC001196560627 846775726 /nfs/dbraw/zinc/77/57/26/846775726.db2.gz UPEFGMIRKNSMJQ-BFHYXJOUSA-N 0 1 284.400 0.642 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C(C)C)C1 ZINC001196560575 846775955 /nfs/dbraw/zinc/77/59/55/846775955.db2.gz TXUIQZJCMBFNAO-JHJVBQTASA-N 0 1 252.358 0.463 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)n2cccn2)C1 ZINC001197619379 846936502 /nfs/dbraw/zinc/93/65/02/846936502.db2.gz FRILSFRQBDPDIH-MGPQQGTHSA-N 0 1 290.367 0.019 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](NC(N)=O)C(C)(C)C)CC1 ZINC001197823715 846988538 /nfs/dbraw/zinc/98/85/38/846988538.db2.gz FMRZAQCEZIHPDD-LBPRGKRZSA-N 0 1 296.415 0.790 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](NC(N)=O)C(C)(C)C)CC1 ZINC001197823714 846989288 /nfs/dbraw/zinc/98/92/88/846989288.db2.gz FMRZAQCEZIHPDD-GFCCVEGCSA-N 0 1 296.415 0.790 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)Cn2ccnc2)CC1 ZINC001198368154 847096823 /nfs/dbraw/zinc/09/68/23/847096823.db2.gz PIJRESOSPWTSNO-UHFFFAOYSA-N 0 1 292.383 0.620 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2cnc(C)n2C)cc[nH]1 ZINC001198353999 847102020 /nfs/dbraw/zinc/10/20/20/847102020.db2.gz HIIYXMIYGZYPJH-UHFFFAOYSA-N 0 1 276.321 0.328 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CCNC[C@@H]1C#N ZINC001199350937 847294082 /nfs/dbraw/zinc/29/40/82/847294082.db2.gz YUWHOHUCFAZMQJ-JTQLQIEISA-N 0 1 259.313 0.085 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)COC)CCCO1 ZINC001199589532 847362427 /nfs/dbraw/zinc/36/24/27/847362427.db2.gz AXQQUJPFCFHHEK-NSHDSACASA-N 0 1 276.764 0.592 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)CCOC)CCCO1 ZINC001199652043 847382919 /nfs/dbraw/zinc/38/29/19/847382919.db2.gz CEMXYFAVMLHBQL-GFCCVEGCSA-N 0 1 290.791 0.983 20 30 CCEDMN C=CCCC(=O)N1CCC(NCc2cnn(C)n2)CC1 ZINC001199726165 847410431 /nfs/dbraw/zinc/41/04/31/847410431.db2.gz RSAJAXBGUXUVLU-UHFFFAOYSA-N 0 1 277.372 0.862 20 30 CCEDMN C=CCN1CC[C@]2(CCN(Cc3nc(C)no3)C2)C1=O ZINC001273815824 847566440 /nfs/dbraw/zinc/56/64/40/847566440.db2.gz CLFKRYOEDJTABF-AWEZNQCLSA-N 0 1 276.340 0.988 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2C[C@@H](C)O ZINC001110551296 847586418 /nfs/dbraw/zinc/58/64/18/847586418.db2.gz SZIXOBKFVVMOKZ-SYQHCUMBSA-N 0 1 280.368 0.129 20 30 CCEDMN C=CCNC(=S)NCC1CCN(C2COC2)CC1 ZINC001200304211 847602381 /nfs/dbraw/zinc/60/23/81/847602381.db2.gz SWZOETIPHXFGQS-UHFFFAOYSA-N 0 1 269.414 0.747 20 30 CCEDMN COCC#CC[N@H+]1CC[C@]2(C1)CCCN(C(=O)COC)C2 ZINC001273824239 847604176 /nfs/dbraw/zinc/60/41/76/847604176.db2.gz GZCOUHJTILPGOA-INIZCTEOSA-N 0 1 294.395 0.597 20 30 CCEDMN C#CCCCC(=O)N1CCC(NCc2cn(C)nn2)CC1 ZINC001200325457 847605965 /nfs/dbraw/zinc/60/59/65/847605965.db2.gz XKUAFPODMXOPAT-UHFFFAOYSA-N 0 1 289.383 0.699 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1cccc(OC)n1 ZINC001153135678 847930991 /nfs/dbraw/zinc/93/09/91/847930991.db2.gz XUKGEBDSGXSJKI-GFCCVEGCSA-N 0 1 293.367 0.887 20 30 CCEDMN C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C(C)C)[NH+](C)C)C2 ZINC001110692998 847970170 /nfs/dbraw/zinc/97/01/70/847970170.db2.gz ZUCOMXSCMIJLLR-KBXIAJHMSA-N 0 1 277.412 0.927 20 30 CCEDMN C=CCNC(=O)N1CCCC(O)=C1C(=O)OCC ZINC001202566132 848178224 /nfs/dbraw/zinc/17/82/24/848178224.db2.gz KOEOHRVOHUDDNN-JTQLQIEISA-N 0 1 254.286 0.479 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001213315058 848298980 /nfs/dbraw/zinc/29/89/80/848298980.db2.gz WSCSANRRJQYZFZ-JHJVBQTASA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC/C=C/CNCc1ccns1 ZINC001274024387 848308600 /nfs/dbraw/zinc/30/86/00/848308600.db2.gz HPBCLMUXMCCTQY-ITKZLYELSA-N 0 1 293.392 0.943 20 30 CCEDMN COCCOCN1CC[C@@]2(CCCN2CCCC#N)C1=O ZINC001274038568 848315878 /nfs/dbraw/zinc/31/58/78/848315878.db2.gz LZEFHZRVWYWNPV-HNNXBMFYSA-N 0 1 295.383 0.978 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)c1cc(C#N)ccn1)C2 ZINC001274210162 848375310 /nfs/dbraw/zinc/37/53/10/848375310.db2.gz SSHRABAXUFZXRA-NEPJUHHUSA-N 0 1 256.309 0.729 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nnc(C)o1)C2 ZINC001095383600 848381628 /nfs/dbraw/zinc/38/16/28/848381628.db2.gz MDIIBGVJFHQGPS-WOPDTQHZSA-N 0 1 276.340 0.828 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnnn1CC)C2 ZINC001095385784 848382479 /nfs/dbraw/zinc/38/24/79/848382479.db2.gz UAEXXNFUZDOKAR-WOPDTQHZSA-N 0 1 275.356 0.819 20 30 CCEDMN C=CCN1C(=O)COCC12CN(Cc1cnc(C)[nH]1)C2 ZINC001274577150 848511122 /nfs/dbraw/zinc/51/11/22/848511122.db2.gz WAMGVTJIGBXILI-UHFFFAOYSA-N 0 1 276.340 0.317 20 30 CCEDMN C=C(C)CN1CC[C@]2(CCCN2C[C@H](O)C(=O)OC)C1=O ZINC001274605464 848519389 /nfs/dbraw/zinc/51/93/89/848519389.db2.gz LQCCHQJZZPHTED-SWLSCSKDSA-N 0 1 296.367 0.163 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(c3ncnc4[nH]cnc43)C2)C1=O ZINC001274672411 848538199 /nfs/dbraw/zinc/53/81/99/848538199.db2.gz AXLOAAQEYFBAOX-HNNXBMFYSA-N 0 1 296.334 0.415 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2c2cncn2C)C1=O ZINC001274758448 848560866 /nfs/dbraw/zinc/56/08/66/848560866.db2.gz IUBXRJGUKPPEGD-CQSZACIVSA-N 0 1 258.325 0.625 20 30 CCEDMN C[C@@H](CCNC(=O)C(C)(C)c1cnc[nH]1)NCC#N ZINC001274815631 848570989 /nfs/dbraw/zinc/57/09/89/848570989.db2.gz HTBDFECEUJJGGZ-JTQLQIEISA-N 0 1 263.345 0.695 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@H]2CC2(C)C)CC1 ZINC001274903173 848585416 /nfs/dbraw/zinc/58/54/16/848585416.db2.gz BEQQGQPKPIVMEA-CYBMUJFWSA-N 0 1 278.396 0.999 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001274891326 848585830 /nfs/dbraw/zinc/58/58/30/848585830.db2.gz BTMGQSDKMDSXAG-VXGBXAGGSA-N 0 1 252.358 0.772 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2cccn2C)CC1 ZINC001274891387 848585965 /nfs/dbraw/zinc/58/59/65/848585965.db2.gz FIVUAZGOPYLBKW-UHFFFAOYSA-N 0 1 289.379 0.605 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2nn(C)cc2C)CC1 ZINC001274910486 848590376 /nfs/dbraw/zinc/59/03/76/848590376.db2.gz MIHHEMHKUNGPND-UHFFFAOYSA-N 0 1 292.383 0.471 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H](C)[C@H]2CCCO2)CC1 ZINC001274930141 848595875 /nfs/dbraw/zinc/59/58/75/848595875.db2.gz SBUQULYLFHBEDJ-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N[C@@H](C)CNCC#N ZINC001275173266 848656858 /nfs/dbraw/zinc/65/68/58/848656858.db2.gz CYKLBJWRULHPRC-WDEREUQCSA-N 0 1 258.341 0.284 20 30 CCEDMN Cc1nc(CN(C)[C@@H](C)CNC(=O)CSCC#N)n[nH]1 ZINC001275774318 848817825 /nfs/dbraw/zinc/81/78/25/848817825.db2.gz RLWDWMFWUFBLOB-VIFPVBQESA-N 0 1 296.400 0.306 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)N(C)[C@H](CC)C(N)=O ZINC001275782155 848821979 /nfs/dbraw/zinc/82/19/79/848821979.db2.gz OESDBAFYPJSBOL-GHMZBOCLSA-N 0 1 255.362 0.653 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cnc2n1CCC2 ZINC001275851901 848847314 /nfs/dbraw/zinc/84/73/14/848847314.db2.gz ZTPJJHXSZUCYCZ-GFCCVEGCSA-N 0 1 274.368 0.903 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)N(C)[C@H]1CCNC1=O ZINC001275896621 848859269 /nfs/dbraw/zinc/85/92/69/848859269.db2.gz CCWYWLWFFZTMFQ-RYUDHWBXSA-N 0 1 281.400 0.914 20 30 CCEDMN C=CCC[C@@H](C)N1CC(CCO)(NC(=O)[C@H](O)C(C)C)C1 ZINC001276043439 848908674 /nfs/dbraw/zinc/90/86/74/848908674.db2.gz CXMSCLKDVXYMBA-ZIAGYGMSSA-N 0 1 298.427 0.911 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN(C)c1ncnc2[nH]cnc21 ZINC001095507207 848965595 /nfs/dbraw/zinc/96/55/95/848965595.db2.gz LGPKFDUFEJTQRL-VIFPVBQESA-N 0 1 287.327 0.455 20 30 CCEDMN CN(CCCNCC#N)C(=O)c1cc(C2CC2)[nH]n1 ZINC001276239097 848970658 /nfs/dbraw/zinc/97/06/58/848970658.db2.gz OXURPRAUZDQZQX-UHFFFAOYSA-N 0 1 261.329 0.862 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCC(=O)NC1)C2 ZINC001095522801 848972706 /nfs/dbraw/zinc/97/27/06/848972706.db2.gz LVCVTMRYWBFUAC-CRWXNKLISA-N 0 1 291.395 0.810 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]c(=O)[nH]c1C)C2 ZINC001095580436 848992590 /nfs/dbraw/zinc/99/25/90/848992590.db2.gz WSGJMDSXIVMDMY-WOPDTQHZSA-N 0 1 288.351 0.782 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001095635896 849001009 /nfs/dbraw/zinc/00/10/09/849001009.db2.gz ZJFZLPYLHZAEAY-RDBSUJKOSA-N 0 1 287.363 0.906 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001095635896 849001014 /nfs/dbraw/zinc/00/10/14/849001014.db2.gz ZJFZLPYLHZAEAY-RDBSUJKOSA-N 0 1 287.363 0.906 20 30 CCEDMN CCOCC(=O)N[C@]12CCC[C@H]1CN(CC#CCOC)C2 ZINC001111574734 849036244 /nfs/dbraw/zinc/03/62/44/849036244.db2.gz QGUFRLXDRGBNSU-HOCLYGCPSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)NC(C)=O)C2 ZINC001111050501 849056316 /nfs/dbraw/zinc/05/63/16/849056316.db2.gz PHMFKFTZEDMQHE-SYQHCUMBSA-N 0 1 279.384 0.809 20 30 CCEDMN CC(=O)NCCN1CCC(Nc2ccncc2C#N)CC1 ZINC001111309327 849162057 /nfs/dbraw/zinc/16/20/57/849162057.db2.gz KKSIXOKDEPHQGS-UHFFFAOYSA-N 0 1 287.367 0.388 20 30 CCEDMN CN1CCN(C[C@@H](O)c2ccc(C#N)cc2)CCC1=O ZINC000688929230 849207927 /nfs/dbraw/zinc/20/79/27/849207927.db2.gz OWRVKHNFTTVALB-CQSZACIVSA-N 0 1 273.336 0.756 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114684024 849362822 /nfs/dbraw/zinc/36/28/22/849362822.db2.gz ZHPYAVRYDSZKNK-PJXYFTJBSA-N 0 1 279.384 0.333 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114718084 849378954 /nfs/dbraw/zinc/37/89/54/849378954.db2.gz HLZMOIPFOCRVPT-VIKVFOODSA-N 0 1 291.395 0.315 20 30 CCEDMN C=C[C@H](O)CN1CCCN(C(=O)c2ccco2)CC1 ZINC001253583846 849556963 /nfs/dbraw/zinc/55/69/63/849556963.db2.gz IOKRNWXRZGJNAI-LBPRGKRZSA-N 0 1 264.325 0.974 20 30 CCEDMN CC[C@H]1CN(C(=O)c2[nH]nnc2C)CC[C@H]1NCC#N ZINC001037890476 849601565 /nfs/dbraw/zinc/60/15/65/849601565.db2.gz JDPSNNUMUGRKJW-WDEREUQCSA-N 0 1 276.344 0.467 20 30 CCEDMN C=C[C@H](O)CNCc1ccnc(OC2CCOCC2)n1 ZINC001253610967 849658833 /nfs/dbraw/zinc/65/88/33/849658833.db2.gz ZREZTHULXAWNFI-LBPRGKRZSA-N 0 1 279.340 0.671 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)c3cc(C)n[nH]3)C2)C1 ZINC001041111789 849762026 /nfs/dbraw/zinc/76/20/26/849762026.db2.gz QWWQFCATKWDPIS-OAHLLOKOSA-N 0 1 272.352 0.889 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038162831 849803028 /nfs/dbraw/zinc/80/30/28/849803028.db2.gz YUXYPYPLKDDBER-SNVBAGLBSA-N 0 1 264.329 0.804 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038161146 849803902 /nfs/dbraw/zinc/80/39/02/849803902.db2.gz LDGNWHUXEDCEMI-SNVBAGLBSA-N 0 1 264.329 0.804 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038161146 849803910 /nfs/dbraw/zinc/80/39/10/849803910.db2.gz LDGNWHUXEDCEMI-SNVBAGLBSA-N 0 1 264.329 0.804 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001038328913 849854419 /nfs/dbraw/zinc/85/44/19/849854419.db2.gz QLYLJFNJELRQJA-SNVBAGLBSA-N 0 1 286.339 0.733 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cnc2n[nH]nc2c1 ZINC001038352954 849863661 /nfs/dbraw/zinc/86/36/61/849863661.db2.gz PWXVJDIVDKUVAC-NSHDSACASA-N 0 1 286.339 0.733 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cncc2ncn(C)c21 ZINC001038368374 849868239 /nfs/dbraw/zinc/86/82/39/849868239.db2.gz ONUUBRQDWBAIMR-GFCCVEGCSA-N 0 1 297.362 0.796 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@H]1CCN1CC#N ZINC001038417220 849887287 /nfs/dbraw/zinc/88/72/87/849887287.db2.gz NPVRHNIQBLHNEP-VXGBXAGGSA-N 0 1 250.346 0.185 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(OC)n(C)n1 ZINC001038507567 849927823 /nfs/dbraw/zinc/92/78/23/849927823.db2.gz QMCRATOCFRDOKH-LLVKDONJSA-N 0 1 278.356 0.809 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001038536164 849934680 /nfs/dbraw/zinc/93/46/80/849934680.db2.gz JCWLXVVPUDEKRF-JEWKUQAESA-N 0 1 274.364 0.624 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@H]2CCN2C[C@@H](C)O)c1 ZINC001038679203 849978850 /nfs/dbraw/zinc/97/88/50/849978850.db2.gz AKOVYUMOYDJYLX-BXUZGUMPSA-N 0 1 273.336 0.248 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CCN1CCCO ZINC001038795710 850019947 /nfs/dbraw/zinc/01/99/47/850019947.db2.gz ASCXJZXQIAGBMK-RDBSUJKOSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001038896270 850072963 /nfs/dbraw/zinc/07/29/63/850072963.db2.gz ZWPJJLIAKSCVKU-RYUDHWBXSA-N 0 1 274.368 0.891 20 30 CCEDMN C=CCN1CC[C@@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001038896271 850074904 /nfs/dbraw/zinc/07/49/04/850074904.db2.gz ZWPJJLIAKSCVKU-VXGBXAGGSA-N 0 1 274.368 0.891 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCc2n[nH]nc2C1 ZINC001038918184 850086140 /nfs/dbraw/zinc/08/61/40/850086140.db2.gz WCWCIBYITJWCBN-NEPJUHHUSA-N 0 1 289.383 0.676 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1[nH]cnc1CC ZINC001038969847 850104577 /nfs/dbraw/zinc/10/45/77/850104577.db2.gz NXMRCGRDXLGECC-NSHDSACASA-N 0 1 260.341 0.800 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001038971430 850104985 /nfs/dbraw/zinc/10/49/85/850104985.db2.gz PFDSXTQWSHGTMJ-UONOGXRCSA-N 0 1 279.384 0.621 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1n[nH]c2ccccc21 ZINC001038977790 850108995 /nfs/dbraw/zinc/10/89/95/850108995.db2.gz BLHDIZWBSIOPGG-JTQLQIEISA-N 0 1 269.308 0.891 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001039048354 850135966 /nfs/dbraw/zinc/13/59/66/850135966.db2.gz SFCFEGOVSKTVGU-JSGCOSHPSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)n1cncn1 ZINC001039359358 850179300 /nfs/dbraw/zinc/17/93/00/850179300.db2.gz MEMXZIALVYBIOY-MCIONIFRSA-N 0 1 287.367 0.538 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001039382694 850183107 /nfs/dbraw/zinc/18/31/07/850183107.db2.gz CJTTXQPKOPQSKN-MCIONIFRSA-N 0 1 291.395 0.716 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(=O)n1C ZINC001039432605 850191105 /nfs/dbraw/zinc/19/11/05/850191105.db2.gz FVDYSODWRJKFFQ-KGLIPLIRSA-N 0 1 299.374 0.697 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)c3cc(C)ncn3)C2)C1 ZINC001041219211 850405602 /nfs/dbraw/zinc/40/56/02/850405602.db2.gz MWDMVTNRWUVDOH-INIZCTEOSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)c3c[nH]c(C)cc3=O)C2)C1 ZINC001041771071 850500266 /nfs/dbraw/zinc/50/02/66/850500266.db2.gz LMIRTDVEPVMODJ-QGZVFWFLSA-N 0 1 299.374 0.855 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc[n+]([O-])cc3)C[C@H]21 ZINC001041918715 850528465 /nfs/dbraw/zinc/52/84/65/850528465.db2.gz CIGSCOGEVSHUAL-UKRRQHHQSA-N 0 1 285.347 0.490 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cnn(C)c3)C[C@@H]21 ZINC001041927427 850530947 /nfs/dbraw/zinc/53/09/47/850530947.db2.gz HYROHIJYLPLJND-HIFRSBDPSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3c(C)cnn3C)C[C@@H]21 ZINC001042190475 850593151 /nfs/dbraw/zinc/59/31/51/850593151.db2.gz NCLPRTPILJIQRF-KBPBESRZSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cn3ccc(C)n3)C[C@@H]21 ZINC001042241255 850603050 /nfs/dbraw/zinc/60/30/50/850603050.db2.gz VJMRHQYTLRVMLG-CABCVRRESA-N 0 1 286.379 0.748 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3nc(C)c[nH]3)C[C@H]21 ZINC001042262407 850604007 /nfs/dbraw/zinc/60/40/07/850604007.db2.gz KMBMAMSYDSGIAB-CHWSQXEVSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cccnn3)C[C@@H]21 ZINC001042284329 850609569 /nfs/dbraw/zinc/60/95/69/850609569.db2.gz UYYPAZABZPVRMF-JSGCOSHPSA-N 0 1 270.336 0.646 20 30 CCEDMN C=C(Cl)CN1CC(N(C)C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001042705432 850741295 /nfs/dbraw/zinc/74/12/95/850741295.db2.gz QOXIUEHEQLKTIO-NXEZZACHSA-N 0 1 273.720 0.547 20 30 CCEDMN CN(C(=O)c1c[nH]cc2ncnc1-2)C1CN(CC#N)C1 ZINC001042753323 850752042 /nfs/dbraw/zinc/75/20/42/850752042.db2.gz JIYIRLVUSSQYCW-UHFFFAOYSA-N 0 1 270.296 0.238 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC001043387219 850866458 /nfs/dbraw/zinc/86/64/58/850866458.db2.gz WLIMDDHKNKRJHZ-IAQYHMDHSA-N 0 1 286.379 0.851 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC001045455672 851258212 /nfs/dbraw/zinc/25/82/12/851258212.db2.gz KRBZBUFFERRJEN-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)CC1 ZINC001045553732 851279931 /nfs/dbraw/zinc/27/99/31/851279931.db2.gz BZZXYOVIFKJPGN-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001096035477 851281258 /nfs/dbraw/zinc/28/12/58/851281258.db2.gz AOKYYQVNYWEZBY-DGTMBMJNSA-N 0 1 280.368 0.291 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cccc(=O)[nH]2)C1 ZINC001046228196 851429546 /nfs/dbraw/zinc/42/95/46/851429546.db2.gz DCGYPSZKGVTEBR-CQSZACIVSA-N 0 1 259.309 0.615 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccnc3ccnn32)C1 ZINC001046369059 851479237 /nfs/dbraw/zinc/47/92/37/851479237.db2.gz ODTOTZMJAMNMQD-INIZCTEOSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001046512306 851528776 /nfs/dbraw/zinc/52/87/76/851528776.db2.gz CZUNCRQMAGRWBD-CJNGLKHVSA-N 0 1 291.395 0.507 20 30 CCEDMN C[C@]1(NC(=O)[C@H]2CCCc3[nH]cnc32)CCN(CC#N)C1 ZINC001046612589 851565269 /nfs/dbraw/zinc/56/52/69/851565269.db2.gz RMVZYNXEIAPBFH-NHYWBVRUSA-N 0 1 287.367 0.934 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001046773581 851608987 /nfs/dbraw/zinc/60/89/87/851608987.db2.gz HDWHCXCOYSWGEG-HNNXBMFYSA-N 0 1 289.383 0.923 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCCN(c2ncnc3[nH]cnc32)C1 ZINC001096149855 851661642 /nfs/dbraw/zinc/66/16/42/851661642.db2.gz FMKYWBUDKZNIBZ-UWVGGRQHSA-N 0 1 299.338 0.598 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCC(=O)N1)C2 ZINC001096151931 851664837 /nfs/dbraw/zinc/66/48/37/851664837.db2.gz JDSSSJHGMKXMMC-RNJOBUHISA-N 0 1 277.368 0.563 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cc(C)on2)C1 ZINC001047327141 851719895 /nfs/dbraw/zinc/71/98/95/851719895.db2.gz FLSRODOHZKOCQS-KBPBESRZSA-N 0 1 291.351 0.052 20 30 CCEDMN C=CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001047350999 851732301 /nfs/dbraw/zinc/73/23/01/851732301.db2.gz UGBJGMJYLFPYFI-QWRGUYRKSA-N 0 1 294.355 0.117 20 30 CCEDMN C=CCC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001047350999 851732305 /nfs/dbraw/zinc/73/23/05/851732305.db2.gz UGBJGMJYLFPYFI-QWRGUYRKSA-N 0 1 294.355 0.117 20 30 CCEDMN C=CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001047350999 851732311 /nfs/dbraw/zinc/73/23/11/851732311.db2.gz UGBJGMJYLFPYFI-QWRGUYRKSA-N 0 1 294.355 0.117 20 30 CCEDMN C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)C[NH+]2CCC[C@H]2C)C1 ZINC001047527279 851802570 /nfs/dbraw/zinc/80/25/70/851802570.db2.gz AUBQAFJIJXMQDD-RDBSUJKOSA-N 0 1 281.400 0.160 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cncn2C)C1 ZINC001047598127 851830783 /nfs/dbraw/zinc/83/07/83/851830783.db2.gz WLAGDLWDMUMHAX-KBPBESRZSA-N 0 1 292.383 0.042 20 30 CCEDMN C#CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C#C)nc2)C1 ZINC001047599321 851830926 /nfs/dbraw/zinc/83/09/26/851830926.db2.gz REKDHVQOWNYMCI-HOTGVXAUSA-N 0 1 297.358 0.203 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C#C)nc2)C1 ZINC001047599321 851830930 /nfs/dbraw/zinc/83/09/30/851830930.db2.gz REKDHVQOWNYMCI-HOTGVXAUSA-N 0 1 297.358 0.203 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2CN(C(=O)c3cnc[nH]c3=O)C[C@H]2C1 ZINC001048884160 852096632 /nfs/dbraw/zinc/09/66/32/852096632.db2.gz FVELNEAYIGESHY-TXEJJXNPSA-N 0 1 286.335 0.209 20 30 CCEDMN COC(=O)C(F)(F)CNS(=O)(=O)CCCCC#N ZINC001309922401 908479478 /nfs/dbraw/zinc/47/94/78/908479478.db2.gz FSAPYVPFXPEKNB-UHFFFAOYSA-N 0 1 284.284 0.408 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1COCCO1 ZINC001049346424 852239118 /nfs/dbraw/zinc/23/91/18/852239118.db2.gz LRDYHLMGVICEHW-RRFJBIMHSA-N 0 1 292.379 0.490 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCOC1 ZINC001049360417 852241751 /nfs/dbraw/zinc/24/17/51/852241751.db2.gz OLQCLVLGJBLJGV-BFHYXJOUSA-N 0 1 262.353 0.721 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cn(C)nn1 ZINC001049462037 852287341 /nfs/dbraw/zinc/28/73/41/852287341.db2.gz NDMAMXBTMTZBRD-ZIAGYGMSSA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@]12C[C@H]1COC2 ZINC001049487632 852294733 /nfs/dbraw/zinc/29/47/33/852294733.db2.gz VWRURRJXGLLTMM-FQLMCAECSA-N 0 1 274.364 0.721 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1cnoc1 ZINC001049665172 852339601 /nfs/dbraw/zinc/33/96/01/852339601.db2.gz UICDIYWFAIOCCV-KBPBESRZSA-N 0 1 273.336 0.916 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)[C@@H]3COCCO3)[C@@H]2C1 ZINC001049983945 852413960 /nfs/dbraw/zinc/41/39/60/852413960.db2.gz RDQZGETXCUNLDG-ZNMIVQPWSA-N 0 1 292.379 0.348 20 30 CCEDMN N#Cc1cnccc1N[C@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001096854078 852458238 /nfs/dbraw/zinc/45/82/38/852458238.db2.gz SAOKSMPDZHXDGG-GFCCVEGCSA-N 0 1 297.322 0.114 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN1CCOCC1)C2 ZINC001096969472 852473791 /nfs/dbraw/zinc/47/37/91/852473791.db2.gz LGNIIESFZITSIN-MCIONIFRSA-N 0 1 279.384 0.226 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1COCCN1C)C2 ZINC001096980574 852483945 /nfs/dbraw/zinc/48/39/45/852483945.db2.gz BUNFLGHMVNPJMO-KBXIAJHMSA-N 0 1 291.395 0.062 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(=O)n(C)o1)C2 ZINC001097007733 852485709 /nfs/dbraw/zinc/48/57/09/852485709.db2.gz QYQVIIKBCZAAJY-MXWKQRLJSA-N 0 1 277.324 0.499 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCC(=O)NC1)C2 ZINC001097205006 852514174 /nfs/dbraw/zinc/51/41/74/852514174.db2.gz ONAPKMXQHSYSBF-DGAVXFQQSA-N 0 1 289.379 0.257 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cn(C)nc1C)C2 ZINC001097231300 852516170 /nfs/dbraw/zinc/51/61/70/852516170.db2.gz ACUSDSXOVCAIGG-KFWWJZLASA-N 0 1 286.379 0.626 20 30 CCEDMN Cc1cc(CC(=O)NC[C@@H](C)Nc2cncc(C#N)n2)[nH]n1 ZINC001097739815 852596786 /nfs/dbraw/zinc/59/67/86/852596786.db2.gz XQRKFTPOQRJDDK-SNVBAGLBSA-N 0 1 299.338 0.539 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001052878950 852667687 /nfs/dbraw/zinc/66/76/87/852667687.db2.gz MKCRLVZTBGHYDP-NEPJUHHUSA-N 0 1 299.802 0.988 20 30 CCEDMN C=C(C)CN1CC2(C1)C[C@H](NC(=O)[C@@H]1CCCN1C)CO2 ZINC001053755304 852805596 /nfs/dbraw/zinc/80/55/96/852805596.db2.gz BSRUTGCYIZFBLH-KBPBESRZSA-N 0 1 293.411 0.616 20 30 CCEDMN C[C@H]1CN(C(=O)C#CC2CC2)C[C@H]1NCc1nccn1C ZINC001054640502 852986265 /nfs/dbraw/zinc/98/62/65/852986265.db2.gz ZCFXINNECZWGNO-GXTWGEPZSA-N 0 1 286.379 0.770 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cn2nccn2)C[C@@H]1C ZINC001054700004 852998940 /nfs/dbraw/zinc/99/89/40/852998940.db2.gz XNPUEMVCXICNIV-GXSJLCMTSA-N 0 1 283.763 0.467 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn3cncc3c2)C[C@@H]1NCC#N ZINC001054862627 853026954 /nfs/dbraw/zinc/02/69/54/853026954.db2.gz HNQMXWVFYDUTNQ-RISCZKNCSA-N 0 1 283.335 0.908 20 30 CCEDMN CCC(=O)NCCN1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001055473171 853074767 /nfs/dbraw/zinc/07/47/67/853074767.db2.gz MZFPUEGIFKYBJD-NSHDSACASA-N 0 1 280.372 0.253 20 30 CCEDMN N#Cc1cnccc1N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001056558003 853170381 /nfs/dbraw/zinc/17/03/81/853170381.db2.gz JSDGIPOUSAQKFK-LLVKDONJSA-N 0 1 282.307 0.425 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3ccn(C)n3)[C@@H]2C1 ZINC001050203415 853334877 /nfs/dbraw/zinc/33/48/77/853334877.db2.gz CQODDYFUFKPDKU-DZGCQCFKSA-N 0 1 286.379 0.980 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3nonc3C)[C@@H]2C1 ZINC001050213644 853337733 /nfs/dbraw/zinc/33/77/33/853337733.db2.gz HKERDVAYAXWIRE-QWHCGFSZSA-N 0 1 288.351 0.938 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]([C@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001050259706 853347021 /nfs/dbraw/zinc/34/70/21/853347021.db2.gz LGFDCBGXYFOZLI-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCN1CCOC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001050964250 853495155 /nfs/dbraw/zinc/49/51/55/853495155.db2.gz YFFIVDVYYRNDHH-JHJVBQTASA-N 0 1 290.367 0.516 20 30 CCEDMN C=CCN1CCOC[C@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001050997544 853504970 /nfs/dbraw/zinc/50/49/70/853504970.db2.gz CBKYIXMFVISKNQ-LLVKDONJSA-N 0 1 290.367 0.515 20 30 CCEDMN Cc1ncc(CNC[C@H]2CN(C(=O)[C@@H](C)C#N)CCO2)o1 ZINC001051495229 853596425 /nfs/dbraw/zinc/59/64/25/853596425.db2.gz SLYHYHBIQGXXHJ-GWCFXTLKSA-N 0 1 292.339 0.460 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)C(F)F)C2)CC1 ZINC001051967493 853666410 /nfs/dbraw/zinc/66/64/10/853666410.db2.gz UGXCPWGHVVDROE-LBPRGKRZSA-N 0 1 285.338 0.493 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001051997524 853671570 /nfs/dbraw/zinc/67/15/70/853671570.db2.gz CTZSLTSBKYJVTH-GJZGRUSLSA-N 0 1 288.395 0.388 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H]2CCC(=O)N2)CC1 ZINC001052255797 853716231 /nfs/dbraw/zinc/71/62/31/853716231.db2.gz MKCRLVZTBGHYDP-VXGBXAGGSA-N 0 1 299.802 0.988 20 30 CCEDMN N#Cc1cccnc1N1CC[C@@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001058441841 853847123 /nfs/dbraw/zinc/84/71/23/853847123.db2.gz MXBIXZNXVFZPNA-LLVKDONJSA-N 0 1 297.322 0.009 20 30 CCEDMN C[C@@H](CNC(=O)Cc1nnc[nH]1)Nc1ccc(C#N)nc1 ZINC001098056146 853877876 /nfs/dbraw/zinc/87/78/76/853877876.db2.gz FRMDDDCOQBCXMH-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN N#Cc1nccnc1NC[C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001068511063 853902949 /nfs/dbraw/zinc/90/29/49/853902949.db2.gz QPCYOXQDHGYGLF-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1nccnc1NC[C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001068511063 853902951 /nfs/dbraw/zinc/90/29/51/853902951.db2.gz QPCYOXQDHGYGLF-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2=CCCC2)C[C@@H]1n1ccnn1 ZINC001070175416 854033144 /nfs/dbraw/zinc/03/31/44/854033144.db2.gz GMORADRRAMQYJO-KGLIPLIRSA-N 0 1 285.351 0.363 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H](F)CC)C[C@@H]1n1ccnn1 ZINC001070250665 854037174 /nfs/dbraw/zinc/03/71/74/854037174.db2.gz SPRDTONJBLAESI-TUAOUCFPSA-N 0 1 279.319 0.001 20 30 CCEDMN CC(=O)NCc1nnc([C@@H]2CN(CC#N)C[C@H]2C2CC2)[nH]1 ZINC001070351082 854046966 /nfs/dbraw/zinc/04/69/66/854046966.db2.gz GJVMEAGYCZKIHB-NWDGAFQWSA-N 0 1 288.355 0.390 20 30 CCEDMN CC(=O)NCc1n[nH]c([C@@H]2CN(CC#N)C[C@H]2C2CC2)n1 ZINC001070351082 854046969 /nfs/dbraw/zinc/04/69/69/854046969.db2.gz GJVMEAGYCZKIHB-NWDGAFQWSA-N 0 1 288.355 0.390 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H]2C[C@H]2C)C[C@H]1c1cn(C)cn1 ZINC001070521559 854070949 /nfs/dbraw/zinc/07/09/49/854070949.db2.gz MCUHXHNMSFSRAT-GUIRCDHDSA-N 0 1 286.379 0.593 20 30 CCEDMN C=CCCN1CCNC(=O)CCN(C(=O)CC)CC1 ZINC001070925562 854101313 /nfs/dbraw/zinc/10/13/13/854101313.db2.gz SNWLNNNVKOFNHZ-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)C1CC1)C[C@H](C)O2 ZINC001071115736 854123552 /nfs/dbraw/zinc/12/35/52/854123552.db2.gz CQYNKQRNJSJDOB-SWLSCSKDSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2=COCCO2)CC[C@H]1C ZINC001071317870 854156445 /nfs/dbraw/zinc/15/64/45/854156445.db2.gz ZTVUMVVHQCTMFW-VXGBXAGGSA-N 0 1 264.325 0.477 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@H]1C ZINC001071333190 854159354 /nfs/dbraw/zinc/15/93/54/854159354.db2.gz YZZPRFVORLXZTC-NEPJUHHUSA-N 0 1 288.351 0.788 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)Cc2ccon2)CC[C@@H]1C ZINC001071380776 854171903 /nfs/dbraw/zinc/17/19/03/854171903.db2.gz CVVXKLOMBANISD-WCQYABFASA-N 0 1 261.325 0.819 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ccon2)CC[C@@H]1C ZINC001071380776 854171908 /nfs/dbraw/zinc/17/19/08/854171908.db2.gz CVVXKLOMBANISD-WCQYABFASA-N 0 1 261.325 0.819 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CC[C@@H](C)N(CC#N)C2)[nH]n1 ZINC001071427082 854190187 /nfs/dbraw/zinc/19/01/87/854190187.db2.gz FDNXXCICEWQFHB-VXGBXAGGSA-N 0 1 275.356 0.753 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2CN(C(C)=O)C2)CC[C@H]1C ZINC001071459422 854205272 /nfs/dbraw/zinc/20/52/72/854205272.db2.gz DAYWQIQGLIRNHL-BXUZGUMPSA-N 0 1 277.368 0.067 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2ncn(C)n2)CC[C@H]1C ZINC001071463146 854205359 /nfs/dbraw/zinc/20/53/59/854205359.db2.gz HNSAUTBEIMPYDV-VXGBXAGGSA-N 0 1 277.372 0.974 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cc(=O)n(C)o2)CC[C@@H]1C ZINC001071463322 854208633 /nfs/dbraw/zinc/20/86/33/854208633.db2.gz JVQREDMOIHGDCQ-WDEREUQCSA-N 0 1 279.340 0.747 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cc(=O)n(C)cn2)CC[C@@H]1C ZINC001071579473 854241195 /nfs/dbraw/zinc/24/11/95/854241195.db2.gz FIFKNKSBBXGMMY-RYUDHWBXSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cccc(=O)n2C)CC[C@H]1C ZINC001071813848 854300632 /nfs/dbraw/zinc/30/06/32/854300632.db2.gz FCLFTARLSGELAT-OLZOCXBDSA-N 0 1 287.363 0.601 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@H]1C ZINC001072076502 854343876 /nfs/dbraw/zinc/34/38/76/854343876.db2.gz QARBYWZNWYNEDS-ZYHUDNBSSA-N 0 1 290.367 0.882 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3nn(C)cc3C)C2)C1 ZINC001072728674 854454288 /nfs/dbraw/zinc/45/42/88/854454288.db2.gz DAJHNWAKWQDJKJ-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)[C@H]3CCCc4[nH]ncc43)C2)C1 ZINC001072735442 854455801 /nfs/dbraw/zinc/45/58/01/854455801.db2.gz CCSSTANENQLMIC-LBPRGKRZSA-N 0 1 299.378 0.887 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@H]3CCN(C)C3=O)C2)C1 ZINC001072836569 854477345 /nfs/dbraw/zinc/47/73/45/854477345.db2.gz FRODZCJPYAAJHH-CYBMUJFWSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnn(CCF)c3)C2)C1 ZINC001072883989 854487143 /nfs/dbraw/zinc/48/71/43/854487143.db2.gz HLPCAHVWDFFBHQ-UHFFFAOYSA-N 0 1 290.342 0.634 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)C2)C1 ZINC001073000783 854514165 /nfs/dbraw/zinc/51/41/65/854514165.db2.gz GXPHVRUKNCDWKT-MCIONIFRSA-N 0 1 274.364 0.721 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cccnn3)C2)C1 ZINC001073071444 854524920 /nfs/dbraw/zinc/52/49/20/854524920.db2.gz XQRRDAIRCCHKRX-UHFFFAOYSA-N 0 1 256.309 0.258 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3ncc[nH]3)C2)C1 ZINC001073078780 854525066 /nfs/dbraw/zinc/52/50/66/854525066.db2.gz ZBNWDQBLMPXVIT-UHFFFAOYSA-N 0 1 258.325 0.120 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3C[C@]34CCOC4)C2)C1 ZINC001073111208 854531888 /nfs/dbraw/zinc/53/18/88/854531888.db2.gz WBEVKMMITYOQBT-BBRMVZONSA-N 0 1 274.364 0.581 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)c3ccc4[nH]nnc4c3)C2)C1 ZINC001073420039 854554787 /nfs/dbraw/zinc/55/47/87/854554787.db2.gz JODWKRSEXPQBEE-UHFFFAOYSA-N 0 1 296.334 0.629 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnn(C)c2)C1 ZINC001073530798 854577932 /nfs/dbraw/zinc/57/79/32/854577932.db2.gz ZSIUWTYKSAAKNT-ZDUSSCGKSA-N 0 1 278.356 0.427 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ncc[nH]2)C1 ZINC001073600001 854610392 /nfs/dbraw/zinc/61/03/92/854610392.db2.gz UKZSEQOOFRMFCR-LLVKDONJSA-N 0 1 264.329 0.416 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2csnn2)C1 ZINC001073714713 854634682 /nfs/dbraw/zinc/63/46/82/854634682.db2.gz WEZRBZBPHHHEAL-SNVBAGLBSA-N 0 1 282.369 0.545 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnon2)C1 ZINC001073828198 854648983 /nfs/dbraw/zinc/64/89/83/854648983.db2.gz LUDHRYHEKRWRRN-SNVBAGLBSA-N 0 1 266.301 0.076 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)[C@@H](C)C1 ZINC001074106271 854670637 /nfs/dbraw/zinc/67/06/37/854670637.db2.gz CQVLLVVIVWBAMD-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)[C@@H](C)C1 ZINC001074106271 854670640 /nfs/dbraw/zinc/67/06/40/854670640.db2.gz CQVLLVVIVWBAMD-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCc3[nH]cnc3C1)C2 ZINC001098172699 854850091 /nfs/dbraw/zinc/85/00/91/854850091.db2.gz ZRTBHVWJSOAKPY-DRABBMOASA-N 0 1 299.378 0.760 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)N1CCOCC1)C2 ZINC001098519498 854869132 /nfs/dbraw/zinc/86/91/32/854869132.db2.gz KCIYWBBEKOHELG-YJNKXOJESA-N 0 1 293.411 0.615 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cncn2C)C1 ZINC001098925000 854897525 /nfs/dbraw/zinc/89/75/25/854897525.db2.gz PCTXLJOFWFRYPZ-WFASDCNBSA-N 0 1 272.352 0.638 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)C(N)=O)C1 ZINC001099006074 854905880 /nfs/dbraw/zinc/90/58/80/854905880.db2.gz GXJUSSIBUAQCOV-GWCFXTLKSA-N 0 1 251.330 0.019 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCSC)[C@H](O)C1 ZINC001099654626 854956958 /nfs/dbraw/zinc/95/69/58/854956958.db2.gz PUSMDPADEQJSCP-WDEREUQCSA-N 0 1 258.387 0.477 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CSC(C)C)[C@H](O)C1 ZINC001099689655 854968732 /nfs/dbraw/zinc/96/87/32/854968732.db2.gz HLRLWMYQKRCAIE-QWHCGFSZSA-N 0 1 284.425 0.703 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C(/C)C2CC2)[C@@H](O)C1 ZINC001099710052 854973449 /nfs/dbraw/zinc/97/34/49/854973449.db2.gz RTVSBPMIDQNTOQ-OJBGHLBWSA-N 0 1 276.380 0.917 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccoc2)[C@H](O)C1 ZINC001099778366 854991642 /nfs/dbraw/zinc/99/16/42/854991642.db2.gz JPENPIZXRQIVQR-UONOGXRCSA-N 0 1 278.352 0.950 20 30 CCEDMN CCOCCN1CC[C@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001099830676 855006111 /nfs/dbraw/zinc/00/61/11/855006111.db2.gz WDBODUOBDLPFRD-KBPBESRZSA-N 0 1 296.411 0.624 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(C(F)F)CC2)[C@H](O)C1 ZINC001099828928 855006547 /nfs/dbraw/zinc/00/65/47/855006547.db2.gz APFWBRDGHLJXJZ-NXEZZACHSA-N 0 1 274.311 0.769 20 30 CCEDMN C=C(C)CCC(=O)N[C@H]1CCN(CC#CC)C[C@H]1O ZINC001099859064 855013942 /nfs/dbraw/zinc/01/39/42/855013942.db2.gz WLKMJNIVLPXNMA-UONOGXRCSA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cccnc2)[C@H](O)C1 ZINC001099909498 855028674 /nfs/dbraw/zinc/02/86/74/855028674.db2.gz UULRCIVSJLCZNG-HUUCEWRRSA-N 0 1 289.379 0.752 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCC(F)F)[C@@H](O)C1 ZINC001099923146 855034062 /nfs/dbraw/zinc/03/40/62/855034062.db2.gz AZSQZSPETPXKPS-QWRGUYRKSA-N 0 1 274.311 0.606 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CCN(CCO)C[C@H]1O ZINC001099957981 855049306 /nfs/dbraw/zinc/04/93/06/855049306.db2.gz ZBVXRJPCGHZORV-ZIAGYGMSSA-N 0 1 282.384 0.114 20 30 CCEDMN CN(CCNC(=O)[C@H]1CCCN1C)c1ccncc1C#N ZINC001099966163 855054199 /nfs/dbraw/zinc/05/41/99/855054199.db2.gz MVHTUQWCBAGART-CQSZACIVSA-N 0 1 287.367 0.600 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cn[nH]c2)[C@H](O)C1 ZINC001100031640 855076149 /nfs/dbraw/zinc/07/61/49/855076149.db2.gz IDHHFXMXFBFAET-CHWSQXEVSA-N 0 1 278.356 0.080 20 30 CCEDMN O=C(C#CC1CC1)NCC1CC(NCc2cnns2)C1 ZINC001100315094 855144662 /nfs/dbraw/zinc/14/46/62/855144662.db2.gz SGCNMYOMDZAEJE-UHFFFAOYSA-N 0 1 290.392 0.936 20 30 CCEDMN CCN(CCNC(=O)c1ncn[nH]1)c1cc(C)ncc1C#N ZINC001100584612 855193195 /nfs/dbraw/zinc/19/31/95/855193195.db2.gz KCFBTTUANKDEGD-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN CCN(CCNC(=O)c1nc[nH]n1)c1cc(C)ncc1C#N ZINC001100584612 855193200 /nfs/dbraw/zinc/19/32/00/855193200.db2.gz KCFBTTUANKDEGD-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN CCCN(CCNC(=O)c1cnn[nH]1)c1ccc(C#N)nc1 ZINC001101266973 855278024 /nfs/dbraw/zinc/27/80/24/855278024.db2.gz GFTOFMHWBWYEHR-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1C[N@H+](Cc2n[nH]c(=O)[n-]2)C[C@H]1C ZINC001101805629 855369826 /nfs/dbraw/zinc/36/98/26/855369826.db2.gz XDHAWRWYJJPEJO-GHMZBOCLSA-N 0 1 293.371 0.661 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CC(=O)NC)[C@H](C)C1 ZINC001101908261 855390714 /nfs/dbraw/zinc/39/07/14/855390714.db2.gz LKUJEQGDTIHDFW-MWLCHTKSSA-N 0 1 287.791 0.559 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H]1CN(CC(=O)NC)C[C@H]1C ZINC001102607679 855449390 /nfs/dbraw/zinc/44/93/90/855449390.db2.gz PXDMRPCUYMFORM-CHWSQXEVSA-N 0 1 297.399 0.009 20 30 CCEDMN CC[C@@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)nn1 ZINC001103170301 855500850 /nfs/dbraw/zinc/50/08/50/855500850.db2.gz CLSJSJLRIBRIFM-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN CC[C@@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)nn1 ZINC001103170301 855500851 /nfs/dbraw/zinc/50/08/51/855500851.db2.gz CLSJSJLRIBRIFM-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CNC(=O)Cc1nnc[nH]1)CNc1ccc(C#N)nc1 ZINC001104074747 855567322 /nfs/dbraw/zinc/56/73/22/855567322.db2.gz DODFAACDMUBMLZ-SNVBAGLBSA-N 0 1 299.338 0.478 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](C)NC(C)=O)C1 ZINC001111576026 855580125 /nfs/dbraw/zinc/58/01/25/855580125.db2.gz UUERJXUGJQVCEI-ZLDLUXBVSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCOC(C)C ZINC001115343897 855663579 /nfs/dbraw/zinc/66/35/79/855663579.db2.gz PUHZCTKOVURABK-NHAGDIPZSA-N 0 1 264.369 0.871 20 30 CCEDMN C=CCOC[C@H](NCc1cc(C)[nH]n1)C(=O)OC ZINC001116881673 855857304 /nfs/dbraw/zinc/85/73/04/855857304.db2.gz XIECCFJZHHFLCN-NSHDSACASA-N 0 1 253.302 0.552 20 30 CCEDMN C#CC[C@H](NC(=O)c1ccc2cncn2c1C)C(=O)OC ZINC001117859009 856092087 /nfs/dbraw/zinc/09/20/87/856092087.db2.gz ZAVUJBQNGCHUES-ZDUSSCGKSA-N 0 1 285.303 0.937 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCc3cncn3C2)CC1 ZINC001118624593 856371115 /nfs/dbraw/zinc/37/11/15/856371115.db2.gz NJJNHMWPSJPBMS-GFCCVEGCSA-N 0 1 287.367 0.550 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)NC[C@@H]2CC[NH+]2CC)C1 ZINC001119304185 856624088 /nfs/dbraw/zinc/62/40/88/856624088.db2.gz IVDSUEGFAGPNKR-KGLIPLIRSA-N 0 1 278.400 0.477 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)[C@@]2(C)C[C@H](O)CN2C)C1 ZINC001119629301 856791407 /nfs/dbraw/zinc/79/14/07/856791407.db2.gz YGWKXWNTCRIYER-OUCADQQQSA-N 0 1 268.357 0.245 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCc2cn[nH]c2)CC1 ZINC001119683454 856833019 /nfs/dbraw/zinc/83/30/19/856833019.db2.gz PMPYTPLKVGYRKE-UHFFFAOYSA-N 0 1 275.356 0.349 20 30 CCEDMN C=CC[C@@H]1CCN1Cc1cc(=O)n2nc(N)[nH]c2n1 ZINC001119888066 856907872 /nfs/dbraw/zinc/90/78/72/856907872.db2.gz LYOARWHSYIWZNO-SECBINFHSA-N 0 1 260.301 0.150 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001323285842 912245120 /nfs/dbraw/zinc/24/51/20/912245120.db2.gz AFICYEJQQYWDTO-DOMZBBRYSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)Cc1ncccc1F ZINC001323288324 912246696 /nfs/dbraw/zinc/24/66/96/912246696.db2.gz ZPGCKKKQRXRWFN-LBPRGKRZSA-N 0 1 275.327 0.977 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C(C)(C)N1CCOCC1 ZINC001323317005 912263086 /nfs/dbraw/zinc/26/30/86/912263086.db2.gz DOXBYYAVNRBCAS-CQSZACIVSA-N 0 1 293.411 0.311 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cnnn2C)CC1 ZINC001323434401 912346003 /nfs/dbraw/zinc/34/60/03/912346003.db2.gz BFRLALSPXJYZJY-UHFFFAOYSA-N 0 1 269.736 0.420 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@@H](C)C(C)C)CC1 ZINC001323556140 912413448 /nfs/dbraw/zinc/41/34/48/912413448.db2.gz UFFMIXFHCLBHFG-QWHCGFSZSA-N 0 1 293.411 0.655 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CC(N)=O ZINC001323694031 912482580 /nfs/dbraw/zinc/48/25/80/912482580.db2.gz TVRXQBYRYZTUHS-UPJWGTAASA-N 0 1 279.384 0.749 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)CCn2cccn2)C1 ZINC001323887858 912563925 /nfs/dbraw/zinc/56/39/25/912563925.db2.gz VXXBVIWSUUQXRE-UHFFFAOYSA-N 0 1 262.357 0.992 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)c2cn[nH]c(=O)c2)C1 ZINC001323946828 912594744 /nfs/dbraw/zinc/59/47/44/912594744.db2.gz SDCCMSHSHQVRIX-UHFFFAOYSA-N 0 1 276.340 0.905 20 30 CCEDMN N#CCC[C@@H](C#N)CN1CCN(CCCCO)CC1 ZINC001324296486 912765901 /nfs/dbraw/zinc/76/59/01/912765901.db2.gz FMHCIOMXQVZBMV-AWEZNQCLSA-N 0 1 264.373 0.820 20 30 CCEDMN C#CCN(C(=O)Cc1ccn(C)n1)C1CCN(CC#C)CC1 ZINC001324387768 912820573 /nfs/dbraw/zinc/82/05/73/912820573.db2.gz YDZFTUSYLONPBX-UHFFFAOYSA-N 0 1 298.390 0.522 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCCCC(N)=O)C1 ZINC001324663243 912971804 /nfs/dbraw/zinc/97/18/04/912971804.db2.gz YZHJCLSXMPHDDG-CQSZACIVSA-N 0 1 265.357 0.246 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CC[C@]2(C)CCC(=O)N2)C1 ZINC001324681971 912983678 /nfs/dbraw/zinc/98/36/78/912983678.db2.gz YXXTWPSPIIWTJX-JKSUJKDBSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(C)C[C@@H]1CC ZINC001324724965 913002020 /nfs/dbraw/zinc/00/20/20/913002020.db2.gz DFAWQWPLBMLLLY-NEPJUHHUSA-N 0 1 255.362 0.923 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)Cn3cccn3)[C@@H]2C1 ZINC001394301930 913119936 /nfs/dbraw/zinc/11/99/36/913119936.db2.gz SPWMQNCDEDLENW-XYYAHUGASA-N 0 1 294.786 0.930 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)/C=C/c2ccco2)C1 ZINC001325052752 913170730 /nfs/dbraw/zinc/17/07/30/913170730.db2.gz BHKBSRKSAAGQNH-FYCOFBDGSA-N 0 1 288.347 0.869 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cccc3c2CCC3)C1 ZINC001325169492 913252664 /nfs/dbraw/zinc/25/26/64/913252664.db2.gz SLEZIBOWWHGYRH-GOSISDBHSA-N 0 1 298.386 0.975 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)[C@H](C)CC#N ZINC001328337587 915121691 /nfs/dbraw/zinc/12/16/91/915121691.db2.gz JQKXMJULXUGKTA-SCZZXKLOSA-N 0 1 264.285 0.160 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCc3ncncc3C2)CC1 ZINC001336935919 921115789 /nfs/dbraw/zinc/11/57/89/921115789.db2.gz PFMDOHAPMGEUJC-UHFFFAOYSA-N 0 1 284.363 0.707 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H](OC)C1CCCC1 ZINC001492830726 913324937 /nfs/dbraw/zinc/32/49/37/913324937.db2.gz NMIWDIGEDXMGGE-ZDUSSCGKSA-N 0 1 252.358 0.873 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H](C)OCC ZINC001394725125 913387563 /nfs/dbraw/zinc/38/75/63/913387563.db2.gz FDJTUAZGDDLXHH-QWRGUYRKSA-N 0 1 278.780 0.573 20 30 CCEDMN Cc1nc(C)c(CNC[C@H](O)CN(C)C(=O)[C@@H](C)C#N)o1 ZINC001394727178 913389864 /nfs/dbraw/zinc/38/98/64/913389864.db2.gz ARLMGSIZTMWZAT-CABZTGNLSA-N 0 1 294.355 0.360 20 30 CCEDMN Cc1nc(C)c(CNC[C@@H](O)CN(C)C(=O)[C@@H](C)C#N)o1 ZINC001394727179 913391817 /nfs/dbraw/zinc/39/18/17/913391817.db2.gz ARLMGSIZTMWZAT-JOYOIKCWSA-N 0 1 294.355 0.360 20 30 CCEDMN C#CCN(CC1CC1)[C@H](C)C(=O)NCC(=O)OC ZINC001325512912 913446044 /nfs/dbraw/zinc/44/60/44/913446044.db2.gz OLCKNIRBGQLYIC-SNVBAGLBSA-N 0 1 252.314 0.009 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1CCCN(CC)CC1 ZINC001325660316 913512715 /nfs/dbraw/zinc/51/27/15/913512715.db2.gz XRIJJNPCHYOTJF-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C[C@@H](C(N)=O)N1C[C@@H]2CN(C(=O)C#CC(C)(C)C)C[C@@H]2C1 ZINC001325735371 913550449 /nfs/dbraw/zinc/55/04/49/913550449.db2.gz KKVYMXPNVRLYJJ-RWMBFGLXSA-N 0 1 291.395 0.300 20 30 CCEDMN CC#CCN(CCNC(=O)[C@H]1CC[C@@H]1C(N)=O)C1CC1 ZINC001493402472 891435347 /nfs/dbraw/zinc/43/53/47/891435347.db2.gz ZSUZXKPHLSXFML-STQMWFEESA-N 0 1 277.368 0.102 20 30 CCEDMN CO[C@@H](C(=O)NCCN1CCC(NCC#N)CC1)C1CC1 ZINC001325794492 913578215 /nfs/dbraw/zinc/57/82/15/913578215.db2.gz NNBUOUZYMINEEK-CQSZACIVSA-N 0 1 294.399 0.105 20 30 CCEDMN C=CCCC(=O)NC1(CNC(=O)Cc2n[nH]c(C)n2)CC1 ZINC001299160722 891765370 /nfs/dbraw/zinc/76/53/70/891765370.db2.gz VKKHVACZQBCQML-UHFFFAOYSA-N 0 1 291.355 0.387 20 30 CCEDMN N#Cc1ccc(F)c(-c2noc(C[C@@H](N)C(N)=O)n2)c1 ZINC001211589374 891860426 /nfs/dbraw/zinc/86/04/26/891860426.db2.gz GICDVTFXFVYIIA-SECBINFHSA-N 0 1 275.243 0.102 20 30 CCEDMN C[C@@H](O)CN1CCC[C@@](CO)(NC(=O)C#CC(C)(C)C)C1 ZINC001325835092 913603860 /nfs/dbraw/zinc/60/38/60/913603860.db2.gz MEMUYNBXGGSOIO-CZUORRHYSA-N 0 1 296.411 0.360 20 30 CCEDMN CC#CCCCC(=O)NCCN(CC)[C@@H]1CCNC1=O ZINC001480994552 892030708 /nfs/dbraw/zinc/03/07/08/892030708.db2.gz LNVGLWRSCFACPK-CYBMUJFWSA-N 0 1 279.384 0.507 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cncnc1CC ZINC001481017752 892061860 /nfs/dbraw/zinc/06/18/60/892061860.db2.gz OIIONZKDIMMSRM-UHFFFAOYSA-N 0 1 260.341 0.724 20 30 CCEDMN COc1cccc(CN[C@H](C)CNC(=O)[C@@H](C)C#N)n1 ZINC001419720310 892062939 /nfs/dbraw/zinc/06/29/39/892062939.db2.gz NCAPWRQPGABYQN-WDEREUQCSA-N 0 1 276.340 0.844 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)COCCOC ZINC001325883056 913624326 /nfs/dbraw/zinc/62/43/26/913624326.db2.gz QLOODCRCLLRTAN-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)Cc1[nH]cnc1C ZINC001481067714 892125596 /nfs/dbraw/zinc/12/55/96/892125596.db2.gz XEIQPTSOQMZRJZ-GFCCVEGCSA-N 0 1 260.341 0.474 20 30 CCEDMN CCCN(CCNC(=O)C#CC(C)C)[C@H](C)C(N)=O ZINC001481135053 892214024 /nfs/dbraw/zinc/21/40/24/892214024.db2.gz GFXRXAUGCVBGHP-GFCCVEGCSA-N 0 1 267.373 0.348 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@@H](C)O ZINC001481380382 892606362 /nfs/dbraw/zinc/60/63/62/892606362.db2.gz VOMJHFRIFVLQOO-RQJABVFESA-N 0 1 280.368 0.081 20 30 CCEDMN C=C(C)CCC(=O)N(C)CCN1CCN(CCCO)CC1 ZINC001481468157 892712668 /nfs/dbraw/zinc/71/26/68/892712668.db2.gz BMUQCFHDLMFCDE-UHFFFAOYSA-N 0 1 297.443 0.801 20 30 CCEDMN C=CC[N@H+]1CCC[C@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001027896486 892753613 /nfs/dbraw/zinc/75/36/13/892753613.db2.gz QMRUSHDOHCMWDK-OBJOEFQTSA-N 0 1 250.342 0.790 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001027896486 892753621 /nfs/dbraw/zinc/75/36/21/892753621.db2.gz QMRUSHDOHCMWDK-OBJOEFQTSA-N 0 1 250.342 0.790 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@@H](C)CC(N)=O)C1 ZINC001481536582 892783781 /nfs/dbraw/zinc/78/37/81/892783781.db2.gz HPNBZDOHAUDTAG-STQMWFEESA-N 0 1 297.399 0.281 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN(C)C(C)=O)C1 ZINC001481712688 893070527 /nfs/dbraw/zinc/07/05/27/893070527.db2.gz HUWAZUFEUGZZLN-LBPRGKRZSA-N 0 1 253.346 0.183 20 30 CCEDMN COCC#CCN1CC[C@@H](N(C)C(=O)Cc2ccc[nH]2)C1 ZINC001481751641 893115482 /nfs/dbraw/zinc/11/54/82/893115482.db2.gz MKIBSFAXUZDHOS-OAHLLOKOSA-N 0 1 289.379 0.740 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@@H]2CCOC2)C1 ZINC001481761414 893125370 /nfs/dbraw/zinc/12/53/70/893125370.db2.gz KUJBTHDUBQLSLO-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN C[C@H](CNCc1cnon1)CNC(=O)[C@H](C)C#N ZINC001482092369 893384980 /nfs/dbraw/zinc/38/49/80/893384980.db2.gz YEIVTJVCJMFRPM-RKDXNWHRSA-N 0 1 251.290 0.071 20 30 CCEDMN C[C@H](NCC#N)[C@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC001482477422 894094714 /nfs/dbraw/zinc/09/47/14/894094714.db2.gz FXJDALNHHIHQKI-QWRGUYRKSA-N 0 1 276.344 0.087 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCN(C)CC#N)CC1 ZINC001482649814 894446304 /nfs/dbraw/zinc/44/63/04/894446304.db2.gz LEXZIHHSSDIREH-UHFFFAOYSA-N 0 1 276.384 0.245 20 30 CCEDMN N#Cc1cnn2cc(CNCc3n[nH]c(C4CC4)n3)cnc12 ZINC001326303465 913876840 /nfs/dbraw/zinc/87/68/40/913876840.db2.gz OLKMYHVJAXLVFC-UHFFFAOYSA-N 0 1 294.322 0.886 20 30 CCEDMN C=CCCC(=O)N(C)C1CN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001506268763 894775803 /nfs/dbraw/zinc/77/58/03/894775803.db2.gz PCTVZKFWLMFSJK-UHFFFAOYSA-N 0 1 292.339 0.375 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C\CN[C@H]1CCNC1=O ZINC001483043914 894829623 /nfs/dbraw/zinc/82/96/23/894829623.db2.gz MGMMFVUVMHTVIQ-GZTOBOFZSA-N 0 1 265.357 0.349 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC001326358660 913909672 /nfs/dbraw/zinc/90/96/72/913909672.db2.gz PEOKWFNBZREVMN-AAEUAGOBSA-N 0 1 279.384 0.668 20 30 CCEDMN CNc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC001326375145 913923052 /nfs/dbraw/zinc/92/30/52/913923052.db2.gz HILQTFUYTLMCEL-PELKAZGASA-N 0 1 289.295 0.478 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1ccnc(F)c1 ZINC001483317212 895443507 /nfs/dbraw/zinc/44/35/07/895443507.db2.gz IGCHEWYNUSPLDT-LBPRGKRZSA-N 0 1 293.342 0.921 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)CC1OCCCO1 ZINC001483331687 895467538 /nfs/dbraw/zinc/46/75/38/895467538.db2.gz GYQJHBMPUGOOMJ-CYBMUJFWSA-N 0 1 298.383 0.226 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccnn1CC ZINC001483333992 895470154 /nfs/dbraw/zinc/47/01/54/895470154.db2.gz XUBHNAQOFJTUIJ-GFCCVEGCSA-N 0 1 262.357 0.976 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN(C)[C@@H]1CCCNC1=O ZINC001483373039 895511058 /nfs/dbraw/zinc/51/10/58/895511058.db2.gz SVVFDLSDGQLDHL-NWDGAFQWSA-N 0 1 281.400 0.914 20 30 CCEDMN CC#CC[N@@H+](C)C[C@@H](C)NC(=O)[C@H](CC(C)C)NC(C)=O ZINC001483408222 895545278 /nfs/dbraw/zinc/54/52/78/895545278.db2.gz HBBNGIDZCKGDGP-HIFRSBDPSA-N 0 1 295.427 0.997 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H](CC(C)C)NC(C)=O ZINC001483408222 895545287 /nfs/dbraw/zinc/54/52/87/895545287.db2.gz HBBNGIDZCKGDGP-HIFRSBDPSA-N 0 1 295.427 0.997 20 30 CCEDMN COCCCN1CC[C@H]1CNC(=O)C#CC1CC1 ZINC001483646570 895788652 /nfs/dbraw/zinc/78/86/52/895788652.db2.gz CKOTVYKFTZTCDY-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCCCC(N)=O ZINC001483691597 895863336 /nfs/dbraw/zinc/86/33/36/895863336.db2.gz KIYNOQQHOPUEES-LBPRGKRZSA-N 0 1 267.373 0.799 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)Cc2ccco2)CC1 ZINC001483777465 896047359 /nfs/dbraw/zinc/04/73/59/896047359.db2.gz ZNDHFVDZRHIHKA-UHFFFAOYSA-N 0 1 291.351 0.363 20 30 CCEDMN C#CCN(C(C)=O)C1CCN(Cc2cnn(C)c2)CC1 ZINC001483978046 896141343 /nfs/dbraw/zinc/14/13/43/896141343.db2.gz OXLYPFUFJSVGNW-UHFFFAOYSA-N 0 1 274.368 0.866 20 30 CCEDMN C=CCOCC(=O)N[C@]1(C)CCN([C@H](CC)C(N)=O)C1 ZINC001484038912 896164834 /nfs/dbraw/zinc/16/48/34/896164834.db2.gz XZAOTCCYVQJWFZ-BXUZGUMPSA-N 0 1 283.372 0.034 20 30 CCEDMN C=CC[N@@H+]1CC[C@](O)(CNC(=O)Cc2cnn(C)c2C)C1 ZINC001484222938 896252932 /nfs/dbraw/zinc/25/29/32/896252932.db2.gz IRIJDPSEKKRZQN-HNNXBMFYSA-N 0 1 292.383 0.010 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C/C=C(\C)C=C)C1 ZINC001484232615 896265807 /nfs/dbraw/zinc/26/58/07/896265807.db2.gz UNHVCJJJQKPLHB-NNSJBKGDSA-N 0 1 262.353 0.695 20 30 CCEDMN CCC(CC)CN1CC(O)(CNC(=O)[C@@H](C)C#N)C1 ZINC001484264840 896294612 /nfs/dbraw/zinc/29/46/12/896294612.db2.gz SVMOJHLVBDAKCE-NSHDSACASA-N 0 1 267.373 0.745 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1C[C@H]2CN(CC#N)C[C@H]2C1 ZINC001484409498 896381965 /nfs/dbraw/zinc/38/19/65/896381965.db2.gz RFRIUJQRGOXENT-MJBXVCDLSA-N 0 1 296.390 0.580 20 30 CCEDMN CCO[C@@H]1C[C@@H]1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001484416188 896386507 /nfs/dbraw/zinc/38/65/07/896386507.db2.gz FADZOYLFUQWGTN-UONOGXRCSA-N 0 1 294.399 0.105 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)C2=CCOCC2)CC1 ZINC001484417653 896389313 /nfs/dbraw/zinc/38/93/13/896389313.db2.gz DGKPLMNYZFEDCO-UHFFFAOYSA-N 0 1 292.383 0.027 20 30 CCEDMN CC(C)OCCN1CCC[C@@](CO)(NC(=O)[C@H](C)C#N)C1 ZINC001484429797 896401095 /nfs/dbraw/zinc/40/10/95/896401095.db2.gz FLUZOVVWABWWMG-UKRRQHHQSA-N 0 1 297.399 0.514 20 30 CCEDMN C=C(C)CN1CCOC[C@@H]1CNC(=O)CCc1c[nH]nn1 ZINC001326658606 914081317 /nfs/dbraw/zinc/08/13/17/914081317.db2.gz NCODCFYCKPXBNY-ZDUSSCGKSA-N 0 1 293.371 0.130 20 30 CCEDMN C=C(C)CN1CCOC[C@@H]1CNC(=O)CCc1cnn[nH]1 ZINC001326658606 914081333 /nfs/dbraw/zinc/08/13/33/914081333.db2.gz NCODCFYCKPXBNY-ZDUSSCGKSA-N 0 1 293.371 0.130 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)C(C)(C)F ZINC001484730419 896567673 /nfs/dbraw/zinc/56/76/73/896567673.db2.gz XCEXLQBAGYNEEX-UHFFFAOYSA-N 0 1 285.363 0.264 20 30 CCEDMN C=C(C)CN1CC2(C1)CN(C(=O)C[N@H+](C)C(C)C)CCO2 ZINC001484778113 896597237 /nfs/dbraw/zinc/59/72/37/896597237.db2.gz GZQUDLLMCJJVEQ-UHFFFAOYSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cncnc1C ZINC001485049183 896731264 /nfs/dbraw/zinc/73/12/64/896731264.db2.gz SKYYSTIBYVTZEQ-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN COCC#CCN(C)C[C@@H]1CCCN1C(=O)C(F)F ZINC001485046585 896732657 /nfs/dbraw/zinc/73/26/57/896732657.db2.gz KQTQDXFBUSBWBU-NSHDSACASA-N 0 1 274.311 0.824 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CCn1cncn1 ZINC001485050389 896737305 /nfs/dbraw/zinc/73/73/05/896737305.db2.gz YHAXVMCRQCNXGF-CYBMUJFWSA-N 0 1 275.356 0.224 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1ccc(C(N)=O)cc1 ZINC001485075725 896756181 /nfs/dbraw/zinc/75/61/81/896756181.db2.gz HEYRQOQNQCDREG-HNNXBMFYSA-N 0 1 299.374 0.955 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccc(C(N)=O)cc1 ZINC001485075725 896756189 /nfs/dbraw/zinc/75/61/89/896756189.db2.gz HEYRQOQNQCDREG-HNNXBMFYSA-N 0 1 299.374 0.955 20 30 CCEDMN CCn1ncnc1CNCC1CC(NC(=O)[C@H](C)C#N)C1 ZINC001485087464 896763376 /nfs/dbraw/zinc/76/33/76/896763376.db2.gz LPTAJNSAPMSYAX-VOMCLLRMSA-N 0 1 290.371 0.442 20 30 CCEDMN C[C@@H](NCC#N)[C@@H](C)NC(=O)c1[nH]nc2c1CCC2 ZINC001485169977 896824996 /nfs/dbraw/zinc/82/49/96/896824996.db2.gz QXBNOQQTMOKQRC-RKDXNWHRSA-N 0 1 261.329 0.518 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)CCn1cccn1 ZINC001485276780 896912186 /nfs/dbraw/zinc/91/21/86/896912186.db2.gz VJGPHYVJPLDQSR-CQSZACIVSA-N 0 1 292.383 0.360 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)Cc1c[nH]cn1 ZINC001485358894 897004227 /nfs/dbraw/zinc/00/42/27/897004227.db2.gz UQADJHIPPAALAR-LBPRGKRZSA-N 0 1 278.356 0.039 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cnn(CCOC)c1 ZINC001485377880 897007362 /nfs/dbraw/zinc/00/73/62/897007362.db2.gz NUXNDJQIKAFVMU-ZDUSSCGKSA-N 0 1 292.383 0.603 20 30 CCEDMN CCCC[C@H](CNCc1cnnn1C)NC(=O)[C@@H](C)C#N ZINC001485461798 897058815 /nfs/dbraw/zinc/05/88/15/897058815.db2.gz QQLCVMVNVZXDNA-NWDGAFQWSA-N 0 1 292.387 0.739 20 30 CCEDMN CCCC[C@@H](CNCc1cnnn1C)NC(=O)[C@H](C)C#N ZINC001485461797 897059548 /nfs/dbraw/zinc/05/95/48/897059548.db2.gz QQLCVMVNVZXDNA-NEPJUHHUSA-N 0 1 292.387 0.739 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001485527536 897108726 /nfs/dbraw/zinc/10/87/26/897108726.db2.gz SSNJYFHIGVOOMO-LLVKDONJSA-N 0 1 281.400 0.771 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](CNCc2ccon2)C1 ZINC001485679655 897176204 /nfs/dbraw/zinc/17/62/04/897176204.db2.gz WRWLKVNTSLQRKH-AULYBMBSSA-N 0 1 279.340 0.862 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](F)c2ccccc2)C1 ZINC001077750970 897411004 /nfs/dbraw/zinc/41/10/04/897411004.db2.gz UIDRFPOZMBNPMB-KFWWJZLASA-N 0 1 290.338 0.882 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccn(C)n1 ZINC001032398641 897444691 /nfs/dbraw/zinc/44/46/91/897444691.db2.gz ACYFMUJKQUNHLU-KBPBESRZSA-N 0 1 274.368 0.824 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CCc3[nH]nnc3C2)c1 ZINC001326776743 914159897 /nfs/dbraw/zinc/15/98/97/914159897.db2.gz OPNBZDJXZJAVCW-UHFFFAOYSA-N 0 1 254.253 0.270 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CO[C@H]1CCOC1 ZINC001032401316 897452066 /nfs/dbraw/zinc/45/20/66/897452066.db2.gz LFNAXUIGGGIMLK-IHRRRGAJSA-N 0 1 278.352 0.100 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CO[C@H]1CCOC1 ZINC001032401316 897452080 /nfs/dbraw/zinc/45/20/80/897452080.db2.gz LFNAXUIGGGIMLK-IHRRRGAJSA-N 0 1 278.352 0.100 20 30 CCEDMN N#Cc1ccc(NC[C@H]2CCN(C(=O)c3ccn[nH]3)C2)nn1 ZINC001060821188 897530683 /nfs/dbraw/zinc/53/06/83/897530683.db2.gz SPBDNCDYSPLBHI-SNVBAGLBSA-N 0 1 297.322 0.646 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2nn[nH]c21 ZINC001032418631 897532490 /nfs/dbraw/zinc/53/24/90/897532490.db2.gz FYQQDMPIMGLYLQ-UWVGGRQHSA-N 0 1 282.307 0.380 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@]1(C)CN(CC(C)C)CCO1 ZINC001107941297 897535048 /nfs/dbraw/zinc/53/50/48/897535048.db2.gz BRPYBFWXSRRBFT-GOEBONIOSA-N 0 1 296.411 0.888 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)CCC(=O)NC1 ZINC001032579907 897768710 /nfs/dbraw/zinc/76/87/10/897768710.db2.gz DVCXEKFGFPTEFK-HEHGZKQESA-N 0 1 291.395 0.764 20 30 CCEDMN C=CCCCC(=O)N[C@@H](C)CCNC(=O)c1ncn[nH]1 ZINC001077832084 897889450 /nfs/dbraw/zinc/88/94/50/897889450.db2.gz QEOGTBQFXHBIFI-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C=CCCCC(=O)N[C@@H](C)CCNC(=O)c1nc[nH]n1 ZINC001077832084 897889456 /nfs/dbraw/zinc/88/94/56/897889456.db2.gz QEOGTBQFXHBIFI-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC[NH+]1CCOCC1 ZINC001032684267 897929878 /nfs/dbraw/zinc/92/98/78/897929878.db2.gz IMJHIRDBWKDTEG-GJZGRUSLSA-N 0 1 293.411 0.570 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001032720325 897972232 /nfs/dbraw/zinc/97/22/32/897972232.db2.gz PVAOZDQUEGNQDK-IHRRRGAJSA-N 0 1 298.390 0.823 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001032720508 897972618 /nfs/dbraw/zinc/97/26/18/897972618.db2.gz VVJSPMZDNJXIRC-AVGNSLFASA-N 0 1 284.363 0.433 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2c[nH]cc2C2CC2)C1 ZINC001077916517 898048872 /nfs/dbraw/zinc/04/88/72/898048872.db2.gz BVEUZMFTGLOTBF-HUUCEWRRSA-N 0 1 287.363 0.690 20 30 CCEDMN C=C(C)CN1C[C@]2(CCN(CC(OC)OC)C2)OCC1=O ZINC001272860293 898088711 /nfs/dbraw/zinc/08/87/11/898088711.db2.gz ABBDJLZHSNHMAE-OAHLLOKOSA-N 0 1 298.383 0.485 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cn1cncn1 ZINC001032768424 898148547 /nfs/dbraw/zinc/14/85/47/898148547.db2.gz JFENMMQPJYMIKQ-RYUDHWBXSA-N 0 1 261.329 0.139 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H](C)NC(=O)c1[nH]ncc1F ZINC001078138047 898260374 /nfs/dbraw/zinc/26/03/74/898260374.db2.gz PVJOBAKLSYEESB-YUMQZZPRSA-N 0 1 281.291 0.333 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2c(C)noc2C)C1 ZINC001078164974 898270236 /nfs/dbraw/zinc/27/02/36/898270236.db2.gz KFBMJDHZCSRJNH-ZIAGYGMSSA-N 0 1 293.367 0.571 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC(C)C)[C@H](O)C1 ZINC001099639676 898420398 /nfs/dbraw/zinc/42/03/98/898420398.db2.gz MYUYGFMEGKAKTA-QWHCGFSZSA-N 0 1 252.358 0.607 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1=CCCCCC1 ZINC001485990278 898580611 /nfs/dbraw/zinc/58/06/11/898580611.db2.gz SNOWGWSRCLXPKW-AWEZNQCLSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC001486041701 898609242 /nfs/dbraw/zinc/60/92/42/898609242.db2.gz WYEAOGPKXDWANM-ARLBYUKCSA-N 0 1 284.359 0.272 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H](CC)CCC ZINC001486049312 898613828 /nfs/dbraw/zinc/61/38/28/898613828.db2.gz ZONXEWHGZHZODH-OLZOCXBDSA-N 0 1 254.374 0.903 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)COc1c(C)cccc1C ZINC001486058351 898644796 /nfs/dbraw/zinc/64/47/96/898644796.db2.gz DWQRAIXQNFCXEC-CQSZACIVSA-N 0 1 290.363 0.382 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)COCCOC)[C@H]1C ZINC001486169855 898694376 /nfs/dbraw/zinc/69/43/76/898694376.db2.gz CXOSYJSBNAUSIG-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CCCN(CC#C)[C@@H]1C ZINC001486174257 898696650 /nfs/dbraw/zinc/69/66/50/898696650.db2.gz SSAQYEIIDOPRPJ-ZIAGYGMSSA-N 0 1 262.353 0.629 20 30 CCEDMN CN(C[C@H](O)CNCc1ccccc1C#N)C(=O)C1CC1 ZINC001486255587 898729125 /nfs/dbraw/zinc/72/91/25/898729125.db2.gz ODXXPNTWDNNNDE-OAHLLOKOSA-N 0 1 287.363 0.877 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1ccccn1 ZINC001486258156 898730954 /nfs/dbraw/zinc/73/09/54/898730954.db2.gz RCJXDXIDLVEHIO-LLVKDONJSA-N 0 1 283.759 0.857 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)/C=C/c1ccco1 ZINC001486323437 898777456 /nfs/dbraw/zinc/77/74/56/898777456.db2.gz KGABHPYFZRPJLY-SBDDDAINSA-N 0 1 276.336 0.677 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)CSCC#N ZINC001486325332 898787647 /nfs/dbraw/zinc/78/76/47/898787647.db2.gz MPWRJIBFVFPPSD-GFCCVEGCSA-N 0 1 283.397 0.018 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1CC(C)C1 ZINC001486333244 898797285 /nfs/dbraw/zinc/79/72/85/898797285.db2.gz ZPXUZVFUGFJADP-BPCQOVAHSA-N 0 1 252.358 0.417 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H](C)c1cccnc1 ZINC001486355517 898816855 /nfs/dbraw/zinc/81/68/55/898816855.db2.gz IWZQRZKCAGHTRI-ZFWWWQNUSA-N 0 1 289.379 0.569 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1nc(C)c(C)s1 ZINC001486359202 898818243 /nfs/dbraw/zinc/81/82/43/898818243.db2.gz RRWCUWXRPHGCAX-GFCCVEGCSA-N 0 1 295.408 0.758 20 30 CCEDMN C#CCN1CC=C(CNC(=O)CCC(=O)NCCC)CC1 ZINC001486519353 898921150 /nfs/dbraw/zinc/92/11/50/898921150.db2.gz QWANILZMTRATAE-UHFFFAOYSA-N 0 1 291.395 0.674 20 30 CCEDMN C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001486698764 898964447 /nfs/dbraw/zinc/96/44/47/898964447.db2.gz PFDJXJIFSDCDPT-ZJUUUORDSA-N 0 1 291.355 0.477 20 30 CCEDMN C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001486698764 898964457 /nfs/dbraw/zinc/96/44/57/898964457.db2.gz PFDJXJIFSDCDPT-ZJUUUORDSA-N 0 1 291.355 0.477 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H](CO)NC/C=C/Cl)[nH]1 ZINC001410603643 899491300 /nfs/dbraw/zinc/49/13/00/899491300.db2.gz WUVBKDPLOFVWQR-DTWDLQQISA-N 0 1 282.731 0.319 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@]1(C)CCN(C(=O)c2ccn[nH]2)C1 ZINC001411046974 899668688 /nfs/dbraw/zinc/66/86/88/899668688.db2.gz IPZNDOAMKZBLLS-ZANVPECISA-N 0 1 275.312 0.290 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@]1(C)CCN(C(=O)c2ccn[nH]2)C1 ZINC001411046956 899668911 /nfs/dbraw/zinc/66/89/11/899668911.db2.gz IPZNDOAMKZBLLS-RNCFNFMXSA-N 0 1 275.312 0.290 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](NC(=O)C(F)F)CC1 ZINC001327095392 914368689 /nfs/dbraw/zinc/36/86/89/914368689.db2.gz SCQXVTGFFCSVOX-JTQLQIEISA-N 0 1 289.326 0.524 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cccc2c1CNC2=O ZINC001193140155 900034238 /nfs/dbraw/zinc/03/42/38/900034238.db2.gz SZKJNGWDFCTQLH-ZETCQYMHSA-N 0 1 265.294 0.584 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCCN(C)C(C)=O)C1 ZINC001193765908 900040065 /nfs/dbraw/zinc/04/00/65/900040065.db2.gz DVPAUZREEAZFGI-HNNXBMFYSA-N 0 1 293.411 0.801 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](CO)CNC(=O)[C@@H]1CCCN1C ZINC001487965269 900190864 /nfs/dbraw/zinc/19/08/64/900190864.db2.gz XWLPQLFOGWPTPN-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCN(C(=O)c2ccn[nH]2)[C@H]1C ZINC001488118057 900224523 /nfs/dbraw/zinc/22/45/23/900224523.db2.gz HHGASWXXAUOAIC-QWRGUYRKSA-N 0 1 292.339 0.332 20 30 CCEDMN COCC#CCN1CC[C@H](NC(=O)CC2(O)CCC2)[C@H]1C ZINC001489265354 900456444 /nfs/dbraw/zinc/45/64/44/900456444.db2.gz SGSWLWAHTRCFCY-KGLIPLIRSA-N 0 1 294.395 0.520 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCCC(=O)NC)[C@H]1C ZINC001489313437 900465617 /nfs/dbraw/zinc/46/56/17/900465617.db2.gz CTULNWSEBIAJRT-OLZOCXBDSA-N 0 1 279.384 0.505 20 30 CCEDMN CN(CCCNC(=O)[C@H]1CC12CCC2)CC(=O)NCC#N ZINC001490256294 900584225 /nfs/dbraw/zinc/58/42/25/900584225.db2.gz WPSYFDLHUYHSMK-GFCCVEGCSA-N 0 1 292.383 0.254 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2ccco2)CC1 ZINC001490479603 900633277 /nfs/dbraw/zinc/63/32/77/900633277.db2.gz UJFUELQGIIBHKY-UHFFFAOYSA-N 0 1 263.341 0.813 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2ccco2)CC1 ZINC001490479743 900634969 /nfs/dbraw/zinc/63/49/69/900634969.db2.gz YSYLXDDECARYTQ-UHFFFAOYSA-N 0 1 275.352 0.650 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H](OC)[C@@H](C)CC)CC1 ZINC001490494625 900641242 /nfs/dbraw/zinc/64/12/42/900641242.db2.gz LXSRVNIAZSTTNL-LSDHHAIUSA-N 0 1 297.443 0.967 20 30 CCEDMN C=C(C)CCC(=O)NCCN1CCN(C[C@@H](C)O)CC1 ZINC001490493713 900640832 /nfs/dbraw/zinc/64/08/32/900640832.db2.gz QRTXGLRVQZDRRK-CQSZACIVSA-N 0 1 283.416 0.457 20 30 CCEDMN CC#CCN1CCC(N(CCC)C(=O)C(N)=O)CC1 ZINC001490619359 900676872 /nfs/dbraw/zinc/67/68/72/900676872.db2.gz ZVRBUHZRKGRBBC-UHFFFAOYSA-N 0 1 265.357 0.198 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@H]1CCCN(C(=O)CC)C1 ZINC001490747493 900715664 /nfs/dbraw/zinc/71/56/64/900715664.db2.gz FKVXAWNPNMQDIX-CYBMUJFWSA-N 0 1 279.384 0.316 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CNC(C)=O ZINC001490783314 900722920 /nfs/dbraw/zinc/72/29/20/900722920.db2.gz DJXLWVYXRDMHBN-BETUJISGSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCNC(=O)COC)C2 ZINC001110621784 900728447 /nfs/dbraw/zinc/72/84/47/900728447.db2.gz TYJKUSURCRMYCV-UPJWGTAASA-N 0 1 295.383 0.047 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)CNCc1ncccn1 ZINC001319185757 900873230 /nfs/dbraw/zinc/87/32/30/900873230.db2.gz LGXTUDZXPGPVDE-ZDUSSCGKSA-N 0 1 274.368 0.978 20 30 CCEDMN C#CCN(C(=O)c1ccn[nH]1)C1CCN(C(=O)CC)CC1 ZINC001299455127 901007293 /nfs/dbraw/zinc/00/72/93/901007293.db2.gz QTRMUNIREVRGRN-UHFFFAOYSA-N 0 1 288.351 0.886 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)CCOC(C)C ZINC001275506040 901102634 /nfs/dbraw/zinc/10/26/34/901102634.db2.gz LGJQAGOBDCWYAW-AWEZNQCLSA-N 0 1 284.400 0.888 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001412603207 901861794 /nfs/dbraw/zinc/86/17/94/901861794.db2.gz BBSHFWBHVFIXTH-SNVBAGLBSA-N 0 1 299.338 0.505 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC3(C[C@@H]3C(N)=O)C2)c1O ZINC001276215593 901971424 /nfs/dbraw/zinc/97/14/24/901971424.db2.gz MYQKBMWOVQDRRK-SNVBAGLBSA-N 0 1 271.276 0.211 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1C[C@@H](NCc2cscn2)C1 ZINC001491017527 903293969 /nfs/dbraw/zinc/29/39/69/903293969.db2.gz NVWNYXDIOPEUIC-TXEJJXNPSA-N 0 1 293.392 0.920 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cnns1 ZINC001491400532 903547079 /nfs/dbraw/zinc/54/70/79/903547079.db2.gz CTQNNDZXXITUFD-ZETCQYMHSA-N 0 1 260.750 0.999 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CC[C@H](c3[nH]ncc3N)C2)c1 ZINC001413795407 903665401 /nfs/dbraw/zinc/66/54/01/903665401.db2.gz VZVMUFAFIBKKOL-JTQLQIEISA-N 0 1 282.307 0.888 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](NCc2ncnn2CCF)C1 ZINC001398608244 914738270 /nfs/dbraw/zinc/73/82/70/914738270.db2.gz BJVYJFPKMQOYCA-GARJFASQSA-N 0 1 294.334 0.144 20 30 CCEDMN CC/C=C(\C)C(=O)NC[C@@H](CO)NCC#CCOC ZINC001331686674 904005057 /nfs/dbraw/zinc/00/50/57/904005057.db2.gz WGEUZUIFMNUCLK-WHGQRRHOSA-N 0 1 268.357 0.059 20 30 CCEDMN CC/C=C(/C)C(=O)NC[C@@H](CO)NCC#CCOC ZINC001331686669 904005855 /nfs/dbraw/zinc/00/58/55/904005855.db2.gz WGEUZUIFMNUCLK-OTAKNEKHSA-N 0 1 268.357 0.059 20 30 CCEDMN C=CCCC(=O)N[C@H](C)C1CN(C(=O)Cc2ncn[nH]2)C1 ZINC001280617427 904128425 /nfs/dbraw/zinc/12/84/25/904128425.db2.gz OEPMQOXVNWOKSR-SNVBAGLBSA-N 0 1 291.355 0.277 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)CCc1cnc[nH]1)CO2 ZINC001280944069 904180710 /nfs/dbraw/zinc/18/07/10/904180710.db2.gz GTUNKWWBESHQMU-ZDUSSCGKSA-N 0 1 290.367 0.488 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCC(=O)NC)C(C)(C)C1 ZINC001281545679 904302172 /nfs/dbraw/zinc/30/21/72/904302172.db2.gz CWFDQQXCFKIVRG-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1 ZINC001281800117 904350994 /nfs/dbraw/zinc/35/09/94/904350994.db2.gz UJEBTLAZPMFXDH-WDNDVIMCSA-N 0 1 276.380 0.609 20 30 CCEDMN C[C@@H](NCC#N)[C@@H](C)NC(=O)c1ccn(-c2ccncc2)n1 ZINC001282154888 904422736 /nfs/dbraw/zinc/42/27/36/904422736.db2.gz DHSSWZUSFZHYBP-VXGBXAGGSA-N 0 1 298.350 0.887 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@@](C)(O)C1CC1 ZINC001282370792 904460963 /nfs/dbraw/zinc/46/09/63/904460963.db2.gz AEIGVSFKOUZETH-IUODEOHRSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ncccc1OC ZINC001282485685 904491665 /nfs/dbraw/zinc/49/16/65/904491665.db2.gz LSDSBOZBWQMVRU-NSHDSACASA-N 0 1 261.325 0.774 20 30 CCEDMN C[C@@H](NC(=O)C#CC(C)(C)C)C1CN(CCO)C1 ZINC001282715406 904527293 /nfs/dbraw/zinc/52/72/93/904527293.db2.gz UTYLEZWLINDKOC-LLVKDONJSA-N 0 1 252.358 0.465 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](CNCc2cnon2)C1 ZINC001283031966 904675382 /nfs/dbraw/zinc/67/53/82/904675382.db2.gz MDHKTOUIHQQPJO-OPRDCNLKSA-N 0 1 263.301 0.214 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC001327914952 914855791 /nfs/dbraw/zinc/85/57/91/914855791.db2.gz XSTCTXZZAUCWNJ-NEPJUHHUSA-N 0 1 279.384 0.810 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CC[C@@H]1CCCO1 ZINC001283736281 904983792 /nfs/dbraw/zinc/98/37/92/904983792.db2.gz VFHSGZPMDZGGTB-STQMWFEESA-N 0 1 268.357 0.036 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC001283813360 905031658 /nfs/dbraw/zinc/03/16/58/905031658.db2.gz OHZWROHVZKVOAV-XHSDSOJGSA-N 0 1 286.375 0.880 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(Cl)cc1 ZINC001283822495 905038211 /nfs/dbraw/zinc/03/82/11/905038211.db2.gz CQMTVIZUOUTIOU-LBPRGKRZSA-N 0 1 266.728 0.654 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001283878399 905073314 /nfs/dbraw/zinc/07/33/14/905073314.db2.gz OGSRHLCDCUYWCD-LLVKDONJSA-N 0 1 289.339 0.329 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001283878400 905073552 /nfs/dbraw/zinc/07/35/52/905073552.db2.gz OGSRHLCDCUYWCD-NSHDSACASA-N 0 1 289.339 0.329 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001283946891 905096467 /nfs/dbraw/zinc/09/64/67/905096467.db2.gz KMEDDVWMTAETGF-SNVBAGLBSA-N 0 1 291.355 0.642 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001284299215 905241838 /nfs/dbraw/zinc/24/18/38/905241838.db2.gz CKTOPBNKTXIPIY-MRVPVSSYSA-N 0 1 265.317 0.251 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@H](O)CNCC(=C)Cl ZINC001284419041 905284487 /nfs/dbraw/zinc/28/44/87/905284487.db2.gz XUPJXZPMPFNISK-VXGBXAGGSA-N 0 1 288.775 0.186 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C1=CCCC1 ZINC001284492887 905322573 /nfs/dbraw/zinc/32/25/73/905322573.db2.gz PRZZOWFPNLYMIV-CQSZACIVSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)Cc1occc1C ZINC001284510242 905342636 /nfs/dbraw/zinc/34/26/36/905342636.db2.gz VWFKVGVTJLSPQM-CYBMUJFWSA-N 0 1 278.352 0.515 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)CCCF ZINC001284508096 905345108 /nfs/dbraw/zinc/34/51/08/905345108.db2.gz BQBZBCAGVSTVPS-GFCCVEGCSA-N 0 1 258.337 0.511 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N(C)C1CC(NCC#N)C1 ZINC001284818649 905440553 /nfs/dbraw/zinc/44/05/53/905440553.db2.gz JJMCIXXPGZSHNN-HSBZDZAISA-N 0 1 294.399 0.057 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](CC)NC(=O)[C@H]1CCCN1C ZINC001285047948 905536663 /nfs/dbraw/zinc/53/66/63/905536663.db2.gz ZOEADZSNECEXRW-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CC[C@H](NCc2cnon2)CC1 ZINC001378438008 905624345 /nfs/dbraw/zinc/62/43/45/905624345.db2.gz UXOJTICZJNFMCS-GMTAPVOTSA-N 0 1 277.328 0.746 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001285778808 905763035 /nfs/dbraw/zinc/76/30/35/905763035.db2.gz UATHFACEOZAMJZ-QMTHXVAHSA-N 0 1 293.371 0.984 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N(C)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001285778808 905763048 /nfs/dbraw/zinc/76/30/48/905763048.db2.gz UATHFACEOZAMJZ-QMTHXVAHSA-N 0 1 293.371 0.984 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)[C@H]1C ZINC001287883548 905984866 /nfs/dbraw/zinc/98/48/66/905984866.db2.gz XGGPUCIZWOOPER-MNOVXSKESA-N 0 1 291.355 0.880 20 30 CCEDMN Cc1cc(CC(=O)NC2(CNC(=O)[C@@H](C)C#N)CC2)[nH]n1 ZINC001379082097 905985421 /nfs/dbraw/zinc/98/54/21/905985421.db2.gz MKJDEELFMOKSPY-VIFPVBQESA-N 0 1 289.339 0.185 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001379240138 906083132 /nfs/dbraw/zinc/08/31/32/906083132.db2.gz CLBCURPTRJRYFF-NOOOWODRSA-N 0 1 272.776 0.852 20 30 CCEDMN C#CC[C@@H]1CCCN(CN2C[C@H]3CCO[C@H]3C2=O)C1 ZINC001333790775 906109361 /nfs/dbraw/zinc/10/93/61/906109361.db2.gz RZSWKTTUQOZGJE-MGPQQGTHSA-N 0 1 262.353 0.927 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001295614960 906770575 /nfs/dbraw/zinc/77/05/75/906770575.db2.gz HPDTZYUOBISBLJ-NXEZZACHSA-N 0 1 279.344 0.642 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001295614960 906770585 /nfs/dbraw/zinc/77/05/85/906770585.db2.gz HPDTZYUOBISBLJ-NXEZZACHSA-N 0 1 279.344 0.642 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)C(=O)Nc2cccc(C#N)c2)CCN1C ZINC001337587196 921273557 /nfs/dbraw/zinc/27/35/57/921273557.db2.gz PDPHGRMBWZRXJD-GWCFXTLKSA-N 0 1 286.335 0.706 20 30 CCEDMN CCN(CCNC(=O)[C@H]1CCCN1C)C(=O)C#CC1CC1 ZINC001296352482 906902964 /nfs/dbraw/zinc/90/29/64/906902964.db2.gz VWAZINHTVWUMKZ-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CCC(=O)N1CCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001296921128 906976522 /nfs/dbraw/zinc/97/65/22/906976522.db2.gz ZVEXVTKIVAEDDH-NSHDSACASA-N 0 1 291.355 0.882 20 30 CCEDMN C[C@H](C#N)C(=O)NC/C=C\CNC(=O)[C@H]1CCCCN1C ZINC001298466976 907246640 /nfs/dbraw/zinc/24/66/40/907246640.db2.gz XLKCLNUGUFGONL-DIABFLQPSA-N 0 1 292.383 0.419 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001298603354 907286223 /nfs/dbraw/zinc/28/62/23/907286223.db2.gz HBOMZFAQMSMDKZ-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN COC[C@H]1CNCCN1C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC001336139676 907351150 /nfs/dbraw/zinc/35/11/50/907351150.db2.gz DKLKQRLNEXXELB-QJPTWQEYSA-N 0 1 266.345 0.308 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]1CNC(=O)[C@@H]1CCCN1C ZINC001298998126 907366222 /nfs/dbraw/zinc/36/62/22/907366222.db2.gz UQUYHKDVIFJDAZ-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)Cc1nnc(C)o1 ZINC001317171092 907400274 /nfs/dbraw/zinc/40/02/74/907400274.db2.gz NDEWPDPJBBNDPA-GFCCVEGCSA-N 0 1 276.340 0.524 20 30 CCEDMN C#CCN1CCC[C@H](Nc2ccc(S(N)(=O)=O)cc2)C1 ZINC001337954572 921324190 /nfs/dbraw/zinc/32/41/90/921324190.db2.gz COSRZYFZHQUIME-ZDUSSCGKSA-N 0 1 293.392 0.844 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1cncn1C ZINC001491636224 907472964 /nfs/dbraw/zinc/47/29/64/907472964.db2.gz CYELBRNJXBGHTJ-JTQLQIEISA-N 0 1 270.764 0.809 20 30 CCEDMN C[C@H](CNC(=O)c1[nH]nc2c1CCCCC2)NCC#N ZINC001491673464 907527972 /nfs/dbraw/zinc/52/79/72/907527972.db2.gz ITFTTYKXILTTOY-SNVBAGLBSA-N 0 1 275.356 0.910 20 30 CCEDMN N#CCNC[C@@H]1CCN(C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001491831976 907595136 /nfs/dbraw/zinc/59/51/36/907595136.db2.gz BKVLSUZMDSALEP-QMMMGPOBSA-N 0 1 283.282 0.923 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)CCOCCOC)C1 ZINC001491967125 907651941 /nfs/dbraw/zinc/65/19/41/907651941.db2.gz MMHUCCXEOWBRHH-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C[C@H](CNC(=O)CN1CCCC1)N(C)C(=O)[C@@H](C)C#N ZINC001382986395 907912555 /nfs/dbraw/zinc/91/25/55/907912555.db2.gz WTUUQEYQJHOSMH-NWDGAFQWSA-N 0 1 280.372 0.205 20 30 CCEDMN CN(CCNC(=O)c1cnon1)Cc1cccc(C#N)c1 ZINC001492463214 907934472 /nfs/dbraw/zinc/93/44/72/907934472.db2.gz QAVYNTWQXYXOGS-UHFFFAOYSA-N 0 1 285.307 0.803 20 30 CCEDMN C[C@@H](Nc1cccnc1C#N)[C@H]1CN(C)CCN1C ZINC001338084273 921364964 /nfs/dbraw/zinc/36/49/64/921364964.db2.gz MFIMEQYYPBHJAN-BXUZGUMPSA-N 0 1 259.357 0.999 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)CN2CCC(CO)CC2)C1 ZINC001337334024 908113062 /nfs/dbraw/zinc/11/30/62/908113062.db2.gz JKRSNWAFUPRTOT-CQSZACIVSA-N 0 1 278.396 0.953 20 30 CCEDMN C#CC[N@H+](CCO)[C@@H]1CCCN(C(=O)[C@@H]2CCCOC2)C1 ZINC001316743975 908562859 /nfs/dbraw/zinc/56/28/59/908562859.db2.gz LFKBAASOEXJCON-HUUCEWRRSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H]2CCCOC2)C1 ZINC001316743975 908562870 /nfs/dbraw/zinc/56/28/70/908562870.db2.gz LFKBAASOEXJCON-HUUCEWRRSA-N 0 1 294.395 0.332 20 30 CCEDMN CC(C)C[C@H](CCO)CNC(=O)NCC#CCN(C)C ZINC001312090041 908583990 /nfs/dbraw/zinc/58/39/90/908583990.db2.gz VTJRZAZYMRQSNW-AWEZNQCLSA-N 0 1 283.416 0.895 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@H]1CCCS1 ZINC001312295999 908592823 /nfs/dbraw/zinc/59/28/23/908592823.db2.gz VBTSYHCSFSKKDV-LLVKDONJSA-N 0 1 255.387 0.746 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(CCC)CCNC(=O)C(C)(C)F ZINC001317538083 908648429 /nfs/dbraw/zinc/64/84/29/908648429.db2.gz DHHQEZKWOWYKLI-GFCCVEGCSA-N 0 1 299.390 0.701 20 30 CCEDMN CC#CC[N@H+](CCO)[C@H]1CCCN(C(=O)[C@@H](CC)OC)C1 ZINC001316745230 908663697 /nfs/dbraw/zinc/66/36/97/908663697.db2.gz VXFKJAAHEIGWTN-LSDHHAIUSA-N 0 1 296.411 0.720 20 30 CCEDMN CC#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H](CC)OC)C1 ZINC001316745230 908663703 /nfs/dbraw/zinc/66/37/03/908663703.db2.gz VXFKJAAHEIGWTN-LSDHHAIUSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CC[N@H+](CCO)[C@@H]1CCCN(C(=O)CCOC(C)C)C1 ZINC001316745240 908664097 /nfs/dbraw/zinc/66/40/97/908664097.db2.gz WBGVQRAOWHMSHD-OAHLLOKOSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)CCOC(C)C)C1 ZINC001316745240 908664107 /nfs/dbraw/zinc/66/41/07/908664107.db2.gz WBGVQRAOWHMSHD-OAHLLOKOSA-N 0 1 296.411 0.720 20 30 CCEDMN COC[C@@H]1CCCN(C(=O)NCC#CCN(C)C)C1 ZINC001313439303 908665776 /nfs/dbraw/zinc/66/57/76/908665776.db2.gz WAHCYDBOOCLGHE-CYBMUJFWSA-N 0 1 267.373 0.619 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@]1(O)CCCC1(C)C ZINC001313439538 908665821 /nfs/dbraw/zinc/66/58/21/908665821.db2.gz NAPDODPQCAYISO-OAHLLOKOSA-N 0 1 281.400 0.792 20 30 CCEDMN C=CCN(C(=O)NCC#CCN(C)C)[C@@H](C)COC ZINC001313439833 908667750 /nfs/dbraw/zinc/66/77/50/908667750.db2.gz URKQQRWUZHUZHJ-ZDUSSCGKSA-N 0 1 267.373 0.784 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CCN(CCOC(C)C)C1 ZINC001316976696 908710705 /nfs/dbraw/zinc/71/07/05/908710705.db2.gz BAVJTKVDGUOZCV-ZIAGYGMSSA-N 0 1 282.384 0.640 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN(C)CC(=O)NC(C)(C)C ZINC001316815837 908740741 /nfs/dbraw/zinc/74/07/41/908740741.db2.gz USFTYIZTXFVZGL-LLVKDONJSA-N 0 1 282.388 0.499 20 30 CCEDMN C#CC[NH2+]C[C@@H]1C[C@H](C)CCN1C(=O)Cc1nnc[n-]1 ZINC001316705771 908756338 /nfs/dbraw/zinc/75/63/38/908756338.db2.gz HQSBMJGCTDLPRN-NEPJUHHUSA-N 0 1 275.356 0.197 20 30 CCEDMN CC#CCNCc1cc(=O)[nH]c(CNC(=O)C(C)=CC)n1 ZINC001316715189 908761822 /nfs/dbraw/zinc/76/18/22/908761822.db2.gz IBXPXKCRNGWSAE-WZUFQYTHSA-N 0 1 288.351 0.878 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)CNC(=O)CC)CC(C)(C)C1 ZINC001316807711 908778230 /nfs/dbraw/zinc/77/82/30/908778230.db2.gz HUXFDFBQMXAMNW-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN CCN(CCCNC(=O)[C@@H](C)C#N)Cc1ccnn1C ZINC001316886952 908819387 /nfs/dbraw/zinc/81/93/87/908819387.db2.gz NEDGJAMASTYKNF-LBPRGKRZSA-N 0 1 277.372 0.908 20 30 CCEDMN C#CCNC(=O)CN(CC)CCCNC(=O)[C@H]1CC12CC2 ZINC001316903083 908824105 /nfs/dbraw/zinc/82/41/05/908824105.db2.gz FWBRCCKVLUKJAQ-CYBMUJFWSA-N 0 1 291.395 0.364 20 30 CCEDMN CC[C@H](OC)C(=O)N(C)C1CCN(CC#CCOC)CC1 ZINC001316932225 908853487 /nfs/dbraw/zinc/85/34/87/908853487.db2.gz VVQUJRUPUQWGJF-HNNXBMFYSA-N 0 1 296.411 0.984 20 30 CCEDMN CC#CCN1CCN(CCCNC(=O)C[C@H](C)OC)CC1 ZINC001316956697 908870664 /nfs/dbraw/zinc/87/06/64/908870664.db2.gz DYABQEGTBSVJJR-HNNXBMFYSA-N 0 1 295.427 0.559 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](NCc2nncn2C)C1 ZINC001317073395 908964405 /nfs/dbraw/zinc/96/44/05/908964405.db2.gz RKTYZFRSUZHQKI-XYPYZODXSA-N 0 1 263.345 0.518 20 30 CCEDMN C#CCC[NH2+][C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001317108805 908984834 /nfs/dbraw/zinc/98/48/34/908984834.db2.gz MVAFBZZUIDXGML-PHIMTYICSA-N 0 1 259.309 0.661 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1C[C@@H](NCc2cscn2)C1 ZINC001317110393 908987899 /nfs/dbraw/zinc/98/78/99/908987899.db2.gz SSXMTGKWMMDJKY-UTUOFQBUSA-N 0 1 293.392 0.918 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](NCc2cnnn2C)C1 ZINC001317298765 909124275 /nfs/dbraw/zinc/12/42/75/909124275.db2.gz LFYRAWQXXHLPQY-LBPRGKRZSA-N 0 1 275.356 0.165 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](O)[C@@H](C)C2)CC1 ZINC001338465573 921474282 /nfs/dbraw/zinc/47/42/82/921474282.db2.gz QPDANIIZJSCJTO-JSGCOSHPSA-N 0 1 264.369 0.561 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C1CCCC1 ZINC001317437184 909192847 /nfs/dbraw/zinc/19/28/47/909192847.db2.gz NGNLSLZQIMXBGO-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1n[nH]c2c1CCCC2 ZINC001317454252 909225505 /nfs/dbraw/zinc/22/55/05/909225505.db2.gz ZACBXWKEHNFURC-UHFFFAOYSA-N 0 1 274.368 0.973 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@]1(C)CCOC1 ZINC001317465534 909244931 /nfs/dbraw/zinc/24/49/31/909244931.db2.gz BTNGDXLPTAFEOP-CQSZACIVSA-N 0 1 268.357 0.111 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cnn2c1CCC2 ZINC001317479574 909248403 /nfs/dbraw/zinc/24/84/03/909248403.db2.gz LWMIOKQMJWQPQA-UHFFFAOYSA-N 0 1 274.368 0.904 20 30 CCEDMN Cc1ncc(C(=O)N2CC(CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001387925391 909311803 /nfs/dbraw/zinc/31/18/03/909311803.db2.gz OFCBNOJAOBNYLP-MRVPVSSYSA-N 0 1 275.312 0.066 20 30 CCEDMN COCC#CCN(CCNC(=O)c1ccn(C)c1)C1CC1 ZINC001317549819 909314638 /nfs/dbraw/zinc/31/46/38/909314638.db2.gz IWOUISQIMWHURJ-UHFFFAOYSA-N 0 1 289.379 0.869 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@H]1CO[C@@H](C)C1)C1CC1 ZINC001317553277 909325670 /nfs/dbraw/zinc/32/56/70/909325670.db2.gz IJXJEBWORDTJSN-UONOGXRCSA-N 0 1 294.395 0.642 20 30 CCEDMN CC(=O)NCC(=O)N(C)CCNCC#Cc1ccccc1 ZINC001317562685 909336173 /nfs/dbraw/zinc/33/61/73/909336173.db2.gz BZBRDXHQGZBBOY-UHFFFAOYSA-N 0 1 287.363 0.222 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCNCC(=O)Nc1ccon1 ZINC001317586605 909388369 /nfs/dbraw/zinc/38/83/69/909388369.db2.gz XNWFWQLYCKLLOG-UHFFFAOYSA-N 0 1 292.339 0.321 20 30 CCEDMN CN(CCNCC#Cc1ccccc1)C(=O)[C@H]1CCNC1=O ZINC001317619212 909413384 /nfs/dbraw/zinc/41/33/84/909413384.db2.gz TVVXMNYUELZBFL-HNNXBMFYSA-N 0 1 299.374 0.222 20 30 CCEDMN CC#CCN[C@@H](CNC(=O)c1cn(C)nn1)c1ccccc1 ZINC001317718679 909476565 /nfs/dbraw/zinc/47/65/65/909476565.db2.gz PGCRTHZQAGMOFM-AWEZNQCLSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)NC(C)=O)c1ccccc1 ZINC001317715314 909476615 /nfs/dbraw/zinc/47/66/15/909476615.db2.gz ZQSIHTIKPXXGFJ-DOMZBBRYSA-N 0 1 287.363 0.591 20 30 CCEDMN CC[C@@H](C)C(=O)NCC[C@H]1CCN(CC(=O)NCC#N)C1 ZINC001317774970 909491106 /nfs/dbraw/zinc/49/11/06/909491106.db2.gz NBSRSNTYNGFFKM-OLZOCXBDSA-N 0 1 294.399 0.500 20 30 CCEDMN Cc1nc([C@H](C)N2CC(NC(=O)C#CC3CC3)C2)n[nH]1 ZINC001318023960 909587376 /nfs/dbraw/zinc/58/73/76/909587376.db2.gz CEHUCMCNZQKHBT-VIFPVBQESA-N 0 1 273.340 0.388 20 30 CCEDMN C=CCN1CC(CNC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001318129804 909637588 /nfs/dbraw/zinc/63/75/88/909637588.db2.gz QJSCLOLKMPBJON-UHFFFAOYSA-N 0 1 274.368 0.995 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001318138959 909639393 /nfs/dbraw/zinc/63/93/93/909639393.db2.gz SYVFQFVGYSKTID-AWEZNQCLSA-N 0 1 292.383 0.732 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](CC)OC ZINC001318191052 909659506 /nfs/dbraw/zinc/65/95/06/909659506.db2.gz WFAMHCADQSHTTC-IHRRRGAJSA-N 0 1 282.384 0.899 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@](C)(O)C=C ZINC001318196268 909662694 /nfs/dbraw/zinc/66/26/94/909662694.db2.gz QDCXSNJIEQEBBO-KCQAQPDRSA-N 0 1 262.353 0.622 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](O)COC ZINC001318208896 909663891 /nfs/dbraw/zinc/66/38/91/909663891.db2.gz CUIKWLSHHHUBFW-IHRRRGAJSA-N 0 1 296.411 0.881 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1CCN(CCn2cncn2)C1 ZINC001318309052 909697582 /nfs/dbraw/zinc/69/75/82/909697582.db2.gz FNRJDRGZLHHALX-ZDUSSCGKSA-N 0 1 293.371 0.061 20 30 CCEDMN N#CCSCC(=O)N[C@@H]1CCN(CCOCC2CC2)C1 ZINC001318311962 909701299 /nfs/dbraw/zinc/70/12/99/909701299.db2.gz JFBBUEXAAPFPAZ-CYBMUJFWSA-N 0 1 297.424 0.860 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2cnn(C)c2)C1 ZINC001318374837 909728523 /nfs/dbraw/zinc/72/85/23/909728523.db2.gz XBVGZJZJRIIUGQ-CQSZACIVSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCCCCC(=O)N(C)[C@H]1CCN([C@@H](CC)C(N)=O)C1 ZINC001318400368 909744554 /nfs/dbraw/zinc/74/45/54/909744554.db2.gz PCBATJUQYOUUEN-KBPBESRZSA-N 0 1 293.411 0.977 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)CN2CCCC2=O)C1 ZINC001318458275 909765162 /nfs/dbraw/zinc/76/51/62/909765162.db2.gz JZEUBZRFWLEAMI-AWEZNQCLSA-N 0 1 291.395 0.555 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CNC(=O)c1[nH]nc2c1CCC2 ZINC001389492953 909938908 /nfs/dbraw/zinc/93/89/08/909938908.db2.gz WLSAOYQCQGHDJP-IUCAKERBSA-N 0 1 289.339 0.293 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCSC[C@@H]2c2nn[nH]n2)[nH]1 ZINC001417719280 921570765 /nfs/dbraw/zinc/57/07/65/921570765.db2.gz BDSFTVIMHKCQAU-SECBINFHSA-N 0 1 289.324 0.330 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccnc(-n2ccnc2)c1 ZINC001320084118 910451367 /nfs/dbraw/zinc/45/13/67/910451367.db2.gz DHYWMXBZSYFLGE-UHFFFAOYSA-N 0 1 297.362 0.904 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(C(N)=O)cc1 ZINC001320080285 910451431 /nfs/dbraw/zinc/45/14/31/910451431.db2.gz KPTWMYJZRCQTGQ-UHFFFAOYSA-N 0 1 287.363 0.813 20 30 CCEDMN CN1CC(C(=O)N[C@H]2CCC[C@@H]2CNCC#N)=NC1=O ZINC001320166621 910486953 /nfs/dbraw/zinc/48/69/53/910486953.db2.gz RYJCZXSLBFFHSS-ZJUUUORDSA-N 0 1 277.328 0.137 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@@H]1CC[N@H+](C)C1 ZINC001338805093 921580512 /nfs/dbraw/zinc/58/05/12/921580512.db2.gz UGDCNJJZNDOEOB-GFCCVEGCSA-N 0 1 286.335 0.626 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@@H]1CCN(C)C1 ZINC001338805093 921580524 /nfs/dbraw/zinc/58/05/24/921580524.db2.gz UGDCNJJZNDOEOB-GFCCVEGCSA-N 0 1 286.335 0.626 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@H](O)CNCC(=C)Cl)c1 ZINC001320272284 910570498 /nfs/dbraw/zinc/57/04/98/910570498.db2.gz HUMMKCFFGNRTKU-CYBMUJFWSA-N 0 1 293.754 0.496 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H]1CC(=O)OCC ZINC001320454362 910680280 /nfs/dbraw/zinc/68/02/80/910680280.db2.gz AUMQUDHARASWPZ-NSHDSACASA-N 0 1 297.355 0.416 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCN(CC(=O)N2CCCC2)C1 ZINC001391090797 910871171 /nfs/dbraw/zinc/87/11/71/910871171.db2.gz UKTVPBPOXQAMFO-CHWSQXEVSA-N 0 1 292.383 0.207 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CC[C@@H](NCc2cnon2)C1 ZINC001417803431 921621244 /nfs/dbraw/zinc/62/12/44/921621244.db2.gz DXRKORIYRNGGJY-OUAUKWLOSA-N 0 1 277.328 0.604 20 30 CCEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)Cn2cncn2)C1 ZINC001391351309 911063339 /nfs/dbraw/zinc/06/33/39/911063339.db2.gz DDNVNWNTRLZXGV-GFCCVEGCSA-N 0 1 297.790 0.811 20 30 CCEDMN N#Cc1cccc(CN2C[C@H](CO)[C@H](CCO)C2)n1 ZINC001474753740 911094823 /nfs/dbraw/zinc/09/48/23/911094823.db2.gz BTAAOJQTTDURIN-VXGBXAGGSA-N 0 1 261.325 0.376 20 30 CCEDMN C[C@H](NC(=O)C(=O)Nc1cccc(C#N)c1)c1nnc[nH]1 ZINC001321677366 911437435 /nfs/dbraw/zinc/43/74/35/911437435.db2.gz MEBAYJLOUVLWOT-QMMMGPOBSA-N 0 1 284.279 0.492 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@H](C)n1cccn1 ZINC001392358016 911756982 /nfs/dbraw/zinc/75/69/82/911756982.db2.gz WIQDWQPTFMTGCO-QWRGUYRKSA-N 0 1 286.763 0.263 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccns1 ZINC001392373729 911768221 /nfs/dbraw/zinc/76/82/21/911768221.db2.gz ITCSLLOPBLDXPF-QMMMGPOBSA-N 0 1 275.761 0.576 20 30 CCEDMN C[N@H+]1CCCC[C@H]1C(=O)N[C@@H](CNCC#N)C1CC1 ZINC001323046112 912087397 /nfs/dbraw/zinc/08/73/97/912087397.db2.gz BBRCQWIFEKUOFW-STQMWFEESA-N 0 1 264.373 0.479 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@@H](CNCC#N)C1CC1 ZINC001323046112 912087414 /nfs/dbraw/zinc/08/74/14/912087414.db2.gz BBRCQWIFEKUOFW-STQMWFEESA-N 0 1 264.373 0.479 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)Cn1cc(C)cn1 ZINC001323196316 912171939 /nfs/dbraw/zinc/17/19/39/912171939.db2.gz XMJGCKPTOZJMSH-ZDUSSCGKSA-N 0 1 260.341 0.405 20 30 CCEDMN Cc1cc(CNC(=O)N2CC[C@@](O)(CC#N)C2)n[nH]1 ZINC001328134721 914989529 /nfs/dbraw/zinc/98/95/29/914989529.db2.gz LKHHFWKARBYUSS-LBPRGKRZSA-N 0 1 263.301 0.278 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)/C=C/c2ccco2)CC1 ZINC001328509745 915240946 /nfs/dbraw/zinc/24/09/46/915240946.db2.gz MPWIQLQCQGEUOY-AATRIKPKSA-N 0 1 288.347 0.869 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@@H](F)CC)CC1 ZINC001328543192 915263285 /nfs/dbraw/zinc/26/32/85/915263285.db2.gz VKWCVLCYNLPHAI-LBPRGKRZSA-N 0 1 270.348 0.701 20 30 CCEDMN C=CC[C@H](NC(=O)[C@H]1CCC[N@H+]1C1CC1)C(=O)[O-] ZINC001329289183 915797191 /nfs/dbraw/zinc/79/71/91/915797191.db2.gz UMTGMJPFTHPQQM-WDEREUQCSA-N 0 1 252.314 0.759 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CCn1cnnn1 ZINC001400846849 915957569 /nfs/dbraw/zinc/95/75/69/915957569.db2.gz FWRXMLHYVOOSMA-SNVBAGLBSA-N 0 1 286.767 0.300 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@](C)(NC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001400928848 915990357 /nfs/dbraw/zinc/99/03/57/915990357.db2.gz PTTFPTQIEFXTDX-XPTSAGLGSA-N 0 1 289.339 0.599 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H](NC(N)=O)C(C)(C)C ZINC001329592741 916043209 /nfs/dbraw/zinc/04/32/09/916043209.db2.gz SZJQFFRIWAAXSF-GHMZBOCLSA-N 0 1 282.388 0.139 20 30 CCEDMN C#CC[C@H]1NC(=O)N(Cc2n[nH]c([C@@H]3CCCO3)n2)C1=O ZINC001329871785 916245122 /nfs/dbraw/zinc/24/51/22/916245122.db2.gz WSOHTFLUWDXQGQ-BDAKNGLRSA-N 0 1 289.295 0.100 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1=COCCC1 ZINC001401509169 916299040 /nfs/dbraw/zinc/29/90/40/916299040.db2.gz WDKSSYQDDCFPAA-LLVKDONJSA-N 0 1 274.748 0.500 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)C1CN(CCn2cncn2)C1 ZINC001329983866 916337053 /nfs/dbraw/zinc/33/70/53/916337053.db2.gz LGKRLWAIELKCBV-CYBMUJFWSA-N 0 1 289.383 0.518 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)C1CN(CC=C)C1 ZINC001329996858 916341968 /nfs/dbraw/zinc/34/19/68/916341968.db2.gz GUSQKSOZLVPAEP-LBPRGKRZSA-N 0 1 250.342 0.649 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H](COC)OC)C(C)(C)C1 ZINC001330229032 916493186 /nfs/dbraw/zinc/49/31/86/916493186.db2.gz AXOZQTCBOZEYBC-CHWSQXEVSA-N 0 1 282.384 0.498 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cn(C)c(=O)[nH]1)C1CC1 ZINC001401858317 916635900 /nfs/dbraw/zinc/63/59/00/916635900.db2.gz BPZHCDJANLYKGJ-SNVBAGLBSA-N 0 1 298.774 0.976 20 30 CCEDMN CN[C@H](C(=O)N1CC[C@](C)(C#N)C1)c1cnn(C)c1 ZINC001330595418 916728198 /nfs/dbraw/zinc/72/81/98/916728198.db2.gz VYKWMMVRLMZYBM-WCQYABFASA-N 0 1 261.329 0.443 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1CNC(=O)N1 ZINC001402062134 916782726 /nfs/dbraw/zinc/78/27/26/916782726.db2.gz TUFQWAMKEAEYHX-VHSXEESVSA-N 0 1 286.763 0.001 20 30 CCEDMN COCC#CC(=O)NC1CCN(Cc2cccnc2)CC1 ZINC001330900633 916961138 /nfs/dbraw/zinc/96/11/38/916961138.db2.gz NTACZGXOQXYWMW-UHFFFAOYSA-N 0 1 287.363 0.812 20 30 CCEDMN CCn1ncnc1CN[C@H]1C[C@@H](NC(=O)[C@H](C)C#N)C1 ZINC001402576553 917106265 /nfs/dbraw/zinc/10/62/65/917106265.db2.gz IVPDPGNVLKLSQU-MXWKQRLJSA-N 0 1 276.344 0.194 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)NCc2cn[nH]c2C)C1 ZINC001331144807 917123972 /nfs/dbraw/zinc/12/39/72/917123972.db2.gz GYMPEILZUJWPGZ-LLVKDONJSA-N 0 1 276.340 0.759 20 30 CCEDMN N#CCSCC(=O)N1CCC(N2CCOCC2)CC1 ZINC001331662301 917491451 /nfs/dbraw/zinc/49/14/51/917491451.db2.gz FWNWZCFHAMIWGC-UHFFFAOYSA-N 0 1 283.397 0.566 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(COC)cs1 ZINC001331739313 917563726 /nfs/dbraw/zinc/56/37/26/917563726.db2.gz IFANGWXBHHDEMY-LBPRGKRZSA-N 0 1 296.392 0.598 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC001331744343 917570458 /nfs/dbraw/zinc/57/04/58/917570458.db2.gz JGEASVFZHDHTOO-YNEHKIRRSA-N 0 1 292.383 0.251 20 30 CCEDMN C#CC[C@@H]1CCC[N@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001331948612 917746497 /nfs/dbraw/zinc/74/64/97/917746497.db2.gz DOKUUTBNOMCYGW-LLVKDONJSA-N 0 1 262.313 0.598 20 30 CCEDMN Cc1cc(CN[C@@H](C)CN(C)C(=O)[C@H](C)C#N)ncn1 ZINC001403523404 917767030 /nfs/dbraw/zinc/76/70/30/917767030.db2.gz IUURMBQGLXXDDX-PWSUYJOCSA-N 0 1 275.356 0.881 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H](CO)NCc2cccnc2)c[nH]1 ZINC001403654566 917890362 /nfs/dbraw/zinc/89/03/62/917890362.db2.gz KHTDCYXRLIVFMZ-AWEZNQCLSA-N 0 1 299.334 0.162 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H]2CC[C@@H](C(=O)[O-])C2)CC1 ZINC001332180723 917938448 /nfs/dbraw/zinc/93/84/48/917938448.db2.gz WYHMNNGQZAGIFE-QWHCGFSZSA-N 0 1 278.352 0.655 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCc2cnn(C)n2)[C@H]1C ZINC001332205314 917954995 /nfs/dbraw/zinc/95/49/95/917954995.db2.gz JIWRMMSJYFEDEL-OCCSQVGLSA-N 0 1 289.383 0.350 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCc2cnn(C)n2)[C@@H]1C ZINC001332205312 917955790 /nfs/dbraw/zinc/95/57/90/917955790.db2.gz JIWRMMSJYFEDEL-GXTWGEPZSA-N 0 1 289.383 0.350 20 30 CCEDMN C=C(C)Cn1[nH]c(C)c([C@@H]2CCS(=O)(=O)C2)c1=O ZINC001332221428 917963131 /nfs/dbraw/zinc/96/31/31/917963131.db2.gz GZOFIFJLRRQMEX-MNOVXSKESA-N 0 1 270.354 0.832 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](O)CN(C)Cc1cccnc1 ZINC001403895760 918093432 /nfs/dbraw/zinc/09/34/32/918093432.db2.gz MYEWLZWYRSYSCB-TZMCWYRMSA-N 0 1 290.367 0.492 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nn(C)cc2[N+](=O)[O-])CC1 ZINC001332475552 918193332 /nfs/dbraw/zinc/19/33/32/918193332.db2.gz AYVZOKDJICJDJH-UHFFFAOYSA-N 0 1 291.311 0.156 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)CC[C@@H]1CCCO1 ZINC001332617017 918316627 /nfs/dbraw/zinc/31/66/27/918316627.db2.gz BTYWJZZZOAKUGQ-GJZGRUSLSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccn(C)c1C ZINC001332663564 918362480 /nfs/dbraw/zinc/36/24/80/918362480.db2.gz NTJJCXYGNIGWLQ-ZDUSSCGKSA-N 0 1 277.368 0.331 20 30 CCEDMN CCn1ccc(CNC[C@H](C)N(C)C(=O)[C@H](C)C#N)n1 ZINC001405791511 918900582 /nfs/dbraw/zinc/90/05/82/918900582.db2.gz HOOZUEZERSFMFL-NEPJUHHUSA-N 0 1 277.372 0.999 20 30 CCEDMN C=CC(C)(C)NC(=O)NCc1n[nH]c(COC)n1 ZINC001333791414 919164249 /nfs/dbraw/zinc/16/42/49/919164249.db2.gz CTBUHNLGNTUWFH-UHFFFAOYSA-N 0 1 253.306 0.715 20 30 CCEDMN C=CC(C)(C)NC(=O)NCc1nnc(COC)[nH]1 ZINC001333791414 919164260 /nfs/dbraw/zinc/16/42/60/919164260.db2.gz CTBUHNLGNTUWFH-UHFFFAOYSA-N 0 1 253.306 0.715 20 30 CCEDMN CC[C@@H](C(N)=O)N(CC)CCNC(=O)C#CC(C)C ZINC001492953939 919400438 /nfs/dbraw/zinc/40/04/38/919400438.db2.gz YOSGSAKSLBMBOW-LBPRGKRZSA-N 0 1 267.373 0.348 20 30 CCEDMN N#CCCCCS(=O)(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC001334275107 919470133 /nfs/dbraw/zinc/47/01/33/919470133.db2.gz AHTBRHJFMDZVHS-JTQLQIEISA-N 0 1 283.357 0.618 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H]2CCNC2=O)CC1 ZINC001406949295 919552438 /nfs/dbraw/zinc/55/24/38/919552438.db2.gz VELIAQTZDJKOHP-JTQLQIEISA-N 0 1 285.775 0.504 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@@H](C)[C@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC001334449588 919594526 /nfs/dbraw/zinc/59/45/26/919594526.db2.gz UMHWYVLNVOHXND-GARJFASQSA-N 0 1 285.344 0.034 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@@H](C)[C@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC001334449588 919594535 /nfs/dbraw/zinc/59/45/35/919594535.db2.gz UMHWYVLNVOHXND-GARJFASQSA-N 0 1 285.344 0.034 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnn2ccncc12 ZINC001492967000 919864816 /nfs/dbraw/zinc/86/48/16/919864816.db2.gz BSFJKLBICBTPBJ-UHFFFAOYSA-N 0 1 271.324 0.414 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2cc[nH]n2)CCO1 ZINC001407830138 919914334 /nfs/dbraw/zinc/91/43/34/919914334.db2.gz JCFOJOWIGCMZLT-JTQLQIEISA-N 0 1 284.747 0.593 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)[C@@H]1CCN(C)C1=O ZINC001407876315 919934265 /nfs/dbraw/zinc/93/42/65/919934265.db2.gz LFXXUBTYNBBKMR-VXGBXAGGSA-N 0 1 280.372 0.157 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccn(CC(F)F)n1 ZINC001335966899 920532614 /nfs/dbraw/zinc/53/26/14/920532614.db2.gz LRUZFLUDAVQRDP-UHFFFAOYSA-N 0 1 285.298 0.835 20 30 CCEDMN C#CCCCCNC(=O)CN1CCC(CO)CC1 ZINC001336404149 920756624 /nfs/dbraw/zinc/75/66/24/920756624.db2.gz NGAQKPCAVMPVNF-UHFFFAOYSA-N 0 1 252.358 0.610 20 30 CCEDMN C#CCN(C(=O)c1cnncc1[O-])C1CC[NH+](C)CC1 ZINC001336660063 920911801 /nfs/dbraw/zinc/91/18/01/920911801.db2.gz NKTBIKLIELDYJD-UHFFFAOYSA-N 0 1 274.324 0.352 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@@H]1CCOC)[C@@H]1CCCO1 ZINC001336857328 921061166 /nfs/dbraw/zinc/06/11/66/921061166.db2.gz BLKUCVRQLSDKSR-IHRRRGAJSA-N 0 1 295.383 0.187 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@H]1CCOC)[C@@H]1CCCO1 ZINC001336857330 921061600 /nfs/dbraw/zinc/06/16/00/921061600.db2.gz BLKUCVRQLSDKSR-RDBSUJKOSA-N 0 1 295.383 0.187 20 30 CCEDMN C=CC[C@H]1NC(=O)N(CCN2CCSCC2)C1=O ZINC001340049436 921935905 /nfs/dbraw/zinc/93/59/05/921935905.db2.gz ONLJYLGHLHTGOC-SNVBAGLBSA-N 0 1 269.370 0.532 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)CC1 ZINC001340096830 921953774 /nfs/dbraw/zinc/95/37/74/921953774.db2.gz NEWKFDUFSIQROF-RWMBFGLXSA-N 0 1 293.367 0.142 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](CCC)NC(N)=O ZINC001418302602 922006519 /nfs/dbraw/zinc/00/65/19/922006519.db2.gz NXGYDEPCIUZBLS-NXEZZACHSA-N 0 1 290.795 0.670 20 30 CCEDMN C#CCNCC(=O)NCc1nc2cc(OC)ccc2n1C ZINC001340213929 922011252 /nfs/dbraw/zinc/01/12/52/922011252.db2.gz CRGAEIYETPFKIS-UHFFFAOYSA-N 0 1 286.335 0.421 20 30 CCEDMN C#CCNCC(=O)N1CCC([C@@H]2CCCO2)CC1 ZINC001340216037 922011680 /nfs/dbraw/zinc/01/16/80/922011680.db2.gz NYUSLJSHPLLXHV-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CC[C@](F)(C#N)C1 ZINC001340379780 922072049 /nfs/dbraw/zinc/07/20/49/922072049.db2.gz HUOMBLSBYKNNMJ-LBPRGKRZSA-N 0 1 288.290 0.691 20 30 CCEDMN C#CCNCC(=O)N1CCC(C2CCOCC2)CC1 ZINC001340402025 922076510 /nfs/dbraw/zinc/07/65/10/922076510.db2.gz VDMUKTPIDGQMSA-UHFFFAOYSA-N 0 1 264.369 0.874 20 30 CCEDMN C#CCNCC(=O)N1CCCN(c2nc(C)ns2)CC1 ZINC001340880009 922253348 /nfs/dbraw/zinc/25/33/48/922253348.db2.gz JQDDSGZOWMQXDI-UHFFFAOYSA-N 0 1 293.396 0.108 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCN(c2ncccc2F)C1 ZINC001340879219 922254016 /nfs/dbraw/zinc/25/40/16/922254016.db2.gz CPOAPBXKLZAHMX-LLVKDONJSA-N 0 1 276.315 0.138 20 30 CCEDMN C#CCNCC(=O)N1CCN(C)c2ncccc2C1 ZINC001340925377 922279127 /nfs/dbraw/zinc/27/91/27/922279127.db2.gz URJOGTNYKLPIGQ-UHFFFAOYSA-N 0 1 258.325 0.083 20 30 CCEDMN C#CCNCC(=O)N(C)CCOc1ccccc1OC ZINC001341361069 922444622 /nfs/dbraw/zinc/44/46/22/922444622.db2.gz MYOMYBIQZBHNGK-UHFFFAOYSA-N 0 1 276.336 0.755 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1nncc2ccccc21 ZINC001341642486 922602129 /nfs/dbraw/zinc/60/21/29/922602129.db2.gz IOHWRZIFOKBJOO-PWSUYJOCSA-N 0 1 267.292 0.471 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)Cc1csc(CN)n1 ZINC001341987662 922755124 /nfs/dbraw/zinc/75/51/24/922755124.db2.gz HMIFYXPWBMJKDH-ONGXEEELSA-N 0 1 281.381 0.852 20 30 CCEDMN C#CCNCC(=O)NC1([C@@H]2CCCCO2)CCC1 ZINC001342317875 922907753 /nfs/dbraw/zinc/90/77/53/922907753.db2.gz GVWNEPXNYPTXTD-LBPRGKRZSA-N 0 1 250.342 0.817 20 30 CCEDMN CCn1nncc1CNC[C@@H](C)N(C)C(=O)[C@@H](C)C#N ZINC001419649084 922969155 /nfs/dbraw/zinc/96/91/55/922969155.db2.gz LZCPSMXFUDWTCR-WDEREUQCSA-N 0 1 278.360 0.394 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC001342463478 922973097 /nfs/dbraw/zinc/97/30/97/922973097.db2.gz YACPXJCVOPWGPM-JHJVBQTASA-N 0 1 285.388 0.284 20 30 CCEDMN C=CCNC(=O)C(C#N)C(=O)[C@H]1CCCN1C(C)C ZINC001342723313 923069421 /nfs/dbraw/zinc/06/94/21/923069421.db2.gz XARRSHXTYKIZPU-VXGBXAGGSA-N 0 1 263.341 0.870 20 30 CCEDMN C#CCN(CC)c1nnc(C2=NO[C@@H](CO)C2)n1CC ZINC001342754751 923079468 /nfs/dbraw/zinc/07/94/68/923079468.db2.gz OFFWMPHTMFRRJU-SNVBAGLBSA-N 0 1 277.328 0.243 20 30 CCEDMN C=C(C)Cn1c(C(N)=O)nnc1N1CCN(CC)[C@H](C)C1 ZINC001342978556 923153839 /nfs/dbraw/zinc/15/38/39/923153839.db2.gz LJRIZJFHSLXSNV-LLVKDONJSA-N 0 1 292.387 0.484 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](NC(C)=O)C(C)C ZINC001420365911 923581334 /nfs/dbraw/zinc/58/13/34/923581334.db2.gz QGIYSBUVDCGTKI-ZYHUDNBSSA-N 0 1 289.807 0.994 20 30 CCEDMN C#CCNCC(=O)NCc1cc(C)c(C(=O)OC)o1 ZINC001344420771 923600851 /nfs/dbraw/zinc/60/08/51/923600851.db2.gz BDXGYUFVIXNUOI-UHFFFAOYSA-N 0 1 264.281 0.214 20 30 CCEDMN C#CCNCC(=O)NCCc1cccc2c1OCCO2 ZINC001345129283 923799352 /nfs/dbraw/zinc/79/93/52/923799352.db2.gz FKTGJISYUMPYDD-UHFFFAOYSA-N 0 1 274.320 0.339 20 30 CCEDMN C=CCN(C)c1nnc(-c2c[nH]nn2)n1CCOC ZINC001345545732 923915976 /nfs/dbraw/zinc/91/59/76/923915976.db2.gz SMLSJVOOUOIKJQ-UHFFFAOYSA-N 0 1 263.305 0.332 20 30 CCEDMN C#Cc1cccc(NC(=O)[C@@H]2CN3CCN2C[C@@H]3C)c1 ZINC001345560309 923919361 /nfs/dbraw/zinc/91/93/61/923919361.db2.gz HALQVGZMMTXIQR-WFASDCNBSA-N 0 1 269.348 0.995 20 30 CCEDMN C=CCN(C)c1nnc(C2=NO[C@@H](CO)C2)n1CC1CC1 ZINC001346005188 924041996 /nfs/dbraw/zinc/04/19/96/924041996.db2.gz SCIGLJCKTUKYBT-LLVKDONJSA-N 0 1 291.355 0.796 20 30 CCEDMN N#C[C@@H](C(=O)CCc1nc[nH]n1)C(=O)NC1CCCC1 ZINC001346038739 924052919 /nfs/dbraw/zinc/05/29/19/924052919.db2.gz FXXIKJXFNYOVHM-JTQLQIEISA-N 0 1 275.312 0.505 20 30 CCEDMN C[C@@H](CNCC#C[C@@H]1CCCCO1)S(C)(=O)=O ZINC001346377982 924182197 /nfs/dbraw/zinc/18/21/97/924182197.db2.gz NTYFLGQRSDEVKF-RYUDHWBXSA-N 0 1 259.371 0.582 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@]1(C)CCN(CCn2cncn2)C1 ZINC001421182058 924194579 /nfs/dbraw/zinc/19/45/79/924194579.db2.gz FLAVASANBBGAOG-WCQYABFASA-N 0 1 276.344 0.018 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001346606720 924276350 /nfs/dbraw/zinc/27/63/50/924276350.db2.gz NCRXPWVBEOBYEE-HZSPNIEDSA-N 0 1 298.431 0.105 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)[C@@H]2CN3CCN2C[C@@H]3C)CC1 ZINC001347229475 924403148 /nfs/dbraw/zinc/40/31/48/924403148.db2.gz KXLNPXSJDOLLPL-AJNGGQMLSA-N 0 1 275.396 0.683 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@]2(F)CCOC2)CC1 ZINC001347400578 924439633 /nfs/dbraw/zinc/43/96/33/924439633.db2.gz KZVUVOQKUOLKAR-ZDUSSCGKSA-N 0 1 255.289 0.756 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)N1CCC(C#N)CC1 ZINC001347528343 924471850 /nfs/dbraw/zinc/47/18/50/924471850.db2.gz MOPXVILOIFOQQW-WCQYABFASA-N 0 1 262.357 0.137 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)NC1(C#N)CCCCC1 ZINC001347537999 924474798 /nfs/dbraw/zinc/47/47/98/924474798.db2.gz MWMAZOKKFYMSJM-CHWSQXEVSA-N 0 1 276.384 0.717 20 30 CCEDMN COCCN(CC#N)C(=O)c1n[nH]cc1Br ZINC001347674024 924509034 /nfs/dbraw/zinc/50/90/34/924509034.db2.gz INPIJIHPZDORKN-UHFFFAOYSA-N 0 1 287.117 0.784 20 30 CCEDMN C=C(C)CCC(=O)N1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC001348196269 924614039 /nfs/dbraw/zinc/61/40/39/924614039.db2.gz BABJWKDSWVSFIZ-UHFFFAOYSA-N 0 1 290.367 0.979 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001348853465 924828670 /nfs/dbraw/zinc/82/86/70/924828670.db2.gz NCLTWFOSBGBKPZ-MJBXVCDLSA-N 0 1 280.416 0.722 20 30 CCEDMN C#CCN1CCC(OC(=O)CC2OCCO2)CC1 ZINC001349770138 925051443 /nfs/dbraw/zinc/05/14/43/925051443.db2.gz DWVGIKGWZPPYKK-UHFFFAOYSA-N 0 1 253.298 0.390 20 30 CCEDMN C#CCCCCC(=O)NCCCNC(=O)c1cnn[nH]1 ZINC001349926657 925097221 /nfs/dbraw/zinc/09/72/21/925097221.db2.gz IMCDKCZCTVXYAT-UHFFFAOYSA-N 0 1 277.328 0.234 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CCN(C(=O)Cc2ncn[nH]2)C1 ZINC001350623269 925243274 /nfs/dbraw/zinc/24/32/74/925243274.db2.gz CZBJBSLYIQAUNP-LLVKDONJSA-N 0 1 291.355 0.421 20 30 CCEDMN C=C(C)CCNC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001351180593 925380056 /nfs/dbraw/zinc/38/00/56/925380056.db2.gz VOHJLFHDFIKMGJ-DZGCQCFKSA-N 0 1 297.399 0.478 20 30 CCEDMN C=CCN(CCOC)C[C@@H]1CN=C(c2cnn(C)c2)O1 ZINC001351389524 925413791 /nfs/dbraw/zinc/41/37/91/925413791.db2.gz ZCXFGUOWLKICCA-ZDUSSCGKSA-N 0 1 278.356 0.700 20 30 CCEDMN C=CCN(CCOC)C(=O)N[C@@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC001351787987 925472137 /nfs/dbraw/zinc/47/21/37/925472137.db2.gz RWQGLWLBIMPWCN-UONOGXRCSA-N 0 1 298.431 0.465 20 30 CCEDMN C#CCN(CC#CC)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001352221811 925545248 /nfs/dbraw/zinc/54/52/48/925545248.db2.gz ZFVBLFTYAHORSU-OAHLLOKOSA-N 0 1 290.411 0.290 20 30 CCEDMN C=CCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001352668788 925616170 /nfs/dbraw/zinc/61/61/70/925616170.db2.gz DSFUBVBONPZEJL-JTQLQIEISA-N 0 1 250.302 0.149 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001352913353 925670617 /nfs/dbraw/zinc/67/06/17/925670617.db2.gz VSPXSDLPEQEIHG-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001352913353 925670626 /nfs/dbraw/zinc/67/06/26/925670626.db2.gz VSPXSDLPEQEIHG-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN Cc1ncc(CN[C@H](C)CNC(=O)CSCC#N)o1 ZINC001480429042 925676144 /nfs/dbraw/zinc/67/61/44/925676144.db2.gz MISJPMQHYMFCHK-SECBINFHSA-N 0 1 282.369 0.834 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N1CCC[N@@H+](CC(=O)[O-])CC1 ZINC001354071035 926005884 /nfs/dbraw/zinc/00/58/84/926005884.db2.gz AZFMSPYHVMSFTH-HNNXBMFYSA-N 0 1 298.383 0.834 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@H](C)NC(N)=O)CC2 ZINC001495974064 926173013 /nfs/dbraw/zinc/17/30/13/926173013.db2.gz KVVNOPXXDSLROR-LBPRGKRZSA-N 0 1 294.399 0.544 20 30 CCEDMN C#CCNCC(=O)NCc1cnn(CC2CCC2)c1 ZINC001355316106 926240545 /nfs/dbraw/zinc/24/05/45/926240545.db2.gz LSLVMTDWZOYLLD-UHFFFAOYSA-N 0 1 260.341 0.522 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc(OCC)cc1 ZINC001355742047 926307587 /nfs/dbraw/zinc/30/75/87/926307587.db2.gz VBBXDOVOXZNMBX-UHFFFAOYSA-N 0 1 260.337 0.967 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1CCCN1C(C)C ZINC001356254768 926361105 /nfs/dbraw/zinc/36/11/05/926361105.db2.gz WNJKQJLSIQIFEX-NWDGAFQWSA-N 0 1 281.356 0.331 20 30 CCEDMN C=CCCOCC(=O)N1CC(NC(=O)[C@@H]2CCCN2C)C1 ZINC001356750778 926428087 /nfs/dbraw/zinc/42/80/87/926428087.db2.gz YLJADGUVAVVAHV-ZDUSSCGKSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCNCC(=O)N[C@H](CC(=O)OC(C)C)C(C)C ZINC001357810765 926602027 /nfs/dbraw/zinc/60/20/27/926602027.db2.gz DNHWSUJCIZRMHX-GFCCVEGCSA-N 0 1 268.357 0.692 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@@H](c2ccccc2OC)C1 ZINC001357994060 926617487 /nfs/dbraw/zinc/61/74/87/926617487.db2.gz UXRSQOCDTDWDNE-OAHLLOKOSA-N 0 1 288.347 0.818 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1C[C@H]1C1CCOCC1 ZINC001357993573 926617968 /nfs/dbraw/zinc/61/79/68/926617968.db2.gz YLROZSMIHWGODF-STQMWFEESA-N 0 1 250.342 0.388 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC[C@@H](C)NC(=O)[C@H]1CCCN1C ZINC001358303231 926671138 /nfs/dbraw/zinc/67/11/38/926671138.db2.gz IZLPWGBWMVHIID-JHJVBQTASA-N 0 1 297.399 0.293 20 30 CCEDMN C=CCCC(=O)NC1(CNC(=O)Cc2cnc[nH]2)CC1 ZINC001358697869 926792047 /nfs/dbraw/zinc/79/20/47/926792047.db2.gz VKNBRSOSCNTSFF-UHFFFAOYSA-N 0 1 276.340 0.683 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(C(=O)CN2CCCC2)C[C@H]1C ZINC001414020446 926854042 /nfs/dbraw/zinc/85/40/42/926854042.db2.gz QDECCISKVTUTDP-JHJVBQTASA-N 0 1 292.383 0.205 20 30 CCEDMN N#Cc1cc(F)c(C(=O)Nc2nn[nH]c2C(N)=O)c(F)c1 ZINC001361381269 927011919 /nfs/dbraw/zinc/01/19/19/927011919.db2.gz PUJCICYSAASWLZ-UHFFFAOYSA-N 0 1 292.205 0.306 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cnc2n[nH]nc2c1 ZINC001422662719 927442300 /nfs/dbraw/zinc/44/23/00/927442300.db2.gz WORFZUDWPHJOSH-QMMMGPOBSA-N 0 1 294.746 0.813 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H]1CCCC(=O)N1 ZINC001422662340 927443214 /nfs/dbraw/zinc/44/32/14/927443214.db2.gz XGQCJIZIWKYYQM-VHSXEESVSA-N 0 1 273.764 0.502 20 30 CCEDMN N#Cc1ccc(F)c(CNC(=O)CCCc2nn[nH]n2)c1 ZINC001362015967 927523847 /nfs/dbraw/zinc/52/38/47/927523847.db2.gz UNMCORNFDJBEDE-UHFFFAOYSA-N 0 1 288.286 0.850 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC001362062398 927573207 /nfs/dbraw/zinc/57/32/07/927573207.db2.gz BSSMIBINPULOLB-LLVKDONJSA-N 0 1 289.383 0.561 20 30 CCEDMN CN(CC(=O)Nc1cc(CC#N)ccn1)[C@@H]1CCC[C@H]1O ZINC001362084399 927598497 /nfs/dbraw/zinc/59/84/97/927598497.db2.gz AYZKVAPCGZNQAH-CHWSQXEVSA-N 0 1 288.351 0.931 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCc1cnn(C)n1 ZINC001423128700 927687929 /nfs/dbraw/zinc/68/79/29/927687929.db2.gz MHNMKRVBWNYNBI-LLVKDONJSA-N 0 1 299.806 0.937 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2coc(CS(C)(=O)=O)n2)NO1 ZINC001362312740 927861802 /nfs/dbraw/zinc/86/18/02/927861802.db2.gz VIEGTHWBTBIBSF-LURJTMIESA-N 0 1 287.297 0.071 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001424328612 928161697 /nfs/dbraw/zinc/16/16/97/928161697.db2.gz GZSFKPMBITWPOW-NXEZZACHSA-N 0 1 277.328 0.075 20 30 CCEDMN Cc1nnc(CNCC2CC(NC(=O)[C@@H](C)C#N)C2)s1 ZINC001424714731 928263348 /nfs/dbraw/zinc/26/33/48/928263348.db2.gz FBUDSPBOBIBRAZ-PUSIOWJLSA-N 0 1 293.396 0.991 20 30 CCEDMN C=C(Cl)C[NH2+]C[C@H]1C[C@H](NC(=O)C2=NC(=O)N(C)C2)C1 ZINC001425414128 928542896 /nfs/dbraw/zinc/54/28/96/928542896.db2.gz BZNUUECLNPEOEK-MGCOHNPYSA-N 0 1 298.774 0.976 20 30 CCEDMN N#C[C@]1(C(=O)NCc2n[nH]c(CO)n2)CC12CCCC2 ZINC001363129609 928903342 /nfs/dbraw/zinc/90/33/42/928903342.db2.gz URUTWFIHWYLPHQ-ZDUSSCGKSA-N 0 1 275.312 0.387 20 30 CCEDMN N#C[C@]1(C(=O)NCc2nnc(CO)[nH]2)CC12CCCC2 ZINC001363129609 928903352 /nfs/dbraw/zinc/90/33/52/928903352.db2.gz URUTWFIHWYLPHQ-ZDUSSCGKSA-N 0 1 275.312 0.387 20 30 CCEDMN N#Cc1cc(CNC(=O)[C@@H]2CCCc3n[nH]nc32)ccn1 ZINC001363135331 928907536 /nfs/dbraw/zinc/90/75/36/928907536.db2.gz QRTFDYOSUCXDNU-LLVKDONJSA-N 0 1 282.307 0.808 20 30 CCEDMN CS(=O)(=O)C[C@H]1CC[N@@H+](C[C@H](O)CC2(C#N)CC2)C1 ZINC001363254718 929030844 /nfs/dbraw/zinc/03/08/44/929030844.db2.gz GKRPBJUCLAVZLF-NWDGAFQWSA-N 0 1 286.397 0.408 20 30 CCEDMN CS(=O)(=O)C[C@H]1CCN(C[C@H](O)CC2(C#N)CC2)C1 ZINC001363254718 929030853 /nfs/dbraw/zinc/03/08/53/929030853.db2.gz GKRPBJUCLAVZLF-NWDGAFQWSA-N 0 1 286.397 0.408 20 30 CCEDMN N#CCc1cccc(C(=O)NCc2n[nH]c(CO)n2)c1 ZINC001363483690 929287428 /nfs/dbraw/zinc/28/74/28/929287428.db2.gz OKMIJFOBSPAJQL-UHFFFAOYSA-N 0 1 271.280 0.293 20 30 CCEDMN N#CCc1cccc(C(=O)NCc2nnc(CO)[nH]2)c1 ZINC001363483690 929287440 /nfs/dbraw/zinc/28/74/40/929287440.db2.gz OKMIJFOBSPAJQL-UHFFFAOYSA-N 0 1 271.280 0.293 20 30 CCEDMN N#C[C@@H](CCc1ccccc1)C(=O)NCc1n[nH]c(CO)n1 ZINC001363485316 929289851 /nfs/dbraw/zinc/28/98/51/929289851.db2.gz YJNXBVOZFJNHLL-GFCCVEGCSA-N 0 1 299.334 0.686 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCCN(C)C(=O)[C@@H](C)C#N ZINC001429286157 929426212 /nfs/dbraw/zinc/42/62/12/929426212.db2.gz LRKIFRUFIMOIMU-MNOVXSKESA-N 0 1 291.355 0.323 20 30 CCEDMN Cc1cc([C@H]2CCCCN2C(=O)Cn2cnc(C#N)n2)n[nH]1 ZINC001363693463 929490158 /nfs/dbraw/zinc/49/01/58/929490158.db2.gz DTJAHABNXXBKQH-GFCCVEGCSA-N 0 1 299.338 0.935 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CC[C@H](O)c2ccccc2)c1=O ZINC001363762004 929554096 /nfs/dbraw/zinc/55/40/96/929554096.db2.gz PCTBWCQNLWLHLT-LBPRGKRZSA-N 0 1 271.276 0.532 20 30 CCEDMN Cc1cc(NC(=O)CNC[C@@H](C)NC(=O)[C@@H](C)C#N)on1 ZINC001445354056 930110991 /nfs/dbraw/zinc/11/09/91/930110991.db2.gz JFEANUJHKXISKC-WCBMZHEXSA-N 0 1 293.327 0.176 20 30 CCEDMN CCCn1nnnc1CNC[C@@H](C)CNC(=O)[C@@H](C)C#N ZINC001373987485 930391380 /nfs/dbraw/zinc/39/13/80/930391380.db2.gz GRRLQTZCHQQULU-MNOVXSKESA-N 0 1 293.375 0.085 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1c(C)noc1C ZINC001374583787 930571673 /nfs/dbraw/zinc/57/16/73/930571673.db2.gz RUTUZJCSEOWGMA-JTQLQIEISA-N 0 1 287.747 0.724 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1nccc(C)n1 ZINC001374596777 930582886 /nfs/dbraw/zinc/58/28/86/930582886.db2.gz BKTLQOKUTHWPKG-JTQLQIEISA-N 0 1 284.747 0.218 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NCc2ccn(C)n2)CCC1 ZINC001447181021 930585068 /nfs/dbraw/zinc/58/50/68/930585068.db2.gz HSFDMZLQHZYGDM-NSHDSACASA-N 0 1 275.356 0.708 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1n[nH]cc1C ZINC001374604156 930588859 /nfs/dbraw/zinc/58/88/59/930588859.db2.gz XHYBYGLEMVPCES-SECBINFHSA-N 0 1 272.736 0.151 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1coc(C#N)c1 ZINC001447274770 930597637 /nfs/dbraw/zinc/59/76/37/930597637.db2.gz AHDZKVBDYNMBQU-NSHDSACASA-N 0 1 288.263 0.388 20 30 CCEDMN N#Cc1cc(CNC(=O)CCCc2nn[nH]n2)ccn1 ZINC001448877246 931014149 /nfs/dbraw/zinc/01/41/49/931014149.db2.gz NRGLTFANXLRGSX-UHFFFAOYSA-N 0 1 271.284 0.105 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC(CC)(CC)c1nn[nH]n1 ZINC001449020652 931051899 /nfs/dbraw/zinc/05/18/99/931051899.db2.gz HBLFQTOVIXFOAF-SECBINFHSA-N 0 1 265.317 0.370 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001602719083 971381707 /nfs/dbraw/zinc/38/17/07/971381707.db2.gz OPLOGFRJCXRLMO-GVXVVHGQSA-N 0 1 255.314 0.347 20 30 CCEDMN C=CCC[C@H]1CCC[N@@H+]1CCS(=O)(=O)CC(=O)[O-] ZINC001602719111 971381909 /nfs/dbraw/zinc/38/19/09/971381909.db2.gz PYBZTQBPGLVGRQ-NSHDSACASA-N 0 1 275.370 0.916 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCC[N@H+](CC)CCC ZINC000220188319 949558022 /nfs/dbraw/zinc/55/80/22/949558022.db2.gz MBSZJMBBDDSBBZ-UHFFFAOYSA-N 0 1 269.345 0.448 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC001589391516 954325376 /nfs/dbraw/zinc/32/53/76/954325376.db2.gz CCOIKROCNBIDRX-UTUOFQBUSA-N 0 1 281.356 0.790 20 30 CCEDMN COC(=O)C1CC2(C1)C[N@@H+](CC1(C#N)CC1)C[C@@H]2C(=O)[O-] ZINC001593824666 955084825 /nfs/dbraw/zinc/08/48/25/955084825.db2.gz RMMHGPNWPPYRDK-LOIXOFCESA-N 0 1 292.335 0.876 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)OCC[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC001594525221 955495972 /nfs/dbraw/zinc/49/59/72/955495972.db2.gz APGLDMSTWXXWMU-LLVKDONJSA-N 0 1 291.307 0.603 20 30 CCEDMN N#Cc1c2c(cn(C[N@H+]3CC[C@@H](C(=O)[O-])C3)c1=O)CCC2 ZINC001594613919 956227986 /nfs/dbraw/zinc/22/79/86/956227986.db2.gz HLAGAJYFQULFCT-LLVKDONJSA-N 0 1 287.319 0.573 20 30 CCEDMN N#Cc1c2c(cn(C[N@@H+]3CC[C@@H](C(=O)[O-])C3)c1=O)CCC2 ZINC001594613919 956228000 /nfs/dbraw/zinc/22/80/00/956228000.db2.gz HLAGAJYFQULFCT-LLVKDONJSA-N 0 1 287.319 0.573 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC001588430945 958262848 /nfs/dbraw/zinc/26/28/48/958262848.db2.gz QZQLNZVGADXVKN-AVGNSLFASA-N 0 1 278.352 0.653 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)C2(C(=O)[O-])CC=CC2)CC1 ZINC001573327144 958486588 /nfs/dbraw/zinc/48/65/88/958486588.db2.gz GCAHPMIUXAKDAJ-UHFFFAOYSA-N 0 1 276.336 0.575 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)C2(C(=O)[O-])CC=CC2)CC1 ZINC001573327144 958486593 /nfs/dbraw/zinc/48/65/93/958486593.db2.gz GCAHPMIUXAKDAJ-UHFFFAOYSA-N 0 1 276.336 0.575 20 30 CCEDMN C#CC[N@@H+](CCOc1cccc(C(=O)OC)c1)CC(=O)[O-] ZINC001573328085 958494642 /nfs/dbraw/zinc/49/46/42/958494642.db2.gz ZYBABPQADQEYIT-UHFFFAOYSA-N 0 1 291.303 0.872 20 30 CCEDMN C#CC[N@H+](CCOc1cccc(C(=O)OC)c1)CC(=O)[O-] ZINC001573328085 958494650 /nfs/dbraw/zinc/49/46/50/958494650.db2.gz ZYBABPQADQEYIT-UHFFFAOYSA-N 0 1 291.303 0.872 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N1CCC[N@H+]2CCC[C@H]2C1 ZINC001588539078 958951627 /nfs/dbraw/zinc/95/16/27/958951627.db2.gz WPENGCNEEDNSSL-FZMZJTMJSA-N 0 1 279.340 0.688 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC000251032728 961236405 /nfs/dbraw/zinc/23/64/05/961236405.db2.gz LFRIQKYNDJINJN-KWQFWETISA-N 0 1 292.257 0.463 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C(=O)[O-] ZINC001573874977 961237545 /nfs/dbraw/zinc/23/75/45/961237545.db2.gz AKZKWIWFEZORTE-QJPTWQEYSA-N 0 1 281.356 0.932 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1[C@H](C)CC[C@@H]1C(=O)[O-] ZINC001573874977 961237564 /nfs/dbraw/zinc/23/75/64/961237564.db2.gz AKZKWIWFEZORTE-QJPTWQEYSA-N 0 1 281.356 0.932 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)CNC(=O)[O-])CC[C@@H]21 ZINC001573302088 962644801 /nfs/dbraw/zinc/64/48/01/962644801.db2.gz PYGSILNBKRIWOC-NEPJUHHUSA-N 0 1 279.340 0.200 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]2[C@@H](NC(=O)CNC(=O)[O-])C(C)(C)[C@@H]21 ZINC001573311606 962708231 /nfs/dbraw/zinc/70/82/31/962708231.db2.gz UTRHOBWNDFGYBV-RAIGVLPGSA-N 0 1 293.367 0.492 20 30 CCEDMN COCC#CC[N@H+]1C[C@@H](C(=O)[O-])C[C@@H](c2cnn(C)c2)C1 ZINC001604505194 973433107 /nfs/dbraw/zinc/43/31/07/973433107.db2.gz QNOCSLJBVVIAQN-OLZOCXBDSA-N 0 1 291.351 0.560 20 30 CCEDMN N#Cc1ccc(C[N@H+]2C[C@H](O)C[C@@H](C(=O)[O-])C2)cc1 ZINC000401936692 973546041 /nfs/dbraw/zinc/54/60/41/973546041.db2.gz MXYMHINVXVJCJF-CHWSQXEVSA-N 0 1 260.293 0.826 20 30 CCEDMN N#Cc1ccc(C[N@H+]2C[C@@H](O)C[C@H](C(=O)[O-])C2)cc1F ZINC000404069165 973702772 /nfs/dbraw/zinc/70/27/72/973702772.db2.gz HCZSRENTRXXXRY-RYUDHWBXSA-N 0 1 278.283 0.965 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC001603736747 974176638 /nfs/dbraw/zinc/17/66/38/974176638.db2.gz QZUIBZIQYNOBRK-NEPJUHHUSA-N 0 1 281.356 0.791 20 30 CCEDMN C[C@@H](CCC#N)[N@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001592470899 978226763 /nfs/dbraw/zinc/22/67/63/978226763.db2.gz MTLJAQNNXYFZGK-CABZTGNLSA-N 0 1 251.286 0.984 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@@H+](C)CCCc1[nH]nc(N)c1C#N ZINC001594770010 981735175 /nfs/dbraw/zinc/73/51/75/981735175.db2.gz DZIRBLGIJYMFOE-SNVBAGLBSA-N 0 1 265.317 0.591 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CC[N@@H+](CCC(=O)[O-])[C@@H](C)C1 ZINC001594778584 981778306 /nfs/dbraw/zinc/77/83/06/981778306.db2.gz WGGBZCVTHTVLMQ-SMDDNHRTSA-N 0 1 281.356 0.934 20 30 CCEDMN CCC[N@H+]1CCC[C@@H]1C(=O)N(CC#N)CCC(=O)[O-] ZINC001595907822 982984189 /nfs/dbraw/zinc/98/41/89/982984189.db2.gz FZMMAORQOUEZSG-LLVKDONJSA-N 0 1 267.329 0.688 20 30 CCEDMN C#CC[C@@H](COC)[NH2+]C1CCN(CC(=O)[O-])CC1 ZINC001588395435 983405944 /nfs/dbraw/zinc/40/59/44/983405944.db2.gz QXCDPFDLPDTFHL-LBPRGKRZSA-N 0 1 254.330 0.163 20 30 CCEDMN C#CC[C@@H](COC)NC1CC[NH+](CC(=O)[O-])CC1 ZINC001588395435 983405948 /nfs/dbraw/zinc/40/59/48/983405948.db2.gz QXCDPFDLPDTFHL-LBPRGKRZSA-N 0 1 254.330 0.163 20 30 CCEDMN C#CC[C@H]1CC[N@H+]([C@H]2CCCN(CCC(=O)[O-])C2=O)C1 ZINC001588433788 983468388 /nfs/dbraw/zinc/46/83/88/983468388.db2.gz CNVNPXVKKSOSKZ-STQMWFEESA-N 0 1 278.352 0.797 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)O[C@H]1C[C@@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588605210 983691380 /nfs/dbraw/zinc/69/13/80/983691380.db2.gz CUUOWYJHAILVOA-WHOHXGKFSA-N 0 1 283.324 0.668 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[NH+](C[C@H]2CCCO2)CC1 ZINC001588615046 983709894 /nfs/dbraw/zinc/70/98/94/983709894.db2.gz IIMCWIHUZYJBTP-CYBMUJFWSA-N 0 1 296.367 0.731 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NC[C@H]1CCC[N@@H+]1CCCO ZINC001588624399 983732255 /nfs/dbraw/zinc/73/22/55/983732255.db2.gz HTBPMFXUJRBMAL-GFCCVEGCSA-N 0 1 284.356 0.370 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1CC[C@@H]2[C@@H]1CCCN2CCO ZINC001588662613 983790273 /nfs/dbraw/zinc/79/02/73/983790273.db2.gz DBOXBWJWLABTTE-UTUOFQBUSA-N 0 1 254.330 0.157 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CC[C@H](C(=O)NCC(F)(F)F)C1 ZINC001588662864 983792105 /nfs/dbraw/zinc/79/21/05/983792105.db2.gz ACRHXTVKGHNFLH-YUMQZZPRSA-N 0 1 280.246 0.626 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@H](n2ccnn2)C1 ZINC001588728536 983982667 /nfs/dbraw/zinc/98/26/67/983982667.db2.gz CVIVTZDFWHZPKT-WDEREUQCSA-N 0 1 250.302 0.944 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[NH+]1CCN(C(=O)[C@H]2CCCN2C)CC1 ZINC001588729111 983986677 /nfs/dbraw/zinc/98/66/77/983986677.db2.gz HEFWEGCHLPGMQY-OLZOCXBDSA-N 0 1 295.383 0.254 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])N1CCN(C(=O)[C@H]2CCC[N@H+]2C)CC1 ZINC001588729111 983986683 /nfs/dbraw/zinc/98/66/83/983986683.db2.gz HEFWEGCHLPGMQY-OLZOCXBDSA-N 0 1 295.383 0.254 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])N1CCN(C(=O)[C@H]2CCC[N@@H+]2C)CC1 ZINC001588729111 983986686 /nfs/dbraw/zinc/98/66/86/983986686.db2.gz HEFWEGCHLPGMQY-OLZOCXBDSA-N 0 1 295.383 0.254 20 30 CCEDMN C=CCC1(C(=O)NCC[N@H+](C)CC(=O)[O-])CCOCC1 ZINC001588764972 984124120 /nfs/dbraw/zinc/12/41/20/984124120.db2.gz NPRSILOHGYVUHN-UHFFFAOYSA-N 0 1 284.356 0.492 20 30 CCEDMN C=CCCCS(=O)(=O)Nc1cc[nH+]cc1C(=O)[O-] ZINC001588805755 984256138 /nfs/dbraw/zinc/25/61/38/984256138.db2.gz NDLNKGIZXGGGAU-UHFFFAOYSA-N 0 1 270.310 0.910 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC001588808992 984264778 /nfs/dbraw/zinc/26/47/78/984264778.db2.gz UUKXVCCEWGDVQG-LLVKDONJSA-N 0 1 270.329 0.196 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[C@](OC)(C(=O)[O-])C1 ZINC001588825186 984298840 /nfs/dbraw/zinc/29/88/40/984298840.db2.gz FTQYEDIJIUFRLF-SWLSCSKDSA-N 0 1 296.367 0.751 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@H](C)C[C@@H]2C(=O)[O-])C1=O ZINC001588838887 984342871 /nfs/dbraw/zinc/34/28/71/984342871.db2.gz MAEHUGPPNVELLH-SDDRHHMPSA-N 0 1 266.341 0.958 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@H](C)C[C@@H]2C(=O)[O-])C1=O ZINC001588838887 984342878 /nfs/dbraw/zinc/34/28/78/984342878.db2.gz MAEHUGPPNVELLH-SDDRHHMPSA-N 0 1 266.341 0.958 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2C[C@H](C)[C@@](C)(C(=O)[O-])C2)C1=O ZINC001588839230 984345218 /nfs/dbraw/zinc/34/52/18/984345218.db2.gz PRMVLUOLPWUNSP-FZMZJTMJSA-N 0 1 281.356 0.910 20 30 CCEDMN C=CCO[C@H]1CC[N@H+]([C@H]2CCN(CCC(=O)[O-])C2=O)C1 ZINC001588849726 984374322 /nfs/dbraw/zinc/37/43/22/984374322.db2.gz HLPFOQWVVBOKKR-RYUDHWBXSA-N 0 1 282.340 0.339 20 30 CCEDMN CC#CC[C@H](CO)[NH2+]C1CCN(CCCC(=O)[O-])CC1 ZINC001588926388 984593774 /nfs/dbraw/zinc/59/37/74/984593774.db2.gz VPLOTYRLNFWOIT-CQSZACIVSA-N 0 1 282.384 0.680 20 30 CCEDMN CC#CC[C@H](CO)NC1CC[NH+](CCCC(=O)[O-])CC1 ZINC001588926388 984593776 /nfs/dbraw/zinc/59/37/76/984593776.db2.gz VPLOTYRLNFWOIT-CQSZACIVSA-N 0 1 282.384 0.680 20 30 CCEDMN CC#CCC[N@H+]1C[C@@H](C(=O)OC)CC[C@H]1C(=O)[O-] ZINC001588926876 984594497 /nfs/dbraw/zinc/59/44/97/984594497.db2.gz BCYNLQQHFSCCIE-QWRGUYRKSA-N 0 1 253.298 0.738 20 30 CCEDMN CC#CCCNC(=O)N1CC[N@@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588926965 984595099 /nfs/dbraw/zinc/59/50/99/984595099.db2.gz GCNSQTDABKVPIK-LLVKDONJSA-N 0 1 267.329 0.058 20 30 CCEDMN C[C@](C#N)(NC(=O)C[NH+]1CCC(CC(=O)[O-])CC1)C1CC1 ZINC001589323717 986149057 /nfs/dbraw/zinc/14/90/57/986149057.db2.gz KTBSVCDRRKMWSM-OAHLLOKOSA-N 0 1 293.367 0.982 20 30 CCEDMN C[C@H](C(=O)NCC(=O)[O-])[N@@H+]1CCC[C@H](CC#N)C1 ZINC001589396653 986525121 /nfs/dbraw/zinc/52/51/21/986525121.db2.gz IYGRSLFYEFUVIH-NXEZZACHSA-N 0 1 253.302 0.201 20 30 CCEDMN C[C@H](C(=O)NCC(=O)[O-])[N@H+]1CCC[C@H](CC#N)C1 ZINC001589396653 986525129 /nfs/dbraw/zinc/52/51/29/986525129.db2.gz IYGRSLFYEFUVIH-NXEZZACHSA-N 0 1 253.302 0.201 20 30 CCEDMN C[C@@H]1C[N@@H+](C[C@@H](O)CC2(C#N)CCOCC2)C[C@H]1C(=O)[O-] ZINC001599865694 989250346 /nfs/dbraw/zinc/25/03/46/989250346.db2.gz JTENXZVOXBGMNJ-FRRDWIJNSA-N 0 1 296.367 0.710 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CC[C@](F)(C(=O)[O-])C1 ZINC001598467948 991979317 /nfs/dbraw/zinc/97/93/17/991979317.db2.gz FAOLNIKTFKKDHM-GFCCVEGCSA-N 0 1 271.292 0.247 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CC[C@@](F)(C(=O)[O-])C1 ZINC001598467949 991979514 /nfs/dbraw/zinc/97/95/14/991979514.db2.gz FAOLNIKTFKKDHM-LBPRGKRZSA-N 0 1 271.292 0.247 20 30 CCEDMN C[N@H+]1CCN(C(=O)CCCCC#N)C[C@@H](C(=O)[O-])C1 ZINC001598605414 993642902 /nfs/dbraw/zinc/64/29/02/993642902.db2.gz JPTVYGZRTWOVDJ-NSHDSACASA-N 0 1 267.329 0.545 20 30 CCEDMN C[C@H]1[C@@H](C(=O)[O-])CC[N@@H+]1Cn1cccc(C#N)c1=O ZINC001594166749 998634877 /nfs/dbraw/zinc/63/48/77/998634877.db2.gz BHZGNIUNRGQELQ-ONGXEEELSA-N 0 1 261.281 0.472 20 30 CCEDMN C[C@H]1[C@@H](C(=O)[O-])CC[N@H+]1Cn1cccc(C#N)c1=O ZINC001594166749 998634880 /nfs/dbraw/zinc/63/48/80/998634880.db2.gz BHZGNIUNRGQELQ-ONGXEEELSA-N 0 1 261.281 0.472 20 30 CCEDMN N#CCc1cccc(NC(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000599795673 361815542 /nfs/dbraw/zinc/81/55/42/361815542.db2.gz VJGPJRYIMOSANK-CQSZACIVSA-N 0 1 288.351 0.941 20 30 CCEDMN C=CCCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000617617696 365691759 /nfs/dbraw/zinc/69/17/59/365691759.db2.gz QMQWZOFHZRHJSF-UHFFFAOYSA-N 0 1 298.364 0.948 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)NCCN1CC[C@@H](O)C1 ZINC000600536414 362007037 /nfs/dbraw/zinc/00/70/37/362007037.db2.gz UVJQRFHAAAOWDC-CYBMUJFWSA-N 0 1 273.336 0.663 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000601246562 362189606 /nfs/dbraw/zinc/18/96/06/362189606.db2.gz UTWOOFATLJJUGO-LURJTMIESA-N 0 1 274.202 0.793 20 30 CCEDMN COCCN1CCN(c2cnc(C#N)cn2)C[C@@H]1C ZINC000133328759 136390656 /nfs/dbraw/zinc/39/06/56/136390656.db2.gz USJLYMHXSOZDRU-NSHDSACASA-N 0 1 261.329 0.505 20 30 CCEDMN CCc1nc(CNC(=O)CNc2ccc(C#N)cc2)n[nH]1 ZINC000452181902 529737845 /nfs/dbraw/zinc/73/78/45/529737845.db2.gz MOAYNTNUTNGFQA-UHFFFAOYSA-N 0 1 284.323 0.967 20 30 CCEDMN CN1CC[C@H](N2CCC(NC(=O)[C@H]3CCOC3)CC2)C1=O ZINC000329192400 529805180 /nfs/dbraw/zinc/80/51/80/529805180.db2.gz RZVXRJZNJOXYJD-AAEUAGOBSA-N 0 1 295.383 0.675 20 30 CCEDMN CNC(=O)[C@]1(C)CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347108500 529930679 /nfs/dbraw/zinc/93/06/79/529930679.db2.gz VSPLEXMUEBVYKI-CYBMUJFWSA-N 0 1 278.356 0.007 20 30 CCEDMN CCN(C1CCN(C)CC1)S(=O)(=O)N(C)CCC#N ZINC000601428003 362269424 /nfs/dbraw/zinc/26/94/24/362269424.db2.gz JHAKNVNKGWJSRA-UHFFFAOYSA-N 0 1 288.417 0.493 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CN1CC[C@@H](C)C1)C(=O)OC ZINC000601782558 362390741 /nfs/dbraw/zinc/39/07/41/362390741.db2.gz QKYNAFHXDQPYGP-NEPJUHHUSA-N 0 1 268.357 0.952 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@@H]1CCCN1C)C(=O)OC ZINC000601787447 362393998 /nfs/dbraw/zinc/39/39/98/362393998.db2.gz XPNHHOSMYGSHKK-MNOVXSKESA-N 0 1 254.330 0.705 20 30 CCEDMN CS(=O)(=O)NC1CC(NCc2cccc(F)c2C#N)C1 ZINC000602015392 362458272 /nfs/dbraw/zinc/45/82/72/362458272.db2.gz CKHMXFMWMMLVEL-UHFFFAOYSA-N 0 1 297.355 0.867 20 30 CCEDMN N#Cc1c(F)cccc1CNC[C@@]1(OCCO)CCOC1 ZINC000602028513 362463720 /nfs/dbraw/zinc/46/37/20/362463720.db2.gz OFOMLURGXBXMRE-HNNXBMFYSA-N 0 1 294.326 0.955 20 30 CCEDMN CCN(CCC#N)C(=O)[C@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000602080787 362475287 /nfs/dbraw/zinc/47/52/87/362475287.db2.gz YOWPWHXWTNTKKF-NWDGAFQWSA-N 0 1 251.330 0.612 20 30 CCEDMN CC(C)(CC#N)CNC(=O)NCCN1CC[C@@H](O)C1 ZINC000602328928 362587609 /nfs/dbraw/zinc/58/76/09/362587609.db2.gz KJTZSPSVNVGHCQ-LLVKDONJSA-N 0 1 268.361 0.292 20 30 CCEDMN COCCNC(=O)CN1CCN(C[C@H](C)CCC#N)CC1 ZINC000602437951 362617060 /nfs/dbraw/zinc/61/70/60/362617060.db2.gz ZORLFRTWQFSOKP-CQSZACIVSA-N 0 1 296.415 0.306 20 30 CCEDMN CN(Cc1cccc(C#N)n1)C[C@H](O)CN1CCOCC1 ZINC000602477636 362637161 /nfs/dbraw/zinc/63/71/61/362637161.db2.gz KOVMBQSDQFWOGF-HNNXBMFYSA-N 0 1 290.367 0.078 20 30 CCEDMN N#Cc1cccc(CN2CC[C@]3(CNC(=O)C3)C2)n1 ZINC000602638770 362727450 /nfs/dbraw/zinc/72/74/50/362727450.db2.gz DTOREIXSSRFNBG-AWEZNQCLSA-N 0 1 256.309 0.665 20 30 CCEDMN N#Cc1cccc(CN[C@H]2CCC[N@@H+](CCCO)C2)n1 ZINC000602675170 362742571 /nfs/dbraw/zinc/74/25/71/362742571.db2.gz SAAHYVQFXWUPPL-HNNXBMFYSA-N 0 1 274.368 0.890 20 30 CCEDMN N#Cc1cccc(CNC[C@@H]2CCCC[N@H+]2CCO)n1 ZINC000602673182 362742606 /nfs/dbraw/zinc/74/26/06/362742606.db2.gz JBWINTGCYXIMEP-HNNXBMFYSA-N 0 1 274.368 0.890 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cc(C(F)F)[nH]n2)CCO1 ZINC000602850504 362838031 /nfs/dbraw/zinc/83/80/31/362838031.db2.gz WKTYWFIAADVPHY-ZCFIWIBFSA-N 0 1 256.212 0.712 20 30 CCEDMN C[C@H]1OCC[C@H]1CN1CCN(C(=O)CC#N)CC1 ZINC000602840626 362829787 /nfs/dbraw/zinc/82/97/87/362829787.db2.gz JXBNITZGCPBCEX-NEPJUHHUSA-N 0 1 251.330 0.469 20 30 CCEDMN N#CCC[C@@H](C#N)CN1CCN(Cc2nnc[nH]2)CC1 ZINC000602861632 362846465 /nfs/dbraw/zinc/84/64/65/362846465.db2.gz COVKFDKOYGKXBI-LBPRGKRZSA-N 0 1 273.344 0.366 20 30 CCEDMN CC[C@@H]1CN(c2cnc(C#N)cn2)CCN1C[C@H](C)O ZINC000273911547 137069395 /nfs/dbraw/zinc/06/93/95/137069395.db2.gz ARCMBLBOHTYSLF-WCQYABFASA-N 0 1 275.356 0.630 20 30 CCEDMN CC(C)OC[C@H](O)CN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602865652 362849797 /nfs/dbraw/zinc/84/97/97/362849797.db2.gz UKNLYFYHMSEJQM-HZSPNIEDSA-N 0 1 269.389 0.690 20 30 CCEDMN Cc1noc([C@H](C)NS(=O)(=O)c2ccc(C#N)o2)n1 ZINC000344410242 137193256 /nfs/dbraw/zinc/19/32/56/137193256.db2.gz PAGTVUGRPYNPMF-LURJTMIESA-N 0 1 282.281 0.882 20 30 CCEDMN COc1ccc(C#N)cc1CN1C[C@H](O)C[C@]1(C)CO ZINC000602896561 362871523 /nfs/dbraw/zinc/87/15/23/362871523.db2.gz DYTNNJGWVZEEIV-UKRRQHHQSA-N 0 1 276.336 0.884 20 30 CCEDMN N#CCSCC(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000042392867 348278138 /nfs/dbraw/zinc/27/81/38/348278138.db2.gz BHTAGYIKNQQSQM-ZDUSSCGKSA-N 0 1 297.424 0.956 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCCC[C@H]1C(N)=O ZINC000042404896 348278959 /nfs/dbraw/zinc/27/89/59/348278959.db2.gz ANQXUXQMBDXIEN-NSHDSACASA-N 0 1 266.345 0.088 20 30 CCEDMN C=CCOc1ccccc1C(=O)NCc1nn[nH]n1 ZINC000044927237 348327795 /nfs/dbraw/zinc/32/77/95/348327795.db2.gz QEKGRYCLOVQPRV-UHFFFAOYSA-N 0 1 259.269 0.695 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](OC)C[C@]1(C)CO ZINC000602963996 362911007 /nfs/dbraw/zinc/91/10/07/362911007.db2.gz LPQYBXXJXXDMQN-UMVBOHGHSA-N 0 1 297.399 0.466 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@](O)(CC)C1 ZINC000602982075 362919532 /nfs/dbraw/zinc/91/95/32/362919532.db2.gz MZJNCKAFUCVCKK-SMDDNHRTSA-N 0 1 283.372 0.624 20 30 CCEDMN COC(=O)CN(C)C(=O)CCN(C)[C@@H]1CCC[C@@H]1C#N ZINC000602978538 362919791 /nfs/dbraw/zinc/91/97/91/362919791.db2.gz SDWLZICNVCZBDY-VXGBXAGGSA-N 0 1 281.356 0.632 20 30 CCEDMN COC(=O)C12CCC(CC1)N2CCC(=O)N(C)CCC#N ZINC000602985853 362923723 /nfs/dbraw/zinc/92/37/23/362923723.db2.gz KXJBRBMBNXDKQS-UHFFFAOYSA-N 0 1 293.367 0.919 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1ccc(C#N)cc1F ZINC000136443587 350424015 /nfs/dbraw/zinc/42/40/15/350424015.db2.gz DBACWGCNIHFVHE-UHFFFAOYSA-N 0 1 271.317 0.537 20 30 CCEDMN CC(=O)NCCNCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000147734230 350469752 /nfs/dbraw/zinc/46/97/52/350469752.db2.gz FMZSXKMLARXJDK-UHFFFAOYSA-N 0 1 295.364 0.058 20 30 CCEDMN N#Cc1cnc(NCCCCN2CCOCC2)cn1 ZINC000106464737 186120530 /nfs/dbraw/zinc/12/05/30/186120530.db2.gz CQEWEFYXPVXDLU-UHFFFAOYSA-N 0 1 261.329 0.873 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1sccc1C#N ZINC000106818855 186136241 /nfs/dbraw/zinc/13/62/41/186136241.db2.gz KYJHCIMSHJJBOQ-LLVKDONJSA-N 0 1 292.408 0.985 20 30 CCEDMN CC(C)(O)CN1CCN(c2ccc(C#N)nn2)CC1 ZINC000159176537 350671634 /nfs/dbraw/zinc/67/16/34/350671634.db2.gz NFYGMDUUTKSADF-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN N#Cc1ccc(CNC[C@@H]2CS(=O)(=O)CCO2)cc1 ZINC000540620771 290911019 /nfs/dbraw/zinc/91/10/19/290911019.db2.gz CAFXKGZBYZWFTH-CYBMUJFWSA-N 0 1 280.349 0.461 20 30 CCEDMN CN[C@@H](C)c1cn(CC(=O)N[C@](C)(C#N)C(C)C)nn1 ZINC000603171937 362982207 /nfs/dbraw/zinc/98/22/07/362982207.db2.gz PUYUVOCNAZFUTR-GXFFZTMASA-N 0 1 278.360 0.613 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)Cn1cc(CNC2CC2)nn1 ZINC000603172677 362982969 /nfs/dbraw/zinc/98/29/69/362982969.db2.gz SXCHFUQPBFSKJP-AWEZNQCLSA-N 0 1 290.371 0.585 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCCO[C@@H](C)C1 ZINC000170767022 350859622 /nfs/dbraw/zinc/85/96/22/350859622.db2.gz IYNICLHWLKOHRJ-QWRGUYRKSA-N 0 1 269.345 0.498 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)CNC(=O)c1ccc(C#N)cc1 ZINC000171115897 350886275 /nfs/dbraw/zinc/88/62/75/350886275.db2.gz XLDQRLPBQCTYMN-UHFFFAOYSA-N 0 1 297.318 0.636 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CC[C@H](C)O ZINC000173818418 351057319 /nfs/dbraw/zinc/05/73/19/351057319.db2.gz HNILNVOROQQGKF-UWVGGRQHSA-N 0 1 257.334 0.089 20 30 CCEDMN CN1CCN(c2ccc(C#N)cc2CS(N)(=O)=O)CC1 ZINC000603266137 363035099 /nfs/dbraw/zinc/03/50/99/363035099.db2.gz VVGISPXILOCKIN-UHFFFAOYSA-N 0 1 294.380 0.099 20 30 CCEDMN CNS(=O)(=O)CCN[C@@H](C)c1ccc(C#N)cc1 ZINC000187189295 351532787 /nfs/dbraw/zinc/53/27/87/351532787.db2.gz PUECQCSHRLBYJQ-JTQLQIEISA-N 0 1 267.354 0.758 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc(O)cc2O)CC1 ZINC000221416154 351978792 /nfs/dbraw/zinc/97/87/92/351978792.db2.gz QDMQZTQHAXBYTR-UHFFFAOYSA-N 0 1 275.308 0.816 20 30 CCEDMN C[C@H]1CN(S(=O)(=O)c2cccnc2C#N)[C@@H](C)CN1 ZINC000235683645 352186615 /nfs/dbraw/zinc/18/66/15/352186615.db2.gz GLEXSDVFJPXZEG-UWVGGRQHSA-N 0 1 280.353 0.324 20 30 CCEDMN C#CCCN1CCN(C(=O)CSc2nnc(C)[nH]2)CC1 ZINC000266789995 352720168 /nfs/dbraw/zinc/72/01/68/352720168.db2.gz CFFXAJCHEIKYMQ-UHFFFAOYSA-N 0 1 293.396 0.373 20 30 CCEDMN N#Cc1nccnc1N1CCN(C2CCOCC2)CC1 ZINC000267115604 352744848 /nfs/dbraw/zinc/74/48/48/352744848.db2.gz ZRSPPDNXCPUWJM-UHFFFAOYSA-N 0 1 273.340 0.649 20 30 CCEDMN Cc1nnc(N2CCC(c3nc(=O)[nH][nH]3)CC2)c(C#N)c1C ZINC000281023777 353388850 /nfs/dbraw/zinc/38/88/50/353388850.db2.gz SNAIXLZRBUABMF-UHFFFAOYSA-N 0 1 299.338 0.761 20 30 CCEDMN N#Cc1nccnc1N1CCC[C@H](c2nc(=O)[nH][nH]2)C1 ZINC000284541737 353555908 /nfs/dbraw/zinc/55/59/08/353555908.db2.gz BCVOWRJWTTWQFX-QMMMGPOBSA-N 0 1 271.284 0.144 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2ccnc(C#N)c2)CC1 ZINC000285981355 353562628 /nfs/dbraw/zinc/56/26/28/353562628.db2.gz BZAQJSNNRJIGJB-UHFFFAOYSA-N 0 1 273.340 0.163 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2nc(C)n(C)n2)CC1 ZINC000294139588 353871874 /nfs/dbraw/zinc/87/18/74/353871874.db2.gz KUVOEZXNDLNJFQ-UHFFFAOYSA-N 0 1 261.329 0.407 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CC(C)(C)CO ZINC000295206436 353892019 /nfs/dbraw/zinc/89/20/19/353892019.db2.gz LMQQGJJEXFBQMY-JTQLQIEISA-N 0 1 271.361 0.337 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@](COC)(C(=O)OC)C1 ZINC000296158321 353934501 /nfs/dbraw/zinc/93/45/01/353934501.db2.gz HEPCWCKPPGDJKU-FZMZJTMJSA-N 0 1 284.356 0.189 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@](COC)(C(=O)OC)C1 ZINC000296158334 353934705 /nfs/dbraw/zinc/93/47/05/353934705.db2.gz HEPCWCKPPGDJKU-SMDDNHRTSA-N 0 1 284.356 0.189 20 30 CCEDMN C=CCNC(=O)CNC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000296592300 353952056 /nfs/dbraw/zinc/95/20/56/353952056.db2.gz IRASKFJIVNUALQ-PHIMTYICSA-N 0 1 268.361 0.023 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H](O)C1CC1 ZINC000304776270 354122537 /nfs/dbraw/zinc/12/25/37/354122537.db2.gz HOSVMPFNGHUPPZ-TZMCWYRMSA-N 0 1 265.357 0.498 20 30 CCEDMN CC(=O)NCCN(C)C[C@@H](O)COc1ccccc1C#N ZINC000308248843 354183486 /nfs/dbraw/zinc/18/34/86/354183486.db2.gz AGYLUPZESDFFPG-CQSZACIVSA-N 0 1 291.351 0.366 20 30 CCEDMN O=C(N[C@@H]1CCc2ncnn2C1)N1CCOCC2(CC2)C1 ZINC000329341823 354255726 /nfs/dbraw/zinc/25/57/26/354255726.db2.gz SHNLDGMMWCSTIN-LLVKDONJSA-N 0 1 291.355 0.619 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2ccc(C#N)cc2)CC[C@H]1O ZINC000331128044 354459039 /nfs/dbraw/zinc/45/90/39/354459039.db2.gz FIGLEZMJUHFCHX-SWLSCSKDSA-N 0 1 287.363 0.877 20 30 CCEDMN CCOC(=O)[C@H](C)[C@@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000619203983 366216239 /nfs/dbraw/zinc/21/62/39/366216239.db2.gz MSEUQIGLPUXKSG-RKDXNWHRSA-N 0 1 293.327 0.423 20 30 CCEDMN CN(CCOc1cccc(C#N)c1)[C@@H]1COC[C@@H]1O ZINC000352802034 356083458 /nfs/dbraw/zinc/08/34/58/356083458.db2.gz VFSIFCOKCRQSBD-KGLIPLIRSA-N 0 1 262.309 0.629 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H]2C(=O)NCc2nnc[nH]2)cc1 ZINC000609112755 363471353 /nfs/dbraw/zinc/47/13/53/363471353.db2.gz RRUKJSLFPQRVAM-CYBMUJFWSA-N 0 1 296.334 0.962 20 30 CCEDMN CCc1nc(CN2CCN(c3cccc(C#N)n3)CC2)n[nH]1 ZINC000355038263 356556049 /nfs/dbraw/zinc/55/60/49/356556049.db2.gz KXHXNTFWKQDBTD-UHFFFAOYSA-N 0 1 297.366 0.956 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)Nc2ccc(C#N)cc2)n[nH]1 ZINC000609958315 363529717 /nfs/dbraw/zinc/52/97/17/363529717.db2.gz RYLFDHGAGKHCDI-UHFFFAOYSA-N 0 1 283.291 0.845 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000619717199 366333671 /nfs/dbraw/zinc/33/36/71/366333671.db2.gz JODDTUUCVOOZRK-AGIUHOORSA-N 0 1 268.357 0.245 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CC[C@@H](CN2CCOCC2)C1 ZINC000619718263 366338402 /nfs/dbraw/zinc/33/84/02/366338402.db2.gz DMHAKGBFEIDIOZ-STQMWFEESA-N 0 1 268.357 0.368 20 30 CCEDMN CC(C)(NC(=O)c1ccnc(C#N)c1)c1nn[nH]n1 ZINC000356204123 356722792 /nfs/dbraw/zinc/72/27/92/356722792.db2.gz WBXOXGLYJDQZIS-UHFFFAOYSA-N 0 1 257.257 0.131 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2ccc3c(c2)OCO3)[nH]1 ZINC000610528218 363572629 /nfs/dbraw/zinc/57/26/29/363572629.db2.gz WZVBHOLDJWLYNP-UHFFFAOYSA-N 0 1 299.290 0.764 20 30 CCEDMN Cn1ccc(CNC(=O)N=c2[nH]n(C)cc2C#N)c1 ZINC000610560395 363575030 /nfs/dbraw/zinc/57/50/30/363575030.db2.gz BZCWNROLXDUQOU-UHFFFAOYSA-N 0 1 258.285 0.374 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2COc3ccccc3C2)[nH]1 ZINC000610561529 363576540 /nfs/dbraw/zinc/57/65/40/363576540.db2.gz MENRWEWDZMHNMI-LBPRGKRZSA-N 0 1 297.318 0.839 20 30 CCEDMN CN(C)c1ncccc1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610564831 363579500 /nfs/dbraw/zinc/57/95/00/363579500.db2.gz XAXKECHMDWBXBT-UHFFFAOYSA-N 0 1 299.338 0.496 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1C[C@@H]2CC[C@@H](O)C[C@@H]2C1)C1CC1 ZINC000398829721 357779494 /nfs/dbraw/zinc/77/94/94/357779494.db2.gz LBWCXBOWFBFWJH-KLZCAUPSSA-N 0 1 291.395 0.888 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1CCCN(C(=O)C2CC2)CC1 ZINC000294414014 199031020 /nfs/dbraw/zinc/03/10/20/199031020.db2.gz DCBYUBQKWBVULG-UHFFFAOYSA-N 0 1 277.368 0.070 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN([C@H]3COC[C@H]3O)C2)nc1 ZINC000562379154 291148443 /nfs/dbraw/zinc/14/84/43/291148443.db2.gz VKTKDGBWAJHWER-FRRDWIJNSA-N 0 1 275.308 0.166 20 30 CCEDMN Cc1cnn([C@H]2CCN(C(=O)N=c3[nH]n(C)cc3C#N)C2)c1 ZINC000589332777 359378580 /nfs/dbraw/zinc/37/85/80/359378580.db2.gz SFMVDVVSIFFSJT-LBPRGKRZSA-N 0 1 299.338 0.698 20 30 CCEDMN CN1C[C@@H]2CCN(S(=O)(=O)c3ccccc3C#N)[C@@H]2C1 ZINC000589929872 359410810 /nfs/dbraw/zinc/41/08/10/359410810.db2.gz NCTGDRMLJFQDAL-QWHCGFSZSA-N 0 1 291.376 0.883 20 30 CCEDMN CN(CCN1CCCS1(=O)=O)[C@H]1CC[C@H](C#N)C1 ZINC000590162481 359427537 /nfs/dbraw/zinc/42/75/37/359427537.db2.gz OIXZJOFXBFATSO-RYUDHWBXSA-N 0 1 271.386 0.646 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1cccc(C#N)n1 ZINC000589464650 359385001 /nfs/dbraw/zinc/38/50/01/359385001.db2.gz UGYJQUPUBIVZET-LBPRGKRZSA-N 0 1 299.290 0.190 20 30 CCEDMN CN1C[C@H]2[C@@H](CCN2S(=O)(=O)CC2(CC#N)CC2)C1 ZINC000590757798 359517802 /nfs/dbraw/zinc/51/78/02/359517802.db2.gz AOUGLEJSBWBBDS-RYUDHWBXSA-N 0 1 283.397 0.646 20 30 CCEDMN N#Cc1cccc(C(=O)NC[C@H]2CCCCN2CCO)n1 ZINC000590767983 359521156 /nfs/dbraw/zinc/52/11/56/359521156.db2.gz CGXUCEBWYBSSQD-CYBMUJFWSA-N 0 1 288.351 0.530 20 30 CCEDMN COCC#CCN1CCSC[C@@H](C(=O)OC)C1 ZINC000591060315 359550754 /nfs/dbraw/zinc/55/07/54/359550754.db2.gz BXXLXDUGRGHYNV-NSHDSACASA-N 0 1 257.355 0.474 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)C(=O)NCCCCC#N)CCN1 ZINC000591413435 359588027 /nfs/dbraw/zinc/58/80/27/359588027.db2.gz KGJXYGROGZNAOC-GFCCVEGCSA-N 0 1 280.372 0.253 20 30 CCEDMN C[C@@H]1CN(Cc2ccncc2C#N)C[C@H](C)N1CCO ZINC000592127241 359761757 /nfs/dbraw/zinc/76/17/57/359761757.db2.gz YBAMLFULHZIXEH-BETUJISGSA-N 0 1 274.368 0.840 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H](O)CC(F)(F)F)C1 ZINC000592150062 359769509 /nfs/dbraw/zinc/76/95/09/359769509.db2.gz ANIATWAOXNGNSO-IUCAKERBSA-N 0 1 252.236 0.650 20 30 CCEDMN C[C@H](CO)CCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611162113 363668448 /nfs/dbraw/zinc/66/84/48/363668448.db2.gz WEGVZMJWLPBCHK-VIFPVBQESA-N 0 1 265.317 0.244 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)C[C@@H](O)C1CC1 ZINC000611207194 363681911 /nfs/dbraw/zinc/68/19/11/363681911.db2.gz MWIZNGABDXOXEK-GXSJLCMTSA-N 0 1 269.345 0.089 20 30 CCEDMN N#Cc1ccc(N2CCN([C@@H]3CCC[C@H]3O)CC2)nn1 ZINC000611240790 363693454 /nfs/dbraw/zinc/69/34/54/363693454.db2.gz VIFXXDNMFIZQCD-CHWSQXEVSA-N 0 1 273.340 0.384 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CC[C@](O)(CC#N)C1 ZINC000592843830 359951607 /nfs/dbraw/zinc/95/16/07/359951607.db2.gz HBRMPOBHXHBHFM-DGCLKSJQSA-N 0 1 251.330 0.348 20 30 CCEDMN C[C@@H](CCO)N(C)CC(=O)NCc1cccc(C#N)c1 ZINC000593110721 360042092 /nfs/dbraw/zinc/04/20/92/360042092.db2.gz ZPXRKJXAZQCJGI-LBPRGKRZSA-N 0 1 275.352 0.877 20 30 CCEDMN CC[C@@H](C#N)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000593466454 360094225 /nfs/dbraw/zinc/09/42/25/360094225.db2.gz WJRCCRFKBZYHNH-NEPJUHHUSA-N 0 1 252.362 0.288 20 30 CCEDMN CC[C@H](C#N)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000593465016 360094275 /nfs/dbraw/zinc/09/42/75/360094275.db2.gz MUNFFSWREIBPTI-ZIAGYGMSSA-N 0 1 280.416 0.924 20 30 CCEDMN CC[C@H](C#N)C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000593476469 360095362 /nfs/dbraw/zinc/09/53/62/360095362.db2.gz ZVHGHUPJHIDDDB-ZIAGYGMSSA-N 0 1 294.399 0.059 20 30 CCEDMN Cc1cc(N2CCN(Cc3nnc[nH]3)CC2)cc(C#N)n1 ZINC000593507199 360102461 /nfs/dbraw/zinc/10/24/61/360102461.db2.gz FVXFPFADPDOMSP-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN N#Cc1ccc(N2CCN(Cc3nnc[nH]3)CC2)cc1 ZINC000593507929 360102532 /nfs/dbraw/zinc/10/25/32/360102532.db2.gz KFKUAHSRRQDVNO-UHFFFAOYSA-N 0 1 268.324 0.999 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(CC[C@@H]2CCNC2=O)CC1 ZINC000593968771 360225292 /nfs/dbraw/zinc/22/52/92/360225292.db2.gz PGOLUAUMMMLVEG-UONOGXRCSA-N 0 1 278.400 0.678 20 30 CCEDMN CC(C)CN1CCO[C@H](Cn2cc(CNCC#N)nn2)C1 ZINC000594648088 360346508 /nfs/dbraw/zinc/34/65/08/360346508.db2.gz RPNGAUQAESAVMR-AWEZNQCLSA-N 0 1 292.387 0.248 20 30 CCEDMN N#Cc1ccc2c(c1)CN(C(=O)C[C@H]1COCCN1)C2 ZINC000594451801 360316172 /nfs/dbraw/zinc/31/61/72/360316172.db2.gz GCDUQTGQUXDPJI-AWEZNQCLSA-N 0 1 271.320 0.779 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CCC2CC[NH2+]CC2)o1 ZINC000595112931 360438610 /nfs/dbraw/zinc/43/86/10/360438610.db2.gz GRVOILCMTRHHFP-UHFFFAOYSA-N 0 1 283.353 0.819 20 30 CCEDMN COC(=O)Cn1cc(CNCCc2ccc(C#N)cc2)nn1 ZINC000594956655 360426378 /nfs/dbraw/zinc/42/63/78/360426378.db2.gz XUJDYKAFNBUJFF-UHFFFAOYSA-N 0 1 299.334 0.655 20 30 CCEDMN N#Cc1cc(CN2CCC(c3nc(N)n[nH]3)CC2)ccn1 ZINC000595491383 360549963 /nfs/dbraw/zinc/54/99/63/360549963.db2.gz VRFHPIUTOYBBLP-UHFFFAOYSA-N 0 1 283.339 0.864 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)Cc1cnc[nH]1 ZINC000595596178 360592575 /nfs/dbraw/zinc/59/25/75/360592575.db2.gz KZBNPAMGEKNXEL-UHFFFAOYSA-N 0 1 274.328 0.497 20 30 CCEDMN C[C@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(C#N)o2)CC[NH2+]1 ZINC000563445704 291230745 /nfs/dbraw/zinc/23/07/45/291230745.db2.gz JAKJWSVJYXYKRC-IUCAKERBSA-N 0 1 269.326 0.570 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCC[C@@H]1[C@H](C)O ZINC000595769764 360647631 /nfs/dbraw/zinc/64/76/31/360647631.db2.gz LQMQMGBCPCRQGW-OUCADQQQSA-N 0 1 267.373 0.886 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@H]([C@H]2CCCCO2)C1 ZINC000595791448 360656196 /nfs/dbraw/zinc/65/61/96/360656196.db2.gz UODRCDZGPWLDAM-QWHCGFSZSA-N 0 1 265.357 0.907 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H]2NC(=O)CC[C@@H]2C1 ZINC000595744967 360637324 /nfs/dbraw/zinc/63/73/24/360637324.db2.gz WUDUMZOZONAFOF-CHWSQXEVSA-N 0 1 292.383 0.349 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@@H](C)C(O)[C@H](C)C1 ZINC000595751324 360638957 /nfs/dbraw/zinc/63/89/57/360638957.db2.gz UJCYAVSFMQSUAG-MXWKQRLJSA-N 0 1 283.372 0.335 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)N1CCC[C@@H](C(=O)OCC)C1 ZINC000595863409 360683357 /nfs/dbraw/zinc/68/33/57/360683357.db2.gz GANYWKBLOAZCBQ-JHJVBQTASA-N 0 1 298.383 0.313 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC(=O)N[C@@H]1CCCC[C@@H]1C ZINC000595864020 360683414 /nfs/dbraw/zinc/68/34/14/360683414.db2.gz MSZKFSSWZOBJBP-QJPTWQEYSA-N 0 1 283.372 0.527 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](C)[C@](C)(CO)C1 ZINC000595872582 360687216 /nfs/dbraw/zinc/68/72/16/360687216.db2.gz JVILMLWKBXRQSO-OCCSQVGLSA-N 0 1 267.373 0.699 20 30 CCEDMN C[C@H](CC#N)C(=O)NCCCCN1CCOCC1 ZINC000596812710 360960128 /nfs/dbraw/zinc/96/01/28/360960128.db2.gz JGKILTOWNGLELJ-GFCCVEGCSA-N 0 1 253.346 0.765 20 30 CCEDMN COCCN1CCCN(C(=O)[C@@H](C)CC#N)CC1 ZINC000596924815 360979947 /nfs/dbraw/zinc/97/99/47/360979947.db2.gz AIIRCXLTRNFIMO-LBPRGKRZSA-N 0 1 253.346 0.717 20 30 CCEDMN N#Cc1ccc(N2CCN(Cc3cnc[nH]3)CC2)nc1 ZINC000179986971 189230746 /nfs/dbraw/zinc/23/07/46/189230746.db2.gz AGVRALBWVSMBPH-UHFFFAOYSA-N 0 1 268.324 0.999 20 30 CCEDMN COc1cc(CN2CCC(CO)(CO)C2)ccc1C#N ZINC000597217734 361033177 /nfs/dbraw/zinc/03/31/77/361033177.db2.gz BKWFBVAPSQZHLQ-UHFFFAOYSA-N 0 1 276.336 0.744 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)NCc1cc(C)[nH]n1 ZINC000597265666 361044174 /nfs/dbraw/zinc/04/41/74/361044174.db2.gz RTOHXQPPLPCLBD-UHFFFAOYSA-N 0 1 296.396 0.760 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N[C@@H]1CCCN(C)C1 ZINC000597360749 361070795 /nfs/dbraw/zinc/07/07/95/361070795.db2.gz LFQNULTUBGGPFG-GFCCVEGCSA-N 0 1 299.440 0.622 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000597541483 361133178 /nfs/dbraw/zinc/13/31/78/361133178.db2.gz KBBODISGXGOPLQ-NWDGAFQWSA-N 0 1 267.373 0.840 20 30 CCEDMN C=C[C@@H]1CCCCN1Cc1cc(=O)n2nc(N)[nH]c2n1 ZINC000621288176 366705578 /nfs/dbraw/zinc/70/55/78/366705578.db2.gz PCHAUNXECUMDEI-SNVBAGLBSA-N 0 1 274.328 0.371 20 30 CCEDMN CCN1CCN(CC(=O)N([C@H](C)C#N)C2CC2)CC1 ZINC000598127688 361340138 /nfs/dbraw/zinc/34/01/38/361340138.db2.gz CNZHGZPRTFAVOV-GFCCVEGCSA-N 0 1 264.373 0.527 20 30 CCEDMN COCCN(C[C@H](O)CC(C)(C)C#N)[C@@H](C)C(N)=O ZINC000598587429 361448315 /nfs/dbraw/zinc/44/83/15/361448315.db2.gz ADWRYUNGEMIMMF-WDEREUQCSA-N 0 1 271.361 0.109 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CC[C@@H](CS(C)(=O)=O)C1 ZINC000598618767 361462065 /nfs/dbraw/zinc/46/20/65/361462065.db2.gz QBGIPGABNOGECI-VXGBXAGGSA-N 0 1 288.413 0.654 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cc(F)c[nH]c2=O)CC1 ZINC000598659272 361475158 /nfs/dbraw/zinc/47/51/58/361475158.db2.gz UFLDYVKRRVDSEL-UHFFFAOYSA-N 0 1 278.287 0.644 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCN2CCOC[C@@H]2C1 ZINC000598606001 361457417 /nfs/dbraw/zinc/45/74/17/361457417.db2.gz YGHWCICCQBYKQC-QWHCGFSZSA-N 0 1 267.373 0.304 20 30 CCEDMN N#Cc1cc2cccnc2nc1NCCN1CC[C@@H](O)C1 ZINC000599175673 361598947 /nfs/dbraw/zinc/59/89/47/361598947.db2.gz HLNXFIUIOKWGIH-CYBMUJFWSA-N 0 1 283.335 0.980 20 30 CCEDMN N#Cc1c2c(cnc1NCCN1CC[C@H](O)C1)CCC2 ZINC000599199278 361608928 /nfs/dbraw/zinc/60/89/28/361608928.db2.gz IVWWXUGSILSJQF-LBPRGKRZSA-N 0 1 272.352 0.342 20 30 CCEDMN C=C[C@H](C)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599259566 361623967 /nfs/dbraw/zinc/62/39/67/361623967.db2.gz ZPGSKTLBEUWKRD-LURJTMIESA-N 0 1 260.275 0.181 20 30 CCEDMN CN(Cc1nnc[nH]1)C[C@@H](O)COc1ccccc1C#N ZINC000599307324 361644284 /nfs/dbraw/zinc/64/42/84/361644284.db2.gz RQCAHQWSYYUKHT-GFCCVEGCSA-N 0 1 287.323 0.548 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@]2(C)CCO[C@@H]2C2CC2)[nH]1 ZINC000612313727 363883113 /nfs/dbraw/zinc/88/31/13/363883113.db2.gz XVUUOAQBBSWDNH-BXUZGUMPSA-N 0 1 289.339 0.793 20 30 CCEDMN C=CCOCCNC(=O)N=c1[nH]n(C)cc1C(=O)OCC ZINC000612325360 363884396 /nfs/dbraw/zinc/88/43/96/363884396.db2.gz ZBSFPUZJZAOLIB-UHFFFAOYSA-N 0 1 296.327 0.343 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000612697508 363994971 /nfs/dbraw/zinc/99/49/71/363994971.db2.gz WJNDFCMVDZAGPT-FZMZJTMJSA-N 0 1 290.367 0.854 20 30 CCEDMN Cc1n[nH]cc1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000613146316 364126945 /nfs/dbraw/zinc/12/69/45/364126945.db2.gz OLKIYPDYWZIQPP-UHFFFAOYSA-N 0 1 259.273 0.067 20 30 CCEDMN N#CC1(CS(=O)(=O)N[C@H]2CCN(C3CC3)C2)CC1 ZINC000613262327 364187606 /nfs/dbraw/zinc/18/76/06/364187606.db2.gz YATAJKFYHOCMKL-JTQLQIEISA-N 0 1 269.370 0.446 20 30 CCEDMN C=CCOCCNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000613364316 364227481 /nfs/dbraw/zinc/22/74/81/364227481.db2.gz OXBKXBDFMMJPGY-SECBINFHSA-N 0 1 250.302 0.543 20 30 CCEDMN C[N@H+]1CC[C@H](CNC(=O)c2cc(O)cc(C#N)c2)C1 ZINC000614296969 364564336 /nfs/dbraw/zinc/56/43/36/364564336.db2.gz CNEPTXVUIBMNFO-SNVBAGLBSA-N 0 1 259.309 0.945 20 30 CCEDMN C[N@@H+]1CC[C@H](CNC(=O)c2cc([O-])cc(C#N)c2)C1 ZINC000614296969 364564338 /nfs/dbraw/zinc/56/43/38/364564338.db2.gz CNEPTXVUIBMNFO-SNVBAGLBSA-N 0 1 259.309 0.945 20 30 CCEDMN C[N@H+]1CC[C@H](CNC(=O)c2cc([O-])cc(C#N)c2)C1 ZINC000614296969 364564339 /nfs/dbraw/zinc/56/43/39/364564339.db2.gz CNEPTXVUIBMNFO-SNVBAGLBSA-N 0 1 259.309 0.945 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000614444589 364607238 /nfs/dbraw/zinc/60/72/38/364607238.db2.gz AFBNTNSTFLRKAB-OLZOCXBDSA-N 0 1 268.357 0.247 20 30 CCEDMN CO[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CC[C@H]1C ZINC000615253051 364895816 /nfs/dbraw/zinc/89/58/16/364895816.db2.gz GOMORELRLOOLDZ-MWLCHTKSSA-N 0 1 277.328 0.602 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC[C@@H](CC(N)=O)C3)cnc12 ZINC000564988887 291332393 /nfs/dbraw/zinc/33/23/93/291332393.db2.gz PJGXCOXIIJYSIZ-NSHDSACASA-N 0 1 298.350 0.688 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)c(N)n2)[C@@H](C)CN1CCO ZINC000565227506 291363785 /nfs/dbraw/zinc/36/37/85/291363785.db2.gz YOZPAJXQUHRIRH-MNOVXSKESA-N 0 1 275.356 0.427 20 30 CCEDMN C=CCCn1cc(C(=O)N(C)Cc2n[nH]c(=O)o2)nn1 ZINC000622115094 367041700 /nfs/dbraw/zinc/04/17/00/367041700.db2.gz HPGCOSYSNBSNJG-UHFFFAOYSA-N 0 1 278.272 0.215 20 30 CCEDMN C=CC[C@@H]1CCN(Cc2nc(CC(=O)NC)no2)C1 ZINC000625702289 368299032 /nfs/dbraw/zinc/29/90/32/368299032.db2.gz WSJQAYQHQPLEOO-SNVBAGLBSA-N 0 1 264.329 0.756 20 30 CCEDMN C=CC[C@H](CO)CNS(=O)(=O)c1cc(O)cc(F)c1 ZINC000632182472 370911115 /nfs/dbraw/zinc/91/11/15/370911115.db2.gz KPTOHAACUNMVIE-VIFPVBQESA-N 0 1 289.328 0.994 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)NC[C@@H]2COCCN2)C1 ZINC000627191836 368862039 /nfs/dbraw/zinc/86/20/39/368862039.db2.gz WZICRNIQYROFRF-NWDGAFQWSA-N 0 1 253.346 0.582 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1CCNC[C@@H]1C(C)C ZINC000627314690 368952646 /nfs/dbraw/zinc/95/26/46/368952646.db2.gz KATGRMFLXOGPNL-GFCCVEGCSA-N 0 1 267.373 0.525 20 30 CCEDMN C=CC[C@H]1CC[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000629500106 369684423 /nfs/dbraw/zinc/68/44/23/369684423.db2.gz VRYTXRGKMIHOPG-WDEREUQCSA-N 0 1 252.314 0.570 20 30 CCEDMN C#CCN1CCC(C(=O)OC/C=C(\C)C(=O)OC)CC1 ZINC000490866731 261230635 /nfs/dbraw/zinc/23/06/35/261230635.db2.gz SQEQZYAUQHTSRS-KPKJPENVSA-N 0 1 279.336 0.994 20 30 CCEDMN C=CCN(C(=O)CCc1c[nH]nn1)[C@@H](C)COC ZINC000633611825 371628144 /nfs/dbraw/zinc/62/81/44/371628144.db2.gz HHWGKKLCDQJLLS-JTQLQIEISA-N 0 1 252.318 0.787 20 30 CCEDMN C=CCN(C(=O)CCc1cnn[nH]1)[C@@H](C)COC ZINC000633611825 371628148 /nfs/dbraw/zinc/62/81/48/371628148.db2.gz HHWGKKLCDQJLLS-JTQLQIEISA-N 0 1 252.318 0.787 20 30 CCEDMN COC(=O)[C@H](Cc1ccncc1)NC(=O)C(C)C#N ZINC000574531918 335027763 /nfs/dbraw/zinc/02/77/63/335027763.db2.gz UPLMMDJQOGJAFP-ONGXEEELSA-N 0 1 261.281 0.442 20 30 CCEDMN COCC#CCN1CC[C@@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC000639717183 375943259 /nfs/dbraw/zinc/94/32/59/375943259.db2.gz UWJCIKKSELBKQY-SNVBAGLBSA-N 0 1 263.297 0.327 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H](OC)C[C@@]1(C)CO ZINC000639997175 376172258 /nfs/dbraw/zinc/17/22/58/376172258.db2.gz HBOBIPUONBTSOB-ZFWWWQNUSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@H](OC)C[C@@]1(C)CO ZINC000639997114 376172659 /nfs/dbraw/zinc/17/26/59/376172659.db2.gz HBOBIPUONBTSOB-HIFRSBDPSA-N 0 1 284.400 0.883 20 30 CCEDMN CC#CC[C@H](CO)NCc1cc(C(N)=O)cs1 ZINC000641767444 377453938 /nfs/dbraw/zinc/45/39/38/377453938.db2.gz LBAKQCSELUCFTI-SNVBAGLBSA-N 0 1 252.339 0.711 20 30 CCEDMN CC#CC[C@@H](CO)NCc1cc2c(cn1)OCCO2 ZINC000641767659 377454239 /nfs/dbraw/zinc/45/42/39/377454239.db2.gz NTLXKVXDCCQUJR-NSHDSACASA-N 0 1 262.309 0.717 20 30 CCEDMN C[C@H]1CN(C)CCN1Cc1cnc2c(C#N)cnn2c1 ZINC000515384231 249132103 /nfs/dbraw/zinc/13/21/03/249132103.db2.gz FYBZTWVYMMPGRF-NSHDSACASA-N 0 1 270.340 0.737 20 30 CCEDMN CCOC[C@H]1CCCN(CC(=O)NCCC#N)C1 ZINC000733797370 581525351 /nfs/dbraw/zinc/52/53/51/581525351.db2.gz UWFZMXWQRFNOPW-LBPRGKRZSA-N 0 1 253.346 0.765 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@H]3COC[C@@H]32)C1=O ZINC000657293569 412953281 /nfs/dbraw/zinc/95/32/81/412953281.db2.gz DASNARVADYQDIM-AGIUHOORSA-N 0 1 250.342 0.884 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1cncc(C#N)c1 ZINC000289409994 197333153 /nfs/dbraw/zinc/33/31/53/197333153.db2.gz GVRXCXNITBNYCR-UHFFFAOYSA-N 0 1 268.342 0.135 20 30 CCEDMN C[C@H](CCC[NH3+])[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000571537433 291821439 /nfs/dbraw/zinc/82/14/39/291821439.db2.gz ICLZNNJVXJOWKB-MRVPVSSYSA-N 0 1 257.315 0.557 20 30 CCEDMN [O-]C(=[NH+][C@H]1CCn2ccnc2C1)N1CCC[C@@H]1CO ZINC000330284822 285289850 /nfs/dbraw/zinc/28/98/50/285289850.db2.gz YALUZPKURCYLJQ-WDEREUQCSA-N 0 1 264.329 0.569 20 30 CCEDMN C=CCN(Cc1[nH]ncc1C)[C@H]1CCS(=O)(=O)C1 ZINC000284314611 388776393 /nfs/dbraw/zinc/77/63/93/388776393.db2.gz VXPFNELUAGOWHQ-NSHDSACASA-N 0 1 269.370 0.893 20 30 CCEDMN C=CCNC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=O)N(C)C ZINC000284970135 388785969 /nfs/dbraw/zinc/78/59/69/388785969.db2.gz GBUKONDDOWLWSC-YHWZYXNKSA-N 0 1 294.399 0.555 20 30 CCEDMN C#CCC(CC#C)C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000287766911 388855507 /nfs/dbraw/zinc/85/55/07/388855507.db2.gz BHBOMXUFHXLALF-AWEZNQCLSA-N 0 1 290.407 0.953 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC000288670595 388864589 /nfs/dbraw/zinc/86/45/89/388864589.db2.gz VZFNLTJQTUEIKC-DGCLKSJQSA-N 0 1 279.384 0.668 20 30 CCEDMN N#Cc1cc2c(nc1N1CCCN(CCO)CC1)CCC2 ZINC000071349547 388957847 /nfs/dbraw/zinc/95/78/47/388957847.db2.gz VLTKQSPVZWCTHJ-UHFFFAOYSA-N 0 1 286.379 0.946 20 30 CCEDMN C#CCNC(=O)CCN1CCOc2cc(O)ccc2C1 ZINC000293702497 388987676 /nfs/dbraw/zinc/98/76/76/388987676.db2.gz XFRIBRHJJYSFPM-UHFFFAOYSA-N 0 1 274.320 0.726 20 30 CCEDMN N#Cc1ccnc(C(=O)N[C@H]2CCCN(CCCO)C2)c1 ZINC000295378257 389004737 /nfs/dbraw/zinc/00/47/37/389004737.db2.gz GSYXCXOYTHSNDH-ZDUSSCGKSA-N 0 1 288.351 0.530 20 30 CCEDMN C=CCN1CC[C@H](N2CCS[C@@H]3COCC[C@H]32)C1=O ZINC000337165411 389048765 /nfs/dbraw/zinc/04/87/65/389048765.db2.gz YPTJRCFFHXIYRP-FRRDWIJNSA-N 0 1 282.409 0.980 20 30 CCEDMN C=CCN1CC[C@H](N[C@@H](COC)[C@@H]2CCCO2)C1=O ZINC000337219356 389055782 /nfs/dbraw/zinc/05/57/82/389055782.db2.gz BLRBLCYUXFCEGD-AVGNSLFASA-N 0 1 268.357 0.557 20 30 CCEDMN CC(C)(C)[C@@H](NCC(=O)N(CCC#N)CCC#N)C(N)=O ZINC000106443781 389092749 /nfs/dbraw/zinc/09/27/49/389092749.db2.gz BLRIIUDZZOENAR-LBPRGKRZSA-N 0 1 293.371 0.132 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN(C2CCC2)CC1 ZINC000355324515 389060099 /nfs/dbraw/zinc/06/00/99/389060099.db2.gz REPPRUQFVACTTD-LBPRGKRZSA-N 0 1 251.374 0.847 20 30 CCEDMN C[C@@H](CC#N)N(C)C[C@@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000305078286 389084120 /nfs/dbraw/zinc/08/41/20/389084120.db2.gz WGPCJVMQJDWYCH-IGQOVBAYSA-N 0 1 269.389 0.690 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H]([C@H](C)O)C1 ZINC000305627931 389086848 /nfs/dbraw/zinc/08/68/48/389086848.db2.gz CWBMVAMOPLLXOZ-STQMWFEESA-N 0 1 267.373 0.841 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000355156241 389045189 /nfs/dbraw/zinc/04/51/89/389045189.db2.gz YSMKQVZCZRPVPS-GFCCVEGCSA-N 0 1 279.384 0.764 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@@H](C(N)=O)C(C)(C)C ZINC000109330790 389100146 /nfs/dbraw/zinc/10/01/46/389100146.db2.gz NJJOLZSGPRRWDZ-NSHDSACASA-N 0 1 268.361 0.238 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@H](C(=O)NC)C1 ZINC000111267746 389103744 /nfs/dbraw/zinc/10/37/44/389103744.db2.gz PKHYOMNRMDNMQL-STQMWFEESA-N 0 1 294.399 0.453 20 30 CCEDMN C=CCCS(=O)(=O)N1CCO[C@H]2CCN(CC)C[C@H]21 ZINC000339017591 389121758 /nfs/dbraw/zinc/12/17/58/389121758.db2.gz QDSBIHDZLOQLKQ-OLZOCXBDSA-N 0 1 288.413 0.687 20 30 CCEDMN N#CCCCS(=O)(=O)N[C@H]1CCN(C2CC2)C1 ZINC000339048386 389123150 /nfs/dbraw/zinc/12/31/50/389123150.db2.gz MNDCZCNMYYINAT-JTQLQIEISA-N 0 1 257.359 0.446 20 30 CCEDMN CC(C)(C(=O)NCCN1CCCOCC1)N1CCOCC1 ZINC000328669977 389130867 /nfs/dbraw/zinc/13/08/67/389130867.db2.gz GDBYIWVTONJTJO-UHFFFAOYSA-N 0 1 299.415 0.776 20 30 CCEDMN [O-]C(N[C@@H]1CCc2ncnn2C1)=[NH+][C@H]1CC[C@H](O)CC1 ZINC000328749376 389135852 /nfs/dbraw/zinc/13/58/52/389135852.db2.gz QVJJHGDETKIBOY-GMTAPVOTSA-N 0 1 279.344 0.400 20 30 CCEDMN O=C(N[C@H]1CC[C@H](O)CC1)N[C@@H]1CCc2ncnn2C1 ZINC000328749376 389135857 /nfs/dbraw/zinc/13/58/57/389135857.db2.gz QVJJHGDETKIBOY-GMTAPVOTSA-N 0 1 279.344 0.400 20 30 CCEDMN Cc1nc2n(n1)C[C@H]([NH+]=C([O-])N1CCC[N@H+](C)CC1)CC2 ZINC000328771657 389137200 /nfs/dbraw/zinc/13/72/00/389137200.db2.gz ATGFAVWBEGJEIT-GFCCVEGCSA-N 0 1 292.387 0.453 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N1CCC[N@@H+](C)CC1)CC2 ZINC000328771657 389137202 /nfs/dbraw/zinc/13/72/02/389137202.db2.gz ATGFAVWBEGJEIT-GFCCVEGCSA-N 0 1 292.387 0.453 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H]1CO ZINC000118401246 389137699 /nfs/dbraw/zinc/13/76/99/389137699.db2.gz GVEIKLJEWMDNCC-NWDGAFQWSA-N 0 1 253.346 0.451 20 30 CCEDMN CC#CCN(C)C[C@@H](O)C[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000339764196 389138247 /nfs/dbraw/zinc/13/82/47/389138247.db2.gz SDYOCCOWSUGEGX-HZSPNIEDSA-N 0 1 254.374 0.412 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)NC[C@H]1CCCN1CCOC ZINC000341525000 389189633 /nfs/dbraw/zinc/18/96/33/389189633.db2.gz AEZWAMWKVSLJAY-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCOC[C@H](NC(=O)CN1CCC(C)CC1)C(=O)OC ZINC000360726534 389202480 /nfs/dbraw/zinc/20/24/80/389202480.db2.gz RDIVGLBCXAWDPN-ZDUSSCGKSA-N 0 1 298.383 0.579 20 30 CCEDMN Cc1nccn1CC[NH+]=C([O-])N1CCN2C(=O)NC[C@@H]2C1 ZINC000329803609 389203197 /nfs/dbraw/zinc/20/31/97/389203197.db2.gz LJFZRKZHRNESSF-LLVKDONJSA-N 0 1 292.343 0.019 20 30 CCEDMN C=C[C@@H](CO)NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000358470807 389152135 /nfs/dbraw/zinc/15/21/35/389152135.db2.gz TYTVNLXRSHCKHV-XQQFMLRXSA-N 0 1 285.388 0.332 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(OCCCOC)CC1 ZINC000122578608 389152842 /nfs/dbraw/zinc/15/28/42/389152842.db2.gz PMVXPWLBPKSTQD-CYBMUJFWSA-N 0 1 282.384 0.642 20 30 CCEDMN COCC[N@H+]1CC[C@@H](NC(=O)N=c2[n-]ncs2)C1 ZINC000329283354 389154577 /nfs/dbraw/zinc/15/45/77/389154577.db2.gz LCWPYCQCHQXEKU-MRVPVSSYSA-N 0 1 271.346 0.007 20 30 CCEDMN CC(C)(C#N)CNC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000358617403 389155626 /nfs/dbraw/zinc/15/56/26/389155626.db2.gz KPLKQFMQXBZHSD-ZDUSSCGKSA-N 0 1 294.399 0.900 20 30 CCEDMN C[C@H]1[C@H](C)N(C(=O)Nc2nccn(C)c2=O)CCN1C ZINC000329457695 389165003 /nfs/dbraw/zinc/16/50/03/389165003.db2.gz QQSLZRWOOISEPS-UWVGGRQHSA-N 0 1 279.344 0.350 20 30 CCEDMN C[C@]1(NC([O-])=[NH+]CCn2cncn2)CCO[C@@H]1C1CC1 ZINC000329550990 389170247 /nfs/dbraw/zinc/17/02/47/389170247.db2.gz JLHHMPQJXUEPOE-YPMHNXCESA-N 0 1 279.344 0.739 20 30 CCEDMN C[C@]1(NC(=O)NCCn2cncn2)CCO[C@@H]1C1CC1 ZINC000329550990 389170249 /nfs/dbraw/zinc/17/02/49/389170249.db2.gz JLHHMPQJXUEPOE-YPMHNXCESA-N 0 1 279.344 0.739 20 30 CCEDMN Cc1nccn1CC[NH+]=C([O-])N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000329562838 389171112 /nfs/dbraw/zinc/17/11/12/389171112.db2.gz NFYFWTGMDUARHJ-XQQFMLRXSA-N 0 1 278.356 0.808 20 30 CCEDMN O=C(NC[C@@H]1CCCCS1(=O)=O)c1cnn[nH]1 ZINC000329576613 389172049 /nfs/dbraw/zinc/17/20/49/389172049.db2.gz SIOOLFQJZFZRRY-ZETCQYMHSA-N 0 1 258.303 0.077 20 30 CCEDMN CCN1CCC(NC(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000329605289 389175904 /nfs/dbraw/zinc/17/59/04/389175904.db2.gz HIRAIJVASKRIBE-UHFFFAOYSA-N 0 1 289.401 0.115 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCCC[C@H]1CN1CCOCC1 ZINC000247555512 389240799 /nfs/dbraw/zinc/24/07/99/389240799.db2.gz QINMDMRTHUDJBR-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@H]1CC(N)=O ZINC000330575667 389242181 /nfs/dbraw/zinc/24/21/81/389242181.db2.gz HRBRLAWVRFNXTG-JTQLQIEISA-N 0 1 282.344 0.982 20 30 CCEDMN Cc1cnc(C(=O)NC[C@H]2CN(C)CCN2C)c(O)c1 ZINC000330653550 389249506 /nfs/dbraw/zinc/24/95/06/389249506.db2.gz JPFGGDUHPZGBCW-NSHDSACASA-N 0 1 278.356 0.646 20 30 CCEDMN N#Cc1ccc2ncc(CN[C@H]3CCCCNC3=O)n2c1 ZINC000342726936 389250003 /nfs/dbraw/zinc/25/00/03/389250003.db2.gz JTNUACFAYRLMMR-ZDUSSCGKSA-N 0 1 283.335 0.964 20 30 CCEDMN COCCN1CCCN(C(=O)C2(C#N)CCC2)CC1 ZINC000363558627 389250500 /nfs/dbraw/zinc/25/05/00/389250500.db2.gz PHESVYRPUBNPOI-UHFFFAOYSA-N 0 1 265.357 0.861 20 30 CCEDMN C=CCCN(C)CC(=O)N(CC)CC(=O)NC(C)C ZINC000342730324 389250561 /nfs/dbraw/zinc/25/05/61/389250561.db2.gz JQCIPPVQCAQMLB-UHFFFAOYSA-N 0 1 269.389 0.867 20 30 CCEDMN C[C@@H]1C[C@@H](CNC(=O)N2CCn3ncnc3C2)CCO1 ZINC000329922534 389211652 /nfs/dbraw/zinc/21/16/52/389211652.db2.gz IADBRURGZONBCX-MNOVXSKESA-N 0 1 279.344 0.823 20 30 CCEDMN C[C@H](NC(=O)N1CCN(C2CCC2)CC1)c1ncnn1C ZINC000329948505 389213703 /nfs/dbraw/zinc/21/37/03/389213703.db2.gz ZCTMCEAPGKZXGO-NSHDSACASA-N 0 1 292.387 0.960 20 30 CCEDMN C=CC[C@H](C)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000342483383 389219446 /nfs/dbraw/zinc/21/94/46/389219446.db2.gz DTRJGODZBWRXEF-RYUDHWBXSA-N 0 1 254.378 0.496 20 30 CCEDMN CCN1CCC[C@H]1CNS(=O)(=O)N(C)C[C@H](C)C#N ZINC000330382879 389223830 /nfs/dbraw/zinc/22/38/30/389223830.db2.gz XRBOQKATAGXZRQ-NEPJUHHUSA-N 0 1 288.417 0.397 20 30 CCEDMN C=CCOCCN1CCO[C@H](c2n[nH]c(C)n2)C1 ZINC000330423069 389227249 /nfs/dbraw/zinc/22/72/49/389227249.db2.gz IAXXMCNSYGUPOZ-NSHDSACASA-N 0 1 252.318 0.689 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@H]1CO ZINC000246316157 389227362 /nfs/dbraw/zinc/22/73/62/389227362.db2.gz GVEIKLJEWMDNCC-RYUDHWBXSA-N 0 1 253.346 0.451 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@@H](C)C(=O)NC(=O)NCC(C)C)C1 ZINC000330447682 389230114 /nfs/dbraw/zinc/23/01/14/389230114.db2.gz YQOMNNHPUMTIGM-WDEREUQCSA-N 0 1 299.371 0.556 20 30 CCEDMN CC(=O)N[C@@H]1CCCN(C([O-])=[NH+]CCn2ccnc2)C1 ZINC000330474353 389233877 /nfs/dbraw/zinc/23/38/77/389233877.db2.gz HQOZOZHSUVIFHY-GFCCVEGCSA-N 0 1 279.344 0.398 20 30 CCEDMN COC(=O)[C@@H](C)CN(C)[C@H](C)C(=O)N(C)CCC#N ZINC000246985883 389233983 /nfs/dbraw/zinc/23/39/83/389233983.db2.gz NTWPLPISEZFYCW-WDEREUQCSA-N 0 1 269.345 0.488 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@@H]2CCCc3[nH]ncc32)CCO1 ZINC000342659005 389236956 /nfs/dbraw/zinc/23/69/56/389236956.db2.gz LLBABNVIHDVOBH-VHSXEESVSA-N 0 1 260.297 0.581 20 30 CCEDMN CC#CCNC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000154422952 389312297 /nfs/dbraw/zinc/31/22/97/389312297.db2.gz OKOYLNWRYRKCEB-UHFFFAOYSA-N 0 1 297.314 0.810 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000345721804 389313075 /nfs/dbraw/zinc/31/30/75/389313075.db2.gz LVCVLHXUZPBJPF-ILXRZTDVSA-N 0 1 295.427 0.720 20 30 CCEDMN C[C@H](C#N)C(=O)Nc1ccc(N2CCN(C)CC2)cn1 ZINC000345822746 389315640 /nfs/dbraw/zinc/31/56/40/389315640.db2.gz XPXBFGZXJBXWOO-LLVKDONJSA-N 0 1 273.340 0.932 20 30 CCEDMN CCN1CCN([C@@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000345857764 389316648 /nfs/dbraw/zinc/31/66/48/389316648.db2.gz OAEGZWZYHSRELI-LBPRGKRZSA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000345915102 389318287 /nfs/dbraw/zinc/31/82/87/389318287.db2.gz WMVJJZLTLYKFFU-KKUMJFAQSA-N 0 1 295.427 0.720 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](CO)C1 ZINC000346245500 389324384 /nfs/dbraw/zinc/32/43/84/389324384.db2.gz CUORXDXKMGJGIO-GHMZBOCLSA-N 0 1 251.330 0.252 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000346320418 389327072 /nfs/dbraw/zinc/32/70/72/389327072.db2.gz ZQOLIZHZEJSFBD-RYUDHWBXSA-N 0 1 251.330 0.327 20 30 CCEDMN C=CCNC(=O)CNC(=O)N1C[C@H](C)N(CC)C[C@H]1C ZINC000346835223 389338817 /nfs/dbraw/zinc/33/88/17/389338817.db2.gz UEUZOLUPNDODGW-NWDGAFQWSA-N 0 1 282.388 0.413 20 30 CCEDMN C[C@@H]1CC[C@H](C(N)=O)CN1CC(=O)NC1(C#N)CCC1 ZINC000346845491 389339482 /nfs/dbraw/zinc/33/94/82/389339482.db2.gz FAMPCFJKMPSZAH-MNOVXSKESA-N 0 1 278.356 0.135 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CCSC ZINC000152336695 389288651 /nfs/dbraw/zinc/28/86/51/389288651.db2.gz WSGNIRSWMWLORU-SECBINFHSA-N 0 1 259.375 0.682 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)[C@@H]2COCCO2)[nH]1 ZINC000331051305 389297672 /nfs/dbraw/zinc/29/76/72/389297672.db2.gz ILBMJHSZZIXERX-JTQLQIEISA-N 0 1 264.285 0.111 20 30 CCEDMN CC[C@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@H]1O ZINC000346971733 389342260 /nfs/dbraw/zinc/34/22/60/389342260.db2.gz NOHVULXRPRQIIS-WDEREUQCSA-N 0 1 251.330 0.252 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000366445100 389301705 /nfs/dbraw/zinc/30/17/05/389301705.db2.gz VREIVNKUUREBTC-ITGUQSILSA-N 0 1 250.342 0.357 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N([C@H](C)Cc2cc(C)[nH]n2)C1=O ZINC000348575979 389383596 /nfs/dbraw/zinc/38/35/96/389383596.db2.gz RYSXMPQTDFCIAN-ZYHUDNBSSA-N 0 1 292.339 0.772 20 30 CCEDMN C#CCOc1ccccc1CN1CCN(CCO)CC1 ZINC000264732812 389350864 /nfs/dbraw/zinc/35/08/64/389350864.db2.gz DJYCOSJGOVIHRM-UHFFFAOYSA-N 0 1 274.364 0.809 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C)CC2(C)C)C1=O ZINC000334226214 389461600 /nfs/dbraw/zinc/46/16/00/389461600.db2.gz ZHHAMDSMBNSWNN-LBPRGKRZSA-N 0 1 251.374 0.799 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CC[N@H+](C)CC[C@H]1C ZINC000334513414 389494245 /nfs/dbraw/zinc/49/42/45/389494245.db2.gz JSYHYRNVDYSIRS-GFCCVEGCSA-N 0 1 267.373 0.574 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CCN(C)CC[C@H]1C ZINC000334513414 389494247 /nfs/dbraw/zinc/49/42/47/389494247.db2.gz JSYHYRNVDYSIRS-GFCCVEGCSA-N 0 1 267.373 0.574 20 30 CCEDMN OCCCN(CC#Cc1ccc(F)cc1)CCO ZINC000162835590 389423964 /nfs/dbraw/zinc/42/39/64/389423964.db2.gz BVZSCICNUVSKES-UHFFFAOYSA-N 0 1 251.301 0.854 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCCN1CC=C(C)CC1 ZINC000349760311 389431230 /nfs/dbraw/zinc/43/12/30/389431230.db2.gz TZYBNRANPNIWFT-CYBMUJFWSA-N 0 1 267.373 0.875 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@]2(C1)C(=O)Nc1ccccc12 ZINC000373532951 389492559 /nfs/dbraw/zinc/49/25/59/389492559.db2.gz XWPPRTHBMYOHMJ-INIZCTEOSA-N 0 1 285.347 0.884 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@H](O)C2(CC2)C1 ZINC000372362127 389449880 /nfs/dbraw/zinc/44/98/80/389449880.db2.gz WOGIFRPWQYRROJ-LBPRGKRZSA-N 0 1 252.358 0.868 20 30 CCEDMN C=CCN1CC[C@H](N2CCc3cc[nH]c(=O)c3C2)C1=O ZINC000281414410 389616209 /nfs/dbraw/zinc/61/62/09/389616209.db2.gz RHZSEXGPMUETNM-ZDUSSCGKSA-N 0 1 273.336 0.932 20 30 CCEDMN C=CCCn1cc(C(=O)Nc2n[nH]c(CC)n2)nn1 ZINC000424738758 389630598 /nfs/dbraw/zinc/63/05/98/389630598.db2.gz PDZPKTKVZPJARR-UHFFFAOYSA-N 0 1 261.289 0.787 20 30 CCEDMN C=CCCn1cc(C(=O)Nc2nc(CC)n[nH]2)nn1 ZINC000424738758 389630603 /nfs/dbraw/zinc/63/06/03/389630603.db2.gz PDZPKTKVZPJARR-UHFFFAOYSA-N 0 1 261.289 0.787 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@H](CNC(C)=O)C2)C1=O ZINC000281886440 389632292 /nfs/dbraw/zinc/63/22/92/389632292.db2.gz DMUPVGHDQURHKV-KBPBESRZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C(C)(C)COC)CC1 ZINC000424989327 389637882 /nfs/dbraw/zinc/63/78/82/389637882.db2.gz IJNZMVIYUQAJNJ-HNNXBMFYSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000413892005 389575630 /nfs/dbraw/zinc/57/56/30/389575630.db2.gz IDGIRKFYZWFTTB-LLVKDONJSA-N 0 1 268.357 0.762 20 30 CCEDMN C=CCOCCCC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000413906189 389578874 /nfs/dbraw/zinc/57/88/74/389578874.db2.gz RLFRFLUPPXNGLF-ZDUSSCGKSA-N 0 1 298.383 0.532 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](c2nnc[nH]2)C1 ZINC000425554399 389652647 /nfs/dbraw/zinc/65/26/47/389652647.db2.gz WACTXDUKOMKGJO-BXUZGUMPSA-N 0 1 290.371 0.648 20 30 CCEDMN Cc1cc(C#N)cc(NC(=O)C(=O)N2CCN[C@H](C)C2)c1 ZINC000415449104 389683798 /nfs/dbraw/zinc/68/37/98/389683798.db2.gz YMHSVEIAVHOIAN-LLVKDONJSA-N 0 1 286.335 0.626 20 30 CCEDMN C=C(C)CN(C)[C@@H](C)C(=O)N1CCC(C(N)=O)CC1 ZINC000181325049 389684414 /nfs/dbraw/zinc/68/44/14/389684414.db2.gz NAKOWCGEINAJIY-NSHDSACASA-N 0 1 267.373 0.607 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C#N)cc2)[C@@H](C)CN1 ZINC000415550474 389691378 /nfs/dbraw/zinc/69/13/78/389691378.db2.gz CJYMSADHBCDMKG-MNOVXSKESA-N 0 1 286.335 0.706 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C1CCC1 ZINC000429541312 389759404 /nfs/dbraw/zinc/75/94/04/389759404.db2.gz OOVCAAOCVFCWQX-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN CC[C@@H](C#N)S(=O)(=O)Nc1ccccc1-n1cnnn1 ZINC000416934042 389781740 /nfs/dbraw/zinc/78/17/40/389781740.db2.gz CLPBHHSZIDVFMM-VIFPVBQESA-N 0 1 292.324 0.706 20 30 CCEDMN CC[C@@H](C#N)S(=O)(=O)Nc1cc(C(=O)OC)n(C)c1 ZINC000416959028 389785203 /nfs/dbraw/zinc/78/52/03/389785203.db2.gz PQODIQFEZRWVGI-VIFPVBQESA-N 0 1 285.325 0.856 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@H](C)C[C@H](CO)C1 ZINC000398873121 389786547 /nfs/dbraw/zinc/78/65/47/389786547.db2.gz ZBNSBKMCNCQHSU-IPYPFGDCSA-N 0 1 281.400 0.991 20 30 CCEDMN CCN1CCCC[C@@H]1CNS(=O)(=O)[C@H](C)C#N ZINC000416385843 389738157 /nfs/dbraw/zinc/73/81/57/389738157.db2.gz HKCZHQREFWJODD-GHMZBOCLSA-N 0 1 259.375 0.692 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1ccc(C#N)[nH]1)c1nn[nH]n1 ZINC000352465549 389814741 /nfs/dbraw/zinc/81/47/41/389814741.db2.gz LGFMFCNSFVLKJG-ZETCQYMHSA-N 0 1 259.273 0.275 20 30 CCEDMN N#CC1(F)CCN(CCCC(=O)NC(N)=O)CC1 ZINC000352469492 389816224 /nfs/dbraw/zinc/81/62/24/389816224.db2.gz YALZVAFMTWHLRR-UHFFFAOYSA-N 0 1 256.281 0.289 20 30 CCEDMN CN(CC(=O)NCC1(CC#N)CC1)c1ncnc2[nH]cnc21 ZINC000431180735 389851984 /nfs/dbraw/zinc/85/19/84/389851984.db2.gz JSVRTAQKUATUHF-UHFFFAOYSA-N 0 1 299.338 0.599 20 30 CCEDMN C[NH+](C)CCOCCNC(=O)c1ccc(C#N)c([O-])c1 ZINC000442684474 389855659 /nfs/dbraw/zinc/85/56/59/389855659.db2.gz YLSOIECBWKQSSZ-UHFFFAOYSA-N 0 1 277.324 0.572 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1 ZINC000419159753 389888166 /nfs/dbraw/zinc/88/81/66/389888166.db2.gz XWBDYEPADDDJJP-SRVKXCTJSA-N 0 1 267.373 0.666 20 30 CCEDMN CC#CCCNC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000419479946 389892486 /nfs/dbraw/zinc/89/24/86/389892486.db2.gz MKALDKWGZAUZLH-QWHCGFSZSA-N 0 1 267.373 0.496 20 30 CCEDMN C=CCCOCCNC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000419482263 389892704 /nfs/dbraw/zinc/89/27/04/389892704.db2.gz XSAMLMNJXGPFHR-KBPBESRZSA-N 0 1 299.415 0.676 20 30 CCEDMN CC#CCCN1CCN(c2nccn(C)c2=O)CC1 ZINC000336803971 262395869 /nfs/dbraw/zinc/39/58/69/262395869.db2.gz WOTHHOADWTUCNT-UHFFFAOYSA-N 0 1 260.341 0.316 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(CCO)C1CCC1 ZINC000439272193 390017743 /nfs/dbraw/zinc/01/77/43/390017743.db2.gz KTKWFDKYPLNIHU-GFCCVEGCSA-N 0 1 267.373 0.841 20 30 CCEDMN CN(C[C@@H]1CCCN1C)S(=O)(=O)c1ccc(C#N)o1 ZINC000420745877 390028568 /nfs/dbraw/zinc/02/85/68/390028568.db2.gz ZRQGCXARASOJFB-JTQLQIEISA-N 0 1 283.353 0.866 20 30 CCEDMN CC(C)(NC(=O)c1ccc(C#N)s1)c1nn[nH]n1 ZINC000487530815 390088053 /nfs/dbraw/zinc/08/80/53/390088053.db2.gz AZUFYFJYGLWEJI-UHFFFAOYSA-N 0 1 262.298 0.798 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H]3CC[C@@H](O)[C@H]3C2)CC1 ZINC000490933672 390108824 /nfs/dbraw/zinc/10/88/24/390108824.db2.gz FZRNWUJMIXNUPJ-SOUVJXGZSA-N 0 1 276.380 0.561 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)[nH]1 ZINC000538225357 390190257 /nfs/dbraw/zinc/19/02/57/390190257.db2.gz UNULCJYNQLYORI-QMMMGPOBSA-N 0 1 271.284 0.419 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(OCCC(=O)NC)CC1 ZINC000494924744 390207970 /nfs/dbraw/zinc/20/79/70/390207970.db2.gz OXWMRUFRMTYMHO-LBPRGKRZSA-N 0 1 297.399 0.294 20 30 CCEDMN N#CCC1CN(S(=O)(=O)c2ccccc2O)C1 ZINC000594826635 390368205 /nfs/dbraw/zinc/36/82/05/390368205.db2.gz HMVHLKXZIVIQOI-UHFFFAOYSA-N 0 1 252.295 0.926 20 30 CCEDMN C[C@@H]1CN(c2cncc(C#N)n2)C[C@H](C)N1CCO ZINC000583805467 390313245 /nfs/dbraw/zinc/31/32/45/390313245.db2.gz LAEQZOASSUJYHF-PHIMTYICSA-N 0 1 261.329 0.240 20 30 CCEDMN C=CCn1cc(C(=O)NCCN2CC=CCC2)nn1 ZINC000648889047 390434696 /nfs/dbraw/zinc/43/46/96/390434696.db2.gz FAPXVIXFXKMCPV-UHFFFAOYSA-N 0 1 261.329 0.456 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2CCCCN2CCO)nn1 ZINC000648918257 390444507 /nfs/dbraw/zinc/44/45/07/390444507.db2.gz QFYAZMSOSYDZCQ-LBPRGKRZSA-N 0 1 293.371 0.041 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NC[C@H](C)N1CCCC1 ZINC000554697275 390439545 /nfs/dbraw/zinc/43/95/45/390439545.db2.gz RWFABFZUSBVLOH-RYUDHWBXSA-N 0 1 288.417 0.539 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@H]2CCCc3[nH]cnc32)nn1 ZINC000562996270 390491776 /nfs/dbraw/zinc/49/17/76/390491776.db2.gz YMXZWPDOROIROD-NSHDSACASA-N 0 1 286.339 0.924 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@H]1CCCCN1CC ZINC000649188383 390516625 /nfs/dbraw/zinc/51/66/25/390516625.db2.gz JMKWJPAZKVZRCK-CHWSQXEVSA-N 0 1 252.358 0.751 20 30 CCEDMN N#CCC[C@H](C#N)CNCCNC(=O)c1ccn[nH]1 ZINC000566674227 390637614 /nfs/dbraw/zinc/63/76/14/390637614.db2.gz GEKQYNYNCSOXGW-SNVBAGLBSA-N 0 1 260.301 0.173 20 30 CCEDMN CCN1CC[C@@H](NS(=O)(=O)CCC(C)(C)C#N)C1 ZINC000566705942 390640974 /nfs/dbraw/zinc/64/09/74/390640974.db2.gz FKIJTGSBGHZOEJ-LLVKDONJSA-N 0 1 273.402 0.940 20 30 CCEDMN C[C@@H]1CN(CCCNc2ccnc(C#N)n2)C[C@@H](C)O1 ZINC000521521162 390607850 /nfs/dbraw/zinc/60/78/50/390607850.db2.gz WMFBCXPYFGWNMZ-VXGBXAGGSA-N 0 1 275.356 0.681 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@@H]2OCC[C@@H]2C1)C1CC1 ZINC000567796334 390711161 /nfs/dbraw/zinc/71/11/61/390711161.db2.gz UKXXMZRHMQITED-OSAQELSMSA-N 0 1 277.368 0.906 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@H](C)CN1CCOCC1 ZINC000570626568 390718983 /nfs/dbraw/zinc/71/89/83/390718983.db2.gz VYJOFNHQUUUMRT-IHRRRGAJSA-N 0 1 282.384 0.662 20 30 CCEDMN C=C[C@H](O)C(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000646621089 390700955 /nfs/dbraw/zinc/70/09/55/390700955.db2.gz QUYSNZOTOKRAHP-SRVKXCTJSA-N 0 1 256.346 0.149 20 30 CCEDMN CC1(C)CN(C[C@H](O)CC2(C#N)CC2)C[C@H](CO)O1 ZINC000528524461 390754032 /nfs/dbraw/zinc/75/40/32/390754032.db2.gz CFJDAFMWGYVTOF-VXGBXAGGSA-N 0 1 268.357 0.513 20 30 CCEDMN CC1(C(N)=O)CN(C[C@@H](O)COc2ccccc2C#N)C1 ZINC000528540409 390755981 /nfs/dbraw/zinc/75/59/81/390755981.db2.gz ZSUPVIFGAGHBOT-GFCCVEGCSA-N 0 1 289.335 0.105 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)NC[C@@H]1COCCN1 ZINC000528676490 390767236 /nfs/dbraw/zinc/76/72/36/390767236.db2.gz WFUQHLHWJNMBRL-GFCCVEGCSA-N 0 1 274.324 0.977 20 30 CCEDMN N#Cc1ccc(CNC(=O)NC[C@H]2COCCN2)cc1 ZINC000529310642 390804831 /nfs/dbraw/zinc/80/48/31/390804831.db2.gz RFTIQMPFSFQBMJ-ZDUSSCGKSA-N 0 1 274.324 0.346 20 30 CCEDMN COCCN1CC[C@H](NC(=O)C2(C#N)CC(C)C2)C1 ZINC000532321536 390879668 /nfs/dbraw/zinc/87/96/68/390879668.db2.gz RBILIZAYASYCFY-LXVYMNJGSA-N 0 1 265.357 0.763 20 30 CCEDMN CN(Cc1cnc2c(C#N)cnn2c1)CC(C)(C)O ZINC000577851341 390848508 /nfs/dbraw/zinc/84/85/08/390848508.db2.gz WCXXROPKGIJKQW-UHFFFAOYSA-N 0 1 259.313 0.804 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccc(C#N)cn2)[C@H](C)C1 ZINC000275405014 135106316 /nfs/dbraw/zinc/10/63/16/135106316.db2.gz RROQURUWXNPMJT-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1ccc(C#N)c([O-])c1 ZINC000491735234 397560943 /nfs/dbraw/zinc/56/09/43/397560943.db2.gz LWQMLOXNBDXLON-UHFFFAOYSA-N 0 1 271.320 0.949 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ccc(C#N)c([O-])c1 ZINC000491735234 397560950 /nfs/dbraw/zinc/56/09/50/397560950.db2.gz LWQMLOXNBDXLON-UHFFFAOYSA-N 0 1 271.320 0.949 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC000658807859 413208612 /nfs/dbraw/zinc/20/86/12/413208612.db2.gz RPWQYBDXQGKUHJ-LLVKDONJSA-N 0 1 253.346 0.183 20 30 CCEDMN CO[C@H]1CCN(C(=O)N[C@H]2CCc3nc[nH]c3C2)C1 ZINC000329755981 286046632 /nfs/dbraw/zinc/04/66/32/286046632.db2.gz YKMGVACERLWRKV-UWVGGRQHSA-N 0 1 264.329 0.902 20 30 CCEDMN CO[C@H]1CCN(C([O-])=[NH+][C@H]2CCc3nc[nH]c3C2)C1 ZINC000329755981 286046633 /nfs/dbraw/zinc/04/66/33/286046633.db2.gz YKMGVACERLWRKV-UWVGGRQHSA-N 0 1 264.329 0.902 20 30 CCEDMN CO[C@H]1CCN(C([O-])=[NH+][C@H]2CCc3[nH]cnc3C2)C1 ZINC000329755981 286046636 /nfs/dbraw/zinc/04/66/36/286046636.db2.gz YKMGVACERLWRKV-UWVGGRQHSA-N 0 1 264.329 0.902 20 30 CCEDMN CN1CCN(C(=O)CNC2(c3cccc(C#N)c3)CC2)CC1 ZINC000551713997 286124174 /nfs/dbraw/zinc/12/41/74/286124174.db2.gz POXLHYZBPGVWNL-UHFFFAOYSA-N 0 1 298.390 0.911 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCn1cccn1 ZINC000490891135 411821345 /nfs/dbraw/zinc/82/13/45/411821345.db2.gz BGSFNHMLWINDAA-ZDUSSCGKSA-N 0 1 261.325 0.914 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(O)(CO)CC1 ZINC000661617516 414654988 /nfs/dbraw/zinc/65/49/88/414654988.db2.gz GGJPSNXUXLYTNH-ZDUSSCGKSA-N 0 1 284.400 0.619 20 30 CCEDMN C=CCN(CC(=O)N1CCNC(=O)C1)C(C)(C)C ZINC000661755678 414672698 /nfs/dbraw/zinc/67/26/98/414672698.db2.gz XRKZQNUSWGGWCP-UHFFFAOYSA-N 0 1 253.346 0.231 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCO[C@@H]1CCCC[C@H]1C ZINC000662166638 414695181 /nfs/dbraw/zinc/69/51/81/414695181.db2.gz AUURTJNZNZPCRX-MGPQQGTHSA-N 0 1 284.400 0.834 20 30 CCEDMN C=CC[N@H+]([C@H](C)COC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000662218074 414697773 /nfs/dbraw/zinc/69/77/73/414697773.db2.gz UWIBCQFRKJKYIA-GHMZBOCLSA-N 0 1 270.329 0.195 20 30 CCEDMN C=CCCNC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000663558468 415085617 /nfs/dbraw/zinc/08/56/17/415085617.db2.gz QIBSMAOLPPOIDV-UHFFFAOYSA-N 0 1 268.405 0.888 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NCCCc1nc[nH]n1 ZINC000665040483 415515926 /nfs/dbraw/zinc/51/59/26/415515926.db2.gz ZCGDMLNGGFIZEP-MNOVXSKESA-N 0 1 279.344 0.770 20 30 CCEDMN N#Cc1cc([N+](=O)[O-])ccc1N1CCCN(CCO)CC1 ZINC000071349635 176134061 /nfs/dbraw/zinc/13/40/61/176134061.db2.gz MBKXKQVWWHTRTH-UHFFFAOYSA-N 0 1 290.323 0.971 20 30 CCEDMN CN(C(=O)NC[C@@H]1CCC[N@H+]1C)[C@H]1CCN(C)C1=O ZINC000329246886 227380980 /nfs/dbraw/zinc/38/09/80/227380980.db2.gz RKMDKERGWYBGOI-QWRGUYRKSA-N 0 1 268.361 0.157 20 30 CCEDMN COCCN1CC[C@H](NC(=O)[C@H]2COCCO2)C1 ZINC000329270734 227396940 /nfs/dbraw/zinc/39/69/40/227396940.db2.gz HFIHFVCQRKWBIS-WDEREUQCSA-N 0 1 258.318 0.079 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)N(C)[C@@H]1CCC[C@H]1O)CC2 ZINC000329439465 227514706 /nfs/dbraw/zinc/51/47/06/227514706.db2.gz MQLLGRUTCDWGKB-QJPTWQEYSA-N 0 1 293.371 0.661 20 30 CCEDMN C[C@H](C(=O)NCc1nnnn1C)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC000329977634 228004777 /nfs/dbraw/zinc/00/47/77/228004777.db2.gz ZVIGASGKOLBVGR-KXUCPTDWSA-N 0 1 266.349 0.928 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N1CCC[C@@H](Cn2ccnn2)C1 ZINC000330027219 228020529 /nfs/dbraw/zinc/02/05/29/228020529.db2.gz MSZNDODAOUGHPE-NDBYEHHHSA-N 0 1 291.355 0.549 20 30 CCEDMN CO[C@@H](C)CN(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000353251270 228074799 /nfs/dbraw/zinc/07/47/99/228074799.db2.gz FQDNSBXMTYSFDO-GWCFXTLKSA-N 0 1 253.346 0.762 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@H]1C(N)=O ZINC000331701557 228120616 /nfs/dbraw/zinc/12/06/16/228120616.db2.gz WLJLANJCMNTGAY-UDZFHETQSA-N 0 1 278.356 0.898 20 30 CCEDMN C=CCC[C@@H](O)CN1CCC[C@H](NS(C)(=O)=O)C1 ZINC000305078921 544987359 /nfs/dbraw/zinc/98/73/59/544987359.db2.gz LXJSAIYMYBHACA-NWDGAFQWSA-N 0 1 276.402 0.327 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)N2CCO[C@H](C)C2)C1 ZINC000328862709 545019469 /nfs/dbraw/zinc/01/94/69/545019469.db2.gz MFEKNBKULCKLNR-ZIAGYGMSSA-N 0 1 299.415 0.978 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N(C)C[C@@H]2CCCOC2)C1 ZINC000329593122 545023805 /nfs/dbraw/zinc/02/38/05/545023805.db2.gz OEYOCDDBKSGJGX-KBPBESRZSA-N 0 1 299.415 0.980 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N1CC[C@@H](N2CC=CC2)C1 ZINC000332402190 260005163 /nfs/dbraw/zinc/00/51/63/260005163.db2.gz KQJPEKKYJRJDCE-CHWSQXEVSA-N 0 1 298.412 0.411 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCCC[C@H]1CN1CCOCC1 ZINC000363665711 260026676 /nfs/dbraw/zinc/02/66/76/260026676.db2.gz KNPJICBSZOKMGU-CABCVRRESA-N 0 1 295.427 0.864 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H]2CCOC2)CC1 ZINC000177349189 260215020 /nfs/dbraw/zinc/21/50/20/260215020.db2.gz IDFQJTGNKSTROT-ZDUSSCGKSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CCN1CCC(C(=O)NC[C@@H]2CCCC2=O)CC1 ZINC000490739903 261197676 /nfs/dbraw/zinc/19/76/76/261197676.db2.gz LQLUHOBNQGPCTJ-ZDUSSCGKSA-N 0 1 262.353 0.817 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](O)[C@@H](C)C1 ZINC000249575698 261255249 /nfs/dbraw/zinc/25/52/49/261255249.db2.gz PMWYJMAGVSVPDD-RYUDHWBXSA-N 0 1 253.346 0.451 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](O)[C@@H](C)C1 ZINC000249603674 261257144 /nfs/dbraw/zinc/25/71/44/261257144.db2.gz ZAAPAVZQMSMAPC-AGIUHOORSA-N 0 1 267.373 0.697 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CC(=O)N(CCC)C1 ZINC000491702212 261889927 /nfs/dbraw/zinc/88/99/27/261889927.db2.gz XYASZJCPQWPTKQ-ZIAGYGMSSA-N 0 1 292.379 0.886 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@H](O)C1(C)C ZINC000311747312 262340671 /nfs/dbraw/zinc/34/06/71/262340671.db2.gz UHHAHHWSTSEVDL-SMDDNHRTSA-N 0 1 267.373 0.886 20 30 CCEDMN CNC(=O)[C@]1(C)CCN(CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000430265914 263013124 /nfs/dbraw/zinc/01/31/24/263013124.db2.gz WOTYVZBFQFUMOS-HUUCEWRRSA-N 0 1 294.399 0.499 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)C1CCOCC1 ZINC000340378223 263121966 /nfs/dbraw/zinc/12/19/66/263121966.db2.gz OVMWENNAWVXBAU-GXSJLCMTSA-N 0 1 268.313 0.621 20 30 CCEDMN C=CCCS(=O)(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000120430177 263284342 /nfs/dbraw/zinc/28/43/42/263284342.db2.gz YDXNEJUBTMINMC-GFCCVEGCSA-N 0 1 275.418 0.118 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)CSCC#N)n[nH]1 ZINC000330509079 263956192 /nfs/dbraw/zinc/95/61/92/263956192.db2.gz CPMQERUPIQGOPA-ZETCQYMHSA-N 0 1 253.331 0.801 20 30 CCEDMN CC1(C)NC(=O)N(CCN[C@@H]2CCC[C@@H]2C#N)C1=O ZINC000330833991 264050416 /nfs/dbraw/zinc/05/04/16/264050416.db2.gz KVCOPWZQZFUCCY-NXEZZACHSA-N 0 1 264.329 0.803 20 30 CCEDMN CC[C@@H](CC#N)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000330883220 264062027 /nfs/dbraw/zinc/06/20/27/264062027.db2.gz OUILHCNOFXGNDQ-RYUDHWBXSA-N 0 1 253.346 0.763 20 30 CCEDMN CCN1CCN([C@@H]2CCN(C(=O)CCCC#N)C2)CC1 ZINC000330900265 264072561 /nfs/dbraw/zinc/07/25/61/264072561.db2.gz QJTJQQWSMUFUKM-CQSZACIVSA-N 0 1 278.400 0.919 20 30 CCEDMN CCOC[C@H]1CCN(C(=O)NCCn2cncn2)C1 ZINC000330956267 264087086 /nfs/dbraw/zinc/08/70/86/264087086.db2.gz NJBFIHMMMTWRCA-NSHDSACASA-N 0 1 267.333 0.551 20 30 CCEDMN Cc1nnsc1C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000331006530 264106408 /nfs/dbraw/zinc/10/64/08/264106408.db2.gz ZPZYCWWWJWNTNQ-SECBINFHSA-N 0 1 283.401 0.787 20 30 CCEDMN CC(C)(C)NC(=O)CN1CCC(CNC(=O)CC#N)CC1 ZINC000331061383 264110724 /nfs/dbraw/zinc/11/07/24/264110724.db2.gz NKOWGKVWRMPNRL-UHFFFAOYSA-N 0 1 294.399 0.643 20 30 CCEDMN C=CCNC(=O)CN1CCC([C@H](C)NC(C)=O)CC1 ZINC000331158116 264141844 /nfs/dbraw/zinc/14/18/44/264141844.db2.gz ZHBCNUZECLDRER-NSHDSACASA-N 0 1 267.373 0.525 20 30 CCEDMN CN(C)C[C@H]1CCCN1S(=O)(=O)CCCC#N ZINC000331251779 264181980 /nfs/dbraw/zinc/18/19/80/264181980.db2.gz TZKFVOJJYPXBJE-LLVKDONJSA-N 0 1 259.375 0.646 20 30 CCEDMN COc1cc(C(=O)NC[C@@H]2CCCCN2CCO)on1 ZINC000331347387 264205790 /nfs/dbraw/zinc/20/57/90/264205790.db2.gz WPOGNEGUTNWVOQ-JTQLQIEISA-N 0 1 283.328 0.835 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H](C)c2n[nH]c(C)n2)nn1 ZINC000424859627 264245158 /nfs/dbraw/zinc/24/51/58/264245158.db2.gz YSVFHZWAANHRIS-MRVPVSSYSA-N 0 1 275.316 0.772 20 30 CCEDMN C#CCCN1CCN(c2ccnc(C(N)=O)c2)CC1 ZINC000132097637 264380133 /nfs/dbraw/zinc/38/01/33/264380133.db2.gz ULRVXSGZRVMKPP-UHFFFAOYSA-N 0 1 258.325 0.326 20 30 CCEDMN C=CCN1CC[C@@H](N(C)Cc2n[nH]c(CC)n2)C1=O ZINC000281226528 264478514 /nfs/dbraw/zinc/47/85/14/264478514.db2.gz FCPCKUYJWAOSER-SNVBAGLBSA-N 0 1 263.345 0.586 20 30 CCEDMN N#C[C@H]1CN(C(=O)Cc2ccn[nH]2)CCN1C1CC1 ZINC000362889878 267057571 /nfs/dbraw/zinc/05/75/71/267057571.db2.gz VZUXAOUJOJFMPA-LBPRGKRZSA-N 0 1 259.313 0.151 20 30 CCEDMN COCCOC1CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000116885958 267186212 /nfs/dbraw/zinc/18/62/12/267186212.db2.gz MWHHHDDUIMMMCE-CYBMUJFWSA-N 0 1 297.399 0.874 20 30 CCEDMN C[C@@H]1COCCN1C([O-])=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330176182 288336278 /nfs/dbraw/zinc/33/62/78/288336278.db2.gz CBCKTGRHWYERIQ-GHMZBOCLSA-N 0 1 264.329 0.833 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C)[C@H](C)C1 ZINC000761077457 581886845 /nfs/dbraw/zinc/88/68/45/581886845.db2.gz SVFLZAUOVRUHNE-GFCCVEGCSA-N 0 1 252.362 0.384 20 30 CCEDMN CN1CC[C@@H]2OCCN(C(=O)C(C)(C)C#N)[C@H]2C1 ZINC000332680753 290165144 /nfs/dbraw/zinc/16/51/44/290165144.db2.gz MGKCKTNPHIGNPX-QWRGUYRKSA-N 0 1 251.330 0.468 20 30 CCEDMN O=C(NCCN1CCC1)N[C@@H]1CCO[C@]2(CCOC2)C1 ZINC000329128856 295377728 /nfs/dbraw/zinc/37/77/28/295377728.db2.gz VQNWRJUCKIRIGO-TZMCWYRMSA-N 0 1 283.372 0.534 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](O)C[C@H](C)C1 ZINC000412044265 328102561 /nfs/dbraw/zinc/10/25/61/328102561.db2.gz WOMGVSFOHDCWLD-YNEHKIRRSA-N 0 1 267.373 0.697 20 30 CCEDMN C=CCN1CC[C@H](N2CCSC[C@H](C(=O)OC)C2)C1=O ZINC000412084480 328103135 /nfs/dbraw/zinc/10/31/35/328103135.db2.gz TUPNYQCQRAIKBJ-NEPJUHHUSA-N 0 1 298.408 0.611 20 30 CCEDMN CO[C@H](C(=O)NC1(C#N)CCN(C)CC1)C1CC1 ZINC000571346326 328113777 /nfs/dbraw/zinc/11/37/77/328113777.db2.gz SMSKDJJVNGUXEE-NSHDSACASA-N 0 1 251.330 0.516 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC(=O)NC2CC2)CC1 ZINC000049376329 328356700 /nfs/dbraw/zinc/35/67/00/328356700.db2.gz DCPIAVRXSBKTDP-UHFFFAOYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)[C@H]2CCNC2=O)CC1 ZINC000281641759 328500517 /nfs/dbraw/zinc/50/05/17/328500517.db2.gz AUXOIURJOYKKFC-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C[C@@H](CO)NCc1cnc(N2CCOCC2)s1 ZINC000289995622 328528115 /nfs/dbraw/zinc/52/81/15/328528115.db2.gz XTPCKBPXOOBUGI-JTQLQIEISA-N 0 1 269.370 0.616 20 30 CCEDMN N#CCC[C@H](C#N)CNCCNC(=O)c1cccnc1 ZINC000558506712 327099771 /nfs/dbraw/zinc/09/97/71/327099771.db2.gz JMRYNONYYDSOHZ-GFCCVEGCSA-N 0 1 271.324 0.845 20 30 CCEDMN CC[C@@H](CC#N)NC(=O)CN1CCN(CC)CC1 ZINC000358052603 327213219 /nfs/dbraw/zinc/21/32/19/327213219.db2.gz GDBWECCOYZCUNG-LBPRGKRZSA-N 0 1 252.362 0.432 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC(CC#N)CC2)C[C@H](C)N1 ZINC000582414525 328984002 /nfs/dbraw/zinc/98/40/02/328984002.db2.gz BCOQAROPGSGXFL-TXEJJXNPSA-N 0 1 292.383 0.347 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2ccc(C#N)cn2)n1 ZINC000392677733 329000809 /nfs/dbraw/zinc/00/08/09/329000809.db2.gz CPEYERDLFQFRCS-UHFFFAOYSA-N 0 1 256.269 0.352 20 30 CCEDMN C#CCSCCNC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000378106538 329040239 /nfs/dbraw/zinc/04/02/39/329040239.db2.gz ZYZHMQJVWBCLIS-SNVBAGLBSA-N 0 1 278.381 0.933 20 30 CCEDMN C=CCOCCCC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000080257750 330115248 /nfs/dbraw/zinc/11/52/48/330115248.db2.gz UYNDDUIWPVAOJT-CQSZACIVSA-N 0 1 283.416 0.721 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000422990015 330162976 /nfs/dbraw/zinc/16/29/76/330162976.db2.gz BIQMOQMRLOKQQE-TXEJJXNPSA-N 0 1 265.357 0.396 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1 ZINC000423477764 330205868 /nfs/dbraw/zinc/20/58/68/330205868.db2.gz UDKSEROWIHDFKB-QWRGUYRKSA-N 0 1 253.346 0.230 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000423635193 330213191 /nfs/dbraw/zinc/21/31/91/330213191.db2.gz OPMPOZXFNUEWMW-TXEJJXNPSA-N 0 1 292.383 0.347 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N1CCNC[C@@H]1CCC ZINC000423746047 330215122 /nfs/dbraw/zinc/21/51/22/330215122.db2.gz OWJKLQORDOGZEC-NSHDSACASA-N 0 1 253.346 0.231 20 30 CCEDMN Cc1nc([C@@H]2CN(C(=O)[C@]3(C#N)C[C@H]3C)CCO2)n[nH]1 ZINC000424087110 330223892 /nfs/dbraw/zinc/22/38/92/330223892.db2.gz HLBHVOGOGZOPEJ-DVYJOKAKSA-N 0 1 275.312 0.563 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000089939198 330388963 /nfs/dbraw/zinc/38/89/63/330388963.db2.gz LIOKJMBPKAPLRW-SECBINFHSA-N 0 1 257.359 0.436 20 30 CCEDMN C[C@@H]1[C@H](O)CCCN1CC#CC[N@@H+]1CCC[C@@H](O)[C@H]1C ZINC000451451133 331030063 /nfs/dbraw/zinc/03/00/63/331030063.db2.gz FDXYINNKWXOSMO-KLHDSHLOSA-N 0 1 280.412 0.680 20 30 CCEDMN COCCN(CC#N)C(=O)CSc1nnc(C)[nH]1 ZINC000457203539 331215695 /nfs/dbraw/zinc/21/56/95/331215695.db2.gz MQPBPTQJUYXSSN-UHFFFAOYSA-N 0 1 269.330 0.204 20 30 CCEDMN COCCN(CC#N)C(=O)CSc1nc(C)n[nH]1 ZINC000457203539 331215696 /nfs/dbraw/zinc/21/56/96/331215696.db2.gz MQPBPTQJUYXSSN-UHFFFAOYSA-N 0 1 269.330 0.204 20 30 CCEDMN C=CCN(CCOC)CCN1C(=O)N[C@H](C)C1=O ZINC000459412221 331280458 /nfs/dbraw/zinc/28/04/58/331280458.db2.gz FOSNKLWLQJRBFR-SNVBAGLBSA-N 0 1 255.318 0.061 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000488846980 332029307 /nfs/dbraw/zinc/02/93/07/332029307.db2.gz WOPGRZPTNMSWHK-NEPJUHHUSA-N 0 1 251.330 0.327 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C)[C@@H]2CO)CC1 ZINC000490717460 332108163 /nfs/dbraw/zinc/10/81/63/332108163.db2.gz CZOSQPDSIRHIQM-OCCSQVGLSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)CC[C@H]2CCCO2)CC1 ZINC000490739004 332110118 /nfs/dbraw/zinc/11/01/18/332110118.db2.gz FZOXSMNETGEPEG-OAHLLOKOSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CCN1CCC(C(=O)OCC[C@@H]2CCOC2=O)CC1 ZINC000490852809 332121153 /nfs/dbraw/zinc/12/11/53/332121153.db2.gz NYGJRCTWDVJVEO-CYBMUJFWSA-N 0 1 279.336 0.828 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@H](C)C[S@@](C)=O)CC1 ZINC000490950841 332132725 /nfs/dbraw/zinc/13/27/25/332132725.db2.gz UAHICGAMNVJSNC-ADLMAVQZSA-N 0 1 270.398 0.215 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@]3(CCOC3)C2)CC1 ZINC000490976583 332135347 /nfs/dbraw/zinc/13/53/47/332135347.db2.gz YZUKNGHTLDLXKB-INIZCTEOSA-N 0 1 292.379 0.350 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](C)C[C@@H]2C(N)=O)CC1 ZINC000491047644 332143031 /nfs/dbraw/zinc/14/30/31/332143031.db2.gz VAUXWSLFPQTELN-GXTWGEPZSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCNC(=O)CCN(C)[C@@H]1CCN(c2ccccc2)C1=O ZINC000491201319 332164539 /nfs/dbraw/zinc/16/45/39/332164539.db2.gz GJWISQQEFZPTBO-OAHLLOKOSA-N 0 1 299.374 0.863 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1cccc2nn[nH]c21 ZINC000491294958 332183515 /nfs/dbraw/zinc/18/35/15/332183515.db2.gz FFELMDYBFLQVNB-SECBINFHSA-N 0 1 256.265 0.432 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000491387988 332202807 /nfs/dbraw/zinc/20/28/07/332202807.db2.gz ZRIDSKDRYWEQFN-UHFFFAOYSA-N 0 1 261.329 0.075 20 30 CCEDMN C#C[C@H](CC)NCc1cnn([C@]2(C)CCS(=O)(=O)C2)c1 ZINC000491416516 332207760 /nfs/dbraw/zinc/20/77/60/332207760.db2.gz KKQVWDXTBNQBTA-ZIAGYGMSSA-N 0 1 295.408 0.918 20 30 CCEDMN CCN1CCN([C@H]2CCN(c3ccnc(C#N)n3)C2)CC1 ZINC000575329013 335094861 /nfs/dbraw/zinc/09/48/61/335094861.db2.gz CMMUDPDYDSEABW-ZDUSSCGKSA-N 0 1 286.383 0.564 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(O[C@H]2CCOC2)CC1 ZINC000491483693 332219533 /nfs/dbraw/zinc/21/95/33/332219533.db2.gz DNKAHYWYZSBULX-OCCSQVGLSA-N 0 1 280.368 0.394 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](OC)[C@@H](OC)C2)CC1 ZINC000491616439 332243700 /nfs/dbraw/zinc/24/37/00/332243700.db2.gz ANEDYTMGMZVTAH-OKILXGFUSA-N 0 1 280.368 0.204 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@@](C)(COC)C2)C1=O ZINC000491642321 332261454 /nfs/dbraw/zinc/26/14/54/332261454.db2.gz BDHNYBJAXFZLJA-SMDDNHRTSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CC1OCCCO1 ZINC000491653296 332265989 /nfs/dbraw/zinc/26/59/89/332265989.db2.gz NPFNRDLFRVCBDN-GFCCVEGCSA-N 0 1 267.325 0.780 20 30 CCEDMN C#CCNC(=O)CCNCc1cn2c(cccc2C)n1 ZINC000491675393 332281135 /nfs/dbraw/zinc/28/11/35/332281135.db2.gz XDFTUPQPFJWSJA-UHFFFAOYSA-N 0 1 270.336 0.872 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1ccccc1CC=C ZINC000491750652 332327237 /nfs/dbraw/zinc/32/72/37/332327237.db2.gz ITOHWIITVFHZST-LSDHHAIUSA-N 0 1 275.348 0.739 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)[C@H](C)CN1CCOCC1 ZINC000491773939 332340015 /nfs/dbraw/zinc/34/00/15/332340015.db2.gz WFSOQNYLJJTOIK-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCSCC#N)CC1 ZINC000491786385 332348905 /nfs/dbraw/zinc/34/89/05/332348905.db2.gz UNWMTZBNPJOMNW-UHFFFAOYSA-N 0 1 294.424 0.000 20 30 CCEDMN C[C@H](C#N)CNC[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC000497009282 332567226 /nfs/dbraw/zinc/56/72/26/332567226.db2.gz RYUDBRPPPVPNBN-GHMZBOCLSA-N 0 1 271.361 0.963 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@](CC)(CO)C1 ZINC000187631386 333173110 /nfs/dbraw/zinc/17/31/10/333173110.db2.gz NDXYHMCROHNNOL-JSGCOSHPSA-N 0 1 252.358 0.609 20 30 CCEDMN COC(=O)CCNC(=O)CN1CCC(CC#N)CC1 ZINC000541023047 333759745 /nfs/dbraw/zinc/75/97/45/333759745.db2.gz QOFQMJGVLCYUFC-UHFFFAOYSA-N 0 1 267.329 0.291 20 30 CCEDMN N#C[C@@]1(NC(=O)c2cccc(-c3nnc[nH]3)c2)CCOC1 ZINC000547489604 334093222 /nfs/dbraw/zinc/09/32/22/334093222.db2.gz CYACPYSFKSIWAK-AWEZNQCLSA-N 0 1 283.291 0.884 20 30 CCEDMN C[C@H](CNS(=O)(=O)N(C)CCC#N)N1CCCC1 ZINC000548369387 334152355 /nfs/dbraw/zinc/15/23/55/334152355.db2.gz QGDJYRRBSVROAV-LLVKDONJSA-N 0 1 274.390 0.151 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C[C@H](C)O)[C@@H](C)CO ZINC000248966934 334323220 /nfs/dbraw/zinc/32/32/20/334323220.db2.gz RYOSSZFZRHGJSP-AGIUHOORSA-N 0 1 285.388 0.058 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@H](C)[C@@H]2C)CC1 ZINC000166164038 334907356 /nfs/dbraw/zinc/90/73/56/334907356.db2.gz VGTDIUDEWKUZKS-QWHCGFSZSA-N 0 1 264.369 0.967 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)NCC2(C#N)CC2)C1 ZINC000577064039 335293980 /nfs/dbraw/zinc/29/39/80/335293980.db2.gz XSGMKPNYGWQDBN-ZDUSSCGKSA-N 0 1 294.399 0.946 20 30 CCEDMN N#Cc1cccc(CC(=O)NCCCc2nc[nH]n2)c1 ZINC000577071879 335295179 /nfs/dbraw/zinc/29/51/79/335295179.db2.gz FRWRFHUUYUCPKO-UHFFFAOYSA-N 0 1 269.308 0.968 20 30 CCEDMN C[C@@]1(NC([O-])=[NH+]CCn2cncn2)CCCOC1 ZINC000329157337 534272032 /nfs/dbraw/zinc/27/20/32/534272032.db2.gz WFJUCAFBJCORRQ-LLVKDONJSA-N 0 1 253.306 0.351 20 30 CCEDMN C[C@@]1(NC(=O)NCCn2cncn2)CCCOC1 ZINC000329157337 534272038 /nfs/dbraw/zinc/27/20/38/534272038.db2.gz WFJUCAFBJCORRQ-LLVKDONJSA-N 0 1 253.306 0.351 20 30 CCEDMN [O-]C(NCCN1CCOCC1)=[NH+][C@H]1CCn2ccnc2C1 ZINC000330173197 534752656 /nfs/dbraw/zinc/75/26/56/534752656.db2.gz HDDJBJXXRQUCFV-LBPRGKRZSA-N 0 1 293.371 0.034 20 30 CCEDMN C=CC[C@@H](C)NC(=O)NCc1n[nH]c(COC)n1 ZINC000666121398 545113252 /nfs/dbraw/zinc/11/32/52/545113252.db2.gz JPYKTDVKJRXBPL-MRVPVSSYSA-N 0 1 253.306 0.715 20 30 CCEDMN C=CC[C@@H](C)NC(=O)NCc1nnc(COC)[nH]1 ZINC000666121398 545113253 /nfs/dbraw/zinc/11/32/53/545113253.db2.gz JPYKTDVKJRXBPL-MRVPVSSYSA-N 0 1 253.306 0.715 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCCN(CCOC)CC1 ZINC000331975155 527540248 /nfs/dbraw/zinc/54/02/48/527540248.db2.gz LXDLTQSLFQXYAJ-CQSZACIVSA-N 0 1 270.373 0.494 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCn2c(n[nH]c2=O)C1 ZINC000354917036 527635240 /nfs/dbraw/zinc/63/52/40/527635240.db2.gz HGHDPPDMLVAMKV-NSHDSACASA-N 0 1 291.355 0.388 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)NCc1cn[nH]c1C ZINC000668554675 545181802 /nfs/dbraw/zinc/18/18/02/545181802.db2.gz RDBXIRIGVJXFRH-UHFFFAOYSA-N 0 1 280.328 0.043 20 30 CCEDMN C=CC[C@H](C)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000668854104 545192831 /nfs/dbraw/zinc/19/28/31/545192831.db2.gz CDDILJIVLUHNDL-QMMMGPOBSA-N 0 1 284.337 0.734 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000668921920 545195068 /nfs/dbraw/zinc/19/50/68/545195068.db2.gz LWZWWQXOJMXFOK-OAHLLOKOSA-N 0 1 282.384 0.494 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000670407575 545233269 /nfs/dbraw/zinc/23/32/69/545233269.db2.gz VEVWNBJMGHLYOO-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN CCOC[C@@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347026179 528937141 /nfs/dbraw/zinc/93/71/41/528937141.db2.gz BJZKTXADJAJKGX-GFCCVEGCSA-N 0 1 265.357 0.907 20 30 CCEDMN C=CCOCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000672941629 545275852 /nfs/dbraw/zinc/27/58/52/545275852.db2.gz USZLLHBVJRHOCZ-UHFFFAOYSA-N 0 1 275.312 0.743 20 30 CCEDMN CC[C@H]1CN(CC)CCN1C(=O)[C@H](C)n1cnc(C#N)n1 ZINC000456394010 529289286 /nfs/dbraw/zinc/28/92/86/529289286.db2.gz YGXYBHYNPSSGRH-RYUDHWBXSA-N 0 1 290.371 0.653 20 30 CCEDMN CCNC(=O)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000359056120 535786862 /nfs/dbraw/zinc/78/68/62/535786862.db2.gz OAENBTAJRVIHQT-UHFFFAOYSA-N 0 1 263.301 0.006 20 30 CCEDMN C=CCCOCC(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000681353654 545451386 /nfs/dbraw/zinc/45/13/86/545451386.db2.gz RSAOYBWTXPSXLS-SECBINFHSA-N 0 1 253.306 0.354 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc2c1CCCCC2 ZINC000962561727 649903900 /nfs/dbraw/zinc/90/39/00/649903900.db2.gz CLOKVQUJRWPCEH-IMRBUKKESA-N 0 1 299.378 0.862 20 30 CCEDMN N#CCc1ccccc1CC(=O)NCc1nnc[nH]1 ZINC000777394854 581114272 /nfs/dbraw/zinc/11/42/72/581114272.db2.gz GTIGUNXJKXEWFL-UHFFFAOYSA-N 0 1 255.281 0.730 20 30 CCEDMN N#CCc1ccccc1CC(=O)N1CCc2[nH]nnc2C1 ZINC000777523585 581118545 /nfs/dbraw/zinc/11/85/45/581118545.db2.gz NUFXYHLYIPDXMN-UHFFFAOYSA-N 0 1 281.319 0.998 20 30 CCEDMN C=CCNC(=S)NN=C1CCN(CCC(=O)OC)CC1 ZINC000788700510 581122694 /nfs/dbraw/zinc/12/26/94/581122694.db2.gz VLTBVEWMRNDKQU-UHFFFAOYSA-N 0 1 298.412 0.651 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2ccc(OCC#N)cc2)N1 ZINC000779801654 581206787 /nfs/dbraw/zinc/20/67/87/581206787.db2.gz VPNLLPMGLOMVAP-SNVBAGLBSA-N 0 1 257.297 0.860 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H](O)c2ccc(C#N)cc2)CC1 ZINC000780932521 581250289 /nfs/dbraw/zinc/25/02/89/581250289.db2.gz BDBVIUKUMNUJBF-CQSZACIVSA-N 0 1 298.346 0.696 20 30 CCEDMN N#CCOC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000726884682 581257760 /nfs/dbraw/zinc/25/77/60/581257760.db2.gz KXKQIIHWAQWQCI-UHFFFAOYSA-N 0 1 272.264 0.750 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)[C@H](C)NC(=O)[O-] ZINC000738459759 581333357 /nfs/dbraw/zinc/33/33/57/581333357.db2.gz BWISCARFBALNKG-UWVGGRQHSA-N 0 1 254.286 0.283 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738462073 581333989 /nfs/dbraw/zinc/33/39/89/581333989.db2.gz WGPPRADZBIQXIJ-STQMWFEESA-N 0 1 293.367 0.685 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000738470876 581344297 /nfs/dbraw/zinc/34/42/97/581344297.db2.gz NQTVWRGAOIZMKH-LLVKDONJSA-N 0 1 269.345 0.753 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000738470876 581344299 /nfs/dbraw/zinc/34/42/99/581344299.db2.gz NQTVWRGAOIZMKH-LLVKDONJSA-N 0 1 269.345 0.753 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)NCCN2CC=CCC2)cc1 ZINC000794115890 581345935 /nfs/dbraw/zinc/34/59/35/581345935.db2.gz RCOJWHQABLQONF-HNNXBMFYSA-N 0 1 285.347 0.970 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[O-])[C@@H](C)C1 ZINC000738495714 581362015 /nfs/dbraw/zinc/36/20/15/581362015.db2.gz ODPFCUPBOJTEQE-VHSXEESVSA-N 0 1 255.318 0.267 20 30 CCEDMN C#CCOCCNC(=O)N1C[C@@H](C)N(CC)C[C@H]1C ZINC000784541776 581387852 /nfs/dbraw/zinc/38/78/52/581387852.db2.gz YXSSLKHCULWCGI-CHWSQXEVSA-N 0 1 267.373 0.760 20 30 CCEDMN CC(C)C(CS(=O)(=O)N(C)C)=Nn1cnnc1 ZINC000794855898 581389694 /nfs/dbraw/zinc/38/96/94/581389694.db2.gz AWKJMKRNXIGYAP-UHFFFAOYSA-N 0 1 259.335 0.030 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)NCCc2nc(C)n[nH]2)c1 ZINC000784739805 581393862 /nfs/dbraw/zinc/39/38/62/581393862.db2.gz APFIQDOBZKYKLH-UHFFFAOYSA-N 0 1 297.318 0.392 20 30 CCEDMN COCCCNC(=S)NN=C1CCN2CCC[C@H]12 ZINC000765560834 581525179 /nfs/dbraw/zinc/52/51/79/581525179.db2.gz WSSQNFFAUYTGAL-LLVKDONJSA-N 0 1 270.402 0.711 20 30 CCEDMN C[N@@H+](CCCCC#N)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000739668697 581587045 /nfs/dbraw/zinc/58/70/45/581587045.db2.gz FBRYSNAWYYXMGN-UHFFFAOYSA-N 0 1 282.344 0.434 20 30 CCEDMN N#CCCCC[N@@H+]1CCC[C@@H](C(=O)NCCNC(=O)[O-])C1 ZINC000740308457 581663343 /nfs/dbraw/zinc/66/33/43/581663343.db2.gz YVOVGNHMYZENQZ-GFCCVEGCSA-N 0 1 296.371 0.776 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)COC(=O)Cc1cc(C)[nH]n1 ZINC000756701973 581675542 /nfs/dbraw/zinc/67/55/42/581675542.db2.gz IZPLGWVOBBXWJY-SNVBAGLBSA-N 0 1 292.339 0.812 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C[C@@H]1CCOC1 ZINC000740529909 581692099 /nfs/dbraw/zinc/69/20/99/581692099.db2.gz YHOFEFFBIYQVQC-WDEREUQCSA-N 0 1 268.313 0.275 20 30 CCEDMN C#CCNC(=O)C[NH2+][C@](CC)(C(=O)[O-])c1ccccc1 ZINC000736077176 581694545 /nfs/dbraw/zinc/69/45/45/581694545.db2.gz ZHVLJNZVAIGXGV-HNNXBMFYSA-N 0 1 274.320 0.716 20 30 CCEDMN COc1cc(C(C)=NNCC(N)=O)cc([N+](=O)[O-])c1O ZINC000758897005 581700371 /nfs/dbraw/zinc/70/03/71/581700371.db2.gz WKAFMJNRURVYDS-UHFFFAOYSA-N 0 1 282.256 0.108 20 30 CCEDMN C=CCNC(=O)COC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000758141214 581723294 /nfs/dbraw/zinc/72/32/94/581723294.db2.gz YRYHSVUKWZNIQG-SECBINFHSA-N 0 1 263.297 0.675 20 30 CCEDMN CC(C)CC(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000731861126 581784268 /nfs/dbraw/zinc/78/42/68/581784268.db2.gz LRGJAJUTHVDJML-SEYXRHQNSA-N 0 1 265.313 0.675 20 30 CCEDMN COC[C@@](C)(O)C(=O)Nc1cc(C#N)ccc1O ZINC000759720445 581818168 /nfs/dbraw/zinc/81/81/68/581818168.db2.gz FXESCWQKFUYZPB-GFCCVEGCSA-N 0 1 250.254 0.600 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@H](C(=O)N2CCCC2)C1 ZINC000732412573 581826734 /nfs/dbraw/zinc/82/67/34/581826734.db2.gz OYARQFZOKNBTOI-ZDUSSCGKSA-N 0 1 292.383 0.351 20 30 CCEDMN N#CC(C(=O)CC1CCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000744131016 581832363 /nfs/dbraw/zinc/83/23/63/581832363.db2.gz RLNWZZGGSQDCEN-NSHDSACASA-N 0 1 298.364 0.142 20 30 CCEDMN N#CCCNC(=O)CN1CCC2(CCOC2)CC1 ZINC000761057676 581884213 /nfs/dbraw/zinc/88/42/13/581884213.db2.gz FIZFTUASBGENJH-UHFFFAOYSA-N 0 1 251.330 0.519 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000737078818 581897552 /nfs/dbraw/zinc/89/75/52/581897552.db2.gz DDHBQBDYWVHNHI-RYUDHWBXSA-N 0 1 281.356 0.791 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2ncc(C3OCCO3)s2)N1 ZINC000773077798 581907738 /nfs/dbraw/zinc/90/77/38/581907738.db2.gz CPOOYDJCEBKXKZ-SSDOTTSWSA-N 0 1 281.341 0.460 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000773163269 581911733 /nfs/dbraw/zinc/91/17/33/581911733.db2.gz UOCIVBQGMICMQY-VHSXEESVSA-N 0 1 297.384 0.797 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(OCCOC)cc1 ZINC000746513849 581917478 /nfs/dbraw/zinc/91/74/78/581917478.db2.gz LQVITUUEJGXSTK-UHFFFAOYSA-N 0 1 276.336 0.551 20 30 CCEDMN CN1CCC(=Nn2c(=O)c3ccccc3[nH]c2=S)C1=O ZINC000761785703 581920755 /nfs/dbraw/zinc/92/07/55/581920755.db2.gz KJNPKKYXXXWQEW-UHFFFAOYSA-N 0 1 288.332 0.751 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1cc(C)[nH]n1)[C@@H]1CCCO1 ZINC000773312436 581920903 /nfs/dbraw/zinc/92/09/03/581920903.db2.gz TUXWZZSWAKDNNC-NEPJUHHUSA-N 0 1 262.313 0.698 20 30 CCEDMN N#CCn1cc(NC(=O)c2nc3ccccc3c(=O)[nH]2)cn1 ZINC000747459413 581941772 /nfs/dbraw/zinc/94/17/72/581941772.db2.gz OHGUHCRGUILCCN-UHFFFAOYSA-N 0 1 294.274 0.895 20 30 CCEDMN C#C[C@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000775341844 582020079 /nfs/dbraw/zinc/02/00/79/582020079.db2.gz SKJGRPGFRRIVNX-IONNQARKSA-N 0 1 264.241 0.229 20 30 CCEDMN C#C[C@@H](NCc1cnc2cnccn12)[C@@H]1CCCO1 ZINC000775343282 582020298 /nfs/dbraw/zinc/02/02/98/582020298.db2.gz VUHLNRDDZNKMEU-OLZOCXBDSA-N 0 1 256.309 1.000 20 30 CCEDMN N#Cc1cnn(C(=O)c2cnc3nc[nH]c3c2)c1N ZINC000763991148 582024822 /nfs/dbraw/zinc/02/48/22/582024822.db2.gz IUQBCEAPBVMBNF-UHFFFAOYSA-N 0 1 253.225 0.297 20 30 CCEDMN N#Cc1ccc(NC(=O)COC(=O)CCc2nc[nH]n2)cc1 ZINC000764006088 582026251 /nfs/dbraw/zinc/02/62/51/582026251.db2.gz RNEKAAVBWZFQKD-UHFFFAOYSA-N 0 1 299.290 0.791 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CNC1(C(N)=O)CCCC1 ZINC000750049382 582032177 /nfs/dbraw/zinc/03/21/77/582032177.db2.gz ZZPPYQSJZUOQQM-NSHDSACASA-N 0 1 280.372 0.382 20 30 CCEDMN C#C[C@H](N[C@@H]1CCN(C2CCOCC2)C1=O)[C@@H]1CCCO1 ZINC000775804547 582041021 /nfs/dbraw/zinc/04/10/21/582041021.db2.gz ANIVYMROCDQLCX-ZNMIVQPWSA-N 0 1 292.379 0.537 20 30 CCEDMN C#CCC(CC#C)C(=O)NCCCCN1CCOCC1 ZINC000764308738 582042200 /nfs/dbraw/zinc/04/22/00/582042200.db2.gz AVSBWWCRORQYRW-UHFFFAOYSA-N 0 1 276.380 0.878 20 30 CCEDMN COCCCOCC(=O)C(C#N)C(=O)NC1CC1 ZINC000776076464 582050685 /nfs/dbraw/zinc/05/06/85/582050685.db2.gz GJWMXPJLPZPNFL-JTQLQIEISA-N 0 1 254.286 0.027 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)[C@H](NC(=O)[O-])C(C)C ZINC000738459827 582052482 /nfs/dbraw/zinc/05/24/82/582052482.db2.gz FQXLKSPYMZVQTI-NWDGAFQWSA-N 0 1 282.340 0.919 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@H](CNC(=O)[O-])C1 ZINC000738460359 582053236 /nfs/dbraw/zinc/05/32/36/582053236.db2.gz JZBPAWBECSOISZ-OLZOCXBDSA-N 0 1 293.367 0.590 20 30 CCEDMN C#Cc1ccc(C(=O)Nc2nc(SCCO)n[nH]2)nc1 ZINC000764632782 582060255 /nfs/dbraw/zinc/06/02/55/582060255.db2.gz APVHZGFOIIDUBO-UHFFFAOYSA-N 0 1 289.320 0.518 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@@H]1C[C@]12CCOC2 ZINC000764754837 582067631 /nfs/dbraw/zinc/06/76/31/582067631.db2.gz AXOJGHHUYGXFHZ-NRUUGDAUSA-N 0 1 250.298 0.646 20 30 CCEDMN Cn1nncc1C=Nn1c(=O)c2ccccc2[nH]c1=S ZINC000751133318 582071415 /nfs/dbraw/zinc/07/14/15/582071415.db2.gz DFUGQTAAVREOJN-UHFFFAOYSA-N 0 1 286.320 0.696 20 30 CCEDMN N#Cc1nccnc1N1CCC(c2nc[nH]n2)CC1 ZINC000751236476 582072814 /nfs/dbraw/zinc/07/28/14/582072814.db2.gz NHIRHOKYKLQDEV-UHFFFAOYSA-N 0 1 255.285 0.850 20 30 CCEDMN N#CC(C(=O)CC[C@@H]1CCOC1)C(=O)NC1CC1 ZINC000751827376 582093770 /nfs/dbraw/zinc/09/37/70/582093770.db2.gz QJRNNUYWCJYBKS-KOLCDFICSA-N 0 1 250.298 0.791 20 30 CCEDMN C#CCOCCN1[C@@H]2C[C@H](C(=O)OC)O[C@H]2CC[C@H]1C ZINC000852018843 612985007 /nfs/dbraw/zinc/98/50/07/612985007.db2.gz RWTGTKQIMGYMAL-YIYPIFLZSA-N 0 1 281.352 0.820 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC000820226965 597650275 /nfs/dbraw/zinc/65/02/75/597650275.db2.gz BCVJBRHUSLSIPW-GIPNMCIBSA-N 0 1 267.329 0.590 20 30 CCEDMN C[C@H]1CN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC[N@@H+]1C ZINC000820246414 597650290 /nfs/dbraw/zinc/65/02/90/597650290.db2.gz XEHNLDISRIVQTC-CABZTGNLSA-N 0 1 253.302 0.153 20 30 CCEDMN C[C@H]1CN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC000820246414 597650291 /nfs/dbraw/zinc/65/02/91/597650291.db2.gz XEHNLDISRIVQTC-CABZTGNLSA-N 0 1 253.302 0.153 20 30 CCEDMN N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000035083983 601030278 /nfs/dbraw/zinc/03/02/78/601030278.db2.gz YTLIYVYLYWQRKA-LBPRGKRZSA-N 0 1 292.339 0.439 20 30 CCEDMN N#Cc1cccc([C@H](C(=O)[O-])N2C[C@H]3C[NH2+]C[C@H]3C2)c1 ZINC000833073967 601311880 /nfs/dbraw/zinc/31/18/80/601311880.db2.gz LFUTVCDABCIFCU-HZSPNIEDSA-N 0 1 271.320 0.835 20 30 CCEDMN C#CCSCC(=O)N[C@]1(C)CN2CCC1CC2 ZINC000892758811 613014319 /nfs/dbraw/zinc/01/43/19/613014319.db2.gz MQGPCHSRERXDST-CYBMUJFWSA-N 0 1 252.383 0.953 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000863785275 613021774 /nfs/dbraw/zinc/02/17/74/613021774.db2.gz ZWGLZMWPFMSCNW-KBPBESRZSA-N 0 1 282.384 0.499 20 30 CCEDMN COc1cc(CC(=O)N[C@H]2CNC[C@@H]2C#N)ccc1C ZINC000834516116 617181551 /nfs/dbraw/zinc/18/15/51/617181551.db2.gz FMNJLVHODSLSEF-STQMWFEESA-N 0 1 273.336 0.774 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823653602 603815640 /nfs/dbraw/zinc/81/56/40/603815640.db2.gz CGYGZYZIYWBSSN-GHMZBOCLSA-N 0 1 282.344 0.431 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823653602 603815642 /nfs/dbraw/zinc/81/56/42/603815642.db2.gz CGYGZYZIYWBSSN-GHMZBOCLSA-N 0 1 282.344 0.431 20 30 CCEDMN C#CC1(O)CCN(CCNC(=O)OC(C)(C)C)CC1 ZINC000895382300 612952192 /nfs/dbraw/zinc/95/21/92/612952192.db2.gz CJANXORWJOZKCZ-UHFFFAOYSA-N 0 1 268.357 0.971 20 30 CCEDMN C[C@@H]1C[N@@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C[C@@H]1O ZINC000833621778 604569191 /nfs/dbraw/zinc/56/91/91/604569191.db2.gz JTEZLLYINHPMGV-JIMOISOXSA-N 0 1 260.293 0.997 20 30 CCEDMN C[C@@H]1C[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C[C@@H]1O ZINC000833621778 604569194 /nfs/dbraw/zinc/56/91/94/604569194.db2.gz JTEZLLYINHPMGV-JIMOISOXSA-N 0 1 260.293 0.997 20 30 CCEDMN C[C@H](NC(=O)[O-])[C@H]1C[N@H+](CCCSCC#N)CCO1 ZINC000825350639 604596410 /nfs/dbraw/zinc/59/64/10/604596410.db2.gz JWZKKINUVNCFIZ-WDEREUQCSA-N 0 1 287.385 0.990 20 30 CCEDMN C[C@H](NC(=O)[O-])[C@H]1C[N@@H+](CCCSCC#N)CCO1 ZINC000825350639 604596413 /nfs/dbraw/zinc/59/64/13/604596413.db2.gz JWZKKINUVNCFIZ-WDEREUQCSA-N 0 1 287.385 0.990 20 30 CCEDMN N#CCC[N@@H+](CCc1cn(CC(=O)[O-])nn1)CC1CC1 ZINC000833760409 604916905 /nfs/dbraw/zinc/91/69/05/604916905.db2.gz QONBOCJZODNOSO-UHFFFAOYSA-N 0 1 277.328 0.531 20 30 CCEDMN N#CCC[N@H+](CCc1cn(CC(=O)[O-])nn1)CC1CC1 ZINC000833760409 604916906 /nfs/dbraw/zinc/91/69/06/604916906.db2.gz QONBOCJZODNOSO-UHFFFAOYSA-N 0 1 277.328 0.531 20 30 CCEDMN N#CCCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H]1CC(=O)[O-] ZINC000042162787 604965831 /nfs/dbraw/zinc/96/58/31/604965831.db2.gz MTYNJHSJRNDULS-GFCCVEGCSA-N 0 1 292.339 0.581 20 30 CCEDMN N#C[C@H]1CN(C(=O)[O-])C[C@H]1[NH2+]CC1(O)CCCCC1 ZINC000833967518 605685546 /nfs/dbraw/zinc/68/55/46/605685546.db2.gz RHZHGKMHANWOAU-WDEREUQCSA-N 0 1 267.329 0.773 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(C)[C@H](COCC)C(=O)[O-] ZINC000909038515 613073229 /nfs/dbraw/zinc/07/32/29/613073229.db2.gz XAXKJKVTPXQMQA-GFCCVEGCSA-N 0 1 299.371 0.073 20 30 CCEDMN C#CCNCC(=O)NCc1cc(Cl)c2c(c1)OCCO2 ZINC000912381453 612956768 /nfs/dbraw/zinc/95/67/68/612956768.db2.gz QOFQKZIHSRRYRQ-UHFFFAOYSA-N 0 1 294.738 0.950 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000861340146 613079388 /nfs/dbraw/zinc/07/93/88/613079388.db2.gz FGDRTMUTKYSIHP-SECBINFHSA-N 0 1 281.337 0.547 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(OC)ns2)C1 ZINC000971556283 613085411 /nfs/dbraw/zinc/08/54/11/613085411.db2.gz OVIAGNOSBFKISX-SNVBAGLBSA-N 0 1 279.365 0.931 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CCCOC2)C1 ZINC000972629241 613093665 /nfs/dbraw/zinc/09/36/65/613093665.db2.gz SYPZFGYZBLRSJN-DZGCQCFKSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(C)nn2)C1 ZINC000971522505 613095018 /nfs/dbraw/zinc/09/50/18/613095018.db2.gz MZTSRWCWDTWGJG-LBPRGKRZSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000855497398 613104305 /nfs/dbraw/zinc/10/43/05/613104305.db2.gz BAWNZADPGMHEMC-NXEZZACHSA-N 0 1 282.296 0.455 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2C[C@H](C)O[C@@H](C)C2)C1 ZINC000847029277 613106118 /nfs/dbraw/zinc/10/61/18/613106118.db2.gz MISRXUNCTPIEBG-MELADBBJSA-N 0 1 264.369 0.967 20 30 CCEDMN C#C[C@@](C)(CC)N[C@H](C)C(=O)N(C)CC(=O)NC1CC1 ZINC000852102798 613113217 /nfs/dbraw/zinc/11/32/17/613113217.db2.gz QFXJKDMEXWRTFM-ABAIWWIYSA-N 0 1 279.384 0.503 20 30 CCEDMN COc1cc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)ccn1 ZINC000841238541 617204417 /nfs/dbraw/zinc/20/44/17/617204417.db2.gz OCNVZAHGVNQHJH-WCBMZHEXSA-N 0 1 261.285 0.323 20 30 CCEDMN C#CCC(CC#C)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896545799 612967559 /nfs/dbraw/zinc/96/75/59/612967559.db2.gz QEPNZHILQVKDNB-ZFWWWQNUSA-N 0 1 275.396 0.400 20 30 CCEDMN CC(C)CCO[C@H](C)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834494089 614057163 /nfs/dbraw/zinc/05/71/63/614057163.db2.gz KAYUNTDOHBTXLL-UTUOFQBUSA-N 0 1 253.346 0.665 20 30 CCEDMN CC(C)CCSCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834495399 614058784 /nfs/dbraw/zinc/05/87/84/614058784.db2.gz VGFJTSIKRDHAOJ-WDEREUQCSA-N 0 1 255.387 0.993 20 30 CCEDMN C#CCC1(O)CCN(C/C=C\C(=O)OCC)CC1 ZINC000931699637 612973325 /nfs/dbraw/zinc/97/33/25/612973325.db2.gz GFZJPQWIFKLOGO-WAYWQWQTSA-N 0 1 251.326 0.956 20 30 CCEDMN C#CCC1(O)CCN([C@@H](C)C(=O)NCCC)CC1 ZINC000880479634 612974226 /nfs/dbraw/zinc/97/42/26/612974226.db2.gz YTHFQKXZDXQRTR-LBPRGKRZSA-N 0 1 252.358 0.751 20 30 CCEDMN COc1ccc(C(=O)[O-])cc1C=NNC1=[NH+]CCN1 ZINC000901252897 617235463 /nfs/dbraw/zinc/23/54/63/617235463.db2.gz RAZLYYDYBDHCMY-UHFFFAOYSA-N 0 1 262.269 0.276 20 30 CCEDMN COc1ccc(CC(=O)NCC#CCN(C)C)cc1 ZINC000913513146 617241359 /nfs/dbraw/zinc/24/13/59/617241359.db2.gz AHKLXZVAELTYFL-UHFFFAOYSA-N 0 1 260.337 0.919 20 30 CCEDMN CSCC[C@H](NC(=O)C#CC(C)C)c1nn[nH]n1 ZINC000912862815 617244549 /nfs/dbraw/zinc/24/45/49/617244549.db2.gz DFPNUPSMPYMDRF-VIFPVBQESA-N 0 1 267.358 0.770 20 30 CCEDMN COc1ccc(OCC(=O)N[C@H]2CNC[C@H]2C#N)cc1C ZINC000834480428 617276414 /nfs/dbraw/zinc/27/64/14/617276414.db2.gz PXVABDRBJZXFGF-YPMHNXCESA-N 0 1 289.335 0.610 20 30 CCEDMN COc1ccc(O)c(C(=O)n2ncc(C#N)c2N)c1 ZINC000124079775 617272195 /nfs/dbraw/zinc/27/21/95/617272195.db2.gz MZDYCRXRPTZFBY-UHFFFAOYSA-N 0 1 258.237 0.740 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@H]1CNC(=O)c1ncn[nH]1 ZINC000937466583 617288848 /nfs/dbraw/zinc/28/88/48/617288848.db2.gz GFJUEAPNEUQJPH-UWVGGRQHSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@H]1CNC(=O)c1nc[nH]n1 ZINC000937466583 617288849 /nfs/dbraw/zinc/28/88/49/617288849.db2.gz GFJUEAPNEUQJPH-UWVGGRQHSA-N 0 1 290.327 0.075 20 30 CCEDMN COc1cccc(CCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834515829 617295913 /nfs/dbraw/zinc/29/59/13/617295913.db2.gz CDBTUEQPBLGOHO-GXTWGEPZSA-N 0 1 273.336 0.856 20 30 CCEDMN C#CC(C)(C)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000932753066 612945938 /nfs/dbraw/zinc/94/59/38/612945938.db2.gz CGALOFORDMDRGM-UHFFFAOYSA-N 0 1 263.341 0.903 20 30 CCEDMN C#CC1CCN(CC(=O)NCCCC(=O)OCC)CC1 ZINC000830302013 612964468 /nfs/dbraw/zinc/96/44/68/612964468.db2.gz XWHARJVZMGROBY-UHFFFAOYSA-N 0 1 280.368 0.791 20 30 CCEDMN C#CC1CCN(CC(=O)NC(=O)NCCCC)CC1 ZINC000830311675 612964535 /nfs/dbraw/zinc/96/45/35/612964535.db2.gz SRKCZDBLTOUVGF-UHFFFAOYSA-N 0 1 265.357 0.958 20 30 CCEDMN C#CC1CCN(CC(=O)NCCCN2CCCC2=O)CC1 ZINC000830311172 612964556 /nfs/dbraw/zinc/96/45/56/612964556.db2.gz GNQVMBPEMDVRRH-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CC1CCN([C@H](C)C(=O)NC(=O)NC(C)C)CC1 ZINC000830311830 612965072 /nfs/dbraw/zinc/96/50/72/612965072.db2.gz WPGYKOMVEPYBFG-LLVKDONJSA-N 0 1 265.357 0.954 20 30 CCEDMN C#CCC1(O)CCN(C[C@H]2[C@@H](C(=O)OC)C2(F)F)CC1 ZINC000895670467 612973607 /nfs/dbraw/zinc/97/36/07/612973607.db2.gz REHGYHXEWXHKNY-QWRGUYRKSA-N 0 1 287.306 0.891 20 30 CCEDMN C#CCC1(O)CCN(Cc2c(C)nnn2CC)CC1 ZINC000880484340 612973925 /nfs/dbraw/zinc/97/39/25/612973925.db2.gz VLAFYBADRHJRCB-UHFFFAOYSA-N 0 1 262.357 0.957 20 30 CCEDMN C#CCC1(O)CCN([C@H](C)C(=O)NC(=O)NCC=C)CC1 ZINC000880478553 612974094 /nfs/dbraw/zinc/97/40/94/612974094.db2.gz HIFLCPSFGWIWKT-GFCCVEGCSA-N 0 1 293.367 0.237 20 30 CCEDMN C#CCC1(O)CCN(S(=O)(=O)c2ccccc2O)CC1 ZINC000882771476 612974247 /nfs/dbraw/zinc/97/42/47/612974247.db2.gz DJMRVAXMNIQTQK-UHFFFAOYSA-N 0 1 295.360 0.931 20 30 CCEDMN C#CCCCCC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000840504355 612975473 /nfs/dbraw/zinc/97/54/73/612975473.db2.gz PZESKIPCMNIDNV-ZDUSSCGKSA-N 0 1 280.368 0.743 20 30 CCEDMN C#CCCCNC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000842178884 612981856 /nfs/dbraw/zinc/98/18/56/612981856.db2.gz VWSNDOSPRWEOJA-STQMWFEESA-N 0 1 267.373 0.496 20 30 CCEDMN C#CCOCCN1CCC[C@H](OC(=O)N(C)C)C1 ZINC000852112548 612983146 /nfs/dbraw/zinc/98/31/46/612983146.db2.gz RAFNHVZNMRBQTH-LBPRGKRZSA-N 0 1 254.330 0.799 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1C[N@@H+](CC(C)C)CCO1 ZINC000822237901 613001663 /nfs/dbraw/zinc/00/16/63/613001663.db2.gz LWMZDIVSRXNQTI-ZIAGYGMSSA-N 0 1 282.384 0.498 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000822237901 613001665 /nfs/dbraw/zinc/00/16/65/613001665.db2.gz LWMZDIVSRXNQTI-ZIAGYGMSSA-N 0 1 282.384 0.498 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc(C(N)=O)co2)CC1 ZINC000981936371 613005274 /nfs/dbraw/zinc/00/52/74/613005274.db2.gz HVLAQGLCGKMRNB-UHFFFAOYSA-N 0 1 289.335 0.550 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@]2(C)CCNC(=O)C2)CC1 ZINC000981442414 613005603 /nfs/dbraw/zinc/00/56/03/613005603.db2.gz NWYZMSUMGNMBMG-MRXNPFEDSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccc3nnnn3c2)CC1 ZINC000981613254 613005982 /nfs/dbraw/zinc/00/59/82/613005982.db2.gz ZQGGAMUPXYYKEZ-UHFFFAOYSA-N 0 1 298.350 0.296 20 30 CCEDMN C#CCCN1CCN(Cc2cn(C)nc2[N+](=O)[O-])CC1 ZINC000878312651 613012015 /nfs/dbraw/zinc/01/20/15/613012015.db2.gz NPWQIPXRBCQBPU-UHFFFAOYSA-N 0 1 277.328 0.469 20 30 CCEDMN C#CCCN1CCOC2(CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000949320976 613012234 /nfs/dbraw/zinc/01/22/34/613012234.db2.gz YMQHEWZJMUYRRQ-AWEZNQCLSA-N 0 1 289.379 0.863 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000863783814 613022356 /nfs/dbraw/zinc/02/23/56/613022356.db2.gz BBYXKGCHLJPCNB-QMMMGPOBSA-N 0 1 266.257 0.476 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)NC[C@H]1C[N@@H+](CC(C)C)CCO1 ZINC000913920276 613026050 /nfs/dbraw/zinc/02/60/50/613026050.db2.gz LEHFHPXRVPIEMB-KBPBESRZSA-N 0 1 297.399 0.027 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000913920276 613026051 /nfs/dbraw/zinc/02/60/51/613026051.db2.gz LEHFHPXRVPIEMB-KBPBESRZSA-N 0 1 297.399 0.027 20 30 CCEDMN C#CC[C@@H](CO)NCc1cc(C)c(C(=O)OC)o1 ZINC000895491153 613030165 /nfs/dbraw/zinc/03/01/65/613030165.db2.gz YMRKWQSLNIGGQZ-JTQLQIEISA-N 0 1 251.282 0.848 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000863806351 613037254 /nfs/dbraw/zinc/03/72/54/613037254.db2.gz HUAAPRNEJKAMJL-QWRGUYRKSA-N 0 1 261.325 0.669 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CCC[N@@H+]1C(C)C)C(=O)[O-] ZINC000910049126 613041237 /nfs/dbraw/zinc/04/12/37/613041237.db2.gz TUXFWGXADJFXKR-GHMZBOCLSA-N 0 1 252.314 0.452 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)CN(C)c2ncnc3[nH]cnc32)C1 ZINC000862563640 613045363 /nfs/dbraw/zinc/04/53/63/613045363.db2.gz NBYZUBHGXTXKJR-LLVKDONJSA-N 0 1 298.350 0.661 20 30 CCEDMN C#CCC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc(C)c1[O-] ZINC000958585327 613046157 /nfs/dbraw/zinc/04/61/57/613046157.db2.gz ZWCDRCNVSVWJHL-URLYPYJESA-N 0 1 274.324 0.107 20 30 CCEDMN C#CC[C@@H]1CCN(CC(=O)NCC(=O)N2CCCC2)C1 ZINC000886355732 613047015 /nfs/dbraw/zinc/04/70/15/613047015.db2.gz MXPWRCPTNJBITQ-CYBMUJFWSA-N 0 1 277.368 0.070 20 30 CCEDMN C#CC[C@@H]1CC[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC000886362955 613047169 /nfs/dbraw/zinc/04/71/69/613047169.db2.gz UPDWUKCEMYMIAT-LLVKDONJSA-N 0 1 256.309 0.868 20 30 CCEDMN C#CC[C@H](CO)NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000913988116 613054276 /nfs/dbraw/zinc/05/42/76/613054276.db2.gz GEQYGYXRRIZLTJ-HZSPNIEDSA-N 0 1 297.399 0.169 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000798440152 613057041 /nfs/dbraw/zinc/05/70/41/613057041.db2.gz KAUBGQZUVPMCDY-GXFFZTMASA-N 0 1 278.356 0.723 20 30 CCEDMN C#CC[C@H](CO)NC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000880062721 613057353 /nfs/dbraw/zinc/05/73/53/613057353.db2.gz BEVDCIBAUYNUPK-GIPNMCIBSA-N 0 1 261.325 0.576 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000875925947 613064119 /nfs/dbraw/zinc/06/41/19/613064119.db2.gz ZVUVZHURPDUTHW-DTWKUNHWSA-N 0 1 251.290 0.203 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000858304658 613064637 /nfs/dbraw/zinc/06/46/37/613064637.db2.gz FFSUFJUFYQXFJB-ZYHUDNBSSA-N 0 1 278.356 0.987 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N([C@@H](C)C(=O)[O-])C1CCC1 ZINC000909037794 613072983 /nfs/dbraw/zinc/07/29/83/613072983.db2.gz MUURSKKVKZHKKF-LBPRGKRZSA-N 0 1 295.383 0.979 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)CN(C)c2ncnc3[nH]cnc32)C1 ZINC000862563642 613073139 /nfs/dbraw/zinc/07/31/39/613073139.db2.gz NBYZUBHGXTXKJR-NSHDSACASA-N 0 1 298.350 0.661 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@H]2CCCc3n[nH]nc32)cn1 ZINC000834614676 613077529 /nfs/dbraw/zinc/07/75/29/613077529.db2.gz MVBXNXNXACULHE-NSHDSACASA-N 0 1 284.323 0.371 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cn2ccc(C)n2)C1 ZINC000972362415 613081805 /nfs/dbraw/zinc/08/18/05/613081805.db2.gz NOMYVDBZOPLINZ-CYBMUJFWSA-N 0 1 260.341 0.357 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](OC)C(C)C)C1 ZINC000972244140 613082554 /nfs/dbraw/zinc/08/25/54/613082554.db2.gz ZTQHMAVLPXEZNB-OLZOCXBDSA-N 0 1 252.358 0.823 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(=O)n(C)c2)C1 ZINC000971856190 613085629 /nfs/dbraw/zinc/08/56/29/613085629.db2.gz NLTBOOPSMWTDQH-CYBMUJFWSA-N 0 1 273.336 0.165 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc3n[nH]c(=O)n3c2)C1 ZINC000972536488 613086335 /nfs/dbraw/zinc/08/63/35/613086335.db2.gz MPJLWFPTHGZZHI-GFCCVEGCSA-N 0 1 299.334 0.214 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2ccc(OC)cn2)C1 ZINC000972167103 613090900 /nfs/dbraw/zinc/09/09/00/613090900.db2.gz BWSFBWCIGQMNEP-AWEZNQCLSA-N 0 1 287.363 0.799 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2ncccc2F)C1 ZINC000972178320 613090916 /nfs/dbraw/zinc/09/09/16/613090916.db2.gz YNKNEXSMRFDOQV-LBPRGKRZSA-N 0 1 275.327 0.929 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC000972338839 613092203 /nfs/dbraw/zinc/09/22/03/613092203.db2.gz JTJQNCKPPBJYEP-ZBFHGGJFSA-N 0 1 283.375 0.962 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(CC)nc2C)C1 ZINC000972449832 613096335 /nfs/dbraw/zinc/09/63/35/613096335.db2.gz NPHTWAZSGFENHS-ZDUSSCGKSA-N 0 1 274.368 0.991 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn3c2CCC3)C1 ZINC000971645987 613096812 /nfs/dbraw/zinc/09/68/12/613096812.db2.gz ALZWZIBEANXKLA-LBPRGKRZSA-N 0 1 272.352 0.609 20 30 CCEDMN C#C[C@@H](NC(=O)[C@@H]1CCCCN1C)[C@H]1CCCO1 ZINC000822074708 613098165 /nfs/dbraw/zinc/09/81/65/613098165.db2.gz ZKBZTGKPQQOOQE-FRRDWIJNSA-N 0 1 250.342 0.768 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)CN2CCN(CC)CC2)C1 ZINC000848282132 613103680 /nfs/dbraw/zinc/10/36/80/613103680.db2.gz RILDUEZNOFCANY-CQSZACIVSA-N 0 1 263.385 0.496 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2CCC[C@H](NC(C)=O)C2)C1 ZINC000847027647 613105981 /nfs/dbraw/zinc/10/59/81/613105981.db2.gz VMIATDYMLIJHQG-CABCVRRESA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC[N@@H+](CC)CC(=O)N[C@@H](C(=O)[O-])[C@H](C)CC ZINC000825614787 613106606 /nfs/dbraw/zinc/10/66/06/613106606.db2.gz XXBYCDNIMANHOC-ZYHUDNBSSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CC[N@H+](CC)CC(=O)N[C@@H](C(=O)[O-])[C@H](C)CC ZINC000825614787 613106608 /nfs/dbraw/zinc/10/66/08/613106608.db2.gz XXBYCDNIMANHOC-ZYHUDNBSSA-N 0 1 254.330 0.557 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1cc(Br)[nH]n1 ZINC000854917392 613111008 /nfs/dbraw/zinc/11/10/08/613111008.db2.gz OIOYHVPCASYLQB-SSDOTTSWSA-N 0 1 284.113 0.646 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1cccc2[nH]c(CO)nc21 ZINC000909925210 613111328 /nfs/dbraw/zinc/11/13/28/613111328.db2.gz ZFZMQKDPXCWPSF-SNVBAGLBSA-N 0 1 285.303 0.529 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)CN1CCC[C@H](C(=O)OCC)C1 ZINC000907773958 613113479 /nfs/dbraw/zinc/11/34/79/613113479.db2.gz HWVPQDMFXJTSDO-STQMWFEESA-N 0 1 280.368 0.742 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000821566994 613120199 /nfs/dbraw/zinc/12/01/99/613120199.db2.gz BNROGRSXNBGEQQ-UONOGXRCSA-N 0 1 279.384 0.762 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000854079090 613120823 /nfs/dbraw/zinc/12/08/23/613120823.db2.gz VSQIJRCDEISWHF-FRRDWIJNSA-N 0 1 267.373 0.495 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCOCCO)C(C)C)CC1 ZINC000808048965 613128760 /nfs/dbraw/zinc/12/87/60/613128760.db2.gz NVGYWEYKCMQGFY-UHFFFAOYSA-N 0 1 296.411 0.578 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](NC(C)=O)C2)CC1 ZINC000939010714 613129117 /nfs/dbraw/zinc/12/91/17/613129117.db2.gz FRZKQGAGPCPQRK-CQSZACIVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@](CO)(OC)C2)CC1 ZINC000855441632 613129349 /nfs/dbraw/zinc/12/93/49/613129349.db2.gz LQXVMQFZQMBIQD-INIZCTEOSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](NC(C)=O)C2)CC1 ZINC000937580777 613129478 /nfs/dbraw/zinc/12/94/78/613129478.db2.gz FRZKQGAGPCPQRK-AWEZNQCLSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](C(C)(C)O)C2)CC1 ZINC000874879792 613129503 /nfs/dbraw/zinc/12/95/03/613129503.db2.gz QYKIKRWIDNAUDP-AWEZNQCLSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2cnsn2)CC1 ZINC000823867337 613132158 /nfs/dbraw/zinc/13/21/58/613132158.db2.gz IYGRCTSXWWSEAL-UHFFFAOYSA-N 0 1 250.327 0.822 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2nncs2)CC1 ZINC000875982154 613132438 /nfs/dbraw/zinc/13/24/38/613132438.db2.gz ZSCNLIDMTFNCQU-UHFFFAOYSA-N 0 1 265.338 0.927 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)N2CCC[C@H](C)C2)CC1 ZINC000928653987 613132526 /nfs/dbraw/zinc/13/25/26/613132526.db2.gz IZVAUIGVBXUZGC-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C#C[C@H](NC(=O)C1CN([C@@H]2CCOC2)C1)C1CCOCC1 ZINC000898978773 613132654 /nfs/dbraw/zinc/13/26/54/613132654.db2.gz YFSBNHAZNGWQRN-CABCVRRESA-N 0 1 292.379 0.252 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2ncc[nH]2)CC1 ZINC000928652489 613132857 /nfs/dbraw/zinc/13/28/57/613132857.db2.gz AHGZCIHCKXEBLW-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCC(NC(=O)CC[C@]2(C)CCC(=O)N2)CC1 ZINC000928654595 613132958 /nfs/dbraw/zinc/13/29/58/613132958.db2.gz IVOFQRYJVHNWCE-MRXNPFEDSA-N 0 1 291.395 0.649 20 30 CCEDMN C#C[C@H](NC(=O)Cc1n[nH]c(C)n1)C1CCOCC1 ZINC000856118364 613134085 /nfs/dbraw/zinc/13/40/85/613134085.db2.gz DOJLXDLTNTXFAL-NSHDSACASA-N 0 1 262.313 0.200 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cn(CC)nn2)CC1 ZINC000923260500 613134279 /nfs/dbraw/zinc/13/42/79/613134279.db2.gz XNMCYCVJMORUKS-UHFFFAOYSA-N 0 1 290.371 0.195 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ccncc2)CC1 ZINC000905145226 613134332 /nfs/dbraw/zinc/13/43/32/613134332.db2.gz LGGYEXNICBZUGB-UHFFFAOYSA-N 0 1 272.352 0.978 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ccn(C)c2)CC1 ZINC000921307177 613134497 /nfs/dbraw/zinc/13/44/97/613134497.db2.gz HFWBEXJLXVWUEJ-UHFFFAOYSA-N 0 1 274.368 0.922 20 30 CCEDMN C#C[C@H](NC(=O)N(C)CC1CN(C)C1)[C@H]1CCCO1 ZINC000852259853 613134598 /nfs/dbraw/zinc/13/45/98/613134598.db2.gz MVHLLWHZYXPRBQ-QWHCGFSZSA-N 0 1 265.357 0.370 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)Cn2cccn2)CC1 ZINC000914082038 613134824 /nfs/dbraw/zinc/13/48/24/613134824.db2.gz JIHRHJBVYPOIJD-CYBMUJFWSA-N 0 1 289.383 0.668 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)[C@@H]2CCOC2)CC1 ZINC000920474296 613135042 /nfs/dbraw/zinc/13/50/42/613135042.db2.gz XOOWAYDOZCWIPB-QWHCGFSZSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCn3ccnc32)CC1 ZINC000922656466 613135348 /nfs/dbraw/zinc/13/53/48/613135348.db2.gz UIHVDUQNHHAMBJ-ZDUSSCGKSA-N 0 1 287.367 0.725 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ccnnc2OC)CC1 ZINC000931450295 613135508 /nfs/dbraw/zinc/13/55/08/613135508.db2.gz VDXLMDGMDUFLBR-UHFFFAOYSA-N 0 1 289.339 0.704 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](CC(=C)C)NC(C)=O)CC1 ZINC000928648950 613135694 /nfs/dbraw/zinc/13/56/94/613135694.db2.gz VYVUQEZCIOWYAF-OAHLLOKOSA-N 0 1 291.395 0.671 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@]2(C#N)CCCOC2)CC1 ZINC000928661275 613136271 /nfs/dbraw/zinc/13/62/71/613136271.db2.gz ZSGRUYRRIYYXSN-OAHLLOKOSA-N 0 1 275.352 0.521 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCCOC2)CC1 ZINC000928657989 613136669 /nfs/dbraw/zinc/13/66/69/613136669.db2.gz IKUWOWLGSBYQHC-LBPRGKRZSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2[C@@H](C)[C@@H]2OCC)CC1 ZINC000928661570 613136706 /nfs/dbraw/zinc/13/67/06/613136706.db2.gz ZDOWCOVMLCTKQZ-BNOWGMLFSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@]2(C)CCCO2)CC1 ZINC000928656106 613136744 /nfs/dbraw/zinc/13/67/44/613136744.db2.gz LENWZEZPQRAHHS-AWEZNQCLSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(Cl)cnn2C)CC1 ZINC000928648014 613137040 /nfs/dbraw/zinc/13/70/40/613137040.db2.gz CLWNEBHLEGLHQG-UHFFFAOYSA-N 0 1 280.759 0.901 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn(CCOC)c2)CC1 ZINC000928660481 613137486 /nfs/dbraw/zinc/13/74/86/613137486.db2.gz OHIWRNPZOJFDBO-UHFFFAOYSA-N 0 1 290.367 0.357 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn3ccc(C)nc23)CC1 ZINC000928658506 613137678 /nfs/dbraw/zinc/13/76/78/613137678.db2.gz VGWZZKCLTNYBGW-UHFFFAOYSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnn2CC)CC1 ZINC000928652750 613137682 /nfs/dbraw/zinc/13/76/82/613137682.db2.gz KSXJXSGUHODGIJ-UHFFFAOYSA-N 0 1 260.341 0.730 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nn(C)cc2C)CC1 ZINC000928649823 613137982 /nfs/dbraw/zinc/13/79/82/613137982.db2.gz SCRGUXAAOZXTOT-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nc(C3CC3)nn2C)CC1 ZINC000902943957 613138069 /nfs/dbraw/zinc/13/80/69/613138069.db2.gz AKJWJDQXOJJKMI-UHFFFAOYSA-N 0 1 287.367 0.520 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ncnc3[nH]ccc32)CC1 ZINC000928648099 613138199 /nfs/dbraw/zinc/13/81/99/613138199.db2.gz GCUKDLBXEBQPJR-UHFFFAOYSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nc(C3CC3)no2)CC1 ZINC000902944651 613138363 /nfs/dbraw/zinc/13/83/63/613138363.db2.gz ILOUIHZTLHEVJT-UHFFFAOYSA-N 0 1 274.324 0.774 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@@H]1CCCO1)CCO2 ZINC000949021673 613139360 /nfs/dbraw/zinc/13/93/60/613139360.db2.gz XWXIGZZMOBTRTQ-AWEZNQCLSA-N 0 1 292.379 0.492 20 30 CCEDMN C#C[C@H](NC(=O)NCc1n[nH]c(CC)n1)[C@@H]1CCCO1 ZINC000838560583 613141762 /nfs/dbraw/zinc/14/17/62/613141762.db2.gz XUPLJXJGWFALLN-UWVGGRQHSA-N 0 1 277.328 0.347 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3C[C@@H]3C(=O)OC)C2)C1 ZINC000981666224 613141958 /nfs/dbraw/zinc/14/19/58/613141958.db2.gz MORCJOKDDAENMU-OLZOCXBDSA-N 0 1 290.363 0.353 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3C[C@H]3OCC)C2)C1 ZINC000981642085 613143374 /nfs/dbraw/zinc/14/33/74/613143374.db2.gz RJANYBJYMSQFMG-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C#C[C@H](NC(=O)c1ccc(NS(C)(=O)=O)nc1)C(C)C ZINC000861511494 613148627 /nfs/dbraw/zinc/14/86/27/613148627.db2.gz GWAQGFKBCVHONT-NSHDSACASA-N 0 1 295.364 0.841 20 30 CCEDMN C#C[C@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])C1CCOCC1 ZINC000856106779 613149975 /nfs/dbraw/zinc/14/99/75/613149975.db2.gz ZMKFEPPDJJGHOX-VIFPVBQESA-N 0 1 278.268 0.476 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N2CCC[C@H](NC(C)=O)C2)C1 ZINC000847027650 613158925 /nfs/dbraw/zinc/15/89/25/613158925.db2.gz VMIATDYMLIJHQG-GJZGRUSLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2ccnc(C(N)=O)c2)C1 ZINC000884612918 613164553 /nfs/dbraw/zinc/16/45/53/613164553.db2.gz XUCSIVOJXXNASP-GFCCVEGCSA-N 0 1 258.325 0.112 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2cncc3ncnn32)C1 ZINC000884611375 613176680 /nfs/dbraw/zinc/17/66/80/613176680.db2.gz KRGJIZYDSWRKGY-LLVKDONJSA-N 0 1 256.313 0.634 20 30 CCEDMN C/C=C/CNC(=O)C(=NOCC(=O)OCC)c1ccn[nH]1 ZINC000863114110 613178741 /nfs/dbraw/zinc/17/87/41/613178741.db2.gz BFGIJIHJLDWWHO-NQRPJCKUSA-N 0 1 294.311 0.386 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C(\C)COC)C1 ZINC000923555912 613180758 /nfs/dbraw/zinc/18/07/58/613180758.db2.gz YHJSHQAWPDFACL-SRXBQZRASA-N 0 1 250.342 0.793 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@@H](C)C1CN(CC=C)C1 ZINC000969870598 613200741 /nfs/dbraw/zinc/20/07/41/613200741.db2.gz ZFOJSFINTRQTEC-ZFWWWQNUSA-N 0 1 275.396 0.707 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CC(=O)[O-])C1CC1 ZINC000825619387 613200898 /nfs/dbraw/zinc/20/08/98/613200898.db2.gz LSQUPSXFIAEOHG-RYUDHWBXSA-N 0 1 264.325 0.454 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CC(=O)[O-])C1CC1 ZINC000825619387 613200900 /nfs/dbraw/zinc/20/09/00/613200900.db2.gz LSQUPSXFIAEOHG-RYUDHWBXSA-N 0 1 264.325 0.454 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@@]1(C)C(=O)[O-] ZINC000909998525 613201391 /nfs/dbraw/zinc/20/13/91/613201391.db2.gz GIZKPDBWFISNMS-YWPYICTPSA-N 0 1 278.352 0.844 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@@]1(C)C(=O)[O-] ZINC000909998525 613201392 /nfs/dbraw/zinc/20/13/92/613201392.db2.gz GIZKPDBWFISNMS-YWPYICTPSA-N 0 1 278.352 0.844 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CCC(=O)O1 ZINC000870195760 613203370 /nfs/dbraw/zinc/20/33/70/613203370.db2.gz VMIWDMVIYHYPFC-WDEREUQCSA-N 0 1 251.282 0.333 20 30 CCEDMN C#CCN1CCN(C(=O)C(C)(C)CN2CCOCC2)CC1 ZINC000874467979 613204425 /nfs/dbraw/zinc/20/44/25/613204425.db2.gz ZGCZTKHBUWMUTF-UHFFFAOYSA-N 0 1 293.411 0.122 20 30 CCEDMN C#Cc1ccccc1CC(=O)NC[C@H]1CN(C)CCN1C ZINC000810382477 613206434 /nfs/dbraw/zinc/20/64/34/613206434.db2.gz OPAUFRHHVSVFFW-INIZCTEOSA-N 0 1 285.391 0.572 20 30 CCEDMN C#CCN1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CC1 ZINC000827519906 613207094 /nfs/dbraw/zinc/20/70/94/613207094.db2.gz WVGCVXKQEDCMDU-GFCCVEGCSA-N 0 1 272.352 0.292 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H](C)C2CN(CCO)C2)c1 ZINC000970402322 613218961 /nfs/dbraw/zinc/21/89/61/613218961.db2.gz XLLPCXKCDHNTDU-NSHDSACASA-N 0 1 273.336 0.105 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CCCN(CCCO)C2)c1 ZINC000833575387 613219503 /nfs/dbraw/zinc/21/95/03/613219503.db2.gz ZQEPSRBQWXKDHZ-OAHLLOKOSA-N 0 1 287.363 0.640 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@]2(F)CCOC2)C(C)(C)C1 ZINC000940980116 613229967 /nfs/dbraw/zinc/22/99/67/613229967.db2.gz NSKLGGOVCLJOCA-IUODEOHRSA-N 0 1 282.359 0.965 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccncn2)C(C)(C)C1 ZINC000941108646 613239036 /nfs/dbraw/zinc/23/90/36/613239036.db2.gz ZIMQNKPLNGTCMO-CYBMUJFWSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nccn3ccnc23)C[C@H]1C ZINC000947736854 613244033 /nfs/dbraw/zinc/24/40/33/613244033.db2.gz YRVGLVAITUXJQK-CHWSQXEVSA-N 0 1 297.362 0.945 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC000950457704 613245322 /nfs/dbraw/zinc/24/53/22/613245322.db2.gz ICXIPXXQDXTAKV-CYBMUJFWSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)COc2cnn(C)c2)CC1 ZINC000949892426 613257686 /nfs/dbraw/zinc/25/76/86/613257686.db2.gz PYSAPTWABCAOCO-UHFFFAOYSA-N 0 1 292.383 0.909 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C2CN(C(C)=O)C2)C(C)(C)C1 ZINC000940709988 613258108 /nfs/dbraw/zinc/25/81/08/613258108.db2.gz RMZXDHCTGSQTKO-AWEZNQCLSA-N 0 1 291.395 0.315 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)c2cn(C)c(=O)cn2)CC1 ZINC000949378021 613261895 /nfs/dbraw/zinc/26/18/95/613261895.db2.gz MSXHDNUDGRHGOB-UHFFFAOYSA-N 0 1 290.367 0.504 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C(C)(C)C1 ZINC000940811721 613263714 /nfs/dbraw/zinc/26/37/14/613263714.db2.gz RUUHTEKGHXUBNG-IHRRRGAJSA-N 0 1 294.395 0.640 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)[C@@H](C)C1 ZINC000942131775 613274606 /nfs/dbraw/zinc/27/46/06/613274606.db2.gz ZQAIYQLSWCBUKG-JQWIXIFHSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnccn2)C(C)(C)C1 ZINC000940544282 613275280 /nfs/dbraw/zinc/27/52/80/613275280.db2.gz VBKGPYFFANOQME-ZDUSSCGKSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnccn2)C[C@@H]1C ZINC000947005187 613275296 /nfs/dbraw/zinc/27/52/96/613275296.db2.gz LQGTVVHEAAHHKU-RYUDHWBXSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnnn2CC)[C@@H](C)C1 ZINC000942023281 613275742 /nfs/dbraw/zinc/27/57/42/613275742.db2.gz YQUQTNGSQROGMS-RYUDHWBXSA-N 0 1 275.356 0.371 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974706259 613280399 /nfs/dbraw/zinc/28/03/99/613280399.db2.gz IPNGFFCQYXNLHQ-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ccn(C)n2)C(C)(C)C1 ZINC000974580347 613281409 /nfs/dbraw/zinc/28/14/09/613281409.db2.gz SJEXRSQCDIBKKJ-CYBMUJFWSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C#C)cc2)C1 ZINC000958310112 613286180 /nfs/dbraw/zinc/28/61/80/613286180.db2.gz WXJTXMNDUYROAR-JKSUJKDBSA-N 0 1 282.343 0.324 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(Cl)cn2)C1 ZINC000958606642 613286386 /nfs/dbraw/zinc/28/63/86/613286386.db2.gz ROKIZNFFHXRKFV-GXFFZTMASA-N 0 1 293.754 0.391 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2csc(C)c2)C1 ZINC000958486289 613287983 /nfs/dbraw/zinc/28/79/83/613287983.db2.gz YUCNQLJOESISAZ-QWHCGFSZSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2Cc3ccccc3C2)[C@H](O)C1 ZINC000958430742 613288404 /nfs/dbraw/zinc/28/84/04/613288404.db2.gz XJUJGEXYWWCFDM-IAGOWNOFSA-N 0 1 298.386 0.444 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C(C)C)no2)[C@H](O)C1 ZINC000958457137 613288502 /nfs/dbraw/zinc/28/85/02/613288502.db2.gz AYPYQIVJRXBOBO-DGCLKSJQSA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2nc(C)sc2C)[C@H](O)C1 ZINC000958175431 613290793 /nfs/dbraw/zinc/29/07/93/613290793.db2.gz LTXRTSGCVBVOOI-VXGBXAGGSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ocnc2C(F)F)[C@H](O)C1 ZINC000958395481 613290945 /nfs/dbraw/zinc/29/09/45/613290945.db2.gz VIBUSYMPNSEXSB-RKDXNWHRSA-N 0 1 299.277 0.268 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC000958444489 613294502 /nfs/dbraw/zinc/29/45/02/613294502.db2.gz QARMCYLEYHDYRP-AAEUAGOBSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC000958550606 613294687 /nfs/dbraw/zinc/29/46/87/613294687.db2.gz CTYUHLISYOJQHY-WFASDCNBSA-N 0 1 287.363 0.548 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C)nc2C)C1 ZINC000958718441 613294910 /nfs/dbraw/zinc/29/49/10/613294910.db2.gz UTRCLTNXORCQKW-ZFWWWQNUSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccoc2CCC)C1 ZINC000958590362 613295747 /nfs/dbraw/zinc/29/57/47/613295747.db2.gz AFYBEESYZQWAEF-JSGCOSHPSA-N 0 1 290.363 0.888 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ncoc2C(C)C)C1 ZINC000958572559 613296187 /nfs/dbraw/zinc/29/61/87/613296187.db2.gz CACRKBSHTBSGMX-RYUDHWBXSA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2occc2C)C1 ZINC000957855407 613296548 /nfs/dbraw/zinc/29/65/48/613296548.db2.gz NDDYCMILYHCTTN-RYUDHWBXSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2occ3c2CCC3)C1 ZINC000958292313 613296869 /nfs/dbraw/zinc/29/68/69/613296869.db2.gz WPWHHYZMYNFEEI-JSGCOSHPSA-N 0 1 288.347 0.424 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974706262 613297057 /nfs/dbraw/zinc/29/70/57/613297057.db2.gz IPNGFFCQYXNLHQ-STQMWFEESA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C(C)(C)C1 ZINC000974751258 613298799 /nfs/dbraw/zinc/29/87/99/613298799.db2.gz IUGNVMOHNGXVBD-STQMWFEESA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(Cl)[nH]2)C1 ZINC000958379836 613302860 /nfs/dbraw/zinc/30/28/60/613302860.db2.gz VLHYMEQWYUEOAZ-KOLCDFICSA-N 0 1 281.743 0.324 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(CC)cc2)C1 ZINC000958640031 613303225 /nfs/dbraw/zinc/30/32/25/613303225.db2.gz OEIZSFOIXFZPLY-CVEARBPZSA-N 0 1 286.375 0.905 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCCOC1 ZINC000962614439 613313517 /nfs/dbraw/zinc/31/35/17/613313517.db2.gz HLTIUZBYEOWJEJ-CXTNEJHOSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1Cc2cccnc2C1 ZINC000962399576 613316551 /nfs/dbraw/zinc/31/65/51/613316551.db2.gz WTVOBLAZSVANFL-TTZDDIAXSA-N 0 1 281.359 0.476 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)cc(C)[nH]c1=O ZINC000961412493 613317254 /nfs/dbraw/zinc/31/72/54/613317254.db2.gz NVGZWCVARUAMHK-IMRBUKKESA-N 0 1 285.347 0.697 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(C#N)c1 ZINC000958586106 613317551 /nfs/dbraw/zinc/31/75/51/613317551.db2.gz DRWBQXOAPXKZJR-ITGUQSILSA-N 0 1 254.293 0.180 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C2CC2)on1 ZINC000961389145 613317876 /nfs/dbraw/zinc/31/78/76/613317876.db2.gz MGHNMIFWQSIDMN-YABSGUDNSA-N 0 1 271.320 0.845 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(OC)c1 ZINC000962380264 613319716 /nfs/dbraw/zinc/31/97/16/613319716.db2.gz OQSPJSCEINDUJM-FICVDOATSA-N 0 1 270.332 0.988 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C)cn1 ZINC000958147180 613320723 /nfs/dbraw/zinc/32/07/23/613320723.db2.gz JSUALNJHXKSBTF-PJXYFTJBSA-N 0 1 256.309 0.078 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc2cccnc2s1 ZINC000960295262 613321757 /nfs/dbraw/zinc/32/17/57/613321757.db2.gz FGZSBRSNFOVRDL-IAZYJMLFSA-N 0 1 298.371 0.985 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncc(F)cc1F ZINC000960577701 613322181 /nfs/dbraw/zinc/32/21/81/613322181.db2.gz AMWWJBSOOKECLD-IAZYJMLFSA-N 0 1 277.274 0.653 20 30 CCEDMN C#CCNC(=O)CCN1CCOc2ccccc2[C@@H]1CO ZINC000933379376 613325372 /nfs/dbraw/zinc/32/53/72/613325372.db2.gz DYINPJUCPDDWKN-AWEZNQCLSA-N 0 1 288.347 0.554 20 30 CCEDMN C#CCNC(=O)CCN1CCc2c(cccc2C(N)=O)C1 ZINC000852755037 613325653 /nfs/dbraw/zinc/32/56/53/613325653.db2.gz USZMKKFFFZYDCE-UHFFFAOYSA-N 0 1 285.347 0.283 20 30 CCEDMN C#CCNC(=O)COC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC000885878165 613333228 /nfs/dbraw/zinc/33/32/28/613333228.db2.gz GREQRRXXKLRRNQ-SECBINFHSA-N 0 1 275.308 0.441 20 30 CCEDMN C=C(C)CNC(=S)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000840857535 613346627 /nfs/dbraw/zinc/34/66/27/613346627.db2.gz YJYWWKLXSWDDRK-LLVKDONJSA-N 0 1 285.413 0.474 20 30 CCEDMN C=C(C)CONC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000845604883 613352846 /nfs/dbraw/zinc/35/28/46/613352846.db2.gz IRORBYPXUNNZIB-GFCCVEGCSA-N 0 1 285.388 0.981 20 30 CCEDMN C=C(C)CONC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000845605653 613352948 /nfs/dbraw/zinc/35/29/48/613352948.db2.gz NJCASKRZDYOUHA-GFCCVEGCSA-N 0 1 285.388 0.981 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000899234535 613354497 /nfs/dbraw/zinc/35/44/97/613354497.db2.gz KQFJKYGFXBHOOQ-QWHCGFSZSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)NCC[N@H+](C)CCOC)C(=O)[O-] ZINC000908919946 613355937 /nfs/dbraw/zinc/35/59/37/613355937.db2.gz FXHFALCWCGBZBQ-LLVKDONJSA-N 0 1 287.360 0.283 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(C[C@@H]2C[N@H+]3CCC[C@H]3CO2)C1=O ZINC000865301442 613356898 /nfs/dbraw/zinc/35/68/98/613356898.db2.gz MCQXAUYNOFRKAP-AVGNSLFASA-N 0 1 293.367 0.736 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(C[C@@H]2CN3CCC[C@H]3CO2)C1=O ZINC000865301442 613356899 /nfs/dbraw/zinc/35/68/99/613356899.db2.gz MCQXAUYNOFRKAP-AVGNSLFASA-N 0 1 293.367 0.736 20 30 CCEDMN C=C(C)C[C@H](NC(=O)NCC[N@H+](C)C1CC1)C(=O)[O-] ZINC000908886881 613358777 /nfs/dbraw/zinc/35/87/77/613358777.db2.gz GMYANECXKAVGMJ-NSHDSACASA-N 0 1 269.345 0.799 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCC#CCN(C)C ZINC000913523939 613359259 /nfs/dbraw/zinc/35/92/59/613359259.db2.gz SEOVWINOTGENCY-ZDUSSCGKSA-N 0 1 265.357 0.139 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NC[C@H]1CC[N@@H+]1CC ZINC000893873504 613359383 /nfs/dbraw/zinc/35/93/83/613359383.db2.gz QJGQYEJHAYNVLA-OLZOCXBDSA-N 0 1 267.373 0.668 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NC[C@H]1CCN1CC ZINC000893873504 613359384 /nfs/dbraw/zinc/35/93/84/613359384.db2.gz QJGQYEJHAYNVLA-OLZOCXBDSA-N 0 1 267.373 0.668 20 30 CCEDMN C=C(C)[C@@H](CO)N1CC[C@@]2(CC(C(=O)OC)=NO2)C1 ZINC000879483911 613360349 /nfs/dbraw/zinc/36/03/49/613360349.db2.gz NJGKINFZMOFCSF-DGCLKSJQSA-N 0 1 268.313 0.317 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N[C@H](C)C1CN(CCO)C1 ZINC000970559920 613360858 /nfs/dbraw/zinc/36/08/58/613360858.db2.gz GGVQZLZUWUGDHD-SNPRPXQTSA-N 0 1 296.411 0.787 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000839751020 613362183 /nfs/dbraw/zinc/36/21/83/613362183.db2.gz SUYTULBSUPRDPG-ZDCRXTMVSA-N 0 1 254.286 0.749 20 30 CCEDMN C=C(CC)CNC(=O)N1CCN(C)CCN(C)CC1 ZINC000895255286 613363242 /nfs/dbraw/zinc/36/32/42/613363242.db2.gz QZTXXAODFSCKMJ-UHFFFAOYSA-N 0 1 268.405 0.841 20 30 CCEDMN C=C(Cl)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCN1C ZINC000958377190 613382831 /nfs/dbraw/zinc/38/28/31/613382831.db2.gz AZIHHHRXKRLLKF-QNWHQSFQSA-N 0 1 283.803 0.880 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)COc2cnn(C)c2)C1 ZINC000969737611 613384590 /nfs/dbraw/zinc/38/45/90/613384590.db2.gz NGFGLSSKQJBEKD-LLVKDONJSA-N 0 1 298.774 0.742 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC000968895576 613384702 /nfs/dbraw/zinc/38/47/02/613384702.db2.gz MZPVALAWBIZGEN-VXGBXAGGSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC000970603028 613385274 /nfs/dbraw/zinc/38/52/74/613385274.db2.gz JVSDRMVFKHQSCC-VXGBXAGGSA-N 0 1 299.802 0.940 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCNC(=O)C2)C1 ZINC000968392494 613385328 /nfs/dbraw/zinc/38/53/28/613385328.db2.gz XXPFFVGJWSLNNN-WDEREUQCSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2COCCN2C)C1 ZINC000968462842 613385490 /nfs/dbraw/zinc/38/54/90/613385490.db2.gz UMZNVHXJTIAMFU-VXGBXAGGSA-N 0 1 287.791 0.260 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn(C)nc2OC)C1 ZINC000969560904 613385867 /nfs/dbraw/zinc/38/58/67/613385867.db2.gz UJOMFSJNLLSEIP-SNVBAGLBSA-N 0 1 298.774 0.985 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnn(C)n2)C1 ZINC000970257366 613386068 /nfs/dbraw/zinc/38/60/68/613386068.db2.gz JCPAQMBEUJPMOI-SECBINFHSA-N 0 1 269.736 0.372 20 30 CCEDMN C=CC[C@@H](C)N1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000878057974 613399701 /nfs/dbraw/zinc/39/97/01/613399701.db2.gz VHZOLEWCDBWGCA-GFCCVEGCSA-N 0 1 293.367 0.244 20 30 CCEDMN C=CC[C@@H](C)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000909412041 613399902 /nfs/dbraw/zinc/39/99/02/613399902.db2.gz XLAWUKJDAVACEF-GHMZBOCLSA-N 0 1 254.330 0.864 20 30 CCEDMN C=CC[C@@H](NC(=O)NC[C@@H]1COCCN1)c1ccncc1 ZINC000861580977 613405525 /nfs/dbraw/zinc/40/55/25/613405525.db2.gz DNCDPEFWEALBQJ-ZIAGYGMSSA-N 0 1 290.367 0.986 20 30 CCEDMN C=CC[C@@H]1CCCN(CN2C(=O)C(=O)N(C)C2=O)C1 ZINC000853536411 613408226 /nfs/dbraw/zinc/40/82/26/613408226.db2.gz SBXIYNVIVYNRBR-SNVBAGLBSA-N 0 1 265.313 0.653 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@H](Cn2ccnn2)C1 ZINC000878887511 613412477 /nfs/dbraw/zinc/41/24/77/613412477.db2.gz UYVUDYUBYAQVNW-NWDGAFQWSA-N 0 1 264.329 0.718 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)c1cccc2nc(CO)[nH]c21 ZINC000907220158 613416308 /nfs/dbraw/zinc/41/63/08/613416308.db2.gz LVGYARFUKXEHBM-JTQLQIEISA-N 0 1 289.335 0.970 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(N(C)C(=O)Cc2ccn[nH]2)C1 ZINC000953945007 613427562 /nfs/dbraw/zinc/42/75/62/613427562.db2.gz NCBMTJSHZNCLPG-UHFFFAOYSA-N 0 1 290.367 0.834 20 30 CCEDMN C=CCn1cc(C(=O)NCc2cc(CC)[nH]n2)nn1 ZINC000843796692 613429365 /nfs/dbraw/zinc/42/93/65/613429365.db2.gz SUJAOSSAIYCSTP-UHFFFAOYSA-N 0 1 260.301 0.680 20 30 CCEDMN C=CCn1cc(C(=O)N[C@]2(C)CN3CCC2CC3)nn1 ZINC000892766391 613431893 /nfs/dbraw/zinc/43/18/93/613431893.db2.gz KOTDVBPXIXTFJR-CQSZACIVSA-N 0 1 275.356 0.678 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC000983077818 613434167 /nfs/dbraw/zinc/43/41/67/613434167.db2.gz CJUUFQDEFXKAKY-JTQLQIEISA-N 0 1 291.355 0.595 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC000983077818 613434168 /nfs/dbraw/zinc/43/41/68/613434168.db2.gz CJUUFQDEFXKAKY-JTQLQIEISA-N 0 1 291.355 0.595 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC000950985781 613441186 /nfs/dbraw/zinc/44/11/86/613441186.db2.gz CWOYSLGDAHFPON-VIFPVBQESA-N 0 1 277.328 0.348 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)NCc1cc(N)ccn1 ZINC000884800728 613447454 /nfs/dbraw/zinc/44/74/54/613447454.db2.gz MUCISXYUCJWZJN-UHFFFAOYSA-N 0 1 290.367 0.999 20 30 CCEDMN C=CCCC(=O)[C@H]1CCCN1C(=O)Cc1ncn[nH]1 ZINC000908669276 613463366 /nfs/dbraw/zinc/46/33/66/613463366.db2.gz OIMNHLPNHLDWSX-SNVBAGLBSA-N 0 1 262.313 0.874 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)C1CN(CCO)C1 ZINC000970450648 613466150 /nfs/dbraw/zinc/46/61/50/613466150.db2.gz TUFVRYXDFYKJOL-UHTWSYAYSA-N 0 1 268.357 0.006 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC000910914019 613471085 /nfs/dbraw/zinc/47/10/85/613471085.db2.gz BOEAPUFPONHPSK-GHMZBOCLSA-N 0 1 254.330 0.864 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907479108 613479945 /nfs/dbraw/zinc/47/99/45/613479945.db2.gz JJRGBSAUUQHSTN-GZMMTYOYSA-N 0 1 283.357 0.143 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@@H]2CCC(=O)N2C)CC1 ZINC000949613633 613503671 /nfs/dbraw/zinc/50/36/71/613503671.db2.gz FVHYXGPZNLCJFE-ZDUSSCGKSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCCCN(C)C2=O)CC1 ZINC000957306974 613505774 /nfs/dbraw/zinc/50/57/74/613505774.db2.gz BFQVBVVYXQLNPM-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000896548114 613520980 /nfs/dbraw/zinc/52/09/80/613520980.db2.gz JSKSZAGIVGRIKZ-ZIAGYGMSSA-N 0 1 265.401 0.931 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000969987202 613534005 /nfs/dbraw/zinc/53/40/05/613534005.db2.gz GULJFLJFPZQWQF-GFCCVEGCSA-N 0 1 287.363 0.459 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CN(CC)CCO2)C1 ZINC000970905477 613536374 /nfs/dbraw/zinc/53/63/74/613536374.db2.gz VWBCDDWUGXYUPI-ZFWWWQNUSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC000970821584 613536748 /nfs/dbraw/zinc/53/67/48/613536748.db2.gz RXMZRRAMGZFZOY-GXTWGEPZSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC000970945099 613537141 /nfs/dbraw/zinc/53/71/41/613537141.db2.gz MQTSFUHGBOECQK-SWLSCSKDSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000970649925 613537584 /nfs/dbraw/zinc/53/75/84/613537584.db2.gz QUGDCNFDZLGWOJ-JTQLQIEISA-N 0 1 288.351 0.187 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000969987203 613538758 /nfs/dbraw/zinc/53/87/58/613538758.db2.gz GULJFLJFPZQWQF-LBPRGKRZSA-N 0 1 287.363 0.459 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000970372580 613538996 /nfs/dbraw/zinc/53/89/96/613538996.db2.gz SDZGKSZOPKODFI-JTQLQIEISA-N 0 1 276.340 0.199 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)CC2OCCCO2)C1 ZINC000965946699 613574042 /nfs/dbraw/zinc/57/40/42/613574042.db2.gz QMVIOXACSGTUNR-ZIAGYGMSSA-N 0 1 294.395 0.989 20 30 CCEDMN C=CCC[C@@H]1NC(=O)N(C[C@@H]2CN3CCC[C@@H]3CO2)C1=O ZINC000842240420 613587506 /nfs/dbraw/zinc/58/75/06/613587506.db2.gz QNYYHUSYVLCFQN-AGIUHOORSA-N 0 1 293.367 0.736 20 30 CCEDMN C=CCC[C@H](CO)NCc1cn(C[C@H]2CCCO2)nn1 ZINC000865593050 613589474 /nfs/dbraw/zinc/58/94/74/613589474.db2.gz GQMIUNJOYJDWCK-TZMCWYRMSA-N 0 1 280.372 0.874 20 30 CCEDMN C=CCC[C@H](CO)NS(=O)(=O)N=S1(=O)CCCC1 ZINC000867367978 613589533 /nfs/dbraw/zinc/58/95/33/613589533.db2.gz LMOLCKXUIKSRDS-SNVBAGLBSA-N 0 1 296.414 0.410 20 30 CCEDMN C=CCCn1cc(C(=O)N2CC[C@H](N3CCC3)C2)nn1 ZINC000912903388 613593939 /nfs/dbraw/zinc/59/39/39/613593939.db2.gz KLSWEVHDYPDDAD-LBPRGKRZSA-N 0 1 275.356 0.774 20 30 CCEDMN C=CCCn1cc(CNC[C@@H]2C[C@@H]3COC[C@@H]3O2)nn1 ZINC000922726381 613599388 /nfs/dbraw/zinc/59/93/88/613599388.db2.gz RCRNCMSBSUJSDS-XBFCOCLRSA-N 0 1 278.356 0.748 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(F)cn2)C1 ZINC000958000429 613612963 /nfs/dbraw/zinc/61/29/63/613612963.db2.gz WOAJCFQDWCYEFE-SMDDNHRTSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccc(F)nc2)[C@H](O)C1 ZINC000957923028 613614632 /nfs/dbraw/zinc/61/46/32/613614632.db2.gz SGXBXBGMTDVXBV-CHWSQXEVSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)C23CCC(CC2)C3)[C@H](O)C1 ZINC000958187257 613615021 /nfs/dbraw/zinc/61/50/21/613615021.db2.gz NVSPELAWZUMIKB-GYMHETDLSA-N 0 1 290.407 0.999 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2csc(C)n2)[C@H](O)C1 ZINC000958339016 613615679 /nfs/dbraw/zinc/61/56/79/613615679.db2.gz JXVUEGVUNGURIP-DGCLKSJQSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2nc(C)oc2C)[C@H](O)C1 ZINC000958522557 613615909 /nfs/dbraw/zinc/61/59/09/613615909.db2.gz RBPZTKLRGQQWSH-CHWSQXEVSA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)C2=CCCC2)C1 ZINC000957964845 613617391 /nfs/dbraw/zinc/61/73/91/613617391.db2.gz GGAUIAZLMQRSDB-KBPBESRZSA-N 0 1 262.353 0.529 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)C2=COCCC2)C1 ZINC000957927257 613618300 /nfs/dbraw/zinc/61/83/00/613618300.db2.gz PZCVPBNZNLRTHQ-KBPBESRZSA-N 0 1 278.352 0.113 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(Cl)o2)C1 ZINC000957883190 613618632 /nfs/dbraw/zinc/61/86/32/613618632.db2.gz UEADOGHPCCHMPE-QWRGUYRKSA-N 0 1 296.754 0.979 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cncc(F)c2)C1 ZINC000957970038 613619379 /nfs/dbraw/zinc/61/93/79/613619379.db2.gz FLLACUDSLNJGHX-JSGCOSHPSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2oc(C)cc2C)C1 ZINC000957938711 613620154 /nfs/dbraw/zinc/62/01/54/613620154.db2.gz ADLAUCMBGWFYFR-KBPBESRZSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccn(C)c2)C1 ZINC000957979678 613625727 /nfs/dbraw/zinc/62/57/27/613625727.db2.gz PIJDBBUMVJEJSI-KGLIPLIRSA-N 0 1 275.352 0.071 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CC)on1 ZINC000962335824 613639608 /nfs/dbraw/zinc/63/96/08/613639608.db2.gz VMDCQMRGSSWFJL-IMRBUKKESA-N 0 1 273.336 0.920 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccn1C ZINC000958264704 613640434 /nfs/dbraw/zinc/64/04/34/613640434.db2.gz VDBUELKABORNPZ-IMRBUKKESA-N 0 1 257.337 0.708 20 30 CCEDMN CC#CCNC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC000833380334 613643640 /nfs/dbraw/zinc/64/36/40/613643640.db2.gz BNNAEPZDLMGCPO-LLVKDONJSA-N 0 1 267.329 0.200 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)[C@H]1CCOC[C@H]1OC ZINC000880426265 613646559 /nfs/dbraw/zinc/64/65/59/613646559.db2.gz SOPFVHJSAQCSHG-UONOGXRCSA-N 0 1 282.384 0.923 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000823729046 613648300 /nfs/dbraw/zinc/64/83/00/613648300.db2.gz YTMKZNAKEJAUGW-LBPRGKRZSA-N 0 1 293.367 0.265 20 30 CCEDMN CC(=NNc1cnnn1C)c1ccc(-n2cnnn2)cc1 ZINC000814980827 613657756 /nfs/dbraw/zinc/65/77/56/613657756.db2.gz NBKYNFZITBKKQE-UHFFFAOYSA-N 0 1 283.299 0.627 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC000970735583 613662932 /nfs/dbraw/zinc/66/29/32/613662932.db2.gz SFJWPFMDJZZGDM-TZMCWYRMSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC000970805655 613663341 /nfs/dbraw/zinc/66/33/41/613663341.db2.gz FEIMRPWQMZHKAN-YPMHNXCESA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2c(C)nnn2CC)C1 ZINC000969818955 613663487 /nfs/dbraw/zinc/66/34/87/613663487.db2.gz QLDMKQTVIHUEIJ-SNVBAGLBSA-N 0 1 277.372 0.843 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000969907554 613663718 /nfs/dbraw/zinc/66/37/18/613663718.db2.gz LUGDQPNTFQYAGH-MRVPVSSYSA-N 0 1 264.329 0.660 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC000970058324 613663858 /nfs/dbraw/zinc/66/38/58/613663858.db2.gz HRFUVASTWCOMAR-SNVBAGLBSA-N 0 1 290.367 0.360 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC000970602216 613664651 /nfs/dbraw/zinc/66/46/51/613664651.db2.gz NCHNONQLIVAWPG-LLVKDONJSA-N 0 1 290.367 0.499 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnn(C)c2C)C1 ZINC000969313430 613666971 /nfs/dbraw/zinc/66/69/71/613666971.db2.gz JFBGKWHRQDWUCO-SNVBAGLBSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnc[nH]c2=O)C1 ZINC000969926727 613667072 /nfs/dbraw/zinc/66/70/72/613667072.db2.gz MCAZRAZKOLTNTL-SECBINFHSA-N 0 1 262.313 0.418 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnsn2)C1 ZINC000969326118 613667566 /nfs/dbraw/zinc/66/75/66/613667566.db2.gz DSQCIPLDKWKVRX-MRVPVSSYSA-N 0 1 252.343 0.774 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cccc3ncnn32)C1 ZINC000970077096 613672066 /nfs/dbraw/zinc/67/20/66/613672066.db2.gz RRVNZOQGHYCTFR-NSHDSACASA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cccnc2C(N)=O)C1 ZINC000970910082 613672131 /nfs/dbraw/zinc/67/21/31/613672131.db2.gz CKKQWUDHDWFUJA-JTQLQIEISA-N 0 1 288.351 0.417 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000970369798 613672636 /nfs/dbraw/zinc/67/26/36/613672636.db2.gz WYNUCFYBCZAGCP-VIFPVBQESA-N 0 1 264.329 0.362 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2nccnc2N)C1 ZINC000969419350 613673876 /nfs/dbraw/zinc/67/38/76/613673876.db2.gz DIKNILBUWOXLLG-VIFPVBQESA-N 0 1 261.329 0.295 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCCN(C(N)=O)C2)CC1 ZINC000981578394 613693780 /nfs/dbraw/zinc/69/37/80/613693780.db2.gz JKWRPPNBXOEHNQ-ZDUSSCGKSA-N 0 1 294.399 0.497 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2C[C@H]2C(=O)N(C)C)CC1 ZINC000981963739 613695729 /nfs/dbraw/zinc/69/57/29/613695729.db2.gz KSSVOQZKQONWNH-OLZOCXBDSA-N 0 1 279.384 0.431 20 30 CCEDMN C=CCN1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CC1 ZINC000957104995 613711821 /nfs/dbraw/zinc/71/18/21/613711821.db2.gz OUNNLTZISWBXIA-GFCCVEGCSA-N 0 1 274.368 0.845 20 30 CCEDMN C=CCN1CCN(CN2CC[C@](C)(C(N)=O)C2)C1=O ZINC000840059157 613721135 /nfs/dbraw/zinc/72/11/35/613721135.db2.gz BWFAPISGGOHORR-ZDUSSCGKSA-N 0 1 266.345 0.065 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cn(C)nn2)C1 ZINC000965959403 613770459 /nfs/dbraw/zinc/77/04/59/613770459.db2.gz UUQDNUQTVJNISP-QWRGUYRKSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2nccnc2N)C1 ZINC000965698290 613775366 /nfs/dbraw/zinc/77/53/66/613775366.db2.gz YUFKBNLHUBARCP-WDEREUQCSA-N 0 1 275.356 0.685 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2nnn(C)c2C)C(C)(C)C1 ZINC000972986863 613812484 /nfs/dbraw/zinc/81/24/84/613812484.db2.gz RWVBDOPXIHWDGN-LLVKDONJSA-N 0 1 277.372 0.750 20 30 CCEDMN C=CCNC(=O)CNC(=O)C(C)(C)N1CCCCC1 ZINC000907236524 613845885 /nfs/dbraw/zinc/84/58/85/613845885.db2.gz PSIJYVJXCKGWIA-UHFFFAOYSA-N 0 1 267.373 0.669 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@H]1CN(C)C(=O)[O-] ZINC000823511584 613849789 /nfs/dbraw/zinc/84/97/89/613849789.db2.gz ZCRREOHWIHMBOB-JTQLQIEISA-N 0 1 298.343 0.072 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@H]1CN(C)C(=O)[O-] ZINC000823511584 613849791 /nfs/dbraw/zinc/84/97/91/613849791.db2.gz ZCRREOHWIHMBOB-JTQLQIEISA-N 0 1 298.343 0.072 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@H](C(=O)OCC)C1 ZINC000932650972 613853332 /nfs/dbraw/zinc/85/33/32/613853332.db2.gz XLJRQCDOKHHEDM-GHMZBOCLSA-N 0 1 254.330 0.562 20 30 CCEDMN C=CCNC(=S)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833376686 613856559 /nfs/dbraw/zinc/85/65/59/613856559.db2.gz OQDXLNVUSXXODA-SNVBAGLBSA-N 0 1 271.386 0.528 20 30 CCEDMN C=CCOCCCC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833375551 613879678 /nfs/dbraw/zinc/87/96/78/613879678.db2.gz DXOZMPVBPHBTFT-CYBMUJFWSA-N 0 1 298.383 0.977 20 30 CCEDMN C=CCOCCCNC(=O)[C@]1(C)C[C@H](O)CN1C ZINC000912501139 613880209 /nfs/dbraw/zinc/88/02/09/613880209.db2.gz ZGZHVVTZRWHSJJ-AAEUAGOBSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCOCCN1CCC(NS(=O)(=O)CC)CC1 ZINC000903009014 613880436 /nfs/dbraw/zinc/88/04/36/613880436.db2.gz AVKYWAYWJWVRCW-UHFFFAOYSA-N 0 1 276.402 0.593 20 30 CCEDMN C=CCOCCNC(=O)c1cccc2nc(CO)[nH]c21 ZINC000907269791 613881060 /nfs/dbraw/zinc/88/10/60/613881060.db2.gz LDXGFYKWUKEZPT-UHFFFAOYSA-N 0 1 275.308 0.988 20 30 CCEDMN C=CCOC[C@@H](NC(=O)NC[C@@H](C)[N@@H+](C)C1CC1)C(=O)[O-] ZINC000908934976 613881662 /nfs/dbraw/zinc/88/16/62/613881662.db2.gz CBDIHJNGVJCPOQ-ZYHUDNBSSA-N 0 1 299.371 0.424 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N(C[C@H]2CCC[N@@H+]2C)C1=O ZINC000925294289 613882182 /nfs/dbraw/zinc/88/21/82/613882182.db2.gz TVXLOODXLYIKBQ-MNOVXSKESA-N 0 1 267.329 0.204 20 30 CCEDMN C=CCOC[C@H](NC(=O)CCCn1cc[nH+]c1C)C(=O)[O-] ZINC000909771890 613882294 /nfs/dbraw/zinc/88/22/94/613882294.db2.gz STJFILXOAKBZPR-LBPRGKRZSA-N 0 1 295.339 0.744 20 30 CCEDMN C=CCONC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000838704298 613883238 /nfs/dbraw/zinc/88/32/38/613883238.db2.gz OPSCISYAMAVUJK-UHFFFAOYSA-N 0 1 283.372 0.858 20 30 CCEDMN C=CCONC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000922766339 613884221 /nfs/dbraw/zinc/88/42/21/613884221.db2.gz AFHVXRDUELNDRR-QWRGUYRKSA-N 0 1 271.386 0.983 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1ccc2[nH]c(=O)[nH]c2c1 ZINC000883086385 613885924 /nfs/dbraw/zinc/88/59/24/613885924.db2.gz UGPUOCQXDRNKAA-UHFFFAOYSA-N 0 1 295.364 0.959 20 30 CCEDMN CC(C)(C)[N@H+]1CC[C@@H]1C(=O)N1CC[C@](O)(CC#N)C1 ZINC000898086584 613929887 /nfs/dbraw/zinc/92/98/87/613929887.db2.gz OXLJTHZAHKDMRS-BXUZGUMPSA-N 0 1 265.357 0.736 20 30 CCEDMN CC(C)(C)N1CC[C@@H]1C(=O)N1CC[C@](O)(CC#N)C1 ZINC000898086584 613929889 /nfs/dbraw/zinc/92/98/89/613929889.db2.gz OXLJTHZAHKDMRS-BXUZGUMPSA-N 0 1 265.357 0.736 20 30 CCEDMN CC(C)OCCCNC(=O)C(C#N)C(=O)CSCC#N ZINC000916872387 614012688 /nfs/dbraw/zinc/01/26/88/614012688.db2.gz IVRGAWMGRDYLKO-NSHDSACASA-N 0 1 297.380 0.883 20 30 CCEDMN CC(C)(C)c1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)[nH]n1 ZINC000834479881 614047347 /nfs/dbraw/zinc/04/73/47/614047347.db2.gz IYCWOEMDRAABJX-WPRPVWTQSA-N 0 1 261.329 0.549 20 30 CCEDMN CC(C)(C)n1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000848418649 614062440 /nfs/dbraw/zinc/06/24/40/614062440.db2.gz LUQGXGDRVUIHEP-GXSJLCMTSA-N 0 1 261.329 0.479 20 30 CCEDMN CC(C)COc1ncccc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000844195707 614174382 /nfs/dbraw/zinc/17/43/82/614174382.db2.gz YKJKKICKFZNZDG-AAEUAGOBSA-N 0 1 288.351 0.958 20 30 CCEDMN CC(=O)Nc1ccc(C)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834499802 614200242 /nfs/dbraw/zinc/20/02/42/614200242.db2.gz MXUXQQKTLHGVAC-FZMZJTMJSA-N 0 1 286.335 0.795 20 30 CCEDMN CC(=O)[C@@](C)(O)CN(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000844370869 614237816 /nfs/dbraw/zinc/23/78/16/614237816.db2.gz KLESWYNYFVGEJP-CABCVRRESA-N 0 1 276.336 0.863 20 30 CCEDMN CC(C)[C@H](NCC(F)(F)F)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000852828647 614249429 /nfs/dbraw/zinc/24/94/29/614249429.db2.gz ZXMHZFBKFHRZMM-UTLUCORTSA-N 0 1 292.305 0.391 20 30 CCEDMN CC(C)(C(=O)N[C@@H]1CNC[C@H]1C#N)[C@@H]1CCCCO1 ZINC000876801605 614301313 /nfs/dbraw/zinc/30/13/13/614301313.db2.gz GEXORPXGXWZVAR-UTUOFQBUSA-N 0 1 265.357 0.809 20 30 CCEDMN CC(C)c1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)nn1C ZINC000834480911 614303729 /nfs/dbraw/zinc/30/37/29/614303729.db2.gz XWGZFPFGIFAEPV-GXSJLCMTSA-N 0 1 261.329 0.385 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC000907939323 614314270 /nfs/dbraw/zinc/31/42/70/614314270.db2.gz CFWIVRJGMPHGSD-UHFFFAOYSA-N 0 1 277.328 0.059 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000827958187 614328534 /nfs/dbraw/zinc/32/85/34/614328534.db2.gz ZWSRDQZKOBVLDN-HNNXBMFYSA-N 0 1 293.411 0.169 20 30 CCEDMN CCCc1noc(CCCC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000834489060 614500966 /nfs/dbraw/zinc/50/09/66/614500966.db2.gz IXTRRVUAQBEEPI-MNOVXSKESA-N 0 1 291.355 0.573 20 30 CCEDMN CCN(C(=O)c1ccn[nH]1)[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000942679984 614723872 /nfs/dbraw/zinc/72/38/72/614723872.db2.gz RGBYKXZSQQCLAJ-GHMZBOCLSA-N 0 1 289.339 0.632 20 30 CCEDMN CCCCCCOCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834484905 614913999 /nfs/dbraw/zinc/91/39/99/614913999.db2.gz OYIIFOJCZLNNHZ-NEPJUHHUSA-N 0 1 253.346 0.811 20 30 CCEDMN CCCCCc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)[nH]n1 ZINC000852828016 614946361 /nfs/dbraw/zinc/94/63/61/614946361.db2.gz SQPRXDVNUBKFGC-MFKMUULPSA-N 0 1 275.356 0.984 20 30 CCEDMN CCC(=O)N[C@H](CCSC)C(=O)NCC#CCN(C)C ZINC000913517580 614977718 /nfs/dbraw/zinc/97/77/18/614977718.db2.gz HFBVNXANSGJMFN-GFCCVEGCSA-N 0 1 299.440 0.316 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000818202628 615021148 /nfs/dbraw/zinc/02/11/48/615021148.db2.gz KXSUPWFDYLGJBA-LBPRGKRZSA-N 0 1 281.356 0.935 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC000829692409 615022133 /nfs/dbraw/zinc/02/21/33/615022133.db2.gz ITXJXRQUEFJMDY-ZDUSSCGKSA-N 0 1 267.329 0.545 20 30 CCEDMN CCC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)NCCOC ZINC000813060210 615026305 /nfs/dbraw/zinc/02/63/05/615026305.db2.gz SOCNQLMBZBZVHH-GMTAPVOTSA-N 0 1 252.314 0.894 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000827019618 615064427 /nfs/dbraw/zinc/06/44/27/615064427.db2.gz AQMWNXLCGYUKIY-VXGBXAGGSA-N 0 1 296.371 0.727 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000827019618 615064429 /nfs/dbraw/zinc/06/44/29/615064429.db2.gz AQMWNXLCGYUKIY-VXGBXAGGSA-N 0 1 296.371 0.727 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000827020553 615064547 /nfs/dbraw/zinc/06/45/47/615064547.db2.gz WQHXFUSPQLRFNJ-VXGBXAGGSA-N 0 1 296.371 0.679 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000827020553 615064548 /nfs/dbraw/zinc/06/45/48/615064548.db2.gz WQHXFUSPQLRFNJ-VXGBXAGGSA-N 0 1 296.371 0.679 20 30 CCEDMN CCOC(=O)C1(C(=O)N[C@@H]2CNC[C@H]2C#N)CCCC1 ZINC000843459730 615154812 /nfs/dbraw/zinc/15/48/12/615154812.db2.gz HQLLUSFBLFQGAK-GHMZBOCLSA-N 0 1 279.340 0.338 20 30 CCEDMN CCOC(=O)c1ccc(C=NNC2=NC[C@H](C)N2)[nH]1 ZINC000872364902 615181077 /nfs/dbraw/zinc/18/10/77/615181077.db2.gz WIWJLGQFWKOFPB-QMMMGPOBSA-N 0 1 263.301 0.463 20 30 CCEDMN CCOCCC[N@H+]1CCC(=NNCC(=O)[O-])[C@@H](C)C1 ZINC000814959737 615241524 /nfs/dbraw/zinc/24/15/24/615241524.db2.gz BAIPTSAEURKMGH-NSHDSACASA-N 0 1 271.361 0.785 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)NCC#C[C@H]1CCCCO1 ZINC000896459456 615248729 /nfs/dbraw/zinc/24/87/29/615248729.db2.gz MOWWJKDWEQUGJY-ZIAGYGMSSA-N 0 1 279.384 0.952 20 30 CCEDMN CCOCCOCCCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000852827184 615270218 /nfs/dbraw/zinc/27/02/18/615270218.db2.gz CLXVUEGSFHUREK-NWDGAFQWSA-N 0 1 269.345 0.047 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)C(=NOC)c1csc(N)n1 ZINC000899225215 615309248 /nfs/dbraw/zinc/30/92/48/615309248.db2.gz YBNMNJBGUZNCCZ-QMMMGPOBSA-N 0 1 297.384 0.286 20 30 CCEDMN CCOC(=O)c1cn[nH]c1[C@H]1CCN(C(=O)CC#N)C1 ZINC000912495589 615399183 /nfs/dbraw/zinc/39/91/83/615399183.db2.gz ZAOCHQNLUAPDLV-VIFPVBQESA-N 0 1 276.296 0.816 20 30 CCEDMN CCO[C@@H]1COCC[C@@H]1CC(=O)C(C#N)C(=O)NC1CC1 ZINC000870143436 615422281 /nfs/dbraw/zinc/42/22/81/615422281.db2.gz VGQYUUNVVJDXAM-SCDSUCTJSA-N 0 1 294.351 0.806 20 30 CCEDMN CC[C@@H](CO)N1CCN(Cc2cncc(C#N)c2)CC1 ZINC000929731384 615488954 /nfs/dbraw/zinc/48/89/54/615488954.db2.gz JXOLMGTUOOFIHF-HNNXBMFYSA-N 0 1 274.368 0.842 20 30 CCEDMN CCS(=O)(=O)CCNCCNc1ccc(C#N)cn1 ZINC000818952624 615537624 /nfs/dbraw/zinc/53/76/24/615537624.db2.gz SAXFYNIHOAXXKN-UHFFFAOYSA-N 0 1 282.369 0.389 20 30 CCEDMN CC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)NCCCOC ZINC000835058081 615578611 /nfs/dbraw/zinc/57/86/11/615578611.db2.gz XVUTXKLYIZMFJP-GMTAPVOTSA-N 0 1 252.314 0.894 20 30 CCEDMN CC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)NCCCOC ZINC000835058082 615578617 /nfs/dbraw/zinc/57/86/17/615578617.db2.gz XVUTXKLYIZMFJP-MXWKQRLJSA-N 0 1 252.314 0.894 20 30 CCEDMN CCc1c(C(=O)N[C@H]2CNC[C@@H]2C#N)[nH]c(C)c1C(C)=O ZINC000834489493 615606953 /nfs/dbraw/zinc/60/69/53/615606953.db2.gz MYAGPKFSQKGDAY-JQWIXIFHSA-N 0 1 288.351 0.930 20 30 CCEDMN CCn1nc2c(cc1=O)CN(CCO[C@H](C)C#N)CC2 ZINC000801926298 615675488 /nfs/dbraw/zinc/67/54/88/615675488.db2.gz VOUAFIQBMPERFZ-LLVKDONJSA-N 0 1 276.340 0.550 20 30 CCEDMN CCc1cnc(NC(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000841130995 615709013 /nfs/dbraw/zinc/70/90/13/615709013.db2.gz VDKPTAOIOAGHCH-CBAPKCEASA-N 0 1 265.342 0.939 20 30 CCEDMN CCc1c[nH]c(=O)c(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000852827180 615710707 /nfs/dbraw/zinc/71/07/07/615710707.db2.gz CLGCWHQJCSQRCN-KOLCDFICSA-N 0 1 260.297 0.191 20 30 CCEDMN CCn1ncc2cc(C(=O)N[C@@H]3CNC[C@H]3C#N)c(C)nc21 ZINC000834506829 615717977 /nfs/dbraw/zinc/71/79/77/615717977.db2.gz WHNJUUNSNDZTTK-DGCLKSJQSA-N 0 1 298.350 0.601 20 30 CCEDMN CCc1nc(C)c(C(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000834494080 615734343 /nfs/dbraw/zinc/73/43/43/615734343.db2.gz JYWSQLORMZKWLR-BDAKNGLRSA-N 0 1 264.354 0.855 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc(C(N)=O)c(F)c1 ZINC000930183737 615858811 /nfs/dbraw/zinc/85/88/11/615858811.db2.gz RXNJURYDCUESNC-UHFFFAOYSA-N 0 1 292.314 0.611 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cnc2ccnn2c1 ZINC000931959489 615858938 /nfs/dbraw/zinc/85/89/38/615858938.db2.gz AEMVZGVEQGPTNH-UHFFFAOYSA-N 0 1 272.312 0.416 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCCN(C2CC2)C1=O ZINC000827971923 615859480 /nfs/dbraw/zinc/85/94/80/615859480.db2.gz MGWIYNFAKVXQTE-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CS[C@@]2(C)CCC(=O)N12 ZINC000913516284 615860062 /nfs/dbraw/zinc/86/00/62/615860062.db2.gz JMZYYXJBPCVQER-RISCZKNCSA-N 0 1 295.408 0.122 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc2cc[nH]c(=O)c2s1 ZINC000913523897 615860538 /nfs/dbraw/zinc/86/05/38/615860538.db2.gz QVGUCAXKKIGOMR-UHFFFAOYSA-N 0 1 289.360 0.884 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC000913520814 615861352 /nfs/dbraw/zinc/86/13/52/615861352.db2.gz ZHCFMDAIAKWPBY-UHFFFAOYSA-N 0 1 286.335 0.574 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cncc2[nH]ccc21 ZINC000913524929 615861698 /nfs/dbraw/zinc/86/16/98/615861698.db2.gz CHBUEAORPOFXAT-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN C[NH+](C)CC#CCNC(=O)c1[n-]ncc1C(F)(F)F ZINC000913523495 615862162 /nfs/dbraw/zinc/86/21/62/615862162.db2.gz BYLLUAYZSMNWPI-UHFFFAOYSA-N 0 1 274.246 0.723 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nn(C)cc1Cl ZINC000913524355 615862333 /nfs/dbraw/zinc/86/23/33/615862333.db2.gz JXPDJKMDCKJHKH-UHFFFAOYSA-N 0 1 254.721 0.368 20 30 CCEDMN CN(C(=O)[C@H](C#N)CCc1ccccc1)c1nn[nH]n1 ZINC000912617069 615873573 /nfs/dbraw/zinc/87/35/73/615873573.db2.gz CQXOINRBSFAPHN-NSHDSACASA-N 0 1 270.296 0.935 20 30 CCEDMN CN(C)c1ncc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)n1C ZINC000811646260 616035633 /nfs/dbraw/zinc/03/56/33/616035633.db2.gz SCGZSRFDXWMTAA-RYUDHWBXSA-N 0 1 289.387 0.680 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC000971539095 616041439 /nfs/dbraw/zinc/04/14/39/616041439.db2.gz JTDAGPMDONMPQX-STQMWFEESA-N 0 1 287.367 0.886 20 30 CCEDMN C[N@@H+](CCC#N)CCCN1C(=O)CS/C1=C\C(=O)[O-] ZINC000830723448 616067565 /nfs/dbraw/zinc/06/75/65/616067565.db2.gz VOTIWQHIMUUYEW-FLIBITNWSA-N 0 1 283.353 0.723 20 30 CCEDMN C[N@H+](CCC#N)CCCN1C(=O)CS/C1=C\C(=O)[O-] ZINC000830723448 616067566 /nfs/dbraw/zinc/06/75/66/616067566.db2.gz VOTIWQHIMUUYEW-FLIBITNWSA-N 0 1 283.353 0.723 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)C[C@@H](O)c1ccc(C#N)cc1 ZINC000852189132 616109069 /nfs/dbraw/zinc/10/90/69/616109069.db2.gz XBSSRVNNEFWRMS-LLVKDONJSA-N 0 1 274.280 0.812 20 30 CCEDMN CN1CCC[C@@H](NC(=O)[C@]2(C#N)CCCOC2)C1 ZINC000865185597 616190213 /nfs/dbraw/zinc/19/02/13/616190213.db2.gz YNMRONIYCITNGW-YPMHNXCESA-N 0 1 251.330 0.517 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@@H]1CCCCCN1CC#N ZINC000968780350 616193117 /nfs/dbraw/zinc/19/31/17/616193117.db2.gz DFOACJBWWYKVJL-UONOGXRCSA-N 0 1 278.400 0.965 20 30 CCEDMN C[N@H+]1CCC[C@@H]1CNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820619974 616196408 /nfs/dbraw/zinc/19/64/08/616196408.db2.gz CNYLPONVBUYSGX-SKDRFNHKSA-N 0 1 253.302 0.201 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N1CC[C@H]2[C@H]1CCCN2C ZINC000872666655 616199550 /nfs/dbraw/zinc/19/95/50/616199550.db2.gz XVLDDNBRZYGJCD-NWDGAFQWSA-N 0 1 286.401 0.245 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1Cc2ccccc2[C@H]1NCC#N ZINC000960254100 616212082 /nfs/dbraw/zinc/21/20/82/616212082.db2.gz FJHIHFYXXMTZTI-OWCLPIDISA-N 0 1 298.390 0.976 20 30 CCEDMN CN1CCN(CC(=O)NCCC#N)C[C@@H]1C(C)(C)C ZINC000878841466 616239536 /nfs/dbraw/zinc/23/95/36/616239536.db2.gz DFQSFOKBFINDLO-GFCCVEGCSA-N 0 1 266.389 0.678 20 30 CCEDMN CN1CCN(CCNC(=O)c2sccc2CC#N)CC1 ZINC000864658854 616241972 /nfs/dbraw/zinc/24/19/72/616241972.db2.gz SBYORYMMGLVSRK-UHFFFAOYSA-N 0 1 292.408 0.791 20 30 CCEDMN C[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820620728 616342783 /nfs/dbraw/zinc/34/27/83/616342783.db2.gz RGYSHXKMMOIQEB-YWPYICTPSA-N 0 1 293.367 0.934 20 30 CCEDMN COC(=O)C1(C#N)CCN(C[C@H](OC)[C@@H]2CCOC2)CC1 ZINC000852136968 616345830 /nfs/dbraw/zinc/34/58/30/616345830.db2.gz QWHIHHVJHKPZSK-OLZOCXBDSA-N 0 1 296.367 0.817 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCc1ccc(C#N)cc1 ZINC000900128825 616346727 /nfs/dbraw/zinc/34/67/27/616346727.db2.gz ZMHWWWZGUZSTDZ-DZGCQCFKSA-N 0 1 273.336 0.630 20 30 CCEDMN CN1CC[C@H]1CNC(=O)CNc1ccc(C#N)cn1 ZINC000928555737 616423454 /nfs/dbraw/zinc/42/34/54/616423454.db2.gz OKROVEQVDUESPT-NSHDSACASA-N 0 1 259.313 0.185 20 30 CCEDMN CN1C[C@H](NC(=O)[C@@H]2NCCc3cc(C#N)ccc32)CC1=O ZINC000876629934 616445389 /nfs/dbraw/zinc/44/53/89/616445389.db2.gz XFQHKSWXIXBKJO-IUODEOHRSA-N 0 1 298.346 0.092 20 30 CCEDMN CN1C[C@H](O)C[C@@H]1CNC(=O)C#Cc1cccs1 ZINC000924978969 616449561 /nfs/dbraw/zinc/44/95/61/616449561.db2.gz LXZXLLWGZINPSR-GHMZBOCLSA-N 0 1 264.350 0.281 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@@H]1[C@H]1C[C@H]1C ZINC000870139340 616495875 /nfs/dbraw/zinc/49/58/75/616495875.db2.gz ULULBWQUZBMKSJ-IYKVGLELSA-N 0 1 264.325 0.750 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCc1ccc(CC#N)cc1 ZINC000911492414 616500873 /nfs/dbraw/zinc/50/08/73/616500873.db2.gz FQMNLJVQVHCLKR-GDBMZVCRSA-N 0 1 287.363 0.824 20 30 CCEDMN COCCNCC(=O)Nc1ccc(OC)c(C#N)c1 ZINC000877272600 616508348 /nfs/dbraw/zinc/50/83/48/616508348.db2.gz YIAQOWOIOCMDSG-UHFFFAOYSA-N 0 1 263.297 0.741 20 30 CCEDMN COCC1CN(C[C@H](O)COc2ccc(C#N)cc2)C1 ZINC000905969622 616823912 /nfs/dbraw/zinc/82/39/12/616823912.db2.gz DEESUZOIMNXXNG-AWEZNQCLSA-N 0 1 276.336 0.876 20 30 CCEDMN COC(=O)c1ccc(C(=O)NCC#CCN(C)C)n1C ZINC000913522329 616881809 /nfs/dbraw/zinc/88/18/09/616881809.db2.gz DXIRBIDOGKNCFP-UHFFFAOYSA-N 0 1 277.324 0.107 20 30 CCEDMN COCc1cccc(CC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834499680 616903274 /nfs/dbraw/zinc/90/32/74/616903274.db2.gz LDYLUKISUQPLKL-ZIAGYGMSSA-N 0 1 273.336 0.603 20 30 CCEDMN COC(=O)c1cn([C@H]2CCN(CCO[C@H](C)C#N)C2)nn1 ZINC000801941223 616964407 /nfs/dbraw/zinc/96/44/07/616964407.db2.gz KGESYMJADZNKEZ-MNOVXSKESA-N 0 1 293.327 0.240 20 30 CCEDMN CO[C@H](C(=O)N[C@H]1CNC[C@@H]1C#N)c1ccccc1 ZINC000834490513 616987519 /nfs/dbraw/zinc/98/75/19/616987519.db2.gz VONABGNAJSIRFD-AVGNSLFASA-N 0 1 259.309 0.602 20 30 CCEDMN COC(=O)[C@@H](F)CNC[C@H](O)COc1ccccc1C#N ZINC000905490718 617002180 /nfs/dbraw/zinc/00/21/80/617002180.db2.gz SITIXAODFRKJJD-RYUDHWBXSA-N 0 1 296.298 0.399 20 30 CCEDMN CNS(=O)(=O)c1cc(NC(=O)C#CC(C)C)ccc1O ZINC000854386440 617036403 /nfs/dbraw/zinc/03/64/03/617036403.db2.gz NPSIEYWLLVNLAO-UHFFFAOYSA-N 0 1 296.348 0.898 20 30 CCEDMN COC[C@@]1(CO)CCN(Cc2ccc(C#N)o2)C1 ZINC000878688125 617046114 /nfs/dbraw/zinc/04/61/14/617046114.db2.gz XMNYJNIBLCMGIE-ZDUSSCGKSA-N 0 1 250.298 0.982 20 30 CCEDMN CON(C)C(=O)[C@@H](C)NCc1cnc2ccc(C#N)cn12 ZINC000862542395 617054199 /nfs/dbraw/zinc/05/41/99/617054199.db2.gz NSINNQXZWBGHPR-SNVBAGLBSA-N 0 1 287.323 0.704 20 30 CCEDMN CO[C@H](CC(=O)N[C@H]1CNC[C@@H]1C#N)C(F)(F)F ZINC000846677475 617081146 /nfs/dbraw/zinc/08/11/46/617081146.db2.gz MZJJYZJBELRBFI-BIIVOSGPSA-N 0 1 265.235 0.182 20 30 CCEDMN CON=CC(=O)N1CCc2nc[nH]c2[C@H]1c1ccnn1C ZINC000869040193 617104388 /nfs/dbraw/zinc/10/43/88/617104388.db2.gz ZBCFQAIDQOUPCO-CYBMUJFWSA-N 0 1 288.311 0.250 20 30 CCEDMN CON=CC(=O)N1CC[C@](C)(NC(=O)C(F)(F)F)C1 ZINC000830802254 617104469 /nfs/dbraw/zinc/10/44/69/617104469.db2.gz RTCGXZPWOMBROU-VIFPVBQESA-N 0 1 281.234 0.288 20 30 CCEDMN COc1c(C)cnc(CNCCn2cnc(C#N)n2)c1C ZINC000877520284 617146177 /nfs/dbraw/zinc/14/61/77/617146177.db2.gz RTSJQIKUUKKIGM-UHFFFAOYSA-N 0 1 286.339 0.960 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001022819186 622777823 /nfs/dbraw/zinc/77/78/23/622777823.db2.gz HRWIZMIVLSHYOE-PHIMTYICSA-N 0 1 286.335 0.543 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001023315108 622997454 /nfs/dbraw/zinc/99/74/54/622997454.db2.gz SKFLFJKFMWLJSY-LLVKDONJSA-N 0 1 276.340 0.499 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cnnn1C ZINC001024418401 623317036 /nfs/dbraw/zinc/31/70/36/623317036.db2.gz HLPMDDIPGGSKPG-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001024411523 623320101 /nfs/dbraw/zinc/32/01/01/623320101.db2.gz QVCHTNOMBVXSOM-CQSZACIVSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001024603404 623392526 /nfs/dbraw/zinc/39/25/26/623392526.db2.gz DGSYCRXOPOUBGU-KBXIAJHMSA-N 0 1 276.380 0.873 20 30 CCEDMN C#CCCN1CCCC[C@@H]1CNC(=O)c1nonc1C ZINC001024825343 623452923 /nfs/dbraw/zinc/45/29/23/623452923.db2.gz FNSMAHAGLPHXML-GFCCVEGCSA-N 0 1 276.340 0.986 20 30 CCEDMN C=CCOCC(=O)OCCCN1CCO[C@@H](C)C1 ZINC000893390287 625651487 /nfs/dbraw/zinc/65/14/87/625651487.db2.gz ROHSHHIZLSYXCH-LBPRGKRZSA-N 0 1 257.330 0.843 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001007454057 623644183 /nfs/dbraw/zinc/64/41/83/623644183.db2.gz VRAMQALFCYKCQG-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCOC2)C1 ZINC001018592814 623695064 /nfs/dbraw/zinc/69/50/64/623695064.db2.gz DEONMHKGKMPESE-QWRGUYRKSA-N 0 1 258.749 0.966 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H](C(=O)[O-])C(C)C ZINC000327995484 623825275 /nfs/dbraw/zinc/82/52/75/623825275.db2.gz MMTXYRRLSMHVDW-NSHDSACASA-N 0 1 269.345 0.350 20 30 CCEDMN C[C@@H]1CCCN1CC(=O)N[C@H]1CN(CC#N)CC1(C)C ZINC000975056918 625716858 /nfs/dbraw/zinc/71/68/58/625716858.db2.gz ZWGMRUADYQBNSA-OLZOCXBDSA-N 0 1 278.400 0.821 20 30 CCEDMN C[C@H](NC(=O)[C@H]1CCCc2[nH]ncc21)C1CN(CC#N)C1 ZINC000969666728 624528926 /nfs/dbraw/zinc/52/89/26/624528926.db2.gz DUMUHMLQLDWCML-JQWIXIFHSA-N 0 1 287.367 0.790 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnsn2)C1 ZINC001006801886 624544013 /nfs/dbraw/zinc/54/40/13/624544013.db2.gz XMLDFMKENPALRK-SNVBAGLBSA-N 0 1 264.354 0.756 20 30 CCEDMN C#CCNCC(=O)N(C)CCOc1ccc(F)cc1 ZINC000092492730 624851488 /nfs/dbraw/zinc/85/14/88/624851488.db2.gz XVLMHKDFTSNRPD-UHFFFAOYSA-N 0 1 264.300 0.886 20 30 CCEDMN Cc1nc(C#N)cc(NC[C@H]2CN(C)CCN2C)n1 ZINC000308350513 624868016 /nfs/dbraw/zinc/86/80/16/624868016.db2.gz XXVAWKQQKCWCKZ-LBPRGKRZSA-N 0 1 260.345 0.314 20 30 CCEDMN CN(CC#N)[C@H]1CCCN(C(=O)[C@H]2CCCCN2C)C1 ZINC001027464443 625898186 /nfs/dbraw/zinc/89/81/86/625898186.db2.gz GIWOCBIOQMQGGA-UONOGXRCSA-N 0 1 278.400 0.917 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2nn(CC)nc2C)C1 ZINC001009767363 630753889 /nfs/dbraw/zinc/75/38/89/630753889.db2.gz KZVQJXDATVCWRX-ZDUSSCGKSA-N 0 1 289.383 0.824 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@H]2CNC(C)=O)C1=O ZINC001027710827 630865624 /nfs/dbraw/zinc/86/56/24/630865624.db2.gz BTXPQGZWFWYVRL-OLZOCXBDSA-N 0 1 265.357 0.374 20 30 CCEDMN C=C(C)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1C[NH+](C)CCO1 ZINC001027804804 630933576 /nfs/dbraw/zinc/93/35/76/630933576.db2.gz IGCUIUIBMTYLQL-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN Cc1cc(NC(=O)NCC#CCN(C)C)cc(C(N)=O)c1 ZINC000931643707 630951577 /nfs/dbraw/zinc/95/15/77/630951577.db2.gz SZLYLCPNUZCVMQ-UHFFFAOYSA-N 0 1 288.351 0.780 20 30 CCEDMN N#CCN1CCCN(C(=O)c2c[nH]cc3ncnc2-3)CC1 ZINC000981206799 631248252 /nfs/dbraw/zinc/24/82/52/631248252.db2.gz HMOADQLRNXTOCU-UHFFFAOYSA-N 0 1 284.323 0.629 20 30 CCEDMN O=C(C#CC1CC1)N1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccn[nH]1 ZINC000936703448 631255299 /nfs/dbraw/zinc/25/52/99/631255299.db2.gz BHVBOPBCZUPMFQ-KBPBESRZSA-N 0 1 298.346 0.177 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001010366458 631345382 /nfs/dbraw/zinc/34/53/82/631345382.db2.gz LGCFPBIWBMFJSB-ZDUSSCGKSA-N 0 1 260.341 0.176 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC000968231471 650009216 /nfs/dbraw/zinc/00/92/16/650009216.db2.gz HYCVBYOKDJXBMD-ZJUUUORDSA-N 0 1 277.328 0.089 20 30 CCEDMN Cc1ncoc1CN[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000967975053 650006037 /nfs/dbraw/zinc/00/60/37/650006037.db2.gz KBBYLIPHGUTXIV-GXSJLCMTSA-N 0 1 262.313 0.833 20 30 CCEDMN Cc1cnc(CN[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)cn1 ZINC000967976085 650006683 /nfs/dbraw/zinc/00/66/83/650006683.db2.gz SCHPTAVLVMBVLM-CMPLNLGQSA-N 0 1 273.340 0.635 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](NCc2cc3n(n2)CCC3)C1 ZINC000967976182 650006686 /nfs/dbraw/zinc/00/66/86/650006686.db2.gz TVURGIMEZQOXOR-NWDGAFQWSA-N 0 1 287.367 0.679 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN(C)CCN(C)CC1 ZINC000894108913 631526788 /nfs/dbraw/zinc/52/67/88/631526788.db2.gz YDRKUFFBLZWFCK-GFCCVEGCSA-N 0 1 267.377 0.035 20 30 CCEDMN Cc1nc([C@H](C)N[C@@H]2CCN(C(=O)C#CC3CC3)C2)n[nH]1 ZINC000968626907 650023881 /nfs/dbraw/zinc/02/38/81/650023881.db2.gz ICLCMWNMGJWLAU-GXFFZTMASA-N 0 1 287.367 0.778 20 30 CCEDMN Cn1ccc(CN[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC000968626828 650023939 /nfs/dbraw/zinc/02/39/39/650023939.db2.gz FAIBGBJNXXYELC-CQSZACIVSA-N 0 1 272.352 0.524 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000968748845 650027790 /nfs/dbraw/zinc/02/77/90/650027790.db2.gz NZJDJTFISATELG-SECBINFHSA-N 0 1 270.296 0.286 20 30 CCEDMN N#Cc1cccc(CN[C@H]2C(=O)NCC23CCOCC3)c1 ZINC000895774212 632151320 /nfs/dbraw/zinc/15/13/20/632151320.db2.gz XFIVWAQJMFXOFM-AWEZNQCLSA-N 0 1 285.347 0.943 20 30 CCEDMN C[C@H](NC(=O)CCCC#N)[C@@H]1CN(C)CCN1C ZINC000896537817 632269923 /nfs/dbraw/zinc/26/99/23/632269923.db2.gz BWZINTFTCNTNMV-RYUDHWBXSA-N 0 1 252.362 0.431 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)s1)[C@H]1CN(C)CCN1C ZINC000896555988 632285434 /nfs/dbraw/zinc/28/54/34/632285434.db2.gz MMAIJJBBISEOEX-ZYHUDNBSSA-N 0 1 292.408 0.984 20 30 CCEDMN C[C@@H](CC#N)N(C)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000896885161 632343484 /nfs/dbraw/zinc/34/34/84/632343484.db2.gz XVPUDLMCLAPGBY-JQWIXIFHSA-N 0 1 251.330 0.468 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)n2cncn2)C1 ZINC001007163969 650068915 /nfs/dbraw/zinc/06/89/15/650068915.db2.gz MUQQYJZGHNRGNZ-STQMWFEESA-N 0 1 277.372 0.996 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001007482849 650079559 /nfs/dbraw/zinc/07/95/59/650079559.db2.gz DTGYDVJHVPGXEV-LBPRGKRZSA-N 0 1 277.372 0.987 20 30 CCEDMN N#CC[C@@H](NC(=O)CCc1c[nH]nn1)C(F)(F)F ZINC000928802496 632599898 /nfs/dbraw/zinc/59/98/98/632599898.db2.gz HTCABAMBHNPNSM-SSDOTTSWSA-N 0 1 261.207 0.698 20 30 CCEDMN N#CC[C@@H](NC(=O)CCc1cnn[nH]1)C(F)(F)F ZINC000928802496 632599909 /nfs/dbraw/zinc/59/99/09/632599909.db2.gz HTCABAMBHNPNSM-SSDOTTSWSA-N 0 1 261.207 0.698 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2COCCN2CC)C1 ZINC001007707796 650088322 /nfs/dbraw/zinc/08/83/22/650088322.db2.gz SZLPIVFMOIUJHI-GJZGRUSLSA-N 0 1 295.427 0.864 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1cccc(F)c1C#N ZINC000168809911 632718753 /nfs/dbraw/zinc/71/87/53/632718753.db2.gz FMSWYBCUCSEHLC-VIFPVBQESA-N 0 1 285.344 0.926 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001007877288 650095905 /nfs/dbraw/zinc/09/59/05/650095905.db2.gz RYHZNFOJACPLJG-NSHDSACASA-N 0 1 289.335 0.596 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cn2cnnn2)C1 ZINC000987994854 632938721 /nfs/dbraw/zinc/93/87/21/632938721.db2.gz MGGVUBHRWNQRFA-ZJUUUORDSA-N 0 1 284.751 0.005 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cnn(C)c2N)C1 ZINC000988675733 633080399 /nfs/dbraw/zinc/08/03/99/633080399.db2.gz ZDDPDVURFABHNB-ZJUUUORDSA-N 0 1 297.790 0.947 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC000988685156 633083713 /nfs/dbraw/zinc/08/37/13/633083713.db2.gz OUUHLWUDODDMHE-QWRGUYRKSA-N 0 1 259.309 0.613 20 30 CCEDMN Cc1cnc(NC(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000841011305 633134984 /nfs/dbraw/zinc/13/49/84/633134984.db2.gz HSCMFIPKRNRTAR-HTQZYQBOSA-N 0 1 251.315 0.685 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C[C@H](C)N1CC#N ZINC000898132515 633278597 /nfs/dbraw/zinc/27/85/97/633278597.db2.gz JXKUQTCPYVFCRT-YVECIDJPSA-N 0 1 287.367 0.958 20 30 CCEDMN C[C@H]1CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C[C@H](C)N1CC#N ZINC000898132514 633278849 /nfs/dbraw/zinc/27/88/49/633278849.db2.gz JXKUQTCPYVFCRT-WUHRBBMRSA-N 0 1 287.367 0.958 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2cn(C)c(=O)n2C)CC1 ZINC000989752270 633351907 /nfs/dbraw/zinc/35/19/07/633351907.db2.gz HFIQCHATJHTMKV-UHFFFAOYSA-N 0 1 292.383 0.448 20 30 CCEDMN C#CC[N@H+]1CC[C@]2(NC(=O)[C@@H]3COCCO3)CCC[C@@H]12 ZINC000989964286 633393014 /nfs/dbraw/zinc/39/30/14/633393014.db2.gz YIKXWSHYTXWPSQ-GZBFAFLISA-N 0 1 278.352 0.148 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H]3COCCO3)CCC[C@@H]12 ZINC000989964286 633393016 /nfs/dbraw/zinc/39/30/16/633393016.db2.gz YIKXWSHYTXWPSQ-GZBFAFLISA-N 0 1 278.352 0.148 20 30 CCEDMN CCn1cc(CNC2CCN(C(=O)[C@@H](C)C#N)CC2)nn1 ZINC000990313355 633509355 /nfs/dbraw/zinc/50/93/55/633509355.db2.gz DAMUDHHYWXKKQF-NSHDSACASA-N 0 1 290.371 0.538 20 30 CCEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)Cc3nnc(C)[nH]3)CCC[C@@H]12 ZINC000990638725 633573481 /nfs/dbraw/zinc/57/34/81/633573481.db2.gz OEMNNEQGMFPJPU-DOMZBBRYSA-N 0 1 287.367 0.402 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cn(CCC)nn2)C1 ZINC001009014831 650135852 /nfs/dbraw/zinc/13/58/52/650135852.db2.gz JQWYINBJNDTWOJ-CYBMUJFWSA-N 0 1 289.383 0.906 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cnccc2N(C)C)C1 ZINC001009231428 650140830 /nfs/dbraw/zinc/14/08/30/650140830.db2.gz LNMNGZLPQARJRR-ZDUSSCGKSA-N 0 1 286.379 0.975 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001009134036 650138174 /nfs/dbraw/zinc/13/81/74/650138174.db2.gz TUQJEJFUOQMFNC-SNVBAGLBSA-N 0 1 283.763 0.680 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnn(CCOC)c2)C1 ZINC001009360822 650143487 /nfs/dbraw/zinc/14/34/87/650143487.db2.gz BUPLZBSRTZFFFB-CQSZACIVSA-N 0 1 292.383 0.910 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(=O)n(C)n2)C1 ZINC001009414267 650144653 /nfs/dbraw/zinc/14/46/53/650144653.db2.gz KGKBMFHHWJNODY-LBPRGKRZSA-N 0 1 290.367 0.551 20 30 CCEDMN Cn1nc2c(c1CN=Nc1cncnc1)COCC2 ZINC000901236949 634211318 /nfs/dbraw/zinc/21/13/18/634211318.db2.gz JTINXFRFPBOJGO-UHFFFAOYSA-N 0 1 258.285 0.729 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccnn2C)C1 ZINC001010796656 650265109 /nfs/dbraw/zinc/26/51/09/650265109.db2.gz VIAIXXKITUYIBT-LBPRGKRZSA-N 0 1 260.341 0.176 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@H](N3CCC3)C2)n1 ZINC000912906483 636696147 /nfs/dbraw/zinc/69/61/47/636696147.db2.gz FMYASXDSVOKZFM-LBPRGKRZSA-N 0 1 256.309 0.873 20 30 CCEDMN N#CCCNC(=O)COC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000913298414 636788662 /nfs/dbraw/zinc/78/86/62/636788662.db2.gz KNXLQUYWCVMSQB-UHFFFAOYSA-N 0 1 288.263 0.856 20 30 CCEDMN CC(=O)Nc1cccc(C(=O)NCC#CCN(C)C)c1 ZINC000913514328 636833144 /nfs/dbraw/zinc/83/31/44/636833144.db2.gz MJXMPDJZKIPDPC-UHFFFAOYSA-N 0 1 273.336 0.940 20 30 CCEDMN Cc1ccn2cc(C(=O)NCC#CCN(C)C)nc2c1 ZINC000913515838 636833317 /nfs/dbraw/zinc/83/33/17/636833317.db2.gz PNLVSQNJCNCXAN-UHFFFAOYSA-N 0 1 270.336 0.938 20 30 CCEDMN C[C@@H]1c2c[nH]nc2CC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913524687 636833513 /nfs/dbraw/zinc/83/35/13/636833513.db2.gz YIABQPICZYSCRZ-RYUDHWBXSA-N 0 1 274.368 0.757 20 30 CCEDMN Cc1nn(C)c(C)c1C[C@@H](C)C(=O)NCC#CCN(C)C ZINC000913519406 636834394 /nfs/dbraw/zinc/83/43/94/636834394.db2.gz YNFXEELIUOFZOW-GFCCVEGCSA-N 0 1 290.411 0.897 20 30 CCEDMN C[C@@H]1CC(=O)CC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913520553 636835730 /nfs/dbraw/zinc/83/57/30/636835730.db2.gz SAYLVTBRPANQRL-YPMHNXCESA-N 0 1 250.342 0.673 20 30 CCEDMN N#Cc1cc(CS(=O)(=O)NCC(F)(F)CO)cs1 ZINC000914383048 637610526 /nfs/dbraw/zinc/61/05/26/637610526.db2.gz PAVQWQTVZXDGJC-UHFFFAOYSA-N 0 1 296.320 0.667 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)[C@@H]1C ZINC000993005261 637660825 /nfs/dbraw/zinc/66/08/25/637660825.db2.gz PWCKKZGYDSJWBH-WDEREUQCSA-N 0 1 274.324 0.398 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cnn(C)c2N)[C@@H]1C ZINC000993271526 637761025 /nfs/dbraw/zinc/76/10/25/637761025.db2.gz XOYYZEBPNUFDAB-WCQYABFASA-N 0 1 289.383 0.608 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cnn(C)c2N)[C@@H]1C ZINC000993271521 637761268 /nfs/dbraw/zinc/76/12/68/637761268.db2.gz XOYYZEBPNUFDAB-AAEUAGOBSA-N 0 1 289.383 0.608 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC000993279607 637763020 /nfs/dbraw/zinc/76/30/20/637763020.db2.gz LGAIZAUECPGWGC-MNOVXSKESA-N 0 1 277.324 0.194 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2nnc(C)o2)[C@@H]1C ZINC000993293870 637767361 /nfs/dbraw/zinc/76/73/61/637767361.db2.gz GFABLMKCCGLQQM-AAEUAGOBSA-N 0 1 290.367 0.913 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cncnc2)[C@@H]1C ZINC000993293846 637767665 /nfs/dbraw/zinc/76/76/65/637767665.db2.gz YHVWNFRNYQOICX-JSGCOSHPSA-N 0 1 272.352 0.621 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc3nn[n-]c3n2)[C@@H]1C ZINC000993412755 637803684 /nfs/dbraw/zinc/80/36/84/637803684.db2.gz JUZCCDOYYHCDLU-WDEREUQCSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc3n[nH]nc3n2)[C@@H]1C ZINC000993412755 637803692 /nfs/dbraw/zinc/80/36/92/637803692.db2.gz JUZCCDOYYHCDLU-WDEREUQCSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@@H]1C ZINC000993463218 637811238 /nfs/dbraw/zinc/81/12/38/637811238.db2.gz MDZMJNRAPOLMEO-QWRGUYRKSA-N 0 1 276.340 0.640 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](NC(=O)c2ncn[n-]2)[C@@H]1C ZINC000994026387 637862033 /nfs/dbraw/zinc/86/20/33/637862033.db2.gz WUNJNVGSFLFBDE-WDEREUQCSA-N 0 1 261.329 0.411 20 30 CCEDMN CN(C[C@H]1CCCN1C)S(=O)(=O)CC(C)(C)C#N ZINC000916453714 637900447 /nfs/dbraw/zinc/90/04/47/637900447.db2.gz RBQXELBBBAZWMX-LLVKDONJSA-N 0 1 273.402 0.892 20 30 CCEDMN N#C[C@@H](C(=O)CN1CCCS1(=O)=O)c1ccccn1 ZINC000916881455 637930181 /nfs/dbraw/zinc/93/01/81/637930181.db2.gz TUDMACUVHPVWGD-SNVBAGLBSA-N 0 1 279.321 0.293 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)N(C)Cc1cn[nH]c1C ZINC000918689428 638032106 /nfs/dbraw/zinc/03/21/06/638032106.db2.gz UJJBBRGRVWEBSJ-JTQLQIEISA-N 0 1 299.400 0.876 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)c1[nH]nc2c1CCC2 ZINC000919331164 638070183 /nfs/dbraw/zinc/07/01/83/638070183.db2.gz IVKGXLCJUCEYAF-MRVPVSSYSA-N 0 1 261.281 0.193 20 30 CCEDMN C[C@H](OC(=O)c1[nH]nc2c1CCC2)C(=O)N(C)CCC#N ZINC000919332335 638070252 /nfs/dbraw/zinc/07/02/52/638070252.db2.gz DTELNXLVBYXIBD-VIFPVBQESA-N 0 1 290.323 0.816 20 30 CCEDMN Cc1cc(CNC(=O)N2C[C@H](C)N(CC#N)[C@@H](C)C2)n[nH]1 ZINC000919565567 638085869 /nfs/dbraw/zinc/08/58/69/638085869.db2.gz PATLKWOEVQLXJE-RYUDHWBXSA-N 0 1 290.371 0.846 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](NC(=O)[C@@H]2CCCCN2C)C1 ZINC000942200893 638628099 /nfs/dbraw/zinc/62/80/99/638628099.db2.gz KQLDFSUPFYQTDF-UPJWGTAASA-N 0 1 292.383 0.347 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cn2cnnn2)CC1(C)C ZINC000995428443 638757895 /nfs/dbraw/zinc/75/78/95/638757895.db2.gz KXCYZGUUVHFAAJ-SNVBAGLBSA-N 0 1 298.778 0.252 20 30 CCEDMN CC1(C)CN(C(=O)c2c[nH]cc3ncnc2-3)C[C@@H]1NCC#N ZINC000995836463 638802716 /nfs/dbraw/zinc/80/27/16/638802716.db2.gz FJDTZLTYRIJYBU-LBPRGKRZSA-N 0 1 298.350 0.922 20 30 CCEDMN CC1(C)CN(C(=O)CN2CCCC2)C[C@H]1NCC#N ZINC000996609573 638824373 /nfs/dbraw/zinc/82/43/73/638824373.db2.gz IADZGCTUSNPTSO-GFCCVEGCSA-N 0 1 264.373 0.432 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001014441043 650452220 /nfs/dbraw/zinc/45/22/20/650452220.db2.gz PCZQSXMRKYZCEV-NSHDSACASA-N 0 1 274.324 0.313 20 30 CCEDMN C=CCN[C@H]1CN(C(=O)C(N)=O)CC[C@H]1C(F)(F)F ZINC000998287209 638902898 /nfs/dbraw/zinc/90/28/98/638902898.db2.gz NOHOGMXNXGHRFZ-SFYZADRCSA-N 0 1 279.262 0.027 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cn2cnnn2)C1 ZINC000998491338 638923553 /nfs/dbraw/zinc/92/35/53/638923553.db2.gz UZLUFUOXNNYLRR-JTQLQIEISA-N 0 1 284.751 0.006 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CS(C)(=O)=O)C1 ZINC000998645549 638932197 /nfs/dbraw/zinc/93/21/97/638932197.db2.gz FTLOUEGUMRAUJZ-SNVBAGLBSA-N 0 1 294.804 0.364 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001016118556 638933357 /nfs/dbraw/zinc/93/33/57/638933357.db2.gz CIYDONLCNFIHPN-STQMWFEESA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cn2nccn2)C1 ZINC000999257940 638970024 /nfs/dbraw/zinc/97/00/24/638970024.db2.gz RPSIWSIUQLOFQN-NSHDSACASA-N 0 1 283.763 0.611 20 30 CCEDMN CC#CCN1CC[C@H](n2cc(CNC(=O)C3CC3)nn2)C1 ZINC000999951053 639026202 /nfs/dbraw/zinc/02/62/02/639026202.db2.gz OZFZRVVPYFFOAC-AWEZNQCLSA-N 0 1 287.367 0.574 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CNC(=O)N2)C1 ZINC001000035699 639036821 /nfs/dbraw/zinc/03/68/21/639036821.db2.gz GYRGLZJZDBBCJR-VHSXEESVSA-N 0 1 286.763 0.001 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001000462169 639091677 /nfs/dbraw/zinc/09/16/77/639091677.db2.gz XDLLWOMGZWGQOD-RYUDHWBXSA-N 0 1 299.802 0.988 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CCn2cnnn2)C1 ZINC001000539971 639111014 /nfs/dbraw/zinc/11/10/14/639111014.db2.gz UYWZCKGVXYXHMR-NSHDSACASA-N 0 1 298.778 0.396 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014633882 650470297 /nfs/dbraw/zinc/47/02/97/650470297.db2.gz OHQRIIBUANAXOT-UONOGXRCSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(-c3cccnc3)nn2)C1 ZINC001014657802 650474641 /nfs/dbraw/zinc/47/46/41/650474641.db2.gz FNVSMFQDTZTOFY-GFCCVEGCSA-N 0 1 298.350 0.652 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2C[C@H](C)CO2)CC1 ZINC001000963285 639205320 /nfs/dbraw/zinc/20/53/20/639205320.db2.gz BGIMKFHPDUNZBD-JSGCOSHPSA-N 0 1 262.353 0.793 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cnc(C)o2)C1 ZINC001014707968 650480970 /nfs/dbraw/zinc/48/09/70/650480970.db2.gz ULBGNHXJAGBEPF-GFCCVEGCSA-N 0 1 261.325 0.739 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC1=CC[N@@H+](CCO)CC1 ZINC001001256665 639267981 /nfs/dbraw/zinc/26/79/81/639267981.db2.gz NLTLZZOWWGQGJR-GJZGRUSLSA-N 0 1 294.395 0.708 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnc(OC)nc2)CC1 ZINC001001324737 639282034 /nfs/dbraw/zinc/28/20/34/639282034.db2.gz KDCMNNDNXNQDSH-UHFFFAOYSA-N 0 1 286.335 0.480 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCC2CN(C(=O)c3ccn[nH]3)C2)c1 ZINC001001333336 639282435 /nfs/dbraw/zinc/28/24/35/639282435.db2.gz DCQASZKKKRFSBI-UHFFFAOYSA-N 0 1 298.306 0.111 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2c3c(nn2C)CCC3)CC1 ZINC001001361210 639287402 /nfs/dbraw/zinc/28/74/02/639287402.db2.gz REARZOXUPLZESH-UHFFFAOYSA-N 0 1 298.390 0.904 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001014863294 650496842 /nfs/dbraw/zinc/49/68/42/650496842.db2.gz MHDWUXUQRBHYED-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001014863288 650496558 /nfs/dbraw/zinc/49/65/58/650496558.db2.gz MHDWUXUQRBHYED-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC001002813933 639461207 /nfs/dbraw/zinc/46/12/07/639461207.db2.gz AJUWWFKADIHQSE-LBPRGKRZSA-N 0 1 287.367 0.934 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc(C)nc2OC)CC1 ZINC001002974398 639488063 /nfs/dbraw/zinc/48/80/63/639488063.db2.gz SIWRRDADYLIKKR-UHFFFAOYSA-N 0 1 288.351 0.621 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(CNC(=O)c2cnn[nH]2)C1 ZINC001003121981 639510365 /nfs/dbraw/zinc/51/03/65/639510365.db2.gz ZLZIYVNWQWSZCA-UHFFFAOYSA-N 0 1 277.328 0.205 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CC(=O)N[C@@H](CC)C2)CC1 ZINC001003365545 639534968 /nfs/dbraw/zinc/53/49/68/639534968.db2.gz BETVMWQSLMDGOL-OLZOCXBDSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2csnn2)CC1 ZINC001003386944 639537645 /nfs/dbraw/zinc/53/76/45/639537645.db2.gz LFWBKFPXYZBAGO-UHFFFAOYSA-N 0 1 264.354 0.756 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccc(C(N)=O)nc2)C1 ZINC001014936253 650504802 /nfs/dbraw/zinc/50/48/02/650504802.db2.gz AVBPJDGJGUEIPW-LBPRGKRZSA-N 0 1 288.351 0.100 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(C)nc[nH]c2=O)CC1 ZINC001003475138 639552611 /nfs/dbraw/zinc/55/26/11/639552611.db2.gz LZEFUPQDCBPNOZ-UHFFFAOYSA-N 0 1 274.324 0.318 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001014960793 650508981 /nfs/dbraw/zinc/50/89/81/650508981.db2.gz ZIQPBUGTHPTFIE-CYBMUJFWSA-N 0 1 273.336 0.213 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001015111677 650529575 /nfs/dbraw/zinc/52/95/75/650529575.db2.gz LRKDHGLWELRVAU-LLVKDONJSA-N 0 1 276.340 0.114 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)C2CN(C(C)=O)C2)CC1 ZINC001005312955 639897654 /nfs/dbraw/zinc/89/76/54/639897654.db2.gz CXBATIULZDCNNK-UHFFFAOYSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cc2cncnc2)CC1 ZINC001005330308 639901696 /nfs/dbraw/zinc/90/16/96/639901696.db2.gz JOVZKRRKWJBYHO-UHFFFAOYSA-N 0 1 286.379 0.965 20 30 CCEDMN CN(C(=O)[C@@H]1CC1[N+](=O)[O-])C1CCN(CC#N)CC1 ZINC001005379239 639906339 /nfs/dbraw/zinc/90/63/39/639906339.db2.gz QQIIAUNSMAFQAW-GHMZBOCLSA-N 0 1 266.301 0.098 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cn2nccn2)CC1 ZINC001005444222 639909943 /nfs/dbraw/zinc/90/99/43/639909943.db2.gz DDHBKXPBXCHGSD-UHFFFAOYSA-N 0 1 275.356 0.224 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)C[C@H]2CCNC2=O)CC1 ZINC001005493809 639912555 /nfs/dbraw/zinc/91/25/55/639912555.db2.gz RQMXUEPFOZQHQQ-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cn[nH]c(=O)c2)CC1 ZINC001005538304 639916493 /nfs/dbraw/zinc/91/64/93/639916493.db2.gz ALMQWQXPVGMTGL-UHFFFAOYSA-N 0 1 288.351 0.742 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(C)ccc2=O)C1 ZINC001015219854 650540459 /nfs/dbraw/zinc/54/04/59/650540459.db2.gz PGIIHGRQDGMCKL-GFCCVEGCSA-N 0 1 273.336 0.213 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001015371267 650556206 /nfs/dbraw/zinc/55/62/06/650556206.db2.gz AMQNLDUXVLYOOH-BXUZGUMPSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(OCC)n[nH]2)C1 ZINC001014887122 640193679 /nfs/dbraw/zinc/19/36/79/640193679.db2.gz BYNOEYXTWQNXHB-NSHDSACASA-N 0 1 276.340 0.636 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1CC12CCN(CC#N)CC2 ZINC001016541861 640211687 /nfs/dbraw/zinc/21/16/87/640211687.db2.gz ZCMAUQHWYRGHDH-STQMWFEESA-N 0 1 276.384 0.575 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2C[C@]23CCOC3)CC1 ZINC001006257711 640213354 /nfs/dbraw/zinc/21/33/54/640213354.db2.gz IAWBXEKYHMDWIS-ZBFHGGJFSA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2COCCN2CCCC)C1 ZINC001015389701 650561582 /nfs/dbraw/zinc/56/15/82/650561582.db2.gz UOVSMOJDYYPNKO-HUUCEWRRSA-N 0 1 295.427 0.864 20 30 CCEDMN Cc1nn(C)c(N2CCN(C[C@@H](O)C3CC3)CC2)c1C#N ZINC000838967220 640283850 /nfs/dbraw/zinc/28/38/50/640283850.db2.gz PZPIUCIKPLTVJM-CQSZACIVSA-N 0 1 289.383 0.493 20 30 CCEDMN Oc1ccc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)nc1 ZINC000755816173 640338531 /nfs/dbraw/zinc/33/85/31/640338531.db2.gz YTEGLQQWCFDKBZ-TXEJJXNPSA-N 0 1 259.313 0.981 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C)nc2OC)C1 ZINC001015495815 650573075 /nfs/dbraw/zinc/57/30/75/650573075.db2.gz OJXJTZCEBFSLIJ-JTQLQIEISA-N 0 1 264.329 0.419 20 30 CCEDMN C[C@H](C#N)OCC[N@H+]1CCN(CCO)C(C)(C)C1 ZINC000801947660 640680212 /nfs/dbraw/zinc/68/02/12/640680212.db2.gz YQLKNHLPEPBSCX-GFCCVEGCSA-N 0 1 255.362 0.304 20 30 CCEDMN C[C@@H](C#N)OCC[N@H+]1CCCN(C(=O)C2CC2)CC1 ZINC000801945963 640680641 /nfs/dbraw/zinc/68/06/41/640680641.db2.gz FKKIWADGJAZYLV-LBPRGKRZSA-N 0 1 265.357 0.859 20 30 CCEDMN C[C@@H](C#N)OCCN1CCCN(C(=O)C2CC2)CC1 ZINC000801945963 640680644 /nfs/dbraw/zinc/68/06/44/640680644.db2.gz FKKIWADGJAZYLV-LBPRGKRZSA-N 0 1 265.357 0.859 20 30 CCEDMN NS(=O)(=O)c1cc(C=NNc2cccnn2)ccc1F ZINC000807972647 640844172 /nfs/dbraw/zinc/84/41/72/640844172.db2.gz SUBCZSDIAKGYFW-UHFFFAOYSA-N 0 1 295.299 0.709 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CN2C(=O)Cc3ccccc32)C1 ZINC001015759280 650623512 /nfs/dbraw/zinc/62/35/12/650623512.db2.gz SECDPCAWYGXOJY-AWEZNQCLSA-N 0 1 299.374 0.952 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001015782924 650627972 /nfs/dbraw/zinc/62/79/72/650627972.db2.gz RQRIFGQTVSSLKL-CYBMUJFWSA-N 0 1 275.352 0.533 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001015778621 650628528 /nfs/dbraw/zinc/62/85/28/650628528.db2.gz RYKUTAXPIWSCQX-CQSZACIVSA-N 0 1 287.363 0.371 20 30 CCEDMN Cn1ncc(C=Nn2c(=O)c3ccccc3[nH]c2=S)n1 ZINC000814781114 641075760 /nfs/dbraw/zinc/07/57/60/641075760.db2.gz XCANEEKSDWOMEO-UHFFFAOYSA-N 0 1 286.320 0.696 20 30 CCEDMN Cc1nn(C)c(N)c1C=[NH+][N-]c1ccnc(N(C)C)n1 ZINC000814853307 641078393 /nfs/dbraw/zinc/07/83/93/641078393.db2.gz JNDSATQKIQYPQV-UHFFFAOYSA-N 0 1 274.332 0.613 20 30 CCEDMN C[C@@H]1CN=C(NN=C2CCCc3nc(N)ncc32)N1 ZINC000814897150 641081106 /nfs/dbraw/zinc/08/11/06/641081106.db2.gz GCEWJLRXERGEED-SSDOTTSWSA-N 0 1 259.317 0.037 20 30 CCEDMN Cn1c(=O)ccc2c1CCCC2=NNc1ncn[nH]1 ZINC000814932261 641083728 /nfs/dbraw/zinc/08/37/28/641083728.db2.gz UVBJOYCKUQGHPN-UHFFFAOYSA-N 0 1 258.285 0.656 20 30 CCEDMN Cn1c(=O)ccc2c1CCCC2=NNc1nnc[nH]1 ZINC000814932261 641083732 /nfs/dbraw/zinc/08/37/32/641083732.db2.gz UVBJOYCKUQGHPN-UHFFFAOYSA-N 0 1 258.285 0.656 20 30 CCEDMN C[C@H]1C[N@@H+](Cc2ccccn2)CCC1=NNCC(=O)[O-] ZINC000814958333 641084806 /nfs/dbraw/zinc/08/48/06/641084806.db2.gz MUUDPKVSALWRKL-NSHDSACASA-N 0 1 276.340 0.954 20 30 CCEDMN Cn1cnnc1COCC(=O)Nc1cc(C#N)ccc1O ZINC000815878004 641127833 /nfs/dbraw/zinc/12/78/33/641127833.db2.gz OZUYEWULAZQLJP-UHFFFAOYSA-N 0 1 287.279 0.548 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2nnnc2C(C)C)C1 ZINC001015814597 650634427 /nfs/dbraw/zinc/63/44/27/650634427.db2.gz IKVCAVJJCGWJND-NSHDSACASA-N 0 1 278.360 0.173 20 30 CCEDMN O=C(N[C@@H]1CCN(O)C1=O)c1n[nH]c2ccccc21 ZINC000816693358 641195024 /nfs/dbraw/zinc/19/50/24/641195024.db2.gz JCBDDDMCURLFJH-SECBINFHSA-N 0 1 260.253 0.283 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc3ncccn3n2)C1 ZINC001015881638 650647174 /nfs/dbraw/zinc/64/71/74/650647174.db2.gz XETJEDQPVYAUQA-NSHDSACASA-N 0 1 271.324 0.719 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cn(CC)nn2)C1 ZINC001015917170 650656673 /nfs/dbraw/zinc/65/66/73/650656673.db2.gz WXDMKIVNXTYZRZ-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2nonc2C)C1 ZINC001015924385 650657365 /nfs/dbraw/zinc/65/73/65/650657365.db2.gz KHWMPHGCOCUUGK-JTQLQIEISA-N 0 1 250.302 0.297 20 30 CCEDMN O=C(N[C@@H]1CCN(O)C1=O)c1cc(F)cc(F)c1O ZINC000820120237 641458576 /nfs/dbraw/zinc/45/85/76/641458576.db2.gz MEMAVYORVRYZOQ-MRVPVSSYSA-N 0 1 272.207 0.390 20 30 CCEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@H]1CCN(O)C1=O ZINC000820150277 641475403 /nfs/dbraw/zinc/47/54/03/641475403.db2.gz XHBNIDXFUPPNJU-YFKPBYRVSA-N 0 1 292.217 0.457 20 30 CCEDMN O=C(N[C@H]1CCN(O)C1=O)c1cc(F)cc2nc[nH]c21 ZINC000820185101 641481859 /nfs/dbraw/zinc/48/18/59/641481859.db2.gz ICSGCKLHOITFLW-QMMMGPOBSA-N 0 1 278.243 0.422 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001015958650 650664260 /nfs/dbraw/zinc/66/42/60/650664260.db2.gz RTSUGBOMPOZERN-VXGBXAGGSA-N 0 1 272.352 0.338 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC001015971267 650666858 /nfs/dbraw/zinc/66/68/58/650666858.db2.gz DEOWQBOMRQBUPD-AWEZNQCLSA-N 0 1 274.368 0.659 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cncn2C)C1 ZINC001016001483 650672179 /nfs/dbraw/zinc/67/21/79/650672179.db2.gz MRTOGGGLTDDKGG-GFCCVEGCSA-N 0 1 260.341 0.176 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cccc3c2NC(=O)CO3)=NO1 ZINC000821399792 641596158 /nfs/dbraw/zinc/59/61/58/641596158.db2.gz QRVGLKUTSCNGEI-ZETCQYMHSA-N 0 1 275.264 0.870 20 30 CCEDMN C[C@H](C(=O)N1CCN(c2ccc(C#N)nc2)CC1)N(C)C ZINC000822550685 641682048 /nfs/dbraw/zinc/68/20/48/641682048.db2.gz HVLPNJLOYUKZMM-GFCCVEGCSA-N 0 1 287.367 0.552 20 30 CCEDMN N#Cc1cncc(N2CCC(c3nc[nH]n3)CC2)n1 ZINC000825814983 641909247 /nfs/dbraw/zinc/90/92/47/641909247.db2.gz TYIUSTMWXKIKKH-UHFFFAOYSA-N 0 1 255.285 0.850 20 30 CCEDMN Cc1cnc(Cn2c(N)nc(-c3nn[nH]n3)c2C#N)s1 ZINC000826318277 641925235 /nfs/dbraw/zinc/92/52/35/641925235.db2.gz BMHFRYJOJPMPTB-UHFFFAOYSA-N 0 1 287.312 0.330 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2nc3ncccn3n2)C1 ZINC001016248454 650704384 /nfs/dbraw/zinc/70/43/84/650704384.db2.gz BIHIDKJHNILYMO-NSHDSACASA-N 0 1 286.339 0.043 20 30 CCEDMN Cc1cc(C)n(CCCC(=O)NCC#CCN(C)C)n1 ZINC000827972016 642055099 /nfs/dbraw/zinc/05/50/99/642055099.db2.gz ORFNDTPKKDMWDB-UHFFFAOYSA-N 0 1 276.384 0.961 20 30 CCEDMN C[C@@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@H]1C(=O)[O-] ZINC000828431985 642093827 /nfs/dbraw/zinc/09/38/27/642093827.db2.gz LRFVVDZBDFLPPS-GLXFQSAKSA-N 0 1 279.340 0.447 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001016390319 650723896 /nfs/dbraw/zinc/72/38/96/650723896.db2.gz XIOCRVXDYAQKTK-NSHDSACASA-N 0 1 274.324 0.171 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000829982806 642215613 /nfs/dbraw/zinc/21/56/13/642215613.db2.gz GYBJGRURVDGHCW-HUUCEWRRSA-N 0 1 271.320 0.942 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@](C)(NC(=O)C(F)(F)F)C1 ZINC000830820255 642294691 /nfs/dbraw/zinc/29/46/91/642294691.db2.gz DRWKEGOFFDCMAQ-XCBNKYQSSA-N 0 1 277.246 0.816 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1cccc(F)c1F ZINC000834479311 642570833 /nfs/dbraw/zinc/57/08/33/642570833.db2.gz CCVBRUKTTKDPTR-MWLCHTKSSA-N 0 1 265.263 0.735 20 30 CCEDMN Cn1cnc2cc(C(=O)N[C@H]3CNC[C@H]3C#N)ccc21 ZINC000834480881 642572662 /nfs/dbraw/zinc/57/26/62/642572662.db2.gz XOBOKJVRYZFRAP-PWSUYJOCSA-N 0 1 269.308 0.415 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@@H]2C#N)cnn1C(C)C ZINC000834484686 642575809 /nfs/dbraw/zinc/57/58/09/642575809.db2.gz LVGUDUJVXKHNEC-JQWIXIFHSA-N 0 1 261.329 0.614 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCc1ccccc1N ZINC000834485235 642576357 /nfs/dbraw/zinc/57/63/57/642576357.db2.gz TYYSZWFYJSZCKO-DGCLKSJQSA-N 0 1 258.325 0.429 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(C(=O)NC2CC2)cc1 ZINC000834485461 642577491 /nfs/dbraw/zinc/57/74/91/642577491.db2.gz XDOFZMHWLRZHRL-GXTWGEPZSA-N 0 1 298.346 0.420 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc2c([nH]c1=O)CCCC2 ZINC000834485459 642577546 /nfs/dbraw/zinc/57/75/46/642577546.db2.gz XDNRJDOQNXOQFO-MFKMUULPSA-N 0 1 286.335 0.507 20 30 CCEDMN C[C@@H]1CCCC[C@@H]1OCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834489501 642579290 /nfs/dbraw/zinc/57/92/90/642579290.db2.gz NAPCYIRZFMVLKL-NDBYEHHHSA-N 0 1 265.357 0.809 20 30 CCEDMN C[C@@H]1CCCC[C@@H]1OCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834489500 642579909 /nfs/dbraw/zinc/57/99/09/642579909.db2.gz NAPCYIRZFMVLKL-LPWJVIDDSA-N 0 1 265.357 0.809 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000834489271 642579981 /nfs/dbraw/zinc/57/99/81/642579981.db2.gz LILFKTUFVQVDME-PWSUYJOCSA-N 0 1 274.280 0.365 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnc(-c2ccccc2)nc1 ZINC000834494553 642583631 /nfs/dbraw/zinc/58/36/31/642583631.db2.gz OAYIQZAXWRNEOX-GXTWGEPZSA-N 0 1 293.330 0.985 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CSC[C@H]1CCCCO1 ZINC000834493184 642583684 /nfs/dbraw/zinc/58/36/84/642583684.db2.gz BLLNZPICPAQGJO-IJLUTSLNSA-N 0 1 283.397 0.516 20 30 CCEDMN C[C@H](OC[C@@H]1CCCCO1)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834494853 642584733 /nfs/dbraw/zinc/58/47/33/642584733.db2.gz QFKYNCAVNNHOPH-CYDGBPFRSA-N 0 1 281.356 0.188 20 30 CCEDMN Cn1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1C1CC1 ZINC000834494845 642585876 /nfs/dbraw/zinc/58/58/76/642585876.db2.gz QDTJXXLJRSHOCN-GXSJLCMTSA-N 0 1 259.313 0.139 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(-n2cnnc2)cc1 ZINC000834495786 642587418 /nfs/dbraw/zinc/58/74/18/642587418.db2.gz ZQBSUIHHXPCEPS-YPMHNXCESA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1coc(C2CCOCC2)n1 ZINC000834500554 642588416 /nfs/dbraw/zinc/58/84/16/642588416.db2.gz UNUAECBTAXBJEG-QWRGUYRKSA-N 0 1 290.323 0.410 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cncc(C(F)(F)F)c1 ZINC000834499528 642588703 /nfs/dbraw/zinc/58/87/03/642588703.db2.gz JGTHUVQAABRYGV-SCZZXKLOSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1nc2cccnc2s1 ZINC000834499189 642588969 /nfs/dbraw/zinc/58/89/69/642588969.db2.gz FUCSAVFASKLWNB-VXNVDRBHSA-N 0 1 273.321 0.533 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1n[nH]c2ccccc21 ZINC000834499562 642589103 /nfs/dbraw/zinc/58/91/03/642589103.db2.gz JRPSDZAKMLZQQU-TVQRCGJNSA-N 0 1 269.308 0.333 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnc(C(F)(F)F)nc1 ZINC000834501020 642590472 /nfs/dbraw/zinc/59/04/72/642590472.db2.gz YZYGKSLXTNEPOA-HTRCEHHLSA-N 0 1 285.229 0.337 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1CCCc2cccnc21 ZINC000834505559 642592309 /nfs/dbraw/zinc/59/23/09/642592309.db2.gz MDGNYGUNRRNKRM-AGIUHOORSA-N 0 1 270.336 0.729 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COc1cccc(Cl)c1 ZINC000834506294 642593167 /nfs/dbraw/zinc/59/31/67/642593167.db2.gz RTEWUGWHZZVGSQ-JOYOIKCWSA-N 0 1 279.727 0.947 20 30 CCEDMN Cc1cccc(C)c1OCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834506773 642593767 /nfs/dbraw/zinc/59/37/67/642593767.db2.gz VWXVWTUDXNIEAX-OLZOCXBDSA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1Cc2ccccc2O1 ZINC000834510442 642594801 /nfs/dbraw/zinc/59/48/01/642594801.db2.gz AXWPPEUAFVVWNE-MDZLAQPJSA-N 0 1 257.293 0.218 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC000834511019 642595896 /nfs/dbraw/zinc/59/58/96/642595896.db2.gz HYQJGIAQMOLODF-IRUJWGPZSA-N 0 1 291.738 0.871 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccc2c(c1)CCC2 ZINC000834510496 642595975 /nfs/dbraw/zinc/59/59/75/642595975.db2.gz BRIXYQTWGDGXKD-GJZGRUSLSA-N 0 1 269.348 0.946 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C1C[C@H]2CCC[C@@H](C1)C2=O ZINC000834517440 642600567 /nfs/dbraw/zinc/60/05/67/642600567.db2.gz VJFUCXUXEVYMCU-RSMQCKGYSA-N 0 1 275.352 0.610 20 30 CCEDMN Cc1noc2nc(C)cc(C(=O)N[C@H]3CNC[C@H]3C#N)c12 ZINC000834517194 642600683 /nfs/dbraw/zinc/60/06/83/642600683.db2.gz ROZDHHYGEZYTMX-KOLCDFICSA-N 0 1 285.307 0.681 20 30 CCEDMN COc1nccc(C=NNCCN2CCCCC2)n1 ZINC000834941293 642642347 /nfs/dbraw/zinc/64/23/47/642642347.db2.gz AIYCAWGBBHIIPJ-UHFFFAOYSA-N 0 1 263.345 0.895 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccnc(Cl)c1 ZINC000841168552 642805669 /nfs/dbraw/zinc/80/56/69/642805669.db2.gz LMLVCWLIARKUFP-APPZFPTMSA-N 0 1 265.704 0.968 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccn2ccnc2c1 ZINC000841224047 642807630 /nfs/dbraw/zinc/80/76/30/642807630.db2.gz HVXNBNJGEZIJCZ-ONGXEEELSA-N 0 1 270.296 0.567 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1cc(Cl)ccn1 ZINC000841233917 642808291 /nfs/dbraw/zinc/80/82/91/642808291.db2.gz KWZQOMJFSDPCBV-CBAPKCEASA-N 0 1 265.704 0.968 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)N[C@H]1C[NH2+]C[C@@H]1C#N ZINC000843459226 643062726 /nfs/dbraw/zinc/06/27/26/643062726.db2.gz COPPDXLLANCKTN-UWVGGRQHSA-N 0 1 261.329 0.683 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1(c2cccnc2)CC1 ZINC000843461241 643065387 /nfs/dbraw/zinc/06/53/87/643065387.db2.gz SPORMYHCPHVEQW-ZYHUDNBSSA-N 0 1 256.309 0.341 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCOc1cccc(F)c1 ZINC000843461546 643066057 /nfs/dbraw/zinc/06/60/57/643066057.db2.gz LSQKLFZRJWMOPV-MFKMUULPSA-N 0 1 277.299 0.822 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)/C=C\c1ccc2c(c1)OCO2 ZINC000843461761 643066811 /nfs/dbraw/zinc/06/68/11/643066811.db2.gz NDRHWJQQYPNICH-DNDCWNJCSA-N 0 1 285.303 0.656 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000843461778 643066873 /nfs/dbraw/zinc/06/68/73/643066873.db2.gz NLFTWGPROHRRBY-QKCHKDDDSA-N 0 1 286.291 0.836 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cnc[nH]1 ZINC001017605018 650813537 /nfs/dbraw/zinc/81/35/37/650813537.db2.gz NYRXCNXVSDGING-OKILXGFUSA-N 0 1 272.352 0.651 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCSCc1ccccn1 ZINC000844195058 643177718 /nfs/dbraw/zinc/17/77/18/643177718.db2.gz PMRMSAXUXQAEER-YPMHNXCESA-N 0 1 290.392 0.933 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1CCO[C@H]1c1ccncc1 ZINC000846677606 643441743 /nfs/dbraw/zinc/44/17/43/643441743.db2.gz NXMWDAHZFJBHRA-MQYQWHSLSA-N 0 1 286.335 0.387 20 30 CCEDMN N#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001018156300 650846253 /nfs/dbraw/zinc/84/62/53/650846253.db2.gz KUVVALBBXGLXRJ-UPJWGTAASA-N 0 1 299.378 0.713 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001018169882 650846928 /nfs/dbraw/zinc/84/69/28/650846928.db2.gz OJWACYDYZZCWKT-RWMBFGLXSA-N 0 1 299.378 0.218 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001018169882 650846930 /nfs/dbraw/zinc/84/69/30/650846930.db2.gz OJWACYDYZZCWKT-RWMBFGLXSA-N 0 1 299.378 0.218 20 30 CCEDMN N#CC[C@@]1(O)CCN(S(=O)(=O)c2ccccc2O)C1 ZINC000849402354 643739851 /nfs/dbraw/zinc/73/98/51/643739851.db2.gz ZKIDYNZXPRPSMK-GFCCVEGCSA-N 0 1 282.321 0.431 20 30 CCEDMN C[C@@H](CC#N)C(=O)OCCOCCNC(=O)C(F)(F)F ZINC000850930605 643822754 /nfs/dbraw/zinc/82/27/54/643822754.db2.gz CSEDGAPEGHQLFD-QMMMGPOBSA-N 0 1 296.245 0.774 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cn2cccn2)C1 ZINC001018504633 650871369 /nfs/dbraw/zinc/87/13/69/650871369.db2.gz UDMOAIADKIKJDO-NSHDSACASA-N 0 1 268.748 0.826 20 30 CCEDMN N#CC1CCN(C[C@](O)(C(N)=O)c2ccccc2)CC1 ZINC000851739495 643876043 /nfs/dbraw/zinc/87/60/43/643876043.db2.gz CGLGZFXYVKMDSW-OAHLLOKOSA-N 0 1 273.336 0.595 20 30 CCEDMN C#CCN(C[C@](O)(C(N)=O)c1ccccc1)C1CC1 ZINC000851938722 643900201 /nfs/dbraw/zinc/90/02/01/643900201.db2.gz XBCXHLUJGPLOLT-OAHLLOKOSA-N 0 1 258.321 0.457 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cnn(C)c2)C1 ZINC001018607250 650884020 /nfs/dbraw/zinc/88/40/20/650884020.db2.gz NEQHBTPZPHHXDI-LBPRGKRZSA-N 0 1 282.775 0.906 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccnn1C(F)F ZINC000852827728 644013824 /nfs/dbraw/zinc/01/38/24/644013824.db2.gz OIWYPGOHGAOBFY-BQBZGAKWSA-N 0 1 255.228 0.120 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ncnc2sccc21 ZINC000852827768 644013983 /nfs/dbraw/zinc/01/39/83/644013983.db2.gz PCAJOKCBXNPRFC-CBAPKCEASA-N 0 1 273.321 0.533 20 30 CCEDMN Cc1ccn2cnc(C(=O)N[C@@H]3CNC[C@H]3C#N)c2c1 ZINC000852828532 644014999 /nfs/dbraw/zinc/01/49/99/644014999.db2.gz YJPYNPKDJPXKFZ-GHMZBOCLSA-N 0 1 269.308 0.484 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C1(C(F)(F)F)CCOCC1 ZINC000852876134 644038039 /nfs/dbraw/zinc/03/80/39/644038039.db2.gz WFQNNBMGBMNMJJ-DTWKUNHWSA-N 0 1 291.273 0.573 20 30 CCEDMN CCn1nncc1CN[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001018826224 650913314 /nfs/dbraw/zinc/91/33/14/650913314.db2.gz BANADGHFJHHNQX-ZDUSSCGKSA-N 0 1 287.367 0.402 20 30 CCEDMN C[C@@H]1CCN(C(=O)CC#N)C[C@@H]1NC(=O)C(F)(F)F ZINC000856416993 644417844 /nfs/dbraw/zinc/41/78/44/644417844.db2.gz BWCMFGILJDMRLB-SFYZADRCSA-N 0 1 277.246 0.816 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)COc2cnn(C)c2)C1 ZINC001019295047 650958375 /nfs/dbraw/zinc/95/83/75/650958375.db2.gz NGFGLSSKQJBEKD-NSHDSACASA-N 0 1 298.774 0.742 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)N2CCc3nc[nH]c3C2)cc1 ZINC000861761971 644925479 /nfs/dbraw/zinc/92/54/79/644925479.db2.gz OYBFBJBAXKVRCH-CQSZACIVSA-N 0 1 282.303 0.900 20 30 CCEDMN O=c1cc(C=NNC2=N[C@H]3CCCC[C@H]3N2)cc[nH]1 ZINC000863104063 645060543 /nfs/dbraw/zinc/06/05/43/645060543.db2.gz RZKHSCZSEGNCJL-PHIMTYICSA-N 0 1 259.313 0.981 20 30 CCEDMN C[C@H](NCc1nnn(C)n1)c1cccc(C#N)c1O ZINC000866350360 645521602 /nfs/dbraw/zinc/52/16/02/645521602.db2.gz ZVQUDBUZXBNELA-QMMMGPOBSA-N 0 1 258.285 0.638 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NCc1ncccn1 ZINC000867985727 645698173 /nfs/dbraw/zinc/69/81/73/645698173.db2.gz MXMCQIXKBIWNIM-OAHLLOKOSA-N 0 1 293.330 0.851 20 30 CCEDMN Cn1cc(-c2ccc(C(=O)N[C@H]3CNC[C@H]3C#N)cc2)cn1 ZINC000870941511 646056044 /nfs/dbraw/zinc/05/60/44/646056044.db2.gz WNXGYSMQNHQXBD-HIFRSBDPSA-N 0 1 295.346 0.928 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)CN2CCCC2)nc1 ZINC000873982994 646415127 /nfs/dbraw/zinc/41/51/27/646415127.db2.gz MYVKEMLERKWGMI-UHFFFAOYSA-N 0 1 273.340 0.577 20 30 CCEDMN Cn1cc(CNC(=O)[C@H]2NCCc3cc(C#N)ccc32)cn1 ZINC000876618644 646633139 /nfs/dbraw/zinc/63/31/39/646633139.db2.gz BBGDQUPLWHYWFL-HNNXBMFYSA-N 0 1 295.346 0.795 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1COc2cc(F)ccc2C1 ZINC000876801809 646669404 /nfs/dbraw/zinc/66/94/04/646669404.db2.gz JAUFNOFNSNPXFM-LOWVWBTDSA-N 0 1 289.310 0.605 20 30 CCEDMN Cc1n[nH]c(C)c1CCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000876802234 646670276 /nfs/dbraw/zinc/67/02/76/646670276.db2.gz NEWMFMAGVNMONB-PWSUYJOCSA-N 0 1 261.329 0.187 20 30 CCEDMN C[C@H](CC(N)=O)NCc1cc(C#N)cnc1Cl ZINC000877093553 646729032 /nfs/dbraw/zinc/72/90/32/646729032.db2.gz JGIFXFLOWNARDU-SSDOTTSWSA-N 0 1 252.705 0.960 20 30 CCEDMN C#CCN(C[C@H](O)CC1(O)CCOCC1)C1CSC1 ZINC000878908097 646997098 /nfs/dbraw/zinc/99/70/98/646997098.db2.gz AJYQCICUSUSQJH-CYBMUJFWSA-N 0 1 285.409 0.330 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000880455732 647203391 /nfs/dbraw/zinc/20/33/91/647203391.db2.gz BHBMMCGAKWJGGQ-RKDXNWHRSA-N 0 1 262.317 0.172 20 30 CCEDMN C[C@H](C#N)OCCN1CCC[C@H]2CCNC(=O)[C@@H]21 ZINC000880524403 647219597 /nfs/dbraw/zinc/21/95/97/647219597.db2.gz UIKBXYDTTWMERG-GRYCIOLGSA-N 0 1 251.330 0.516 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccnc1C(F)F ZINC000884249904 647680512 /nfs/dbraw/zinc/68/05/12/647680512.db2.gz TZDIHDFVJLWDPI-VXNVDRBHSA-N 0 1 266.251 0.861 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cncc2[nH]ccc21 ZINC000884251147 647682368 /nfs/dbraw/zinc/68/23/68/647682368.db2.gz WOLINQLJZWHYHX-KCJUWKMLSA-N 0 1 255.281 0.404 20 30 CCEDMN N#CC1(C[C@@H](O)CN2C[C@@H]3C[C@H]2C[S@]3=O)CCC1 ZINC000886268940 647949081 /nfs/dbraw/zinc/94/90/81/647949081.db2.gz GDJNUVOBBMWOLI-GOXNLZCHSA-N 0 1 268.382 0.636 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@@]3(CO)CCC[C@@H]23)CCC1 ZINC000886633394 647992918 /nfs/dbraw/zinc/99/29/18/647992918.db2.gz UTLJMBBHXWFUHO-TZMCWYRMSA-N 0 1 277.368 0.786 20 30 CCEDMN N#Cc1csc(CNC(=O)[C@@H]2C[N@H+]3CCN2CCC3)n1 ZINC000887980622 648179357 /nfs/dbraw/zinc/17/93/57/648179357.db2.gz RSRIISUILFBDBH-NSHDSACASA-N 0 1 291.380 0.021 20 30 CCEDMN C[C@H](CC(C)(C)C#N)NC1CN(S(=O)(=O)N(C)C)C1 ZINC000926773892 648525787 /nfs/dbraw/zinc/52/57/87/648525787.db2.gz IBQSRORTOZDABY-SNVBAGLBSA-N 0 1 288.417 0.395 20 30 CCEDMN C[C@H](C(=O)NCc1cc(N(C)C)ccn1)n1cnc(C#N)n1 ZINC000927698584 648577628 /nfs/dbraw/zinc/57/76/28/648577628.db2.gz FRLCQEWCZGKDBE-SNVBAGLBSA-N 0 1 299.338 0.488 20 30 CCEDMN N#CC[C@@H](O)CN1CCC[C@@H](N2CCOCC2)C1 ZINC000930599535 648878107 /nfs/dbraw/zinc/87/81/07/648878107.db2.gz AHPHEEBTIKDUKQ-CHWSQXEVSA-N 0 1 253.346 0.058 20 30 CCEDMN N#CC[C@H](NC(=O)NCCN1CC[C@@H](O)C1)C(F)(F)F ZINC000932893763 649098650 /nfs/dbraw/zinc/09/86/50/649098650.db2.gz CWHMDCAVPOEHPZ-BDAKNGLRSA-N 0 1 294.277 0.197 20 30 CCEDMN C#C[C@@H]1CCCCN1CCCNS(=O)(=O)CC ZINC000934515602 649178046 /nfs/dbraw/zinc/17/80/46/649178046.db2.gz WFTZLOYFXZYKIU-GFCCVEGCSA-N 0 1 258.387 0.804 20 30 CCEDMN COc1c(F)nccc1NC(=O)NCC#CCN(C)C ZINC000934852157 649194546 /nfs/dbraw/zinc/19/45/46/649194546.db2.gz IGQFNZRMVRKLCF-UHFFFAOYSA-N 0 1 280.303 0.916 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCCN2C(N)=O)CC1 ZINC001006481903 649564842 /nfs/dbraw/zinc/56/48/42/649564842.db2.gz VXSVAMHXZUNDLS-ZDUSSCGKSA-N 0 1 292.383 0.086 20 30 CCEDMN N#CCN1C[C@H](O)[C@@H](CNC(=O)c2n[nH]c3ccccc32)C1 ZINC000958645551 649815926 /nfs/dbraw/zinc/81/59/26/649815926.db2.gz RJOLIVIQGDWZGI-GWCFXTLKSA-N 0 1 299.334 0.109 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncc(Cl)nc3C#N)C[C@@H]21 ZINC001164669913 719378910 /nfs/dbraw/zinc/37/89/10/719378910.db2.gz SEAWKHBOIKWAPH-VHSXEESVSA-N 0 1 279.731 0.521 20 30 CCEDMN COc1nc(N2C[C@@H]3OCCN(C)[C@H]3C2)c(F)cc1C#N ZINC001164667049 719429369 /nfs/dbraw/zinc/42/93/69/719429369.db2.gz FHQOQNNSAOSXNQ-RYUDHWBXSA-N 0 1 292.314 0.620 20 30 CCEDMN Cc1ccc(CC#N)c(N2C[C@@H]3OCCN(C)[C@H]3C2)n1 ZINC001164666881 719452354 /nfs/dbraw/zinc/45/23/54/719452354.db2.gz DRPGOAPYTDGPLC-KBPBESRZSA-N 0 1 272.352 0.975 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCC(=O)NCCC)CC1 ZINC001230061297 805579773 /nfs/dbraw/zinc/57/97/73/805579773.db2.gz HSTUZXDTUJWQDB-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCNCc1c(C)noc1C ZINC001266696524 791152192 /nfs/dbraw/zinc/15/21/92/791152192.db2.gz LIVPYLRTCCLXRR-CYBMUJFWSA-N 0 1 293.367 0.878 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cccc(=O)[nH]2)CC1 ZINC001266281046 790391248 /nfs/dbraw/zinc/39/12/48/790391248.db2.gz CQQYUQKSPJIQFY-UHFFFAOYSA-N 0 1 290.367 0.321 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)Cc2cc[nH]n2)CC1 ZINC001266281625 790392632 /nfs/dbraw/zinc/39/26/32/790392632.db2.gz VKKBJMRMHRTITB-UHFFFAOYSA-N 0 1 291.399 0.262 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cncc2ncn(C)c21 ZINC001266285000 790404617 /nfs/dbraw/zinc/40/46/17/790404617.db2.gz MTKMNQISWWLYAA-UHFFFAOYSA-N 0 1 271.324 0.263 20 30 CCEDMN C#CCN(C)CCNC(=O)CCOC[C@@H]1CCCO1 ZINC001266290921 790429550 /nfs/dbraw/zinc/42/95/50/790429550.db2.gz PFBWOANLKLZULY-ZDUSSCGKSA-N 0 1 268.357 0.253 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cc(F)c[nH]1 ZINC001266298715 790457315 /nfs/dbraw/zinc/45/73/15/790457315.db2.gz NJSJJVKHZOBKTB-UHFFFAOYSA-N 0 1 267.304 0.465 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H]1CC[N@@H+](CCn2cccn2)C1 ZINC001266317529 790494982 /nfs/dbraw/zinc/49/49/82/790494982.db2.gz RHPUGRQRLAQMJE-DZGCQCFKSA-N 0 1 292.383 0.401 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H]1CCN(CCn2cccn2)C1 ZINC001266317529 790494989 /nfs/dbraw/zinc/49/49/89/790494989.db2.gz RHPUGRQRLAQMJE-DZGCQCFKSA-N 0 1 292.383 0.401 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038174922 790588709 /nfs/dbraw/zinc/58/87/09/790588709.db2.gz WJOMZEKBNINUFD-LBPRGKRZSA-N 0 1 256.309 0.714 20 30 CCEDMN CCN(CC#N)CCNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001266390668 790623752 /nfs/dbraw/zinc/62/37/52/790623752.db2.gz HBYIOSYLQBRBBV-NSHDSACASA-N 0 1 275.356 0.791 20 30 CCEDMN C#CCN(CC)CCNC(=O)C1(NC(N)=O)CCCCC1 ZINC001266421451 790667167 /nfs/dbraw/zinc/66/71/67/790667167.db2.gz XCBFMTUTZKBRJJ-UHFFFAOYSA-N 0 1 294.399 0.429 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCO[C@@H]2C[N@H+](C(C)C)C[C@@H]21 ZINC001083198129 790739381 /nfs/dbraw/zinc/73/93/81/790739381.db2.gz CXYVNEBGEMSZJH-CBBWQLFWSA-N 0 1 294.395 0.898 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCO[C@@H]2CN(C(C)C)C[C@@H]21 ZINC001083198129 790739392 /nfs/dbraw/zinc/73/93/92/790739392.db2.gz CXYVNEBGEMSZJH-CBBWQLFWSA-N 0 1 294.395 0.898 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CC[C@@H](CNC(C)=O)C1 ZINC001266498091 790793142 /nfs/dbraw/zinc/79/31/42/790793142.db2.gz PRUIYJGTXOQHHI-AWEZNQCLSA-N 0 1 281.400 0.869 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@H](NCc2cnnn2C)C1 ZINC001266519453 790860612 /nfs/dbraw/zinc/86/06/12/790860612.db2.gz LROFVAIDVVPTMQ-ZDUSSCGKSA-N 0 1 289.383 0.699 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCCNCc2ccccn2)c1 ZINC001125390891 790873597 /nfs/dbraw/zinc/87/35/97/790873597.db2.gz IFSRCGZVJKLVCI-UHFFFAOYSA-N 0 1 269.308 0.801 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2(C(=O)NC)CC2)C1 ZINC001266553578 790920725 /nfs/dbraw/zinc/92/07/25/790920725.db2.gz PSMGAOWQUCWXSU-JTQLQIEISA-N 0 1 285.775 0.456 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCCNCc1nnnn1C ZINC001167360178 790967481 /nfs/dbraw/zinc/96/74/81/790967481.db2.gz NIBNGXOQWGGYIB-UHFFFAOYSA-N 0 1 280.376 0.408 20 30 CCEDMN CN(CCNCC(=O)Nc1ccon1)C(=O)C#CC1CC1 ZINC001266694396 791148305 /nfs/dbraw/zinc/14/83/05/791148305.db2.gz LUVBMSWKNSSYQE-UHFFFAOYSA-N 0 1 290.323 0.075 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@@H]1CCOC1 ZINC001277476375 805704793 /nfs/dbraw/zinc/70/47/93/805704793.db2.gz UHCNROLCFAWUNS-CYBMUJFWSA-N 0 1 268.357 0.111 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@H](C)OC ZINC001231149153 805736719 /nfs/dbraw/zinc/73/67/19/805736719.db2.gz KCMXESCOGUGDQF-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)C[C@@H](C)OC)C2)C1 ZINC001277509256 805783086 /nfs/dbraw/zinc/78/30/86/805783086.db2.gz JOIDQQUEQBGLGT-HIFRSBDPSA-N 0 1 264.369 0.969 20 30 CCEDMN N#Cc1cccc(CCCN2C[C@@H]3C(C(N)=O)=NO[C@@H]3C2)c1 ZINC001277588837 805868895 /nfs/dbraw/zinc/86/88/95/805868895.db2.gz RWRXGHQMPCUZJT-UONOGXRCSA-N 0 1 298.346 0.663 20 30 CCEDMN CN(C1CN(Cc2cc(C#N)ccn2)C1)[C@@H]1CCOC1 ZINC001232479346 805945477 /nfs/dbraw/zinc/94/54/77/805945477.db2.gz QOLJBFMCAGQKBF-CQSZACIVSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCCN([C@@H](C)C(=O)NC)C1 ZINC001266746869 791205302 /nfs/dbraw/zinc/20/53/02/791205302.db2.gz KKFBTIPFULYNEE-UONOGXRCSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(=O)N1C ZINC001039423088 791256348 /nfs/dbraw/zinc/25/63/48/791256348.db2.gz AJEPPJPGRZUFGO-HZSPNIEDSA-N 0 1 291.395 0.859 20 30 CCEDMN COCC#CC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC001143397304 791263202 /nfs/dbraw/zinc/26/32/02/791263202.db2.gz LUDSDKCFLFMYTG-UHFFFAOYSA-N 0 1 270.292 0.738 20 30 CCEDMN COCC#CC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC001143397304 791263212 /nfs/dbraw/zinc/26/32/12/791263212.db2.gz LUDSDKCFLFMYTG-UHFFFAOYSA-N 0 1 270.292 0.738 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)[C@@H]1CCCN(CC(N)=O)C1 ZINC001266894696 791324003 /nfs/dbraw/zinc/32/40/03/791324003.db2.gz WXYPDMVAZGUHGQ-QWHCGFSZSA-N 0 1 279.384 0.492 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@H](NCc2cc(C)no2)C1 ZINC001267010328 791440573 /nfs/dbraw/zinc/44/05/73/791440573.db2.gz SGUQUBDLSLNFKE-ZDUSSCGKSA-N 0 1 291.351 0.713 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H](C)C1CC1 ZINC001267188822 791643088 /nfs/dbraw/zinc/64/30/88/791643088.db2.gz FXGHVYVBEAMJOI-LBPRGKRZSA-N 0 1 252.358 0.730 20 30 CCEDMN C#CC[N@H+](C)CCNC(=O)Cc1cc(=O)n(CC(C)C)[n-]1 ZINC001267205369 791672382 /nfs/dbraw/zinc/67/23/82/791672382.db2.gz JFHIPSMWHYUWGN-UHFFFAOYSA-N 0 1 292.383 0.056 20 30 CCEDMN CN(CCCNC(=O)C[C@H]1C=CCC1)CC(=O)NCC#N ZINC001233760454 806116264 /nfs/dbraw/zinc/11/62/64/806116264.db2.gz YYWHWXHRWUVTMP-ZDUSSCGKSA-N 0 1 292.383 0.421 20 30 CCEDMN CO[C@@H](C)CN1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234178682 806197746 /nfs/dbraw/zinc/19/77/46/806197746.db2.gz NGVBLRKYSMWCGP-JSGCOSHPSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@H]1CCN1CC=C ZINC001234255339 806213200 /nfs/dbraw/zinc/21/32/00/806213200.db2.gz LYPCGDPSSNBOJD-CYBMUJFWSA-N 0 1 250.342 0.745 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001267250130 793242977 /nfs/dbraw/zinc/24/29/77/793242977.db2.gz FWZAABZHTBMRTK-OLZOCXBDSA-N 0 1 279.384 0.349 20 30 CCEDMN C=CC[N@@H+](CC)CCNC(=O)c1c[n-]n2c1nccc2=O ZINC001267252366 793247727 /nfs/dbraw/zinc/24/77/27/793247727.db2.gz MDGQIUKDBYHYMW-UHFFFAOYSA-N 0 1 289.339 0.260 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H](CC(C)C)NC(C)=O ZINC001267255139 793251903 /nfs/dbraw/zinc/25/19/03/793251903.db2.gz BKLBSUISXHPBSV-HNNXBMFYSA-N 0 1 295.427 0.999 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001267256518 793254431 /nfs/dbraw/zinc/25/44/31/793254431.db2.gz ZUJAWURKWRVXHB-ZDUSSCGKSA-N 0 1 288.395 0.976 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1cncs1 ZINC001234559459 806273414 /nfs/dbraw/zinc/27/34/14/806273414.db2.gz DDPXQRZDFMBINL-UHFFFAOYSA-N 0 1 296.396 0.497 20 30 CCEDMN C=C(C)CCC(=O)N(C)C[C@@H]1CCN1CCOCCO ZINC001234604308 806283750 /nfs/dbraw/zinc/28/37/50/806283750.db2.gz NVZUMGLKETWADG-AWEZNQCLSA-N 0 1 284.400 0.884 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CN(C)C(=O)COC ZINC001234973729 806320960 /nfs/dbraw/zinc/32/09/60/806320960.db2.gz JXTOECGPPDJOAO-ZDUSSCGKSA-N 0 1 297.399 0.200 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C1(C(N)=O)CC1 ZINC001277924608 806373514 /nfs/dbraw/zinc/37/35/14/806373514.db2.gz LLDOJKNYZNAPQL-JTQLQIEISA-N 0 1 285.775 0.585 20 30 CCEDMN CN(C)C(=O)CN1CC[C@H]2[C@@H]1CCN2CCC#N ZINC001277938609 806410474 /nfs/dbraw/zinc/41/04/74/806410474.db2.gz CCKBYBIDCJVEAC-RYUDHWBXSA-N 0 1 250.346 0.137 20 30 CCEDMN C=CCNC(=O)C[N@@H+](C)CCCNC(=O)C1=COCCC1 ZINC001235465574 806427333 /nfs/dbraw/zinc/42/73/33/806427333.db2.gz WEXWHUHLHTYCIX-UHFFFAOYSA-N 0 1 295.383 0.421 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C1=COCCC1 ZINC001235465574 806427336 /nfs/dbraw/zinc/42/73/36/806427336.db2.gz WEXWHUHLHTYCIX-UHFFFAOYSA-N 0 1 295.383 0.421 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)NCC#N ZINC001235480173 806431059 /nfs/dbraw/zinc/43/10/59/806431059.db2.gz KDSVYVWVMABMLM-UHFFFAOYSA-N 0 1 266.345 0.030 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@@H+](C)CCCNC(=O)COCC=C ZINC001235698966 806494646 /nfs/dbraw/zinc/49/46/46/806494646.db2.gz QUJLJWMEDMUOGP-ZDUSSCGKSA-N 0 1 297.399 0.318 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)COCC=C ZINC001235698966 806494650 /nfs/dbraw/zinc/49/46/50/806494650.db2.gz QUJLJWMEDMUOGP-ZDUSSCGKSA-N 0 1 297.399 0.318 20 30 CCEDMN C[C@H](CO)CN1CC2(CCN2Cc2cc(C#N)n(C)c2)C1 ZINC001277998867 806604271 /nfs/dbraw/zinc/60/42/71/806604271.db2.gz SAFBFCPKPCNEDL-ZDUSSCGKSA-N 0 1 288.395 0.785 20 30 CCEDMN O=C(CC1CC1)NC[C@@H](CO)NCC#Cc1ccccc1 ZINC001278041790 806628409 /nfs/dbraw/zinc/62/84/09/806628409.db2.gz RZJMXTZBRCQDDR-INIZCTEOSA-N 0 1 286.375 0.905 20 30 CCEDMN C[C@@H]1c2ncc(CC(=O)N3CC(CC#N)C3)n2CCN1C ZINC001278096629 806663100 /nfs/dbraw/zinc/66/31/00/806663100.db2.gz OPEUEALBHFAEFW-LLVKDONJSA-N 0 1 287.367 0.804 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)Cc1ccccc1CC ZINC001278099014 806668956 /nfs/dbraw/zinc/66/89/56/806668956.db2.gz BLILRONXABPUJS-MRXNPFEDSA-N 0 1 288.391 0.882 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CO)N[C@@H](C)C(=O)N(C)C ZINC001278130686 806708956 /nfs/dbraw/zinc/70/89/56/806708956.db2.gz WYMGGEJSSUVJGJ-NWDGAFQWSA-N 0 1 299.415 0.132 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)C[C@H](O)c1ccc(F)cc1 ZINC001121895572 799086253 /nfs/dbraw/zinc/08/62/53/799086253.db2.gz FFLCIURJHOBAIF-FZMZJTMJSA-N 0 1 278.327 0.977 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1(CCC)CCC1 ZINC001278140196 806715159 /nfs/dbraw/zinc/71/51/59/806715159.db2.gz YURXPFOCPREFOP-LBPRGKRZSA-N 0 1 252.358 0.657 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCCN(c2ccccc2)C1 ZINC001121923559 799096161 /nfs/dbraw/zinc/09/61/61/799096161.db2.gz NIWGZESYFHZJKS-CQSZACIVSA-N 0 1 271.364 0.994 20 30 CCEDMN C#CCNCC(=O)NCC1(CC(=O)OC)CCCC1 ZINC001122352603 799210162 /nfs/dbraw/zinc/21/01/62/799210162.db2.gz YOTNQUVBFNTRRH-UHFFFAOYSA-N 0 1 266.341 0.449 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC001122365023 799213899 /nfs/dbraw/zinc/21/38/99/799213899.db2.gz LWPOMIDOYTYBRT-STQMWFEESA-N 0 1 266.341 0.006 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnn(C)c1C ZINC001123592240 799438195 /nfs/dbraw/zinc/43/81/95/799438195.db2.gz PEBCZQXSDLWHKX-UHFFFAOYSA-N 0 1 256.737 0.800 20 30 CCEDMN Cc1cccnc1CNCCNC(=O)CSCC#N ZINC001123786620 799474154 /nfs/dbraw/zinc/47/41/54/799474154.db2.gz DBIYKLIGGBIUHC-UHFFFAOYSA-N 0 1 278.381 0.853 20 30 CCEDMN CO[C@H](C)C(=O)NCCNCc1cc(C#N)ccc1F ZINC001124271771 799585467 /nfs/dbraw/zinc/58/54/67/799585467.db2.gz AEVDXBRIHQMXCH-SNVBAGLBSA-N 0 1 279.315 0.938 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](C)c1ccc(F)cc1F ZINC001278201325 806770567 /nfs/dbraw/zinc/77/05/67/806770567.db2.gz FBVDZMUPXQRHKU-CMPLNLGQSA-N 0 1 296.317 0.768 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CN(CC)c1ccccc1 ZINC001278203084 806771481 /nfs/dbraw/zinc/77/14/81/806771481.db2.gz YCVHNKVLRHHLHF-CQSZACIVSA-N 0 1 289.379 0.213 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)CCN1CC[C@@H](F)C1 ZINC001138991152 799773210 /nfs/dbraw/zinc/77/32/10/799773210.db2.gz JNJVMSWWRWSRNC-VXGBXAGGSA-N 0 1 256.321 0.311 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](OC)C1CCCCC1 ZINC001278210894 806775263 /nfs/dbraw/zinc/77/52/63/806775263.db2.gz CGSSRRDXFJBRNA-KBPBESRZSA-N 0 1 282.384 0.282 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2nccn2C)[C@H]1C ZINC001278232063 806782886 /nfs/dbraw/zinc/78/28/86/806782886.db2.gz IEOCOMYVZMPVDJ-CHWSQXEVSA-N 0 1 292.383 0.702 20 30 CCEDMN N#Cc1ncn(CC(=O)Nc2ccc(F)c(F)c2O)n1 ZINC001141879908 800043922 /nfs/dbraw/zinc/04/39/22/800043922.db2.gz KGPYQEOEASUCMU-UHFFFAOYSA-N 0 1 279.206 0.772 20 30 CCEDMN CNC(=O)N1CC2(C1)CCN(Cc1cc(C#N)n(C)c1)C2 ZINC001278271531 806801333 /nfs/dbraw/zinc/80/13/33/806801333.db2.gz OXMBJGDTLVGIFV-UHFFFAOYSA-N 0 1 287.367 0.744 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CCC(=O)NCC)C1 ZINC001149384213 800253728 /nfs/dbraw/zinc/25/37/28/800253728.db2.gz QXFJJGUTGFTNOH-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H]1CN(CC#CC)CCCO1 ZINC001149707383 800292644 /nfs/dbraw/zinc/29/26/44/800292644.db2.gz HXVKRIWMWJOBCP-LSDHHAIUSA-N 0 1 292.379 0.255 20 30 CCEDMN Cc1cccc2nc(C(=O)n3nc(N)c(C#N)c3N)[nH]c21 ZINC001151982920 800476208 /nfs/dbraw/zinc/47/62/08/800476208.db2.gz QLVWTKUOANCROL-UHFFFAOYSA-N 0 1 281.279 0.792 20 30 CCEDMN CCN(Cc1n[nH]c(C)n1)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152413783 800519826 /nfs/dbraw/zinc/51/98/26/800519826.db2.gz RHIWEXQWWOGKPI-VHSXEESVSA-N 0 1 278.360 0.599 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2cnc3ccc[nH]c2-3)c1N ZINC001153239793 800613219 /nfs/dbraw/zinc/61/32/19/800613219.db2.gz XLIAQZLZNLHCPF-UHFFFAOYSA-N 0 1 267.252 0.484 20 30 CCEDMN C#CC1(F)CN(C(=O)CN(C)[C@@H]2CCC[C@H]2O)C1 ZINC001153625446 800647230 /nfs/dbraw/zinc/64/72/30/800647230.db2.gz RBSBTDPAKPKOBI-GHMZBOCLSA-N 0 1 254.305 0.015 20 30 CCEDMN N#CC1(NC(=O)c2cnc3cccnc3c2O)CCOCC1 ZINC001153863473 800680729 /nfs/dbraw/zinc/68/07/29/800680729.db2.gz OXPWVQIOJXYSHA-UHFFFAOYSA-N 0 1 298.302 0.726 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1c[nH]nc1C ZINC001154549458 800797669 /nfs/dbraw/zinc/79/76/69/800797669.db2.gz SDDVEUAUZGINNZ-GFCCVEGCSA-N 0 1 292.383 0.808 20 30 CCEDMN C[C@@H](NCCCNC(=O)[C@@H](C)C#N)c1nncn1C ZINC001155841093 801063361 /nfs/dbraw/zinc/06/33/61/801063361.db2.gz CDVAWOGDRGAKBC-VHSXEESVSA-N 0 1 264.333 0.132 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2cc(C)n[nH]2)CC1 ZINC001155874152 801070843 /nfs/dbraw/zinc/07/08/43/801070843.db2.gz WSACWFTXNMSJFL-UHFFFAOYSA-N 0 1 274.368 0.865 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cc2n[nH]nc2cc1F ZINC001156075718 801109696 /nfs/dbraw/zinc/10/96/96/801109696.db2.gz JYKMLGHLSIQRNM-UHFFFAOYSA-N 0 1 271.215 0.944 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1nccc2c[nH]nc21 ZINC001156478763 801172038 /nfs/dbraw/zinc/17/20/38/801172038.db2.gz LWYQPLRDVMCTDW-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN Cc1cc(-n2c(C)ncc(CN)c2=N)ncc1C#N ZINC001156829878 801245269 /nfs/dbraw/zinc/24/52/69/801245269.db2.gz WNENJGNFBAQINW-UHFFFAOYSA-N 0 1 254.297 0.694 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cc(CC#N)ccn1 ZINC001156830622 801245783 /nfs/dbraw/zinc/24/57/83/801245783.db2.gz PQFBUJCWNFUBBH-UHFFFAOYSA-N 0 1 254.297 0.580 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CC(=O)NCC ZINC001157841056 801497772 /nfs/dbraw/zinc/49/77/72/801497772.db2.gz FAQJZJLGNPYBIN-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1nccc(C)n1 ZINC001157869437 801506155 /nfs/dbraw/zinc/50/61/55/801506155.db2.gz MDBNFKYYKGSKNF-CYBMUJFWSA-N 0 1 290.367 0.419 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN[C@H](C)c1nnc(C)[nH]1 ZINC001157874498 801508315 /nfs/dbraw/zinc/50/83/15/801508315.db2.gz QJBPBYUFHBVNFR-GHMZBOCLSA-N 0 1 293.371 0.308 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCCNC1=O ZINC001158096735 801570239 /nfs/dbraw/zinc/57/02/39/801570239.db2.gz RECQOPNUBHNBNR-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC=C(CCNC(C)=O)CC1 ZINC001159021919 801740606 /nfs/dbraw/zinc/74/06/06/801740606.db2.gz ALPRZJFXHZHOBJ-GFCCVEGCSA-N 0 1 279.384 0.835 20 30 CCEDMN N#Cc1nc(Cl)cnc1NC[C@H]1COCCN1 ZINC001159042361 801747125 /nfs/dbraw/zinc/74/71/25/801747125.db2.gz FZUCDRPQXRKTAR-ZETCQYMHSA-N 0 1 253.693 0.402 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1NCC1CN(C)C1 ZINC001159222749 801776051 /nfs/dbraw/zinc/77/60/51/801776051.db2.gz RGWPPIPGIOQNRY-UHFFFAOYSA-N 0 1 256.313 0.756 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cn2cc(C)cn2)CC1 ZINC001159458800 801810575 /nfs/dbraw/zinc/81/05/75/801810575.db2.gz XZGHHRVEOGBFIM-UHFFFAOYSA-N 0 1 286.379 0.963 20 30 CCEDMN CC[C@](N)(CO)Nc1nc2c(cc1C#N)COCC2 ZINC001159749380 801862228 /nfs/dbraw/zinc/86/22/28/801862228.db2.gz YIJQSIGMIFIWSC-CYBMUJFWSA-N 0 1 262.313 0.495 20 30 CCEDMN COC(=O)c1ccc(C(=N)Nc2cc(CN)ncn2)cc1 ZINC001159848268 801880185 /nfs/dbraw/zinc/88/01/85/801880185.db2.gz XAFRGCJRMHZVRI-UHFFFAOYSA-N 0 1 285.307 0.759 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CC(C)(C)O)CC1 ZINC001159979897 801896036 /nfs/dbraw/zinc/89/60/36/801896036.db2.gz WGWMSEYCGZZGRO-UHFFFAOYSA-N 0 1 264.369 0.919 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2ncn(C)n2)CC1 ZINC001160081463 801905616 /nfs/dbraw/zinc/90/56/16/801905616.db2.gz MDKBTHPZOQPPNW-UHFFFAOYSA-N 0 1 273.340 0.200 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@H](N)Cc2ccccc2F)CCN1 ZINC001160651757 801990061 /nfs/dbraw/zinc/99/00/61/801990061.db2.gz XSZILERQWCOXEB-DGCLKSJQSA-N 0 1 276.315 0.019 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCc2[nH]nc(C(F)(F)F)c2C1 ZINC001160834375 802025671 /nfs/dbraw/zinc/02/56/71/802025671.db2.gz ZJUJIMLAALBJFH-NSHDSACASA-N 0 1 286.257 0.664 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@]2(C)CCNC2=O)CC1 ZINC001161199549 802109335 /nfs/dbraw/zinc/10/93/35/802109335.db2.gz IMNUHJVLNRGTBK-MRXNPFEDSA-N 0 1 289.379 0.284 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2ccnnc2)CC1 ZINC001161314236 802124343 /nfs/dbraw/zinc/12/43/43/802124343.db2.gz PXXBWLMXHUNUNH-UHFFFAOYSA-N 0 1 270.336 0.862 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(C)=O)(COC)CC1 ZINC001162026803 802266263 /nfs/dbraw/zinc/26/62/63/802266263.db2.gz LSEJASCZCBWSPX-UHFFFAOYSA-N 0 1 297.399 0.153 20 30 CCEDMN Cc1nc(C#N)cnc1-n1c(CN)nc2cnccc21 ZINC001162220348 802290606 /nfs/dbraw/zinc/29/06/06/802290606.db2.gz UOLDWUGRRDCZTG-UHFFFAOYSA-N 0 1 265.280 0.849 20 30 CCEDMN Cc1nc(-n2c(CN)nc3cnccc32)cnc1C#N ZINC001162219992 802291094 /nfs/dbraw/zinc/29/10/94/802291094.db2.gz NMPLFDFAICMKNY-UHFFFAOYSA-N 0 1 265.280 0.849 20 30 CCEDMN C=C[C@H](COC)NC(=O)CSc1nnc(C)[nH]1 ZINC001255042388 808312589 /nfs/dbraw/zinc/31/25/89/808312589.db2.gz VBFHVGILCCSPNS-MRVPVSSYSA-N 0 1 256.331 0.522 20 30 CCEDMN C=C[C@H](COC)NC(=O)CSc1nc(C)n[nH]1 ZINC001255042388 808312598 /nfs/dbraw/zinc/31/25/98/808312598.db2.gz VBFHVGILCCSPNS-MRVPVSSYSA-N 0 1 256.331 0.522 20 30 CCEDMN N#Cc1cc(NCc2nnc3n2CCCNC3)cnc1F ZINC001163022391 802463141 /nfs/dbraw/zinc/46/31/41/802463141.db2.gz VKXUSYZDYWQAFR-UHFFFAOYSA-N 0 1 287.302 0.789 20 30 CCEDMN C=CCS(=O)(=O)Nc1cnc(C(=O)OCC)cn1 ZINC001259922835 808804744 /nfs/dbraw/zinc/80/47/44/808804744.db2.gz VQAOUXGVSJFKIR-UHFFFAOYSA-N 0 1 271.298 0.581 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCCNCc1nnnn1C ZINC001163855950 802625849 /nfs/dbraw/zinc/62/58/49/802625849.db2.gz VGVWZBWTCNSYTO-UHFFFAOYSA-N 0 1 280.376 0.408 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ocnc2C)C1 ZINC001265276613 809742329 /nfs/dbraw/zinc/74/23/29/809742329.db2.gz FPVSRLIOORGZAG-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCNCc1ncnn1C ZINC001164221492 802690424 /nfs/dbraw/zinc/69/04/24/802690424.db2.gz BOVPDYIBFSELQE-RYUDHWBXSA-N 0 1 279.388 0.869 20 30 CCEDMN N#CCc1nccnc1NCCNC(=O)C(F)(F)F ZINC001164238655 802694397 /nfs/dbraw/zinc/69/43/97/802694397.db2.gz ZZUPQKVRALIDLW-UHFFFAOYSA-N 0 1 273.218 0.633 20 30 CCEDMN C#CCN1CC=C(CNC(=O)COCCCOC)CC1 ZINC001279668568 809993074 /nfs/dbraw/zinc/99/30/74/809993074.db2.gz CBOJDIPYONODJG-UHFFFAOYSA-N 0 1 280.368 0.421 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001164324151 802723037 /nfs/dbraw/zinc/72/30/37/802723037.db2.gz PWLRVLYFACRVQG-LLVKDONJSA-N 0 1 295.387 0.307 20 30 CCEDMN N#CNC(=Nc1ccc(CC(N)=O)nn1)c1ccncc1 ZINC001164502509 802751160 /nfs/dbraw/zinc/75/11/60/802751160.db2.gz ANVCBOWPHKGPOI-UHFFFAOYSA-N 0 1 281.279 0.048 20 30 CCEDMN N#CCCN1CCN(c2ncnc3c2CCNC3)CC1 ZINC001165056265 802779221 /nfs/dbraw/zinc/77/92/21/802779221.db2.gz OAYCRHUZVORPBL-UHFFFAOYSA-N 0 1 272.356 0.158 20 30 CCEDMN COCCCN1CCN(c2cnc(C#N)c(C)n2)CC1 ZINC001165097270 802780557 /nfs/dbraw/zinc/78/05/57/802780557.db2.gz PWERHFQCBCRFCT-UHFFFAOYSA-N 0 1 275.356 0.815 20 30 CCEDMN Cc1nc(C#N)cc(N2CC(N(C)[C@@H]3CCOC3)C2)n1 ZINC001165206113 802796650 /nfs/dbraw/zinc/79/66/50/802796650.db2.gz YAXANUWYXOVIMD-GFCCVEGCSA-N 0 1 273.340 0.566 20 30 CCEDMN C=CCOc1cc(C)nc(N2CCNC[C@@H]2C(=O)OC)n1 ZINC001165440010 802843800 /nfs/dbraw/zinc/84/38/00/802843800.db2.gz WVNYYUPQORPSPZ-LLVKDONJSA-N 0 1 292.339 0.301 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCNCc2nncn2C)C1 ZINC001166249556 802896579 /nfs/dbraw/zinc/89/65/79/802896579.db2.gz VXYNOSFCLHBWFM-UHFFFAOYSA-N 0 1 277.372 0.767 20 30 CCEDMN N#Cc1nn(Cc2[nH]nc3c2COCC3)cc1[N+](=O)[O-] ZINC001166571000 802917481 /nfs/dbraw/zinc/91/74/81/802917481.db2.gz XQYQNLHSZXEOEP-UHFFFAOYSA-N 0 1 274.240 0.507 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cn(C)nc3C)[C@@H]2C1 ZINC001075616587 812420788 /nfs/dbraw/zinc/42/07/88/812420788.db2.gz XRIZHYIJZZTOEW-GXTWGEPZSA-N 0 1 272.352 0.508 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H]1CCCCN(C)C1=O ZINC001268254769 812421354 /nfs/dbraw/zinc/42/13/54/812421354.db2.gz CKFUZAYRVRCJON-AWEZNQCLSA-N 0 1 293.411 0.658 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC1CN(Cc2n[nH]c(C)n2)C1 ZINC001268258027 812425752 /nfs/dbraw/zinc/42/57/52/812425752.db2.gz VBIQPYFNUXASJQ-JTQLQIEISA-N 0 1 293.371 0.395 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](CNC(=O)c2cnnn2CC)C1 ZINC001028527970 812816514 /nfs/dbraw/zinc/81/65/14/812816514.db2.gz ZOABNMZOHXRJGF-LBPRGKRZSA-N 0 1 275.356 0.373 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCNCc1nonc1C ZINC001166982994 803008189 /nfs/dbraw/zinc/00/81/89/803008189.db2.gz SZYUSEKRRLSGDT-YPMHNXCESA-N 0 1 294.355 0.565 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCNCc1cnon1 ZINC001166986677 803008686 /nfs/dbraw/zinc/00/86/86/803008686.db2.gz MJZYBZHNJKLOEK-NEPJUHHUSA-N 0 1 280.328 0.257 20 30 CCEDMN C=CCOCCN1CC([C@@H](C)NC(=O)c2nonc2C)C1 ZINC001276469344 803085775 /nfs/dbraw/zinc/08/57/75/803085775.db2.gz VIXURBVCZVRAFJ-SNVBAGLBSA-N 0 1 294.355 0.631 20 30 CCEDMN CCOCCCC(=O)N[C@@H]1CN(CC#CCOC)C[C@H]1C ZINC001206197529 803213732 /nfs/dbraw/zinc/21/37/32/803213732.db2.gz SLJZPIIIPKXRDQ-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)CCCCOC)C1 ZINC001206495604 803254619 /nfs/dbraw/zinc/25/46/19/803254619.db2.gz WCNSYLDNXTXTNR-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCOC2)C1 ZINC001206531638 803261424 /nfs/dbraw/zinc/26/14/24/803261424.db2.gz IETREPKARACBIT-RBSFLKMASA-N 0 1 294.395 0.499 20 30 CCEDMN CC(=O)N(C)[C@H]1CCN(Cc2cc(C#N)n(C)c2)C1 ZINC001207110902 803355186 /nfs/dbraw/zinc/35/51/86/803355186.db2.gz WHMUGMRTRHVVNQ-ZDUSSCGKSA-N 0 1 260.341 0.949 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CN(C)C(=O)C2CC2)C1 ZINC001207324726 803385439 /nfs/dbraw/zinc/38/54/39/803385439.db2.gz YBROXEQOSCTSMH-TZMCWYRMSA-N 0 1 291.395 0.315 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CNC(=O)CC)C1 ZINC001207684904 803437712 /nfs/dbraw/zinc/43/77/12/803437712.db2.gz VFUNZZYYCZWFLP-NSHDSACASA-N 0 1 287.791 0.846 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](OC)C2CCC2)C1 ZINC001208221226 803498379 /nfs/dbraw/zinc/49/83/79/803498379.db2.gz ZJVSVMABZGWIGA-MRVWCRGKSA-N 0 1 264.369 0.871 20 30 CCEDMN N#Cc1ccc(CCCN2CCO[C@@H](C(N)=O)C2)cc1 ZINC001208862591 803550738 /nfs/dbraw/zinc/55/07/38/803550738.db2.gz JQUOCYCHWOEGRW-CQSZACIVSA-N 0 1 273.336 0.677 20 30 CCEDMN C=CCN(CCN1CC[C@@H](CO)[C@@H](O)C1)C(=O)OCC ZINC001209017135 803564678 /nfs/dbraw/zinc/56/46/78/803564678.db2.gz LDDXAQJNYQFGGW-STQMWFEESA-N 0 1 286.372 0.306 20 30 CCEDMN C#CCCCN1CCCn2c(CN(C)C(C)=O)nnc2C1 ZINC001209267203 803585351 /nfs/dbraw/zinc/58/53/51/803585351.db2.gz MLMSQVLEEZPNKH-UHFFFAOYSA-N 0 1 289.383 0.876 20 30 CCEDMN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCC(C)(C#N)CC2)O1 ZINC001209275950 803586243 /nfs/dbraw/zinc/58/62/43/803586243.db2.gz MIWCODJGIURPAX-FRRDWIJNSA-N 0 1 298.383 0.485 20 30 CCEDMN N#Cc1ccccc1CNC[C@@H](NC(=O)C(N)=O)C1CC1 ZINC001276648255 803608976 /nfs/dbraw/zinc/60/89/76/803608976.db2.gz JDGPBKZWBFTARJ-CYBMUJFWSA-N 0 1 286.335 0.028 20 30 CCEDMN N#Cc1nccnc1N1CCN(Cc2cnccc2N)CC1 ZINC001209730909 803626207 /nfs/dbraw/zinc/62/62/07/803626207.db2.gz LSSWXLONXINUSH-UHFFFAOYSA-N 0 1 295.350 0.478 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001213314756 803689920 /nfs/dbraw/zinc/68/99/20/803689920.db2.gz JPMXLCJKUFTFMK-MGPQQGTHSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1OC ZINC001213357349 803691939 /nfs/dbraw/zinc/69/19/39/803691939.db2.gz QJLODSAXTZZLIW-YNEHKIRRSA-N 0 1 270.373 0.539 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@H]2OC)CC1 ZINC001213394873 803692652 /nfs/dbraw/zinc/69/26/52/803692652.db2.gz BPSHAIKFGNZYNM-MGPQQGTHSA-N 0 1 296.411 0.929 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)C(C)(C)NC(C)=O)[C@H](OC)C1 ZINC001213578201 803697489 /nfs/dbraw/zinc/69/74/89/803697489.db2.gz HXFYADKLRZAKCZ-CHWSQXEVSA-N 0 1 297.399 0.293 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C(C)(C)NC(C)=O)[C@H](OC)C1 ZINC001213578201 803697492 /nfs/dbraw/zinc/69/74/92/803697492.db2.gz HXFYADKLRZAKCZ-CHWSQXEVSA-N 0 1 297.399 0.293 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCO)C[C@H]2OC)CCCC1 ZINC001214004219 803708918 /nfs/dbraw/zinc/70/89/18/803708918.db2.gz OXEICECKASJNDC-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN N#C[C@@]1(F)CCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000897516365 814965741 /nfs/dbraw/zinc/96/57/41/814965741.db2.gz DZSCTYHAFXEZOZ-AAEUAGOBSA-N 0 1 267.304 0.171 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)[C@H]1C ZINC001088605941 814969410 /nfs/dbraw/zinc/96/94/10/814969410.db2.gz QVBDGQSDWQLQRM-ZJUUUORDSA-N 0 1 286.339 0.732 20 30 CCEDMN Cn1cc(NC(=O)[C@H](N)Cc2ccccc2F)c(C#N)n1 ZINC001218667240 804072204 /nfs/dbraw/zinc/07/22/04/804072204.db2.gz BYLJMLWQVSXYLR-LLVKDONJSA-N 0 1 287.298 0.939 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1oncc1C(N)=O ZINC001218701998 804087343 /nfs/dbraw/zinc/08/73/43/804087343.db2.gz HOWJZUXYYRNENV-NSHDSACASA-N 0 1 299.290 0.154 20 30 CCEDMN C[S@@](=O)CC[C@H](N)C(=O)Nc1ccc(O)c(C#N)c1 ZINC001218954078 804165570 /nfs/dbraw/zinc/16/55/70/804165570.db2.gz DTMQKUQHXRSWQV-APBUJDDRSA-N 0 1 281.337 0.298 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C(C)C)[C@@H](O)C1 ZINC001219187632 804230282 /nfs/dbraw/zinc/23/02/82/804230282.db2.gz CTVFOKILIGQHQT-NEPJUHHUSA-N 0 1 256.346 0.006 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCOCC(C)C)[C@@H](O)C1 ZINC001219251807 804247681 /nfs/dbraw/zinc/24/76/81/804247681.db2.gz VCALXIAVKIFGSD-KGLIPLIRSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCC[C@@H](C)N1C[C@@H](NC(=O)c2cnns2)[C@@H](O)C1 ZINC001219259393 804250451 /nfs/dbraw/zinc/25/04/51/804250451.db2.gz DMKCXRUNLCUOIC-MXWKQRLJSA-N 0 1 296.396 0.668 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCCOC)[C@@H](O)C1 ZINC001219257555 804251200 /nfs/dbraw/zinc/25/12/00/804251200.db2.gz JCXCMKQYNLPNIC-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(Cc2ccccc2)C[C@@H]1O ZINC001219379542 804292825 /nfs/dbraw/zinc/29/28/25/804292825.db2.gz UJZKQLHJLGREMB-YUTCNCBUSA-N 0 1 273.336 0.508 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC2CC2)[C@@H](O)C1 ZINC001219404280 804301008 /nfs/dbraw/zinc/30/10/08/804301008.db2.gz LQFLCOHJGFCCJG-OLZOCXBDSA-N 0 1 250.342 0.361 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COC(C)(C)C)[C@@H](O)C1 ZINC001219485955 804328927 /nfs/dbraw/zinc/32/89/27/804328927.db2.gz WJBZUQSQNGBLCP-NEPJUHHUSA-N 0 1 270.373 0.539 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C3CC(OC)C3)[C@@H]2C1 ZINC001075922685 815010797 /nfs/dbraw/zinc/01/07/97/815010797.db2.gz FKDMZNGNCIZSRN-VXGQWTEUSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC(C)(F)F)[C@@H](O)C1 ZINC001219899309 804443829 /nfs/dbraw/zinc/44/38/29/804443829.db2.gz ZQZWUUCLCNCNNU-MNOVXSKESA-N 0 1 274.311 0.606 20 30 CCEDMN N#C[C@H]1CC[C@H](NC(=O)C[C@H]2COCCN2)CC1 ZINC001220097470 804498789 /nfs/dbraw/zinc/49/87/89/804498789.db2.gz ZRFRUSYJGFOFCZ-SRVKXCTJSA-N 0 1 251.330 0.563 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@]2(C)C=CCC2)[C@@H](O)C1 ZINC001220149359 804514419 /nfs/dbraw/zinc/51/44/19/804514419.db2.gz IVDYAGQXJBPRRO-YCPHGPKFSA-N 0 1 294.395 0.707 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001220201132 804535837 /nfs/dbraw/zinc/53/58/37/804535837.db2.gz HCMWKPSCKLIWDD-UPJWGTAASA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2n[nH]c(C)n2)C[C@@H]1O ZINC001220247838 804549006 /nfs/dbraw/zinc/54/90/06/804549006.db2.gz WKYXCSHXRUNKOT-NEPJUHHUSA-N 0 1 293.371 0.131 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC1CCN(C(=O)C2CCCC2)CC1 ZINC001220263470 804554895 /nfs/dbraw/zinc/55/48/95/804554895.db2.gz LODXHQPLVLJLDT-INIZCTEOSA-N 0 1 291.395 0.634 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN([C@@H](C)COC)C[C@@H]1O ZINC001220437101 804603408 /nfs/dbraw/zinc/60/34/08/804603408.db2.gz UJIIMZNOGVEVIC-XQQFMLRXSA-N 0 1 284.400 0.785 20 30 CCEDMN C#C[C@H](C)NC(=O)[C@@H](N)Cc1c[nH]c2ncccc12 ZINC001220458239 804609003 /nfs/dbraw/zinc/60/90/03/804609003.db2.gz KZJVHTNVQSUYNC-CABZTGNLSA-N 0 1 256.309 0.571 20 30 CCEDMN C[C@@H]1COCC[C@H]1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001220564877 804625576 /nfs/dbraw/zinc/62/55/76/804625576.db2.gz GWVVUEKDHCEEKF-BYCMXARLSA-N 0 1 287.363 0.969 20 30 CCEDMN CC1(C)[C@H](O)C[C@@H]1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001220766350 804663618 /nfs/dbraw/zinc/66/36/18/804663618.db2.gz QPHPBESVZWVYMF-MELADBBJSA-N 0 1 287.363 0.704 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@H](C(=O)OCC)c1ccccc1 ZINC001220875901 804687148 /nfs/dbraw/zinc/68/71/48/804687148.db2.gz ATOSJLIVIQRFKK-BBRMVZONSA-N 0 1 288.347 0.800 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC1CCN(c2ncccn2)CC1 ZINC001220930001 804700047 /nfs/dbraw/zinc/70/00/47/804700047.db2.gz JNXIZHWROQYEDZ-HNNXBMFYSA-N 0 1 287.367 0.160 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCCCCCO ZINC001221281663 804765111 /nfs/dbraw/zinc/76/51/11/804765111.db2.gz YHFREOUZMJWWHC-AWEZNQCLSA-N 0 1 275.352 0.707 20 30 CCEDMN CC(C)C[C@](C)(C#N)NC(=O)C[C@@H]1COCCN1 ZINC001221292337 804768164 /nfs/dbraw/zinc/76/81/64/804768164.db2.gz JXRHPFJCIQTVAO-DGCLKSJQSA-N 0 1 253.346 0.809 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccc2oc(=O)[nH]c2c1 ZINC001221385180 804797682 /nfs/dbraw/zinc/79/76/82/804797682.db2.gz MQMWEBIYAJBKMZ-ZDUSSCGKSA-N 0 1 259.265 0.500 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CCN(CCn3cncn3)C[C@H]21 ZINC001221429323 804814514 /nfs/dbraw/zinc/81/45/14/804814514.db2.gz SBNCQLLWUJWNRB-ZIAGYGMSSA-N 0 1 289.383 0.777 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H]2CCN(C(=O)C3CC3)C[C@@H]21 ZINC001222161763 804877324 /nfs/dbraw/zinc/87/73/24/804877324.db2.gz WRMLKBCWMQOZSJ-OCCSQVGLSA-N 0 1 291.395 0.621 20 30 CCEDMN C=CCCC(=O)NCC1CCN(CC(N)=O)CC1 ZINC001222579055 804917340 /nfs/dbraw/zinc/91/73/40/804917340.db2.gz NVHLQLALRXMAQP-UHFFFAOYSA-N 0 1 253.346 0.266 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)C[C@H](C)OC)CC1 ZINC001222601343 804921045 /nfs/dbraw/zinc/92/10/45/804921045.db2.gz ZLGKOJBJIAZNRQ-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)CC1(O)CCC1 ZINC001276843423 804937222 /nfs/dbraw/zinc/93/72/22/804937222.db2.gz XOEJOJRPMPJODP-ZDUSSCGKSA-N 0 1 282.384 0.685 20 30 CCEDMN N#Cc1ccccc1C[N@H+]1CC[C@H](OCc2nnn[n-]2)C1 ZINC001223027802 804948216 /nfs/dbraw/zinc/94/82/16/804948216.db2.gz TZFJSOSBGZQTLI-ZDUSSCGKSA-N 0 1 284.323 0.862 20 30 CCEDMN C=CCCC[N@H+]1CC[C@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001276884432 804967028 /nfs/dbraw/zinc/96/70/28/804967028.db2.gz SALAQZATGHGLGA-VIFPVBQESA-N 0 1 293.327 0.053 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cn(C)nc2C)[C@H]1C ZINC001088626955 815044246 /nfs/dbraw/zinc/04/42/46/815044246.db2.gz QQQNIIDDSKPEHG-HIFRSBDPSA-N 0 1 288.395 0.873 20 30 CCEDMN C=CCN1CC[C@@H]2CCN(C(=O)C(=O)NCC3CC3)C[C@@H]21 ZINC001223778445 804991435 /nfs/dbraw/zinc/99/14/35/804991435.db2.gz NKFXHQOHSWJHPU-KGLIPLIRSA-N 0 1 291.395 0.621 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)COCCOCC)C[C@@H]21 ZINC001224251332 805019425 /nfs/dbraw/zinc/01/94/25/805019425.db2.gz XHDQAOBKHYZNNX-CABCVRRESA-N 0 1 294.395 0.596 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCN(C)C2=O)[C@H]1C ZINC001088646881 815047384 /nfs/dbraw/zinc/04/73/84/815047384.db2.gz LNEJUGZKLYUCKE-MCIONIFRSA-N 0 1 291.395 0.457 20 30 CCEDMN COCC#CCN1CC[C@](C)(NC(=O)[C@H](C)COC)C1 ZINC001278368947 807041569 /nfs/dbraw/zinc/04/15/69/807041569.db2.gz JLQXQWYKQNUPQN-HIFRSBDPSA-N 0 1 282.384 0.499 20 30 CCEDMN CCCCNC(=O)CNC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001277020361 805107282 /nfs/dbraw/zinc/10/72/82/805107282.db2.gz PBMGGSPZMFLNMQ-NSHDSACASA-N 0 1 280.372 0.301 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@H]2CC2(C)C)CC1 ZINC001277035353 805121343 /nfs/dbraw/zinc/12/13/43/805121343.db2.gz BAFRXPDODYKFKX-LLVKDONJSA-N 0 1 279.384 0.573 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@H]2CC2(C)C)CC1 ZINC001277042462 805127197 /nfs/dbraw/zinc/12/71/97/805127197.db2.gz AUQJIGIKENWQHH-VXGBXAGGSA-N 0 1 291.395 0.409 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)CCC(=C)C)CC1 ZINC001277072224 805162588 /nfs/dbraw/zinc/16/25/88/805162588.db2.gz RJKKVQOQADPZOZ-CYBMUJFWSA-N 0 1 291.395 0.719 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2CCOC2)CC1 ZINC001226377906 805225094 /nfs/dbraw/zinc/22/50/94/805225094.db2.gz WFXXZSSELJMBHS-ZDUSSCGKSA-N 0 1 280.368 0.253 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@@H](F)C(C)C)CC1 ZINC001277141210 805254531 /nfs/dbraw/zinc/25/45/31/805254531.db2.gz NMBYVCPEMQXYGV-NEPJUHHUSA-N 0 1 297.374 0.357 20 30 CCEDMN C[C@H](CCCNCc1cn(C)nn1)NC(=O)[C@@H](C)C#N ZINC001277147362 805266778 /nfs/dbraw/zinc/26/67/78/805266778.db2.gz DBZGNJXRQPGYFX-WDEREUQCSA-N 0 1 278.360 0.349 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C2=CCOCC2)CC1 ZINC001226985191 805302927 /nfs/dbraw/zinc/30/29/27/805302927.db2.gz AVGMDZDQSIKOHF-UHFFFAOYSA-N 0 1 292.379 0.564 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1CCN(CC#CCOC)CC1 ZINC001227123974 805325250 /nfs/dbraw/zinc/32/52/50/805325250.db2.gz KQPCKWSHHJMLFQ-MRXNPFEDSA-N 0 1 294.395 0.544 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC(NC(=O)C#CC(C)(C)C)CC1 ZINC001227281821 805340342 /nfs/dbraw/zinc/34/03/42/805340342.db2.gz YQERMVDHMLFTPI-CYBMUJFWSA-N 0 1 293.411 0.880 20 30 CCEDMN C#CCN1CCC(NC(=O)CN(C)C(=O)C(C)C)CC1 ZINC001227629412 805374597 /nfs/dbraw/zinc/37/45/97/805374597.db2.gz VCTPBIZNRLJUON-UHFFFAOYSA-N 0 1 279.384 0.315 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1[nH]c(=O)nc2c1COC2 ZINC001227690754 805379106 /nfs/dbraw/zinc/37/91/06/805379106.db2.gz OJDGHMTYBLIHSO-YFKPBYRVSA-N 0 1 264.237 0.811 20 30 CCEDMN N#CCCN1CC(Oc2[nH]c(=O)nc3cc[nH]c32)C1 ZINC001227809268 805393928 /nfs/dbraw/zinc/39/39/28/805393928.db2.gz NZBCAFVLHNEVNQ-UHFFFAOYSA-N 0 1 259.269 0.640 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ccsn2)CC1 ZINC001227938093 805403139 /nfs/dbraw/zinc/40/31/39/805403139.db2.gz RQIRZLFFQMCIAG-UHFFFAOYSA-N 0 1 293.392 0.987 20 30 CCEDMN C[C@@H]1CSC[C@@H]1NC(=O)NCC#CCN(C)C ZINC001228095405 805412762 /nfs/dbraw/zinc/41/27/62/805412762.db2.gz RWILUAQNRGJEGZ-MNOVXSKESA-N 0 1 255.387 0.602 20 30 CCEDMN CC#CCN1CCC(NC(=O)COc2cnn(C)c2)CC1 ZINC001228879162 805485799 /nfs/dbraw/zinc/48/57/99/805485799.db2.gz HKRORKYZXLCUJZ-UHFFFAOYSA-N 0 1 290.367 0.403 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1nc(OC)nc(OC)n1 ZINC001229426029 805531970 /nfs/dbraw/zinc/53/19/70/805531970.db2.gz PQYZKEUHXORNHF-RXMQYKEDSA-N 0 1 267.241 0.487 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)NC2)[C@H]1C ZINC001088692585 815087692 /nfs/dbraw/zinc/08/76/92/815087692.db2.gz XLTZSGXHGJXGFJ-UPJWGTAASA-N 0 1 277.368 0.115 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](NCc2cc(C#N)co2)CN1 ZINC001246485410 807261655 /nfs/dbraw/zinc/26/16/55/807261655.db2.gz UIJWXWQZPGIXEM-PWSUYJOCSA-N 0 1 263.297 0.534 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](N2CCC[C@H](CC#N)C2)CN1 ZINC001246799876 807387757 /nfs/dbraw/zinc/38/77/57/807387757.db2.gz UUBKVCVYKYISEZ-UPJWGTAASA-N 0 1 265.357 0.906 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCN(C(C)=O)C2)[C@H]1C ZINC001088720561 815117859 /nfs/dbraw/zinc/11/78/59/815117859.db2.gz QIAYNGKQTSTNMO-YUELXQCFSA-N 0 1 291.395 0.457 20 30 CCEDMN Cc1nnsc1CNCCNC(=O)C#CC(C)C ZINC001126816352 815132538 /nfs/dbraw/zinc/13/25/38/815132538.db2.gz ZCKBFJWDWGGQTM-UHFFFAOYSA-N 0 1 266.370 0.712 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C[C@@H]1CCOC1)C1CC1 ZINC001251707736 807695729 /nfs/dbraw/zinc/69/57/29/807695729.db2.gz DVEJBQVCUSLKHP-ZDUSSCGKSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCOC[C@@H](O)CN1CC[C@@H](C)[C@](O)(C(F)(F)F)C1 ZINC001251833007 807714406 /nfs/dbraw/zinc/71/44/06/807714406.db2.gz XBESVSQHRWLNJH-WOPDTQHZSA-N 0 1 295.301 0.632 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cccc(Br)n1 ZINC001251887365 807735369 /nfs/dbraw/zinc/73/53/69/807735369.db2.gz ANZUZXYLFMAXJR-LLVKDONJSA-N 0 1 299.168 0.944 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@@H]1Cc2ccccc2NC1=O ZINC001251884510 807733298 /nfs/dbraw/zinc/73/32/98/807733298.db2.gz AFYQUFBBJBTURT-GXTWGEPZSA-N 0 1 274.320 0.150 20 30 CCEDMN C#CCOC[C@H](O)CNCC(=O)c1ccc(F)cc1 ZINC001251884568 807734036 /nfs/dbraw/zinc/73/40/36/807734036.db2.gz BQUKLSZMWXUYHQ-CYBMUJFWSA-N 0 1 265.284 0.609 20 30 CCEDMN C#CCOC[C@H](O)CNCC(=O)Cc1ccc(F)cc1 ZINC001251896801 807741284 /nfs/dbraw/zinc/74/12/84/807741284.db2.gz NWWMPJKLDAQDEZ-OAHLLOKOSA-N 0 1 279.311 0.538 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ccnc(OC2CCC2)n1 ZINC001251900087 807741586 /nfs/dbraw/zinc/74/15/86/807741586.db2.gz JCLVUUNWEUAUTL-CYBMUJFWSA-N 0 1 291.351 0.508 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ccc(OC)nc1OC ZINC001251897316 807741718 /nfs/dbraw/zinc/74/17/18/807741718.db2.gz XGDBJYSKIKBNSM-LBPRGKRZSA-N 0 1 280.324 0.199 20 30 CCEDMN C#CCOC[C@@H](O)CNCC(=O)c1ccc(O)cc1 ZINC001251902998 807742654 /nfs/dbraw/zinc/74/26/54/807742654.db2.gz CCZARORNRJLOAV-ZDUSSCGKSA-N 0 1 263.293 0.175 20 30 CCEDMN C#CCOC[C@H](O)CN[C@H]1COc2c1cccc2OC ZINC001251906743 807744965 /nfs/dbraw/zinc/74/49/65/807744965.db2.gz LGWPZLQKWRYFEO-YPMHNXCESA-N 0 1 277.320 0.729 20 30 CCEDMN N#C[C@H]1CN(C[C@@H](O)COc2ccc(F)cc2)CCC1=O ZINC001251925064 807745776 /nfs/dbraw/zinc/74/57/76/807745776.db2.gz HOPUZCKVACEDFP-WCQYABFASA-N 0 1 292.310 0.980 20 30 CCEDMN COC(=O)[C@H](O)CNCc1ccc(F)c(C#N)c1 ZINC001252444469 807860435 /nfs/dbraw/zinc/86/04/35/807860435.db2.gz HORLMSDTOMXMFS-LLVKDONJSA-N 0 1 252.245 0.321 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCN(C(C)=O)[C@H](C)C1 ZINC001252473803 807885648 /nfs/dbraw/zinc/88/56/48/807885648.db2.gz QFJFERASUMQXEB-YPMHNXCESA-N 0 1 256.346 0.103 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cc2ccccn2n1 ZINC001252497468 807894294 /nfs/dbraw/zinc/89/42/94/807894294.db2.gz SDVIGNSWXUXGOQ-AWEZNQCLSA-N 0 1 261.325 0.987 20 30 CCEDMN C=CCC[C@@H](O)CN1Cc2ccnn2CC[C@@H]1CO ZINC001252602302 807917467 /nfs/dbraw/zinc/91/74/67/807917467.db2.gz OPJSOLNYAMCDIH-ZIAGYGMSSA-N 0 1 265.357 0.777 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCOC1 ZINC001038119605 815171975 /nfs/dbraw/zinc/17/19/75/815171975.db2.gz IZZHZAGWVCMCJH-CHWSQXEVSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN1CCN(C[C@@H](O)COC(C)(C)C)CC1 ZINC001253451673 808069691 /nfs/dbraw/zinc/06/96/91/808069691.db2.gz CZOKXMPCDFOMBZ-CYBMUJFWSA-N 0 1 256.390 0.966 20 30 CCEDMN C=C[C@@H](O)CN1Cc2cn(C)nc2[C@@H](COCC)C1 ZINC001253576124 808082767 /nfs/dbraw/zinc/08/27/67/808082767.db2.gz APDLUFXDTMUKJC-CHWSQXEVSA-N 0 1 265.357 0.903 20 30 CCEDMN C#Cc1cc(NC[C@H](O)C(=O)OC(C)(C)C)ccn1 ZINC001253669197 808106453 /nfs/dbraw/zinc/10/64/53/808106453.db2.gz NFZPKNXKXMZPQH-LBPRGKRZSA-N 0 1 262.309 0.599 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H](O)CNCc1ccn(C)n1 ZINC001278749390 808160977 /nfs/dbraw/zinc/16/09/77/808160977.db2.gz IZOGWSOUZXKKCJ-AWEZNQCLSA-N 0 1 292.383 0.133 20 30 CCEDMN Cc1cc(CNC[C@@H](O)CN(C)C(=O)C#CC2CC2)on1 ZINC001278755698 808168361 /nfs/dbraw/zinc/16/83/61/808168361.db2.gz HVSQZDQJWMHEKG-CYBMUJFWSA-N 0 1 291.351 0.305 20 30 CCEDMN CCOC(=O)CN1CCC(N(C)CCC#N)CC1 ZINC001254401934 808233316 /nfs/dbraw/zinc/23/33/16/808233316.db2.gz GCMMESSBVUMCBC-UHFFFAOYSA-N 0 1 253.346 0.859 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C2(C3CC3)CC2)C1 ZINC001278787965 808244272 /nfs/dbraw/zinc/24/42/72/808244272.db2.gz YKUCWPHAUKTBOC-OAHLLOKOSA-N 0 1 276.380 0.753 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+]C1C[C@@H]2CC(=O)C[C@H]2C1 ZINC001254928449 808297656 /nfs/dbraw/zinc/29/76/56/808297656.db2.gz MMBSKVAZRNGJTE-HAYRHPOISA-N 0 1 252.314 0.570 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C(C)(C)F ZINC001278845355 808323984 /nfs/dbraw/zinc/32/39/84/808323984.db2.gz XMWPUKRPPKKVAJ-LLVKDONJSA-N 0 1 258.337 0.509 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@@H](C=C)CC ZINC001278869834 808395345 /nfs/dbraw/zinc/39/53/45/808395345.db2.gz YKEAUEWSKURRJW-UONOGXRCSA-N 0 1 266.385 0.973 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@H](C=C)CC ZINC001278869835 808395525 /nfs/dbraw/zinc/39/55/25/808395525.db2.gz YKEAUEWSKURRJW-ZIAGYGMSSA-N 0 1 266.385 0.973 20 30 CCEDMN CC(C)(C)c1nc(CNCCNC(=O)C#CC2CC2)n[nH]1 ZINC001126899407 815203729 /nfs/dbraw/zinc/20/37/29/815203729.db2.gz QDULUZYLFGVXGI-UHFFFAOYSA-N 0 1 289.383 0.721 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCO[C@H](C(F)F)C1 ZINC001256584761 808535861 /nfs/dbraw/zinc/53/58/61/808535861.db2.gz JVIBQXOIRZDBLZ-JTQLQIEISA-N 0 1 275.299 0.227 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC[C@H](CCO)C1 ZINC001256585903 808537175 /nfs/dbraw/zinc/53/71/75/808537175.db2.gz XVZUJMODDRBXDA-CYBMUJFWSA-N 0 1 267.373 0.355 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC[C@@H]1c1nncn1C ZINC001256585865 808537360 /nfs/dbraw/zinc/53/73/60/808537360.db2.gz WKWDWXOZXPFYFQ-GFCCVEGCSA-N 0 1 290.371 0.227 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCOC[C@H]1[C@H]1CCCO1 ZINC001256585914 808537565 /nfs/dbraw/zinc/53/75/65/808537565.db2.gz YFQORPDRJLABHN-UONOGXRCSA-N 0 1 295.383 0.141 20 30 CCEDMN C#C[C@@H](C)NS(=O)(=O)c1nc2nc(Cl)ccn2n1 ZINC001260180708 808855250 /nfs/dbraw/zinc/85/52/50/808855250.db2.gz WWUGBUDSZAVLND-ZCFIWIBFSA-N 0 1 285.716 0.078 20 30 CCEDMN C=CCC1(C(=O)NCc2nnc[nH]2)CCOCC1 ZINC001261437227 808977094 /nfs/dbraw/zinc/97/70/94/808977094.db2.gz XMWGRKVTDWTLGL-UHFFFAOYSA-N 0 1 250.302 0.794 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)C1 ZINC001261943799 809135950 /nfs/dbraw/zinc/13/59/50/809135950.db2.gz GOIMLKIFVVZNEI-CHWSQXEVSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ccnc(OC)c3)[C@@H]2C1 ZINC001076245532 815266438 /nfs/dbraw/zinc/26/64/38/815266438.db2.gz HBPDOKNQZQZMRC-LSDHHAIUSA-N 0 1 299.374 0.799 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cn3ccc(C)n3)[C@@H]2C1 ZINC001076258388 815269129 /nfs/dbraw/zinc/26/91/29/815269129.db2.gz PHXPWIACOYDFEF-UONOGXRCSA-N 0 1 272.352 0.357 20 30 CCEDMN C=CCC1(O)CCN(C(=O)c2[nH]nnc2C)CC1 ZINC001262152370 809207501 /nfs/dbraw/zinc/20/75/01/809207501.db2.gz QKCDIRIWDIWRNX-UHFFFAOYSA-N 0 1 250.302 0.656 20 30 CCEDMN C=CCOCCNC(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001262177623 809223230 /nfs/dbraw/zinc/22/32/30/809223230.db2.gz ATTWAJUHJMXGEC-LLVKDONJSA-N 0 1 270.329 0.102 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)NC(=O)c1[nH]ncc1F ZINC001076298600 815273869 /nfs/dbraw/zinc/27/38/69/815273869.db2.gz PUYUDOFYWYPSMR-JTQLQIEISA-N 0 1 294.330 0.977 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cn3nccc3C)[C@@H]2C1 ZINC001076306931 815276027 /nfs/dbraw/zinc/27/60/27/815276027.db2.gz MVFKVWHBTKXQLU-LSDHHAIUSA-N 0 1 286.379 0.748 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CCO1 ZINC001262346402 809284740 /nfs/dbraw/zinc/28/47/40/809284740.db2.gz SQKINYMHKMFZEC-BREBYQMCSA-N 0 1 260.297 0.654 20 30 CCEDMN COCC[C@H]1CNCCN1C(=O)NCC(C)(C)C#N ZINC001262580687 809355504 /nfs/dbraw/zinc/35/55/04/809355504.db2.gz WWXYVBBPTQIAJH-NSHDSACASA-N 0 1 268.361 0.556 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCNC[C@H]1CCOC ZINC001263254288 809497028 /nfs/dbraw/zinc/49/70/28/809497028.db2.gz CQOGLCWDYFCZCF-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN CCn1ccnc1C(C#N)C(=O)CN1CCOCC1 ZINC001263617078 809541735 /nfs/dbraw/zinc/54/17/35/809541735.db2.gz UJRVJTRVQBTLPA-LLVKDONJSA-N 0 1 262.313 0.411 20 30 CCEDMN C#CC1(O)CCN(C(=O)N[C@@H](C)Cc2cc(C)[nH]n2)CC1 ZINC001263721658 809557724 /nfs/dbraw/zinc/55/77/24/809557724.db2.gz YBFCAQRYTITYMU-NSHDSACASA-N 0 1 290.367 0.819 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C[C@@H]2CCOC2)C1 ZINC001264074681 809627968 /nfs/dbraw/zinc/62/79/68/809627968.db2.gz HEDNXZXNIBHSJG-GJZGRUSLSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)CCC(F)F)C1 ZINC001264076674 809628955 /nfs/dbraw/zinc/62/89/55/809628955.db2.gz JKVJCCFLBDCQPM-LBPRGKRZSA-N 0 1 288.338 0.950 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2cnn(C)c2)[C@H]1C ZINC001264175334 809636713 /nfs/dbraw/zinc/63/67/13/809636713.db2.gz GVOARWGVJIKJBC-HIFRSBDPSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)COCC1CC1 ZINC001264360494 809643656 /nfs/dbraw/zinc/64/36/56/809643656.db2.gz FWEWXTLQGBVBAX-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@@H](CNC(=O)C#CC3CC3)C2)[nH]1 ZINC001264391236 809650456 /nfs/dbraw/zinc/65/04/56/809650456.db2.gz QAQIYJAQOQCDBF-BETUJISGSA-N 0 1 287.367 0.511 20 30 CCEDMN CNC(=O)[C@H](C)N(C)CCCNC(=O)c1cc(C#N)c[nH]1 ZINC001264974264 809684977 /nfs/dbraw/zinc/68/49/77/809684977.db2.gz WBRSUUOHGZNAFH-JTQLQIEISA-N 0 1 291.355 0.073 20 30 CCEDMN C[C@H](NCC#N)[C@@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001265089897 809706420 /nfs/dbraw/zinc/70/64/20/809706420.db2.gz SZTZAWQYWIVJDU-JQWIXIFHSA-N 0 1 291.355 0.622 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCCN(CCn2cncn2)C1 ZINC001265213334 809726611 /nfs/dbraw/zinc/72/66/11/809726611.db2.gz PWHAAAAKSBXFNK-CQSZACIVSA-N 0 1 289.383 0.518 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccn(C)n3)[C@@H]2C1 ZINC001076570234 815311798 /nfs/dbraw/zinc/31/17/98/815311798.db2.gz FIUWXFRHIKRHTK-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@H](C)CC)CC1 ZINC001265238417 809729454 /nfs/dbraw/zinc/72/94/54/809729454.db2.gz DCDGYGREKWADCO-CQSZACIVSA-N 0 1 265.401 0.790 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCCn2ccccc2=O)C1 ZINC001265296856 809749798 /nfs/dbraw/zinc/74/97/98/809749798.db2.gz FQGJZFBGSDQERG-CQSZACIVSA-N 0 1 287.363 0.452 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)Cn2ncnn2)CC1 ZINC001265338517 809759331 /nfs/dbraw/zinc/75/93/31/809759331.db2.gz AMBVGRCQXIKDRQ-UHFFFAOYSA-N 0 1 290.371 0.009 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@H](NCC#N)C1CC1 ZINC001265381999 809769174 /nfs/dbraw/zinc/76/91/74/809769174.db2.gz GLVDDNYUZPPWCG-KBPBESRZSA-N 0 1 278.400 0.869 20 30 CCEDMN CCn1nncc1CN[C@H](C)CNC(=O)CSCC#N ZINC001265754798 809839911 /nfs/dbraw/zinc/83/99/11/809839911.db2.gz LZMINSKRHNXVHS-SNVBAGLBSA-N 0 1 296.400 0.149 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)NCc1cnnn1CC ZINC001265769020 809846305 /nfs/dbraw/zinc/84/63/05/809846305.db2.gz MUKPBTMPTXFQRI-LBPRGKRZSA-N 0 1 277.372 0.696 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H](C)NCc1ocnc1C ZINC001265774760 809848749 /nfs/dbraw/zinc/84/87/49/809848749.db2.gz IKZJRMNSVPVEHN-JQWIXIFHSA-N 0 1 279.340 0.616 20 30 CCEDMN C[C@H](C(=O)N(C)C)N(C)CCCNC(=O)[C@@H](C)C#N ZINC001265815293 809861800 /nfs/dbraw/zinc/86/18/00/809861800.db2.gz VCCYCACJUQYALY-WDEREUQCSA-N 0 1 268.361 0.061 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@H](F)C(C)C ZINC001265906972 809890229 /nfs/dbraw/zinc/89/02/29/809890229.db2.gz WBFZONCRQNWKKH-QWHCGFSZSA-N 0 1 299.390 0.557 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)NCc1cn(C)nn1 ZINC001266141117 809946905 /nfs/dbraw/zinc/94/69/05/809946905.db2.gz XRADOKLCRZOCFY-LBPRGKRZSA-N 0 1 277.372 0.459 20 30 CCEDMN C=CCCC(=O)NCCN1CCC(NCC#N)CC1 ZINC001279424095 809978796 /nfs/dbraw/zinc/97/87/96/809978796.db2.gz FDPSFQLJJXXKGS-UHFFFAOYSA-N 0 1 264.373 0.646 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C(C)(C)NC(C)=O ZINC001279588707 809989137 /nfs/dbraw/zinc/98/91/37/809989137.db2.gz UCGBGTSJZYXQTO-UONOGXRCSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001280273125 810010238 /nfs/dbraw/zinc/01/02/38/810010238.db2.gz YPOGLNMIXUQGJN-NXEZZACHSA-N 0 1 277.328 0.231 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)oc2C)C1 ZINC001076732343 815356195 /nfs/dbraw/zinc/35/61/95/815356195.db2.gz HDUHNNFDYILKIE-ZIAGYGMSSA-N 0 1 276.336 0.695 20 30 CCEDMN C=C(C)CCC(=O)NCC[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001076797054 815376441 /nfs/dbraw/zinc/37/64/41/815376441.db2.gz PYHLWUBQFFSPBZ-NSHDSACASA-N 0 1 293.371 0.715 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCOC2)C1 ZINC001076793721 815378367 /nfs/dbraw/zinc/37/83/67/815378367.db2.gz KCMOMSLEOPJGLF-JHJVBQTASA-N 0 1 268.357 0.150 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC2CCC2)C1 ZINC001076840133 815391261 /nfs/dbraw/zinc/39/12/61/815391261.db2.gz XECPBCQFUBCXGJ-CHWSQXEVSA-N 0 1 250.342 0.361 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2CCC(O)CC2)C1 ZINC001076939780 815418943 /nfs/dbraw/zinc/41/89/43/815418943.db2.gz VXXCOIYJTBOPAW-NWINJMCUSA-N 0 1 282.384 0.275 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)Cc1cnoc1 ZINC001267275658 811081406 /nfs/dbraw/zinc/08/14/06/811081406.db2.gz ZNDJRIYWTJYPFI-CYBMUJFWSA-N 0 1 261.325 0.821 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCC2(F)F)[C@@H](O)C1 ZINC001083557309 815420716 /nfs/dbraw/zinc/42/07/16/815420716.db2.gz JAQXDZZZUAGWCX-AXFHLTTASA-N 0 1 272.295 0.216 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN([C@H]2CCNC2=O)C1 ZINC001267299239 811116970 /nfs/dbraw/zinc/11/69/70/811116970.db2.gz WPQBYNCRUDODEH-NEPJUHHUSA-N 0 1 279.384 0.525 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001023460587 811147206 /nfs/dbraw/zinc/14/72/06/811147206.db2.gz CFQXXXACBFQMGW-GFCCVEGCSA-N 0 1 286.379 0.973 20 30 CCEDMN C#CC[N@@H+](CCC)CCNC(=O)[C@@H]1CN(CC)CCO1 ZINC001267320569 811151212 /nfs/dbraw/zinc/15/12/12/811151212.db2.gz OSBQJIAQYLDUOP-AWEZNQCLSA-N 0 1 281.400 0.169 20 30 CCEDMN C[C@H](CNc1ccncc1C#N)N(C)C(=O)Cc1ccn[nH]1 ZINC001104499291 811177730 /nfs/dbraw/zinc/17/77/30/811177730.db2.gz BVZVYGUNHQFUGI-LLVKDONJSA-N 0 1 298.350 0.600 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@H](C)CNc2cncc(C#N)n2)[nH]1 ZINC001104501618 811186463 /nfs/dbraw/zinc/18/64/63/811186463.db2.gz XUHFGAZPGSTFKC-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CCC[C@]2(NC(=O)C(C)C)C1 ZINC001111590890 811213381 /nfs/dbraw/zinc/21/33/81/811213381.db2.gz FEMFXXNCIZWHDK-BBRMVZONSA-N 0 1 293.411 0.915 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](CNCc2cnon2)C1 ZINC001023895256 811279361 /nfs/dbraw/zinc/27/93/61/811279361.db2.gz MMBQXJRLIONXHI-QWRGUYRKSA-N 0 1 277.328 0.557 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(C(N)=O)ccn1 ZINC001267480892 811365929 /nfs/dbraw/zinc/36/59/29/811365929.db2.gz SGOUJLNCEPGZQO-UHFFFAOYSA-N 0 1 296.758 0.595 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H](C)CNc1ncnc2[nH]cnc21 ZINC001104525346 811440253 /nfs/dbraw/zinc/44/02/53/811440253.db2.gz VHCLSXNVECLOCW-IUCAKERBSA-N 0 1 287.327 0.723 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H](CN(C)CC(N)=O)C2)C1 ZINC001267589708 811493715 /nfs/dbraw/zinc/49/37/15/811493715.db2.gz QAAMJSFDNICDRL-LBPRGKRZSA-N 0 1 279.384 0.608 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@@H]2CC2(F)F)C1 ZINC001267680233 811645552 /nfs/dbraw/zinc/64/55/52/811645552.db2.gz GVRIBWHVMRDXCD-NSHDSACASA-N 0 1 274.311 0.892 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCn2ccccc2=O)C1 ZINC001267725997 811692845 /nfs/dbraw/zinc/69/28/45/811692845.db2.gz LTDZVFIYTXYPSI-ZDUSSCGKSA-N 0 1 273.336 0.062 20 30 CCEDMN Cn1ccc(CN2CC[C@@H](NC(=O)C#CC3CC3)C2)cc1=O ZINC001267741301 811723559 /nfs/dbraw/zinc/72/35/59/811723559.db2.gz QWKQYSWEFLUGEI-OAHLLOKOSA-N 0 1 299.374 0.489 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@](C)(CNCC#N)C1CC1 ZINC001267860729 811840293 /nfs/dbraw/zinc/84/02/93/811840293.db2.gz QNKFOLAFBLBHRK-IAQYHMDHSA-N 0 1 289.383 0.986 20 30 CCEDMN CNC(=O)[C@H](C)N1CCC(CNC(=O)[C@@H](C)C#N)CC1 ZINC001222657980 811964528 /nfs/dbraw/zinc/96/45/28/811964528.db2.gz IRAAODUKXBADNG-QWRGUYRKSA-N 0 1 280.372 0.109 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCC(CNC(=O)[C@@H](C)C#N)CC1 ZINC001222657981 811964539 /nfs/dbraw/zinc/96/45/39/811964539.db2.gz IRAAODUKXBADNG-WDEREUQCSA-N 0 1 280.372 0.109 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001077414201 815495372 /nfs/dbraw/zinc/49/53/72/815495372.db2.gz RZRRTFRWCLXGHJ-YPYWCSBCSA-N 0 1 292.379 0.147 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)C1CCC(O)CC1 ZINC001027853472 812096389 /nfs/dbraw/zinc/09/63/89/812096389.db2.gz GQTBADLMHREKFZ-ROKHWSDSSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(OC)ncn1 ZINC001027884463 812115738 /nfs/dbraw/zinc/11/57/38/812115738.db2.gz LTDWBWURGDXTJO-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN N#Cc1ccc(CN2CC[C@@H]2CNC(=O)c2ncn[nH]2)cc1 ZINC001038824986 812162292 /nfs/dbraw/zinc/16/22/92/812162292.db2.gz FJLAXHIKEMMJJJ-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN N#Cc1ccc(CN2CC[C@@H]2CNC(=O)c2nc[nH]n2)cc1 ZINC001038824986 812162294 /nfs/dbraw/zinc/16/22/94/812162294.db2.gz FJLAXHIKEMMJJJ-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001027990151 812199159 /nfs/dbraw/zinc/19/91/59/812199159.db2.gz HBILHKUVNJTTPC-SECBINFHSA-N 0 1 269.736 0.751 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCc3nc[nH]c3C1)C2 ZINC001098172169 815517255 /nfs/dbraw/zinc/51/72/55/815517255.db2.gz OYKPTYUIYXVUBE-OJDYBEQGSA-N 0 1 298.390 0.869 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cncc(C#C)c1 ZINC001028126185 812294546 /nfs/dbraw/zinc/29/45/46/812294546.db2.gz GZJBEKWQZAGXLT-OAHLLOKOSA-N 0 1 267.332 0.890 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCc2[nH]cnc21 ZINC001028130750 812301310 /nfs/dbraw/zinc/30/13/10/812301310.db2.gz UZWZQXRQNMZWFT-RYUDHWBXSA-N 0 1 287.367 0.934 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCc2nccn2C)C1 ZINC001268209422 812364534 /nfs/dbraw/zinc/36/45/34/812364534.db2.gz ZWOUAMVPWFEENF-AWEZNQCLSA-N 0 1 288.395 0.957 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2C[C@H](C)OC)cn1 ZINC001038932711 812366365 /nfs/dbraw/zinc/36/63/65/812366365.db2.gz QQHCQHCEPLNQMZ-SWLSCSKDSA-N 0 1 287.363 0.902 20 30 CCEDMN CC#CC[N@@H+](C)CCN(C)C(=O)c1ncccc1[O-] ZINC001268234055 812395498 /nfs/dbraw/zinc/39/54/98/812395498.db2.gz CIZVGLWELKIVKZ-UHFFFAOYSA-N 0 1 261.325 0.814 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCN(C)CCn1cncn1 ZINC001268235681 812396717 /nfs/dbraw/zinc/39/67/17/812396717.db2.gz MZKNDNXNCYPKNY-UHFFFAOYSA-N 0 1 277.372 0.328 20 30 CCEDMN C[C@H](CCNCC#N)NC(=O)[C@@H]1CCCCN1C ZINC001268378516 812531420 /nfs/dbraw/zinc/53/14/20/812531420.db2.gz KFIGMMIVRHYHQU-NEPJUHHUSA-N 0 1 252.362 0.479 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001028272355 812538803 /nfs/dbraw/zinc/53/88/03/812538803.db2.gz CMIIKXVDAJQFKR-CABCVRRESA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2CC2)[C@H](OC)C1 ZINC001211741083 812602041 /nfs/dbraw/zinc/60/20/41/812602041.db2.gz HPIVGFUSLUKWDH-CHWSQXEVSA-N 0 1 268.357 0.414 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1oc(C)nc1C ZINC001126364775 812672632 /nfs/dbraw/zinc/67/26/32/812672632.db2.gz BRSLDASOCMDVAN-CQSZACIVSA-N 0 1 281.356 0.824 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cccnc1OC ZINC001126365421 812678835 /nfs/dbraw/zinc/67/88/35/812678835.db2.gz JMFHGBKUWBOIQP-HNNXBMFYSA-N 0 1 293.367 0.623 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@@H]2CC(=O)NC2=O)C1 ZINC001268495939 812707274 /nfs/dbraw/zinc/70/72/74/812707274.db2.gz JTJWXVWUBBRPCG-GHMZBOCLSA-N 0 1 293.367 0.194 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@@H]1CCN(CC#N)C1 ZINC001028447012 812712740 /nfs/dbraw/zinc/71/27/40/812712740.db2.gz KXVDZXUKARLDNS-NWDGAFQWSA-N 0 1 250.346 0.042 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001268550391 812792456 /nfs/dbraw/zinc/79/24/56/812792456.db2.gz XUPUPKVXDNHQGM-RXNFCKPNSA-N 0 1 299.802 0.869 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3[nH]cnc3C)C[C@H]21 ZINC001042382340 815558256 /nfs/dbraw/zinc/55/82/56/815558256.db2.gz IFJDMWRXZGDQPL-QWHCGFSZSA-N 0 1 272.352 0.888 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C=C2CCC2)[C@@H](O)C1 ZINC001083399236 812939518 /nfs/dbraw/zinc/93/95/18/812939518.db2.gz SHKWBYSQKYLWPO-OLZOCXBDSA-N 0 1 250.342 0.834 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cncnc1 ZINC001268643422 812970397 /nfs/dbraw/zinc/97/03/97/812970397.db2.gz VRZKVIJRHMJLST-SECBINFHSA-N 0 1 254.721 0.937 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CN(C)CCN1CCNC1=O ZINC001268724054 813038005 /nfs/dbraw/zinc/03/80/05/813038005.db2.gz JQJOLBAXSXMCRH-LBPRGKRZSA-N 0 1 282.388 0.414 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCc1cncnc1 ZINC001268738790 813052842 /nfs/dbraw/zinc/05/28/42/813052842.db2.gz IPZJDULZBZVWMN-CYBMUJFWSA-N 0 1 274.368 0.869 20 30 CCEDMN CCC#CC(=O)N1C[C@H]2CC[C@@H](C1)N2CCn1ccnc1 ZINC001268952990 813156857 /nfs/dbraw/zinc/15/68/57/813156857.db2.gz CBWYHYWNHIVCMQ-GASCZTMLSA-N 0 1 286.379 0.972 20 30 CCEDMN C=CCCOCC(=O)N1C[C@@H]2C[C@H]1CN2CCCO ZINC001268993030 813171733 /nfs/dbraw/zinc/17/17/33/813171733.db2.gz LSVDSCIMLBBWBC-STQMWFEESA-N 0 1 268.357 0.247 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCC(=O)N(CC)CC)C1 ZINC001269073364 813204880 /nfs/dbraw/zinc/20/48/80/813204880.db2.gz QGBLPNAFEHHFBQ-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN C=CCN1CC[C@]2(CCN(C(=O)CN(C)CC)C2)C1=O ZINC001269092829 813211589 /nfs/dbraw/zinc/21/15/89/813211589.db2.gz JJCMJIWZSOCNKU-OAHLLOKOSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCN1CCC2(CCN(C(=O)CN(C)CC)CC2)C1=O ZINC001269092694 813211740 /nfs/dbraw/zinc/21/17/40/813211740.db2.gz FEPKNIBMMFYDJH-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCn2cc(C)cn2)C1 ZINC001269097004 813213715 /nfs/dbraw/zinc/21/37/15/813213715.db2.gz MHDVPSXCRNXEPI-AWEZNQCLSA-N 0 1 274.368 0.795 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)CCCCN2C(=O)c1ccn[nH]1 ZINC001269132065 813231874 /nfs/dbraw/zinc/23/18/74/813231874.db2.gz TZLZBLATHYXGEL-OAHLLOKOSA-N 0 1 286.335 0.640 20 30 CCEDMN C=CCC[C@@H](C)[N@H+]1CC[C@@H](NC(=O)c2cn[nH]n2)C1 ZINC001269142495 813236883 /nfs/dbraw/zinc/23/68/83/813236883.db2.gz DTWAFLASWCKCLP-GHMZBOCLSA-N 0 1 263.345 0.964 20 30 CCEDMN C=CCC[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001269142495 813236888 /nfs/dbraw/zinc/23/68/88/813236888.db2.gz DTWAFLASWCKCLP-GHMZBOCLSA-N 0 1 263.345 0.964 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2C(=O)CN(CC)CC)C1=O ZINC001269186496 813253539 /nfs/dbraw/zinc/25/35/39/813253539.db2.gz KAFYEZJVWHVKEB-MRXNPFEDSA-N 0 1 291.395 0.555 20 30 CCEDMN CN(CC(=O)NC[C@H]1CCN1CC#N)C1CCC1 ZINC001269249916 813291010 /nfs/dbraw/zinc/29/10/10/813291010.db2.gz WPCYKELQWVWEJD-GFCCVEGCSA-N 0 1 250.346 0.185 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cccnc3)[C@@H]2C1 ZINC001075690944 813300059 /nfs/dbraw/zinc/30/00/59/813300059.db2.gz NEBVBNPXPJNMGX-LSDHHAIUSA-N 0 1 269.348 0.790 20 30 CCEDMN C#CCN1CC[C@@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001028684103 813306466 /nfs/dbraw/zinc/30/64/66/813306466.db2.gz VMYHVBHEOOSURR-LBPRGKRZSA-N 0 1 273.336 0.070 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)COC[C@@H]2CCCO2)C1 ZINC001269301503 813315537 /nfs/dbraw/zinc/31/55/37/813315537.db2.gz LQXLWYCNAYDKQN-KBPBESRZSA-N 0 1 280.368 0.348 20 30 CCEDMN CCC(C)(CC)C(=O)NCC1(NCC(=O)NCC#N)CC1 ZINC001269540753 813408339 /nfs/dbraw/zinc/40/83/39/813408339.db2.gz LOHIVNKUWPVRDL-UHFFFAOYSA-N 0 1 294.399 0.691 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2C(=O)Cc2ccn[nH]2)C1=O ZINC001269663817 813463411 /nfs/dbraw/zinc/46/34/11/813463411.db2.gz GICLZEHZUMTGPN-HNNXBMFYSA-N 0 1 286.335 0.179 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2[C@H]1CCN(C)C1=O ZINC001029244333 814012851 /nfs/dbraw/zinc/01/28/51/814012851.db2.gz CXYVOEVUGJELKJ-RVMXOQNASA-N 0 1 290.367 0.052 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@H](C)n2cccn2)C1 ZINC001271120795 814163567 /nfs/dbraw/zinc/16/35/67/814163567.db2.gz OHQPLBVULLWCLW-ZFWWWQNUSA-N 0 1 290.367 0.020 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1C[C@H]2C[C@@]2(C(N)=O)C1 ZINC001271157800 814234184 /nfs/dbraw/zinc/23/41/84/814234184.db2.gz RUFXJCDXOVSMPB-GPCCPHFNSA-N 0 1 289.360 0.764 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)[C@@H]2CCCO2)C1 ZINC001271235240 814346039 /nfs/dbraw/zinc/34/60/39/814346039.db2.gz DANQTOQRKNNQGZ-OLZOCXBDSA-N 0 1 282.384 0.683 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(CC(N)=O)C2 ZINC001271414849 814409181 /nfs/dbraw/zinc/40/91/81/814409181.db2.gz MRMWSKFETWVATK-AVGNSLFASA-N 0 1 277.368 0.244 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N1CCCC[C@@H]1CCNCC#N ZINC001271494446 814462086 /nfs/dbraw/zinc/46/20/86/814462086.db2.gz LSWIHLAAMPZREN-ZIAGYGMSSA-N 0 1 278.400 0.965 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1nccnc1C ZINC001127051273 815606348 /nfs/dbraw/zinc/60/63/48/815606348.db2.gz FAIZCQYAMPTRAT-GFCCVEGCSA-N 0 1 276.340 0.029 20 30 CCEDMN C=CCOCC(=O)N1CCC(CNCc2cnon2)CC1 ZINC001204793851 814536305 /nfs/dbraw/zinc/53/63/05/814536305.db2.gz PMMSKWOCRGFJBJ-UHFFFAOYSA-N 0 1 294.355 0.600 20 30 CCEDMN N#CCNC[C@H]1CC[C@H](CNC(=O)c2cnn[nH]2)CC1 ZINC001271770847 814572790 /nfs/dbraw/zinc/57/27/90/814572790.db2.gz YUALYAAIGJQKDT-XYPYZODXSA-N 0 1 276.344 0.454 20 30 CCEDMN COCCN(CCF)CCC(=O)N(C)CCC#N ZINC000697026480 814606951 /nfs/dbraw/zinc/60/69/51/814606951.db2.gz VJXSYEBRVZXOGL-UHFFFAOYSA-N 0 1 259.325 0.666 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2C(=O)c2nc(C)n[nH]2)C1=O ZINC001271916852 814629757 /nfs/dbraw/zinc/62/97/57/814629757.db2.gz OQUJFPYBRDLJEG-AWEZNQCLSA-N 0 1 289.339 0.506 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CCn1ccnn1 ZINC001271998350 814673588 /nfs/dbraw/zinc/67/35/88/814673588.db2.gz OLPSCOAFGMMNHF-UHFFFAOYSA-N 0 1 263.345 0.082 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1snnc1C ZINC001127031545 815581085 /nfs/dbraw/zinc/58/10/85/815581085.db2.gz HMOJUGFTTJGIJA-UHFFFAOYSA-N 0 1 282.369 0.092 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)Cc3nnc[nH]3)C2)cn1 ZINC001059717142 814741087 /nfs/dbraw/zinc/74/10/87/814741087.db2.gz LSIHVMNRENJCTQ-TXEJJXNPSA-N 0 1 297.322 0.373 20 30 CCEDMN C[C@H](CNC(=O)c1[nH]ncc1F)Nc1ccc(C#N)nn1 ZINC001098237842 814752758 /nfs/dbraw/zinc/75/27/58/814752758.db2.gz ICKDPBLVOWCJPC-SSDOTTSWSA-N 0 1 289.274 0.441 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2COC(=O)C2)[C@H]1C ZINC001088522767 814850916 /nfs/dbraw/zinc/85/09/16/814850916.db2.gz DIZKQXGLFAZWLF-UTUOFQBUSA-N 0 1 264.325 0.152 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2=CCOCC2)[C@H]1C ZINC001088536749 814859684 /nfs/dbraw/zinc/85/96/84/814859684.db2.gz LJSDHYKCDSEPPI-OCCSQVGLSA-N 0 1 262.353 0.935 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cnc2nccn2c1 ZINC001272068233 814905143 /nfs/dbraw/zinc/90/51/43/814905143.db2.gz WBKQWUSNGCXMAP-UHFFFAOYSA-N 0 1 285.351 0.756 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@H](CC)C2=O)CC1 ZINC000348908002 815636744 /nfs/dbraw/zinc/63/67/44/815636744.db2.gz HHXAEBMERSVPIF-LLVKDONJSA-N 0 1 251.330 0.967 20 30 CCEDMN C=CCCC(=O)NC[C@H](O)CNc1ncnc2[nH]cnc21 ZINC001105740265 815694064 /nfs/dbraw/zinc/69/40/64/815694064.db2.gz WIQGYRXAZUPANY-VIFPVBQESA-N 0 1 290.327 0.160 20 30 CCEDMN C#CCN1CC(NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001030609554 816144674 /nfs/dbraw/zinc/14/46/74/816144674.db2.gz BLCXUJSDMBZWSE-UHFFFAOYSA-N 0 1 278.743 0.988 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(CC)nn2)[C@H]1C ZINC001088946725 816188308 /nfs/dbraw/zinc/18/83/08/816188308.db2.gz NPCNABYFGQVLBP-MNOVXSKESA-N 0 1 263.345 0.677 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](CCCO)[C@@H]2C)nc1 ZINC001088990680 816205603 /nfs/dbraw/zinc/20/56/03/816205603.db2.gz KCUGATVPASGTDV-OCCSQVGLSA-N 0 1 287.363 0.638 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)[C@H]1C ZINC001089009482 816211615 /nfs/dbraw/zinc/21/16/15/816211615.db2.gz JWLXAECLZFMUTG-SNPRPXQTSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001030781482 816287394 /nfs/dbraw/zinc/28/73/94/816287394.db2.gz YBEUKROMIGECNW-ZDUSSCGKSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)CN1CC(NC(=O)C2=NC(=O)N(C)C2)C1 ZINC001030899583 816408991 /nfs/dbraw/zinc/40/89/91/816408991.db2.gz KQTSYBROXMPKIO-UHFFFAOYSA-N 0 1 250.302 0.116 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC001121932579 816487161 /nfs/dbraw/zinc/48/71/61/816487161.db2.gz XXEPTZZXLWMBGM-DGCLKSJQSA-N 0 1 254.293 0.708 20 30 CCEDMN C=CCN1C[C@@]2(F)CN(Cc3cc(C)[nH]n3)C[C@@]2(F)C1=O ZINC001272543313 816569813 /nfs/dbraw/zinc/56/98/13/816569813.db2.gz LUOCAHRGIAXCGB-UONOGXRCSA-N 0 1 296.321 0.979 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001213262873 816617982 /nfs/dbraw/zinc/61/79/82/816617982.db2.gz MLGWEVCSESILHL-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCCN1CC(NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001031185295 816759306 /nfs/dbraw/zinc/75/93/06/816759306.db2.gz MEPDFFJTLRQOHG-LLVKDONJSA-N 0 1 286.379 0.972 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@H]1CCCO1 ZINC001123143906 816873102 /nfs/dbraw/zinc/87/31/02/816873102.db2.gz MTOSSGJUQQNQTG-OAHLLOKOSA-N 0 1 272.348 0.923 20 30 CCEDMN C#CCCN1CC(NC(=O)c2cnccc2N(C)C)C1 ZINC001031267755 816915668 /nfs/dbraw/zinc/91/56/68/816915668.db2.gz TYENQMGDYUMSDO-UHFFFAOYSA-N 0 1 272.352 0.585 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001046825638 816933872 /nfs/dbraw/zinc/93/38/72/816933872.db2.gz ZVDNMERDFBOBIY-INIZCTEOSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC(NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001031447525 817060972 /nfs/dbraw/zinc/06/09/72/817060972.db2.gz AMNANTCRJJXNON-UHFFFAOYSA-N 0 1 295.346 0.909 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccn(CC)c2C)C1 ZINC001077552077 817138204 /nfs/dbraw/zinc/13/82/04/817138204.db2.gz GNHFHHSYQPBHKQ-HUUCEWRRSA-N 0 1 289.379 0.615 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccn(CC)c2C)C1 ZINC001077552077 817138210 /nfs/dbraw/zinc/13/82/10/817138210.db2.gz GNHFHHSYQPBHKQ-HUUCEWRRSA-N 0 1 289.379 0.615 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2C[C@H]2C(=O)OC)C1 ZINC001031580986 817163045 /nfs/dbraw/zinc/16/30/45/817163045.db2.gz YAQADJUOCGEBLY-VXGBXAGGSA-N 0 1 266.341 0.420 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2C[C@H]2C(=O)OC)C1 ZINC001031580983 817163187 /nfs/dbraw/zinc/16/31/87/817163187.db2.gz YAQADJUOCGEBLY-NWDGAFQWSA-N 0 1 266.341 0.420 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccncc2F)C1 ZINC001031592248 817169378 /nfs/dbraw/zinc/16/93/78/817169378.db2.gz DSXCWXQQSVXGNA-UHFFFAOYSA-N 0 1 261.300 0.906 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(c3cccnc3)CC2)C1 ZINC001077555169 817231921 /nfs/dbraw/zinc/23/19/21/817231921.db2.gz AYAQMXNZXQZDEY-HUUCEWRRSA-N 0 1 299.374 0.298 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc(N(C)C)cn2)C1 ZINC001031670229 817234129 /nfs/dbraw/zinc/23/41/29/817234129.db2.gz GTZBQMYLZNLGAQ-UHFFFAOYSA-N 0 1 275.356 0.390 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1COCCO1 ZINC001089612352 817265315 /nfs/dbraw/zinc/26/53/15/817265315.db2.gz YHHVOIKHXMLXEL-KBPBESRZSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn3c(n2)CCCC3)C1 ZINC001031711896 817275938 /nfs/dbraw/zinc/27/59/38/817275938.db2.gz VCTPMACFUUQKIX-UHFFFAOYSA-N 0 1 286.379 0.904 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc3n(n2)CCC3)C1 ZINC001031714873 817278968 /nfs/dbraw/zinc/27/89/68/817278968.db2.gz IFHBZUCXTIPWSZ-UHFFFAOYSA-N 0 1 260.341 0.677 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn3c2CCC3)C1 ZINC001031715754 817280385 /nfs/dbraw/zinc/28/03/85/817280385.db2.gz AGULJAUXKWWZPS-UHFFFAOYSA-N 0 1 260.341 0.677 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cncc3ncn(C)c32)C1 ZINC001031742462 817306820 /nfs/dbraw/zinc/30/68/20/817306820.db2.gz FWGLAZRYWXWSIZ-UHFFFAOYSA-N 0 1 285.351 0.816 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(C(=O)c2ccn[nH]2)C1 ZINC001089774699 817342000 /nfs/dbraw/zinc/34/20/00/817342000.db2.gz ZYHDYVRCNDDEMB-MNXVOIDGSA-N 0 1 285.351 0.716 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1OC ZINC001212338478 817386712 /nfs/dbraw/zinc/38/67/12/817386712.db2.gz MQVMJPZDXZSTBL-JHJVBQTASA-N 0 1 284.400 0.785 20 30 CCEDMN CC#CCN1CC(CNC(=O)c2ccnc3n[nH]nc32)C1 ZINC001031838226 817406934 /nfs/dbraw/zinc/40/69/34/817406934.db2.gz QNDQVEKKVKSESD-UHFFFAOYSA-N 0 1 284.323 0.038 20 30 CCEDMN C=CCCN1CC(CNC(=O)c2cc(=O)c(OC)c[nH]2)C1 ZINC001031849762 817417691 /nfs/dbraw/zinc/41/76/91/817417691.db2.gz YZZSUGRUAHIRMJ-UHFFFAOYSA-N 0 1 291.351 0.621 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cn(C)c(=O)cn2)C1 ZINC001031861519 817424225 /nfs/dbraw/zinc/42/42/25/817424225.db2.gz WMAVEPXDVUJDOO-UHFFFAOYSA-N 0 1 276.340 0.018 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001031949633 817485729 /nfs/dbraw/zinc/48/57/29/817485729.db2.gz BTRYTDLEQHIETO-UHFFFAOYSA-N 0 1 264.329 0.363 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc(C(N)=O)co2)C1 ZINC001031970569 817508293 /nfs/dbraw/zinc/50/82/93/817508293.db2.gz YPUNULACFCXUNA-UHFFFAOYSA-N 0 1 277.324 0.616 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3ncccc3C)C2)OCC1=O ZINC001272714667 817551966 /nfs/dbraw/zinc/55/19/66/817551966.db2.gz BIBQRAKNHWAMBE-QGZVFWFLSA-N 0 1 299.374 0.827 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@H](C)C#N ZINC001124890548 817618620 /nfs/dbraw/zinc/61/86/20/817618620.db2.gz ZJUAUZJCJVONFT-SSDOTTSWSA-N 0 1 260.135 0.760 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCC(=O)Nc1cccc(F)c1 ZINC001124908408 817632247 /nfs/dbraw/zinc/63/22/47/817632247.db2.gz JZZOVIVJAHFTCY-SNVBAGLBSA-N 0 1 292.314 0.630 20 30 CCEDMN C#CCN1CC(CNC(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC001032111375 817640268 /nfs/dbraw/zinc/64/02/68/817640268.db2.gz DZWIGUMFUVRWTM-GFCCVEGCSA-N 0 1 272.352 0.196 20 30 CCEDMN C#CCOc1ncccc1C(=O)NCC1CN(CC=C)C1 ZINC001032136110 817662216 /nfs/dbraw/zinc/66/22/16/817662216.db2.gz CNNXCIQUVTUZII-UHFFFAOYSA-N 0 1 285.347 0.941 20 30 CCEDMN C[C@H](CN(C)C(=O)[C@@H](C)C#N)Nc1ncnc2[nH]cnc21 ZINC001115660275 817724169 /nfs/dbraw/zinc/72/41/69/817724169.db2.gz LZVRYEGSUTYFPS-DTWKUNHWSA-N 0 1 287.327 0.771 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@]2(C)CCCOC2)C1 ZINC001047581308 817786885 /nfs/dbraw/zinc/78/68/85/817786885.db2.gz TWMJXBABEMFPBF-OFQRWUPVSA-N 0 1 294.395 0.330 20 30 CCEDMN COC[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032336029 817898653 /nfs/dbraw/zinc/89/86/53/817898653.db2.gz DZJYUIMWELNCJK-WXHSDQCUSA-N 0 1 288.351 0.820 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2conc2CC)C1 ZINC001077637467 817901214 /nfs/dbraw/zinc/90/12/14/817901214.db2.gz HSJUIBYQEOCTFC-ZIAGYGMSSA-N 0 1 293.367 0.978 20 30 CCEDMN C[C@H](CNc1nccnc1C#N)NC(=O)[C@H]1CCC[N@H+]1C ZINC001107645472 817912421 /nfs/dbraw/zinc/91/24/21/817912421.db2.gz VEWHMNXLLKARDI-ZYHUDNBSSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@H](CNc1nccnc1C#N)NC(=O)[C@H]1CCCN1C ZINC001107645472 817912423 /nfs/dbraw/zinc/91/24/23/817912423.db2.gz VEWHMNXLLKARDI-ZYHUDNBSSA-N 0 1 288.355 0.359 20 30 CCEDMN Cc1cc(CC(=O)N[C@H](C)CNc2cnc(C#N)cn2)[nH]n1 ZINC001107671037 817929622 /nfs/dbraw/zinc/92/96/22/817929622.db2.gz KNOIHDLFRMYFOA-SNVBAGLBSA-N 0 1 299.338 0.539 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@@H](C)NC(C)=O)C1 ZINC001107714736 817960655 /nfs/dbraw/zinc/96/06/55/817960655.db2.gz GFJBQTFZZLDNAN-DOMZBBRYSA-N 0 1 297.399 0.294 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2CC)C1 ZINC001077700070 818021410 /nfs/dbraw/zinc/02/14/10/818021410.db2.gz MMYDXQHOVHABHZ-FDYHWXHSSA-N 0 1 250.342 0.217 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2C[C@@H](NCC#N)C23CCC3)=NC1=O ZINC001078721110 818041229 /nfs/dbraw/zinc/04/12/29/818041229.db2.gz WAVRRWUNEKFUHU-GHMZBOCLSA-N 0 1 289.339 0.280 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)CCNC(=O)Cc1cnc[nH]1 ZINC001078751829 818043135 /nfs/dbraw/zinc/04/31/35/818043135.db2.gz FEICTNODIXEEKZ-NSHDSACASA-N 0 1 294.355 0.166 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)nn2CC)[C@@H](O)C1 ZINC001083802919 818060761 /nfs/dbraw/zinc/06/07/61/818060761.db2.gz VNNGDQPUPKDIMM-OCCSQVGLSA-N 0 1 290.367 0.010 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)F)[C@H](O)C1 ZINC001089995839 818237096 /nfs/dbraw/zinc/23/70/96/818237096.db2.gz DIUULALMHUXHBV-WDEREUQCSA-N 0 1 256.321 0.309 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CCCC2)[C@H](O)C1 ZINC001090011600 818267544 /nfs/dbraw/zinc/26/75/44/818267544.db2.gz WPMMNHMAFHXZHV-UONOGXRCSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CCOCC2)[C@H](O)C1 ZINC001090012121 818269271 /nfs/dbraw/zinc/26/92/71/818269271.db2.gz FVUAKGIYLDCUDF-CHWSQXEVSA-N 0 1 268.357 0.150 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2cnsn2)C1 ZINC001032933007 818375165 /nfs/dbraw/zinc/37/51/65/818375165.db2.gz ZDIHELGNYRBLAJ-SNVBAGLBSA-N 0 1 264.354 0.708 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCC[NH+]2C)C1 ZINC001032960620 818390294 /nfs/dbraw/zinc/39/02/94/818390294.db2.gz XVWLAKPNTLJJCH-ZIAGYGMSSA-N 0 1 263.385 0.637 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2cc(C)n[nH]2)C1 ZINC001032999930 818413372 /nfs/dbraw/zinc/41/33/72/818413372.db2.gz NPJBNNCPXQHWAU-CQSZACIVSA-N 0 1 274.368 0.817 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H](C)n2cncn2)C1 ZINC001033015494 818424125 /nfs/dbraw/zinc/42/41/25/818424125.db2.gz JACUPOPTURTNHG-QWHCGFSZSA-N 0 1 275.356 0.395 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2snnc2C)[C@H](O)C1 ZINC001090062577 818434776 /nfs/dbraw/zinc/43/47/76/818434776.db2.gz AIPVBAFUQKLTFN-WDEREUQCSA-N 0 1 294.380 0.035 20 30 CCEDMN C#Cc1cccc(CNC(=O)CCc2nn[nH]n2)c1 ZINC001161803512 818466722 /nfs/dbraw/zinc/46/67/22/818466722.db2.gz LFEFMWKYPNFRAC-UHFFFAOYSA-N 0 1 255.281 0.430 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccccc2O)[C@@H](O)C1 ZINC001090077289 818468738 /nfs/dbraw/zinc/46/87/38/818468738.db2.gz QOTXSUOHPLZNTR-JSGCOSHPSA-N 0 1 276.336 0.743 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cc2ccn(C)n2)C1 ZINC001033073588 818494495 /nfs/dbraw/zinc/49/44/95/818494495.db2.gz BKOKMJIZDCJVQG-CYBMUJFWSA-N 0 1 262.357 0.681 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2cnoc2CC)[C@@H](O)C1 ZINC001083826711 818500837 /nfs/dbraw/zinc/50/08/37/818500837.db2.gz GYSWRHGGGJUKFJ-OLZOCXBDSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnc3n[nH]nc3c2)C1 ZINC001033151733 818576084 /nfs/dbraw/zinc/57/60/84/818576084.db2.gz IVMGGSGBELVOHD-NSHDSACASA-N 0 1 286.339 0.685 20 30 CCEDMN C=CCOCC[N@H+]1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC000715869499 818577030 /nfs/dbraw/zinc/57/70/30/818577030.db2.gz CMMHHVGLKTYMJJ-WCQYABFASA-N 0 1 255.314 0.612 20 30 CCEDMN C[C@@H]1C[C@H](NCC#N)CCN1C(=O)CCc1cnc[nH]1 ZINC001044627262 818612373 /nfs/dbraw/zinc/61/23/73/818612373.db2.gz OMARNPYYBHWHKS-VXGBXAGGSA-N 0 1 275.356 0.835 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033194264 818638002 /nfs/dbraw/zinc/63/80/02/818638002.db2.gz YSMGENVHLUNGTI-SWLSCSKDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033194264 818638006 /nfs/dbraw/zinc/63/80/06/818638006.db2.gz YSMGENVHLUNGTI-SWLSCSKDSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccn(C)c(=O)c2)C1 ZINC001033205697 818648860 /nfs/dbraw/zinc/64/88/60/818648860.db2.gz ZYXMQAPFAZKUEN-CQSZACIVSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cn2nc(C)cc2C)C1 ZINC001033243111 818671854 /nfs/dbraw/zinc/67/18/54/818671854.db2.gz PNKRFJHUEZOZSX-AWEZNQCLSA-N 0 1 274.368 0.666 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(OC)n(C)n2)C1 ZINC001033251620 818673860 /nfs/dbraw/zinc/67/38/60/818673860.db2.gz UQUAMZPPVRDKCM-NSHDSACASA-N 0 1 278.356 0.761 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2csnn2)C1 ZINC001033305020 818700521 /nfs/dbraw/zinc/70/05/21/818700521.db2.gz TURXYUYGXWZWSJ-SECBINFHSA-N 0 1 252.343 0.870 20 30 CCEDMN N#Cc1cccnc1N1CC[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001061634899 818702240 /nfs/dbraw/zinc/70/22/40/818702240.db2.gz NPQLXVNWFRYYAB-SNVBAGLBSA-N 0 1 297.322 0.328 20 30 CCEDMN N#Cc1cccnc1N1CC[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001061634899 818702243 /nfs/dbraw/zinc/70/22/43/818702243.db2.gz NPQLXVNWFRYYAB-SNVBAGLBSA-N 0 1 297.322 0.328 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2c(C)cnn2C)C1 ZINC001033339863 818725642 /nfs/dbraw/zinc/72/56/42/818725642.db2.gz BKKPEIUSIZRHBV-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CC(=O)N(C(C)C)C1 ZINC001128637617 818757915 /nfs/dbraw/zinc/75/79/15/818757915.db2.gz BBUWQLOUHAXHCS-LLVKDONJSA-N 0 1 287.791 0.702 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CCNC2=O)C1 ZINC001033421104 818771449 /nfs/dbraw/zinc/77/14/49/818771449.db2.gz LRQUKNFYORLMBE-BXUZGUMPSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001033427478 818773722 /nfs/dbraw/zinc/77/37/22/818773722.db2.gz CNHJHKUCXHFBIU-MJBXVCDLSA-N 0 1 291.395 0.267 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001033629871 818860824 /nfs/dbraw/zinc/86/08/24/818860824.db2.gz REEMVBCLPGBVKE-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CCN(CC#N)C2)CC1 ZINC001033688480 818887992 /nfs/dbraw/zinc/88/79/92/818887992.db2.gz QXVNZUAHHJYLAC-HNNXBMFYSA-N 0 1 288.395 0.388 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)cn2)C1 ZINC001033699407 818889964 /nfs/dbraw/zinc/88/99/64/818889964.db2.gz FXPWIIQSPVWTIZ-LBPRGKRZSA-N 0 1 288.351 0.513 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001033689067 818890036 /nfs/dbraw/zinc/89/00/36/818890036.db2.gz WOAOTCBXNXLDTM-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)cn2)C1 ZINC001033699406 818890650 /nfs/dbraw/zinc/89/06/50/818890650.db2.gz FXPWIIQSPVWTIZ-GFCCVEGCSA-N 0 1 288.351 0.513 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cc[nH]n2)C1 ZINC001033752057 818913539 /nfs/dbraw/zinc/91/35/39/818913539.db2.gz AJBGQRSANLJWLK-LBPRGKRZSA-N 0 1 260.341 0.969 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccn[nH]2)C1 ZINC001033752057 818913551 /nfs/dbraw/zinc/91/35/51/818913551.db2.gz AJBGQRSANLJWLK-LBPRGKRZSA-N 0 1 260.341 0.969 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001033745842 818915852 /nfs/dbraw/zinc/91/58/52/818915852.db2.gz WDABYBKLBTVAGQ-LBPRGKRZSA-N 0 1 288.351 0.742 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)C2CC(OC)C2)C1 ZINC001033869911 818975055 /nfs/dbraw/zinc/97/50/55/818975055.db2.gz PNJYILWMGDSFOL-ROKHWSDSSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033881994 818978110 /nfs/dbraw/zinc/97/81/10/818978110.db2.gz NFGSTEPXNJLRJF-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2CCOCC2)[C@@H](O)C1 ZINC001090151755 818987649 /nfs/dbraw/zinc/98/76/49/818987649.db2.gz UGLOGSHEHGLHGF-CABCVRRESA-N 0 1 294.395 0.378 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccn(C)c2)[C@@H](O)C1 ZINC001090188143 819066922 /nfs/dbraw/zinc/06/69/22/819066922.db2.gz CNIPEWDFPSKPQL-KGLIPLIRSA-N 0 1 275.352 0.213 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2nccnc2N)C1 ZINC001034134148 819091083 /nfs/dbraw/zinc/09/10/83/819091083.db2.gz ZOSFRECAGZSXIK-GFCCVEGCSA-N 0 1 287.367 0.666 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C)ncn2)[C@H](O)C1 ZINC001090202510 819150553 /nfs/dbraw/zinc/15/05/53/819150553.db2.gz YRYNZKFSCFBXRC-GXTWGEPZSA-N 0 1 290.367 0.526 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccc(C)o2)[C@@H](O)C1 ZINC001090204034 819152056 /nfs/dbraw/zinc/15/20/56/819152056.db2.gz MFQCLLVSQBOOAV-KBPBESRZSA-N 0 1 278.352 0.868 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@H]1CCCCN(CC#N)C1 ZINC001034276661 819152607 /nfs/dbraw/zinc/15/26/07/819152607.db2.gz IJTOWZUVQCLCIB-UONOGXRCSA-N 0 1 278.400 0.965 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(CC)n2)[C@H](O)C1 ZINC001090205272 819166691 /nfs/dbraw/zinc/16/66/91/819166691.db2.gz CAUIOKMJQVLEIR-CMPLNLGQSA-N 0 1 279.340 0.588 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001034326987 819167971 /nfs/dbraw/zinc/16/79/71/819167971.db2.gz OKTCZWUQMJEXOS-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001034323893 819172782 /nfs/dbraw/zinc/17/27/82/819172782.db2.gz RARVZZYDVOGLAP-XJKSGUPXSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001034367996 819180319 /nfs/dbraw/zinc/18/03/19/819180319.db2.gz JAEUABGMHQTKJB-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@H](NC(=O)[C@]2(C)CCNC2=O)C1 ZINC001034398907 819193720 /nfs/dbraw/zinc/19/37/20/819193720.db2.gz QEHPDBTULYNPEM-XJKSGUPXSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@]2(C)CCNC2=O)C1 ZINC001034398907 819193724 /nfs/dbraw/zinc/19/37/24/819193724.db2.gz QEHPDBTULYNPEM-XJKSGUPXSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC001045485176 819252961 /nfs/dbraw/zinc/25/29/61/819252961.db2.gz FDCVVYMTLZGDQA-STQMWFEESA-N 0 1 291.395 0.505 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](CNC(=O)c3cnn[nH]3)C2)nc1 ZINC001061777461 819295406 /nfs/dbraw/zinc/29/54/06/819295406.db2.gz RVUDSDMLEFROQW-NSHDSACASA-N 0 1 297.322 0.328 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C)nn2)[C@H](O)C1 ZINC001090257699 819321096 /nfs/dbraw/zinc/32/10/96/819321096.db2.gz KEAKUTXZMZSCAQ-TZMCWYRMSA-N 0 1 290.367 0.526 20 30 CCEDMN C#CCN1CCO[C@@H](CNC(=O)c2ccn3cncc3c2)C1 ZINC001035431502 819521661 /nfs/dbraw/zinc/52/16/61/819521661.db2.gz HYAJEWJHVCLGMO-HNNXBMFYSA-N 0 1 298.346 0.398 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CCCO1)CC2 ZINC001035648081 819591497 /nfs/dbraw/zinc/59/14/97/819591497.db2.gz GLJIBPWAHDKROU-CYBMUJFWSA-N 0 1 262.353 0.723 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1ncn(C)n1)CC2 ZINC001035699715 819611535 /nfs/dbraw/zinc/61/15/35/819611535.db2.gz QFLPCBQSJRYRHK-UHFFFAOYSA-N 0 1 287.367 0.376 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1cnon1)CC2 ZINC001035818755 819629272 /nfs/dbraw/zinc/62/92/72/819629272.db2.gz DOVKZYYAXYVDAU-UHFFFAOYSA-N 0 1 274.324 0.631 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2c[nH]nn2)CC[C@@H]1NCC#N ZINC001036185310 819700597 /nfs/dbraw/zinc/70/05/97/819700597.db2.gz BRTBIYFPCSYCOI-PWSUYJOCSA-N 0 1 276.344 0.087 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnn[nH]2)CC[C@@H]1NCC#N ZINC001036185310 819700603 /nfs/dbraw/zinc/70/06/03/819700603.db2.gz BRTBIYFPCSYCOI-PWSUYJOCSA-N 0 1 276.344 0.087 20 30 CCEDMN C#CCCCS(=O)(=O)N(C)[C@H]1CN2CCC1CC2 ZINC000710254809 819822736 /nfs/dbraw/zinc/82/27/36/819822736.db2.gz JHHAWOVVYUIDOO-ZDUSSCGKSA-N 0 1 270.398 0.756 20 30 CCEDMN C#CCCS(=O)(=O)N1CCCN(CC)C[C@@H]1C ZINC000710996575 819872591 /nfs/dbraw/zinc/87/25/91/819872591.db2.gz LWRISGNMVLLOQX-LBPRGKRZSA-N 0 1 258.387 0.756 20 30 CCEDMN N#Cc1cnc(N2C[C@@H]3C[C@H]2CN3C(=O)c2ccn[nH]2)cn1 ZINC001062450706 819948445 /nfs/dbraw/zinc/94/84/45/819948445.db2.gz LIMYCVPBPOKBOP-QWRGUYRKSA-N 0 1 295.306 0.175 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OC)ns2)[C@@H](O)C1 ZINC001090272501 820035830 /nfs/dbraw/zinc/03/58/30/820035830.db2.gz PKUXSXHRHPYFOG-UWVGGRQHSA-N 0 1 297.380 0.503 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2oncc2C)[C@@H](O)C1 ZINC001083913118 820236911 /nfs/dbraw/zinc/23/69/11/820236911.db2.gz GADHISDNBCUKIH-MNOVXSKESA-N 0 1 265.313 0.334 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)Cc2cnc(C)o2)[C@@H](O)C1 ZINC001090323404 820260693 /nfs/dbraw/zinc/26/06/93/820260693.db2.gz ROIUAACWKGPXIO-KGLIPLIRSA-N 0 1 291.351 0.100 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cnc(C)o2)[C@@H](O)C1 ZINC001090323404 820260702 /nfs/dbraw/zinc/26/07/02/820260702.db2.gz ROIUAACWKGPXIO-KGLIPLIRSA-N 0 1 291.351 0.100 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cncs2)[C@@H](O)C1 ZINC001090342051 820283972 /nfs/dbraw/zinc/28/39/72/820283972.db2.gz NIAULMHDNLHDDX-STQMWFEESA-N 0 1 293.392 0.260 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)COC)C[C@H]1c1ccccc1F ZINC001079276296 820343579 /nfs/dbraw/zinc/34/35/79/820343579.db2.gz CIFISVARPDYEEY-DZGCQCFKSA-N 0 1 290.338 0.989 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001079540779 820421986 /nfs/dbraw/zinc/42/19/86/820421986.db2.gz XVUDXGIFTIBEQP-BXUZGUMPSA-N 0 1 270.336 0.960 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnnn2CC)C1 ZINC001079652205 820443493 /nfs/dbraw/zinc/44/34/93/820443493.db2.gz GLJFULCPRRVMRN-GHMZBOCLSA-N 0 1 263.345 0.534 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccnn2C)C1 ZINC001079638435 820445016 /nfs/dbraw/zinc/44/50/16/820445016.db2.gz PSYBAGPFMZLRCE-DGCLKSJQSA-N 0 1 260.341 0.032 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)ncn2C)C1 ZINC001079902014 820481176 /nfs/dbraw/zinc/48/11/76/820481176.db2.gz GGNQJQCIVMPCBS-DGCLKSJQSA-N 0 1 274.368 0.802 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(C)c(=O)cn2)C1 ZINC001080159589 820520944 /nfs/dbraw/zinc/52/09/44/820520944.db2.gz LLYXPPTWPZPWIV-VXGBXAGGSA-N 0 1 290.367 0.407 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cn2ncc3cccnc32)C1 ZINC001080153255 820521532 /nfs/dbraw/zinc/52/15/32/820521532.db2.gz CXMJUOCOINBHOC-TZMCWYRMSA-N 0 1 297.362 0.501 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001080252427 820538845 /nfs/dbraw/zinc/53/88/45/820538845.db2.gz QYJNKESCERCDPG-MGPQQGTHSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)[C@H]1CCCc2[nH]cnc21 ZINC001080419111 820571425 /nfs/dbraw/zinc/57/14/25/820571425.db2.gz LRFHPZYVZURAGI-NTZNESFSSA-N 0 1 287.367 0.790 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)CN1CCC[C@@H]1C ZINC001080441714 820575769 /nfs/dbraw/zinc/57/57/69/820575769.db2.gz WNYWAAZZLZTUOC-FRRDWIJNSA-N 0 1 264.373 0.431 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001080847695 820645115 /nfs/dbraw/zinc/64/51/15/820645115.db2.gz IFFHBQNWTAPRDL-ZYHUDNBSSA-N 0 1 271.324 0.346 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001080860170 820648409 /nfs/dbraw/zinc/64/84/09/820648409.db2.gz AABPMTCSXMTWCN-VHDGCEQUSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(CC)n[nH]2)[C@H](OC)C1 ZINC001081387328 820750643 /nfs/dbraw/zinc/75/06/43/820750643.db2.gz MUAGJXDMQFZVGU-ZIAGYGMSSA-N 0 1 290.367 0.424 20 30 CCEDMN C=CCCC(=O)N[C@H]1CC[N@H+](CCCF)C[C@@H]1O ZINC001099728206 820820071 /nfs/dbraw/zinc/82/00/71/820820071.db2.gz WBBHKWZQBQVWJA-RYUDHWBXSA-N 0 1 258.337 0.864 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2CC(OC)C2)[C@H](OC)C1 ZINC001081829377 820837897 /nfs/dbraw/zinc/83/78/97/820837897.db2.gz OXOCZORXSBEWJU-NWINJMCUSA-N 0 1 282.384 0.803 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnc[nH]c2=O)[C@H](OC)C1 ZINC001081832744 820838822 /nfs/dbraw/zinc/83/88/22/820838822.db2.gz JFCJLGXRZMLETO-VXGBXAGGSA-N 0 1 292.339 0.187 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)[C@H](OC)C1 ZINC001082155743 820910538 /nfs/dbraw/zinc/91/05/38/820910538.db2.gz RFGVEJIHHPQQIT-ARILJUKYSA-N 0 1 294.395 0.945 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H](NC(=O)C2(F)CCCC2)[C@@H](O)C1 ZINC001090371412 820914531 /nfs/dbraw/zinc/91/45/31/820914531.db2.gz JKWPHCBCCOUYCY-OLZOCXBDSA-N 0 1 282.359 0.843 20 30 CCEDMN CO[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1cccc2nc[nH]c21 ZINC001082265328 820930769 /nfs/dbraw/zinc/93/07/69/820930769.db2.gz OKFCVUXDKYELDA-CHWSQXEVSA-N 0 1 299.334 0.515 20 30 CCEDMN CO[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1n[nH]c2ccccc21 ZINC001082353431 820945596 /nfs/dbraw/zinc/94/55/96/820945596.db2.gz WKDJSFSOISJKKN-CHWSQXEVSA-N 0 1 299.334 0.515 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(F)CCCC2)[C@H](O)C1 ZINC001090375792 821008270 /nfs/dbraw/zinc/00/82/70/821008270.db2.gz UEIWPCBUFSVHGY-QWHCGFSZSA-N 0 1 282.359 0.843 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3ccncc3C)[C@H]2C1 ZINC001083075232 821120904 /nfs/dbraw/zinc/12/09/04/821120904.db2.gz KJFTXLNZKMIPEM-JKSUJKDBSA-N 0 1 299.374 0.939 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C3=CCOCC3)[C@H]2C1 ZINC001083060349 821130902 /nfs/dbraw/zinc/13/09/02/821130902.db2.gz ZFGGWIKJPJBNFC-LSDHHAIUSA-N 0 1 290.363 0.268 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)c2c(C)cccc2F)[C@@H](O)C1 ZINC001084120817 821196175 /nfs/dbraw/zinc/19/61/75/821196175.db2.gz HCTDGYNVAJIQTA-KGLIPLIRSA-N 0 1 290.338 0.932 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c(C)cccc2F)[C@@H](O)C1 ZINC001084120817 821196180 /nfs/dbraw/zinc/19/61/80/821196180.db2.gz HCTDGYNVAJIQTA-KGLIPLIRSA-N 0 1 290.338 0.932 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc3c2CCC3)[C@@H](O)C1 ZINC001084111017 821202192 /nfs/dbraw/zinc/20/21/92/821202192.db2.gz ORIKUVLNYKNVBO-SJORKVTESA-N 0 1 298.386 0.974 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)c3cc(C)[nH]n3)[C@@H]2C1 ZINC001084214275 821236554 /nfs/dbraw/zinc/23/65/54/821236554.db2.gz HZSADGJJQZMWMM-DGCLKSJQSA-N 0 1 258.325 0.498 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001099820341 821363736 /nfs/dbraw/zinc/36/37/36/821363736.db2.gz CWQIHRDTQAPWIS-STQMWFEESA-N 0 1 250.342 0.383 20 30 CCEDMN CC(C)=CCN1CC[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001099825804 821382746 /nfs/dbraw/zinc/38/27/46/821382746.db2.gz AQERGLIMAGNNGH-CABCVRRESA-N 0 1 276.380 0.917 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3CC(=O)N(C)C3)C[C@@H]21 ZINC001084952351 821439343 /nfs/dbraw/zinc/43/93/43/821439343.db2.gz MTXQRPQYLLTCSB-RDBSUJKOSA-N 0 1 289.379 0.021 20 30 CCEDMN N#CC[C@@H](O)CN[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001119841828 821440348 /nfs/dbraw/zinc/44/03/48/821440348.db2.gz PEHCYUYPBUJRMS-VXGBXAGGSA-N 0 1 257.297 0.758 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3CCCC(=O)N3)C[C@@H]21 ZINC001084966700 821444100 /nfs/dbraw/zinc/44/41/00/821444100.db2.gz ABUKOZDTKDPWJB-MCIONIFRSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CC(C)(C)C(=O)N1CCc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001273252147 821456909 /nfs/dbraw/zinc/45/69/09/821456909.db2.gz ODROOMSPQLILSD-UHFFFAOYSA-N 0 1 288.351 0.656 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@]3(C)CCNC3=O)C[C@@H]21 ZINC001085030411 821466679 /nfs/dbraw/zinc/46/66/79/821466679.db2.gz FFGXBVCRXQXHJD-DVOMOZLQSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3ccc(C)nc3)C2)OCC1=O ZINC001273258592 821475400 /nfs/dbraw/zinc/47/54/00/821475400.db2.gz DXZQJULZDWISBQ-QGZVFWFLSA-N 0 1 299.374 0.827 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)COC(C)C ZINC001231061560 821480403 /nfs/dbraw/zinc/48/04/03/821480403.db2.gz KTNYAWYUJNQFOR-CYBMUJFWSA-N 0 1 252.358 0.967 20 30 CCEDMN CC(C)c1noc(NC(=O)NCC#CCN(C)C)n1 ZINC000823674839 821530646 /nfs/dbraw/zinc/53/06/46/821530646.db2.gz CXDNXQOUBYWIHK-UHFFFAOYSA-N 0 1 265.317 0.880 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cnc(N)nc1 ZINC001273303745 821582939 /nfs/dbraw/zinc/58/29/39/821582939.db2.gz FDDFJKYYIJCFAG-CHWSQXEVSA-N 0 1 285.351 0.257 20 30 CCEDMN CCn1cc(CNCCCNC(=O)CSCC#N)nn1 ZINC001154814113 821671524 /nfs/dbraw/zinc/67/15/24/821671524.db2.gz CITAZXQNLSWZIN-UHFFFAOYSA-N 0 1 296.400 0.151 20 30 CCEDMN N#CCNC[C@H]1CN(C(=O)c2cccc3[nH]cnc32)CCO1 ZINC001273330281 821685531 /nfs/dbraw/zinc/68/55/31/821685531.db2.gz BCKLIXYVRQSXAC-NSHDSACASA-N 0 1 299.334 0.517 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(=O)[nH]n1 ZINC001085471930 821725085 /nfs/dbraw/zinc/72/50/85/821725085.db2.gz BQEJUSZRDHQJFT-NSHDSACASA-N 0 1 274.324 0.352 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cncnc1C ZINC001085499811 821747277 /nfs/dbraw/zinc/74/72/77/821747277.db2.gz LZEJLYUSMJXVGF-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cncnc1C ZINC001085499811 821747279 /nfs/dbraw/zinc/74/72/79/821747279.db2.gz LZEJLYUSMJXVGF-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(C)n[nH]1 ZINC001085503230 821752905 /nfs/dbraw/zinc/75/29/05/821752905.db2.gz RSTLMUNZXATVLV-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(C)[nH]n1 ZINC001085503230 821752908 /nfs/dbraw/zinc/75/29/08/821752908.db2.gz RSTLMUNZXATVLV-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN COCCN1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085542648 821776158 /nfs/dbraw/zinc/77/61/58/821776158.db2.gz CHVAKPHTBZDRAB-GFCCVEGCSA-N 0 1 276.340 0.679 20 30 CCEDMN C#CCC1(C(=O)NCc2n[nH]c(CO)n2)CCCCC1 ZINC000828839098 821838123 /nfs/dbraw/zinc/83/81/23/821838123.db2.gz KUKKGNVSGOVZME-UHFFFAOYSA-N 0 1 276.340 0.887 20 30 CCEDMN C#CCC1(C(=O)NCc2nnc(CO)[nH]2)CCCCC1 ZINC000828839098 821838128 /nfs/dbraw/zinc/83/81/28/821838128.db2.gz KUKKGNVSGOVZME-UHFFFAOYSA-N 0 1 276.340 0.887 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cnc[nH]c1=O ZINC001085662553 821882337 /nfs/dbraw/zinc/88/23/37/821882337.db2.gz DWMIVSVPRCCJAW-NSHDSACASA-N 0 1 276.340 0.905 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cccc(C(N)=O)c1 ZINC001085678834 821893781 /nfs/dbraw/zinc/89/37/81/821893781.db2.gz SELPOOJIJNHTHS-OAHLLOKOSA-N 0 1 299.374 0.955 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)c1ccc(=O)n(C)c1 ZINC001085693515 821908611 /nfs/dbraw/zinc/90/86/11/821908611.db2.gz IXXYZPYRADJSFE-CYBMUJFWSA-N 0 1 275.352 0.718 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NC[C@@]2(C)CN(CC#N)CCO2)C1 ZINC001108215040 821986284 /nfs/dbraw/zinc/98/62/84/821986284.db2.gz ADZRKQOSFLSDFX-HIFRSBDPSA-N 0 1 294.399 0.059 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@H]2CCN2C[C@@H](C)O)cn1 ZINC001085932595 822023667 /nfs/dbraw/zinc/02/36/67/822023667.db2.gz CRYILILFVNQHJI-IUODEOHRSA-N 0 1 287.363 0.590 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)CCCF)C2)CC1 ZINC001273375717 822028519 /nfs/dbraw/zinc/02/85/19/822028519.db2.gz SAAGUZVNQZHUAN-OAHLLOKOSA-N 0 1 295.402 0.978 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001085987828 822053090 /nfs/dbraw/zinc/05/30/90/822053090.db2.gz NNHPLPOTCPTHKU-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)Cc2c[nH]cn2)C1 ZINC001108244065 822127017 /nfs/dbraw/zinc/12/70/17/822127017.db2.gz FIHPQUQIKVRWPY-OAHLLOKOSA-N 0 1 292.383 0.735 20 30 CCEDMN N#Cc1cc2nc(-n3cc(F)c(=O)nc3N)ccc2[nH]1 ZINC001155423956 822153162 /nfs/dbraw/zinc/15/31/62/822153162.db2.gz PRAKNGFPJRODKV-UHFFFAOYSA-N 0 1 270.227 0.944 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1nnnn1C(C)C ZINC001155725469 822239096 /nfs/dbraw/zinc/23/90/96/822239096.db2.gz STROWAPUZAMUID-UHFFFAOYSA-N 0 1 296.375 0.053 20 30 CCEDMN Cc1ccnc(CNCCCNC(=O)[C@@H](C)C#N)n1 ZINC001155828508 822254422 /nfs/dbraw/zinc/25/44/22/822254422.db2.gz PBDCTDUAOJUJIP-JTQLQIEISA-N 0 1 261.329 0.541 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(OC)nc2)[C@H](O)C1 ZINC001090412674 822276057 /nfs/dbraw/zinc/27/60/57/822276057.db2.gz HVFIYTWHZHCQEN-QWHCGFSZSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2nc(C)cc2C)[C@H](O)C1 ZINC001090413867 822295125 /nfs/dbraw/zinc/29/51/25/822295125.db2.gz PMQXFVYRBLQPJF-UONOGXRCSA-N 0 1 292.383 0.237 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2nc(C)cc2C)[C@H](O)C1 ZINC001090413868 822295201 /nfs/dbraw/zinc/29/52/01/822295201.db2.gz PMQXFVYRBLQPJF-ZIAGYGMSSA-N 0 1 292.383 0.237 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114004867 837401481 /nfs/dbraw/zinc/40/14/81/837401481.db2.gz LYSGZZDLGHNXKS-YABSGUDNSA-N 0 1 265.357 0.087 20 30 CCEDMN C=CCOCC(=O)NC1[C@H]2CN(C/C=C\Cl)C[C@@H]12 ZINC001114050991 837417413 /nfs/dbraw/zinc/41/74/13/837417413.db2.gz MMJXQLPUFNUHON-QEXTWPGQSA-N 0 1 270.760 0.988 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2CN(CCOCC(F)F)C[C@H]21 ZINC001114067096 837420821 /nfs/dbraw/zinc/42/08/21/837420821.db2.gz MQNJYJYWSAEJND-UXCLJVHYSA-N 0 1 287.310 0.474 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCC1CC1 ZINC001114086480 837431500 /nfs/dbraw/zinc/43/15/00/837431500.db2.gz XIKHWAYYBZAKIC-FOLVSLTJSA-N 0 1 276.380 0.873 20 30 CCEDMN C#CCN1C[C@@H]2C(NC(=O)/C=C\c3ccc[nH]3)[C@@H]2C1 ZINC001114184401 837467136 /nfs/dbraw/zinc/46/71/36/837467136.db2.gz OLVGYBQISKXYOD-XGQSORFRSA-N 0 1 255.321 0.708 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc2n1CCC2 ZINC001129759362 837551981 /nfs/dbraw/zinc/55/19/81/837551981.db2.gz FDEXADDDOWWHSY-UHFFFAOYSA-N 0 1 268.748 0.901 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C2CC2)C[C@H]1c1cn(C)cn1 ZINC001130473916 837798689 /nfs/dbraw/zinc/79/86/89/837798689.db2.gz JIDVJZMRRRFJPZ-DZGCQCFKSA-N 0 1 286.379 0.737 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCc2cncn2C1 ZINC001130484348 837808646 /nfs/dbraw/zinc/80/86/46/837808646.db2.gz LEGHFGLUOUJUFS-NSHDSACASA-N 0 1 282.775 0.904 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)NCCNCC#N)C2 ZINC001130490356 837812653 /nfs/dbraw/zinc/81/26/53/837812653.db2.gz BQFPSTBGPDBMJI-SNVBAGLBSA-N 0 1 261.329 0.052 20 30 CCEDMN CC#CCCCC(=O)N(C)CCCN(C)[C@@H]1CCNC1=O ZINC001273547692 844759968 /nfs/dbraw/zinc/75/99/68/844759968.db2.gz GMGXHFTWWYVEQO-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)CC[C@H]1CNCC#N ZINC001183896179 844106827 /nfs/dbraw/zinc/10/68/27/844106827.db2.gz CLTKDANBWQWQTF-MNOVXSKESA-N 0 1 261.329 0.621 20 30 CCEDMN N#Cc1c(F)c(F)c(-n2nnnc2CN)c(F)c1F ZINC001168924198 836058760 /nfs/dbraw/zinc/05/87/60/836058760.db2.gz KSDJJWXPVUHTIS-UHFFFAOYSA-N 0 1 272.165 0.549 20 30 CCEDMN C=CCC[C@H](C)N1CC(N2C[C@@H](NC(C)=O)CC2=O)C1 ZINC001108490876 836289776 /nfs/dbraw/zinc/28/97/76/836289776.db2.gz CVNBSKPHOMWDEI-AAEUAGOBSA-N 0 1 279.384 0.762 20 30 CCEDMN COC(=O)n1ncc(C#N)c1Nc1ccc2nccnc2n1 ZINC001169962547 836489132 /nfs/dbraw/zinc/48/91/32/836489132.db2.gz SIDHHFOEINGAHJ-UHFFFAOYSA-N 0 1 295.262 0.825 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)cn1)NC(=O)CCc1c[nH]nn1 ZINC001108713008 836517002 /nfs/dbraw/zinc/51/70/02/836517002.db2.gz SXPFSSYULGUDGL-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)cn1)NC(=O)CCc1cnn[nH]1 ZINC001108713008 836517006 /nfs/dbraw/zinc/51/70/06/836517006.db2.gz SXPFSSYULGUDGL-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@@H](CNc1cncc(C#N)n1)NC(=O)CCc1cnc[nH]1 ZINC001108762790 836520080 /nfs/dbraw/zinc/52/00/80/836520080.db2.gz DFTDZDJEFLHWPR-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(C)=O)C2 ZINC001108864177 836555306 /nfs/dbraw/zinc/55/53/06/836555306.db2.gz BBOKXEAOYMOLDB-WOPDTQHZSA-N 0 1 251.330 0.030 20 30 CCEDMN COC(=O)n1ncc(C#N)c1Nc1cnc2[nH]nnc2c1 ZINC001169971005 836575969 /nfs/dbraw/zinc/57/59/69/836575969.db2.gz REVUDDCCAYEULW-UHFFFAOYSA-N 0 1 284.239 0.779 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CSCC#N)C2 ZINC001108952238 836580386 /nfs/dbraw/zinc/58/03/86/836580386.db2.gz RUVPYOZYTBFKGR-AGIUHOORSA-N 0 1 277.393 0.988 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)OC)C2 ZINC001108986103 836591086 /nfs/dbraw/zinc/59/10/86/836591086.db2.gz WWZRMYLPKNSKMO-VWYCJHECSA-N 0 1 267.329 0.250 20 30 CCEDMN Cc1ccc(C#N)c(N(C)C[C@@H](C)NC(=O)c2ncn[nH]2)n1 ZINC001109063397 836607977 /nfs/dbraw/zinc/60/79/77/836607977.db2.gz UTNJUWNAAHJCDU-SNVBAGLBSA-N 0 1 299.338 0.635 20 30 CCEDMN Cc1ccc(C#N)c(N(C)C[C@@H](C)NC(=O)c2nc[nH]n2)n1 ZINC001109063397 836607982 /nfs/dbraw/zinc/60/79/82/836607982.db2.gz UTNJUWNAAHJCDU-SNVBAGLBSA-N 0 1 299.338 0.635 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCNC(=O)NC)C2 ZINC001109234783 836643659 /nfs/dbraw/zinc/64/36/59/836643659.db2.gz FBTUKCKTUALOSN-WOPDTQHZSA-N 0 1 280.372 0.213 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1C[C@H]2CC[C@@H]1N2CCOCCO ZINC001109257627 836648166 /nfs/dbraw/zinc/64/81/66/836648166.db2.gz FWCIVWOXPADNBT-ILXRZTDVSA-N 0 1 292.379 0.130 20 30 CCEDMN O=C(CCC(=O)N1CC[N@@H+]2CC[C@@H]2C1)C1=CC=C[CH]1 ZINC001184245208 844187502 /nfs/dbraw/zinc/18/75/02/844187502.db2.gz LOYZSVUAOBNFDC-CYBMUJFWSA-N 0 1 259.329 0.953 20 30 CCEDMN O=C(CCC(=O)N1CC[N@H+]2CC[C@@H]2C1)C1=CC=C[CH]1 ZINC001184245208 844187506 /nfs/dbraw/zinc/18/75/06/844187506.db2.gz LOYZSVUAOBNFDC-CYBMUJFWSA-N 0 1 259.329 0.953 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCn1ccnn1)C2 ZINC001109393060 836670329 /nfs/dbraw/zinc/67/03/29/836670329.db2.gz OHQGLCXXDDREQA-RDBSUJKOSA-N 0 1 287.367 0.413 20 30 CCEDMN C#CCC1(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CCO)CCC1 ZINC001109395458 836670539 /nfs/dbraw/zinc/67/05/39/836670539.db2.gz LDTJPWGDWLGOJI-RDBSUJKOSA-N 0 1 276.380 0.894 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCNC(N)=O)C2 ZINC001109496947 836688987 /nfs/dbraw/zinc/68/89/87/836688987.db2.gz WSGKMDLIJUYLME-AGIUHOORSA-N 0 1 294.399 0.733 20 30 CCEDMN N#Cc1ccc(NC[C@H](NC(=O)c2ncn[nH]2)C2CC2)nc1 ZINC001109885230 836742819 /nfs/dbraw/zinc/74/28/19/836742819.db2.gz ZCDNQIJPLFRZTI-NSHDSACASA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(NC[C@H](NC(=O)c2nc[nH]n2)C2CC2)nc1 ZINC001109885230 836742826 /nfs/dbraw/zinc/74/28/26/836742826.db2.gz ZCDNQIJPLFRZTI-NSHDSACASA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ncc(NC[C@@H]2COCCN2)cc1[N+](=O)[O-] ZINC001170042941 836774817 /nfs/dbraw/zinc/77/48/17/836774817.db2.gz GLLQDNVRJPGLRO-SECBINFHSA-N 0 1 263.257 0.262 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OCC)no2)[C@H](O)C1 ZINC001090436267 836776629 /nfs/dbraw/zinc/77/66/29/836776629.db2.gz PAKQBIALOASMPG-WDEREUQCSA-N 0 1 295.339 0.424 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001112766365 836898395 /nfs/dbraw/zinc/89/83/95/836898395.db2.gz VFYOBSUOQBBXNF-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(CCCCOC)CC1 ZINC001112814183 836924503 /nfs/dbraw/zinc/92/45/03/836924503.db2.gz IXXFMSXXMGGIEJ-HNNXBMFYSA-N 0 1 284.400 0.884 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1CCN(CC#N)CC1 ZINC001112908837 836961938 /nfs/dbraw/zinc/96/19/38/836961938.db2.gz GMDZFTGEJVIVBC-GFCCVEGCSA-N 0 1 270.352 0.334 20 30 CCEDMN C=CCCCCCN1CCN(C(=O)CNC(=O)NC)CC1 ZINC001112926631 836969365 /nfs/dbraw/zinc/96/93/65/836969365.db2.gz IFACYRYLYAUQPP-UHFFFAOYSA-N 0 1 296.415 0.806 20 30 CCEDMN COCC#CCN1CCN(C(=O)CCc2cnc[nH]2)CC1 ZINC001112938926 836971656 /nfs/dbraw/zinc/97/16/56/836971656.db2.gz VDKFEWJWEPYHJI-UHFFFAOYSA-N 0 1 290.367 0.136 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1ncccc1C#N ZINC001113114450 837028100 /nfs/dbraw/zinc/02/81/00/837028100.db2.gz HXVSWZGBJXUXLN-DTWKUNHWSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1ncccc1C#N ZINC001113114450 837028115 /nfs/dbraw/zinc/02/81/15/837028115.db2.gz HXVSWZGBJXUXLN-DTWKUNHWSA-N 0 1 285.311 0.690 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(C)C[C@@H]1c1ccccc1 ZINC001157495377 837066160 /nfs/dbraw/zinc/06/61/60/837066160.db2.gz KFTCOILSLHEZML-ZBFHGGJFSA-N 0 1 271.364 0.852 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@@H](C)Nc1ccc(C#N)cn1 ZINC001113356491 837094689 /nfs/dbraw/zinc/09/46/89/837094689.db2.gz IHONLDZPTALZAU-RKDXNWHRSA-N 0 1 285.311 0.690 20 30 CCEDMN Cc1cc(N[C@H](C)[C@H](C)NC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001113356451 837097606 /nfs/dbraw/zinc/09/76/06/837097606.db2.gz HOYTVNBCFYXDPY-ZJUUUORDSA-N 0 1 299.338 0.421 20 30 CCEDMN C=CCCCCCN1CCN(C(=O)CCNC(N)=O)CC1 ZINC001113619318 837180251 /nfs/dbraw/zinc/18/02/51/837180251.db2.gz UMSXCVOMIHHLQM-UHFFFAOYSA-N 0 1 296.415 0.935 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCOC1 ZINC001157648444 837194571 /nfs/dbraw/zinc/19/45/71/837194571.db2.gz JHGYWGUEOKYCBL-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCCN1CCN(C(=O)COCCOCC)CC1 ZINC001113693568 837198621 /nfs/dbraw/zinc/19/86/21/837198621.db2.gz JBUAGRUAUOFHGR-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CCC(=O)N(C)C2)CC1 ZINC001113687738 837200368 /nfs/dbraw/zinc/20/03/68/837200368.db2.gz IZYXHBRHGJDNRF-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC001113712857 837203731 /nfs/dbraw/zinc/20/37/31/837203731.db2.gz GZZPSYREUBJKCP-LLVKDONJSA-N 0 1 293.371 0.348 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnco2)[C@H](O)C1 ZINC001090461852 837240026 /nfs/dbraw/zinc/24/00/26/837240026.db2.gz DHDUBLQTPLVDNP-VHSXEESVSA-N 0 1 251.286 0.026 20 30 CCEDMN CCOC(=O)C(C#N)Nc1nccc(C#N)c1[N+](=O)[O-] ZINC001170374619 837327682 /nfs/dbraw/zinc/32/76/82/837327682.db2.gz XZAMGQHGSSZYCW-QMMMGPOBSA-N 0 1 275.224 0.729 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCC ZINC001113871495 837349392 /nfs/dbraw/zinc/34/93/92/837349392.db2.gz WDZKCBBTLSFULB-RMRHIDDWSA-N 0 1 291.395 0.361 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)N(C)c1cnc(C#N)cn1 ZINC001113898332 837359187 /nfs/dbraw/zinc/35/91/87/837359187.db2.gz REMPFIXPHHVJRT-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN C=CCCC(=O)NCC1CC(N[C@H](C)c2nnnn2C)C1 ZINC001100176970 838059606 /nfs/dbraw/zinc/05/96/06/838059606.db2.gz OQNVHWOMONUNMX-VOMCLLRMSA-N 0 1 292.387 0.722 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1cc(CC)nn1C ZINC001131597783 838150853 /nfs/dbraw/zinc/15/08/53/838150853.db2.gz SCVWNXIAUPBOCJ-UHFFFAOYSA-N 0 1 284.791 0.983 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COCC(F)F ZINC001131771565 838210143 /nfs/dbraw/zinc/21/01/43/838210143.db2.gz GXVGIGXFJAPYLP-UHFFFAOYSA-N 0 1 256.680 0.726 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)C2CC2)[C@@H](O)C1 ZINC001090502881 838218481 /nfs/dbraw/zinc/21/84/81/838218481.db2.gz BCUITIIRMNCVTL-KBPBESRZSA-N 0 1 278.396 0.997 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1coc(C(N)=O)c1 ZINC001131782737 838225120 /nfs/dbraw/zinc/22/51/20/838225120.db2.gz DODTZRRCPJOUKC-UHFFFAOYSA-N 0 1 271.704 0.450 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCn2cncn2)CC[C@H]1C ZINC001131813271 838234408 /nfs/dbraw/zinc/23/44/08/838234408.db2.gz NRSWSKXYJRMECY-CHWSQXEVSA-N 0 1 275.356 0.271 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CNC(=O)CC)CC[C@H]1C ZINC001131912441 838266548 /nfs/dbraw/zinc/26/65/48/838266548.db2.gz YAZPAFPNYABKQQ-CHWSQXEVSA-N 0 1 279.384 0.505 20 30 CCEDMN CC#CC[NH2+]C[C@H]1CCN(C(=O)c2[nH]nnc2C)C[C@H]1C ZINC001184914526 844309494 /nfs/dbraw/zinc/30/94/94/844309494.db2.gz WBECNNKWTNJFSG-DGCLKSJQSA-N 0 1 289.383 0.824 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1[nH]nnc1C ZINC001132229412 838342534 /nfs/dbraw/zinc/34/25/34/838342534.db2.gz LPDWYRCQAMVTQW-UHFFFAOYSA-N 0 1 288.149 0.341 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C2(C(=O)NC)CC2)CC[C@@H]1C ZINC001132354464 838366157 /nfs/dbraw/zinc/36/61/57/838366157.db2.gz NNDAEEKDTUWIIO-STQMWFEESA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)C(=O)NCC2CC2)CC[C@H]1C ZINC001132402395 838385969 /nfs/dbraw/zinc/38/59/69/838385969.db2.gz JTASRBBTNXLMEJ-TZMCWYRMSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCCC(N)=O)CC[C@H]1C ZINC001132420199 838388477 /nfs/dbraw/zinc/38/84/77/838388477.db2.gz OXCOFFMECATKAF-OLZOCXBDSA-N 0 1 279.384 0.634 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCCS(C)(=O)=O ZINC001132568611 838437848 /nfs/dbraw/zinc/43/78/48/838437848.db2.gz AWBARPZECRYVFQ-MNOVXSKESA-N 0 1 276.402 0.195 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@H]1[C@@H]2COC[C@@H]21 ZINC001132605424 838444333 /nfs/dbraw/zinc/44/43/33/838444333.db2.gz PESNMCUJVIOLAJ-ZSHCYNCHSA-N 0 1 284.359 0.636 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@]1(F)CCOC1 ZINC001132864277 838510277 /nfs/dbraw/zinc/51/02/77/838510277.db2.gz TYROPIHCBSJJIV-JTQLQIEISA-N 0 1 250.701 0.573 20 30 CCEDMN C#Cc1cncc(C(=O)NCCNCc2nccnc2C)c1 ZINC001133597329 838678233 /nfs/dbraw/zinc/67/82/33/838678233.db2.gz OADIBWGDKSLDGT-UHFFFAOYSA-N 0 1 295.346 0.681 20 30 CCEDMN CSc1ncc(O)c(C(=O)N2CCOC[C@H]2C#N)n1 ZINC001185176337 844356347 /nfs/dbraw/zinc/35/63/47/844356347.db2.gz IQKIFQKGPMRCNG-SSDOTTSWSA-N 0 1 280.309 0.269 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@H](C)NCc1nnc(C)[nH]1 ZINC001133909008 838772915 /nfs/dbraw/zinc/77/29/15/838772915.db2.gz FYAPKGDNYREBDM-QWRGUYRKSA-N 0 1 295.387 0.689 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1ccnnc1 ZINC001134283639 838929867 /nfs/dbraw/zinc/92/98/67/838929867.db2.gz JWNHBCGYDJIBBQ-UHFFFAOYSA-N 0 1 280.331 0.848 20 30 CCEDMN C[C@H](C[C@H](C)NCc1nncn1C)NC(=O)C#CC1CC1 ZINC001134325219 838949125 /nfs/dbraw/zinc/94/91/25/838949125.db2.gz APWTYIDLRSPCHS-NWDGAFQWSA-N 0 1 289.383 0.601 20 30 CCEDMN CC[C@@](N)(CO)Nc1cnc(C#N)c([N+](=O)[O-])c1 ZINC001170866067 839464585 /nfs/dbraw/zinc/46/45/85/839464585.db2.gz UIYYHFVLKIQKNJ-JTQLQIEISA-N 0 1 251.246 0.331 20 30 CCEDMN CC[C@@H](C(N)=O)[N@@H+](C)CCCN(C)C(=O)C#CC(C)C ZINC001273507501 844451034 /nfs/dbraw/zinc/45/10/34/844451034.db2.gz COAIGZXKZODPLB-ZDUSSCGKSA-N 0 1 281.400 0.690 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn(C)c2C)[C@@H](O)C1 ZINC001090555578 839639767 /nfs/dbraw/zinc/63/97/67/839639767.db2.gz MFFRHBSNUAEWCK-KBPBESRZSA-N 0 1 277.368 0.685 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CC3(CC3)C2)[C@H](O)C1 ZINC001090561960 839643960 /nfs/dbraw/zinc/64/39/60/839643960.db2.gz APHPPHMGFPGRCV-ZIAGYGMSSA-N 0 1 276.380 0.751 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ocnc2CC)[C@@H](O)C1 ZINC001090561704 839644779 /nfs/dbraw/zinc/64/47/79/839644779.db2.gz CSCHDJVGSOGIDB-NEPJUHHUSA-N 0 1 279.340 0.588 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C(C)C)n[nH]2)[C@@H](O)C1 ZINC001090578979 839652265 /nfs/dbraw/zinc/65/22/65/839652265.db2.gz ADNCVGVZIYNGER-RISCZKNCSA-N 0 1 292.383 0.884 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C3CC3)n[nH]2)[C@@H](O)C1 ZINC001090583458 839654515 /nfs/dbraw/zinc/65/45/15/839654515.db2.gz AXRBAYOVRTUYBC-FZMZJTMJSA-N 0 1 290.367 0.638 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(COC)on2)[C@H](O)C1 ZINC001090629296 839687688 /nfs/dbraw/zinc/68/76/88/839687688.db2.gz RTMAUZPXPLWMAM-DGCLKSJQSA-N 0 1 295.339 0.172 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cscn2)[C@@H](O)C1 ZINC001090628164 839687742 /nfs/dbraw/zinc/68/77/42/839687742.db2.gz LHTXCNWHVSALTB-RYUDHWBXSA-N 0 1 281.381 0.423 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nc(CC)oc2C)[C@@H](O)C1 ZINC001090637575 839693099 /nfs/dbraw/zinc/69/30/99/839693099.db2.gz KKBSTRWUJLALLV-RYUDHWBXSA-N 0 1 293.367 0.896 20 30 CCEDMN C#CCCNCc1cn([C@@H]2CCCN(C(C)=O)C2)nn1 ZINC001185834752 844465024 /nfs/dbraw/zinc/46/50/24/844465024.db2.gz RWCHJXWYAWPKNA-CQSZACIVSA-N 0 1 275.356 0.574 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2cc3c([nH]2)CCC3)[C@@H](O)C1 ZINC001090719373 839752564 /nfs/dbraw/zinc/75/25/64/839752564.db2.gz NMVRFSDGEWPKNG-ZFWWWQNUSA-N 0 1 289.379 0.854 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc3c([nH]2)CCC3)[C@@H](O)C1 ZINC001090719373 839752573 /nfs/dbraw/zinc/75/25/73/839752573.db2.gz NMVRFSDGEWPKNG-ZFWWWQNUSA-N 0 1 289.379 0.854 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2cc3c([nH]2)CCC3)[C@H](O)C1 ZINC001090719369 839753470 /nfs/dbraw/zinc/75/34/70/839753470.db2.gz NMVRFSDGEWPKNG-DZGCQCFKSA-N 0 1 289.379 0.854 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc3c([nH]2)CCC3)[C@H](O)C1 ZINC001090719369 839753474 /nfs/dbraw/zinc/75/34/74/839753474.db2.gz NMVRFSDGEWPKNG-DZGCQCFKSA-N 0 1 289.379 0.854 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncccc2OC)[C@H](O)C1 ZINC001090761748 839787667 /nfs/dbraw/zinc/78/76/67/839787667.db2.gz VKVKAFNEWARSGV-VXGBXAGGSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCC[NH2+]CCCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001171071891 839823146 /nfs/dbraw/zinc/82/31/46/839823146.db2.gz LDEVPLLPAHDXIZ-UHFFFAOYSA-N 0 1 296.327 0.182 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncnc2CC)[C@@H](O)C1 ZINC001090817478 839831662 /nfs/dbraw/zinc/83/16/62/839831662.db2.gz IZNDYNZOMAASCW-KBPBESRZSA-N 0 1 290.367 0.390 20 30 CCEDMN N#Cc1cncc(CN2CCN(CCCO)CC2)c1 ZINC001144074682 839928613 /nfs/dbraw/zinc/92/86/13/839928613.db2.gz ZOOXRKNVQAMRFY-UHFFFAOYSA-N 0 1 260.341 0.453 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C(C)C)C1 ZINC001149196557 839944237 /nfs/dbraw/zinc/94/42/37/839944237.db2.gz QNEMFQHWMKMUTJ-CYBMUJFWSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H](C)COC)C1 ZINC001149384716 840128348 /nfs/dbraw/zinc/12/83/48/840128348.db2.gz WKVSJGITWKZTID-STQMWFEESA-N 0 1 270.373 0.662 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)c1ccc2[nH]nnc2c1)NCC#N ZINC001146250424 840273952 /nfs/dbraw/zinc/27/39/52/840273952.db2.gz LUGFHSVXTJWTNC-UWVGGRQHSA-N 0 1 286.339 0.968 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@]2(C)C1 ZINC001091601770 840382442 /nfs/dbraw/zinc/38/24/42/840382442.db2.gz PYKGPMPTVAOGLN-ABAIWWIYSA-N 0 1 288.351 0.451 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2CN(C(=O)c3[nH]nc(C)c3[O-])C[C@]2(C)C1 ZINC001091601770 840382453 /nfs/dbraw/zinc/38/24/53/840382453.db2.gz PYKGPMPTVAOGLN-ABAIWWIYSA-N 0 1 288.351 0.451 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)c3[nH]nc(C)c3[O-])C[C@]2(C)C1 ZINC001091601770 840382460 /nfs/dbraw/zinc/38/24/60/840382460.db2.gz PYKGPMPTVAOGLN-ABAIWWIYSA-N 0 1 288.351 0.451 20 30 CCEDMN CCO[C@@H](C)C(=O)N1CC2(C1)CCN(CC#CCOC)C2 ZINC001147057246 840481423 /nfs/dbraw/zinc/48/14/23/840481423.db2.gz ZSCBIHGIUYEXNX-AWEZNQCLSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)NC(=O)CC)C2)C1 ZINC001147603644 840631972 /nfs/dbraw/zinc/63/19/72/840631972.db2.gz NRJOGZJTMSHUTH-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCC(=O)NCCC ZINC001148198106 840750556 /nfs/dbraw/zinc/75/05/56/840750556.db2.gz KWSCNIUXIWGMQH-UHFFFAOYSA-N 0 1 275.780 0.751 20 30 CCEDMN N#Cc1nc(N)c(NC(=O)c2cccc(O)c2O)nc1C#N ZINC001148213137 840763524 /nfs/dbraw/zinc/76/35/24/840763524.db2.gz XISLULGTYZWAAR-UHFFFAOYSA-N 0 1 296.246 0.466 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2nccnc2C)cn1 ZINC001148389960 840790896 /nfs/dbraw/zinc/79/08/96/840790896.db2.gz XKABATCVBMEEIQ-UHFFFAOYSA-N 0 1 295.346 0.681 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001148363125 840792537 /nfs/dbraw/zinc/79/25/37/840792537.db2.gz AYQQCKRBGPUVTM-GFCCVEGCSA-N 0 1 293.411 0.997 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2nccnc2C)nc1 ZINC001148377423 840796132 /nfs/dbraw/zinc/79/61/32/840796132.db2.gz XALXRDDYKMWTRM-UHFFFAOYSA-N 0 1 295.346 0.681 20 30 CCEDMN C[C@@]12CN(CC#N)C[C@@H]1CN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C2 ZINC001091754979 840902489 /nfs/dbraw/zinc/90/24/89/840902489.db2.gz BOBPYUXRWXXCGC-JXFSHQFZSA-N 0 1 299.378 0.817 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001149541486 840947629 /nfs/dbraw/zinc/94/76/29/840947629.db2.gz PBVMWBQZZDBHCF-STQMWFEESA-N 0 1 296.367 0.333 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H]2CCNC2=O)[C@H](C)C1 ZINC001092884716 841076865 /nfs/dbraw/zinc/07/68/65/841076865.db2.gz PVJVPCKIAHSIKU-YUSALJHKSA-N 0 1 299.802 0.559 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@@H]2CCC(=O)N2)[C@H](C)C1 ZINC001093139790 841154922 /nfs/dbraw/zinc/15/49/22/841154922.db2.gz UKVBNKCGBQKRGZ-JLLWLGSASA-N 0 1 299.802 0.702 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCNc1ccc(C#N)nc1 ZINC001093531175 841312556 /nfs/dbraw/zinc/31/25/56/841312556.db2.gz HDZSQULLXWQLMF-CYBMUJFWSA-N 0 1 273.340 0.576 20 30 CCEDMN Cc1nnc(CNC[C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)o1 ZINC001093678175 841426375 /nfs/dbraw/zinc/42/63/75/841426375.db2.gz IVKIEUSRXJGELH-HOSYDEDBSA-N 0 1 291.355 0.722 20 30 CCEDMN Cc1nsc(NCCNC(=O)c2ncn[nH]2)c1C#N ZINC001093793259 841459121 /nfs/dbraw/zinc/45/91/21/841459121.db2.gz JSZXZPPBKPFFLU-UHFFFAOYSA-N 0 1 277.313 0.283 20 30 CCEDMN Cc1nsc(NCCNC(=O)c2nc[nH]n2)c1C#N ZINC001093793259 841459126 /nfs/dbraw/zinc/45/91/26/841459126.db2.gz JSZXZPPBKPFFLU-UHFFFAOYSA-N 0 1 277.313 0.283 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCCNc1ccc(C#N)nn1 ZINC001094248779 841568380 /nfs/dbraw/zinc/56/83/80/841568380.db2.gz OJXZTESIMBDUBC-GFCCVEGCSA-N 0 1 288.355 0.361 20 30 CCEDMN COc1cc(C#N)cnc1Nc1nccnc1CN ZINC001171440477 841615701 /nfs/dbraw/zinc/61/57/01/841615701.db2.gz FNQHNZUGYUMREW-UHFFFAOYSA-N 0 1 256.269 0.954 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(C(C)(C)O)CC1 ZINC000716335113 841647583 /nfs/dbraw/zinc/64/75/83/841647583.db2.gz XGJXMNFZLYWCKR-LLVKDONJSA-N 0 1 252.358 0.607 20 30 CCEDMN N#CCOc1ccc(Nc2nccnc2CN)nc1 ZINC001171449439 841685693 /nfs/dbraw/zinc/68/56/93/841685693.db2.gz FGJRNYANBAESBN-UHFFFAOYSA-N 0 1 256.269 0.976 20 30 CCEDMN N#CCN[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ccn[nH]1)C2 ZINC001094865960 841797253 /nfs/dbraw/zinc/79/72/53/841797253.db2.gz BEKDIHAXTTWDDJ-AXFHLTTASA-N 0 1 259.313 0.516 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@H](CNC(=O)[C@]2(F)CCOC2)C1 ZINC001150178906 842074596 /nfs/dbraw/zinc/07/45/96/842074596.db2.gz COPIMZIGHZNIQO-HIFRSBDPSA-N 0 1 298.358 0.345 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@]2(F)CCOC2)C1 ZINC001150178906 842074608 /nfs/dbraw/zinc/07/46/08/842074608.db2.gz COPIMZIGHZNIQO-HIFRSBDPSA-N 0 1 298.358 0.345 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CC#N)c1C ZINC001095244391 842125440 /nfs/dbraw/zinc/12/54/40/842125440.db2.gz AKEIILQBOADDDP-WOPDTQHZSA-N 0 1 273.340 0.885 20 30 CCEDMN CN1CCC=C(n2c(N)c(C#N)c(N)nc2=NC2CC2)C1 ZINC001175575559 842262737 /nfs/dbraw/zinc/26/27/37/842262737.db2.gz ZSLLJKOIEDMHST-UHFFFAOYSA-N 0 1 285.355 0.159 20 30 CCEDMN CC(=O)N1CC=C(Nc2nc(C#N)c(C#N)nc2N)CC1 ZINC001176030547 842305621 /nfs/dbraw/zinc/30/56/21/842305621.db2.gz WQNFIHXUFGYZDB-UHFFFAOYSA-N 0 1 283.295 0.350 20 30 CCEDMN CC(C)(C)n1cc(C#N)cc1NC(=O)Cc1nn[nH]n1 ZINC001176838203 842425176 /nfs/dbraw/zinc/42/51/76/842425176.db2.gz RVYIDXRGVSSUSK-UHFFFAOYSA-N 0 1 273.300 0.809 20 30 CCEDMN N#Cc1c(F)ccc(NC(=O)Cc2nn[nH]n2)c1F ZINC001176842074 842428052 /nfs/dbraw/zinc/42/80/52/842428052.db2.gz BFYROVBLJCLCFG-UHFFFAOYSA-N 0 1 264.195 0.531 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001177183753 842520263 /nfs/dbraw/zinc/52/02/63/842520263.db2.gz FWXOPONJJAZNQD-GZMMTYOYSA-N 0 1 276.296 0.086 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001177183755 842520500 /nfs/dbraw/zinc/52/05/00/842520500.db2.gz FWXOPONJJAZNQD-KWQFWETISA-N 0 1 276.296 0.086 20 30 CCEDMN CCOC(=O)CO/N=C(\C(=O)N1CC[C@@H]1C)c1cc[nH]n1 ZINC001177257359 842534862 /nfs/dbraw/zinc/53/48/62/842534862.db2.gz PDJOCJGDGHEZCT-DRITVVFMSA-N 0 1 294.311 0.314 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1cnon1 ZINC001177272929 842541395 /nfs/dbraw/zinc/54/13/95/842541395.db2.gz BRGXXEFDVFTXAZ-JTQLQIEISA-N 0 1 268.317 0.257 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC[C@H](CO)[C@@H](O)C1 ZINC001177907964 842705961 /nfs/dbraw/zinc/70/59/61/842705961.db2.gz HAOLOLKDXPMMFX-MDZLAQPJSA-N 0 1 294.376 0.632 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC1(C(N)=O)CC1 ZINC001177917324 842706847 /nfs/dbraw/zinc/70/68/47/842706847.db2.gz RUMWGEBQGQWBNE-QMMMGPOBSA-N 0 1 263.322 0.564 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1COCC[C@@H]1O ZINC001177914906 842707673 /nfs/dbraw/zinc/70/76/73/842707673.db2.gz HHICGXICDYSRMU-DLOVCJGASA-N 0 1 280.349 0.696 20 30 CCEDMN C=CCCC[C@H](NC(=O)CCc1nn[nH]n1)C(=O)OC ZINC001177964495 842714188 /nfs/dbraw/zinc/71/41/88/842714188.db2.gz LTBPUQQAPWMTMK-VIFPVBQESA-N 0 1 281.316 0.146 20 30 CCEDMN CC#CC(=O)N1CCc2nc[nH]c2[C@H]1C(=O)OCC ZINC001179752501 843047745 /nfs/dbraw/zinc/04/77/45/843047745.db2.gz VSFZHFNVDFOJDQ-LBPRGKRZSA-N 0 1 261.281 0.422 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001179893746 843068110 /nfs/dbraw/zinc/06/81/10/843068110.db2.gz CHZXKGXNMHYJMS-UWVGGRQHSA-N 0 1 266.297 0.517 20 30 CCEDMN C#CCCCNC(=O)CN1CCN(C(C)C)CC1 ZINC001180349471 843148691 /nfs/dbraw/zinc/14/86/91/843148691.db2.gz NXRKHQHXYTVEQU-UHFFFAOYSA-N 0 1 251.374 0.542 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)[C@@H](C)N1CCCC1 ZINC001180581139 843196960 /nfs/dbraw/zinc/19/69/60/843196960.db2.gz AIAABQCGYKDCBZ-ZJUUUORDSA-N 0 1 253.302 0.042 20 30 CCEDMN N#CC1(CNC(=O)c2n[nH]cc2[N+](=O)[O-])CCOCC1 ZINC001180616188 843213373 /nfs/dbraw/zinc/21/33/73/843213373.db2.gz DASNAEBLTXYDIT-UHFFFAOYSA-N 0 1 279.256 0.368 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1cccn1)C2 ZINC001110296198 843821944 /nfs/dbraw/zinc/82/19/44/843821944.db2.gz AUHIFVBIIRTLIA-MCIONIFRSA-N 0 1 272.352 0.628 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@H](NCc2cc(C)no2)C1 ZINC001182496763 843845163 /nfs/dbraw/zinc/84/51/63/843845163.db2.gz QEYFALPFYSRCQM-QWHCGFSZSA-N 0 1 291.351 0.712 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)Cc1ccc2nc[nH]c2c1 ZINC001182845287 843920314 /nfs/dbraw/zinc/92/03/14/843920314.db2.gz YJQCBWHBBYGSQZ-LLVKDONJSA-N 0 1 270.292 0.856 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCOC)C2 ZINC001110325787 843960535 /nfs/dbraw/zinc/96/05/35/843960535.db2.gz LNBOZGHJTIOJII-UPJWGTAASA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H]2CN([C@@H](C)C(=O)NC)C[C@H]21 ZINC001187706076 844749285 /nfs/dbraw/zinc/74/92/85/844749285.db2.gz BEWAOEIOQLGMJS-MELADBBJSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)[C@H](F)C(C)C ZINC001273547910 844762466 /nfs/dbraw/zinc/76/24/66/844762466.db2.gz KBYIZZABWRHVMP-CQSZACIVSA-N 0 1 299.390 0.510 20 30 CCEDMN Cc1cc(NC2(CNC(=O)c3cnn[nH]3)CC2)c(C#N)cn1 ZINC001110396500 844764088 /nfs/dbraw/zinc/76/40/88/844764088.db2.gz WORKDKJLAMMMIZ-UHFFFAOYSA-N 0 1 297.322 0.176 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)[C@@H]1CC12CC2 ZINC001273566252 844934610 /nfs/dbraw/zinc/93/46/10/844934610.db2.gz PHITWPRIIYRPRW-ZDUSSCGKSA-N 0 1 291.395 0.316 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C(C)C)C1 ZINC001188914476 844992262 /nfs/dbraw/zinc/99/22/62/844992262.db2.gz IRZMQWVVPZDJPE-STQMWFEESA-N 0 1 279.384 0.313 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@@H](COC)OC)[C@@H]2C1 ZINC001189068675 845033056 /nfs/dbraw/zinc/03/30/56/845033056.db2.gz IYNDCZGTJMSBLR-YNEHKIRRSA-N 0 1 268.357 0.367 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCOC2)C1 ZINC001189198326 845073872 /nfs/dbraw/zinc/07/38/72/845073872.db2.gz VYPIUFXJAZEYPF-ZIAGYGMSSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)COC[C@@H]3CCOC3)[C@@H]2C1 ZINC001189292044 845095204 /nfs/dbraw/zinc/09/52/04/845095204.db2.gz GNCLBHHPGSSKDX-QLFBSQMISA-N 0 1 292.379 0.205 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COC[C@@H]3CCOC3)[C@@H]2C1 ZINC001189292044 845095208 /nfs/dbraw/zinc/09/52/08/845095208.db2.gz GNCLBHHPGSSKDX-QLFBSQMISA-N 0 1 292.379 0.205 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H](C)OC)C1 ZINC001189287098 845095237 /nfs/dbraw/zinc/09/52/37/845095237.db2.gz CGNRCWTWQNLVJY-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCOC2)C1 ZINC001189302600 845099965 /nfs/dbraw/zinc/09/99/65/845099965.db2.gz YXTADJUUNINYKR-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](C)OCCOC)[C@@H]2C1 ZINC001189316875 845107133 /nfs/dbraw/zinc/10/71/33/845107133.db2.gz FSNKCPRPEHRBRP-SOUVJXGZSA-N 0 1 294.395 0.594 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(=O)N(C)C)C1 ZINC001189317847 845108334 /nfs/dbraw/zinc/10/83/34/845108334.db2.gz GCUIAIKSPPAEMN-STQMWFEESA-N 0 1 281.400 0.962 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2cc(C)ncn2)C1 ZINC001189687082 845186115 /nfs/dbraw/zinc/18/61/15/845186115.db2.gz KBYWGHFZATZABT-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(C)ncn2)C1 ZINC001189687082 845186127 /nfs/dbraw/zinc/18/61/27/845186127.db2.gz KBYWGHFZATZABT-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C2=CCOCC2)C1 ZINC001189786706 845206122 /nfs/dbraw/zinc/20/61/22/845206122.db2.gz INYMYXUMSKFNCT-OAHLLOKOSA-N 0 1 292.379 0.516 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](OC)C2CC2)C1 ZINC001189909709 845263210 /nfs/dbraw/zinc/26/32/10/845263210.db2.gz GJHSWKVCEDDDOP-CABCVRRESA-N 0 1 294.395 0.594 20 30 CCEDMN C=CC[N@H+](C)[C@@H]1CCN(C(=O)C[C@@H](C)NC(N)=O)C1 ZINC001190155820 845350578 /nfs/dbraw/zinc/35/05/78/845350578.db2.gz OUBGEUAVSRKLFM-GHMZBOCLSA-N 0 1 268.361 0.152 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCOCC(F)F)C1 ZINC001190196293 845362698 /nfs/dbraw/zinc/36/26/98/845362698.db2.gz XSTWOHKTOZDWDK-NSHDSACASA-N 0 1 274.311 0.824 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H]2C[N@H+](CCO)C[C@H]21 ZINC001190439889 845403395 /nfs/dbraw/zinc/40/33/95/845403395.db2.gz DGKACRIFWURNNP-QWHCGFSZSA-N 0 1 268.357 0.104 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CN(C)C(=O)C(C)C)C1 ZINC001190452158 845406206 /nfs/dbraw/zinc/40/62/06/845406206.db2.gz CJNNXNYHBKTSHI-CYBMUJFWSA-N 0 1 279.384 0.267 20 30 CCEDMN C#CCNC(=O)c1cnc(-c2ccccn2)[nH]c1=O ZINC001190632127 845450283 /nfs/dbraw/zinc/45/02/83/845450283.db2.gz GAMJPARCCWJCHJ-UHFFFAOYSA-N 0 1 254.249 0.607 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cncc(N2CCOCC2)n1 ZINC001190759707 845474518 /nfs/dbraw/zinc/47/45/18/845474518.db2.gz QAQGOKZITBXPJI-UHFFFAOYSA-N 0 1 299.294 0.160 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cncn2C)C1 ZINC001191177816 845610382 /nfs/dbraw/zinc/61/03/82/845610382.db2.gz MQBMUGDLWWFIFC-LBPRGKRZSA-N 0 1 260.341 0.590 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)COC)C1 ZINC001191755876 845732392 /nfs/dbraw/zinc/73/23/92/845732392.db2.gz OCDYLNHRFCAKCT-IJLUTSLNSA-N 0 1 256.346 0.006 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2c(C)cnn2C)C1 ZINC001191818835 845733371 /nfs/dbraw/zinc/73/33/71/845733371.db2.gz IWVLEBXUHYLKOG-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C=CCCCC(=O)N1CC[C@@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001192092631 845782385 /nfs/dbraw/zinc/78/23/85/845782385.db2.gz BFZJOUJIMQROLO-LLVKDONJSA-N 0 1 293.371 0.899 20 30 CCEDMN C=CCCCC(=O)N1CC[C@@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001192092631 845782392 /nfs/dbraw/zinc/78/23/92/845782392.db2.gz BFZJOUJIMQROLO-LLVKDONJSA-N 0 1 293.371 0.899 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2ccoc2)C1 ZINC001192126872 845791062 /nfs/dbraw/zinc/79/10/62/845791062.db2.gz CKPUNXHROXGENO-ZIAGYGMSSA-N 0 1 278.352 0.950 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](CC)SC)C1 ZINC001192257104 845803744 /nfs/dbraw/zinc/80/37/44/845803744.db2.gz IPHNNQRPVICHIL-UTUOFQBUSA-N 0 1 272.414 0.865 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2n[nH]cc2F)C1 ZINC001192218957 845805864 /nfs/dbraw/zinc/80/58/64/845805864.db2.gz ZMQSCJBEXBLCMA-SNVBAGLBSA-N 0 1 264.304 0.718 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(CCc2ccccc2)C[C@H]1O ZINC001192778855 845907298 /nfs/dbraw/zinc/90/72/98/845907298.db2.gz HVUBGIWUGISPSP-IAGOWNOFSA-N 0 1 298.386 0.804 20 30 CCEDMN CC[C@@H](CN1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1)OC ZINC001192813931 845914561 /nfs/dbraw/zinc/91/45/61/845914561.db2.gz SIDJFIZWTRVTLW-BFHYXJOUSA-N 0 1 296.411 0.622 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2nccs2)C1 ZINC001193000403 845950844 /nfs/dbraw/zinc/95/08/44/845950844.db2.gz YZQXRDSPDIAXEA-GFCCVEGCSA-N 0 1 293.392 0.939 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cccnn2)C1 ZINC001192998407 845951292 /nfs/dbraw/zinc/95/12/92/845951292.db2.gz KCCAWXFCZMVOLM-LBPRGKRZSA-N 0 1 258.325 0.646 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cncc(N2CCOCC2)c1 ZINC001193111457 845981191 /nfs/dbraw/zinc/98/11/91/845981191.db2.gz HYSOTHGDLCLZSO-JTQLQIEISA-N 0 1 296.352 0.572 20 30 CCEDMN COC(=O)[C@@H](NS(=O)(=O)[C@H](C)C#N)c1ccccn1 ZINC001193168862 846012148 /nfs/dbraw/zinc/01/21/48/846012148.db2.gz MWSXCLZQEHCYAK-SCZZXKLOSA-N 0 1 283.309 0.127 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)C(C)C)C1 ZINC001193267723 846032188 /nfs/dbraw/zinc/03/21/88/846032188.db2.gz KXHLMZXVDKODKK-CHWSQXEVSA-N 0 1 266.385 0.853 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC(=O)NCC2CC2)C1 ZINC001193358886 846061824 /nfs/dbraw/zinc/06/18/24/846061824.db2.gz TWYTVRUBTIRZFZ-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCC(=O)NCC)C1 ZINC001193543490 846099652 /nfs/dbraw/zinc/09/96/52/846099652.db2.gz UGXYGFZKIURHMQ-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[N@H+]3CC[C@H]3C2)ccc1[O-] ZINC001193506842 846101072 /nfs/dbraw/zinc/10/10/72/846101072.db2.gz ZLTVYJJQWORSJM-LBPRGKRZSA-N 0 1 257.293 0.794 20 30 CCEDMN N#Cc1cc(C(=O)N2CCCNC(=O)C2)ccc1O ZINC001193505323 846102005 /nfs/dbraw/zinc/10/20/05/846102005.db2.gz FBGWBOHVPZOPTB-UHFFFAOYSA-N 0 1 259.265 0.226 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCCNC(=O)C2)c1O ZINC001193642605 846135631 /nfs/dbraw/zinc/13/56/31/846135631.db2.gz JZFMMCJNWRJIOD-UHFFFAOYSA-N 0 1 259.265 0.226 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1cccc(C#N)c1O ZINC001193657850 846139887 /nfs/dbraw/zinc/13/98/87/846139887.db2.gz IUIZSJZHYMIJAZ-JTQLQIEISA-N 0 1 273.248 0.449 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnn(C)c2OC)C1 ZINC001193722935 846144807 /nfs/dbraw/zinc/14/48/07/846144807.db2.gz LDYVLYKILXZFGJ-GFCCVEGCSA-N 0 1 290.367 0.598 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](OCC)C(C)C)C1 ZINC001193745466 846146470 /nfs/dbraw/zinc/14/64/70/846146470.db2.gz FYWPDQOEMFAIJG-RBSFLKMASA-N 0 1 296.411 0.622 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(=O)[nH]c2)C1 ZINC001193824358 846160415 /nfs/dbraw/zinc/16/04/15/846160415.db2.gz DVUHCYVPPUEEFQ-ZDUSSCGKSA-N 0 1 273.336 0.957 20 30 CCEDMN CN(CC#N)C(=O)c1cncc(N2CCN(C)CC2)c1 ZINC001193943546 846192684 /nfs/dbraw/zinc/19/26/84/846192684.db2.gz CCPPYRFOAAMGQE-UHFFFAOYSA-N 0 1 273.340 0.429 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001194284733 846265260 /nfs/dbraw/zinc/26/52/60/846265260.db2.gz MRXSKQVYSWVKBB-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001194284733 846265271 /nfs/dbraw/zinc/26/52/71/846265271.db2.gz MRXSKQVYSWVKBB-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2cccnc2)C1 ZINC001194461070 846297081 /nfs/dbraw/zinc/29/70/81/846297081.db2.gz OHEVUPDETUWQPR-HUUCEWRRSA-N 0 1 289.379 0.752 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cn(CCOC)cn1 ZINC001194675463 846353546 /nfs/dbraw/zinc/35/35/46/846353546.db2.gz JVISHGPKLJCHLK-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C=CCOCC(=O)N1CCC[N@H+](CCCOC)CC1 ZINC001195244617 846483971 /nfs/dbraw/zinc/48/39/71/846483971.db2.gz DPRXQTDJTMOGCR-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1O ZINC001195288215 846495796 /nfs/dbraw/zinc/49/57/96/846495796.db2.gz XPHFIAWJIWBIGP-VXGBXAGGSA-N 0 1 252.358 0.936 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2(C)CCC2)C1 ZINC001195301709 846498345 /nfs/dbraw/zinc/49/83/45/846498345.db2.gz PIGJWCKSFAOSBZ-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1O ZINC001195312590 846501227 /nfs/dbraw/zinc/50/12/27/846501227.db2.gz RTVMBAGRYGVJOH-IJLUTSLNSA-N 0 1 270.373 0.395 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001195472557 846546968 /nfs/dbraw/zinc/54/69/68/846546968.db2.gz BHYFHOICYIXGNE-MWLCHTKSSA-N 0 1 297.380 0.590 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001195566983 846584781 /nfs/dbraw/zinc/58/47/81/846584781.db2.gz GOPHEYAAVXOBPF-JHJVBQTASA-N 0 1 270.373 0.396 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2CNC(=O)C2)c(O)c1 ZINC001195764949 846613109 /nfs/dbraw/zinc/61/31/09/846613109.db2.gz UPHHXOXNTUPVMQ-VIFPVBQESA-N 0 1 259.265 0.130 20 30 CCEDMN COC(=O)[C@]1(F)CCN(C(=O)c2ccc(C#N)cc2O)C1 ZINC001195741795 846619352 /nfs/dbraw/zinc/61/93/52/846619352.db2.gz ZSKNYNZQDXOAFG-AWEZNQCLSA-N 0 1 292.266 0.991 20 30 CCEDMN C=CCN1CCCN(C(=O)CCCn2ccnn2)CC1 ZINC001196147120 846690567 /nfs/dbraw/zinc/69/05/67/846690567.db2.gz BLPYOJYQGKFUSH-UHFFFAOYSA-N 0 1 277.372 0.779 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ncc(F)cn1 ZINC001197219444 846883533 /nfs/dbraw/zinc/88/35/33/846883533.db2.gz YMIOTMUPADEBAQ-NSHDSACASA-N 0 1 264.304 0.996 20 30 CCEDMN C#CCCN1CCCN(C(=O)C(C)(C)NC(C)=O)CC1 ZINC001197467016 846913648 /nfs/dbraw/zinc/91/36/48/846913648.db2.gz KFVHITWDLBDMPJ-UHFFFAOYSA-N 0 1 279.384 0.459 20 30 CCEDMN C=CCN1CCCN(C(=O)CCCCC(N)=O)CC1 ZINC001197730653 846955582 /nfs/dbraw/zinc/95/55/82/846955582.db2.gz VBYAMEQGRVBPTB-UHFFFAOYSA-N 0 1 267.373 0.752 20 30 CCEDMN Cn1ncc(C#N)c1NS(=O)(=O)Cc1ccccn1 ZINC001197784148 846964681 /nfs/dbraw/zinc/96/46/81/846964681.db2.gz SADARJDYKREFJT-UHFFFAOYSA-N 0 1 277.309 0.629 20 30 CCEDMN C=CCN1CCCN(C(=O)CCCC(=O)N(C)C)CC1 ZINC001197850807 846979893 /nfs/dbraw/zinc/97/98/93/846979893.db2.gz XTJUKPILUNTKFU-UHFFFAOYSA-N 0 1 281.400 0.965 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](OC)C2CCCC2)C1 ZINC001198224839 847068335 /nfs/dbraw/zinc/06/83/35/847068335.db2.gz CDWUUEHKJWHXIM-KFWWJZLASA-N 0 1 294.395 0.376 20 30 CCEDMN C=CCN1CCCN(C(=O)CNC(=O)C2CCC2)CC1 ZINC001198252798 847076097 /nfs/dbraw/zinc/07/60/97/847076097.db2.gz WGRFJPQGBNFACU-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2cncn2C)C1 ZINC001198276242 847078441 /nfs/dbraw/zinc/07/84/41/847078441.db2.gz QVAJPTFGVNWHID-ZIAGYGMSSA-N 0 1 292.383 0.090 20 30 CCEDMN C=CC[N@H+]1CCCN(C(=O)COC[C@H]2CCCO2)CC1 ZINC001198394884 847111471 /nfs/dbraw/zinc/11/14/71/847111471.db2.gz OJPWRELITPYXRY-CQSZACIVSA-N 0 1 282.384 0.902 20 30 CCEDMN C=CCN1CCCN(C(=O)COC[C@H]2CCCO2)CC1 ZINC001198394884 847111475 /nfs/dbraw/zinc/11/14/75/847111475.db2.gz OJPWRELITPYXRY-CQSZACIVSA-N 0 1 282.384 0.902 20 30 CCEDMN C=CCCC(=O)N1CCC(NCc2nncn2C)CC1 ZINC001199726104 847410298 /nfs/dbraw/zinc/41/02/98/847410298.db2.gz PHZICQWAHRTXSC-UHFFFAOYSA-N 0 1 277.372 0.862 20 30 CCEDMN C=CCOCC(=O)N1CCC(NCc2cnon2)CC1 ZINC001199797948 847436751 /nfs/dbraw/zinc/43/67/51/847436751.db2.gz STGJHSABXWBUHO-UHFFFAOYSA-N 0 1 280.328 0.353 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cccc2ncnn21 ZINC001152880638 847777571 /nfs/dbraw/zinc/77/75/71/847777571.db2.gz QEZBVUKQXIPLSK-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN C=C(C)CN1CC[C@@]2(CCN(CC(=O)OC)C2)C1=O ZINC001273931937 847862657 /nfs/dbraw/zinc/86/26/57/847862657.db2.gz XXRQLDKPERGRAB-CQSZACIVSA-N 0 1 266.341 0.660 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCN[C@@H](C)c1n[nH]c(CC)n1 ZINC001153149005 847934445 /nfs/dbraw/zinc/93/44/45/847934445.db2.gz QDDAVUACHFCAKX-WDEREUQCSA-N 0 1 295.387 0.725 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@]1(C)CCN(c2ncnc3[nH]cnc32)C1 ZINC001110736455 847990492 /nfs/dbraw/zinc/99/04/92/847990492.db2.gz ZFOOQMYLPMTLFJ-OTYXRUKQSA-N 0 1 299.338 0.598 20 30 CCEDMN CCOC(=O)CON=C(c1ccn[nH]1)c1nnc(C)o1 ZINC001253392584 848205007 /nfs/dbraw/zinc/20/50/07/848205007.db2.gz OGSTVIIMHXMMAJ-YBEGLDIGSA-N 0 1 279.256 0.433 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN(COCCOC)CC2)C1=O ZINC001273991087 848212877 /nfs/dbraw/zinc/21/28/77/848212877.db2.gz VRKWAVXHYZRXCH-INIZCTEOSA-N 0 1 294.395 0.945 20 30 CCEDMN COC(=O)[C@@H](C)CN1CC[C@@]2(CCN(CCC#N)C2)C1=O ZINC001274031151 848309430 /nfs/dbraw/zinc/30/94/30/848309430.db2.gz IWSGUAOEEPPMAH-SWLSCSKDSA-N 0 1 293.367 0.634 20 30 CCEDMN CN(C)C(=O)CCN1CC[C@@]2(CCN(CCC#N)C2)C1=O ZINC001274032382 848312940 /nfs/dbraw/zinc/31/29/40/848312940.db2.gz NHWGXGCEBCJIEN-OAHLLOKOSA-N 0 1 292.383 0.303 20 30 CCEDMN CC[C@@H](C(N)=O)N1C(=O)CC[C@@H]2[C@H]1CCN2CCCC#N ZINC001274039408 848314566 /nfs/dbraw/zinc/31/45/66/848314566.db2.gz DSFZIUGWUPTCSV-YNEHKIRRSA-N 0 1 292.383 0.619 20 30 CCEDMN N#CCCCN1CC[C@]2(C1)CN(CCCC#N)C(=O)CO2 ZINC001274038394 848315299 /nfs/dbraw/zinc/31/52/99/848315299.db2.gz JMLFGLBNDBHANL-HNNXBMFYSA-N 0 1 290.367 0.897 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(CC(=O)OC(C)C)C2)C1=O ZINC001274062372 848333806 /nfs/dbraw/zinc/33/38/06/848333806.db2.gz WUTKNRQHTGTPCA-OAHLLOKOSA-N 0 1 278.352 0.496 20 30 CCEDMN C#Cc1ccc(C(=O)NC/C=C\CNCCF)cn1 ZINC001274517998 848493750 /nfs/dbraw/zinc/49/37/50/848493750.db2.gz AVGIXXZANNYSRG-ARJAWSKDSA-N 0 1 261.300 0.908 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cncnc2C)CC1 ZINC001274891312 848585376 /nfs/dbraw/zinc/58/53/76/848585376.db2.gz BEFHVRPWJIDSEL-UHFFFAOYSA-N 0 1 290.367 0.528 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cccc(=O)[nH]2)CC1 ZINC001274897151 848587609 /nfs/dbraw/zinc/58/76/09/848587609.db2.gz NSAPHAWHUBILMT-UHFFFAOYSA-N 0 1 291.351 0.530 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@@H]2C[C@H]2CC)CC1 ZINC001274930198 848595776 /nfs/dbraw/zinc/59/57/76/848595776.db2.gz VEALNQFRTGGYIA-ZIAGYGMSSA-N 0 1 278.396 0.999 20 30 CCEDMN C=CCCCN1CC2(C1)CCN(S(=O)(=O)N(C)C)C2 ZINC001274964357 848600990 /nfs/dbraw/zinc/60/09/90/848600990.db2.gz KIBKHXIJRVBUPT-UHFFFAOYSA-N 0 1 287.429 0.767 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H](CC)NC(C)=O ZINC001275143796 848651041 /nfs/dbraw/zinc/65/10/41/848651041.db2.gz QRJQTUDPZOHCEJ-KGLIPLIRSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cc2ncccn2n1 ZINC001275150385 848651592 /nfs/dbraw/zinc/65/15/92/848651592.db2.gz GEMZSUFXVCLRAN-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H]1CCCNC1=O ZINC001275190447 848660391 /nfs/dbraw/zinc/66/03/91/848660391.db2.gz VBUYBHBNGNKOHH-UWVGGRQHSA-N 0 1 273.764 0.359 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1c(C)[nH]cc1NC(C)=O ZINC001275592501 848770382 /nfs/dbraw/zinc/77/03/82/848770382.db2.gz LWQSMIRYJWYVRU-JTQLQIEISA-N 0 1 290.367 0.965 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001275689640 848792368 /nfs/dbraw/zinc/79/23/68/848792368.db2.gz KATJHWFNPVQYKS-QWHCGFSZSA-N 0 1 279.384 0.315 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)N(C)[C@@H]1CCN(CC)C1=O ZINC001275784531 848821885 /nfs/dbraw/zinc/82/18/85/848821885.db2.gz YCDVWGDREBGECY-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccc(NC(C)=O)cn1 ZINC001275846042 848843987 /nfs/dbraw/zinc/84/39/87/848843987.db2.gz VCNIDNKKCDESPK-NSHDSACASA-N 0 1 288.351 0.723 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccc(NC(C)=O)cn1 ZINC001275846041 848844186 /nfs/dbraw/zinc/84/41/86/848844186.db2.gz VCNIDNKKCDESPK-LLVKDONJSA-N 0 1 288.351 0.723 20 30 CCEDMN C#CC[N@H+](C)[C@H](C)CNC(=O)C(=O)Nc1ccccc1 ZINC001275865310 848850491 /nfs/dbraw/zinc/85/04/91/848850491.db2.gz IBOMTHMMNLIVAX-GFCCVEGCSA-N 0 1 273.336 0.695 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C(=O)Nc1ccccc1 ZINC001275865310 848850496 /nfs/dbraw/zinc/85/04/96/848850496.db2.gz IBOMTHMMNLIVAX-GFCCVEGCSA-N 0 1 273.336 0.695 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)Cn1nnc2c1CCCC2 ZINC001275928062 848869931 /nfs/dbraw/zinc/86/99/31/848869931.db2.gz DIBSLXSOKBTEQX-GFCCVEGCSA-N 0 1 289.383 0.227 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)Cn1ccc(C)cc1=O ZINC001275947911 848873078 /nfs/dbraw/zinc/87/30/78/848873078.db2.gz YVAMHPVOTRNUDU-CYBMUJFWSA-N 0 1 275.352 0.226 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001275977435 848878885 /nfs/dbraw/zinc/87/88/85/848878885.db2.gz RQYFIJMEGLNALV-NEPJUHHUSA-N 0 1 274.368 0.584 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H](COC)OC)CC1 ZINC001161154399 848908048 /nfs/dbraw/zinc/90/80/48/848908048.db2.gz ABQAFHNSMBBKHB-AWEZNQCLSA-N 0 1 280.368 0.419 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CC(=O)N(C)C1)C2 ZINC001095492274 848950784 /nfs/dbraw/zinc/95/07/84/848950784.db2.gz XOYPEXPQWJCYFF-CRWXNKLISA-N 0 1 289.379 0.210 20 30 CCEDMN C[C@H]1CO[C@@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000718560162 849268201 /nfs/dbraw/zinc/26/82/01/849268201.db2.gz RUOUIPFSIGARRI-GHMZBOCLSA-N 0 1 251.330 0.516 20 30 CCEDMN CC[C@H](F)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114700433 849369009 /nfs/dbraw/zinc/36/90/09/849369009.db2.gz ULDVJBAKQXNWOA-QNWHQSFQSA-N 0 1 268.332 0.431 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114723298 849379714 /nfs/dbraw/zinc/37/97/14/849379714.db2.gz WCJZCCQSOTWEKB-RMRHIDDWSA-N 0 1 293.411 0.723 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001114756286 849389522 /nfs/dbraw/zinc/38/95/22/849389522.db2.gz YQLRDPFXOCYDOA-IWIIMEHWSA-N 0 1 291.355 0.413 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001114756286 849389529 /nfs/dbraw/zinc/38/95/29/849389529.db2.gz YQLRDPFXOCYDOA-IWIIMEHWSA-N 0 1 291.355 0.413 20 30 CCEDMN CCN(CCCNC(=O)c1ncn[nH]1)c1ncccc1C#N ZINC001095906525 849439158 /nfs/dbraw/zinc/43/91/58/849439158.db2.gz ZRJNIDHRCAUWIK-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN CCN(CCCNC(=O)c1nc[nH]n1)c1ncccc1C#N ZINC001095906525 849439160 /nfs/dbraw/zinc/43/91/60/849439160.db2.gz ZRJNIDHRCAUWIK-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN C=C[C@H](O)CN[C@@H](c1ccccc1)[C@@H](O)C(=O)OC ZINC001253601366 849640594 /nfs/dbraw/zinc/64/05/94/849640594.db2.gz PQYJCZKAMKITRY-RWMBFGLXSA-N 0 1 265.309 0.398 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COC[C@H]1CCOC1 ZINC001114907910 849641363 /nfs/dbraw/zinc/64/13/63/849641363.db2.gz SDYDGGLSYJVQBH-TTZDDIAXSA-N 0 1 292.379 0.109 20 30 CCEDMN C=C[C@H](O)CNCc1cc(OC2COC2)ccn1 ZINC001253603560 849652748 /nfs/dbraw/zinc/65/27/48/849652748.db2.gz YDVONJJWAKSUOV-NSHDSACASA-N 0 1 250.298 0.496 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1Nc1ccnc(C#N)n1 ZINC001067028915 849713932 /nfs/dbraw/zinc/71/39/32/849713932.db2.gz DSTFJLWOQRCMLR-ONGXEEELSA-N 0 1 297.322 0.066 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1Nc1ccncc1C#N ZINC001067029682 849714620 /nfs/dbraw/zinc/71/46/20/849714620.db2.gz ODCWOLYETVQEDV-YGRLFVJLSA-N 0 1 296.334 0.671 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038174635 849807916 /nfs/dbraw/zinc/80/79/16/849807916.db2.gz IHLJVGLIORIJJO-CYBMUJFWSA-N 0 1 256.309 0.714 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccnc2c1CC(=O)N2 ZINC001038453442 849907259 /nfs/dbraw/zinc/90/72/59/849907259.db2.gz ZFSIULIPEGOVQI-NSHDSACASA-N 0 1 298.346 0.404 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001038592173 849955153 /nfs/dbraw/zinc/95/51/53/849955153.db2.gz JMFPTIMCHWSUPC-RYUDHWBXSA-N 0 1 265.357 0.374 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001038702435 849985766 /nfs/dbraw/zinc/98/57/66/849985766.db2.gz PSHGIWSQSVXAKZ-OLZOCXBDSA-N 0 1 279.384 0.812 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001039049784 850139845 /nfs/dbraw/zinc/13/98/45/850139845.db2.gz YVZKBGGRLISPLV-CHWSQXEVSA-N 0 1 287.367 0.054 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cn(C)c(=O)n1C ZINC001039054713 850140384 /nfs/dbraw/zinc/14/03/84/850140384.db2.gz VQRQVYNHNAENEM-LLVKDONJSA-N 0 1 278.356 0.104 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1nn(CC)nc1C ZINC001039096724 850155496 /nfs/dbraw/zinc/15/54/96/850155496.db2.gz KRLAXXKHFXVNHP-LBPRGKRZSA-N 0 1 277.372 0.987 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CN(C(C)=O)C1 ZINC001039366616 850180536 /nfs/dbraw/zinc/18/05/36/850180536.db2.gz QQFHNQJPZYGOOD-CABCVRRESA-N 0 1 291.395 0.716 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCC(=O)N1 ZINC001039391084 850184554 /nfs/dbraw/zinc/18/45/54/850184554.db2.gz YISXDWBDOONMKY-HZSPNIEDSA-N 0 1 291.395 0.906 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CNC(=O)C1 ZINC001039409895 850186357 /nfs/dbraw/zinc/18/63/57/850186357.db2.gz LWKNPQXGPJMHAU-DVOMOZLQSA-N 0 1 289.379 0.211 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCN(C)C1=O ZINC001039421273 850187880 /nfs/dbraw/zinc/18/78/80/850187880.db2.gz XQSCHJRUDRGGGK-RDBSUJKOSA-N 0 1 291.395 0.716 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCC2(C1)CCN(CC#N)CC2 ZINC001040638037 850309202 /nfs/dbraw/zinc/30/92/02/850309202.db2.gz GYKWMUNXVHEOCW-CQSZACIVSA-N 0 1 290.411 0.919 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)c3ncccc3O)C2)C1 ZINC001041235673 850409381 /nfs/dbraw/zinc/40/93/81/850409381.db2.gz YXBOETSJXNVZOB-INIZCTEOSA-N 0 1 285.347 0.958 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001041235673 850409387 /nfs/dbraw/zinc/40/93/87/850409387.db2.gz YXBOETSJXNVZOB-INIZCTEOSA-N 0 1 285.347 0.958 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)c3cn(C)ccc3=O)C2)C1 ZINC001041464032 850450729 /nfs/dbraw/zinc/45/07/29/850450729.db2.gz NXSGDLHCQCHHOB-KRWDZBQOSA-N 0 1 299.374 0.557 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccon3)C[C@@H]21 ZINC001041951380 850537819 /nfs/dbraw/zinc/53/78/19/850537819.db2.gz CAMBJXZMNXPLRG-YPMHNXCESA-N 0 1 259.309 0.844 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]3CCN(CC#N)[C@@H]3C2)n[nH]1 ZINC001041962887 850542208 /nfs/dbraw/zinc/54/22/08/850542208.db2.gz ZQKJCLMZYAOAFE-DGCLKSJQSA-N 0 1 273.340 0.778 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc(=O)n(C)o3)C[C@H]21 ZINC001042033156 850562284 /nfs/dbraw/zinc/56/22/84/850562284.db2.gz RDQRTDSITRYGKR-VXGBXAGGSA-N 0 1 289.335 0.148 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cn(CC=C)nn3)C[C@@H]21 ZINC001042262922 850605669 /nfs/dbraw/zinc/60/56/69/850605669.db2.gz QMBLPRRVWKIEID-HIFRSBDPSA-N 0 1 299.378 0.634 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)CN2CCCNC2=O)C1 ZINC001043216787 850837389 /nfs/dbraw/zinc/83/73/89/850837389.db2.gz VNWVKIQHUYJQPN-UHFFFAOYSA-N 0 1 294.399 0.511 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001044339622 851080450 /nfs/dbraw/zinc/08/04/50/851080450.db2.gz RWKIDTZIZXQVEB-ZDUSSCGKSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1n[nH]cc1F)C2 ZINC001095977712 851102882 /nfs/dbraw/zinc/10/28/82/851102882.db2.gz RBHVFAYYYDULNX-USWWRNFRSA-N 0 1 276.315 0.907 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccnc(OC)c1)C2 ZINC001096017480 851229014 /nfs/dbraw/zinc/22/90/14/851229014.db2.gz SLWAVBMAIHEYOJ-ILXRZTDVSA-N 0 1 299.374 0.987 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC001045391053 851248500 /nfs/dbraw/zinc/24/85/00/851248500.db2.gz ZFKWEHMFACRQIF-VXGBXAGGSA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2c[nH]c(=O)n2C)CC1 ZINC001045523615 851271760 /nfs/dbraw/zinc/27/17/60/851271760.db2.gz PLJCQNZETWVTKW-UHFFFAOYSA-N 0 1 276.340 0.343 20 30 CCEDMN CC#CCN1CCC(C)(NC(=O)c2nonc2C)CC1 ZINC001045654089 851293151 /nfs/dbraw/zinc/29/31/51/851293151.db2.gz OPYRGJGXRGCIIN-UHFFFAOYSA-N 0 1 276.340 0.986 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc(C)n(C)n2)C1 ZINC001046170535 851406246 /nfs/dbraw/zinc/40/62/46/851406246.db2.gz CAOLZXAPYZWUAD-HNNXBMFYSA-N 0 1 274.368 0.946 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@]1(C)CCN(CC#N)C1 ZINC001046189552 851414970 /nfs/dbraw/zinc/41/49/70/851414970.db2.gz MSPWVQQPBSFJLN-YPMHNXCESA-N 0 1 250.346 0.185 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2nccnc2N)C1 ZINC001046206844 851420062 /nfs/dbraw/zinc/42/00/62/851420062.db2.gz JWFIAYRJLWVKIE-CYBMUJFWSA-N 0 1 261.329 0.439 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cn(C)nn2)C1 ZINC001046291207 851453077 /nfs/dbraw/zinc/45/30/77/851453077.db2.gz AJXOIXDGUMMFHT-ZDUSSCGKSA-N 0 1 261.329 0.033 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2n[nH]cc2C)C1 ZINC001046306335 851461381 /nfs/dbraw/zinc/46/13/81/851461381.db2.gz VGEAYBLOVPGZEJ-CQSZACIVSA-N 0 1 260.341 0.936 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001046332864 851469833 /nfs/dbraw/zinc/46/98/33/851469833.db2.gz JEZOQOXZXFCFBM-QEJZJMRPSA-N 0 1 262.353 0.627 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001046363955 851477559 /nfs/dbraw/zinc/47/75/59/851477559.db2.gz HBRQWGHCHFNFPV-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc3nnc(C)n3c2)C1 ZINC001046436155 851502487 /nfs/dbraw/zinc/50/24/87/851502487.db2.gz MIBWEUQXAHUZDZ-INIZCTEOSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001046440136 851507215 /nfs/dbraw/zinc/50/72/15/851507215.db2.gz JQLOJIDXQCSUOP-HNNXBMFYSA-N 0 1 273.336 0.213 20 30 CCEDMN CC#CC[N@H+]1CC[C@](C)(NC(=O)c2c(C)cnn2C)C1 ZINC001046551639 851543087 /nfs/dbraw/zinc/54/30/87/851543087.db2.gz NFHHPCFCTXUPFU-HNNXBMFYSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2c(C)cnn2C)C1 ZINC001046551639 851543093 /nfs/dbraw/zinc/54/30/93/851543093.db2.gz NFHHPCFCTXUPFU-HNNXBMFYSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001046623823 851569474 /nfs/dbraw/zinc/56/94/74/851569474.db2.gz VISCSHHLJVXDPH-HNNXBMFYSA-N 0 1 288.351 0.331 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cnn3ccc(C)nc23)C1 ZINC001046781704 851611862 /nfs/dbraw/zinc/61/18/62/851611862.db2.gz MSZBJLVNYJIFOL-INIZCTEOSA-N 0 1 297.362 0.865 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnn(C)c2OC)C1 ZINC001046807711 851618057 /nfs/dbraw/zinc/61/80/57/851618057.db2.gz IZBWNXLAIJDSOF-OAHLLOKOSA-N 0 1 290.367 0.646 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001046855594 851632375 /nfs/dbraw/zinc/63/23/75/851632375.db2.gz VIKHJNQAKLVCOH-MLGOLLRUSA-N 0 1 286.379 0.728 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001046869108 851637216 /nfs/dbraw/zinc/63/72/16/851637216.db2.gz RNZKLMMXBUPSID-OAHLLOKOSA-N 0 1 288.351 0.561 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CC)[nH]n2)C1 ZINC001047309532 851709624 /nfs/dbraw/zinc/70/96/24/851709624.db2.gz GXIJGGSTVIQWOL-STQMWFEESA-N 0 1 278.356 0.275 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CC)n[nH]2)C1 ZINC001047309522 851710141 /nfs/dbraw/zinc/71/01/41/851710141.db2.gz GMZQNEXWKPESPT-KBPBESRZSA-N 0 1 292.383 0.665 20 30 CCEDMN C=C(Cl)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccn[nH]2)C1 ZINC001047332400 851721702 /nfs/dbraw/zinc/72/17/02/851721702.db2.gz UCWSPGBPTAUUPV-RYUDHWBXSA-N 0 1 298.774 0.208 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N(C)[C@H]1CN(CC)C[C@@H]1O ZINC001047385566 851744313 /nfs/dbraw/zinc/74/43/13/851744313.db2.gz SPDOKLIEHSPGJC-RFGFWPKPSA-N 0 1 282.384 0.491 20 30 CCEDMN CCc1cc(C(=O)N2C[C@H]3CN(CC#N)C[C@H]3C2)n[nH]1 ZINC001048685540 852010739 /nfs/dbraw/zinc/01/07/39/852010739.db2.gz ZSWLYLMGBNIDSG-PHIMTYICSA-N 0 1 273.340 0.499 20 30 CCEDMN Cc1cnc(CN2C[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@@H]3C2)cn1 ZINC001048723872 852032906 /nfs/dbraw/zinc/03/29/06/852032906.db2.gz AQFPGZJHGYCHOP-FPMFFAJLSA-N 0 1 299.378 0.835 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnccn1 ZINC001049303163 852223689 /nfs/dbraw/zinc/22/36/89/852223689.db2.gz QYYCHTRHCMUMNT-UONOGXRCSA-N 0 1 270.336 0.789 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@H]3[C@@H]2CC[N@@H+]3CCO)[nH]1 ZINC001049424947 852269195 /nfs/dbraw/zinc/26/91/95/852269195.db2.gz IPDXKOHAFQHZEF-KBPBESRZSA-N 0 1 288.351 0.558 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1ccn(C)n1 ZINC001049475986 852292038 /nfs/dbraw/zinc/29/20/38/852292038.db2.gz QAPHSKZDGWLCIT-GJZGRUSLSA-N 0 1 286.379 0.661 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CC(=O)N(C)C1 ZINC001049505711 852296595 /nfs/dbraw/zinc/29/65/95/852296595.db2.gz RQCXZRXXWSTUNQ-BFHYXJOUSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@H]1OCCO[C@H]1C ZINC001049534669 852304977 /nfs/dbraw/zinc/30/49/77/852304977.db2.gz FIJHPFGNRMBDEP-XGUBFFRZSA-N 0 1 292.379 0.489 20 30 CCEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049629391 852323017 /nfs/dbraw/zinc/32/30/17/852323017.db2.gz FVZGQTRAEYRINO-STQMWFEESA-N 0 1 287.367 0.815 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnon1 ZINC001049802098 852378970 /nfs/dbraw/zinc/37/89/70/852378970.db2.gz LSYSMSZOCQVWLN-NWDGAFQWSA-N 0 1 260.297 0.382 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1nonc1C ZINC001049928988 852396899 /nfs/dbraw/zinc/39/68/99/852396899.db2.gz XVDLVBONHYDBDD-RYUDHWBXSA-N 0 1 274.324 0.690 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cc(C)n[nH]3)[C@@H]2C1 ZINC001049987315 852415303 /nfs/dbraw/zinc/41/53/03/852415303.db2.gz GOQPQHDVJTYPGN-GXTWGEPZSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cc(C)[nH]n3)[C@@H]2C1 ZINC001049987315 852415309 /nfs/dbraw/zinc/41/53/09/852415309.db2.gz GOQPQHDVJTYPGN-GXTWGEPZSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)[C@H](CC)OC)[C@@H]2C1 ZINC001049994052 852416774 /nfs/dbraw/zinc/41/67/74/852416774.db2.gz VEVLFZOKFMVJIA-MJBXVCDLSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H](CC)OC)[C@@H]2C1 ZINC001049994052 852416779 /nfs/dbraw/zinc/41/67/79/852416779.db2.gz VEVLFZOKFMVJIA-MJBXVCDLSA-N 0 1 264.369 0.967 20 30 CCEDMN N#Cc1cccnc1N[C@@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001096853787 852458148 /nfs/dbraw/zinc/45/81/48/852458148.db2.gz NWOCNCAEBCECDC-NSHDSACASA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCC(=O)N1)C2 ZINC001096884103 852461174 /nfs/dbraw/zinc/46/11/74/852461174.db2.gz HLRBZLLVGATAIX-KKOKHZNYSA-N 0 1 263.341 0.173 20 30 CCEDMN C[C@@H](CNC(=O)[C@H]1CCCN1C)Nc1cnc(C#N)cn1 ZINC001097730042 852593087 /nfs/dbraw/zinc/59/30/87/852593087.db2.gz QIVGIQFXIJWKKN-CMPLNLGQSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)Nc1ncnc2[nH]cnc21 ZINC001097804310 852621187 /nfs/dbraw/zinc/62/11/87/852621187.db2.gz UHUFGLJZUNFCNO-VIFPVBQESA-N 0 1 284.323 0.683 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccc(=O)n1C)C2 ZINC001097818150 852627990 /nfs/dbraw/zinc/62/79/90/852627990.db2.gz IQZHVUPRWINSCA-MCIONIFRSA-N 0 1 299.374 0.744 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)NC(=O)NC)C2 ZINC001111455928 852631616 /nfs/dbraw/zinc/63/16/16/852631616.db2.gz TXECGLZRQSIREG-UTUOFQBUSA-N 0 1 294.399 0.602 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CC[C@@H](C(N)=O)C1)C2 ZINC001097863639 852634910 /nfs/dbraw/zinc/63/49/10/852634910.db2.gz SCZGYBUJLMSFAU-ITGHMWBKSA-N 0 1 291.395 0.796 20 30 CCEDMN C=CCCN1CC2(C1)CN(C(=O)c1ccn[nH]1)CCO2 ZINC001053169264 852701100 /nfs/dbraw/zinc/70/11/00/852701100.db2.gz ULJKZIMCLOZBMI-UHFFFAOYSA-N 0 1 276.340 0.513 20 30 CCEDMN C=CCN1CC2(C1)CN(C(=O)c1cc(C)[nH]n1)CCO2 ZINC001053174845 852702751 /nfs/dbraw/zinc/70/27/51/852702751.db2.gz UXAAYKNOWOGLCF-UHFFFAOYSA-N 0 1 276.340 0.431 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)[C@H]1CCCCN1C)CO2 ZINC001053848391 852833686 /nfs/dbraw/zinc/83/36/86/852833686.db2.gz YWVZSMPJVYPDTK-UONOGXRCSA-N 0 1 293.411 0.616 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)CN1CCC[C@@H]1C)CO2 ZINC001053926634 852849146 /nfs/dbraw/zinc/84/91/46/852849146.db2.gz KFNWHHIUBOBQPD-UONOGXRCSA-N 0 1 293.411 0.616 20 30 CCEDMN C#CCN1CC2(C1)C[C@H](NC(=O)CN1CCC[C@@H]1C)CO2 ZINC001053926423 852849316 /nfs/dbraw/zinc/84/93/16/852849316.db2.gz FHAICPYPHRMYMG-KBPBESRZSA-N 0 1 291.395 0.063 20 30 CCEDMN C#CCCN1CC2(C1)C[C@@H](NC(=O)CN1CCCC1)CO2 ZINC001054009168 852869265 /nfs/dbraw/zinc/86/92/65/852869265.db2.gz QBGFIYLPMUBGOY-CQSZACIVSA-N 0 1 291.395 0.065 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CN2CCCC2=O)C[C@H]1C ZINC001054374503 852937604 /nfs/dbraw/zinc/93/76/04/852937604.db2.gz RNDRYGZQERYWCV-ZYHUDNBSSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2nnn(C)c2C)C[C@H]1C ZINC001054522998 852966207 /nfs/dbraw/zinc/96/62/07/852966207.db2.gz ILVBSXMMCHSBJF-KCJUWKMLSA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CN2CN=NC2=O)C[C@@H]1C ZINC001054582124 852974065 /nfs/dbraw/zinc/97/40/65/852974065.db2.gz RBALUKJGGQKGOM-WCBMZHEXSA-N 0 1 299.762 0.173 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c[nH]c(=O)cn2)C[C@@H]1C ZINC001055019458 853056074 /nfs/dbraw/zinc/05/60/74/853056074.db2.gz ZHFZKIAUROVWAA-GZMMTYOYSA-N 0 1 296.758 0.573 20 30 CCEDMN Cc1nc(N2CCN(C(=O)c3ccn[nH]3)CC2)ccc1C#N ZINC001055722389 853108332 /nfs/dbraw/zinc/10/83/32/853108332.db2.gz XFSKXGNHIHFSIH-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN N#Cc1cnccc1N1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC001055757163 853114116 /nfs/dbraw/zinc/11/41/16/853114116.db2.gz RNCKAJVMEJNVLE-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN N#Cc1ccc(N2CCCN(C(=O)c3ccn[nH]3)CC2)nn1 ZINC001057092122 853269600 /nfs/dbraw/zinc/26/96/00/853269600.db2.gz XAWCVECHCRZFLO-UHFFFAOYSA-N 0 1 297.322 0.424 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@]3(F)CCOC3)[C@@H]2C1 ZINC001050123402 853318221 /nfs/dbraw/zinc/31/82/21/853318221.db2.gz CSOOXLQLPODYSA-GZBFAFLISA-N 0 1 280.343 0.671 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)[C@@H]2C1 ZINC001050120372 853318327 /nfs/dbraw/zinc/31/83/27/853318327.db2.gz CTCINQSNOSTWCF-VQJWOFKYSA-N 0 1 274.364 0.435 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)[C@@H]3CCC(=O)N3)[C@@H]2C1 ZINC001050186081 853333010 /nfs/dbraw/zinc/33/30/10/853333010.db2.gz KGNYROTVEDBFJB-MELADBBJSA-N 0 1 289.379 0.211 20 30 CCEDMN C[C@@H](C(N)=O)N1CCC2(CN(C(=O)C#CC3CC3)C2)CC1 ZINC001050513579 853390242 /nfs/dbraw/zinc/39/02/42/853390242.db2.gz AARANVUDEPGSPS-LBPRGKRZSA-N 0 1 289.379 0.198 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)CC2 ZINC001050615725 853402097 /nfs/dbraw/zinc/40/20/97/853402097.db2.gz RMYDVIXFGRFSIE-GFCCVEGCSA-N 0 1 293.411 0.997 20 30 CCEDMN C=C(C)CCN1CCOC[C@H]1CNC(=O)[C@H]1CCCN1C ZINC001050847618 853458821 /nfs/dbraw/zinc/45/88/21/853458821.db2.gz BBZKFNOCRPEHBC-HUUCEWRRSA-N 0 1 295.427 0.864 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCO[C@@H](CNCc2ccns2)C1 ZINC001051493855 853596793 /nfs/dbraw/zinc/59/67/93/853596793.db2.gz AZAOYHAAKSXGAY-QWRGUYRKSA-N 0 1 294.380 0.620 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC001051958664 853664055 /nfs/dbraw/zinc/66/40/55/853664055.db2.gz ZDBRHQOIOLLPHF-CQSZACIVSA-N 0 1 263.385 0.638 20 30 CCEDMN N#Cc1cnccc1N1CCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001058268705 853807575 /nfs/dbraw/zinc/80/75/75/853807575.db2.gz TVLMNDDDBVWCHA-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@H]1C[C@H](Nc2cnc(C#N)cn2)CN1C(=O)c1ccn[nH]1 ZINC001069016000 853939603 /nfs/dbraw/zinc/93/96/03/853939603.db2.gz AFFLNUVMIQYNLK-UWVGGRQHSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CC)C[C@H]1c1cn(C)cn1 ZINC001070451427 854059755 /nfs/dbraw/zinc/05/97/55/854059755.db2.gz YPABWVYQXRQXQB-WCQYABFASA-N 0 1 260.341 0.347 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C2=COCCO2)CC[C@H]1C ZINC001071331675 854160305 /nfs/dbraw/zinc/16/03/05/854160305.db2.gz HRUJWRZXDQDCIM-OLZOCXBDSA-N 0 1 278.352 0.867 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2cnn(C)c2)CC[C@@H]1C ZINC001071384135 854173781 /nfs/dbraw/zinc/17/37/81/854173781.db2.gz SGHVWJCCVSOFHW-ZFWWWQNUSA-N 0 1 288.395 0.955 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2cc[nH]n2)CC[C@H]1C ZINC001071400710 854174868 /nfs/dbraw/zinc/17/48/68/854174868.db2.gz AIKRVNFKDMXHPK-OCCSQVGLSA-N 0 1 274.368 0.945 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CC[C@H](C)N(CC#N)C2)n[nH]1 ZINC001071498203 854222207 /nfs/dbraw/zinc/22/22/07/854222207.db2.gz RFEGPKISEOWGFY-GXSJLCMTSA-N 0 1 276.344 0.148 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cc(=O)n(C)cn2)CC[C@H]1C ZINC001071579474 854240839 /nfs/dbraw/zinc/24/08/39/854240839.db2.gz FIFKNKSBBXGMMY-VXGBXAGGSA-N 0 1 290.367 0.549 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@@H]1C ZINC001071587607 854243328 /nfs/dbraw/zinc/24/33/28/854243328.db2.gz DIXOGIJUWMRPDE-WCQYABFASA-N 0 1 288.351 0.788 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cn(CC)nn2)CC[C@H]1C ZINC001071698052 854267912 /nfs/dbraw/zinc/26/79/12/854267912.db2.gz KGBZYWOVTWDFOE-OLZOCXBDSA-N 0 1 289.383 0.443 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@@H]1C ZINC001071775322 854285285 /nfs/dbraw/zinc/28/52/85/854285285.db2.gz AEUOJJIGTFCSNV-WDEREUQCSA-N 0 1 276.340 0.539 20 30 CCEDMN C#CCNC(=O)CN1CCN(Cc2ccccc2)[C@H](C)C1 ZINC000396333758 854361003 /nfs/dbraw/zinc/36/10/03/854361003.db2.gz BULNYGJTOSIMTI-OAHLLOKOSA-N 0 1 285.391 0.942 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cncnc3C)C2)C1 ZINC001072504895 854402137 /nfs/dbraw/zinc/40/21/37/854402137.db2.gz WLWVSGWFLWQQGP-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)[C@@H]3CCCc4[nH]ncc43)C2)C1 ZINC001072735441 854455634 /nfs/dbraw/zinc/45/56/34/854455634.db2.gz CCSSTANENQLMIC-GFCCVEGCSA-N 0 1 299.378 0.887 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)c3ccnn3C)C2)C1 ZINC001072748220 854457497 /nfs/dbraw/zinc/45/74/97/854457497.db2.gz XOSRTVQORQUJAH-CYBMUJFWSA-N 0 1 286.379 0.691 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(C)nnc3C)C2)C1 ZINC001072774616 854460805 /nfs/dbraw/zinc/46/08/05/854460805.db2.gz ZYGAAYAIQKGERS-UHFFFAOYSA-N 0 1 284.363 0.875 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCn4ccnc4C3)C2)C1 ZINC001072870793 854483381 /nfs/dbraw/zinc/48/33/81/854483381.db2.gz UWPNQYHBSFAJLM-CQSZACIVSA-N 0 1 298.390 0.613 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(C)CCN(C(=O)c2ccn[nH]2)CC1 ZINC001072890340 854487021 /nfs/dbraw/zinc/48/70/21/854487021.db2.gz LJLIIUHYDUMIOI-SNVBAGLBSA-N 0 1 289.339 0.680 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](OC)C(C)C)C2)C1 ZINC001072948201 854499654 /nfs/dbraw/zinc/49/96/54/854499654.db2.gz BUGVRIAVJQAVRN-CYBMUJFWSA-N 0 1 264.369 0.825 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCOC[C@H]3C)C2)C1 ZINC001072959740 854502419 /nfs/dbraw/zinc/50/24/19/854502419.db2.gz GYVUMWMPARHCLC-ZIAGYGMSSA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3(CF)CC3)C2)C1 ZINC001072971222 854505220 /nfs/dbraw/zinc/50/52/20/854505220.db2.gz ZHKJEBVJMHEIBR-UHFFFAOYSA-N 0 1 250.317 0.904 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc(OC)nn3)C2)C1 ZINC001073104131 854531865 /nfs/dbraw/zinc/53/18/65/854531865.db2.gz YKDUXFPSXXUPGH-UHFFFAOYSA-N 0 1 286.335 0.266 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3c(C)n[nH]c3C)C2)C1 ZINC001073421885 854557579 /nfs/dbraw/zinc/55/75/79/854557579.db2.gz PRXFLXSXCNQNCG-UHFFFAOYSA-N 0 1 286.379 0.737 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3ccnc(C)n3)C2)C1 ZINC001073439112 854559638 /nfs/dbraw/zinc/55/96/38/854559638.db2.gz LFJDUZATYDSFRJ-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001073523432 854576294 /nfs/dbraw/zinc/57/62/94/854576294.db2.gz ZFFLNSRWLNCSJM-QWHCGFSZSA-N 0 1 268.357 0.559 20 30 CCEDMN C=C(C)CN1CCCO[C@H](CNC(=O)c2cnsn2)C1 ZINC001073541468 854584763 /nfs/dbraw/zinc/58/47/63/854584763.db2.gz PYVCMWXRDCTMJT-LLVKDONJSA-N 0 1 296.396 0.935 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001073550558 854589866 /nfs/dbraw/zinc/58/98/66/854589866.db2.gz OFADAMOQDJHTMA-UONOGXRCSA-N 0 1 280.368 0.253 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2cn(C)cn2)C1 ZINC001073569601 854597025 /nfs/dbraw/zinc/59/70/25/854597025.db2.gz BTPDQQGZHDYUBV-CYBMUJFWSA-N 0 1 290.367 0.264 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001073605093 854610714 /nfs/dbraw/zinc/61/07/14/854610714.db2.gz AIXNRVRAAOEICI-GUTXKFCHSA-N 0 1 280.368 0.416 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnon2)C1 ZINC001073828197 854650537 /nfs/dbraw/zinc/65/05/37/854650537.db2.gz LUDHRYHEKRWRRN-JTQLQIEISA-N 0 1 266.301 0.076 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2[nH]cnc2CC)C1 ZINC001073871991 854657012 /nfs/dbraw/zinc/65/70/12/854657012.db2.gz NPUNTZWZJOOMSQ-LBPRGKRZSA-N 0 1 292.383 0.979 20 30 CCEDMN C=C(C)CN1CCO[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001074167854 854685136 /nfs/dbraw/zinc/68/51/36/854685136.db2.gz XJUDINORCIMMIX-KGLIPLIRSA-N 0 1 290.367 0.901 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001074652819 854762716 /nfs/dbraw/zinc/76/27/16/854762716.db2.gz GVUKCNORPLPRSQ-UHTWSYAYSA-N 0 1 287.367 0.932 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)[C@H]1C ZINC001074675712 854764831 /nfs/dbraw/zinc/76/48/31/854764831.db2.gz HLAKPCJRLUQZHC-TUAOUCFPSA-N 0 1 299.802 0.796 20 30 CCEDMN C[C@H](CNC(=O)CCc1cnc[nH]1)Nc1ccncc1C#N ZINC001098344326 854859144 /nfs/dbraw/zinc/85/91/44/854859144.db2.gz JYGWDCFWXPDVID-LLVKDONJSA-N 0 1 298.350 0.648 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc(C)n(C)n2)C1 ZINC001098748634 854883093 /nfs/dbraw/zinc/88/30/93/854883093.db2.gz FEQMRSIAWUCWMC-BBRMVZONSA-N 0 1 286.379 0.946 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2[nH]nnc2C)C1 ZINC001098972150 854900227 /nfs/dbraw/zinc/90/02/27/854900227.db2.gz VNOKJPKHGADFEJ-FZMZJTMJSA-N 0 1 273.340 0.331 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ccon2)C[C@H]1C(F)(F)F ZINC001099218032 854922485 /nfs/dbraw/zinc/92/24/85/854922485.db2.gz FIQRZZAHGASQRJ-PSASIEDQSA-N 0 1 287.241 0.900 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CCNC(N)=O ZINC001099329844 854927940 /nfs/dbraw/zinc/92/79/40/854927940.db2.gz VXDAUJGDRNDKHX-GFCCVEGCSA-N 0 1 294.399 0.285 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CCCC(N)=O ZINC001099364109 854930327 /nfs/dbraw/zinc/93/03/27/854930327.db2.gz GWLANVLMQUHBHD-ZDUSSCGKSA-N 0 1 293.411 0.882 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C(=O)C(C)(C)C)[C@@H](O)C1 ZINC001099743506 854982886 /nfs/dbraw/zinc/98/28/86/854982886.db2.gz KVHQYNFIQNOOPF-NEPJUHHUSA-N 0 1 282.384 0.729 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2ccoc2)[C@H](O)C1 ZINC001099777750 854992478 /nfs/dbraw/zinc/99/24/78/854992478.db2.gz BRMTXRSATBXTKS-HUUCEWRRSA-N 0 1 290.363 0.787 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C(C)=C/C)[C@@H](O)C1 ZINC001099779205 854994229 /nfs/dbraw/zinc/99/42/29/854994229.db2.gz XVCFEIVIBGGSRI-BYDLAGSTSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001099794015 854996736 /nfs/dbraw/zinc/99/67/36/854996736.db2.gz GMTRBZMAYDCOMM-STQMWFEESA-N 0 1 282.384 0.683 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1CCN(CCO)C[C@@H]1O ZINC001099849904 855011395 /nfs/dbraw/zinc/01/13/95/855011395.db2.gz KPOBVBNFHSJATC-MNOVXSKESA-N 0 1 290.791 0.309 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccncc2)[C@@H](O)C1 ZINC001099903990 855026409 /nfs/dbraw/zinc/02/64/09/855026409.db2.gz OPPOFNOKPYYLGU-GJZGRUSLSA-N 0 1 289.379 0.752 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCC#CC)[C@@H](O)C1 ZINC001099960491 855050491 /nfs/dbraw/zinc/05/04/91/855050491.db2.gz URGICRLRWUIUAI-KBPBESRZSA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CCN(CC#CC)C[C@H]1O ZINC001100138905 855105842 /nfs/dbraw/zinc/10/58/42/855105842.db2.gz MJMLXWAPOOJDFF-ZIAGYGMSSA-N 0 1 280.368 0.154 20 30 CCEDMN CCn1cc(CNC2CC(CNC(=O)[C@H](C)C#N)C2)nn1 ZINC001100198125 855117253 /nfs/dbraw/zinc/11/72/53/855117253.db2.gz PAOKSMKIOQVKAD-VOMCLLRMSA-N 0 1 290.371 0.442 20 30 CCEDMN CC(C)C#CC(=O)NCC1CC(NCc2cnn(C)n2)C1 ZINC001100309217 855143636 /nfs/dbraw/zinc/14/36/36/855143636.db2.gz KYDAMRIXCZQFDB-UHFFFAOYSA-N 0 1 289.383 0.459 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001101337956 855283983 /nfs/dbraw/zinc/28/39/83/855283983.db2.gz RPLUHVQROTXWLQ-IOASZLSFSA-N 0 1 293.411 0.854 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCNc2ccc(C#N)nn2)[nH]1 ZINC001101532270 855308809 /nfs/dbraw/zinc/30/88/09/855308809.db2.gz QYUHTDQCFXKKFK-UHFFFAOYSA-N 0 1 285.311 0.564 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NC[C@@H]1CN(CC#N)C[C@H]1C ZINC001102451199 855435264 /nfs/dbraw/zinc/43/52/64/855435264.db2.gz PDMMLKOZEMNZOP-JHJVBQTASA-N 0 1 289.383 0.796 20 30 CCEDMN CC[C@H](CNC(=O)c1cnn[nH]1)Nc1ccncc1C#N ZINC001103371152 855514287 /nfs/dbraw/zinc/51/42/87/855514287.db2.gz JQENGDRNCGSZLP-SNVBAGLBSA-N 0 1 285.311 0.114 20 30 CCEDMN CC[C@@H](CNC(=O)c1cnn[nH]1)Nc1nccnc1C#N ZINC001103370822 855514801 /nfs/dbraw/zinc/51/48/01/855514801.db2.gz BLPQTLRCJOUJQA-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CNC(=O)c1ncn[nH]1)CNc1ncccc1C#N ZINC001104059501 855565091 /nfs/dbraw/zinc/56/50/91/855565091.db2.gz MTAUAIDUQTUISA-SECBINFHSA-N 0 1 285.311 0.549 20 30 CCEDMN C[C@@H](CNC(=O)c1nc[nH]n1)CNc1ncccc1C#N ZINC001104059501 855565095 /nfs/dbraw/zinc/56/50/95/855565095.db2.gz MTAUAIDUQTUISA-SECBINFHSA-N 0 1 285.311 0.549 20 30 CCEDMN C#CCCCC(=O)N[C@]12CCC[C@H]1CN([C@H](C)C(N)=O)C2 ZINC001111729459 855589829 /nfs/dbraw/zinc/58/98/29/855589829.db2.gz QAYKDTJNYUACBC-WWGRRREGSA-N 0 1 291.395 0.634 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@]12CCC[C@H]1CN(CC#C)C2 ZINC001111742784 855592009 /nfs/dbraw/zinc/59/20/09/855592009.db2.gz MOOWGJCNTZNXLE-YCPHGPKFSA-N 0 1 274.364 0.629 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(C)c1 ZINC001114998816 855633184 /nfs/dbraw/zinc/63/31/84/855633184.db2.gz WLHALIRDUFEWPB-ZSHCYNCHSA-N 0 1 299.374 0.700 20 30 CCEDMN C[C@@H](CCCNc1ccncc1C#N)NC(=O)c1cnn[nH]1 ZINC001115114515 855642694 /nfs/dbraw/zinc/64/26/94/855642694.db2.gz IJNXYXNAFCSGCQ-JTQLQIEISA-N 0 1 299.338 0.504 20 30 CCEDMN CCC(=O)N[C@@H](C)C(=O)NC1(C#N)CCN(C)CC1 ZINC001116021253 855711608 /nfs/dbraw/zinc/71/16/08/855711608.db2.gz HWXBAQCIPGGFOP-JTQLQIEISA-N 0 1 266.345 0.005 20 30 CCEDMN CC[C@H](C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001116025641 855711895 /nfs/dbraw/zinc/71/18/95/855711895.db2.gz QLSJNQTZMPIMTJ-QYARFRDLSA-N 0 1 265.313 0.675 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCn3cncc3C2)CC1 ZINC001116355867 855751569 /nfs/dbraw/zinc/75/15/69/855751569.db2.gz YNADMOXELABCAB-GFCCVEGCSA-N 0 1 287.367 0.550 20 30 CCEDMN CNC(=O)c1cccc(C(=O)N=C2C[C@@H](C)ON2)n1 ZINC001116484805 855774073 /nfs/dbraw/zinc/77/40/73/855774073.db2.gz KJVNAEWRZYVFKJ-SSDOTTSWSA-N 0 1 262.269 0.293 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CC(N2CCN(C)CC2)C1 ZINC001116868539 855852509 /nfs/dbraw/zinc/85/25/09/855852509.db2.gz CFYFJTDTLHLVFS-ZDUSSCGKSA-N 0 1 281.400 0.426 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)C(=O)NCC2(CC#N)CC2)CCN1 ZINC001117972767 856124424 /nfs/dbraw/zinc/12/44/24/856124424.db2.gz YQLBMIPFHZJSMB-GFCCVEGCSA-N 0 1 292.383 0.253 20 30 CCEDMN C=CC[C@@H](NC(=O)C1=NC(=O)N(C)C1)C(=O)OCC ZINC001118754990 856425330 /nfs/dbraw/zinc/42/53/30/856425330.db2.gz QEVOHSPBNDLXDM-MRVPVSSYSA-N 0 1 267.285 0.363 20 30 CCEDMN C=CCOC[C@H](NC(=O)C[N@H+](CC)C1CC1)C(=O)[O-] ZINC001119580701 856752965 /nfs/dbraw/zinc/75/29/65/856752965.db2.gz PHOOXRISOXRLOA-NSHDSACASA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)[C@]2(C)C[C@@H](O)CN2C)C1 ZINC001119629300 856792165 /nfs/dbraw/zinc/79/21/65/856792165.db2.gz YGWKXWNTCRIYER-BZPMIXESSA-N 0 1 268.357 0.245 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CCNC(=O)C(C)(C)C ZINC001323277739 912240848 /nfs/dbraw/zinc/24/08/48/912240848.db2.gz MVTHNRXOGKINBV-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)C(=O)NCC1CC1 ZINC001323325775 912266083 /nfs/dbraw/zinc/26/60/83/912266083.db2.gz WGHKHSRBQWCBID-CYBMUJFWSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H](NC(N)=O)C(C)(C)C ZINC001323337509 912283311 /nfs/dbraw/zinc/28/33/11/912283311.db2.gz WXRJNNAKUNWYBH-RYUDHWBXSA-N 0 1 294.399 0.283 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H](CC)N1CCCC1=O ZINC001323359089 912297665 /nfs/dbraw/zinc/29/76/65/912297665.db2.gz ZERKYRJPEDMKBE-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@@H]2CC2(C)C)CC1 ZINC001323424207 912336043 /nfs/dbraw/zinc/33/60/43/912336043.db2.gz RAFHQEFWFNFQPX-NEPJUHHUSA-N 0 1 293.411 0.962 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H]([C@H]2CCCCN2C(C)=O)C1 ZINC001323638314 912457894 /nfs/dbraw/zinc/45/78/94/912457894.db2.gz QVNUOMHRZWIHON-HUUCEWRRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H](COC)OC ZINC001323696664 912482772 /nfs/dbraw/zinc/48/27/72/912482772.db2.gz SFGUJLPNLIEWNP-RDBSUJKOSA-N 0 1 282.384 0.899 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)C(=O)Nc2ccc(C#N)cc2)n[nH]1 ZINC001323807611 912527406 /nfs/dbraw/zinc/52/74/06/912527406.db2.gz GFPWQPLOMRJEGZ-MRVPVSSYSA-N 0 1 298.306 0.801 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001323874581 912555615 /nfs/dbraw/zinc/55/56/15/912555615.db2.gz UYMXMQFWTDBGGY-INIZCTEOSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCOCC(=O)N(C)C1CN([C@H](C)c2n[nH]c(C)n2)C1 ZINC001323898093 912572437 /nfs/dbraw/zinc/57/24/37/912572437.db2.gz HLJNAUROBNSQOS-SNVBAGLBSA-N 0 1 293.371 0.519 20 30 CCEDMN CC(C)C#CC(=O)N(C)C1CN(C[C@@H]2CCOC2)C1 ZINC001323920332 912582143 /nfs/dbraw/zinc/58/21/43/912582143.db2.gz CAVDPLUSHGBYIF-ZDUSSCGKSA-N 0 1 264.369 0.825 20 30 CCEDMN CNC(=O)[C@H](C)N1CCC(C)(NC(=O)C#CC(C)C)CC1 ZINC001324309681 912773394 /nfs/dbraw/zinc/77/33/94/912773394.db2.gz OZXNFKFEGPXVHY-ZDUSSCGKSA-N 0 1 293.411 0.751 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)C(=O)Nc1sccc1C#N ZINC001324512986 912881280 /nfs/dbraw/zinc/88/12/80/912881280.db2.gz DUOFEENOGFISFP-UHFFFAOYSA-N 0 1 290.308 0.335 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H]2CC[C@@H](C1)N2[C@@H](C)C(N)=O ZINC001324521601 912885785 /nfs/dbraw/zinc/88/57/85/912885785.db2.gz ITQXERQRKBMHBS-XQQFMLRXSA-N 0 1 295.383 0.128 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001394047148 912943843 /nfs/dbraw/zinc/94/38/43/912943843.db2.gz CVLHPEWROKXQCJ-BXKDBHETSA-N 0 1 291.355 0.200 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(C)C[C@H]1CC ZINC001324724972 912999873 /nfs/dbraw/zinc/99/98/73/912999873.db2.gz DFAWQWPLBMLLLY-VXGBXAGGSA-N 0 1 255.362 0.923 20 30 CCEDMN COc1nc(Cl)c(C=NNc2nccn(C)c2=O)n1C ZINC001324925365 913100061 /nfs/dbraw/zinc/10/00/61/913100061.db2.gz WVYOIWRAYVYFLC-UHFFFAOYSA-N 0 1 296.718 0.622 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C2(F)CCCC2)C1 ZINC001325110981 913219780 /nfs/dbraw/zinc/21/97/80/913219780.db2.gz QTJWMTZTHPYIJZ-AWEZNQCLSA-N 0 1 282.359 0.845 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CCN(C2CCOCC2)CC1 ZINC001325620231 913495068 /nfs/dbraw/zinc/49/50/68/913495068.db2.gz SOFPMBHEYRPEIB-ZDUSSCGKSA-N 0 1 297.399 0.694 20 30 CCEDMN C=CCN(CC[N@H+]1CCOC[C@](C)(O)C1)C(=O)OCC ZINC001209017915 891452368 /nfs/dbraw/zinc/45/23/68/891452368.db2.gz YRUMEOHZUBQCCD-CQSZACIVSA-N 0 1 286.372 0.714 20 30 CCEDMN C=CCN(CCN1CCOC[C@](C)(O)C1)C(=O)OCC ZINC001209017915 891452376 /nfs/dbraw/zinc/45/23/76/891452376.db2.gz YRUMEOHZUBQCCD-CQSZACIVSA-N 0 1 286.372 0.714 20 30 CCEDMN C=CCN(CC[N@H+]1CCOC[C@@](C)(O)C1)C(=O)OCC ZINC001209017913 891452504 /nfs/dbraw/zinc/45/25/04/891452504.db2.gz YRUMEOHZUBQCCD-AWEZNQCLSA-N 0 1 286.372 0.714 20 30 CCEDMN C=CCN(CCN1CCOC[C@@](C)(O)C1)C(=O)OCC ZINC001209017913 891452510 /nfs/dbraw/zinc/45/25/10/891452510.db2.gz YRUMEOHZUBQCCD-AWEZNQCLSA-N 0 1 286.372 0.714 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H]2CC3(CC3)C(=O)O2)C1 ZINC001345781290 891460145 /nfs/dbraw/zinc/46/01/45/891460145.db2.gz OYRZBYFSVDXQEA-GWCFXTLKSA-N 0 1 250.298 0.433 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)N(C)C(=O)Cc1ccn[nH]1 ZINC001395162636 913570071 /nfs/dbraw/zinc/57/00/71/913570071.db2.gz VSEWQKYYLQNYBD-NXEZZACHSA-N 0 1 277.328 0.075 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001347472946 891568648 /nfs/dbraw/zinc/56/86/48/891568648.db2.gz NLCORULJMMKHOL-CQSZACIVSA-N 0 1 269.389 0.066 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)c2cnsn2)C1 ZINC001325820417 913592227 /nfs/dbraw/zinc/59/22/27/913592227.db2.gz SABRDXFLKYJWPH-CYBMUJFWSA-N 0 1 294.380 0.118 20 30 CCEDMN C#CCN(C)CCNC(=O)c1nn(C)c2c1CCCC2 ZINC001480915308 891776309 /nfs/dbraw/zinc/77/63/09/891776309.db2.gz UZXKFRUTIPWQGQ-UHFFFAOYSA-N 0 1 274.368 0.594 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H](OCC)[C@H]1CCOC1 ZINC001480991107 892023683 /nfs/dbraw/zinc/02/36/83/892023683.db2.gz AORSDEOFOJASJA-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H](C)[C@@H]1CCCO1 ZINC001480999468 892035504 /nfs/dbraw/zinc/03/55/04/892035504.db2.gz TURLLDJTQMOJKJ-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN CC(C)[C@@H](CCNC(=O)c1cnn[nH]1)NC(=O)[C@H](C)C#N ZINC001395467759 913622451 /nfs/dbraw/zinc/62/24/51/913622451.db2.gz BDMDZFUUOQDXKS-NXEZZACHSA-N 0 1 292.343 0.225 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)Cc2ncccc2OC)C1 ZINC001481108381 892173283 /nfs/dbraw/zinc/17/32/83/892173283.db2.gz VVYORCVBTOKJGT-CYBMUJFWSA-N 0 1 287.363 0.704 20 30 CCEDMN C#CCN(CCNC(=O)c1cc(CN(C)C)on1)C1CC1 ZINC001481175218 892273769 /nfs/dbraw/zinc/27/37/69/892273769.db2.gz GJXWTWFBQJGBJM-UHFFFAOYSA-N 0 1 290.367 0.564 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@@H]1CCO[C@@H]1C)C1CC1 ZINC001481191233 892287510 /nfs/dbraw/zinc/28/75/10/892287510.db2.gz AGVOGIRPQMCDJH-UKRRQHHQSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCCCC(=O)NC[C@H](CO)N[C@@H]1CCCN(C)C1=O ZINC001283795049 892416935 /nfs/dbraw/zinc/41/69/35/892416935.db2.gz CDVGZGXAIUKAGJ-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN C=C[C@@H](COC)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001325984397 913673906 /nfs/dbraw/zinc/67/39/06/913673906.db2.gz ZEVTWFYRYGRBTJ-JTQLQIEISA-N 0 1 275.312 0.741 20 30 CCEDMN C=CC[N@H+](CCCNC(=O)c1n[nH]c(=O)[n-]c1=O)C(C)C ZINC001481352205 892596329 /nfs/dbraw/zinc/59/63/29/892596329.db2.gz XPXYDNGJCQNNOP-UHFFFAOYSA-N 0 1 295.343 0.299 20 30 CCEDMN C#CCCCC(=O)NCC[C@@H]1CCN(CC(N)=O)C1 ZINC001481429883 892650902 /nfs/dbraw/zinc/65/09/02/892650902.db2.gz VEFXHBMBGPSNGK-GFCCVEGCSA-N 0 1 265.357 0.103 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001481630522 892935916 /nfs/dbraw/zinc/93/59/16/892935916.db2.gz KGSOTCFTOXYGNS-AWEZNQCLSA-N 0 1 265.357 0.137 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cn(CC)nn2)C1 ZINC001481652635 892968822 /nfs/dbraw/zinc/96/88/22/892968822.db2.gz RLYJDVLHVQRVCU-UHFFFAOYSA-N 0 1 293.371 0.162 20 30 CCEDMN C=CCCC(=O)N(C)[C@@H]1CCN([C@@H]2CCCNC2=O)C1 ZINC001481721434 893094679 /nfs/dbraw/zinc/09/46/79/893094679.db2.gz SDADSRFPNCBOIM-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN CCN(C(=O)[C@@H](C)C#N)[C@H]1CCN(CCOCCO)C1 ZINC001481762014 893123915 /nfs/dbraw/zinc/12/39/15/893123915.db2.gz QDAWIISQJLPZRI-STQMWFEESA-N 0 1 283.372 0.078 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H](C)CC(N)=O)C1 ZINC001481770756 893134951 /nfs/dbraw/zinc/13/49/51/893134951.db2.gz HTNSIEJBSWUBKU-RYUDHWBXSA-N 0 1 267.373 0.607 20 30 CCEDMN CC[C@H](CNC(=O)[C@H](C)C#N)NCc1ccc(OC)nn1 ZINC001481796061 893165227 /nfs/dbraw/zinc/16/52/27/893165227.db2.gz BTJKJRKGPAYFEP-GHMZBOCLSA-N 0 1 291.355 0.629 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)NC(=O)Cc1n[nH]c(C)n1 ZINC001284254765 893489627 /nfs/dbraw/zinc/48/96/27/893489627.db2.gz NXECOYYLMKYXEF-SNVBAGLBSA-N 0 1 293.371 0.633 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)CCn1cccc1 ZINC001284515264 893596480 /nfs/dbraw/zinc/59/64/80/893596480.db2.gz BRJDAOOZGIHCTR-OAHLLOKOSA-N 0 1 291.395 0.653 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2COC(=O)C2)[C@H]1CC ZINC001087542588 894010712 /nfs/dbraw/zinc/01/07/12/894010712.db2.gz UPGVCIZDZQNFPE-RWMBFGLXSA-N 0 1 278.352 0.542 20 30 CCEDMN C#CCCN(C)CCN(C)C(=O)c1cn(C)c(C)cc1=O ZINC001496723127 894133893 /nfs/dbraw/zinc/13/38/93/894133893.db2.gz GNASMPDIHIRSSY-UHFFFAOYSA-N 0 1 289.379 0.721 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cnns1 ZINC001482582092 894282740 /nfs/dbraw/zinc/28/27/40/894282740.db2.gz LKORFTWPIXDBPY-UHFFFAOYSA-N 0 1 282.369 0.192 20 30 CCEDMN C=CC[N@H+](C)CCN(C)C(=O)CNC(=O)C(C)(C)C ZINC001482648661 894445560 /nfs/dbraw/zinc/44/55/60/894445560.db2.gz RKYYXQHUFZAHHB-UHFFFAOYSA-N 0 1 269.389 0.725 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](O)CN[C@@H](C)c1cnccn1 ZINC001482735559 894537400 /nfs/dbraw/zinc/53/74/00/894537400.db2.gz ZXPFBHAZAYLGKC-STQMWFEESA-N 0 1 292.383 0.961 20 30 CCEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)[N@@H+](C)CCn1cncn1 ZINC001505918187 894662001 /nfs/dbraw/zinc/66/20/01/894662001.db2.gz HIBAKCXFPNORMP-ZDUSSCGKSA-N 0 1 291.399 0.764 20 30 CCEDMN C#CCCCC(=O)NC/C=C/CNCC(=O)N1CCCC1 ZINC001483004731 894791439 /nfs/dbraw/zinc/79/14/39/894791439.db2.gz UBVDRNMIQQTESX-AATRIKPKSA-N 0 1 291.395 0.674 20 30 CCEDMN Cc1oncc1CNC[C@@H](C)NC(=O)[C@@H](C)C#N ZINC001483116413 894936730 /nfs/dbraw/zinc/93/67/30/894936730.db2.gz IGEUZAMVBLFGFU-DTWKUNHWSA-N 0 1 250.302 0.737 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(F)=C2CCCC2)C1 ZINC001076878146 895119004 /nfs/dbraw/zinc/11/90/04/895119004.db2.gz QXLHLUHXJGJZTE-CHWSQXEVSA-N 0 1 280.343 0.969 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CNCc1ccn(C)n1 ZINC001483224621 895137656 /nfs/dbraw/zinc/13/76/56/895137656.db2.gz LNSQEBFMIJLVPG-LBPRGKRZSA-N 0 1 262.357 0.674 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](C)NC(=O)C(F)F)C1=O ZINC001483302976 895424115 /nfs/dbraw/zinc/42/41/15/895424115.db2.gz XULBQDBWCAPJRA-UWVGGRQHSA-N 0 1 289.326 0.475 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)CC(C)(C)O ZINC001483331140 895467958 /nfs/dbraw/zinc/46/79/58/895467958.db2.gz LJQURCIENPLYQU-LBPRGKRZSA-N 0 1 270.373 0.234 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H](F)CC ZINC001507497641 895620560 /nfs/dbraw/zinc/62/05/60/895620560.db2.gz OUOMORXBXQKKJC-VHSXEESVSA-N 0 1 266.744 0.896 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](CNCc1ccn(C)n1)C1CC1 ZINC001483550967 895637843 /nfs/dbraw/zinc/63/78/43/895637843.db2.gz SNGMQYJWRBMCMX-GWCFXTLKSA-N 0 1 275.356 0.564 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)/C=C(/C)CC)CC1 ZINC001483732965 895935409 /nfs/dbraw/zinc/93/54/09/895935409.db2.gz MIGYIFKNPRMIFO-UKVQZPPCSA-N 0 1 291.395 0.719 20 30 CCEDMN N#CCNC1(CNC(=O)Cc2n[nH]c3c2CCCC3)CC1 ZINC001483735373 895944986 /nfs/dbraw/zinc/94/49/86/895944986.db2.gz OVZBMAQVYMHRFF-UHFFFAOYSA-N 0 1 287.367 0.593 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)CN2CCCC2)C1 ZINC001031388514 896019348 /nfs/dbraw/zinc/01/93/48/896019348.db2.gz CDRPUCJHRCAFEQ-UHFFFAOYSA-N 0 1 257.765 0.635 20 30 CCEDMN C=C1CC(C)(C(=O)NCC2(N[C@H](C)C(=O)NC)CC2)C1 ZINC001483765743 896030603 /nfs/dbraw/zinc/03/06/03/896030603.db2.gz CEDLOEXIZWYDHK-LLVKDONJSA-N 0 1 279.384 0.716 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(C)(NC(=O)CC)CC1 ZINC001483958257 896132715 /nfs/dbraw/zinc/13/27/15/896132715.db2.gz RRKPHWUTKPMKHR-LBPRGKRZSA-N 0 1 279.384 0.505 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@](C)(NC(=O)[C@@H](COC)OC)C1 ZINC001484056421 896179810 /nfs/dbraw/zinc/17/98/10/896179810.db2.gz BFXBVPWQCRPAEK-OCCSQVGLSA-N 0 1 270.373 0.805 20 30 CCEDMN C=CC[N@@H+]1CC[C@](O)(CNC(=O)Cc2ccccc2)C1 ZINC001484224801 896252524 /nfs/dbraw/zinc/25/25/24/896252524.db2.gz PVWPTKKKYBHZFN-INIZCTEOSA-N 0 1 274.364 0.968 20 30 CCEDMN C#CC[N@@H+]1CC[C@](O)(CNC(=O)c2cc(F)ccc2F)C1 ZINC001484221989 896253367 /nfs/dbraw/zinc/25/33/67/896253367.db2.gz AMGMVSINOUBGIN-HNNXBMFYSA-N 0 1 294.301 0.765 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cc(F)ccc2F)C1 ZINC001484221989 896253382 /nfs/dbraw/zinc/25/33/82/896253382.db2.gz AMGMVSINOUBGIN-HNNXBMFYSA-N 0 1 294.301 0.765 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CCCOC(C)C)C1 ZINC001484254295 896278993 /nfs/dbraw/zinc/27/89/93/896278993.db2.gz IKJRSDJBSHBALG-HNNXBMFYSA-N 0 1 282.384 0.378 20 30 CCEDMN CC(C)[C@@H](F)C(=O)NCCN1CCC(NCC#N)CC1 ZINC001484420944 896392147 /nfs/dbraw/zinc/39/21/47/896392147.db2.gz FKQNJOHQXJKDAR-CYBMUJFWSA-N 0 1 284.379 0.674 20 30 CCEDMN C=CCCN1CCOC[C@H]1CNC(=O)CCc1nc[nH]n1 ZINC001326630169 914062825 /nfs/dbraw/zinc/06/28/25/914062825.db2.gz ZMBYULRIZSOYTF-GFCCVEGCSA-N 0 1 293.371 0.130 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)[C@H](C)SC)C1 ZINC001484428223 896400970 /nfs/dbraw/zinc/40/09/70/896400970.db2.gz MWKFUQIEGJXZHF-JSGCOSHPSA-N 0 1 284.425 0.704 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CCN(CC#C)CC1(C)C ZINC001484934921 896670678 /nfs/dbraw/zinc/67/06/78/896670678.db2.gz NEPPTSFMWILGPO-UONOGXRCSA-N 0 1 276.380 0.875 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@@H]2CCCO2)CC1 ZINC001485013103 896712242 /nfs/dbraw/zinc/71/22/42/896712242.db2.gz IUJREOMEYWQYQC-ZDUSSCGKSA-N 0 1 280.368 0.132 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)COc2cc(C)on2)C1 ZINC001485509881 897094751 /nfs/dbraw/zinc/09/47/51/897094751.db2.gz KOGWUBHPPZMYQO-LBPRGKRZSA-N 0 1 291.351 0.822 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)Cn2cc(Cl)cn2)C1 ZINC001485523182 897103656 /nfs/dbraw/zinc/10/36/56/897103656.db2.gz JVJGWXDGEQWYKV-LLVKDONJSA-N 0 1 294.786 0.996 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C(=O)NCC2CC2)C1 ZINC001485531352 897110224 /nfs/dbraw/zinc/11/02/24/897110224.db2.gz SGXXOJJEECYVFY-JTQLQIEISA-N 0 1 265.357 0.135 20 30 CCEDMN Cc1nocc1CN1C[C@@H]2[C@@H](CNC(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001485595794 897137300 /nfs/dbraw/zinc/13/73/00/897137300.db2.gz YWFSNDHXQUBIMH-JXJLXUTGSA-N 0 1 288.351 0.937 20 30 CCEDMN Cc1cc(CN2C[C@@H]3[C@@H](CNC(=O)[C@H](C)C#N)[C@@H]3C2)ncn1 ZINC001485593375 897137478 /nfs/dbraw/zinc/13/74/78/897137478.db2.gz SSADOKROAVVLED-QZKOUSHUSA-N 0 1 299.378 0.739 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCCNCc1cnn(C)n1 ZINC001485721231 897202079 /nfs/dbraw/zinc/20/20/79/897202079.db2.gz JUGIYRFOZPZVHN-ZDUSSCGKSA-N 0 1 291.399 0.849 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001326754701 914138698 /nfs/dbraw/zinc/13/86/98/914138698.db2.gz HSRXHJOBNJBWFQ-CABZTGNLSA-N 0 1 250.302 0.633 20 30 CCEDMN COCCCN1CCO[C@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107821331 897324634 /nfs/dbraw/zinc/32/46/34/897324634.db2.gz NEVLRJFIZZGASN-GXTWGEPZSA-N 0 1 283.372 0.390 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2cncnc2)C1 ZINC001107888855 897439434 /nfs/dbraw/zinc/43/94/34/897439434.db2.gz WRLUCUPPFSWDEM-OAHLLOKOSA-N 0 1 290.367 0.873 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cn1cccc(C)c1=O ZINC001032402307 897457597 /nfs/dbraw/zinc/45/75/97/897457597.db2.gz VLQYTBBOPCEKFM-GJZGRUSLSA-N 0 1 299.374 0.465 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC(=O)N(CC)C1 ZINC001032432302 897560507 /nfs/dbraw/zinc/56/05/07/897560507.db2.gz BJTMIHXBFAPUEI-IHRRRGAJSA-N 0 1 291.395 0.716 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H]1CC1(F)F ZINC001403832880 897696249 /nfs/dbraw/zinc/69/62/49/897696249.db2.gz AAWKOCZWIGZIJI-RKDXNWHRSA-N 0 1 282.718 0.803 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN(CC=C(C)C)C[C@@H]1O ZINC001083789988 897714133 /nfs/dbraw/zinc/71/41/33/897714133.db2.gz JAVZRIQTKZOYOL-KBXIAJHMSA-N 0 1 294.395 0.705 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001032574876 897763598 /nfs/dbraw/zinc/76/35/98/897763598.db2.gz YWALIQRRBYUFOK-QSLWVIQJSA-N 0 1 262.353 0.740 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001032630399 897849159 /nfs/dbraw/zinc/84/91/59/897849159.db2.gz NRCXTFKFTYKUHH-FQUUOJAGSA-N 0 1 291.395 0.572 20 30 CCEDMN COCC#CCN1CC(C)(C)CC[C@H]1CNC(=O)COC ZINC001098844551 897859553 /nfs/dbraw/zinc/85/95/53/897859553.db2.gz HYAMETRCYKPRHG-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)C2([NH+](C)C)CCC2)[C@H](OC)C1 ZINC001212403079 897862650 /nfs/dbraw/zinc/86/26/50/897862650.db2.gz BCWKDLBUGGALDF-ZIAGYGMSSA-N 0 1 295.427 0.862 20 30 CCEDMN C=CCN1CCO[C@@](C)(CNC(=O)CCc2cnc[nH]2)C1 ZINC001107988106 897891195 /nfs/dbraw/zinc/89/11/95/897891195.db2.gz WQQWAZWEQUYKNJ-HNNXBMFYSA-N 0 1 292.383 0.735 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3cnccc3C)C2)OCC1=O ZINC001272815676 897911775 /nfs/dbraw/zinc/91/17/75/897911775.db2.gz PLUMUNLCHXZYDB-QGZVFWFLSA-N 0 1 299.374 0.827 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CC=C)nn1 ZINC001032669806 897913708 /nfs/dbraw/zinc/91/37/08/897913708.db2.gz BINFHETWZUTINK-STQMWFEESA-N 0 1 287.367 0.939 20 30 CCEDMN N#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccn[nH]1 ZINC001049344319 897970082 /nfs/dbraw/zinc/97/00/82/897970082.db2.gz CTPSCWGTDUAOKU-NEPJUHHUSA-N 0 1 259.313 0.612 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnsn1 ZINC001049343206 897971735 /nfs/dbraw/zinc/97/17/35/897971735.db2.gz YSGWCMBGHNSAQA-RYUDHWBXSA-N 0 1 276.365 0.850 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C(=O)N1CC[C@H](C)C1 ZINC001032721661 897975042 /nfs/dbraw/zinc/97/50/42/897975042.db2.gz HNXSAWFOUNERIX-IHRRRGAJSA-N 0 1 291.395 0.716 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnoc2C2CC2)C1 ZINC001077931848 898064938 /nfs/dbraw/zinc/06/49/38/898064938.db2.gz MPVVQKXLZJLRCS-CHWSQXEVSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cnoc2C2CC2)C1 ZINC001077931848 898064949 /nfs/dbraw/zinc/06/49/49/898064949.db2.gz MPVVQKXLZJLRCS-CHWSQXEVSA-N 0 1 291.351 0.903 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H](C)NC(=O)CN1CCCC1 ZINC001078133418 898259536 /nfs/dbraw/zinc/25/95/36/898259536.db2.gz JUBQNHXUXKLMEM-RYUDHWBXSA-N 0 1 280.372 0.253 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C2CCOCC2)C1 ZINC001078290862 898333881 /nfs/dbraw/zinc/33/38/81/898333881.db2.gz WRYPFYIZWPHCTB-BPLDGKMQSA-N 0 1 296.411 0.787 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCNC(=O)Cc1nnc[nH]1 ZINC001078592739 898425740 /nfs/dbraw/zinc/42/57/40/898425740.db2.gz FGYCZWXTAGKJMZ-JTQLQIEISA-N 0 1 279.344 0.324 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H](C)NCc1nccnc1C ZINC001485854823 898462958 /nfs/dbraw/zinc/46/29/58/898462958.db2.gz HNRJTCVJYCZJOX-GFCCVEGCSA-N 0 1 292.383 0.924 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCOc1cccc(F)c1 ZINC001486000837 898564470 /nfs/dbraw/zinc/56/44/70/898564470.db2.gz BLTMKKUGYQIDDA-ZDUSSCGKSA-N 0 1 294.326 0.295 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1nc(C(C)C)oc1C ZINC001485997483 898583488 /nfs/dbraw/zinc/58/34/88/898583488.db2.gz OJZOZWZQLPXJDX-NSHDSACASA-N 0 1 279.340 0.420 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H]1CCCCC1(F)F ZINC001486019931 898593125 /nfs/dbraw/zinc/59/31/25/898593125.db2.gz RQOMXISYVJMIQN-RYUDHWBXSA-N 0 1 288.338 0.902 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001486054110 898641219 /nfs/dbraw/zinc/64/12/19/898641219.db2.gz PKKZGOWKDMMXPW-UZZMVUAXSA-N 0 1 290.407 0.903 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CNC(=O)C2CC2)C1 ZINC001486104111 898662598 /nfs/dbraw/zinc/66/25/98/898662598.db2.gz BUJVXBXZPUFVBB-CMPLNLGQSA-N 0 1 299.802 0.844 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@]12CCC[C@@H]1N(CC(=O)N(C)C)CC2 ZINC001486136925 898679255 /nfs/dbraw/zinc/67/92/55/898679255.db2.gz DQQLYGZTWYHOPO-TYNCELHUSA-N 0 1 292.383 0.347 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H](C)C(F)(F)F ZINC001486344969 898822608 /nfs/dbraw/zinc/82/26/08/898822608.db2.gz MOJQFBZNVSRNBM-VHSXEESVSA-N 0 1 280.290 0.569 20 30 CCEDMN C#CC[N@H+](C)C[C@@H](O)CN(C)C(=O)c1cc(Cl)cn1C ZINC001486339230 898805657 /nfs/dbraw/zinc/80/56/57/898805657.db2.gz RCXVVPUUJNSLRD-GFCCVEGCSA-N 0 1 297.786 0.676 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc(Cl)cn1C ZINC001486339230 898805677 /nfs/dbraw/zinc/80/56/77/898805677.db2.gz RCXVVPUUJNSLRD-GFCCVEGCSA-N 0 1 297.786 0.676 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C[C@H](C)COC ZINC001486353529 898810227 /nfs/dbraw/zinc/81/02/27/898810227.db2.gz YVCAXHZHGZAJFC-STQMWFEESA-N 0 1 270.373 0.043 20 30 CCEDMN CC#CCN(C)CCN(C(=O)c1nc[nH]n1)C(C)C ZINC001486376358 898840058 /nfs/dbraw/zinc/84/00/58/898840058.db2.gz BGPHYPXTFRHOPY-UHFFFAOYSA-N 0 1 263.345 0.610 20 30 CCEDMN C=CCN(CCNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12)CCOC ZINC001486429812 898870768 /nfs/dbraw/zinc/87/07/68/898870768.db2.gz BQUVCXOBCWRSIU-SEBNEYGDSA-N 0 1 294.395 0.660 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)C1CCN(CC(=O)N(C)C)CC1 ZINC001486435662 898873471 /nfs/dbraw/zinc/87/34/71/898873471.db2.gz KMJFKKXIYSVSGP-VXGBXAGGSA-N 0 1 294.399 0.451 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)C(C)(C)C(N)=O)CC1 ZINC001486508865 898917137 /nfs/dbraw/zinc/91/71/37/898917137.db2.gz HZFIUWRQLLCWAE-UHFFFAOYSA-N 0 1 277.368 0.270 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](C)NC(N)=O)C1 ZINC001494374484 899098832 /nfs/dbraw/zinc/09/88/32/899098832.db2.gz HXNZYIVBJFALQV-ZJUUUORDSA-N 0 1 268.361 0.198 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](CNCc2ncccn2)C1 ZINC001410181010 899262023 /nfs/dbraw/zinc/26/20/23/899262023.db2.gz ILBNQTDMFSIUKR-SRVKXCTJSA-N 0 1 273.340 0.621 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CO[C@@H]1CCOC1 ZINC001410374846 899377034 /nfs/dbraw/zinc/37/70/34/899377034.db2.gz BYPUTKNHYCVRCN-VXGBXAGGSA-N 0 1 290.791 0.981 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H](C)NC(=O)[C@@H](C)C#N)c1C ZINC001410454826 899416752 /nfs/dbraw/zinc/41/67/52/899416752.db2.gz RUBMTZBYYLRNSA-YUMQZZPRSA-N 0 1 277.328 0.421 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CCn1cnccc1=O ZINC001493036982 899653109 /nfs/dbraw/zinc/65/31/09/899653109.db2.gz FFJGSSUWEHUKLM-UHFFFAOYSA-N 0 1 290.367 0.095 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)Cc1ccco1 ZINC001411118754 899690386 /nfs/dbraw/zinc/69/03/86/899690386.db2.gz BZNFAIUWJLGDTL-NSHDSACASA-N 0 1 286.759 0.984 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](NC(=O)/C(C)=C\C)CC1 ZINC001327113603 914382879 /nfs/dbraw/zinc/38/28/79/914382879.db2.gz MTXJVZAUASZNGQ-UUSOHVMFSA-N 0 1 291.395 0.673 20 30 CCEDMN N#CCN[C@@H]1CC[C@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001086722217 899834437 /nfs/dbraw/zinc/83/44/37/899834437.db2.gz QOHWRBWSIKTRTR-UMSGYPCISA-N 0 1 287.367 0.911 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H](C)CN(C)C(=O)c1ccn[nH]1 ZINC001487715941 900107765 /nfs/dbraw/zinc/10/77/65/900107765.db2.gz IPQDKMBTSFCKGF-QWRGUYRKSA-N 0 1 294.355 0.578 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@H](C)N(C(=O)c2ccn[nH]2)C1 ZINC001488169424 900239155 /nfs/dbraw/zinc/23/91/55/900239155.db2.gz MQCUNYKWZHVNQQ-RYUDHWBXSA-N 0 1 288.351 0.932 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)[C@@H](C)C#N)CCN1Cc1n[nH]c(C)n1 ZINC001489109375 900433385 /nfs/dbraw/zinc/43/33/85/900433385.db2.gz YDZBCIQIJUQSOY-ZMLRMANQSA-N 0 1 290.371 0.742 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H](C)NC(=O)CC)CC1 ZINC001490407873 900623592 /nfs/dbraw/zinc/62/35/92/900623592.db2.gz VOCCRHILTAPMPX-ZDUSSCGKSA-N 0 1 293.411 0.847 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2cnco2)CC1 ZINC001490498611 900640600 /nfs/dbraw/zinc/64/06/00/900640600.db2.gz DDBDMVPHXLPHLZ-UHFFFAOYSA-N 0 1 278.356 0.598 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CCCNC1=O)C1CC1 ZINC001490678425 900686045 /nfs/dbraw/zinc/68/60/45/900686045.db2.gz QGIVVUKCLOHHBK-VXGBXAGGSA-N 0 1 299.802 0.750 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](CC)NC(C)=O ZINC001490800378 900764978 /nfs/dbraw/zinc/76/49/78/900764978.db2.gz VATCVFMGJBYUHE-ZNMIVQPWSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)C=C(C)C)C1 ZINC001493175330 900767351 /nfs/dbraw/zinc/76/73/51/900767351.db2.gz LFECGKVMVQMKQW-CYBMUJFWSA-N 0 1 277.368 0.140 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3nonc3C)C2)C1=O ZINC001273986735 900835007 /nfs/dbraw/zinc/83/50/07/900835007.db2.gz WFWWDUJGWLLDJS-CQSZACIVSA-N 0 1 274.324 0.436 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@H]1CCN(CC)C1=O ZINC001442915358 901082662 /nfs/dbraw/zinc/08/26/62/901082662.db2.gz ABUPBBQPSBOTJE-OLZOCXBDSA-N 0 1 294.399 0.595 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2cc(C)c(C#N)cn2)n1 ZINC001411751969 901231562 /nfs/dbraw/zinc/23/15/62/901231562.db2.gz GTNVHYXLQZKIGC-UHFFFAOYSA-N 0 1 270.296 0.661 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCNC(=O)[C@H]1CCCCN1C ZINC001415539502 901271000 /nfs/dbraw/zinc/27/10/00/901271000.db2.gz OEXGECHMORHMPC-VXGBXAGGSA-N 0 1 280.372 0.205 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](CNC(=O)[C@H]2CCCN2C)C1 ZINC001412261069 901604655 /nfs/dbraw/zinc/60/46/55/901604655.db2.gz VFJLYUSVSNBXGF-FDYHWXHSSA-N 0 1 292.383 0.251 20 30 CCEDMN COC(=O)NCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001412400436 901690192 /nfs/dbraw/zinc/69/01/92/901690192.db2.gz DHRLCZHMCNMJNG-UHFFFAOYSA-N 0 1 273.252 0.729 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NCCc1nc(C)n[nH]1 ZINC001412542005 901809731 /nfs/dbraw/zinc/80/97/31/901809731.db2.gz XSVWOINRJLLDKR-UHFFFAOYSA-N 0 1 285.307 0.966 20 30 CCEDMN N#C[C@@]1(C(=O)Nc2nc(SCCO)n[nH]2)CCCOC1 ZINC001412842837 902294607 /nfs/dbraw/zinc/29/46/07/902294607.db2.gz NVRCFDKLWBTEPP-NSHDSACASA-N 0 1 297.340 0.148 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)C(=O)Cc1ccc(C#N)cc1 ZINC001412858436 902306240 /nfs/dbraw/zinc/30/62/40/902306240.db2.gz IAMQJEWOSKIRHQ-UHFFFAOYSA-N 0 1 272.264 0.848 20 30 CCEDMN CN(C[C@@H](O)CN1CC[C@](O)(CC#N)C1)CC(F)(F)F ZINC001413010789 902468231 /nfs/dbraw/zinc/46/82/31/902468231.db2.gz LKEMFAMNYUEGSE-GHMZBOCLSA-N 0 1 295.305 0.192 20 30 CCEDMN C[C@@H](O)C[N@@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C1CC1 ZINC001413017512 902486811 /nfs/dbraw/zinc/48/68/11/902486811.db2.gz BZQYTJSKWAWEEW-SECBINFHSA-N 0 1 287.323 0.652 20 30 CCEDMN N#CC1(CN[C@H]2CCCS(=O)(=O)CC2)CCOCC1 ZINC001413260371 902829291 /nfs/dbraw/zinc/82/92/91/902829291.db2.gz WFTFMJMZHHDMCK-LBPRGKRZSA-N 0 1 286.397 0.864 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C1(C(N)=O)CC1 ZINC001491261586 903471765 /nfs/dbraw/zinc/47/17/65/903471765.db2.gz YBTHKGZKVALUIA-NSHDSACASA-N 0 1 299.802 0.975 20 30 CCEDMN C[C@H](NC(=O)Cc1nnc[nH]1)[C@H]1CCCN(CC#N)C1 ZINC001491298593 903490377 /nfs/dbraw/zinc/49/03/77/903490377.db2.gz WGLAHNORMYBPGX-QWRGUYRKSA-N 0 1 276.344 0.087 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNC(=O)CN1CCCC1 ZINC001491320016 903496077 /nfs/dbraw/zinc/49/60/77/903496077.db2.gz QMGBRTGRDDTWRF-UHFFFAOYSA-N 0 1 267.373 0.527 20 30 CCEDMN COCC(=O)N[C@H]1C[C@@H](NCc2ccccc2C#N)C1 ZINC001398586406 914723662 /nfs/dbraw/zinc/72/36/62/914723662.db2.gz NZGPUXZRBZYVOD-OKILXGFUSA-N 0 1 273.336 0.941 20 30 CCEDMN CC(C)c1nnc(CN[C@H]2C[C@@H](NC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001398610669 914742549 /nfs/dbraw/zinc/74/25/49/914742549.db2.gz JGSKABXNRDCLDX-GARJFASQSA-N 0 1 290.371 0.825 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001299675860 904075546 /nfs/dbraw/zinc/07/55/46/904075546.db2.gz ZLDWDIZVZJRJGP-DGAVXFQQSA-N 0 1 282.384 0.539 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CN(C)C(=O)Cc1ccn[nH]1 ZINC001280988687 904192974 /nfs/dbraw/zinc/19/29/74/904192974.db2.gz DQDVIYOOSSUZPN-GFCCVEGCSA-N 0 1 290.367 0.575 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H]([NH2+]Cc2nc(=O)n(C)[n-]2)C1 ZINC001327826066 914790502 /nfs/dbraw/zinc/79/05/02/914790502.db2.gz BMBFKBLVIYFOHE-MNOVXSKESA-N 0 1 293.371 0.011 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2csnn2)CC1 ZINC001281806067 904348311 /nfs/dbraw/zinc/34/83/11/904348311.db2.gz DXFSFHZHPQNQSI-UHFFFAOYSA-N 0 1 294.380 0.118 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)CCC(=O)NCC ZINC001281915541 904363139 /nfs/dbraw/zinc/36/31/39/904363139.db2.gz BRMMFFGZXWCYDR-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CCC(=O)NCC ZINC001281915541 904363156 /nfs/dbraw/zinc/36/31/56/904363156.db2.gz BRMMFFGZXWCYDR-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001281940573 904371521 /nfs/dbraw/zinc/37/15/21/904371521.db2.gz UYWUXTFJFQAABW-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CCCC(N)=O ZINC001281966716 904376312 /nfs/dbraw/zinc/37/63/12/904376312.db2.gz BTIALVVQFAFHAU-ZDUSSCGKSA-N 0 1 279.384 0.588 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1nonc1C ZINC001281968863 904380327 /nfs/dbraw/zinc/38/03/27/904380327.db2.gz QOICBSJJRQGIEN-LBPRGKRZSA-N 0 1 276.340 0.938 20 30 CCEDMN C[C@H](NCc1cnnn1C)[C@H](C)NC(=O)C#CC(C)(C)C ZINC001282129311 904420245 /nfs/dbraw/zinc/42/02/45/904420245.db2.gz QWNHPIQPRAIGAA-RYUDHWBXSA-N 0 1 291.399 0.847 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1ccnc(F)c1 ZINC001282364862 904458442 /nfs/dbraw/zinc/45/84/42/904458442.db2.gz RHMAKUSKBKSUII-LBPRGKRZSA-N 0 1 293.342 0.921 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCc1ccn(C)n1 ZINC001282388286 904464762 /nfs/dbraw/zinc/46/47/62/904464762.db2.gz GZUHEJOCTQZUPB-CYBMUJFWSA-N 0 1 276.384 0.813 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CC(C)(C)O)[C@@H]1C ZINC001332174727 917933118 /nfs/dbraw/zinc/93/31/18/917933118.db2.gz GQOWLLKOXYRKNO-RYUDHWBXSA-N 0 1 252.358 0.750 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@]2(F)CCOC2)C1 ZINC001282735577 904533569 /nfs/dbraw/zinc/53/35/69/904533569.db2.gz PHESGSZQPZQXHP-GXFFZTMASA-N 0 1 256.321 0.738 20 30 CCEDMN CC#CC[N@H+]1C[C@H](NC(=O)CCc2cn[nH]n2)C(C)(C)C1 ZINC001282820723 904547355 /nfs/dbraw/zinc/54/73/55/904547355.db2.gz MCKGDHZFTQRBSU-ZDUSSCGKSA-N 0 1 289.383 0.587 20 30 CCEDMN CC#CC[N@@H+]1C[C@H](NC(=O)CCc2c[nH]nn2)C(C)(C)C1 ZINC001282820723 904547366 /nfs/dbraw/zinc/54/73/66/904547366.db2.gz MCKGDHZFTQRBSU-ZDUSSCGKSA-N 0 1 289.383 0.587 20 30 CCEDMN C=CCCC(=O)N1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001282853639 904553838 /nfs/dbraw/zinc/55/38/38/904553838.db2.gz YRLATNNTSWXIIU-UHFFFAOYSA-N 0 1 262.313 0.660 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C(C)(C)NC(C)=O)C2)C1 ZINC001282972998 904647133 /nfs/dbraw/zinc/64/71/33/904647133.db2.gz PZOYGOIMBMGCPR-UHFFFAOYSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001283332791 904807388 /nfs/dbraw/zinc/80/73/88/904807388.db2.gz DEYOOOMNKKFFLK-SECBINFHSA-N 0 1 263.301 0.102 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001283332791 904807398 /nfs/dbraw/zinc/80/73/98/904807398.db2.gz DEYOOOMNKKFFLK-SECBINFHSA-N 0 1 263.301 0.102 20 30 CCEDMN CC(C)[C@@H](CCNC(=O)Cc1cnc[nH]1)NCC#N ZINC001283464103 904851084 /nfs/dbraw/zinc/85/10/84/904851084.db2.gz YYYFBGGBNSJONA-GFCCVEGCSA-N 0 1 263.345 0.596 20 30 CCEDMN O=C(C#CC1CC1)NC[C@@H](CO)NCC#Cc1ccccc1 ZINC001283757141 904998854 /nfs/dbraw/zinc/99/88/54/904998854.db2.gz QEBTUJLHCOZACC-KRWDZBQOSA-N 0 1 296.370 0.518 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCc1cccc(Cl)c1 ZINC001283786076 905015687 /nfs/dbraw/zinc/01/56/87/905015687.db2.gz XWGIEZVRVRMZIA-CQSZACIVSA-N 0 1 294.782 0.973 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](CO)N[C@H](C)c1n[nH]c(C)n1 ZINC001283793935 905020854 /nfs/dbraw/zinc/02/08/54/905020854.db2.gz YJMIDQPHZGGQQG-KOLCDFICSA-N 0 1 295.387 0.453 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc(C(F)F)c1 ZINC001283822467 905036164 /nfs/dbraw/zinc/03/61/64/905036164.db2.gz CGIJQMSSGYCGCV-LBPRGKRZSA-N 0 1 282.290 0.938 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1[nH]ccc1-c1ccccc1 ZINC001283818609 905038463 /nfs/dbraw/zinc/03/84/63/905038463.db2.gz RKYYHYWBOZIMEV-AWEZNQCLSA-N 0 1 297.358 0.995 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](C)c1ccc(F)c(F)c1 ZINC001283831488 905044779 /nfs/dbraw/zinc/04/47/79/905044779.db2.gz LJFMEQMESPUGEP-CMPLNLGQSA-N 0 1 296.317 0.768 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C12CCC(CC1)CC2 ZINC001283832361 905047929 /nfs/dbraw/zinc/04/79/29/905047929.db2.gz ULEMLALPFMNKAE-OWYJLGKBSA-N 0 1 264.369 0.657 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@]2(NC(C)=O)CCC[C@@H]12 ZINC001284031863 905123664 /nfs/dbraw/zinc/12/36/64/905123664.db2.gz SBYKHPDGWHIQIW-NJZAAPMLSA-N 0 1 277.368 0.257 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)C[C@H](O)CN(C)CC#CCOC ZINC001284505791 905342058 /nfs/dbraw/zinc/34/20/58/905342058.db2.gz OOOHPRYUBYQXQY-CQSZACIVSA-N 0 1 296.411 0.600 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CCc1nccs1 ZINC001284520793 905357734 /nfs/dbraw/zinc/35/77/34/905357734.db2.gz YMHIIHAKKJUAPJ-LBPRGKRZSA-N 0 1 295.408 0.460 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)CNC(=O)C2CC2)CC1 ZINC001284889998 905466959 /nfs/dbraw/zinc/46/69/59/905466959.db2.gz QKPGTQDEEVNJJO-UHFFFAOYSA-N 0 1 289.379 0.284 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CCc1cn(C)nn1 ZINC001378335968 905597045 /nfs/dbraw/zinc/59/70/45/905597045.db2.gz HVTHAKSXMFCRAR-LLVKDONJSA-N 0 1 299.806 0.985 20 30 CCEDMN C=CCCCC(=O)NC[C@H](O)CNC(=O)[C@H]1CCCN1C ZINC001285449061 905631673 /nfs/dbraw/zinc/63/16/73/905631673.db2.gz UNORNBVQHIKJMR-QWHCGFSZSA-N 0 1 297.399 0.030 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NC1(CNC(=O)c2ncn[nH]2)CC1 ZINC001285952689 905820782 /nfs/dbraw/zinc/82/07/82/905820782.db2.gz LIGZCGGVDBFEIP-NXEZZACHSA-N 0 1 291.355 0.642 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NC1(CNC(=O)c2nc[nH]n2)CC1 ZINC001285952689 905820802 /nfs/dbraw/zinc/82/08/02/905820802.db2.gz LIGZCGGVDBFEIP-NXEZZACHSA-N 0 1 291.355 0.642 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](O)CNCc1cccc(F)c1F ZINC001379190500 906052128 /nfs/dbraw/zinc/05/21/28/906052128.db2.gz QHCWWEYWPKGLKQ-ONGXEEELSA-N 0 1 297.305 0.691 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1ccc(C)o1 ZINC001379197148 906058822 /nfs/dbraw/zinc/05/88/22/906058822.db2.gz NFHQFNQJZGDASM-NSHDSACASA-N 0 1 286.759 0.950 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CCN(C(=O)c2ccn[nH]2)[C@@H]1C ZINC001290615239 906134691 /nfs/dbraw/zinc/13/46/91/906134691.db2.gz QPJCLVQAUCYIKK-NEPJUHHUSA-N 0 1 288.351 0.788 20 30 CCEDMN C#CCCCC(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001333979935 906135119 /nfs/dbraw/zinc/13/51/19/906135119.db2.gz KDGUDDVAKPSCBS-SNVBAGLBSA-N 0 1 262.313 0.728 20 30 CCEDMN C#CCCCC(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001333979935 906135124 /nfs/dbraw/zinc/13/51/24/906135124.db2.gz KDGUDDVAKPSCBS-SNVBAGLBSA-N 0 1 262.313 0.728 20 30 CCEDMN C#CCOCC[N@H+](C)C[C@@H]1CN=C(c2cnn(C)c2)O1 ZINC001334642754 906202037 /nfs/dbraw/zinc/20/20/37/906202037.db2.gz FAYFVFNWKQBJSS-ZDUSSCGKSA-N 0 1 276.340 0.147 20 30 CCEDMN C#CCOCCN(C)C[C@@H]1CN=C(c2cnn(C)c2)O1 ZINC001334642754 906202051 /nfs/dbraw/zinc/20/20/51/906202051.db2.gz FAYFVFNWKQBJSS-ZDUSSCGKSA-N 0 1 276.340 0.147 20 30 CCEDMN C=CCCOCC(=O)NCCNC(=O)[C@H]1CCCCN1C ZINC001292895099 906363409 /nfs/dbraw/zinc/36/34/09/906363409.db2.gz VPABWRLKGKJXHN-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN N#CC1(NC(=O)c2n[nH]cc2[N+](=O)[O-])CCOCC1 ZINC001293575145 906493635 /nfs/dbraw/zinc/49/36/35/906493635.db2.gz UOBLEAGUIYUAQN-UHFFFAOYSA-N 0 1 265.229 0.120 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@H]1CCC(=O)N1)C1CC1 ZINC001379907504 906530066 /nfs/dbraw/zinc/53/00/66/906530066.db2.gz JPXAEPNJTOYEJJ-NEPJUHHUSA-N 0 1 299.802 0.892 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](NC(=O)[C@H]2CCC[N@H+]2C)C1 ZINC001295024459 906664229 /nfs/dbraw/zinc/66/42/29/906664229.db2.gz GIYDGPHEAVMJGW-UONOGXRCSA-N 0 1 291.395 0.457 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001295024459 906664234 /nfs/dbraw/zinc/66/42/34/906664234.db2.gz GIYDGPHEAVMJGW-UONOGXRCSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001295643066 906777376 /nfs/dbraw/zinc/77/73/76/906777376.db2.gz LUSOXXJGOBHRQN-JTQLQIEISA-N 0 1 291.355 0.324 20 30 CCEDMN C#CCCCCC(=O)NC1CN(C(=O)Cc2ccn[nH]2)C1 ZINC001297035144 907006617 /nfs/dbraw/zinc/00/66/17/907006617.db2.gz HPYPXOHBAHPBEX-UHFFFAOYSA-N 0 1 288.351 0.473 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001297742244 907111978 /nfs/dbraw/zinc/11/19/78/907111978.db2.gz MANOESKZPXGEHK-LLVKDONJSA-N 0 1 291.355 0.480 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)CCN1CC[C@H](F)C1 ZINC001297802291 907117991 /nfs/dbraw/zinc/11/79/91/907117991.db2.gz OCLXJJYKBVBAOB-NWDGAFQWSA-N 0 1 258.337 0.864 20 30 CCEDMN C=C(C)CCC(=O)N(C)CCN(C)C(=O)Cc1ncn[nH]1 ZINC001298081887 907151392 /nfs/dbraw/zinc/15/13/92/907151392.db2.gz CYNUJXAKSJHXLR-UHFFFAOYSA-N 0 1 293.371 0.620 20 30 CCEDMN C=CCC1(C(=O)NC[C@H](C)NC(=O)c2cnn[nH]2)CCC1 ZINC001298820238 907337794 /nfs/dbraw/zinc/33/77/94/907337794.db2.gz GOOGBASLNOHVIS-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNC(=O)c1[nH]ncc1F ZINC001298837218 907338540 /nfs/dbraw/zinc/33/85/40/907338540.db2.gz XFYXULMMZQXTTQ-QMMMGPOBSA-N 0 1 282.319 0.996 20 30 CCEDMN C=CCCC(=O)N(C)[C@@H]1CCN(C(=O)c2[nH]nnc2C)C1 ZINC001491996375 907669005 /nfs/dbraw/zinc/66/90/05/907669005.db2.gz VPVBEYADSSFQDT-LLVKDONJSA-N 0 1 291.355 0.752 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cc(F)c[nH]1 ZINC001382409559 907726005 /nfs/dbraw/zinc/72/60/05/907726005.db2.gz UOYIRLOGMYJWQH-SNVBAGLBSA-N 0 1 289.738 0.929 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cc(F)c[nH]1 ZINC001382409540 907726361 /nfs/dbraw/zinc/72/63/61/907726361.db2.gz UOYIRLOGMYJWQH-JTQLQIEISA-N 0 1 289.738 0.929 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NC(=O)[C@@H]2CCCCN2C)CC1 ZINC001417194445 921352500 /nfs/dbraw/zinc/35/25/00/921352500.db2.gz ADYVGIKHVWYHCO-NEPJUHHUSA-N 0 1 292.383 0.395 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001302935995 908050798 /nfs/dbraw/zinc/05/07/98/908050798.db2.gz GLKOUEPCBZUVCW-BBBLOLIVSA-N 0 1 263.301 0.107 20 30 CCEDMN Cc1cc(C(=O)N2C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001384149649 908168922 /nfs/dbraw/zinc/16/89/22/908168922.db2.gz AKZRPHBUZHYNQZ-KBVBSXBZSA-N 0 1 289.339 0.455 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCCN(C(=O)[C@@H](C)OC)C1 ZINC001317372147 908186339 /nfs/dbraw/zinc/18/63/39/908186339.db2.gz RKEKRMPKKTUVFW-ZIAGYGMSSA-N 0 1 282.384 0.594 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001317460651 908253772 /nfs/dbraw/zinc/25/37/72/908253772.db2.gz DQPGPUNQBGAXBD-UONOGXRCSA-N 0 1 274.368 0.595 20 30 CCEDMN C#CCNC(=O)CN1CCC(OCCOCC)CC1 ZINC001307415814 908314393 /nfs/dbraw/zinc/31/43/93/908314393.db2.gz PWYBJEDGIIJDEU-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN N#Cc1cccc([C@H](O)CN2C[C@H](O)C[C@H](O)C2)c1 ZINC001307522049 908318092 /nfs/dbraw/zinc/31/80/92/908318092.db2.gz PMALIDRCWXPSJW-HZSPNIEDSA-N 0 1 262.309 0.019 20 30 CCEDMN CCNC(=O)C1(CNC(=O)NCC#CCN(C)C)CCC1 ZINC001312622690 908612459 /nfs/dbraw/zinc/61/24/59/908612459.db2.gz XVBUJZCFRLGQEO-UHFFFAOYSA-N 0 1 294.399 0.157 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC[C@]1(C)CCCO ZINC001313439708 908666427 /nfs/dbraw/zinc/66/64/27/908666427.db2.gz QHHAKPCLTFHLOA-OAHLLOKOSA-N 0 1 281.400 0.888 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCCC(N)=O)C1 ZINC001316990158 908713741 /nfs/dbraw/zinc/71/37/41/908713741.db2.gz AEURARJZNCVPDP-LBPRGKRZSA-N 0 1 265.357 0.246 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H]2CCN(CC(=O)NC)C[C@H]2C1 ZINC001316754601 908763391 /nfs/dbraw/zinc/76/33/91/908763391.db2.gz XWCCEOKYWYSTRK-KGLIPLIRSA-N 0 1 293.411 0.869 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@H]1CCN(CC#N)[C@@H]1C ZINC001316796263 908774648 /nfs/dbraw/zinc/77/46/48/908774648.db2.gz ZDPZELDOYXVXIP-WZRBSPASSA-N 0 1 275.356 0.691 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CNC(C)=O ZINC001316801587 908778200 /nfs/dbraw/zinc/77/82/00/908778200.db2.gz UTGWQMMSQGVFFJ-ZDUSSCGKSA-N 0 1 265.357 0.069 20 30 CCEDMN CC(C)NC(=O)CN(CCCNC(=O)[C@@H](C)C#N)C1CC1 ZINC001316914018 908837605 /nfs/dbraw/zinc/83/76/05/908837605.db2.gz IZDRLXNCMOEJNJ-LBPRGKRZSA-N 0 1 294.399 0.641 20 30 CCEDMN N#CCN(CCCNC(=O)c1[nH]ncc1F)C1CC1 ZINC001316921447 908845810 /nfs/dbraw/zinc/84/58/10/908845810.db2.gz CPQAWZNHJJADIE-UHFFFAOYSA-N 0 1 265.292 0.657 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN1CCN(CCF)CC1 ZINC001316958431 908868300 /nfs/dbraw/zinc/86/83/00/908868300.db2.gz VYKXMNPGXXDOAS-CQSZACIVSA-N 0 1 299.390 0.118 20 30 CCEDMN CC#CC[NH2+][C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001317108291 908984300 /nfs/dbraw/zinc/98/43/00/908984300.db2.gz KYFSNXNVUIHVPO-PHIMTYICSA-N 0 1 259.309 0.661 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCCN([C@H](C)C(=O)NC)C1 ZINC001317128246 909000593 /nfs/dbraw/zinc/00/05/93/909000593.db2.gz MPPIWQRHQFAWPH-CHWSQXEVSA-N 0 1 297.399 0.152 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2cc(C)n(C)n2)C1 ZINC001317298320 909124397 /nfs/dbraw/zinc/12/43/97/909124397.db2.gz KLVWEXBXENOVSS-ZDUSSCGKSA-N 0 1 292.383 0.622 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC[C@@H](C)NCc1cn(C)nn1 ZINC001317391376 909170984 /nfs/dbraw/zinc/17/09/84/909170984.db2.gz UXQSWRUCQSORNA-RISCZKNCSA-N 0 1 295.387 0.127 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H]1CCO[C@H](C)C1 ZINC001317465484 909246320 /nfs/dbraw/zinc/24/63/20/909246320.db2.gz AICOFOCWTPEZOE-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N[C@H]2CCN(C)[C@H]2C)cc1 ZINC001338479754 921480559 /nfs/dbraw/zinc/48/05/59/921480559.db2.gz PJPDXMGFGYHKDU-FZMZJTMJSA-N 0 1 285.347 0.815 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H](CCCC)NC(N)=O ZINC001317512736 909281402 /nfs/dbraw/zinc/28/14/02/909281402.db2.gz CSTVHQRKBROTTK-CHWSQXEVSA-N 0 1 294.399 0.427 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)C(=O)Nc2ccc(C#N)cc2)CCN1C ZINC001338484092 921482376 /nfs/dbraw/zinc/48/23/76/921482376.db2.gz PWINDACRXIXHSB-ZWNOBZJWSA-N 0 1 286.335 0.706 20 30 CCEDMN CC#CCN(CCNC(=O)c1cnn(C)c1C)C1CC1 ZINC001317547710 909320409 /nfs/dbraw/zinc/32/04/09/909320409.db2.gz LLZUWUIEBYMKNC-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N(C)CCNCC#N ZINC001317592170 909391759 /nfs/dbraw/zinc/39/17/59/909391759.db2.gz QQZCRXFYGWGBAP-NSHDSACASA-N 0 1 258.341 0.238 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)Cc1nnc[nH]1 ZINC001317648181 909444072 /nfs/dbraw/zinc/44/40/72/909444072.db2.gz FZGHURPAEMKAIZ-UHFFFAOYSA-N 0 1 257.725 0.148 20 30 CCEDMN C#CCN[C@H](CNC(=O)CCn1ccnn1)c1ccccc1 ZINC001317719621 909475817 /nfs/dbraw/zinc/47/58/17/909475817.db2.gz YPJHVLAGRLWOST-OAHLLOKOSA-N 0 1 297.362 0.749 20 30 CCEDMN CCOC1CC(O)(CNC[C@@H](C#N)CCC#N)C1 ZINC001338537586 921505331 /nfs/dbraw/zinc/50/53/31/921505331.db2.gz ZPGFHNLAMCPARJ-PNESKVBLSA-N 0 1 251.330 0.950 20 30 CCEDMN Cc1nn(C)c(C)c1-c1cc(C(=O)N(CC#N)CC#N)n[nH]1 ZINC001318145979 909639677 /nfs/dbraw/zinc/63/96/77/909639677.db2.gz NQMUUZVKQDMFHH-UHFFFAOYSA-N 0 1 297.322 0.916 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCn2c(C)csc2=O)C1 ZINC001318338784 909713250 /nfs/dbraw/zinc/71/32/50/909713250.db2.gz YOUNYONNPMMUKD-GFCCVEGCSA-N 0 1 293.392 0.432 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)COC(C)C)C1 ZINC001318385440 909731068 /nfs/dbraw/zinc/73/10/68/909731068.db2.gz HEZZGWARBJLYEN-CYBMUJFWSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001318428363 909752738 /nfs/dbraw/zinc/75/27/38/909752738.db2.gz JGOGBJLNCITAJT-CHWSQXEVSA-N 0 1 267.373 0.620 20 30 CCEDMN CC(C)NC(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001318455602 909764918 /nfs/dbraw/zinc/76/49/18/909764918.db2.gz UQISLOAPGNADDG-KHPPLWFESA-N 0 1 266.301 0.220 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](CC(N)=O)C2)CC1 ZINC001318932282 909927679 /nfs/dbraw/zinc/92/76/79/909927679.db2.gz DJSGGVJENVCCTK-ZDUSSCGKSA-N 0 1 291.395 0.446 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1C[N@@H+](CCCC=C)CCO1 ZINC001319308874 910085163 /nfs/dbraw/zinc/08/51/63/910085163.db2.gz GQRLHBZHTMOVFN-CABCVRRESA-N 0 1 294.395 0.808 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1CN(CCCC=C)CCO1 ZINC001319308874 910085179 /nfs/dbraw/zinc/08/51/79/910085179.db2.gz GQRLHBZHTMOVFN-CABCVRRESA-N 0 1 294.395 0.808 20 30 CCEDMN C#CCOCCN(C)[C@H](C)C(=O)NC(=O)NC(C)C ZINC001319656593 910243873 /nfs/dbraw/zinc/24/38/73/910243873.db2.gz NYHSJUUURNYZRB-LLVKDONJSA-N 0 1 269.345 0.191 20 30 CCEDMN C#CCOCCN(C)[C@@H](C)C(=O)NCCCOC ZINC001319657445 910245158 /nfs/dbraw/zinc/24/51/58/910245158.db2.gz UXJANTMZLXFLOM-LBPRGKRZSA-N 0 1 256.346 0.109 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)CC1(OC)CCC1 ZINC001319991624 910402595 /nfs/dbraw/zinc/40/25/95/910402595.db2.gz PNLONAQGJVVYFD-UHFFFAOYSA-N 0 1 296.411 0.986 20 30 CCEDMN C=CCCC(=O)NC[C@@H](O)CNCc1c(C)noc1C ZINC001320199248 910510124 /nfs/dbraw/zinc/51/01/24/910510124.db2.gz ATULQDZFDIEGPT-LBPRGKRZSA-N 0 1 281.356 0.824 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](O)CNCc1ocnc1C ZINC001320227269 910539810 /nfs/dbraw/zinc/53/98/10/910539810.db2.gz NMWBAVPXXHSPJM-GFCCVEGCSA-N 0 1 281.356 0.906 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)NC1CCN(CC#N)CC1 ZINC001320570884 910745684 /nfs/dbraw/zinc/74/56/84/910745684.db2.gz YESSERQMHQUOEF-UHFFFAOYSA-N 0 1 276.344 0.505 20 30 CCEDMN C=CCO[C@@H]1CCN(CC(=O)N2CCO[C@H](C)C2)C1 ZINC001320899946 910926232 /nfs/dbraw/zinc/92/62/32/910926232.db2.gz YWKOXVRHBFUWSS-CHWSQXEVSA-N 0 1 268.357 0.511 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CNCC(N)=O ZINC001321186824 911145949 /nfs/dbraw/zinc/14/59/49/911145949.db2.gz PICMQDMAZHUBSU-AATRIKPKSA-N 0 1 253.346 0.336 20 30 CCEDMN C[C@H](C#N)OCCN1CCc2nn(C)c(=O)cc2C1 ZINC001321298577 911213381 /nfs/dbraw/zinc/21/33/81/911213381.db2.gz GUEDFGXGVJNXGF-SNVBAGLBSA-N 0 1 262.313 0.067 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)c1oncc1C ZINC001321323654 911232780 /nfs/dbraw/zinc/23/27/80/911232780.db2.gz RQALTXFNBXPWOW-SNAWJCMRSA-N 0 1 292.339 0.161 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)C(=O)Nc1cccc(C#N)c1 ZINC001321416531 911289698 /nfs/dbraw/zinc/28/96/98/911289698.db2.gz QHUBNEJXCDZBSP-UHFFFAOYSA-N 0 1 284.279 0.273 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H](C)C#N)NCc1cc(OC)no1 ZINC001391672336 911311749 /nfs/dbraw/zinc/31/17/49/911311749.db2.gz WQGYDLZAFTVXAZ-UWVGGRQHSA-N 0 1 280.328 0.827 20 30 CCEDMN C[C@H](CNCc1ccn(C)n1)NC(=O)C#CC1CC1 ZINC001321510972 911340314 /nfs/dbraw/zinc/34/03/14/911340314.db2.gz JJRNUGGEWWLVEW-LLVKDONJSA-N 0 1 260.341 0.428 20 30 CCEDMN C[C@H](CNCC#N)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC001321513913 911342811 /nfs/dbraw/zinc/34/28/11/911342811.db2.gz JFXIBUCEUZIPGG-SNVBAGLBSA-N 0 1 275.356 0.449 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)CNCc1cnc(C)cn1 ZINC001321514122 911343505 /nfs/dbraw/zinc/34/35/05/911343505.db2.gz MGOYBKVQGCRRFK-CYBMUJFWSA-N 0 1 290.367 0.419 20 30 CCEDMN C#CCCN(C)C[C@@H](C)NC(=O)c1cn(C)c(C)cc1=O ZINC001322182204 911696915 /nfs/dbraw/zinc/69/69/15/911696915.db2.gz DJVLKVFYEQUFTF-GFCCVEGCSA-N 0 1 289.379 0.767 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)COCc1ccnn1C ZINC001322183650 911699189 /nfs/dbraw/zinc/69/91/89/911699189.db2.gz UDKSGEDAJGGXCE-LBPRGKRZSA-N 0 1 278.356 0.006 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1nc(C)oc1C ZINC001322268992 911737578 /nfs/dbraw/zinc/73/75/78/911737578.db2.gz HWZHWDMLOIPXMC-NSHDSACASA-N 0 1 293.367 0.991 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cnn(CCOC)c1 ZINC001322285198 911746083 /nfs/dbraw/zinc/74/60/83/911746083.db2.gz LLBBYNMORPBGRI-CYBMUJFWSA-N 0 1 292.383 0.603 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cn1cc(C)cn1 ZINC001392356410 911752862 /nfs/dbraw/zinc/75/28/62/911752862.db2.gz HBPJOJGDZMWPJJ-LLVKDONJSA-N 0 1 286.763 0.011 20 30 CCEDMN CC#CCCCC(=O)N(C)CCCNCc1nncn1C ZINC001322651937 911900270 /nfs/dbraw/zinc/90/02/70/911900270.db2.gz RLMWCEZTXSOPMJ-UHFFFAOYSA-N 0 1 291.399 0.947 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H]1CCN1CCOC(C)C ZINC001323231103 912201828 /nfs/dbraw/zinc/20/18/28/912201828.db2.gz JHTCBYNHXYCQFW-ZFWWWQNUSA-N 0 1 284.400 0.929 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)NCC(=O)Nc1ccccc1 ZINC001398863362 914888962 /nfs/dbraw/zinc/88/89/62/914888962.db2.gz BFDCHKWAVGAAAE-NEPJUHHUSA-N 0 1 288.351 0.879 20 30 CCEDMN C=CCO[C@H]1CCN(C[C@@H]2CCS(=O)(=O)C2)C1 ZINC001339474427 921733566 /nfs/dbraw/zinc/73/35/66/921733566.db2.gz HWDZLPSCSCUITA-RYUDHWBXSA-N 0 1 259.371 0.698 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@H]1CCC(=O)N1 ZINC001399082338 915035170 /nfs/dbraw/zinc/03/51/70/915035170.db2.gz MHOXUGPZVVASMO-NXEZZACHSA-N 0 1 273.764 0.502 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@H]2CCc3[nH]cnc3C2)nn1 ZINC001328742584 915401914 /nfs/dbraw/zinc/40/19/14/915401914.db2.gz CPDOFMONXHKKHJ-JTQLQIEISA-N 0 1 286.339 0.609 20 30 CCEDMN C[C@H](NCc1cnns1)[C@H](C)NC(=O)C#CC1CC1 ZINC001329033101 915607549 /nfs/dbraw/zinc/60/75/49/915607549.db2.gz GZPXOUUHNBUNKH-UWVGGRQHSA-N 0 1 278.381 0.934 20 30 CCEDMN C#C[C@@H](C)N(C)c1nnc(C2=NO[C@@H](CO)C2)n1CC=C ZINC001339672310 921793676 /nfs/dbraw/zinc/79/36/76/921793676.db2.gz MPJSFFRUKSAHAA-GHMZBOCLSA-N 0 1 289.339 0.407 20 30 CCEDMN COCC#CC(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC001329277828 915788378 /nfs/dbraw/zinc/78/83/78/915788378.db2.gz WVBVTTPTMRYOHH-AWEZNQCLSA-N 0 1 280.368 0.350 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@@H](C)SC ZINC001329392029 915872723 /nfs/dbraw/zinc/87/27/23/915872723.db2.gz IPTWIJKRKAZQFZ-VXGBXAGGSA-N 0 1 272.414 0.824 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(Br)nn1C ZINC001339704675 921803605 /nfs/dbraw/zinc/80/36/05/921803605.db2.gz ZLIUVVQHEPPYOE-UHFFFAOYSA-N 0 1 299.172 0.477 20 30 CCEDMN COC[C@@H](O)C[N@@H+](C)[C@H](C)CNC(=O)C#CC(C)C ZINC001329431078 915910553 /nfs/dbraw/zinc/91/05/53/915910553.db2.gz IEHBFYQQICZJPB-OLZOCXBDSA-N 0 1 270.373 0.090 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC001329458424 915933922 /nfs/dbraw/zinc/93/39/22/915933922.db2.gz SNXLWOGTCXQIKD-RYUDHWBXSA-N 0 1 291.355 0.592 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H](C)C#N)NCc1nccnc1C ZINC001400799250 915935961 /nfs/dbraw/zinc/93/59/61/915935961.db2.gz JXDUCZZCLMLEPZ-JQWIXIFHSA-N 0 1 275.356 0.929 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C(=O)N1CCC[C@@H](C)C1 ZINC001329570224 916020506 /nfs/dbraw/zinc/02/05/06/916020506.db2.gz DAWNXOXOCHLUMT-CHWSQXEVSA-N 0 1 279.384 0.315 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H](C)CNCC(=O)Nc1ccon1 ZINC001401199738 916104784 /nfs/dbraw/zinc/10/47/84/916104784.db2.gz HZPYONOIUFECJJ-NXEZZACHSA-N 0 1 293.327 0.209 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(C3CC3)C2)C1 ZINC001329989236 916339141 /nfs/dbraw/zinc/33/91/41/916339141.db2.gz CEJKCKVWWREHSS-OLZOCXBDSA-N 0 1 289.379 0.211 20 30 CCEDMN C[C@H](CNCc1cc2n(n1)CCCC2)NC(=O)[C@@H](C)C#N ZINC001401614638 916385141 /nfs/dbraw/zinc/38/51/41/916385141.db2.gz QSZNYGKUOYDTHM-NWDGAFQWSA-N 0 1 289.383 0.973 20 30 CCEDMN C#CC1CCN(C(=O)C(=O)N(C)Cc2cnc[nH]2)CC1 ZINC001330448593 916635397 /nfs/dbraw/zinc/63/53/97/916635397.db2.gz MPINQDSROYPJOW-UHFFFAOYSA-N 0 1 274.324 0.240 20 30 CCEDMN CN(CC#N)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC001331340170 917273147 /nfs/dbraw/zinc/27/31/47/917273147.db2.gz OWBUWVGHSCSXOS-UHFFFAOYSA-N 0 1 268.298 0.049 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001402972891 917391172 /nfs/dbraw/zinc/39/11/72/917391172.db2.gz YTBMGWOBLMXWNE-QWRGUYRKSA-N 0 1 287.791 0.750 20 30 CCEDMN CC(=O)NC[C@H](CO)NCc1ccc(C#N)s1 ZINC001331667037 917480282 /nfs/dbraw/zinc/48/02/82/917480282.db2.gz SQTLBWXXOYKLEZ-SECBINFHSA-N 0 1 253.327 0.206 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1ccc(C)cc1Cl ZINC001331737840 917563042 /nfs/dbraw/zinc/56/30/42/917563042.db2.gz DMCALFVYIGRDPS-CYBMUJFWSA-N 0 1 294.782 0.891 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(OC)cs1 ZINC001331759854 917583450 /nfs/dbraw/zinc/58/34/50/917583450.db2.gz WKMKJJZNVJZXMC-JTQLQIEISA-N 0 1 282.365 0.460 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1coc(C(F)F)c1 ZINC001331776320 917597723 /nfs/dbraw/zinc/59/77/23/917597723.db2.gz XRIVUHFJCDAPGI-JTQLQIEISA-N 0 1 286.278 0.921 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC[C@@H](CO)NCc1cncn1C ZINC001331788359 917610732 /nfs/dbraw/zinc/61/07/32/917610732.db2.gz UJTUDUVLXDSQLZ-SWLSCSKDSA-N 0 1 294.399 0.589 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(F)cc1F ZINC001331820161 917636171 /nfs/dbraw/zinc/63/61/71/917636171.db2.gz QNSGDYQTCNAKOA-NSHDSACASA-N 0 1 282.290 0.668 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cnn(CC)c1C1CC1 ZINC001331824760 917640429 /nfs/dbraw/zinc/64/04/29/917640429.db2.gz KMLAPMJQDPFYQN-GFCCVEGCSA-N 0 1 290.367 0.094 20 30 CCEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1nnn(C)n1 ZINC001403524428 917774136 /nfs/dbraw/zinc/77/41/36/917774136.db2.gz LAQZAGYJZUOXQD-JTQLQIEISA-N 0 1 298.778 0.403 20 30 CCEDMN Cc1cccc2nc(C(=O)N[C@@H]3CNC[C@H]3C#N)cn21 ZINC001332179253 917938049 /nfs/dbraw/zinc/93/80/49/917938049.db2.gz JVAFHXGXXJMQIW-GHMZBOCLSA-N 0 1 269.308 0.484 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H](C)C1CC1 ZINC001332622174 918322756 /nfs/dbraw/zinc/32/27/56/918322756.db2.gz XGNGUFOLUKNNJG-ZFWWWQNUSA-N 0 1 296.411 0.433 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccoc1CC ZINC001332633196 918331251 /nfs/dbraw/zinc/33/12/51/918331251.db2.gz MANFNJDTQHXHPY-GFCCVEGCSA-N 0 1 278.352 0.840 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cccc(CC)n1 ZINC001332633015 918333048 /nfs/dbraw/zinc/33/30/48/918333048.db2.gz QKDJWAOEZVUUIS-CQSZACIVSA-N 0 1 289.379 0.642 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ncc(C)cc1C ZINC001332648470 918352514 /nfs/dbraw/zinc/35/25/14/918352514.db2.gz TYRBEQOIJXJUBA-CQSZACIVSA-N 0 1 289.379 0.696 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1(C(F)(F)F)CC1 ZINC001332665820 918363655 /nfs/dbraw/zinc/36/36/55/918363655.db2.gz YMYABRULLWJUIL-SNVBAGLBSA-N 0 1 292.301 0.713 20 30 CCEDMN C=CC[C@H](NC(=O)C1CN([C@H]2CCOC2)C1)C(=O)OCC ZINC001332774218 918434160 /nfs/dbraw/zinc/43/41/60/918434160.db2.gz GLPMTNCRKNORPP-STQMWFEESA-N 0 1 296.367 0.331 20 30 CCEDMN CC1(C)C(=O)N[C@H]1C1CCN(C[C@H](O)CC#N)CC1 ZINC001333052219 918621819 /nfs/dbraw/zinc/62/18/19/918621819.db2.gz FSHQRPOCRJVHDE-NEPJUHHUSA-N 0 1 265.357 0.498 20 30 CCEDMN N#Cc1ccc(Cn2cc([C@@H](N)CO)nn2)c(F)c1 ZINC001333057137 918625619 /nfs/dbraw/zinc/62/56/19/918625619.db2.gz WCVVUXPOGQKTCH-NSHDSACASA-N 0 1 261.260 0.329 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@](C)(CNCc1nncn1C)C1CC1 ZINC001405440216 918767670 /nfs/dbraw/zinc/76/76/70/918767670.db2.gz JXNIQORCRDEJKR-QMTHXVAHSA-N 0 1 290.371 0.349 20 30 CCEDMN CC[C@H](C(N)=O)N1CC=C(CNC(=O)[C@H](C)C#N)CC1 ZINC001333310915 918794549 /nfs/dbraw/zinc/79/45/49/918794549.db2.gz LWAGRRFCQDRLFR-ZYHUDNBSSA-N 0 1 278.356 0.158 20 30 CCEDMN C#CCN1CC=C(CNC(=O)C[C@](C)(O)C=C)CC1 ZINC001333327243 918805902 /nfs/dbraw/zinc/80/59/02/918805902.db2.gz HAVKAWCPXWTJDL-OAHLLOKOSA-N 0 1 262.353 0.695 20 30 CCEDMN COCCC(=O)NC[C@@H](O)CNCc1ccccc1C#N ZINC001406196927 919107605 /nfs/dbraw/zinc/10/76/05/919107605.db2.gz NYVLGSMFQGIZOI-AWEZNQCLSA-N 0 1 291.351 0.161 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ncoc1CC ZINC001406197718 919107665 /nfs/dbraw/zinc/10/76/65/919107665.db2.gz AIACAWVJBAYUEC-SECBINFHSA-N 0 1 287.747 0.670 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1c(C)ccn1C ZINC001406205412 919112133 /nfs/dbraw/zinc/11/21/33/919112133.db2.gz IKPPJPDXPUOIAT-LLVKDONJSA-N 0 1 285.775 0.766 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH]cn1 ZINC001334190877 919413337 /nfs/dbraw/zinc/41/33/37/919413337.db2.gz QSPAGZKDWNOOEP-LLVKDONJSA-N 0 1 294.355 0.118 20 30 CCEDMN C#CCN(C(=O)C(=O)NCc1cn[nH]c1C)C(C)C ZINC001334574795 919662302 /nfs/dbraw/zinc/66/23/02/919662302.db2.gz YTLSJPBZTNSQEC-UHFFFAOYSA-N 0 1 262.313 0.205 20 30 CCEDMN C=C[C@@H](COC)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC001334713196 919718273 /nfs/dbraw/zinc/71/82/73/919718273.db2.gz NRYCWHPLMZAQFH-NSHDSACASA-N 0 1 281.356 0.692 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCC(NC(=O)c2ncn[nH]2)CC1 ZINC001408055155 920003468 /nfs/dbraw/zinc/00/34/68/920003468.db2.gz KHUUNRDORQIAQM-IDKOKCKLSA-N 0 1 290.327 0.122 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCC(NC(=O)c2nc[nH]n2)CC1 ZINC001408055155 920003480 /nfs/dbraw/zinc/00/34/80/920003480.db2.gz KHUUNRDORQIAQM-IDKOKCKLSA-N 0 1 290.327 0.122 20 30 CCEDMN C=CCSCCNC(=O)N1CCNC[C@@H]1CCOC ZINC001335398994 920171980 /nfs/dbraw/zinc/17/19/80/920171980.db2.gz VQJQGBYZLJPTGM-LBPRGKRZSA-N 0 1 287.429 0.926 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ccn[nH]1 ZINC001414453114 920330209 /nfs/dbraw/zinc/33/02/09/920330209.db2.gz OEUVMQIHBNOZBA-LSKIRQOJSA-N 0 1 287.323 0.431 20 30 CCEDMN C#C[C@@H](NC[C@H](O)COCCOC)c1ccccc1 ZINC001335986696 920546332 /nfs/dbraw/zinc/54/63/32/920546332.db2.gz OVDUVUPVEKLTQU-LSDHHAIUSA-N 0 1 263.337 0.974 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCC1(C#N)CCOCC1 ZINC001336296560 920707949 /nfs/dbraw/zinc/70/79/49/920707949.db2.gz IDKVGLHKXQWSQB-NSHDSACASA-N 0 1 251.330 0.517 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)NCCN(C)CCOC)C1 ZINC001336304503 920710152 /nfs/dbraw/zinc/71/01/52/920710152.db2.gz FAKANEODNSUARR-ZDUSSCGKSA-N 0 1 297.399 0.105 20 30 CCEDMN CCN(CCNC(=O)CCc1cnc[nH]1)C(=O)[C@H](C)C#N ZINC001415684775 920805323 /nfs/dbraw/zinc/80/53/23/920805323.db2.gz MSAKRTGLPRWSKL-LLVKDONJSA-N 0 1 291.355 0.467 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCc2nc[nH]c2[C@@H]1c1cccnc1 ZINC001336538633 920819593 /nfs/dbraw/zinc/81/95/93/920819593.db2.gz ZSKKNHVTRLYSOF-OCCSQVGLSA-N 0 1 284.319 0.826 20 30 CCEDMN CCCc1cc(C(=O)N2CC(NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001416048585 920907616 /nfs/dbraw/zinc/90/76/16/920907616.db2.gz YBMSXZSHVIYTKN-VIFPVBQESA-N 0 1 289.339 0.462 20 30 CCEDMN CC#CC[C@@H](CO)NCCS(=O)(=O)c1ccccc1 ZINC001336800500 921021399 /nfs/dbraw/zinc/02/13/99/921021399.db2.gz RNTUPVWLTUXPOZ-ZDUSSCGKSA-N 0 1 281.377 0.824 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCCCN1CCn1cncn1 ZINC001418149684 921861810 /nfs/dbraw/zinc/86/18/10/921861810.db2.gz HNRIIXLCBVQHJM-CHWSQXEVSA-N 0 1 290.371 0.408 20 30 CCEDMN C#CCNCC(=O)N1CCN(Cc2cccc(C)c2)CC1 ZINC001340503817 922102931 /nfs/dbraw/zinc/10/29/31/922102931.db2.gz QGFDFHSDPAZHKF-UHFFFAOYSA-N 0 1 285.391 0.862 20 30 CCEDMN C#CCN(CC1CC1)C(=O)N[C@H]1CCN(CCOC)C1 ZINC001341061676 922332738 /nfs/dbraw/zinc/33/27/38/922332738.db2.gz UAPSBEQOLATYDT-AWEZNQCLSA-N 0 1 279.384 0.762 20 30 CCEDMN Cc1nc(CN2CC[C@H](NC(=O)[C@H](C)C#N)[C@H]2C)n[nH]1 ZINC001418788561 922424031 /nfs/dbraw/zinc/42/40/31/922424031.db2.gz ZWMMAOMGQHGZEJ-KKZNHRDASA-N 0 1 276.344 0.352 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CC(C)C)C(=O)OC(C)C ZINC001341452659 922491164 /nfs/dbraw/zinc/49/11/64/922491164.db2.gz JBDXGFPGOROWAM-LBPRGKRZSA-N 0 1 268.357 0.692 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2nc(C)cs2)CC1 ZINC001341556167 922551419 /nfs/dbraw/zinc/55/14/19/922551419.db2.gz VPHCZYSMLRWRLV-UHFFFAOYSA-N 0 1 278.381 0.323 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C(=O)OC)c1ccccc1 ZINC001341600366 922573549 /nfs/dbraw/zinc/57/35/49/922573549.db2.gz LSXZUMXDUHQBMY-CYBMUJFWSA-N 0 1 274.320 0.282 20 30 CCEDMN C#CCNCC(=O)NC[C@H](CC1CCCC1)C(=O)OC ZINC001341607836 922577727 /nfs/dbraw/zinc/57/77/27/922577727.db2.gz MTMIBRMLSQZXDB-ZDUSSCGKSA-N 0 1 280.368 0.695 20 30 CCEDMN C=C(C)Cn1c(CCO)nnc1N1CCN(C(C)C)CC1 ZINC001341976751 922748242 /nfs/dbraw/zinc/74/82/42/922748242.db2.gz AKIYUFGAPRKLFA-UHFFFAOYSA-N 0 1 293.415 0.919 20 30 CCEDMN CO[C@@H](C(=O)OC(C)(C)C)C(=O)C(C#N)c1nccn1C ZINC001342164337 922840617 /nfs/dbraw/zinc/84/06/17/922840617.db2.gz HFMDNAKEABIDNV-GXSJLCMTSA-N 0 1 293.323 0.953 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@](OC)(C(F)(F)F)C1 ZINC001342366301 922933582 /nfs/dbraw/zinc/93/35/82/922933582.db2.gz VSZCDCFNYNLYNN-JTQLQIEISA-N 0 1 264.247 0.389 20 30 CCEDMN CCn1nncc1CNC[C@H](C)N(C)C(=O)[C@@H](C)C#N ZINC001419649078 922970237 /nfs/dbraw/zinc/97/02/37/922970237.db2.gz LZCPSMXFUDWTCR-QWRGUYRKSA-N 0 1 278.360 0.394 20 30 CCEDMN Cc1cc(CNC[C@@H](C)N(C)C(=O)[C@H](C)C#N)nn1C ZINC001419653590 922973824 /nfs/dbraw/zinc/97/38/24/922973824.db2.gz QOTSUCSXPFZEKC-ZYHUDNBSSA-N 0 1 277.372 0.825 20 30 CCEDMN C#CCNCC(=O)N[C@H]([C@@H]1CCOC1)C(F)(F)F ZINC001342696710 923058322 /nfs/dbraw/zinc/05/83/22/923058322.db2.gz GCWHJBSVIQLOCN-PSASIEDQSA-N 0 1 264.247 0.293 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NN=c2nccc[nH]2)o1 ZINC001342866805 923116812 /nfs/dbraw/zinc/11/68/12/923116812.db2.gz XFQXTUVAIYYBAB-UHFFFAOYSA-N 0 1 265.254 0.247 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1)C1CC1 ZINC001343102927 923200809 /nfs/dbraw/zinc/20/08/09/923200809.db2.gz DJNRJOITRUHMTC-NEPJUHHUSA-N 0 1 291.395 0.905 20 30 CCEDMN Cc1cccc(C(=O)C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC001343467768 923331241 /nfs/dbraw/zinc/33/12/41/923331241.db2.gz OLHNMJQFCPFISW-VXGBXAGGSA-N 0 1 257.293 0.406 20 30 CCEDMN N#CCN(CC#N)C(=O)c1ccn(-c2ccncc2)n1 ZINC001343783593 923464171 /nfs/dbraw/zinc/46/41/71/923464171.db2.gz PCAWFWFHDWMBDY-UHFFFAOYSA-N 0 1 266.264 0.757 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(C(=O)OC)cc1F ZINC001344059006 923544625 /nfs/dbraw/zinc/54/46/25/923544625.db2.gz VAHWDQCXBDEWKR-UHFFFAOYSA-N 0 1 278.283 0.451 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)C(=O)N(C)Cc1nnc[nH]1 ZINC001344078314 923547347 /nfs/dbraw/zinc/54/73/47/923547347.db2.gz YJNGEOXAJCTWNB-UHFFFAOYSA-N 0 1 298.306 0.582 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC001344422880 923598685 /nfs/dbraw/zinc/59/86/85/923598685.db2.gz YNKVWOYAZBKTTR-SECBINFHSA-N 0 1 273.292 0.933 20 30 CCEDMN Cc1nn(C)cc1CN(C)C[C@H](C)NC(=O)[C@H](C)C#N ZINC001420539228 923756529 /nfs/dbraw/zinc/75/65/29/923756529.db2.gz MLIDKENLCXDOMD-MNOVXSKESA-N 0 1 277.372 0.825 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H]1CCNC1=O)C1CC1 ZINC001420746228 923917342 /nfs/dbraw/zinc/91/73/42/923917342.db2.gz XTXYZURDDAAAAJ-NWDGAFQWSA-N 0 1 299.802 0.750 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)N(C)C1(C#N)CCC1 ZINC001346228868 924121292 /nfs/dbraw/zinc/12/12/92/924121292.db2.gz LWXUXPCRWOAMPG-RYUDHWBXSA-N 0 1 262.357 0.279 20 30 CCEDMN N#Cc1ccnnc1NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001421165156 924185656 /nfs/dbraw/zinc/18/56/56/924185656.db2.gz FXEWLKMFVQOZDL-SECBINFHSA-N 0 1 271.284 0.930 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@@H](Cc3nn[nH]n3)C2)cn1 ZINC001347304734 924418266 /nfs/dbraw/zinc/41/82/66/924418266.db2.gz FKAARAPYKNFQPE-NSHDSACASA-N 0 1 296.334 0.671 20 30 CCEDMN C=CC[C@H](CC)NC(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001347545745 924476653 /nfs/dbraw/zinc/47/66/53/924476653.db2.gz WVNVAOSBDYOKSH-AVGNSLFASA-N 0 1 251.374 0.846 20 30 CCEDMN Cc1cc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)ncn1 ZINC001347600473 924489345 /nfs/dbraw/zinc/48/93/45/924489345.db2.gz LBWSEVKUKYYVGW-TXEJJXNPSA-N 0 1 258.329 0.979 20 30 CCEDMN C#C[C@@H](NC(=O)NC[C@H](C)N1CCN(C)CC1)C(C)C ZINC001347618079 924495893 /nfs/dbraw/zinc/49/58/93/924495893.db2.gz RUGSTERRBGQEBN-UONOGXRCSA-N 0 1 280.416 0.579 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1CCNC(C)(C)C1 ZINC001348581712 924738875 /nfs/dbraw/zinc/73/88/75/924738875.db2.gz VOMZZAWBJNYAOV-UHFFFAOYSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H](O)C(C)C)CC1 ZINC001350039113 925118066 /nfs/dbraw/zinc/11/80/66/925118066.db2.gz CYLGFSTXSRERSZ-CYBMUJFWSA-N 0 1 253.342 0.892 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001350581420 925230924 /nfs/dbraw/zinc/23/09/24/925230924.db2.gz SWCRDBYGRDTVMR-LLVKDONJSA-N 0 1 289.339 0.103 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCc2nc[nH]c2[C@H]1c1ccnn1C ZINC001351999233 925504753 /nfs/dbraw/zinc/50/47/53/925504753.db2.gz KGSBFYRSJKKWFN-DGCLKSJQSA-N 0 1 287.323 0.164 20 30 CCEDMN CCN(CCCNC(=O)c1ncn[nH]1)C(=O)C#CC(C)C ZINC001352301748 925559252 /nfs/dbraw/zinc/55/92/52/925559252.db2.gz PPCZDHVBYQWKSW-UHFFFAOYSA-N 0 1 291.355 0.433 20 30 CCEDMN CCN(CCCNC(=O)c1nc[nH]n1)C(=O)C#CC(C)C ZINC001352301748 925559259 /nfs/dbraw/zinc/55/92/59/925559259.db2.gz PPCZDHVBYQWKSW-UHFFFAOYSA-N 0 1 291.355 0.433 20 30 CCEDMN C#CCNCC(=O)N1C[C@H](C(=O)NCCCC)CC[C@@H]1C ZINC001353211861 925765006 /nfs/dbraw/zinc/76/50/06/925765006.db2.gz LFLXBWFQBSZMEC-UONOGXRCSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCCCC(=O)NCC1CC(NCc2ncnn2C)C1 ZINC001100283130 925793324 /nfs/dbraw/zinc/79/33/24/925793324.db2.gz BTIIFSAYJRPSBN-UHFFFAOYSA-N 0 1 289.383 0.603 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N1CCNC[C@H]1COC ZINC001353402100 925822201 /nfs/dbraw/zinc/82/22/01/925822201.db2.gz HJPQHYXZGGTHKL-IHRRRGAJSA-N 0 1 297.399 0.597 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(CC(C)(C)N2CCN(C)CC2)C1=O ZINC001354228886 926049870 /nfs/dbraw/zinc/04/98/70/926049870.db2.gz NGFLLESBBSWAAB-LBPRGKRZSA-N 0 1 294.399 0.509 20 30 CCEDMN C#CCNCC(=O)N1CCc2cc(OC)ccc2C1 ZINC001354287016 926069305 /nfs/dbraw/zinc/06/93/05/926069305.db2.gz VKWNMGOAFCOUFA-UHFFFAOYSA-N 0 1 258.321 0.803 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(N(C)C(C)=O)cc1 ZINC001354397542 926101725 /nfs/dbraw/zinc/10/17/25/926101725.db2.gz PSERWKUXVVVLCB-UHFFFAOYSA-N 0 1 273.336 0.508 20 30 CCEDMN C=CCCN1CCN(C(=O)c2cnc[nH]c2=O)CC1 ZINC001472968095 926254597 /nfs/dbraw/zinc/25/45/97/926254597.db2.gz OIUFSICMDMPTQR-UHFFFAOYSA-N 0 1 262.313 0.516 20 30 CCEDMN C#CCCCC(=O)N(C)CCNC(=O)CN(C)C1CCC1 ZINC001355685788 926301271 /nfs/dbraw/zinc/30/12/71/926301271.db2.gz UBMDKULUHYEBNG-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCCOCC1 ZINC001355996964 926333186 /nfs/dbraw/zinc/33/31/86/926333186.db2.gz JMBSLDMPKPSVCI-UHFFFAOYSA-N 0 1 275.316 0.476 20 30 CCEDMN C#CCNC(=O)CCNCC(=O)Nc1c(C)cccc1C ZINC001356569306 926401142 /nfs/dbraw/zinc/40/11/42/926401142.db2.gz MDBPNOWOFSTAKZ-UHFFFAOYSA-N 0 1 287.363 0.971 20 30 CCEDMN C=CCCC(=O)N1C[C@@H]2C[C@H]1CN2C(=O)c1ccn[nH]1 ZINC001356838292 926450293 /nfs/dbraw/zinc/45/02/93/926450293.db2.gz IZWIQILWMWDFOK-QWRGUYRKSA-N 0 1 274.324 0.801 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc2c(o1)CCCC2 ZINC001357446681 926535482 /nfs/dbraw/zinc/53/54/82/926535482.db2.gz UPPZODAXADHQLX-QWRGUYRKSA-N 0 1 259.309 1.000 20 30 CCEDMN COCCN1CCC(F)(F)[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001421328355 926677152 /nfs/dbraw/zinc/67/71/52/926677152.db2.gz CKEZVOWTAPVABQ-WDEREUQCSA-N 0 1 289.326 0.866 20 30 CCEDMN COCC[C@H]1CNCCN1C(=O)CCCCC#N ZINC001358493662 926712904 /nfs/dbraw/zinc/71/29/04/926712904.db2.gz JHAQJJHNKVAMEL-LBPRGKRZSA-N 0 1 253.346 0.907 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)c1ccc(C#N)cc1 ZINC001361494176 927103323 /nfs/dbraw/zinc/10/33/23/927103323.db2.gz NGJAUJQULDBOKI-UHFFFAOYSA-N 0 1 270.252 0.288 20 30 CCEDMN CN1C(=O)CC[C@H]1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001361575621 927165985 /nfs/dbraw/zinc/16/59/85/927165985.db2.gz CUSQWMLDHQMPAM-NSHDSACASA-N 0 1 283.291 0.994 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C[C@@H](C)SC ZINC001422284310 927194227 /nfs/dbraw/zinc/19/42/27/927194227.db2.gz QTFAEZPZNIRLHF-ZJUUUORDSA-N 0 1 280.821 0.947 20 30 CCEDMN CC[C@H](C#N)N(C)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC001361644146 927216950 /nfs/dbraw/zinc/21/69/50/927216950.db2.gz MOENIBKYMGAWQJ-SNVBAGLBSA-N 0 1 296.352 0.827 20 30 CCEDMN N#CCCN(Cc1ccccn1)C(=O)CCc1nn[nH]n1 ZINC001361936487 927461588 /nfs/dbraw/zinc/46/15/88/927461588.db2.gz ZYPSAMPNCBEVOU-UHFFFAOYSA-N 0 1 285.311 0.470 20 30 CCEDMN CN1CCN(c2ncccc2CNC(=O)[C@@H]2C[C@@H]2C#N)CC1 ZINC001362084301 927598639 /nfs/dbraw/zinc/59/86/39/927598639.db2.gz AHTRMOBUFVONRX-ZIAGYGMSSA-N 0 1 299.378 0.609 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC001362361210 927922204 /nfs/dbraw/zinc/92/22/04/927922204.db2.gz XCQFSLSWVBPHKN-SECBINFHSA-N 0 1 285.311 0.728 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)NCCc2nc[nH]n2)cc1 ZINC001362622265 928266645 /nfs/dbraw/zinc/26/66/45/928266645.db2.gz WCZHVFZOYOHXHA-GFCCVEGCSA-N 0 1 271.280 0.069 20 30 CCEDMN N#Cc1ccc(F)c(C(=O)N[C@H](CO)Cc2cnc[nH]2)c1 ZINC001362796354 928502537 /nfs/dbraw/zinc/50/25/37/928502537.db2.gz RVIHGUARFDNEAQ-NSHDSACASA-N 0 1 288.282 0.754 20 30 CCEDMN Cc1csc(CNC[C@H](O)CN(C)C(=O)[C@H](C)C#N)n1 ZINC001425978134 928723191 /nfs/dbraw/zinc/72/31/91/928723191.db2.gz CMLJAEFILWVKDT-KOLCDFICSA-N 0 1 296.396 0.520 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1ncccn1 ZINC001426027936 928735356 /nfs/dbraw/zinc/73/53/56/928735356.db2.gz ROQIUDQJLLGTSX-JTQLQIEISA-N 0 1 284.747 0.252 20 30 CCEDMN COCc1nc(CNC(=O)Nc2ccnc(C#N)c2)n[nH]1 ZINC001426073294 928750848 /nfs/dbraw/zinc/75/08/48/928750848.db2.gz MTSYUKVAZYHNFB-UHFFFAOYSA-N 0 1 287.283 0.539 20 30 CCEDMN COCc1nnc(CNC(=O)Nc2ccnc(C#N)c2)[nH]1 ZINC001426073294 928750862 /nfs/dbraw/zinc/75/08/62/928750862.db2.gz MTSYUKVAZYHNFB-UHFFFAOYSA-N 0 1 287.283 0.539 20 30 CCEDMN C[NH+]1CCN(CC2CN(C(=O)c3ccc(C#N)nc3)C2)CC1 ZINC001363067936 928830368 /nfs/dbraw/zinc/83/03/68/928830368.db2.gz ORALTOVZWFDGJN-UHFFFAOYSA-N 0 1 299.378 0.273 20 30 CCEDMN CC(C)CN(Cc1nn[n-]n1)C(=O)Cc1ccc(C#N)nc1 ZINC001363275564 929059191 /nfs/dbraw/zinc/05/91/91/929059191.db2.gz IKUNBPAJDKBEGF-UHFFFAOYSA-N 0 1 299.338 0.694 20 30 CCEDMN CC(C)CN(Cc1nn[nH]n1)C(=O)Cc1ccc(C#N)nc1 ZINC001363275564 929059197 /nfs/dbraw/zinc/05/91/97/929059197.db2.gz IKUNBPAJDKBEGF-UHFFFAOYSA-N 0 1 299.338 0.694 20 30 CCEDMN Cc1onc(CC(=O)NC2(c3nn[nH]n3)CCC2)c1C#N ZINC001363376355 929161767 /nfs/dbraw/zinc/16/17/67/929161767.db2.gz SGSOCNRSAFOPSN-UHFFFAOYSA-N 0 1 287.283 0.106 20 30 CCEDMN N#Cc1ccc(NCC(=O)NC[C@H](O)c2cnc[nH]2)cc1 ZINC001363419350 929208520 /nfs/dbraw/zinc/20/85/20/929208520.db2.gz WHUKYKZHQAOXEG-ZDUSSCGKSA-N 0 1 285.307 0.543 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CCC[C@H](Cc3nn[nH]n3)C2)c1 ZINC001363436152 929226583 /nfs/dbraw/zinc/22/65/83/929226583.db2.gz PQJLQGODWIEOJZ-LLVKDONJSA-N 0 1 297.322 0.561 20 30 CCEDMN C[C@H](CNC(=O)C(C)(C)c1cnc[nH]1)NC(=O)[C@@H](C)C#N ZINC001428725852 929304971 /nfs/dbraw/zinc/30/49/71/929304971.db2.gz VZLBRRGDJOYYQI-VHSXEESVSA-N 0 1 291.355 0.468 20 30 CCEDMN Cn1c(CNC(=O)[C@H](CC#N)c2ccccc2)n[nH]c1=O ZINC001363629321 929430242 /nfs/dbraw/zinc/43/02/42/929430242.db2.gz GBTWXFCSHFUHED-LLVKDONJSA-N 0 1 285.307 0.834 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C[C@H]1CCC(=O)N1 ZINC001444014901 929766481 /nfs/dbraw/zinc/76/64/81/929766481.db2.gz SZMIQCYFWNQMIC-GHMZBOCLSA-N 0 1 287.791 0.892 20 30 CCEDMN Cn1c(CNC(=O)N2CCC[C@](C)(C#N)C2)n[nH]c1=O ZINC001372216322 929768315 /nfs/dbraw/zinc/76/83/15/929768315.db2.gz ATNCCEWFPVNQEC-GFCCVEGCSA-N 0 1 278.316 0.356 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cnnn1C ZINC001444245884 929814458 /nfs/dbraw/zinc/81/44/58/929814458.db2.gz VXPOMOIJFCDNKD-SNVBAGLBSA-N 0 1 283.763 0.762 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](C)NC(N)=O ZINC001444597533 929913612 /nfs/dbraw/zinc/91/36/12/929913612.db2.gz PTCWIPLGGAYIQY-BDAKNGLRSA-N 0 1 276.768 0.232 20 30 CCEDMN N#Cc1ccc(N2C[C@@H](C(=O)Nc3c[nH]nn3)CC2=O)cc1 ZINC001447929804 930758687 /nfs/dbraw/zinc/75/86/87/930758687.db2.gz XNLIQJNQOPKDDU-JTQLQIEISA-N 0 1 296.290 0.668 20 30 CCEDMN CC[C@H](CNC(=O)CCc1cnc[nH]1)NC(=O)[C@H](C)C#N ZINC001375119247 930798513 /nfs/dbraw/zinc/79/85/13/930798513.db2.gz FBBZLGKSRHODFH-GHMZBOCLSA-N 0 1 291.355 0.513 20 30 CCEDMN C=C(Cl)CN1CC[C@@](C)(NC(=O)Cc2n[nH]c(C)n2)C1 ZINC001375823570 930985373 /nfs/dbraw/zinc/98/53/73/930985373.db2.gz KMNCAEJNSQPCKU-CYBMUJFWSA-N 0 1 297.790 0.989 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CC12CCN(CC(=O)N(C)C)CC2 ZINC001376172018 931078720 /nfs/dbraw/zinc/07/87/20/931078720.db2.gz CGQQCQLAWLBWPR-NWDGAFQWSA-N 0 1 292.383 0.205 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)c1ncn(C)n1)C1CC1 ZINC001376208538 931093416 /nfs/dbraw/zinc/09/34/16/931093416.db2.gz JGLBWUSUSDEHCT-JTQLQIEISA-N 0 1 283.763 0.666 20 30 CCEDMN COc1cc(CN[C@H]2C[C@@H](NC(=O)[C@@H](C)C#N)C2)on1 ZINC001376450026 931155160 /nfs/dbraw/zinc/15/51/60/931155160.db2.gz YLXMYDTUSMCFLU-LPEHRKFASA-N 0 1 278.312 0.580 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CN(C(=O)c2ccc3cncn3c2)C1 ZINC001450481553 931296284 /nfs/dbraw/zinc/29/62/84/931296284.db2.gz OBGSUQQZWRFMRO-JTQLQIEISA-N 0 1 297.318 0.435 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NC(=O)c2ncn[nH]2)CCCC1 ZINC001451209639 931399129 /nfs/dbraw/zinc/39/91/29/931399129.db2.gz QSOQDHHYYHDITO-VIFPVBQESA-N 0 1 290.327 0.123 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NC(=O)c2nc[nH]n2)CCCC1 ZINC001451209639 931399136 /nfs/dbraw/zinc/39/91/36/931399136.db2.gz QSOQDHHYYHDITO-VIFPVBQESA-N 0 1 290.327 0.123 20 30 CCEDMN C[C@@H](C#N)C(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001451589474 931458105 /nfs/dbraw/zinc/45/81/05/931458105.db2.gz IDEDXYHLGPOHHC-QMMMGPOBSA-N 0 1 256.269 0.643 20 30 CCEDMN N#Cc1cccn(C[N@H+]2CCC[C@H](CC(=O)[O-])C2)c1=O ZINC001611299693 970982261 /nfs/dbraw/zinc/98/22/61/970982261.db2.gz PEBAYTMBQGGGQU-LLVKDONJSA-N 0 1 275.308 0.864 20 30 CCEDMN N#Cc1cccn(C[N@@H+]2CCC[C@H](CC(=O)[O-])C2)c1=O ZINC001611299693 970982265 /nfs/dbraw/zinc/98/22/65/970982265.db2.gz PEBAYTMBQGGGQU-LLVKDONJSA-N 0 1 275.308 0.864 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)Nc1ccn(CC(=O)[O-])n1 ZINC001602678835 971256953 /nfs/dbraw/zinc/25/69/53/971256953.db2.gz HKGDJQAWURHYST-UHFFFAOYSA-N 0 1 293.327 0.044 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC001602701885 971327954 /nfs/dbraw/zinc/32/79/54/971327954.db2.gz BOEYPBWULFMXBB-AVGNSLFASA-N 0 1 278.352 0.653 20 30 CCEDMN C=C/C=C/CCn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC001573314733 947238006 /nfs/dbraw/zinc/23/80/06/947238006.db2.gz FOXGYAXYGRQPEG-ONEGZZNKSA-N 0 1 256.273 0.649 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+](C)CCCC(=O)[O-] ZINC001602789414 971544093 /nfs/dbraw/zinc/54/40/93/971544093.db2.gz SKAZDIFYAJSPJX-SNVBAGLBSA-N 0 1 267.329 0.734 20 30 CCEDMN CCC[N@H+]1CCC[C@H]1CNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC001589674778 949984384 /nfs/dbraw/zinc/98/43/84/949984384.db2.gz GAVPNTFJSSWSDT-FZMZJTMJSA-N 0 1 281.356 0.982 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NC[C@H](CC)C(=O)[O-] ZINC000328307259 951125531 /nfs/dbraw/zinc/12/55/31/951125531.db2.gz VXJOQZKCLKGISE-NSHDSACASA-N 0 1 269.345 0.352 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC000398771351 951608723 /nfs/dbraw/zinc/60/87/23/951608723.db2.gz KRCZYLYPEQPDTH-CHWSQXEVSA-N 0 1 295.383 0.694 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000087612486 958023797 /nfs/dbraw/zinc/02/37/97/958023797.db2.gz MVYFRUWXVDQIRN-CYBMUJFWSA-N 0 1 272.304 0.420 20 30 CCEDMN C#CCNC(=O)C[N@H+]1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000087612486 958023813 /nfs/dbraw/zinc/02/38/13/958023813.db2.gz MVYFRUWXVDQIRN-CYBMUJFWSA-N 0 1 272.304 0.420 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCCCC[N@H+](C)C1CC1 ZINC001588408525 958111881 /nfs/dbraw/zinc/11/18/81/958111881.db2.gz QTIUIMWVNDTCEY-UHFFFAOYSA-N 0 1 281.356 0.590 20 30 CCEDMN C#CC[NH2+]CC(=O)N1C[C@H](C(=O)[O-])Oc2ccccc21 ZINC000092493082 958166403 /nfs/dbraw/zinc/16/64/03/958166403.db2.gz BIDBCUAFWPIYAJ-GFCCVEGCSA-N 0 1 274.276 0.088 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H]1CCC[C@]2(CCOC2)O1 ZINC001588423632 958204366 /nfs/dbraw/zinc/20/43/66/958204366.db2.gz AAIJWKLZQWHOQY-TZMCWYRMSA-N 0 1 267.325 0.734 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H]1CCC[C@]2(CCOC2)O1 ZINC001588423632 958204381 /nfs/dbraw/zinc/20/43/81/958204381.db2.gz AAIJWKLZQWHOQY-TZMCWYRMSA-N 0 1 267.325 0.734 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H](O)CCC1(O)CCC1 ZINC001588424157 958213011 /nfs/dbraw/zinc/21/30/11/958213011.db2.gz KKWMBPZXEIJXSS-LLVKDONJSA-N 0 1 255.314 0.062 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H](O)CCC1(O)CCC1 ZINC001588424157 958213029 /nfs/dbraw/zinc/21/30/29/958213029.db2.gz KKWMBPZXEIJXSS-LLVKDONJSA-N 0 1 255.314 0.062 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)[C@H]1CC[C@H]1C(=O)[O-] ZINC001588430111 958252983 /nfs/dbraw/zinc/25/29/83/958252983.db2.gz IECGFAHHCAUIAG-UTUOFQBUSA-N 0 1 265.309 0.738 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)C(=O)COCC(=O)[O-] ZINC001588463451 958484111 /nfs/dbraw/zinc/48/41/11/958484111.db2.gz RZUDTVYIHIHPQZ-UHFFFAOYSA-N 0 1 258.318 0.054 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CC[C@](C)(C(=O)[O-])C2)C1=O ZINC001588464261 958489800 /nfs/dbraw/zinc/48/98/00/958489800.db2.gz LDZWSXBPNHNXLG-MFKMUULPSA-N 0 1 252.314 0.570 20 30 CCEDMN C=CCO[C@@H]1CC[N@@H+](CN2C[C@@H](C(=O)[O-])CC2=O)C1 ZINC001588465162 958498288 /nfs/dbraw/zinc/49/82/88/958498288.db2.gz NQHDXLIFHFGZLG-WDEREUQCSA-N 0 1 268.313 0.154 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](CN2C[C@@H](C(=O)[O-])CC2=O)C1 ZINC001588465162 958498301 /nfs/dbraw/zinc/49/83/01/958498301.db2.gz NQHDXLIFHFGZLG-WDEREUQCSA-N 0 1 268.313 0.154 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CC[C@H](C(=O)[O-])C1 ZINC000392825046 972528313 /nfs/dbraw/zinc/52/83/13/972528313.db2.gz XKYWLCQUWSULPH-NSHDSACASA-N 0 1 267.329 0.058 20 30 CCEDMN C=C(Cl)C[N@@H+](C)[C@@H]1CCN(C(=O)CNC(=O)[O-])C1 ZINC001573338784 962881909 /nfs/dbraw/zinc/88/19/09/962881909.db2.gz IPGYIEAJQXQAHJ-SECBINFHSA-N 0 1 275.736 0.539 20 30 CCEDMN C=C(Cl)C[N@H+](C)[C@@H]1CCN(C(=O)CNC(=O)[O-])C1 ZINC001573338784 962881921 /nfs/dbraw/zinc/88/19/21/962881921.db2.gz IPGYIEAJQXQAHJ-SECBINFHSA-N 0 1 275.736 0.539 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001573344310 962916288 /nfs/dbraw/zinc/91/62/88/962916288.db2.gz SMBHVIDSHVDTII-XXFSISAASA-N 0 1 284.743 0.506 20 30 CCEDMN C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001573344310 962916303 /nfs/dbraw/zinc/91/63/03/962916303.db2.gz SMBHVIDSHVDTII-XXFSISAASA-N 0 1 284.743 0.506 20 30 CCEDMN N#Cc1ccc(C[N@H+]2C[C@H](O)C[C@@H](C(=O)[O-])C2)cc1F ZINC000404069167 973702864 /nfs/dbraw/zinc/70/28/64/973702864.db2.gz HCZSRENTRXXXRY-VXGBXAGGSA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1ccc(C[NH2+][C@H]2CCCCN(CC(=O)[O-])C2=O)o1 ZINC001605611148 973914987 /nfs/dbraw/zinc/91/49/87/973914987.db2.gz ICHXPAHOMQLOGR-LBPRGKRZSA-N 0 1 291.307 0.707 20 30 CCEDMN C[C@H](CC#N)[NH2+][C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001592221914 977403128 /nfs/dbraw/zinc/40/31/28/977403128.db2.gz OMOLNPXAWOBBCT-NXEZZACHSA-N 0 1 253.302 0.344 20 30 CCEDMN C[C@H](CCC#N)[N@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001592470898 978226848 /nfs/dbraw/zinc/22/68/48/978226848.db2.gz MTLJAQNNXYFZGK-BXKDBHETSA-N 0 1 251.286 0.984 20 30 CCEDMN CC[C@H](CC#N)[NH2+][C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001595030075 979163508 /nfs/dbraw/zinc/16/35/08/979163508.db2.gz LBTOZMBZWCLTIE-GHMZBOCLSA-N 0 1 267.329 0.734 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CC[N@@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588369584 983315379 /nfs/dbraw/zinc/31/53/79/983315379.db2.gz QFXLWDWGUIICTE-QWRGUYRKSA-N 0 1 267.329 0.056 20 30 CCEDMN C#CCC1(O)CC[NH+](Cc2cc(C(=O)[O-])no2)CC1 ZINC001588431133 983464594 /nfs/dbraw/zinc/46/45/94/983464594.db2.gz HTLYHUZNTLSQPS-UHFFFAOYSA-N 0 1 264.281 0.723 20 30 CCEDMN C#CCC[N@@H+]1CCC2(CN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)C1 ZINC001588453604 983476591 /nfs/dbraw/zinc/47/65/91/983476591.db2.gz GNIYAVJRYFUZBV-RYUDHWBXSA-N 0 1 276.336 0.265 20 30 CCEDMN C#CCC[NH+]1CCN(c2nc(C)cc(C(=O)[O-])n2)CC1 ZINC001588453654 983476906 /nfs/dbraw/zinc/47/69/06/983476906.db2.gz KPXQEEKOKYJHAZ-UHFFFAOYSA-N 0 1 274.324 0.629 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)C[C@@H](C)CC(=O)[O-])C1 ZINC001588475328 983508413 /nfs/dbraw/zinc/50/84/13/983508413.db2.gz BZDJPUHZXQCTEV-VXGBXAGGSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)C[C@@H](C)CC(=O)[O-])C1 ZINC001588475328 983508418 /nfs/dbraw/zinc/50/84/18/983508418.db2.gz BZDJPUHZXQCTEV-VXGBXAGGSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CCNC(=O)CC[N@@H+](CCC(F)(F)F)CC(=O)[O-] ZINC001588479602 983520753 /nfs/dbraw/zinc/52/07/53/983520753.db2.gz VRRFFAGZSKACRY-UHFFFAOYSA-N 0 1 280.246 0.465 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](Cn2ccnn2)C1 ZINC001588663574 983795468 /nfs/dbraw/zinc/79/54/68/983795468.db2.gz NYFOKAJBOFVOPV-QWRGUYRKSA-N 0 1 250.302 0.629 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CC[C@@](C(N)=O)(c2ccccc2)C1 ZINC001588663604 983796017 /nfs/dbraw/zinc/79/60/17/983796017.db2.gz OMIAYSRIQRZSAL-DOMZBBRYSA-N 0 1 274.320 0.755 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001588663844 983797065 /nfs/dbraw/zinc/79/70/65/983797065.db2.gz QSISXBQPPSMNHN-KOLCDFICSA-N 0 1 252.314 0.616 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC001588692532 983883620 /nfs/dbraw/zinc/88/36/20/983883620.db2.gz DEGCHNPIOWQPCO-NWDGAFQWSA-N 0 1 299.371 0.378 20 30 CCEDMN C=C[C@@H]([NH2+]CC(=O)N1CCc2ccccc21)C(=O)[O-] ZINC001588696791 983904184 /nfs/dbraw/zinc/90/41/84/983904184.db2.gz RFFBDIVVJGQVHG-LLVKDONJSA-N 0 1 260.293 0.805 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](C)Cc1ccccc1S(N)(=O)=O ZINC001588729071 983985706 /nfs/dbraw/zinc/98/57/06/983985706.db2.gz NBZDATBGYCKJNG-NSHDSACASA-N 0 1 298.364 0.795 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1C[C@H](C)O[C@@]2(CCOC2)C1 ZINC001588729511 983990799 /nfs/dbraw/zinc/99/07/99/983990799.db2.gz RIGPRGSMMPLEMJ-LOWVWBTDSA-N 0 1 255.314 0.896 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@H]1C[N@@H+]2CCN1C[C@@H]2C)C(=O)[O-] ZINC001588789957 984202955 /nfs/dbraw/zinc/20/29/55/984202955.db2.gz NVZCOBLZMSXCLA-AVGNSLFASA-N 0 1 295.383 0.300 20 30 CCEDMN C=CCCC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001588801720 984247112 /nfs/dbraw/zinc/24/71/12/984247112.db2.gz WECLTPPNDYYQRE-OBPIAQAESA-N 0 1 278.352 0.720 20 30 CCEDMN C=CCN1CCN(C[N@@H+](CC)CCC(=O)[O-])C1=O ZINC001588839488 984346951 /nfs/dbraw/zinc/34/69/51/984346951.db2.gz RJJBEFNQFTVXHW-UHFFFAOYSA-N 0 1 255.318 0.664 20 30 CCEDMN C=CC[N@@H+]1CCC2(CN(C(=O)[C@H]3C[C@H]3C(=O)[O-])C2)C1 ZINC001588839922 984351579 /nfs/dbraw/zinc/35/15/79/984351579.db2.gz XZVHHJMESLWZNH-WDEREUQCSA-N 0 1 264.325 0.427 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CC[N@H+]1C(C)(C)C)C(=O)[O-] ZINC001588855667 984394437 /nfs/dbraw/zinc/39/44/37/984394437.db2.gz NNHDLISIZFSYJD-QWRGUYRKSA-N 0 1 284.356 0.631 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CC[N@@H+]1C(C)(C)C)C(=O)[O-] ZINC001588855667 984394441 /nfs/dbraw/zinc/39/44/41/984394441.db2.gz NNHDLISIZFSYJD-QWRGUYRKSA-N 0 1 284.356 0.631 20 30 CCEDMN C=C[C@H](CO)[NH2+][C@H]1CCN(c2sccc2C(=O)[O-])C1=O ZINC001588919184 984570045 /nfs/dbraw/zinc/57/00/45/984570045.db2.gz AIUSLZXZXWVUHX-SCZZXKLOSA-N 0 1 296.348 0.688 20 30 CCEDMN C=C[C@H](CO)[NH2+]CC(=O)Nc1ccsc1C(=O)[O-] ZINC001588919242 984570567 /nfs/dbraw/zinc/57/05/67/984570567.db2.gz CTFTZMIVCLRTDI-SSDOTTSWSA-N 0 1 270.310 0.521 20 30 CCEDMN CC#CCC[N@H+]1C[C@H](C(=O)OC)CC[C@H]1C(=O)[O-] ZINC001588926875 984594394 /nfs/dbraw/zinc/59/43/94/984594394.db2.gz BCYNLQQHFSCCIE-MNOVXSKESA-N 0 1 253.298 0.738 20 30 CCEDMN CC#CCC[N@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001588927326 984597849 /nfs/dbraw/zinc/59/78/49/984597849.db2.gz SSJHGDUGLGBPFK-WDEREUQCSA-N 0 1 253.298 0.738 20 30 CCEDMN CC#CCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001588927326 984597851 /nfs/dbraw/zinc/59/78/51/984597851.db2.gz SSJHGDUGLGBPFK-WDEREUQCSA-N 0 1 253.298 0.738 20 30 CCEDMN CC#CC[N@@H+](C)CCOCCN(C)C(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001588930875 984600037 /nfs/dbraw/zinc/60/00/37/984600037.db2.gz DMLRPHKGBZURNQ-CHWSQXEVSA-N 0 1 296.367 0.137 20 30 CCEDMN CCOC[C@@H](C(=O)[O-])[N@@H+](C)Cc1ccnc(C#N)c1 ZINC001596885188 985785943 /nfs/dbraw/zinc/78/59/43/985785943.db2.gz VAIJUJXOZHBQML-LBPRGKRZSA-N 0 1 263.297 0.875 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001589339879 986242521 /nfs/dbraw/zinc/24/25/21/986242521.db2.gz LTRUXIDWVVXZEM-UKKRHICBSA-N 0 1 265.313 0.009 20 30 CCEDMN C[C@@H]1C[N@@H+](C[C@H](O)CC2(C#N)CC2)C[C@H]1C(=O)[O-] ZINC001599865679 989250660 /nfs/dbraw/zinc/25/06/60/989250660.db2.gz IXUGGUNVNAFGAW-GMTAPVOTSA-N 0 1 252.314 0.694 20 30 CCEDMN C[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC001599878227 989447514 /nfs/dbraw/zinc/44/75/14/989447514.db2.gz PWLVECVTTFXSAS-HFAKWTLXSA-N 0 1 293.367 0.980 20 30 CCEDMN COc1nsc(C[N@@H+]2CC[C@](O)(CC#N)C2)c1C(=O)[O-] ZINC001599740480 991844344 /nfs/dbraw/zinc/84/43/44/991844344.db2.gz UPAHBFKCQUGQKT-GFCCVEGCSA-N 0 1 297.336 0.700 20 30 CCEDMN COc1nsc(C[N@H+]2CC[C@](O)(CC#N)C2)c1C(=O)[O-] ZINC001599740480 991844354 /nfs/dbraw/zinc/84/43/54/991844354.db2.gz UPAHBFKCQUGQKT-GFCCVEGCSA-N 0 1 297.336 0.700 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CC2CC1(C(=O)[O-])C2 ZINC001598469069 991995379 /nfs/dbraw/zinc/99/53/79/991995379.db2.gz WUUZVMSTFGAXDP-UHFFFAOYSA-N 0 1 265.313 0.298 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)c2cccc(C#N)c2)C[C@@H]1C(=O)[O-] ZINC001598576070 993276955 /nfs/dbraw/zinc/27/69/55/993276955.db2.gz QLIKORHAHKXUIL-VXGBXAGGSA-N 0 1 274.276 0.872 20 30 CCEDMN C[N@H+]1CCN(Cc2cccc(C#N)n2)C[C@H](C(=O)[O-])C1 ZINC001598620023 993988587 /nfs/dbraw/zinc/98/85/87/993988587.db2.gz UWQUTIZCVGTYJS-LLVKDONJSA-N 0 1 274.324 0.401 20 30 CCEDMN C[N@H+]1CC[C@H]2CN(c3ccc(C(=O)[O-])nc3C#N)C[C@H]21 ZINC001598626707 994107431 /nfs/dbraw/zinc/10/74/31/994107431.db2.gz JSGYUQNKNNHKRE-TVQRCGJNSA-N 0 1 272.308 0.792 20 30 CCEDMN COCC[N@H+]1CC[C@@H](Nc2ccc(C(=O)[O-])nc2C#N)C1 ZINC001599242941 998079935 /nfs/dbraw/zinc/07/99/35/998079935.db2.gz ATTMFDIBNPCISY-SNVBAGLBSA-N 0 1 290.323 0.784 20 30 CCEDMN C[C@@H]1C[C@@H](C(=O)[O-])C[C@H](C)[N@@H+]1CC(=O)NCC#N ZINC001594207628 998801182 /nfs/dbraw/zinc/80/11/82/998801182.db2.gz HENPILSHZSRPQP-ILWJIGKKSA-N 0 1 253.302 0.200 20 30 CCEDMN CN(CC(=O)Nc1cccc(C#N)c1)[C@H]1C[C@@H](C(N)=O)C1 ZINC000599646127 361770847 /nfs/dbraw/zinc/77/08/47/361770847.db2.gz ZUXRDANDWNDEMH-BJHJDKERSA-N 0 1 286.335 0.692 20 30 CCEDMN Cn1cnc(=NC(=O)N2CCc3c(CC#N)cccc3C2)[nH]1 ZINC000599792693 361814537 /nfs/dbraw/zinc/81/45/37/361814537.db2.gz LIBJJBXMEXIPPF-UHFFFAOYSA-N 0 1 296.334 0.893 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)NCC1(C#N)CC1 ZINC000599915922 361828103 /nfs/dbraw/zinc/82/81/03/361828103.db2.gz FGUTYIXDNFTASK-NSHDSACASA-N 0 1 250.346 0.930 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC2CC(F)(F)C2)[nH]1 ZINC000617589522 365688923 /nfs/dbraw/zinc/68/89/23/365688923.db2.gz CPLWVHBJQVKFKO-UHFFFAOYSA-N 0 1 255.228 0.633 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000352273585 529489906 /nfs/dbraw/zinc/48/99/06/529489906.db2.gz OTTFBWIZOAMCIS-JSGCOSHPSA-N 0 1 266.389 0.678 20 30 CCEDMN CC[C@@]1(O)CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347220040 529572051 /nfs/dbraw/zinc/57/20/51/529572051.db2.gz DMRMXDGNBICZEP-CYBMUJFWSA-N 0 1 251.330 0.396 20 30 CCEDMN N#C[C@]1(C(=O)NCCN2CC[C@@H](O)C2)CC12CCCC2 ZINC000600531524 362004836 /nfs/dbraw/zinc/00/48/36/362004836.db2.gz GIQUFGQTILBWRU-DOMZBBRYSA-N 0 1 277.368 0.643 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C[C@H](C)C(=O)OC ZINC000112731223 349790132 /nfs/dbraw/zinc/79/01/32/349790132.db2.gz AYEUOMNUECFKSD-NSHDSACASA-N 0 1 269.345 0.489 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C[C@@H](C)C(=O)OC ZINC000112731222 349790325 /nfs/dbraw/zinc/79/03/25/349790325.db2.gz AYEUOMNUECFKSD-LLVKDONJSA-N 0 1 269.345 0.489 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)C(=O)N(C)C[C@H](C)C#N ZINC000600799319 362061484 /nfs/dbraw/zinc/06/14/84/362061484.db2.gz ZETWRFAAUOKKDL-VXGBXAGGSA-N 0 1 280.372 0.061 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2n[nH]cc2C(F)(F)F)CCO1 ZINC000601039633 362138523 /nfs/dbraw/zinc/13/85/23/362138523.db2.gz FNRGAMACGDLCOO-LURJTMIESA-N 0 1 274.202 0.793 20 30 CCEDMN CN(C)c1cccc([NH+]=C([O-])N2CCn3ncnc3C2)n1 ZINC000329969890 529792057 /nfs/dbraw/zinc/79/20/57/529792057.db2.gz USDKBIKYTWLXTJ-UHFFFAOYSA-N 0 1 287.327 0.800 20 30 CCEDMN CN1CC[C@@H]2OCCN(CC(=O)NC(=O)NC3CC3)[C@H]2C1 ZINC000329002617 529798673 /nfs/dbraw/zinc/79/86/73/529798673.db2.gz HHAMNLKEFXFNDX-RYUDHWBXSA-N 0 1 296.371 0.424 20 30 CCEDMN CN(CCn1cccn1)C(=O)N[C@@H]1CC[S@](=O)C1 ZINC000330004021 529939877 /nfs/dbraw/zinc/93/98/77/529939877.db2.gz AUDHYMGPZNXXJN-MGNBDDOMSA-N 0 1 270.358 0.250 20 30 CCEDMN C[C@H]1CN(S(=O)(=O)c2ncc[nH]2)C[C@H](C)N1CC#N ZINC000601492977 362290133 /nfs/dbraw/zinc/29/01/33/362290133.db2.gz WJLYOMOLQMAZMX-UWVGGRQHSA-N 0 1 283.357 0.017 20 30 CCEDMN CNC(=O)C(C)(C)CN[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000158448714 290718012 /nfs/dbraw/zinc/71/80/12/290718012.db2.gz WBMVXYYRHDYYPH-GFCCVEGCSA-N 0 1 288.351 0.446 20 30 CCEDMN COCCN1CCCN(C(=O)c2cnccc2C#N)CC1 ZINC000358451104 232103121 /nfs/dbraw/zinc/10/31/21/232103121.db2.gz NXJZWYMFNLDRDN-UHFFFAOYSA-N 0 1 288.351 0.748 20 30 CCEDMN N#C[C@H]1CSCCN1C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000602132721 362511867 /nfs/dbraw/zinc/51/18/67/362511867.db2.gz LBQHTUPXQRKUPZ-IVZWLZJFSA-N 0 1 262.338 0.981 20 30 CCEDMN N#CC1(NC(=O)C(N)=O)CCN(Cc2ccccc2)CC1 ZINC000602138292 362517589 /nfs/dbraw/zinc/51/75/89/362517589.db2.gz BZMJHSVJPQVGSU-UHFFFAOYSA-N 0 1 286.335 0.146 20 30 CCEDMN N#CCCN1CCN(C(=O)CCc2cnc[nH]2)CC1 ZINC000602205847 362557888 /nfs/dbraw/zinc/55/78/88/362557888.db2.gz IJMCYQMQUJPCAI-UHFFFAOYSA-N 0 1 261.329 0.400 20 30 CCEDMN N#Cc1cccc(CNC(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000602229817 362564913 /nfs/dbraw/zinc/56/49/13/362564913.db2.gz SHHVTKZYGSMSMQ-CQSZACIVSA-N 0 1 288.351 0.424 20 30 CCEDMN N#Cc1ccc(NC(=O)CN2CC[C@H](CC(N)=O)C2)cc1 ZINC000367300433 232301718 /nfs/dbraw/zinc/30/17/18/232301718.db2.gz MWOGTDKUPJBCAD-GFCCVEGCSA-N 0 1 286.335 0.694 20 30 CCEDMN C[C@H](Oc1ccccc1C#N)C(=O)NC[C@H]1COCCN1 ZINC000602553260 362676730 /nfs/dbraw/zinc/67/67/30/362676730.db2.gz XDCRZWJPCRHTLP-AAEUAGOBSA-N 0 1 289.335 0.430 20 30 CCEDMN C[C@@H]1CN(CCOCCC#N)C[C@@H](C)N1CC#N ZINC000602847422 362834632 /nfs/dbraw/zinc/83/46/32/362834632.db2.gz BFPHFDGWJKBMNN-CHWSQXEVSA-N 0 1 250.346 0.835 20 30 CCEDMN C=CC[C@@H](CO)Nc1cc(-n2cccn2)nc(N)n1 ZINC000273312450 192108359 /nfs/dbraw/zinc/10/83/59/192108359.db2.gz NWCWIJQKMXOOHU-VIFPVBQESA-N 0 1 260.301 0.593 20 30 CCEDMN N#Cc1cccc(CN[C@@H]2CCS(=O)(=O)C2)c1 ZINC000019899825 348073754 /nfs/dbraw/zinc/07/37/54/348073754.db2.gz QQQLNZYBSHZFBC-GFCCVEGCSA-N 0 1 250.323 0.835 20 30 CCEDMN C[C@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)o2)CCN1C ZINC000344537875 137200264 /nfs/dbraw/zinc/20/02/64/137200264.db2.gz KPRRIKIWCCDNKE-UWVGGRQHSA-N 0 1 283.353 0.912 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NCC[C@H](O)C(F)(F)F)o1 ZINC000344561374 137201615 /nfs/dbraw/zinc/20/16/15/137201615.db2.gz OKBOEPZTDMCKMG-ZETCQYMHSA-N 0 1 298.242 0.743 20 30 CCEDMN CN1CCN(CC/N=C/c2cccc(O)c2O)CC1 ZINC000022033365 348080650 /nfs/dbraw/zinc/08/06/50/348080650.db2.gz DUVHXBWPTSQVGN-RVDMUPIBSA-N 0 1 263.341 0.764 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1ccc(C#N)o1)c1nnc[nH]1 ZINC000344725330 137209352 /nfs/dbraw/zinc/20/93/52/137209352.db2.gz NRBHEFKGRRRAKV-ZCFIWIBFSA-N 0 1 267.270 0.309 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC(CC3CC3)C2)[nH]1 ZINC000602885426 362864240 /nfs/dbraw/zinc/86/42/40/362864240.db2.gz DUDDIEDTJUQSGA-UHFFFAOYSA-N 0 1 259.313 0.977 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCC(C)(C)F)[nH]1 ZINC000602885477 362864819 /nfs/dbraw/zinc/86/48/19/362864819.db2.gz FDSUOIGJQWHBEM-UHFFFAOYSA-N 0 1 253.281 0.973 20 30 CCEDMN CC[C@@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000602885598 362864870 /nfs/dbraw/zinc/86/48/70/362864870.db2.gz GOVLPSBOXFXSHC-GHMZBOCLSA-N 0 1 277.328 0.793 20 30 CCEDMN C[C@H](O)CN(C(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000602889146 362867232 /nfs/dbraw/zinc/86/72/32/362867232.db2.gz XHOBMJMEPVDSSV-QMMMGPOBSA-N 0 1 263.301 0.091 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](C)C[C@H]1CO ZINC000602932447 362893381 /nfs/dbraw/zinc/89/33/81/362893381.db2.gz XVLILYPMZCJKAW-MNOVXSKESA-N 0 1 269.345 0.091 20 30 CCEDMN CN(CCCN1C(=O)CNC1=O)[C@@H]1CCC[C@@H]1C#N ZINC000602976428 362917845 /nfs/dbraw/zinc/91/78/45/362917845.db2.gz PNVWEYYMHRNACO-GHMZBOCLSA-N 0 1 264.329 0.552 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(C(=O)NC)CC1 ZINC000053847076 348535064 /nfs/dbraw/zinc/53/50/64/348535064.db2.gz NWKXUIINPLLGIN-SNVBAGLBSA-N 0 1 253.346 0.135 20 30 CCEDMN C#CCN(CC(=O)NCCC(=O)OC)C1CCCCC1 ZINC000068334487 348807605 /nfs/dbraw/zinc/80/76/05/348807605.db2.gz MBCFNNRGBZRSOD-UHFFFAOYSA-N 0 1 280.368 0.934 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCCC[C@@H]1CN1CCOCC1 ZINC000075968998 349061014 /nfs/dbraw/zinc/06/10/14/349061014.db2.gz KNPJICBSZOKMGU-HUUCEWRRSA-N 0 1 295.427 0.864 20 30 CCEDMN COC1CCN(CCNC(=O)c2cc(C#N)c[nH]2)CC1 ZINC000079681703 349186762 /nfs/dbraw/zinc/18/67/62/349186762.db2.gz KJQRLIRYUVJVLF-UHFFFAOYSA-N 0 1 276.340 0.727 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C[C@@H](O)C1CC1 ZINC000079769337 349188895 /nfs/dbraw/zinc/18/88/95/349188895.db2.gz MIZGNPQBWDGCTR-GFCCVEGCSA-N 0 1 253.346 0.451 20 30 CCEDMN Cc1cccc(NC(=O)C(=O)N[C@H](C)c2nnc[nH]2)c1C#N ZINC000123695408 350081021 /nfs/dbraw/zinc/08/10/21/350081021.db2.gz UZRLREYPMXSUCC-SECBINFHSA-N 0 1 298.306 0.801 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)C[C@@H](O)C(F)(F)F ZINC000121833156 350058704 /nfs/dbraw/zinc/05/87/04/350058704.db2.gz RNSLYQUNQQUNHL-SECBINFHSA-N 0 1 291.277 0.651 20 30 CCEDMN CC(C)(C)n1cc(C#N)c(=NC(=O)C(=O)NCCCF)[nH]1 ZINC000539204572 290893521 /nfs/dbraw/zinc/89/35/21/290893521.db2.gz WGKAIIFZMJAXHJ-UHFFFAOYSA-N 0 1 295.318 0.346 20 30 CCEDMN CCN(CC(=O)N1C[C@H](C)N(CC#N)[C@@H](C)C1)C1CC1 ZINC000603096664 362961720 /nfs/dbraw/zinc/96/17/20/362961720.db2.gz PNVATDIVFROPRZ-STQMWFEESA-N 0 1 278.400 0.915 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H]2CCCCN2C)C[C@@H](C)N1CC#N ZINC000603099725 362962933 /nfs/dbraw/zinc/96/29/33/362962933.db2.gz LALZVBCVFXRXIS-MGPQQGTHSA-N 0 1 278.400 0.915 20 30 CCEDMN Cc1cc(C(=O)N2C[C@H](C)N(CC#N)[C@@H](C)C2)n[nH]1 ZINC000603099924 362962987 /nfs/dbraw/zinc/96/29/87/362962987.db2.gz NCGXSGGKBNEZNI-QWRGUYRKSA-N 0 1 261.329 0.777 20 30 CCEDMN N#Cc1cnn(C(=O)c2cccc(-c3nnc[nH]3)c2)c1N ZINC000154624614 350595049 /nfs/dbraw/zinc/59/50/49/350595049.db2.gz FXJJHNZEYADULZ-UHFFFAOYSA-N 0 1 279.263 0.811 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](CCC(N)=O)C1 ZINC000170732797 350854360 /nfs/dbraw/zinc/85/43/60/350854360.db2.gz DFKGZNCLHSCJQR-ZDUSSCGKSA-N 0 1 294.399 0.726 20 30 CCEDMN CN(Cc1nnc[nH]1)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000174823228 351106219 /nfs/dbraw/zinc/10/62/19/351106219.db2.gz MIZGYBKEZLNLHB-ZDUSSCGKSA-N 0 1 296.334 0.914 20 30 CCEDMN CNCc1cn(C[C@@H](O)COc2ccc(C#N)cc2)nn1 ZINC000603260274 363029784 /nfs/dbraw/zinc/02/97/84/363029784.db2.gz RJRPRTUJHARQRQ-CYBMUJFWSA-N 0 1 287.323 0.309 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)c1cc(C#N)c[nH]1 ZINC000186747557 351512151 /nfs/dbraw/zinc/51/21/51/351512151.db2.gz PERJCFQHPPZTOW-NSHDSACASA-N 0 1 290.367 0.804 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(Cn2cncn2)CC1 ZINC000186264997 351489190 /nfs/dbraw/zinc/48/91/90/351489190.db2.gz XORMSVJNDYLCIH-LBPRGKRZSA-N 0 1 275.356 0.128 20 30 CCEDMN CN1CCN(C[C@H](O)COc2ccc(CC#N)cc2)CC1 ZINC000225884248 352016434 /nfs/dbraw/zinc/01/64/34/352016434.db2.gz WNWNWPMOXLOXPB-HNNXBMFYSA-N 0 1 289.379 0.740 20 30 CCEDMN CN(C)C[C@@H]1CCCN1S(=O)(=O)c1cccnc1C#N ZINC000234245372 352156213 /nfs/dbraw/zinc/15/62/13/352156213.db2.gz FEENKZAWZOHOBG-NSHDSACASA-N 0 1 294.380 0.668 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000603886139 363166267 /nfs/dbraw/zinc/16/62/67/363166267.db2.gz SGCNYEQRDMNAIK-LLVKDONJSA-N 0 1 290.367 0.101 20 30 CCEDMN CC[C@H](O)CN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000268190990 352815320 /nfs/dbraw/zinc/81/53/20/352815320.db2.gz DVZBESSQKWWZAJ-RYUDHWBXSA-N 0 1 275.356 0.630 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN(C[C@@H]3CCOC3)CC2)[nH]1 ZINC000269753452 352871801 /nfs/dbraw/zinc/87/18/01/352871801.db2.gz FOTADTGGDMKNKV-LBPRGKRZSA-N 0 1 288.351 0.681 20 30 CCEDMN N#Cc1ccc(OCCN2CC[C@@H](NC(N)=O)C2)cc1 ZINC000270826258 352991682 /nfs/dbraw/zinc/99/16/82/352991682.db2.gz ZHCXJVJWEWRNPY-GFCCVEGCSA-N 0 1 274.324 0.680 20 30 CCEDMN C=CCCS(=O)(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000270572115 352949926 /nfs/dbraw/zinc/94/99/26/352949926.db2.gz NMDABQSSLHFHEV-MRVPVSSYSA-N 0 1 258.347 0.924 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)N[C@H](C)CCN(C)C ZINC000272909607 353104769 /nfs/dbraw/zinc/10/47/69/353104769.db2.gz DEQQXLONJJATQH-LLVKDONJSA-N 0 1 291.417 0.227 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1cn(C)nc1C ZINC000272912726 353105792 /nfs/dbraw/zinc/10/57/92/353105792.db2.gz WYHOZZQTGPKPPJ-JTQLQIEISA-N 0 1 271.386 0.980 20 30 CCEDMN C[C@@H]1CN(c2ccnc(C#N)c2)[C@H](C)CN1CCO ZINC000418999670 234283779 /nfs/dbraw/zinc/28/37/79/234283779.db2.gz NIWIMIUFZXRSCT-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN C#C[C@H](C)NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000279641818 353307244 /nfs/dbraw/zinc/30/72/44/353307244.db2.gz CPDCFBGKEZNRMJ-YUMQZZPRSA-N 0 1 264.247 0.827 20 30 CCEDMN N#Cc1cccnc1N1CCC(c2nc(=O)[nH][nH]2)CC1 ZINC000281027092 353389016 /nfs/dbraw/zinc/38/90/16/353389016.db2.gz SUTBDZAIDGNSOF-UHFFFAOYSA-N 0 1 270.296 0.749 20 30 CCEDMN CC(C)[C@@H](O)CN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000292671477 353784756 /nfs/dbraw/zinc/78/47/56/353784756.db2.gz QMGUJBPPJMJEPX-JSGCOSHPSA-N 0 1 289.383 0.876 20 30 CCEDMN CN(C)c1cc(C#N)cc(C(=O)NCCc2nc[nH]n2)c1 ZINC000293283226 353801349 /nfs/dbraw/zinc/80/13/49/353801349.db2.gz IDYJHPZCTAQGML-UHFFFAOYSA-N 0 1 284.323 0.715 20 30 CCEDMN N#CCNC(=O)CN[C@]1(CO)CCc2ccccc21 ZINC000305262075 354142129 /nfs/dbraw/zinc/14/21/29/354142129.db2.gz XZHPNAQEZYRBAB-AWEZNQCLSA-N 0 1 259.309 0.050 20 30 CCEDMN CN1CCC2(CCCN2C(=O)N[C@@H]2CC[S@](=O)C2)CC1 ZINC000329097827 354252636 /nfs/dbraw/zinc/25/26/36/354252636.db2.gz YOVCVQFTSYFNGS-ODXCJYRJSA-N 0 1 299.440 0.982 20 30 CCEDMN O=C(N[C@H]1COC[C@H]1n1ccnn1)N1C[C@@H]2CCC[C@@H]2C1 ZINC000329477396 354257821 /nfs/dbraw/zinc/25/78/21/354257821.db2.gz OGSRDEVHFKNKIX-QNWHQSFQSA-N 0 1 291.355 0.864 20 30 CCEDMN O=C(N[C@@H]1CCN(C2CC2)C1)N[C@H]1CC[S@@](=O)C1 ZINC000330029706 354287351 /nfs/dbraw/zinc/28/73/51/354287351.db2.gz QMULASYOLIZOGS-JJQCHNSYSA-N 0 1 271.386 0.248 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000331382353 354558435 /nfs/dbraw/zinc/55/84/35/354558435.db2.gz GZJWQRURTGQSHJ-MNOVXSKESA-N 0 1 286.295 0.607 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@@H]2CCc3nc[nH]c3C2)c1 ZINC000334460382 283084375 /nfs/dbraw/zinc/08/43/75/283084375.db2.gz NBGOULNHOTWJRJ-SECBINFHSA-N 0 1 255.281 0.897 20 30 CCEDMN C[C@@H](C(=O)Nc1ccc2[nH]nnc2c1)n1cnc(C#N)n1 ZINC000337036068 355253606 /nfs/dbraw/zinc/25/36/06/355253606.db2.gz GNCOHUPIFDHCEY-ZETCQYMHSA-N 0 1 282.267 0.621 20 30 CCEDMN CN(CC(=O)NCc1ccc(C#N)cc1)Cc1nnc[nH]1 ZINC000348634886 355958337 /nfs/dbraw/zinc/95/83/37/355958337.db2.gz PSSYDMAWFJXBNV-UHFFFAOYSA-N 0 1 284.323 0.425 20 30 CCEDMN C[C@@H]1COCCN1CCNCc1nc(C#N)cs1 ZINC000352842344 356093914 /nfs/dbraw/zinc/09/39/14/356093914.db2.gz WBYHHRRBLSLXLW-SNVBAGLBSA-N 0 1 266.370 0.825 20 30 CCEDMN N#Cc1cccc(CNC(=O)CN2CCC[C@@H](CO)C2)c1 ZINC000352882524 356103119 /nfs/dbraw/zinc/10/31/19/356103119.db2.gz LZAGZJIITPDWEK-OAHLLOKOSA-N 0 1 287.363 0.879 20 30 CCEDMN C[C@H]1[C@@H](NCc2cc(C#N)n(C)c2)CCS1(=O)=O ZINC000353864050 356307081 /nfs/dbraw/zinc/30/70/81/356307081.db2.gz IHXOITYIPAVKMH-CABZTGNLSA-N 0 1 267.354 0.562 20 30 CCEDMN Cc1nn(C[C@H](O)CN2C[C@H](C)O[C@@H](C)C2)cc1C#N ZINC000362333504 138054981 /nfs/dbraw/zinc/05/49/81/138054981.db2.gz LWKSDAQMQCMFQT-COPLHBTASA-N 0 1 278.356 0.533 20 30 CCEDMN C=CCOCC(=O)NCCCCN1CCOCC1 ZINC000354591220 356446846 /nfs/dbraw/zinc/44/68/46/356446846.db2.gz ATIMALVKGDHUOX-UHFFFAOYSA-N 0 1 256.346 0.418 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000560371004 291097887 /nfs/dbraw/zinc/09/78/87/291097887.db2.gz LJUUPIPRQRLFQH-VIFPVBQESA-N 0 1 285.311 0.651 20 30 CCEDMN COCCC1(NC(=O)N=c2[nH]n(C)cc2C#N)CCC1 ZINC000619536981 366277182 /nfs/dbraw/zinc/27/71/82/366277182.db2.gz OOVRBIKMXLGRMG-UHFFFAOYSA-N 0 1 277.328 0.794 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@@H](CCO)C1 ZINC000609204281 363477625 /nfs/dbraw/zinc/47/76/25/363477625.db2.gz ZOVJJPDWMJCSJZ-RYUDHWBXSA-N 0 1 283.372 0.481 20 30 CCEDMN N#CCN1CCC(NC(=O)c2[nH]nc3c2CCC3)CC1 ZINC000609331906 363490338 /nfs/dbraw/zinc/49/03/38/363490338.db2.gz GSOYQXFBEAGWNJ-UHFFFAOYSA-N 0 1 273.340 0.616 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)N[C@@H]1CCCN(C)C1 ZINC000619708223 366330348 /nfs/dbraw/zinc/33/03/48/366330348.db2.gz MGKPYSQLTRXKKZ-NWDGAFQWSA-N 0 1 288.417 0.397 20 30 CCEDMN COCC1(CNC(=O)N=c2[nH]n(C)cc2C#N)CCC1 ZINC000619727572 366343756 /nfs/dbraw/zinc/34/37/56/366343756.db2.gz LHDMZDKTWYHLRS-UHFFFAOYSA-N 0 1 277.328 0.652 20 30 CCEDMN N#Cc1ccc(CCC(=O)NCCc2nc[nH]n2)cc1 ZINC000359752774 356884399 /nfs/dbraw/zinc/88/43/99/356884399.db2.gz GKXONCRQKWRGMT-UHFFFAOYSA-N 0 1 269.308 0.968 20 30 CCEDMN CC(=O)NCCCN[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000360058696 356941060 /nfs/dbraw/zinc/94/10/60/356941060.db2.gz DKTYBWFKEJYKBZ-ZDUSSCGKSA-N 0 1 274.324 0.200 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H]2CCCOC2)[nH]1 ZINC000610564968 363579686 /nfs/dbraw/zinc/57/96/86/363579686.db2.gz UUGMXQUQLRYYDQ-SECBINFHSA-N 0 1 263.301 0.262 20 30 CCEDMN C=CCN1CC[C@@H](N2CCO[C@H](c3n[nH]c(C)n3)C2)C1=O ZINC000366898409 357252308 /nfs/dbraw/zinc/25/23/08/357252308.db2.gz VVXNGGVVXMQTKA-NEPJUHHUSA-N 0 1 291.355 0.273 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H](CO)c2ccccc2)[nH]1 ZINC000610564645 363579804 /nfs/dbraw/zinc/57/98/04/363579804.db2.gz SLPHRELGRCHXFR-ZDUSSCGKSA-N 0 1 299.334 0.611 20 30 CCEDMN N#Cc1ccc(N2CCN(CC3(O)CCC3)CC2)nc1 ZINC000407951647 357835571 /nfs/dbraw/zinc/83/55/71/357835571.db2.gz RAEKKDZCTHRQGO-UHFFFAOYSA-N 0 1 272.352 0.990 20 30 CCEDMN Cc1onc(CC(=O)N2C[C@H](C)[N@H+](C)C[C@@H]2C)c1C#N ZINC000408150051 357941602 /nfs/dbraw/zinc/94/16/02/357941602.db2.gz KRPDPQLFKDWQDA-UWVGGRQHSA-N 0 1 276.340 0.948 20 30 CCEDMN Cc1onc(CC(=O)N2C[C@H](C)N(C)C[C@@H]2C)c1C#N ZINC000408150051 357941605 /nfs/dbraw/zinc/94/16/05/357941605.db2.gz KRPDPQLFKDWQDA-UWVGGRQHSA-N 0 1 276.340 0.948 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCC[C@H]3OCC[C@H]32)[nH]1 ZINC000610767523 363611609 /nfs/dbraw/zinc/61/16/09/363611609.db2.gz XWLJYIKZFCDADO-QJPTWQEYSA-N 0 1 289.339 0.793 20 30 CCEDMN Cc1nc([C@H]2CCN(c3ncncc3C#N)C2)n[nH]1 ZINC000569480331 358768792 /nfs/dbraw/zinc/76/87/92/358768792.db2.gz VULVWMUJIGSZHW-VIFPVBQESA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@H]2CCN(c3ncncc3C#N)C2)[nH]1 ZINC000569480331 358768795 /nfs/dbraw/zinc/76/87/95/358768795.db2.gz VULVWMUJIGSZHW-VIFPVBQESA-N 0 1 255.285 0.769 20 30 CCEDMN C[C@@H]1OCC[C@H]1[N@@H+](CCO)Cc1ccc(C#N)cn1 ZINC000573263730 358952655 /nfs/dbraw/zinc/95/26/55/358952655.db2.gz HMXNLQDNZSCBJA-SMDDNHRTSA-N 0 1 261.325 0.925 20 30 CCEDMN C[C@@H]1OCC[C@H]1N(CCO)Cc1ccc(C#N)cn1 ZINC000573263730 358952658 /nfs/dbraw/zinc/95/26/58/358952658.db2.gz HMXNLQDNZSCBJA-SMDDNHRTSA-N 0 1 261.325 0.925 20 30 CCEDMN CCc1nc(CN2CCN(c3cnccc3C#N)CC2)n[nH]1 ZINC000582277727 359129758 /nfs/dbraw/zinc/12/97/58/359129758.db2.gz UOJDPDGPTHDWEX-UHFFFAOYSA-N 0 1 297.366 0.956 20 30 CCEDMN CC1(C)CN(c2ccnc(C#N)c2)CCN1CCO ZINC000588026902 359308619 /nfs/dbraw/zinc/30/86/19/359308619.db2.gz VSEOVKCVIQLLLE-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN CCC[C@@]1(CO)CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000589001999 359355640 /nfs/dbraw/zinc/35/56/40/359355640.db2.gz ITZXIVFGRYPMMV-CQSZACIVSA-N 0 1 291.355 0.730 20 30 CCEDMN COCC[N@@H+]1CC[C@H](NC(=O)c2cc([O-])cc(C#N)c2)C1 ZINC000589182008 359366248 /nfs/dbraw/zinc/36/62/48/359366248.db2.gz SGGKIZWUEZISGZ-ZDUSSCGKSA-N 0 1 289.335 0.714 20 30 CCEDMN COCC[N@H+]1CC[C@H](NC(=O)c2cc([O-])cc(C#N)c2)C1 ZINC000589182008 359366251 /nfs/dbraw/zinc/36/62/51/359366251.db2.gz SGGKIZWUEZISGZ-ZDUSSCGKSA-N 0 1 289.335 0.714 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N1CC[C@](F)(C#N)C1 ZINC000589290501 359376744 /nfs/dbraw/zinc/37/67/44/359376744.db2.gz IBWBZFFIOJGFAX-NSHDSACASA-N 0 1 277.365 0.596 20 30 CCEDMN N#Cc1cccc(CNC(=O)CN2CCc3cn[nH]c3C2)c1 ZINC000611009421 363647860 /nfs/dbraw/zinc/64/78/60/363647860.db2.gz YLZKZFVUESPASB-UHFFFAOYSA-N 0 1 295.346 0.956 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC000611030327 363652275 /nfs/dbraw/zinc/65/22/75/363652275.db2.gz FIHUCDKKUDAZCZ-LBPRGKRZSA-N 0 1 276.340 0.727 20 30 CCEDMN C[C@H](C#N)CN(C(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000611097973 363659110 /nfs/dbraw/zinc/65/91/10/363659110.db2.gz REAGYBBBXLUPHY-SECBINFHSA-N 0 1 272.312 0.870 20 30 CCEDMN C[NH+](C)C[C@](C)(O)CN=c1[n-]c(C#N)c(Cl)s1 ZINC000590580482 359488809 /nfs/dbraw/zinc/48/88/09/359488809.db2.gz FEJWNYRDQLPMGH-SNVBAGLBSA-N 0 1 274.777 0.815 20 30 CCEDMN CCC[C@H](CNC(=O)N=c1[nH]n(C)cc1C#N)OC ZINC000590819588 359527309 /nfs/dbraw/zinc/52/73/09/359527309.db2.gz NWJQHAUETWLOSB-SNVBAGLBSA-N 0 1 265.317 0.650 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@@H](CO)CN2CCCCC2)n1 ZINC000591219341 359570459 /nfs/dbraw/zinc/57/04/59/359570459.db2.gz YMJMXOQBBLTPIV-CYBMUJFWSA-N 0 1 288.351 0.530 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1Cc1ccnc(C#N)c1 ZINC000591377863 359587216 /nfs/dbraw/zinc/58/72/16/359587216.db2.gz QRFYZHDNPHBWRQ-QWHCGFSZSA-N 0 1 274.368 0.840 20 30 CCEDMN CS(=O)(=O)NC1CC(NC[C@@H](C#N)CCC#N)C1 ZINC000591766161 359655870 /nfs/dbraw/zinc/65/58/70/359655870.db2.gz IYINAYCDSJNPMG-KPPDAEKUSA-N 0 1 270.358 0.100 20 30 CCEDMN Cc1cc(N2C[C@H]3OCCN(C)[C@H]3C2)c(C#N)cn1 ZINC000591825734 359663112 /nfs/dbraw/zinc/66/31/12/359663112.db2.gz UKSYZVMTEZCRIH-UONOGXRCSA-N 0 1 258.325 0.781 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2ccncc2C#N)[C@@H](C)C1 ZINC000592107651 359757744 /nfs/dbraw/zinc/75/77/44/359757744.db2.gz MKWQJJLXHUOMFO-QWHCGFSZSA-N 0 1 274.368 0.840 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](O)CN(Cc2ccc(C#N)cc2)C1 ZINC000592193581 359783224 /nfs/dbraw/zinc/78/32/24/359783224.db2.gz BZZJGUYQZJMHDX-KGLIPLIRSA-N 0 1 274.320 0.914 20 30 CCEDMN COCC[N@H+]1CC[C@@H](NC(=O)Nc2cccc(C#N)n2)C1 ZINC000592268706 359807844 /nfs/dbraw/zinc/80/78/44/359807844.db2.gz SYAONMRNUKSYAF-GFCCVEGCSA-N 0 1 289.339 0.795 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)Nc2cccc(C#N)n2)C1 ZINC000592268706 359807850 /nfs/dbraw/zinc/80/78/50/359807850.db2.gz SYAONMRNUKSYAF-GFCCVEGCSA-N 0 1 289.339 0.795 20 30 CCEDMN C=C[C@@H](O)C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000620785055 366568109 /nfs/dbraw/zinc/56/81/09/366568109.db2.gz WZCUJFGEGOUOJO-UONOGXRCSA-N 0 1 260.337 0.924 20 30 CCEDMN N#CCC[C@H](C#N)CNC[C@@H](O)COCC1CC1 ZINC000592432209 359839656 /nfs/dbraw/zinc/83/96/56/359839656.db2.gz QSYMCGXRWNYARN-CHWSQXEVSA-N 0 1 251.330 0.807 20 30 CCEDMN CCc1nnc([C@@H](C)NC(=O)N=c2[nH]n(C)cc2C#N)[nH]1 ZINC000611195590 363679004 /nfs/dbraw/zinc/67/90/04/363679004.db2.gz OFVCQSNPCDTKAZ-SSDOTTSWSA-N 0 1 288.315 0.277 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000593474131 360095618 /nfs/dbraw/zinc/09/56/18/360095618.db2.gz ZLEBWCXJBWRAJJ-NSHDSACASA-N 0 1 276.344 0.307 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCCN(CCOC)CC1 ZINC000593421621 360085695 /nfs/dbraw/zinc/08/56/95/360085695.db2.gz VJKPRMCKENXPBW-LBPRGKRZSA-N 0 1 253.346 0.717 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)ccn1 ZINC000593859627 360178381 /nfs/dbraw/zinc/17/83/81/360178381.db2.gz VXNNPHNIPZWSOK-UHFFFAOYSA-N 0 1 297.322 0.029 20 30 CCEDMN CCC[C@H](NC(=O)[C@H]([NH3+])CCCCC#N)c1nn[n-]n1 ZINC000594432566 360302627 /nfs/dbraw/zinc/30/26/27/360302627.db2.gz DCGIGEPOLFKZDV-ZJUUUORDSA-N 0 1 279.348 0.568 20 30 CCEDMN CN1CCN(Cc2cn(CC3(CC#N)CC3)nn2)CC1 ZINC000594653052 360351584 /nfs/dbraw/zinc/35/15/84/360351584.db2.gz XJMNOJLBSXDOHB-UHFFFAOYSA-N 0 1 274.372 0.719 20 30 CCEDMN COC[C@H](CCN[C@@H](C(N)=O)c1ccc(C#N)cc1)OC ZINC000594710380 360363762 /nfs/dbraw/zinc/36/37/62/360363762.db2.gz KECRPGWVTMZPTH-UONOGXRCSA-N 0 1 291.351 0.726 20 30 CCEDMN N#CC1(CNS(=O)(=O)c2ncccc2[N+](=O)[O-])CC1 ZINC000594781136 360382004 /nfs/dbraw/zinc/38/20/04/360382004.db2.gz MFFGPXLUYLEILY-UHFFFAOYSA-N 0 1 282.281 0.572 20 30 CCEDMN N#CC1(CNS(=O)(=O)c2ncccc2[N+](=O)[O-])CCC1 ZINC000594783115 360382903 /nfs/dbraw/zinc/38/29/03/360382903.db2.gz FHMOQZPMSCQOBS-UHFFFAOYSA-N 0 1 296.308 0.962 20 30 CCEDMN CCCN1CC[C@@H](NS(=O)(=O)N(C)[C@@H](C)CC#N)C1 ZINC000595353594 360505894 /nfs/dbraw/zinc/50/58/94/360505894.db2.gz HXVQAISNTCCJJV-NWDGAFQWSA-N 0 1 288.417 0.539 20 30 CCEDMN CC(C)[C@H](NCC(=O)NCC#N)[C@H](O)C(F)(F)F ZINC000595482502 360547684 /nfs/dbraw/zinc/54/76/84/360547684.db2.gz OXHQORGDEQPUHL-IUCAKERBSA-N 0 1 267.251 0.164 20 30 CCEDMN CN(C)S(=O)(=O)CCCN1CCC[C@@H](CC#N)C1 ZINC000595613857 360597621 /nfs/dbraw/zinc/59/76/21/360597621.db2.gz IOAGOOXFBCGYKK-LBPRGKRZSA-N 0 1 273.402 0.894 20 30 CCEDMN CCOC(=O)[C@@](C)(O)C[N@H+]1CCC[C@H](CC#N)C1 ZINC000595619997 360600444 /nfs/dbraw/zinc/60/04/44/360600444.db2.gz IYYOGWMRXAHQOD-YPMHNXCESA-N 0 1 254.330 0.926 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1C[C@]2(C)COC[C@]2(C)C1 ZINC000595687466 360621558 /nfs/dbraw/zinc/62/15/58/360621558.db2.gz AHPNXXWEPDGKMJ-DFBGVHRSSA-N 0 1 295.383 0.745 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@H]2NC(=O)CC[C@H]2C1 ZINC000595737161 360634772 /nfs/dbraw/zinc/63/47/72/360634772.db2.gz GOZCMYXCVBKDEX-FRRDWIJNSA-N 0 1 292.383 0.347 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H]2NC(=O)CC[C@H]2C1 ZINC000595744970 360637521 /nfs/dbraw/zinc/63/75/21/360637521.db2.gz WUDUMZOZONAFOF-STQMWFEESA-N 0 1 292.383 0.349 20 30 CCEDMN C=C[C@H](CO)NCc1cc(C(=O)OC)cc(C(=O)OC)c1 ZINC000595864145 360684441 /nfs/dbraw/zinc/68/44/41/360684441.db2.gz SVVWQEXPEIBGEJ-CYBMUJFWSA-N 0 1 293.319 0.896 20 30 CCEDMN C[C@@]1(C#N)CCN(C(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3)C1 ZINC000595990387 360763682 /nfs/dbraw/zinc/76/36/82/360763682.db2.gz QMXCWQNAEVPSBU-ZOWXZIJZSA-N 0 1 290.367 0.101 20 30 CCEDMN C=CCN1CC[C@H]([N@H+](CC(=O)[O-])C2CCC2)C1=O ZINC000655933515 412707215 /nfs/dbraw/zinc/70/72/15/412707215.db2.gz MZZQSAWGQMPQHD-NSHDSACASA-N 0 1 252.314 0.712 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+](CC(=O)[O-])C2CCC2)C1=O ZINC000655933515 412707216 /nfs/dbraw/zinc/70/72/16/412707216.db2.gz MZZQSAWGQMPQHD-NSHDSACASA-N 0 1 252.314 0.712 20 30 CCEDMN Cn1ncc(C#N)c1N1CCC[C@@H](CN2CCOCC2)C1 ZINC000596225956 360843169 /nfs/dbraw/zinc/84/31/69/360843169.db2.gz HOMNAVQSITUCDI-ZDUSSCGKSA-N 0 1 289.383 0.840 20 30 CCEDMN N#CC1(CNC(=O)NCCCc2nc[nH]n2)CCC1 ZINC000596633145 360934213 /nfs/dbraw/zinc/93/42/13/360934213.db2.gz XZGQAJFPYGLYJU-UHFFFAOYSA-N 0 1 262.317 0.730 20 30 CCEDMN CO[C@@H]1CC[C@@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000597165404 361020997 /nfs/dbraw/zinc/02/09/97/361020997.db2.gz IGHMJZVTHIIDNG-VXGBXAGGSA-N 0 1 265.357 0.906 20 30 CCEDMN CN1CCN(C[C@H](O)CC(C)(C)C#N)C[C@@H]1c1ncc[nH]1 ZINC000598610121 361459031 /nfs/dbraw/zinc/45/90/31/361459031.db2.gz DIOXFIDUFGFFNF-CHWSQXEVSA-N 0 1 291.399 0.999 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCCC[C@H]1C(N)=O ZINC000598590971 361452563 /nfs/dbraw/zinc/45/25/63/361452563.db2.gz XJMHSSRKUMJMIM-MNOVXSKESA-N 0 1 253.346 0.627 20 30 CCEDMN CO[C@@H](C(=O)N=c1[nH]n(C)cc1C#N)C1CCCC1 ZINC000598817720 361522261 /nfs/dbraw/zinc/52/22/61/361522261.db2.gz XOQVQSAFZMHURH-LLVKDONJSA-N 0 1 262.313 0.857 20 30 CCEDMN COC(=O)c1cc(S(=O)(=O)N[C@@H](C)CC#N)on1 ZINC000599225316 361615128 /nfs/dbraw/zinc/61/51/28/361615128.db2.gz IFAPYJVLJSFZAH-LURJTMIESA-N 0 1 273.270 0.042 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCCC[C@@H]2C(N)=O)CCOCC1 ZINC000599261982 361624221 /nfs/dbraw/zinc/62/42/21/361624221.db2.gz ZOJLJHBIHHFKNY-CHWSQXEVSA-N 0 1 295.383 0.398 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCOCC3(CCC3)C2)[nH]1 ZINC000612752008 364007798 /nfs/dbraw/zinc/00/77/98/364007798.db2.gz HCBGLNSVKACRLK-UHFFFAOYSA-N 0 1 289.339 0.748 20 30 CCEDMN CCO[C@H]1COCC[C@@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000612752015 364008279 /nfs/dbraw/zinc/00/82/79/364008279.db2.gz HRYHGACBLQMDJA-QWRGUYRKSA-N 0 1 293.327 0.029 20 30 CCEDMN C=CCCOCCNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000613016142 364088011 /nfs/dbraw/zinc/08/80/11/364088011.db2.gz ALPAGJCHPIKTPR-SNVBAGLBSA-N 0 1 264.329 0.934 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000613150362 364131082 /nfs/dbraw/zinc/13/10/82/364131082.db2.gz AZKMCOMTPVVTDY-MNOVXSKESA-N 0 1 276.340 0.935 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCN(CCOC)[C@H](CCC)C1 ZINC000613159651 364135454 /nfs/dbraw/zinc/13/54/54/364135454.db2.gz IERYQIQPGHLMIO-UKRRQHHQSA-N 0 1 284.400 0.883 20 30 CCEDMN C[NH+](C)C[C@@](C)(O)CNC(=O)c1cc([O-])cc(C#N)c1 ZINC000614301672 364566062 /nfs/dbraw/zinc/56/60/62/364566062.db2.gz SQNLQXPJCBWBHW-AWEZNQCLSA-N 0 1 277.324 0.306 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000615089143 364844347 /nfs/dbraw/zinc/84/43/47/364844347.db2.gz WRCHVXBVPZTQLW-WCQYABFASA-N 0 1 268.357 0.245 20 30 CCEDMN CN(CC#CCN1C(=O)c2ccccc2C1=O)C1(CO)CC1 ZINC000625425425 368193493 /nfs/dbraw/zinc/19/34/93/368193493.db2.gz HIJQWTGPHFQKLD-UHFFFAOYSA-N 0 1 298.342 0.743 20 30 CCEDMN C=CC[C@H](CO)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000626448903 368548343 /nfs/dbraw/zinc/54/83/43/368548343.db2.gz PZZGSUDTMOMDQB-SNVBAGLBSA-N 0 1 299.352 0.120 20 30 CCEDMN C=CCN1C(=O)N=NC1SCCc1cn(C)nn1 ZINC000629161121 369538020 /nfs/dbraw/zinc/53/80/20/369538020.db2.gz GVQZDKRRULEXBX-UHFFFAOYSA-N 0 1 266.330 0.633 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)N(C)C)C1=O ZINC000684988051 545779449 /nfs/dbraw/zinc/77/94/49/545779449.db2.gz QJGWRYWZCBLPGF-QWRGUYRKSA-N 0 1 253.346 0.182 20 30 CCEDMN CC[C@@](C)(NCC(=O)N[C@](C)(C#N)C1CC1)C(N)=O ZINC000177137691 261334923 /nfs/dbraw/zinc/33/49/23/261334923.db2.gz DEBWSOVYKWQRFN-CHWSQXEVSA-N 0 1 266.345 0.038 20 30 CCEDMN C=CCOc1cccnc1C(=O)NC[C@@H]1COCCN1 ZINC000638616937 375208719 /nfs/dbraw/zinc/20/87/19/375208719.db2.gz QONHSLFDASQEKG-LLVKDONJSA-N 0 1 277.324 0.365 20 30 CCEDMN C=CCn1cc(CNC[C@@H](OC)[C@H]2CCOC2)nn1 ZINC000639276540 375689876 /nfs/dbraw/zinc/68/98/76/375689876.db2.gz ZJQXYITXTAXWTJ-WCQYABFASA-N 0 1 266.345 0.605 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[NH+]1CCC(c2n[n-]c(=N)o2)CC1 ZINC000639727657 375955657 /nfs/dbraw/zinc/95/56/57/375955657.db2.gz WNQMWDSYFAFYEJ-SECBINFHSA-N 0 1 279.344 0.352 20 30 CCEDMN C=CCCn1cc(C[N@@H+]2CC3CC2(C(=O)[O-])C3)nn1 ZINC000656760536 412850463 /nfs/dbraw/zinc/85/04/63/412850463.db2.gz CREUMTGBDBNQPR-UHFFFAOYSA-N 0 1 262.313 0.903 20 30 CCEDMN C=CCC[C@H]1NC(=O)N(Cc2n[nH]c(COC)n2)C1=O ZINC000656834734 412856772 /nfs/dbraw/zinc/85/67/72/412856772.db2.gz ZSRBHXNRZYPXKG-MRVPVSSYSA-N 0 1 279.300 0.338 20 30 CCEDMN C=CC[C@H](CO)NS(=O)(=O)c1ccccc1O ZINC000656959868 412868592 /nfs/dbraw/zinc/86/85/92/412868592.db2.gz QJBQKXLOLBJYOH-SECBINFHSA-N 0 1 257.311 0.608 20 30 CCEDMN N#Cc1ccc(CN2CCC(OCCO)CC2)nc1 ZINC000516978109 249196547 /nfs/dbraw/zinc/19/65/47/249196547.db2.gz BHHOOYIVGZMTTP-UHFFFAOYSA-N 0 1 261.325 0.927 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN[C@@H](C(N)=O)C(C)(C)C ZINC000106444176 261843775 /nfs/dbraw/zinc/84/37/75/261843775.db2.gz MCLYUDZKZKTSEL-SMDDNHRTSA-N 0 1 282.388 0.530 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)[C@H]2CCCN2C)n1 ZINC000279103020 194284916 /nfs/dbraw/zinc/28/49/16/194284916.db2.gz JSPJDICLQWIKBJ-CQSZACIVSA-N 0 1 274.368 0.959 20 30 CCEDMN C=CCCn1cc(CNC[C@H](O)C2CCOCC2)nn1 ZINC000657368329 412974452 /nfs/dbraw/zinc/97/44/52/412974452.db2.gz OLMMFKBEHGATOZ-AWEZNQCLSA-N 0 1 280.372 0.731 20 30 CCEDMN CO[C@H](C)CN(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000353251274 533080485 /nfs/dbraw/zinc/08/04/85/533080485.db2.gz FQDNSBXMTYSFDO-MFKMUULPSA-N 0 1 253.346 0.762 20 30 CCEDMN C=CCCn1cc(CNCC2(N3CCOCC3)CC2)nn1 ZINC000657450724 412991524 /nfs/dbraw/zinc/99/15/24/412991524.db2.gz TVALIYUNSWLBMG-UHFFFAOYSA-N 0 1 291.399 0.809 20 30 CCEDMN N#Cc1cncc(NCCCN2CCC[C@H]2C(N)=O)n1 ZINC000575055262 335060055 /nfs/dbraw/zinc/06/00/55/335060055.db2.gz JCWPNOQCYRLQKY-NSHDSACASA-N 0 1 274.328 0.100 20 30 CCEDMN C=CCN(Cc1[nH]ncc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000284314606 388776458 /nfs/dbraw/zinc/77/64/58/388776458.db2.gz VXPFNELUAGOWHQ-LLVKDONJSA-N 0 1 269.370 0.893 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@H](OC)C[C@H]2c2nnc[nH]2)C1=O ZINC000284677335 388781812 /nfs/dbraw/zinc/78/18/12/388781812.db2.gz RHKFQPOLZOEFKP-WOPDTQHZSA-N 0 1 291.355 0.353 20 30 CCEDMN C=CCCS(=O)(=O)N1C[C@H](OC)C[C@H]1c1nnc[nH]1 ZINC000284873713 388785126 /nfs/dbraw/zinc/78/51/26/388785126.db2.gz DOGMEUCIHNZLNE-ZJUUUORDSA-N 0 1 286.357 0.472 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)NCCN(C)CCOC ZINC000285993040 388823530 /nfs/dbraw/zinc/82/35/30/388823530.db2.gz OWPWNEMTBVMRDO-UHFFFAOYSA-N 0 1 285.388 0.105 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CC1(CO)CC1 ZINC000186790384 388852510 /nfs/dbraw/zinc/85/25/10/388852510.db2.gz HPPCQNKPWYFXSK-SNVBAGLBSA-N 0 1 269.345 0.091 20 30 CCEDMN C=C[C@@H](CO)N[C@@H]1CCCc2ccc(S(N)(=O)=O)cc21 ZINC000289904086 388897600 /nfs/dbraw/zinc/89/76/00/388897600.db2.gz UAKPBYGBYMMDTF-SMDDNHRTSA-N 0 1 296.392 0.848 20 30 CCEDMN C=C[C@@H](CO)N[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000289612379 388876537 /nfs/dbraw/zinc/87/65/37/388876537.db2.gz DFGDXHXTKSXFDW-ONGXEEELSA-N 0 1 270.354 0.532 20 30 CCEDMN C#CCONC(=O)C1CN(C(=O)OC(C)(C)C)C1 ZINC000291205320 388919122 /nfs/dbraw/zinc/91/91/22/388919122.db2.gz HZNAAUQUMWHJCT-UHFFFAOYSA-N 0 1 254.286 0.534 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](C(=O)NCCCC)C1 ZINC000051062270 388926719 /nfs/dbraw/zinc/92/67/19/388926719.db2.gz ZFDOCZHVXUJSEP-ZDUSSCGKSA-N 0 1 281.400 0.917 20 30 CCEDMN C#CCN(C)[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000061799499 388937553 /nfs/dbraw/zinc/93/75/53/388937553.db2.gz FIGGXFSAEZCBQP-SNVBAGLBSA-N 0 1 252.339 0.960 20 30 CCEDMN C#CCNC(=O)CC[N@H+](C)[C@@H](C)CNC(=O)OC(C)(C)C ZINC000292718454 388954786 /nfs/dbraw/zinc/95/47/86/388954786.db2.gz AHZXFHFYHBUDMM-LBPRGKRZSA-N 0 1 297.399 0.971 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCSC[C@@H](C)C1 ZINC000190482418 388992281 /nfs/dbraw/zinc/99/22/81/388992281.db2.gz QQWCQFWNZCRGJU-JTQLQIEISA-N 0 1 271.386 0.683 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCSC[C@@H](C)C1 ZINC000190482418 388992282 /nfs/dbraw/zinc/99/22/82/388992282.db2.gz QQWCQFWNZCRGJU-JTQLQIEISA-N 0 1 271.386 0.683 20 30 CCEDMN CNC(=O)[C@H](C)CN(C)CC(=O)NC1(C#N)CCCCC1 ZINC000081529382 389000112 /nfs/dbraw/zinc/00/01/12/389000112.db2.gz SVMFGOIFYZZVPS-GFCCVEGCSA-N 0 1 294.399 0.643 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CC(=O)N[C@@H]2CCCC[C@@H]21 ZINC000092800959 389032413 /nfs/dbraw/zinc/03/24/13/389032413.db2.gz NJGUERWJORBAQL-OLZOCXBDSA-N 0 1 292.383 0.492 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1CCN(C)CC[C@@H]1C ZINC000355290669 389054667 /nfs/dbraw/zinc/05/46/67/389054667.db2.gz NUPNOWYBBCEPNB-LBPRGKRZSA-N 0 1 299.440 0.574 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C[C@H](C)O)C[C@@H]2C)C1=O ZINC000337438090 389061276 /nfs/dbraw/zinc/06/12/76/389061276.db2.gz YAAPVLLFCJKJPZ-IHRRRGAJSA-N 0 1 281.400 0.160 20 30 CCEDMN CCN(CCOCCO)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000337493365 389063015 /nfs/dbraw/zinc/06/30/15/389063015.db2.gz PGSJLRLPYIHJBL-GFCCVEGCSA-N 0 1 283.372 0.268 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(CC(=O)N2CCCC2)CC1 ZINC000305047371 389083872 /nfs/dbraw/zinc/08/38/72/389083872.db2.gz BJCNDYDQKINQPU-HNNXBMFYSA-N 0 1 295.427 0.554 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000337040843 389038853 /nfs/dbraw/zinc/03/88/53/389038853.db2.gz MEJPULYVQUWEFL-WDEREUQCSA-N 0 1 258.387 0.477 20 30 CCEDMN C=CCN(CCOC)C(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000355131125 389044041 /nfs/dbraw/zinc/04/40/41/389044041.db2.gz KHGSIRWTSLFBMS-LBPRGKRZSA-N 0 1 295.383 0.000 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000355135983 389044124 /nfs/dbraw/zinc/04/41/24/389044124.db2.gz FGNUWUREFCCWOC-GFCCVEGCSA-N 0 1 279.384 0.764 20 30 CCEDMN CC[C@@H]1CN(C)CCCN1S(=O)(=O)[C@@H](C)C#N ZINC000300531962 389045526 /nfs/dbraw/zinc/04/55/26/389045526.db2.gz DOUCYMJNCGMKPN-WDEREUQCSA-N 0 1 259.375 0.644 20 30 CCEDMN Cc1nc(C(=O)N[C@H](C)c2nnc[nH]2)c(=O)[nH]c1C ZINC000328926104 389145460 /nfs/dbraw/zinc/14/54/60/389145460.db2.gz FCQJNNCUTJUKBP-SSDOTTSWSA-N 0 1 262.273 0.983 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@H](N2CCN(CC)CC2)C1 ZINC000338980560 389120715 /nfs/dbraw/zinc/12/07/15/389120715.db2.gz KTVARSFBXXMPBJ-HNNXBMFYSA-N 0 1 267.417 0.901 20 30 CCEDMN CCCN(CCOCCC#N)[C@H]1CC(=O)N(C)C1=O ZINC000339166068 389126913 /nfs/dbraw/zinc/12/69/13/389126913.db2.gz QUUNQPFMCIALIE-NSHDSACASA-N 0 1 267.329 0.386 20 30 CCEDMN CN1CCC[C@H](NC(=O)[C@@H]2CCc3[nH]nnc3C2)C1=O ZINC000328992075 389149037 /nfs/dbraw/zinc/14/90/37/389149037.db2.gz VPOOIYJGHAYEAP-SCZZXKLOSA-N 0 1 277.328 0.487 20 30 CCEDMN CN1CCC[C@H](NC(=O)[C@@H]2CCc3nn[nH]c3C2)C1=O ZINC000328992075 389149040 /nfs/dbraw/zinc/14/90/40/389149040.db2.gz VPOOIYJGHAYEAP-SCZZXKLOSA-N 0 1 277.328 0.487 20 30 CCEDMN CN(C)c1nc(C(=O)NCCN2CC=CCC2)cc(=O)[nH]1 ZINC000328740640 389135086 /nfs/dbraw/zinc/13/50/86/389135086.db2.gz INKOULFHFCOIKI-UHFFFAOYSA-N 0 1 291.355 0.815 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](C)N(C)[C@@H](C)C2)C1=O ZINC000339626453 389136644 /nfs/dbraw/zinc/13/66/44/389136644.db2.gz BMSARFHBCJEIQW-XQQFMLRXSA-N 0 1 251.374 0.798 20 30 CCEDMN Cn1nc2c(cc1=O)CN([C@H]1CC[C@@H](C#N)C1)CC2 ZINC000328780924 389138055 /nfs/dbraw/zinc/13/80/55/389138055.db2.gz UPPJMBZIXNBLKV-PWSUYJOCSA-N 0 1 258.325 0.831 20 30 CCEDMN CN(CC(=O)NCCN1C(=O)CCC1=O)[C@H]1CCSC1 ZINC000328796389 389138720 /nfs/dbraw/zinc/13/87/20/389138720.db2.gz DAZBSDSEAGRQNJ-JTQLQIEISA-N 0 1 299.396 0.529 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCO[C@@H](C(C)C)C1 ZINC000339821818 389139863 /nfs/dbraw/zinc/13/98/63/389139863.db2.gz QSCLZHKYUMXYDK-GFCCVEGCSA-N 0 1 283.372 0.745 20 30 CCEDMN CCn1nncc1C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000328817549 389139862 /nfs/dbraw/zinc/13/98/62/389139862.db2.gz HJPNIXKYOKMHAK-NSHDSACASA-N 0 1 280.376 0.239 20 30 CCEDMN O=C(NCCN1CCC1)N[C@@H]1CCO[C@H]1C1CC1 ZINC000328855015 389141756 /nfs/dbraw/zinc/14/17/56/389141756.db2.gz WRILQPZQHYSFBR-NEPJUHHUSA-N 0 1 253.346 0.763 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCc2[nH]nnc2C1 ZINC000359748159 389182534 /nfs/dbraw/zinc/18/25/34/389182534.db2.gz YCBNKAJGSVIBFY-SECBINFHSA-N 0 1 250.302 0.671 20 30 CCEDMN Cc1nc(CNC([O-])=[NH+][C@H]2CCc3cn[nH]c3C2)no1 ZINC000329857401 389206754 /nfs/dbraw/zinc/20/67/54/389206754.db2.gz ZDNZCFMTMJMNLV-VIFPVBQESA-N 0 1 276.300 0.662 20 30 CCEDMN Cc1nc(CNC(=O)N[C@H]2CCc3c[nH]nc3C2)no1 ZINC000329857401 389206756 /nfs/dbraw/zinc/20/67/56/389206756.db2.gz ZDNZCFMTMJMNLV-VIFPVBQESA-N 0 1 276.300 0.662 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)CN(C)[C@H]1CCSC1 ZINC000342205908 389200582 /nfs/dbraw/zinc/20/05/82/389200582.db2.gz IZCMRXLWRVWQNM-NEPJUHHUSA-N 0 1 272.414 0.725 20 30 CCEDMN C[C@H]1C[C@@H](NS(=O)(=O)N(C)CCC#N)CCN1C ZINC000360654692 389201181 /nfs/dbraw/zinc/20/11/81/389201181.db2.gz VQDSHYWZDVYQQD-QWRGUYRKSA-N 0 1 274.390 0.149 20 30 CCEDMN C[C@@H]1C[C@@H](NS(=O)(=O)CCCC#N)CCN1C ZINC000360654733 389201208 /nfs/dbraw/zinc/20/12/08/389201208.db2.gz VXXWDSFEWYCWCS-MNOVXSKESA-N 0 1 259.375 0.692 20 30 CCEDMN C#C[C@@H](C)NS(=O)(=O)c1scnc1C(=O)OC ZINC000122966887 389154355 /nfs/dbraw/zinc/15/43/55/389154355.db2.gz MTAWYXQJOOLUID-ZCFIWIBFSA-N 0 1 274.323 0.230 20 30 CCEDMN Cc1cc(CNC([O-])=[NH+][C@H]2CCc3ncnn3C2)n(C)n1 ZINC000329285019 389154542 /nfs/dbraw/zinc/15/45/42/389154542.db2.gz OCXAVZLHYGRMQN-JTQLQIEISA-N 0 1 289.343 0.339 20 30 CCEDMN Cc1nc(NC(=O)[C@@H]2CCc3[nH]nnc3C2)nn1C ZINC000329309964 389155989 /nfs/dbraw/zinc/15/59/89/389155989.db2.gz ZGJZTQCAOGUDLI-SSDOTTSWSA-N 0 1 261.289 0.635 20 30 CCEDMN Cc1nc(NC(=O)[C@@H]2CCc3nn[nH]c3C2)nn1C ZINC000329309964 389155990 /nfs/dbraw/zinc/15/59/90/389155990.db2.gz ZGJZTQCAOGUDLI-SSDOTTSWSA-N 0 1 261.289 0.635 20 30 CCEDMN Cc1ccn(C)c(=O)c1NC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000329342222 389158080 /nfs/dbraw/zinc/15/80/80/389158080.db2.gz BAUOTYMBTOHEER-QMMMGPOBSA-N 0 1 276.300 0.899 20 30 CCEDMN N#Cc1ccc(C(=O)NC2(c3nn[nH]n3)CCCC2)o1 ZINC000340464308 389160898 /nfs/dbraw/zinc/16/08/98/389160898.db2.gz NYKJUNDRBAFUMA-UHFFFAOYSA-N 0 1 272.268 0.864 20 30 CCEDMN Cc1nnc2n1C[C@@H](CNC(=O)NC1(CO)CCC1)CC2 ZINC000329820432 389204418 /nfs/dbraw/zinc/20/44/18/389204418.db2.gz GVQDZBKTBKYRCH-LLVKDONJSA-N 0 1 293.371 0.568 20 30 CCEDMN Cc1nnc2n1C[C@@H](C[NH+]=C([O-])NC1(CO)CCC1)CC2 ZINC000329820432 389204423 /nfs/dbraw/zinc/20/44/23/389204423.db2.gz GVQDZBKTBKYRCH-LLVKDONJSA-N 0 1 293.371 0.568 20 30 CCEDMN CCn1cnnc1CNC(=O)N1CCN(C2CCC2)CC1 ZINC000329554685 389170937 /nfs/dbraw/zinc/17/09/37/389170937.db2.gz PZKMIEKPRMQPJN-UHFFFAOYSA-N 0 1 292.387 0.882 20 30 CCEDMN CCN1CCN(CC(=O)N2CCC(F)(C#N)CC2)CC1 ZINC000359357631 389172147 /nfs/dbraw/zinc/17/21/47/389172147.db2.gz GCYBGHWERNJPPR-UHFFFAOYSA-N 0 1 282.363 0.478 20 30 CCEDMN [O-]C(N[C@@H]1CCc2c[nH]nc2C1)=[NH+]CCn1cccn1 ZINC000329826709 389204968 /nfs/dbraw/zinc/20/49/68/389204968.db2.gz ITIBYEPSOZICTC-LLVKDONJSA-N 0 1 274.328 0.667 20 30 CCEDMN O=C(NCCn1cccn1)N[C@@H]1CCc2c[nH]nc2C1 ZINC000329826709 389204971 /nfs/dbraw/zinc/20/49/71/389204971.db2.gz ITIBYEPSOZICTC-LLVKDONJSA-N 0 1 274.328 0.667 20 30 CCEDMN COCC[N@@H+]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000330656558 389250358 /nfs/dbraw/zinc/25/03/58/389250358.db2.gz VDEQSYNBMUBCPI-JTQLQIEISA-N 0 1 265.313 0.813 20 30 CCEDMN COCC[N@H+]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000330656558 389250360 /nfs/dbraw/zinc/25/03/60/389250360.db2.gz VDEQSYNBMUBCPI-JTQLQIEISA-N 0 1 265.313 0.813 20 30 CCEDMN COC(=O)CC1(NCC2(C#N)CC2)CCOCC1 ZINC000343325916 389264995 /nfs/dbraw/zinc/26/49/95/389264995.db2.gz NXIUBNPRMASILK-UHFFFAOYSA-N 0 1 252.314 0.992 20 30 CCEDMN C[C@@]1(CNC(=O)N2CCn3ncnc3C2)CCOC1 ZINC000329916814 389211508 /nfs/dbraw/zinc/21/15/08/389211508.db2.gz DXQRUVGQINARBZ-LBPRGKRZSA-N 0 1 265.317 0.434 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000245538869 389211690 /nfs/dbraw/zinc/21/16/90/389211690.db2.gz NJGUERWJORBAQL-CHWSQXEVSA-N 0 1 292.383 0.492 20 30 CCEDMN C[C@@H]1COC[C@@H](C)N1CCC(=O)N(C)CCC#N ZINC000131679245 389216490 /nfs/dbraw/zinc/21/64/90/389216490.db2.gz QTQYCUVEWZXFLE-VXGBXAGGSA-N 0 1 253.346 0.858 20 30 CCEDMN CNC(=O)[C@@H]1CN(C(=O)[C@H]2CCCN2C2CC2)CCO1 ZINC000330218212 389218830 /nfs/dbraw/zinc/21/88/30/389218830.db2.gz JCUSZZFDKWLKAX-NEPJUHHUSA-N 0 1 281.356 0.427 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCN(CCOC)[C@@H](CCC)C1 ZINC000245850280 389219310 /nfs/dbraw/zinc/21/93/10/389219310.db2.gz CTODNDWWGKPLRD-CABCVRRESA-N 0 1 295.427 0.557 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)NCCN1CCCCC1 ZINC000330370462 389221762 /nfs/dbraw/zinc/22/17/62/389221762.db2.gz FKISVNFGJCGRRP-GFCCVEGCSA-N 0 1 288.417 0.398 20 30 CCEDMN CNC(=O)[C@@H](C)CN(C)CC(=O)N[C@](C)(C#N)C(C)C ZINC000246249475 389226192 /nfs/dbraw/zinc/22/61/92/389226192.db2.gz SQJKMOKKDAIAMD-SMDDNHRTSA-N 0 1 282.388 0.355 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1C[C@@H](C)[C@H](CO)C1 ZINC000362086437 389230310 /nfs/dbraw/zinc/23/03/10/389230310.db2.gz JKTPVZOLFLHSAG-CXTNEJHOSA-N 0 1 281.400 0.990 20 30 CCEDMN C=CCN(C(=O)c1ccn[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC000330464674 389232163 /nfs/dbraw/zinc/23/21/63/389232163.db2.gz DZBWKTMGUITXQL-SECBINFHSA-N 0 1 269.326 0.225 20 30 CCEDMN COC(=O)c1cc(C(=O)NCCN2CCN(C)CC2)no1 ZINC000330467300 389232876 /nfs/dbraw/zinc/23/28/76/389232876.db2.gz XOYZGATXAITCSX-UHFFFAOYSA-N 0 1 296.327 0.013 20 30 CCEDMN CS[C@H]1CN(S(=O)(=O)CCCC#N)C[C@H]1N(C)C ZINC000330535359 389239277 /nfs/dbraw/zinc/23/92/77/389239277.db2.gz OOLJGXAWHGBIIV-MNOVXSKESA-N 0 1 291.442 0.597 20 30 CCEDMN CC[C@@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@@H]1O ZINC000346971730 389343013 /nfs/dbraw/zinc/34/30/13/389343013.db2.gz NOHVULXRPRQIIS-MNOVXSKESA-N 0 1 251.330 0.252 20 30 CCEDMN N#CCCCNC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000345835879 389316888 /nfs/dbraw/zinc/31/68/88/389316888.db2.gz GFJNJLSUYPXPAH-CYBMUJFWSA-N 0 1 280.372 0.654 20 30 CCEDMN COC(=O)[C@]1(C)CCN([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346408403 389328412 /nfs/dbraw/zinc/32/84/12/389328412.db2.gz GZJBGKNGLHDQPZ-SMDDNHRTSA-N 0 1 293.367 0.822 20 30 CCEDMN CCN(C)CCNS(=O)(=O)CC1(CC#N)CC1 ZINC000346444116 389329434 /nfs/dbraw/zinc/32/94/34/389329434.db2.gz ONYBFOAHEDKJNY-UHFFFAOYSA-N 0 1 259.375 0.551 20 30 CCEDMN CC[C@@]1(O)CCN([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346541508 389331832 /nfs/dbraw/zinc/33/18/32/389331832.db2.gz WCTRLLQUFQRCFY-BXUZGUMPSA-N 0 1 265.357 0.784 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@H](O)[C@H](C)C1 ZINC000346576010 389332683 /nfs/dbraw/zinc/33/26/83/389332683.db2.gz QLDWUAMWRCEOJN-UTUOFQBUSA-N 0 1 265.357 0.640 20 30 CCEDMN N#Cc1cc(F)c(S(=O)(=O)NCCO)c(F)c1 ZINC000157890837 389333506 /nfs/dbraw/zinc/33/35/06/389333506.db2.gz IPHPQMQKWGTHIO-UHFFFAOYSA-N 0 1 262.237 0.107 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](C(=O)OC)[C@@H]1C ZINC000346677428 389334515 /nfs/dbraw/zinc/33/45/15/389334515.db2.gz ZQIHFOZHXIPHME-FRRDWIJNSA-N 0 1 295.383 0.878 20 30 CCEDMN CC#CCN1CCC([C@H](O)C(=O)NC2CC2)CC1 ZINC000332098875 389335479 /nfs/dbraw/zinc/33/54/79/389335479.db2.gz ANQFLKVIERKLCD-ZDUSSCGKSA-N 0 1 250.342 0.361 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CC[C@]2(CCOC2)C1 ZINC000153629626 389305125 /nfs/dbraw/zinc/30/51/25/389305125.db2.gz CWUOSMOPWRYTMH-HNNXBMFYSA-N 0 1 290.367 0.755 20 30 CCEDMN CNc1nc(C)cc(C(=O)N=c2[nH]n(C(C)C)cc2C#N)n1 ZINC000410542396 389385682 /nfs/dbraw/zinc/38/56/82/389385682.db2.gz REOFONHIIYEIGQ-UHFFFAOYSA-N 0 1 299.338 0.572 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N(C)C[C@H](C)C#N)C1 ZINC000349940924 389465280 /nfs/dbraw/zinc/46/52/80/389465280.db2.gz YHTIJKRLXJLMME-VXGBXAGGSA-N 0 1 268.361 0.508 20 30 CCEDMN C#CCCCC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000365243493 262354324 /nfs/dbraw/zinc/35/43/24/262354324.db2.gz HWESSGIMUXEFFZ-UONOGXRCSA-N 0 1 264.369 0.848 20 30 CCEDMN C[C@@H](O)[C@@H]1CCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000168386644 389449708 /nfs/dbraw/zinc/44/97/08/389449708.db2.gz AITLBXKMBUNLTF-CHWSQXEVSA-N 0 1 278.356 0.345 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2cc(C)nc(C#N)c2)C1 ZINC000413495343 389521904 /nfs/dbraw/zinc/52/19/04/389521904.db2.gz IXCYBFQNAWXOGF-GFCCVEGCSA-N 0 1 288.351 0.803 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N[C@@H](CO)CN1CCCCC1 ZINC000413793287 389558162 /nfs/dbraw/zinc/55/81/62/389558162.db2.gz ALIAWEYTKGPXBN-TZMCWYRMSA-N 0 1 267.373 0.889 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000176646960 389561720 /nfs/dbraw/zinc/56/17/20/389561720.db2.gz VUOHXZSNQVBYIZ-ZJUUUORDSA-N 0 1 260.297 0.581 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCC(=O)NC(C)C)C1=O ZINC000281256586 389612292 /nfs/dbraw/zinc/61/22/92/389612292.db2.gz HDUYWZTUURWXSH-LBPRGKRZSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@](C)(O)C(=O)OCC)C1=O ZINC000281443120 389616617 /nfs/dbraw/zinc/61/66/17/389616617.db2.gz UELNWEVJQYTRGD-BXUZGUMPSA-N 0 1 284.356 0.019 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc3[nH]nnc3c2)C1=O ZINC000281564718 389619764 /nfs/dbraw/zinc/61/97/64/389619764.db2.gz AHEXVXOWUMJGQF-ZDUSSCGKSA-N 0 1 299.334 0.817 20 30 CCEDMN N#CCSCCCN1CCN2C(=O)OC[C@@H]2C1 ZINC000374554264 389588207 /nfs/dbraw/zinc/58/82/07/389588207.db2.gz ORVVSAZGFMUTHG-JTQLQIEISA-N 0 1 255.343 0.770 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN1C[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000414128218 389601653 /nfs/dbraw/zinc/60/16/53/389601653.db2.gz RVWGVMSUTHRHMT-HRCADAONSA-N 0 1 293.411 0.836 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H](c2nnc[nH]2)C1 ZINC000425553404 389652788 /nfs/dbraw/zinc/65/27/88/389652788.db2.gz UIAXEJDYXKHRRG-LBPRGKRZSA-N 0 1 275.356 0.795 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@H](c2nnc[nH]2)C1 ZINC000425553404 389652792 /nfs/dbraw/zinc/65/27/92/389652792.db2.gz UIAXEJDYXKHRRG-LBPRGKRZSA-N 0 1 275.356 0.795 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](C(=O)OC)C2)C1=O ZINC000282132178 389658522 /nfs/dbraw/zinc/65/85/22/389658522.db2.gz YWASHBWEUCRSET-MNOVXSKESA-N 0 1 252.314 0.268 20 30 CCEDMN C=C[C@@H](CO)NC(=O)CCSc1nnc(C)[nH]1 ZINC000426857558 389679463 /nfs/dbraw/zinc/67/94/63/389679463.db2.gz PLCSTAWVKFQZCV-QMMMGPOBSA-N 0 1 256.331 0.258 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)Nc2cccc(C#N)c2)[C@@H](C)CN1 ZINC000415559725 389692116 /nfs/dbraw/zinc/69/21/16/389692116.db2.gz KTLDVGMSGPKPGW-QWRGUYRKSA-N 0 1 286.335 0.706 20 30 CCEDMN CCN1CCN(CC(=O)NC2(C#N)CCC2)[C@@H](C)C1 ZINC000352660371 389834072 /nfs/dbraw/zinc/83/40/72/389834072.db2.gz NRUIOKNFHFKGBC-LBPRGKRZSA-N 0 1 264.373 0.575 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@H]1CCS(=O)(=O)C1 ZINC000432539006 389883266 /nfs/dbraw/zinc/88/32/66/389883266.db2.gz SBAQQVGQGKOYLQ-MRVPVSSYSA-N 0 1 289.382 0.697 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000419325029 389891142 /nfs/dbraw/zinc/89/11/42/389891142.db2.gz KLBDVVJGMUTCQL-CABCVRRESA-N 0 1 295.427 0.574 20 30 CCEDMN CC#CCCN1CCC[C@@H]1C(=O)NCC(=O)OC ZINC000419363799 389891533 /nfs/dbraw/zinc/89/15/33/389891533.db2.gz VSIJUNYPVBWBEM-LLVKDONJSA-N 0 1 252.314 0.153 20 30 CCEDMN C[C@H]1CN(C(=O)NCC2(C#N)CCC2)[C@@H](C)CN1CCO ZINC000419641813 389902759 /nfs/dbraw/zinc/90/27/59/389902759.db2.gz LGGWWOUSXOBSQS-STQMWFEESA-N 0 1 294.399 0.777 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CN(C)CC(C)(C)C#N)C[C@@H](C)O1 ZINC000433294194 389907864 /nfs/dbraw/zinc/90/78/64/389907864.db2.gz KGNVSUIGVIEBIJ-MCIONIFRSA-N 0 1 283.416 0.938 20 30 CCEDMN C[C@H]1[C@@H](CO)CCN1CC(=O)NCc1cccc(C#N)c1 ZINC000488774175 390096302 /nfs/dbraw/zinc/09/63/02/390096302.db2.gz HGKLLYIMBROSOY-SWLSCSKDSA-N 0 1 287.363 0.877 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H](C)n1cnc(C#N)n1 ZINC000490996889 390109202 /nfs/dbraw/zinc/10/92/02/390109202.db2.gz QBJCHDVYHRMDSM-VXGBXAGGSA-N 0 1 287.323 0.352 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000491669745 390115677 /nfs/dbraw/zinc/11/56/77/390115677.db2.gz NWTVHMSOAWGGQF-STQMWFEESA-N 0 1 266.389 0.333 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cccc3ncnn32)CC1 ZINC000542496256 390262136 /nfs/dbraw/zinc/26/21/36/390262136.db2.gz LFLQIUYLIFGEGO-UHFFFAOYSA-N 0 1 284.323 0.447 20 30 CCEDMN N#Cc1ccc(CN2CC[C@@H]3NC(=O)OC[C@H]3C2)nc1 ZINC000497058645 390410337 /nfs/dbraw/zinc/41/03/37/390410337.db2.gz ZNRRHJHECDYZEO-YPMHNXCESA-N 0 1 272.308 0.884 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@H]2CCCCN2CCO)nn1 ZINC000648918256 390443994 /nfs/dbraw/zinc/44/39/94/390443994.db2.gz QFYAZMSOSYDZCQ-GFCCVEGCSA-N 0 1 293.371 0.041 20 30 CCEDMN N#Cc1ccnc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)c1 ZINC000554592446 390435452 /nfs/dbraw/zinc/43/54/52/390435452.db2.gz LPDMOHCOQLDTSI-SNVBAGLBSA-N 0 1 267.292 0.964 20 30 CCEDMN COC(=O)N1CC(NC[C@H](O)c2ccc(C#N)cc2)C1 ZINC000497212998 390438866 /nfs/dbraw/zinc/43/88/66/390438866.db2.gz ZQYBLLZSKCDDSD-ZDUSSCGKSA-N 0 1 275.308 0.632 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)Nc1ccnc(C#N)c1 ZINC000603126061 390378334 /nfs/dbraw/zinc/37/83/34/390378334.db2.gz MESUSXKJIMXCDI-UHFFFAOYSA-N 0 1 259.313 0.979 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)Cc1[nH]nc2ccccc21 ZINC000649189140 390517266 /nfs/dbraw/zinc/51/72/66/390517266.db2.gz ZBJVCZKAPKSPNJ-NSHDSACASA-N 0 1 271.320 0.996 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC000565303381 390501606 /nfs/dbraw/zinc/50/16/06/390501606.db2.gz NJHXWWLSRWPVLQ-GARJFASQSA-N 0 1 297.355 0.429 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000649184574 390513648 /nfs/dbraw/zinc/51/36/48/390513648.db2.gz NONWQRYKHAKYBD-CYBMUJFWSA-N 0 1 284.319 0.976 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@H]2NCCc3ccccc32)nn1 ZINC000567927177 390711870 /nfs/dbraw/zinc/71/18/70/390711870.db2.gz WTMUEXXUYJBFNY-HNNXBMFYSA-N 0 1 297.362 0.967 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H]2CCC(=O)N(C)C2)C1=O ZINC000568178356 390712291 /nfs/dbraw/zinc/71/22/91/390712291.db2.gz NBMHILVABIPXKP-RYUDHWBXSA-N 0 1 265.357 0.326 20 30 CCEDMN COCCN(CC#N)C(=O)[C@@H](C)Cc1cnc[nH]1 ZINC000568226706 390713308 /nfs/dbraw/zinc/71/33/08/390713308.db2.gz HSTBCXSMKVIIDS-JTQLQIEISA-N 0 1 250.302 0.587 20 30 CCEDMN CC[C@@H](CC#N)NC[C@H](O)Cn1cc([N+](=O)[O-])cn1 ZINC000568659825 390714723 /nfs/dbraw/zinc/71/47/23/390714723.db2.gz KOMUHQGDFUCGMA-ONGXEEELSA-N 0 1 267.289 0.434 20 30 CCEDMN CN(Cc1cnc[nH]1)S(=O)(=O)CC1(C#N)CCC1 ZINC000570412306 390718496 /nfs/dbraw/zinc/71/84/96/390718496.db2.gz YAZGTKGLMNEVSV-UHFFFAOYSA-N 0 1 268.342 0.865 20 30 CCEDMN N#Cc1ncn(CCNCc2ccncc2Cl)n1 ZINC000527707209 390719748 /nfs/dbraw/zinc/71/97/48/390719748.db2.gz DCOOCTUJSOKKIT-UHFFFAOYSA-N 0 1 262.704 0.988 20 30 CCEDMN C[C@@H](CCC#N)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000567239574 390682417 /nfs/dbraw/zinc/68/24/17/390682417.db2.gz VPNHJLBMQLGUJS-RYUDHWBXSA-N 0 1 273.402 0.940 20 30 CCEDMN C=CCN1C(=O)N=NC1S[C@@H]1CON(CC)C1=O ZINC000527059544 390690192 /nfs/dbraw/zinc/69/01/92/390690192.db2.gz YCFJLNDLNSPYPH-SSDOTTSWSA-N 0 1 270.314 0.424 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCN(CCOC)[C@H](CCC)C1 ZINC000646949558 390747046 /nfs/dbraw/zinc/74/70/46/390747046.db2.gz KYFCUKJICSSQEC-CHWSQXEVSA-N 0 1 270.373 0.493 20 30 CCEDMN N#Cc1ccncc1N1CCN(Cc2cnc[nH]2)CC1 ZINC000578005328 390858106 /nfs/dbraw/zinc/85/81/06/390858106.db2.gz JVNLCPSGCCDSHQ-UHFFFAOYSA-N 0 1 268.324 0.999 20 30 CCEDMN CN1CCN(c2ccc(CNCCC#N)cn2)CC1 ZINC000578089188 390864258 /nfs/dbraw/zinc/86/42/58/390864258.db2.gz CUSWVXYBVVFDEF-UHFFFAOYSA-N 0 1 259.357 0.837 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncc4c(c3C#N)CCC4)C[C@@H]21 ZINC000572871341 390808832 /nfs/dbraw/zinc/80/88/32/390808832.db2.gz NEKQIDRDBRDVPY-LSDHHAIUSA-N 0 1 284.363 0.961 20 30 CCEDMN C=CCn1c(=O)[nH]nc1SCCc1c[nH]nn1 ZINC000574895559 390822890 /nfs/dbraw/zinc/82/28/90/390822890.db2.gz FELNIBGSZPBCQY-UHFFFAOYSA-N 0 1 252.303 0.623 20 30 CCEDMN N#Cc1ccc(NCCCCN2CCOCC2)nc1N ZINC000578907533 390907630 /nfs/dbraw/zinc/90/76/30/390907630.db2.gz YXDDKCQUIRPKQO-UHFFFAOYSA-N 0 1 275.356 0.482 20 30 CCEDMN Cn1cc(CNCCN2CCCS2(=O)=O)cc1C#N ZINC000190960857 130165463 /nfs/dbraw/zinc/16/54/63/130165463.db2.gz WJFBSZODAJRKNJ-UHFFFAOYSA-N 0 1 282.369 0.022 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000268446987 132011023 /nfs/dbraw/zinc/01/10/23/132011023.db2.gz ICKXYLPQRYOWPM-JQWIXIFHSA-N 0 1 275.356 0.430 20 30 CCEDMN N#Cc1ncccc1N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000368185785 144211181 /nfs/dbraw/zinc/21/11/81/144211181.db2.gz WGYGKHRHZONKOU-GJZGRUSLSA-N 0 1 272.352 0.989 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(C2(O)CC2)CC1 ZINC000649674720 397654086 /nfs/dbraw/zinc/65/40/86/397654086.db2.gz BKTUOLCZCBKEJB-LLVKDONJSA-N 0 1 252.358 0.914 20 30 CCEDMN COCC#CC[N@H+]1CC[C@H]([C@H](O)C(F)(F)F)C1 ZINC000650204793 397704670 /nfs/dbraw/zinc/70/46/70/397704670.db2.gz HXPAIYGKVMVXJQ-UWVGGRQHSA-N 0 1 251.248 0.881 20 30 CCEDMN COCC#CCN1CC[C@H]([C@H](O)C(F)(F)F)C1 ZINC000650204793 397704672 /nfs/dbraw/zinc/70/46/72/397704672.db2.gz HXPAIYGKVMVXJQ-UWVGGRQHSA-N 0 1 251.248 0.881 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)C(=O)N(C)CC2CN(C)C2)C1 ZINC000658810965 413213155 /nfs/dbraw/zinc/21/31/55/413213155.db2.gz FXUWIBRLTFXEBK-CYBMUJFWSA-N 0 1 293.411 0.821 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000550598566 286110115 /nfs/dbraw/zinc/11/01/15/286110115.db2.gz IUPUUYNYJWOBKK-CYBMUJFWSA-N 0 1 287.367 0.237 20 30 CCEDMN COc1ccc(OC)c(CNCCn2cnc(C#N)n2)c1 ZINC000285348070 196257297 /nfs/dbraw/zinc/25/72/97/196257297.db2.gz AQQJZXCHACZUGE-UHFFFAOYSA-N 0 1 287.323 0.957 20 30 CCEDMN C=CCCC[C@@H](C)NC(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC000659687855 413775486 /nfs/dbraw/zinc/77/54/86/413775486.db2.gz PURUVMOWWAEHCW-IJLUTSLNSA-N 0 1 285.363 0.745 20 30 CCEDMN CN(C)C(=O)CN(C)CCC(=O)Nc1ccccc1C#N ZINC000037250501 173063275 /nfs/dbraw/zinc/06/32/75/173063275.db2.gz SLSLHHIGHJVDKR-UHFFFAOYSA-N 0 1 288.351 0.907 20 30 CCEDMN C=CCOCCCNC(=O)NC[C@H]1CN(C)CCN1C ZINC000660641096 414421899 /nfs/dbraw/zinc/42/18/99/414421899.db2.gz WNKNMSUPPZMITH-ZDUSSCGKSA-N 0 1 284.404 0.124 20 30 CCEDMN C=CCOCCCNC(=O)N(C)Cc1nnc[nH]1 ZINC000661791795 414675553 /nfs/dbraw/zinc/67/55/53/414675553.db2.gz ODZQZJVNVNZYAG-UHFFFAOYSA-N 0 1 253.306 0.539 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(CO)(CO)C1 ZINC000661967716 414685133 /nfs/dbraw/zinc/68/51/33/414685133.db2.gz QMGNZALGEKWAPK-CYBMUJFWSA-N 0 1 284.400 0.476 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC2(COC2)C1 ZINC000662076933 414689768 /nfs/dbraw/zinc/68/97/68/414689768.db2.gz YPZZZJYNCGCTRO-UHFFFAOYSA-N 0 1 250.342 0.909 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1ccccc1OC ZINC000662163443 414694670 /nfs/dbraw/zinc/69/46/70/414694670.db2.gz AUJXPFQFXVOLMN-GFCCVEGCSA-N 0 1 264.325 0.448 20 30 CCEDMN C=CCC1(NC(=O)[C@@H](N)COC(C)C)CCOCC1 ZINC000662874070 414859656 /nfs/dbraw/zinc/85/96/56/414859656.db2.gz LVRDXUKCYFMJNC-LBPRGKRZSA-N 0 1 270.373 0.980 20 30 CCEDMN C=CCN(C(=O)NC[C@H]1CN(C)CCN1C)[C@@H](C)COC ZINC000664083183 415235302 /nfs/dbraw/zinc/23/53/02/415235302.db2.gz OQALDJMKHYHLDV-KBPBESRZSA-N 0 1 298.431 0.465 20 30 CCEDMN C[C@H]1CCCN(CC(=O)NC2(C#N)CCC2)[C@H]1CO ZINC000346976910 226002002 /nfs/dbraw/zinc/00/20/02/226002002.db2.gz RTIPUECGOMTQSV-RYUDHWBXSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000354586837 227042643 /nfs/dbraw/zinc/04/26/43/227042643.db2.gz QMPGLNGWRSSBSF-LLVKDONJSA-N 0 1 251.330 0.469 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCC1=CC[N@@H+](C)CC1 ZINC000575227650 335084202 /nfs/dbraw/zinc/08/42/02/335084202.db2.gz ZCOXXKBKAXECOH-OLZOCXBDSA-N 0 1 265.357 0.891 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NC[C@H]1CCCCO1 ZINC000329139265 227322349 /nfs/dbraw/zinc/32/23/49/227322349.db2.gz FFDXLNONPMOUFL-ZIAGYGMSSA-N 0 1 298.431 0.695 20 30 CCEDMN Cc1cnc(NC(=O)N[C@@H](C)CN2CCN(C)CC2)o1 ZINC000329986261 228007068 /nfs/dbraw/zinc/00/70/68/228007068.db2.gz FAJKVDURWGLJCM-JTQLQIEISA-N 0 1 281.360 0.945 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N1CCC[C@H]1CCO ZINC000330280461 228091485 /nfs/dbraw/zinc/09/14/85/228091485.db2.gz SLQNKQJYKFTLOR-NEPJUHHUSA-N 0 1 278.356 0.959 20 30 CCEDMN C=CCOCCNS(=O)(=O)c1ccccc1O ZINC000310154986 545010571 /nfs/dbraw/zinc/01/05/71/545010571.db2.gz JWPFEQRZOSHNCM-UHFFFAOYSA-N 0 1 257.311 0.873 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)N1CCCOCC1)CC2 ZINC000328802929 545018853 /nfs/dbraw/zinc/01/88/53/545018853.db2.gz JTXZQSGNXCLBDS-NSHDSACASA-N 0 1 279.344 0.538 20 30 CCEDMN Cc1ncsc1CNC(=O)NC[C@H]1CN(C)CCN1C ZINC000329428216 545023040 /nfs/dbraw/zinc/02/30/40/545023040.db2.gz HAARIFSTBZWTSJ-NSHDSACASA-N 0 1 297.428 0.701 20 30 CCEDMN C[C@@H](NC(=O)Nc1nn(C)cc1C#N)c1nnc[nH]1 ZINC000611519472 420495145 /nfs/dbraw/zinc/49/51/45/420495145.db2.gz UMRPZXHTIASFDP-ZCFIWIBFSA-N 0 1 260.261 0.293 20 30 CCEDMN Cn1cc(C#N)c(NC(=O)NCCN2CCCOCC2)n1 ZINC000615025412 420496580 /nfs/dbraw/zinc/49/65/80/420496580.db2.gz JFONMMFMULROKH-UHFFFAOYSA-N 0 1 292.343 0.136 20 30 CCEDMN CNS(=O)(=O)C[C@@H]1CCCN1[C@H]1CC[C@H](C#N)C1 ZINC000407989648 260153556 /nfs/dbraw/zinc/15/35/56/260153556.db2.gz IMECOOPUWJZWEJ-SRVKXCTJSA-N 0 1 271.386 0.692 20 30 CCEDMN C[C@@H](OC[C@H]1CCCO1)C(=O)NC1(C#N)CCN(C)CC1 ZINC000518025600 260336234 /nfs/dbraw/zinc/33/62/34/260336234.db2.gz XZHUZJRAGMTMJZ-CHWSQXEVSA-N 0 1 295.383 0.675 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@H]2CCCN2C2CC2)nn1 ZINC000518518593 260446123 /nfs/dbraw/zinc/44/61/23/260446123.db2.gz CFQVZVFAQMTRQI-ZDUSSCGKSA-N 0 1 275.356 0.707 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCN2C(=O)CC[C@@H]2C1 ZINC000168536674 260894358 /nfs/dbraw/zinc/89/43/58/260894358.db2.gz WNDPNBMOOUKREW-GFCCVEGCSA-N 0 1 278.356 0.055 20 30 CCEDMN C#CCN(CC)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000490838544 261221469 /nfs/dbraw/zinc/22/14/69/261221469.db2.gz CKMYFVHBLFVCRD-UHFFFAOYSA-N 0 1 277.349 0.964 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](O)[C@H](C)C1 ZINC000249540667 261254172 /nfs/dbraw/zinc/25/41/72/261254172.db2.gz DVALVERLFBOFOP-OUAUKWLOSA-N 0 1 269.345 0.089 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)COCCOCC ZINC000491095550 261324408 /nfs/dbraw/zinc/32/44/08/261324408.db2.gz LRIWKBCAKGWTKH-ZDUSSCGKSA-N 0 1 269.341 0.680 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)COCCOCC ZINC000491095550 261324410 /nfs/dbraw/zinc/32/44/10/261324410.db2.gz LRIWKBCAKGWTKH-ZDUSSCGKSA-N 0 1 269.341 0.680 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@H](OC(=O)N(C)C)C1 ZINC000497307870 262138259 /nfs/dbraw/zinc/13/82/59/262138259.db2.gz XFLFGIBXVGHHKD-MNOVXSKESA-N 0 1 255.318 0.688 20 30 CCEDMN C=CCNC(=O)CN[C@H](c1nnc[nH]1)c1ccccc1 ZINC000364751765 262261091 /nfs/dbraw/zinc/26/10/91/262261091.db2.gz BCXVJHKSLPELFC-ZDUSSCGKSA-N 0 1 271.324 0.786 20 30 CCEDMN CC1CCN(CC(=O)N2CC(O)(CC#N)C2)CC1 ZINC000186821111 262325708 /nfs/dbraw/zinc/32/57/08/262325708.db2.gz TUAGIJSFQUKFFY-UHFFFAOYSA-N 0 1 251.330 0.205 20 30 CCEDMN CN1CCN(c2ccc(CNC(=O)CC#N)cn2)CC1 ZINC000274099321 263021469 /nfs/dbraw/zinc/02/14/69/263021469.db2.gz SNPZDZLWXNSLMD-UHFFFAOYSA-N 0 1 273.340 0.363 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N[C@@H](C)CN1CCCCC1 ZINC000088476948 263054018 /nfs/dbraw/zinc/05/40/18/263054018.db2.gz SKXWFCDXPWQDJO-WDEREUQCSA-N 0 1 259.375 0.692 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000281831004 263072111 /nfs/dbraw/zinc/07/21/11/263072111.db2.gz VLYQMZJEDCFEEB-KOLCDFICSA-N 0 1 297.384 0.713 20 30 CCEDMN CN(C)c1ccc(C(N)=NOCc2nnn(C)n2)cc1 ZINC000283661917 263083781 /nfs/dbraw/zinc/08/37/81/263083781.db2.gz ZGFCYGPJEYGSJA-UHFFFAOYSA-N 0 1 275.316 0.113 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1 ZINC000340216890 263096329 /nfs/dbraw/zinc/09/63/29/263096329.db2.gz DACXMCJDRRWFCM-CHWSQXEVSA-N 0 1 284.400 0.881 20 30 CCEDMN C=C(CNC(=O)C(=O)N=c1ncn(C)[nH]1)c1ccccc1 ZINC000340492196 263172387 /nfs/dbraw/zinc/17/23/87/263172387.db2.gz XPKIGIFNMJNEAQ-UHFFFAOYSA-N 0 1 285.307 0.005 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000299291962 263238301 /nfs/dbraw/zinc/23/83/01/263238301.db2.gz JTNYRRFVYZMESM-SECBINFHSA-N 0 1 287.327 0.407 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2ncccn2)CC1 ZINC000120921833 263288446 /nfs/dbraw/zinc/28/84/46/263288446.db2.gz JXHJYMPIFVEKPM-CYBMUJFWSA-N 0 1 262.357 0.926 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](O)C[C@H]1C ZINC000340920486 263315242 /nfs/dbraw/zinc/31/52/42/263315242.db2.gz XAYSEGBCNRDYRP-IJLUTSLNSA-N 0 1 253.346 0.450 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCCCC#N)CC1 ZINC000341171897 263325345 /nfs/dbraw/zinc/32/53/45/263325345.db2.gz CQHUEABDOWUGMI-UHFFFAOYSA-N 0 1 264.373 0.600 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)CC[C@H]1CCCO1 ZINC000342609601 263461671 /nfs/dbraw/zinc/46/16/71/263461671.db2.gz VJGQONOKRYVFSZ-LLVKDONJSA-N 0 1 269.345 0.499 20 30 CCEDMN COCC1CCN(C(=O)NCCn2cncn2)CC1 ZINC000330704189 264018948 /nfs/dbraw/zinc/01/89/48/264018948.db2.gz JTPDHPDBIPZOMO-UHFFFAOYSA-N 0 1 267.333 0.551 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N[C@H](C(N)=O)C(C)(C)C)C1 ZINC000330710270 264020858 /nfs/dbraw/zinc/02/08/58/264020858.db2.gz TUONICZTQJCAAT-WDEREUQCSA-N 0 1 299.419 0.686 20 30 CCEDMN COC(=O)[C@H]1CN(C(=O)NCc2cn[nH]c2C)CCO1 ZINC000330820802 264047141 /nfs/dbraw/zinc/04/71/41/264047141.db2.gz HFGBCYXZTXDSDU-SNVBAGLBSA-N 0 1 282.300 0.006 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCN(CC2CC2)CC1 ZINC000052165138 264068621 /nfs/dbraw/zinc/06/86/21/264068621.db2.gz FRAORESNXKCNOI-ZDUSSCGKSA-N 0 1 278.400 0.775 20 30 CCEDMN COCC(C)(C)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000330936139 264080981 /nfs/dbraw/zinc/08/09/81/264080981.db2.gz QRKHSNNJNCGBJS-NSHDSACASA-N 0 1 272.393 0.161 20 30 CCEDMN CCNC(=O)N1CCN(C(=O)CCc2cnc[nH]2)CC1 ZINC000331058268 264109186 /nfs/dbraw/zinc/10/91/86/264109186.db2.gz REGAEWVYJAHYRC-UHFFFAOYSA-N 0 1 279.344 0.420 20 30 CCEDMN COCCCCNC(=O)N1CCOC[C@@H]1c1nnc[nH]1 ZINC000331247530 264179437 /nfs/dbraw/zinc/17/94/37/264179437.db2.gz WDWUDRZGVOZWMQ-SNVBAGLBSA-N 0 1 283.332 0.519 20 30 CCEDMN COc1cc(C(=O)NC[C@H]2CCCCN2CCO)on1 ZINC000331347388 264205831 /nfs/dbraw/zinc/20/58/31/264205831.db2.gz WPOGNEGUTNWVOQ-SNVBAGLBSA-N 0 1 283.328 0.835 20 30 CCEDMN COC[C@H]1CN(CCC(=O)N(C)CCC#N)C[C@H](C)O1 ZINC000189374107 264294683 /nfs/dbraw/zinc/29/46/83/264294683.db2.gz ZTTCZIGWFGURCF-QWHCGFSZSA-N 0 1 283.372 0.484 20 30 CCEDMN COCCCN(CCO)CC(=O)N[C@](C)(C#N)C(C)C ZINC000189874425 264335359 /nfs/dbraw/zinc/33/53/59/264335359.db2.gz OGUNWZAQYAMOOA-CQSZACIVSA-N 0 1 285.388 0.372 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000425462952 264341006 /nfs/dbraw/zinc/34/10/06/264341006.db2.gz GMUMKCIQWBCFMB-HZSPNIEDSA-N 0 1 293.415 0.614 20 30 CCEDMN C=C[C@H](CO)NC(=O)c1c[nH]c2ccccc2c1=O ZINC000356874638 266201775 /nfs/dbraw/zinc/20/17/75/266201775.db2.gz PQJSWYTUDNIIKY-SECBINFHSA-N 0 1 258.277 0.805 20 30 CCEDMN N#CCNC(=O)CN(CCO)[C@@H]1CCc2ccccc21 ZINC000114467004 266290027 /nfs/dbraw/zinc/29/00/27/266290027.db2.gz MSQIDYKRKLURJZ-CQSZACIVSA-N 0 1 273.336 0.608 20 30 CCEDMN C=C[C@@H](C)NC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000362678209 267033987 /nfs/dbraw/zinc/03/39/87/267033987.db2.gz BDYYHUVWJGXTIV-SNVBAGLBSA-N 0 1 251.334 0.563 20 30 CCEDMN CC(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)N[C@H](C)C#N ZINC000362734644 267040718 /nfs/dbraw/zinc/04/07/18/267040718.db2.gz NNWHEGGICVGCSR-PWSUYJOCSA-N 0 1 291.355 0.511 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@@H]1CCCc2n[nH]cc21 ZINC000367602749 267103358 /nfs/dbraw/zinc/10/33/58/267103358.db2.gz VZRAQJZUFKUSAU-LLVKDONJSA-N 0 1 269.370 0.978 20 30 CCEDMN Cn1c2c([nH]c1=NCC(C)(C)CC#N)n(C)c(=O)[nH]c2=O ZINC000413400367 328144322 /nfs/dbraw/zinc/14/43/22/328144322.db2.gz CNMUYGXEVCOCGS-UHFFFAOYSA-N 0 1 290.327 0.146 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCCCO2)CC1 ZINC000050963372 328452973 /nfs/dbraw/zinc/45/29/73/328452973.db2.gz YTBSILYBLMZFRW-LLVKDONJSA-N 0 1 251.330 0.660 20 30 CCEDMN C=C(C)CN1CCC(NC(=O)N[C@H]2CC[S@@](=O)C2)CC1 ZINC000332934779 328479783 /nfs/dbraw/zinc/47/97/83/328479783.db2.gz DLUKRDWDSSEXDH-RNODOKPDSA-N 0 1 299.440 0.847 20 30 CCEDMN C=C(C)CNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000428106201 328537772 /nfs/dbraw/zinc/53/77/72/328537772.db2.gz HTCSWCKPDNVKQG-UHFFFAOYSA-N 0 1 298.364 0.948 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1N=c1ccc(C(=O)NCC)n[nH]1 ZINC000582162854 328589936 /nfs/dbraw/zinc/58/99/36/328589936.db2.gz SWFRCQPPONHTHN-KOLCDFICSA-N 0 1 262.313 0.404 20 30 CCEDMN OCC#CCNC[C@H](O)COc1ccc(F)cc1 ZINC000583500084 328585431 /nfs/dbraw/zinc/58/54/31/328585431.db2.gz LQLSLJOBFLLMOI-LBPRGKRZSA-N 0 1 253.273 0.151 20 30 CCEDMN CC(C)(O)CN1CCN(c2ccc(C#N)c(N)n2)CC1 ZINC000573934405 327274131 /nfs/dbraw/zinc/27/41/31/327274131.db2.gz WSQLYBLDPYVRDG-UHFFFAOYSA-N 0 1 275.356 0.428 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(CC(=O)N[C@@H](C)CC)CC1 ZINC000122300418 329000753 /nfs/dbraw/zinc/00/07/53/329000753.db2.gz GRCKPFBUWHNFDG-LSDHHAIUSA-N 0 1 297.443 0.846 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@](C)(C(=O)OC)C1 ZINC000177422347 329184146 /nfs/dbraw/zinc/18/41/46/329184146.db2.gz BGGBJUJPIXRFFD-CQSZACIVSA-N 0 1 281.356 0.634 20 30 CCEDMN C=C(C)CN(C)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000181425712 329236430 /nfs/dbraw/zinc/23/64/30/329236430.db2.gz ZGQCHGCNRDRFDW-ZDUSSCGKSA-N 0 1 268.405 0.840 20 30 CCEDMN C=CCCC[C@H](C)NC(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000419133714 329709318 /nfs/dbraw/zinc/70/93/18/329709318.db2.gz GBRJTWXLEAMVAP-RYUDHWBXSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@H](CC(C)C)OC)CC1 ZINC000419172988 329709977 /nfs/dbraw/zinc/70/99/77/329709977.db2.gz KTZKIWHCNZPOHE-OAHLLOKOSA-N 0 1 297.443 0.967 20 30 CCEDMN C=CCCS(=O)(=O)NC1CC([N@@H+](CC)CC(=O)[O-])C1 ZINC000262449476 330013729 /nfs/dbraw/zinc/01/37/29/330013729.db2.gz DYWXYKQULGPTQB-UHFFFAOYSA-N 0 1 290.385 0.419 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CCNC[C@H]1C ZINC000423587670 330213239 /nfs/dbraw/zinc/21/32/39/330213239.db2.gz ABZOQDLZHHVJCS-LLVKDONJSA-N 0 1 253.346 0.231 20 30 CCEDMN N#CC1(C[C@@H](O)C[N@H+]2CCC[C@H](c3n[nH]c(=O)[n-]3)C2)CC1 ZINC000528501381 330318162 /nfs/dbraw/zinc/31/81/62/330318162.db2.gz FQDSKSKPLFEDJW-WDEREUQCSA-N 0 1 291.355 0.744 20 30 CCEDMN N#CC1(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)CCCC1 ZINC000533113567 330345375 /nfs/dbraw/zinc/34/53/75/330345375.db2.gz CDMSMMXRFKGNQV-JTQLQIEISA-N 0 1 274.328 0.990 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N1CC[C@H](N2CCCCC2)C1 ZINC000088485822 330376840 /nfs/dbraw/zinc/37/68/40/330376840.db2.gz DZYDRXGNHGUCDD-RYUDHWBXSA-N 0 1 271.386 0.788 20 30 CCEDMN CCO[C@@H]1C[C@@H](N(C)CC(=O)NCC#N)C12CCC2 ZINC000120999857 330394246 /nfs/dbraw/zinc/39/42/46/330394246.db2.gz RFWMNXXZOZOKLH-VXGBXAGGSA-N 0 1 265.357 0.906 20 30 CCEDMN N#CC1(NC(=O)CN[C@H](CO)[C@H]2CCCO2)CCCCC1 ZINC000447913925 330879907 /nfs/dbraw/zinc/87/99/07/330879907.db2.gz JYOHHJGUKHFHTB-CHWSQXEVSA-N 0 1 295.383 0.459 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](OC)[C@H](C)C1 ZINC000451546111 331033415 /nfs/dbraw/zinc/03/34/15/331033415.db2.gz PCUOUYUCYVGXNY-GHMZBOCLSA-N 0 1 269.345 0.355 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](OC)[C@H](C)C1 ZINC000451546112 331033461 /nfs/dbraw/zinc/03/34/61/331033461.db2.gz PCUOUYUCYVGXNY-MNOVXSKESA-N 0 1 269.345 0.355 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@H](O)[C@H](CO)C1 ZINC000459709608 331295369 /nfs/dbraw/zinc/29/53/69/331295369.db2.gz RZYDDBPGIXCVGD-AVGNSLFASA-N 0 1 270.373 0.085 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CC(=O)N(C2CC2)C1 ZINC000490615812 332095880 /nfs/dbraw/zinc/09/58/80/332095880.db2.gz HTUQYGWKIFLFGB-JSGCOSHPSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](C(=O)OC)[C@@H]1C ZINC000490715886 332108041 /nfs/dbraw/zinc/10/80/41/332108041.db2.gz ZXYGDRBMEDRKQE-GARJFASQSA-N 0 1 252.314 0.008 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(OCC(F)F)C2)CC1 ZINC000490740064 332110803 /nfs/dbraw/zinc/11/08/03/332110803.db2.gz IMPGXVTVAHRREJ-UHFFFAOYSA-N 0 1 286.322 0.824 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)C1CN(C(C)=O)C1 ZINC000490740045 332110949 /nfs/dbraw/zinc/11/09/49/332110949.db2.gz IJSJUAPJOIKHQF-ZDUSSCGKSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN1CCN(C(=O)CN(CC)C[C@@H]2CCCO2)CC1 ZINC000490740316 332110967 /nfs/dbraw/zinc/11/09/67/332110967.db2.gz JWOCKJWKPHMNOW-HNNXBMFYSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CCN1CCC(C(=O)OCCN2C(=O)CCC2=O)CC1 ZINC000490841292 332119636 /nfs/dbraw/zinc/11/96/36/332119636.db2.gz SUSSANODSHZJIL-UHFFFAOYSA-N 0 1 292.335 0.024 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H](C)CC(=O)OC ZINC000490872427 332122896 /nfs/dbraw/zinc/12/28/96/332122896.db2.gz FLTCFZVTHWZTJL-RYUDHWBXSA-N 0 1 267.325 0.826 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCN(C(C)=O)C1 ZINC000490891371 332125124 /nfs/dbraw/zinc/12/51/24/332125124.db2.gz DVDUBOFYKFMOBO-UONOGXRCSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC000490891372 332125229 /nfs/dbraw/zinc/12/52/29/332125229.db2.gz DVDUBOFYKFMOBO-ZIAGYGMSSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CN(C)C(C)=O ZINC000490892565 332125508 /nfs/dbraw/zinc/12/55/08/332125508.db2.gz LYCUHYCPJYXQEJ-GFCCVEGCSA-N 0 1 252.314 0.106 20 30 CCEDMN C#C[C@@H](NS(=O)(=O)CCN1CCCC1)C(C)C ZINC000490950223 332132301 /nfs/dbraw/zinc/13/23/01/332132301.db2.gz PFPJHJWNMUJOOH-GFCCVEGCSA-N 0 1 258.387 0.659 20 30 CCEDMN C#CCN1CCC(C(=O)OCCOCC(=O)OCC)CC1 ZINC000491093087 332148197 /nfs/dbraw/zinc/14/81/97/332148197.db2.gz DKUAKMUWYLNUAS-UHFFFAOYSA-N 0 1 297.351 0.455 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2ncnn2C)CC1 ZINC000491105476 332150406 /nfs/dbraw/zinc/15/04/06/332150406.db2.gz WDWLCEPQRXESOR-UHFFFAOYSA-N 0 1 262.313 0.204 20 30 CCEDMN C#CCNC(=O)CCN1CCN(CC)[C@H](c2ncc[nH]2)C1 ZINC000491164312 332158919 /nfs/dbraw/zinc/15/89/19/332158919.db2.gz AKWLVBYVYFGVQW-ZDUSSCGKSA-N 0 1 289.383 0.228 20 30 CCEDMN C#CCN(C)CCCNC(=O)N1CC[S@](=O)[C@H](C)[C@H]1C ZINC000491427839 332209709 /nfs/dbraw/zinc/20/97/09/332209709.db2.gz MJJXULKUEREWLS-IZDJOXEWSA-N 0 1 299.440 0.492 20 30 CCEDMN C#C[C@@H](NC(=O)N1CC[C@@H](c2nc[nH]n2)C1)C(C)C ZINC000491452844 332214263 /nfs/dbraw/zinc/21/42/63/332214263.db2.gz NRNKIQVCASGJAO-GHMZBOCLSA-N 0 1 261.329 0.961 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@@H](OC)[C@@H](C)C2)C1=O ZINC000491507849 332225841 /nfs/dbraw/zinc/22/58/41/332225841.db2.gz XQUYEYLHLOTJGK-SDDRHHMPSA-N 0 1 264.325 0.104 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1ccc(CC#N)cc1 ZINC000491617008 332243978 /nfs/dbraw/zinc/24/39/78/332243978.db2.gz JRHPSIKVPJCXCO-KBPBESRZSA-N 0 1 274.320 0.076 20 30 CCEDMN C#CCNC(=O)C1CCN(C[C@@H](O)C(F)(F)F)CC1 ZINC000491682167 332284835 /nfs/dbraw/zinc/28/48/35/332284835.db2.gz QAMDYXYZVRYJCQ-SNVBAGLBSA-N 0 1 278.274 0.371 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1cccc(CC)c1 ZINC000491689150 332286664 /nfs/dbraw/zinc/28/66/64/332286664.db2.gz GUMYOEKXBBHSMG-KBPBESRZSA-N 0 1 263.337 0.572 20 30 CCEDMN C#CCN1CCC(c2nc(-c3cncnc3N)no2)CC1 ZINC000491699305 332294047 /nfs/dbraw/zinc/29/40/47/332294047.db2.gz CIANKAUCPGSPQC-UHFFFAOYSA-N 0 1 284.323 0.752 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1C[C@H]1C(=O)OC ZINC000491712432 332303858 /nfs/dbraw/zinc/30/38/58/332303858.db2.gz YDMTVMRDQZONOL-UTUOFQBUSA-N 0 1 265.309 0.436 20 30 CCEDMN C#CCN(C)C(=O)[C@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000491714907 332305223 /nfs/dbraw/zinc/30/52/23/332305223.db2.gz OEJROPLCJVDHAL-ZDUSSCGKSA-N 0 1 290.367 0.575 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2ncccn2)CC1 ZINC000491715350 332305530 /nfs/dbraw/zinc/30/55/30/332305530.db2.gz PKKHKZPQHUOVQN-UHFFFAOYSA-N 0 1 259.309 0.865 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1c(C)ccc(C)c1C ZINC000491727491 332310622 /nfs/dbraw/zinc/31/06/22/332310622.db2.gz GBSJMMLLPKASRG-GJZGRUSLSA-N 0 1 277.364 0.935 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000516866560 333084586 /nfs/dbraw/zinc/08/45/86/333084586.db2.gz DZNGOOVWMISMMN-NXEZZACHSA-N 0 1 283.328 0.041 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@](C)(O)CC1 ZINC000230378189 333316829 /nfs/dbraw/zinc/31/68/29/333316829.db2.gz JKKPZYPXRWVCLO-ZDUSSCGKSA-N 0 1 269.345 0.235 20 30 CCEDMN Cn1cc([N+](=O)[O-])cc1C(=O)NC1(C#N)CCN(C)CC1 ZINC000524686488 333371352 /nfs/dbraw/zinc/37/13/52/333371352.db2.gz ZFQMECVEEINFSS-UHFFFAOYSA-N 0 1 291.311 0.651 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C[C@H](C)O)C[C@H]1C ZINC000249208619 334330128 /nfs/dbraw/zinc/33/01/28/334330128.db2.gz CGVAUFCXUYIWOA-KGLIPLIRSA-N 0 1 296.415 0.135 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)NC[C@H](C)N1CCCC1 ZINC000554521051 334434777 /nfs/dbraw/zinc/43/47/77/334434777.db2.gz CGFSZPICWWKYBL-RYUDHWBXSA-N 0 1 288.417 0.397 20 30 CCEDMN CC[C@H](CC#N)NC[C@@H](O)COC[C@H]1CCCO1 ZINC000568181216 334801121 /nfs/dbraw/zinc/80/11/21/334801121.db2.gz NVWLUMDNWLSGKS-JHJVBQTASA-N 0 1 256.346 0.825 20 30 CCEDMN C[C@H](CO)NCCS(=O)(=O)c1cccc(C#N)c1 ZINC000166370364 334913090 /nfs/dbraw/zinc/91/30/90/334913090.db2.gz WBYGIGGUGIGEDW-SNVBAGLBSA-N 0 1 268.338 0.302 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CC[C@H](CO)[C@@H](O)C1 ZINC000577418907 335350853 /nfs/dbraw/zinc/35/08/53/335350853.db2.gz MEXXHRRAATVOOO-MJBXVCDLSA-N 0 1 282.384 0.251 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000182814996 335844913 /nfs/dbraw/zinc/84/49/13/335844913.db2.gz XHQYCJLQHYKTLH-OLZOCXBDSA-N 0 1 280.372 0.510 20 30 CCEDMN C[C@H]1CCNC(=O)[C@H]1NCc1cnc2c(C#N)cnn2c1 ZINC000581429820 336076085 /nfs/dbraw/zinc/07/60/85/336076085.db2.gz JUSMPJLYCKNDIN-CABZTGNLSA-N 0 1 284.323 0.215 20 30 CCEDMN N#CC[N@H+]1CC[C@H]([C@H]2CCCN(C(=O)c3nnc[n-]3)C2)C1 ZINC000961249275 649866764 /nfs/dbraw/zinc/86/67/64/649866764.db2.gz LNJMUPZLFGTFNH-RYUDHWBXSA-N 0 1 288.355 0.502 20 30 CCEDMN N#CC[N@@H+]1CC[C@H]([C@H]2CCCN(C(=O)c3nnc[n-]3)C2)C1 ZINC000961249275 649866767 /nfs/dbraw/zinc/86/67/67/649866767.db2.gz LNJMUPZLFGTFNH-RYUDHWBXSA-N 0 1 288.355 0.502 20 30 CCEDMN C[C@]1(O)CCCN(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)C1 ZINC000330267460 534183820 /nfs/dbraw/zinc/18/38/20/534183820.db2.gz ICNQRFKCCDRSOK-RISCZKNCSA-N 0 1 278.356 0.959 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000330296751 534763437 /nfs/dbraw/zinc/76/34/37/534763437.db2.gz KJHWHXXAWJCUFM-LOWDOPEQSA-N 0 1 290.367 0.815 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1cscc1C#N ZINC000340026224 524345617 /nfs/dbraw/zinc/34/56/17/524345617.db2.gz CAQXMQUCBRRXMO-LLVKDONJSA-N 0 1 292.408 0.985 20 30 CCEDMN C=C[C@H](O)C(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC000665853376 545108622 /nfs/dbraw/zinc/10/86/22/545108622.db2.gz HLHSJPNXBHTWFX-LBPRGKRZSA-N 0 1 276.340 0.319 20 30 CCEDMN C=CCN1CCC(NC(=O)N[C@@H]2CC[S@@](=O)C2)CC1 ZINC000329996403 526413895 /nfs/dbraw/zinc/41/38/95/526413895.db2.gz OVBGLMOHNLLYIK-CWTRNNRKSA-N 0 1 285.413 0.661 20 30 CCEDMN C=CCCNC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000665940709 545110272 /nfs/dbraw/zinc/11/02/72/545110272.db2.gz JZIMZOYUVLQENY-VXGBXAGGSA-N 0 1 255.362 0.659 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000350899675 526482009 /nfs/dbraw/zinc/48/20/09/526482009.db2.gz ZUOVVUNRGWXKOD-NSHDSACASA-N 0 1 276.340 0.938 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@H]1CCCN1CCC ZINC000347889354 526565626 /nfs/dbraw/zinc/56/56/26/526565626.db2.gz FFJCMGXIXSQDQV-LLVKDONJSA-N 0 1 253.346 0.279 20 30 CCEDMN N#Cc1ccc2ncc(CN3CC[C@]4(CNC(=O)C4)C3)n2c1 ZINC000366034058 526693672 /nfs/dbraw/zinc/69/36/72/526693672.db2.gz ZNCDBTCZLDVEEI-INIZCTEOSA-N 0 1 295.346 0.918 20 30 CCEDMN C=CCOCC(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000354977571 526695686 /nfs/dbraw/zinc/69/56/86/526695686.db2.gz QTUFSPQGJIRDHS-UHFFFAOYSA-N 0 1 291.351 0.941 20 30 CCEDMN C#CCCNC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000367183637 526732530 /nfs/dbraw/zinc/73/25/30/526732530.db2.gz CPELFENIPWGBOO-CQSZACIVSA-N 0 1 279.384 0.764 20 30 CCEDMN CC(=O)[C@@](C)(O)CN1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000451059988 527138679 /nfs/dbraw/zinc/13/86/79/527138679.db2.gz VSOMRIRSCDONQM-HIFRSBDPSA-N 0 1 289.335 0.746 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@H]1CCCN(C)[C@H]1C ZINC000347766391 527558819 /nfs/dbraw/zinc/55/88/19/527558819.db2.gz SATHRYWWAXZBQC-QWRGUYRKSA-N 0 1 253.346 0.230 20 30 CCEDMN CC1=CCN(CCNC(=O)CN2C(=O)CN(C)C2=O)CC1 ZINC000329015097 528113914 /nfs/dbraw/zinc/11/39/14/528113914.db2.gz PYNRCKMONPJNBM-UHFFFAOYSA-N 0 1 294.355 0.489 20 30 CCEDMN CC1=CCN(CCNC(=O)CN2CCOCC2=O)CC1 ZINC000329009055 528115482 /nfs/dbraw/zinc/11/54/82/528115482.db2.gz NYINRZPEFMNTTB-UHFFFAOYSA-N 0 1 281.356 0.454 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N(C)C[C@H](C)C#N ZINC000352326927 528157183 /nfs/dbraw/zinc/15/71/83/528157183.db2.gz QERVMABYVDRTLN-LLVKDONJSA-N 0 1 261.391 0.749 20 30 CCEDMN C=CCOCCNC(=O)N1CCNC[C@@H]1CCC ZINC000669555201 545214574 /nfs/dbraw/zinc/21/45/74/545214574.db2.gz HCVKPHJYXQIHCQ-LBPRGKRZSA-N 0 1 255.362 0.973 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C[C@@H](C)O)[C@@H](C)CO ZINC000190909693 528690371 /nfs/dbraw/zinc/69/03/71/528690371.db2.gz RYOSSZFZRHGJSP-FRRDWIJNSA-N 0 1 285.388 0.058 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N(C)CCC(N)=O ZINC000353193454 528855557 /nfs/dbraw/zinc/85/55/57/528855557.db2.gz NQOLGQWOLGOHPZ-GXFFZTMASA-N 0 1 268.361 0.237 20 30 CCEDMN CC[C@@H](C)N1CCN(C(=O)c2cnn(C)c2C#N)CC1 ZINC000456520198 529355246 /nfs/dbraw/zinc/35/52/46/529355246.db2.gz AXUKKXNFRDZNKP-LLVKDONJSA-N 0 1 275.356 0.848 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@H](O)C[C@H](O)C1 ZINC000674466070 545307238 /nfs/dbraw/zinc/30/72/38/545307238.db2.gz WERRVUXLSRIVIE-RWMBFGLXSA-N 0 1 268.357 0.003 20 30 CCEDMN COCC#CCN1CCC(C(=O)N2CCCC2)CC1 ZINC000677817130 545373185 /nfs/dbraw/zinc/37/31/85/545373185.db2.gz IQSDRZUKFCZWBH-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN CN(C)C(=O)CCN1CCN(c2cnccc2C#N)CC1 ZINC000271461930 536075040 /nfs/dbraw/zinc/07/50/40/536075040.db2.gz YUMUEKNXVNOIHV-UHFFFAOYSA-N 0 1 287.367 0.554 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC000679119967 545402486 /nfs/dbraw/zinc/40/24/86/545402486.db2.gz WOCZUTGAHHWDJP-UHFFFAOYSA-N 0 1 290.245 0.769 20 30 CCEDMN C=CCOCCNC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000679591915 545412068 /nfs/dbraw/zinc/41/20/68/545412068.db2.gz ZFDYZRAIIFAKIU-UHFFFAOYSA-N 0 1 298.431 0.514 20 30 CCEDMN COc1cc(C(=O)NO)cc(NS(C)(=O)=O)c1OC ZINC000782171862 581140642 /nfs/dbraw/zinc/14/06/42/581140642.db2.gz PBNDZIWQLOLBTO-UHFFFAOYSA-N 0 1 290.297 0.194 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2nccn2CC(F)(F)F)N1 ZINC000779799159 581206580 /nfs/dbraw/zinc/20/65/80/581206580.db2.gz SHUXISSMCAGBME-SSDOTTSWSA-N 0 1 274.250 0.717 20 30 CCEDMN N#CCCn1cc(C=NNC(=N)N)c(-c2ccncc2)n1 ZINC000788424689 581110868 /nfs/dbraw/zinc/11/08/68/581110868.db2.gz KXVFXGJCPDDXMW-UHFFFAOYSA-N 0 1 282.311 0.676 20 30 CCEDMN CC(CN1CCCCCC1)=NNC(=S)NCC(N)=O ZINC000777437494 581115323 /nfs/dbraw/zinc/11/53/23/581115323.db2.gz PIHWAIHWASWKLB-UHFFFAOYSA-N 0 1 285.417 0.188 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](CNC(=O)[O-])C1 ZINC000739404254 581131442 /nfs/dbraw/zinc/13/14/42/581131442.db2.gz PUJKOMBYIPGIKW-RYUDHWBXSA-N 0 1 296.371 0.584 20 30 CCEDMN C#CCNC(=O)CN[C@H](CO)c1sccc1C ZINC000778159508 581143910 /nfs/dbraw/zinc/14/39/10/581143910.db2.gz XHWNRAOQUXXZQB-SNVBAGLBSA-N 0 1 252.339 0.429 20 30 CCEDMN CN(CC(=O)NCCC#N)C[C@H]1CCCC[C@H]1O ZINC000778245072 581147453 /nfs/dbraw/zinc/14/74/53/581147453.db2.gz IZDDYCLOBABJMD-VXGBXAGGSA-N 0 1 253.346 0.499 20 30 CCEDMN Cc1cc([C@@H](C#N)C(=O)CN2CCOCC2=O)nc(C)n1 ZINC000790768008 581197597 /nfs/dbraw/zinc/19/75/97/581197597.db2.gz PUBYTXADQUWKRM-LLVKDONJSA-N 0 1 288.307 0.129 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2cc([O-])ccc2[N+](=O)[O-])N1 ZINC000779803325 581207438 /nfs/dbraw/zinc/20/74/38/581207438.db2.gz OVNKVRCNYBFJFD-ZETCQYMHSA-N 0 1 263.257 0.572 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)[C@H](C)CN1CCOCC1 ZINC000781348099 581265511 /nfs/dbraw/zinc/26/55/11/581265511.db2.gz BDLQEVVULVSOFK-CYBMUJFWSA-N 0 1 298.383 0.532 20 30 CCEDMN C#CCNC(=O)CN[C@@H](C)c1ccc([S@](C)=O)cc1 ZINC000782507221 581306180 /nfs/dbraw/zinc/30/61/80/581306180.db2.gz ZBTVTWAUCXAZRS-WLRWDXFRSA-N 0 1 278.377 0.824 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H]2C[C@]2(CO)C1 ZINC000782610121 581313297 /nfs/dbraw/zinc/31/32/97/581313297.db2.gz UQVZODOFGQOSRU-GXTWGEPZSA-N 0 1 252.358 0.725 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CNC(=O)[O-])C1CC1 ZINC000738461598 581333799 /nfs/dbraw/zinc/33/37/99/581333799.db2.gz UUWKGQULWNWQTJ-RYUDHWBXSA-N 0 1 279.340 0.246 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CNC(=O)[O-])C1CC1 ZINC000738461598 581333801 /nfs/dbraw/zinc/33/38/01/581333801.db2.gz UUWKGQULWNWQTJ-RYUDHWBXSA-N 0 1 279.340 0.246 20 30 CCEDMN COC(=O)c1occc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC000794748087 581386914 /nfs/dbraw/zinc/38/69/14/581386914.db2.gz JKJQJNQRCFQBKF-UHFFFAOYSA-N 0 1 294.292 0.497 20 30 CCEDMN C[C@H]1COC(=O)C1N=Nc1ccc(-n2cccn2)nn1 ZINC000794894028 581390433 /nfs/dbraw/zinc/39/04/33/581390433.db2.gz AUDIEFCOXIWMQU-QMMMGPOBSA-N 0 1 272.268 0.623 20 30 CCEDMN Cc1nn(-c2ccccc2)c(N)c1C=NNCC(N)=O ZINC000794929687 581391373 /nfs/dbraw/zinc/39/13/73/581391373.db2.gz XMTSCJVDERKPHN-UHFFFAOYSA-N 0 1 272.312 0.172 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCCCCC=C)CC1 ZINC000785770250 581419108 /nfs/dbraw/zinc/41/91/08/581419108.db2.gz XTQZVTORHAHMLX-UHFFFAOYSA-N 0 1 299.440 0.818 20 30 CCEDMN C[C@@H](C#N)OCCN1CCC(CNS(C)(=O)=O)CC1 ZINC000796259231 581435115 /nfs/dbraw/zinc/43/51/15/581435115.db2.gz RVZDBCWFFMYJQZ-NSHDSACASA-N 0 1 289.401 0.176 20 30 CCEDMN N#CC(C(=O)C[C@@H]1CCCOC1)C(=O)NC1CC1 ZINC000796636972 581446648 /nfs/dbraw/zinc/44/66/48/581446648.db2.gz RPXWQUHYCOGWIF-ONGXEEELSA-N 0 1 250.298 0.791 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@@H]1CCC[C@H](C(N)=O)C1 ZINC000786931640 581453301 /nfs/dbraw/zinc/45/33/01/581453301.db2.gz YHUQNRWMBJJKEN-YWVKMMECSA-N 0 1 277.324 0.266 20 30 CCEDMN CC(C)CNC(=O)CCNC(O)=C1N=CC=CC1=O ZINC000733885141 581529210 /nfs/dbraw/zinc/52/92/10/581529210.db2.gz SIJWVJYRUXGPPQ-OUKQBFOZSA-N 0 1 265.313 0.675 20 30 CCEDMN C#CCOCCNC(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000753379835 581537981 /nfs/dbraw/zinc/53/79/81/581537981.db2.gz VBSRZZSXIZOSCR-SECBINFHSA-N 0 1 265.317 0.377 20 30 CCEDMN NC(=O)c1ccc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)cc1 ZINC000753937131 581565588 /nfs/dbraw/zinc/56/55/88/581565588.db2.gz WGZTUNIKVHRPOO-STQMWFEESA-N 0 1 285.351 0.979 20 30 CCEDMN C#CC(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000753987303 581568852 /nfs/dbraw/zinc/56/88/52/581568852.db2.gz GISGJJJPDREFHG-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN CCNc1nc(=NN=Cc2c[nH]cn2)nc(NCC)[nH]1 ZINC000755512455 581643173 /nfs/dbraw/zinc/64/31/73/581643173.db2.gz MFWGYYHMZGOIQT-UHFFFAOYSA-N 0 1 275.320 0.904 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)C[C@@H](C)C(=O)[O-] ZINC000736105947 581697143 /nfs/dbraw/zinc/69/71/43/581697143.db2.gz PCNRSRJFCQNTTO-RKDXNWHRSA-N 0 1 271.317 0.039 20 30 CCEDMN CC(C)(C)NC(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000757694487 581706637 /nfs/dbraw/zinc/70/66/37/581706637.db2.gz UPOCTAFNOKMPQN-ZHACJKMWSA-N 0 1 280.328 0.611 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000758134260 581723213 /nfs/dbraw/zinc/72/32/13/581723213.db2.gz CJDGNRCJJOSPJN-ZJUUUORDSA-N 0 1 275.308 0.511 20 30 CCEDMN CC(=NNCC(N)=O)c1ccc(N2CCOCC2)cc1 ZINC000758892725 581774063 /nfs/dbraw/zinc/77/40/63/581774063.db2.gz MBTPXKUNXVHWQI-UHFFFAOYSA-N 0 1 276.340 0.322 20 30 CCEDMN N#CCc1cccc(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)c1 ZINC000732090713 581802418 /nfs/dbraw/zinc/80/24/18/581802418.db2.gz MJKZKXLBKULUGM-LBPRGKRZSA-N 0 1 298.306 0.479 20 30 CCEDMN CN1CC(=O)N(CC(=O)Nc2cc(C#N)ccc2O)C1=O ZINC000759713089 581816969 /nfs/dbraw/zinc/81/69/69/581816969.db2.gz HEFCJVBWOFKVPH-UHFFFAOYSA-N 0 1 288.263 0.096 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)c2nccnc2C(N)=O)c1 ZINC000759714111 581817356 /nfs/dbraw/zinc/81/73/56/581817356.db2.gz VSUNGSTURJBTKW-UHFFFAOYSA-N 0 1 283.247 0.405 20 30 CCEDMN N#Cc1ccc([C@H](O)CN2CCN3C(=O)N=NC3C2)cc1 ZINC000771812218 581823801 /nfs/dbraw/zinc/82/38/01/581823801.db2.gz KQBKZZLFSFXVPC-GFCCVEGCSA-N 0 1 285.307 0.405 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](CCO)C2)CC1 ZINC000771813572 581823871 /nfs/dbraw/zinc/82/38/71/581823871.db2.gz IQVRHWXWQBAWTG-AWEZNQCLSA-N 0 1 278.396 0.953 20 30 CCEDMN C=CCN(C(=O)NC[C@@H]1CN(C)CCN1C)C(C)C ZINC000732474347 581830481 /nfs/dbraw/zinc/83/04/81/581830481.db2.gz AXWAEHKXGPXHPL-CYBMUJFWSA-N 0 1 268.405 0.838 20 30 CCEDMN CC(=CS(C)(=O)=O)NNc1nc(C)cc(=O)[nH]1 ZINC000732527060 581833817 /nfs/dbraw/zinc/83/38/17/581833817.db2.gz GIPOTXSTWCLSNT-UHFFFAOYSA-N 0 1 258.303 0.323 20 30 CCEDMN CC(C)[C@@H](C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000733155183 581867650 /nfs/dbraw/zinc/86/76/50/581867650.db2.gz MIQUYRNMMNVAPR-NOHQBZTLSA-N 0 1 279.340 0.921 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000760958732 581881217 /nfs/dbraw/zinc/88/12/17/581881217.db2.gz ARUHBAICFAEHGO-LLVKDONJSA-N 0 1 261.329 0.042 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000760958732 581881218 /nfs/dbraw/zinc/88/12/18/581881218.db2.gz ARUHBAICFAEHGO-LLVKDONJSA-N 0 1 261.329 0.042 20 30 CCEDMN O=C(NCCNCc1ccco1)C1N=CC=CC1=O ZINC000733334127 581901236 /nfs/dbraw/zinc/90/12/36/581901236.db2.gz AZHOTKYZQDWOCW-OUKQBFOZSA-N 0 1 261.281 0.896 20 30 CCEDMN C#CCNCC(=O)NCCc1c(F)cccc1F ZINC000746513509 581917125 /nfs/dbraw/zinc/91/71/25/581917125.db2.gz LAOJOXZDRDIVAW-UHFFFAOYSA-N 0 1 252.264 0.846 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1ccc(C(=O)NC2CC2)cc1 ZINC000746513469 581917237 /nfs/dbraw/zinc/91/72/37/581917237.db2.gz IKAIIDVHTRNLIH-UHFFFAOYSA-N 0 1 299.374 0.760 20 30 CCEDMN C#CCNCC(=O)NCCCOc1ccccc1F ZINC000746513116 581917517 /nfs/dbraw/zinc/91/75/17/581917517.db2.gz DWUDGDYPSFVSQI-UHFFFAOYSA-N 0 1 264.300 0.934 20 30 CCEDMN C#CCNCC(=O)NCCO[C@H]1CCCC[C@@H]1C ZINC000746513988 581917874 /nfs/dbraw/zinc/91/78/74/581917874.db2.gz QFSGZCQXQRIIFE-STQMWFEESA-N 0 1 252.358 0.921 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)CC1CCSCC1 ZINC000762068685 581929929 /nfs/dbraw/zinc/92/99/29/581929929.db2.gz LPOJMRQYKSZUGM-LLVKDONJSA-N 0 1 284.381 0.991 20 30 CCEDMN COc1ncnc(N)c1C=[NH+][N-]c1cncc(Cl)n1 ZINC000747629119 581947294 /nfs/dbraw/zinc/94/72/94/581947294.db2.gz CUQXNOOYRNAXNT-UHFFFAOYSA-N 0 1 279.691 0.957 20 30 CCEDMN COc1ncnc(N)c1C=NNc1cncc(Cl)n1 ZINC000747629119 581947298 /nfs/dbraw/zinc/94/72/98/581947298.db2.gz CUQXNOOYRNAXNT-UHFFFAOYSA-N 0 1 279.691 0.957 20 30 CCEDMN C#CCNC(=O)CON=C(N)c1ccc(N(C)C)cc1 ZINC000747826073 581953649 /nfs/dbraw/zinc/95/36/49/581953649.db2.gz UVNFQRDDXXKGIM-UHFFFAOYSA-N 0 1 274.324 0.139 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCCN(C(=O)[O-])CC1 ZINC000737492936 581965781 /nfs/dbraw/zinc/96/57/81/581965781.db2.gz UTCMEFOCIHHFQX-LBPRGKRZSA-N 0 1 279.340 0.296 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2CCC(=O)NC2)c1 ZINC000748411125 581974124 /nfs/dbraw/zinc/97/41/24/581974124.db2.gz KBAQYMICMYNDJS-SECBINFHSA-N 0 1 259.265 0.729 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])C[C@H](O)COc1ccc(C#N)cc1 ZINC000737992856 582011144 /nfs/dbraw/zinc/01/11/44/582011144.db2.gz XOGBOGICJBTMQW-LBPRGKRZSA-N 0 1 278.308 0.704 20 30 CCEDMN C#CCNC(=O)CN[C@@H](CC(=O)N(C)C)c1ccccc1 ZINC000775217227 582014297 /nfs/dbraw/zinc/01/42/97/582014297.db2.gz LWMUSZJLWRGMTG-AWEZNQCLSA-N 0 1 287.363 0.545 20 30 CCEDMN C#C[C@H](NC(=O)CSc1nnc(C)[nH]1)[C@H]1CCCO1 ZINC000775322362 582017810 /nfs/dbraw/zinc/01/78/10/582017810.db2.gz CIRHWMFPYDGWHB-VHSXEESVSA-N 0 1 280.353 0.502 20 30 CCEDMN C#C[C@H](NC(=O)CSc1nc(C)n[nH]1)[C@H]1CCCO1 ZINC000775322362 582017812 /nfs/dbraw/zinc/01/78/12/582017812.db2.gz CIRHWMFPYDGWHB-VHSXEESVSA-N 0 1 280.353 0.502 20 30 CCEDMN C#CCNC(=O)CN[C@H](C)c1ccc2c(c1)CC(=O)N2C ZINC000775350225 582020627 /nfs/dbraw/zinc/02/06/27/582020627.db2.gz JDCIFNKTLWSFJU-LLVKDONJSA-N 0 1 285.347 0.606 20 30 CCEDMN C#CCNC(=O)C[N@H+]1CCCC[C@H]1[C@@H](C)NC(=O)[O-] ZINC000738463508 582053826 /nfs/dbraw/zinc/05/38/26/582053826.db2.gz SPNUADRSPNFAMU-MNOVXSKESA-N 0 1 267.329 0.246 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCCC[C@H]1[C@@H](C)NC(=O)[O-] ZINC000738463508 582053828 /nfs/dbraw/zinc/05/38/28/582053828.db2.gz SPNUADRSPNFAMU-MNOVXSKESA-N 0 1 267.329 0.246 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000738496362 582056380 /nfs/dbraw/zinc/05/63/80/582056380.db2.gz WUYDUXPZMGVVDN-VWYCJHECSA-N 0 1 269.345 0.655 20 30 CCEDMN COC(=O)Cn1cccc1C=NNc1ncnc2nc[nH]c21 ZINC000776180017 582056481 /nfs/dbraw/zinc/05/64/81/582056481.db2.gz JFODGQZSKBOSRP-UHFFFAOYSA-N 0 1 299.294 0.773 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000738606061 582065727 /nfs/dbraw/zinc/06/57/27/582065727.db2.gz ZXAMHWWJSYBYDE-UWVGGRQHSA-N 0 1 268.317 0.089 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000738606061 582065730 /nfs/dbraw/zinc/06/57/30/582065730.db2.gz ZXAMHWWJSYBYDE-UWVGGRQHSA-N 0 1 268.317 0.089 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1CC[C@H](C)C1 ZINC000776518199 582075267 /nfs/dbraw/zinc/07/52/67/582075267.db2.gz VDTGRJBGMBSRQF-HBNTYKKESA-N 0 1 252.314 0.894 20 30 CCEDMN C#CCCN1CCN(C/C=C(\C)C(=O)OC)CC1 ZINC000776592191 582082840 /nfs/dbraw/zinc/08/28/40/582082840.db2.gz BYYKAYBKLYMHQS-AWNIVKPZSA-N 0 1 250.342 0.747 20 30 CCEDMN CC(C)N1CC(=NN2CC(=O)N(C)C2=O)[C@@H](C)C1 ZINC000768382036 582106110 /nfs/dbraw/zinc/10/61/10/582106110.db2.gz LDAXIBLXGBGVLI-VIFPVBQESA-N 0 1 252.318 0.597 20 30 CCEDMN C#CCOCCN1CCCN(C(=O)C2CC2)CC1 ZINC000851965278 612982701 /nfs/dbraw/zinc/98/27/01/612982701.db2.gz OTSYOFOVRFLWHU-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN C#CCOCCN1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)OC ZINC000851973721 612985107 /nfs/dbraw/zinc/98/51/07/612985107.db2.gz ILYXNROUIHYEDA-RWMBFGLXSA-N 0 1 251.326 0.910 20 30 CCEDMN C[C@H](C(=O)[O-])[N@@H+](C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000817772318 597475883 /nfs/dbraw/zinc/47/58/83/597475883.db2.gz UDAMZRVKCQLFCM-PWSUYJOCSA-N 0 1 278.308 0.703 20 30 CCEDMN C#CCCN(CCOC)C(=O)CN(C)[C@H]1CCSC1 ZINC000856389136 612987135 /nfs/dbraw/zinc/98/71/35/612987135.db2.gz DRMVTXDHJDTCRS-ZDUSSCGKSA-N 0 1 284.425 0.922 20 30 CCEDMN C#CCNC(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000853012841 612946459 /nfs/dbraw/zinc/94/64/59/612946459.db2.gz INEHLARYJXWOCT-CYBMUJFWSA-N 0 1 265.357 0.516 20 30 CCEDMN C#CC1(F)CN(C(=O)NCCCN2C[C@@H](C)O[C@H](C)C2)C1 ZINC000883094504 612947260 /nfs/dbraw/zinc/94/72/60/612947260.db2.gz FBJQSBYGEKPUQD-CHWSQXEVSA-N 0 1 297.374 0.852 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@@H]2CCCN3CCSC[C@@H]23)C1 ZINC000925168720 612948006 /nfs/dbraw/zinc/94/80/06/612948006.db2.gz HHZNRVFFBPZTOH-NEPJUHHUSA-N 0 1 297.399 0.933 20 30 CCEDMN C#CC[C@@H](CCOC)NCc1ccc(S(N)(=O)=O)o1 ZINC000877659949 613022855 /nfs/dbraw/zinc/02/28/55/613022855.db2.gz BINMYHHRGAWMAO-JTQLQIEISA-N 0 1 286.353 0.445 20 30 CCEDMN C#CC[C@@H](CCOC)NS(=O)(=O)c1ncc[nH]1 ZINC000867568860 613023043 /nfs/dbraw/zinc/02/30/43/613023043.db2.gz QJXPDNDVHNCXFT-VIFPVBQESA-N 0 1 257.315 0.116 20 30 CCEDMN C#CC1(O)CCN(C(=O)C(C)(C)CN2CCOCC2)CC1 ZINC000912966750 612950519 /nfs/dbraw/zinc/95/05/19/612950519.db2.gz IKEYQLFIPGQXGC-UHFFFAOYSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000811450180 612951290 /nfs/dbraw/zinc/95/12/90/612951290.db2.gz WXMJPYKTOJYYLY-XHDPSFHLSA-N 0 1 298.358 0.880 20 30 CCEDMN C[C@@H](NC(=O)[O-])[C@@H]1CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000825251773 604251452 /nfs/dbraw/zinc/25/14/52/604251452.db2.gz AVXPKPIOQJOAGS-GHMZBOCLSA-N 0 1 282.344 0.384 20 30 CCEDMN C[C@@H](NC(=O)[O-])[C@H]1CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000825251774 604251809 /nfs/dbraw/zinc/25/18/09/604251809.db2.gz AVXPKPIOQJOAGS-MNOVXSKESA-N 0 1 282.344 0.384 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)N(C)CCC#N)C[C@H]1C(=O)[O-] ZINC000833626321 604842995 /nfs/dbraw/zinc/84/29/95/604842995.db2.gz ZQFHOBCUZZXDKP-WDEREUQCSA-N 0 1 267.329 0.401 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CC[C@@H]2CCCC[N@@H+]12)C(=O)[O-] ZINC000910051429 613069824 /nfs/dbraw/zinc/06/98/24/613069824.db2.gz VCWYXQQIGSOQJN-SDDRHHMPSA-N 0 1 264.325 0.596 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CC[C@H]2CCCC[N@H+]21)C(=O)[O-] ZINC000910051431 613068898 /nfs/dbraw/zinc/06/88/98/613068898.db2.gz VCWYXQQIGSOQJN-WOPDTQHZSA-N 0 1 264.325 0.596 20 30 CCEDMN C#CCN(C)C(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000925164537 613071575 /nfs/dbraw/zinc/07/15/75/613071575.db2.gz OJRJGUOVNUIWJV-VXGBXAGGSA-N 0 1 267.398 0.841 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](O)c1ccccc1Cl ZINC000912364617 612956636 /nfs/dbraw/zinc/95/66/36/612956636.db2.gz JKBYUAYZGQCKRS-GFCCVEGCSA-N 0 1 266.728 0.712 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN(CCO)C(C)(C)C1)C(C)C ZINC000838598440 613094251 /nfs/dbraw/zinc/09/42/51/613094251.db2.gz RQVSYPSDNDOSDK-CYBMUJFWSA-N 0 1 281.400 0.742 20 30 CCEDMN C#CCC1(O)CCN([C@@H]2CCN(C(C)C)C2=O)CC1 ZINC000880480026 612974184 /nfs/dbraw/zinc/97/41/84/612974184.db2.gz HAIUHCWXHWLJFN-CYBMUJFWSA-N 0 1 264.369 0.846 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)CC(C)(C)C#N)CCN1 ZINC000917783301 614274443 /nfs/dbraw/zinc/27/44/43/614274443.db2.gz BMFYEAGUZXYHMW-LLVKDONJSA-N 0 1 273.402 0.796 20 30 CCEDMN CC(C)[N@H+]1CC[C@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820025085 614382455 /nfs/dbraw/zinc/38/24/55/614382455.db2.gz PUXJJZKKZCTCSJ-RISCZKNCSA-N 0 1 281.356 0.837 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(C)c1 ZINC000834489639 617232894 /nfs/dbraw/zinc/23/28/94/617232894.db2.gz ONTSVEWZFLETOW-ZWNOBZJWSA-N 0 1 259.309 0.845 20 30 CCEDMN COc1ccc(NC(=O)C[C@H]2COCCN2)cc1C#N ZINC000877271437 617269042 /nfs/dbraw/zinc/26/90/42/617269042.db2.gz QDWQPBQOSGGHQM-LBPRGKRZSA-N 0 1 275.308 0.884 20 30 CCEDMN COc1ccc([C@@H](CCO)NC[C@H](O)CC#N)cc1 ZINC000930189904 617278765 /nfs/dbraw/zinc/27/87/65/617278765.db2.gz ZTSNPWHBZGRHPH-TZMCWYRMSA-N 0 1 264.325 0.983 20 30 CCEDMN COc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1C ZINC000852827568 617293800 /nfs/dbraw/zinc/29/38/00/617293800.db2.gz LGLPBKHFAFZOIZ-PWSUYJOCSA-N 0 1 259.309 0.845 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N[C@@H](C(C)C)[C@H](O)C(F)(F)F ZINC000809560966 612948333 /nfs/dbraw/zinc/94/83/33/612948333.db2.gz UIMSGFIXDFRSJW-UTLUCORTSA-N 0 1 280.290 0.662 20 30 CCEDMN C#CC1(O)CCN(C[C@@H]2CN(C3CC3)C(=O)O2)CC1 ZINC000931151905 612952345 /nfs/dbraw/zinc/95/23/45/612952345.db2.gz ZEMHZYUVKSZGKB-GFCCVEGCSA-N 0 1 264.325 0.430 20 30 CCEDMN C#CC1CCN(C(=O)NCc2n[nH]c(CC)n2)CC1 ZINC000827115122 612963664 /nfs/dbraw/zinc/96/36/64/612963664.db2.gz VSALKFBEOOPKLX-UHFFFAOYSA-N 0 1 261.329 0.922 20 30 CCEDMN C#CCC1(O)CCN(C(=O)NC[C@H]2CCN2C)CC1 ZINC000925433814 612971951 /nfs/dbraw/zinc/97/19/51/612971951.db2.gz XKPXMQYZFAGJPG-GFCCVEGCSA-N 0 1 265.357 0.250 20 30 CCEDMN C#CCC1(O)CCN(C(=O)[C@@H]2CCCCN2C)CC1 ZINC000882524299 612972585 /nfs/dbraw/zinc/97/25/85/612972585.db2.gz JUCUZWPNFXWGDI-ZDUSSCGKSA-N 0 1 264.369 0.848 20 30 CCEDMN C#CCC1(O)CCN(C/C=C(\C)C(=O)OC)CC1 ZINC000880479925 612973261 /nfs/dbraw/zinc/97/32/61/612973261.db2.gz CNTUVVQUHKXTDN-LFYBBSHMSA-N 0 1 251.326 0.956 20 30 CCEDMN COc1cccc([C@H](O)C(=O)NCC#CCN(C)C)c1 ZINC000913520763 617309527 /nfs/dbraw/zinc/30/95/27/617309527.db2.gz XQSPAWHSJHKXSN-AWEZNQCLSA-N 0 1 276.336 0.410 20 30 CCEDMN C#CCCCCCN1CCN(CC(=O)NCC#C)CC1 ZINC000878049440 612976860 /nfs/dbraw/zinc/97/68/60/612976860.db2.gz JNMMQDVGPAJFJS-UHFFFAOYSA-N 0 1 275.396 0.547 20 30 CCEDMN C#CCOCCC(=O)NCc1ccc2cncn2c1 ZINC000837857678 612979784 /nfs/dbraw/zinc/97/97/84/612979784.db2.gz VRHRZCNFWLCPJE-UHFFFAOYSA-N 0 1 257.293 0.990 20 30 CCEDMN C#CCOCCN1CCC[C@@H](NC(=O)N(C)C)C1 ZINC000852147638 612982886 /nfs/dbraw/zinc/98/28/86/612982886.db2.gz GUPQFHLANWRLQH-GFCCVEGCSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCCN(CCOC)CCn1nc2ccccn2c1=O ZINC000852751535 612988771 /nfs/dbraw/zinc/98/87/71/612988771.db2.gz HAYGAXPIBILIDK-UHFFFAOYSA-N 0 1 288.351 0.468 20 30 CCEDMN C#CCONC(=O)[C@@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000812786128 612992282 /nfs/dbraw/zinc/99/22/82/612992282.db2.gz ALCLTEQMDKUVEB-JTQLQIEISA-N 0 1 281.333 0.875 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCCN(CCOC)CC1 ZINC000822767618 612994683 /nfs/dbraw/zinc/99/46/83/612994683.db2.gz ATKMRQRHVHHCFU-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@]2(CC)CCNC2=O)CC1 ZINC000981376695 613004615 /nfs/dbraw/zinc/00/46/15/613004615.db2.gz NUJUVNOUTUPTKR-INIZCTEOSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)c2ccnc(C(=O)[O-])c2)CC1 ZINC000825609096 613010721 /nfs/dbraw/zinc/01/07/21/613010721.db2.gz UKSWVUBGQYFDKT-UHFFFAOYSA-N 0 1 287.319 0.561 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)CCCCc1cn[nH]n1 ZINC000816670658 613023754 /nfs/dbraw/zinc/02/37/54/613023754.db2.gz BLSNMTGZSUIOFM-NSHDSACASA-N 0 1 250.302 0.018 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)CN(C)CCc1ccccc1 ZINC000823053691 613023768 /nfs/dbraw/zinc/02/37/68/613023768.db2.gz SWZBBTPBIHIFGM-HNNXBMFYSA-N 0 1 274.364 0.661 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2nonc2C)C1 ZINC000968699444 613025329 /nfs/dbraw/zinc/02/53/29/613025329.db2.gz XENOKFFZRHEBSP-CMPLNLGQSA-N 0 1 276.340 0.842 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC000854545858 613028668 /nfs/dbraw/zinc/02/86/68/613028668.db2.gz RLNVKCGUNBDTOL-ZETCQYMHSA-N 0 1 275.230 0.851 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](C)C1 ZINC000941964979 613030307 /nfs/dbraw/zinc/03/03/07/613030307.db2.gz VFPUPTSWLDLFQG-PWSUYJOCSA-N 0 1 290.367 0.887 20 30 CCEDMN C#CC[C@@H](CO)NCc1cc2c(cc1OC)OCO2 ZINC000883124914 613030453 /nfs/dbraw/zinc/03/04/53/613030453.db2.gz TZWMFPAGPZXARX-NSHDSACASA-N 0 1 263.293 0.898 20 30 CCEDMN C#CC[C@@H](NC(=O)Cc1[nH]nc2ccccc21)C(=O)OC ZINC000837936842 613040338 /nfs/dbraw/zinc/04/03/38/613040338.db2.gz ATDNZJSNCRFXBS-GFCCVEGCSA-N 0 1 285.303 0.787 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)N[C@H]2CCN(CCOC)C2)C1 ZINC000888316744 613046284 /nfs/dbraw/zinc/04/62/84/613046284.db2.gz FMJLBBYXDVKUIT-KGLIPLIRSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC000808301505 613055172 /nfs/dbraw/zinc/05/51/72/613055172.db2.gz QCQWKPVMOJOXFK-ZYHUDNBSSA-N 0 1 256.371 0.412 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@@H]1CCCCN1CC ZINC000799079650 613057925 /nfs/dbraw/zinc/05/79/25/613057925.db2.gz VBQSONKNEJEGLX-OLZOCXBDSA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)CCCCc1cn[nH]n1 ZINC000799080988 613060424 /nfs/dbraw/zinc/06/04/24/613060424.db2.gz CYULHDJJAVIMPQ-LBPRGKRZSA-N 0 1 264.329 0.408 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000875929679 613062071 /nfs/dbraw/zinc/06/20/71/613062071.db2.gz UBCFAVDEULYEOA-HZSPNIEDSA-N 0 1 297.399 0.121 20 30 CCEDMN C#CCN(C(=O)CCCc1nn[nH]n1)C1CCCC1 ZINC000820817968 613065555 /nfs/dbraw/zinc/06/55/55/613065555.db2.gz JJSXWRIHQVIBER-UHFFFAOYSA-N 0 1 261.329 0.927 20 30 CCEDMN C#CC[C@H]1C(=O)N(c2ncnc3[nH]cnc32)N=C1C ZINC000899349136 613071498 /nfs/dbraw/zinc/07/14/98/613071498.db2.gz VPYSZQMTYRYZET-MRVPVSSYSA-N 0 1 254.253 0.715 20 30 CCEDMN C#CC[C@H]1CCN([C@@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000886358615 613074745 /nfs/dbraw/zinc/07/47/45/613074745.db2.gz YMSIMGMLMWMFDH-GXTWGEPZSA-N 0 1 272.352 0.871 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@@H](C)CN2CCOCC2)cn1 ZINC000819538782 613077050 /nfs/dbraw/zinc/07/70/50/613077050.db2.gz ZPJYSTSGCJCWOT-ZDUSSCGKSA-N 0 1 290.367 0.101 20 30 CCEDMN C#C[C@@H](C)N(C)c1nnc(Cc2cc(C)n[nH]2)n1C ZINC000871024007 613080048 /nfs/dbraw/zinc/08/00/48/613080048.db2.gz KVQWQLUNJKOJNA-SNVBAGLBSA-N 0 1 258.329 0.895 20 30 CCEDMN C#C[C@@H](C)NC(=O)N[C@H]1CCC[N@@H+](CCCO)C1 ZINC000820400474 613081669 /nfs/dbraw/zinc/08/16/69/613081669.db2.gz OOTFKOIFVAATFD-NEPJUHHUSA-N 0 1 253.346 0.154 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2ncccc2OC)C1 ZINC000972178796 613081882 /nfs/dbraw/zinc/08/18/82/613081882.db2.gz FZGFBIUNLMBHLD-CYBMUJFWSA-N 0 1 287.363 0.799 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c(C)nn(C)c2OC)C1 ZINC000972461065 613084357 /nfs/dbraw/zinc/08/43/57/613084357.db2.gz IMEWMRYANYCOFR-GFCCVEGCSA-N 0 1 290.367 0.517 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c3c(nn2C)CCC3)C1 ZINC000972719764 613085067 /nfs/dbraw/zinc/08/50/67/613085067.db2.gz ZFDHMKIZCKYUHZ-GFCCVEGCSA-N 0 1 286.379 0.688 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nccn3cc(C)nc23)C1 ZINC000972691123 613088350 /nfs/dbraw/zinc/08/83/50/613088350.db2.gz PAIPLIRVOIRSRL-CYBMUJFWSA-N 0 1 297.362 0.817 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nn(C)cc2Cl)C1 ZINC000971593052 613088426 /nfs/dbraw/zinc/08/84/26/613088426.db2.gz SOVISDNEGLKLKE-SNVBAGLBSA-N 0 1 280.759 0.853 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2ccnc(C)n2)C1 ZINC000972004817 613089956 /nfs/dbraw/zinc/08/99/56/613089956.db2.gz JGTQRZKORFPZCW-HNNXBMFYSA-N 0 1 286.379 0.884 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cn(C)nc2Cl)C1 ZINC000972325285 613090851 /nfs/dbraw/zinc/09/08/51/613090851.db2.gz YEQMHERMYZTMGL-LBPRGKRZSA-N 0 1 294.786 0.782 20 30 CCEDMN C#C[C@@H](NC(=O)CCCc1nn[nH]n1)C1CCOCC1 ZINC000824694069 613091853 /nfs/dbraw/zinc/09/18/53/613091853.db2.gz SSJPVJXZFVWOPM-LLVKDONJSA-N 0 1 277.328 0.067 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c(C)nn3cccnc23)C1 ZINC000980432044 613093424 /nfs/dbraw/zinc/09/34/24/613093424.db2.gz QPQUPXFTIKWAFR-ZDUSSCGKSA-N 0 1 297.362 0.817 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc3ncccn3n2)C1 ZINC000972593130 613094323 /nfs/dbraw/zinc/09/43/23/613094323.db2.gz PWOLXAYFQBIVJV-LBPRGKRZSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(=O)n(CC)n2)C1 ZINC000972569120 613094604 /nfs/dbraw/zinc/09/46/04/613094604.db2.gz UNUAVBIRODDDIG-LBPRGKRZSA-N 0 1 288.351 0.043 20 30 CCEDMN C#C[C@@H](NC(=O)N1C[C@H]2CCC[C@@H](C1)N2)[C@@H]1CCCO1 ZINC000841879199 613094752 /nfs/dbraw/zinc/09/47/52/613094752.db2.gz LRAXFRCGKRZOBJ-RQJABVFESA-N 0 1 277.368 0.703 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nnn(C(C)(C)C)n2)C1 ZINC000971576131 613097377 /nfs/dbraw/zinc/09/73/77/613097377.db2.gz NPPBBRVGBAQIPT-NSHDSACASA-N 0 1 290.371 0.208 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)NCCC ZINC000922022547 613097968 /nfs/dbraw/zinc/09/79/68/613097968.db2.gz NJMUAUFPXUFXKH-UHFFFAOYSA-N 0 1 273.402 0.260 20 30 CCEDMN C#C[C@@H](NCc1ccc(S(N)(=O)=O)cc1)[C@@H]1CCCO1 ZINC000877418382 613099773 /nfs/dbraw/zinc/09/97/73/613099773.db2.gz YCUSSZSEGOYKSN-KGLIPLIRSA-N 0 1 294.376 0.604 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)CN1CCCC1 ZINC000824447363 613103850 /nfs/dbraw/zinc/10/38/50/613103850.db2.gz JDFOPFFEHOBLMX-UHFFFAOYSA-N 0 1 280.368 0.886 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)NC[C@@H]1CCN1C ZINC000925431807 613104348 /nfs/dbraw/zinc/10/43/48/613104348.db2.gz ZPVLXMCRGWKJAO-LBPRGKRZSA-N 0 1 295.383 0.677 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)[C@@]2(C)C[C@@H](O)CN2C)C1 ZINC000912046823 613105182 /nfs/dbraw/zinc/10/51/82/613105182.db2.gz INUIFVYMFZVPAT-YRGRVCCFSA-N 0 1 250.342 0.313 20 30 CCEDMN C#CC[N@H+](CCC(=O)NCC(=O)[O-])C1CCCC1 ZINC000825614838 613107194 /nfs/dbraw/zinc/10/71/94/613107194.db2.gz ZDLQIWGNRBNUPT-UHFFFAOYSA-N 0 1 252.314 0.455 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC[C@H](CF)C2)C1=O ZINC000887298754 613109643 /nfs/dbraw/zinc/10/96/43/613109643.db2.gz HPLFOFDDLGDJNA-GHMZBOCLSA-N 0 1 252.289 0.429 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NCc1n[nH]c(=O)n1C ZINC000889337825 613124224 /nfs/dbraw/zinc/12/42/24/613124224.db2.gz IGAYHVWWJQVGCK-MRVPVSSYSA-N 0 1 251.290 0.122 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C(C)(C)O)C2)CC1 ZINC000874879793 613129196 /nfs/dbraw/zinc/12/91/96/613129196.db2.gz QYKIKRWIDNAUDP-CQSZACIVSA-N 0 1 278.396 0.951 20 30 CCEDMN C#C[C@H](CO)NS(=O)(=O)c1ccc(Br)o1 ZINC000867339251 613130651 /nfs/dbraw/zinc/13/06/51/613130651.db2.gz DQCPFLILDDVXGP-ZCFIWIBFSA-N 0 1 294.126 0.315 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C/c2cn(C)cn2)CC1 ZINC000830163429 613132333 /nfs/dbraw/zinc/13/23/33/613132333.db2.gz XXEISBJKSVDWHT-SNAWJCMRSA-N 0 1 272.352 0.647 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C\[C@@H]2CCCO2)CC1 ZINC000928649121 613132345 /nfs/dbraw/zinc/13/23/45/613132345.db2.gz GLVIKYLGLQMSGP-GXMNPTEKSA-N 0 1 262.353 0.935 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C/c2ccncn2)CC1 ZINC000928654071 613132540 /nfs/dbraw/zinc/13/25/40/613132540.db2.gz MHCVDZKHSYKOJU-ONEGZZNKSA-N 0 1 270.336 0.704 20 30 CCEDMN C#CCN1CCC(NC(=O)CC(=O)OCC)CC1 ZINC000928657284 613132905 /nfs/dbraw/zinc/13/29/05/613132905.db2.gz VGAPUGIKLZSFJE-UHFFFAOYSA-N 0 1 252.314 0.153 20 30 CCEDMN C#C[C@H](NC(=O)CSc1nnc(C)[nH]1)C1CCOCC1 ZINC000856108568 613133745 /nfs/dbraw/zinc/13/37/45/613133745.db2.gz CQTCGWKLWUSLFV-NSHDSACASA-N 0 1 294.380 0.750 20 30 CCEDMN C#C[C@H](NC(=O)CSc1nc(C)n[nH]1)C1CCOCC1 ZINC000856108568 613133747 /nfs/dbraw/zinc/13/37/47/613133747.db2.gz CQTCGWKLWUSLFV-NSHDSACASA-N 0 1 294.380 0.750 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cc(C)no2)CC1 ZINC000915399539 613134296 /nfs/dbraw/zinc/13/42/96/613134296.db2.gz CFIIKUUWUXWKJK-UHFFFAOYSA-N 0 1 276.340 0.880 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)COCC)CC1 ZINC000922076129 613134833 /nfs/dbraw/zinc/13/48/33/613134833.db2.gz OJNLXPYRDMFRSU-LBPRGKRZSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCOC[C@@H]2C)CC1 ZINC000922201744 613135317 /nfs/dbraw/zinc/13/53/17/613135317.db2.gz MJCLNFGKHYUBOV-JSGCOSHPSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cnc3ccnn3c2)CC1 ZINC000931960957 613135379 /nfs/dbraw/zinc/13/53/79/613135379.db2.gz PNCSBYGYPXMZFD-UHFFFAOYSA-N 0 1 298.350 0.948 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2nc(CC)no2)CC1 ZINC000931545609 613135433 /nfs/dbraw/zinc/13/54/33/613135433.db2.gz SAIYKGGSCCSQOA-UHFFFAOYSA-N 0 1 277.328 0.851 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@H]2c2cncn2C)CC1 ZINC000928650676 613136244 /nfs/dbraw/zinc/13/62/44/613136244.db2.gz FXKVDTDGPSJNBE-ZIAGYGMSSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC000928657878 613136873 /nfs/dbraw/zinc/13/68/73/613136873.db2.gz COCXMNUZFWYLLO-NWDGAFQWSA-N 0 1 264.325 0.009 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc3[nH]c(=O)[nH]c3n2)CC1 ZINC000928653222 613137013 /nfs/dbraw/zinc/13/70/13/613137013.db2.gz DVSMWCCFDCRRGT-UHFFFAOYSA-N 0 1 299.334 0.491 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c[nH]nc2CC)CC1 ZINC000928657379 613137123 /nfs/dbraw/zinc/13/71/23/613137123.db2.gz YYTVMJPYNFIGHM-UHFFFAOYSA-N 0 1 260.341 0.800 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc3n(n2)CCC3)CC1 ZINC000928651087 613137210 /nfs/dbraw/zinc/13/72/10/613137210.db2.gz MCWYVGHRYJPDER-UHFFFAOYSA-N 0 1 272.352 0.657 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc([N+](=O)[O-])cn2C)CC1 ZINC000902944660 613137353 /nfs/dbraw/zinc/13/73/53/613137353.db2.gz INQZXKIYLIJCDW-UHFFFAOYSA-N 0 1 290.323 0.761 20 30 CCEDMN C#CCN1CCC(Nc2nc(N)nc3c2cnn3C)CC1 ZINC000895811362 613138914 /nfs/dbraw/zinc/13/89/14/613138914.db2.gz GNARJYHQUWIQQR-UHFFFAOYSA-N 0 1 285.355 0.455 20 30 CCEDMN C#C[C@H](NC(=O)NCCCc1nc[nH]n1)[C@@H]1CCCO1 ZINC000854003029 613139336 /nfs/dbraw/zinc/13/93/36/613139336.db2.gz FLCUEAVWEYRCHX-QWRGUYRKSA-N 0 1 277.328 0.217 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CO[C@@H](C)C3)C2)C1 ZINC000981860740 613141754 /nfs/dbraw/zinc/14/17/54/613141754.db2.gz YDDWBDUAURIIQX-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](OC)C3CC3)C2)C1 ZINC000981741838 613142144 /nfs/dbraw/zinc/14/21/44/613142144.db2.gz CCEUASCBOSGLEK-AWEZNQCLSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CCNC(=O)C3)C2)C1 ZINC000981710258 613143415 /nfs/dbraw/zinc/14/34/15/613143415.db2.gz TVLXMZRKWBKGOP-ZDUSSCGKSA-N 0 1 289.379 0.070 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)c1n[nH]c(C)n1)[C@H]1CCCO1 ZINC000807137796 613144097 /nfs/dbraw/zinc/14/40/97/613144097.db2.gz BINXWLYDQIPRDL-DVVUODLYSA-N 0 1 277.328 0.654 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)c1n[nH]c(C)n1)C1CCOCC1 ZINC000823164717 613144182 /nfs/dbraw/zinc/14/41/82/613144182.db2.gz YRPHHDYCEFFISZ-SKDRFNHKSA-N 0 1 291.355 0.902 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnnn3CC)C2)C1 ZINC000981753472 613144809 /nfs/dbraw/zinc/14/48/09/613144809.db2.gz IUHLSNKCKOLSPO-UHFFFAOYSA-N 0 1 287.367 0.469 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cocn3)C2)C1 ZINC000981664231 613145369 /nfs/dbraw/zinc/14/53/69/613145369.db2.gz TWDDEOAGFDZABI-UHFFFAOYSA-N 0 1 259.309 0.846 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H](C)Cc1cnc[nH]1)[C@@H]1CCCO1 ZINC000847757890 613145449 /nfs/dbraw/zinc/14/54/49/613145449.db2.gz GARQSCOVXFRXIM-DRZSPHRISA-N 0 1 261.325 0.885 20 30 CCEDMN C#C[C@H](NC(=O)[C@H]1CCCc2n[nH]nc21)C1CCOCC1 ZINC000856101577 613147624 /nfs/dbraw/zinc/14/76/24/613147624.db2.gz VYNKQXLWWKDGPJ-RYUDHWBXSA-N 0 1 288.351 0.769 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)C1 ZINC000847029283 613158970 /nfs/dbraw/zinc/15/89/70/613158970.db2.gz MISRXUNCTPIEBG-MJBXVCDLSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C(\C)COC)C1 ZINC000923555908 613164556 /nfs/dbraw/zinc/16/45/56/613164556.db2.gz YHJSHQAWPDFACL-CNELAYHGSA-N 0 1 250.342 0.793 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(C3CN(CC)C3)CC2)cn1 ZINC000941643703 613170089 /nfs/dbraw/zinc/17/00/89/613170089.db2.gz ZHPWNLSZWOHCCK-UHFFFAOYSA-N 0 1 298.390 0.525 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@H](N(C)[C@H](C)C(N)=O)C2)cc1 ZINC000972058127 613174089 /nfs/dbraw/zinc/17/40/89/613174089.db2.gz FWGAYGJMGHLLNF-DOMZBBRYSA-N 0 1 299.374 0.688 20 30 CCEDMN C#CCN1CCC[C@@H](NCc2nccnc2OC)C1 ZINC000886209140 613175547 /nfs/dbraw/zinc/17/55/47/613175547.db2.gz FUKJHVQWBOQNSD-GFCCVEGCSA-N 0 1 260.341 0.672 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2n[nH]c([C@@H]3CCCO3)n2)nc1 ZINC000848277539 613182048 /nfs/dbraw/zinc/18/20/48/613182048.db2.gz XBTIGAUGFFSDIH-LBPRGKRZSA-N 0 1 297.318 0.963 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)C2CN(CCO)C2)nc1 ZINC000970762374 613188462 /nfs/dbraw/zinc/18/84/62/613188462.db2.gz CLVATJPCXAWKAG-LLVKDONJSA-N 0 1 273.336 0.105 20 30 CCEDMN C#Cc1ccc(CNC(=O)NCc2n[nH]c(COC)n2)cc1 ZINC000854101306 613193901 /nfs/dbraw/zinc/19/39/01/613193901.db2.gz RLZTXUDWUJABHG-UHFFFAOYSA-N 0 1 299.334 0.932 20 30 CCEDMN C#Cc1ccc(CNC(=O)NCc2nnc(COC)[nH]2)cc1 ZINC000854101306 613193902 /nfs/dbraw/zinc/19/39/02/613193902.db2.gz RLZTXUDWUJABHG-UHFFFAOYSA-N 0 1 299.334 0.932 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823451087 613196216 /nfs/dbraw/zinc/19/62/16/613196216.db2.gz NAYWHCHBEUECOA-NEPJUHHUSA-N 0 1 279.340 0.295 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)NC[C@@]2(O)CCN(C)C2)cc1 ZINC000907119421 613197934 /nfs/dbraw/zinc/19/79/34/613197934.db2.gz IRKXKYQCJSIMBI-AWEZNQCLSA-N 0 1 294.376 0.013 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CCCC)C(=O)[O-] ZINC000909505025 613202335 /nfs/dbraw/zinc/20/23/35/613202335.db2.gz FAMZORJRTYFWHK-NEPJUHHUSA-N 0 1 266.341 0.844 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CCCC)C(=O)[O-] ZINC000909505025 613202336 /nfs/dbraw/zinc/20/23/36/613202336.db2.gz FAMZORJRTYFWHK-NEPJUHHUSA-N 0 1 266.341 0.844 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H](NC(C)=O)C(C)C ZINC000860530410 613203335 /nfs/dbraw/zinc/20/33/35/613203335.db2.gz VZBITBHIZDHQMF-UONOGXRCSA-N 0 1 280.368 0.788 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1COC(=O)c1cc(C(=O)[O-])no1 ZINC000833364002 613203514 /nfs/dbraw/zinc/20/35/14/613203514.db2.gz CMRFSNDKCKPBJL-VIFPVBQESA-N 0 1 278.264 0.627 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)c1cc(C(=O)[O-])no1 ZINC000833364002 613203516 /nfs/dbraw/zinc/20/35/16/613203516.db2.gz CMRFSNDKCKPBJL-VIFPVBQESA-N 0 1 278.264 0.627 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896542396 613206958 /nfs/dbraw/zinc/20/69/58/613206958.db2.gz QHQGIYDCPZZAPR-YOEHRIQHSA-N 0 1 299.418 0.961 20 30 CCEDMN C#CCN1CCN(C(=O)c2c(O)cccc2F)CC1 ZINC000121203508 613208100 /nfs/dbraw/zinc/20/81/00/613208100.db2.gz GXIBINZCCIPSSK-UHFFFAOYSA-N 0 1 262.284 0.922 20 30 CCEDMN C#CCN1CCN(C(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC000810214198 613208142 /nfs/dbraw/zinc/20/81/42/613208142.db2.gz RCQGSDXKFOVHRR-UHFFFAOYSA-N 0 1 295.346 0.863 20 30 CCEDMN C#CCN1CCN(C(=O)c2cc(CCC)[nH]n2)CC1 ZINC000128230844 613208490 /nfs/dbraw/zinc/20/84/90/613208490.db2.gz FILCTOAXCZYLGS-UHFFFAOYSA-N 0 1 260.341 0.753 20 30 CCEDMN COc1ccn2c(c1)ncc2C(=O)NCC#CCN(C)C ZINC000913523045 617323535 /nfs/dbraw/zinc/32/35/35/617323535.db2.gz KIPGQVMMQKZRLQ-UHFFFAOYSA-N 0 1 286.335 0.638 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000968609184 613215473 /nfs/dbraw/zinc/21/54/73/613215473.db2.gz BXGOXDAAXHGNJH-YPMHNXCESA-N 0 1 273.336 0.861 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@@H]2CN(CC#CC)C[C@@H]2O)c1 ZINC000958391024 613217309 /nfs/dbraw/zinc/21/73/09/613217309.db2.gz WTSNBNNVRJNCRJ-CVEARBPZSA-N 0 1 297.358 0.109 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H](C)CN2CCN(C)CC2)c1 ZINC000798169140 613218860 /nfs/dbraw/zinc/21/88/60/613218860.db2.gz ZMRMNSLJNQIDFC-ZDUSSCGKSA-N 0 1 286.379 0.429 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccnn2C)C1 ZINC000965963174 613219195 /nfs/dbraw/zinc/21/91/95/613219195.db2.gz GXPUFWXGMWDFIX-TZMCWYRMSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000940912316 613229943 /nfs/dbraw/zinc/22/99/43/613229943.db2.gz ONAOWNGVOVWLER-MLGOLLRUSA-N 0 1 291.395 0.363 20 30 CCEDMN C=C(Br)CNC[C@@H](O)C1(O)CCC1 ZINC000905064793 613238484 /nfs/dbraw/zinc/23/84/84/613238484.db2.gz LOGQBQVVXAIQEJ-MRVPVSSYSA-N 0 1 250.136 0.761 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2coc(OC)n2)[C@@H](C)C1 ZINC000942531915 613242648 /nfs/dbraw/zinc/24/26/48/613242648.db2.gz CHIRKSMVFXRFLB-WDEREUQCSA-N 0 1 277.324 0.757 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)ncn2)[C@@H](C)C1 ZINC000942057812 613267384 /nfs/dbraw/zinc/26/73/84/613267384.db2.gz PPAMSILOSIUTFF-RYUDHWBXSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C)nn2)[C@H](C)C1 ZINC000942044170 613268354 /nfs/dbraw/zinc/26/83/54/613268354.db2.gz IIWKTOCTSCXSOX-YPMHNXCESA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn(C)n2)[C@H](C)C1 ZINC000944916656 613272084 /nfs/dbraw/zinc/27/20/84/613272084.db2.gz AMKOGXNRXMRQFX-NEPJUHHUSA-N 0 1 260.341 0.494 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc(C)cn2)[C@@H](C)C1 ZINC000941772078 613274147 /nfs/dbraw/zinc/27/41/47/613274147.db2.gz KNMMZAAHRJUKKC-AAEUAGOBSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnsn2)[C@H](C)C1 ZINC000941838526 613276896 /nfs/dbraw/zinc/27/68/96/613276896.db2.gz XJAIBUQSXDUTAK-ZJUUUORDSA-N 0 1 264.354 0.612 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2csnn2)[C@H](C)C1 ZINC000942538101 613276990 /nfs/dbraw/zinc/27/69/90/613276990.db2.gz KQLHSODRGFGIPZ-ZJUUUORDSA-N 0 1 264.354 0.612 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2snnc2C)[C@H](C)C1 ZINC000941797455 613278890 /nfs/dbraw/zinc/27/88/90/613278890.db2.gz CAFFFBAISGSYRS-KOLCDFICSA-N 0 1 278.381 0.920 20 30 CCEDMN C=C(C)CN(C)C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000909553734 613282180 /nfs/dbraw/zinc/28/21/80/613282180.db2.gz YEYZJHDEIAJFQY-LLVKDONJSA-N 0 1 254.330 0.818 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cc[nH]c(=O)c2)C(C)(C)C1 ZINC000973025173 613283897 /nfs/dbraw/zinc/28/38/97/613283897.db2.gz ODXJFLYVBSHLES-GFCCVEGCSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CC(F)(F)C2)C1 ZINC000957946775 613285353 /nfs/dbraw/zinc/28/53/53/613285353.db2.gz CGOCORYMVDKHGD-WDEREUQCSA-N 0 1 272.295 0.074 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)c(C)cn2)C1 ZINC000958282214 613285467 /nfs/dbraw/zinc/28/54/67/613285467.db2.gz XDYYFYCUPGTACH-DZGCQCFKSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)c(C)o2)[C@H](O)C1 ZINC000957979653 613288316 /nfs/dbraw/zinc/28/83/16/613288316.db2.gz OYGRXUIWNLTHFN-CHWSQXEVSA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c(C)oc(C)c2C)[C@H](O)C1 ZINC000958239249 613288515 /nfs/dbraw/zinc/28/85/15/613288515.db2.gz MARNCXKGAUMVQS-ZIAGYGMSSA-N 0 1 290.363 0.861 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccnc(C)c2)[C@H](O)C1 ZINC000958493275 613289765 /nfs/dbraw/zinc/28/97/65/613289765.db2.gz FMICYPDBUGZLPN-ZIAGYGMSSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(OC)cc2)C1 ZINC000958613700 613294984 /nfs/dbraw/zinc/29/49/84/613294984.db2.gz PFJOQKJGZVOZCN-ZFWWWQNUSA-N 0 1 288.347 0.351 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cnoc2C(C)C)C1 ZINC000958559696 613296023 /nfs/dbraw/zinc/29/60/23/613296023.db2.gz RVUILACRSVHCHM-AAEUAGOBSA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2cc(C)cn2)C(C)(C)C1 ZINC000972844943 613297959 /nfs/dbraw/zinc/29/79/59/613297959.db2.gz XCGOEUYELNXFRA-ZDUSSCGKSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2CCC=CCC2)C1 ZINC000958677884 613302405 /nfs/dbraw/zinc/30/24/05/613302405.db2.gz WRQWJYQVTJIRHB-CABCVRRESA-N 0 1 276.380 0.775 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C3CC3)on2)C1 ZINC000958296300 613302516 /nfs/dbraw/zinc/30/25/16/613302516.db2.gz FNAPIXSEHBGVLP-YPMHNXCESA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C)c(C)n2)C1 ZINC000958266749 613303088 /nfs/dbraw/zinc/30/30/88/613303088.db2.gz CEFIDVMUQHWLHE-HIFRSBDPSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(F)c(F)c2)C1 ZINC000958138656 613303200 /nfs/dbraw/zinc/30/32/00/613303200.db2.gz HRVXYOUPHYGBEH-RISCZKNCSA-N 0 1 294.301 0.621 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)COc2cnn(C)c2)CC1 ZINC000981834167 613308141 /nfs/dbraw/zinc/30/81/41/613308141.db2.gz SQAUABVWLVFRRN-UHFFFAOYSA-N 0 1 292.383 0.909 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2COCCO2)CC1 ZINC000980739843 613310239 /nfs/dbraw/zinc/31/02/39/613310239.db2.gz DSEHGSMRPBVEFV-ZDUSSCGKSA-N 0 1 268.357 0.512 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CC(C)(C)CO1 ZINC000960994626 613313587 /nfs/dbraw/zinc/31/35/87/613313587.db2.gz OMWHOXJUCYVOBX-CXTNEJHOSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC000962590161 613318458 /nfs/dbraw/zinc/31/84/58/613318458.db2.gz PZVCZOJMAMKUNJ-NHAGDIPZSA-N 0 1 297.358 0.569 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]ncc1C(F)(F)F ZINC000960241203 613321948 /nfs/dbraw/zinc/32/19/48/613321948.db2.gz GMZHUTKYCHBEAP-MBTKJCJQSA-N 0 1 298.268 0.722 20 30 CCEDMN C#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[n-]ncc1C(F)(F)F ZINC000960241203 613321950 /nfs/dbraw/zinc/32/19/50/613321950.db2.gz GMZHUTKYCHBEAP-MBTKJCJQSA-N 0 1 298.268 0.722 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[n-]ncc1C(F)(F)F ZINC000960241203 613321952 /nfs/dbraw/zinc/32/19/52/613321952.db2.gz GMZHUTKYCHBEAP-MBTKJCJQSA-N 0 1 298.268 0.722 20 30 CCEDMN C#CCNC(=O)C[N@H+](CCC)C1CCN(C(=O)[O-])CC1 ZINC000823456129 613328132 /nfs/dbraw/zinc/32/81/32/613328132.db2.gz KLFAFWLGESPXAK-UHFFFAOYSA-N 0 1 281.356 0.590 20 30 CCEDMN C#CCNC(=O)CN1CCN[C@H](c2cccc(OC)c2)C1 ZINC000879527594 613329893 /nfs/dbraw/zinc/32/98/93/613329893.db2.gz TXIVCSHBCNZELA-HNNXBMFYSA-N 0 1 287.363 0.391 20 30 CCEDMN C=C(C)CNC(=S)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000840857536 613346708 /nfs/dbraw/zinc/34/67/08/613346708.db2.gz YJYWWKLXSWDDRK-NSHDSACASA-N 0 1 285.413 0.474 20 30 CCEDMN C=C(C)COCCNS(=O)(=O)N=[S@@](C)(=O)CC ZINC000866964503 613352262 /nfs/dbraw/zinc/35/22/62/613352262.db2.gz LXWKMXPSOHOLFL-INIZCTEOSA-N 0 1 284.403 0.531 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[N@H+]2C[C@H](O)C[C@H]2C1 ZINC000912054835 613361095 /nfs/dbraw/zinc/36/10/95/613361095.db2.gz SOBGWRPUKZHJKK-CRWXNKLISA-N 0 1 280.368 0.245 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000908028025 613361447 /nfs/dbraw/zinc/36/14/47/613361447.db2.gz LDPJUXFQXUNRLV-ILXRZTDVSA-N 0 1 293.411 0.474 20 30 CCEDMN C=C(C)[C@H](CO)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC000856556201 613362735 /nfs/dbraw/zinc/36/27/35/613362735.db2.gz ZRSZZZSMBWVMLJ-ZNMIVQPWSA-N 0 1 289.379 0.774 20 30 CCEDMN C=C(CO)C(=O)N1CCN(C(C)(C)COC)CC1 ZINC000819635359 613367082 /nfs/dbraw/zinc/36/70/82/613367082.db2.gz GAHKOCPTPATFDQ-UHFFFAOYSA-N 0 1 256.346 0.104 20 30 CCEDMN C=C(COC(=O)c1cc(=O)[nH]c(OC)n1)C(=O)OCC ZINC000770517371 613367507 /nfs/dbraw/zinc/36/75/07/613367507.db2.gz ZOIBRZNJJYZSKX-UHFFFAOYSA-N 0 1 282.252 0.467 20 30 CCEDMN COc1cnc(C(=O)N[C@H]2CNC[C@H]2C#N)c(F)c1 ZINC000870940984 617330613 /nfs/dbraw/zinc/33/06/13/617330613.db2.gz OWRKGPCBYVSPOP-XCBNKYQSSA-N 0 1 264.260 0.071 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](C)[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC000968426842 613375439 /nfs/dbraw/zinc/37/54/39/613375439.db2.gz NJYSLSQAFAUWGT-SCZZXKLOSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](C)[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC000968426842 613375440 /nfs/dbraw/zinc/37/54/40/613375440.db2.gz NJYSLSQAFAUWGT-SCZZXKLOSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3CCNC3=O)[C@@H]2C1 ZINC000978578722 613381579 /nfs/dbraw/zinc/38/15/79/613381579.db2.gz CNBUFEZLBHDXMJ-KKOKHZNYSA-N 0 1 297.786 0.169 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCn2ccnn2)C1 ZINC000968511095 613384654 /nfs/dbraw/zinc/38/46/54/613384654.db2.gz IPYQNKUJZCMLJA-LLVKDONJSA-N 0 1 283.763 0.611 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cn2cccn2)C1 ZINC000967032482 613384708 /nfs/dbraw/zinc/38/47/08/613384708.db2.gz UDMOAIADKIKJDO-LLVKDONJSA-N 0 1 268.748 0.826 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC000968845859 613385184 /nfs/dbraw/zinc/38/51/84/613385184.db2.gz VHBBGSCKAFXSPN-WDEREUQCSA-N 0 1 285.775 0.408 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC000968842339 613385242 /nfs/dbraw/zinc/38/52/42/613385242.db2.gz KSVYIYBJRUFDLC-NWDGAFQWSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)CN(C)C)C(=O)OCC ZINC000870028768 613390490 /nfs/dbraw/zinc/39/04/90/613390490.db2.gz IAUJEBPOMQILFJ-VIFPVBQESA-N 0 1 262.737 0.739 20 30 CCEDMN C=CCS(=O)(=O)C1N=NC(=O)N1C[C@H]1CCCO1 ZINC000905241846 613394786 /nfs/dbraw/zinc/39/47/86/613394786.db2.gz ZNSYCBFXLDMOMI-MRVPVSSYSA-N 0 1 273.314 0.122 20 30 CCEDMN C=CC[C@@H](C)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000877898420 613400218 /nfs/dbraw/zinc/40/02/18/613400218.db2.gz LQFMHTPDWBSMBU-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN C=CC[C@@H](CO)NS(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872472842 613405142 /nfs/dbraw/zinc/40/51/42/613405142.db2.gz VABVCAKKVCZRRE-HUTHGQBESA-N 0 1 298.430 0.654 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN(CC(C)(C)O)CC1 ZINC000878046029 613411169 /nfs/dbraw/zinc/41/11/69/613411169.db2.gz XQYUHADVSXWPBJ-GFCCVEGCSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@H]1CCC(=O)O1 ZINC000821083872 613424666 /nfs/dbraw/zinc/42/46/66/613424666.db2.gz ALSFSUVCYRWZNA-SSDOTTSWSA-N 0 1 255.299 0.967 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000951538120 613432541 /nfs/dbraw/zinc/43/25/41/613432541.db2.gz VPWNOKZPAOETEJ-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)[C@H](CC)C(N)=O)C1 ZINC000972081125 613439078 /nfs/dbraw/zinc/43/90/78/613439078.db2.gz YYIPGOPTDHCVNR-NWDGAFQWSA-N 0 1 281.400 0.995 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCN(CCCCO)CC1 ZINC000800359590 613441638 /nfs/dbraw/zinc/44/16/38/613441638.db2.gz IEIZOOGYRFTUQB-LBPRGKRZSA-N 0 1 256.346 0.104 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000957605217 613442000 /nfs/dbraw/zinc/44/20/00/613442000.db2.gz XESKJCLTSWDHHO-MWLCHTKSSA-N 0 1 291.355 0.594 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896538932 613444470 /nfs/dbraw/zinc/44/44/70/613444470.db2.gz HSIRWKHKEJOABK-NWDGAFQWSA-N 0 1 253.390 0.949 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC000907944220 613445177 /nfs/dbraw/zinc/44/51/77/613445177.db2.gz QBYLYCXNUABTRJ-UHFFFAOYSA-N 0 1 279.344 0.612 20 30 CCEDMN C=CCC(F)(F)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000896537666 613455618 /nfs/dbraw/zinc/45/56/18/613455618.db2.gz AEDUWALSDUPNLQ-MNOVXSKESA-N 0 1 275.343 0.948 20 30 CCEDMN C=CCCC[N@H+](CC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000903712965 613466969 /nfs/dbraw/zinc/46/69/69/613466969.db2.gz WCZKMUJIJDIEAQ-NSHDSACASA-N 0 1 254.330 0.960 20 30 CCEDMN C=CCCC[C@@H](NC(=O)Cc1n[nH]c(C)n1)C(=O)OC ZINC000928324328 613470484 /nfs/dbraw/zinc/47/04/84/613470484.db2.gz XCBBOMCIXYLPHQ-SNVBAGLBSA-N 0 1 280.328 0.670 20 30 CCEDMN C=CCCC[C@H]([NH3+])C(=O)[N-]S(=O)(=O)[C@@H]1CCCOC1 ZINC000901038402 613472739 /nfs/dbraw/zinc/47/27/39/613472739.db2.gz FDAVNSNJJNDGHW-MNOVXSKESA-N 0 1 290.385 0.295 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829228932 613477357 /nfs/dbraw/zinc/47/73/57/613477357.db2.gz YZGMCVAWSBCELG-CQSZACIVSA-N 0 1 282.384 0.494 20 30 CCEDMN C=C[C@H](C)NC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833372348 613482831 /nfs/dbraw/zinc/48/28/31/613482831.db2.gz QLDZZUYVHYKXFG-QWRGUYRKSA-N 0 1 269.345 0.751 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000845622701 613485395 /nfs/dbraw/zinc/48/53/95/613485395.db2.gz MSKKVBYEMQXLJR-RYUDHWBXSA-N 0 1 285.388 0.979 20 30 CCEDMN C=C[C@H](NCc1nc(CSC)n[nH]1)C(=O)OC ZINC000905836203 613493182 /nfs/dbraw/zinc/49/31/82/613493182.db2.gz GBKFCXOFRDHAHF-ZETCQYMHSA-N 0 1 256.331 0.485 20 30 CCEDMN C=C[C@H](NCc1nnc(CSC)[nH]1)C(=O)OC ZINC000905836203 613493184 /nfs/dbraw/zinc/49/31/84/613493184.db2.gz GBKFCXOFRDHAHF-ZETCQYMHSA-N 0 1 256.331 0.485 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC000948619611 613507335 /nfs/dbraw/zinc/50/73/35/613507335.db2.gz NXOZSOPHPKHKMF-LBPRGKRZSA-N 0 1 265.357 0.185 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)c3ncc[nH]3)C2)C1 ZINC000972378714 613514407 /nfs/dbraw/zinc/51/44/07/613514407.db2.gz UCLUWQBXMXXOJV-OAHLLOKOSA-N 0 1 290.367 0.903 20 30 CCEDMN CC#CC(=O)N1CC[C@H](c2[nH]ncc2C(=O)OCC)C1 ZINC000912493387 613518613 /nfs/dbraw/zinc/51/86/13/613518613.db2.gz IYZJHTOMBXDUAD-JTQLQIEISA-N 0 1 275.308 0.926 20 30 CCEDMN CC#CCCNC(=O)NCc1nc(CSC)n[nH]1 ZINC000888828772 613522395 /nfs/dbraw/zinc/52/23/95/613522395.db2.gz NSYAQGRPOWQHHJ-UHFFFAOYSA-N 0 1 267.358 0.880 20 30 CCEDMN CC#CCCNC(=O)NCc1nnc(CSC)[nH]1 ZINC000888828772 613522397 /nfs/dbraw/zinc/52/23/97/613522397.db2.gz NSYAQGRPOWQHHJ-UHFFFAOYSA-N 0 1 267.358 0.880 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC000969795942 613531606 /nfs/dbraw/zinc/53/16/06/613531606.db2.gz KEALWVUCCOBBQK-OLZOCXBDSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2c[nH]c(C#N)c2)C1 ZINC000969513181 613532285 /nfs/dbraw/zinc/53/22/85/613532285.db2.gz AMBFHNBBFCDEMB-LLVKDONJSA-N 0 1 270.336 0.960 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cccc(=O)[nH]2)C1 ZINC000969453150 613533043 /nfs/dbraw/zinc/53/30/43/613533043.db2.gz UKXPPKXNBKUEMU-LLVKDONJSA-N 0 1 273.336 0.861 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000970372581 613533790 /nfs/dbraw/zinc/53/37/90/613533790.db2.gz SDZGKSZOPKODFI-SNVBAGLBSA-N 0 1 276.340 0.199 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2c(C)nnn2CC)C1 ZINC000969830336 613537511 /nfs/dbraw/zinc/53/75/11/613537511.db2.gz RDBCXINSIOCHRO-NSHDSACASA-N 0 1 289.383 0.680 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3cnnn3C)C2)C1 ZINC000981743984 613544083 /nfs/dbraw/zinc/54/40/83/613544083.db2.gz COEDPJUBJOYTFA-UHFFFAOYSA-N 0 1 287.367 0.376 20 30 CCEDMN C=CCC[C@@H](O)C[N@H+](CCNC(C)=O)[C@H](C)C(=O)[O-] ZINC000923249134 613585926 /nfs/dbraw/zinc/58/59/26/613585926.db2.gz TUNIUGIDFOTQNW-ZYHUDNBSSA-N 0 1 272.345 0.225 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000837080321 613587995 /nfs/dbraw/zinc/58/79/95/613587995.db2.gz AZDQLTMEEJZCKH-MRVPVSSYSA-N 0 1 296.289 0.887 20 30 CCEDMN C=CCC[C@H](O)CN1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879932927 613590986 /nfs/dbraw/zinc/59/09/86/613590986.db2.gz NGGCUBNLDBVGCR-AGIUHOORSA-N 0 1 273.398 0.680 20 30 CCEDMN C=CCC[C@H]1NC(=O)N(C[C@@H]2CCN2CC)C1=O ZINC000925099879 613592096 /nfs/dbraw/zinc/59/20/96/613592096.db2.gz YXQWNYZYHRQYTR-WDEREUQCSA-N 0 1 251.330 0.967 20 30 CCEDMN C=CCN(C(=O)C1CN([C@H]2CCOC2)C1)C1CC1 ZINC000897303890 613604517 /nfs/dbraw/zinc/60/45/17/613604517.db2.gz ZBDUZVRNWAMKJR-ZDUSSCGKSA-N 0 1 250.342 0.884 20 30 CCEDMN C=CCN(C(=O)NCc1n[nH]c(C)n1)[C@H](C)COC ZINC000898351340 613606673 /nfs/dbraw/zinc/60/66/73/613606673.db2.gz PUUVWJDCIITTLN-SECBINFHSA-N 0 1 267.333 0.846 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974941698 613609832 /nfs/dbraw/zinc/60/98/32/613609832.db2.gz AAQAFEZQSQKOGL-CHWSQXEVSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)C(C)(C)C1 ZINC000974898002 613612009 /nfs/dbraw/zinc/61/20/09/613612009.db2.gz CPGPQJNRFQGBCA-GFCCVEGCSA-N 0 1 290.367 0.589 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)on2)C1 ZINC000957847767 613612734 /nfs/dbraw/zinc/61/27/34/613612734.db2.gz UDWQUOUGUKOCOH-WCQYABFASA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2[nH]c(C)cc2C)[C@H](O)C1 ZINC000958183745 613614574 /nfs/dbraw/zinc/61/45/74/613614574.db2.gz PWVMBXZFJVTMAC-ZIAGYGMSSA-N 0 1 289.379 0.677 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)C2CC3(CC3)C2)C1 ZINC000958398656 613618421 /nfs/dbraw/zinc/61/84/21/613618421.db2.gz GBAPFSPQKSTWOI-KBPBESRZSA-N 0 1 276.380 0.609 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccnc(C)c2)C1 ZINC000958507968 613619144 /nfs/dbraw/zinc/61/91/44/613619144.db2.gz ZGOSDAMZYNIBLG-GJZGRUSLSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cscn2)C1 ZINC000957919928 613619528 /nfs/dbraw/zinc/61/95/28/613619528.db2.gz YEDGMOWMKBFYAT-JQWIXIFHSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)no2)C1 ZINC000957859225 613625193 /nfs/dbraw/zinc/62/51/93/613625193.db2.gz MXWXJQGWXVYEAK-NEPJUHHUSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cnn(CC)c1 ZINC000962263331 613635202 /nfs/dbraw/zinc/63/52/02/613635202.db2.gz NZADJYKKECCLMH-FOLVSLTJSA-N 0 1 286.379 0.515 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)nn1CC ZINC000961849623 613638312 /nfs/dbraw/zinc/63/83/12/613638312.db2.gz DPXMULJUYPNDCG-NHAGDIPZSA-N 0 1 286.379 0.895 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(OCC)no1 ZINC000961166182 613639112 /nfs/dbraw/zinc/63/91/12/613639112.db2.gz GXWVGPXJQKNBLE-YABSGUDNSA-N 0 1 289.335 0.757 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccn(C)c1=O ZINC000962333672 613640775 /nfs/dbraw/zinc/64/07/75/613640775.db2.gz RKQTVZDTLHOMKM-WDNDVIMCSA-N 0 1 285.347 0.069 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C ZINC000909514552 613641950 /nfs/dbraw/zinc/64/19/50/613641950.db2.gz JJKLFSLPAVEJRJ-PHIMTYICSA-N 0 1 254.330 0.958 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@@H]1CC[N@@H+](CC2CC2)C1 ZINC000908938700 613642347 /nfs/dbraw/zinc/64/23/47/613642347.db2.gz SEUDWLSWDBUDBM-GFCCVEGCSA-N 0 1 281.356 0.753 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NCc1c[nH+]c(N(C)C)n1C ZINC000908960264 613642781 /nfs/dbraw/zinc/64/27/81/613642781.db2.gz AVGOUVGUHQDZNV-UHFFFAOYSA-N 0 1 295.343 0.268 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)[C@@H]1CCOC[C@H]1OC ZINC000880426266 613646731 /nfs/dbraw/zinc/64/67/31/613646731.db2.gz SOPFVHJSAQCSHG-ZIAGYGMSSA-N 0 1 282.384 0.923 20 30 CCEDMN C=CCN(CC=C)C(=O)C[NH2+][C@@]1(C(=O)[O-])CCOC1 ZINC000833375015 613647295 /nfs/dbraw/zinc/64/72/95/613647295.db2.gz DNULCZMPKWRFNI-ZDUSSCGKSA-N 0 1 268.313 0.020 20 30 CCEDMN C=CCN1CC(=O)N(C2CCN([C@H]3CCOC3)CC2)C1=O ZINC000853087116 613652443 /nfs/dbraw/zinc/65/24/43/613652443.db2.gz XIKBOUQVXIOZPJ-ZDUSSCGKSA-N 0 1 293.367 0.690 20 30 CCEDMN CC(=NNCCN1CCCCC1)c1cc(C(N)=O)n(C)c1 ZINC000915973423 613655141 /nfs/dbraw/zinc/65/51/41/613655141.db2.gz IENYRWWTNBBIPC-UHFFFAOYSA-N 0 1 291.399 0.924 20 30 CCEDMN CC(=NN[C@H]1CCS(=O)(=O)C1)c1ccc(N)cc1 ZINC000916540570 613657077 /nfs/dbraw/zinc/65/70/77/613657077.db2.gz ADJIAPBBAVLJBH-LBPRGKRZSA-N 0 1 267.354 0.770 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C2CCC(C(N)=O)CC2)C1 ZINC000970014671 613662373 /nfs/dbraw/zinc/66/23/73/613662373.db2.gz FCUYWAPZPUBEFZ-PNESKVBLSA-N 0 1 293.411 0.901 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnc(N(C)C)cn2)C1 ZINC000969676305 613666979 /nfs/dbraw/zinc/66/69/79/613666979.db2.gz YMOZHTLOSRTAHD-LLVKDONJSA-N 0 1 289.383 0.779 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2COCCN2CC)C1 ZINC000970001724 613670206 /nfs/dbraw/zinc/67/02/06/613670206.db2.gz RQUGKTCFCSZMKA-GXTWGEPZSA-N 0 1 281.400 0.330 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2ccn(C)n2)CC1 ZINC000981143121 613689854 /nfs/dbraw/zinc/68/98/54/613689854.db2.gz CDGGDBCVJIACGG-UHFFFAOYSA-N 0 1 262.357 0.683 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCCc3nn[nH]c32)CC1 ZINC000981837987 613694280 /nfs/dbraw/zinc/69/42/80/613694280.db2.gz IKTITWTWIHTLLU-LBPRGKRZSA-N 0 1 289.383 0.945 20 30 CCEDMN C=CCN1CCCN(C(=O)c2c[nH]c(=O)cn2)CC1 ZINC000981838261 613695467 /nfs/dbraw/zinc/69/54/67/613695467.db2.gz NSURTCLCJSCKNK-UHFFFAOYSA-N 0 1 262.313 0.104 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC000968360830 613727943 /nfs/dbraw/zinc/72/79/43/613727943.db2.gz NROZDUOZEUPFTC-YPMHNXCESA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)CN2C(=O)CCC2=O)C1 ZINC000968631606 613728181 /nfs/dbraw/zinc/72/81/81/613728181.db2.gz HRQXEXHHZHOQGL-NEPJUHHUSA-N 0 1 293.367 0.148 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)CN2CCCNC2=O)C1 ZINC000967207570 613728475 /nfs/dbraw/zinc/72/84/75/613728475.db2.gz QOWQLOBDOGBQQY-OLZOCXBDSA-N 0 1 294.399 0.414 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964900152 613729953 /nfs/dbraw/zinc/72/99/53/613729953.db2.gz DOAORYDUVRPVQO-PWSUYJOCSA-N 0 1 276.340 0.808 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cn2ccnc2)C1 ZINC000968699584 613733549 /nfs/dbraw/zinc/73/35/49/613733549.db2.gz XSYUEEWQKXLZJL-CHWSQXEVSA-N 0 1 262.357 0.896 20 30 CCEDMN CC(=O)N(CC(=O)N[C@@H]1CNC[C@@H]1C#N)c1ccccc1 ZINC000884250496 613756339 /nfs/dbraw/zinc/75/63/39/613756339.db2.gz GEOXNIZWWGJCAR-GXTWGEPZSA-N 0 1 286.335 0.267 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cnnn2CC)C1 ZINC000965980867 613770380 /nfs/dbraw/zinc/77/03/80/613770380.db2.gz PYPHBMCWEHQDAS-RYUDHWBXSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC[C@H](OC(=O)CCc2nc[nH]n2)C1=O ZINC000798632484 613802860 /nfs/dbraw/zinc/80/28/60/613802860.db2.gz LQIWCCUWXWUHGQ-VIFPVBQESA-N 0 1 264.285 0.067 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@]2(C)CCC(=O)NC2)C(C)(C)C1 ZINC000974958546 613809321 /nfs/dbraw/zinc/80/93/21/613809321.db2.gz SPPWDHGXACFGAH-MLGOLLRUSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@]2(C)CCNC(=O)C2)C(C)(C)C1 ZINC000974738523 613809461 /nfs/dbraw/zinc/80/94/61/613809461.db2.gz IQOAISIFBHSJAM-MLGOLLRUSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C(C)(C)C1 ZINC000975059859 613809763 /nfs/dbraw/zinc/80/97/63/613809763.db2.gz HYWJGFDFBACRSO-GFCCVEGCSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H](C)n2cncn2)C(C)(C)C1 ZINC000974484288 613827323 /nfs/dbraw/zinc/82/73/23/613827323.db2.gz MBHPVWSRHHEAGF-NEPJUHHUSA-N 0 1 277.372 0.852 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C(C)(C)C1 ZINC000974747588 613827410 /nfs/dbraw/zinc/82/74/10/613827410.db2.gz BKMCPSOOTULFFT-OLZOCXBDSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C(C)(C)C1 ZINC000974659711 613827833 /nfs/dbraw/zinc/82/78/33/613827833.db2.gz SKRLMJVLEWFCHJ-STQMWFEESA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CCNC(=O)O2)CC1 ZINC000932766148 613854886 /nfs/dbraw/zinc/85/48/86/613854886.db2.gz AHMVOMQUJMJAPE-NSHDSACASA-N 0 1 281.356 0.642 20 30 CCEDMN C=CCNC(=S)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000840804761 613856881 /nfs/dbraw/zinc/85/68/81/613856881.db2.gz ITANXJDFUAYIJY-PHIMTYICSA-N 0 1 257.403 0.434 20 30 CCEDMN C=CCOCCNC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833376148 613880752 /nfs/dbraw/zinc/88/07/52/613880752.db2.gz UZJQMQNRKRDXIO-LBPRGKRZSA-N 0 1 299.371 0.379 20 30 CCEDMN C=CCOCCNC(=O)NCc1cc(N)ccn1 ZINC000891766174 613880876 /nfs/dbraw/zinc/88/08/76/613880876.db2.gz WUESALNTUCCKAI-UHFFFAOYSA-N 0 1 250.302 0.666 20 30 CCEDMN C=CCONC(=O)[C@H]1CS(=O)(=O)C[C@@H]1C(F)(F)F ZINC000823421054 613884560 /nfs/dbraw/zinc/88/45/60/613884560.db2.gz KCZMHEOWPYJKPE-BQBZGAKWSA-N 0 1 287.259 0.443 20 30 CCEDMN C=CCONC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000837047330 613884578 /nfs/dbraw/zinc/88/45/78/613884578.db2.gz GYRMIPRSKWKHMG-UHFFFAOYSA-N 0 1 276.340 0.681 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@H]1[C@H](C(=O)OC)C1(F)F ZINC000894436605 613885746 /nfs/dbraw/zinc/88/57/46/613885746.db2.gz IUCXXKXBNWVBFV-DTWKUNHWSA-N 0 1 297.323 0.231 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N2CC(C)(C#N)C2)cc1=O ZINC000935773763 614235095 /nfs/dbraw/zinc/23/50/95/614235095.db2.gz UOWCYRSCRKSZCI-UHFFFAOYSA-N 0 1 276.340 0.747 20 30 CCEDMN CC(C)N1CCC[C@H]1C(=O)N[C@@H]1CCCN(O)C1=O ZINC000820544625 614354029 /nfs/dbraw/zinc/35/40/29/614354029.db2.gz NVJXHRUDJYDYLN-MNOVXSKESA-N 0 1 269.345 0.356 20 30 CCEDMN CC1CCN(CC(=O)N[C@@H]2[C@H]3CN(CC#N)C[C@H]32)CC1 ZINC000962122176 614435279 /nfs/dbraw/zinc/43/52/79/614435279.db2.gz PVLOSSNYNOUVGT-NHAGDIPZSA-N 0 1 276.384 0.288 20 30 CCEDMN CCC(=O)N1CCCN(CCO[C@H](C)C#N)CC1 ZINC000801912807 614550603 /nfs/dbraw/zinc/55/06/03/614550603.db2.gz DGCUVZDRSNPJFB-GFCCVEGCSA-N 0 1 253.346 0.859 20 30 CCEDMN CCC1(CC)CNC(=O)[C@@H]1NCC(=O)NC1(C#N)CCC1 ZINC000933286569 614683082 /nfs/dbraw/zinc/68/30/82/614683082.db2.gz POQMPLRCYASJJH-LBPRGKRZSA-N 0 1 292.383 0.443 20 30 CCEDMN CCCCCCN(C)CC(=O)N[C@H]1CCCN(O)C1=O ZINC000848138619 614906263 /nfs/dbraw/zinc/90/62/63/614906263.db2.gz OQDZFUGHGCCCBX-LBPRGKRZSA-N 0 1 285.388 0.995 20 30 CCEDMN CC1CC(C#N)(C(=O)NC[C@H]2C[N@H+]3CCC[C@@H]3CO2)C1 ZINC000124142734 615010890 /nfs/dbraw/zinc/01/08/90/615010890.db2.gz BPYUMXNWUCODOP-ICRTXTNUSA-N 0 1 277.368 0.906 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC2(CC1)COCCO2 ZINC000880542454 615021479 /nfs/dbraw/zinc/02/14/79/615021479.db2.gz CCGPWEKQHUXCDL-UHFFFAOYSA-N 0 1 295.383 0.630 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@H]1CCOC[C@H]1OC ZINC000880430219 615064277 /nfs/dbraw/zinc/06/42/77/615064277.db2.gz RDIIJSJWVYMHFZ-HZSPNIEDSA-N 0 1 297.399 0.730 20 30 CCEDMN CCN(OC)C(=O)CNCc1cnc2ccc(C#N)cn12 ZINC000842769236 615076224 /nfs/dbraw/zinc/07/62/24/615076224.db2.gz KLFIOHXDJVLVGE-UHFFFAOYSA-N 0 1 287.323 0.705 20 30 CCEDMN CCNc1ncc(C(=O)NCC#CCN(C)C)s1 ZINC000913524478 615140786 /nfs/dbraw/zinc/14/07/86/615140786.db2.gz OBJILZYGRAOPGA-UHFFFAOYSA-N 0 1 266.370 0.870 20 30 CCEDMN CCN1CCN(CC(=O)N2CCC[C@@H](C#N)C2)CC1 ZINC000928223239 615154504 /nfs/dbraw/zinc/15/45/04/615154504.db2.gz XDIBIZSXKMOCJF-ZDUSSCGKSA-N 0 1 264.373 0.386 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@H](C#N)C2CCCC2)CC1 ZINC000921543573 615155500 /nfs/dbraw/zinc/15/55/00/615155500.db2.gz MMATVYJKSVIXGO-AWEZNQCLSA-N 0 1 278.400 0.822 20 30 CCEDMN CCOC(=O)N1CCC(C(=O)N[C@@H]2CNC[C@@H]2C#N)CC1 ZINC000834504694 615225105 /nfs/dbraw/zinc/22/51/05/615225105.db2.gz DMZPXYPJPRSRBJ-NWDGAFQWSA-N 0 1 294.355 0.083 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@@H](C)CO1 ZINC000892458920 615245307 /nfs/dbraw/zinc/24/53/07/615245307.db2.gz NLEAKEVMAABONU-UTUOFQBUSA-N 0 1 282.340 0.663 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)NCC#C[C@@H]1CCCCO1 ZINC000896459449 615316744 /nfs/dbraw/zinc/31/67/44/615316744.db2.gz MOWWJKDWEQUGJY-KBPBESRZSA-N 0 1 279.384 0.952 20 30 CCEDMN CCS(=O)(CC)=NS(=O)(=O)NC[C@H](C)C#N ZINC000866894410 615358020 /nfs/dbraw/zinc/35/80/20/615358020.db2.gz CPUCOMIEKLDAJA-MRVPVSSYSA-N 0 1 267.376 0.488 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2cc(C#N)nc(C)n2)CC1 ZINC000882031832 615489365 /nfs/dbraw/zinc/48/93/65/615489365.db2.gz ORIAPXMLDBJTSS-ZDUSSCGKSA-N 0 1 275.356 0.550 20 30 CCEDMN CCOc1ccc(CC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834504370 615509857 /nfs/dbraw/zinc/50/98/57/615509857.db2.gz AMSHMMWXLHZNJM-TZMCWYRMSA-N 0 1 273.336 0.856 20 30 CCEDMN CC[C@@H]1OCCC[C@H]1C(=O)NCC#CCN(C)C ZINC000913521464 615580463 /nfs/dbraw/zinc/58/04/63/615580463.db2.gz AJYRLMSQYRIGCP-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN CCc1c(C(=O)N[C@@H]2CNC[C@H]2C#N)cnn1CC(C)C ZINC000834480690 615605811 /nfs/dbraw/zinc/60/58/11/615605811.db2.gz UWTHOXPZTKWDOJ-DGCLKSJQSA-N 0 1 289.383 0.943 20 30 CCEDMN CCn1nncc1CN=Nc1ccnc(N(C)C)n1 ZINC000841624563 615752311 /nfs/dbraw/zinc/75/23/11/615752311.db2.gz DGYFKWFABYWYEM-UHFFFAOYSA-N 0 1 260.305 0.600 20 30 CCEDMN CCc1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000834495062 615771811 /nfs/dbraw/zinc/77/18/11/615771811.db2.gz ROSGKHDKBWKJMN-JGVFFNPUSA-N 0 1 250.327 0.547 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@]12CC[C@@](C)(C(=O)O1)C2(C)C ZINC000913524611 615859419 /nfs/dbraw/zinc/85/94/19/615859419.db2.gz UVEDOOAXRQRDFL-JKSUJKDBSA-N 0 1 292.379 0.790 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(Cn2ccnn2)cc1 ZINC000913524397 615860657 /nfs/dbraw/zinc/86/06/57/615860657.db2.gz LSFSVRKLHMSTEX-UHFFFAOYSA-N 0 1 297.362 0.621 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(Br)n(C)n1 ZINC000913523196 615860875 /nfs/dbraw/zinc/86/08/75/615860875.db2.gz PWMWNGLHXIKPNX-UHFFFAOYSA-N 0 1 299.172 0.477 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnc(N(C)C)c1 ZINC000913516842 615861127 /nfs/dbraw/zinc/86/11/27/615861127.db2.gz IUBVDOHXNWZVMY-UHFFFAOYSA-N 0 1 260.341 0.442 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccn(C2CC2)c1=O ZINC000913525249 615861131 /nfs/dbraw/zinc/86/11/31/615861131.db2.gz PGXYKPUPRHEQST-UHFFFAOYSA-N 0 1 273.336 0.478 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2[nH]c(CO)nc21 ZINC000913523631 615861211 /nfs/dbraw/zinc/86/12/11/615861211.db2.gz GZPPMTUWATUUOE-UHFFFAOYSA-N 0 1 286.335 0.350 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(C)c1C1CC1 ZINC000913517656 615861774 /nfs/dbraw/zinc/86/17/74/615861774.db2.gz KNRKTGSDRQEZLL-UHFFFAOYSA-N 0 1 260.341 0.592 20 30 CCEDMN CN(C)CC#CCNC(=O)c1snnc1C1CC1 ZINC000913522542 615862341 /nfs/dbraw/zinc/86/23/41/615862341.db2.gz NXDITGPIXNFODB-UHFFFAOYSA-N 0 1 264.354 0.710 20 30 CCEDMN CN(C)CC#CCNC(=O)c1n[nH]c(=O)c2ccccc21 ZINC000913514163 615862674 /nfs/dbraw/zinc/86/26/74/615862674.db2.gz HEKNCYOBKGGNCW-UHFFFAOYSA-N 0 1 284.319 0.630 20 30 CCEDMN CN(CC(=O)N[C@H]1CNC[C@H]1C#N)c1ccccc1 ZINC000834493681 616049198 /nfs/dbraw/zinc/04/91/98/616049198.db2.gz GMJDNTCNTZIXEJ-YPMHNXCESA-N 0 1 258.325 0.351 20 30 CCEDMN C[N@@H+](Cc1n[nH]c(=O)[n-]1)C[C@H](O)c1cccc(C#N)c1 ZINC000844365802 616102750 /nfs/dbraw/zinc/10/27/50/616102750.db2.gz IMWWEEVPSBWDMT-NSHDSACASA-N 0 1 273.296 0.547 20 30 CCEDMN C[N@H+](Cc1n[nH]c(=O)[n-]1)C[C@H](O)c1cccc(C#N)c1 ZINC000844365802 616102751 /nfs/dbraw/zinc/10/27/51/616102751.db2.gz IMWWEEVPSBWDMT-NSHDSACASA-N 0 1 273.296 0.547 20 30 CCEDMN CN([C@H]1CCN(C(=O)C#CC2CC2)C1)[C@H]1CCCNC1=O ZINC000971510012 616115850 /nfs/dbraw/zinc/11/58/50/616115850.db2.gz ZVXVOKPXHQKVDQ-KBPBESRZSA-N 0 1 289.379 0.211 20 30 CCEDMN CN(Cc1cc(C#N)cs1)C(=O)C(=O)N1CCNCC1 ZINC000904956320 616164508 /nfs/dbraw/zinc/16/45/08/616164508.db2.gz MMGQJPSSPUCDAO-UHFFFAOYSA-N 0 1 292.364 0.010 20 30 CCEDMN CN1CCC[C@H](NC(=O)N2CCO[C@@](C)(C#N)C2)C1 ZINC000892241661 616206992 /nfs/dbraw/zinc/20/69/92/616206992.db2.gz XEIFXTAWHQZHRE-AAEUAGOBSA-N 0 1 266.345 0.405 20 30 CCEDMN CN1CCN(CCNc2ccc(C#N)cc2CO)CC1 ZINC000891619250 616242076 /nfs/dbraw/zinc/24/20/76/616242076.db2.gz ARMCIFCBQNDTJD-UHFFFAOYSA-N 0 1 274.368 0.710 20 30 CCEDMN C[N@@H+]1CCO[C@H](C(=O)[N-]Oc2cccc(C#N)c2)C1 ZINC000870693055 616259528 /nfs/dbraw/zinc/25/95/28/616259528.db2.gz WMJMOKNBXLKVDW-LBPRGKRZSA-N 0 1 261.281 0.299 20 30 CCEDMN C[N@H+]1CCO[C@H](C(=O)[N-]Oc2cccc(C#N)c2)C1 ZINC000870693055 616259529 /nfs/dbraw/zinc/25/95/29/616259529.db2.gz WMJMOKNBXLKVDW-LBPRGKRZSA-N 0 1 261.281 0.299 20 30 CCEDMN CN1C[C@@H](O)C[C@H]1CNC(=O)C1(C#N)CCCC1 ZINC000924994404 616323875 /nfs/dbraw/zinc/32/38/75/616323875.db2.gz PTAXOPPNSHIVFA-QWRGUYRKSA-N 0 1 251.330 0.252 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)C#CC2CC2)C1 ZINC000837399149 616342659 /nfs/dbraw/zinc/34/26/59/616342659.db2.gz CNGONYLDIFBOEI-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)[C@@]2(C#N)CCCOC2)C1 ZINC000865195422 616344051 /nfs/dbraw/zinc/34/40/51/616344051.db2.gz KAVVVXBMJWXJES-UKRRQHHQSA-N 0 1 295.383 0.534 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC000837399150 616351325 /nfs/dbraw/zinc/35/13/25/616351325.db2.gz CNGONYLDIFBOEI-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN COC(=O)C1(C)CN(C[C@@H](O)CC2(C#N)CCC2)C1 ZINC000905961642 616356020 /nfs/dbraw/zinc/35/60/20/616356020.db2.gz SPWVHYMWCLCFMH-NSHDSACASA-N 0 1 266.341 0.926 20 30 CCEDMN CN1C[C@H]2CC[C@@H](C1)N2CC(=O)NCc1cccc(C#N)c1 ZINC000931395821 616370350 /nfs/dbraw/zinc/37/03/50/616370350.db2.gz DFIFZSXDACMUJO-IYBDPMFKSA-N 0 1 298.390 0.953 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CCC[C@H](C#N)C2)C1 ZINC000919634236 616417123 /nfs/dbraw/zinc/41/71/23/616417123.db2.gz GYVXGXMUMIAKDT-OLZOCXBDSA-N 0 1 280.372 0.652 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2coc(C#N)c2)C1 ZINC000865584389 616423867 /nfs/dbraw/zinc/42/38/67/616423867.db2.gz QMXQDRPJXULKEM-NSHDSACASA-N 0 1 263.297 0.602 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCC#Cc1ccccc1 ZINC000900058928 616471968 /nfs/dbraw/zinc/47/19/68/616471968.db2.gz XEWITLVUOUARIJ-ZBFHGGJFSA-N 0 1 272.348 0.609 20 30 CCEDMN COCC#CCN1CCC2(CCNC(=O)O2)CC1 ZINC000932761291 616527389 /nfs/dbraw/zinc/52/73/89/616527389.db2.gz NJKPXSXVNXMNSD-UHFFFAOYSA-N 0 1 252.314 0.601 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)[C@H]1CCCCN1C ZINC000871341030 616546052 /nfs/dbraw/zinc/54/60/52/616546052.db2.gz MVGXMUYUZBZKDY-LLVKDONJSA-N 0 1 267.329 0.386 20 30 CCEDMN CNC(=O)C1(NCC#Cc2ccccc2)CCOCC1 ZINC000887089481 616548548 /nfs/dbraw/zinc/54/85/48/616548548.db2.gz CDKMLXIAWWIOEG-UHFFFAOYSA-N 0 1 272.348 0.923 20 30 CCEDMN COCCOc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834495787 616595748 /nfs/dbraw/zinc/59/57/48/616595748.db2.gz ZSJJZVWJFYIKNV-GXTWGEPZSA-N 0 1 289.335 0.553 20 30 CCEDMN COC(=O)[C@H](C[C@H]1CCCO1)NC(=O)C(C)C#N ZINC000924864581 616608004 /nfs/dbraw/zinc/60/80/04/616608004.db2.gz ICMNFTUJXVQEPJ-BBBLOLIVSA-N 0 1 254.286 0.373 20 30 CCEDMN COCCS(=O)(=O)Nc1cc(C#N)cc(C)n1 ZINC000903140838 616613476 /nfs/dbraw/zinc/61/34/76/616613476.db2.gz IPHAHQIUWOHIAT-UHFFFAOYSA-N 0 1 255.299 0.650 20 30 CCEDMN COC(=O)COc1ccc(CN=Nc2cnnn2C)cc1 ZINC000814981622 616617845 /nfs/dbraw/zinc/61/78/45/616617845.db2.gz MREGMSWGAVRJDQ-UHFFFAOYSA-N 0 1 289.295 0.813 20 30 CCEDMN CO[C@@H]1CCn2cc(C(=O)N=C3C[C@H](C)ON3)nc2C1 ZINC000867062964 616716189 /nfs/dbraw/zinc/71/61/89/616716189.db2.gz YAAYNBQRLNAGEZ-DTWKUNHWSA-N 0 1 278.312 0.696 20 30 CCEDMN COCCn1cc(CN=Nc2ccncc2F)cn1 ZINC000853402440 616732796 /nfs/dbraw/zinc/73/27/96/616732796.db2.gz YRAPIUSGIVDNNO-UHFFFAOYSA-N 0 1 263.276 0.932 20 30 CCEDMN COC(=O)[C@]1(O)CCN(CCOc2ccccc2C#N)C1 ZINC000880560979 616783592 /nfs/dbraw/zinc/78/35/92/616783592.db2.gz IBEATXGCPCMITJ-HNNXBMFYSA-N 0 1 290.319 0.547 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)[C@@H]1CCCN1C(C)C ZINC000934714577 616817622 /nfs/dbraw/zinc/81/76/22/616817622.db2.gz RVFINUBQLPKASW-AAEUAGOBSA-N 0 1 253.346 0.904 20 30 CCEDMN COC(=O)c1cc(C(=O)NCC#CCN(C)C)ccn1 ZINC000913519586 616822715 /nfs/dbraw/zinc/82/27/15/616822715.db2.gz GKFWZAPFHBPDLJ-UHFFFAOYSA-N 0 1 275.308 0.163 20 30 CCEDMN COC[C@@H](CO)NCc1cc(C#N)ccc1N(C)C ZINC000883107618 616867316 /nfs/dbraw/zinc/86/73/16/616867316.db2.gz FDYHSOWXBGZNHA-CYBMUJFWSA-N 0 1 263.341 0.721 20 30 CCEDMN CNC(=O)[C@H]1CCCN1C[C@H](O)CC1(C#N)CCC1 ZINC000885965920 616881024 /nfs/dbraw/zinc/88/10/24/616881024.db2.gz YIZUDKRHYWZBCI-VXGBXAGGSA-N 0 1 265.357 0.642 20 30 CCEDMN COCc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834511946 616894907 /nfs/dbraw/zinc/89/49/07/616894907.db2.gz QCZGGJNPBLNYCM-QWHCGFSZSA-N 0 1 259.309 0.674 20 30 CCEDMN COC(=O)c1cccc(CC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834484513 616926382 /nfs/dbraw/zinc/92/63/82/616926382.db2.gz JWULNKCFBBJQTM-STQMWFEESA-N 0 1 287.319 0.243 20 30 CCEDMN COCCN(C[C@@H](O)CC1(C#N)CCC1)[C@H](C)C(N)=O ZINC000885999120 617025245 /nfs/dbraw/zinc/02/52/45/617025245.db2.gz FJAOPENNTCQRBT-NEPJUHHUSA-N 0 1 283.372 0.253 20 30 CCEDMN CNc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc(Cl)n1 ZINC000834490880 617055755 /nfs/dbraw/zinc/05/57/55/617055755.db2.gz XURXEDCPGYYRSL-RKDXNWHRSA-N 0 1 279.731 0.618 20 30 CCEDMN COc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)ccc1[N+](=O)[O-] ZINC000834494776 617167535 /nfs/dbraw/zinc/16/75/35/617167535.db2.gz PESJURLLJBZDCA-ZJUUUORDSA-N 0 1 290.279 0.445 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCC[C@@H]1OC ZINC001026152207 625343878 /nfs/dbraw/zinc/34/38/78/625343878.db2.gz BYTHXZGDQDODBK-SBJFKYEJSA-N 0 1 276.380 0.871 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)CCc3cnc[nH]3)C[C@@H]12 ZINC001026282629 625387658 /nfs/dbraw/zinc/38/76/58/625387658.db2.gz VQFVWRFNDXEWFS-MRVWCRGKSA-N 0 1 287.367 0.692 20 30 CCEDMN Cc1cnn([C@@H]2CCN(C(=O)NCc3cnnn3C)C2)c1 ZINC000329706693 625471849 /nfs/dbraw/zinc/47/18/49/625471849.db2.gz KCMRAIAUACPZGH-LLVKDONJSA-N 0 1 289.343 0.681 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)c2cccc(F)c2O)CC1 ZINC000934790446 622863787 /nfs/dbraw/zinc/86/37/87/622863787.db2.gz ZZLVKLUYGMVWKA-UHFFFAOYSA-N 0 1 291.282 0.729 20 30 CCEDMN CC(C)(C(=O)N[C@H]1C[C@@H](NCC#N)C1)c1cnc[nH]1 ZINC001022976941 622875806 /nfs/dbraw/zinc/87/58/06/622875806.db2.gz OHAABCPVYMXQHE-AOOOYVTPSA-N 0 1 261.329 0.448 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)[C@@H]2CCCOC2)C1 ZINC001023269522 622973225 /nfs/dbraw/zinc/97/32/25/622973225.db2.gz RMCIUVVGFDALPH-UONOGXRCSA-N 0 1 264.369 0.874 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001023402711 623024831 /nfs/dbraw/zinc/02/48/31/623024831.db2.gz RHZRMAWEGPRGSN-ZIAGYGMSSA-N 0 1 291.395 0.316 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@@H](CNC(=O)c2[n-]nnc2C)C1 ZINC001023529194 623050916 /nfs/dbraw/zinc/05/09/16/623050916.db2.gz ZEAWWBXHOLRBOE-LBPRGKRZSA-N 0 1 275.356 0.578 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](CNC(=O)c2[n-]nnc2C)C1 ZINC001023529194 623050922 /nfs/dbraw/zinc/05/09/22/623050922.db2.gz ZEAWWBXHOLRBOE-LBPRGKRZSA-N 0 1 275.356 0.578 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2cc(COC)on2)C1 ZINC001023634846 623089830 /nfs/dbraw/zinc/08/98/30/623089830.db2.gz UODPWMSTHGDFBP-LBPRGKRZSA-N 0 1 291.351 0.896 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](CNCc2ncnn2C)C1 ZINC001023894882 623167306 /nfs/dbraw/zinc/16/73/06/623167306.db2.gz HIMXPESCTXYURK-VXGBXAGGSA-N 0 1 290.371 0.303 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H](C)C1CCOCC1 ZINC000179381814 623249900 /nfs/dbraw/zinc/24/99/00/623249900.db2.gz ALKQBRKRCAXSLW-PWSUYJOCSA-N 0 1 282.340 0.521 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024389867 623309211 /nfs/dbraw/zinc/30/92/11/623309211.db2.gz AHIQSMNCRWMDSH-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001024396268 623311114 /nfs/dbraw/zinc/31/11/14/623311114.db2.gz OJQMNZOCVVIEGK-NSHDSACASA-N 0 1 276.340 0.641 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001024417502 623317932 /nfs/dbraw/zinc/31/79/32/623317932.db2.gz UUDMYOWTRKQAOM-LLVKDONJSA-N 0 1 279.340 0.749 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ncn(C)n1 ZINC001024424579 623318818 /nfs/dbraw/zinc/31/88/18/623318818.db2.gz WOJMQFIMPNDKRK-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001024445885 623323206 /nfs/dbraw/zinc/32/32/06/623323206.db2.gz XDFQNCYCOVWQTO-MGPQQGTHSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CN(C)C(=O)N1 ZINC001024597323 623388540 /nfs/dbraw/zinc/38/85/40/623388540.db2.gz VCWDHLBEJHKETG-STQMWFEESA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cccc(=O)n1C ZINC001024644273 623407907 /nfs/dbraw/zinc/40/79/07/623407907.db2.gz OKDVBDOQXUTDAK-CYBMUJFWSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1ncn[n-]1 ZINC001025279991 623687279 /nfs/dbraw/zinc/68/72/79/623687279.db2.gz KBVMEUUQCHOYCP-CHWSQXEVSA-N 0 1 287.367 0.897 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCO[C@H]1C ZINC001025754774 623881136 /nfs/dbraw/zinc/88/11/36/623881136.db2.gz RSWFVDPBNBGKRH-MEBFFEOJSA-N 0 1 262.353 0.481 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC001019775905 623909943 /nfs/dbraw/zinc/90/99/43/623909943.db2.gz LPVHNPYLFCFVSC-NSHDSACASA-N 0 1 285.307 0.997 20 30 CCEDMN C[C@@H](NC(=O)[C@@H]1CCCCN1C)C1CN(CC#N)C1 ZINC000969975051 624567475 /nfs/dbraw/zinc/56/74/75/624567475.db2.gz HROVDRYVSBEABN-YPMHNXCESA-N 0 1 264.373 0.431 20 30 CCEDMN C[C@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)C1CN(CC#N)C1 ZINC000970710504 624735864 /nfs/dbraw/zinc/73/58/64/624735864.db2.gz LBGYNQICIOTHAB-WDEREUQCSA-N 0 1 287.367 0.475 20 30 CCEDMN CCC#C[C@@H](C)N[C@H]1C(=O)NCC12CCOCC2 ZINC000933035016 624822228 /nfs/dbraw/zinc/82/22/28/624822228.db2.gz MSYIKFHDCSIFQH-NEPJUHHUSA-N 0 1 250.342 0.673 20 30 CCEDMN CC(=O)NCCN(C)C[C@@H](O)c1ccc(C#N)cc1 ZINC000308312043 624863347 /nfs/dbraw/zinc/86/33/47/624863347.db2.gz SALPDRRACSDWJM-CQSZACIVSA-N 0 1 261.325 0.660 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H]1CN(C)C(=O)c1ccn[nH]1 ZINC000977421233 625936807 /nfs/dbraw/zinc/93/68/07/625936807.db2.gz JNLKISGBTWQSHT-VHSXEESVSA-N 0 1 275.312 0.242 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@H]2CNC(=O)C2CC2)C1=O ZINC001027715972 630873031 /nfs/dbraw/zinc/87/30/31/630873031.db2.gz PPQSGAJOUOPIIV-UONOGXRCSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@H]2CNC(=O)C2CC2)C1=O ZINC001027715970 630873143 /nfs/dbraw/zinc/87/31/43/630873143.db2.gz PPQSGAJOUOPIIV-KBPBESRZSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)C1=COCCO1 ZINC001027726758 630878397 /nfs/dbraw/zinc/87/83/97/630878397.db2.gz VGWBSBWORIKCIY-NSHDSACASA-N 0 1 250.298 0.088 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cccc(=O)[nH]1 ZINC001027810469 630939331 /nfs/dbraw/zinc/93/93/31/630939331.db2.gz MDNXPKJSTMQCFU-LLVKDONJSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cccc(=O)[nH]1 ZINC001027810470 630939409 /nfs/dbraw/zinc/93/94/09/630939409.db2.gz MDNXPKJSTMQCFU-NSHDSACASA-N 0 1 259.309 0.615 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@H](NC(=O)c3cnn[nH]3)C[C@H]2C1 ZINC000980145105 630995405 /nfs/dbraw/zinc/99/54/05/630995405.db2.gz KGTGICAEPFOFEM-DCAQKATOSA-N 0 1 274.328 0.159 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC000981316786 631268166 /nfs/dbraw/zinc/26/81/66/631268166.db2.gz WQVXTLJZQQIYMX-RKDXNWHRSA-N 0 1 265.292 0.703 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000981352757 631271857 /nfs/dbraw/zinc/27/18/57/631271857.db2.gz FEZREUNVXQTVFU-GHMZBOCLSA-N 0 1 261.329 0.493 20 30 CCEDMN Cc1cc2c(cc1NC(=O)N[C@H]1CNC[C@@H]1C#N)OCO2 ZINC000841179532 631674616 /nfs/dbraw/zinc/67/46/16/631674616.db2.gz PGFLOMGJIWBBKG-ONGXEEELSA-N 0 1 288.307 0.957 20 30 CCEDMN Cc1nc([C@@H](C)N[C@@H]2CCN(C(=O)C#CC3CC3)C2)n[nH]1 ZINC000968626908 650024104 /nfs/dbraw/zinc/02/41/04/650024104.db2.gz ICLCMWNMGJWLAU-ZWNOBZJWSA-N 0 1 287.367 0.778 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1cccc2c1CCO2 ZINC000841223437 631856956 /nfs/dbraw/zinc/85/69/56/631856956.db2.gz KPFTZGXHXOWUEE-BXKDBHETSA-N 0 1 272.308 0.855 20 30 CCEDMN C[C@H](Nc1nccnc1C#N)[C@@H]1CN(C)CCN1C ZINC000895245213 632032523 /nfs/dbraw/zinc/03/25/23/632032523.db2.gz DHQDIGSKAUBMJV-JQWIXIFHSA-N 0 1 260.345 0.394 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)NCCN2CCC(O)CC2)C1 ZINC000895453776 632083322 /nfs/dbraw/zinc/08/33/22/632083322.db2.gz QXLCNFUJQZGMBN-NWDGAFQWSA-N 0 1 280.372 0.435 20 30 CCEDMN O=C1OC[C@]2(CNCC#Cc3ccccc3)COCCN12 ZINC000895824724 632161490 /nfs/dbraw/zinc/16/14/90/632161490.db2.gz JIHTYOVOQYBLAM-INIZCTEOSA-N 0 1 286.331 0.849 20 30 CCEDMN C[C@@H](NC(=O)c1cscc1C#N)[C@@H]1CN(C)CCN1C ZINC000896538519 632271660 /nfs/dbraw/zinc/27/16/60/632271660.db2.gz FFWBALLJYNESSR-MFKMUULPSA-N 0 1 292.408 0.984 20 30 CCEDMN C[C@@H](NC(=O)CC(C)(C)C#N)[C@H]1CN(C)CCN1C ZINC000896541689 632274967 /nfs/dbraw/zinc/27/49/67/632274967.db2.gz HAZORPICCTZCLR-VXGBXAGGSA-N 0 1 266.389 0.677 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001007099912 650066623 /nfs/dbraw/zinc/06/66/23/650066623.db2.gz MFCNZFOXZINMND-NEPJUHHUSA-N 0 1 266.341 0.706 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2ccnn2C)C1 ZINC001007230776 650071527 /nfs/dbraw/zinc/07/15/27/650071527.db2.gz AVRPMSYPNXJYBA-ZDUSSCGKSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001007484979 650079804 /nfs/dbraw/zinc/07/98/04/650079804.db2.gz VQFQKPPHGWDRMX-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001007461923 650079057 /nfs/dbraw/zinc/07/90/57/650079057.db2.gz VDPLKFUWCRNKHO-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001007318621 650074538 /nfs/dbraw/zinc/07/45/38/650074538.db2.gz AVJHFRRIRGSVOQ-AWEZNQCLSA-N 0 1 289.379 0.923 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC(N(C)CC#N)CC1 ZINC000985565152 632558528 /nfs/dbraw/zinc/55/85/28/632558528.db2.gz FOGSUMWRAVUACK-UHFFFAOYSA-N 0 1 262.317 0.173 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001007484984 650079759 /nfs/dbraw/zinc/07/97/59/650079759.db2.gz VQFQKPPHGWDRMX-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cn2cnnn2)[C@H]1C ZINC000985940572 632681351 /nfs/dbraw/zinc/68/13/51/632681351.db2.gz FUAMVJKBPFDQHH-UWVGGRQHSA-N 0 1 284.751 0.005 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001007735236 650089446 /nfs/dbraw/zinc/08/94/46/650089446.db2.gz CCLXRRHTOONTFU-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001007735674 650089650 /nfs/dbraw/zinc/08/96/50/650089650.db2.gz CHWMZMDLKFYBAB-RYUDHWBXSA-N 0 1 265.357 0.279 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001007771168 650093987 /nfs/dbraw/zinc/09/39/87/650093987.db2.gz RFNKPFADACBXTG-CQSZACIVSA-N 0 1 287.363 0.603 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2nc[nH]n2)[C@@H]1C ZINC000987186925 632839788 /nfs/dbraw/zinc/83/97/88/632839788.db2.gz CXFQYRIWGOIWIU-RKDXNWHRSA-N 0 1 269.736 0.750 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cn2cncn2)[C@@H]1C ZINC000987470261 632872236 /nfs/dbraw/zinc/87/22/36/632872236.db2.gz DWRYIWYVYZSYGV-GHMZBOCLSA-N 0 1 283.763 0.610 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(CCO)C2)cc1 ZINC001008146695 650102992 /nfs/dbraw/zinc/10/29/92/650102992.db2.gz HEEIKSBQKWWTRZ-HNNXBMFYSA-N 0 1 272.348 0.854 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001008201260 650105589 /nfs/dbraw/zinc/10/55/89/650105589.db2.gz BOCANKVHTYZIEC-RYUDHWBXSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001008279329 650108747 /nfs/dbraw/zinc/10/87/47/650108747.db2.gz BOCANKVHTYZIEC-NWDGAFQWSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@H]1C[C@@H](NCc2ccc(C#N)s2)CN1C(=O)C(N)=O ZINC000989381241 633205303 /nfs/dbraw/zinc/20/53/03/633205303.db2.gz UIHKYZZNKRRNSD-DTWKUNHWSA-N 0 1 292.364 0.184 20 30 CCEDMN C=C(Cl)CN1CCCN(C(=O)Cc2ncn[nH]2)CC1 ZINC000989439038 633233657 /nfs/dbraw/zinc/23/36/57/633233657.db2.gz KIABRLRTRVCODB-UHFFFAOYSA-N 0 1 283.763 0.634 20 30 CCEDMN N#CC1(CNC(=O)C2CN([C@@H]3CCOC3)C2)CCC1 ZINC000897991111 633268692 /nfs/dbraw/zinc/26/86/92/633268692.db2.gz CEGBNAKYBXQVLE-GFCCVEGCSA-N 0 1 263.341 0.517 20 30 CCEDMN C=CC[N@H+]1CC[C@]2(NC(=O)[C@@H]3COCCO3)CCC[C@@H]12 ZINC000989963574 633393154 /nfs/dbraw/zinc/39/31/54/633393154.db2.gz MROIPIHPABGNJZ-GZBFAFLISA-N 0 1 280.368 0.701 20 30 CCEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)Cc3nnc(C)[nH]3)CCC[C@H]12 ZINC000990638728 633573324 /nfs/dbraw/zinc/57/33/24/633573324.db2.gz OEMNNEQGMFPJPU-WFASDCNBSA-N 0 1 287.367 0.402 20 30 CCEDMN N#Cc1cc(C(=O)N2CC(N3CCCC3)C2)ccn1 ZINC000898604938 633628437 /nfs/dbraw/zinc/62/84/37/633628437.db2.gz PRXWIOXFINKYEB-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cncc(OC)n2)C1 ZINC001008763509 650127109 /nfs/dbraw/zinc/12/71/09/650127109.db2.gz AHHLBNREVUYYGZ-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnon2)C1 ZINC001008928947 650132959 /nfs/dbraw/zinc/13/29/59/650132959.db2.gz QESHCGYSERNBIC-SNVBAGLBSA-N 0 1 250.302 0.840 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ncccn2)C1 ZINC001008956750 650134128 /nfs/dbraw/zinc/13/41/28/650134128.db2.gz PWZYPJPBPRKNBF-LBPRGKRZSA-N 0 1 258.325 0.694 20 30 CCEDMN C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N(CC#N)CC#N)C1 ZINC000900464488 634025748 /nfs/dbraw/zinc/02/57/48/634025748.db2.gz NTEGMGRZUUANKM-GXSJLCMTSA-N 0 1 257.297 0.951 20 30 CCEDMN N#CCNC(=O)C1CCC(N2CCOCC2)CC1 ZINC000900464671 634025896 /nfs/dbraw/zinc/02/58/96/634025896.db2.gz RSSLSPZIFRUDKO-UHFFFAOYSA-N 0 1 251.330 0.517 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(CCO)C2)cn1 ZINC001009278915 650141810 /nfs/dbraw/zinc/14/18/10/650141810.db2.gz XHRNCTDYLZEKPM-CQSZACIVSA-N 0 1 273.336 0.249 20 30 CCEDMN Fc1cnccc1N=NCc1cnc2n1CCOC2 ZINC000905486950 634871451 /nfs/dbraw/zinc/87/14/51/634871451.db2.gz ULLQCBXMDWVYLM-UHFFFAOYSA-N 0 1 261.260 0.815 20 30 CCEDMN N#Cc1ccc(F)c(CNC[C@H](O)C2(O)CCOCC2)c1 ZINC000905519105 634874627 /nfs/dbraw/zinc/87/46/27/634874627.db2.gz REVWUJZUQFDINF-AWEZNQCLSA-N 0 1 294.326 0.689 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(C#N)cn1)N(C)C1CC1 ZINC000906911889 635049129 /nfs/dbraw/zinc/04/91/29/635049129.db2.gz JDKIIDSQKBSGKT-JTQLQIEISA-N 0 1 294.380 0.714 20 30 CCEDMN C=CCOCC(=O)Nc1n[nH]c2cc(C)n(C)c(=O)c21 ZINC000910646659 635822108 /nfs/dbraw/zinc/82/21/08/635822108.db2.gz YAGPZAUSCJKBCG-UHFFFAOYSA-N 0 1 276.296 0.711 20 30 CCEDMN N#C[C@]1(C(=O)N2CC[C@H](N3CCC3)C2)CCCOC1 ZINC000912899758 636693046 /nfs/dbraw/zinc/69/30/46/636693046.db2.gz ARZWRXUQWAEATR-GXTWGEPZSA-N 0 1 263.341 0.613 20 30 CCEDMN C[C@@H](CC(=O)NCC#CCN(C)C)[C@H]1CCCO1 ZINC000913523831 636832225 /nfs/dbraw/zinc/83/22/25/636832225.db2.gz OADWSHAJFUNBTA-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN Cc1cc(C2(C(=O)NCC#CCN(C)C)CC2)on1 ZINC000913523878 636833103 /nfs/dbraw/zinc/83/31/03/636833103.db2.gz QCPMFZUFPWJYAD-UHFFFAOYSA-N 0 1 261.325 0.696 20 30 CCEDMN Cc1cccn2c(=O)c(C(=O)NCC#CCN(C)C)cnc12 ZINC000913520484 636835938 /nfs/dbraw/zinc/83/59/38/636835938.db2.gz RBQORXBBASGYBS-UHFFFAOYSA-N 0 1 298.346 0.298 20 30 CCEDMN C#CCSCCNCc1ccc(S(N)(=O)=O)o1 ZINC000231936873 637236394 /nfs/dbraw/zinc/23/63/94/637236394.db2.gz KRYCWUDFCAQDHE-UHFFFAOYSA-N 0 1 274.367 0.383 20 30 CCEDMN CN1CC(C(=O)N[C@@]23CCC[C@@H]2N(CC#N)CC3)=NC1=O ZINC000992148839 637322482 /nfs/dbraw/zinc/32/24/82/637322482.db2.gz DRBGEWJRYHANHM-SMDDNHRTSA-N 0 1 289.339 0.376 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3CCC(=O)N3C)CCC[C@@H]12 ZINC000992155821 637324157 /nfs/dbraw/zinc/32/41/57/637324157.db2.gz ZWHCTVJKRIUZKU-XJKCOSOUSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H]3CCC(=O)N3)CCC[C@@H]12 ZINC000992423524 637401611 /nfs/dbraw/zinc/40/16/11/637401611.db2.gz QSNNVRBBCSVBBR-YWPYICTPSA-N 0 1 275.352 0.011 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(=O)c(OC)c[nH]2)C1 ZINC001015275375 637536111 /nfs/dbraw/zinc/53/61/11/637536111.db2.gz XRPPEQOHIUHARG-NSHDSACASA-N 0 1 289.335 0.211 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001007132203 637642981 /nfs/dbraw/zinc/64/29/81/637642981.db2.gz ZTEHWUUPTRZBHZ-NSHDSACASA-N 0 1 276.340 0.641 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001007132203 637642993 /nfs/dbraw/zinc/64/29/93/637642993.db2.gz ZTEHWUUPTRZBHZ-NSHDSACASA-N 0 1 276.340 0.641 20 30 CCEDMN Cc1c[nH]nc1CN=Nc1ccccc1S(N)(=O)=O ZINC000814917906 637745742 /nfs/dbraw/zinc/74/57/42/637745742.db2.gz VWGBCIAGKYEJQH-UHFFFAOYSA-N 0 1 279.325 0.812 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001015621029 637756023 /nfs/dbraw/zinc/75/60/23/637756023.db2.gz QMWSMQBWNBABFC-WDEREUQCSA-N 0 1 273.340 0.048 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2[nH]nnc2C)[C@H]1C ZINC000993677210 637831275 /nfs/dbraw/zinc/83/12/75/637831275.db2.gz YQUKFTKXBHFLDJ-MNOVXSKESA-N 0 1 263.345 0.882 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)C2=NC(=O)N(C)C2)CCCN1CC#N ZINC000993746329 637841022 /nfs/dbraw/zinc/84/10/22/637841022.db2.gz BANURPIKYZOMOF-NXEZZACHSA-N 0 1 277.328 0.232 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)Cc2cnc[nH]2)CCCN1CC#N ZINC000993886004 637852121 /nfs/dbraw/zinc/85/21/21/637852121.db2.gz KYJVZPAVDNPWKH-JQWIXIFHSA-N 0 1 261.329 0.445 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cn2ccnc2)[C@@H]1C ZINC000994506659 637955397 /nfs/dbraw/zinc/95/53/97/637955397.db2.gz LNFKGAWXSFZPRS-STQMWFEESA-N 0 1 260.341 0.485 20 30 CCEDMN c1nc2c(ncnc2N=NC2CN3CCC2CC3)[nH]1 ZINC000917556236 637975772 /nfs/dbraw/zinc/97/57/72/637975772.db2.gz XWBDZKDQAFQUAF-UHFFFAOYSA-N 0 1 257.301 0.846 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)N[C@@H]1CC[N@@H+](C)[C@@H]1C ZINC000925334075 638158445 /nfs/dbraw/zinc/15/84/45/638158445.db2.gz AAPMVBXXSYKUPT-IJLUTSLNSA-N 0 1 251.330 0.123 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](N(C)C(=O)CN2CCCC2)C1 ZINC000939406753 638360925 /nfs/dbraw/zinc/36/09/25/638360925.db2.gz UQKVZCHCHRRZLJ-CHWSQXEVSA-N 0 1 292.383 0.301 20 30 CCEDMN Cc1ncc(C(=O)N2CCCN(C(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC000940503385 638467443 /nfs/dbraw/zinc/46/74/43/638467443.db2.gz IJOXRZNSXRGRRI-JTQLQIEISA-N 0 1 289.339 0.552 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1cccc(F)c1C#N)N(C)C ZINC000070398178 638484399 /nfs/dbraw/zinc/48/43/99/638484399.db2.gz PZWTYHKUGFGVPO-VIFPVBQESA-N 0 1 285.344 0.926 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@H]1NC(=O)c1cncc2nc[nH]c21 ZINC000942063496 638609346 /nfs/dbraw/zinc/60/93/46/638609346.db2.gz JMWRUPSPDRAHIE-CMPLNLGQSA-N 0 1 298.350 0.922 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000942220179 638631414 /nfs/dbraw/zinc/63/14/14/638631414.db2.gz QJJAPVGERJDHSP-ZYHUDNBSSA-N 0 1 287.367 0.862 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@H]2NC(=O)c3ccccc32)C1 ZINC001015882977 638731265 /nfs/dbraw/zinc/73/12/65/638731265.db2.gz AQFKBRXOZQDBCO-IUODEOHRSA-N 0 1 297.358 0.685 20 30 CCEDMN CC1(C)CN(C(=O)CCc2cnc[nH]2)C[C@H]1NCC#N ZINC000995890585 638804435 /nfs/dbraw/zinc/80/44/35/638804435.db2.gz HLJXASQARZCOKD-GFCCVEGCSA-N 0 1 275.356 0.692 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001015939236 638807940 /nfs/dbraw/zinc/80/79/40/638807940.db2.gz URSHJYDKXTYCBH-LBPRGKRZSA-N 0 1 273.336 0.511 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCNC2=O)CC1(C)C ZINC000996132666 638810100 /nfs/dbraw/zinc/81/01/00/638810100.db2.gz FVOPGIWLVNFRQV-GHMZBOCLSA-N 0 1 299.802 0.702 20 30 CCEDMN CN1CC(C(=O)N2C[C@@H](NCC#N)C(C)(C)C2)=NC1=O ZINC000996229499 638812067 /nfs/dbraw/zinc/81/20/67/638812067.db2.gz TXDORXGMJGNXBM-SNVBAGLBSA-N 0 1 277.328 0.089 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001014405328 650449145 /nfs/dbraw/zinc/44/91/45/650449145.db2.gz YUSOTNPANNWUQR-CYBMUJFWSA-N 0 1 275.352 0.533 20 30 CCEDMN N#Cc1ccc(F)c(NC(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000888375192 638888171 /nfs/dbraw/zinc/88/81/71/638888171.db2.gz OZPZAVSWQVTFDR-LLVKDONJSA-N 0 1 292.314 0.885 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC000999159392 638963570 /nfs/dbraw/zinc/96/35/70/638963570.db2.gz AIKOTYOYPFACLN-CHWSQXEVSA-N 0 1 287.367 0.934 20 30 CCEDMN O=C(C#CC1CC1)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncn[nH]1)C2 ZINC000999368130 638977978 /nfs/dbraw/zinc/97/79/78/638977978.db2.gz RKIRTCGYOIHOJI-WOPDTQHZSA-N 0 1 299.334 0.080 20 30 CCEDMN O=C(C#CC1CC1)N1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nc[nH]n1)C2 ZINC000999368130 638977980 /nfs/dbraw/zinc/97/79/80/638977980.db2.gz RKIRTCGYOIHOJI-WOPDTQHZSA-N 0 1 299.334 0.080 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014610546 650467684 /nfs/dbraw/zinc/46/76/84/650467684.db2.gz LAJQGHOWYAGQPS-OLZOCXBDSA-N 0 1 279.384 0.620 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2ccc(=O)[nH]n2)CC1 ZINC001000419928 639083515 /nfs/dbraw/zinc/08/35/15/639083515.db2.gz SEOXSMVQWITVNI-UHFFFAOYSA-N 0 1 286.335 0.567 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ncoc2C)CC1 ZINC001000488073 639098713 /nfs/dbraw/zinc/09/87/13/639098713.db2.gz GDGWSNSIWBMATR-UHFFFAOYSA-N 0 1 259.309 0.978 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC001000518644 639108660 /nfs/dbraw/zinc/10/86/60/639108660.db2.gz YLTXZHRISDQIFS-CHWSQXEVSA-N 0 1 276.336 0.177 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn(C)cn2)CC1 ZINC001000579433 639126245 /nfs/dbraw/zinc/12/62/45/639126245.db2.gz DKHNODIDEXVVLK-UHFFFAOYSA-N 0 1 258.325 0.415 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cn(C)nn2)CC1 ZINC001000645857 639145600 /nfs/dbraw/zinc/14/56/00/639145600.db2.gz FTSNNDAMMFIXCB-UHFFFAOYSA-N 0 1 273.340 0.200 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc3n(n2)CCC3)C1 ZINC001014652101 650473912 /nfs/dbraw/zinc/47/39/12/650473912.db2.gz AFJKFAIOFDOIPT-NSHDSACASA-N 0 1 260.341 0.819 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2c[nH]c(=O)n2C)CC1 ZINC001001043197 639214783 /nfs/dbraw/zinc/21/47/83/639214783.db2.gz FVFMAGDVZZOSHN-UHFFFAOYSA-N 0 1 274.324 0.121 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC001001057977 639222474 /nfs/dbraw/zinc/22/24/74/639222474.db2.gz STGIGEIBPTXMIP-AWEZNQCLSA-N 0 1 289.379 0.379 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCO[C@H]2C=C)CC1 ZINC001001163474 639244305 /nfs/dbraw/zinc/24/43/05/639244305.db2.gz PLVUDYVEXDVDGV-CABCVRRESA-N 0 1 274.364 0.959 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCN(C(C)=O)C2)CC1 ZINC001001408477 639297211 /nfs/dbraw/zinc/29/72/11/639297211.db2.gz KBHYOMJVVUORGA-HNNXBMFYSA-N 0 1 289.379 0.236 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(OCC)n[nH]2)C1 ZINC001014884780 650498785 /nfs/dbraw/zinc/49/87/85/650498785.db2.gz LVECSLBYMBXFGE-SNVBAGLBSA-N 0 1 264.329 0.799 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC001003220921 639517854 /nfs/dbraw/zinc/51/78/54/639517854.db2.gz FUXAMHBFCDOUKF-NWDGAFQWSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001014993521 650512403 /nfs/dbraw/zinc/51/24/03/650512403.db2.gz ADDUJVSZPMVVIP-KBPBESRZSA-N 0 1 286.379 0.659 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cccnn2)CC1 ZINC001003827810 639630224 /nfs/dbraw/zinc/63/02/24/639630224.db2.gz LIALLYMUSVVKJF-UHFFFAOYSA-N 0 1 258.325 0.694 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C)nc2COC)C1 ZINC001014996956 650513462 /nfs/dbraw/zinc/51/34/62/650513462.db2.gz RPDQHKXFUGZDKC-LLVKDONJSA-N 0 1 278.356 0.557 20 30 CCEDMN C#CCN1CCC(NC(=O)C2CCN(C(C)=O)CC2)CC1 ZINC001004115666 639677239 /nfs/dbraw/zinc/67/72/39/639677239.db2.gz ALHCXCHOHLYETC-UHFFFAOYSA-N 0 1 291.395 0.459 20 30 CCEDMN CS(=O)(=O)C[C@H](O)CNCc1ccc(C#N)cc1 ZINC000922827990 639797992 /nfs/dbraw/zinc/79/79/92/639797992.db2.gz YCNSWSPWOMHGEL-GFCCVEGCSA-N 0 1 268.338 0.053 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCC(=O)N2)CC1 ZINC001005176663 639886503 /nfs/dbraw/zinc/88/65/03/639886503.db2.gz PIYKYUXWKLDLKB-CYBMUJFWSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001005243051 639892882 /nfs/dbraw/zinc/89/28/82/639892882.db2.gz JLANHZJZHRCNCC-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@H]2CCNC2=O)CC1 ZINC001005491240 639912923 /nfs/dbraw/zinc/91/29/23/639912923.db2.gz HUGMSBWQCVWAGY-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnn3ncccc23)CC1 ZINC001005507522 639914157 /nfs/dbraw/zinc/91/41/57/639914157.db2.gz HQTWOKRRDAGMMM-UHFFFAOYSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cn[nH]c(=O)c2)CC1 ZINC001005538290 639916480 /nfs/dbraw/zinc/91/64/80/639916480.db2.gz ACPNSRYRJCRARF-UHFFFAOYSA-N 0 1 274.324 0.352 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cnc[nH]c2=O)CC1 ZINC001005558061 639934245 /nfs/dbraw/zinc/93/42/45/639934245.db2.gz CTZOEXSIJNRCIE-UHFFFAOYSA-N 0 1 288.351 0.742 20 30 CCEDMN CN(C(=O)[C@H]1CCCCN1C)C1CCN(CC#N)CC1 ZINC001005587622 639941078 /nfs/dbraw/zinc/94/10/78/639941078.db2.gz GZTJHMGXWMHUBV-CQSZACIVSA-N 0 1 278.400 0.917 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccnc3n[nH]nc32)CC1 ZINC001005692602 639951935 /nfs/dbraw/zinc/95/19/35/639951935.db2.gz ZBCUNMJFQVIFOP-UHFFFAOYSA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCNC(=O)CC2)CC1 ZINC001005766818 639960987 /nfs/dbraw/zinc/96/09/87/639960987.db2.gz ZVPYQUUZMOYZOI-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2c(C)nc[nH]c2=O)CC1 ZINC001005859164 639999127 /nfs/dbraw/zinc/99/91/27/639999127.db2.gz BINFRTDHFABCQV-UHFFFAOYSA-N 0 1 288.351 0.660 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCC(=O)N2C)CC1 ZINC001005874443 640005780 /nfs/dbraw/zinc/00/57/80/640005780.db2.gz XECWEZQFAIVXPW-CYBMUJFWSA-N 0 1 277.368 0.163 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001015496734 650573416 /nfs/dbraw/zinc/57/34/16/650573416.db2.gz VZXDJSVYSKRLNG-GFCCVEGCSA-N 0 1 286.339 0.505 20 30 CCEDMN N#C[C@H](C(=O)CC1OCCO1)c1nnc2n1CCCCC2 ZINC000798208824 640487558 /nfs/dbraw/zinc/48/75/58/640487558.db2.gz WOOWDHLTRYHCFW-SNVBAGLBSA-N 0 1 290.323 0.944 20 30 CCEDMN NC(=O)c1ccc(N=NCc2cc(C(F)(F)F)[nH]n2)nn1 ZINC000799482586 640575165 /nfs/dbraw/zinc/57/51/65/640575165.db2.gz VUBTUJFMZYCRSQ-UHFFFAOYSA-N 0 1 299.216 0.763 20 30 CCEDMN COc1nccnc1NNC1=CC(=O)N2CCC[C@@H]12 ZINC000799485477 640576379 /nfs/dbraw/zinc/57/63/79/640576379.db2.gz UAVAPCGYGIRRBQ-VIFPVBQESA-N 0 1 261.285 0.648 20 30 CCEDMN C[C@H](C#N)OCCN1CCN(CCO[C@H](C)C#N)[C@H](C)C1 ZINC000801929958 640676911 /nfs/dbraw/zinc/67/69/11/640676911.db2.gz AZFWAUUNGAIBGS-RBSFLKMASA-N 0 1 294.399 0.850 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2n[nH]cc2F)C1 ZINC001015664737 650604804 /nfs/dbraw/zinc/60/48/04/650604804.db2.gz CBHCZFNWQOSPBO-SECBINFHSA-N 0 1 252.293 0.929 20 30 CCEDMN N#Cc1cc(N2CCN(CCCCO)CC2)ccn1 ZINC000804502193 640769851 /nfs/dbraw/zinc/76/98/51/640769851.db2.gz NFYJNICGKPENIE-UHFFFAOYSA-N 0 1 260.341 0.848 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(C(N)=O)co2)C1 ZINC001015686297 650609854 /nfs/dbraw/zinc/60/98/54/650609854.db2.gz YODJBFLUHQIRID-NSHDSACASA-N 0 1 275.308 0.206 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001015712690 650614676 /nfs/dbraw/zinc/61/46/76/650614676.db2.gz GVXYGHSLOPMDHD-SECBINFHSA-N 0 1 262.313 0.104 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001015716000 650616075 /nfs/dbraw/zinc/61/60/75/650616075.db2.gz MCODOXWHKIIRAL-WOPDTQHZSA-N 0 1 265.357 0.265 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCO[C@H]2C=C)C1 ZINC001015722193 650616692 /nfs/dbraw/zinc/61/66/92/650616692.db2.gz VVIMEHDVXLUWOI-XQQFMLRXSA-N 0 1 250.342 0.954 20 30 CCEDMN O=C([O-])CN[NH+]=Cc1ccc(N2CCOCC2)c(F)c1 ZINC000814959305 641085008 /nfs/dbraw/zinc/08/50/08/641085008.db2.gz ZENPPVYBEHLTMC-UHFFFAOYSA-N 0 1 281.287 0.670 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cncc(OC)n2)C1 ZINC001015797332 650631125 /nfs/dbraw/zinc/63/11/25/650631125.db2.gz CQPGZPSOLWXUKV-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001015840505 650639005 /nfs/dbraw/zinc/63/90/05/650639005.db2.gz XZHLFWZAQHBMIJ-CYBMUJFWSA-N 0 1 281.400 0.474 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001015956296 650662339 /nfs/dbraw/zinc/66/23/39/650662339.db2.gz BAKRZWFSURPPCU-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001015991463 650670862 /nfs/dbraw/zinc/67/08/62/650670862.db2.gz BLPPEMFSHVUNTN-QWRGUYRKSA-N 0 1 275.356 0.286 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001016006670 650673893 /nfs/dbraw/zinc/67/38/93/650673893.db2.gz HRSXOKNFPSSWOT-LLVKDONJSA-N 0 1 275.356 0.533 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccc(C#C)cn2)C1 ZINC001016028460 650676839 /nfs/dbraw/zinc/67/68/39/650676839.db2.gz YKQZJGJKXMYXER-AWEZNQCLSA-N 0 1 267.332 0.890 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc3c(cn2)nc[nH]c3=O)C1 ZINC001016126819 650684830 /nfs/dbraw/zinc/68/48/30/650684830.db2.gz HFIBBAFHOCPMEC-JTQLQIEISA-N 0 1 299.334 0.721 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1CCOCC(F)(F)F ZINC000826383343 641930127 /nfs/dbraw/zinc/93/01/27/641930127.db2.gz WJPRPLRKGFVAGQ-UHFFFAOYSA-N 0 1 287.205 0.514 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@H]2C(=O)Nc3ccccc32)C1 ZINC001016393829 650724054 /nfs/dbraw/zinc/72/40/54/650724054.db2.gz ZIIRKZWCFLXZHN-TZMCWYRMSA-N 0 1 297.358 0.936 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@@H]2COC(=O)N[C@H]2C1 ZINC000830907066 642301006 /nfs/dbraw/zinc/30/10/06/642301006.db2.gz XLEQXZNZMDZHMO-MXWKQRLJSA-N 0 1 253.302 0.345 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCCOc1cccnc1 ZINC000834479216 642570801 /nfs/dbraw/zinc/57/08/01/642570801.db2.gz BDYYNHJISGCOLL-YPMHNXCESA-N 0 1 274.324 0.468 20 30 CCEDMN C[C@@H]1CC[C@H](CCC(=O)N[C@@H]2CNC[C@H]2C#N)O1 ZINC000834479599 642571146 /nfs/dbraw/zinc/57/11/46/642571146.db2.gz GNDQVPPOXVTBAH-DDHJBXDOSA-N 0 1 251.330 0.562 20 30 CCEDMN CSc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)o1 ZINC000834484135 642575035 /nfs/dbraw/zinc/57/50/35/642575035.db2.gz FCNGQHCDHJBQEJ-SFYZADRCSA-N 0 1 251.311 0.843 20 30 CCEDMN Cn1cc(C(=O)N[C@H]2CNC[C@H]2C#N)c(-c2ccccc2)n1 ZINC000834484455 642576469 /nfs/dbraw/zinc/57/64/69/642576469.db2.gz JABDSJQURVUCAI-OCCSQVGLSA-N 0 1 295.346 0.928 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(C(=O)NC2CC2)cc1 ZINC000834485462 642577761 /nfs/dbraw/zinc/57/77/61/642577761.db2.gz XDOFZMHWLRZHRL-JSGCOSHPSA-N 0 1 298.346 0.420 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)ccc1-n1cncn1 ZINC000834489158 642578988 /nfs/dbraw/zinc/57/89/88/642578988.db2.gz KCCPFKJYONODRO-STQMWFEESA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000834489264 642579302 /nfs/dbraw/zinc/57/93/02/642579302.db2.gz LILFKTUFVQVDME-CMPLNLGQSA-N 0 1 274.280 0.365 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CN1CCc2ccccc21 ZINC000834490359 642580957 /nfs/dbraw/zinc/58/09/57/642580957.db2.gz UNOCXQRWKVOIIF-STQMWFEESA-N 0 1 270.336 0.277 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1Br ZINC000834490726 642581110 /nfs/dbraw/zinc/58/11/10/642581110.db2.gz WUEVGYKCYFTETH-NKWVEPMBSA-N 0 1 298.144 0.322 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(-n2cccn2)ccn1 ZINC000834490337 642581130 /nfs/dbraw/zinc/58/11/30/642581130.db2.gz UFCXXHGQIIAAQG-GXFFZTMASA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CN1CCc2ccccc21 ZINC000834490358 642582072 /nfs/dbraw/zinc/58/20/72/642582072.db2.gz UNOCXQRWKVOIIF-QWHCGFSZSA-N 0 1 270.336 0.277 20 30 CCEDMN Cc1cc2nc(C)cc(C(=O)N[C@H]3CNC[C@@H]3C#N)n2n1 ZINC000834499183 642586493 /nfs/dbraw/zinc/58/64/93/642586493.db2.gz FQBUZOJEHIQVKS-QWRGUYRKSA-N 0 1 284.323 0.188 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C1=Cc2cc(F)ccc2OC1 ZINC000834499151 642587012 /nfs/dbraw/zinc/58/70/12/642587012.db2.gz FGDZJCKLGPXBEQ-WCQYABFASA-N 0 1 287.294 0.829 20 30 CCEDMN Cc1noc2ncc(C(=O)N[C@H]3CNC[C@@H]3C#N)cc12 ZINC000834499038 642587084 /nfs/dbraw/zinc/58/70/84/642587084.db2.gz DOZKDMHCNATNLT-ONGXEEELSA-N 0 1 271.280 0.373 20 30 CCEDMN Cc1noc(Cl)c1CCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834495718 642587739 /nfs/dbraw/zinc/58/77/39/642587739.db2.gz YLFTYCWLTXBFHQ-SCZZXKLOSA-N 0 1 282.731 0.797 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccnn1-c1ccccc1 ZINC000834499436 642588821 /nfs/dbraw/zinc/58/88/21/642588821.db2.gz ILXWPHHALJZNQC-DGCLKSJQSA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2cc[nH]c2n1 ZINC000834499313 642589079 /nfs/dbraw/zinc/58/90/79/642589079.db2.gz HBNBPDYSYUNXKT-MWLCHTKSSA-N 0 1 255.281 0.404 20 30 CCEDMN Cc1cccc(O[C@H](C)C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834504883 642592588 /nfs/dbraw/zinc/59/25/88/642592588.db2.gz GIQRBFFDTBRVBH-YRGRVCCFSA-N 0 1 273.336 0.990 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2nccnc2c1 ZINC000834507026 642593438 /nfs/dbraw/zinc/59/34/38/642593438.db2.gz XHVLEJDNNWJILC-GXFFZTMASA-N 0 1 267.292 0.471 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)OCO2 ZINC000834507206 642593620 /nfs/dbraw/zinc/59/36/20/642593620.db2.gz YUWYVMJMOXDMQV-NXEZZACHSA-N 0 1 259.265 0.257 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(N2CCCC2=O)cc1 ZINC000834506218 642593951 /nfs/dbraw/zinc/59/39/51/642593951.db2.gz QTGLZQCURJUZBX-OCCSQVGLSA-N 0 1 298.346 0.655 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COc1cccc(Cl)c1 ZINC000834506296 642594104 /nfs/dbraw/zinc/59/41/04/642594104.db2.gz RTEWUGWHZZVGSQ-SKDRFNHKSA-N 0 1 279.727 0.947 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccc(Cl)cn1 ZINC000834506811 642594329 /nfs/dbraw/zinc/59/43/29/642594329.db2.gz WDSKQXMDSQGUNW-LDYMZIIASA-N 0 1 264.716 0.505 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCc1nc2ccccc2o1 ZINC000834510503 642595169 /nfs/dbraw/zinc/59/51/69/642595169.db2.gz BTAHJKNTUDSCKL-CMPLNLGQSA-N 0 1 284.319 0.988 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc2ccccc2oc1=O ZINC000834510630 642595561 /nfs/dbraw/zinc/59/55/61/642595561.db2.gz DLWVSGQZFIMITP-CMPLNLGQSA-N 0 1 283.287 0.634 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(=O)[nH]c2ccccc21 ZINC000834512275 642596750 /nfs/dbraw/zinc/59/67/50/642596750.db2.gz UKWDQHYXTDPTNQ-NOZJJQNGSA-N 0 1 282.303 0.782 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1n[nH]c2c1CCCC2 ZINC000834516165 642598247 /nfs/dbraw/zinc/59/82/47/642598247.db2.gz FUAATMWWUALAPD-KWQFWETISA-N 0 1 259.313 0.130 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccn(-c2ccccc2F)n1 ZINC000834517451 642600321 /nfs/dbraw/zinc/60/03/21/642600321.db2.gz VQQRGFYYDFYURB-MFKMUULPSA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccn(-c2ccccc2F)n1 ZINC000834517449 642600910 /nfs/dbraw/zinc/60/09/10/642600910.db2.gz VQQRGFYYDFYURB-GWCFXTLKSA-N 0 1 299.309 0.853 20 30 CCEDMN COc1ccc(C#N)cc1NC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000841091070 642803123 /nfs/dbraw/zinc/80/31/23/642803123.db2.gz STYKJIOLNSUBRA-CMPLNLGQSA-N 0 1 285.307 0.800 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1cccc(Cl)n1 ZINC000841137333 642804361 /nfs/dbraw/zinc/80/43/61/642804361.db2.gz HONYKVXAEMWBQN-SFYZADRCSA-N 0 1 265.704 0.968 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1cccc(-n2ccnn2)c1 ZINC000841148872 642804607 /nfs/dbraw/zinc/80/46/07/642804607.db2.gz DOBDEDOQULQFEX-MFKMUULPSA-N 0 1 297.322 0.500 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1cc(Cl)ccn1 ZINC000841233916 642807961 /nfs/dbraw/zinc/80/79/61/642807961.db2.gz KWZQOMJFSDPCBV-APPZFPTMSA-N 0 1 265.704 0.968 20 30 CCEDMN N#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nn[nH]c21 ZINC001017583186 650812226 /nfs/dbraw/zinc/81/22/26/650812226.db2.gz VBXAOFZBDGGKRO-PHIMTYICSA-N 0 1 296.334 0.770 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)/C=C\c1ccc2c(c1)OCO2 ZINC000843461760 643066506 /nfs/dbraw/zinc/06/65/06/643066506.db2.gz NDRHWJQQYPNICH-AAJWOFDGSA-N 0 1 285.303 0.656 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCNC1=O ZINC001017612009 650813446 /nfs/dbraw/zinc/81/34/46/650813446.db2.gz DEDAFSAYVMXWAB-MCIONIFRSA-N 0 1 291.395 0.764 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCSCc1ccccn1 ZINC000844195054 643177258 /nfs/dbraw/zinc/17/72/58/643177258.db2.gz PMRMSAXUXQAEER-AAEUAGOBSA-N 0 1 290.392 0.933 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCN(C)C1=O ZINC001017824814 650825658 /nfs/dbraw/zinc/82/56/58/650825658.db2.gz CCKNKSUFGYQBAR-HZSPNIEDSA-N 0 1 289.379 0.163 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C[C@H](O)c1cccc(C#N)c1 ZINC000846333090 643398051 /nfs/dbraw/zinc/39/80/51/643398051.db2.gz JZQJAQSZPLVDPO-LBPRGKRZSA-N 0 1 285.307 0.790 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)c1ccc2cncn2c1 ZINC000848132002 643600579 /nfs/dbraw/zinc/60/05/79/643600579.db2.gz GNWAVAASYUQNHC-NSHDSACASA-N 0 1 274.280 0.444 20 30 CCEDMN N#CC1(c2ccc(CNC[C@@H]3CC(C(N)=O)=NO3)cc2)CC1 ZINC000850279535 643791629 /nfs/dbraw/zinc/79/16/29/643791629.db2.gz YZEIYDACLRQYOH-ZDUSSCGKSA-N 0 1 298.346 0.962 20 30 CCEDMN N#CCCNC(=O)CN(CCN1CCOCC1)CC1CC1 ZINC000852635578 643985421 /nfs/dbraw/zinc/98/54/21/643985421.db2.gz GYIREMGNLRSDFQ-UHFFFAOYSA-N 0 1 294.399 0.060 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ncnc2ccsc21 ZINC000852875146 644036304 /nfs/dbraw/zinc/03/63/04/644036304.db2.gz FMSVPUUOEIBNSP-VXNVDRBHSA-N 0 1 273.321 0.533 20 30 CCEDMN Cn1cc(-c2ncccc2C(=O)N[C@@H]2CNC[C@H]2C#N)cn1 ZINC000852875603 644037877 /nfs/dbraw/zinc/03/78/77/644037877.db2.gz KUODLOXQUPSBPS-ZWNOBZJWSA-N 0 1 296.334 0.323 20 30 CCEDMN Cc1cc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)C2)nn1C ZINC001018632328 650887433 /nfs/dbraw/zinc/88/74/33/650887433.db2.gz MUSTUPHAMMFKFM-PWSUYJOCSA-N 0 1 275.356 0.579 20 30 CCEDMN Cc1nocc1CN[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001018632803 650887542 /nfs/dbraw/zinc/88/75/42/650887542.db2.gz PZPOLEOTWNNZNH-CABZTGNLSA-N 0 1 262.313 0.833 20 30 CCEDMN C[C@H](CO)NN=C1C(=O)Nc2cc(O)c(Cl)cc21 ZINC000853314914 644097810 /nfs/dbraw/zinc/09/78/10/644097810.db2.gz PVASYDQFZJLIQF-RXMQYKEDSA-N 0 1 269.688 0.672 20 30 CCEDMN C[C@H](CO)N(C)N=Cc1ccnn1-c1ccncc1 ZINC000853366565 644102111 /nfs/dbraw/zinc/10/21/11/644102111.db2.gz NKXGSNQLNFUHBS-LLVKDONJSA-N 0 1 259.313 0.914 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cc[nH]n2)C1 ZINC001018658564 650893663 /nfs/dbraw/zinc/89/36/63/650893663.db2.gz GSQRVLMZRPHKGB-NSHDSACASA-N 0 1 268.748 0.895 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)c1cc2[nH]cnc2cc1F ZINC000854648376 644241851 /nfs/dbraw/zinc/24/18/51/644241851.db2.gz CDHBSNFVRPILRT-SECBINFHSA-N 0 1 292.270 0.812 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001018849872 650915370 /nfs/dbraw/zinc/91/53/70/650915370.db2.gz JQWIYMOJPJHKRB-NWDGAFQWSA-N 0 1 273.340 0.544 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001019131008 650940811 /nfs/dbraw/zinc/94/08/11/650940811.db2.gz GZNBABQCYNZVEM-LBPRGKRZSA-N 0 1 296.334 0.595 20 30 CCEDMN N#CCOc1cccc(NC(=O)NC[C@@H]2COCCN2)c1 ZINC000859632878 644716752 /nfs/dbraw/zinc/71/67/52/644716752.db2.gz RVEHDESJKKIJMQ-GFCCVEGCSA-N 0 1 290.323 0.699 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CC(N3CCCC3)C2)cn1 ZINC000864068642 645161001 /nfs/dbraw/zinc/16/10/01/645161001.db2.gz UCDBBWODUGTHHM-UHFFFAOYSA-N 0 1 270.336 0.802 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001019623598 650993517 /nfs/dbraw/zinc/99/35/17/650993517.db2.gz GVWOXEBVOIDYQX-JQWIXIFHSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCN2CCCC2=O)C1 ZINC001019783768 651004817 /nfs/dbraw/zinc/00/48/17/651004817.db2.gz OHYFBMKZJSSYBS-LBPRGKRZSA-N 0 1 299.802 0.942 20 30 CCEDMN Cn1cc(CN[C@H]2C[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)nn1 ZINC001020058415 651033796 /nfs/dbraw/zinc/03/37/96/651033796.db2.gz GOZKWSRHHLJMDT-XYPYZODXSA-N 0 1 299.338 0.065 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccnn1CC1CCC1 ZINC000868196659 645730625 /nfs/dbraw/zinc/73/06/25/645730625.db2.gz VSIGNGAOBYEPAR-NEPJUHHUSA-N 0 1 288.355 0.916 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnn(CC2CC2)c1 ZINC000870940713 646053889 /nfs/dbraw/zinc/05/38/89/646053889.db2.gz LSFMJJZCBIEKSP-JQWIXIFHSA-N 0 1 259.313 0.134 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C1C[C@H]2CCCC[C@@H](C1)C2=O ZINC000870940296 646053992 /nfs/dbraw/zinc/05/39/92/646053992.db2.gz HYUSCTYFPBKCDV-PJIALRRASA-N 0 1 289.379 1.000 20 30 CCEDMN N#CCc1ccsc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000870939904 646054353 /nfs/dbraw/zinc/05/43/53/646054353.db2.gz CWSFHACHXHGVIK-NXEZZACHSA-N 0 1 260.322 0.656 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ncc2n1CCCC2 ZINC000870941145 646054424 /nfs/dbraw/zinc/05/44/24/646054424.db2.gz RKZQDGCBVCSPNU-KOLCDFICSA-N 0 1 259.313 0.061 20 30 CCEDMN C#CC[C@@H]1CCCN(CN2CC3(COC3)CC2=O)C1 ZINC000872621055 646298413 /nfs/dbraw/zinc/29/84/13/646298413.db2.gz OILJWYUANFWTQC-CYBMUJFWSA-N 0 1 262.353 0.928 20 30 CCEDMN C#Cc1ccc(CNC(=O)NCCN2CC[C@H](O)C2)cc1 ZINC000875631204 646543910 /nfs/dbraw/zinc/54/39/10/646543910.db2.gz XLUXTQLQQQVYBV-HNNXBMFYSA-N 0 1 287.363 0.534 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc2nc[nH]c2cc1F ZINC000876802258 646670658 /nfs/dbraw/zinc/67/06/58/646670658.db2.gz NNMJUJHLAMNFRL-KRTXAFLBSA-N 0 1 273.271 0.543 20 30 CCEDMN Cc1n[nH]c(C)c1CCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000876802232 646670748 /nfs/dbraw/zinc/67/07/48/646670748.db2.gz NEWMFMAGVNMONB-CMPLNLGQSA-N 0 1 261.329 0.187 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NCCOCCF ZINC000876979826 646711943 /nfs/dbraw/zinc/71/19/43/646711943.db2.gz RLEZMGDAKWZFNF-CQSZACIVSA-N 0 1 291.326 0.847 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CC2(O)CCCC2)C[C@H](C)N1CC#N ZINC000878771210 646976302 /nfs/dbraw/zinc/97/63/02/646976302.db2.gz GDBBKMLAEGSNHY-ZNMIVQPWSA-N 0 1 295.427 0.961 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000880455730 647203647 /nfs/dbraw/zinc/20/36/47/647203647.db2.gz BHBMMCGAKWJGGQ-IUCAKERBSA-N 0 1 262.317 0.172 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1cccc(F)c1C#N)c1nnc[nH]1 ZINC000128997175 647310845 /nfs/dbraw/zinc/31/08/45/647310845.db2.gz JIVQPQNDXXLXKD-SSDOTTSWSA-N 0 1 295.299 0.855 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCc3n[nH]nc3C2)CCC1 ZINC000886164866 647912002 /nfs/dbraw/zinc/91/20/02/647912002.db2.gz WFIOBNIWMAQLEW-SNVBAGLBSA-N 0 1 261.329 0.608 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccn(C)n2)CC1 ZINC001006409814 647990358 /nfs/dbraw/zinc/99/03/58/647990358.db2.gz ILYGFMWPPPUVMZ-UHFFFAOYSA-N 0 1 260.341 0.590 20 30 CCEDMN C[C@H](C#N)Cn1[nH]c2c(c1=O)CC1(CC2)OCCO1 ZINC000887284906 648087964 /nfs/dbraw/zinc/08/79/64/648087964.db2.gz DSFMAUYTLVGFSH-NXEZZACHSA-N 0 1 263.297 0.887 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@@H]3[C@@H]2CCCN3CCO)co1 ZINC000889742853 648239659 /nfs/dbraw/zinc/23/96/59/648239659.db2.gz KNPFGOXHVHAQIY-KGLIPLIRSA-N 0 1 289.335 0.822 20 30 CCEDMN N#CCSCC(=O)N1CC[C@H]2[C@@H]1CCCN2CCO ZINC000889777473 648252095 /nfs/dbraw/zinc/25/20/95/648252095.db2.gz ZIYURYCTYIXRDQ-RYUDHWBXSA-N 0 1 283.397 0.301 20 30 CCEDMN C[C@@H](NC[C@@H](O)CS(C)(=O)=O)c1ccc(C#N)cc1 ZINC000927033087 648540621 /nfs/dbraw/zinc/54/06/21/648540621.db2.gz RXIJKSIOYPYNKS-ZWNOBZJWSA-N 0 1 282.365 0.614 20 30 CCEDMN Cc1cc(NC(=O)NCC#CCN(C)C)c(N(C)C)nn1 ZINC000931892614 649034924 /nfs/dbraw/zinc/03/49/24/649034924.db2.gz DLXLSTFBNBTXPB-UHFFFAOYSA-N 0 1 290.371 0.538 20 30 CCEDMN N#CCN1CCN(C(=O)c2ccn3cncc3c2)CC1 ZINC000949117678 649412568 /nfs/dbraw/zinc/41/25/68/649412568.db2.gz FAKAWPCHGUGTJO-UHFFFAOYSA-N 0 1 269.308 0.616 20 30 CCEDMN N#CCN1CCOC2(CCN(C(=O)c3ccn[nH]3)CC2)C1 ZINC000949307107 649417686 /nfs/dbraw/zinc/41/76/86/649417686.db2.gz CTYDDFWFSGYYRF-UHFFFAOYSA-N 0 1 289.339 0.240 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@H]1CNC(=O)c1[nH]ncc1F ZINC000951975263 649575123 /nfs/dbraw/zinc/57/51/23/649575123.db2.gz COQOBOIPBQIVSA-JTQLQIEISA-N 0 1 290.298 0.293 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(C)(NC(=O)c2ncn[nH]2)CC1 ZINC000956450637 649706589 /nfs/dbraw/zinc/70/65/89/649706589.db2.gz DXFOOFWDFFPCQJ-SECBINFHSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(C)(NC(=O)c2nc[nH]n2)CC1 ZINC000956450637 649706593 /nfs/dbraw/zinc/70/65/93/649706593.db2.gz DXFOOFWDFFPCQJ-SECBINFHSA-N 0 1 290.327 0.075 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cn(C)nn2)C1 ZINC001007256406 649719188 /nfs/dbraw/zinc/71/91/88/649719188.db2.gz QRMIEZZNNPULRT-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C ZINC000249743873 649752081 /nfs/dbraw/zinc/75/20/81/649752081.db2.gz YUKXWSSAXFOKBP-GMTAPVOTSA-N 0 1 252.314 0.894 20 30 CCEDMN N#Cc1cccc(CN2C[C@H](O)[C@H](CNC(=O)C3CC3)C2)c1 ZINC000957785321 649759131 /nfs/dbraw/zinc/75/91/31/649759131.db2.gz VWJGURNAFRZCPN-CVEARBPZSA-N 0 1 299.374 0.877 20 30 CCEDMN Cc1ccc(N2C[C@H]3OCCN(C)[C@@H]3C2)nc1C#N ZINC000302625471 719390738 /nfs/dbraw/zinc/39/07/38/719390738.db2.gz IBAFDEOMCWEUMX-CHWSQXEVSA-N 0 1 258.325 0.781 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](CCC)NC(N)=O)CC1 ZINC001230137412 805584005 /nfs/dbraw/zinc/58/40/05/805584005.db2.gz BUMQTXDOPMBUIJ-CYBMUJFWSA-N 0 1 294.399 0.427 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC1CCN([C@H](CC)C(N)=O)CC1 ZINC001230415545 805601275 /nfs/dbraw/zinc/60/12/75/805601275.db2.gz QSPRUGHCQUIBRJ-WCQYABFASA-N 0 1 297.399 0.422 20 30 CCEDMN CC#CCN1CCC(NC(=O)COC[C@H]2CCCO2)CC1 ZINC001230462465 805603147 /nfs/dbraw/zinc/60/31/47/805603147.db2.gz IMJXVKVMPSYHRU-OAHLLOKOSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@@H](OC)C(C)C)CC1 ZINC001266271206 790370489 /nfs/dbraw/zinc/37/04/89/790370489.db2.gz ISAMLYQMQZXHLZ-HNNXBMFYSA-N 0 1 295.427 0.415 20 30 CCEDMN CCc1nc([C@@H](C)N(C)CCNC(=O)C#CC2CC2)n[nH]1 ZINC001266272783 790375152 /nfs/dbraw/zinc/37/51/52/790375152.db2.gz BUEAKBFASMGNHT-LLVKDONJSA-N 0 1 289.383 0.890 20 30 CCEDMN Cc1nc([C@@H](C)N2CC[C@H](NC(=O)C#CC3CC3)C2)n[nH]1 ZINC001266319148 790496832 /nfs/dbraw/zinc/49/68/32/790496832.db2.gz HUMUQLMGNZEUHT-MFKMUULPSA-N 0 1 287.367 0.778 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1CCN(CCOCC=C)C1 ZINC001266320111 790500069 /nfs/dbraw/zinc/50/00/69/790500069.db2.gz ZCROATGJMXVVHZ-KGLIPLIRSA-N 0 1 280.368 0.418 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCc2cc(C)on2)C1 ZINC001266325147 790507303 /nfs/dbraw/zinc/50/73/03/790507303.db2.gz KQBWKDCPVXJLHJ-GFCCVEGCSA-N 0 1 279.340 0.876 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCc2ccnn2C)C1 ZINC001266325804 790509559 /nfs/dbraw/zinc/50/95/59/790509559.db2.gz SDGNXOWURUDOIS-LBPRGKRZSA-N 0 1 278.356 0.313 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1COCCN1C ZINC001038177670 790643893 /nfs/dbraw/zinc/64/38/93/790643893.db2.gz NJIAIXVWLOLUHP-OLZOCXBDSA-N 0 1 267.373 0.084 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](C)[C@@H]1CCCO1 ZINC001266416846 790662431 /nfs/dbraw/zinc/66/24/31/790662431.db2.gz OIQRAUKKCDCYRX-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1C[C@@]12CCOC2 ZINC001266428558 790686682 /nfs/dbraw/zinc/68/66/82/790686682.db2.gz RPOUUHDRJMYWKZ-GXTWGEPZSA-N 0 1 250.342 0.484 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN(CC(=O)NCC=C)C1 ZINC001266503041 790807409 /nfs/dbraw/zinc/80/74/09/790807409.db2.gz OTFYBKHHKNJVPP-ZDUSSCGKSA-N 0 1 279.384 0.693 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccnn1CC ZINC001038185306 790808530 /nfs/dbraw/zinc/80/85/30/790808530.db2.gz JLNFBALFWGWUDB-LBPRGKRZSA-N 0 1 260.341 0.730 20 30 CCEDMN Cc1cc(NC[C@H](C)CNC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001104280943 790840837 /nfs/dbraw/zinc/84/08/37/790840837.db2.gz XYDAJHVCEGAZSP-VIFPVBQESA-N 0 1 299.338 0.280 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)[C@H](F)CC)C1 ZINC001266525642 790871315 /nfs/dbraw/zinc/87/13/15/790871315.db2.gz KZIVHQGMNNDALM-NWDGAFQWSA-N 0 1 285.363 0.475 20 30 CCEDMN COc1ncccc1CNCCNC(=O)c1cc(C#N)c[nH]1 ZINC001125391017 790873244 /nfs/dbraw/zinc/87/32/44/790873244.db2.gz OENXONVWUHCFST-UHFFFAOYSA-N 0 1 299.334 0.810 20 30 CCEDMN CCc1nocc1CNCCNC(=O)c1cc(C#N)c[nH]1 ZINC001125390981 790873557 /nfs/dbraw/zinc/87/35/57/790873557.db2.gz MEXHIFFJBKSWTL-UHFFFAOYSA-N 0 1 287.323 0.956 20 30 CCEDMN CCCN(CCNC(=O)C#CC1CC1)[C@H](CC)C(N)=O ZINC001266560184 790929157 /nfs/dbraw/zinc/92/91/57/790929157.db2.gz CINSEMRSFJTHAD-CYBMUJFWSA-N 0 1 279.384 0.492 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001111488277 791013623 /nfs/dbraw/zinc/01/36/23/791013623.db2.gz FGYOSYRLBHEVKU-LOWDOPEQSA-N 0 1 268.357 0.291 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)[C@H]1CCCN(CC(N)=O)C1 ZINC001266894694 791324676 /nfs/dbraw/zinc/32/46/76/791324676.db2.gz WXYPDMVAZGUHGQ-OLZOCXBDSA-N 0 1 279.384 0.492 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCO1 ZINC001230676629 805645659 /nfs/dbraw/zinc/64/56/59/805645659.db2.gz RMGKPXQGCFSTLV-KGLIPLIRSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CNC(=O)CCC ZINC001231016032 805708903 /nfs/dbraw/zinc/70/89/03/805708903.db2.gz XYDUNFGAONVAID-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN Cc1nc(CN2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)n[nH]1 ZINC001231294184 805772060 /nfs/dbraw/zinc/77/20/60/805772060.db2.gz VVKRLLQEDKNYHU-KOLCDFICSA-N 0 1 276.344 0.306 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@@H](C)Cc2cnc[nH]2)C1 ZINC001277670783 805945766 /nfs/dbraw/zinc/94/57/66/805945766.db2.gz JGOPRBJGFROVMS-LBPRGKRZSA-N 0 1 274.368 0.754 20 30 CCEDMN N#Cc1ccc([O-])c(C[NH+]2CC3(C2)CS(=O)C3)c1 ZINC001232675782 805969217 /nfs/dbraw/zinc/96/92/17/805969217.db2.gz VGGIIVMNTGJKTC-UHFFFAOYSA-N 0 1 262.334 0.828 20 30 CCEDMN N#Cc1ccc(O)c(CN2CC3(C2)CS(=O)C3)c1 ZINC001232675782 805969222 /nfs/dbraw/zinc/96/92/22/805969222.db2.gz VGGIIVMNTGJKTC-UHFFFAOYSA-N 0 1 262.334 0.828 20 30 CCEDMN N#C[C@@H]1CN(Cc2cc(O)c(O)c(O)c2)CCC1=O ZINC001233014103 806006374 /nfs/dbraw/zinc/00/63/74/806006374.db2.gz ZGWFWVRULBFXAD-SECBINFHSA-N 0 1 262.265 0.718 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OC)[C@@H]1CCOC1 ZINC001266903008 791331274 /nfs/dbraw/zinc/33/12/74/791331274.db2.gz YOBJLTPGOQCUCW-NIFZNCRKSA-N 0 1 292.379 0.108 20 30 CCEDMN C=CCC1(C(=O)NCc2n[nH]c(CO)n2)CCOCC1 ZINC001167495269 791391640 /nfs/dbraw/zinc/39/16/40/791391640.db2.gz ARRFKYWWXIRUCV-UHFFFAOYSA-N 0 1 280.328 0.286 20 30 CCEDMN C=CCC1(C(=O)NCc2nnc(CO)[nH]2)CCOCC1 ZINC001167495269 791391642 /nfs/dbraw/zinc/39/16/42/791391642.db2.gz ARRFKYWWXIRUCV-UHFFFAOYSA-N 0 1 280.328 0.286 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1ccn(C)n1 ZINC001267072172 791500801 /nfs/dbraw/zinc/50/08/01/791500801.db2.gz UMDDGYXLJHKEAT-UHFFFAOYSA-N 0 1 256.737 0.834 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)COCC ZINC001233512126 806073058 /nfs/dbraw/zinc/07/30/58/806073058.db2.gz WKWSFCGDIXEQPI-GFCCVEGCSA-N 0 1 285.388 0.152 20 30 CCEDMN CN(CCNC(=O)C#CC(C)(C)C)CCn1cccn1 ZINC001267193735 791652617 /nfs/dbraw/zinc/65/26/17/791652617.db2.gz BMEOEWCWXCTAOH-UHFFFAOYSA-N 0 1 276.384 0.981 20 30 CCEDMN C=CCCN(C)CCNC(=O)C[C@@H]1CCCS1(=O)=O ZINC001267209642 791675355 /nfs/dbraw/zinc/67/53/55/791675355.db2.gz HBDHXHAFFPEUNP-LBPRGKRZSA-N 0 1 288.413 0.578 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cccc(NC(=O)NC)c1 ZINC001267226466 791704670 /nfs/dbraw/zinc/70/46/70/791704670.db2.gz UIXOBCOLCACLHT-UHFFFAOYSA-N 0 1 288.351 0.733 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1ccc(C)o1 ZINC001233613345 806091600 /nfs/dbraw/zinc/09/16/00/806091600.db2.gz NTERYSXJISWFDL-UHFFFAOYSA-N 0 1 293.367 0.942 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)CC(=O)NCC ZINC001233827971 806126763 /nfs/dbraw/zinc/12/67/63/806126763.db2.gz LMKOXGVMMUQMRG-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C#C[C@@H](C)Oc1c(C(=O)OC)n[nH]c1C(=O)OC ZINC001234185915 806198146 /nfs/dbraw/zinc/19/81/46/806198146.db2.gz GOOTWRSPKRRGQF-ZCFIWIBFSA-N 0 1 252.226 0.383 20 30 CCEDMN COC[C@H](O)CN1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234207134 806203688 /nfs/dbraw/zinc/20/36/88/806203688.db2.gz ZYQNVZZOSYOXNG-UONOGXRCSA-N 0 1 296.411 0.576 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H]1CCCOCC1 ZINC001267240576 793229287 /nfs/dbraw/zinc/22/92/87/793229287.db2.gz QVFTZPUATBOKOI-HNNXBMFYSA-N 0 1 296.411 0.891 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H]1CCC[C@H]1OC ZINC001267246067 793236241 /nfs/dbraw/zinc/23/62/41/793236241.db2.gz PJOLOOCDYXHIGX-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C[C@H]1CCCO1 ZINC001234360370 806231586 /nfs/dbraw/zinc/23/15/86/806231586.db2.gz IWVKHZRZDYLLTG-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H](CC)OCC ZINC001235014040 806346629 /nfs/dbraw/zinc/34/66/29/806346629.db2.gz GLBRTPPLZBHDJM-ZDUSSCGKSA-N 0 1 299.415 0.542 20 30 CCEDMN C=CCCC(=O)NCCCN(C)[C@H]1CCN(C)C1=O ZINC001235465225 806427390 /nfs/dbraw/zinc/42/73/90/806427390.db2.gz UEFIYQYZBCJOAH-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCN(CC=C)C[C@@H]1O[C@H]2OC(C)(C)O[C@H]2[C@@H]1O ZINC001235840512 806507267 /nfs/dbraw/zinc/50/72/67/806507267.db2.gz ORSHSPAOMNHYLM-RNJOBUHISA-N 0 1 269.341 0.898 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H]([NH3+])CN1Cc1ccc(C#N)cc1[O-] ZINC001236202849 806554673 /nfs/dbraw/zinc/55/46/73/806554673.db2.gz WTMSXUBMWPCYKH-NEPJUHHUSA-N 0 1 275.308 0.338 20 30 CCEDMN CC(=O)NC[C@H](CO)NCC#Cc1cccc(Cl)c1 ZINC001278034986 806623994 /nfs/dbraw/zinc/62/39/94/806623994.db2.gz PGBQQUMHXLJPML-CQSZACIVSA-N 0 1 280.755 0.778 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001278085242 806654160 /nfs/dbraw/zinc/65/41/60/806654160.db2.gz MVLXMXARJWPITE-KGLIPLIRSA-N 0 1 264.369 0.823 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(C)c(F)cc1F ZINC001278099026 806668400 /nfs/dbraw/zinc/66/84/00/806668400.db2.gz BNROSEJFVYENBE-NSHDSACASA-N 0 1 296.317 0.977 20 30 CCEDMN C#CC1(O)CN(C(=O)c2n[nH]c(C(F)(F)F)c2C)C1 ZINC001120785649 798936472 /nfs/dbraw/zinc/93/64/72/798936472.db2.gz HJNGIWHLCPJGRG-UHFFFAOYSA-N 0 1 273.214 0.557 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)NC[C@@H]2CCCN2C)cc1 ZINC001121007753 798982174 /nfs/dbraw/zinc/98/21/74/798982174.db2.gz XSFBFZSQZNVQHK-AWEZNQCLSA-N 0 1 285.347 0.817 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CO)NCc1n[nH]c(C)n1 ZINC001278127555 806707587 /nfs/dbraw/zinc/70/75/87/806707587.db2.gz AKRGIWVNYWDCIN-NSHDSACASA-N 0 1 295.387 0.282 20 30 CCEDMN C=C/C(C)=C\CC(=O)NC[C@@H](CO)NCC#CCOC ZINC001278130318 806709046 /nfs/dbraw/zinc/70/90/46/806709046.db2.gz UUSQYRQEUYLJNY-LVOZBFFPSA-N 0 1 280.368 0.225 20 30 CCEDMN C#CCN(CC#CC)c1nnc(-c2nc(C3CC3)n[nH]2)n1C ZINC001121254132 799022061 /nfs/dbraw/zinc/02/20/61/799022061.db2.gz IHIXATHGCPHWCV-UHFFFAOYSA-N 0 1 295.350 0.941 20 30 CCEDMN C#CCN(CC#CC)c1nnc(-c2nnc(C3CC3)[nH]2)n1C ZINC001121254132 799022062 /nfs/dbraw/zinc/02/20/62/799022062.db2.gz IHIXATHGCPHWCV-UHFFFAOYSA-N 0 1 295.350 0.941 20 30 CCEDMN C#CCNCC(=O)N(C)[C@@H]1C[C@H](OCC)C1(C)C ZINC001121883126 799083130 /nfs/dbraw/zinc/08/31/30/799083130.db2.gz NOJOEURVMDRBEK-NEPJUHHUSA-N 0 1 252.358 0.871 20 30 CCEDMN CC(C)n1ccc(CC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC001121905201 799089364 /nfs/dbraw/zinc/08/93/64/799089364.db2.gz HYEVKSCFMXNFOP-PWSUYJOCSA-N 0 1 261.329 0.234 20 30 CCEDMN C=CCCCCCN(C)C(=O)C1CNCCS1(=O)=O ZINC001121959679 799107980 /nfs/dbraw/zinc/10/79/80/799107980.db2.gz LPFNSEZBDSXWIN-GFCCVEGCSA-N 0 1 288.413 0.578 20 30 CCEDMN C=CCn1cc(CNC(=O)Cc2csc(CN)n2)nn1 ZINC001122000810 799118049 /nfs/dbraw/zinc/11/80/49/799118049.db2.gz YAYFJDHJWWUYLX-UHFFFAOYSA-N 0 1 292.368 0.238 20 30 CCEDMN C#CCNCC(=O)N1CC2(C[C@H]1C)CCOCC2 ZINC001122120103 799148147 /nfs/dbraw/zinc/14/81/47/799148147.db2.gz URXJVORCWYFINC-GFCCVEGCSA-N 0 1 250.342 0.627 20 30 CCEDMN CCOCC(=O)NCCNCc1ccccc1C#N ZINC001122966691 799325138 /nfs/dbraw/zinc/32/51/38/799325138.db2.gz VDXSTUJMAFEOCC-UHFFFAOYSA-N 0 1 261.325 0.801 20 30 CCEDMN Cc1oncc1CNCCNC(=O)CSCC#N ZINC001123792345 799475765 /nfs/dbraw/zinc/47/57/65/799475765.db2.gz TVZURHBHRFFXOD-UHFFFAOYSA-N 0 1 268.342 0.446 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1sccc1OC ZINC001278187878 806750560 /nfs/dbraw/zinc/75/05/60/806750560.db2.gz ZQZMMZCVBFLQLC-SNVBAGLBSA-N 0 1 282.365 0.460 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cncnc1C ZINC001124113840 799560764 /nfs/dbraw/zinc/56/07/64/799560764.db2.gz XGZNDWLFAGWHJK-UHFFFAOYSA-N 0 1 254.721 0.857 20 30 CCEDMN O=C(NCCNCC#Cc1ccc(F)cc1)[C@H]1CCOC1 ZINC001124496266 799610005 /nfs/dbraw/zinc/61/00/05/799610005.db2.gz ZSGCODLNIAXZFO-AWEZNQCLSA-N 0 1 290.338 0.920 20 30 CCEDMN N#Cc1ccc(F)c(CNCCNC(=O)[C@@H]2CCOC2)c1 ZINC001124503345 799611000 /nfs/dbraw/zinc/61/10/00/799611000.db2.gz ZAYAAYXJHSDGTE-GFCCVEGCSA-N 0 1 291.326 0.940 20 30 CCEDMN C=CCOCC(=O)NCCNCc1conc1C ZINC001124764802 799633820 /nfs/dbraw/zinc/63/38/20/799633820.db2.gz CQHZEXGCGHPLCM-UHFFFAOYSA-N 0 1 253.302 0.391 20 30 CCEDMN COCCOCC(=O)NCCNCC#Cc1ccccc1 ZINC001124920039 799646896 /nfs/dbraw/zinc/64/68/96/799646896.db2.gz AWNCJEDVAPEZDX-UHFFFAOYSA-N 0 1 290.363 0.407 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)C(C)(C)c1cnc[nH]1 ZINC001137919545 799695573 /nfs/dbraw/zinc/69/55/73/799695573.db2.gz OTTWJSFHOYAWNK-SNVBAGLBSA-N 0 1 251.330 0.988 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CCc1cscn1 ZINC001278202658 806771203 /nfs/dbraw/zinc/77/12/03/806771203.db2.gz SWOVUXWIUPTAOK-LBPRGKRZSA-N 0 1 281.381 0.166 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccoc1C(C)C ZINC001278202493 806771516 /nfs/dbraw/zinc/77/15/16/806771516.db2.gz RLZHJYWGXASLFV-LLVKDONJSA-N 0 1 264.325 0.717 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(C[C@H]2CCC(=O)N2)C1 ZINC001202911045 799799893 /nfs/dbraw/zinc/79/98/93/799799893.db2.gz ALDGBYMQILPZFQ-NWDGAFQWSA-N 0 1 281.356 0.642 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2nccn2C)[C@@H]1C ZINC001278232066 806783155 /nfs/dbraw/zinc/78/31/55/806783155.db2.gz IEOCOMYVZMPVDJ-QWHCGFSZSA-N 0 1 292.383 0.702 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)CCc2cnc[nH]2)C12CCC2 ZINC001203012679 799887863 /nfs/dbraw/zinc/88/78/63/799887863.db2.gz GAVHJOLYLXGLRV-STQMWFEESA-N 0 1 287.367 0.883 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CC2(CN(C3CCCC3)C2)C1 ZINC001278257162 806793948 /nfs/dbraw/zinc/79/39/48/806793948.db2.gz OGCWQEWCKWRUIW-LLVKDONJSA-N 0 1 283.397 0.788 20 30 CCEDMN N#Cc1ccc2c(c1)CCN2C(=O)Cc1nc[nH]n1 ZINC001142046230 800061492 /nfs/dbraw/zinc/06/14/92/800061492.db2.gz TUBKBFSPRUJXSZ-UHFFFAOYSA-N 0 1 253.265 0.808 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)COC(C)C)C1 ZINC001149330316 800248149 /nfs/dbraw/zinc/24/81/49/800248149.db2.gz HZPXJNPPKBXYIM-CQSZACIVSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1CCCO[C@@H](CNC(=O)Cc2cc(C)n[nH]2)C1 ZINC001149528386 800274083 /nfs/dbraw/zinc/27/40/83/800274083.db2.gz GQBFCBVCKDKEQK-AWEZNQCLSA-N 0 1 290.367 0.101 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)Cc2nnc(C)o2)C1 ZINC001149660548 800287600 /nfs/dbraw/zinc/28/76/00/800287600.db2.gz PRSCDMGLGSTNEH-LBPRGKRZSA-N 0 1 294.355 0.314 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2nnc(C)o2)C1 ZINC001149660548 800287606 /nfs/dbraw/zinc/28/76/06/800287606.db2.gz PRSCDMGLGSTNEH-LBPRGKRZSA-N 0 1 294.355 0.314 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC[C@@H]1CN(CC#CC)CCCO1 ZINC001150810749 800368642 /nfs/dbraw/zinc/36/86/42/800368642.db2.gz HVTWHVUEXZNOIA-HUUCEWRRSA-N 0 1 294.395 0.808 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1ccc2cncn2c1 ZINC001152196857 800500165 /nfs/dbraw/zinc/50/01/65/800500165.db2.gz NUZLPEQIQKHQDS-LBPRGKRZSA-N 0 1 255.281 0.272 20 30 CCEDMN C#CCNC(=O)CC(=O)NC[C@@H](C)N(CC)C[C@H](F)CC ZINC001152854966 800561824 /nfs/dbraw/zinc/56/18/24/800561824.db2.gz QKYKQGANSJDRHZ-CHWSQXEVSA-N 0 1 299.390 0.701 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1cc(OC)ccn1 ZINC001153134519 800599852 /nfs/dbraw/zinc/59/98/52/800599852.db2.gz DRQPPUDLGIXXSK-GFCCVEGCSA-N 0 1 293.367 0.887 20 30 CCEDMN N#Cc1ccnnc1NC(=O)c1n[nH]c2cccnc21 ZINC001153143572 800601801 /nfs/dbraw/zinc/60/18/01/800601801.db2.gz HLHIMAKDBCHHAP-UHFFFAOYSA-N 0 1 265.236 0.872 20 30 CCEDMN C[C@@H](C#N)N(C)C(=O)c1cnc2cccnc2c1O ZINC001153856264 800679501 /nfs/dbraw/zinc/67/95/01/800679501.db2.gz SRBYRNXYWQDJEN-QMMMGPOBSA-N 0 1 256.265 0.907 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCC[C@H](c2nc[nH]n2)C1 ZINC001153863331 800680260 /nfs/dbraw/zinc/68/02/60/800680260.db2.gz KDCIRCXMXMPHSL-STQMWFEESA-N 0 1 287.367 0.608 20 30 CCEDMN Cc1cc(C(=O)Nc2nc[nH]c2C#N)nc2nccn21 ZINC001154426915 800779340 /nfs/dbraw/zinc/77/93/40/800779340.db2.gz NHJMPBKDUJGVIN-UHFFFAOYSA-N 0 1 267.252 0.885 20 30 CCEDMN N#CCc1cncc(NCc2nnc3n2CCCNC3)n1 ZINC001155429209 800974352 /nfs/dbraw/zinc/97/43/52/800974352.db2.gz GVVPEALRMKKDJM-UHFFFAOYSA-N 0 1 284.327 0.240 20 30 CCEDMN CC(=O)CC(C)=Nc1cccc(S(N)(=O)=O)n1 ZINC001155891845 801072292 /nfs/dbraw/zinc/07/22/92/801072292.db2.gz DBVQWWOCZQANDC-SREVYHEPSA-N 0 1 255.299 0.634 20 30 CCEDMN Cc1ccnc(-n2c(C)ncc(CN)c2=N)c1C#N ZINC001156826236 801243569 /nfs/dbraw/zinc/24/35/69/801243569.db2.gz WUSVIRVEOYUXBM-UHFFFAOYSA-N 0 1 254.297 0.694 20 30 CCEDMN N#Cc1cc(C(=O)NCCCNCc2ncccn2)c[nH]1 ZINC001156841304 801247150 /nfs/dbraw/zinc/24/71/50/801247150.db2.gz HBSUSMCLZQMYBP-UHFFFAOYSA-N 0 1 284.323 0.586 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C1=COCCO1 ZINC001157476446 801394832 /nfs/dbraw/zinc/39/48/32/801394832.db2.gz CZSPDHCFSWGQCH-CYBMUJFWSA-N 0 1 278.352 0.821 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)CCN2CC[C@@H](F)C2)CCO1 ZINC001157523809 801405726 /nfs/dbraw/zinc/40/57/26/801405726.db2.gz GKUHIDYNFBVODC-DGCLKSJQSA-N 0 1 269.320 0.561 20 30 CCEDMN Cc1cc(CNCCCNC(=O)C#CC2CC2)no1 ZINC001157698776 801457787 /nfs/dbraw/zinc/45/77/87/801457787.db2.gz ITEJESIBMNZFRK-UHFFFAOYSA-N 0 1 261.325 0.992 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1nonc1C ZINC001157859270 801505113 /nfs/dbraw/zinc/50/51/13/801505113.db2.gz FWKCMHQGKXKUNS-UHFFFAOYSA-N 0 1 280.328 0.014 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H]1CCNC1=O ZINC001158061099 801561991 /nfs/dbraw/zinc/56/19/91/801561991.db2.gz KRWBDXUZKFLHGH-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN Cc1cnc(CN)n1-c1ncnc2[nH]cc(C#N)c21 ZINC001158162678 801580654 /nfs/dbraw/zinc/58/06/54/801580654.db2.gz BCUNTXMHOIGLLY-UHFFFAOYSA-N 0 1 253.269 0.782 20 30 CCEDMN N#Cc1cc([N+](=O)[O-])cnc1N1CCN2CC[C@@H]2C1 ZINC001158423612 801643854 /nfs/dbraw/zinc/64/38/54/801643854.db2.gz MGKXLRJXUCMBCT-SNVBAGLBSA-N 0 1 259.269 0.756 20 30 CCEDMN N#Cc1ccc([N+](=O)[O-])c(NC[C@@H]2COCCN2)n1 ZINC001159043536 801748631 /nfs/dbraw/zinc/74/86/31/801748631.db2.gz UMQARNHXJCENSW-SECBINFHSA-N 0 1 263.257 0.262 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC001159563608 801834155 /nfs/dbraw/zinc/83/41/55/801834155.db2.gz QWXAISWDEWWJRT-ZIAGYGMSSA-N 0 1 290.363 0.567 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H](C)n2cncn2)CC1 ZINC001159867142 801884289 /nfs/dbraw/zinc/88/42/89/801884289.db2.gz OVXWXHGCVICUBC-CYBMUJFWSA-N 0 1 287.367 0.611 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cc(=O)n(C)o2)CC1 ZINC001159940329 801893989 /nfs/dbraw/zinc/89/39/89/801893989.db2.gz IVDJYKPPTUZABJ-UHFFFAOYSA-N 0 1 289.335 0.364 20 30 CCEDMN CC(C)C#CC(=O)NCCC1=CCN([C@H](C)C(N)=O)CC1 ZINC001160055271 801902343 /nfs/dbraw/zinc/90/23/43/801902343.db2.gz XKSXXRMHJHBTBA-CYBMUJFWSA-N 0 1 291.395 0.658 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1Nc1nc(Cl)nc2c1CCNC2 ZINC001160173377 801912580 /nfs/dbraw/zinc/91/25/80/801912580.db2.gz GCDDILKGBVCKLD-RCOVLWMOSA-N 0 1 297.746 0.424 20 30 CCEDMN COc1ccnc(Nc2nccnc2CN)c1C#N ZINC001160245965 801923125 /nfs/dbraw/zinc/92/31/25/801923125.db2.gz JXQMKLBQFOODKS-UHFFFAOYSA-N 0 1 256.269 0.954 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)[C@@H](N)Cc1c[nH]c2ncccc12 ZINC001160933899 802049806 /nfs/dbraw/zinc/04/98/06/802049806.db2.gz QAQPCYQRLSUKIN-AAEUAGOBSA-N 0 1 299.334 0.184 20 30 CCEDMN N#CCc1cc(NCCN2CCCCC2=O)ccn1 ZINC001161942482 802251457 /nfs/dbraw/zinc/25/14/57/802251457.db2.gz CQZHHRJTCQDBTP-UHFFFAOYSA-N 0 1 258.325 0.994 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1ccc(CC#N)cn1 ZINC001162530151 802361312 /nfs/dbraw/zinc/36/13/12/802361312.db2.gz GSOMANQOIRRZEO-UHFFFAOYSA-N 0 1 285.307 0.969 20 30 CCEDMN N#Cc1c[nH]nc1NC(=O)CCN1CC[C@H](F)C1 ZINC001162743856 802411604 /nfs/dbraw/zinc/41/16/04/802411604.db2.gz PSOVJOQGSKGPQD-VIFPVBQESA-N 0 1 251.265 0.654 20 30 CCEDMN N#Cc1cc(NCc2nnc3n2CCCNC3)ccn1 ZINC001163017673 802460934 /nfs/dbraw/zinc/46/09/34/802460934.db2.gz PUJJZGOQNYKSPK-UHFFFAOYSA-N 0 1 269.312 0.072 20 30 CCEDMN C#CCNc1cccc(N2CCN(S(C)(=O)=O)CC2)n1 ZINC001163363788 802548050 /nfs/dbraw/zinc/54/80/50/802548050.db2.gz XCLVFEMRQRINDC-UHFFFAOYSA-N 0 1 294.380 0.208 20 30 CCEDMN CCOC(=O)c1cn(-c2ncc(C#N)nc2C)nc1CN ZINC001163523730 802567857 /nfs/dbraw/zinc/56/78/57/802567857.db2.gz DSNIDGSCKPGREN-UHFFFAOYSA-N 0 1 286.295 0.478 20 30 CCEDMN CCN(C(=O)CN(C)CCCNC(=O)[C@@H](C)C#N)C1CC1 ZINC001264971172 809682714 /nfs/dbraw/zinc/68/27/14/809682714.db2.gz KWYIUBGRZJLILG-LBPRGKRZSA-N 0 1 294.399 0.595 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCN(CCOCC2CC2)C1 ZINC001265276316 809742649 /nfs/dbraw/zinc/74/26/49/809742649.db2.gz BNZMGSUWUJUPIJ-AAEUAGOBSA-N 0 1 265.357 0.763 20 30 CCEDMN CCn1nncc1C(=O)NCCNCC#Cc1ccccc1 ZINC001126732077 814934670 /nfs/dbraw/zinc/93/46/70/814934670.db2.gz ABPPREHCWFMSFW-UHFFFAOYSA-N 0 1 297.362 0.669 20 30 CCEDMN COCC#CCN1CC[C@@](C)(NC(=O)C2CC2)C1 ZINC001278349649 807007588 /nfs/dbraw/zinc/00/75/88/807007588.db2.gz KJCCJJILKAQFRF-CQSZACIVSA-N 0 1 250.342 0.627 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1ccc(C)c(C#N)n1 ZINC001165439680 802844281 /nfs/dbraw/zinc/84/42/81/802844281.db2.gz UEFDRCGNEZRHGC-LLVKDONJSA-N 0 1 260.297 0.213 20 30 CCEDMN N#Cc1ncc(N2CC[C@H](N)C(F)(F)C2)nc1C#N ZINC001166962330 802996696 /nfs/dbraw/zinc/99/66/96/802996696.db2.gz WVDGLJGBLHUTEV-VIFPVBQESA-N 0 1 264.239 0.393 20 30 CCEDMN COC[C@H](O)CN1CC(CNC(=O)C#CC(C)(C)C)C1 ZINC001268825350 813104958 /nfs/dbraw/zinc/10/49/58/813104958.db2.gz PUNIOOCIYUFDCM-CYBMUJFWSA-N 0 1 282.384 0.091 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CCCn1ccnc1 ZINC001269355711 813336425 /nfs/dbraw/zinc/33/64/25/813336425.db2.gz IRKMWSNMCXRWOS-AWEZNQCLSA-N 0 1 274.368 0.877 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)[C@@H](C)[C@@H](C)CC)CC1 ZINC001269513533 813399072 /nfs/dbraw/zinc/39/90/72/813399072.db2.gz VKRQFGZZOJVRHG-STQMWFEESA-N 0 1 293.411 0.656 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCOCC)C1 ZINC001206571298 803267914 /nfs/dbraw/zinc/26/79/14/803267914.db2.gz HHYXHBMXAXDLTG-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C#C[C@H](CO)NCc1ccc(N2CCC(O)CC2)cc1 ZINC001206838103 803292225 /nfs/dbraw/zinc/29/22/25/803292225.db2.gz LESGPSZYZCLMQO-CQSZACIVSA-N 0 1 274.364 0.731 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CNC(C)=O)C1 ZINC001207100910 803352229 /nfs/dbraw/zinc/35/22/29/803352229.db2.gz REDRPUGQLACASA-NSHDSACASA-N 0 1 273.764 0.456 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCNC(=O)C2CC2)C1 ZINC001207331398 803385266 /nfs/dbraw/zinc/38/52/66/803385266.db2.gz UATOWWIVBRRCFM-TZMCWYRMSA-N 0 1 291.395 0.363 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001208151054 803494882 /nfs/dbraw/zinc/49/48/82/803494882.db2.gz XIFKZOSSRXIQOJ-BNOWGMLFSA-N 0 1 292.383 0.730 20 30 CCEDMN C=CCN(CCN1CCN(CCCO)CC1)C(=O)OCC ZINC001209015915 803563269 /nfs/dbraw/zinc/56/32/69/803563269.db2.gz BUTXDCKQBKGUTB-UHFFFAOYSA-N 0 1 299.415 0.631 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COC2CCOCC2)C1 ZINC001209079094 803572421 /nfs/dbraw/zinc/57/24/21/803572421.db2.gz WPEXJTXPCKNPBU-UKRRQHHQSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCCOC)C1 ZINC001209099453 803574250 /nfs/dbraw/zinc/57/42/50/803574250.db2.gz VFLKGQWEEGRRPP-FRRDWIJNSA-N 0 1 270.373 0.660 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCOCCOCC)C1 ZINC001210283188 803645795 /nfs/dbraw/zinc/64/57/95/803645795.db2.gz HUNJYBQMVBAKBC-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCn2cc(Cl)cn2)C1 ZINC001211284358 803675745 /nfs/dbraw/zinc/67/57/45/803675745.db2.gz WYLIGOXQYCMBKX-DGCLKSJQSA-N 0 1 294.786 0.996 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCn2ccnc2)C1 ZINC001211433066 803682041 /nfs/dbraw/zinc/68/20/41/803682041.db2.gz DXUOYYBOSXPKKN-ZIAGYGMSSA-N 0 1 274.368 0.733 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001213493723 803696338 /nfs/dbraw/zinc/69/63/38/803696338.db2.gz CYEKMKKQCFDTGG-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CN(CCCF)C[C@H]1OC ZINC001213962002 803707568 /nfs/dbraw/zinc/70/75/68/803707568.db2.gz YZZQHFUVMCQOHH-JHJVBQTASA-N 0 1 288.363 0.753 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)N2C(=O)CCC2=O)[C@H]1C ZINC001088601723 814965017 /nfs/dbraw/zinc/96/50/17/814965017.db2.gz KTIDFUFCUXLSBG-WOPDTQHZSA-N 0 1 293.367 0.289 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCO[C@@H]2CN(CCC)C[C@@H]21 ZINC001217876615 803922039 /nfs/dbraw/zinc/92/20/39/803922039.db2.gz PUIIGCYKRUJHJA-HZSPNIEDSA-N 0 1 280.368 0.346 20 30 CCEDMN N#Cc1sccc1NC(=O)[C@H](N)CCCNC(N)=O ZINC001218625377 804042198 /nfs/dbraw/zinc/04/21/98/804042198.db2.gz YFRPEIQZBVPILY-SSDOTTSWSA-N 0 1 281.341 0.334 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)[C@@H](N)Cc1c[nH]c2ncccc12 ZINC001218654955 804066279 /nfs/dbraw/zinc/06/62/79/804066279.db2.gz GMMQFHUSEMBLIP-JTQLQIEISA-N 0 1 295.306 0.666 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2cnn(CC)n2)[C@@H](O)C1 ZINC001083445764 814990046 /nfs/dbraw/zinc/99/00/46/814990046.db2.gz QLXDAGSODAWQGX-OLZOCXBDSA-N 0 1 293.371 0.039 20 30 CCEDMN N#Cc1ccc(NC(=O)[C@]23C[NH2+]C[C@@H]2CCN3)c([O-])c1 ZINC001218987774 804175420 /nfs/dbraw/zinc/17/54/20/804175420.db2.gz BSHACQQZBSHQRT-HZMBPMFUSA-N 0 1 272.308 0.154 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCc3ncncc3C2)[C@H]1C ZINC001088615474 815000095 /nfs/dbraw/zinc/00/00/95/815000095.db2.gz QKRLZNJFIGJBST-NFAWXSAZSA-N 0 1 298.390 0.794 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCCCC)[C@@H](O)C1 ZINC001219194402 804232568 /nfs/dbraw/zinc/23/25/68/804232568.db2.gz NVHYMLLKEBDIOT-KGLIPLIRSA-N 0 1 284.400 0.931 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)CC)[C@@H](O)C1 ZINC001219214352 804239336 /nfs/dbraw/zinc/23/93/36/804239336.db2.gz KXPKQKFWELIOQV-XQQFMLRXSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H](C)CC)[C@@H](O)C1 ZINC001219214352 804239342 /nfs/dbraw/zinc/23/93/42/804239342.db2.gz KXPKQKFWELIOQV-XQQFMLRXSA-N 0 1 270.373 0.396 20 30 CCEDMN C[C@@H]1COCC[C@@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000311445716 804261657 /nfs/dbraw/zinc/26/16/57/804261657.db2.gz WMMYAXTWLXTZCL-NEPJUHHUSA-N 0 1 265.357 0.763 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(CC=C(C)C)C[C@@H]1O ZINC001219366230 804287802 /nfs/dbraw/zinc/28/78/02/804287802.db2.gz VQGKJKKGDFMIAS-OLZOCXBDSA-N 0 1 268.357 0.317 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CCCCCF)C[C@@H]1O ZINC001219381146 804291565 /nfs/dbraw/zinc/29/15/65/804291565.db2.gz JDCFISPCPIQTDD-TUAOUCFPSA-N 0 1 271.336 0.447 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CC2CC(F)(F)C2)C[C@@H]1O ZINC001219381297 804292162 /nfs/dbraw/zinc/29/21/62/804292162.db2.gz NVVVANDQXAVZGJ-GDPRMGEGSA-N 0 1 287.310 0.353 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCC2CCC2)[C@@H](O)C1 ZINC001219439125 804313562 /nfs/dbraw/zinc/31/35/62/804313562.db2.gz HOPJUFSHINCFHR-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN CC(C)OCCNC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001219558328 804347498 /nfs/dbraw/zinc/34/74/98/804347498.db2.gz NJZQBLUCWDYBPR-AWEZNQCLSA-N 0 1 275.352 0.969 20 30 CCEDMN CCOCCCN1C[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001219587719 804360982 /nfs/dbraw/zinc/36/09/82/804360982.db2.gz RQWAOBFVWZHIKM-KGLIPLIRSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(CCCO)C[C@@H]1O ZINC001219686180 804402945 /nfs/dbraw/zinc/40/29/45/804402945.db2.gz IFSGJVWECWLDNI-KGLIPLIRSA-N 0 1 282.384 0.299 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)NC(=NC#N)c1ccncc1 ZINC001219972245 804461495 /nfs/dbraw/zinc/46/14/95/804461495.db2.gz NEIZHMXLOMCQQX-NSHDSACASA-N 0 1 289.339 0.568 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)C(F)F)[C@@H](O)C1 ZINC001220328804 804575200 /nfs/dbraw/zinc/57/52/00/804575200.db2.gz QIDKWWLWNSBOAW-ZJUUUORDSA-N 0 1 274.311 0.462 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1c2c(c(C)[nH]c1=O)CCCC2 ZINC001220337418 804578915 /nfs/dbraw/zinc/57/89/15/804578915.db2.gz QYWISLRCSOGGQT-INIZCTEOSA-N 0 1 287.363 0.941 20 30 CCEDMN O=C(C[C@H]1COCCN1)N/C=C/C(=O)C(F)(F)F ZINC001220344998 804579881 /nfs/dbraw/zinc/57/98/81/804579881.db2.gz DBVYVDQKCOFVLJ-HIXXPVPXSA-N 0 1 266.219 0.126 20 30 CCEDMN C=C[C@H]1C[C@@]1(NC(=O)[C@@H](N)COC(C)(C)C)C(=O)OCC ZINC001220573878 804628794 /nfs/dbraw/zinc/62/87/94/804628794.db2.gz ZOIKMTLGYZWBEP-PGUXBMHVSA-N 0 1 298.383 0.753 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](C)C2CC2)[C@@H](O)C1 ZINC001221107784 804732302 /nfs/dbraw/zinc/73/23/02/804732302.db2.gz MQMLEJFLWNKBAZ-BNOWGMLFSA-N 0 1 264.369 0.607 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CC[C@H](C)F)C[C@@H]1O ZINC001221139810 804736320 /nfs/dbraw/zinc/73/63/20/804736320.db2.gz ONCJGOWLQLMRCL-XQQFMLRXSA-N 0 1 288.363 0.489 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCOC(C)C)[C@@H](O)C1 ZINC001221168867 804738742 /nfs/dbraw/zinc/73/87/42/804738742.db2.gz DJXPYNPRRZJIHZ-KGLIPLIRSA-N 0 1 282.384 0.376 20 30 CCEDMN C[C@@H](O)CN1CC[C@@H]2CN(C(=O)CSCC#N)[C@@H]2C1 ZINC001221291494 804766300 /nfs/dbraw/zinc/76/63/00/804766300.db2.gz JAAOZBDXIXGJCC-IJLUTSLNSA-N 0 1 283.397 0.157 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)N[C@@](C)(C#N)CC(C)C ZINC001221292346 804768398 /nfs/dbraw/zinc/76/83/98/804768398.db2.gz KDBQHXZXOKVRMS-SWLSCSKDSA-N 0 1 296.415 0.921 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H](CN1CCOCC1)C(C)(C)C ZINC001221358955 804787190 /nfs/dbraw/zinc/78/71/90/804787190.db2.gz SBLYYJOCICITCQ-WFASDCNBSA-N 0 1 281.400 0.200 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)C(C)(C)NC(=O)NCC=C ZINC001272178102 815037459 /nfs/dbraw/zinc/03/74/59/815037459.db2.gz BPEDTDUUSBZGOS-UHFFFAOYSA-N 0 1 294.399 0.274 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc(OCC)n[nH]3)[C@@H]2C1 ZINC001075929418 815039282 /nfs/dbraw/zinc/03/92/82/815039282.db2.gz QLKQQFNPJFHHMU-WCQYABFASA-N 0 1 288.351 0.588 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCCC(N)=O)[C@@H]2C1 ZINC001222119958 804872317 /nfs/dbraw/zinc/87/23/17/804872317.db2.gz QQFGZQDBNKVNCP-CHWSQXEVSA-N 0 1 277.368 0.198 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3ccncc23)[C@H]1C ZINC001088624538 815040908 /nfs/dbraw/zinc/04/09/08/815040908.db2.gz MJXPCJJKIXVWCR-YPMHNXCESA-N 0 1 283.335 0.555 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(CNC(=O)COC)CC1 ZINC001222189841 804879701 /nfs/dbraw/zinc/87/97/01/804879701.db2.gz SBXUNTXLQPKPFY-GFCCVEGCSA-N 0 1 297.399 0.152 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)C(C)(C)F)CC1 ZINC001222252566 804886657 /nfs/dbraw/zinc/88/66/57/804886657.db2.gz LTCXPSLZUDTFRE-UHFFFAOYSA-N 0 1 299.390 0.865 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)Cc1nnc(C)[nH]1 ZINC001276854388 804942562 /nfs/dbraw/zinc/94/25/62/804942562.db2.gz VQHRFQHVFRBWTD-LBPRGKRZSA-N 0 1 293.371 0.049 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)Cc1cn(C)nc1C ZINC001276866434 804956023 /nfs/dbraw/zinc/95/60/23/804956023.db2.gz DCXJJAWQXFVEBO-AWEZNQCLSA-N 0 1 274.368 0.485 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)CN(C)C(C)=O)C1 ZINC001278364869 807036029 /nfs/dbraw/zinc/03/60/29/807036029.db2.gz ZMYLTWIJTXDGNF-AWEZNQCLSA-N 0 1 265.357 0.069 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC3(C[C@@H]3C(N)=O)CC2)c(O)c1 ZINC001276865339 804956631 /nfs/dbraw/zinc/95/66/31/804956631.db2.gz WQZIGAOADLPDMX-GFCCVEGCSA-N 0 1 299.330 0.991 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cn2cc(C)cn2)C1 ZINC001278363939 807036465 /nfs/dbraw/zinc/03/64/65/807036465.db2.gz SDHXNWMEZZDIMY-AWEZNQCLSA-N 0 1 260.341 0.405 20 30 CCEDMN C=CCCC[N@H+]1CC[C@@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001276884426 804966927 /nfs/dbraw/zinc/96/69/27/804966927.db2.gz SALAQZATGHGLGA-SECBINFHSA-N 0 1 293.327 0.053 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H]1CCN1C[C@@H](O)COC ZINC001276908111 804982427 /nfs/dbraw/zinc/98/24/27/804982427.db2.gz CBCRGMSIMTUAEQ-UONOGXRCSA-N 0 1 282.384 0.378 20 30 CCEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)C(N)=O ZINC001276915426 804986901 /nfs/dbraw/zinc/98/69/01/804986901.db2.gz AJKURLWCMMBLMY-LLVKDONJSA-N 0 1 253.346 0.409 20 30 CCEDMN C[N@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)cc(=O)[n-]3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225562614 805140583 /nfs/dbraw/zinc/14/05/83/805140583.db2.gz KAOPLGRRINVNMN-QBEQFSANSA-N 0 1 283.715 0.827 20 30 CCEDMN C[N@@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)cc(=O)[n-]3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225562614 805140589 /nfs/dbraw/zinc/14/05/89/805140589.db2.gz KAOPLGRRINVNMN-QBEQFSANSA-N 0 1 283.715 0.827 20 30 CCEDMN CCN1CC[C@@H](NC2(CNC(=O)C#CC3CC3)CC2)C1=O ZINC001277055376 805142247 /nfs/dbraw/zinc/14/22/47/805142247.db2.gz OTEWHYFFHVQYBE-CYBMUJFWSA-N 0 1 289.379 0.259 20 30 CCEDMN C=C[C@H](Oc1nc(C(=O)OC)cc(=O)[nH]1)C(=O)OC ZINC001225729631 805153502 /nfs/dbraw/zinc/15/35/02/805153502.db2.gz BTTMSGNDZHAHFU-ZETCQYMHSA-N 0 1 268.225 0.075 20 30 CCEDMN CO[C@@H]1CC[N@H+](CCOc2nccc(/C=N/[O-])n2)C1 ZINC001226255571 805210552 /nfs/dbraw/zinc/21/05/52/805210552.db2.gz KCCZRBBBFSLHHB-GYBQTFJISA-N 0 1 266.301 0.384 20 30 CCEDMN CO[C@@H]1CC[N@@H+](CCOc2nccc(/C=N/[O-])n2)C1 ZINC001226255571 805210560 /nfs/dbraw/zinc/21/05/60/805210560.db2.gz KCCZRBBBFSLHHB-GYBQTFJISA-N 0 1 266.301 0.384 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(=O)[C@@H](C)OC)CC1 ZINC001226308444 805217142 /nfs/dbraw/zinc/21/71/42/805217142.db2.gz DNEHVCSQYPELOS-NWDGAFQWSA-N 0 1 297.399 0.293 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H](C)OC)CC1 ZINC001226332210 805219727 /nfs/dbraw/zinc/21/97/27/805219727.db2.gz FIWVXBZPJDLXAX-GFCCVEGCSA-N 0 1 268.357 0.252 20 30 CCEDMN N#CCNC1(CNC(=O)CCCCc2cn[nH]n2)CC1 ZINC001277125652 805227390 /nfs/dbraw/zinc/22/73/90/805227390.db2.gz UUFSECHXGHIZNO-UHFFFAOYSA-N 0 1 276.344 0.279 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCN([C@H]2CCCN(C)C2=O)CC1 ZINC001226625090 805257080 /nfs/dbraw/zinc/25/70/80/805257080.db2.gz HMCFMWNFJODXMV-YPMHNXCESA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCN([C@H]2CCCNC2=O)CC1 ZINC001226626267 805258118 /nfs/dbraw/zinc/25/81/18/805258118.db2.gz WZIZXFHTQPBYMI-PWSUYJOCSA-N 0 1 278.356 0.005 20 30 CCEDMN CC#CCN1CCC(NC(=O)COCCOC)CC1 ZINC001226656829 805262223 /nfs/dbraw/zinc/26/22/23/805262223.db2.gz NJRYXGXCOTVUCJ-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN C#C[C@@H](NC(=O)NCC#CCN(C)C)[C@@H]1CCCO1 ZINC001226724759 805272808 /nfs/dbraw/zinc/27/28/08/805272808.db2.gz MZJYLVKNKGUASY-OLZOCXBDSA-N 0 1 263.341 0.031 20 30 CCEDMN N#CCC1CN(C(=O)[C@@]23C[C@@H]2CN(Cc2cc[nH]n2)C3)C1 ZINC001277343864 805492580 /nfs/dbraw/zinc/49/25/80/805492580.db2.gz UCULHNDINKTRBO-IUODEOHRSA-N 0 1 285.351 0.604 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)Cc2nnc(C)o2)C1 ZINC001278388941 807058049 /nfs/dbraw/zinc/05/80/49/807058049.db2.gz OPXBGKBJQPKSMB-CYBMUJFWSA-N 0 1 264.329 0.687 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(=O)n(C)c2)[C@H]1C ZINC001088695682 815093600 /nfs/dbraw/zinc/09/36/00/815093600.db2.gz ZUBXZPFVMZEDAM-YPMHNXCESA-N 0 1 273.336 0.211 20 30 CCEDMN CN1CC[C@]2(CCN(C(=O)c3ccc(O)c(C#N)c3)C2)C1=O ZINC001272219238 815116248 /nfs/dbraw/zinc/11/62/48/815116248.db2.gz LDOVSZKMHOHYJW-MRXNPFEDSA-N 0 1 299.330 0.958 20 30 CCEDMN N#Cc1ccc2cc(CN3C[C@@H](O)[C@H](CO)C3)[nH]c2c1 ZINC001249426765 807555043 /nfs/dbraw/zinc/55/50/43/807555043.db2.gz GMJMXBDJARHMTI-SWLSCSKDSA-N 0 1 271.320 0.825 20 30 CCEDMN N#CCc1cc(N[C@H]2COCCC23OCCO3)ccn1 ZINC001168359365 815132753 /nfs/dbraw/zinc/13/27/53/815132753.db2.gz XKGSLQRIUXRWJR-ZDUSSCGKSA-N 0 1 275.308 0.513 20 30 CCEDMN N#C[C@@H]1CN(C[C@H](O)COc2cccc(F)c2)CCC1=O ZINC001250804483 807615977 /nfs/dbraw/zinc/61/59/77/807615977.db2.gz VDLGIEVZENNGKT-YPMHNXCESA-N 0 1 292.310 0.980 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(C)C[C@@H]1c1ccccc1 ZINC001251821390 807709174 /nfs/dbraw/zinc/70/91/74/807709174.db2.gz NOUXWRMVTUHLBR-IAGOWNOFSA-N 0 1 288.391 0.986 20 30 CCEDMN C#CCOC[C@H](O)CNc1cc(C(=O)OCC)n[nH]1 ZINC001251825405 807711296 /nfs/dbraw/zinc/71/12/96/807711296.db2.gz WWYAOWXHRZENIX-SECBINFHSA-N 0 1 267.285 0.009 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(C)c2nc(C)ccc2C1 ZINC001251840710 807716935 /nfs/dbraw/zinc/71/69/35/807716935.db2.gz SLZAUGFBKIKTED-OAHLLOKOSA-N 0 1 289.379 0.653 20 30 CCEDMN C#CCOC[C@H](O)CN[C@H](CC(=O)OC)c1ccccn1 ZINC001251896634 807740040 /nfs/dbraw/zinc/74/00/40/807740040.db2.gz KWZUDYURKOIGRS-TZMCWYRMSA-N 0 1 292.335 0.286 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cccc(=O)[nH]1 ZINC001038131796 815147333 /nfs/dbraw/zinc/14/73/33/815147333.db2.gz FSTSLRKIRRZGLD-NSHDSACASA-N 0 1 259.309 0.615 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cccc(OC)n1 ZINC001252490593 807892099 /nfs/dbraw/zinc/89/20/99/807892099.db2.gz BDGBDPRXKWGKMP-GFCCVEGCSA-N 0 1 252.314 0.743 20 30 CCEDMN C=C[C@@](C)(O)CN1CCCn2nnc(CNC(C)=O)c2C1 ZINC001252551355 807908065 /nfs/dbraw/zinc/90/80/65/807908065.db2.gz ARAGUPSZBBHDFO-CQSZACIVSA-N 0 1 293.371 0.057 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(c2cc(OC)ncn2)CC1 ZINC001252556907 807909692 /nfs/dbraw/zinc/90/96/92/807909692.db2.gz GRGOZJWXBKRFME-CQSZACIVSA-N 0 1 278.356 0.544 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2ncc(OC)cn2)CC1 ZINC001252603866 807919245 /nfs/dbraw/zinc/91/92/45/807919245.db2.gz HHMKJMFVFJVHRO-ZDUSSCGKSA-N 0 1 292.383 0.934 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCCOC1 ZINC001038119616 815172064 /nfs/dbraw/zinc/17/20/64/815172064.db2.gz IZZHZAGWVCMCJH-QWHCGFSZSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cccs2)C1 ZINC001278752262 808164767 /nfs/dbraw/zinc/16/47/67/808164767.db2.gz BRCAZQDPAHJTNU-CYBMUJFWSA-N 0 1 264.350 0.548 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)OCCC=C)C1 ZINC001278767615 808195826 /nfs/dbraw/zinc/19/58/26/808195826.db2.gz UDLVPNWNLUCKLW-UKRRQHHQSA-N 0 1 280.368 0.154 20 30 CCEDMN COCC#CCN1CC[C@@](O)(CNC(=O)C2CC(C)C2)C1 ZINC001278771220 808200425 /nfs/dbraw/zinc/20/04/25/808200425.db2.gz MHBPCEUNZJNOGH-ZBCRRDGASA-N 0 1 294.395 0.235 20 30 CCEDMN N=C(N[C@@H]1CCS(=O)(=O)C1)c1ccc(Cl)cn1 ZINC001254318163 808204898 /nfs/dbraw/zinc/20/48/98/808204898.db2.gz VDSUHHWNOLOQFI-MRVPVSSYSA-N 0 1 273.745 0.627 20 30 CCEDMN CC1(C)CN([C@H]2CC[C@@H](C#N)C2)CCN1CC(N)=O ZINC001254671229 808271814 /nfs/dbraw/zinc/27/18/14/808271814.db2.gz QAUKHASKDZNDMB-NEPJUHHUSA-N 0 1 264.373 0.560 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CCC2(C)CC2)C1 ZINC001278815702 808281075 /nfs/dbraw/zinc/28/10/75/808281075.db2.gz HLYBLYLKRCSDGM-OAHLLOKOSA-N 0 1 264.369 0.753 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@]2(F)CCOC2)[C@H]1C ZINC001088816423 815199404 /nfs/dbraw/zinc/19/94/04/815199404.db2.gz BMEGTRSUZJYWBK-MDZLAQPJSA-N 0 1 256.321 0.880 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C1(CCF)CC1 ZINC001278868591 808385974 /nfs/dbraw/zinc/38/59/74/808385974.db2.gz DIQGHXSFLAVFDC-ZDUSSCGKSA-N 0 1 284.375 0.901 20 30 CCEDMN N#CCSCC(=O)NC1(c2nnc[nH]2)CCC1 ZINC001255595390 808412386 /nfs/dbraw/zinc/41/23/86/808412386.db2.gz LKNRVVLDPYITMR-UHFFFAOYSA-N 0 1 251.315 0.557 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H](C)C(C)C ZINC001278878836 808420523 /nfs/dbraw/zinc/42/05/23/808420523.db2.gz UYEDBDXBIDOACL-GJZGRUSLSA-N 0 1 298.427 0.679 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccc(F)cc1F ZINC001278882749 808424812 /nfs/dbraw/zinc/42/48/12/808424812.db2.gz MDAUINZWCNHHNC-LBPRGKRZSA-N 0 1 296.317 0.963 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)CC1(O)CCC1 ZINC001256584405 808537345 /nfs/dbraw/zinc/53/73/45/808537345.db2.gz DCRMTNWAQFYWJQ-UHFFFAOYSA-N 0 1 253.346 0.108 20 30 CCEDMN CC(C)(C)C#CC(=O)NCCNCC(=O)Nc1ccon1 ZINC001126921033 815264334 /nfs/dbraw/zinc/26/43/34/815264334.db2.gz FSLNYIYKUDLPMD-UHFFFAOYSA-N 0 1 292.339 0.368 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)NC(=O)[C@H]1CCCN1C ZINC001076303824 815273793 /nfs/dbraw/zinc/27/37/93/815273793.db2.gz KUYREVXHZCZJDQ-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(C)nn1 ZINC001126926020 815280450 /nfs/dbraw/zinc/28/04/50/815280450.db2.gz CHHCJKRPBUQMHS-UHFFFAOYSA-N 0 1 254.721 0.857 20 30 CCEDMN C#CCNCC(=O)NCCOc1ccc2c(c1)CCC2 ZINC001263076798 809434170 /nfs/dbraw/zinc/43/41/70/809434170.db2.gz JVBRQZLHMMLUHZ-UHFFFAOYSA-N 0 1 272.348 0.893 20 30 CCEDMN C#CCNCC(=O)N[C@@]1(C(=O)OC)CCCC(C)(C)C1 ZINC001263165590 809467381 /nfs/dbraw/zinc/46/73/81/809467381.db2.gz IBDXYKGTIXZOOC-HNNXBMFYSA-N 0 1 280.368 0.837 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@@H](c2ccc(OC)cc2)C1 ZINC001263176053 809471303 /nfs/dbraw/zinc/47/13/03/809471303.db2.gz TZVCMOLTQDQCRM-OAHLLOKOSA-N 0 1 288.347 0.818 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ncc[nH]3)[C@@H]2C1 ZINC001076402731 815291930 /nfs/dbraw/zinc/29/19/30/815291930.db2.gz ZAXYMOCUUOCUKQ-NWDGAFQWSA-N 0 1 258.325 0.118 20 30 CCEDMN C#CCNCC(=O)N[C@H](CCCC=C)C(=O)OC ZINC001263197297 809477569 /nfs/dbraw/zinc/47/75/69/809477569.db2.gz RJSBWNXQLJZQRB-LLVKDONJSA-N 0 1 252.314 0.223 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)NC(=O)c1[nH]ncc1F ZINC001076410670 815293347 /nfs/dbraw/zinc/29/33/47/815293347.db2.gz XENJETJQXWEHRU-JTQLQIEISA-N 0 1 294.330 0.833 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001076411510 815293559 /nfs/dbraw/zinc/29/35/59/815293559.db2.gz LBDAFCPGCGXQDC-LBPRGKRZSA-N 0 1 290.367 0.623 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)c1cc2n(n1)CCO2 ZINC001263331865 809512036 /nfs/dbraw/zinc/51/20/36/809512036.db2.gz ARZBBTRNCXQMQY-QMMMGPOBSA-N 0 1 257.253 0.499 20 30 CCEDMN CC(C)C[C@H](C#N)NC(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001263725241 809557644 /nfs/dbraw/zinc/55/76/44/809557644.db2.gz YPKIHUHFZMNZKM-XQQFMLRXSA-N 0 1 264.373 0.429 20 30 CCEDMN C=CC[C@H](C)NC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001263778609 809568916 /nfs/dbraw/zinc/56/89/16/809568916.db2.gz NYXFRWACETWJKD-RWMBFGLXSA-N 0 1 268.405 0.885 20 30 CCEDMN CC#CCCCC(=O)N(C)C[C@@H]1CCN1C[C@@H](O)COC ZINC001263806923 809574375 /nfs/dbraw/zinc/57/43/75/809574375.db2.gz GIWWVMSIEOXSCO-LSDHHAIUSA-N 0 1 296.411 0.720 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)C[C@H]2CCN2CCO)C1 ZINC001263812197 809575442 /nfs/dbraw/zinc/57/54/42/809575442.db2.gz JBFZBUMQZQHQPQ-GFCCVEGCSA-N 0 1 252.358 0.868 20 30 CCEDMN C=C(C)[C@H](CC(=O)NC[C@H](O)c1cnc[nH]1)OCC ZINC001263909897 809604552 /nfs/dbraw/zinc/60/45/52/809604552.db2.gz JKNNIHAGAYBCPH-RYUDHWBXSA-N 0 1 267.329 0.931 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)[C@H](C)C#N)CCN1CC#CCOC ZINC001264047865 809625157 /nfs/dbraw/zinc/62/51/57/809625157.db2.gz TVYPFPMUGKFOAJ-HZSPNIEDSA-N 0 1 277.368 0.765 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)[C@@]2(CC)CCNC2=O)[C@H]1CC ZINC001264611795 809665049 /nfs/dbraw/zinc/66/50/49/809665049.db2.gz VWXBHAFBJAUIIG-ZENOOKHLSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(CC)CCNC2=O)[C@H]1CC ZINC001264611795 809665053 /nfs/dbraw/zinc/66/50/53/809665053.db2.gz VWXBHAFBJAUIIG-ZENOOKHLSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)CC(C)C)C1 ZINC001264629013 809666279 /nfs/dbraw/zinc/66/62/79/809666279.db2.gz WWLAWSPGBIGOKP-CQSZACIVSA-N 0 1 266.385 0.951 20 30 CCEDMN C=C1CC(C)(C(=O)NCCC[N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001265033326 809696858 /nfs/dbraw/zinc/69/68/58/809696858.db2.gz DBWQNCRHUZJWCR-UHFFFAOYSA-N 0 1 293.371 0.805 20 30 CCEDMN C=C1CC(C)(C(=O)NCCC[N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001265033326 809696861 /nfs/dbraw/zinc/69/68/61/809696861.db2.gz DBWQNCRHUZJWCR-UHFFFAOYSA-N 0 1 293.371 0.805 20 30 CCEDMN CCN(C)C(=O)CN(CC)CCCNC(=O)[C@H](C)C#N ZINC001265106382 809709195 /nfs/dbraw/zinc/70/91/95/809709195.db2.gz KUECOKNPBCGWHX-GFCCVEGCSA-N 0 1 282.388 0.453 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)CNC(C)=O)CC1 ZINC001265186508 809721247 /nfs/dbraw/zinc/72/12/47/809721247.db2.gz WHBBRLUOEFKXFI-UHFFFAOYSA-N 0 1 265.357 0.069 20 30 CCEDMN CC#CCN1CCN(CCCNC(=O)[C@@H]2CCCO2)CC1 ZINC001265238316 809729719 /nfs/dbraw/zinc/72/97/19/809729719.db2.gz AHIRYVOKZMZZIM-HNNXBMFYSA-N 0 1 293.411 0.313 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)C[C@H]2CCOC2)CC1 ZINC001265257741 809733427 /nfs/dbraw/zinc/73/34/27/809733427.db2.gz MGXKMUJCTDEZGV-OAHLLOKOSA-N 0 1 295.427 0.723 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cc(C)ncn2)C1 ZINC001265279750 809743682 /nfs/dbraw/zinc/74/36/82/809743682.db2.gz XFUQFPORSLMZIE-CYBMUJFWSA-N 0 1 290.367 0.792 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H](C)c2cnn(C)c2)C1 ZINC001265294891 809748342 /nfs/dbraw/zinc/74/83/42/809748342.db2.gz LTKVMTNFOFKNOH-TZMCWYRMSA-N 0 1 274.368 0.737 20 30 CCEDMN C=CCNC(=O)CN1CCC2(C[C@H]2NC(=O)CC)CC1 ZINC001265321400 809757209 /nfs/dbraw/zinc/75/72/09/809757209.db2.gz JLZCSLZCJQJEBP-GFCCVEGCSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCNC(=O)CC(C)C)C1 ZINC001265320897 809757667 /nfs/dbraw/zinc/75/76/67/809757667.db2.gz BRYMSMCSHPCCKX-CYBMUJFWSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)CNC(=O)OC)CC1 ZINC001265337781 809759878 /nfs/dbraw/zinc/75/98/78/809759878.db2.gz VXNMXRMLUPJHRO-UHFFFAOYSA-N 0 1 295.383 0.679 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CCNC2=O)CC1 ZINC001265340084 809760053 /nfs/dbraw/zinc/76/00/53/809760053.db2.gz FHZQVHDCOQQJOD-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H]2[C@H](CCCN2C(C)=O)C1 ZINC001265520686 809795613 /nfs/dbraw/zinc/79/56/13/809795613.db2.gz IPAYMXGUBBHKHA-KGLIPLIRSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCOCCC(=O)NC[C@H](C)NCc1ccns1 ZINC001265775644 809850032 /nfs/dbraw/zinc/85/00/32/809850032.db2.gz UTMKVVYHUQAXCQ-NSHDSACASA-N 0 1 281.381 0.777 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1[nH]nnc1C ZINC001265809069 809859218 /nfs/dbraw/zinc/85/92/18/809859218.db2.gz QIOKTLWLYMGRLQ-SSDOTTSWSA-N 0 1 257.725 0.574 20 30 CCEDMN Cc1nc([C@@H](C)N(C)CCCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001265814599 809860999 /nfs/dbraw/zinc/86/09/99/809860999.db2.gz BCXYLYWSLFXRQE-VHSXEESVSA-N 0 1 278.360 0.772 20 30 CCEDMN CN(C)C(=O)CN(C)CCCNC(=O)C#CC(C)(C)C ZINC001265842894 809872928 /nfs/dbraw/zinc/87/29/28/809872928.db2.gz NMKJKKBHVXBMRF-UHFFFAOYSA-N 0 1 281.400 0.562 20 30 CCEDMN C=CCNC(=O)CNC[C@@H](O)c1c(F)cccc1F ZINC000393846143 809918156 /nfs/dbraw/zinc/91/81/56/809918156.db2.gz PWJGTWYMGXDSMT-LLVKDONJSA-N 0 1 270.279 0.890 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCCN(C(=O)CCCOC)C1 ZINC001266090875 809933488 /nfs/dbraw/zinc/93/34/88/809933488.db2.gz UHHXLTHCFZQZBK-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@@H](C)N[C@H](C)c1nnnn1C ZINC001266167018 809953150 /nfs/dbraw/zinc/95/31/50/809953150.db2.gz MQOBEBLRLNZGEL-GHMZBOCLSA-N 0 1 294.403 0.968 20 30 CCEDMN CO[C@H](C)CN1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001266204306 809961975 /nfs/dbraw/zinc/96/19/75/809961975.db2.gz BMJXRLRVWJHSIJ-VXGBXAGGSA-N 0 1 285.413 0.859 20 30 CCEDMN C=CCOc1cccnc1C(=O)N(C)c1nn[nH]n1 ZINC001279187797 809972873 /nfs/dbraw/zinc/97/28/73/809972873.db2.gz LNPHILPYUUDJAA-UHFFFAOYSA-N 0 1 260.257 0.436 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)COC(C)(C)C)C1 ZINC001279481125 809982538 /nfs/dbraw/zinc/98/25/38/809982538.db2.gz UJWCBERGNZMDHF-HNNXBMFYSA-N 0 1 284.400 0.931 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CCNCc2cnn(C)n2)C1 ZINC001279990870 810002652 /nfs/dbraw/zinc/00/26/52/810002652.db2.gz LRPTZCPGIOAJGL-VXGBXAGGSA-N 0 1 290.371 0.303 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001280271397 810010098 /nfs/dbraw/zinc/01/00/98/810010098.db2.gz PNHJIMFQPMCTND-MNOVXSKESA-N 0 1 291.355 0.621 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2CCCC2)C1 ZINC001076668883 815339903 /nfs/dbraw/zinc/33/99/03/815339903.db2.gz ANEYHOFKLHOAOB-CHWSQXEVSA-N 0 1 250.342 0.361 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCCO2)C1 ZINC001076716762 815353113 /nfs/dbraw/zinc/35/31/13/815353113.db2.gz YMYJAYGSWQLEKI-JHJVBQTASA-N 0 1 268.357 0.293 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H]2CCCCO2)C1 ZINC001076870855 815402506 /nfs/dbraw/zinc/40/25/06/815402506.db2.gz HMBWCAHXQCATIB-BFHYXJOUSA-N 0 1 280.368 0.130 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@H](C)NC(N)=O ZINC001267268245 811069465 /nfs/dbraw/zinc/06/94/65/811069465.db2.gz YJXHOBKTESXVPJ-NWDGAFQWSA-N 0 1 280.372 0.037 20 30 CCEDMN Cc1ccc(C#N)nc1-n1c(C)ncc(CN)c1=N ZINC001167672646 811130139 /nfs/dbraw/zinc/13/01/39/811130139.db2.gz QTLLAQDGMNQTEW-UHFFFAOYSA-N 0 1 254.297 0.694 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC001076968135 815425287 /nfs/dbraw/zinc/42/52/87/815425287.db2.gz QLXDAGSODAWQGX-CHWSQXEVSA-N 0 1 293.371 0.039 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC001076968135 815425292 /nfs/dbraw/zinc/42/52/92/815425292.db2.gz QLXDAGSODAWQGX-CHWSQXEVSA-N 0 1 293.371 0.039 20 30 CCEDMN C#CCN(CCNC(=O)[C@@]1(C)CCNC(=O)C1)C1CC1 ZINC001267327504 811160520 /nfs/dbraw/zinc/16/05/20/811160520.db2.gz PSLLQFNDEBLAIC-HNNXBMFYSA-N 0 1 277.368 0.117 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cnc(F)c(C#N)c1 ZINC001167676332 811236549 /nfs/dbraw/zinc/23/65/49/811236549.db2.gz YVHOCRDDIYEMLR-UHFFFAOYSA-N 0 1 258.260 0.525 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001077016510 815434028 /nfs/dbraw/zinc/43/40/28/815434028.db2.gz QIHGWRPCOXHIIN-LPWJVIDDSA-N 0 1 268.357 0.149 20 30 CCEDMN Cc1nonc1CNC[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001023893383 811279347 /nfs/dbraw/zinc/27/93/47/811279347.db2.gz NETJRCGDZGNLTL-ZYHUDNBSSA-N 0 1 291.355 0.866 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)C[C@H]21 ZINC001042193376 811369659 /nfs/dbraw/zinc/36/96/59/811369659.db2.gz LXFDTGXHOXRBQJ-QMIVOQANSA-N 0 1 274.364 0.435 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc[n+]([O-])cc3)[C@@H]2C1 ZINC001075517274 811510640 /nfs/dbraw/zinc/51/06/40/811510640.db2.gz VKBIPRJAKFEBLD-UONOGXRCSA-N 0 1 273.336 0.652 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCC2(F)F)C1 ZINC001077203727 815461306 /nfs/dbraw/zinc/46/13/06/815461306.db2.gz IRKLQKNNRLDGPD-HBNTYKKESA-N 0 1 274.311 0.769 20 30 CCEDMN CC#CC[N@H+](C)C[C@H]1CCN(C(=O)Cc2nnc[n-]2)C1 ZINC001267696383 811660631 /nfs/dbraw/zinc/66/06/31/811660631.db2.gz FDLNZMBHWMZKHB-GFCCVEGCSA-N 0 1 275.356 0.151 20 30 CCEDMN CC#CC[N@@H+](C)C[C@H]1CCN(C(=O)Cc2nnc[n-]2)C1 ZINC001267696383 811660635 /nfs/dbraw/zinc/66/06/35/811660635.db2.gz FDLNZMBHWMZKHB-GFCCVEGCSA-N 0 1 275.356 0.151 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CNC(=O)C(C)(C)C)C1 ZINC001267734634 811703862 /nfs/dbraw/zinc/70/38/62/811703862.db2.gz RLXZQYXPGGGNAG-NSHDSACASA-N 0 1 267.373 0.525 20 30 CCEDMN CC[C@H](CNC(=O)C#CC(C)C)NCc1cnnn1C ZINC001267803089 811798464 /nfs/dbraw/zinc/79/84/64/811798464.db2.gz KNFJNHLUTMJBEP-GFCCVEGCSA-N 0 1 277.372 0.459 20 30 CCEDMN C=C(C)CCNCc1cn(C2CN(C(C)=O)C2)nn1 ZINC001105127843 811848178 /nfs/dbraw/zinc/84/81/78/811848178.db2.gz IHMBMPFIJUJAFZ-UHFFFAOYSA-N 0 1 263.345 0.737 20 30 CCEDMN C#CCCCC(=O)N1CC(n2cc(CNCCC)nn2)C1 ZINC001105227287 811866928 /nfs/dbraw/zinc/86/69/28/811866928.db2.gz DVDJASIEVNSEPO-UHFFFAOYSA-N 0 1 289.383 0.965 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)cn1 ZINC001105304972 811901315 /nfs/dbraw/zinc/90/13/15/811901315.db2.gz MGLFUJZUBCXIJC-LLVKDONJSA-N 0 1 299.338 0.938 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)cn1 ZINC001105304973 811902113 /nfs/dbraw/zinc/90/21/13/811902113.db2.gz MGLFUJZUBCXIJC-NSHDSACASA-N 0 1 299.338 0.938 20 30 CCEDMN CC#CCCCC(=O)N1CC(n2cc(CNCC)nn2)C1 ZINC001105308532 811903242 /nfs/dbraw/zinc/90/32/42/811903242.db2.gz PBDZCWBTEDFYIE-UHFFFAOYSA-N 0 1 289.383 0.965 20 30 CCEDMN C[C@H](CNCC#N)CNC(=O)c1[nH]nc2c1CCC2 ZINC001267992400 811930670 /nfs/dbraw/zinc/93/06/70/811930670.db2.gz GMRMGAMKCOLQLE-SECBINFHSA-N 0 1 261.329 0.377 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@H]3CN(CC#N)C[C@H]32)n[nH]1 ZINC001075560043 811965147 /nfs/dbraw/zinc/96/51/47/811965147.db2.gz BHIKDBYCAUAQQK-GXFFZTMASA-N 0 1 273.340 0.642 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001268021231 811970479 /nfs/dbraw/zinc/97/04/79/811970479.db2.gz QKBLVXXINPGJOP-UONOGXRCSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C(C)CN1CCO[C@H](CNC(=O)CCc2cnc[nH]2)C1 ZINC001268024583 811985421 /nfs/dbraw/zinc/98/54/21/811985421.db2.gz IUFVQCHNGLHFIP-CQSZACIVSA-N 0 1 292.383 0.735 20 30 CCEDMN Cc1cc(C#N)nc(N(C)CCN(C)C(=O)c2ccn[nH]2)n1 ZINC001105338876 812003976 /nfs/dbraw/zinc/00/39/76/812003976.db2.gz HJYJNYRWESNMJM-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cncc2[nH]cnc21 ZINC001027897619 812128255 /nfs/dbraw/zinc/12/82/55/812128255.db2.gz CGTJVIOBYWXNNS-LLVKDONJSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1ccnc2ccnn21 ZINC001027924640 812144441 /nfs/dbraw/zinc/14/44/41/812144441.db2.gz JZPCHQZDRFXTDK-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cc(C)n[nH]3)[C@@H]2C1 ZINC001075588559 812161593 /nfs/dbraw/zinc/16/15/93/812161593.db2.gz HEQGJRRIDGKTJC-GXTWGEPZSA-N 0 1 272.352 0.888 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)[C@@H]2C1 ZINC001075588559 812161601 /nfs/dbraw/zinc/16/16/01/812161601.db2.gz HEQGJRRIDGKTJC-GXTWGEPZSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc2nnc(C)n2c1 ZINC001027980975 812191662 /nfs/dbraw/zinc/19/16/62/812191662.db2.gz HLQAURHFYBWMHM-CQSZACIVSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnn(C)c1CC ZINC001027994786 812203931 /nfs/dbraw/zinc/20/39/31/812203931.db2.gz XHBRVEFRDVKNLJ-GFCCVEGCSA-N 0 1 274.368 0.810 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001028039261 812230164 /nfs/dbraw/zinc/23/01/64/812230164.db2.gz KAAGINBAKRWURT-BFHYXJOUSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001028039261 812230170 /nfs/dbraw/zinc/23/01/70/812230170.db2.gz KAAGINBAKRWURT-BFHYXJOUSA-N 0 1 286.379 0.737 20 30 CCEDMN Cc1nc(N(C)CCNC(=O)Cc2cnc[nH]2)ccc1C#N ZINC001100354265 812256057 /nfs/dbraw/zinc/25/60/57/812256057.db2.gz SPYDOIZZECTTPO-UHFFFAOYSA-N 0 1 298.350 0.780 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccoc1CC(N)=O ZINC001028099397 812275894 /nfs/dbraw/zinc/27/58/94/812275894.db2.gz ONGMHVZSJSBGSH-LLVKDONJSA-N 0 1 291.351 0.688 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cncc(OC)n1 ZINC001028182462 812336453 /nfs/dbraw/zinc/33/64/53/812336453.db2.gz XIBFDXBBWUNDLE-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2C[C@H](C)OC)cn1 ZINC001038932714 812366657 /nfs/dbraw/zinc/36/66/57/812366657.db2.gz QQHCQHCEPLNQMZ-WFASDCNBSA-N 0 1 287.363 0.902 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001028209792 812373042 /nfs/dbraw/zinc/37/30/42/812373042.db2.gz OTFKYUDZDWGJRD-LBPRGKRZSA-N 0 1 288.351 0.091 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001028209792 812373051 /nfs/dbraw/zinc/37/30/51/812373051.db2.gz OTFKYUDZDWGJRD-LBPRGKRZSA-N 0 1 288.351 0.091 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cn(CCC)nn1 ZINC001028231321 812441401 /nfs/dbraw/zinc/44/14/01/812441401.db2.gz ZXBGWHMIMZQLFA-ZDUSSCGKSA-N 0 1 289.383 0.906 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cn2nccc2nc1C ZINC001028234489 812447654 /nfs/dbraw/zinc/44/76/54/812447654.db2.gz YVTHUQBUMWUGCP-CYBMUJFWSA-N 0 1 297.362 0.865 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@H](F)C(C)C ZINC001268322094 812485158 /nfs/dbraw/zinc/48/51/58/812485158.db2.gz WRWNHYXLGLKKME-VHSXEESVSA-N 0 1 266.744 0.800 20 30 CCEDMN C[C@@H](CCNCC#N)NC(=O)[C@@H]1CCCCN1C ZINC001268378518 812531630 /nfs/dbraw/zinc/53/16/30/812531630.db2.gz KFIGMMIVRHYHQU-RYUDHWBXSA-N 0 1 252.362 0.479 20 30 CCEDMN C[C@H](CCNCC#N)NC(=O)[C@H]1CCCCN1C ZINC001268378519 812531466 /nfs/dbraw/zinc/53/14/66/812531466.db2.gz KFIGMMIVRHYHQU-VXGBXAGGSA-N 0 1 252.362 0.479 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001028293517 812593234 /nfs/dbraw/zinc/59/32/34/812593234.db2.gz KSQKRPAAHQWVQW-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001028336596 812629619 /nfs/dbraw/zinc/62/96/19/812629619.db2.gz IROBUTRNJUOAET-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1oc(C)nc1C ZINC001126364774 812672813 /nfs/dbraw/zinc/67/28/13/812672813.db2.gz BRSLDASOCMDVAN-AWEZNQCLSA-N 0 1 281.356 0.824 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cn(C)c(=O)n2C)C1 ZINC001268492199 812686405 /nfs/dbraw/zinc/68/64/05/812686405.db2.gz SSGMROYOYMSSIP-LLVKDONJSA-N 0 1 292.383 0.493 20 30 CCEDMN Cc1coc(C(=O)NC/C=C/CNCC(=O)NCC#N)c1 ZINC001268580933 812864136 /nfs/dbraw/zinc/86/41/36/812864136.db2.gz SODPHOOGQFMZSX-NSCUHMNNSA-N 0 1 290.323 0.103 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001268582161 812865069 /nfs/dbraw/zinc/86/50/69/812865069.db2.gz GSSWQQFSOQMRPO-RDFMZFSFSA-N 0 1 299.802 0.917 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)[C@@H]1CC[C@H](F)C1 ZINC001268582995 812868217 /nfs/dbraw/zinc/86/82/17/812868217.db2.gz RKROOJGVSYOYIO-JXLSXLBDSA-N 0 1 295.358 0.136 20 30 CCEDMN CN(CCN(C)c1ncccc1C#N)C(=O)Cc1ccn[nH]1 ZINC001105373856 812919479 /nfs/dbraw/zinc/91/94/79/812919479.db2.gz RQDPCYMMUCGYMC-UHFFFAOYSA-N 0 1 298.350 0.814 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)[C@H](C)OC ZINC001268725295 813041289 /nfs/dbraw/zinc/04/12/89/813041289.db2.gz SNGRWRYGIMGVJL-NEPJUHHUSA-N 0 1 256.346 0.108 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H](C)N(C)CC(F)(F)F ZINC001268741887 813055126 /nfs/dbraw/zinc/05/51/26/813055126.db2.gz AJCBHCLLMJTDRD-QWRGUYRKSA-N 0 1 293.333 0.939 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnn2cc(C)cnc12 ZINC001268747735 813062144 /nfs/dbraw/zinc/06/21/44/813062144.db2.gz BJQLUTKUFNPKLN-GFCCVEGCSA-N 0 1 285.351 0.721 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)COCc1nc(CC)no1 ZINC001268753031 813064725 /nfs/dbraw/zinc/06/47/25/813064725.db2.gz NBTMJQUUTIUWLS-LLVKDONJSA-N 0 1 294.355 0.218 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnn2cc[nH]c12 ZINC001268755136 813064949 /nfs/dbraw/zinc/06/49/49/813064949.db2.gz QWPQMYULWKYMPG-JTQLQIEISA-N 0 1 259.313 0.346 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CCN(C(=O)CCS)C2 ZINC001268891253 813135484 /nfs/dbraw/zinc/13/54/84/813135484.db2.gz CTVYHFPAVUYPEE-UHFFFAOYSA-N 0 1 282.369 0.316 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001028652864 813165513 /nfs/dbraw/zinc/16/55/13/813165513.db2.gz GFGPAVGFXYZBNT-LLVKDONJSA-N 0 1 287.367 0.864 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CC1OCCCO1 ZINC001269224503 813274576 /nfs/dbraw/zinc/27/45/76/813274576.db2.gz WGQFLXVEQSGLSQ-GFCCVEGCSA-N 0 1 266.341 0.353 20 30 CCEDMN CCCCCCCN1CC[C@@H]1CNC(=O)C(N)=O ZINC001269286115 813307652 /nfs/dbraw/zinc/30/76/52/813307652.db2.gz LQSCQAULAWFSMJ-LLVKDONJSA-N 0 1 255.362 0.633 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H](CC(C)C)NC(C)=O)C1 ZINC001269292424 813311730 /nfs/dbraw/zinc/31/17/30/813311730.db2.gz MQCXNYHUQNBKHL-GJZGRUSLSA-N 0 1 293.411 0.703 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)c2ocnc2C)CC1 ZINC001269394259 813356620 /nfs/dbraw/zinc/35/66/20/813356620.db2.gz ZEVWDGBCIRAGPY-UHFFFAOYSA-N 0 1 292.339 0.137 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2[nH]c(C)nc2C)C1 ZINC001028808833 813536817 /nfs/dbraw/zinc/53/68/17/813536817.db2.gz OTHGNSWHTBEKQH-LBPRGKRZSA-N 0 1 260.341 0.711 20 30 CCEDMN C=CCCn1cc(C(=O)NC[C@H]2CCN(CCF)C2)nn1 ZINC001028857682 813592200 /nfs/dbraw/zinc/59/22/00/813592200.db2.gz IWHAAFFNJFCEFY-GFCCVEGCSA-N 0 1 295.362 0.875 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cc(=O)n(C)o3)[C@@H]2C1 ZINC001075727991 813634575 /nfs/dbraw/zinc/63/45/75/813634575.db2.gz UHDKATOVGTVENX-NWDGAFQWSA-N 0 1 289.335 0.148 20 30 CCEDMN C#CCN(C(=O)C[C@H](C)OC)C1CCN(CC#C)CC1 ZINC001270296218 813713939 /nfs/dbraw/zinc/71/39/39/813713939.db2.gz MZGOZFNBNLRGPC-AWEZNQCLSA-N 0 1 276.380 0.971 20 30 CCEDMN COCC#CCN1CC[C@@](C)(NC(=O)CCOC)C1 ZINC001270529890 813799991 /nfs/dbraw/zinc/79/99/91/813799991.db2.gz NWUMDFWSMYCWGZ-CQSZACIVSA-N 0 1 268.357 0.253 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)CN2CCCC2=O)[C@H]1CC ZINC001087497951 813970831 /nfs/dbraw/zinc/97/08/31/813970831.db2.gz QRJJCLCVAVVDPS-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)CN2CCCC2=O)[C@H]1CC ZINC001087497864 813971043 /nfs/dbraw/zinc/97/10/43/813971043.db2.gz MHXHJWUDSDALCY-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)N2C)[C@H]1CC ZINC001087683869 814185993 /nfs/dbraw/zinc/18/59/93/814185993.db2.gz VHSSSUQTHFQQHN-MELADBBJSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@H]1CC ZINC001087809689 814217992 /nfs/dbraw/zinc/21/79/92/814217992.db2.gz GNUPXZOCICBRCV-QWHCGFSZSA-N 0 1 285.351 0.878 20 30 CCEDMN Cc1cc(N2C[C@@H]3CS(=O)(=O)C[C@@]3(C#N)C2)ccn1 ZINC000896609484 814302310 /nfs/dbraw/zinc/30/23/10/814302310.db2.gz HLPLJZRDTSOAFU-DGCLKSJQSA-N 0 1 277.349 0.765 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@]2(O)CCN(CC#CC)C2)nc1 ZINC001271210895 814335044 /nfs/dbraw/zinc/33/50/44/814335044.db2.gz UTMFUJRZEGLWQZ-QGZVFWFLSA-N 0 1 297.358 0.253 20 30 CCEDMN C[C@@H](C#N)C(=O)NC12CC(C(=O)NCc3cnc[nH]3)(C1)C2 ZINC001271220952 814339167 /nfs/dbraw/zinc/33/91/67/814339167.db2.gz KRMDYVFNWHQKEX-SQNUNTJVSA-N 0 1 287.323 0.224 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCc2c(n[nH]c2C(=O)N(C)C)C1 ZINC001271222480 814343025 /nfs/dbraw/zinc/34/30/25/814343025.db2.gz ZCYNFHOWSJPEAH-QMMMGPOBSA-N 0 1 275.312 0.156 20 30 CCEDMN C[C@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H]1CNCC#N ZINC001271595383 814484914 /nfs/dbraw/zinc/48/49/14/814484914.db2.gz ZYBPQUDLRLDCHK-WDEREUQCSA-N 0 1 261.329 0.160 20 30 CCEDMN N#CCN[C@@H]1CCCCCN(C(=O)c2ccn[nH]2)C1 ZINC001088269777 814558333 /nfs/dbraw/zinc/55/83/33/814558333.db2.gz SQDHMXCQXQSJMG-LLVKDONJSA-N 0 1 261.329 0.908 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)[C@@H](C)NC(C)=O)C1 ZINC001271941112 814637791 /nfs/dbraw/zinc/63/77/91/814637791.db2.gz MBLVOMUOQFAVPJ-DOMZBBRYSA-N 0 1 297.399 0.030 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cnc(C)cn1 ZINC001271959261 814651424 /nfs/dbraw/zinc/65/14/24/814651424.db2.gz YIKAYXHLAZUACU-UHFFFAOYSA-N 0 1 290.367 0.439 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@H]3CCC(=O)NC3)[C@@H]2C1 ZINC001075875883 814652166 /nfs/dbraw/zinc/65/21/66/814652166.db2.gz IDKHGRAZGBGYQZ-HZSPNIEDSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCN1CCC(N2C(=O)CN(CC)C2=O)CC1 ZINC000348905889 814671051 /nfs/dbraw/zinc/67/10/51/814671051.db2.gz DVNPMYBWSIBZAX-UHFFFAOYSA-N 0 1 251.330 0.921 20 30 CCEDMN N#CCN[C@@H]1CCCCCN(C(=O)CN2CCCC2)C1 ZINC001088425988 814683111 /nfs/dbraw/zinc/68/31/11/814683111.db2.gz IRNLBBNUSBZUSO-CQSZACIVSA-N 0 1 278.400 0.967 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H]2C[C@@H](NC(=O)c3ncn[nH]3)C2)n1 ZINC001059692509 814718348 /nfs/dbraw/zinc/71/83/48/814718348.db2.gz VRPSIZIMIDXGSS-DTORHVGOSA-N 0 1 298.310 0.148 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H]2C[C@@H](NC(=O)c3nc[nH]n3)C2)n1 ZINC001059692509 814718354 /nfs/dbraw/zinc/71/83/54/814718354.db2.gz VRPSIZIMIDXGSS-DTORHVGOSA-N 0 1 298.310 0.148 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C)n2cncn2)[C@H]1C ZINC001088531066 814856739 /nfs/dbraw/zinc/85/67/39/814856739.db2.gz VKBHFLYHAZSXIZ-UTUOFQBUSA-N 0 1 263.345 0.604 20 30 CCEDMN Cc1nnc(CC(=O)NCCNCc2ccccc2C#N)o1 ZINC001126663915 814861334 /nfs/dbraw/zinc/86/13/34/814861334.db2.gz OECRMJRKJYPYNX-UHFFFAOYSA-N 0 1 299.334 0.698 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)COCc1cc(C)on1 ZINC001272041191 814867234 /nfs/dbraw/zinc/86/72/34/814867234.db2.gz NPBHHPNVUAYNHM-UHFFFAOYSA-N 0 1 293.367 0.913 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)n2cncn2)C1 ZINC001042636515 814873089 /nfs/dbraw/zinc/87/30/89/814873089.db2.gz NZVZBBVKLMXDNL-GFCCVEGCSA-N 0 1 277.372 0.948 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)ccn2C)[C@@H](O)C1 ZINC001083428429 814885393 /nfs/dbraw/zinc/88/53/93/814885393.db2.gz CAGYNSZIIJQRAC-OLZOCXBDSA-N 0 1 277.368 0.685 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H]1COCCN1CC ZINC001272054910 814888423 /nfs/dbraw/zinc/88/84/23/814888423.db2.gz FGWNMNFCMBJWJV-CQSZACIVSA-N 0 1 281.400 0.121 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCc1c(C)nn(C)c1C ZINC001272075035 814913948 /nfs/dbraw/zinc/91/39/48/814913948.db2.gz GZBFBYUGTPYFCH-UHFFFAOYSA-N 0 1 290.411 0.993 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1OC ZINC001212145873 815658437 /nfs/dbraw/zinc/65/84/37/815658437.db2.gz BUUROJHSEMPDJG-BFHYXJOUSA-N 0 1 282.384 0.376 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC1CN(CC#Cc2ccccc2)C1 ZINC001030156212 815846480 /nfs/dbraw/zinc/84/64/80/815846480.db2.gz VFRBWEWDZZWLED-QGZVFWFLSA-N 0 1 297.402 0.933 20 30 CCEDMN C#C[C@H](NC[C@@H](O)C1(O)CCOCC1)c1ccccc1 ZINC001120590125 816153322 /nfs/dbraw/zinc/15/33/22/816153322.db2.gz WLKJQOZMFLRIQC-LSDHHAIUSA-N 0 1 275.348 0.853 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](CCO)[C@@H]2C)nc1 ZINC001088990622 816205619 /nfs/dbraw/zinc/20/56/19/816205619.db2.gz HBVAQQHSWATDDA-YPMHNXCESA-N 0 1 273.336 0.248 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(CCO)[C@@H]2C)nc1 ZINC001088990622 816205626 /nfs/dbraw/zinc/20/56/26/816205626.db2.gz HBVAQQHSWATDDA-YPMHNXCESA-N 0 1 273.336 0.248 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](CCOC)[C@@H]2C)cn1 ZINC001088990462 816205814 /nfs/dbraw/zinc/20/58/14/816205814.db2.gz XLYFNMONIPYVGW-DOMZBBRYSA-N 0 1 287.363 0.902 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C)CCCC2)[C@@H](O)C1 ZINC001083678697 816213379 /nfs/dbraw/zinc/21/33/79/816213379.db2.gz AWBWWIMIAVOYFQ-OLZOCXBDSA-N 0 1 264.369 0.751 20 30 CCEDMN N#CCN1CC(NC(=O)c2ccc3cncn3c2)C1 ZINC001030682742 816214967 /nfs/dbraw/zinc/21/49/67/816214967.db2.gz JHNRBVVEUDNSGR-UHFFFAOYSA-N 0 1 255.281 0.272 20 30 CCEDMN CC#CCN1CC(NC(=O)c2ccc3cncn3c2)C1 ZINC001030684227 816217319 /nfs/dbraw/zinc/21/73/19/816217319.db2.gz QYVKUHYREYOTEK-UHFFFAOYSA-N 0 1 268.320 0.772 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncc(C(N)=O)c2)[C@H]1C ZINC001089045932 816224263 /nfs/dbraw/zinc/22/42/63/816224263.db2.gz NOPLWIJDGOOEKF-MFKMUULPSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)Cc2ccn(C)n2)CC1 ZINC001120831027 816238974 /nfs/dbraw/zinc/23/89/74/816238974.db2.gz QGSUZLAUDDBJHG-UHFFFAOYSA-N 0 1 274.368 0.724 20 30 CCEDMN C=CCCN1CC(NC(=O)C[N@@H+]2CC[C@@H](C)C2)C1 ZINC001030958511 816502110 /nfs/dbraw/zinc/50/21/10/816502110.db2.gz MBTKOEMLHYDFIF-GFCCVEGCSA-N 0 1 251.374 0.705 20 30 CCEDMN C#CCNCC(=O)NCc1cccnc1-n1ccnc1C ZINC001121988534 816520639 /nfs/dbraw/zinc/52/06/39/816520639.db2.gz LOYWFHDQNDDPLW-UHFFFAOYSA-N 0 1 283.335 0.415 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC1CN(C[C@H]2CCCOC2)C1 ZINC001030977620 816525422 /nfs/dbraw/zinc/52/54/22/816525422.db2.gz RCZPIAORQTUSSY-YUELXQCFSA-N 0 1 294.395 0.805 20 30 CCEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2CC(=O)N(C)C ZINC001272534731 816557204 /nfs/dbraw/zinc/55/72/04/816557204.db2.gz NITRCACCYUNIDI-CHWSQXEVSA-N 0 1 279.384 0.716 20 30 CCEDMN O=C(Cc1nnc[nH]1)NC1CN(CC#Cc2ccccc2)C1 ZINC001031230581 816857539 /nfs/dbraw/zinc/85/75/39/816857539.db2.gz DGMFGKWOTRWYLE-UHFFFAOYSA-N 0 1 295.346 0.199 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)C2CCC(OC)CC2)[C@@H](O)C1 ZINC001083738096 816892700 /nfs/dbraw/zinc/89/27/00/816892700.db2.gz MWQZMYHSFCTULX-CVSAEHQPSA-N 0 1 296.411 0.929 20 30 CCEDMN C[C@@H](CCNCc1cnon1)NC(=O)CSCC#N ZINC001272656913 816959076 /nfs/dbraw/zinc/95/90/76/816959076.db2.gz HCBPVXWEKYVTQQ-VIFPVBQESA-N 0 1 283.357 0.311 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(C[C@@H](C)OC)C[C@@H]2O)CC1 ZINC001083754569 817004084 /nfs/dbraw/zinc/00/40/84/817004084.db2.gz XKXYNTFGYVLOSD-YUELXQCFSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCCN1CC(NC(=O)Cc2[nH]nc3ccccc32)C1 ZINC001031432230 817051542 /nfs/dbraw/zinc/05/15/42/817051542.db2.gz MJCUDZPHZSGXAO-UHFFFAOYSA-N 0 1 282.347 0.929 20 30 CCEDMN CC1(C)C[C@H](NC(=O)c2cnn[nH]2)CCN(CC#N)C1 ZINC001089427061 817070341 /nfs/dbraw/zinc/07/03/41/817070341.db2.gz LJCNSLZVWVXHKA-SNVBAGLBSA-N 0 1 276.344 0.549 20 30 CCEDMN Cc1cnc([C@@H](C)NCCNC(=O)CSCC#N)cn1 ZINC001123792466 817070381 /nfs/dbraw/zinc/07/03/81/817070381.db2.gz XYYVTZNDXBMUQR-LLVKDONJSA-N 0 1 293.396 0.809 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2COCCO2)C1 ZINC001031554500 817129966 /nfs/dbraw/zinc/12/99/66/817129966.db2.gz YTECNQJPJIYUGK-GFCCVEGCSA-N 0 1 254.330 0.026 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cn(C)nc2C)C1 ZINC001031586024 817166717 /nfs/dbraw/zinc/16/67/17/817166717.db2.gz RWKXDWNRECFIMU-UHFFFAOYSA-N 0 1 262.357 0.966 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(C)ncn2)C1 ZINC001031619942 817191057 /nfs/dbraw/zinc/19/10/57/817191057.db2.gz RSHRGIBZYCGJEW-UHFFFAOYSA-N 0 1 258.325 0.470 20 30 CCEDMN COCCN1CC(CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001031622091 817191990 /nfs/dbraw/zinc/19/19/90/817191990.db2.gz UQXFBKWQSKLRPY-UHFFFAOYSA-N 0 1 262.313 0.194 20 30 CCEDMN CO[C@@H]1C[N@@H+](CC[C@@H](C)F)C[C@H]1NC(=O)C#CC1CC1 ZINC001212250629 817233760 /nfs/dbraw/zinc/23/37/60/817233760.db2.gz DFNIGRMGRRXUQA-MRVWCRGKSA-N 0 1 282.359 0.963 20 30 CCEDMN CO[C@@H]1CN(CC[C@@H](C)F)C[C@H]1NC(=O)C#CC1CC1 ZINC001212250629 817233766 /nfs/dbraw/zinc/23/37/66/817233766.db2.gz DFNIGRMGRRXUQA-MRVWCRGKSA-N 0 1 282.359 0.963 20 30 CCEDMN COC[C@@H](C)N1C[C@@H](NC(=O)C#CC2CC2)[C@H](OC)C1 ZINC001212251109 817236045 /nfs/dbraw/zinc/23/60/45/817236045.db2.gz PQNSNEUYLXQZDL-MRVWCRGKSA-N 0 1 280.368 0.250 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccc3n[nH]nc32)C1 ZINC001031692696 817247812 /nfs/dbraw/zinc/24/78/12/817247812.db2.gz JAGMXZZAXUJKTJ-UHFFFAOYSA-N 0 1 271.324 0.806 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@]23C[C@@H]2CCCC3)[C@@H](O)C1 ZINC001083762542 817263012 /nfs/dbraw/zinc/26/30/12/817263012.db2.gz LOMYGPDUCIPTLI-FQLMCAECSA-N 0 1 276.380 0.751 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1 ZINC001089723376 817328027 /nfs/dbraw/zinc/32/80/27/817328027.db2.gz JFQXKCZDIWOPOG-OLZOCXBDSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2CC3(CC3)C2)[C@@H](O)C1 ZINC001083766434 817346203 /nfs/dbraw/zinc/34/62/03/817346203.db2.gz DJPOWOPSMPVHJT-OLZOCXBDSA-N 0 1 264.369 0.914 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn3cccnc3n2)C1 ZINC001031811436 817387778 /nfs/dbraw/zinc/38/77/78/817387778.db2.gz LCYPBXULRFQXDC-UHFFFAOYSA-N 0 1 271.324 0.577 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2coc(OCC)n2)C1 ZINC001031814684 817390614 /nfs/dbraw/zinc/39/06/14/817390614.db2.gz QCLDLUJGZDFNPJ-UHFFFAOYSA-N 0 1 277.324 0.758 20 30 CCEDMN CCN(CCNc1ccc(C#N)nc1)C(=O)c1[nH]nnc1C ZINC001106919384 817440972 /nfs/dbraw/zinc/44/09/72/817440972.db2.gz HSLJHQSERYSVEC-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnn3cccnc23)C1 ZINC001031892752 817446855 /nfs/dbraw/zinc/44/68/55/817446855.db2.gz QYKDZOOYRGZURY-UHFFFAOYSA-N 0 1 285.351 0.967 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccnc2OC)C1 ZINC001031947605 817484650 /nfs/dbraw/zinc/48/46/50/817484650.db2.gz KMIHDQOLCLVOGU-UHFFFAOYSA-N 0 1 261.325 0.938 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cncc(OC)n2)C1 ZINC001032029032 817561103 /nfs/dbraw/zinc/56/11/03/817561103.db2.gz MCQAOESHNRTPIQ-UHFFFAOYSA-N 0 1 262.313 0.333 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cncc(OC)n2)C1 ZINC001032030292 817563631 /nfs/dbraw/zinc/56/36/31/817563631.db2.gz KTQVCMPBIZOKPN-UHFFFAOYSA-N 0 1 274.324 0.170 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC1CN(CCO)C1 ZINC001032043729 817576890 /nfs/dbraw/zinc/57/68/90/817576890.db2.gz LKFLRDBLBCKDHN-STQMWFEESA-N 0 1 268.357 0.008 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001124896965 817621317 /nfs/dbraw/zinc/62/13/17/817621317.db2.gz QNEUOROMFKNERV-MRVPVSSYSA-N 0 1 262.317 0.048 20 30 CCEDMN Cc1nnsc1CNCCNC(=O)[C@@H](C)C#N ZINC001124898243 817623559 /nfs/dbraw/zinc/62/35/59/817623559.db2.gz YWRMZKZDYUOHEW-ZETCQYMHSA-N 0 1 253.331 0.212 20 30 CCEDMN CCc1onc(C)c1CNCCNC(=O)[C@@H](C)C#N ZINC001124902529 817626359 /nfs/dbraw/zinc/62/63/59/817626359.db2.gz JUQYZQJOAQGTPZ-VIFPVBQESA-N 0 1 264.329 0.911 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccc(OC)nn2)C1 ZINC001032102744 817636142 /nfs/dbraw/zinc/63/61/42/817636142.db2.gz WCLKTGFZWRIACT-UHFFFAOYSA-N 0 1 276.340 0.723 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(C(=O)c2nc[nH]n2)C1 ZINC001089879161 817643905 /nfs/dbraw/zinc/64/39/05/817643905.db2.gz YFTKBWIDFAAFKV-CYDGBPFRSA-N 0 1 285.351 0.220 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001032179383 817677997 /nfs/dbraw/zinc/67/79/97/817677997.db2.gz RZKPKGRHTHTJPE-UHFFFAOYSA-N 0 1 271.324 0.806 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001032211017 817708882 /nfs/dbraw/zinc/70/88/82/817708882.db2.gz XDOONAJPPCPYDE-CYBMUJFWSA-N 0 1 265.357 0.231 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)c2ncn[nH]2)cn1 ZINC001107289475 817826311 /nfs/dbraw/zinc/82/63/11/817826311.db2.gz ZWEROHQBQKWQLG-UPHRSURJSA-N 0 1 283.295 0.469 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)c2nc[nH]n2)cn1 ZINC001107289475 817826319 /nfs/dbraw/zinc/82/63/19/817826319.db2.gz ZWEROHQBQKWQLG-UPHRSURJSA-N 0 1 283.295 0.469 20 30 CCEDMN Cc1nc(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@H](C)C#N)n[nH]1 ZINC001032318761 817852533 /nfs/dbraw/zinc/85/25/33/817852533.db2.gz XNWWGBBBHXJGCQ-MIMYLULJSA-N 0 1 274.328 0.058 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)Cc2cnc[nH]2)nn1 ZINC001107539116 817876431 /nfs/dbraw/zinc/87/64/31/817876431.db2.gz GGESGGPJXGDBOZ-UPHRSURJSA-N 0 1 297.322 0.398 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1nnn(C)c1C ZINC001032328660 817881502 /nfs/dbraw/zinc/88/15/02/817881502.db2.gz YIEFTGUPQZSCMJ-RYUDHWBXSA-N 0 1 275.356 0.598 20 30 CCEDMN C[C@H](CNc1ccncc1C#N)NC(=O)CN1CCCC1 ZINC001107768746 817997186 /nfs/dbraw/zinc/99/71/86/817997186.db2.gz FHBCHWPPFJEFLX-GFCCVEGCSA-N 0 1 287.367 0.388 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032364680 818009381 /nfs/dbraw/zinc/00/93/81/818009381.db2.gz PHWJXKBRFXWBGF-KBPBESRZSA-N 0 1 268.320 0.808 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cccn2nnnc12 ZINC001128194313 818113639 /nfs/dbraw/zinc/11/36/39/818113639.db2.gz GBFLXEOLDZSHGR-UHFFFAOYSA-N 0 1 280.719 0.196 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccncc2)[C@@H](O)C1 ZINC001090012659 818268813 /nfs/dbraw/zinc/26/88/13/818268813.db2.gz KGNZTVBKQOKCPR-OLZOCXBDSA-N 0 1 261.325 0.433 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1ccnn1C ZINC001128481831 818317240 /nfs/dbraw/zinc/31/72/40/818317240.db2.gz YPIMGZKYEJZHAN-UHFFFAOYSA-N 0 1 270.764 0.811 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2cc[nH]n2)C1 ZINC001032970739 818398519 /nfs/dbraw/zinc/39/85/19/818398519.db2.gz STPBSSKETRZFFX-CYBMUJFWSA-N 0 1 260.341 0.508 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CN(C)CCO2)C1 ZINC001032975321 818400874 /nfs/dbraw/zinc/40/08/74/818400874.db2.gz HKOMIUAOUVTBTI-QWHCGFSZSA-N 0 1 267.373 0.036 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C)no2)[C@@H](O)C1 ZINC001090058501 818412788 /nfs/dbraw/zinc/41/27/88/818412788.db2.gz SDTWSNWPDNVNRV-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001032991623 818414888 /nfs/dbraw/zinc/41/48/88/818414888.db2.gz GYKSUXUZBKXKMJ-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033003650 818417166 /nfs/dbraw/zinc/41/71/66/818417166.db2.gz FHGLWSJORMPVGR-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H]2COC(=O)C2)C1 ZINC001033010534 818423174 /nfs/dbraw/zinc/42/31/74/818423174.db2.gz NIMVOIILJLBJOB-OLZOCXBDSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C2CC(OCC)C2)C1 ZINC001033041819 818454444 /nfs/dbraw/zinc/45/44/44/818454444.db2.gz MFQJHVIDTSAQJA-MOKVOYLWSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCN1C(=O)COCC12CN(C[C@H]1CCCOC1)C2 ZINC001273034062 818499509 /nfs/dbraw/zinc/49/95/09/818499509.db2.gz RBTJJTQZDLNNFS-CYBMUJFWSA-N 0 1 280.368 0.512 20 30 CCEDMN CN1CCN(C(=N)Nc2ncc(C#N)cc2[N+](=O)[O-])CC1 ZINC001168735580 818537969 /nfs/dbraw/zinc/53/79/69/818537969.db2.gz TWGJLDTYMLUTJV-UHFFFAOYSA-N 0 1 289.299 0.456 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H](C)N2C(=O)CCC2=O)C1 ZINC001033121322 818547059 /nfs/dbraw/zinc/54/70/59/818547059.db2.gz DCPZZRCXRYSKNV-VXGBXAGGSA-N 0 1 293.367 0.243 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)N2C(=O)CCC2=O)C1 ZINC001033121322 818547069 /nfs/dbraw/zinc/54/70/69/818547069.db2.gz DCPZZRCXRYSKNV-VXGBXAGGSA-N 0 1 293.367 0.243 20 30 CCEDMN C#CCN1CC[C@]2(CCC[N@H+]2C[C@@H]2CCCO2)C1=O ZINC001273073671 818568966 /nfs/dbraw/zinc/56/89/66/818568966.db2.gz WQZIKTHMSAHNKF-DZGCQCFKSA-N 0 1 262.353 0.866 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033151261 818576626 /nfs/dbraw/zinc/57/66/26/818576626.db2.gz FDGHEDFMJNTTNN-NSHDSACASA-N 0 1 276.340 0.608 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033160226 818588522 /nfs/dbraw/zinc/58/85/22/818588522.db2.gz IIFZFDSTERBIQD-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C2CC(OC)C2)C1 ZINC001033171407 818600090 /nfs/dbraw/zinc/60/00/90/818600090.db2.gz LKSOHNLLCBZCGN-CPCZMJQVSA-N 0 1 250.342 0.577 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc(F)c2)[C@@H](O)C1 ZINC001090112687 818623335 /nfs/dbraw/zinc/62/33/35/818623335.db2.gz JSAKUQZCDNYSMK-RYUDHWBXSA-N 0 1 279.315 0.572 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cnccc2OC)C1 ZINC001033184880 818634781 /nfs/dbraw/zinc/63/47/81/818634781.db2.gz LGVYTVJHGWEFRE-CQSZACIVSA-N 0 1 287.363 0.799 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033202158 818647070 /nfs/dbraw/zinc/64/70/70/818647070.db2.gz FNSWMYWAQPFMRV-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC2(CCN(Cc3ccncn3)CC2)C1=O ZINC001273116058 818655461 /nfs/dbraw/zinc/65/54/61/818655461.db2.gz HECTYHZTHZGADN-UHFFFAOYSA-N 0 1 284.363 0.924 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cncn2C)C1 ZINC001033232678 818667404 /nfs/dbraw/zinc/66/74/04/818667404.db2.gz JZSKMZHUYSJOAA-LBPRGKRZSA-N 0 1 260.341 0.590 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001033294381 818697103 /nfs/dbraw/zinc/69/71/03/818697103.db2.gz KXMLWQXUDZHZIB-LJISPDSOSA-N 0 1 294.395 0.757 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001033298642 818697660 /nfs/dbraw/zinc/69/76/60/818697660.db2.gz KUAMVCYCJXOARG-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H](C)c2cncnc2)C1 ZINC001033356908 818735965 /nfs/dbraw/zinc/73/59/65/818735965.db2.gz RJZYNPOYESACAN-OCCSQVGLSA-N 0 1 272.352 0.746 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2cccc(=O)n2C)C1 ZINC001033412074 818761157 /nfs/dbraw/zinc/76/11/57/818761157.db2.gz VJRRUEZTQMZCRC-LBPRGKRZSA-N 0 1 273.336 0.165 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001033443134 818778815 /nfs/dbraw/zinc/77/88/15/818778815.db2.gz VEIQRSUSWAIZFG-QLFBSQMISA-N 0 1 286.379 0.690 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C=C(C)C)[C@@H](n2ccnn2)C1 ZINC001128668244 818787882 /nfs/dbraw/zinc/78/78/82/818787882.db2.gz JNHVIBRKKHACGB-KGLIPLIRSA-N 0 1 287.367 0.609 20 30 CCEDMN N#CC1(C(=O)N2CC(N3CCCC3)C2)CCOCC1 ZINC000700545116 818803979 /nfs/dbraw/zinc/80/39/79/818803979.db2.gz WJXDXCBKNSDSOA-UHFFFAOYSA-N 0 1 263.341 0.613 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001033572663 818835326 /nfs/dbraw/zinc/83/53/26/818835326.db2.gz XUBMYHJMBRSAFX-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001033584145 818845534 /nfs/dbraw/zinc/84/55/34/818845534.db2.gz QYLFZERTSCDPMD-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001033618537 818854388 /nfs/dbraw/zinc/85/43/88/818854388.db2.gz FYOCHBSDWWYPLS-CABCVRRESA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2ccc(=O)[nH]c2)C1 ZINC001033636227 818862351 /nfs/dbraw/zinc/86/23/51/818862351.db2.gz NPIUHXXCGGKBLS-LBPRGKRZSA-N 0 1 259.309 0.567 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2c(C)n[nH]c2C)C1 ZINC001033658108 818870455 /nfs/dbraw/zinc/87/04/55/818870455.db2.gz CWNBSWPXICSCQD-ZDUSSCGKSA-N 0 1 274.368 0.735 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2COCCO2)C1 ZINC001033763000 818920137 /nfs/dbraw/zinc/92/01/37/818920137.db2.gz CODOVXYAULQMHT-ZIAGYGMSSA-N 0 1 280.368 0.348 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033811186 818947134 /nfs/dbraw/zinc/94/71/34/818947134.db2.gz ZSKGIYXTRBKCBA-NSHDSACASA-N 0 1 279.340 0.701 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033849696 818965939 /nfs/dbraw/zinc/96/59/39/818965939.db2.gz BHTZLCOGSOOYNO-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033849701 818966698 /nfs/dbraw/zinc/96/66/98/818966698.db2.gz BHTZLCOGSOOYNO-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033867288 818974707 /nfs/dbraw/zinc/97/47/07/818974707.db2.gz QLANFHOJHRNJIJ-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncoc2CC)[C@H](O)C1 ZINC001090151647 818987839 /nfs/dbraw/zinc/98/78/39/818987839.db2.gz SOXYXQYQPAIRDU-GHMZBOCLSA-N 0 1 279.340 0.588 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2CCC2)[C@H](O)C1 ZINC001090166035 819005994 /nfs/dbraw/zinc/00/59/94/819005994.db2.gz NWRKVBIPJLWUIU-CHWSQXEVSA-N 0 1 252.358 0.914 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2ncn[n-]2)C1 ZINC001033992255 819024986 /nfs/dbraw/zinc/02/49/86/819024986.db2.gz HLSFODYSZIZXKN-LLVKDONJSA-N 0 1 261.329 0.364 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](n2ccnn2)C1 ZINC001128829412 819053462 /nfs/dbraw/zinc/05/34/62/819053462.db2.gz DBAHRVIIXUWRLZ-UPJWGTAASA-N 0 1 288.355 0.355 20 30 CCEDMN CC(C)N1C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](n2ccnn2)C1 ZINC001128834463 819069761 /nfs/dbraw/zinc/06/97/61/819069761.db2.gz OTBFVKXQQLKQFH-UTUOFQBUSA-N 0 1 276.344 0.188 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H](C)CC(N)=O)C1 ZINC001108117891 819087392 /nfs/dbraw/zinc/08/73/92/819087392.db2.gz OILPSWYBTDXUHN-DOMZBBRYSA-N 0 1 297.399 0.281 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncc(F)c2)[C@@H](O)C1 ZINC001090191180 819088132 /nfs/dbraw/zinc/08/81/32/819088132.db2.gz GKHMOXJSEOJDJY-OLZOCXBDSA-N 0 1 279.315 0.572 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC001034145901 819096429 /nfs/dbraw/zinc/09/64/29/819096429.db2.gz MHUOCNSRVWTHLE-ZDUSSCGKSA-N 0 1 289.383 0.731 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CN(C)CCO2)C1 ZINC001034148843 819098286 /nfs/dbraw/zinc/09/82/86/819098286.db2.gz PBYOTHVMTVJEQI-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC001034187373 819110272 /nfs/dbraw/zinc/11/02/72/819110272.db2.gz IYJPIDLJPPCDSB-CQSZACIVSA-N 0 1 288.395 0.957 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC001034200338 819123343 /nfs/dbraw/zinc/12/33/43/819123343.db2.gz NXCJDGCPZFPWSL-LBPRGKRZSA-N 0 1 275.356 0.260 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C#N)[nH]2)[C@H](O)C1 ZINC001090198730 819138758 /nfs/dbraw/zinc/13/87/58/819138758.db2.gz BAIHIDGSEQQVEN-WCQYABFASA-N 0 1 274.324 0.237 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001034257307 819139792 /nfs/dbraw/zinc/13/97/92/819139792.db2.gz IDYAWNUSVMBLMO-NSHDSACASA-N 0 1 274.324 0.400 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2csnc2C)[C@H](O)C1 ZINC001090204287 819153209 /nfs/dbraw/zinc/15/32/09/819153209.db2.gz OOBBWWPQMMFMLC-VXGBXAGGSA-N 0 1 281.381 0.803 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001034302416 819158971 /nfs/dbraw/zinc/15/89/71/819158971.db2.gz SRUMOROFCJFLKI-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2[nH]nnc2C)C1 ZINC001034341227 819167341 /nfs/dbraw/zinc/16/73/41/819167341.db2.gz UHVBEFIKCVVOIO-GFCCVEGCSA-N 0 1 275.356 0.721 20 30 CCEDMN C=C(C)C[N@@H+]1CCCC[C@H](NC(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001034340486 819172490 /nfs/dbraw/zinc/17/24/90/819172490.db2.gz ORQOYEFCKDSMSN-QWHCGFSZSA-N 0 1 294.399 0.557 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001034341550 819176527 /nfs/dbraw/zinc/17/65/27/819176527.db2.gz GJMYQCNLDNRGMI-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2[nH]nnc2C)C1 ZINC001034345247 819178277 /nfs/dbraw/zinc/17/82/77/819178277.db2.gz PFUSTONTHNHOGR-NSHDSACASA-N 0 1 261.329 0.331 20 30 CCEDMN CN1CC(C(=O)N[C@H]2CCCCN(CC#N)C2)=NC1=O ZINC001034375963 819186255 /nfs/dbraw/zinc/18/62/55/819186255.db2.gz HNDCMIZVJJHJME-JTQLQIEISA-N 0 1 277.328 0.234 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001034392148 819187010 /nfs/dbraw/zinc/18/70/10/819187010.db2.gz XFCNOAZXHFNHJQ-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001034379298 819187963 /nfs/dbraw/zinc/18/79/63/819187963.db2.gz AMSAFDRJKIWHME-CJNGLKHVSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001034443077 819205406 /nfs/dbraw/zinc/20/54/06/819205406.db2.gz WDCSWFSIVPPNDQ-KGLIPLIRSA-N 0 1 291.395 0.649 20 30 CCEDMN N#CCN1CCCC[C@@H](NC(=O)CN2CCCC2)C1 ZINC001034528936 819231484 /nfs/dbraw/zinc/23/14/84/819231484.db2.gz VDMNWEZFLHHHOK-CYBMUJFWSA-N 0 1 264.373 0.576 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnnc(C)c2)[C@H](O)C1 ZINC001090222280 819247221 /nfs/dbraw/zinc/24/72/21/819247221.db2.gz SDRABHYWZNYSHU-CHWSQXEVSA-N 0 1 276.340 0.136 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nccn2CC)[C@H](O)C1 ZINC001090231163 819282131 /nfs/dbraw/zinc/28/21/31/819282131.db2.gz NXPQBEVLEWDPIB-NWDGAFQWSA-N 0 1 278.356 0.254 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CC(C)(C)C2)[C@@H](O)C1 ZINC001090244009 819296021 /nfs/dbraw/zinc/29/60/21/819296021.db2.gz JOIGOYUPWTUTOE-KGLIPLIRSA-N 0 1 278.396 0.997 20 30 CCEDMN Cn1ccnc1CNC[C@H]1CCCN1C(=O)C#CC1CC1 ZINC001034935206 819365188 /nfs/dbraw/zinc/36/51/88/819365188.db2.gz IFVDISYXGDJKRO-CQSZACIVSA-N 0 1 286.379 0.914 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cn[nH]c1)CC2 ZINC001035658765 819595816 /nfs/dbraw/zinc/59/58/16/819595816.db2.gz PGPQJTQPRRSKMI-UHFFFAOYSA-N 0 1 258.325 0.581 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccc(=O)[nH]n1)CC2 ZINC001035642858 819598550 /nfs/dbraw/zinc/59/85/50/819598550.db2.gz VWBRQACCEPQOSI-UHFFFAOYSA-N 0 1 286.335 0.353 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)CN1CN=NC1=O)CC2 ZINC001035691176 819605017 /nfs/dbraw/zinc/60/50/17/819605017.db2.gz IFMKBFNTHRTSTI-UHFFFAOYSA-N 0 1 291.355 0.094 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)CCn1ccnn1)CC2 ZINC001035696139 819609300 /nfs/dbraw/zinc/60/93/00/819609300.db2.gz ZASXMLSYTRBTRV-UHFFFAOYSA-N 0 1 289.383 0.779 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](NCC#N)[C@@H](C)C2)[nH]1 ZINC001035959929 819662381 /nfs/dbraw/zinc/66/23/81/819662381.db2.gz LMSMCKAXDSVUKA-GXSJLCMTSA-N 0 1 261.329 0.682 20 30 CCEDMN C#CCCCS(=O)(=O)N(C)[C@@H]1CN2CCC1CC2 ZINC000710254806 819823469 /nfs/dbraw/zinc/82/34/69/819823469.db2.gz JHHAWOVVYUIDOO-CYBMUJFWSA-N 0 1 270.398 0.756 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)Cc3ccn[nH]3)CC[C@H]21 ZINC001036677289 819989900 /nfs/dbraw/zinc/98/99/00/819989900.db2.gz ZBXGHGLTKWPRGB-WCQYABFASA-N 0 1 273.340 0.399 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnn(CC)c2C)[C@@H](O)C1 ZINC001083882846 820057312 /nfs/dbraw/zinc/05/73/12/820057312.db2.gz SORQMKLHQOFCDB-KGLIPLIRSA-N 0 1 290.367 0.010 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCOC(C)C)[C@@H](O)C1 ZINC001099693264 820140377 /nfs/dbraw/zinc/14/03/77/820140377.db2.gz OPBAYGSTLWWSCG-KGLIPLIRSA-N 0 1 282.384 0.376 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn3c2CCC3)[C@H](O)C1 ZINC001090307570 820241422 /nfs/dbraw/zinc/24/14/22/820241422.db2.gz AZUNYYCONOJWEN-TZMCWYRMSA-N 0 1 290.367 0.180 20 30 CCEDMN N#Cc1nccnc1NC[C@@H]1CCCN1C(=O)c1ccn[nH]1 ZINC001064867202 820262744 /nfs/dbraw/zinc/26/27/44/820262744.db2.gz KOIYMZPWKAFTMY-JTQLQIEISA-N 0 1 297.322 0.788 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cnccc2C)[C@@H](O)C1 ZINC001090334790 820274204 /nfs/dbraw/zinc/27/42/04/820274204.db2.gz SMYOCBJNPVJEIU-CABCVRRESA-N 0 1 289.379 0.670 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2CCOCC2)C1 ZINC001079341075 820361961 /nfs/dbraw/zinc/36/19/61/820361961.db2.gz JZRPOIMDCMIVDO-DGCLKSJQSA-N 0 1 250.342 0.483 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCO2)C1 ZINC001079387447 820372721 /nfs/dbraw/zinc/37/27/21/820372721.db2.gz VIPXNPZKEQFDKN-UPJWGTAASA-N 0 1 250.342 0.625 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001079573592 820426350 /nfs/dbraw/zinc/42/63/50/820426350.db2.gz HSOOVOQBRDCHRT-JHJVBQTASA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001079588356 820431588 /nfs/dbraw/zinc/43/15/88/820431588.db2.gz FJNPOGGGBRGNAP-ZWNOBZJWSA-N 0 1 256.309 0.570 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001079610687 820432317 /nfs/dbraw/zinc/43/23/17/820432317.db2.gz DOJSBRHUQRCPKG-VXGBXAGGSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001079610687 820432323 /nfs/dbraw/zinc/43/23/23/820432323.db2.gz DOJSBRHUQRCPKG-VXGBXAGGSA-N 0 1 273.336 0.861 20 30 CCEDMN C=CCCN1C[C@@H](C)[C@H](NC(=O)C2CS(=O)(=O)C2)C1 ZINC001079761042 820464913 /nfs/dbraw/zinc/46/49/13/820464913.db2.gz ORGTZFGTFPQWTG-ZYHUDNBSSA-N 0 1 286.397 0.044 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cncc3ncn(C)c32)C1 ZINC001079886576 820485508 /nfs/dbraw/zinc/48/55/08/820485508.db2.gz TUYGOAARBLXTFZ-BXUZGUMPSA-N 0 1 297.362 0.652 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC001080086082 820513141 /nfs/dbraw/zinc/51/31/41/820513141.db2.gz SGGPAFTYTPABAX-IUODEOHRSA-N 0 1 288.395 0.960 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001080397561 820563968 /nfs/dbraw/zinc/56/39/68/820563968.db2.gz GAUJYWASHKVKOM-JMSVASOKSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnc(N(C)C)c2)C1 ZINC001080543834 820590349 /nfs/dbraw/zinc/59/03/49/820590349.db2.gz MJLAXSKHXHRDOA-TZMCWYRMSA-N 0 1 286.379 0.831 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CN(CC)CCO2)C1 ZINC001080977136 820662017 /nfs/dbraw/zinc/66/20/17/820662017.db2.gz XUQNWGNWJSEUHP-KFWWJZLASA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)n2cccn2)[C@H](OC)C1 ZINC001081389810 820751943 /nfs/dbraw/zinc/75/19/43/820751943.db2.gz DDTOTXWRFBQXHV-MGPQQGTHSA-N 0 1 290.367 0.283 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CCOC2)[C@H](OC)C1 ZINC001081420717 820762900 /nfs/dbraw/zinc/76/29/00/820762900.db2.gz DFGYQUYZJQLYRR-JHJVBQTASA-N 0 1 268.357 0.414 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2cc(C)n[nH]2)[C@H](OC)C1 ZINC001081519422 820781545 /nfs/dbraw/zinc/78/15/45/820781545.db2.gz CRQHFZODMQEKLI-ZIAGYGMSSA-N 0 1 290.367 0.099 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H]2CCC(=O)N2)[C@H](OC)C1 ZINC001082230402 820921617 /nfs/dbraw/zinc/92/16/17/820921617.db2.gz LQYIQMYDEKPIMK-JHJVBQTASA-N 0 1 295.383 0.047 20 30 CCEDMN CCn1ccnc1CN[C@@H]1CN(C(=O)[C@H](C)C#N)C[C@H]1C ZINC001082451541 820972895 /nfs/dbraw/zinc/97/28/95/820972895.db2.gz GLTYWZXLASUXNG-JHJVBQTASA-N 0 1 289.383 0.999 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@]2(C)CCNC2=O)C[C@H]1C ZINC001082737581 821027617 /nfs/dbraw/zinc/02/76/17/821027617.db2.gz FHMMRFKXMGTQNQ-UDZFHETQSA-N 0 1 299.802 0.702 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]nc2CCC)[C@H](O)C1 ZINC001090380704 821035500 /nfs/dbraw/zinc/03/55/00/821035500.db2.gz GCXVQPUIZMHYDF-ZIAGYGMSSA-N 0 1 292.383 0.713 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H](CC)OC)[C@H]2C1 ZINC001083021079 821109860 /nfs/dbraw/zinc/10/98/60/821109860.db2.gz DJVNHQIQDUJLHZ-BFHYXJOUSA-N 0 1 280.368 0.346 20 30 CCEDMN CCCN1C[C@H]2OCCN(C(=O)c3ccc(C#N)[nH]3)[C@H]2C1 ZINC001083049584 821125126 /nfs/dbraw/zinc/12/51/26/821125126.db2.gz YOGNHUUAKFESCD-UONOGXRCSA-N 0 1 288.351 0.822 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(C)n(C)c2C)[C@@H](O)C1 ZINC001084040452 821178151 /nfs/dbraw/zinc/17/81/51/821178151.db2.gz IIHOIHSBLATULD-CABCVRRESA-N 0 1 291.395 0.993 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cncnc2CC)[C@@H](O)C1 ZINC001084064256 821189065 /nfs/dbraw/zinc/18/90/65/821189065.db2.gz JAUBWCFFOAAEOY-KGLIPLIRSA-N 0 1 290.367 0.390 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3nccnc3N)[C@@H]2C1 ZINC001084240991 821237042 /nfs/dbraw/zinc/23/70/42/821237042.db2.gz YYZOPNFNHPTVPY-GHMZBOCLSA-N 0 1 273.340 0.391 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CC3OCCCO3)[C@@H]2C1 ZINC001084302421 821263819 /nfs/dbraw/zinc/26/38/19/821263819.db2.gz UKTHANPSGOXFCJ-CHWSQXEVSA-N 0 1 280.368 0.858 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCNC3=O)[C@@H]2C1 ZINC001084427966 821286550 /nfs/dbraw/zinc/28/65/50/821286550.db2.gz XRPGGPBIKHDWRZ-JHJVBQTASA-N 0 1 277.368 0.231 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCCNC3=O)[C@@H]2C1 ZINC001084427966 821286560 /nfs/dbraw/zinc/28/65/60/821286560.db2.gz XRPGGPBIKHDWRZ-JHJVBQTASA-N 0 1 277.368 0.231 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccn(C)c(=O)c3)[C@@H]2C1 ZINC001084460148 821292781 /nfs/dbraw/zinc/29/27/81/821292781.db2.gz KOAKKTLSJLEBJP-ZIAGYGMSSA-N 0 1 287.363 0.718 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3(C(N)=O)CC3)[C@@H]2C1 ZINC001084625062 821329488 /nfs/dbraw/zinc/32/94/88/821329488.db2.gz MSQPECRHCAJJLR-VXGBXAGGSA-N 0 1 277.368 0.361 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[N@H+]3CC[C@@H](C)C3)[C@@H]2C1 ZINC001084663090 821344505 /nfs/dbraw/zinc/34/45/05/821344505.db2.gz LSEYAPMXBDWWKI-BZUAXINKSA-N 0 1 289.423 0.884 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCC(=O)N3)[C@@H]2C1 ZINC001084717229 821357643 /nfs/dbraw/zinc/35/76/43/821357643.db2.gz OWHNZQRILGLJET-FRRDWIJNSA-N 0 1 277.368 0.374 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCCN3C(C)=O)[C@@H]2C1 ZINC001084785366 821390945 /nfs/dbraw/zinc/39/09/45/821390945.db2.gz DUIQPCALEVNUJX-QLFBSQMISA-N 0 1 291.395 0.716 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3ccn(C)n3)[C@@H]2C1 ZINC001084830442 821404818 /nfs/dbraw/zinc/40/48/18/821404818.db2.gz VSDOXEYZMNYZDO-TZMCWYRMSA-N 0 1 272.352 0.590 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCOCC2CC2)[C@@H](O)C1 ZINC001099836407 821408040 /nfs/dbraw/zinc/40/80/40/821408040.db2.gz ZKZGSUQMKDYRLW-GJZGRUSLSA-N 0 1 294.395 0.378 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2csc(=O)[nH]2)CC1 ZINC001120244369 821517808 /nfs/dbraw/zinc/51/78/08/821517808.db2.gz QTZCSZMNGZRPFB-UHFFFAOYSA-N 0 1 266.326 0.566 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@]1(c2ccccc2)CNC(=O)C1 ZINC000823674788 821530193 /nfs/dbraw/zinc/53/01/93/821530193.db2.gz AVPCCMQGOSKNLV-KRWDZBQOSA-N 0 1 299.374 0.126 20 30 CCEDMN N#CCCN(CCC#N)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000826709990 821669637 /nfs/dbraw/zinc/66/96/37/821669637.db2.gz YRCBCOZNKSKGEU-CYBMUJFWSA-N 0 1 276.340 0.363 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccnn1C ZINC001085513763 821760714 /nfs/dbraw/zinc/76/07/14/821760714.db2.gz ZLYLMYNZDLGCCA-LBPRGKRZSA-N 0 1 260.341 0.590 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C)n1 ZINC001085520702 821765625 /nfs/dbraw/zinc/76/56/25/821765625.db2.gz VUCVPOKEAUZVCL-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(CCCCCO)CC2)n1 ZINC000828747925 821822888 /nfs/dbraw/zinc/82/28/88/821822888.db2.gz FWBSJGVQTMZODS-UHFFFAOYSA-N 0 1 289.383 0.941 20 30 CCEDMN C=CCC1(C(=O)N[C@H]2CCN(CCO)C[C@H]2O)CCC1 ZINC001099988491 821869965 /nfs/dbraw/zinc/86/99/65/821869965.db2.gz IXYLIXMRKHXJLU-QWHCGFSZSA-N 0 1 282.384 0.277 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccn(C)c(=O)c1 ZINC001085678017 821898141 /nfs/dbraw/zinc/89/81/41/821898141.db2.gz ZEJRGYAJDMBGDV-AWEZNQCLSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccn(C)c(=O)c1 ZINC001085678017 821898152 /nfs/dbraw/zinc/89/81/52/821898152.db2.gz ZEJRGYAJDMBGDV-AWEZNQCLSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccnc2n[nH]nc21 ZINC001085715885 821916685 /nfs/dbraw/zinc/91/66/85/821916685.db2.gz XEBAGWANYRVIOM-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1c(C)cnn1C ZINC001085768631 821941947 /nfs/dbraw/zinc/94/19/47/821941947.db2.gz OVFPEESSQXMYET-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cn(C)c(=O)[nH]1 ZINC001085797968 821954889 /nfs/dbraw/zinc/95/48/89/821954889.db2.gz FEBFHTCLJRTVEQ-LLVKDONJSA-N 0 1 278.356 0.848 20 30 CCEDMN C#CCN1CCO[C@@](C)(CNC(=O)CN2CCC[C@@H]2C)C1 ZINC001108213110 821982857 /nfs/dbraw/zinc/98/28/57/821982857.db2.gz LKQWEDZKEBYBGV-HOCLYGCPSA-N 0 1 293.411 0.311 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)c1ncnc2[nH]cnc21 ZINC001100005043 822003420 /nfs/dbraw/zinc/00/34/20/822003420.db2.gz OQNVIXRNYPARBD-QMMMGPOBSA-N 0 1 273.300 0.065 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1[nH]cnc1C ZINC001086004428 822063156 /nfs/dbraw/zinc/06/31/56/822063156.db2.gz FNKUTNWOZXLHOJ-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C(C)(C)CCOC)[C@@H](O)C1 ZINC001100058079 822187224 /nfs/dbraw/zinc/18/72/24/822187224.db2.gz MQIOAQSXBOZXDJ-OLZOCXBDSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCCC(=O)NCCCNCc1ncnn1CCF ZINC001155579790 822194520 /nfs/dbraw/zinc/19/45/20/822194520.db2.gz MJGPHSUTYNUBNI-UHFFFAOYSA-N 0 1 283.351 0.810 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CCOC)CCC2)[C@H](O)C1 ZINC001100090655 822269410 /nfs/dbraw/zinc/26/94/10/822269410.db2.gz YNXCGOMFRGWWOX-UONOGXRCSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCCN1CCO[C@](C)(CNC(=O)c2cnon2)C1 ZINC001108290496 822319579 /nfs/dbraw/zinc/31/95/79/822319579.db2.gz AERPSHHOKBLWJO-CQSZACIVSA-N 0 1 294.355 0.857 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2ncccn2)C1 ZINC001108297253 822333291 /nfs/dbraw/zinc/33/32/91/822333291.db2.gz BDIQETYRJOXCID-HNNXBMFYSA-N 0 1 290.367 0.873 20 30 CCEDMN CC(C)OC[C@@H](C(=O)[O-])[N@@H+](C)CCO[C@@H](C)C#N ZINC001591712819 975612087 /nfs/dbraw/zinc/61/20/87/975612087.db2.gz YNNSTORPXOQEBB-QWRGUYRKSA-N 0 1 258.318 0.725 20 30 CCEDMN C[C@H](C#N)C(=O)NC1[C@H]2CN(C/C=C/Cl)C[C@@H]12 ZINC001114070137 837429448 /nfs/dbraw/zinc/42/94/48/837429448.db2.gz UBOWEWMIWYWYRS-RCTOAWNDSA-N 0 1 253.733 0.945 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccncc1C ZINC001114225865 837479061 /nfs/dbraw/zinc/47/90/61/837479061.db2.gz BZHICUBSUXHFRJ-ZSHCYNCHSA-N 0 1 299.374 0.700 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC1[C@H]2CN(C/C=C/Cl)C[C@@H]12 ZINC001114263170 837491369 /nfs/dbraw/zinc/49/13/69/837491369.db2.gz PRGVQZYJJBQBGK-GEVKCDFCSA-N 0 1 282.771 0.824 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc2c(cn1)OCCO2 ZINC001129671641 837541379 /nfs/dbraw/zinc/54/13/79/837541379.db2.gz WIPPDWCZXORERS-UHFFFAOYSA-N 0 1 297.742 0.925 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@]1(C)CCNC(=O)C1 ZINC001130201135 837732442 /nfs/dbraw/zinc/73/24/42/837732442.db2.gz WEJPGTGZVPUVRW-GFCCVEGCSA-N 0 1 273.764 0.361 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)CC[C@@H]1CNCC#N ZINC001183896181 844106795 /nfs/dbraw/zinc/10/67/95/844106795.db2.gz CLTKDANBWQWQTF-WDEREUQCSA-N 0 1 261.329 0.621 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)OC)C2 ZINC001110342023 844119227 /nfs/dbraw/zinc/11/92/27/844119227.db2.gz NOSAVJSDCJZBHL-SYQHCUMBSA-N 0 1 282.384 0.945 20 30 CCEDMN Cc1cnc(CN)n1-c1cc2nc[nH]c2c(C#N)n1 ZINC001169020911 836115736 /nfs/dbraw/zinc/11/57/36/836115736.db2.gz UHBGTLIKFORFKF-UHFFFAOYSA-N 0 1 253.269 0.782 20 30 CCEDMN CCOC(=O)[C@H]1CC[C@@H](NCC2(C#N)CC2)CO1 ZINC001169345695 836214265 /nfs/dbraw/zinc/21/42/65/836214265.db2.gz YPHQZTCQFIDLGL-GHMZBOCLSA-N 0 1 252.314 0.990 20 30 CCEDMN C=CCCC(=O)N[C@H]1CC(=O)N(C2CN(CC3CC3)C2)C1 ZINC001108520184 836403736 /nfs/dbraw/zinc/40/37/36/836403736.db2.gz PEEXJVWMKLJQEG-ZDUSSCGKSA-N 0 1 291.395 0.764 20 30 CCEDMN Cc1cc(NC[C@H](C)NC(=O)Cc2cnc[nH]2)c(C#N)cn1 ZINC001108718844 836515103 /nfs/dbraw/zinc/51/51/03/836515103.db2.gz XFCRPQMNZYBLKX-NSHDSACASA-N 0 1 298.350 0.566 20 30 CCEDMN C[C@@H](CNc1cncc(C#N)n1)NC(=O)Cc1cnc[nH]1 ZINC001108718630 836515645 /nfs/dbraw/zinc/51/56/45/836515645.db2.gz MKWJDTIRKUECJK-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)CCC)C2 ZINC001109015748 836597847 /nfs/dbraw/zinc/59/78/47/836597847.db2.gz GKDQKCZXZNNDRV-RDBSUJKOSA-N 0 1 291.395 0.648 20 30 CCEDMN COCCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C#N)C2 ZINC001109079949 836612684 /nfs/dbraw/zinc/61/26/84/836612684.db2.gz GQOXTDKDZMKJGB-MQYQWHSLSA-N 0 1 295.383 0.531 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccncn1)C2 ZINC001109355169 836659348 /nfs/dbraw/zinc/65/93/48/836659348.db2.gz ODYQKIYNVSGKPA-ILXRZTDVSA-N 0 1 284.363 0.764 20 30 CCEDMN CN(CCCNc1cncc(C#N)n1)C(=O)c1ccn[nH]1 ZINC001109370874 836665123 /nfs/dbraw/zinc/66/51/23/836665123.db2.gz IFDYLPRFSCJZOB-UHFFFAOYSA-N 0 1 285.311 0.646 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(CC)CCNC1=O)C2 ZINC001109420561 836677029 /nfs/dbraw/zinc/67/70/29/836677029.db2.gz HVCSRWLPYKHTAX-DVZHBHJUSA-N 0 1 291.395 0.810 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(=O)NCC(F)F)C2 ZINC001109474958 836688145 /nfs/dbraw/zinc/68/81/45/836688145.db2.gz BYXCNTVGNVFNSK-VWYCJHECSA-N 0 1 299.321 0.113 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCN1CCOCC1)C2 ZINC001109846304 836736601 /nfs/dbraw/zinc/73/66/01/836736601.db2.gz DPYYSVLJZWEIMC-ILXRZTDVSA-N 0 1 291.395 0.063 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnon1)C2 ZINC001109865423 836740841 /nfs/dbraw/zinc/74/08/41/836740841.db2.gz OUBVAKUYVSRMNP-MDZLAQPJSA-N 0 1 292.339 0.607 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@@H](O)C1 ZINC001090436669 836787517 /nfs/dbraw/zinc/78/75/17/836787517.db2.gz BLUYPMXHIGTEEF-YPMHNXCESA-N 0 1 279.315 0.342 20 30 CCEDMN CCCC#CC(=O)NC1(C(=O)OCC)CCN(C)CC1 ZINC001184276614 844203807 /nfs/dbraw/zinc/20/38/07/844203807.db2.gz RQASLLUCOSYJMG-UHFFFAOYSA-N 0 1 280.368 0.934 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1ccon1 ZINC001157188817 836854980 /nfs/dbraw/zinc/85/49/80/836854980.db2.gz BHHSYMHOZKYWHX-CYBMUJFWSA-N 0 1 267.329 0.598 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1ncnn1CC ZINC001157189483 836856123 /nfs/dbraw/zinc/85/61/23/836856123.db2.gz MBZJFAAFFLWINL-AWEZNQCLSA-N 0 1 295.387 0.221 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)C2CN(C(C)=O)C2)CC1 ZINC001112795802 836915853 /nfs/dbraw/zinc/91/58/53/836915853.db2.gz LOTMXNDQTMBPHN-ZDUSSCGKSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)NC(N)=O)CC1 ZINC001112798241 836916947 /nfs/dbraw/zinc/91/69/47/836916947.db2.gz ULIKLUHUMNQUGS-NSHDSACASA-N 0 1 268.361 0.154 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(C[C@H](CC)OC)CC1 ZINC001112814962 836923781 /nfs/dbraw/zinc/92/37/81/836923781.db2.gz ZOBHCSVFYOMDRJ-DZGCQCFKSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CCCCCOC)CC1 ZINC001112844988 836938397 /nfs/dbraw/zinc/93/83/97/836938397.db2.gz PJAYZINNTOXGTN-UHFFFAOYSA-N 0 1 296.411 0.987 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC[C@H]2CCOC2)CC1 ZINC001112843885 836940324 /nfs/dbraw/zinc/94/03/24/836940324.db2.gz LDCUVZAPUAKNSU-HNNXBMFYSA-N 0 1 294.395 0.597 20 30 CCEDMN C=CCCCCCN1CCN(C(=O)Cn2ncnn2)CC1 ZINC001112857814 836944297 /nfs/dbraw/zinc/94/42/97/836944297.db2.gz ZWUMASGVITXVOU-UHFFFAOYSA-N 0 1 292.387 0.564 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CC(=O)N(CC)C2)CC1 ZINC001112911483 836960454 /nfs/dbraw/zinc/96/04/54/836960454.db2.gz ULQJFEXRXLAJIU-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CN(C)C(=O)COC)CC1 ZINC001112917652 836966524 /nfs/dbraw/zinc/96/65/24/836966524.db2.gz PBESZWFTNQGYGO-UHFFFAOYSA-N 0 1 297.399 0.202 20 30 CCEDMN Cc1cc(N[C@H](C)[C@H](C)NC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001113116978 837024787 /nfs/dbraw/zinc/02/47/87/837024787.db2.gz QBMWFFZAZQFCAO-ZJUUUORDSA-N 0 1 299.338 0.421 20 30 CCEDMN Cc1cc(N[C@H](C)[C@H](C)NC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001113116978 837024796 /nfs/dbraw/zinc/02/47/96/837024796.db2.gz QBMWFFZAZQFCAO-ZJUUUORDSA-N 0 1 299.338 0.421 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CN(C)C(=O)N2)CC1 ZINC001113190247 837050560 /nfs/dbraw/zinc/05/05/60/837050560.db2.gz DXQHFJVGTAGEMR-GFCCVEGCSA-N 0 1 280.372 0.120 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2CNC(=O)N2)CC1 ZINC001113275786 837076381 /nfs/dbraw/zinc/07/63/81/837076381.db2.gz ZGLDPQPIMDMJLP-NEPJUHHUSA-N 0 1 280.372 0.167 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001113357177 837098107 /nfs/dbraw/zinc/09/81/07/837098107.db2.gz QIGILEZNORLBDL-JGVFFNPUSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC001113351225 837102719 /nfs/dbraw/zinc/10/27/19/837102719.db2.gz RPLUWHDPYZPDMT-UHFFFAOYSA-N 0 1 290.367 0.838 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2csnn2)[C@H](O)C1 ZINC001090454291 837169874 /nfs/dbraw/zinc/16/98/74/837169874.db2.gz ZDENVCTUECCCSC-GXSJLCMTSA-N 0 1 282.369 0.279 20 30 CCEDMN C=CCCN1CCN(C(=O)CNC(=O)[C@H](C)CC)CC1 ZINC001113641965 837185501 /nfs/dbraw/zinc/18/55/01/837185501.db2.gz WCCLTHPZYBEGFW-CYBMUJFWSA-N 0 1 281.400 0.869 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC001113713559 837203489 /nfs/dbraw/zinc/20/34/89/837203489.db2.gz SQLFRDYAQOLPJY-KGLIPLIRSA-N 0 1 282.384 0.758 20 30 CCEDMN C[C@@H](CNC(=O)Cc1nnc[nH]1)N(C)c1ncccc1C#N ZINC001113713880 837204748 /nfs/dbraw/zinc/20/47/48/837204748.db2.gz ARKYVWNDBDRXHD-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001113929838 837373051 /nfs/dbraw/zinc/37/30/51/837373051.db2.gz SGHOMJWIOGSUON-IGQOVBAYSA-N 0 1 280.368 0.108 20 30 CCEDMN C=CCN1CCOC[C@H]1c1nc(CNC(=O)CCC)n[nH]1 ZINC001130822825 837933729 /nfs/dbraw/zinc/93/37/29/837933729.db2.gz MXCGKGILXPPQHE-NSHDSACASA-N 0 1 293.371 0.780 20 30 CCEDMN C=CCN1CCOC[C@H]1c1nnc(CNC(=O)CCC)[nH]1 ZINC001130822825 837933734 /nfs/dbraw/zinc/93/37/34/837933734.db2.gz MXCGKGILXPPQHE-NSHDSACASA-N 0 1 293.371 0.780 20 30 CCEDMN COC(=O)c1cc2cc(N[C@@H](C#N)C(N)=O)cnc2[nH]1 ZINC001170535803 837982024 /nfs/dbraw/zinc/98/20/24/837982024.db2.gz JXRBAWFHSLHLDC-VIFPVBQESA-N 0 1 273.252 0.139 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@H]1CC[C@@H](NCC#N)C1 ZINC001086659006 838005019 /nfs/dbraw/zinc/00/50/19/838005019.db2.gz HKMVIBWKZNWTDN-XQQFMLRXSA-N 0 1 264.373 0.479 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](OC)c1cnn(C)c1 ZINC001131874297 838252813 /nfs/dbraw/zinc/25/28/13/838252813.db2.gz UPBSHTGOFFCOFU-NSHDSACASA-N 0 1 286.763 0.566 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)CCCn2ccnn2)CC[C@@H]1C ZINC001132097486 838316199 /nfs/dbraw/zinc/31/61/99/838316199.db2.gz KFNMLIHAPSDOHN-KBPBESRZSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCn2ccnn2)CC[C@@H]1C ZINC001132097486 838316203 /nfs/dbraw/zinc/31/62/03/838316203.db2.gz KFNMLIHAPSDOHN-KBPBESRZSA-N 0 1 289.383 0.661 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001132141080 838328544 /nfs/dbraw/zinc/32/85/44/838328544.db2.gz CQQSXKMFXRSEFR-JTQLQIEISA-N 0 1 289.807 0.996 20 30 CCEDMN C=CC[N@@H+]1C[C@H](NC(=O)C2(C(N)=O)CC2)CC[C@@H]1C ZINC001132253151 838344149 /nfs/dbraw/zinc/34/41/49/838344149.db2.gz OUYPWNXUBIRGEW-WDEREUQCSA-N 0 1 265.357 0.407 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C2(C(N)=O)CC2)CC[C@@H]1C ZINC001132253151 838344154 /nfs/dbraw/zinc/34/41/54/838344154.db2.gz OUYPWNXUBIRGEW-WDEREUQCSA-N 0 1 265.357 0.407 20 30 CCEDMN CCNC(=O)C[N@@H+](C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001273495684 844323430 /nfs/dbraw/zinc/32/34/30/844323430.db2.gz HCEMGKMFLVBOSL-NSHDSACASA-N 0 1 268.361 0.062 20 30 CCEDMN CCNC(=O)CN(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001273495684 844323441 /nfs/dbraw/zinc/32/34/41/844323441.db2.gz HCEMGKMFLVBOSL-NSHDSACASA-N 0 1 268.361 0.062 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CNC(=O)C(C)(C)C)CC[C@H]1C ZINC001132481707 838413388 /nfs/dbraw/zinc/41/33/88/838413388.db2.gz JSFIKBOVLZVEFJ-CHWSQXEVSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C1CC(C)(C(=O)NCCNCc2n[nH]c(C)n2)C1 ZINC001133564839 838668870 /nfs/dbraw/zinc/66/88/70/838668870.db2.gz ZVDOCKZYEYHDTH-UHFFFAOYSA-N 0 1 263.345 0.675 20 30 CCEDMN C#Cc1cncc(C(=O)NCCNCc2ccccn2)c1 ZINC001133589166 838673878 /nfs/dbraw/zinc/67/38/78/838673878.db2.gz CXYZFHYLIHKKPQ-UHFFFAOYSA-N 0 1 280.331 0.978 20 30 CCEDMN C#Cc1cncc(C(=O)NCCNCc2cnc(C)o2)c1 ZINC001133597365 838678275 /nfs/dbraw/zinc/67/82/75/838678275.db2.gz PDLNXIIKFQBPLH-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN CSc1ncc(O)c(C(=O)N2CCO[C@H](C#N)C2)n1 ZINC001185176785 844355614 /nfs/dbraw/zinc/35/56/14/844355614.db2.gz NXGMTVZDHNHQKB-SSDOTTSWSA-N 0 1 280.309 0.269 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)[C@@H](O)C1 ZINC001090509685 838716028 /nfs/dbraw/zinc/71/60/28/838716028.db2.gz HACMTOKXASMEKA-QRTUWBSPSA-N 0 1 276.380 0.607 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001133905972 838770779 /nfs/dbraw/zinc/77/07/79/838770779.db2.gz LMEZIMFOWFWFML-VHSXEESVSA-N 0 1 273.764 0.169 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@H](C)NCc1cnn(C)n1 ZINC001133909047 838773821 /nfs/dbraw/zinc/77/38/21/838773821.db2.gz GKQTUXUWJZTOMI-NWDGAFQWSA-N 0 1 295.387 0.391 20 30 CCEDMN C#CC[NH2+][C@H](C)C[C@H](C)NC(=O)c1ncccc1[O-] ZINC001134188810 838901110 /nfs/dbraw/zinc/90/11/10/838901110.db2.gz DZPGFYFOGRLRRX-MNOVXSKESA-N 0 1 261.325 0.907 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)C[C@@H](C)NCc1nnnn1C ZINC001134341936 838946974 /nfs/dbraw/zinc/94/69/74/838946974.db2.gz WRJOBIWRUQCRND-NEPJUHHUSA-N 0 1 292.387 0.242 20 30 CCEDMN CC(C)C[C@@H](C#N)NC(=O)[C@H]1CN(C)CCN1C ZINC001185267104 844388845 /nfs/dbraw/zinc/38/88/45/844388845.db2.gz MVWIAKJHWRTLJK-NWDGAFQWSA-N 0 1 252.362 0.287 20 30 CCEDMN O=C(C[C@@H]1CCC(=O)N1)NCCNCC#Cc1ccccc1 ZINC001135215537 839183260 /nfs/dbraw/zinc/18/32/60/839183260.db2.gz BCWKMSJNPDGLJM-HNNXBMFYSA-N 0 1 299.374 0.413 20 30 CCEDMN N#C[C@@H](Nc1nc[nH]c2nc(=S)sc1-2)C(N)=O ZINC001159474263 839254416 /nfs/dbraw/zinc/25/44/16/839254416.db2.gz CXTKEMFLCYTYDL-GSVOUGTGSA-N 0 1 266.311 0.164 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)[C@@H]2C[C@@H]2C#N)C1 ZINC001135722744 839371762 /nfs/dbraw/zinc/37/17/62/839371762.db2.gz RIKYETGBSXSJLR-JHJVBQTASA-N 0 1 265.357 0.619 20 30 CCEDMN CC#CC[NH2+][C@H](C)C[C@@H](C)NC(=O)Cc1nnc[nH]1 ZINC001135895689 839462910 /nfs/dbraw/zinc/46/29/10/839462910.db2.gz MEVKYVARIBEYJC-GHMZBOCLSA-N 0 1 263.345 0.243 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCN1CCN(C)CC1 ZINC001136076289 839520100 /nfs/dbraw/zinc/52/01/00/839520100.db2.gz DIUMRYUXIXCGMO-KBPBESRZSA-N 0 1 281.400 0.331 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)c1nccn1CC ZINC001273507701 844445330 /nfs/dbraw/zinc/44/53/30/844445330.db2.gz JUPRJSZAYMQADU-UHFFFAOYSA-N 0 1 262.357 0.930 20 30 CCEDMN N#Cc1cccnc1NCCNC(=O)c1cnncc1O ZINC001136423986 839616473 /nfs/dbraw/zinc/61/64/73/839616473.db2.gz FPKMOHMGCNTULL-UHFFFAOYSA-N 0 1 284.279 0.291 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2occc2C)[C@@H](O)C1 ZINC001090547345 839629726 /nfs/dbraw/zinc/62/97/26/839629726.db2.gz ARAKSMVHPJKZEA-STQMWFEESA-N 0 1 278.352 0.868 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C3CC3)n[nH]2)[C@H](O)C1 ZINC001090583461 839654694 /nfs/dbraw/zinc/65/46/94/839654694.db2.gz AXRBAYOVRTUYBC-SMDDNHRTSA-N 0 1 290.367 0.638 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C3CC3)no2)[C@H](O)C1 ZINC001090610911 839671987 /nfs/dbraw/zinc/67/19/87/839671987.db2.gz WQPKRUWVDCHLFV-WCQYABFASA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(CC)nc2C)[C@H](O)C1 ZINC001090610477 839672560 /nfs/dbraw/zinc/67/25/60/839672560.db2.gz SETOPMOURGAVFI-ZIAGYGMSSA-N 0 1 292.383 0.562 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cscn2)[C@H](O)C1 ZINC001090628024 839687520 /nfs/dbraw/zinc/68/75/20/839687520.db2.gz JELIVRUNDWULOF-QWHCGFSZSA-N 0 1 293.392 0.260 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccc[nH]2)[C@@H](O)C1 ZINC001090823190 839839071 /nfs/dbraw/zinc/83/90/71/839839071.db2.gz HZXQKJRTVVEMMQ-OLZOCXBDSA-N 0 1 263.341 0.295 20 30 CCEDMN C#CC(=O)N1CC[C@H]2[C@H]1CCN2Cc1cccnc1 ZINC001143907438 839889472 /nfs/dbraw/zinc/88/94/72/839889472.db2.gz UDDRNFPRPUUOME-UONOGXRCSA-N 0 1 255.321 0.890 20 30 CCEDMN CC(=O)N[C@H](CC(C)C)C(=O)Nc1nc[nH]c1C#N ZINC001144688847 840118534 /nfs/dbraw/zinc/11/85/34/840118534.db2.gz WCJIDHLHPBCWSL-SECBINFHSA-N 0 1 263.301 0.771 20 30 CCEDMN N#CCNC1CC(CNC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001091204472 840135409 /nfs/dbraw/zinc/13/54/09/840135409.db2.gz GHTLZINNBDTWMI-ILDUYXDCSA-N 0 1 288.355 0.233 20 30 CCEDMN C[C@@]12CN(CC#N)C[C@@H]1CN(C(=O)Cc1ccn[nH]1)C2 ZINC001091559559 840300099 /nfs/dbraw/zinc/30/00/99/840300099.db2.gz QFHPVAJDFIOGCP-RISCZKNCSA-N 0 1 273.340 0.256 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@H]3C[C@H]3C)C2)C1 ZINC001146934632 840418563 /nfs/dbraw/zinc/41/85/63/840418563.db2.gz WVOMFQPPGVXCFX-KGLIPLIRSA-N 0 1 276.380 0.827 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001146993596 840436982 /nfs/dbraw/zinc/43/69/82/840436982.db2.gz DBCNZEKXBUEPOR-ZDUSSCGKSA-N 0 1 287.791 0.703 20 30 CCEDMN CN1CCC[C@H](C(=O)N=C(N)c2ccc(C(N)=O)cc2)C1 ZINC001186364077 844543190 /nfs/dbraw/zinc/54/31/90/844543190.db2.gz IBEGKYCWXDXMCJ-LBPRGKRZSA-N 0 1 288.351 0.359 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCOC(C)C)C2)C1 ZINC001147057210 840482302 /nfs/dbraw/zinc/48/23/02/840482302.db2.gz YXKDJTZUONOULX-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN O=C(c1cnon1)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001186471629 844552110 /nfs/dbraw/zinc/55/21/10/844552110.db2.gz BFKGIQNIFDRMGU-CQSZACIVSA-N 0 1 296.330 0.925 20 30 CCEDMN C=CCCC[NH2+]CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001147697504 840665186 /nfs/dbraw/zinc/66/51/86/840665186.db2.gz CLRUFSJBSGHVSK-UHFFFAOYSA-N 0 1 296.327 0.182 20 30 CCEDMN C=C[C@@H](C(=O)NCc1n[nH]c(CO)n1)c1ccccc1 ZINC001148340522 840786121 /nfs/dbraw/zinc/78/61/21/840786121.db2.gz UPHQQJTYOQQRFB-LLVKDONJSA-N 0 1 272.308 0.883 20 30 CCEDMN C=C[C@@H](C(=O)NCc1nnc(CO)[nH]1)c1ccccc1 ZINC001148340522 840786127 /nfs/dbraw/zinc/78/61/27/840786127.db2.gz UPHQQJTYOQQRFB-LLVKDONJSA-N 0 1 272.308 0.883 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)OCCOC)C2)C1 ZINC001148378334 840796062 /nfs/dbraw/zinc/79/60/62/840796062.db2.gz KAAVFSKXTBIZQA-CYBMUJFWSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)OCC=C)C2)C1 ZINC001148874245 840894056 /nfs/dbraw/zinc/89/40/56/840894056.db2.gz OEAHXBREZASZDI-CYBMUJFWSA-N 0 1 262.353 0.745 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCN(c2ncnc3[nH]cnc32)[C@@H]1C ZINC001091944469 840978341 /nfs/dbraw/zinc/97/83/41/840978341.db2.gz BKUZGVGQFSKZJI-BBBLOLIVSA-N 0 1 299.338 0.596 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CN(C(=O)c3cnon3)C[C@]2(C)C1 ZINC001092117290 840990103 /nfs/dbraw/zinc/99/01/03/840990103.db2.gz QJLZEZVJGIATCQ-RISCZKNCSA-N 0 1 274.324 0.487 20 30 CCEDMN C=CC[N@H+]1C[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])[C@H](C)C1 ZINC001092548579 841040272 /nfs/dbraw/zinc/04/02/72/841040272.db2.gz NSYYVIQDYAMCBS-MWLCHTKSSA-N 0 1 278.356 0.907 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](OC)C2CC2)C1 ZINC001149646714 841048959 /nfs/dbraw/zinc/04/89/59/841048959.db2.gz PSSRWBOREIJKHD-UONOGXRCSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCC(F)F)[C@@H](O)C1 ZINC001099918991 841070743 /nfs/dbraw/zinc/07/07/43/841070743.db2.gz VELFKWNVKORRIJ-UWVGGRQHSA-N 0 1 262.300 0.769 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)c3c[nH]c(C)cc3=O)CC[C@H]21 ZINC001036861181 841413215 /nfs/dbraw/zinc/41/32/15/841413215.db2.gz USAXGBWICDHCNQ-UKRRQHHQSA-N 0 1 299.374 0.853 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](CNCc2cnsn2)[C@H](C)C1 ZINC001093678879 841425388 /nfs/dbraw/zinc/42/53/88/841425388.db2.gz NKJCHZNVOPQPHY-GMTAPVOTSA-N 0 1 293.396 0.882 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCCNc1ccncc1C#N ZINC001094045266 841518131 /nfs/dbraw/zinc/51/81/31/841518131.db2.gz RCLLSUAFXCZXOU-AWEZNQCLSA-N 0 1 287.367 0.388 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)c2[nH]ncc2F)cn1 ZINC001094104017 841546627 /nfs/dbraw/zinc/54/66/27/841546627.db2.gz VVXIMRDAJNFTKM-UHFFFAOYSA-N 0 1 274.259 0.657 20 30 CCEDMN N#Cc1sc(NCCNC(=O)c2cnn[nH]2)nc1Cl ZINC001094256954 841590932 /nfs/dbraw/zinc/59/09/32/841590932.db2.gz VGIRHUHUPZNECY-UHFFFAOYSA-N 0 1 297.731 0.628 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCCNc1ccc(C#N)nc1 ZINC001094339983 841610139 /nfs/dbraw/zinc/61/01/39/841610139.db2.gz IFLRJHZDFRSZGR-AWEZNQCLSA-N 0 1 287.367 0.966 20 30 CCEDMN C=C(C)CCN1CC(N2C[C@H](NC(C)=O)CC2=O)C1 ZINC001094688134 841703467 /nfs/dbraw/zinc/70/34/67/841703467.db2.gz XLBZJEJMMIWYHU-GFCCVEGCSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@@H](CCCC#N)N1CCN2C(=O)COC[C@@H]2C1 ZINC001172499554 841934542 /nfs/dbraw/zinc/93/45/42/841934542.db2.gz YFVSSCCEIBTCRB-RYUDHWBXSA-N 0 1 251.330 0.612 20 30 CCEDMN N#C[C@@H]1CN([C@@H]2CCC[C@H](n3ncnn3)C2)CCC1=O ZINC001173767000 842158196 /nfs/dbraw/zinc/15/81/96/842158196.db2.gz YXLVGDSOSZUBSD-UTUOFQBUSA-N 0 1 274.328 0.571 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CC#N)[nH]n1 ZINC001095300763 842185947 /nfs/dbraw/zinc/18/59/47/842185947.db2.gz PARDIZOLPHFODA-AGIUHOORSA-N 0 1 273.340 0.506 20 30 CCEDMN COC[C@](C)(CO)NCc1ccc(C#N)cc1[N+](=O)[O-] ZINC001175284551 842237811 /nfs/dbraw/zinc/23/78/11/842237811.db2.gz NSBXXHASAMBNRW-ZDUSSCGKSA-N 0 1 279.296 0.953 20 30 CCEDMN COc1ccc(C#N)cc1NC(=O)Cc1nn[nH]n1 ZINC001176844389 842418577 /nfs/dbraw/zinc/41/85/77/842418577.db2.gz AQMQTXRUFCWACG-UHFFFAOYSA-N 0 1 258.241 0.261 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)C[N@H+](C)CCC)C[C@H]21 ZINC001177139511 842509899 /nfs/dbraw/zinc/50/98/99/842509899.db2.gz RLYAIIQWTWQERQ-CABCVRRESA-N 0 1 295.427 0.816 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC(N)=O)C2 ZINC001110200774 842514253 /nfs/dbraw/zinc/51/42/53/842514253.db2.gz XMZPKKNAZYUYHO-AGIUHOORSA-N 0 1 277.368 0.387 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@@H]1CCc2nccn2C1 ZINC001178101347 842756880 /nfs/dbraw/zinc/75/68/80/842756880.db2.gz WHZRZWIUNOVZLD-MRVPVSSYSA-N 0 1 256.269 0.679 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2cnns2)[C@@H]1C ZINC001178338847 842814076 /nfs/dbraw/zinc/81/40/76/842814076.db2.gz LMOSSFYBURQCCZ-JQWIXIFHSA-N 0 1 296.396 0.820 20 30 CCEDMN C=CCn1cc(C(=O)NCc2cnc(CC)[nH]2)nn1 ZINC001178669829 842893755 /nfs/dbraw/zinc/89/37/55/842893755.db2.gz NNSNCRZBAGZFAV-UHFFFAOYSA-N 0 1 260.301 0.680 20 30 CCEDMN C#CC[C@H](NC(=O)OC(C)(C)C)C(=O)NCc1cnc[nH]1 ZINC001179581740 843028917 /nfs/dbraw/zinc/02/89/17/843028917.db2.gz BSMJMXAEMFLPOO-NSHDSACASA-N 0 1 292.339 0.943 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CN(CC3CC3)C[C@@H](C1)O2 ZINC001179897159 843071487 /nfs/dbraw/zinc/07/14/87/843071487.db2.gz YXZBIVSQOCOCHB-RTXFEEFZSA-N 0 1 263.341 0.468 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)CCNC(=O)OC(C)(C)C ZINC001179901432 843075527 /nfs/dbraw/zinc/07/55/27/843075527.db2.gz MKCWAKOHMJBMOJ-LLVKDONJSA-N 0 1 298.387 0.719 20 30 CCEDMN CC(=O)NCC(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001180707437 843266544 /nfs/dbraw/zinc/26/65/44/843266544.db2.gz KJNQPBIGORFASP-MRXNPFEDSA-N 0 1 299.374 0.365 20 30 CCEDMN Cc1ncc(CN[C@@H]2CCN(C(=O)CSCC#N)C2)o1 ZINC001181106494 843401706 /nfs/dbraw/zinc/40/17/06/843401706.db2.gz GVBORRQHTQDCSR-LLVKDONJSA-N 0 1 294.380 0.930 20 30 CCEDMN CN1CCC(O)(C(=O)N/C=C/C(=O)C(F)(F)F)CC1 ZINC001182036752 843685465 /nfs/dbraw/zinc/68/54/65/843685465.db2.gz VZMXGAOZTGQODF-GORDUTHDSA-N 0 1 280.246 0.204 20 30 CCEDMN Cc1ccc(C(=NC(=O)[C@@H]2CN3CCC2CC3)NO)nc1 ZINC001182015504 843693821 /nfs/dbraw/zinc/69/38/21/843693821.db2.gz BDRINLIVGXIWQV-GFCCVEGCSA-N 0 1 288.351 0.984 20 30 CCEDMN C#CC(C)(C)C(=O)N1CC(N(C)[C@H]2CCOC2)C1 ZINC001182635151 843870443 /nfs/dbraw/zinc/87/04/43/843870443.db2.gz AEUBUMVHABXUIE-NSHDSACASA-N 0 1 250.342 0.577 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@@H](O)c1ccc2c(c1)CCO2 ZINC001182655926 843892928 /nfs/dbraw/zinc/89/29/28/843892928.db2.gz ZJOFJHDWFXJJNO-LBPRGKRZSA-N 0 1 284.275 0.888 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)[C@@H]1CCN1C(C)C ZINC001182861633 843930414 /nfs/dbraw/zinc/93/04/14/843930414.db2.gz CGIWKHCQHOBNAN-LBPRGKRZSA-N 0 1 267.373 0.668 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H]2CN(CC=C)C[C@H]21 ZINC001187881976 844804841 /nfs/dbraw/zinc/80/48/41/844804841.db2.gz IQOPJQKZKVEACO-HZSPNIEDSA-N 0 1 262.353 0.743 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](OCC)C3CC3)[C@@H]2C1 ZINC001187938352 844810430 /nfs/dbraw/zinc/81/04/30/844810430.db2.gz OXXVIEOQZQQYPT-ZNMIVQPWSA-N 0 1 276.380 0.967 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C2CC2)C1 ZINC001188554001 844904641 /nfs/dbraw/zinc/90/46/41/844904641.db2.gz DTFILAFWWRHHAS-ZDUSSCGKSA-N 0 1 250.342 0.579 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)CCOC)C1 ZINC001188572221 844914424 /nfs/dbraw/zinc/91/44/24/844914424.db2.gz FZKOJQJHTYFHRK-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ccncc2)C1 ZINC001188626518 844938183 /nfs/dbraw/zinc/93/81/83/844938183.db2.gz UCOMXECAHDQTKU-OAHLLOKOSA-N 0 1 287.363 0.878 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCn1cccn1 ZINC001110401882 844968762 /nfs/dbraw/zinc/96/87/62/844968762.db2.gz QFUDKUISZXDTMP-DGAVXFQQSA-N 0 1 287.367 0.764 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)CCCF)[C@@H]2C1 ZINC001188824757 844983850 /nfs/dbraw/zinc/98/38/50/844983850.db2.gz LFDZWWUHYJSMKF-UONOGXRCSA-N 0 1 282.359 0.919 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ccc[nH]2)C1 ZINC001188852330 844989374 /nfs/dbraw/zinc/98/93/74/844989374.db2.gz MRHZRZUIINAZFC-ZDUSSCGKSA-N 0 1 275.352 0.811 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)CCC=C)C1 ZINC001189319270 845108291 /nfs/dbraw/zinc/10/82/91/845108291.db2.gz VWACCFSADUDMCQ-KBPBESRZSA-N 0 1 291.395 0.623 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1cc(-c2ccncc2)[nH]n1 ZINC001189320963 845108408 /nfs/dbraw/zinc/10/84/08/845108408.db2.gz KQIHOSGGPBZCOA-LLVKDONJSA-N 0 1 282.307 0.409 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N(C)CC#CC)C1 ZINC001189372720 845117587 /nfs/dbraw/zinc/11/75/87/845117587.db2.gz ZTXWWOXQBHYPHW-CYBMUJFWSA-N 0 1 250.342 0.745 20 30 CCEDMN CCCCOCC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189508109 845152814 /nfs/dbraw/zinc/15/28/14/845152814.db2.gz MKRCVMJQNAIZAG-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COC(C)(C)C)C1 ZINC001189752859 845198484 /nfs/dbraw/zinc/19/84/84/845198484.db2.gz YCUHEKFTUVBHAJ-GFCCVEGCSA-N 0 1 252.358 0.967 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2nccc(C)n2)C1 ZINC001189902984 845287264 /nfs/dbraw/zinc/28/72/64/845287264.db2.gz RHPGUTKZWMLOAX-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2nccc(C)n2)C1 ZINC001189902984 845287276 /nfs/dbraw/zinc/28/72/76/845287276.db2.gz RHPGUTKZWMLOAX-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001190046162 845314316 /nfs/dbraw/zinc/31/43/16/845314316.db2.gz GKZSLBOJZCYRRG-QWHCGFSZSA-N 0 1 277.368 0.067 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](N(C)[C@H]2CCN(C)C2=O)C1 ZINC001190055044 845318617 /nfs/dbraw/zinc/31/86/17/845318617.db2.gz VSOGNTNQCACELK-KGLIPLIRSA-N 0 1 291.395 0.409 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](N(C)[C@@H]2CCN(C)C2=O)C1 ZINC001190055048 845319791 /nfs/dbraw/zinc/31/97/91/845319791.db2.gz VSOGNTNQCACELK-UONOGXRCSA-N 0 1 291.395 0.409 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001190127963 845345561 /nfs/dbraw/zinc/34/55/61/845345561.db2.gz HLRVMMDOKYTEQE-OAHLLOKOSA-N 0 1 292.379 0.209 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](OCC)C2CC2)C1 ZINC001190203516 845365690 /nfs/dbraw/zinc/36/56/90/845365690.db2.gz KMSWRZBYCLYESP-ZIAGYGMSSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCCC(N)=O)[C@@H]2C1 ZINC001190517356 845415387 /nfs/dbraw/zinc/41/53/87/845415387.db2.gz FQRPKZIKRQSTSV-QWHCGFSZSA-N 0 1 277.368 0.198 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001190829485 845513550 /nfs/dbraw/zinc/51/35/50/845513550.db2.gz NZQCZNHXYSLSMQ-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COCc2nccn2C)C1 ZINC001190848342 845521069 /nfs/dbraw/zinc/52/10/69/845521069.db2.gz MVBNROSTAMJXET-ZDUSSCGKSA-N 0 1 290.367 0.103 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COCc2ncc(C)o2)C1 ZINC001191275174 845621014 /nfs/dbraw/zinc/62/10/14/845621014.db2.gz NPYOXAKQOFJCAZ-ZDUSSCGKSA-N 0 1 291.351 0.666 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccnc(OC)n2)C1 ZINC001191285325 845622547 /nfs/dbraw/zinc/62/25/47/845622547.db2.gz YQCAOPRWHNKMDN-GFCCVEGCSA-N 0 1 288.351 0.655 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001191441449 845653656 /nfs/dbraw/zinc/65/36/56/845653656.db2.gz JOPVHCGERGNLLV-AYCBFJCHSA-N 0 1 288.391 0.966 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCOCC)C1 ZINC001191705483 845714008 /nfs/dbraw/zinc/71/40/08/845714008.db2.gz SBXUWKVIXTVLCN-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001191860191 845742721 /nfs/dbraw/zinc/74/27/21/845742721.db2.gz YDBSYMPJFWKMNF-CHWSQXEVSA-N 0 1 279.384 0.587 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCC)C1 ZINC001191844413 845747862 /nfs/dbraw/zinc/74/78/62/845747862.db2.gz VLBYUQZOIOAFBZ-YNEHKIRRSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCC)C1 ZINC001191844413 845747867 /nfs/dbraw/zinc/74/78/67/845747867.db2.gz VLBYUQZOIOAFBZ-YNEHKIRRSA-N 0 1 252.358 0.607 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NCc1nnc[nH]1 ZINC001192268305 845810963 /nfs/dbraw/zinc/81/09/63/845810963.db2.gz IZCMUIXJWBTOJM-UHFFFAOYSA-N 0 1 257.253 0.615 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cccc(=O)n2C)C1 ZINC001192284098 845815005 /nfs/dbraw/zinc/81/50/05/845815005.db2.gz PKYNTBBEDCXQFN-CYBMUJFWSA-N 0 1 287.363 0.555 20 30 CCEDMN COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)[C@H]2OCC[C@H]2C)C1 ZINC001192417175 845839136 /nfs/dbraw/zinc/83/91/36/845839136.db2.gz SBUVZYZQELJLPC-KFWWJZLASA-N 0 1 294.395 0.594 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2OCC[C@H]2C)C1 ZINC001192417175 845839148 /nfs/dbraw/zinc/83/91/48/845839148.db2.gz SBUVZYZQELJLPC-KFWWJZLASA-N 0 1 294.395 0.594 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)c1ccc(C#N)o1 ZINC001192691054 845893340 /nfs/dbraw/zinc/89/33/40/845893340.db2.gz GHFYRDORDXNUBK-UHFFFAOYSA-N 0 1 288.263 0.981 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC(=C)C)NC(C)=O)C1 ZINC001192688638 845893894 /nfs/dbraw/zinc/89/38/94/845893894.db2.gz WZQSDAPUHBHDCC-LSDHHAIUSA-N 0 1 291.395 0.623 20 30 CCEDMN CN1CCc2ccc(NS(=O)(=O)CC#N)cc2C1=O ZINC001192986257 845945686 /nfs/dbraw/zinc/94/56/86/845945686.db2.gz VKJARYSAAFVKCK-UHFFFAOYSA-N 0 1 279.321 0.580 20 30 CCEDMN CCOC(=O)[C@H](CC(C)(C)F)NS(=O)(=O)CC#N ZINC001192995749 845950042 /nfs/dbraw/zinc/95/00/42/845950042.db2.gz KZPLPQSCHWGWGL-QMMMGPOBSA-N 0 1 280.321 0.499 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)[N-]c1cccn2cc[nH+]c12 ZINC001193104991 845978191 /nfs/dbraw/zinc/97/81/91/845978191.db2.gz PVOANEWWCAGHJI-QMMMGPOBSA-N 0 1 250.283 0.988 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C(=O)NCC2CC2)C1 ZINC001193136557 846000816 /nfs/dbraw/zinc/00/08/16/846000816.db2.gz XVJHPYFRIUTNKP-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CC[C@@H](N(C)C2CC2)C1 ZINC001193138661 846001988 /nfs/dbraw/zinc/00/19/88/846001988.db2.gz QYRHLBAHTBLFGO-MWLCHTKSSA-N 0 1 257.359 0.397 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1O ZINC001193399705 846071568 /nfs/dbraw/zinc/07/15/68/846071568.db2.gz BDMOZCOROBWHGB-YNEHKIRRSA-N 0 1 270.373 0.539 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(Cc2n[nH]c(C)n2)C[C@H]1O ZINC001193400319 846071774 /nfs/dbraw/zinc/07/17/74/846071774.db2.gz MHACPFVQFJNOSQ-VXGBXAGGSA-N 0 1 293.371 0.131 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](NC(N)=O)C(C)(C)C)C1 ZINC001193477611 846089459 /nfs/dbraw/zinc/08/94/59/846089459.db2.gz BVDHMHNKWBJQER-NWDGAFQWSA-N 0 1 294.399 0.235 20 30 CCEDMN N#Cc1cc(C(=O)N2C[C@@H]3C[C@H]2C(=O)O3)ccc1O ZINC001193505331 846100914 /nfs/dbraw/zinc/10/09/14/846100914.db2.gz YVMVYBLGIUFTME-UWVGGRQHSA-N 0 1 258.233 0.404 20 30 CCEDMN COC(=O)[C@]1(F)CCN(C(=O)c2ccc(O)c(C#N)c2)C1 ZINC001193507396 846101816 /nfs/dbraw/zinc/10/18/16/846101816.db2.gz VYMRTRXRYBEZFS-AWEZNQCLSA-N 0 1 292.266 0.991 20 30 CCEDMN N#Cc1cc(C(=O)NCc2cncnc2)ccc1O ZINC001193511960 846101914 /nfs/dbraw/zinc/10/19/14/846101914.db2.gz HZSGHOTXPNBWML-UHFFFAOYSA-N 0 1 254.249 0.984 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCC(=O)NCCC)C1 ZINC001193551473 846117096 /nfs/dbraw/zinc/11/70/96/846117096.db2.gz OZSCLSVZPINDNA-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1cc(O)c(O)c(Cl)c1 ZINC001193633986 846131638 /nfs/dbraw/zinc/13/16/38/846131638.db2.gz NNTSSBBJUHXTSI-MRVPVSSYSA-N 0 1 298.682 0.936 20 30 CCEDMN CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2cccc(C#N)c2O)C1 ZINC001193657882 846140334 /nfs/dbraw/zinc/14/03/34/846140334.db2.gz KBJZHHFWTPHVEJ-MGCOHNPYSA-N 0 1 294.332 0.569 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN([C@@H](C)COC)C[C@H]2O)CCC1 ZINC001193711071 846149978 /nfs/dbraw/zinc/14/99/78/846149978.db2.gz MEPVJYGEWFXYNF-BFHYXJOUSA-N 0 1 294.395 0.376 20 30 CCEDMN COCc1[nH]nc2c1CN(C(=O)c1cncc(C#N)c1)C2 ZINC001194104689 846225429 /nfs/dbraw/zinc/22/54/29/846225429.db2.gz DUZUYJYWBAZFHW-UHFFFAOYSA-N 0 1 283.291 0.979 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001194284731 846265602 /nfs/dbraw/zinc/26/56/02/846265602.db2.gz MRXSKQVYSWVKBB-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001194284731 846265613 /nfs/dbraw/zinc/26/56/13/846265613.db2.gz MRXSKQVYSWVKBB-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN CCOc1cc(C(=O)Nc2nc[nH]c2C#N)cnn1 ZINC001194450151 846292074 /nfs/dbraw/zinc/29/20/74/846292074.db2.gz VKPUBHOTQGFMCF-UHFFFAOYSA-N 0 1 258.241 0.722 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCNC(=O)CC(C)C)C1 ZINC001194502754 846312980 /nfs/dbraw/zinc/31/29/80/846312980.db2.gz UBVBKSREMHLJTH-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C(C)(C)COC)C1 ZINC001194701674 846347335 /nfs/dbraw/zinc/34/73/35/846347335.db2.gz AQSNCIGTEFHLLP-VXGBXAGGSA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C(F)(F)F)C1 ZINC001195401406 846521496 /nfs/dbraw/zinc/52/14/96/846521496.db2.gz VZNVZZITDIJXBR-OPRDCNLKSA-N 0 1 278.274 0.369 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2c(N)[nH]c(=O)[nH]c2=O)c(O)c1 ZINC001195733747 846604066 /nfs/dbraw/zinc/60/40/66/846604066.db2.gz VSYWBNNULSMUCN-UHFFFAOYSA-N 0 1 287.235 0.300 20 30 CCEDMN CN1CCOC[C@H]1CNC(=O)c1ccc(C#N)cc1O ZINC001195764314 846613785 /nfs/dbraw/zinc/61/37/85/846613785.db2.gz JHXKUALUJLANSI-LLVKDONJSA-N 0 1 275.308 0.324 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN([C@@H](CC)C(N)=O)CC2 ZINC001273705489 846614995 /nfs/dbraw/zinc/61/49/95/846614995.db2.gz ZRJFMCVCGARQEK-RYUDHWBXSA-N 0 1 277.368 0.102 20 30 CCEDMN COC(=O)[C@H]1C[C@H]1CNC(=O)c1ccc(C#N)cc1O ZINC001195768259 846615541 /nfs/dbraw/zinc/61/55/41/846615541.db2.gz KWKSOFFOPMWSFK-ONGXEEELSA-N 0 1 274.276 0.803 20 30 CCEDMN Cc1nnc(CNC(=O)c2ccc(C#N)cc2O)[nH]1 ZINC001195768495 846615840 /nfs/dbraw/zinc/61/58/40/846615840.db2.gz MBUHREUPILGMPT-UHFFFAOYSA-N 0 1 257.253 0.620 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCCN(CCOCC=C)CC1 ZINC001195805399 846631961 /nfs/dbraw/zinc/63/19/61/846631961.db2.gz CMYFQQVVMPRFIZ-HNNXBMFYSA-N 0 1 294.395 0.762 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](C)NC(=O)CC)CC1 ZINC001195926730 846649346 /nfs/dbraw/zinc/64/93/46/846649346.db2.gz VSBFITUCLBRAMO-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN([C@H](C)COC)C[C@H]2O)CC1 ZINC001195932568 846651608 /nfs/dbraw/zinc/65/16/08/846651608.db2.gz RPZXVMXPMVRPQZ-MGPQQGTHSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2CC3(CC3)C2)C1 ZINC001196082894 846682656 /nfs/dbraw/zinc/68/26/56/846682656.db2.gz IEPNLXCJFCWLDM-ZIAGYGMSSA-N 0 1 294.395 0.541 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(CF)CC2)C1 ZINC001196097960 846684938 /nfs/dbraw/zinc/68/49/38/846684938.db2.gz RQPYUHWIUJEOOL-GHMZBOCLSA-N 0 1 256.321 0.474 20 30 CCEDMN CC#CC[NH+]1C[C@@H](O)[C@H](NC(=O)C[N@@H+](C)CC(C)(C)C)C1 ZINC001196222142 846708385 /nfs/dbraw/zinc/70/83/85/846708385.db2.gz GXZMWIIGQVCKHL-ZIAGYGMSSA-N 0 1 295.427 0.149 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(=O)NC)C2 ZINC001110478712 846725110 /nfs/dbraw/zinc/72/51/10/846725110.db2.gz GZWZPYIWDYHFAX-MXWKQRLJSA-N 0 1 251.330 0.030 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC[C@H]2CCCCO2)C1 ZINC001196544012 846771566 /nfs/dbraw/zinc/77/15/66/846771566.db2.gz XNEUOCLKEQPPIG-RBSFLKMASA-N 0 1 294.395 0.520 20 30 CCEDMN Cc1cc(C(=O)N2CCOC[C@H]2C#N)nn1-c1ccncc1 ZINC001197533113 846929068 /nfs/dbraw/zinc/92/90/68/846929068.db2.gz LBHXQIPNNDVHOG-CYBMUJFWSA-N 0 1 297.318 0.940 20 30 CCEDMN O=C(CC#Cc1ccccc1)N1CCCN(CCO)CC1 ZINC001198198199 847069858 /nfs/dbraw/zinc/06/98/58/847069858.db2.gz WRJBRPIRTZWFRP-UHFFFAOYSA-N 0 1 286.375 0.955 20 30 CCEDMN C#CCOC[C@H](O)CN[C@H](CO)c1ccccc1OC ZINC001251902859 847410697 /nfs/dbraw/zinc/41/06/97/847410697.db2.gz ANTMCQSZRFMZSJ-TZMCWYRMSA-N 0 1 279.336 0.329 20 30 CCEDMN C=C[C@H](O)CN1CCC[C@@]12CCN(CC(F)F)C2=O ZINC001273883652 847732172 /nfs/dbraw/zinc/73/21/72/847732172.db2.gz AJNBIVBKPJXCEU-GWCFXTLKSA-N 0 1 274.311 0.865 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1[nH]nc2c1CCC2 ZINC001201243013 847747698 /nfs/dbraw/zinc/74/76/98/847747698.db2.gz QXWQSFGKDPTXBF-QMMMGPOBSA-N 0 1 269.326 0.100 20 30 CCEDMN Cc1ccn2c(c1)ncc(C(=O)Nc1nc[nH]c1C#N)c2=O ZINC001201248013 847748507 /nfs/dbraw/zinc/74/85/07/847748507.db2.gz LGIAZXLXHIBTPM-UHFFFAOYSA-N 0 1 294.274 0.850 20 30 CCEDMN N#Cc1cccc(N2CCN(C[C@H](O)CO)CC2)c1 ZINC001252063390 847752417 /nfs/dbraw/zinc/75/24/17/847752417.db2.gz YNZNRVQOUFCAQL-AWEZNQCLSA-N 0 1 261.325 0.033 20 30 CCEDMN C=CCOC[C@H](O)CN1CCO[C@@H]2C[C@@H](CO)C[C@@H]21 ZINC001252460322 847974017 /nfs/dbraw/zinc/97/40/17/847974017.db2.gz CZEVDIGLNPSYRC-RFQIPJPRSA-N 0 1 271.357 0.022 20 30 CCEDMN C=C[C@@](C)(O)CN1CC[C@@H](N2CCOCC2)[C@@H](F)C1 ZINC001252562830 848006219 /nfs/dbraw/zinc/00/62/19/848006219.db2.gz YQXNFGRUNNQNDP-BFHYXJOUSA-N 0 1 272.364 0.668 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CCOC)CC1 ZINC001114391336 848031212 /nfs/dbraw/zinc/03/12/12/848031212.db2.gz REQJQZKWYIQYDM-WDNDVIMCSA-N 0 1 276.380 0.873 20 30 CCEDMN C#CCN1CCC2(CCN([C@H](C)C(C)=O)CC2)C1=O ZINC001273980663 848193452 /nfs/dbraw/zinc/19/34/52/848193452.db2.gz DNJXGLLVVGBVPV-GFCCVEGCSA-N 0 1 262.353 0.912 20 30 CCEDMN N#CCCN1CC[C@@]2(CCN(CCn3ccnc3)C2=O)C1 ZINC001274031818 848311721 /nfs/dbraw/zinc/31/17/21/848311721.db2.gz AGRZVDDKCQUGSY-OAHLLOKOSA-N 0 1 287.367 0.721 20 30 CCEDMN N#CCCCN1CC[C@@]2(C1)CN(CCCC#N)C(=O)CO2 ZINC001274038395 848316243 /nfs/dbraw/zinc/31/62/43/848316243.db2.gz JMLFGLBNDBHANL-OAHLLOKOSA-N 0 1 290.367 0.897 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nnc(C)o1)C2 ZINC001095384649 848380613 /nfs/dbraw/zinc/38/06/13/848380613.db2.gz KROUIWHNMUGCRD-AGIUHOORSA-N 0 1 288.351 0.665 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nnc(C)[nH]1)C2 ZINC001095399811 848402128 /nfs/dbraw/zinc/40/21/28/848402128.db2.gz VUMXNWYEDDGGAP-AGIUHOORSA-N 0 1 287.367 0.400 20 30 CCEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2nnc[nH]2)C1=O ZINC001274355198 848457722 /nfs/dbraw/zinc/45/77/22/848457722.db2.gz CPTKBPUUUZECOO-AWEZNQCLSA-N 0 1 275.356 0.948 20 30 CCEDMN C=C[C@](C)(O)CN1CCC[C@]12CCN([C@@H](C)C(C)=O)C2=O ZINC001274614125 848523840 /nfs/dbraw/zinc/52/38/40/848523840.db2.gz BEIYCLPMUAWDQX-VBNZEHGJSA-N 0 1 294.395 0.968 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCCC2)C[C@@H]1CNCC#N ZINC001279192475 848536214 /nfs/dbraw/zinc/53/62/14/848536214.db2.gz DYMHBAOMVTVYEU-OLZOCXBDSA-N 0 1 264.373 0.290 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1CCCNC1=O ZINC001274797983 848567537 /nfs/dbraw/zinc/56/75/37/848567537.db2.gz VBUYBHBNGNKOHH-NXEZZACHSA-N 0 1 273.764 0.359 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCCC[C@H]1CNCC#N ZINC001274984826 848608031 /nfs/dbraw/zinc/60/80/31/848608031.db2.gz LZZNYMFZVNRSRU-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cnnn1CC ZINC001275109068 848640388 /nfs/dbraw/zinc/64/03/88/848640388.db2.gz VICZEAYQRKHXNQ-ZDUSSCGKSA-N 0 1 289.383 0.858 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cncn1C ZINC001275121424 848643924 /nfs/dbraw/zinc/64/39/24/848643924.db2.gz MRNOOFDHXJLWPU-LBPRGKRZSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CCCCC(N)=O ZINC001275160601 848652849 /nfs/dbraw/zinc/65/28/49/848652849.db2.gz OCNAHAVSIBQPGE-CQSZACIVSA-N 0 1 293.411 0.978 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H]1CCCNC1=O ZINC001275190448 848660323 /nfs/dbraw/zinc/66/03/23/848660323.db2.gz VBUYBHBNGNKOHH-VHSXEESVSA-N 0 1 273.764 0.359 20 30 CCEDMN C#CCOc1ccc(C(=O)Nc2nn[nH]c2C(=O)NC)cc1 ZINC001275431972 848720031 /nfs/dbraw/zinc/72/00/31/848720031.db2.gz QUIHWNNCFYNXSA-UHFFFAOYSA-N 0 1 299.290 0.429 20 30 CCEDMN C[C@@H](NC(=O)C(N)=O)[C@H](C)NCc1ccc(C#N)cc1F ZINC001275483130 848732723 /nfs/dbraw/zinc/73/27/23/848732723.db2.gz YNJBOHSOFAJDIV-DTWKUNHWSA-N 0 1 292.314 0.165 20 30 CCEDMN C=CCCC[N@H+](C)C[C@@H](C)NC(=O)CS(C)(=O)=O ZINC001275512663 848746300 /nfs/dbraw/zinc/74/63/00/848746300.db2.gz MLFPPEXZPYDAIS-LLVKDONJSA-N 0 1 276.402 0.434 20 30 CCEDMN C=CCCCN(C)C[C@@H](C)NC(=O)CS(C)(=O)=O ZINC001275512663 848746306 /nfs/dbraw/zinc/74/63/06/848746306.db2.gz MLFPPEXZPYDAIS-LLVKDONJSA-N 0 1 276.402 0.434 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccc(N(C)C)nc1 ZINC001275601038 848772420 /nfs/dbraw/zinc/77/24/20/848772420.db2.gz XMLKHLHICHAQGB-GFCCVEGCSA-N 0 1 274.368 0.831 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001275689638 848792474 /nfs/dbraw/zinc/79/24/74/848792474.db2.gz KATJHWFNPVQYKS-CHWSQXEVSA-N 0 1 279.384 0.315 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H](C)CNC(=O)C(C)C)C1=O ZINC001275775083 848819419 /nfs/dbraw/zinc/81/94/19/848819419.db2.gz USPUCMIEIVMDJM-CHWSQXEVSA-N 0 1 281.400 0.866 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H](C)CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001275865360 848849824 /nfs/dbraw/zinc/84/98/24/848849824.db2.gz JATNOCQJWCWOKB-UONOGXRCSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cccnc1OC ZINC001275919214 848866163 /nfs/dbraw/zinc/86/61/63/848866163.db2.gz AQMCZNKZHYPJOC-NSHDSACASA-N 0 1 261.325 0.774 20 30 CCEDMN CN(CCCNCc1cnon1)C(=O)c1c[nH]c(C#N)c1 ZINC001275961010 848874518 /nfs/dbraw/zinc/87/45/18/848874518.db2.gz FLTZACPWQRNFNG-UHFFFAOYSA-N 0 1 288.311 0.521 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1conc1COC ZINC001275970898 848878078 /nfs/dbraw/zinc/87/80/78/848878078.db2.gz SOTOKYNACMZQTG-JTQLQIEISA-N 0 1 265.313 0.504 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccn3nnnc13)C2 ZINC001095470476 848933173 /nfs/dbraw/zinc/93/31/73/848933173.db2.gz ISNYYTOQXMMLPX-WXHSDQCUSA-N 0 1 298.350 0.645 20 30 CCEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001095634659 849002127 /nfs/dbraw/zinc/00/21/27/849002127.db2.gz ZRJJPERTDIQEOJ-ILXRZTDVSA-N 0 1 299.374 0.744 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001111125614 849075608 /nfs/dbraw/zinc/07/56/08/849075608.db2.gz KLLXTMVANOVTKR-ZRJCITRHSA-N 0 1 287.367 0.833 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCNC(=O)C(C)(C)C ZINC001114658077 849349227 /nfs/dbraw/zinc/34/92/27/849349227.db2.gz JHRVTDAZLITGFC-IMRBUKKESA-N 0 1 291.395 0.218 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)Oc1cccnc1 ZINC001114818683 849403314 /nfs/dbraw/zinc/40/33/14/849403314.db2.gz CEGBSMVRTKNVTE-SPWCGHHHSA-N 0 1 285.347 0.529 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)Oc1cccnc1 ZINC001114821795 849405643 /nfs/dbraw/zinc/40/56/43/849405643.db2.gz MGOXUUCRZLLSQK-OJLVUWQFSA-N 0 1 299.374 0.919 20 30 CCEDMN COCC#CC[NH+]1CC([C@@H](C)NC(=O)[C@@H]2CCC[N@H+]2C)C1 ZINC001276360952 849451068 /nfs/dbraw/zinc/45/10/68/849451068.db2.gz NHQYFPPAHJKVCX-HIFRSBDPSA-N 0 1 293.411 0.167 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001038657762 849461624 /nfs/dbraw/zinc/46/16/24/849461624.db2.gz OTPLKDFGIWWORK-SNVBAGLBSA-N 0 1 264.329 0.506 20 30 CCEDMN C=C[C@H](O)CN1Cc2cn(C)nc2[C@H](COC)C1 ZINC001253577752 849528333 /nfs/dbraw/zinc/52/83/33/849528333.db2.gz YQNBXFCCCOQTQB-RYUDHWBXSA-N 0 1 251.330 0.513 20 30 CCEDMN C=C[C@H](O)CN1CCN(c2cc(C)nc(C)n2)CC1 ZINC001253579710 849548672 /nfs/dbraw/zinc/54/86/72/849548672.db2.gz SJBGMNLFFMWUNW-ZDUSSCGKSA-N 0 1 262.357 0.762 20 30 CCEDMN CC[C@@H]1CN(C(=O)Cc2ccn[nH]2)CC[C@H]1NCC#N ZINC001037805359 849589701 /nfs/dbraw/zinc/58/97/01/849589701.db2.gz CDWSXQJUKZXCLK-DGCLKSJQSA-N 0 1 275.356 0.692 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCC1CCOCC1 ZINC001114927217 849658427 /nfs/dbraw/zinc/65/84/27/849658427.db2.gz KFMGEJVOQSHDTH-FOLVSLTJSA-N 0 1 276.380 0.873 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001038035161 849788901 /nfs/dbraw/zinc/78/89/01/849788901.db2.gz FTYCKIOKJLSRQG-SNVBAGLBSA-N 0 1 262.313 0.562 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnc[nH]c1=O ZINC001038391924 849875389 /nfs/dbraw/zinc/87/53/89/849875389.db2.gz QXUBYMLACGFMLT-JTQLQIEISA-N 0 1 260.297 0.010 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cn2c(n1)COCC2 ZINC001038412251 849884110 /nfs/dbraw/zinc/88/41/10/849884110.db2.gz WJEWUGWJQLCRCQ-LBPRGKRZSA-N 0 1 290.367 0.794 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCn2ccnc2C1 ZINC001038425176 849894090 /nfs/dbraw/zinc/89/40/90/849894090.db2.gz YSFFWRGNZPESNZ-KBPBESRZSA-N 0 1 286.379 0.659 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H]1CNC(=O)c1ccnc2[n-]nnc21 ZINC001038505468 849926718 /nfs/dbraw/zinc/92/67/18/849926718.db2.gz YUXWFSYJNWDMJE-JTQLQIEISA-N 0 1 284.323 0.180 20 30 CCEDMN CC#CC[N@H+]1CC[C@H]1CNC(=O)c1ccnc2[n-]nnc21 ZINC001038505468 849926727 /nfs/dbraw/zinc/92/67/27/849926727.db2.gz YUXWFSYJNWDMJE-JTQLQIEISA-N 0 1 284.323 0.180 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001038513989 849928678 /nfs/dbraw/zinc/92/86/78/849928678.db2.gz GSOOZUDADFLCEH-LLVKDONJSA-N 0 1 291.351 0.764 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1csnn1 ZINC001038556767 849941300 /nfs/dbraw/zinc/94/13/00/849941300.db2.gz INVSUTRGUBWNGG-SECBINFHSA-N 0 1 252.343 0.918 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001038617672 849962660 /nfs/dbraw/zinc/96/26/60/849962660.db2.gz UXNMKLBBAWITNI-LLVKDONJSA-N 0 1 274.324 0.318 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCN(C)C1=O ZINC001038618765 849965983 /nfs/dbraw/zinc/96/59/83/849965983.db2.gz DOWXBWCBMLVKJT-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)c1occc1CN(C)C ZINC001038653292 849970905 /nfs/dbraw/zinc/97/09/05/849970905.db2.gz UUGQUCWROVLYAR-CYBMUJFWSA-N 0 1 275.352 0.779 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(OC)nn1 ZINC001038883372 850066460 /nfs/dbraw/zinc/06/64/60/850066460.db2.gz HITLIWCBOLJWSQ-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cccn(C)c1=O ZINC001038935972 850095057 /nfs/dbraw/zinc/09/50/57/850095057.db2.gz RMKIXKQWCMSGTR-LBPRGKRZSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001039383324 850182963 /nfs/dbraw/zinc/18/29/63/850182963.db2.gz VHSVRAPQTSDNQJ-MCIONIFRSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)N1C ZINC001039417370 850188214 /nfs/dbraw/zinc/18/82/14/850188214.db2.gz ALASRPYZNNEAQK-RDBSUJKOSA-N 0 1 289.379 0.306 20 30 CCEDMN C[C@H]1[C@@H](Nc2ccnc(C#N)n2)CCN1C(=O)c1ccn[nH]1 ZINC001040043604 850256101 /nfs/dbraw/zinc/25/61/01/850256101.db2.gz FEKTXNJLQIVNBE-UWVGGRQHSA-N 0 1 297.322 0.208 20 30 CCEDMN C#CCN1CCC[C@@]2(CCN(C(=O)c3cn(C)nn3)C2)C1 ZINC001040192120 850279089 /nfs/dbraw/zinc/27/90/89/850279089.db2.gz RRSMNBGEAIEGDN-OAHLLOKOSA-N 0 1 287.367 0.376 20 30 CCEDMN C=C(Cl)CN1CCC2(CCN(C(=O)CC(N)=O)C2)CC1 ZINC001040648928 850311791 /nfs/dbraw/zinc/31/17/91/850311791.db2.gz MVPSKQKKRLJKMF-UHFFFAOYSA-N 0 1 299.802 0.929 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@]2(CCN(CC(=O)N(C)C)C2)C1 ZINC001041137917 850381446 /nfs/dbraw/zinc/38/14/46/850381446.db2.gz ZGDRSDDWEFIQBE-SWLSCSKDSA-N 0 1 292.383 0.159 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)c3n[nH]c(C)c3[O-])C2)C1 ZINC001041205768 850402988 /nfs/dbraw/zinc/40/29/88/850402988.db2.gz AWYBNDKNTOALCC-HNNXBMFYSA-N 0 1 288.351 0.595 20 30 CCEDMN C#CC[N@H+]1CC[C@]2(CCN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC001041205768 850402992 /nfs/dbraw/zinc/40/29/92/850402992.db2.gz AWYBNDKNTOALCC-HNNXBMFYSA-N 0 1 288.351 0.595 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC001041205768 850402995 /nfs/dbraw/zinc/40/29/95/850402995.db2.gz AWYBNDKNTOALCC-HNNXBMFYSA-N 0 1 288.351 0.595 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C[C@@H]21 ZINC001042015065 850557966 /nfs/dbraw/zinc/55/79/66/850557966.db2.gz BOKAJHVJHCWLOE-NEPJUHHUSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnnn3CC)C[C@@H]21 ZINC001042048022 850570097 /nfs/dbraw/zinc/57/00/97/850570097.db2.gz YWVAZYPLEPVJGL-OCCSQVGLSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3nn(C)cc3C)C[C@H]21 ZINC001042060397 850571201 /nfs/dbraw/zinc/57/12/01/850571201.db2.gz JVAUFACWPNJIRW-ZIAGYGMSSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3c(C)ncn3C)C[C@@H]21 ZINC001042105579 850578191 /nfs/dbraw/zinc/57/81/91/850578191.db2.gz VJDXKZLFWATABV-KBPBESRZSA-N 0 1 286.379 0.898 20 30 CCEDMN Cc1nc(CN2CC(N(C)C(=O)c3cc(C#N)c[nH]3)C2)n[nH]1 ZINC001042589965 850705665 /nfs/dbraw/zinc/70/56/65/850705665.db2.gz QEYYZRCVHKVDDD-UHFFFAOYSA-N 0 1 299.338 0.269 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001043021532 850798740 /nfs/dbraw/zinc/79/87/40/850798740.db2.gz DGVGXEPVTOBADD-LBPRGKRZSA-N 0 1 279.384 0.574 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1Nc1ccncc1C#N ZINC001043079936 850809265 /nfs/dbraw/zinc/80/92/65/850809265.db2.gz ODCWOLYETVQEDV-QMTHXVAHSA-N 0 1 296.334 0.671 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)N(C)C1CN(CC#N)C1 ZINC001043384446 850865098 /nfs/dbraw/zinc/86/50/98/850865098.db2.gz DUVWXRPZBQWMRE-IINYFYTJSA-N 0 1 287.367 0.742 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)C2CC(=O)NC(=O)C2)C1 ZINC001044048407 850993003 /nfs/dbraw/zinc/99/30/03/850993003.db2.gz YYZNWRIACRVYJW-UHFFFAOYSA-N 0 1 293.367 0.148 20 30 CCEDMN CN(C(=O)C(C)(C)c1c[nH]cn1)C1CN(CC#N)C1 ZINC001044188454 851036805 /nfs/dbraw/zinc/03/68/05/851036805.db2.gz JCAKMHHKJNIVGZ-UHFFFAOYSA-N 0 1 261.329 0.353 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)c2cnccc2N(C)C)C1 ZINC001044195047 851040687 /nfs/dbraw/zinc/04/06/87/851040687.db2.gz RZUPKQNFBOZJLB-UHFFFAOYSA-N 0 1 286.379 0.927 20 30 CCEDMN C[C@@H]1C[C@@H](NCC#N)CCN1C(=O)CCc1nc[nH]n1 ZINC001044533630 851119669 /nfs/dbraw/zinc/11/96/69/851119669.db2.gz AUQDWWAKXYWAIU-MNOVXSKESA-N 0 1 276.344 0.230 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](NCC#N)C[C@@H]1C ZINC001044698831 851144541 /nfs/dbraw/zinc/14/45/41/851144541.db2.gz AAWBKBDPQYYECV-WPRPVWTQSA-N 0 1 262.317 0.219 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ncn(C)n2)CC1 ZINC001045431031 851255846 /nfs/dbraw/zinc/25/58/46/851255846.db2.gz IFBKGXHSJUGHLB-UHFFFAOYSA-N 0 1 261.329 0.033 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2[nH]c(=O)[nH]c2C)CC1 ZINC001045469870 851265591 /nfs/dbraw/zinc/26/55/91/851265591.db2.gz ITEDVVFRBMKQGD-UHFFFAOYSA-N 0 1 276.340 0.641 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cn(C)nc2OC)CC1 ZINC001045524880 851273733 /nfs/dbraw/zinc/27/37/33/851273733.db2.gz XTSJEWOTRCZBFF-UHFFFAOYSA-N 0 1 290.367 0.646 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2nc(C)c[nH]2)CC1 ZINC001045580729 851283683 /nfs/dbraw/zinc/28/36/83/851283683.db2.gz ODWYXDPKDSZKIZ-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnn(C)n2)CC1 ZINC001045584197 851284349 /nfs/dbraw/zinc/28/43/49/851284349.db2.gz RIWGSZHETPRQGN-UHFFFAOYSA-N 0 1 261.329 0.033 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cnsn2)C1 ZINC001046155854 851401719 /nfs/dbraw/zinc/40/17/19/851401719.db2.gz LOTJGAUGMLGFQW-LLVKDONJSA-N 0 1 252.343 0.918 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001046449837 851512998 /nfs/dbraw/zinc/51/29/98/851512998.db2.gz LMPYISMOEWTKTF-OAHLLOKOSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001046449836 851513659 /nfs/dbraw/zinc/51/36/59/851513659.db2.gz LMPYISMOEWTKTF-HNNXBMFYSA-N 0 1 283.335 0.737 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2[nH]nnc2C)C1 ZINC001046527158 851534286 /nfs/dbraw/zinc/53/42/86/851534286.db2.gz GAZIFVCAALKJHX-ZDUSSCGKSA-N 0 1 261.329 0.331 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001046563150 851549230 /nfs/dbraw/zinc/54/92/30/851549230.db2.gz IMIDERHIVNUBGV-CQSZACIVSA-N 0 1 274.324 0.318 20 30 CCEDMN C[C@]1(NC(=O)[C@@H]2CCCc3[nH]cnc32)CCN(CC#N)C1 ZINC001046612587 851564200 /nfs/dbraw/zinc/56/42/00/851564200.db2.gz RMVZYNXEIAPBFH-ABAIWWIYSA-N 0 1 287.367 0.934 20 30 CCEDMN N#Cc1cnc(N[C@H]2C[C@@H](CNC(=O)c3cnn[nH]3)C2)cn1 ZINC001046650996 851574612 /nfs/dbraw/zinc/57/46/12/851574612.db2.gz QRTMYYWNCDQPIY-DTORHVGOSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@]2(C)CCN(CC=C)C2)nn1 ZINC001046686725 851582947 /nfs/dbraw/zinc/58/29/47/851582947.db2.gz PCCOCCDKHVNTMH-AWEZNQCLSA-N 0 1 275.356 0.844 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc3n[nH]c(=O)n3c2)C1 ZINC001046699259 851586130 /nfs/dbraw/zinc/58/61/30/851586130.db2.gz MXBZSIZFYRAEDH-OAHLLOKOSA-N 0 1 299.334 0.262 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cc(CC)nn2C)C1 ZINC001046727667 851597870 /nfs/dbraw/zinc/59/78/70/851597870.db2.gz BHHIKLACYRIYBW-OAHLLOKOSA-N 0 1 274.368 0.810 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC001046759158 851605610 /nfs/dbraw/zinc/60/56/10/851605610.db2.gz VQODAUSWWTVKRD-MLGOLLRUSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2CCC2)C1 ZINC001047280640 851694122 /nfs/dbraw/zinc/69/41/22/851694122.db2.gz HJEKLHQYGXIJHV-STQMWFEESA-N 0 1 250.342 0.313 20 30 CCEDMN C=C(C)C[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCCO2)C1 ZINC001047291437 851701303 /nfs/dbraw/zinc/70/13/03/851701303.db2.gz LEHWZJZBKBNHST-AVGNSLFASA-N 0 1 268.357 0.245 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCCO2)C1 ZINC001047291437 851701312 /nfs/dbraw/zinc/70/13/12/851701312.db2.gz LEHWZJZBKBNHST-AVGNSLFASA-N 0 1 268.357 0.245 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc[nH]2)C1 ZINC001047301207 851702446 /nfs/dbraw/zinc/70/24/46/851702446.db2.gz BYAHZTDCUGGVGF-STQMWFEESA-N 0 1 261.325 0.155 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cn(C)nc2C)C1 ZINC001047324504 851716467 /nfs/dbraw/zinc/71/64/67/851716467.db2.gz RDPYHFXRAWSVBR-KBPBESRZSA-N 0 1 292.383 0.422 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cnn(CC)c2)C1 ZINC001047331339 851721127 /nfs/dbraw/zinc/72/11/27/851721127.db2.gz FZWFRBUQJVJDLQ-KBPBESRZSA-N 0 1 290.367 0.043 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C=C2CCC2)C1 ZINC001047345307 851728754 /nfs/dbraw/zinc/72/87/54/851728754.db2.gz RUETXJVOQZJCCZ-STQMWFEESA-N 0 1 250.342 0.786 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCC2(F)F)C1 ZINC001047423316 851759776 /nfs/dbraw/zinc/75/97/76/851759776.db2.gz MDERJRSFDUWBIH-SRVKXCTJSA-N 0 1 286.322 0.559 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccn3cncc3c2)C1 ZINC001047446693 851766024 /nfs/dbraw/zinc/76/60/24/851766024.db2.gz OEAMYXIQMDOERA-GJZGRUSLSA-N 0 1 298.346 0.085 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cncn2C)C1 ZINC001047446023 851766886 /nfs/dbraw/zinc/76/68/86/851766886.db2.gz QFIZXVGVCDQCHF-STQMWFEESA-N 0 1 278.356 0.113 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@]2(C)C=CCC2)C1 ZINC001047489691 851782440 /nfs/dbraw/zinc/78/24/40/851782440.db2.gz YLSRWKZVMFEPBQ-OFQRWUPVSA-N 0 1 276.380 0.870 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2Cc3ccccc32)C1 ZINC001047507999 851794119 /nfs/dbraw/zinc/79/41/19/851794119.db2.gz WENDZJBNEMFOHQ-ULQDDVLXSA-N 0 1 298.386 0.853 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCc3[nH]cnc3C1)C2 ZINC001096297289 851908044 /nfs/dbraw/zinc/90/80/44/851908044.db2.gz ZRTBHVWJSOAKPY-FIXIBIHLSA-N 0 1 299.378 0.760 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3COC(=O)N3)C[C@@H]2C1 ZINC001048796057 852064232 /nfs/dbraw/zinc/06/42/32/852064232.db2.gz BEUWULWYSIKXMN-AXFHLTTASA-N 0 1 299.758 0.238 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnnn1C ZINC001049451040 852281458 /nfs/dbraw/zinc/28/14/58/852281458.db2.gz NBYBLYHXYLFSDW-NWDGAFQWSA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1[nH]c(=O)[nH]c1C ZINC001049539034 852308565 /nfs/dbraw/zinc/30/85/65/852308565.db2.gz WSAUFSMZOHXLLK-RYUDHWBXSA-N 0 1 288.351 0.736 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049629389 852324724 /nfs/dbraw/zinc/32/47/24/852324724.db2.gz FVZGQTRAEYRINO-QWHCGFSZSA-N 0 1 287.367 0.815 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049629387 852324976 /nfs/dbraw/zinc/32/49/76/852324976.db2.gz FVZGQTRAEYRINO-CHWSQXEVSA-N 0 1 287.367 0.815 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCC(=O)N1C ZINC001049643672 852329314 /nfs/dbraw/zinc/32/93/14/852329314.db2.gz FAJDGQYRETUDLZ-MJBXVCDLSA-N 0 1 291.395 0.859 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001049654823 852337294 /nfs/dbraw/zinc/33/72/94/852337294.db2.gz MBCGXPQYMYFBBF-KHMAMNHCSA-N 0 1 274.364 0.577 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001049654824 852337441 /nfs/dbraw/zinc/33/74/41/852337441.db2.gz MBCGXPQYMYFBBF-SEBNEYGDSA-N 0 1 274.364 0.577 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cc[nH]n3)[C@@H]2C1 ZINC001049984444 852412504 /nfs/dbraw/zinc/41/25/04/852412504.db2.gz YMVQCVGNEJSNMW-WCQYABFASA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC001049984444 852412507 /nfs/dbraw/zinc/41/25/07/852412507.db2.gz YMVQCVGNEJSNMW-WCQYABFASA-N 0 1 258.325 0.579 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]c(=O)[nH]c1C)C2 ZINC001097276180 852521392 /nfs/dbraw/zinc/52/13/92/852521392.db2.gz WSGJMDSXIVMDMY-UTUOFQBUSA-N 0 1 288.351 0.782 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc[nH]c1=O)C2 ZINC001097300268 852524069 /nfs/dbraw/zinc/52/40/69/852524069.db2.gz IKMCPRUVJWLEDU-RTXFEEFZSA-N 0 1 286.335 0.541 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3nnnn3c1)C2 ZINC001097534911 852544123 /nfs/dbraw/zinc/54/41/23/852544123.db2.gz ZGGYBYIPVGRBKW-UPJWGTAASA-N 0 1 298.350 0.645 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3nnnn3c1)C2 ZINC001097534911 852544125 /nfs/dbraw/zinc/54/41/25/852544125.db2.gz ZGGYBYIPVGRBKW-UPJWGTAASA-N 0 1 298.350 0.645 20 30 CCEDMN CC#CCN1CC2(C1)CN(C(=O)[C@H]1CCCN1C)CCO2 ZINC001053190229 852705901 /nfs/dbraw/zinc/70/59/01/852705901.db2.gz PSDCVJYTKXTMMH-CQSZACIVSA-N 0 1 291.395 0.017 20 30 CCEDMN C#CCN1CC2(C1)CC[C@H](CNC(=O)[C@H]1CCCN1C)O2 ZINC001053582183 852766423 /nfs/dbraw/zinc/76/64/23/852766423.db2.gz AYCONOAYCAIMEJ-ZIAGYGMSSA-N 0 1 291.395 0.063 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(C1)CCCN(C(=O)Cc1cnc[nH]1)C2 ZINC001054204724 852894663 /nfs/dbraw/zinc/89/46/63/852894663.db2.gz BTLWJTPKNJKEOR-INIZCTEOSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(C1)CCCN(C(=O)Cc1cnc[nH]1)C2 ZINC001054204725 852895489 /nfs/dbraw/zinc/89/54/89/852895489.db2.gz BTLWJTPKNJKEOR-MRXNPFEDSA-N 0 1 286.379 0.900 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cn(C)nn2)C[C@@H]1C ZINC001054638698 852986217 /nfs/dbraw/zinc/98/62/17/852986217.db2.gz XDPZUSZIYKRMEZ-WPRPVWTQSA-N 0 1 283.763 0.618 20 30 CCEDMN C[C@H]1CN(C(=O)C#CC2CC2)C[C@@H]1NCc1ccn(C)n1 ZINC001054639669 852987220 /nfs/dbraw/zinc/98/72/20/852987220.db2.gz VAFGUTNJTWMPAD-WFASDCNBSA-N 0 1 286.379 0.770 20 30 CCEDMN C[C@H]1CN(C(=O)c2cccc3nn[nH]c32)C[C@H]1NCC#N ZINC001054675785 852994573 /nfs/dbraw/zinc/99/45/73/852994573.db2.gz YTUIFVDBBAKFJO-JOYOIKCWSA-N 0 1 284.323 0.532 20 30 CCEDMN C#CCCCC(=O)NC1CCN(CCNC(C)=O)CC1 ZINC001055482871 853078152 /nfs/dbraw/zinc/07/81/52/853078152.db2.gz CBHVVXRIJMBLSV-UHFFFAOYSA-N 0 1 279.384 0.507 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCN(CCNC(=O)C2CC2)CC1 ZINC001055485977 853081423 /nfs/dbraw/zinc/08/14/23/853081423.db2.gz NCENUHFLOAXAMQ-LLVKDONJSA-N 0 1 292.383 0.253 20 30 CCEDMN C=CCOCC(=O)NCCN1CCC(NC(=O)CC)CC1 ZINC001055543534 853086489 /nfs/dbraw/zinc/08/64/89/853086489.db2.gz BFDOXVPEPMOLQC-UHFFFAOYSA-N 0 1 297.399 0.296 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](Nc3ccncc3C#N)C2)[nH]1 ZINC001056592970 853177276 /nfs/dbraw/zinc/17/72/76/853177276.db2.gz QKJZVNSJOINDLU-GFCCVEGCSA-N 0 1 296.334 0.733 20 30 CCEDMN CN(c1cnc(C#N)cn1)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001056868319 853235785 /nfs/dbraw/zinc/23/57/85/853235785.db2.gz AYESUIOOHMSDRF-NSHDSACASA-N 0 1 297.322 0.422 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3c[nH]c(C)cc3=O)C2)CC1 ZINC001050719149 853418056 /nfs/dbraw/zinc/41/80/56/853418056.db2.gz VWRKTUYIIIRJNY-UHFFFAOYSA-N 0 1 299.374 0.855 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)[C@@H](C)OC)C2)CC1 ZINC001051992498 853672203 /nfs/dbraw/zinc/67/22/03/853672203.db2.gz YTFZWNJVUWSKLL-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H]2CCNC2=O)CC1 ZINC001052622290 853771717 /nfs/dbraw/zinc/77/17/17/853771717.db2.gz QKQATLAWIRMHOL-RYUDHWBXSA-N 0 1 299.802 0.846 20 30 CCEDMN N#Cc1ccc(NCC2CC(NC(=O)c3ncn[nH]3)C2)nn1 ZINC001067860214 853863347 /nfs/dbraw/zinc/86/33/47/853863347.db2.gz ROQVACNWZGIRJE-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1ccc(NCC2CC(NC(=O)c3nc[nH]n3)C2)nn1 ZINC001067860214 853863351 /nfs/dbraw/zinc/86/33/51/853863351.db2.gz ROQVACNWZGIRJE-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1nccnc1NCC1CC(NC(=O)c2cnn[nH]2)C1 ZINC001067900843 853865255 /nfs/dbraw/zinc/86/52/55/853865255.db2.gz FNPWZVCRIPKQIA-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccnn1)C2 ZINC001098085150 853947350 /nfs/dbraw/zinc/94/73/50/853947350.db2.gz IKUSVIQLPYKTLD-RTXFEEFZSA-N 0 1 258.325 0.998 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C(C)(C)C)C[C@@H]1n1ccnn1 ZINC001070315670 854040665 /nfs/dbraw/zinc/04/06/65/854040665.db2.gz UYSIVLMWRGVVSJ-NEPJUHHUSA-N 0 1 275.356 0.299 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C(C)=C/C)C[C@H]1c1cn(C)cn1 ZINC001070480373 854065397 /nfs/dbraw/zinc/06/53/97/854065397.db2.gz FPKWZAVLJVHUMN-VJMJHCGCSA-N 0 1 286.379 0.904 20 30 CCEDMN C=CCCN1CCNC(=O)CCN(C(=O)[C@@H]2C[C@H]2C)CC1 ZINC001070934756 854104502 /nfs/dbraw/zinc/10/45/02/854104502.db2.gz OHBGZSLTMRMPMK-ZIAGYGMSSA-N 0 1 293.411 0.869 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CN2CCCC2=O)CC[C@H]1C ZINC001071337229 854159429 /nfs/dbraw/zinc/15/94/29/854159429.db2.gz AVGZKRMHSFAHRJ-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2nccnc2N)CC[C@@H]1C ZINC001071396121 854176018 /nfs/dbraw/zinc/17/60/18/854176018.db2.gz HSPSNOAFIIOMFE-WDEREUQCSA-N 0 1 275.356 0.828 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2=CCOCC2)CC[C@@H]1C ZINC001071455359 854204541 /nfs/dbraw/zinc/20/45/41/854204541.db2.gz ZJTMPTLVOIPTCF-GXTWGEPZSA-N 0 1 262.353 0.935 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C ZINC001071651794 854258726 /nfs/dbraw/zinc/25/87/26/854258726.db2.gz OFBJKXFRHZRCGT-YPMHNXCESA-N 0 1 288.351 0.790 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CC[C@H](C)N(CCO)C2)nc1 ZINC001072002411 854334369 /nfs/dbraw/zinc/33/43/69/854334369.db2.gz LJEHJOOPMZWFLO-GXTWGEPZSA-N 0 1 287.363 0.638 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cnn(C)c3C)C2)C1 ZINC001072463698 854392355 /nfs/dbraw/zinc/39/23/55/854392355.db2.gz SJOXVNACNWMJCA-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCC[N@@H+]1CCC2(CN(C(=O)c3n[nH]c(C)c3[O-])C2)C1 ZINC001072625574 854431373 /nfs/dbraw/zinc/43/13/73/854431373.db2.gz QCUQPDDGQPGXIS-UHFFFAOYSA-N 0 1 288.351 0.595 20 30 CCEDMN C#CCC[N@H+]1CCC2(CN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC001072625574 854431383 /nfs/dbraw/zinc/43/13/83/854431383.db2.gz QCUQPDDGQPGXIS-UHFFFAOYSA-N 0 1 288.351 0.595 20 30 CCEDMN C#CCC[N@@H+]1CCC2(CN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC001072625574 854431392 /nfs/dbraw/zinc/43/13/92/854431392.db2.gz QCUQPDDGQPGXIS-UHFFFAOYSA-N 0 1 288.351 0.595 20 30 CCEDMN C#CC[N@@H+]1CCC2(CN(C(=O)c3n[nH]c(C)c3[O-])C2)C1 ZINC001072631016 854433448 /nfs/dbraw/zinc/43/34/48/854433448.db2.gz JFNBQLXXSVETTL-UHFFFAOYSA-N 0 1 274.324 0.205 20 30 CCEDMN C=CC[N@@H+]1CCC2(CN(C(=O)c3n[nH]c(C)c3[O-])C2)C1 ZINC001072631281 854433945 /nfs/dbraw/zinc/43/39/45/854433945.db2.gz UFKKXUSCYISXOA-UHFFFAOYSA-N 0 1 276.340 0.758 20 30 CCEDMN C=CC[N@H+]1CCC2(CN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC001072631281 854433949 /nfs/dbraw/zinc/43/39/49/854433949.db2.gz UFKKXUSCYISXOA-UHFFFAOYSA-N 0 1 276.340 0.758 20 30 CCEDMN C=CC[N@@H+]1CCC2(CN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC001072631281 854433951 /nfs/dbraw/zinc/43/39/51/854433951.db2.gz UFKKXUSCYISXOA-UHFFFAOYSA-N 0 1 276.340 0.758 20 30 CCEDMN C=CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001072636911 854435209 /nfs/dbraw/zinc/43/52/09/854435209.db2.gz WOMCSHOTHYCVPY-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001072636911 854435210 /nfs/dbraw/zinc/43/52/10/854435210.db2.gz WOMCSHOTHYCVPY-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cncnc3)C2)C1 ZINC001072653439 854439331 /nfs/dbraw/zinc/43/93/31/854439331.db2.gz BPSYMFNNFDKAPV-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(OC)ncn3)C2)C1 ZINC001072743269 854456019 /nfs/dbraw/zinc/45/60/19/854456019.db2.gz HFFWSDXPYUHGEE-UHFFFAOYSA-N 0 1 286.335 0.266 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)c3ccnn3C)C2)C1 ZINC001072748221 854456877 /nfs/dbraw/zinc/45/68/77/854456877.db2.gz XOSRTVQORQUJAH-ZDUSSCGKSA-N 0 1 286.379 0.691 20 30 CCEDMN C=CCCC(=O)N[C@H]1CN(C(=O)Cc2ncn[nH]2)C[C@H]1C ZINC001072778915 854460832 /nfs/dbraw/zinc/46/08/32/854460832.db2.gz WYRHHLRWGUJGFK-MNOVXSKESA-N 0 1 291.355 0.277 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)[C@H]3CCCO3)C2)C1 ZINC001072961187 854502459 /nfs/dbraw/zinc/50/24/59/854502459.db2.gz GSFZUSHAEFCMCT-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3ccnc(OC)c3)C2)C1 ZINC001072982810 854508064 /nfs/dbraw/zinc/50/80/64/854508064.db2.gz BFAPEYVPMBLTRK-UHFFFAOYSA-N 0 1 299.374 0.800 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ncccn3)C2)C1 ZINC001073068759 854522856 /nfs/dbraw/zinc/52/28/56/854522856.db2.gz DTUPFIVJFZXJIW-UHFFFAOYSA-N 0 1 256.309 0.258 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cccnc3N(C)C)C2)C1 ZINC001073425979 854558480 /nfs/dbraw/zinc/55/84/80/854558480.db2.gz MZTQJLLTBYWLAD-UHFFFAOYSA-N 0 1 298.390 0.929 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3[nH]cnc3C)C2)C1 ZINC001073492196 854564322 /nfs/dbraw/zinc/56/43/22/854564322.db2.gz YYQSLOHOSYADNU-UHFFFAOYSA-N 0 1 258.325 0.499 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)c3cnn(C)c3)C2)C1 ZINC001073499879 854566929 /nfs/dbraw/zinc/56/69/29/854566929.db2.gz YKGZSHJFMUIBJP-ZDUSSCGKSA-N 0 1 286.379 0.691 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2ccccn2)C1 ZINC001073515619 854572601 /nfs/dbraw/zinc/57/26/01/854572601.db2.gz ZOTGDLDUKABKSN-CQSZACIVSA-N 0 1 287.363 0.926 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C2=COCCO2)C1 ZINC001073519610 854574172 /nfs/dbraw/zinc/57/41/72/854574172.db2.gz PSBHMYJQVYCDNS-LBPRGKRZSA-N 0 1 282.340 0.268 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cn[nH]c2)C1 ZINC001073544272 854585195 /nfs/dbraw/zinc/58/51/95/854585195.db2.gz BLEDSWOKFVSBQS-GFCCVEGCSA-N 0 1 264.329 0.416 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccnn2CC)C1 ZINC001073583916 854603786 /nfs/dbraw/zinc/60/37/86/854603786.db2.gz IJEILGIGUOOEGT-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnn(CC)n2)C1 ZINC001073597820 854608722 /nfs/dbraw/zinc/60/87/22/854608722.db2.gz JDQCFVJDOCOOLA-LBPRGKRZSA-N 0 1 293.371 0.305 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001073734245 854638076 /nfs/dbraw/zinc/63/80/76/854638076.db2.gz QGIAXWQAHNTLFF-KBXIAJHMSA-N 0 1 292.379 0.109 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](C)N2C(=O)CCC2=O)C1 ZINC001276389087 854666584 /nfs/dbraw/zinc/66/65/84/854666584.db2.gz OICNWULSBVRELN-QWRGUYRKSA-N 0 1 293.367 0.146 20 30 CCEDMN C=CCCN1CCO[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001074181686 854689606 /nfs/dbraw/zinc/68/96/06/854689606.db2.gz YKMGVBHNCOZAEI-KGLIPLIRSA-N 0 1 290.367 0.901 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCNC(=O)C2)[C@H]1C ZINC001074600452 854754147 /nfs/dbraw/zinc/75/41/47/854754147.db2.gz OYEDFZKLOQEJOZ-SRVKXCTJSA-N 0 1 299.802 0.844 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)C[C@@H]1C ZINC001075058982 854809408 /nfs/dbraw/zinc/80/94/08/854809408.db2.gz XMUOCMTUZVFDMO-WDEREUQCSA-N 0 1 291.355 0.880 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2COCCO2)C1 ZINC001098735135 854879763 /nfs/dbraw/zinc/87/97/63/854879763.db2.gz BGEKDNGGBOLSPY-GUTXKFCHSA-N 0 1 278.352 0.006 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cn[nH]c2)C1 ZINC001098744355 854881230 /nfs/dbraw/zinc/88/12/30/854881230.db2.gz XKURVWJCXICHRF-JSGCOSHPSA-N 0 1 258.325 0.627 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](C)n2cncn2)C1 ZINC001098799901 854888184 /nfs/dbraw/zinc/88/81/84/854888184.db2.gz SJXZCXLURUWLIJ-YDHLFZDLSA-N 0 1 287.367 0.443 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)Cc2ccn(C)n2)C1 ZINC001098834887 854892330 /nfs/dbraw/zinc/89/23/30/854892330.db2.gz YGFYVKRGXCFDLB-BBRMVZONSA-N 0 1 286.379 0.567 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CNC(C)=O ZINC001098854871 854893035 /nfs/dbraw/zinc/89/30/35/854893035.db2.gz CIBRPXGISQAPQY-ZDUSSCGKSA-N 0 1 279.384 0.363 20 30 CCEDMN C[C@H](CCNC(=O)Cc1nnc[nH]1)Nc1ncccc1C#N ZINC001099484006 854934757 /nfs/dbraw/zinc/93/47/57/854934757.db2.gz SXWDJWSSFZCKEW-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)CCCC(C)=O)[C@@H](O)C1 ZINC001099671613 854962407 /nfs/dbraw/zinc/96/24/07/854962407.db2.gz KQANSAYMPBLXIH-KBPBESRZSA-N 0 1 280.368 0.320 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(CC#CC)C[C@@H]1O ZINC001099725748 854977967 /nfs/dbraw/zinc/97/79/67/854977967.db2.gz YIXYKMNSNLDRIC-STQMWFEESA-N 0 1 250.342 0.527 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H]1CCN(CC#CC)C[C@@H]1O ZINC001099765702 854987969 /nfs/dbraw/zinc/98/79/69/854987969.db2.gz AKBFBTSBRKMJRY-CABCVRRESA-N 0 1 294.395 0.544 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CF)CCC2)[C@H](O)C1 ZINC001100012800 855070458 /nfs/dbraw/zinc/07/04/58/855070458.db2.gz CXBAOFXHQBHSKT-VXGBXAGGSA-N 0 1 270.348 0.864 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CC(NCc2nccn2C)C1 ZINC001100190033 855114514 /nfs/dbraw/zinc/11/45/14/855114514.db2.gz HTTJTNHTNBLAND-UNXYVOJBSA-N 0 1 275.356 0.564 20 30 CCEDMN Cc1nnc(CNC2CC(CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001100196826 855116406 /nfs/dbraw/zinc/11/64/06/855116406.db2.gz VFYXXCLXWKXBNL-PUSIOWJLSA-N 0 1 276.344 0.257 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001100949664 855246161 /nfs/dbraw/zinc/24/61/61/855246161.db2.gz FIIWNTLVSOKESO-VHSXEESVSA-N 0 1 299.338 0.455 20 30 CCEDMN C[C@@H](CC(=O)N1CCOCC1)NCc1cc(C#N)co1 ZINC001256333965 855247585 /nfs/dbraw/zinc/24/75/85/855247585.db2.gz QBQGWYRGZBLGHS-NSHDSACASA-N 0 1 277.324 0.878 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)CCC)[C@H](C)C1 ZINC001101680077 855342335 /nfs/dbraw/zinc/34/23/35/855342335.db2.gz WKPAMVUQUAOFOY-CHWSQXEVSA-N 0 1 279.384 0.220 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](COC)OC)C1 ZINC001111867505 855602076 /nfs/dbraw/zinc/60/20/76/855602076.db2.gz MGSCVJSEKZQRAW-LZWOXQAQSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1nccs1 ZINC001115258429 855656656 /nfs/dbraw/zinc/65/66/56/855656656.db2.gz CMPILVYRZPANTE-YABSGUDNSA-N 0 1 275.377 0.755 20 30 CCEDMN CN1CCC(C#N)(NC(=O)/C=C/c2ccncn2)CC1 ZINC001116558298 855788044 /nfs/dbraw/zinc/78/80/44/855788044.db2.gz RORWBTIZAVYWMU-NSCUHMNNSA-N 0 1 271.324 0.594 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)[C@H](C)n1cnc(C#N)n1 ZINC001116903161 855861495 /nfs/dbraw/zinc/86/14/95/855861495.db2.gz PSKSXQBIOCPXHN-QMMMGPOBSA-N 0 1 259.273 0.059 20 30 CCEDMN Cn1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1C(F)F ZINC001118264977 856231695 /nfs/dbraw/zinc/23/16/95/856231695.db2.gz QCCWCRLLYGOEOV-HTRCEHHLSA-N 0 1 269.255 0.199 20 30 CCEDMN C=CCOC[C@@H](NC(=O)C[N@H+](CC)C1CC1)C(=O)[O-] ZINC001119580699 856753000 /nfs/dbraw/zinc/75/30/00/856753000.db2.gz PHOOXRISOXRLOA-LLVKDONJSA-N 0 1 270.329 0.243 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC001392892328 912244074 /nfs/dbraw/zinc/24/40/74/912244074.db2.gz BNRXJBRVUGOHGW-ZJUUUORDSA-N 0 1 286.759 0.786 20 30 CCEDMN C#Cc1ccc(C(=O)NCC2(NCC(=O)N(C)C)CC2)cc1 ZINC001323507988 912378159 /nfs/dbraw/zinc/37/81/59/912378159.db2.gz FDQUDXNGUXYKAN-UHFFFAOYSA-N 0 1 299.374 0.608 20 30 CCEDMN CN(C(=O)C#CC1CC1)C1CN(C[C@H]2C[C@]23CCOC3)C1 ZINC001323921502 912581661 /nfs/dbraw/zinc/58/16/61/912581661.db2.gz OYDFHPPXVQIFMH-PBHICJAKSA-N 0 1 288.391 0.969 20 30 CCEDMN C#CCN(C(=O)c1ccc(C)nn1)C1CCN(CC#C)CC1 ZINC001324386854 912820309 /nfs/dbraw/zinc/82/03/09/912820309.db2.gz MMYKIBBPZBUGLQ-UHFFFAOYSA-N 0 1 296.374 0.958 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001325162796 913249551 /nfs/dbraw/zinc/24/95/51/913249551.db2.gz WSXVQYWKPZBDRD-OAHLLOKOSA-N 0 1 294.301 0.765 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1c(C)[nH]nc1Cl ZINC001266280953 891416783 /nfs/dbraw/zinc/41/67/83/891416783.db2.gz ZICQWUBJXWZVPG-UHFFFAOYSA-N 0 1 298.774 0.683 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cn(CC(F)F)nc1C ZINC001266286783 891424081 /nfs/dbraw/zinc/42/40/81/891424081.db2.gz YRYQEYJONZHAED-UHFFFAOYSA-N 0 1 284.310 0.751 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H](C)C(C)(F)F ZINC001266326960 891446486 /nfs/dbraw/zinc/44/64/86/891446486.db2.gz RUTBBYVBTZBYEN-NSHDSACASA-N 0 1 276.327 0.976 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C1(CCF)CC1 ZINC001480894156 891701470 /nfs/dbraw/zinc/70/14/70/891701470.db2.gz NZNNWMDBPNLOTP-UHFFFAOYSA-N 0 1 270.348 0.824 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)[C@H](CC)OC)C1 ZINC001325820378 913591524 /nfs/dbraw/zinc/59/15/24/913591524.db2.gz RRPQPZQWPQSSDY-GXTWGEPZSA-N 0 1 270.373 0.541 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cccc(-n2cncn2)n1 ZINC001480930073 891862380 /nfs/dbraw/zinc/86/23/80/891862380.db2.gz LRVWLJCHFGGHEK-UHFFFAOYSA-N 0 1 298.350 0.347 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)CO[C@@H](C)CC)C1 ZINC001325833066 913599913 /nfs/dbraw/zinc/59/99/13/913599913.db2.gz HBVKNIKOJLRRFG-ZFWWWQNUSA-N 0 1 284.400 0.931 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H](C)c1cccnc1 ZINC001480937527 891898950 /nfs/dbraw/zinc/89/89/50/891898950.db2.gz GUNGQMOQYSDZEL-CQSZACIVSA-N 0 1 289.379 0.883 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CCc2nnc(C)n2C1 ZINC001480949970 891929314 /nfs/dbraw/zinc/92/93/14/891929314.db2.gz ONTGYPZXWRYEIN-ZDUSSCGKSA-N 0 1 289.383 0.220 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CO[C@H](C)C1 ZINC001480984203 892017584 /nfs/dbraw/zinc/01/75/84/892017584.db2.gz DHWROJFXKVEDJE-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnn2ncccc12 ZINC001480980560 892009297 /nfs/dbraw/zinc/00/92/97/892009297.db2.gz JSCNFEUALGHGTO-UHFFFAOYSA-N 0 1 271.324 0.414 20 30 CCEDMN C=CCCN(CC)CCNC(=O)CC1CS(=O)(=O)C1 ZINC001480986032 892014564 /nfs/dbraw/zinc/01/45/64/892014564.db2.gz YNLNWCOSVZUVDK-UHFFFAOYSA-N 0 1 288.413 0.435 20 30 CCEDMN CCN(C)C(=O)CN1CC[C@@H](CNC(=O)C#CC(C)C)C1 ZINC001481088067 892149560 /nfs/dbraw/zinc/14/95/60/892149560.db2.gz VIPABNFBNWITEC-AWEZNQCLSA-N 0 1 293.411 0.562 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)[C@@H](C)C2CC2)C1 ZINC001481090455 892153428 /nfs/dbraw/zinc/15/34/28/892153428.db2.gz URGRRSDOQSGMIN-QWHCGFSZSA-N 0 1 291.395 0.220 20 30 CCEDMN CC#CCN(CCNC(=O)[C@@H]1CCCO1)C1CC1 ZINC001481157279 892248532 /nfs/dbraw/zinc/24/85/32/892248532.db2.gz PPTIIRUTJIEZJN-ZDUSSCGKSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1coc(C)c1 ZINC001283743091 892326564 /nfs/dbraw/zinc/32/65/64/892326564.db2.gz DKNIVQPDOQYJPG-LBPRGKRZSA-N 0 1 250.298 0.292 20 30 CCEDMN Cc1oncc1CNCCN(C)C(=O)C#CC1CC1 ZINC001481228748 892400155 /nfs/dbraw/zinc/40/01/55/892400155.db2.gz FFGIFUKHCZJOFA-UHFFFAOYSA-N 0 1 261.325 0.944 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1n[nH]nc1C ZINC001481264998 892453435 /nfs/dbraw/zinc/45/34/35/892453435.db2.gz VGJHQYYOTHHERN-UHFFFAOYSA-N 0 1 257.725 0.527 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)Cn1cccn1 ZINC001325979468 913673985 /nfs/dbraw/zinc/67/39/85/913673985.db2.gz GZLRAWXAHAUWAK-UHFFFAOYSA-N 0 1 286.763 0.046 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@H]1CNC(=O)c1cn(CC)nn1 ZINC001028230400 892972551 /nfs/dbraw/zinc/97/25/51/892972551.db2.gz ALFLCTZUUXZSKO-LBPRGKRZSA-N 0 1 275.356 0.516 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cn(CC)nn1 ZINC001028230400 892972561 /nfs/dbraw/zinc/97/25/61/892972561.db2.gz ALFLCTZUUXZSKO-LBPRGKRZSA-N 0 1 275.356 0.516 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1COCCO1 ZINC001481789416 893159576 /nfs/dbraw/zinc/15/95/76/893159576.db2.gz BALWACSRFAJFRA-WDEREUQCSA-N 0 1 276.764 0.639 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H](C)C#N)NCc1cc2n(n1)CCC2 ZINC001481798330 893160244 /nfs/dbraw/zinc/16/02/44/893160244.db2.gz NQOLABKHUOJWRR-NWDGAFQWSA-N 0 1 289.383 0.973 20 30 CCEDMN C[C@H](CNCc1cnon1)CNC(=O)[C@@H](C)C#N ZINC001482092366 893386166 /nfs/dbraw/zinc/38/61/66/893386166.db2.gz YEIVTJVCJMFRPM-BDAKNGLRSA-N 0 1 251.290 0.071 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001284310380 893503195 /nfs/dbraw/zinc/50/31/95/893503195.db2.gz TWYIXQGCKSYNFB-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001284310380 893503206 /nfs/dbraw/zinc/50/32/06/893503206.db2.gz TWYIXQGCKSYNFB-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)Cc1ccccc1 ZINC001284501621 893583490 /nfs/dbraw/zinc/58/34/90/893583490.db2.gz GQVSACBLXWDCFR-HNNXBMFYSA-N 0 1 274.364 0.613 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CN1CCOCC1 ZINC001482273545 893731918 /nfs/dbraw/zinc/73/19/18/893731918.db2.gz MNMOVLQVJFUKGK-GFCCVEGCSA-N 0 1 289.807 0.508 20 30 CCEDMN CCN(CCNC(=O)C#CC(C)C)C(=O)c1cc(C)[nH]n1 ZINC001496736771 894202106 /nfs/dbraw/zinc/20/21/06/894202106.db2.gz IQXJCMXEPLPVMO-UHFFFAOYSA-N 0 1 290.367 0.956 20 30 CCEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)[C@@H]1CCN(C)C1=O ZINC001505443865 894215564 /nfs/dbraw/zinc/21/55/64/894215564.db2.gz SHBQUTXNOZFFRN-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)C1(COC)CC1 ZINC001482613271 894409350 /nfs/dbraw/zinc/40/93/50/894409350.db2.gz DTYRGPOFWMNVAZ-UHFFFAOYSA-N 0 1 282.384 0.453 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001482622020 894420946 /nfs/dbraw/zinc/42/09/46/894420946.db2.gz BWAAPAZXKMWFLN-LLVKDONJSA-N 0 1 275.356 0.248 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ocnc1C ZINC001482717412 894515855 /nfs/dbraw/zinc/51/58/55/894515855.db2.gz BWXVHWQMVNDXLS-SECBINFHSA-N 0 1 273.720 0.416 20 30 CCEDMN Cc1nn(C)c(Cl)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC001326307348 913881594 /nfs/dbraw/zinc/88/15/94/913881594.db2.gz YLCFKGHKLXSRBO-HTQZYQBOSA-N 0 1 267.720 0.223 20 30 CCEDMN C[C@@H](CCNCc1cnn(C)n1)NC(=O)CSCC#N ZINC001482809142 894622704 /nfs/dbraw/zinc/62/27/04/894622704.db2.gz AUJJVODROYCQCL-JTQLQIEISA-N 0 1 296.400 0.056 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H](C)CCNCc2cnon2)c1 ZINC001482870661 894662651 /nfs/dbraw/zinc/66/26/51/894662651.db2.gz SEKIWONORLMNFB-NSHDSACASA-N 0 1 299.334 0.744 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H]1CN(C)C(=O)CN1CCCC1 ZINC001396477079 913897045 /nfs/dbraw/zinc/89/70/45/913897045.db2.gz HSXMLTDHUZNWBF-STQMWFEESA-N 0 1 292.383 0.301 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)c1ccc[nH]1 ZINC001482981186 894754458 /nfs/dbraw/zinc/75/44/58/894754458.db2.gz OBVUMGCRRKCCEI-ONEGZZNKSA-N 0 1 276.340 0.193 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)CCn1ccnn1 ZINC001483005381 894792972 /nfs/dbraw/zinc/79/29/72/894792972.db2.gz YOMFVQWUCOCFTD-IHWYPQMZSA-N 0 1 283.763 0.683 20 30 CCEDMN C=C(C)CCC(=O)NC/C=C/CN[C@H]1CCNC1=O ZINC001483014059 894803623 /nfs/dbraw/zinc/80/36/23/894803623.db2.gz JDZCYQZHYRCFCD-PCAWENJQSA-N 0 1 265.357 0.493 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@H]2[C@@H](CCN2CC(N)=O)C1 ZINC001496860507 895349801 /nfs/dbraw/zinc/34/98/01/895349801.db2.gz VEEACPNUQWOATQ-UONOGXRCSA-N 0 1 291.395 0.588 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CN(C)CC#CCOC ZINC001483330542 895465120 /nfs/dbraw/zinc/46/51/20/895465120.db2.gz FKPYWHSBZBCCGT-CQSZACIVSA-N 0 1 264.369 0.876 20 30 CCEDMN C=C(C)CCN(C)C[C@H](C)NC(=O)C1CS(=O)(=O)C1 ZINC001483341731 895481436 /nfs/dbraw/zinc/48/14/36/895481436.db2.gz NWUQEMZLNCLOMI-NSHDSACASA-N 0 1 288.413 0.434 20 30 CCEDMN CC#CC[N@@H+](C)C[C@@H](C)NC(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001483404108 895545708 /nfs/dbraw/zinc/54/57/08/895545708.db2.gz PMNTWZDCJLRNBP-CJNGLKHVSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001483404108 895545718 /nfs/dbraw/zinc/54/57/18/895545718.db2.gz PMNTWZDCJLRNBP-CJNGLKHVSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnn2ccc(C)nc12 ZINC001483411417 895550527 /nfs/dbraw/zinc/55/05/27/895550527.db2.gz UYYMJNUIIMPMSQ-GFCCVEGCSA-N 0 1 285.351 0.721 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnc(COC)s1 ZINC001483425731 895558627 /nfs/dbraw/zinc/55/86/27/895558627.db2.gz GSUUWSQTIHRIEA-JTQLQIEISA-N 0 1 281.381 0.973 20 30 CCEDMN CCOC(=O)CON=C(C(=O)NC1(C)CC1)c1ccn[nH]1 ZINC001326505247 913991724 /nfs/dbraw/zinc/99/17/24/913991724.db2.gz JNUMTFWDPJZYHZ-UHFFFAOYSA-N 0 1 294.311 0.362 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN1C[C@@H](O)COC ZINC001483682460 895847380 /nfs/dbraw/zinc/84/73/80/895847380.db2.gz CFFVMAWXQMXRBX-QWHCGFSZSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)COC[C@@H]1CCCO1 ZINC001483705835 895884827 /nfs/dbraw/zinc/88/48/27/895884827.db2.gz JYBKWRUIKKPDIB-KBPBESRZSA-N 0 1 282.384 0.949 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)c3nc[nH]n3)CCC[C@H]12 ZINC000992336475 895907107 /nfs/dbraw/zinc/90/71/07/895907107.db2.gz XYEJNRXVCKPXAM-JOYOIKCWSA-N 0 1 260.301 0.055 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)c3ncn[nH]3)CCC[C@H]12 ZINC000992336475 895907116 /nfs/dbraw/zinc/90/71/16/895907116.db2.gz XYEJNRXVCKPXAM-JOYOIKCWSA-N 0 1 260.301 0.055 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C[C@H]2CCCO2)CC1 ZINC001483718259 895908983 /nfs/dbraw/zinc/90/89/83/895908983.db2.gz LEJJDQFSLYXYHU-GFCCVEGCSA-N 0 1 295.383 0.096 20 30 CCEDMN C=CCCC(=O)NCC1(N[C@@H](C)C(=O)N(C)C)CC1 ZINC001483721146 895915533 /nfs/dbraw/zinc/91/55/33/895915533.db2.gz PFWDWOFBKDMOTN-NSHDSACASA-N 0 1 267.373 0.668 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H]2CC=CCC2)C1 ZINC001077519085 895930113 /nfs/dbraw/zinc/93/01/13/895930113.db2.gz CLTBESYURPOLOB-RRFJBIMHSA-N 0 1 276.380 0.917 20 30 CCEDMN C#CCN(C(=O)c1cnn(C)n1)C1CCN(CC#CC)CC1 ZINC001483987767 896144876 /nfs/dbraw/zinc/14/48/76/896144876.db2.gz CYTDOOMEQGZMCR-UHFFFAOYSA-N 0 1 299.378 0.378 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@](C)(NC(C)=O)C1 ZINC001484026444 896156589 /nfs/dbraw/zinc/15/65/89/896156589.db2.gz UOELDYRIOQYGEA-MFKMUULPSA-N 0 1 253.346 0.278 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)CC(C)(C)O)C1 ZINC001484044035 896170070 /nfs/dbraw/zinc/17/00/70/896170070.db2.gz CLDFSAUIFNRTHG-CQSZACIVSA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C(C)(C)CC)C1 ZINC001484234041 896271899 /nfs/dbraw/zinc/27/18/99/896271899.db2.gz RMQYMZOSYWBTKE-AWEZNQCLSA-N 0 1 252.358 0.609 20 30 CCEDMN CCC(CC)CN1CC(O)(CNC(=O)[C@H](C)C#N)C1 ZINC001484264837 896293544 /nfs/dbraw/zinc/29/35/44/896293544.db2.gz SVMOJHLVBDAKCE-LLVKDONJSA-N 0 1 267.373 0.745 20 30 CCEDMN CC(C)C[C@H](C)CN1CC(O)(CNC(=O)[C@H](C)C#N)C1 ZINC001484264661 896294257 /nfs/dbraw/zinc/29/42/57/896294257.db2.gz QVXNNXNHYHIPDC-QWHCGFSZSA-N 0 1 281.400 0.991 20 30 CCEDMN C[C@@H](NCC#N)[C@H]1CCN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001484344793 896350086 /nfs/dbraw/zinc/35/00/86/896350086.db2.gz ANWQTXVOSBHZMB-ZJUUUORDSA-N 0 1 277.328 0.089 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)CCOC)C1 ZINC001484423860 896389224 /nfs/dbraw/zinc/38/92/24/896389224.db2.gz IHOKFKNLEFIWJX-AWEZNQCLSA-N 0 1 270.373 0.542 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCn3ccnc3C2)CC1 ZINC001326657402 914082084 /nfs/dbraw/zinc/08/20/84/914082084.db2.gz UONRRGVJDPFFPO-LBPRGKRZSA-N 0 1 287.367 0.550 20 30 CCEDMN C=CCN(C)CCCN(C)C(=O)CS(=O)(=O)CC ZINC001484768880 896601930 /nfs/dbraw/zinc/60/19/30/896601930.db2.gz JEKGCOCNCBBVAP-UHFFFAOYSA-N 0 1 276.402 0.387 20 30 CCEDMN CCC1(NCC#N)CCN(C(=O)c2ccn[nH]2)CC1 ZINC001484807249 896611596 /nfs/dbraw/zinc/61/15/96/896611596.db2.gz QFQKGIAQSOHQEZ-UHFFFAOYSA-N 0 1 261.329 0.908 20 30 CCEDMN CC#CCCNC(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC001326663901 914086001 /nfs/dbraw/zinc/08/60/01/914086001.db2.gz WRZXRDDAEQNCJA-NSHDSACASA-N 0 1 274.324 0.433 20 30 CCEDMN C[C@H]1C[C@H](NC(=O)CN(C)C2CCC2)CCN1CC#N ZINC001484976528 896692977 /nfs/dbraw/zinc/69/29/77/896692977.db2.gz CRLWXODWWLBHCB-QWHCGFSZSA-N 0 1 278.400 0.963 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)Cn1cc(C)cn1 ZINC001485051329 896735470 /nfs/dbraw/zinc/73/54/70/896735470.db2.gz MQIFBBUVNYYIBH-AWEZNQCLSA-N 0 1 274.368 0.748 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)Cn1cc(C)cn1 ZINC001485051330 896736486 /nfs/dbraw/zinc/73/64/86/896736486.db2.gz MQIFBBUVNYYIBH-CQSZACIVSA-N 0 1 274.368 0.748 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)N(C)[C@H](CC)C(N)=O ZINC001485339907 896965062 /nfs/dbraw/zinc/96/50/62/896965062.db2.gz MHJIPGLPKGSEAF-CHWSQXEVSA-N 0 1 281.400 0.880 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnn2cc(C)cnc12 ZINC001485357531 897002244 /nfs/dbraw/zinc/00/22/44/897002244.db2.gz STBRGEJYHWABHO-LBPRGKRZSA-N 0 1 285.351 0.721 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCn2cncn2)C1 ZINC001485493950 897079492 /nfs/dbraw/zinc/07/94/92/897079492.db2.gz UFRRAXLTJYJNIP-LBPRGKRZSA-N 0 1 275.356 0.128 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001485500472 897086399 /nfs/dbraw/zinc/08/63/99/897086399.db2.gz ISYZKSALJQBEJJ-WDEREUQCSA-N 0 1 266.341 0.562 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C2(C(N)=O)CC2)C(C)(C)C1 ZINC001485576533 897135635 /nfs/dbraw/zinc/13/56/35/897135635.db2.gz FSJPKVLSGBTKDN-LLVKDONJSA-N 0 1 277.368 0.102 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cncnc1 ZINC001032370006 897323350 /nfs/dbraw/zinc/32/33/50/897323350.db2.gz FGNZOHFGHLVHQK-STQMWFEESA-N 0 1 258.325 0.951 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001326777406 914161592 /nfs/dbraw/zinc/16/15/92/914161592.db2.gz MWGOSVNAXIQLSX-VHSXEESVSA-N 0 1 295.343 0.656 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn(C)nc1C ZINC001032449876 897581962 /nfs/dbraw/zinc/58/19/62/897581962.db2.gz RTXOCKATJYSSSH-GJZGRUSLSA-N 0 1 286.379 0.579 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CN(C)C[C@@H](C)O1 ZINC001032473371 897617049 /nfs/dbraw/zinc/61/70/49/897617049.db2.gz MOABBSHTLFTNHU-CBBWQLFWSA-N 0 1 291.395 0.014 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2nc(C)[nH]c2C1 ZINC001032485352 897639797 /nfs/dbraw/zinc/63/97/97/897639797.db2.gz LGGGDTMFPWJIQE-IHRRRGAJSA-N 0 1 298.390 0.741 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C)n1 ZINC001032682896 897928278 /nfs/dbraw/zinc/92/82/78/897928278.db2.gz QAGXFMIGYWIWNG-RYUDHWBXSA-N 0 1 275.356 0.680 20 30 CCEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C)n1 ZINC001032682896 897928287 /nfs/dbraw/zinc/92/82/87/897928287.db2.gz QAGXFMIGYWIWNG-RYUDHWBXSA-N 0 1 275.356 0.680 20 30 CCEDMN C=CCCN1CCOC[C@H]1CNC(=O)Cc1n[nH]c(C)n1 ZINC001272858843 898085126 /nfs/dbraw/zinc/08/51/26/898085126.db2.gz GMZKLHGVNYRSGK-GFCCVEGCSA-N 0 1 293.371 0.049 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cn1ccccc1=O ZINC001032774409 898160995 /nfs/dbraw/zinc/16/09/95/898160995.db2.gz FYKGSVQNWBMNSH-KBPBESRZSA-N 0 1 287.363 0.710 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ncccc2OC)C1 ZINC001078077743 898222559 /nfs/dbraw/zinc/22/25/59/898222559.db2.gz PWRRTSFHQGIMHE-VXGBXAGGSA-N 0 1 291.351 0.441 20 30 CCEDMN C[C@@H](CN(C)C(=O)CSCC#N)NCc1cnnn1C ZINC001485847203 898452936 /nfs/dbraw/zinc/45/29/36/898452936.db2.gz IWEFWVPMVLQSKE-JTQLQIEISA-N 0 1 296.400 0.008 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cnccc1C ZINC001485983226 898570245 /nfs/dbraw/zinc/57/02/45/898570245.db2.gz UJMFHELHQJRPSR-LBPRGKRZSA-N 0 1 261.325 0.094 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1conc1C1CCCC1 ZINC001486003274 898600448 /nfs/dbraw/zinc/60/04/48/898600448.db2.gz XZXVHQNVEGNKPJ-GFCCVEGCSA-N 0 1 291.351 0.646 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1(c2cccnc2)CC1 ZINC001486024946 898600535 /nfs/dbraw/zinc/60/05/35/898600535.db2.gz DINPQJQENNLEKH-CQSZACIVSA-N 0 1 287.363 0.203 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC001486041702 898607985 /nfs/dbraw/zinc/60/79/85/898607985.db2.gz WYEAOGPKXDWANM-DRPJVOAASA-N 0 1 284.359 0.272 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C[C@@H](C)CC(C)C ZINC001486049109 898613459 /nfs/dbraw/zinc/61/34/59/898613459.db2.gz BXENJHQQJFDVDQ-QWHCGFSZSA-N 0 1 254.374 0.759 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1ccc(F)cc1Cl ZINC001486029887 898616737 /nfs/dbraw/zinc/61/67/37/898616737.db2.gz FAPPDHNLOAIEEQ-GFCCVEGCSA-N 0 1 298.745 0.722 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H](C)Cc1ccccc1 ZINC001486063941 898628407 /nfs/dbraw/zinc/62/84/07/898628407.db2.gz VNDBIXDOIQURGI-GOEBONIOSA-N 0 1 288.391 0.955 20 30 CCEDMN C=CCC1(C(=O)NC[C@@H](CO)N[C@H](C)C(N)=O)CCCC1 ZINC001486068051 898632391 /nfs/dbraw/zinc/63/23/91/898632391.db2.gz NPGYBYLBEZGHIU-NEPJUHHUSA-N 0 1 297.399 0.063 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)/C=C/c1ccc[nH]1 ZINC001486331191 898793973 /nfs/dbraw/zinc/79/39/73/898793973.db2.gz VDQRIBUYDBWKEO-HVHJFMEUSA-N 0 1 289.379 0.802 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cnn(C(C)C)c1 ZINC001486355828 898814715 /nfs/dbraw/zinc/81/47/15/898814715.db2.gz KWVBZNMKPYMWDZ-AWEZNQCLSA-N 0 1 292.383 0.462 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1(C)CCCC1 ZINC001486342764 898819821 /nfs/dbraw/zinc/81/98/21/898819821.db2.gz GNEMFNPGYBTAKE-CYBMUJFWSA-N 0 1 266.385 0.951 20 30 CCEDMN O=c1cn[nH]c(=S)n1N=Cc1cc(C(F)(F)F)n[nH]1 ZINC001327030459 914323640 /nfs/dbraw/zinc/32/36/40/914323640.db2.gz ATWLORFWYMIRRD-UHFFFAOYSA-N 0 1 290.230 0.551 20 30 CCEDMN CC#CCCCC(=O)NCC1(N[C@H](C)C(N)=O)CC1 ZINC001323520103 899441993 /nfs/dbraw/zinc/44/19/93/899441993.db2.gz RJNXIYYTTBXDEU-LLVKDONJSA-N 0 1 265.357 0.292 20 30 CCEDMN COc1ccc(CN[C@@H](CO)CNC(C)=O)cc1C#N ZINC001410523605 899452698 /nfs/dbraw/zinc/45/26/98/899452698.db2.gz MXWVVHPJPVQRIE-CYBMUJFWSA-N 0 1 277.324 0.153 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H](CO)NC/C=C/Cl)[nH]1 ZINC001410603756 899491845 /nfs/dbraw/zinc/49/18/45/899491845.db2.gz WUVBKDPLOFVWQR-YEQXMLPJSA-N 0 1 282.731 0.319 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CN(C(=O)[C@@H]2CCCCN2C)C1 ZINC001410919794 899627583 /nfs/dbraw/zinc/62/75/83/899627583.db2.gz FDBIXUNHBHMYHW-AAEUAGOBSA-N 0 1 292.383 0.300 20 30 CCEDMN C=CCC(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001487775825 900127984 /nfs/dbraw/zinc/12/79/84/900127984.db2.gz BOMMBHAWRWWFSP-SNVBAGLBSA-N 0 1 293.371 0.984 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C[C@H](C)NC(=O)C#CC1CC1 ZINC001487857574 900148674 /nfs/dbraw/zinc/14/86/74/900148674.db2.gz MHNXIIVVPLZYDJ-VIFPVBQESA-N 0 1 289.339 0.103 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](CO)CNC(=O)[C@H]1CCCN1C ZINC001487965272 900190279 /nfs/dbraw/zinc/19/02/79/900190279.db2.gz XWLPQLFOGWPTPN-QWHCGFSZSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN(C(=O)c2ccn[nH]2)[C@H]1C ZINC001488118058 900224502 /nfs/dbraw/zinc/22/45/02/900224502.db2.gz HHGASWXXAUOAIC-WDEREUQCSA-N 0 1 292.339 0.332 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CNC(=O)C(C)(C)C ZINC001488692251 900348045 /nfs/dbraw/zinc/34/80/45/900348045.db2.gz WQORLJBLANNQLD-GFCCVEGCSA-N 0 1 281.400 0.867 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H](C)CC)C1 ZINC001489148619 900437502 /nfs/dbraw/zinc/43/75/02/900437502.db2.gz DBGRNTQURQREJS-KBPBESRZSA-N 0 1 266.385 0.951 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)c1ncccn1 ZINC001489219177 900449989 /nfs/dbraw/zinc/44/99/89/900449989.db2.gz QPLPGSBMGHDCDV-UHFFFAOYSA-N 0 1 290.367 0.520 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CC2(O)CCC2)[C@H]1C ZINC001489264463 900456302 /nfs/dbraw/zinc/45/63/02/900456302.db2.gz KIQYNHDDXHZPBP-NEPJUHHUSA-N 0 1 250.342 0.504 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)CCNC(=O)[C@H]2C[C@@H]2C)C1=O ZINC001493002357 900480946 /nfs/dbraw/zinc/48/09/46/900480946.db2.gz VUNTWYYWXUGHEV-MELADBBJSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001489865992 900530607 /nfs/dbraw/zinc/53/06/07/900530607.db2.gz ZCGSQSJJPAMTTO-WDEREUQCSA-N 0 1 291.355 0.786 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H]1CC12CCC2 ZINC001490255872 900584200 /nfs/dbraw/zinc/58/42/00/900584200.db2.gz RBQOVRDXYGTMNO-ZDUSSCGKSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCCCC(=O)NCCCN1CCN(CC#CC)CC1 ZINC001490464412 900645930 /nfs/dbraw/zinc/64/59/30/900645930.db2.gz DONAKRVARLSGCO-UHFFFAOYSA-N 0 1 289.423 0.937 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001490552815 900664706 /nfs/dbraw/zinc/66/47/06/900664706.db2.gz HDVRUSPECSYESA-UONOGXRCSA-N 0 1 292.383 0.874 20 30 CCEDMN Cc1nocc1CNC[C@H](C)NC(=O)CSCC#N ZINC001321790013 900985613 /nfs/dbraw/zinc/98/56/13/900985613.db2.gz JATGUMRQCGVIKN-VIFPVBQESA-N 0 1 282.369 0.834 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@H](C)NC(=O)[C@H](C)C#N)[nH]1 ZINC001412451629 901736490 /nfs/dbraw/zinc/73/64/90/901736490.db2.gz AFVVFPZPEPIZAQ-BDAKNGLRSA-N 0 1 277.328 0.455 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCCN([C@H](C)C(N)=O)CC1 ZINC001327111077 902033901 /nfs/dbraw/zinc/03/39/01/902033901.db2.gz NWKGBKUMFZHSAV-VXGBXAGGSA-N 0 1 267.373 0.797 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)NCc1nc(O)cc(=O)[nH]1 ZINC001413346819 902897287 /nfs/dbraw/zinc/89/72/87/902897287.db2.gz UXPWXXUVYJAYCU-UHFFFAOYSA-N 0 1 284.275 0.998 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@@H]1CN(C)CC1(C)C ZINC001413462030 902967719 /nfs/dbraw/zinc/96/77/19/902967719.db2.gz UELGMGZILAINKS-LLVKDONJSA-N 0 1 288.417 0.397 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H](O)c2cnc[nH]2)ccc1F ZINC001413566739 903058378 /nfs/dbraw/zinc/05/83/78/903058378.db2.gz VTOABFAEVSSJBJ-LBPRGKRZSA-N 0 1 274.255 0.884 20 30 CCEDMN COCC#CCN1CCC[C@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001491098534 903359396 /nfs/dbraw/zinc/35/93/96/903359396.db2.gz OYCVQTIWWHGQCE-HUUCEWRRSA-N 0 1 294.395 0.501 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)[C@H]1CCCN(CC(N)=O)C1 ZINC001491287751 903486794 /nfs/dbraw/zinc/48/67/94/903486794.db2.gz IEJBHPXIHGUEKV-KGLIPLIRSA-N 0 1 293.411 0.882 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)NCc1cc2n(n1)CCCC2 ZINC001491413668 903562963 /nfs/dbraw/zinc/56/29/63/903562963.db2.gz GGQPLYUPZUZEFI-NEPJUHHUSA-N 0 1 289.383 0.973 20 30 CCEDMN C=CCCCC(=O)NCCNC(=O)c1cnn[nH]1 ZINC001491441131 903595211 /nfs/dbraw/zinc/59/52/11/903595211.db2.gz MBGGHDCPMNTMIS-UHFFFAOYSA-N 0 1 251.290 0.007 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)NCc1ccn(CC)n1 ZINC001491441214 903597195 /nfs/dbraw/zinc/59/71/95/903597195.db2.gz KDZRUMWPKVJGIX-IUODEOHRSA-N 0 1 294.399 0.824 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001159767827 903766700 /nfs/dbraw/zinc/76/67/00/903766700.db2.gz NYYGSZRGUOMHBU-AWEZNQCLSA-N 0 1 289.379 0.284 20 30 CCEDMN C#CCCCCCC(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001332124054 904056503 /nfs/dbraw/zinc/05/65/03/904056503.db2.gz KWBHOCQNIHPKEM-UHFFFAOYSA-N 0 1 291.355 0.593 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](CO)NC(=O)CN1CCCC1 ZINC001281088625 904216402 /nfs/dbraw/zinc/21/64/02/904216402.db2.gz IQZBWJLIVJQCNQ-ZDUSSCGKSA-N 0 1 297.399 0.032 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCCC(=O)NC)C(C)(C)C1 ZINC001281545678 904302341 /nfs/dbraw/zinc/30/23/41/904302341.db2.gz CWFDQQXCFKIVRG-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H](CC)N1CCCC1=O ZINC001282495646 904492163 /nfs/dbraw/zinc/49/21/63/904492163.db2.gz UQGJTAAOAGCBFV-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H](CC)N1CCCC1=O ZINC001282493721 904492610 /nfs/dbraw/zinc/49/26/10/904492610.db2.gz ITWBCRMUKFMROY-QWHCGFSZSA-N 0 1 279.384 0.457 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1COC(=O)N1 ZINC001405219270 918660551 /nfs/dbraw/zinc/66/05/51/918660551.db2.gz JVYGYJXCSQXDJE-IUCAKERBSA-N 0 1 275.736 0.332 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001283266442 904784718 /nfs/dbraw/zinc/78/47/18/904784718.db2.gz ZIFFYSBSEIXVJP-WDEREUQCSA-N 0 1 291.355 0.370 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCNC(=O)[C@@H]1CCCCN1C ZINC001283624858 904933819 /nfs/dbraw/zinc/93/38/19/904933819.db2.gz YMUIIHSHBVEFSK-STQMWFEESA-N 0 1 294.399 0.595 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc(C(F)F)c1 ZINC001283822466 905036943 /nfs/dbraw/zinc/03/69/43/905036943.db2.gz CGIJQMSSGYCGCV-GFCCVEGCSA-N 0 1 282.290 0.938 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001284254349 905220613 /nfs/dbraw/zinc/22/06/13/905220613.db2.gz FZKBSUWJGSQPGE-SECBINFHSA-N 0 1 265.317 0.396 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001284254349 905220633 /nfs/dbraw/zinc/22/06/33/905220633.db2.gz FZKBSUWJGSQPGE-SECBINFHSA-N 0 1 265.317 0.396 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@@H](O)CNCC(=C)Cl ZINC001284419040 905285523 /nfs/dbraw/zinc/28/55/23/905285523.db2.gz XUPJXZPMPFNISK-RYUDHWBXSA-N 0 1 288.775 0.186 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H](C)OCC1CC1 ZINC001284483526 905314955 /nfs/dbraw/zinc/31/49/55/905314955.db2.gz UAAWBEAVFKIMNO-TZMCWYRMSA-N 0 1 282.384 0.186 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1oc(CC)nc1C ZINC001284517415 905352193 /nfs/dbraw/zinc/35/21/93/905352193.db2.gz VDVXZTQRGWENHU-LBPRGKRZSA-N 0 1 293.367 0.543 20 30 CCEDMN C=CCN(CCNC(=O)[C@H]1CCO[C@H]1C)CCOC ZINC001284669135 905413748 /nfs/dbraw/zinc/41/37/48/905413748.db2.gz UVRSUQSRRUMMSE-STQMWFEESA-N 0 1 270.373 0.662 20 30 CCEDMN C#CCN1CC=C(CNC(=O)CCc2nc(C)no2)CC1 ZINC001284939073 905499123 /nfs/dbraw/zinc/49/91/23/905499123.db2.gz UAPGXSQGPBDHEO-UHFFFAOYSA-N 0 1 288.351 0.692 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001285778810 905763142 /nfs/dbraw/zinc/76/31/42/905763142.db2.gz UATHFACEOZAMJZ-YGRLFVJLSA-N 0 1 293.371 0.984 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001285778810 905763153 /nfs/dbraw/zinc/76/31/53/905763153.db2.gz UATHFACEOZAMJZ-YGRLFVJLSA-N 0 1 293.371 0.984 20 30 CCEDMN C[C@H](CN(C)C(=O)C#CC1CC1)NC(=O)CN1CCCC1 ZINC001287613048 905940887 /nfs/dbraw/zinc/94/08/87/905940887.db2.gz UTXOVXTWKCWWBR-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1nccnc1C(N)=O ZINC001379458716 906255695 /nfs/dbraw/zinc/25/56/95/906255695.db2.gz MDCRSRGEKZYNBB-MRVPVSSYSA-N 0 1 297.746 0.036 20 30 CCEDMN Cc1nccnc1CNC[C@H](C)NC(=O)c1ccc(C#N)[nH]1 ZINC001379494333 906301308 /nfs/dbraw/zinc/30/13/08/906301308.db2.gz YPOGWKMRPMZZBE-JTQLQIEISA-N 0 1 298.350 0.893 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1ccon1 ZINC001379770078 906475849 /nfs/dbraw/zinc/47/58/49/906475849.db2.gz UBRPIOWCGFPJIC-SECBINFHSA-N 0 1 273.720 0.450 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)c2cnncc2[O-])C1 ZINC001337355022 921239638 /nfs/dbraw/zinc/23/96/38/921239638.db2.gz FFRBZUCBZOCTGA-SNVBAGLBSA-N 0 1 260.297 0.010 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnncc2[O-])C1 ZINC001337355022 921239641 /nfs/dbraw/zinc/23/96/41/921239641.db2.gz FFRBZUCBZOCTGA-SNVBAGLBSA-N 0 1 260.297 0.010 20 30 CCEDMN C[C@@H](O)CCCN1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001379961422 906557343 /nfs/dbraw/zinc/55/73/43/906557343.db2.gz GHEBEYNCDBVRFY-RISCZKNCSA-N 0 1 290.367 0.851 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](NC(=O)c1cnn[nH]1)C1CC1 ZINC001294887827 906648225 /nfs/dbraw/zinc/64/82/25/906648225.db2.gz KWIDNTUNHZPSCN-NSHDSACASA-N 0 1 289.339 0.089 20 30 CCEDMN CCNC(=O)CN1CC[C@@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC001380204834 906670766 /nfs/dbraw/zinc/67/07/66/906670766.db2.gz JLMIKJJZPNVKQJ-IUODEOHRSA-N 0 1 292.383 0.207 20 30 CCEDMN C[C@H]1[C@H](NC(=O)C(=O)Nc2cccc(C#N)c2)CCN1C ZINC001337587197 921273516 /nfs/dbraw/zinc/27/35/16/921273516.db2.gz PDPHGRMBWZRXJD-GXFFZTMASA-N 0 1 286.335 0.706 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001297744560 907112194 /nfs/dbraw/zinc/11/21/94/907112194.db2.gz OBNGOSNFSZYTKD-NSHDSACASA-N 0 1 291.355 0.019 20 30 CCEDMN C=CCCC(=O)NC[C@H](O)CNC(=O)[C@@H]1CCCC[N@@H+]1C ZINC001298156699 907166775 /nfs/dbraw/zinc/16/67/75/907166775.db2.gz FKSJKLBQNFHEOF-STQMWFEESA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCCC(=O)NC[C@H](O)CNC(=O)[C@@H]1CCCCN1C ZINC001298156699 907166784 /nfs/dbraw/zinc/16/67/84/907166784.db2.gz FKSJKLBQNFHEOF-STQMWFEESA-N 0 1 297.399 0.030 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H]1CCN1C(=O)Cc1ncn[nH]1 ZINC001299043484 907377111 /nfs/dbraw/zinc/37/71/11/907377111.db2.gz NRDWNFIWBMDKNC-LLVKDONJSA-N 0 1 291.355 0.421 20 30 CCEDMN CC(C)(CC#N)CNC(=O)CCCc1nn[nH]n1 ZINC001299140474 907395436 /nfs/dbraw/zinc/39/54/36/907395436.db2.gz OZPWCECQOWQKQC-UHFFFAOYSA-N 0 1 250.306 0.578 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CNC(=O)c2ccccc21 ZINC001492284743 907829334 /nfs/dbraw/zinc/82/93/34/907829334.db2.gz HYFZTOQJUKKQPU-OAHLLOKOSA-N 0 1 299.374 0.585 20 30 CCEDMN CSCC[C@H](NC(=O)[C@@H](C)C#N)c1nn[n-]n1 ZINC001301159419 907954514 /nfs/dbraw/zinc/95/45/14/907954514.db2.gz RUYYEGLSIILMEV-BQBZGAKWSA-N 0 1 254.319 0.270 20 30 CCEDMN CSCC[C@H](NC(=O)[C@@H](C)C#N)c1nn[nH]n1 ZINC001301159419 907954531 /nfs/dbraw/zinc/95/45/31/907954531.db2.gz RUYYEGLSIILMEV-BQBZGAKWSA-N 0 1 254.319 0.270 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@H](NCC(=C)Cl)C1 ZINC001317299488 908049958 /nfs/dbraw/zinc/04/99/58/908049958.db2.gz FVGOEVZIXCBADP-LBPRGKRZSA-N 0 1 270.760 0.969 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001302935994 908049314 /nfs/dbraw/zinc/04/93/14/908049314.db2.gz GLKOUEPCBZUVCW-AEJSXWLSSA-N 0 1 263.301 0.107 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccc(F)nc1 ZINC001317443490 908240044 /nfs/dbraw/zinc/24/00/44/908240044.db2.gz QBEWGFWTRWEWJI-UHFFFAOYSA-N 0 1 279.315 0.532 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H]1CCCOCC1 ZINC001317473872 908387425 /nfs/dbraw/zinc/38/74/25/908387425.db2.gz BOZJXQRRFCWRSY-ZDUSSCGKSA-N 0 1 252.358 0.874 20 30 CCEDMN CN1CC[C@@H](NC(=O)C(=O)Nc2sccc2C#N)C1 ZINC001338246591 921404608 /nfs/dbraw/zinc/40/46/08/921404608.db2.gz JXGUUMSEEMWSTF-SECBINFHSA-N 0 1 278.337 0.379 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1nc(OC)cc(OC)n1 ZINC001317481856 908488758 /nfs/dbraw/zinc/48/87/58/908488758.db2.gz LZFNJGAQAYIPTA-UHFFFAOYSA-N 0 1 292.339 0.179 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)[C@H](C)COC ZINC001317496637 908529727 /nfs/dbraw/zinc/52/97/27/908529727.db2.gz OWQPOLTWDIDOPN-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)n2cncn2)CC1 ZINC001313443868 908671400 /nfs/dbraw/zinc/67/14/00/908671400.db2.gz FNTBZQQKQMKYMP-CYBMUJFWSA-N 0 1 277.372 0.950 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)NC(N)=O)C1 ZINC001316941598 908695965 /nfs/dbraw/zinc/69/59/65/908695965.db2.gz SDXBOYZFKBPKKR-QWRGUYRKSA-N 0 1 268.361 0.200 20 30 CCEDMN CC#CCCCC(=O)NCCN1CCN(C[C@@H](C)O)CC1 ZINC001316967092 908706117 /nfs/dbraw/zinc/70/61/17/908706117.db2.gz QPIPHNNNVBWRLS-OAHLLOKOSA-N 0 1 295.427 0.295 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001316983219 908710311 /nfs/dbraw/zinc/71/03/11/908710311.db2.gz UKBIOCIWUUKJRX-QWHCGFSZSA-N 0 1 295.383 0.048 20 30 CCEDMN CC#CC[N@@H+](C)C[C@@H]1CCCCN1C(=O)c1nnn(C)n1 ZINC001316805189 908776159 /nfs/dbraw/zinc/77/61/59/908776159.db2.gz QPNKXQVHNHWBEA-LBPRGKRZSA-N 0 1 290.371 0.160 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)c1nnn(C)n1 ZINC001316805189 908776174 /nfs/dbraw/zinc/77/61/74/908776174.db2.gz QPNKXQVHNHWBEA-LBPRGKRZSA-N 0 1 290.371 0.160 20 30 CCEDMN COCC#CCN1CCC(N(C)C(=O)c2cnon2)CC1 ZINC001316934307 908854496 /nfs/dbraw/zinc/85/44/96/908854496.db2.gz WZMSCUDMPLQKRV-UHFFFAOYSA-N 0 1 292.339 0.256 20 30 CCEDMN COC[C@@H](O)CN1CCC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001316944447 908857988 /nfs/dbraw/zinc/85/79/88/908857988.db2.gz LILKDBGHFCRGCQ-KBPBESRZSA-N 0 1 296.411 0.624 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](C)NCc1cc(C)ncn1 ZINC001317235687 909071011 /nfs/dbraw/zinc/07/10/11/909071011.db2.gz OCLUYCYQDPLAHZ-WFASDCNBSA-N 0 1 292.383 0.706 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](CNCc2csnn2)C1 ZINC001317314202 909137042 /nfs/dbraw/zinc/13/70/42/909137042.db2.gz VZSJRYPMECZQKC-NSHDSACASA-N 0 1 296.396 0.679 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H]1CCC1(F)F ZINC001317449838 909219747 /nfs/dbraw/zinc/21/97/47/909219747.db2.gz XPQNYEZVNOENRE-LLVKDONJSA-N 0 1 274.311 0.730 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CCc2ncncc2C1 ZINC001317448548 909220824 /nfs/dbraw/zinc/22/08/24/909220824.db2.gz OZCVSJISJLLURQ-ZDUSSCGKSA-N 0 1 286.379 0.653 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1nnc2ccccc2n1 ZINC001317452698 909228259 /nfs/dbraw/zinc/22/82/59/909228259.db2.gz AHQGPEDIQGZMMX-UHFFFAOYSA-N 0 1 283.335 0.710 20 30 CCEDMN CC#CCCCC(=O)NCCN(CC)[C@H]1CCCNC1=O ZINC001317485516 909255419 /nfs/dbraw/zinc/25/54/19/909255419.db2.gz VHHVWAPEDLOWLL-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001317484921 909256837 /nfs/dbraw/zinc/25/68/37/909256837.db2.gz VJDMUTWRMOKOJJ-ZDUSSCGKSA-N 0 1 279.384 0.457 20 30 CCEDMN C=CCCN(CC)CCNC(=O)[C@@H]1CCCS1(=O)=O ZINC001317489673 909259838 /nfs/dbraw/zinc/25/98/38/909259838.db2.gz BROZTFARKWQDKN-LBPRGKRZSA-N 0 1 288.413 0.578 20 30 CCEDMN CC#CCN(CCNC(=O)c1[nH]nnc1C)C1CC1 ZINC001317555224 909332865 /nfs/dbraw/zinc/33/28/65/909332865.db2.gz SRFKJFBUEDCVQB-UHFFFAOYSA-N 0 1 261.329 0.331 20 30 CCEDMN CN(CC[NH2+]CC#Cc1ccccc1)C(=O)Cc1nnc[n-]1 ZINC001317649156 909443700 /nfs/dbraw/zinc/44/37/00/909443700.db2.gz RJFBJFCBFBLVBE-UHFFFAOYSA-N 0 1 297.362 0.447 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2cnccn2)CC1 ZINC001317814505 909508619 /nfs/dbraw/zinc/50/86/19/909508619.db2.gz XNTORVKTYXUXGG-UHFFFAOYSA-N 0 1 289.383 0.352 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@]2(F)CCOC2)CC1 ZINC001317819599 909510932 /nfs/dbraw/zinc/51/09/32/909510932.db2.gz BYCVOOPTCJOFAZ-HNNXBMFYSA-N 0 1 299.390 0.377 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@@H]2CO[C@@H](C)C2)CC1 ZINC001317818507 909511344 /nfs/dbraw/zinc/51/13/44/909511344.db2.gz CPRHUGDEHMAKCN-GJZGRUSLSA-N 0 1 295.427 0.673 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCc2cn[nH]c2)C1 ZINC001317986894 909560520 /nfs/dbraw/zinc/56/05/20/909560520.db2.gz BIHUQTFJXCWIGQ-AWEZNQCLSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCOCCN1CC(NC(=O)[C@H]2CCCCN2C)C1 ZINC001318038316 909594742 /nfs/dbraw/zinc/59/47/42/909594742.db2.gz PNOVYXDBQQQDHH-CQSZACIVSA-N 0 1 281.400 0.474 20 30 CCEDMN C[C@@H](O)CN1CC(CNC(=O)C#CC(C)(C)C)C1 ZINC001318119589 909631353 /nfs/dbraw/zinc/63/13/53/909631353.db2.gz WIBCUWFUBHFHTL-LLVKDONJSA-N 0 1 252.358 0.465 20 30 CCEDMN COCCCN1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001318196234 909662211 /nfs/dbraw/zinc/66/22/11/909662211.db2.gz OWNNYVGPDGJPAX-KBPBESRZSA-N 0 1 262.353 0.721 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CCN(Cc2n[nH]c(C3CC3)n2)C1 ZINC001318331308 909712607 /nfs/dbraw/zinc/71/26/07/909712607.db2.gz MUFDNVLIFMJVTO-CYBMUJFWSA-N 0 1 299.378 0.786 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(NC(C)=O)CCCC2)C1 ZINC001318359978 909724113 /nfs/dbraw/zinc/72/41/13/909724113.db2.gz AATSGWYLTCPLFT-CYBMUJFWSA-N 0 1 279.384 0.812 20 30 CCEDMN CC#CCCCC(=O)N(C)[C@@H]1CCN([C@H](CC)C(N)=O)C1 ZINC001318425545 909755779 /nfs/dbraw/zinc/75/57/79/909755779.db2.gz QMWYSFMIFZYEAQ-ZIAGYGMSSA-N 0 1 293.411 0.977 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)Cn2cccn2)C1 ZINC001318458322 909766740 /nfs/dbraw/zinc/76/67/40/909766740.db2.gz KPFJHAQAAUZACI-CQSZACIVSA-N 0 1 274.368 0.829 20 30 CCEDMN C[C@H](C#N)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001318992209 909946069 /nfs/dbraw/zinc/94/60/69/909946069.db2.gz PMSSCHRUEWYXGK-LLVKDONJSA-N 0 1 252.362 0.288 20 30 CCEDMN C=CCNC(=O)CN1CCC(CCNC(=O)CC)CC1 ZINC001319008934 909955241 /nfs/dbraw/zinc/95/52/41/909955241.db2.gz OHRAMPAFJCSREV-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)C1CN(C(C)=O)C1)C1CC1 ZINC001389594023 909988376 /nfs/dbraw/zinc/98/83/76/909988376.db2.gz RWUGMZCTWQKMMI-CYBMUJFWSA-N 0 1 299.802 0.702 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H]1CN(CCCC)CCO1 ZINC001319306341 910087711 /nfs/dbraw/zinc/08/77/11/910087711.db2.gz TZEZROYLVLFYOS-UKRRQHHQSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](C)CC(N)=O)CC2 ZINC001319405756 910135718 /nfs/dbraw/zinc/13/57/18/910135718.db2.gz ZFWUXBYSOIUHRP-LBPRGKRZSA-N 0 1 277.368 0.056 20 30 CCEDMN Cc1cc(CN[C@H](C)CNC(=O)c2ccc(C#N)[nH]2)ncn1 ZINC001390340692 910362727 /nfs/dbraw/zinc/36/27/27/910362727.db2.gz MRRAHWVICUWNNG-LLVKDONJSA-N 0 1 298.350 0.893 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnn(CCC)c1 ZINC001320056079 910437343 /nfs/dbraw/zinc/43/73/43/910437343.db2.gz XZDKNXOFKZDSIE-UHFFFAOYSA-N 0 1 262.357 0.930 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@H]2CN(C(=O)C(C)C)CC[C@H]21 ZINC001320098105 910460524 /nfs/dbraw/zinc/46/05/24/910460524.db2.gz VGKMXENCHLXXSV-UONOGXRCSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN(C(=O)c1cnc[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC001338806157 921579752 /nfs/dbraw/zinc/57/97/52/921579752.db2.gz KBYNOGNDXYVKBZ-SECBINFHSA-N 0 1 269.326 0.225 20 30 CCEDMN C#Cc1ccc(CNCCS(=O)(=O)NC2CC2)cc1 ZINC001474746948 910525988 /nfs/dbraw/zinc/52/59/88/910525988.db2.gz KDZYQZMAWVJEHY-UHFFFAOYSA-N 0 1 278.377 0.839 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC001320305791 910596781 /nfs/dbraw/zinc/59/67/81/910596781.db2.gz GGMFLMKWNLGALY-UONOGXRCSA-N 0 1 299.415 0.843 20 30 CCEDMN CC(=NN=c1[nH]ccn(C)c1=O)c1ccnc(C)n1 ZINC001320738983 910832889 /nfs/dbraw/zinc/83/28/89/910832889.db2.gz XMSSBSVMVWZETC-UHFFFAOYSA-N 0 1 258.285 0.715 20 30 CCEDMN CC(=NNc1nccn(C)c1=O)c1ccnc(C)n1 ZINC001320738983 910832901 /nfs/dbraw/zinc/83/29/01/910832901.db2.gz XMSSBSVMVWZETC-UHFFFAOYSA-N 0 1 258.285 0.715 20 30 CCEDMN CC1(C)CC(C(=O)NC/C=C/CNCC(=O)NCC#N)C1 ZINC001321044872 911043645 /nfs/dbraw/zinc/04/36/45/911043645.db2.gz NFJRKFVOEUGMEM-ONEGZZNKSA-N 0 1 292.383 0.324 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC/C=C/CNCc1cncs1 ZINC001321056188 911055674 /nfs/dbraw/zinc/05/56/74/911055674.db2.gz BXXVFAOZCBETNN-ZYOFXKKJSA-N 0 1 293.392 0.943 20 30 CCEDMN C=CC(C)(C)CC(=O)NCC=CC[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001321078147 911069409 /nfs/dbraw/zinc/06/94/09/911069409.db2.gz PTTRGDQXZZTCDD-WAYWQWQTSA-N 0 1 293.371 0.875 20 30 CCEDMN C=CCC1(C(=O)NC/C=C\CN[C@H]2CCNC2=O)CCC1 ZINC001321234160 911181466 /nfs/dbraw/zinc/18/14/66/911181466.db2.gz UQSYPOWLZLAHER-SCOBNMCVSA-N 0 1 291.395 0.883 20 30 CCEDMN C#C[C@@H](C)N(C)c1nnc(-c2c[nH]nn2)n1CC=C ZINC001339094130 921648975 /nfs/dbraw/zinc/64/89/75/921648975.db2.gz CJHVSYNWMDMKJX-SECBINFHSA-N 0 1 257.301 0.707 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H](C)C#N)NCc1cc(OC)no1 ZINC001391672343 911313439 /nfs/dbraw/zinc/31/34/39/911313439.db2.gz WQGYDLZAFTVXAZ-VHSXEESVSA-N 0 1 280.328 0.827 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccncn1 ZINC001322023671 911623530 /nfs/dbraw/zinc/62/35/30/911623530.db2.gz SDLCUPMBUWWYIS-VIFPVBQESA-N 0 1 254.721 0.937 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CN1CCCCC1=O ZINC001322194368 911702852 /nfs/dbraw/zinc/70/28/52/911702852.db2.gz RVEWMYBASMQPAW-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)NCc1ccc(C#N)cc1 ZINC001322188569 911704886 /nfs/dbraw/zinc/70/48/86/911704886.db2.gz AVWBFEJAVLERHE-UHFFFAOYSA-N 0 1 297.318 0.522 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)COCc1ncc(C)o1 ZINC001322195904 911704982 /nfs/dbraw/zinc/70/49/82/911704982.db2.gz KXCHDPWEILJBNC-NSHDSACASA-N 0 1 279.340 0.569 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCc1ccc(=O)[nH]c1 ZINC001322231150 911718281 /nfs/dbraw/zinc/71/82/81/911718281.db2.gz QXNMJUUDUWKGAS-LBPRGKRZSA-N 0 1 275.352 0.790 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCO[C@H]1C=C ZINC001322246872 911724622 /nfs/dbraw/zinc/72/46/22/911724622.db2.gz AXYXLHVNHZLMJT-UPJWGTAASA-N 0 1 250.342 0.647 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)CN(C)CC#CCOC)nc1 ZINC001322281901 911744406 /nfs/dbraw/zinc/74/44/06/911744406.db2.gz UHERHBCYLXJSRR-CQSZACIVSA-N 0 1 299.374 0.763 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCCNCc1cn(C)nn1 ZINC001322501930 911832985 /nfs/dbraw/zinc/83/29/85/911832985.db2.gz LYYCHHAWAXFFEB-UHFFFAOYSA-N 0 1 277.372 0.413 20 30 CCEDMN C[C@H]1CCN(CC(=O)N[C@H](CNCC#N)C2CC2)C1 ZINC001323064789 912097821 /nfs/dbraw/zinc/09/78/21/912097821.db2.gz RDRKPXZLNWLOKG-WCQYABFASA-N 0 1 264.373 0.336 20 30 CCEDMN C=CCCCN1CC[C@@H]1CNC(=O)c1nccnc1N ZINC001323199165 912177780 /nfs/dbraw/zinc/17/77/80/912177780.db2.gz NWEBVPRNVNFNNH-LLVKDONJSA-N 0 1 275.356 0.829 20 30 CCEDMN Cc1nccnc1CN[C@@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001398934699 914962665 /nfs/dbraw/zinc/96/26/65/914962665.db2.gz VWCJVTKEWCNNEZ-JTQLQIEISA-N 0 1 298.350 0.893 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C(C)(C)NC(C)=O)C(C)(C)C1 ZINC001328098817 914970997 /nfs/dbraw/zinc/97/09/97/914970997.db2.gz QLLOIIGMVIKGMM-CYBMUJFWSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC[C@H](C)NCc1nnc(C)[nH]1 ZINC001328342920 915124051 /nfs/dbraw/zinc/12/40/51/915124051.db2.gz PKBZUZYHYMDFLS-QWRGUYRKSA-N 0 1 293.371 0.136 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@H](F)CC)CC1 ZINC001328543191 915262552 /nfs/dbraw/zinc/26/25/52/915262552.db2.gz VKWCVLCYNLPHAI-GFCCVEGCSA-N 0 1 270.348 0.701 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)C(=O)N1CCc2ccc(C#N)cc21 ZINC001328692405 915358691 /nfs/dbraw/zinc/35/86/91/915358691.db2.gz NRONYTIDGTYXMT-LLVKDONJSA-N 0 1 298.346 0.268 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cccn2nnnc12 ZINC001328738507 915396759 /nfs/dbraw/zinc/39/67/59/915396759.db2.gz UNAAHPCWKYQIHE-LBPRGKRZSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@H]1CCN(C)C1=O ZINC001328734505 915397751 /nfs/dbraw/zinc/39/77/51/915397751.db2.gz XMRPSORVUDYILT-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@H]2CCc3[nH]cnc3C2)nn1 ZINC001328742585 915403223 /nfs/dbraw/zinc/40/32/23/915403223.db2.gz CPDOFMONXHKKHJ-SNVBAGLBSA-N 0 1 286.339 0.609 20 30 CCEDMN COCC#CC[NH2+][C@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001329014827 915593607 /nfs/dbraw/zinc/59/36/07/915593607.db2.gz MZULIZZRJBYBCB-VXGBXAGGSA-N 0 1 291.351 0.533 20 30 CCEDMN C#C[C@H](C)N(C)c1nnc(C2=NO[C@H](CO)C2)n1CC=C ZINC001339672312 921794715 /nfs/dbraw/zinc/79/47/15/921794715.db2.gz MPJSFFRUKSAHAA-QWRGUYRKSA-N 0 1 289.339 0.407 20 30 CCEDMN Cc1nnc(CNC[C@H](O)CC(C)(C)C#N)n1C ZINC001329570961 916020322 /nfs/dbraw/zinc/02/03/22/916020322.db2.gz KDRHBLPSQRRUTA-SNVBAGLBSA-N 0 1 251.334 0.514 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cnc2cccnn21 ZINC001329575010 916025653 /nfs/dbraw/zinc/02/56/53/916025653.db2.gz RPPVSRAKJGHRLA-GFCCVEGCSA-N 0 1 285.351 0.803 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)COCc1ccncc1 ZINC001329588509 916041166 /nfs/dbraw/zinc/04/11/66/916041166.db2.gz NBEPYFRFEMPBSR-CYBMUJFWSA-N 0 1 275.352 0.668 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CCCC(=O)N(C)C ZINC001329591472 916046023 /nfs/dbraw/zinc/04/60/23/916046023.db2.gz BGYLEJSMKGBOJH-LBPRGKRZSA-N 0 1 267.373 0.315 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H](CC)NC(C)=O ZINC001329935258 916291875 /nfs/dbraw/zinc/29/18/75/916291875.db2.gz ACPDLJRNIXVQFF-HUUCEWRRSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CC[C@@H]1CCOC1 ZINC001401508137 916294784 /nfs/dbraw/zinc/29/47/84/916294784.db2.gz OOZGQTWUAXPGET-VXGBXAGGSA-N 0 1 290.791 0.622 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@H](OC)C(C)C ZINC001401551998 916319831 /nfs/dbraw/zinc/31/98/31/916319831.db2.gz WBQNLQCPNHFJIO-GHMZBOCLSA-N 0 1 278.780 0.477 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C1CN(Cc2n[nH]c(C)n2)C1 ZINC001329969568 916321322 /nfs/dbraw/zinc/32/13/22/916321322.db2.gz BVWNOCXQJQUHGV-JTQLQIEISA-N 0 1 293.371 0.252 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)CCc2cn(C)nn2)C1 ZINC001330084050 916402336 /nfs/dbraw/zinc/40/23/36/916402336.db2.gz CIJVNKGELPEUGP-NSHDSACASA-N 0 1 277.372 0.370 20 30 CCEDMN CC(C)CNC(=O)[C@H](C)NC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001401937672 916716051 /nfs/dbraw/zinc/71/60/51/916716051.db2.gz HVIFLNJIKCAODS-RYUDHWBXSA-N 0 1 294.399 0.545 20 30 CCEDMN CN[C@@H](C(=O)N1CC[C@](C)(C#N)C1)c1cnn(C)c1 ZINC001330595417 916728663 /nfs/dbraw/zinc/72/86/63/916728663.db2.gz VYKWMMVRLMZYBM-DGCLKSJQSA-N 0 1 261.329 0.443 20 30 CCEDMN O=C(C#CC1CC1)NCc1n[nH]c(C2CCOCC2)n1 ZINC001330612024 916742573 /nfs/dbraw/zinc/74/25/73/916742573.db2.gz KUIJQNLUQPRUKT-UHFFFAOYSA-N 0 1 274.324 0.728 20 30 CCEDMN C=C(Cl)CN[C@]1(CO)CCCN(C(=O)c2cn[nH]n2)C1 ZINC001402735539 917197210 /nfs/dbraw/zinc/19/72/10/917197210.db2.gz VZNJQSNPGVOACR-GFCCVEGCSA-N 0 1 299.762 0.114 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cc2cscn2)CC1 ZINC001331437725 917335708 /nfs/dbraw/zinc/33/57/08/917335708.db2.gz CGNWDABXRQAWEU-UHFFFAOYSA-N 0 1 264.354 0.790 20 30 CCEDMN C#CCN1CCN(C(=O)C[N@H+](C)CC(O)(CC)CC)CC1 ZINC001331505364 917379209 /nfs/dbraw/zinc/37/92/09/917379209.db2.gz OEUMRRSOHFCMEL-UHFFFAOYSA-N 0 1 295.427 0.247 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)CC(O)(CC)CC)CC1 ZINC001331505364 917379220 /nfs/dbraw/zinc/37/92/20/917379220.db2.gz OEUMRRSOHFCMEL-UHFFFAOYSA-N 0 1 295.427 0.247 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CC(C)(C)CNCc1cn(C)nn1 ZINC001403056295 917449586 /nfs/dbraw/zinc/44/95/86/917449586.db2.gz NXHSYBSJDTZWMC-NSHDSACASA-N 0 1 292.387 0.549 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cn[nH]c1 ZINC001492919380 917547112 /nfs/dbraw/zinc/54/71/12/917547112.db2.gz WZTKDIFZXUIYSX-UHFFFAOYSA-N 0 1 264.329 0.111 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(C)c(OC)c(C)c1 ZINC001331723390 917547762 /nfs/dbraw/zinc/54/77/62/917547762.db2.gz CFOBPFNUCQSFRI-CQSZACIVSA-N 0 1 290.363 0.626 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(OC)c(C)c1 ZINC001331805533 917623325 /nfs/dbraw/zinc/62/33/25/917623325.db2.gz QFLWCRVHSKSWES-CQSZACIVSA-N 0 1 290.363 0.707 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc2c(c1)CCCC2 ZINC001331819497 917635816 /nfs/dbraw/zinc/63/58/16/917635816.db2.gz NVZFHCVVTFFZSZ-MRXNPFEDSA-N 0 1 286.375 0.879 20 30 CCEDMN CN(C(=O)CCc1ccc(C#N)cc1)c1nn[nH]n1 ZINC001331921622 917718701 /nfs/dbraw/zinc/71/87/01/917718701.db2.gz AIWJOQSQLLXHMO-UHFFFAOYSA-N 0 1 256.269 0.667 20 30 CCEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1nnn(C)n1 ZINC001403524431 917772088 /nfs/dbraw/zinc/77/20/88/917772088.db2.gz LAQZAGYJZUOXQD-SNVBAGLBSA-N 0 1 298.778 0.403 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCNC(N)=O)[C@H]1C ZINC001332217807 917964538 /nfs/dbraw/zinc/96/45/38/917964538.db2.gz IVEUGMIKVQWUJV-VXGBXAGGSA-N 0 1 280.372 0.037 20 30 CCEDMN C[C@@H](c1nc(C2(N)CCC2)no1)n1cnc(C#N)n1 ZINC001332325803 918056371 /nfs/dbraw/zinc/05/63/71/918056371.db2.gz SJCRGDUKIJPXHD-ZETCQYMHSA-N 0 1 259.273 0.480 20 30 CCEDMN Cc1c(C(=O)N2CC(N(C)C)C2)cnn1CCC#N ZINC001332393993 918116725 /nfs/dbraw/zinc/11/67/25/918116725.db2.gz PUIGMBKBMICYBN-UHFFFAOYSA-N 0 1 261.329 0.491 20 30 CCEDMN C=CC[C@@H](NC(=O)NC[C@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC001332595606 918298957 /nfs/dbraw/zinc/29/89/57/918298957.db2.gz DSDUQUDDHYIWHX-ZYHUDNBSSA-N 0 1 281.356 0.799 20 30 CCEDMN CC#CC[NH2+]C[C@H](NC(=O)CCc1nc[nH]n1)C(C)(C)C ZINC001332770753 918430128 /nfs/dbraw/zinc/43/01/28/918430128.db2.gz DAJOPFNROMNYQX-LBPRGKRZSA-N 0 1 291.399 0.881 20 30 CCEDMN C=CCN(CCNC(=O)c1cnccn1)CCOC ZINC001332910440 918523486 /nfs/dbraw/zinc/52/34/86/918523486.db2.gz WZCFAYLWVJMNDV-UHFFFAOYSA-N 0 1 264.329 0.341 20 30 CCEDMN CC#CC[N@@H+](CC)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001492937951 918527729 /nfs/dbraw/zinc/52/77/29/918527729.db2.gz HOXWGHICOJGWRU-UHFFFAOYSA-N 0 1 264.329 0.499 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H]2C[C@@H]3COC[C@H]3O2)C1 ZINC001333081071 918638949 /nfs/dbraw/zinc/63/89/49/918638949.db2.gz GOSZSCWZLKWZJP-XQHKEYJVSA-N 0 1 252.314 0.141 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H](C)C#N)NCc1cc(C)n(C)n1 ZINC001405184786 918639525 /nfs/dbraw/zinc/63/95/25/918639525.db2.gz DFDKQPWGIHUURB-CMPLNLGQSA-N 0 1 277.372 0.873 20 30 CCEDMN CCCn1ncnc1CNC[C@@H](C)CNC(=O)[C@@H](C)C#N ZINC001405637996 918838648 /nfs/dbraw/zinc/83/86/48/918838648.db2.gz IHWUCIDDOFNXLZ-NEPJUHHUSA-N 0 1 292.387 0.690 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NCc2nncn2C)CCCC1 ZINC001405747699 918883587 /nfs/dbraw/zinc/88/35/87/918883587.db2.gz SLDUVZJYFFXUSO-NSHDSACASA-N 0 1 290.371 0.493 20 30 CCEDMN C=C(Cl)CN(C)CCN(C)C(=O)CCc1c[nH]nn1 ZINC001406126093 919065973 /nfs/dbraw/zinc/06/59/73/919065973.db2.gz MKJLCDAZOIRHMT-UHFFFAOYSA-N 0 1 285.779 0.880 20 30 CCEDMN C=C(Cl)CN(C)CCN(C)C(=O)CCc1cnn[nH]1 ZINC001406126093 919065988 /nfs/dbraw/zinc/06/59/88/919065988.db2.gz MKJLCDAZOIRHMT-UHFFFAOYSA-N 0 1 285.779 0.880 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ncc(OC)cn1 ZINC001406375822 919222755 /nfs/dbraw/zinc/22/27/55/919222755.db2.gz BVXKOLNMWPXUAE-SECBINFHSA-N 0 1 284.747 0.946 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](O)[C@@H](F)C2)CC1 ZINC001333921636 919247688 /nfs/dbraw/zinc/24/76/88/919247688.db2.gz QJBBYPKDYNHWOT-QWHCGFSZSA-N 0 1 268.332 0.263 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCCCNC(N)=O)CC1 ZINC001333954342 919273713 /nfs/dbraw/zinc/27/37/13/919273713.db2.gz XYPKCSYGTRTCFE-UHFFFAOYSA-N 0 1 294.399 0.429 20 30 CCEDMN C=CCN(C(=O)Cc1c[nH]cn1)[C@H]1CCS(=O)(=O)C1 ZINC001333992709 919302420 /nfs/dbraw/zinc/30/24/20/919302420.db2.gz DLEOPXUQSCGCIC-NSHDSACASA-N 0 1 283.353 0.154 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(C)cc[nH]c2=O)CC1 ZINC001334435117 919580689 /nfs/dbraw/zinc/58/06/89/919580689.db2.gz BBNUCKCXKJONQK-UHFFFAOYSA-N 0 1 273.336 0.923 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](C)CC(N)=O ZINC001407119540 919625394 /nfs/dbraw/zinc/62/53/94/919625394.db2.gz XGNRICIYCYAEOR-KOLCDFICSA-N 0 1 287.791 0.831 20 30 CCEDMN C#CCCCC(=O)N(C)[C@@H](C)CNC(=O)c1[nH]ncc1F ZINC001334517442 919634495 /nfs/dbraw/zinc/63/44/95/919634495.db2.gz QZJKCSBWBJPGCU-JTQLQIEISA-N 0 1 294.330 0.929 20 30 CCEDMN Cc1ncc(C(=O)N2CCC(NC(=O)[C@H](C)C#N)CC2)[nH]1 ZINC001407279927 919687512 /nfs/dbraw/zinc/68/75/12/919687512.db2.gz HCIROBFTJIRFEB-SECBINFHSA-N 0 1 289.339 0.599 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@]1(O)CCN(CCC(F)(F)F)C1 ZINC001407348557 919709494 /nfs/dbraw/zinc/70/94/94/919709494.db2.gz GBINRDQJLZLRQI-ONGXEEELSA-N 0 1 293.289 0.651 20 30 CCEDMN C=C1CCN(C(=O)NC[C@H]2CN(C)CCN2C)CC1 ZINC001335440861 920195620 /nfs/dbraw/zinc/19/56/20/920195620.db2.gz IQYVBIBMWQCOQM-ZDUSSCGKSA-N 0 1 266.389 0.594 20 30 CCEDMN Cc1nsc(NC(=O)NCCc2nc[nH]n2)c1C#N ZINC001414443518 920316475 /nfs/dbraw/zinc/31/64/75/920316475.db2.gz RZRKCZZSTWRKLZ-UHFFFAOYSA-N 0 1 277.313 0.806 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCCCN1CCn1cncn1 ZINC001418149693 921860934 /nfs/dbraw/zinc/86/09/34/921860934.db2.gz HNRIIXLCBVQHJM-OLZOCXBDSA-N 0 1 290.371 0.408 20 30 CCEDMN Cc1cc(NC(=O)CN[C@H](C)CNC(=O)[C@@H](C)C#N)no1 ZINC001418216205 921928271 /nfs/dbraw/zinc/92/82/71/921928271.db2.gz SDCSWNGXFHEAPS-DTWKUNHWSA-N 0 1 293.327 0.176 20 30 CCEDMN C=CCOc1ccccc1CNC(=O)[C@H](N)CO ZINC001340066351 921945466 /nfs/dbraw/zinc/94/54/66/921945466.db2.gz YSUZGTFQXPGCII-LLVKDONJSA-N 0 1 250.298 0.187 20 30 CCEDMN CCCn1nnnc1CN[C@H](C)CCNC(=O)[C@H](C)C#N ZINC001418391366 922074027 /nfs/dbraw/zinc/07/40/27/922074027.db2.gz CLVRAHLYNQRQMX-GHMZBOCLSA-N 0 1 293.375 0.227 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(-n2nc(C)cc2C)nc1 ZINC001340805073 922226480 /nfs/dbraw/zinc/22/64/80/922226480.db2.gz SUKKNCBCXYRDJM-UHFFFAOYSA-N 0 1 297.362 0.723 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)c1cc(OC)ccc1O ZINC001340966416 922296186 /nfs/dbraw/zinc/29/61/86/922296186.db2.gz IJODCFCAKSVZSP-JTQLQIEISA-N 0 1 262.309 0.801 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC001341292521 922414136 /nfs/dbraw/zinc/41/41/36/922414136.db2.gz BYCWNQQJUVCLKC-KGLIPLIRSA-N 0 1 264.369 0.874 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@H](c2cccc(F)c2)C1 ZINC001341531831 922539057 /nfs/dbraw/zinc/53/90/57/922539057.db2.gz FIEFSNIXWFESNC-AWEZNQCLSA-N 0 1 276.311 0.948 20 30 CCEDMN C#CCNCC(=O)N1C[C@@H]2CCC[C@]2(C(=O)OC)C1 ZINC001341596199 922574013 /nfs/dbraw/zinc/57/40/13/922574013.db2.gz YSUKZDNGNLQLGJ-FZMZJTMJSA-N 0 1 264.325 0.011 20 30 CCEDMN N#C[C@H]1CCCN(C(=O)[C@H](N)Cc2ccccn2)C1 ZINC001341728936 922648208 /nfs/dbraw/zinc/64/82/08/922648208.db2.gz HKLBEOMMQRQOIR-DGCLKSJQSA-N 0 1 258.325 0.714 20 30 CCEDMN N#Cc1ncn(CC(=O)N2CCc3nc[nH]c3C23CCC3)n1 ZINC001419165662 922680249 /nfs/dbraw/zinc/68/02/49/922680249.db2.gz XDYXDIDCGDPBDO-UHFFFAOYSA-N 0 1 297.322 0.337 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C1CNCCS1(=O)=O ZINC001342199575 922856601 /nfs/dbraw/zinc/85/66/01/922856601.db2.gz NSZPLJKKAYPKFT-JTQLQIEISA-N 0 1 274.386 0.092 20 30 CCEDMN C#CCNCC(=O)N[C@@H]([C@@H]1CCOC1)C(F)(F)F ZINC001342696712 923057261 /nfs/dbraw/zinc/05/72/61/923057261.db2.gz GCWHJBSVIQLOCN-SCZZXKLOSA-N 0 1 264.247 0.293 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1CCC(=O)N1C ZINC001419818967 923109939 /nfs/dbraw/zinc/10/99/39/923109939.db2.gz XORLPFIGFVWSGX-UWVGGRQHSA-N 0 1 273.764 0.454 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc(OC)ccn1 ZINC001420162112 923359140 /nfs/dbraw/zinc/35/91/40/923359140.db2.gz NFAXTFZFKNBTKM-JTQLQIEISA-N 0 1 299.758 0.523 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@@H](c2cccc(OC)c2)C1 ZINC001343544373 923368299 /nfs/dbraw/zinc/36/82/99/923368299.db2.gz WEMXFOMBVXMACH-OAHLLOKOSA-N 0 1 288.347 0.818 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1CC(=O)N(C)C1 ZINC001420295902 923486912 /nfs/dbraw/zinc/48/69/12/923486912.db2.gz GVCONWGULXJNQL-ZJUUUORDSA-N 0 1 273.764 0.312 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H]2CCC[C@H](C(N)=O)C2)CC1 ZINC001344373851 923588350 /nfs/dbraw/zinc/58/83/50/923588350.db2.gz WVUHRKIWUHPFKC-QWHCGFSZSA-N 0 1 292.379 0.919 20 30 CCEDMN Cc1ncc(C[N@@H+]2CC[C@H](CNC(=O)[C@H](C)C#N)C2)cn1 ZINC001420510946 923726190 /nfs/dbraw/zinc/72/61/90/923726190.db2.gz BIEMFDXSPONDOB-DGCLKSJQSA-N 0 1 287.367 0.883 20 30 CCEDMN Cc1ncc(CN2CC[C@H](CNC(=O)[C@H](C)C#N)C2)cn1 ZINC001420510946 923726219 /nfs/dbraw/zinc/72/62/19/923726219.db2.gz BIEMFDXSPONDOB-DGCLKSJQSA-N 0 1 287.367 0.883 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC[C@@](C)(C#N)C2)[C@H](C)CN1 ZINC001345483396 923902997 /nfs/dbraw/zinc/90/29/97/923902997.db2.gz KMCARCQUASEBDC-JMSVASOKSA-N 0 1 292.383 0.347 20 30 CCEDMN CCn1ccnc1[C@@H](C#N)C(=O)[C@@H]1CCC(=O)N1C ZINC001345646348 923937795 /nfs/dbraw/zinc/93/77/95/923937795.db2.gz JGRNYSFFEPDNLO-UWVGGRQHSA-N 0 1 260.297 0.700 20 30 CCEDMN CCn1cc(CCN2CC[C@@H](NC(=O)[C@H](C)C#N)C2)cn1 ZINC001421266512 924245716 /nfs/dbraw/zinc/24/57/16/924245716.db2.gz FNWPFYNVRHOYQX-TZMCWYRMSA-N 0 1 289.383 0.796 20 30 CCEDMN COc1nccc(C(=O)[C@H](C#N)c2nccn2C)n1 ZINC001346537266 924255936 /nfs/dbraw/zinc/25/59/36/924255936.db2.gz IYWQTZZVHHNTDJ-QMMMGPOBSA-N 0 1 257.253 0.709 20 30 CCEDMN COc1nccc(C(=O)C(C#N)c2nccn2C)n1 ZINC001346537266 924255947 /nfs/dbraw/zinc/25/59/47/924255947.db2.gz IYWQTZZVHHNTDJ-QMMMGPOBSA-N 0 1 257.253 0.709 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001346606723 924276888 /nfs/dbraw/zinc/27/68/88/924276888.db2.gz NCRXPWVBEOBYEE-RDBSUJKOSA-N 0 1 298.431 0.105 20 30 CCEDMN C=CCN1CCN(CN2C[C@H]3COC[C@@]3(C)C2)C1=O ZINC001347237019 924405636 /nfs/dbraw/zinc/40/56/36/924405636.db2.gz DXBXFDDLRZLULD-GXTWGEPZSA-N 0 1 265.357 0.836 20 30 CCEDMN COCC#CC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC001347311284 924419891 /nfs/dbraw/zinc/41/98/91/924419891.db2.gz RMXPYPDFPBAZFZ-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N1CC[C@@](C)(C#N)C1 ZINC001347335311 924425397 /nfs/dbraw/zinc/42/53/97/924425397.db2.gz SICBDQJQFMOCRO-DYEKYZERSA-N 0 1 262.357 0.137 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CCC[N@H+]1C1CC1)C(=O)[O-] ZINC001348490372 924705260 /nfs/dbraw/zinc/70/52/60/924705260.db2.gz QYBLWQOPRCKBTF-RYUDHWBXSA-N 0 1 282.340 0.385 20 30 CCEDMN C#CCCCC(=O)NCCNC(=O)c1[nH]nc(C)c1C ZINC001348677673 924770124 /nfs/dbraw/zinc/77/01/24/924770124.db2.gz COAQOSDPLFQSFA-UHFFFAOYSA-N 0 1 276.340 0.676 20 30 CCEDMN C#CCCCNC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001348703270 924781399 /nfs/dbraw/zinc/78/13/99/924781399.db2.gz YNNFAFNNUQKXCO-QWHCGFSZSA-N 0 1 266.389 0.333 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C2=CCCC2)CC1 ZINC001479918305 924825417 /nfs/dbraw/zinc/82/54/17/924825417.db2.gz CHXDKSCXGUTLHV-UHFFFAOYSA-N 0 1 275.396 0.854 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NCCNC(=O)c1cnn[nH]1 ZINC001349291239 924922708 /nfs/dbraw/zinc/92/27/08/924922708.db2.gz BSTURBFSMGAADH-SECBINFHSA-N 0 1 265.317 0.253 20 30 CCEDMN C=CCC1(O)CN(C(=O)[C@@H]2CCCN2C2CC2)C1 ZINC001349362542 924939895 /nfs/dbraw/zinc/93/98/95/924939895.db2.gz FNWOQVVQXDBRQE-LBPRGKRZSA-N 0 1 250.342 0.763 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCn2cncn2)C1 ZINC001479968001 924981870 /nfs/dbraw/zinc/98/18/70/924981870.db2.gz WGIWUIUOPOIULI-CYBMUJFWSA-N 0 1 275.356 0.272 20 30 CCEDMN C=CC[C@H](C)NC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001349878713 925082453 /nfs/dbraw/zinc/08/24/53/925082453.db2.gz FQFKCRFKAPKGLI-QWHCGFSZSA-N 0 1 268.405 0.886 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CCC[N@@H+]1CC(C)C)C(=O)[O-] ZINC001349932428 925100078 /nfs/dbraw/zinc/10/00/78/925100078.db2.gz RALIZOWUVRJDRS-QWHCGFSZSA-N 0 1 298.383 0.879 20 30 CCEDMN C=CCC1(O)CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC001350566490 925225006 /nfs/dbraw/zinc/22/50/06/925225006.db2.gz JTHGWZOTICUCIV-UHFFFAOYSA-N 0 1 279.344 0.452 20 30 CCEDMN C=CCC1(O)CCN(C(=O)CCCc2nn[nH]n2)CC1 ZINC001350566490 925225015 /nfs/dbraw/zinc/22/50/15/925225015.db2.gz JTHGWZOTICUCIV-UHFFFAOYSA-N 0 1 279.344 0.452 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2nnc(C)n2C)CC1 ZINC001350876052 925301996 /nfs/dbraw/zinc/30/19/96/925301996.db2.gz IBBNUCUFUDEKQE-UHFFFAOYSA-N 0 1 290.371 0.020 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001352972627 925686965 /nfs/dbraw/zinc/68/69/65/925686965.db2.gz IDHVTDFZTITLKL-LLVKDONJSA-N 0 1 276.340 0.636 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC[C@H](O)COC)c1 ZINC001353279106 925779559 /nfs/dbraw/zinc/77/95/59/925779559.db2.gz YVXIHZKJGMFCHL-ZDUSSCGKSA-N 0 1 262.309 0.203 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001354052439 925997621 /nfs/dbraw/zinc/99/76/21/925997621.db2.gz USTDYSRVKKDDTD-XQQFMLRXSA-N 0 1 296.367 0.490 20 30 CCEDMN C=C(C)Cn1nnnc1N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC001354302523 926076949 /nfs/dbraw/zinc/07/69/49/926076949.db2.gz PTPOXOGAGJNGCJ-PHIMTYICSA-N 0 1 250.350 0.778 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)NC(=O)Cc1nnc[nH]1 ZINC001354653035 926147913 /nfs/dbraw/zinc/14/79/13/926147913.db2.gz YPHAFPVLEPPXMN-LLVKDONJSA-N 0 1 291.355 0.162 20 30 CCEDMN C=CCCC(=O)NCCN(C)C(=O)c1ccn[nH]1 ZINC001355511662 926275082 /nfs/dbraw/zinc/27/50/82/926275082.db2.gz NUZKSSSKCSAUHE-UHFFFAOYSA-N 0 1 250.302 0.564 20 30 CCEDMN C#CCCCC(=O)N(CC)CCNC(=O)c1cnn[nH]1 ZINC001356115029 926346340 /nfs/dbraw/zinc/34/63/40/926346340.db2.gz PFBXBYUDGBQSEH-UHFFFAOYSA-N 0 1 277.328 0.187 20 30 CCEDMN C=CCO[C@H]1CCN(c2nnc(-c3c[nH]nn3)n2CC)C1 ZINC001356296545 926365620 /nfs/dbraw/zinc/36/56/20/926365620.db2.gz KBUSRZOIDCZJSG-JTQLQIEISA-N 0 1 289.343 0.864 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc2c(o1)CCCC2 ZINC001357446680 926534728 /nfs/dbraw/zinc/53/47/28/926534728.db2.gz UPPZODAXADHQLX-MNOVXSKESA-N 0 1 259.309 1.000 20 30 CCEDMN N#Cc1nc(NCc2n[nH]c(CO)n2)sc1Cl ZINC001413900767 926748414 /nfs/dbraw/zinc/74/84/14/926748414.db2.gz MCFPYACZCUCUJK-UHFFFAOYSA-N 0 1 270.705 0.891 20 30 CCEDMN N#Cc1nc(NCc2nnc(CO)[nH]2)sc1Cl ZINC001413900767 926748421 /nfs/dbraw/zinc/74/84/21/926748421.db2.gz MCFPYACZCUCUJK-UHFFFAOYSA-N 0 1 270.705 0.891 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CN1CCCCC1=O ZINC001492981469 926915287 /nfs/dbraw/zinc/91/52/87/926915287.db2.gz HMHPKFMAYMFCRS-UHFFFAOYSA-N 0 1 279.384 0.460 20 30 CCEDMN Cc1cc(CNC[C@H](C)N(C)C(=O)[C@@H](C)C#N)ncn1 ZINC001421872196 926960048 /nfs/dbraw/zinc/96/00/48/926960048.db2.gz LQAQPOOAVRTCAV-JQWIXIFHSA-N 0 1 275.356 0.881 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1(CF)CCC1 ZINC001422361171 927243396 /nfs/dbraw/zinc/24/33/96/927243396.db2.gz MTHBZXHYOXCBQF-JTQLQIEISA-N 0 1 278.755 0.945 20 30 CCEDMN C[C@H](NC(=O)C1(C#N)CCOCC1)[C@H]1CN(C)CCN1C ZINC001361900512 927433152 /nfs/dbraw/zinc/43/31/52/927433152.db2.gz JMNSUAQBPDAOJS-QWHCGFSZSA-N 0 1 294.399 0.057 20 30 CCEDMN C[C@@H](CC#N)NC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001361949703 927470672 /nfs/dbraw/zinc/47/06/72/927470672.db2.gz NFIGCGVTAXJQPR-VIFPVBQESA-N 0 1 277.328 0.660 20 30 CCEDMN C[C@@H](NCC1(C#N)CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001423490014 927888219 /nfs/dbraw/zinc/88/82/19/927888219.db2.gz HXODIMGKBUTJHB-VXGBXAGGSA-N 0 1 286.397 0.720 20 30 CCEDMN CNC(=O)CN1CCC[C@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001423688357 927955868 /nfs/dbraw/zinc/95/58/68/927955868.db2.gz VERRZGJWVLXRFA-SMDDNHRTSA-N 0 1 280.372 0.110 20 30 CCEDMN CN(C)Cc1ccc(C(=O)N2CC(O)(CC#N)C2)cn1 ZINC001362502170 928106999 /nfs/dbraw/zinc/10/69/99/928106999.db2.gz CNSKCRQIJACMCX-UHFFFAOYSA-N 0 1 274.324 0.244 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@H](C)CNC(=O)[C@@H](C)C#N)[nH]1 ZINC001424291855 928151687 /nfs/dbraw/zinc/15/16/87/928151687.db2.gz NMHPFVDYTVKQTP-DTWKUNHWSA-N 0 1 277.328 0.455 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](C)S(C)(=O)=O ZINC001425607704 928606120 /nfs/dbraw/zinc/60/61/20/928606120.db2.gz WGMRZCVHFFYGBJ-NXEZZACHSA-N 0 1 296.820 0.609 20 30 CCEDMN CCc1nc(CNC(=O)[C@@H](O)c2ccc(C#N)cc2)n[nH]1 ZINC001363069845 928834665 /nfs/dbraw/zinc/83/46/65/928834665.db2.gz WIEHCTNGCJPYRK-ZDUSSCGKSA-N 0 1 285.307 0.589 20 30 CCEDMN C[C@@H](CC(=O)Nc1nc2ccc(C#N)cc2[nH]1)NC(N)=O ZINC001363169960 928941114 /nfs/dbraw/zinc/94/11/14/928941114.db2.gz UECUEKBKRXYATD-ZETCQYMHSA-N 0 1 286.295 0.820 20 30 CCEDMN N#CC[C@@H](C(=O)NCCN1CC[C@H](O)C1)c1ccccc1 ZINC001363190632 928962436 /nfs/dbraw/zinc/96/24/36/928962436.db2.gz GKYQVRGYPJSDSI-LSDHHAIUSA-N 0 1 287.363 0.867 20 30 CCEDMN C[C@]12COC[C@H]1C[N@@H+](Cc1cc(=O)n3[n-]cc(C#N)c3n1)C2 ZINC001363264710 929047243 /nfs/dbraw/zinc/04/72/43/929047243.db2.gz DBBNRVHWQWCGHX-ABAIWWIYSA-N 0 1 299.334 0.775 20 30 CCEDMN N#C[C@@]1(C(=O)N2CCC[C@H](c3nc[nH]n3)C2)CCCOC1 ZINC001363359925 929148114 /nfs/dbraw/zinc/14/81/14/929148114.db2.gz JHJNICAGKJJJNI-FZMZJTMJSA-N 0 1 289.339 0.831 20 30 CCEDMN N#Cc1ccc(CCC(=O)N[C@H](CO)Cc2cnc[nH]2)cc1 ZINC001363518492 929323466 /nfs/dbraw/zinc/32/34/66/929323466.db2.gz FXZCJSOUYQVLEW-HNNXBMFYSA-N 0 1 298.346 0.934 20 30 CCEDMN N#Cc1ccc(OCC(=O)NC2(c3nn[nH]n3)CCC2)cc1 ZINC001363552317 929355574 /nfs/dbraw/zinc/35/55/74/929355574.db2.gz QFIWDPICTQCNSB-UHFFFAOYSA-N 0 1 298.306 0.646 20 30 CCEDMN C[C@H](CCNC(=O)CCc1cnc[nH]1)NC(=O)[C@@H](C)C#N ZINC001429056253 929370245 /nfs/dbraw/zinc/37/02/45/929370245.db2.gz URGVAZIGLWRBAQ-WDEREUQCSA-N 0 1 291.355 0.513 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N1CCc2[nH]nnc2C1 ZINC001444507387 929886606 /nfs/dbraw/zinc/88/66/06/929886606.db2.gz NRZHJKZZVJOCJB-UHFFFAOYSA-N 0 1 264.285 0.199 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H]1CCCOC1 ZINC001445151841 930051230 /nfs/dbraw/zinc/05/12/30/930051230.db2.gz JOTDFSJTLKSNMT-GHMZBOCLSA-N 0 1 276.764 0.232 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1=CCOCC1 ZINC001445153419 930054624 /nfs/dbraw/zinc/05/46/24/930054624.db2.gz SLNMEQVPVIXZLX-LLVKDONJSA-N 0 1 274.748 0.152 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1nccn1CC ZINC001445165375 930058271 /nfs/dbraw/zinc/05/82/71/930058271.db2.gz YRTDKOUBQFVUKF-SNVBAGLBSA-N 0 1 286.763 0.336 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)c1cncc2nc[nH]c21 ZINC001445662328 930199751 /nfs/dbraw/zinc/19/97/51/930199751.db2.gz YLHDJSYUDKDHRC-GFCCVEGCSA-N 0 1 259.269 0.616 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cnnn2CC)CC1 ZINC001446164297 930358704 /nfs/dbraw/zinc/35/87/04/930358704.db2.gz UEJQCAQVTOQEEN-UHFFFAOYSA-N 0 1 283.763 0.903 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](O)CNCc1ccccc1C#N ZINC001374587079 930575400 /nfs/dbraw/zinc/57/54/00/930575400.db2.gz BGDUUAZGUWGLLC-FZMZJTMJSA-N 0 1 286.335 0.285 20 30 CCEDMN COc1ccnc(CNC[C@@H](C)NC(=O)[C@H](C)C#N)c1 ZINC001374738727 930641065 /nfs/dbraw/zinc/64/10/65/930641065.db2.gz RSWHNZIETAUDFQ-GHMZBOCLSA-N 0 1 276.340 0.844 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)[C@@]2(C#N)CCCOC2)n[nH]1 ZINC001449100354 931069042 /nfs/dbraw/zinc/06/90/42/931069042.db2.gz DHRPUCLVFBZAAQ-PRHODGIISA-N 0 1 263.301 0.611 20 30 CCEDMN CCN(CCNC(=O)[C@H]1CCCN1C)C(=O)[C@@H](C)C#N ZINC001449345422 931130254 /nfs/dbraw/zinc/13/02/54/931130254.db2.gz SXVMJVXHZYPMIE-NWDGAFQWSA-N 0 1 280.372 0.205 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CC(NCc2nccn2C)C1 ZINC001449366587 931133192 /nfs/dbraw/zinc/13/31/92/931133192.db2.gz VKGHVWBQQMFDDE-UNXYVOJBSA-N 0 1 275.356 0.659 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCc1n[nH]c(CC)n1 ZINC001450178980 931242680 /nfs/dbraw/zinc/24/26/80/931242680.db2.gz JIJULJLXPZJEQK-BDAKNGLRSA-N 0 1 250.302 0.574 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C2(CC(=O)[O-])CCC2)CC1 ZINC001602675581 971250447 /nfs/dbraw/zinc/25/04/47/971250447.db2.gz BKRXGILKRZRRPI-UHFFFAOYSA-N 0 1 278.352 0.799 20 30 CCEDMN CC(C)(C)[N@H+]1CC[C@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC001602781199 971522799 /nfs/dbraw/zinc/52/27/99/971522799.db2.gz SUQXJFUVFMXMMS-IINYFYTJSA-N 0 1 281.356 0.980 20 30 CCEDMN CC[N@@H+](C[C@H](O)CC1(C#N)CCOCC1)[C@H](C)C(=O)[O-] ZINC001589719328 950402261 /nfs/dbraw/zinc/40/22/61/950402261.db2.gz CESHNMCKWXKNHI-VXGBXAGGSA-N 0 1 284.356 0.853 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H](C)[C@H]1C(=O)[O-] ZINC001589729897 950487612 /nfs/dbraw/zinc/48/76/12/950487612.db2.gz GNVAHOAOJDDLAD-AAEUAGOBSA-N 0 1 281.356 0.934 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](C)[C@H]1C(=O)[O-] ZINC001589729897 950487631 /nfs/dbraw/zinc/48/76/31/950487631.db2.gz GNVAHOAOJDDLAD-AAEUAGOBSA-N 0 1 281.356 0.934 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@@H]1CC[C@H]1C(=O)[O-] ZINC000397133638 951534324 /nfs/dbraw/zinc/53/43/24/951534324.db2.gz AKMKVUTVPSFCGW-GHMZBOCLSA-N 0 1 252.314 0.169 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)N(CC)C[C@@H](C)C#N ZINC001589440787 954709290 /nfs/dbraw/zinc/70/92/90/954709290.db2.gz LCKOSNAKWCYLJX-WDEREUQCSA-N 0 1 269.345 0.790 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N(CC)C[C@@H](C)C#N ZINC001589440787 954709298 /nfs/dbraw/zinc/70/92/98/954709298.db2.gz LCKOSNAKWCYLJX-WDEREUQCSA-N 0 1 269.345 0.790 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+](CC(=O)[O-])[C@H](C)CC ZINC000037599314 957316603 /nfs/dbraw/zinc/31/66/03/957316603.db2.gz ODXLFGSJRKDHOS-SECBINFHSA-N 0 1 271.317 0.183 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+](CC(=O)[O-])[C@H](C)CC ZINC000037599314 957316613 /nfs/dbraw/zinc/31/66/13/957316613.db2.gz ODXLFGSJRKDHOS-SECBINFHSA-N 0 1 271.317 0.183 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CCC[N@H+](CCC)C1 ZINC001588399445 958072313 /nfs/dbraw/zinc/07/23/13/958072313.db2.gz KEUQWWVMLMNUJR-GFCCVEGCSA-N 0 1 266.341 0.655 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)C2(C(=O)[O-])CC2)C1 ZINC001588430116 958252683 /nfs/dbraw/zinc/25/26/83/958252683.db2.gz IIXLHWCMPQULIQ-SNVBAGLBSA-N 0 1 250.298 0.065 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)C2(C(=O)[O-])CC2)C1 ZINC001588430116 958252699 /nfs/dbraw/zinc/25/26/99/958252699.db2.gz IIXLHWCMPQULIQ-SNVBAGLBSA-N 0 1 250.298 0.065 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC001588431483 958270933 /nfs/dbraw/zinc/27/09/33/958270933.db2.gz YXEAVMDGAPGDDC-HNNXBMFYSA-N 0 1 286.331 0.810 20 30 CCEDMN C#CCNC(=O)C[N@H+]1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC000111242674 958417362 /nfs/dbraw/zinc/41/73/62/958417362.db2.gz DTIAGESNNAFSLD-SNVBAGLBSA-N 0 1 278.230 0.075 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC000111242674 958417369 /nfs/dbraw/zinc/41/73/69/958417369.db2.gz DTIAGESNNAFSLD-SNVBAGLBSA-N 0 1 278.230 0.075 20 30 CCEDMN CC(=O)NCC[N@H+](C[C@H](C)CCC#N)[C@@H](C)C(=O)[O-] ZINC001573348488 958554167 /nfs/dbraw/zinc/55/41/67/958554167.db2.gz FKCMFXYJRIJERO-MNOVXSKESA-N 0 1 269.345 0.837 20 30 CCEDMN CO[C@@]1(C(=O)[O-])CC[N@@H+](C[C@H](O)c2cccc(C#N)c2)C1 ZINC001571038247 960549237 /nfs/dbraw/zinc/54/92/37/960549237.db2.gz JFYNQSGEOHMHAG-ZFWWWQNUSA-N 0 1 290.319 0.767 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CC[C@@H](C(=O)[O-])C1 ZINC000392825044 972529249 /nfs/dbraw/zinc/52/92/49/972529249.db2.gz XKYWLCQUWSULPH-LLVKDONJSA-N 0 1 267.329 0.058 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(=O)[O-] ZINC000299990191 962961376 /nfs/dbraw/zinc/96/13/76/962961376.db2.gz KSDXFSLNQJKRER-UMNHJUIQSA-N 0 1 265.313 0.201 20 30 CCEDMN C=CCOCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)[O-])C2 ZINC001573368939 963087555 /nfs/dbraw/zinc/08/75/55/963087555.db2.gz LSDQYBIIYDKSOG-WOPDTQHZSA-N 0 1 297.355 0.178 20 30 CCEDMN C[C@@H]1[C@H](C(=O)N2CCC(C#N)(C(=O)[O-])CC2)CC[N@H+]1C ZINC001571009427 963270413 /nfs/dbraw/zinc/27/04/13/963270413.db2.gz LKUZHDARSPCYJZ-GHMZBOCLSA-N 0 1 279.340 0.544 20 30 CCEDMN C[N@H+]1CCC[C@]2(CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C2)C1 ZINC001604216723 972702333 /nfs/dbraw/zinc/70/23/33/972702333.db2.gz WNLCTMJUUBFZPO-GJZGRUSLSA-N 0 1 293.367 0.935 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC001603368811 972885941 /nfs/dbraw/zinc/88/59/41/972885941.db2.gz UGAJFSWYUHKMEE-IJLUTSLNSA-N 0 1 281.356 0.790 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](CC)[C@H](C)C(=O)[O-] ZINC001603736397 974174282 /nfs/dbraw/zinc/17/42/82/974174282.db2.gz CSNUNPZSGYQQLV-SNVBAGLBSA-N 0 1 255.318 0.544 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+](CC)[C@H](C)C(=O)[O-] ZINC001603736397 974174279 /nfs/dbraw/zinc/17/42/79/974174279.db2.gz CSNUNPZSGYQQLV-SNVBAGLBSA-N 0 1 255.318 0.544 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1C[C@H](C(=O)[O-])C[C@H](C)C1 ZINC001603736752 974176326 /nfs/dbraw/zinc/17/63/26/974176326.db2.gz QZUIBZIQYNOBRK-NWDGAFQWSA-N 0 1 281.356 0.791 20 30 CCEDMN CC(C)OC[C@@H](C(=O)[O-])[N@H+](C)CCO[C@@H](C)C#N ZINC001591712819 975612081 /nfs/dbraw/zinc/61/20/81/975612081.db2.gz YNNSTORPXOQEBB-QWRGUYRKSA-N 0 1 258.318 0.725 20 30 CCEDMN C#CC[C@@H]1CC[N@H+]([C@H]2CCCN(CCC(=O)[O-])C2=O)C1 ZINC001588433784 983468564 /nfs/dbraw/zinc/46/85/64/983468564.db2.gz CNVNPXVKKSOSKZ-OLZOCXBDSA-N 0 1 278.352 0.797 20 30 CCEDMN C#CCOCC[N@H+](C)[C@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC001588489940 983529923 /nfs/dbraw/zinc/52/99/23/983529923.db2.gz PCIBQXCOKMDASL-GFCCVEGCSA-N 0 1 296.367 0.280 20 30 CCEDMN C=C(C)C[C@H](NS(=O)(=O)CC1([NH+](C)C)CC1)C(=O)[O-] ZINC001588553447 983628095 /nfs/dbraw/zinc/62/80/95/983628095.db2.gz NITAFJDKTLRSRV-JTQLQIEISA-N 0 1 290.385 0.419 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@]1(COC)C(=O)[O-] ZINC001588563397 983645171 /nfs/dbraw/zinc/64/51/71/983645171.db2.gz SZSLDXKXSANWRB-OAHLLOKOSA-N 0 1 298.383 0.977 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@]1(COC)C(=O)[O-] ZINC001588563397 983645173 /nfs/dbraw/zinc/64/51/73/983645173.db2.gz SZSLDXKXSANWRB-OAHLLOKOSA-N 0 1 298.383 0.977 20 30 CCEDMN C=C(Cl)C[N@H+](C)CCCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001588642384 983764202 /nfs/dbraw/zinc/76/42/02/983764202.db2.gz TWPWXEDTJZLDKJ-ZJUUUORDSA-N 0 1 274.748 0.898 20 30 CCEDMN C=C(Cl)C[N@@H+](C)CCCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001588642384 983764204 /nfs/dbraw/zinc/76/42/04/983764204.db2.gz TWPWXEDTJZLDKJ-ZJUUUORDSA-N 0 1 274.748 0.898 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCCN(C(=O)OCC)CC1 ZINC001588662654 983791066 /nfs/dbraw/zinc/79/10/66/983791066.db2.gz DXUVDRJNAVINMV-SNVBAGLBSA-N 0 1 256.302 0.790 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@@H+]1CCC[C@](O)(Cc2nc(CC)no2)C1 ZINC001588664074 983798150 /nfs/dbraw/zinc/79/81/50/983798150.db2.gz SIZROTNLKBRAKX-HZMBPMFUSA-N 0 1 295.339 0.641 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@](O)(Cc2nc(CC)no2)C1 ZINC001588664074 983798152 /nfs/dbraw/zinc/79/81/52/983798152.db2.gz SIZROTNLKBRAKX-HZMBPMFUSA-N 0 1 295.339 0.641 20 30 CCEDMN C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@@](O)(C2(C(=O)[O-])CCC2)C1 ZINC001588672171 983824242 /nfs/dbraw/zinc/82/42/42/983824242.db2.gz PDQFMOLMYZYPTO-ABAIWWIYSA-N 0 1 297.351 0.796 20 30 CCEDMN C=C[C@H](C(=O)OC)[N@H+]1CCC[C@@](O)(C2(C(=O)[O-])CCC2)C1 ZINC001588672171 983824246 /nfs/dbraw/zinc/82/42/46/983824246.db2.gz PDQFMOLMYZYPTO-ABAIWWIYSA-N 0 1 297.351 0.796 20 30 CCEDMN C=C[C@H]([NH2+]CC(=O)N1CCc2ccccc21)C(=O)[O-] ZINC001588696793 983904198 /nfs/dbraw/zinc/90/41/98/983904198.db2.gz RFFBDIVVJGQVHG-NSHDSACASA-N 0 1 260.293 0.805 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1C[C@@H](N2CCOCC2)C[C@H]1C ZINC001588727679 983977288 /nfs/dbraw/zinc/97/72/88/983977288.db2.gz DYCHTJRRLSWOEP-FRRDWIJNSA-N 0 1 268.357 0.811 20 30 CCEDMN C=CCC[C@@H](CO)[NH2+]C1CCN(CC(=O)[O-])CC1 ZINC001588778111 984159403 /nfs/dbraw/zinc/15/94/03/984159403.db2.gz SUCMZTAZTYNPNY-LBPRGKRZSA-N 0 1 256.346 0.452 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)[C@H]1CCN(CCC(=O)[O-])C1=O ZINC001588822293 984289028 /nfs/dbraw/zinc/28/90/28/984289028.db2.gz DZGTXYIOKBEARR-ZDUSSCGKSA-N 0 1 297.399 0.502 20 30 CCEDMN C=CCN(C)CC[N@@H+](CC)[C@H]1CCN(CCC(=O)[O-])C1=O ZINC001588822293 984289034 /nfs/dbraw/zinc/28/90/34/984289034.db2.gz DZGTXYIOKBEARR-ZDUSSCGKSA-N 0 1 297.399 0.502 20 30 CCEDMN C=CCN(C)CC[N@H+](CC)[C@H]1CCN(CCC(=O)[O-])C1=O ZINC001588822293 984289040 /nfs/dbraw/zinc/28/90/40/984289040.db2.gz DZGTXYIOKBEARR-ZDUSSCGKSA-N 0 1 297.399 0.502 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588836842 984330219 /nfs/dbraw/zinc/33/02/19/984330219.db2.gz KAJJBVSLWGTWFC-VXGBXAGGSA-N 0 1 264.325 0.641 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@@H](C)C[C@H]2C(=O)[O-])C1=O ZINC001588838891 984342785 /nfs/dbraw/zinc/34/27/85/984342785.db2.gz MAEHUGPPNVELLH-WOPDTQHZSA-N 0 1 266.341 0.958 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@@H](C)C[C@H]2C(=O)[O-])C1=O ZINC001588838891 984342792 /nfs/dbraw/zinc/34/27/92/984342792.db2.gz MAEHUGPPNVELLH-WOPDTQHZSA-N 0 1 266.341 0.958 20 30 CCEDMN C=CCOC[C@@H](NC(=O)CC[N@H+]1CC[C@H](F)C1)C(=O)[O-] ZINC001588854015 984388033 /nfs/dbraw/zinc/38/80/33/984388033.db2.gz NXYOJEBFTKQWPG-WDEREUQCSA-N 0 1 288.319 0.192 20 30 CCEDMN CC#CCC[N@H+]1C[C@@H](C(=O)[O-])CC[C@H]1C(=O)OC ZINC001588927152 984597321 /nfs/dbraw/zinc/59/73/21/984597321.db2.gz OYIIQOGEUVRFIZ-QWRGUYRKSA-N 0 1 253.298 0.738 20 30 CCEDMN CC#CCC[N@@H+]1C[C@@H](C(=O)[O-])CC[C@H]1C(=O)OC ZINC001588927152 984597324 /nfs/dbraw/zinc/59/73/24/984597324.db2.gz OYIIQOGEUVRFIZ-QWRGUYRKSA-N 0 1 253.298 0.738 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)NCCC#N ZINC001594515142 985866579 /nfs/dbraw/zinc/86/65/79/985866579.db2.gz FFCSSTBTAPVOIG-JTQLQIEISA-N 0 1 268.317 0.090 20 30 CCEDMN CC(C)[N@@H+](C)C[C@H](O)Cn1cc(C(=O)[O-])cc(C#N)c1=O ZINC001591549802 992735273 /nfs/dbraw/zinc/73/52/73/992735273.db2.gz PMGNCJWQBNFYKI-LBPRGKRZSA-N 0 1 293.323 0.119 20 30 CCEDMN C[C@@H](c1cccc(C#N)c1)[N@H+](C)CC(=O)NCC(=O)[O-] ZINC001593698113 996161299 /nfs/dbraw/zinc/16/12/99/996161299.db2.gz GCGMKYUVYIQCMY-JTQLQIEISA-N 0 1 275.308 0.752 20 30 CCEDMN C[C@@H](c1cccc(C#N)c1)[N@@H+](C)CC(=O)NCC(=O)[O-] ZINC001593698113 996161304 /nfs/dbraw/zinc/16/13/04/996161304.db2.gz GCGMKYUVYIQCMY-JTQLQIEISA-N 0 1 275.308 0.752 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](Cc2cc(C#N)ccn2)C[C@H]1C(=O)[O-] ZINC001598824927 996372113 /nfs/dbraw/zinc/37/21/13/996372113.db2.gz BJXIJHWVZOUCBC-NEPJUHHUSA-N 0 1 289.291 0.259 20 30 CCEDMN COC(=O)[C@H]1C[N@H+](Cc2ccncc2C#N)C[C@@H]1C(=O)[O-] ZINC001598826494 996388898 /nfs/dbraw/zinc/38/88/98/996388898.db2.gz HZOHMXNNVRWXOS-RYUDHWBXSA-N 0 1 289.291 0.259 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](Cc2ccncc2C#N)C[C@@H]1C(=O)[O-] ZINC001598826494 996388901 /nfs/dbraw/zinc/38/89/01/996388901.db2.gz HZOHMXNNVRWXOS-RYUDHWBXSA-N 0 1 289.291 0.259 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)Cc1ccnn1C ZINC000091076389 349450180 /nfs/dbraw/zinc/45/01/80/349450180.db2.gz OLCWTTDFUACROZ-UHFFFAOYSA-N 0 1 273.344 0.662 20 30 CCEDMN C#CCCN1CCN(c2ccc(C(=O)N(C)C)cn2)CC1 ZINC000091480481 349463660 /nfs/dbraw/zinc/46/36/60/349463660.db2.gz LYYNXZJXTPELDD-UHFFFAOYSA-N 0 1 286.379 0.929 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CC[C@@H](N3CC[C@H](O)C3)C2)C1 ZINC000599938064 361834744 /nfs/dbraw/zinc/83/47/44/361834744.db2.gz LOMXCWYZPQVCPY-ICRTXTNUSA-N 0 1 277.368 0.594 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CC[C@@H](N2CC[C@@H](O)C2)C1 ZINC000599939400 361835757 /nfs/dbraw/zinc/83/57/57/361835757.db2.gz IHENGTYWMZZDPW-YRGRVCCFSA-N 0 1 265.357 0.594 20 30 CCEDMN N#CCc1cccc(C(=O)NCCN2CC[C@H](O)C2)c1 ZINC000600808193 362065727 /nfs/dbraw/zinc/06/57/27/362065727.db2.gz LTXKZYIZMVNYRG-AWEZNQCLSA-N 0 1 273.336 0.549 20 30 CCEDMN CC[C@H](C)N1CCN(C(=O)Cn2cnc(C#N)n2)CC1 ZINC000456526080 529697671 /nfs/dbraw/zinc/69/76/71/529697671.db2.gz HPXXJZIQRHGQHX-NSHDSACASA-N 0 1 276.344 0.092 20 30 CCEDMN CC[C@H](CO)N1CCN(c2ccnc(C#N)c2)CC1 ZINC000288286495 136397849 /nfs/dbraw/zinc/39/78/49/136397849.db2.gz HLGNSDJZGVJXJB-CYBMUJFWSA-N 0 1 260.341 0.846 20 30 CCEDMN CN(CC1(O)CCC1)C([O-])=[NH+][C@H]1CCn2ccnc2C1 ZINC000330275719 529854940 /nfs/dbraw/zinc/85/49/40/529854940.db2.gz LXDMLJLXWWBHOF-NSHDSACASA-N 0 1 278.356 0.959 20 30 CCEDMN CN(C)C1(CNS(=O)(=O)c2ccsc2C#N)CC1 ZINC000601450232 362278142 /nfs/dbraw/zinc/27/81/42/362278142.db2.gz OANINXWDMZLFRV-UHFFFAOYSA-N 0 1 285.394 0.992 20 30 CCEDMN COC(=O)C1(C)CN(C[C@@H](O)CC2(C#N)CCOCC2)C1 ZINC000601956607 362437685 /nfs/dbraw/zinc/43/76/85/362437685.db2.gz BVUCMGSQWRIICI-LBPRGKRZSA-N 0 1 296.367 0.553 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2ccc(C#N)cc2)n1 ZINC000355683850 232044955 /nfs/dbraw/zinc/04/49/55/232044955.db2.gz OLMVRHAJDQTBQK-UHFFFAOYSA-N 0 1 255.281 0.957 20 30 CCEDMN N#CC[C@@H]1CC[C@H](NC(=O)NCCN2CC[C@@H](O)C2)C1 ZINC000602318154 362584579 /nfs/dbraw/zinc/58/45/79/362584579.db2.gz BPRDBDRCSPXSDK-RWMBFGLXSA-N 0 1 280.372 0.435 20 30 CCEDMN C[C@H](NCc1cccc(C#N)n1)[C@H]1CN(C)CCO1 ZINC000602576352 362688910 /nfs/dbraw/zinc/68/89/10/362688910.db2.gz MEXGWVZRDWCLQO-SMDDNHRTSA-N 0 1 260.341 0.762 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)c1ccnc(CN)c1)C(=O)OC ZINC000602600550 362704949 /nfs/dbraw/zinc/70/49/49/362704949.db2.gz ONKZWSNSDPQRFL-GFCCVEGCSA-N 0 1 277.324 0.778 20 30 CCEDMN C[C@@H]1COCC[C@@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000617891603 365818353 /nfs/dbraw/zinc/81/83/53/365818353.db2.gz UEXIVXKYBHCRTM-SCZZXKLOSA-N 0 1 263.301 0.260 20 30 CCEDMN N#CCC1(CN2CCC[C@@H](CNS(N)(=O)=O)C2)CC1 ZINC000602774783 362794908 /nfs/dbraw/zinc/79/49/08/362794908.db2.gz AZVQTGIMMFHUKE-NSHDSACASA-N 0 1 286.401 0.185 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2cccc(C#N)c2)[C@H]1C ZINC000262798872 137053697 /nfs/dbraw/zinc/05/36/97/137053697.db2.gz UUMAZPQKOQBITG-MNOVXSKESA-N 0 1 279.365 0.929 20 30 CCEDMN N#Cc1ccccc1OCC(=O)NCc1nnc[nH]1 ZINC000037920872 348218777 /nfs/dbraw/zinc/21/87/77/348218777.db2.gz PZBSGHVJBFZRIN-UHFFFAOYSA-N 0 1 257.253 0.372 20 30 CCEDMN CNC(=O)CN(C)CCC(=O)Nc1cccc(C#N)c1 ZINC000037270647 348186431 /nfs/dbraw/zinc/18/64/31/348186431.db2.gz YLBJVJDNIZFWDD-UHFFFAOYSA-N 0 1 274.324 0.565 20 30 CCEDMN CN1CCN(C(=O)CNCc2ccc(C#N)cc2)CC1 ZINC000037749227 348200944 /nfs/dbraw/zinc/20/09/44/348200944.db2.gz FZJKXKYNJSOHTO-UHFFFAOYSA-N 0 1 272.352 0.422 20 30 CCEDMN CCC1(CNC(=O)N=c2[nH]n(C)cc2C#N)COC1 ZINC000602882161 362861896 /nfs/dbraw/zinc/86/18/96/362861896.db2.gz RTAVKBRWZYGSCU-UHFFFAOYSA-N 0 1 263.301 0.262 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCC(C)(F)F)[nH]1 ZINC000602881550 362861898 /nfs/dbraw/zinc/86/18/98/362861898.db2.gz QYCZZZMBMJWDRY-UHFFFAOYSA-N 0 1 257.244 0.880 20 30 CCEDMN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602885040 362864014 /nfs/dbraw/zinc/86/40/14/362864014.db2.gz YFASGURBAJUQAJ-WYOJIJJFSA-N 0 1 277.328 0.647 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC2(C(F)(F)F)CC2)[nH]1 ZINC000602885726 362864966 /nfs/dbraw/zinc/86/49/66/362864966.db2.gz ZOWZLXGRXXZWOW-UHFFFAOYSA-N 0 1 273.218 0.930 20 30 CCEDMN C[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H]1CO ZINC000602886082 362865303 /nfs/dbraw/zinc/86/53/03/362865303.db2.gz IYJNPGPHDANXPO-GXSJLCMTSA-N 0 1 277.328 0.196 20 30 CCEDMN CS[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602880847 362860590 /nfs/dbraw/zinc/86/05/90/362860590.db2.gz NGBGUXIMTWAKTE-SECBINFHSA-N 0 1 265.342 0.683 20 30 CCEDMN N#CCNC(=O)CN[C@H](c1ccncc1)C1(CO)CCC1 ZINC000602901354 362875479 /nfs/dbraw/zinc/87/54/79/362875479.db2.gz HMHKQUVWCGFWCO-CQSZACIVSA-N 0 1 288.351 0.515 20 30 CCEDMN CC(=O)NCC1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000047772766 348387328 /nfs/dbraw/zinc/38/73/28/348387328.db2.gz ODRGLJWGYLNSSL-LBPRGKRZSA-N 0 1 294.399 0.595 20 30 CCEDMN CC[C@@]1(O)CCCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000602983268 362922496 /nfs/dbraw/zinc/92/24/96/362922496.db2.gz GPBNREBUXVCMOG-CQSZACIVSA-N 0 1 265.357 0.786 20 30 CCEDMN CCN(C)CCNS(=O)(=O)c1ccccc1C#N ZINC000054134232 348543151 /nfs/dbraw/zinc/54/31/51/348543151.db2.gz GZPWUOWYTWFPME-UHFFFAOYSA-N 0 1 267.354 0.788 20 30 CCEDMN N#Cc1cccc(NC(=O)CCN2CCNC(=O)CC2)c1 ZINC000059894438 348639990 /nfs/dbraw/zinc/63/99/90/348639990.db2.gz REHNFFVQRUVXFU-UHFFFAOYSA-N 0 1 286.335 0.709 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1CCC(C(N)=O)CC1 ZINC000069875747 348864170 /nfs/dbraw/zinc/86/41/70/348864170.db2.gz FALVMIKUPWJCOX-IAQYHMDHSA-N 0 1 294.399 0.627 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)[C@H](C)N(C)C)CC1 ZINC000078704972 349150184 /nfs/dbraw/zinc/15/01/84/349150184.db2.gz UQBVUIVENQOEDT-QWHCGFSZSA-N 0 1 266.389 0.629 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CCN(CC)CC2)nc1 ZINC000079543743 349183520 /nfs/dbraw/zinc/18/35/20/349183520.db2.gz LDWSLPCODOCHKK-UHFFFAOYSA-N 0 1 272.352 0.587 20 30 CCEDMN CS(=O)(=O)NC[C@H]1CCCN(CCCC#N)C1 ZINC000079977462 349196926 /nfs/dbraw/zinc/19/69/26/349196926.db2.gz MUAZUGSSAKJUFI-LLVKDONJSA-N 0 1 259.375 0.551 20 30 CCEDMN N#CC1(NC(=O)CN[C@H]2CCCn3nccc32)CCC1 ZINC000603029771 362944603 /nfs/dbraw/zinc/94/46/03/362944603.db2.gz NSSUBMQGRULKHA-NSHDSACASA-N 0 1 273.340 0.870 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)C[C@H](O)C1CC1 ZINC000130953898 350255525 /nfs/dbraw/zinc/25/55/25/350255525.db2.gz RLRRXSGLBGRDGA-ZDUSSCGKSA-N 0 1 278.356 0.345 20 30 CCEDMN C[C@H]1C[C@H](NS(=O)(=O)N(C)CCC#N)C[N@H+]1C1CC1 ZINC000126178540 260345959 /nfs/dbraw/zinc/34/59/59/260345959.db2.gz DRYYEUKGJCYRJN-QWRGUYRKSA-N 0 1 286.401 0.291 20 30 CCEDMN C[C@H]1C[C@H](NS(=O)(=O)N(C)CCC#N)CN1C1CC1 ZINC000126178540 260345961 /nfs/dbraw/zinc/34/59/61/260345961.db2.gz DRYYEUKGJCYRJN-QWRGUYRKSA-N 0 1 286.401 0.291 20 30 CCEDMN CCN(CC(=O)N(CCC#N)CCOC)C1CC1 ZINC000136035526 350412314 /nfs/dbraw/zinc/41/23/14/350412314.db2.gz RAHMRFHZCHPXKZ-UHFFFAOYSA-N 0 1 253.346 0.859 20 30 CCEDMN CNCc1cn(CCOc2ccc(C#N)cc2)nn1 ZINC000603175292 362984690 /nfs/dbraw/zinc/98/46/90/362984690.db2.gz GEHYOJLWNUKFAL-UHFFFAOYSA-N 0 1 257.297 0.948 20 30 CCEDMN CCNCc1cn(CC(=O)N(CC)C[C@H](C)C#N)nn1 ZINC000603210479 363002077 /nfs/dbraw/zinc/00/20/77/363002077.db2.gz ZKJYQNLYPXJNLO-LLVKDONJSA-N 0 1 278.360 0.396 20 30 CCEDMN N#Cc1ccc(NC(=O)C(=O)N2CCN3CCC2CC3)cc1 ZINC000189781163 351702087 /nfs/dbraw/zinc/70/20/87/351702087.db2.gz JALNEQBNXGHYAQ-UHFFFAOYSA-N 0 1 298.346 0.803 20 30 CCEDMN C[C@H](CNc1cnc(C#N)cn1)N1CCN(C)CC1 ZINC000123532597 187097421 /nfs/dbraw/zinc/09/74/21/187097421.db2.gz DRBQJTPKBQDJEP-LLVKDONJSA-N 0 1 260.345 0.396 20 30 CCEDMN CC(=O)NC[C@@H]1CCCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000195718969 351920335 /nfs/dbraw/zinc/92/03/35/351920335.db2.gz GIEKVZRLJRFTPV-JSGCOSHPSA-N 0 1 294.399 0.595 20 30 CCEDMN Cc1nc(CNS(=O)(=O)c2ccc(C#N)s2)no1 ZINC000231808960 352135042 /nfs/dbraw/zinc/13/50/42/352135042.db2.gz MDDCVHKOOCGIPH-UHFFFAOYSA-N 0 1 284.322 0.790 20 30 CCEDMN Cn1cc(CN[C@H]2CCCS(=O)(=O)C2)cc1C#N ZINC000232315283 352143695 /nfs/dbraw/zinc/14/36/95/352143695.db2.gz BLCMDHHQJADOTB-NSHDSACASA-N 0 1 267.354 0.564 20 30 CCEDMN C[C@H](O)CCN(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000253192520 352528670 /nfs/dbraw/zinc/52/86/70/352528670.db2.gz NJFKYJMNTUFHEE-GXFFZTMASA-N 0 1 253.346 0.498 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)/C=C/c2ccc(C#N)cc2)C1 ZINC000264778623 352596988 /nfs/dbraw/zinc/59/69/88/352596988.db2.gz RLKUXYJYVYGDLW-KXPUMZMLSA-N 0 1 298.390 0.933 20 30 CCEDMN COC[C@H](O)CN1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000264850553 352602623 /nfs/dbraw/zinc/60/26/23/352602623.db2.gz OTYRSQDXPWBCFK-MRXNPFEDSA-N 0 1 289.379 0.683 20 30 CCEDMN N#Cc1ccc(CNC(=O)CN2CC[C@H](CO)C2)cc1 ZINC000265891230 352670032 /nfs/dbraw/zinc/67/00/32/352670032.db2.gz RQMHILKITOKKNW-AWEZNQCLSA-N 0 1 273.336 0.489 20 30 CCEDMN C=CC[C@@H](C)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000265339794 352632963 /nfs/dbraw/zinc/63/29/63/352632963.db2.gz PEFMBCVLLKLCBN-SECBINFHSA-N 0 1 274.328 0.870 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000267242805 352753586 /nfs/dbraw/zinc/75/35/86/352753586.db2.gz XZGHTFFDAOALBO-NSHDSACASA-N 0 1 262.313 0.337 20 30 CCEDMN Cc1ccnc(N2CCN(C(=O)CN(C)C)CC2)c1C#N ZINC000273331752 353111495 /nfs/dbraw/zinc/11/14/95/353111495.db2.gz MCPMPWKVESBVRD-UHFFFAOYSA-N 0 1 287.367 0.472 20 30 CCEDMN N#Cc1cnn2cc(CN[C@@H]3CCCCNC3=O)cnc12 ZINC000555860144 290982789 /nfs/dbraw/zinc/98/27/89/290982789.db2.gz BFAFPHFYJRBUBN-GFCCVEGCSA-N 0 1 284.323 0.359 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000280787663 353383722 /nfs/dbraw/zinc/38/37/22/353383722.db2.gz QTMVXBMTVOCUJP-GMTAPVOTSA-N 0 1 297.355 0.128 20 30 CCEDMN COCCN1CCCN(C(=O)c2cc(C#N)ccn2)CC1 ZINC000287468305 353647838 /nfs/dbraw/zinc/64/78/38/353647838.db2.gz DSPJEISVRBEUOQ-UHFFFAOYSA-N 0 1 288.351 0.748 20 30 CCEDMN C#CCN(C)CCCNC(=O)C(=O)c1c(C)nn(C)c1C ZINC000294087385 353867750 /nfs/dbraw/zinc/86/77/50/353867750.db2.gz XFOVOLMGUKTRNK-UHFFFAOYSA-N 0 1 290.367 0.291 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H](CO)C/C=C/C ZINC000296754260 353957379 /nfs/dbraw/zinc/95/73/79/353957379.db2.gz FISAWVQDPPFSOG-BPJJOFIESA-N 0 1 267.373 0.568 20 30 CCEDMN COC[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@@H]1CCCO1 ZINC000618994221 366140798 /nfs/dbraw/zinc/14/07/98/366140798.db2.gz MPUXCTCAUFBASH-QWRGUYRKSA-N 0 1 293.327 0.029 20 30 CCEDMN O=C(N[C@H]1CCc2c[nH]nc2C1)N1CCn2ccnc2C1 ZINC000329867893 354267190 /nfs/dbraw/zinc/26/71/90/354267190.db2.gz WRXCRELAKOYRBC-NSHDSACASA-N 0 1 286.339 0.893 20 30 CCEDMN N#Cc1cc(CN2CC[C@]3(CNC(=O)C3)C2)ccn1 ZINC000619057091 366173219 /nfs/dbraw/zinc/17/32/19/366173219.db2.gz WISHBIFPHCMQAF-AWEZNQCLSA-N 0 1 256.309 0.665 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2cn(C(C)(C)C)nn2)[nH]1 ZINC000331125972 354458021 /nfs/dbraw/zinc/45/80/21/354458021.db2.gz NRWHJEQXSIQFOI-UHFFFAOYSA-N 0 1 273.300 0.312 20 30 CCEDMN C[C@H](NCc1cnc2ccc(C#N)cn12)C(=O)NCCF ZINC000337276284 355294773 /nfs/dbraw/zinc/29/47/73/355294773.db2.gz FDQOWLKBRNYONO-JTQLQIEISA-N 0 1 289.314 0.770 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N(C)CCOCCO ZINC000337489642 355303388 /nfs/dbraw/zinc/30/33/88/355303388.db2.gz LXJSVWUORRPEHV-TZMCWYRMSA-N 0 1 285.388 0.370 20 30 CCEDMN C[C@@H](C#N)CN(C[C@@H]1CCN(S(C)(=O)=O)C1)C1CC1 ZINC000338289814 355312548 /nfs/dbraw/zinc/31/25/48/355312548.db2.gz VGEBIGLDAZWUSN-RYUDHWBXSA-N 0 1 285.413 0.892 20 30 CCEDMN C[C@H]1[C@H](NS(=O)(=O)CCCC#N)CCCN1C ZINC000344017180 355781880 /nfs/dbraw/zinc/78/18/80/355781880.db2.gz FBMQEWMBZRBKAQ-WDEREUQCSA-N 0 1 259.375 0.692 20 30 CCEDMN C[C@H]1C[C@@H](CO)CCN1CC(=O)N(CCC#N)CCC#N ZINC000344147461 355786125 /nfs/dbraw/zinc/78/61/25/355786125.db2.gz DFQPGZZFFOXTIY-KBPBESRZSA-N 0 1 292.383 0.735 20 30 CCEDMN Cc1nc([C@H](C)NS(=O)(=O)c2cncc(C#N)c2)n[nH]1 ZINC000352723464 356063836 /nfs/dbraw/zinc/06/38/36/356063836.db2.gz BUCQIMHDIIKYCJ-ZETCQYMHSA-N 0 1 292.324 0.419 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NC1CCN(C2CC2)CC1 ZINC000352412266 356012359 /nfs/dbraw/zinc/01/23/59/356012359.db2.gz DFJFQSRNFNLKIH-VIFPVBQESA-N 0 1 257.359 0.445 20 30 CCEDMN COCC#CCN(C)CCN1C[C@@H](C)O[C@@H](C)C1 ZINC000684005783 545602093 /nfs/dbraw/zinc/60/20/93/545602093.db2.gz NZYLUHXUKKPYEE-OKILXGFUSA-N 0 1 254.374 0.677 20 30 CCEDMN C[C@@H](NCc1cc(C#N)n(C)c1)C1(S(C)(=O)=O)CC1 ZINC000353043371 356144992 /nfs/dbraw/zinc/14/49/92/356144992.db2.gz CFPZAEOJNIKXKU-SNVBAGLBSA-N 0 1 281.381 0.952 20 30 CCEDMN N#Cc1ccc(C[C@@H](C#N)C(=O)NCc2nn[nH]n2)cc1 ZINC000619372179 366242002 /nfs/dbraw/zinc/24/20/02/366242002.db2.gz YYIOMMLMRRYSIN-NSHDSACASA-N 0 1 281.279 0.070 20 30 CCEDMN CC(C)(NC(=O)Cc1ccc(C#N)cc1)c1nn[nH]n1 ZINC000354778159 356496629 /nfs/dbraw/zinc/49/66/29/356496629.db2.gz TXALZUWOKFZFGD-UHFFFAOYSA-N 0 1 270.296 0.665 20 30 CCEDMN Cc1cc(C(=O)N2CCN(c3cccc(C#N)n3)CC2)n[nH]1 ZINC000354837141 356510423 /nfs/dbraw/zinc/51/04/23/356510423.db2.gz WVMFGWZGONIPOM-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC000609269147 363486918 /nfs/dbraw/zinc/48/69/18/363486918.db2.gz MCFAZUISINVXNK-LLVKDONJSA-N 0 1 271.386 0.470 20 30 CCEDMN C[C@@H](Oc1cccnc1)C(=O)NC1(C#N)CCN(C)CC1 ZINC000609793278 363517224 /nfs/dbraw/zinc/51/72/24/363517224.db2.gz CUZCZMQEHMOEQJ-GFCCVEGCSA-N 0 1 288.351 0.953 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCN([C@H](CC)CO)CC1 ZINC000619718738 366339055 /nfs/dbraw/zinc/33/90/55/366339055.db2.gz ZAPUHEFSMXDHDI-NEPJUHHUSA-N 0 1 256.346 0.103 20 30 CCEDMN Cn1ncc(C(=O)Nc2ccn(-c3ccncc3)n2)c1C#N ZINC000356150764 356712097 /nfs/dbraw/zinc/71/20/97/356712097.db2.gz PGNXILZQZSNKRQ-UHFFFAOYSA-N 0 1 293.290 0.547 20 30 CCEDMN N#Cc1ccc(OCCNC(=O)c2ncn[nH]2)cc1 ZINC000358584323 356779738 /nfs/dbraw/zinc/77/97/38/356779738.db2.gz INMUNNAENAMCTH-UHFFFAOYSA-N 0 1 257.253 0.485 20 30 CCEDMN N#Cc1ccc(OCCNC(=O)c2nc[nH]n2)cc1 ZINC000358584323 356779742 /nfs/dbraw/zinc/77/97/42/356779742.db2.gz INMUNNAENAMCTH-UHFFFAOYSA-N 0 1 257.253 0.485 20 30 CCEDMN CC1(CN[C@H](C(N)=O)c2ccc(C#N)cc2)COC1 ZINC000360075142 356943146 /nfs/dbraw/zinc/94/31/46/356943146.db2.gz MQLMBYHJEOLCLD-LBPRGKRZSA-N 0 1 259.309 0.711 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCc2ccco2)[nH]1 ZINC000610562169 363576210 /nfs/dbraw/zinc/57/62/10/363576210.db2.gz JQFCBNIAYSFJTH-UHFFFAOYSA-N 0 1 259.269 0.671 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2cccc(C(N)=O)c2)[nH]1 ZINC000610561180 363576983 /nfs/dbraw/zinc/57/69/83/363576983.db2.gz QSMIKNDTRIGGCW-UHFFFAOYSA-N 0 1 298.306 0.134 20 30 CCEDMN COCCCCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610562893 363578012 /nfs/dbraw/zinc/57/80/12/363578012.db2.gz JXRIZYYFGCOAAC-UHFFFAOYSA-N 0 1 265.317 0.652 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H](O)CC(C)(C)C)[nH]1 ZINC000610562688 363578162 /nfs/dbraw/zinc/57/81/62/363578162.db2.gz BPPVDRZKCVGGAX-SNVBAGLBSA-N 0 1 279.344 0.632 20 30 CCEDMN C[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CC(C)(C)O1 ZINC000610564204 363579649 /nfs/dbraw/zinc/57/96/49/363579649.db2.gz GCRUCSQTTLTXQO-VIFPVBQESA-N 0 1 277.328 0.745 20 30 CCEDMN COC[C@@H]1CCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000610634649 363589588 /nfs/dbraw/zinc/58/95/88/363589588.db2.gz XPKQZXAXURWFKH-JTQLQIEISA-N 0 1 263.301 0.356 20 30 CCEDMN CN(C)S(=O)(=O)CCCN1CCC(C#N)CC1 ZINC000408034725 357878032 /nfs/dbraw/zinc/87/80/32/357878032.db2.gz VAPBJHYBOOHLLX-UHFFFAOYSA-N 0 1 259.375 0.503 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)NCc1n[nH]c(C2CC2)n1 ZINC000452419635 236245159 /nfs/dbraw/zinc/24/51/59/236245159.db2.gz GURVYHACBZWPBV-UHFFFAOYSA-N 0 1 270.296 0.822 20 30 CCEDMN C=C(C)CS(=O)(=O)NCCN1CCC(OC)CC1 ZINC000684762023 545733390 /nfs/dbraw/zinc/73/33/90/545733390.db2.gz FXULKOYVBKETIN-UHFFFAOYSA-N 0 1 276.402 0.593 20 30 CCEDMN C[C@H](C[C@@H]1CCOC1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610744170 363606556 /nfs/dbraw/zinc/60/65/56/363606556.db2.gz CUMSXKDMBFBJSM-ZJUUUORDSA-N 0 1 277.328 0.650 20 30 CCEDMN C[C@H]1N(C(=O)N=c2[nH]n(C)cc2C#N)CCOC1(C)C ZINC000610821399 363617176 /nfs/dbraw/zinc/61/71/76/363617176.db2.gz QQUKUOWRFFJTQV-SECBINFHSA-N 0 1 277.328 0.745 20 30 CCEDMN CC(C)CN1CCO[C@H](CNc2ccc(C#N)c(N)n2)C1 ZINC000570343216 358848332 /nfs/dbraw/zinc/84/83/32/358848332.db2.gz ICNMERNQRJZETQ-CYBMUJFWSA-N 0 1 289.383 0.726 20 30 CCEDMN N#Cc1cccc(CN2CCC[C@H](N3CCNCC3=O)C2)c1 ZINC000570726680 358889107 /nfs/dbraw/zinc/88/91/07/358889107.db2.gz FDZOFFYXJXWNRV-INIZCTEOSA-N 0 1 298.390 0.954 20 30 CCEDMN CN(C)C(=O)[C@H]1CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000571208441 358923192 /nfs/dbraw/zinc/92/31/92/358923192.db2.gz CAQQXHIEYMNBBJ-LBPRGKRZSA-N 0 1 298.350 0.511 20 30 CCEDMN CN1CCN(C[C@H](O)COc2cccc(F)c2C#N)CC1 ZINC000576163773 359045514 /nfs/dbraw/zinc/04/55/14/359045514.db2.gz AJPQRAVPCARIPY-LBPRGKRZSA-N 0 1 293.342 0.684 20 30 CCEDMN COCC[N@H+](C)CCNC(=O)c1cc([O-])cc(C#N)c1 ZINC000589175040 359365165 /nfs/dbraw/zinc/36/51/65/359365165.db2.gz LCBISMSAUBQGLT-UHFFFAOYSA-N 0 1 277.324 0.572 20 30 CCEDMN COCC[N@@H+](C)CCNC(=O)c1cc([O-])cc(C#N)c1 ZINC000589175040 359365167 /nfs/dbraw/zinc/36/51/67/359365167.db2.gz LCBISMSAUBQGLT-UHFFFAOYSA-N 0 1 277.324 0.572 20 30 CCEDMN CS(=O)(=O)NC1CC(NCc2nc(C#N)cs2)C1 ZINC000589319767 359378180 /nfs/dbraw/zinc/37/81/80/359378180.db2.gz DTUBQAUDPVRHAR-UHFFFAOYSA-N 0 1 286.382 0.185 20 30 CCEDMN C[C@H]1COCC[C@@H]1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000588259042 359320055 /nfs/dbraw/zinc/32/00/55/359320055.db2.gz VJQDVBUYBVGIIS-VHSXEESVSA-N 0 1 277.328 0.508 20 30 CCEDMN COC[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000611007112 363648207 /nfs/dbraw/zinc/64/82/07/363648207.db2.gz JNARZECZEXZZKW-SNVBAGLBSA-N 0 1 263.301 0.260 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCN(CC)[C@H](C)C1 ZINC000611092085 363659479 /nfs/dbraw/zinc/65/94/79/363659479.db2.gz IMSDMBHLQUXWAP-NEPJUHHUSA-N 0 1 282.388 0.413 20 30 CCEDMN CC[C@@H](CO)N1CCN(C(=O)CC2(C#N)CC2)CC1 ZINC000590309233 359437231 /nfs/dbraw/zinc/43/72/31/359437231.db2.gz MCLIHHGYWNSQPP-LBPRGKRZSA-N 0 1 265.357 0.595 20 30 CCEDMN N#Cc1ccnc(C(=O)NC[C@@H]2CN3CCN2CCC3)c1 ZINC000590355404 359443281 /nfs/dbraw/zinc/44/32/81/359443281.db2.gz WKSOXVWOGQHKSU-CYBMUJFWSA-N 0 1 285.351 0.073 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CCc3nc[nH]c3C2)c1 ZINC000590378315 359447001 /nfs/dbraw/zinc/44/70/01/359447001.db2.gz IDYHZTVUOYWBDJ-UHFFFAOYSA-N 0 1 253.265 0.875 20 30 CCEDMN N#Cc1ccc(NCCN2C[C@H]3CC[C@@H](C2)O3)nn1 ZINC000590722022 359511463 /nfs/dbraw/zinc/51/14/63/359511463.db2.gz CYLMBKJTLJSGDF-TXEJJXNPSA-N 0 1 259.313 0.045 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC(F)(F)C(F)(F)C2)[nH]1 ZINC000590957419 359542146 /nfs/dbraw/zinc/54/21/46/359542146.db2.gz DWPBFRMRQRGNQA-UHFFFAOYSA-N 0 1 291.208 0.832 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)C(=O)N(C)C[C@@H](C)C#N)CCN1 ZINC000591582935 359602215 /nfs/dbraw/zinc/60/22/15/359602215.db2.gz SMXIZKLFEOCVCN-NWDGAFQWSA-N 0 1 280.372 0.061 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCN[C@@H]2CCOC2)nc1 ZINC000591757060 359654107 /nfs/dbraw/zinc/65/41/07/359654107.db2.gz VLRQWCNVSIBGJC-LLVKDONJSA-N 0 1 281.337 0.105 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@@H]3OCC[C@@H]3C2)CCC1 ZINC000592141285 359764475 /nfs/dbraw/zinc/76/44/75/359764475.db2.gz VRLUCBNFLGJBSY-NEPJUHHUSA-N 0 1 263.341 0.660 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](C(F)F)C1 ZINC000611180008 363675307 /nfs/dbraw/zinc/67/53/07/363675307.db2.gz PORBBCRRQZXMRS-DTWKUNHWSA-N 0 1 275.299 0.974 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2COc3ccccc3O2)[nH]n1C ZINC000592646519 359908402 /nfs/dbraw/zinc/90/84/02/359908402.db2.gz KOYMMVSCYOYPSZ-ZDUSSCGKSA-N 0 1 298.302 0.801 20 30 CCEDMN COC(=O)C[C@H](N)C(=O)N1CCC(CCC#N)CC1 ZINC000592568582 359894586 /nfs/dbraw/zinc/89/45/86/359894586.db2.gz RMXJYVLUVZNHHB-NSHDSACASA-N 0 1 267.329 0.419 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CC[C@@](O)(CC#N)C1 ZINC000592847808 359953834 /nfs/dbraw/zinc/95/38/34/359953834.db2.gz PNSJMSNSGIWITO-AWEZNQCLSA-N 0 1 274.324 0.638 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)c2cc(F)cc3nn[nH]c32)C1 ZINC000592850360 359955637 /nfs/dbraw/zinc/95/56/37/359955637.db2.gz SXFHRDOLFLTAKF-ZDUSSCGKSA-N 0 1 289.270 0.588 20 30 CCEDMN CC[C@@H](C#N)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000593458701 360093144 /nfs/dbraw/zinc/09/31/44/360093144.db2.gz RLLHIWUVHOIJJG-RYUDHWBXSA-N 0 1 252.362 0.288 20 30 CCEDMN C[C@@H](C(=O)N1[C@@H](C)CN(C)[C@H](C)[C@@H]1C)n1cnc(C#N)n1 ZINC000593189473 360051404 /nfs/dbraw/zinc/05/14/04/360051404.db2.gz QOMSTHKTTYFDQT-USZNOCQGSA-N 0 1 290.371 0.650 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@H](C[C@H]2CCOC2)C1 ZINC000611350500 363709857 /nfs/dbraw/zinc/70/98/57/363709857.db2.gz PGFZEZMYZNRVIS-CHWSQXEVSA-N 0 1 265.357 0.765 20 30 CCEDMN COc1ccc(C(=O)N=c2[nH]n(C)c(C)c2C#N)o1 ZINC000594053993 360243881 /nfs/dbraw/zinc/24/38/81/360243881.db2.gz SRPBVDWZIUDANX-UHFFFAOYSA-N 0 1 260.253 0.876 20 30 CCEDMN CN(Cc1nccn1C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611388812 363712454 /nfs/dbraw/zinc/71/24/54/363712454.db2.gz HHMOULMSYMPUDM-UHFFFAOYSA-N 0 1 273.300 0.111 20 30 CCEDMN C[C@@H](C(=O)N(C)CCCc1[nH]nc(N)c1C#N)N(C)C ZINC000611406009 363713477 /nfs/dbraw/zinc/71/34/77/363713477.db2.gz XLMMZUMYOVGPGT-VIFPVBQESA-N 0 1 278.360 0.035 20 30 CCEDMN CC(C)(O)CN1CCN(C(=O)CCCCC#N)CC1 ZINC000594291526 360268935 /nfs/dbraw/zinc/26/89/35/360268935.db2.gz IKSGTIKCKGJQKF-UHFFFAOYSA-N 0 1 267.373 0.985 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CCN(CC#N)CC1 ZINC000594294553 360269139 /nfs/dbraw/zinc/26/91/39/360269139.db2.gz JUFOWNHWPYCCAW-NSHDSACASA-N 0 1 261.329 0.256 20 30 CCEDMN C[C@H](CCCC[NH3+])[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000595112636 360438215 /nfs/dbraw/zinc/43/82/15/360438215.db2.gz WWABHXDJUVAPAJ-SECBINFHSA-N 0 1 271.342 0.947 20 30 CCEDMN C[C@H](NC(=O)N=c1ccn(CCC#N)[nH]1)c1nnc[nH]1 ZINC000611519180 363732347 /nfs/dbraw/zinc/73/23/47/363732347.db2.gz AFQBZPGDUVKEFW-QMMMGPOBSA-N 0 1 274.288 0.219 20 30 CCEDMN C[C@@H]1CN(C(=O)CC2(C#N)CCOCC2)C[C@@H](C)N1 ZINC000595254755 360459673 /nfs/dbraw/zinc/45/96/73/360459673.db2.gz WSRNLIWGTXQUBZ-VXGBXAGGSA-N 0 1 265.357 0.906 20 30 CCEDMN C=C(C)CN(C)[C@@H]1CCN(CCC(=O)OC)C1=O ZINC000595420899 360525680 /nfs/dbraw/zinc/52/56/80/360525680.db2.gz AJYAKUUNIBQABE-LLVKDONJSA-N 0 1 254.330 0.658 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)N(C)[C@@H](C)CC#N)CCN1 ZINC000595413538 360525708 /nfs/dbraw/zinc/52/57/08/360525708.db2.gz VCCLDINIRFYFMS-NWDGAFQWSA-N 0 1 288.417 0.395 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@@H]1CCO[C@@H]1C(=O)OC ZINC000595668541 360614502 /nfs/dbraw/zinc/61/45/02/360614502.db2.gz CYPLJZLJMOJIRW-UPJWGTAASA-N 0 1 298.383 0.720 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H]2COC[C@@]2(C)C1 ZINC000595757577 360642291 /nfs/dbraw/zinc/64/22/91/360642291.db2.gz KRXHNCCUSHBGSV-UMVBOHGHSA-N 0 1 279.384 0.963 20 30 CCEDMN Cc1ccc(C#N)nc1NCCN1CCN(C)CC1 ZINC000596062197 360784238 /nfs/dbraw/zinc/78/42/38/360784238.db2.gz IQFAKYQANSOTTR-UHFFFAOYSA-N 0 1 259.357 0.921 20 30 CCEDMN N#Cc1cccc(S(=O)(=O)CCN[C@H]2C[C@H](O)C2)c1 ZINC000596066231 360785136 /nfs/dbraw/zinc/78/51/36/360785136.db2.gz AJLASZWUDJRQSJ-HAQNSBGRSA-N 0 1 280.349 0.445 20 30 CCEDMN C[C@@H](NC[C@H](O)CN(C)CCC#N)c1nccn1C ZINC000596069128 360787663 /nfs/dbraw/zinc/78/76/63/360787663.db2.gz KIWZGDYRIBWOAP-NEPJUHHUSA-N 0 1 265.361 0.277 20 30 CCEDMN CCc1nc(C#N)cc(N2CCN(C[C@@H](C)O)C[C@H]2C)n1 ZINC000596200366 360831955 /nfs/dbraw/zinc/83/19/55/360831955.db2.gz NATYXOCCDSAJFF-VXGBXAGGSA-N 0 1 289.383 0.802 20 30 CCEDMN COCc1nc(CNc2nnc(C)c(C)c2C#N)n[nH]1 ZINC000596437643 360896041 /nfs/dbraw/zinc/89/60/41/360896041.db2.gz ZXWZOWUFFFTRJF-UHFFFAOYSA-N 0 1 273.300 0.842 20 30 CCEDMN COCc1nnc(CNc2nnc(C)c(C)c2C#N)[nH]1 ZINC000596437643 360896043 /nfs/dbraw/zinc/89/60/43/360896043.db2.gz ZXWZOWUFFFTRJF-UHFFFAOYSA-N 0 1 273.300 0.842 20 30 CCEDMN COCc1nc(CNc2ccc(C#N)c(C)n2)n[nH]1 ZINC000596437341 360896047 /nfs/dbraw/zinc/89/60/47/360896047.db2.gz WPXWYVDFWDQYFX-UHFFFAOYSA-N 0 1 258.285 0.560 20 30 CCEDMN COCc1nnc(CNc2ccc(C#N)c(C)n2)[nH]1 ZINC000596437341 360896048 /nfs/dbraw/zinc/89/60/48/360896048.db2.gz WPXWYVDFWDQYFX-UHFFFAOYSA-N 0 1 258.285 0.560 20 30 CCEDMN C[C@@H](CC#N)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000597627708 361167028 /nfs/dbraw/zinc/16/70/28/361167028.db2.gz WDYLZFXUZVYVFC-RYUDHWBXSA-N 0 1 250.346 0.042 20 30 CCEDMN CN1CCN(c2cc(C(=O)N3CC(CC#N)C3)ccn2)CC1 ZINC000598031331 361319126 /nfs/dbraw/zinc/31/91/26/361319126.db2.gz OHNWIHQZMMCCNQ-UHFFFAOYSA-N 0 1 299.378 0.819 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](C)[C@@H](CO)C1 ZINC000598652102 361473030 /nfs/dbraw/zinc/47/30/30/361473030.db2.gz XDKJUVOALGCOSD-MGPQQGTHSA-N 0 1 281.400 0.945 20 30 CCEDMN CO[C@H]1CC[C@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)C1 ZINC000598843105 361524830 /nfs/dbraw/zinc/52/48/30/361524830.db2.gz JRINWKXIIYMCDH-UWVGGRQHSA-N 0 1 262.313 0.776 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCO[C@@H](C#N)C2)C1 ZINC000598933866 361534003 /nfs/dbraw/zinc/53/40/03/361534003.db2.gz KVRKGAYAJGAUIP-ADEWGFFLSA-N 0 1 274.324 0.827 20 30 CCEDMN N#Cc1cccc(NCCN2CC[C@H](O)C2)c1[N+](=O)[O-] ZINC000599199810 361608420 /nfs/dbraw/zinc/60/84/20/361608420.db2.gz RAEZDSYPJHYLLU-NSHDSACASA-N 0 1 276.296 0.945 20 30 CCEDMN N#Cc1ccc([N+](=O)[O-])c(NCCN2CC[C@H](O)C2)c1 ZINC000599199389 361609141 /nfs/dbraw/zinc/60/91/41/361609141.db2.gz JDXXAOROQIULOJ-NSHDSACASA-N 0 1 276.296 0.945 20 30 CCEDMN C=C(CC)CNS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599332629 361655110 /nfs/dbraw/zinc/65/51/10/361655110.db2.gz UVBOLDQXIBDQQH-UHFFFAOYSA-N 0 1 274.298 0.706 20 30 CCEDMN C=CC[C@H](CO)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000613484452 364264331 /nfs/dbraw/zinc/26/43/31/364264331.db2.gz CLHPHURNHQFTEP-RKDXNWHRSA-N 0 1 250.302 0.278 20 30 CCEDMN CCOC(=O)C[C@H]1C[C@@H](OC)CN1CC#CCOC ZINC000614229021 364542540 /nfs/dbraw/zinc/54/25/40/364542540.db2.gz XULHZDSDNIKDEB-CHWSQXEVSA-N 0 1 269.341 0.679 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000614389804 364591049 /nfs/dbraw/zinc/59/10/49/364591049.db2.gz POERYQWFLVDZMB-UFBFGSQYSA-N 0 1 267.285 0.418 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000614504281 364633273 /nfs/dbraw/zinc/63/32/73/364633273.db2.gz SQTTVGILMHEJIN-MJBXVCDLSA-N 0 1 279.384 0.084 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCc3ncsc3C2)[nH]1 ZINC000615025408 364822037 /nfs/dbraw/zinc/82/20/37/364822037.db2.gz IJPCMKRQKRDJSX-UHFFFAOYSA-N 0 1 288.336 0.760 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000615089144 364844454 /nfs/dbraw/zinc/84/44/54/364844454.db2.gz WRCHVXBVPZTQLW-YPMHNXCESA-N 0 1 268.357 0.245 20 30 CCEDMN C[C@H](CC#N)NC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000615166130 364866385 /nfs/dbraw/zinc/86/63/85/364866385.db2.gz ACFSPVGPFZZTSO-SNVBAGLBSA-N 0 1 298.306 0.624 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC[C@H](CC(N)=O)C3)cnc12 ZINC000564988882 291332263 /nfs/dbraw/zinc/33/22/63/291332263.db2.gz PJGXCOXIIJYSIZ-LLVKDONJSA-N 0 1 298.350 0.688 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000616409892 365311786 /nfs/dbraw/zinc/31/17/86/365311786.db2.gz YGROSCFHBAEJCP-UMNHJUIQSA-N 0 1 276.340 0.932 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@H]2CCCc3n[nH]nc32)nn1 ZINC000616410694 365313417 /nfs/dbraw/zinc/31/34/17/365313417.db2.gz KJVANMZECXGUAJ-JTQLQIEISA-N 0 1 287.327 0.319 20 30 CCEDMN O=C1c2ccccc2C(=O)N1CC#CCN(CCO)C1CC1 ZINC000625078791 368057891 /nfs/dbraw/zinc/05/78/91/368057891.db2.gz BHOZQPSUNNQOTH-UHFFFAOYSA-N 0 1 298.342 0.743 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)[C@H]1C[C@@H](C(N)=O)C1 ZINC000626036472 368402835 /nfs/dbraw/zinc/40/28/35/368402835.db2.gz CSEQWXHOGRFAGK-TXEJJXNPSA-N 0 1 265.357 0.383 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1CCNC[C@H]1C(C)C ZINC000629815219 369784633 /nfs/dbraw/zinc/78/46/33/369784633.db2.gz QCPWXPWNIUQIJR-LBPRGKRZSA-N 0 1 267.373 0.477 20 30 CCEDMN C=CCC[C@H](CO)NCc1cn(C[C@@H]2CCOC2)nn1 ZINC000631153754 370405071 /nfs/dbraw/zinc/40/50/71/370405071.db2.gz IGKCDJYDXSRPLH-QWHCGFSZSA-N 0 1 280.372 0.731 20 30 CCEDMN C=CCOCCCNCc1cn(C[C@H]2CCOC2)nn1 ZINC000631173837 370410533 /nfs/dbraw/zinc/41/05/33/370410533.db2.gz FWTYTDICYDKQHU-CYBMUJFWSA-N 0 1 280.372 0.997 20 30 CCEDMN C=CCN1CCC(NC(=O)c2nc(C)no2)CC1 ZINC000631349367 370487957 /nfs/dbraw/zinc/48/79/57/370487957.db2.gz BRKPUGDGXVOWNY-UHFFFAOYSA-N 0 1 250.302 0.758 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)CCc1c[nH]nn1 ZINC000633487002 371569903 /nfs/dbraw/zinc/56/99/03/371569903.db2.gz OYZLAOZMUJSMIG-ONGXEEELSA-N 0 1 250.302 0.445 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)CCc1cnn[nH]1 ZINC000633487002 371569909 /nfs/dbraw/zinc/56/99/09/371569909.db2.gz OYZLAOZMUJSMIG-ONGXEEELSA-N 0 1 250.302 0.445 20 30 CCEDMN C=CCC1(C(=O)NC[C@H]2COCCN2)CCOCC1 ZINC000638614470 375201617 /nfs/dbraw/zinc/20/16/17/375201617.db2.gz TUEGARKHPZPKTI-LBPRGKRZSA-N 0 1 268.357 0.464 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCc1n[nH]c(=O)n1C)OCC ZINC000640652107 376487183 /nfs/dbraw/zinc/48/71/83/376487183.db2.gz QPEXOFNGXDHOSP-VIFPVBQESA-N 0 1 268.317 0.508 20 30 CCEDMN C=C(C)CN(CC)C(=O)Cn1cc(CNC2CC2)nn1 ZINC000640792889 376545662 /nfs/dbraw/zinc/54/56/62/376545662.db2.gz XMGGPJIMJWGNJO-UHFFFAOYSA-N 0 1 277.372 0.955 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)n1cc(CNC)nn1 ZINC000640918935 376616974 /nfs/dbraw/zinc/61/69/74/376616974.db2.gz FEUUJUOMBYUPMR-LLVKDONJSA-N 0 1 263.345 0.759 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(C(=O)NCCOC)CC1 ZINC000641859774 377511596 /nfs/dbraw/zinc/51/15/96/377511596.db2.gz XRYIPLVCJZJVPU-GFCCVEGCSA-N 0 1 297.399 0.152 20 30 CCEDMN C#CCCOc1ccc(CN2C[C@@H](O)[C@H](O)C2)cc1 ZINC000278448863 193991380 /nfs/dbraw/zinc/99/13/80/193991380.db2.gz XLERPJCIHABQPP-HUUCEWRRSA-N 0 1 261.321 0.626 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2ccc(C#N)n2C)C1 ZINC000448932217 532981776 /nfs/dbraw/zinc/98/17/76/532981776.db2.gz HFEDGYXKFFDDIP-LLVKDONJSA-N 0 1 276.340 0.347 20 30 CCEDMN COc1ccnc(N2CCN(C(=O)[C@H](C)C#N)CC2)c1 ZINC000347141307 533065116 /nfs/dbraw/zinc/06/51/16/533065116.db2.gz VDWIUYNHMFFDGM-LLVKDONJSA-N 0 1 274.324 0.898 20 30 CCEDMN C#CCN(C)C(=O)CCN1CCN(c2ccccn2)CC1 ZINC000277000533 533088302 /nfs/dbraw/zinc/08/83/02/533088302.db2.gz ZFGLIDDJJBODPQ-UHFFFAOYSA-N 0 1 286.379 0.685 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000347099082 533111995 /nfs/dbraw/zinc/11/19/95/533111995.db2.gz AINSSYONJVNJCY-LBPRGKRZSA-N 0 1 265.357 0.717 20 30 CCEDMN C=CCCn1cc(CN[C@@H]2CCc3c(nnn3C)C2)nn1 ZINC000657505160 413014485 /nfs/dbraw/zinc/01/44/85/413014485.db2.gz OZNUDIFBJZTNAL-LLVKDONJSA-N 0 1 287.371 0.630 20 30 CCEDMN CCC#C[C@@H](C)N1CCC[C@H](CS(N)(=O)=O)C1 ZINC000685047552 545791723 /nfs/dbraw/zinc/79/17/23/545791723.db2.gz YVKKZWQYRHOGOP-NEPJUHHUSA-N 0 1 258.387 0.789 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)C[C@H](C)OC ZINC000285862284 388806176 /nfs/dbraw/zinc/80/61/76/388806176.db2.gz XQGSOLTZIHOBQY-UWVGGRQHSA-N 0 1 257.334 0.353 20 30 CCEDMN C[C@@H](CNS(=O)(=O)Cc1ccc(C#N)cc1)N(C)C ZINC000044316959 388870275 /nfs/dbraw/zinc/87/02/75/388870275.db2.gz BDCSMGAFTZUZFK-NSHDSACASA-N 0 1 281.381 0.928 20 30 CCEDMN C#Cc1ccc(CN2CCC[C@@]3(C2)NC(=O)NC3=O)cc1 ZINC000289533739 388871272 /nfs/dbraw/zinc/87/12/72/388871272.db2.gz FHDJJSRLLZICGX-INIZCTEOSA-N 0 1 283.331 0.842 20 30 CCEDMN C#Cc1ccccc1CC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000290609407 388908412 /nfs/dbraw/zinc/90/84/12/388908412.db2.gz XAIYGWXNMIMDTG-OAHLLOKOSA-N 0 1 299.418 0.963 20 30 CCEDMN C=C[C@H](CO)NC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000290894020 388914761 /nfs/dbraw/zinc/91/47/61/388914761.db2.gz BVEJOIZMZDJBHW-PSASIEDQSA-N 0 1 252.318 0.495 20 30 CCEDMN C#CCONC(=O)[C@@H](CCS(C)(=O)=O)c1ccccc1 ZINC000291201907 388919091 /nfs/dbraw/zinc/91/90/91/388919091.db2.gz HPTUJTBDKTZDCT-ZDUSSCGKSA-N 0 1 295.360 0.886 20 30 CCEDMN COCCC[N@@H+](C)CCNC(=O)c1ccc(C#N)c(O)c1 ZINC000188560438 388933120 /nfs/dbraw/zinc/93/31/20/388933120.db2.gz GDHDWGQQSWOOCX-UHFFFAOYSA-N 0 1 291.351 0.962 20 30 CCEDMN COCCC[N@@H+](C)CCNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188560438 388933129 /nfs/dbraw/zinc/93/31/29/388933129.db2.gz GDHDWGQQSWOOCX-UHFFFAOYSA-N 0 1 291.351 0.962 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N[C@H]1CCN(C2CC2)C1 ZINC000336915032 389032297 /nfs/dbraw/zinc/03/22/97/389032297.db2.gz LNVNPPVANPNVIE-QWRGUYRKSA-N 0 1 286.401 0.149 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000354311542 389018911 /nfs/dbraw/zinc/01/89/11/389018911.db2.gz LKBGVVWRRBPHOZ-CYBMUJFWSA-N 0 1 270.373 0.662 20 30 CCEDMN C[C@@H](O)CN(C)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000298443186 389020357 /nfs/dbraw/zinc/02/03/57/389020357.db2.gz QRFJENAUARUAPM-BXUZGUMPSA-N 0 1 273.336 0.976 20 30 CCEDMN CC(C)N(CCN(C)C)S(=O)(=O)N(C)C[C@@H](C)C#N ZINC000336880128 389027084 /nfs/dbraw/zinc/02/70/84/389027084.db2.gz BQSMBBDCTMEDDY-LBPRGKRZSA-N 0 1 290.433 0.595 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCOCCCOC)C1=O ZINC000337184098 389052444 /nfs/dbraw/zinc/05/24/44/389052444.db2.gz NYVWHZAELMHIJE-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(CC(C)(C)O)[C@@H](C)C2)C1=O ZINC000337205510 389055283 /nfs/dbraw/zinc/05/52/83/389055283.db2.gz KTIALQSSKOWEHK-KBPBESRZSA-N 0 1 295.427 0.550 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(CC(C)(C)O)C[C@H]2C)C1=O ZINC000337217038 389055739 /nfs/dbraw/zinc/05/57/39/389055739.db2.gz ZVTWEVGZYLTPQP-ZIAGYGMSSA-N 0 1 295.427 0.550 20 30 CCEDMN C=CCN1CC[C@@H](N[C@]2(CC(=O)OC)CCOC2)C1=O ZINC000337217093 389056213 /nfs/dbraw/zinc/05/62/13/389056213.db2.gz ZZISXCRMXGSKEP-RISCZKNCSA-N 0 1 282.340 0.085 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCN2CCO[C@H](C)C2)C1=O ZINC000337447780 389061543 /nfs/dbraw/zinc/06/15/43/389061543.db2.gz CXJFJZILEXUDEV-KGLIPLIRSA-N 0 1 281.400 0.426 20 30 CCEDMN C[C@H](C(=O)NC1CC1)N1CCN(CCCCC#N)CC1 ZINC000102396745 389074759 /nfs/dbraw/zinc/07/47/59/389074759.db2.gz VUJNORZPELZUHR-CYBMUJFWSA-N 0 1 278.400 0.965 20 30 CCEDMN Cc1c(C#N)cccc1C(=O)NC[C@H]1CN(C)CCN1C ZINC000338116081 389075055 /nfs/dbraw/zinc/07/50/55/389075055.db2.gz BUNFYHCIVLTDNH-AWEZNQCLSA-N 0 1 286.379 0.842 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)[C@H](C)CN1CCOCC1 ZINC000337049591 389038527 /nfs/dbraw/zinc/03/85/27/389038527.db2.gz QUENLKXNZMFIKH-NEPJUHHUSA-N 0 1 256.346 0.008 20 30 CCEDMN N#CCCCCNC(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000355135149 389043853 /nfs/dbraw/zinc/04/38/53/389043853.db2.gz NZWSXNKZRFPIBM-NSHDSACASA-N 0 1 278.356 0.149 20 30 CCEDMN CCN(CCOCCO)CC(=O)NC1(C#N)CCCCC1 ZINC000313919946 389115918 /nfs/dbraw/zinc/11/59/18/389115918.db2.gz SVGAUXNNLQPOTK-UHFFFAOYSA-N 0 1 297.399 0.660 20 30 CCEDMN C=CCCS(=O)(=O)N1CCO[C@H]2CCN(CC)C[C@@H]21 ZINC000339017593 389121217 /nfs/dbraw/zinc/12/12/17/389121217.db2.gz QDSBIHDZLOQLKQ-STQMWFEESA-N 0 1 288.413 0.687 20 30 CCEDMN C[C@](NCCOCCC#N)(C(N)=O)c1ccccc1 ZINC000339029254 389122450 /nfs/dbraw/zinc/12/24/50/389122450.db2.gz LKDBXCMZBFTWOI-CQSZACIVSA-N 0 1 261.325 0.907 20 30 CCEDMN CC(C)[C@H](CNC(=O)C(C)(C)C#N)N1CCN(C)CC1 ZINC000112914691 389128845 /nfs/dbraw/zinc/12/88/45/389128845.db2.gz DDAJDCJFZXHADP-ZDUSSCGKSA-N 0 1 280.416 0.924 20 30 CCEDMN CCN(CCCOC(=O)NC(N)=O)C[C@@H](C)C#N ZINC000114208005 389130713 /nfs/dbraw/zinc/13/07/13/389130713.db2.gz JXVQAKZUCUOUPQ-VIFPVBQESA-N 0 1 256.306 0.663 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CNC(C)(C)C(=O)N(C)C ZINC000114458113 389130727 /nfs/dbraw/zinc/13/07/27/389130727.db2.gz YFGCCAOOBXYFPR-NSHDSACASA-N 0 1 282.388 0.451 20 30 CCEDMN CN1CCO[C@H](C(=O)N[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000328706112 389132612 /nfs/dbraw/zinc/13/26/12/389132612.db2.gz LJKGVFQEBBSFQH-SKDRFNHKSA-N 0 1 264.329 0.554 20 30 CCEDMN C=CCN(C(=O)CN(C)c1ncnc2[nH]cnc21)C1CC1 ZINC000357944867 389140174 /nfs/dbraw/zinc/14/01/74/389140174.db2.gz NQGHTQINBXZNSY-UHFFFAOYSA-N 0 1 286.339 0.966 20 30 CCEDMN CCc1ccc([C@@H](CO)NCC(=O)NCC#N)cc1 ZINC000359622233 389180054 /nfs/dbraw/zinc/18/00/54/389180054.db2.gz AGDSUOOHZMEIHF-CYBMUJFWSA-N 0 1 261.325 0.512 20 30 CCEDMN O=C(CN1C[C@@H]2CC[C@H](O)[C@@H]2C1)NCC(=O)N1CCCC1 ZINC000329663662 389184339 /nfs/dbraw/zinc/18/43/39/389184339.db2.gz PZZAMVSXBIBWAX-XQQFMLRXSA-N 0 1 295.383 0.268 20 30 CCEDMN COCCC1(C)CN(C(=O)N[C@@H]2CCc3ncnn3C2)C1 ZINC000329681051 389187088 /nfs/dbraw/zinc/18/70/88/389187088.db2.gz WTRSRWSBORYPSZ-LLVKDONJSA-N 0 1 293.371 0.865 20 30 CCEDMN O=C(N[C@H]1CCCS(=O)(=O)C1)c1ccc2[nH]nnc2c1 ZINC000329690063 389188505 /nfs/dbraw/zinc/18/85/05/389188505.db2.gz BKRHMAQNCKMKSP-VIFPVBQESA-N 0 1 294.336 0.840 20 30 CCEDMN COC1(C)CN(C([O-])=[NH+]C[C@@H]2CCc3nnc(C)n3C2)C1 ZINC000329749557 389196806 /nfs/dbraw/zinc/19/68/06/389196806.db2.gz HLUCOXUYTQNWBL-NSHDSACASA-N 0 1 293.371 0.784 20 30 CCEDMN C[C@H](NC(=O)N[C@@H]1CCCOCC1)c1nnc[nH]1 ZINC000329286212 389154184 /nfs/dbraw/zinc/15/41/84/389154184.db2.gz OQTSFEBKXJMEPT-DTWKUNHWSA-N 0 1 253.306 0.938 20 30 CCEDMN [O-]C(N[C@H]1CCc2ncnn2C1)=[NH+]CC1=CCCOC1 ZINC000329292316 389154275 /nfs/dbraw/zinc/15/42/75/389154275.db2.gz RDDNAORMUCZPLJ-NSHDSACASA-N 0 1 277.328 0.443 20 30 CCEDMN O=C(NCC1=CCCOC1)N[C@H]1CCc2ncnn2C1 ZINC000329292316 389154279 /nfs/dbraw/zinc/15/42/79/389154279.db2.gz RDDNAORMUCZPLJ-NSHDSACASA-N 0 1 277.328 0.443 20 30 CCEDMN COc1ccc(CC(=O)NC[C@@H]2CN(C)CCN2C)nc1 ZINC000329294940 389155228 /nfs/dbraw/zinc/15/52/28/389155228.db2.gz SCGQNYPFQPDXFV-CYBMUJFWSA-N 0 1 292.383 0.835 20 30 CCEDMN CC(C)(O)CN1CCN(C(=O)NCC(C)(C)C#N)CC1 ZINC000358634658 389157190 /nfs/dbraw/zinc/15/71/90/389157190.db2.gz OLCNVIHVBUTKOO-UHFFFAOYSA-N 0 1 282.388 0.634 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCc2nccs2)C1 ZINC000329396639 389162103 /nfs/dbraw/zinc/16/21/03/389162103.db2.gz XOXDRIGRUSTZFN-JTQLQIEISA-N 0 1 283.401 0.393 20 30 CCEDMN COCC1(NC(=O)NC[C@H]2CN(C)CCN2C)CCC1 ZINC000329420320 389163701 /nfs/dbraw/zinc/16/37/01/389163701.db2.gz GVLQFSMNLDLZSM-LBPRGKRZSA-N 0 1 284.404 0.305 20 30 CCEDMN C=CCCOCCNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000125389940 389165067 /nfs/dbraw/zinc/16/50/67/389165067.db2.gz HNZPVDVJPXXJFR-AWEZNQCLSA-N 0 1 298.431 0.514 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1C[C@@H]2CC[C@@H](O)[C@@H]2C1 ZINC000125322307 389165749 /nfs/dbraw/zinc/16/57/49/389165749.db2.gz BXXVYSAAHMNGNI-BFHYXJOUSA-N 0 1 290.367 0.345 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCCC2(O)CCC2)C[C@H]1C ZINC000329475942 389165916 /nfs/dbraw/zinc/16/59/16/389165916.db2.gz BJCOORBGGFUNHM-OLZOCXBDSA-N 0 1 299.415 0.592 20 30 CCEDMN O=C(NC1CC1)N[C@@H]1CCN(C(=O)c2cnc[nH]2)C1 ZINC000329495675 389167326 /nfs/dbraw/zinc/16/73/26/389167326.db2.gz FRWWTIYWZHMZGF-SECBINFHSA-N 0 1 263.301 0.290 20 30 CCEDMN C[C@H](CN1CCCC1=O)NC(=O)N[C@H](C)c1nnc[nH]1 ZINC000329514947 389168109 /nfs/dbraw/zinc/16/81/09/389168109.db2.gz DAVVKIKKYNUULO-RKDXNWHRSA-N 0 1 280.332 0.380 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCCN1CC=C(C)CC1 ZINC000359190013 389168427 /nfs/dbraw/zinc/16/84/27/389168427.db2.gz GUCAXJCTCAFABZ-GFCCVEGCSA-N 0 1 253.346 0.485 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCN1CCCS1(=O)=O ZINC000329527839 389169008 /nfs/dbraw/zinc/16/90/08/389169008.db2.gz HZCYRIPHCICRRU-JTQLQIEISA-N 0 1 275.374 0.073 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC[C@@H]2CCOC2)[C@@H](C)C1 ZINC000329553141 389170464 /nfs/dbraw/zinc/17/04/64/389170464.db2.gz PSYMDRNKVODJBM-MELADBBJSA-N 0 1 299.415 0.714 20 30 CCEDMN COCCCN(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000127316468 389174261 /nfs/dbraw/zinc/17/42/61/389174261.db2.gz ZSUSQRWSLVJFDJ-CYBMUJFWSA-N 0 1 253.346 0.763 20 30 CCEDMN Cn1ccc(CNC(=O)N2CCN(CC(C)(C)O)CC2)n1 ZINC000330567071 389241969 /nfs/dbraw/zinc/24/19/69/389241969.db2.gz MIGNIBNJIVIAOJ-UHFFFAOYSA-N 0 1 295.387 0.223 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@@H](C)CN1CCOCC1 ZINC000330572395 389242288 /nfs/dbraw/zinc/24/22/88/389242288.db2.gz NHZIJDBYQGINAN-OLZOCXBDSA-N 0 1 267.373 0.963 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000363686202 389251814 /nfs/dbraw/zinc/25/18/14/389251814.db2.gz JWNVBQPPFCDFRB-ZWNOBZJWSA-N 0 1 295.343 0.328 20 30 CCEDMN CC#CCNC(=O)Cc1c(C)nc(-c2ncccn2)[nH]c1=O ZINC000343004163 389256473 /nfs/dbraw/zinc/25/64/73/389256473.db2.gz RXHNHRJWDVUEKW-UHFFFAOYSA-N 0 1 297.318 0.630 20 30 CCEDMN Cn1ccnc1C[NH+]=C([O-])N[C@H]1CCc2cn[nH]c2C1 ZINC000329855774 389207198 /nfs/dbraw/zinc/20/71/98/389207198.db2.gz XQBUBXHXQARWDH-JTQLQIEISA-N 0 1 274.328 0.704 20 30 CCEDMN C[C@@H](NC(=O)N1CCN(CC2CC2)CC1)c1ncnn1C ZINC000329938175 389212152 /nfs/dbraw/zinc/21/21/52/389212152.db2.gz SJYFGVSCQMEYLZ-LLVKDONJSA-N 0 1 292.387 0.818 20 30 CCEDMN C=CCCN(C)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000342449650 389218595 /nfs/dbraw/zinc/21/85/95/389218595.db2.gz BGOKFQFQBZVVPD-LBPRGKRZSA-N 0 1 255.362 0.925 20 30 CCEDMN COC(=O)[C@]1(C)C[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C[C@H]1C ZINC000330388641 389223783 /nfs/dbraw/zinc/22/37/83/389223783.db2.gz XDEBCAWLOCBKCA-TYNCELHUSA-N 0 1 295.383 0.878 20 30 CCEDMN C=CCCN1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC000133291494 389233693 /nfs/dbraw/zinc/23/36/93/389233693.db2.gz SFFMWJSAHAERJZ-UHFFFAOYSA-N 0 1 261.325 0.709 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000330486445 389234498 /nfs/dbraw/zinc/23/44/98/389234498.db2.gz FBIIOYKHABYGHX-VIFPVBQESA-N 0 1 266.301 0.257 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@H]2CCCc3[nH]ncc32)CCO1 ZINC000342659007 389237050 /nfs/dbraw/zinc/23/70/50/389237050.db2.gz LLBABNVIHDVOBH-ZJUUUORDSA-N 0 1 260.297 0.581 20 30 CCEDMN C[C@@H](C(=O)Nc1nc(-c2ccco2)n[nH]1)n1cnc(C#N)n1 ZINC000342675233 389239670 /nfs/dbraw/zinc/23/96/70/389239670.db2.gz PJVIKXCIUHMWJN-ZETCQYMHSA-N 0 1 298.266 0.728 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](CO)C1 ZINC000346245503 389324401 /nfs/dbraw/zinc/32/44/01/389324401.db2.gz CUORXDXKMGJGIO-WDEREUQCSA-N 0 1 251.330 0.252 20 30 CCEDMN CC[C@@H]1CN([C@@H](C)C(=O)NC2(C#N)CCC2)C[C@@H]1O ZINC000346509536 389330702 /nfs/dbraw/zinc/33/07/02/389330702.db2.gz USVPZUZLSWZJJA-TUAOUCFPSA-N 0 1 265.357 0.640 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@H]([C@H](C)O)C1 ZINC000346517062 389331009 /nfs/dbraw/zinc/33/10/09/389331009.db2.gz DFURODAMVFBWGD-WOPDTQHZSA-N 0 1 265.357 0.640 20 30 CCEDMN N#CC1(NC(=O)CN2CCCC[C@@H]2CC(N)=O)CCC1 ZINC000346858180 389339198 /nfs/dbraw/zinc/33/91/98/389339198.db2.gz VVWPGRAWZZBQOR-LLVKDONJSA-N 0 1 278.356 0.279 20 30 CCEDMN Cc1nnc(N2C[C@H]3OCCN(C)[C@H]3C2)c(C#N)c1C ZINC000153142645 389295648 /nfs/dbraw/zinc/29/56/48/389295648.db2.gz FROLXSFRIVCMLL-QWHCGFSZSA-N 0 1 273.340 0.484 20 30 CCEDMN CN(CC(=O)N[C@@H](C#N)C1CC1)c1ncnc2[nH]cnc21 ZINC000349521463 389417100 /nfs/dbraw/zinc/41/71/00/389417100.db2.gz ZEXMBSCADFMAKT-VIFPVBQESA-N 0 1 285.311 0.207 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCC2(C#N)CCC2)[C@@H](C)C1 ZINC000332437550 389351603 /nfs/dbraw/zinc/35/16/03/389351603.db2.gz CWFSUAJZFQSLRL-QWHCGFSZSA-N 0 1 294.399 0.777 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@@H](O)CN1CCCCC1 ZINC000158979029 389355103 /nfs/dbraw/zinc/35/51/03/389355103.db2.gz CORQSHVJLPKXCV-GFCCVEGCSA-N 0 1 276.402 0.329 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1[C@@H](CO)CCC[C@@H]1C ZINC000159100809 389358782 /nfs/dbraw/zinc/35/87/82/389358782.db2.gz YFQCASJLMRLMLH-WDEREUQCSA-N 0 1 269.345 0.234 20 30 CCEDMN N#CCNC(=O)CCN1CCN(c2ccccn2)CC1 ZINC000266182135 389363375 /nfs/dbraw/zinc/36/33/75/389363375.db2.gz VFYBHLPGSBCVHH-UHFFFAOYSA-N 0 1 273.340 0.233 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)CCC(=O)NC ZINC000170781703 389461688 /nfs/dbraw/zinc/46/16/88/389461688.db2.gz SMYHYPOMNAKQQU-NSHDSACASA-N 0 1 268.361 0.062 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](O)C(C)(C)C2)C1=O ZINC000334250374 389463560 /nfs/dbraw/zinc/46/35/60/389463560.db2.gz HTFJEDCOFMKAGI-NWDGAFQWSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](O)C[C@H]1COC ZINC000411926923 389465812 /nfs/dbraw/zinc/46/58/12/389465812.db2.gz XLEXAGMRJOXOQU-STQMWFEESA-N 0 1 268.357 0.269 20 30 CCEDMN C=CCNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000274182016 389495342 /nfs/dbraw/zinc/49/53/42/389495342.db2.gz JDXDHJDJOITUCP-ZETCQYMHSA-N 0 1 252.236 0.992 20 30 CCEDMN N#Cc1ccc(N2CCN(C(=O)Cc3ccn[nH]3)CC2)nc1 ZINC000175602561 389544135 /nfs/dbraw/zinc/54/41/35/389544135.db2.gz GJZLEFBMVCFCGY-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN C#CCCCC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000277493677 389555713 /nfs/dbraw/zinc/55/57/13/389555713.db2.gz LRRWUYCCNPAAFN-LBPRGKRZSA-N 0 1 265.357 0.246 20 30 CCEDMN C=CCN1CC[C@@H](N(C)c2ncnc3[nH]cnc32)C1=O ZINC000281821147 389625117 /nfs/dbraw/zinc/62/51/17/389625117.db2.gz KCEQXDOESLEKJI-SECBINFHSA-N 0 1 272.312 0.576 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CCN(CCOC)C2)nn1 ZINC000424658885 389628572 /nfs/dbraw/zinc/62/85/72/389628572.db2.gz HJRYTNCJJQDRSL-GFCCVEGCSA-N 0 1 293.371 0.305 20 30 CCEDMN C=C(C)CN(C)CC(=O)N[C@@H](C(=O)OC)C(C)C ZINC000351604598 389629537 /nfs/dbraw/zinc/62/95/37/389629537.db2.gz FNVZRXQTLVNVLF-GFCCVEGCSA-N 0 1 256.346 0.808 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNS(=O)(=O)CCN(CC)CC ZINC000425182037 389640645 /nfs/dbraw/zinc/64/06/45/389640645.db2.gz YVCIHJWQWSKBMD-STQMWFEESA-N 0 1 290.429 0.839 20 30 CCEDMN CCN1CCCN(S(=O)(=O)N(C)C[C@H](C)C#N)CC1 ZINC000374448924 389580294 /nfs/dbraw/zinc/58/02/94/389580294.db2.gz LAHMCVGWPZHLMZ-GFCCVEGCSA-N 0 1 288.417 0.350 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC2(CC(OCC)C2)C1 ZINC000375751507 389708963 /nfs/dbraw/zinc/70/89/63/389708963.db2.gz SUEXEIKJFGUSDL-UHFFFAOYSA-N 0 1 295.383 0.889 20 30 CCEDMN N#Cc1nccnc1N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000375771358 389711126 /nfs/dbraw/zinc/71/11/26/389711126.db2.gz KFKHJJPYMVGSLJ-OLZOCXBDSA-N 0 1 273.340 0.384 20 30 CCEDMN C=CCN1CC[C@@H](N[C@@H](C(N)=O)C(C)(C)C)C1=O ZINC000282092887 389657384 /nfs/dbraw/zinc/65/73/84/389657384.db2.gz VKDHWGNYRGPIGQ-ZJUUUORDSA-N 0 1 253.346 0.263 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)C(=O)Nc1ccc(C#N)cc1F ZINC000415341892 389675874 /nfs/dbraw/zinc/67/58/74/389675874.db2.gz IAVAMAKYHFMRFJ-SECBINFHSA-N 0 1 290.298 0.456 20 30 CCEDMN C[C@H]1CNCCN1C(=O)C(=O)Nc1ccc(C#N)cc1F ZINC000415341894 389676069 /nfs/dbraw/zinc/67/60/69/389676069.db2.gz IAVAMAKYHFMRFJ-VIFPVBQESA-N 0 1 290.298 0.456 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000415451225 389683952 /nfs/dbraw/zinc/68/39/52/389683952.db2.gz ZZXYAYQXRAQGPE-NSHDSACASA-N 0 1 286.335 0.626 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)CC(C)(C)C#N ZINC000416284420 389724071 /nfs/dbraw/zinc/72/40/71/389724071.db2.gz ANIBDTCEVMPVGU-NWDGAFQWSA-N 0 1 267.373 0.840 20 30 CCEDMN C[C@@H](C(=O)NC(N)=O)N1CCC(CCC#N)CC1 ZINC000399348875 389790855 /nfs/dbraw/zinc/79/08/55/389790855.db2.gz ZBVKDHVIUOZWKU-VIFPVBQESA-N 0 1 252.318 0.586 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000416305514 389730749 /nfs/dbraw/zinc/73/07/49/389730749.db2.gz IXWVHFMOMMNJGW-DYEKYZERSA-N 0 1 270.373 0.227 20 30 CCEDMN C=CCOCCCC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000416307496 389731877 /nfs/dbraw/zinc/73/18/77/389731877.db2.gz KZFVLJPHOLGTCB-UONOGXRCSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C[C@H](CO)NCc1cnc(-c2cnn(C)c2)nc1 ZINC000352455387 389813846 /nfs/dbraw/zinc/81/38/46/389813846.db2.gz YLCTZKTVRCATKE-GFCCVEGCSA-N 0 1 259.313 0.514 20 30 CCEDMN CCSc1nccnc1C(=O)N=c1[nH]n(C)cc1C#N ZINC000430691068 389818116 /nfs/dbraw/zinc/81/81/16/389818116.db2.gz IDETZLTYPJEDHW-UHFFFAOYSA-N 0 1 288.336 0.868 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N(C)CC(C)(C)C#N ZINC000353238711 389848045 /nfs/dbraw/zinc/84/80/45/389848045.db2.gz JDQWEXAFNDOCFT-LBPRGKRZSA-N 0 1 267.373 0.963 20 30 CCEDMN C=CCNC(=O)CNc1nc2ccc(F)cc2n1C ZINC000431434282 389859343 /nfs/dbraw/zinc/85/93/43/389859343.db2.gz WSVZSEZNNUBKJD-UHFFFAOYSA-N 0 1 262.288 0.848 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NCc2cccc(C#N)c2)[C@@H]1CO ZINC000442890373 389865064 /nfs/dbraw/zinc/86/50/64/389865064.db2.gz HRSFAAPXCINGKR-IUODEOHRSA-N 0 1 287.363 0.877 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)NCC(C)(C)CC#N ZINC000418970789 389885756 /nfs/dbraw/zinc/88/57/56/389885756.db2.gz IXZOXPRTVAZTFZ-GFCCVEGCSA-N 0 1 294.399 0.643 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@H]1C(=O)NCC(=O)OC ZINC000419347152 389891730 /nfs/dbraw/zinc/89/17/30/389891730.db2.gz PIENWZRTVXBYBK-RYUDHWBXSA-N 0 1 284.356 0.067 20 30 CCEDMN CC#CCCNC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000419479947 389892060 /nfs/dbraw/zinc/89/20/60/389892060.db2.gz MKALDKWGZAUZLH-STQMWFEESA-N 0 1 267.373 0.496 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC2(C#N)CCC2)[C@H](C)CN1CCO ZINC000419641273 389902416 /nfs/dbraw/zinc/90/24/16/389902416.db2.gz LGGWWOUSXOBSQS-CHWSQXEVSA-N 0 1 294.399 0.777 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CCc2[nH]nnc2C1 ZINC000435466150 389957811 /nfs/dbraw/zinc/95/78/11/389957811.db2.gz ZVLGIWVUTCFEHZ-UHFFFAOYSA-N 0 1 257.253 0.776 20 30 CCEDMN N#CC1(NC(=O)CN[C@H](CO)[C@@H]2CCCO2)CCCCC1 ZINC000447913926 389971050 /nfs/dbraw/zinc/97/10/50/389971050.db2.gz JYOHHJGUKHFHTB-OLZOCXBDSA-N 0 1 295.383 0.459 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@H](COC)[C@H]1CCCO1 ZINC000434798899 389947045 /nfs/dbraw/zinc/94/70/45/389947045.db2.gz MSLDVUCJMNRLMB-MGPQQGTHSA-N 0 1 297.399 0.778 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](C)C[C@H](CO)C1 ZINC000420936037 390038434 /nfs/dbraw/zinc/03/84/34/390038434.db2.gz IDWRIMYZYKLVMO-OLZOCXBDSA-N 0 1 267.373 0.699 20 30 CCEDMN N#Cc1cncc(NCCCCN2CCOCC2)n1 ZINC000420581600 390014090 /nfs/dbraw/zinc/01/40/90/390014090.db2.gz FRQYSLITFLAFFG-UHFFFAOYSA-N 0 1 261.329 0.873 20 30 CCEDMN CC#CCNC1(CC(=O)OC)CCS(=O)(=O)CC1 ZINC000439263469 390017850 /nfs/dbraw/zinc/01/78/50/390017850.db2.gz XRLMJBJLNMAWKA-UHFFFAOYSA-N 0 1 273.354 0.110 20 30 CCEDMN C[C@@H]1CN(c2cncc(C#N)n2)[C@@H](C)CN1CCO ZINC000420649848 390020368 /nfs/dbraw/zinc/02/03/68/390020368.db2.gz GWRPGANWNWEFAK-MNOVXSKESA-N 0 1 261.329 0.240 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CC(=O)N(CCC)C1 ZINC000491702205 390116091 /nfs/dbraw/zinc/11/60/91/390116091.db2.gz XYASZJCPQWPTKQ-KGLIPLIRSA-N 0 1 292.379 0.886 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)c[nH]1 ZINC000538709337 390197266 /nfs/dbraw/zinc/19/72/66/390197266.db2.gz LJAOPNICONBRIM-QMMMGPOBSA-N 0 1 271.284 0.419 20 30 CCEDMN C=CCC1(C(=O)NCc2nn[nH]n2)CCOCC1 ZINC000644634445 390271819 /nfs/dbraw/zinc/27/18/19/390271819.db2.gz CIXCXGSIHLBULC-UHFFFAOYSA-N 0 1 251.290 0.189 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H](CO)CN2CCCCC2)nn1 ZINC000648899201 390439386 /nfs/dbraw/zinc/43/93/86/390439386.db2.gz AWRAIUYFTVYYCY-LBPRGKRZSA-N 0 1 293.371 0.041 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)NCCCCN1CCOCC1 ZINC000645165371 390380341 /nfs/dbraw/zinc/38/03/41/390380341.db2.gz KECAYBWHPYZZND-AWEZNQCLSA-N 0 1 297.399 0.172 20 30 CCEDMN CN(C)c1cccnc1CNC[C@@H](O)CN(C)CCC#N ZINC000566556022 390628382 /nfs/dbraw/zinc/62/83/82/390628382.db2.gz BLDZMDYKSSBJLX-CYBMUJFWSA-N 0 1 291.399 0.444 20 30 CCEDMN N#Cc1ccc(C[C@H](C#N)C(=O)NCCc2nc[nH]n2)cc1 ZINC000566457117 390611985 /nfs/dbraw/zinc/61/19/85/390611985.db2.gz PTLQZSAKPGISNB-CYBMUJFWSA-N 0 1 294.318 0.718 20 30 CCEDMN N#Cc1cc(F)ccc1NC(=O)NC[C@H]1COCCN1 ZINC000528682722 390767710 /nfs/dbraw/zinc/76/77/10/390767710.db2.gz WLYZURDYPCCPDD-NSHDSACASA-N 0 1 278.287 0.807 20 30 CCEDMN C[C@@]1(CO)CCCN1Cc1cnc2c(C#N)cnn2c1 ZINC000572159622 390770704 /nfs/dbraw/zinc/77/07/04/390770704.db2.gz VNAYAJXLHOFUPS-AWEZNQCLSA-N 0 1 271.324 0.948 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCC[C@]2(C)C(=O)[O-])C1=O ZINC000530205081 390876051 /nfs/dbraw/zinc/87/60/51/390876051.db2.gz CVDQAWICVIEQPB-GXFFZTMASA-N 0 1 252.314 0.712 20 30 CCEDMN CC[C@H](O)CN1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC000191346293 130224029 /nfs/dbraw/zinc/22/40/29/130224029.db2.gz FFMNUONOQQQWCF-HNNXBMFYSA-N 0 1 276.384 0.785 20 30 CCEDMN C=C[C@H](CO)NCc1cccc(S(C)(=O)=O)c1 ZINC000657791364 413061187 /nfs/dbraw/zinc/06/11/87/413061187.db2.gz WKUILEIHXBHXJB-LLVKDONJSA-N 0 1 255.339 0.727 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](C)[C@@H](O)C1 ZINC000351775809 397462068 /nfs/dbraw/zinc/46/20/68/397462068.db2.gz WKZDGFKCPVCJHC-UTUOFQBUSA-N 0 1 253.346 0.307 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)NC1(c2nn[nH]n2)CC1 ZINC000357071574 144056102 /nfs/dbraw/zinc/05/61/02/144056102.db2.gz KWGOCYNODVYEQG-UHFFFAOYSA-N 0 1 268.280 0.799 20 30 CCEDMN C[C@H](C(=O)N1CCN2CCC1CC2)n1cnc(C#N)n1 ZINC000546696431 285916734 /nfs/dbraw/zinc/91/67/34/285916734.db2.gz QTDZVCOMJJEKAG-SNVBAGLBSA-N 0 1 274.328 0.017 20 30 CCEDMN C=CCOCCNC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000652511733 412065414 /nfs/dbraw/zinc/06/54/14/412065414.db2.gz PDOAJKKMPZWWPH-TXEJJXNPSA-N 0 1 255.362 0.923 20 30 CCEDMN C=CCNC(=O)CN(CCOCCO)C1CCC1 ZINC000661102010 414558121 /nfs/dbraw/zinc/55/81/21/414558121.db2.gz AAWIDTIULCBOJT-UHFFFAOYSA-N 0 1 256.346 0.152 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC(=O)N(C)CC1 ZINC000661807466 414677161 /nfs/dbraw/zinc/67/71/61/414677161.db2.gz DMSKWTIEJXHMQY-CYBMUJFWSA-N 0 1 279.384 0.740 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](C(=O)NC(C)C)C1 ZINC000662113149 414690898 /nfs/dbraw/zinc/69/08/98/414690898.db2.gz LKBKRGCAGFSCKB-GFCCVEGCSA-N 0 1 267.373 0.525 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCOc1c(C)cccc1C ZINC000662167017 414695024 /nfs/dbraw/zinc/69/50/24/414695024.db2.gz NWFJZNNWYQKFFG-CQSZACIVSA-N 0 1 292.379 0.935 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NCc1n[nH]c(COC)n1 ZINC000665036502 415512318 /nfs/dbraw/zinc/51/23/18/415512318.db2.gz FSGYTGZZIUKIES-ZJUUUORDSA-N 0 1 295.343 0.484 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NCc1nnc(COC)[nH]1 ZINC000665036502 415512326 /nfs/dbraw/zinc/51/23/26/415512326.db2.gz FSGYTGZZIUKIES-ZJUUUORDSA-N 0 1 295.343 0.484 20 30 CCEDMN Cc1cc(=NC(=O)[C@@H]2CCc3[nH]nnc3C2)[nH]n1CCC#N ZINC000329020556 227262115 /nfs/dbraw/zinc/26/21/15/227262115.db2.gz HSLVUFVNERPFBZ-SNVBAGLBSA-N 0 1 299.338 0.389 20 30 CCEDMN Cc1cc(=NC(=O)[C@@H]2CCc3nn[nH]c3C2)[nH]n1CCC#N ZINC000329020556 227262117 /nfs/dbraw/zinc/26/21/17/227262117.db2.gz HSLVUFVNERPFBZ-SNVBAGLBSA-N 0 1 299.338 0.389 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)Cn2ncn(C)c2=O)n[nH]1 ZINC000329107546 227302288 /nfs/dbraw/zinc/30/22/88/227302288.db2.gz PEAJSJUKXGRRLI-QMMMGPOBSA-N 0 1 278.316 0.201 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)N1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000329968551 228001303 /nfs/dbraw/zinc/00/13/03/228001303.db2.gz WSJBIPUSJCBQNJ-AGIUHOORSA-N 0 1 293.371 0.200 20 30 CCEDMN C[C@H](Cn1cccn1)NC([O-])=[NH+][C@@H]1CC[S@](=O)C1 ZINC000329992076 228009545 /nfs/dbraw/zinc/00/95/45/228009545.db2.gz AKZUJZGJBLBJJB-MHNGPXOMSA-N 0 1 270.358 0.296 20 30 CCEDMN O=C(NCCN1CC=CCC1)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000330025509 228019722 /nfs/dbraw/zinc/01/97/22/228019722.db2.gz KQCWRYVMFSCMDM-GDNZZTSVSA-N 0 1 251.330 0.397 20 30 CCEDMN [O-]C(NC[C@@H]1CCCO1)=[NH+][C@H]1CCn2ccnc2C1 ZINC000330223835 228072050 /nfs/dbraw/zinc/07/20/50/228072050.db2.gz SMOVUKSVPXMJSU-QWRGUYRKSA-N 0 1 264.329 0.881 20 30 CCEDMN [O-]C(N[C@H]1CCn2ccnc2C1)=[NH+]C[C@@H]1CCCO1 ZINC000330223835 228072052 /nfs/dbraw/zinc/07/20/52/228072052.db2.gz SMOVUKSVPXMJSU-QWRGUYRKSA-N 0 1 264.329 0.881 20 30 CCEDMN N#CCN(CC#N)C(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000356003524 228082607 /nfs/dbraw/zinc/08/26/07/228082607.db2.gz QPYXXGBWMQHTGS-UHFFFAOYSA-N 0 1 260.209 0.790 20 30 CCEDMN O=C(NCC#CCO)c1n[nH]nc1-c1ccccc1 ZINC000352170971 228191606 /nfs/dbraw/zinc/19/16/06/228191606.db2.gz HSVDZFSQCJZIOM-UHFFFAOYSA-N 0 1 256.265 0.197 20 30 CCEDMN N#CC1(CNC(=O)N2CC[C@H](c3nc[nH]n3)C2)CCC1 ZINC000333318888 228197158 /nfs/dbraw/zinc/19/71/58/228197158.db2.gz AREMPBVULPLNNF-JTQLQIEISA-N 0 1 274.328 0.997 20 30 CCEDMN C=CCCS(=O)(=O)NCCN1CCC(OC)CC1 ZINC000121336832 544576996 /nfs/dbraw/zinc/57/69/96/544576996.db2.gz QBGDAWZYUUZVMZ-UHFFFAOYSA-N 0 1 276.402 0.593 20 30 CCEDMN C[C@@H](C#N)CNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000538111972 420414541 /nfs/dbraw/zinc/41/45/41/420414541.db2.gz BLARBRMLVCSSOT-JTQLQIEISA-N 0 1 298.306 0.482 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC(C)(CO)CC1 ZINC000186923130 260024071 /nfs/dbraw/zinc/02/40/71/260024071.db2.gz SSLHKVHUGNDZRB-GFCCVEGCSA-N 0 1 267.373 0.841 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@](CC)(CO)C1 ZINC000187585649 260041044 /nfs/dbraw/zinc/04/10/44/260041044.db2.gz ANMBRESLNPXZKR-CQSZACIVSA-N 0 1 283.372 0.483 20 30 CCEDMN N#CC1(CCCN2CCNC(=O)CC2)CCOCC1 ZINC000407957128 260053608 /nfs/dbraw/zinc/05/36/08/260053608.db2.gz MNISESXTHYIUEQ-UHFFFAOYSA-N 0 1 265.357 0.909 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)NC1CCN(C)CC1 ZINC000407957336 260056203 /nfs/dbraw/zinc/05/62/03/260056203.db2.gz YVPAPADYZHWGTH-NSHDSACASA-N 0 1 288.417 0.397 20 30 CCEDMN N#Cc1ccc2ncc(CNCC(=O)NCC3CC3)n2c1 ZINC000180390084 260100670 /nfs/dbraw/zinc/10/06/70/260100670.db2.gz AYRRQFOLEZDHBD-UHFFFAOYSA-N 0 1 283.335 0.822 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N1CCO[C@@H](c2nn[nH]n2)C1)OCC ZINC000363443600 260260253 /nfs/dbraw/zinc/26/02/53/260260253.db2.gz XDJJDPSJJLQZJG-GHMZBOCLSA-N 0 1 295.343 0.471 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](NC(C)=O)C2)CC1 ZINC000490574230 261162599 /nfs/dbraw/zinc/16/25/99/261162599.db2.gz KRBOTOFDHSHAOP-OAHLLOKOSA-N 0 1 291.395 0.459 20 30 CCEDMN CN(CC(=O)N1CC(C)(C#N)C1)[C@H]1CCSC1 ZINC000336085909 262238820 /nfs/dbraw/zinc/23/88/20/262238820.db2.gz PSJIPJGYIFFJKQ-JTQLQIEISA-N 0 1 253.371 0.796 20 30 CCEDMN C=CC1CCN(CC(=O)NCC(=O)NC(C)(C)C)CC1 ZINC000111258477 262259169 /nfs/dbraw/zinc/25/91/69/262259169.db2.gz WWYAWXKGFLDKQC-UHFFFAOYSA-N 0 1 281.400 0.915 20 30 CCEDMN CCOC(=O)CON=C(C(=O)NCC(C)C)c1ccn[nH]1 ZINC000276780859 263038190 /nfs/dbraw/zinc/03/81/90/263038190.db2.gz ZHDDGANLPPJLTG-SFQUDFHCSA-N 0 1 296.327 0.466 20 30 CCEDMN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(CCCC#N)C[C@H]1O ZINC000340216553 263096499 /nfs/dbraw/zinc/09/64/99/263096499.db2.gz LIMKPOJXJFOTRR-CHWSQXEVSA-N 0 1 297.399 0.609 20 30 CCEDMN C=C[C@@H](CO)NCc1c(C)nn(C)c1N1CCOCC1 ZINC000289761063 263111910 /nfs/dbraw/zinc/11/19/10/263111910.db2.gz IEACMSHTRBQMRJ-LBPRGKRZSA-N 0 1 280.372 0.202 20 30 CCEDMN C[C@@H](C#N)NC(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000340433944 263144952 /nfs/dbraw/zinc/14/49/52/263144952.db2.gz JQRLAHLCFPUFCH-IUCAKERBSA-N 0 1 285.307 0.709 20 30 CCEDMN C=C[C@H](C)NC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000340823839 263307843 /nfs/dbraw/zinc/30/78/43/263307843.db2.gz RYSJIDSJKKCLIG-JTQLQIEISA-N 0 1 285.307 0.318 20 30 CCEDMN CCN(CCC#N)C(=O)[C@@H](C)CN1CCOCC1 ZINC000341904252 263365409 /nfs/dbraw/zinc/36/54/09/263365409.db2.gz YIVHPWLRHVJABN-LBPRGKRZSA-N 0 1 253.346 0.717 20 30 CCEDMN COCC1(C#N)CCN([C@@H]2CCCCNC2=O)CC1 ZINC000341981533 263369649 /nfs/dbraw/zinc/36/96/49/263369649.db2.gz CCAVJRWLXZOITK-GFCCVEGCSA-N 0 1 265.357 0.907 20 30 CCEDMN CC(C)(C#N)C(=O)N[C@H](CO)CN1CCCCC1 ZINC000330597384 263982187 /nfs/dbraw/zinc/98/21/87/263982187.db2.gz CUKBLSUGBQMSPO-NSHDSACASA-N 0 1 253.346 0.499 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC(C)(COC)CC1 ZINC000330636026 264001495 /nfs/dbraw/zinc/00/14/95/264001495.db2.gz BAIONLLPMJXQTO-UHFFFAOYSA-N 0 1 283.372 0.951 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)N[C@@H]1[C@H]3CN(CC#N)C[C@H]31)C2 ZINC000960647935 649856994 /nfs/dbraw/zinc/85/69/94/649856994.db2.gz MRLQVYVQLZRTLH-UUIJZJDISA-N 0 1 299.378 0.393 20 30 CCEDMN C=CCCC(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000330636380 264002048 /nfs/dbraw/zinc/00/20/48/264002048.db2.gz VGNAYQRLSRNKAC-UHFFFAOYSA-N 0 1 282.384 0.638 20 30 CCEDMN C[C@H](CO)[C@H](C)NC(=O)c1cccn2c(O)nnc12 ZINC000330748614 264028908 /nfs/dbraw/zinc/02/89/08/264028908.db2.gz ALSIMBSGOZXZCQ-SFYZADRCSA-N 0 1 264.285 0.756 20 30 CCEDMN CCN1CCC(NC(=O)N[C@H](C)CS(C)(=O)=O)CC1 ZINC000330762231 264031977 /nfs/dbraw/zinc/03/19/77/264031977.db2.gz OJTISIIQXXLECS-SNVBAGLBSA-N 0 1 291.417 0.407 20 30 CCEDMN CCCn1c(S(=O)(=O)CCCC#N)n[nH]c1=O ZINC000330730755 264036662 /nfs/dbraw/zinc/03/66/62/264036662.db2.gz PRGNOOQNKPNBEJ-UHFFFAOYSA-N 0 1 258.303 0.471 20 30 CCEDMN C[C@H](C[S@@](C)=O)NC([O-])=[NH+]CCn1cccn1 ZINC000330826071 264048170 /nfs/dbraw/zinc/04/81/70/264048170.db2.gz HNMJSKIFWNIPOD-VVVCHXIZSA-N 0 1 258.347 0.154 20 30 CCEDMN C[C@H](C[S@@](C)=O)NC(=O)NCCn1cccn1 ZINC000330826071 264048172 /nfs/dbraw/zinc/04/81/72/264048172.db2.gz HNMJSKIFWNIPOD-VVVCHXIZSA-N 0 1 258.347 0.154 20 30 CCEDMN CC(C)[C@H](CCO)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000330937030 264082085 /nfs/dbraw/zinc/08/20/85/264082085.db2.gz TZVFYJXAGSFPMZ-STQMWFEESA-N 0 1 286.420 0.143 20 30 CCEDMN CC(C)[N@@H+](C)CCNC(=O)N[C@@H]1CC[S@](=O)C1 ZINC000331042065 264107877 /nfs/dbraw/zinc/10/78/77/264107877.db2.gz CXXBCDPPVQYXSS-QGHHPUGFSA-N 0 1 261.391 0.351 20 30 CCEDMN CC(C)CN(CCN(C)C)C(=O)N[C@@H]1CC[S@@](=O)C1 ZINC000331043608 264108183 /nfs/dbraw/zinc/10/81/83/264108183.db2.gz DQCAKVIEATWALV-CWTRNNRKSA-N 0 1 289.445 0.941 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC([C@@H](C)NC(C)=O)CC1 ZINC000331137369 264135494 /nfs/dbraw/zinc/13/54/94/264135494.db2.gz SLBUKXXIVXRFPX-NEPJUHHUSA-N 0 1 281.400 0.914 20 30 CCEDMN [O-]C(=[NH+]CCn1cccc1)N1CCO[C@@H](CO)C1 ZINC000331279322 264171454 /nfs/dbraw/zinc/17/14/54/264171454.db2.gz ZOQJJESPHFPTAA-LLVKDONJSA-N 0 1 253.302 0.095 20 30 CCEDMN [O-]C(=[NH+]CCn1cccc1)N1CCO[C@H](CO)C1 ZINC000331279325 264171503 /nfs/dbraw/zinc/17/15/03/264171503.db2.gz ZOQJJESPHFPTAA-NSHDSACASA-N 0 1 253.302 0.095 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC[C@@H](C2N=NC(=O)O2)C1 ZINC000331244851 264178758 /nfs/dbraw/zinc/17/87/58/264178758.db2.gz QXJRRVSONMLFRS-RNCFNFMXSA-N 0 1 281.312 0.808 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000331244851 264178760 /nfs/dbraw/zinc/17/87/60/264178760.db2.gz QXJRRVSONMLFRS-RNCFNFMXSA-N 0 1 281.312 0.808 20 30 CCEDMN COCCCNC(=O)N1CCn2nc(C)nc2C1 ZINC000331249291 264180391 /nfs/dbraw/zinc/18/03/91/264180391.db2.gz VKKPZUJUDWPLRR-UHFFFAOYSA-N 0 1 253.306 0.353 20 30 CCEDMN C=CCCCN(C)CC(=O)NCC(=O)N1CCCC1 ZINC000076736571 264344202 /nfs/dbraw/zinc/34/42/02/264344202.db2.gz IXNRJUGESFHNBS-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)CC1(CO)CC1 ZINC000190025453 264345807 /nfs/dbraw/zinc/34/58/07/264345807.db2.gz VHWWUPWKCHKCRK-ZDUSSCGKSA-N 0 1 267.373 0.745 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000287464621 264620746 /nfs/dbraw/zinc/62/07/46/264620746.db2.gz HEWKYVKYIYYMHY-SNVBAGLBSA-N 0 1 262.313 0.548 20 30 CCEDMN COC[C@@H](NCC(=O)NC1(C#N)CCC1)[C@H]1CCCO1 ZINC000347082831 265124508 /nfs/dbraw/zinc/12/45/08/265124508.db2.gz KLQGVDADYVLVON-VXGBXAGGSA-N 0 1 281.356 0.332 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCn3nccc32)CC1 ZINC000367492576 267094741 /nfs/dbraw/zinc/09/47/41/267094741.db2.gz IPVIGDXVVVASHY-UHFFFAOYSA-N 0 1 258.325 0.575 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCOC[C@H](C2CCC2)C1 ZINC000368345761 267165275 /nfs/dbraw/zinc/16/52/75/267165275.db2.gz AAWSYEKDMGRWCU-TZMCWYRMSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000369033381 267217502 /nfs/dbraw/zinc/21/75/02/267217502.db2.gz SUFJOTIGMUCNBJ-DGCLKSJQSA-N 0 1 283.335 0.622 20 30 CCEDMN CN(Cc1ccc(C#N)cc1)C(=O)NC[C@@H]1COCCN1 ZINC000529373373 267313053 /nfs/dbraw/zinc/31/30/53/267313053.db2.gz JLMPJOWQITUFFK-CQSZACIVSA-N 0 1 288.351 0.688 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)N1CCN[C@@H](C)C1 ZINC000418128440 267358199 /nfs/dbraw/zinc/35/81/99/267358199.db2.gz BMAPZGMJLJIFBT-RWMBFGLXSA-N 0 1 267.373 0.971 20 30 CCEDMN N#Cc1c[nH]c(=O)n(C[C@@H]2CCCC2(F)F)c1=O ZINC000335475815 294868415 /nfs/dbraw/zinc/86/84/15/294868415.db2.gz AWQPQRCSFSZRLW-QMMMGPOBSA-N 0 1 255.224 0.844 20 30 CCEDMN C=CCCC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000773161765 581911712 /nfs/dbraw/zinc/91/17/12/581911712.db2.gz MCIHMEJKTSFTFZ-MRVPVSSYSA-N 0 1 253.331 0.782 20 30 CCEDMN C[C@@H](C#N)NC(=O)[C@@H]1CCC[N@H+]1C1CCOCC1 ZINC000340456795 328279825 /nfs/dbraw/zinc/27/98/25/328279825.db2.gz UNRYGTBSSBUNBQ-JQWIXIFHSA-N 0 1 251.330 0.658 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)NCCN1CC[C@H](C)C1 ZINC000558584674 327108772 /nfs/dbraw/zinc/10/87/72/327108772.db2.gz PTXXMUXMUNZLTF-RYUDHWBXSA-N 0 1 288.417 0.254 20 30 CCEDMN COC[C@H](C)OC[C@H](O)CNCC1(C#N)CCC1 ZINC000566118900 327140813 /nfs/dbraw/zinc/14/08/13/327140813.db2.gz JYKFIAOBWGJZFR-NWDGAFQWSA-N 0 1 256.346 0.682 20 30 CCEDMN C=CCN(C)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000155329658 327392150 /nfs/dbraw/zinc/39/21/50/327392150.db2.gz YBJFFEGNASIXOI-LBPRGKRZSA-N 0 1 254.378 0.450 20 30 CCEDMN CC(C)CN1CCO[C@H](CNc2ncncc2C#N)C1 ZINC000583437396 327362648 /nfs/dbraw/zinc/36/26/48/327362648.db2.gz KUZPUWHLUXDRKO-CYBMUJFWSA-N 0 1 275.356 0.539 20 30 CCEDMN C=CCN=c1[n-]nc(SC[C@H](O)C[N@H+](C)CC)s1 ZINC000566481483 327392234 /nfs/dbraw/zinc/39/22/34/327392234.db2.gz NJWVMXXLOMMWBY-SECBINFHSA-N 0 1 288.442 0.963 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN([C@H]2CCOC2)CC1 ZINC000378407297 329049451 /nfs/dbraw/zinc/04/94/51/329049451.db2.gz UXWMOAIHCCUALM-UONOGXRCSA-N 0 1 254.374 0.720 20 30 CCEDMN C[C@](C#N)(NC(=O)CNC1(C(N)=O)CCCCC1)C1CC1 ZINC000177124890 329181092 /nfs/dbraw/zinc/18/10/92/329181092.db2.gz FHCZZALUQIPUCR-CQSZACIVSA-N 0 1 292.383 0.573 20 30 CCEDMN CN(CCN(C)S(=O)(=O)CCCC#N)C1CC1 ZINC000185226345 329269079 /nfs/dbraw/zinc/26/90/79/329269079.db2.gz IKKADRCOIWVCJG-UHFFFAOYSA-N 0 1 259.375 0.646 20 30 CCEDMN CCN1CCN([C@H]2CCN(C(=O)C3(C#N)CCC3)C2)CC1 ZINC000134866642 329661224 /nfs/dbraw/zinc/66/12/24/329661224.db2.gz JWRCJQFHFPTNMT-AWEZNQCLSA-N 0 1 290.411 0.919 20 30 CCEDMN C=CC[C@H](CO)NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000274782695 330107790 /nfs/dbraw/zinc/10/77/90/330107790.db2.gz OEWCLSODIQWZSB-SECBINFHSA-N 0 1 266.253 0.967 20 30 CCEDMN C=CCN(CCOC)C(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000423502354 330208511 /nfs/dbraw/zinc/20/85/11/330208511.db2.gz DWAUVCPIVBJEQF-BETUJISGSA-N 0 1 295.383 0.000 20 30 CCEDMN CN1CCC(C#N)(NC(=O)COc2ccccc2O)CC1 ZINC000533269813 330355558 /nfs/dbraw/zinc/35/55/58/330355558.db2.gz CKZORUJVOVRKSM-UHFFFAOYSA-N 0 1 289.335 0.875 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](OCC(C)C)C1 ZINC000119888320 330381256 /nfs/dbraw/zinc/38/12/56/330381256.db2.gz DKPINGLITRWKIB-STQMWFEESA-N 0 1 252.358 0.871 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)N(C)CC(C)(C)C#N)[nH]1 ZINC000432951356 330611539 /nfs/dbraw/zinc/61/15/39/330611539.db2.gz UTJZSAUUXYMIGP-UHFFFAOYSA-N 0 1 292.387 0.880 20 30 CCEDMN N#CCCCS(=O)(=O)NC[C@@H](O)CN1CCCCC1 ZINC000158957596 330840828 /nfs/dbraw/zinc/84/08/28/330840828.db2.gz ZMJDDCYQUZMCRL-GFCCVEGCSA-N 0 1 289.401 0.056 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H](C)c1cnn(CC)c1 ZINC000451902337 331046748 /nfs/dbraw/zinc/04/67/48/331046748.db2.gz CNYMIMVZGPZEOE-JTQLQIEISA-N 0 1 279.344 0.565 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@H]1COC[C@H]1OCC ZINC000451944232 331047845 /nfs/dbraw/zinc/04/78/45/331047845.db2.gz NJLFAOIJDLTLQR-CHWSQXEVSA-N 0 1 268.357 0.581 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NC[C@@H]1CCC[N@H+]1CCCO ZINC000452368225 331063355 /nfs/dbraw/zinc/06/33/55/331063355.db2.gz QOBUHDZDCZDPLK-GXTWGEPZSA-N 0 1 267.373 0.889 20 30 CCEDMN C=CCOCC(=O)NC(C)(C)CN1CCN(CC)CC1 ZINC000456900774 331208523 /nfs/dbraw/zinc/20/85/23/331208523.db2.gz OOBMPVUMAOASGK-UHFFFAOYSA-N 0 1 283.416 0.721 20 30 CCEDMN COCCN(CC#N)C(=O)c1csc(=NC2CC2)[nH]1 ZINC000457203833 331215599 /nfs/dbraw/zinc/21/55/99/331215599.db2.gz NNTNXLMISQXNMX-UHFFFAOYSA-N 0 1 280.353 0.751 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](O)C(C)(C)C2)CC1 ZINC000490717159 332108159 /nfs/dbraw/zinc/10/81/59/332108159.db2.gz BBOKBOJUOIHAFQ-AWEZNQCLSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCNC(=O)c1ccc(N2C[C@@H](C)N(C)C[C@H]2C)nc1 ZINC000490717630 332108179 /nfs/dbraw/zinc/10/81/79/332108179.db2.gz DRMXBOFUNPLYLV-CHWSQXEVSA-N 0 1 286.379 0.973 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC2CC(O)C2)CC1 ZINC000490720999 332109083 /nfs/dbraw/zinc/10/90/83/332109083.db2.gz VDHSIXHRKZGMDQ-UHFFFAOYSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCNC(=O)[C@@H](C)ON=C(N)CN1CCCCCC1 ZINC000490761561 332113739 /nfs/dbraw/zinc/11/37/39/332113739.db2.gz AGEZUFHJCBQMEH-GFCCVEGCSA-N 0 1 280.372 0.499 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN3C(=O)CC[C@H]3C2)CC1 ZINC000490891901 332125425 /nfs/dbraw/zinc/12/54/25/332125425.db2.gz IHNFFOYRSVYCPG-AWEZNQCLSA-N 0 1 289.379 0.165 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CN(C)C(C)=O ZINC000490892566 332125908 /nfs/dbraw/zinc/12/59/08/332125908.db2.gz LYCUHYCPJYXQEJ-LBPRGKRZSA-N 0 1 252.314 0.106 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1C[C@@H]1C(=O)OC ZINC000490925791 332130203 /nfs/dbraw/zinc/13/02/03/332130203.db2.gz YDMTVMRDQZONOL-SDDRHHMPSA-N 0 1 265.309 0.436 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)N(CC)CC)CC1 ZINC000491106717 332150600 /nfs/dbraw/zinc/15/06/00/332150600.db2.gz YXEPEXVUMXTHBJ-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2ccccc2C#N)CC1 ZINC000491118470 332151320 /nfs/dbraw/zinc/15/13/20/332151320.db2.gz DOPIMIKKELSTTC-UHFFFAOYSA-N 0 1 296.374 0.820 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1C[C@H](C)CC(=O)N1 ZINC000491125009 332153329 /nfs/dbraw/zinc/15/33/29/332153329.db2.gz AMDZZLNRZNXRPD-AVGNSLFASA-N 0 1 278.352 0.542 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H](C)OC)CC1 ZINC000491142812 332155234 /nfs/dbraw/zinc/15/52/34/332155234.db2.gz DGXAPYMENLEKDJ-LBPRGKRZSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCN1CCC(C(=O)NO[C@@H](CO)C(C)C)CC1 ZINC000491252743 332174619 /nfs/dbraw/zinc/17/46/19/332174619.db2.gz JTJAQFKLMNQQLP-ZDUSSCGKSA-N 0 1 268.357 0.396 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1n[nH]c(C)c1[N+](=O)[O-] ZINC000491405004 332205850 /nfs/dbraw/zinc/20/58/50/332205850.db2.gz GFALGWRGLBIKRC-QMMMGPOBSA-N 0 1 264.241 0.101 20 30 CCEDMN Cn1nccc1/C=C\C(=O)NC1(C#N)CCN(C)CC1 ZINC000491627263 332250764 /nfs/dbraw/zinc/25/07/64/332250764.db2.gz DFOVPZIGHMHJDC-ARJAWSKDSA-N 0 1 273.340 0.537 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000491640403 332260323 /nfs/dbraw/zinc/26/03/23/332260323.db2.gz OLCSGZQJNVKJAZ-MNOVXSKESA-N 0 1 274.324 0.311 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@H](SC)C2)CC1 ZINC000491644540 332262871 /nfs/dbraw/zinc/26/28/71/332262871.db2.gz KKXGUKNQLDFYEN-ZDUSSCGKSA-N 0 1 281.425 0.201 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)CN(CC)C1CC1 ZINC000491653241 332266095 /nfs/dbraw/zinc/26/60/95/332266095.db2.gz CIVOWXBLLWRYSX-UHFFFAOYSA-N 0 1 251.374 0.542 20 30 CCEDMN C#CCN(C)CCCNC(=O)C(=O)c1ccccc1OC ZINC000491656047 332268072 /nfs/dbraw/zinc/26/80/72/332268072.db2.gz IEYMUZVPGFJAOH-UHFFFAOYSA-N 0 1 288.347 0.949 20 30 CCEDMN C#CCN1CCC(C(=O)OCCNC(=O)NC(C)C)CC1 ZINC000491738416 332318023 /nfs/dbraw/zinc/31/80/23/332318023.db2.gz PDKBUSRSNMFVSV-UHFFFAOYSA-N 0 1 295.383 0.582 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCS[C@H](C)CC2)CC1 ZINC000491741875 332320376 /nfs/dbraw/zinc/32/03/76/332320376.db2.gz VYBZQDZBSOFNHJ-CQSZACIVSA-N 0 1 295.452 0.591 20 30 CCEDMN C#C[C@@H](CC)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000491749544 332326292 /nfs/dbraw/zinc/32/62/92/332326292.db2.gz GOOMRSQVVJUCHZ-JTQLQIEISA-N 0 1 257.297 0.952 20 30 CCEDMN C#CCN1CCC(C(=O)NCC2([S@@](C)=O)CC2)CC1 ZINC000491779182 332344119 /nfs/dbraw/zinc/34/41/19/332344119.db2.gz ZJWMHTPJZGQCED-LJQANCHMSA-N 0 1 282.409 0.359 20 30 CCEDMN C#CCN(C)CCCNC(=O)C(=O)C1CCSCC1 ZINC000491801695 332356146 /nfs/dbraw/zinc/35/61/46/332356146.db2.gz DHORSRKWPPFOBZ-UHFFFAOYSA-N 0 1 282.409 0.770 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@H](CC(N)=O)C1 ZINC000175259809 333018603 /nfs/dbraw/zinc/01/86/03/333018603.db2.gz DLCJTSZGAMBUKL-QWHCGFSZSA-N 0 1 294.399 0.582 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@H](C(=O)NC)C(C)C ZINC000183635366 333121596 /nfs/dbraw/zinc/12/15/96/333121596.db2.gz GUVHJJIKXZSTSB-LBPRGKRZSA-N 0 1 268.361 0.109 20 30 CCEDMN CCO[C@H]1C[C@@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000521859271 333194036 /nfs/dbraw/zinc/19/40/36/333194036.db2.gz OOVUHHBEJJLVRO-QWRGUYRKSA-N 0 1 251.330 0.516 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC=C)CCOC)CC1 ZINC000191964929 333227834 /nfs/dbraw/zinc/22/78/34/333227834.db2.gz YECFXBCXGMJQSA-UHFFFAOYSA-N 0 1 264.369 0.993 20 30 CCEDMN CC(C)NC(=O)CN1CCN(CCCSCC#N)CC1 ZINC000074981337 334021802 /nfs/dbraw/zinc/02/18/02/334021802.db2.gz SZTSCCHGKFDSHD-UHFFFAOYSA-N 0 1 298.456 0.775 20 30 CCEDMN N#C[C@@]1(NC(=O)[C@@H]2CCCc3[nH]ncc32)CCOC1 ZINC000547488533 334093087 /nfs/dbraw/zinc/09/30/87/334093087.db2.gz HWQHPFWPXNXWDV-RNCFNFMXSA-N 0 1 260.297 0.628 20 30 CCEDMN C[C@@H]1C[C@H](C)[C@H](C(=O)NC2(C#N)CCN(C)CC2)O1 ZINC000548762467 334173400 /nfs/dbraw/zinc/17/34/00/334173400.db2.gz YOVIZONILNXCGW-QJPTWQEYSA-N 0 1 265.357 0.904 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCN([C@@H]2CC[C@H](C#N)C2)C1 ZINC000549915258 334208335 /nfs/dbraw/zinc/20/83/35/334208335.db2.gz CPYQGVIALUEEKA-HBNTYKKESA-N 0 1 257.359 0.302 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000251784379 334369464 /nfs/dbraw/zinc/36/94/64/334369464.db2.gz NCLDMFVSUGMILK-CHWSQXEVSA-N 0 1 280.368 0.928 20 30 CCEDMN N#CCc1ccc(CNC(=O)C[C@@H]2COCCN2)cc1 ZINC000572882234 334887787 /nfs/dbraw/zinc/88/77/87/334887787.db2.gz FOVRQEWUMLACII-CQSZACIVSA-N 0 1 273.336 0.747 20 30 CCEDMN CC[C@H](CO)N(C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000345826596 335337024 /nfs/dbraw/zinc/33/70/24/335337024.db2.gz GKAWGQFHWIGMAM-YPMHNXCESA-N 0 1 255.362 0.744 20 30 CCEDMN N#CCNC(=O)CN1CCS[C@@H]2CCCC[C@@H]21 ZINC000177210415 335368453 /nfs/dbraw/zinc/36/84/53/335368453.db2.gz FFIPVPABVJBDDG-WDEREUQCSA-N 0 1 253.371 0.986 20 30 CCEDMN CNS(=O)(=O)NC1CCN([C@@H](C)CCC#N)CC1 ZINC000579481154 335770233 /nfs/dbraw/zinc/77/02/33/335770233.db2.gz VGDTZWYVSUQKMK-JTQLQIEISA-N 0 1 274.390 0.197 20 30 CCEDMN C[C@@H]1CN(c2cc(C#N)ncn2)C[C@H](C)N1CCO ZINC000581589128 336108042 /nfs/dbraw/zinc/10/80/42/336108042.db2.gz LSBHIXGAYVKSES-PHIMTYICSA-N 0 1 261.329 0.240 20 30 CCEDMN N#Cc1ncccc1S(=O)(=O)[N-][C@H](CC[NH3+])C(F)F ZINC000383464665 336109667 /nfs/dbraw/zinc/10/96/67/336109667.db2.gz PWDNXUSGQARODA-SSDOTTSWSA-N 0 1 290.295 0.214 20 30 CCEDMN C[C@H]1CN(c2cnc(C#N)cn2)[C@@H](C)CN1CCO ZINC000418995638 533985475 /nfs/dbraw/zinc/98/54/75/533985475.db2.gz DCTSDLLKQDERAW-QWRGUYRKSA-N 0 1 261.329 0.240 20 30 CCEDMN N#Cc1cc(NC(=O)NCCN2CC[C@@H](O)C2)ccc1F ZINC000599735760 545102903 /nfs/dbraw/zinc/10/29/03/545102903.db2.gz VXTTTWSPRPEYRI-GFCCVEGCSA-N 0 1 292.314 0.885 20 30 CCEDMN CNC(=O)[C@@H]1CCC[C@@H]1NCc1ccncc1C#N ZINC000592114780 545102774 /nfs/dbraw/zinc/10/27/74/545102774.db2.gz YGKLSYOQTTWWDE-OLZOCXBDSA-N 0 1 258.325 0.958 20 30 CCEDMN C=CCN1CCC(NC(=O)N[C@@H]2CC[S@](=O)C2)CC1 ZINC000329996402 526413556 /nfs/dbraw/zinc/41/35/56/526413556.db2.gz OVBGLMOHNLLYIK-BLVKFPJESA-N 0 1 285.413 0.661 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@@H]1CCCN(C)C1 ZINC000668330263 545173461 /nfs/dbraw/zinc/17/34/61/545173461.db2.gz OGHWTUOLFGYDFI-OLZOCXBDSA-N 0 1 267.373 0.668 20 30 CCEDMN CC1CCN(CC(=O)NCc2nnnn2C)CC1 ZINC000329974483 528226911 /nfs/dbraw/zinc/22/69/11/528226911.db2.gz XKFJXJNGRYLZNZ-UHFFFAOYSA-N 0 1 252.322 0.399 20 30 CCEDMN CCN1CCCC[C@@H]1CNC(=O)N[C@@H]1CC[S@@](=O)C1 ZINC000330026904 528993990 /nfs/dbraw/zinc/99/39/90/528993990.db2.gz METBIAITOKNUCY-HNYWDRBLSA-N 0 1 287.429 0.885 20 30 CCEDMN CCN1CCN(C(=O)N[C@@H]2CCn3ccnc3C2)CC1 ZINC000330244937 529108006 /nfs/dbraw/zinc/10/80/06/529108006.db2.gz DMOLVWBBKHJRIR-GFCCVEGCSA-N 0 1 277.372 0.749 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)[C@@H](C)C1 ZINC000352661603 529138716 /nfs/dbraw/zinc/13/87/16/529138716.db2.gz XSDKZIMZXKHJPZ-WFASDCNBSA-N 0 1 278.400 0.821 20 30 CCEDMN CC[C@@H]1CN(C(=O)N[C@H]2[C@@H]3COC[C@@H]32)CCN1CCOC ZINC000329992101 529346449 /nfs/dbraw/zinc/34/64/49/529346449.db2.gz ALXVWVXBIFBTLU-MQYQWHSLSA-N 0 1 297.399 0.588 20 30 CCEDMN C=CCNC(=O)CN[C@H](C(=O)N1CCCC1)C(C)C ZINC000678777587 545397546 /nfs/dbraw/zinc/39/75/46/545397546.db2.gz AGYSCPQUQGPEDD-ZDUSSCGKSA-N 0 1 267.373 0.525 20 30 CCEDMN CN(CCOCc1ccc(C#N)cc1)[C@@H]1CCNC1=O ZINC000344154047 536398080 /nfs/dbraw/zinc/39/80/80/536398080.db2.gz VFEBTPHKSZKPOF-CQSZACIVSA-N 0 1 273.336 0.895 20 30 CCEDMN C=C(C)[C@H](CO)N1CC[C@H](NC(=O)NC2CC2)C1 ZINC000680637839 545434263 /nfs/dbraw/zinc/43/42/63/545434263.db2.gz TVRRXWJVALAAIS-RYUDHWBXSA-N 0 1 253.346 0.459 20 30 CCEDMN COCC#CC[NH2+][C@H](c1nc(-c2nnc[n-]2)no1)C(C)C ZINC000681694105 545459650 /nfs/dbraw/zinc/45/96/50/545459650.db2.gz DSDYTFGHJFCGMT-JTQLQIEISA-N 0 1 290.327 0.791 20 30 CCEDMN COCC#CC[NH2+][C@@H](c1nc(-c2nnc[n-]2)no1)C(C)C ZINC000681694107 545459776 /nfs/dbraw/zinc/45/97/76/545459776.db2.gz DSDYTFGHJFCGMT-SNVBAGLBSA-N 0 1 290.327 0.791 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777089186 581106963 /nfs/dbraw/zinc/10/69/63/581106963.db2.gz WLIGZTQTNDPLCX-SNVBAGLBSA-N 0 1 289.335 0.512 20 30 CCEDMN Cc1onc(CC(=O)NOC[C@H]2CCOC2)c1C#N ZINC000788568949 581116595 /nfs/dbraw/zinc/11/65/95/581116595.db2.gz GBCVBZDNYSMALF-VIFPVBQESA-N 0 1 265.269 0.482 20 30 CCEDMN Cn1cc(C=NNC(=N)N)c(-c2ccc(C#N)cc2)n1 ZINC000777882478 581138043 /nfs/dbraw/zinc/13/80/43/581138043.db2.gz XQHCGPLDMUWJAE-UHFFFAOYSA-N 0 1 267.296 0.776 20 30 CCEDMN C#CCNC(=O)CN(C)CC1(O)CCCCCC1 ZINC000778283318 581148724 /nfs/dbraw/zinc/14/87/24/581148724.db2.gz MPDFHNBTVLVIRN-UHFFFAOYSA-N 0 1 252.358 0.753 20 30 CCEDMN C/C=C(\CC)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000789760384 581155737 /nfs/dbraw/zinc/15/57/37/581155737.db2.gz RTEHEFKMBBXZGO-OTWNWBGPSA-N 0 1 277.324 0.985 20 30 CCEDMN CCOC(=O)CON=C(C(=O)N(CC)CC)c1cc[nH]n1 ZINC000789955407 581166289 /nfs/dbraw/zinc/16/62/89/581166289.db2.gz ZMPAOZOTBUPWCM-UHFFFAOYSA-N 0 1 296.327 0.562 20 30 CCEDMN COc1cccc(C=NNC2=NC[C@H](C)N2)c1OC ZINC000779791543 581205039 /nfs/dbraw/zinc/20/50/39/581205039.db2.gz KFWYTRKEMVNHDF-VIFPVBQESA-N 0 1 262.313 0.975 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@@H]1[C@H]2CCCO[C@H]21 ZINC000780309613 581224649 /nfs/dbraw/zinc/22/46/49/581224649.db2.gz MUPYJLNYWGYDCC-MWGHHZFTSA-N 0 1 250.298 0.645 20 30 CCEDMN COc1nccnc1C=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000793033898 581281499 /nfs/dbraw/zinc/28/14/99/581281499.db2.gz FOIWHXGEPLDOTL-AOOOYVTPSA-N 0 1 274.328 0.679 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCc1ccc(C#N)cn1 ZINC000782030080 581286107 /nfs/dbraw/zinc/28/61/07/581286107.db2.gz GEMWQCVAHOAPJP-LBPRGKRZSA-N 0 1 288.351 0.538 20 30 CCEDMN Cc1cc(CNC(=O)NCCNc2ccc(C#N)cn2)n[nH]1 ZINC000738461570 581333703 /nfs/dbraw/zinc/33/37/03/581333703.db2.gz VLTKMMYMQUKKKM-UHFFFAOYSA-N 0 1 299.338 0.896 20 30 CCEDMN C=CCC[N@@H+]1CCC[C@H](C(=O)NCCNC(=O)[O-])C1 ZINC000738493076 581360999 /nfs/dbraw/zinc/36/09/99/581360999.db2.gz JSSRLISBLWVUKM-NSHDSACASA-N 0 1 269.345 0.658 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738494304 581361452 /nfs/dbraw/zinc/36/14/52/581361452.db2.gz ACYJWKHTXCVAPO-JTQLQIEISA-N 0 1 298.343 0.072 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738494304 581361454 /nfs/dbraw/zinc/36/14/54/581361454.db2.gz ACYJWKHTXCVAPO-JTQLQIEISA-N 0 1 298.343 0.072 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738495652 581362032 /nfs/dbraw/zinc/36/20/32/581362032.db2.gz NEPJZQDLOBYNBK-QWRGUYRKSA-N 0 1 269.345 0.609 20 30 CCEDMN Cc1ccc(O)c(C=Nn2c(=O)c(C)n[nH]c2=S)n1 ZINC000728778900 581370509 /nfs/dbraw/zinc/37/05/09/581370509.db2.gz VYRFZRRNZGDLBH-UHFFFAOYSA-N 0 1 277.309 0.527 20 30 CCEDMN COC(=O)c1ccc(Cl)c(C=NNC(=N)N)c1 ZINC000794854926 581389741 /nfs/dbraw/zinc/38/97/41/581389741.db2.gz XZPDPTCJOCEDQY-UHFFFAOYSA-N 0 1 254.677 0.944 20 30 CCEDMN COCCN(C)N=C1CCN(CCCC(=O)OC)CC1 ZINC000794973020 581393363 /nfs/dbraw/zinc/39/33/63/581393363.db2.gz RDAJQZYBRZMZMI-UHFFFAOYSA-N 0 1 285.388 0.970 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000785411116 581408279 /nfs/dbraw/zinc/40/82/79/581408279.db2.gz IIGFATOLBUMORP-JOYOIKCWSA-N 0 1 265.317 0.702 20 30 CCEDMN C#CCNC(=O)CCNCc1c(Br)cnn1C ZINC000785442999 581409652 /nfs/dbraw/zinc/40/96/52/581409652.db2.gz RZDSWQMPDPRPIF-UHFFFAOYSA-N 0 1 299.172 0.412 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCN(C)[C@@H](C)C1 ZINC000787271402 581463344 /nfs/dbraw/zinc/46/33/44/581463344.db2.gz LXJSLZBEZCKIRN-NWDGAFQWSA-N 0 1 264.373 0.573 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](O)CC(F)(F)C2)CC1 ZINC000787832619 581479876 /nfs/dbraw/zinc/47/98/76/581479876.db2.gz XCLBOOMXROLNLA-GFCCVEGCSA-N 0 1 286.322 0.560 20 30 CCEDMN N#Cc1cnc(N2CC[NH+](CC(=O)[O-])CC2)c(Cl)c1 ZINC000739142038 581510900 /nfs/dbraw/zinc/51/09/00/581510900.db2.gz HPBNRBIHOUEDMK-UHFFFAOYSA-N 0 1 280.715 0.813 20 30 CCEDMN Cc1cc(N)cc(C)c1C=NNCCS(C)(=O)=O ZINC000752734159 581514238 /nfs/dbraw/zinc/51/42/38/581514238.db2.gz MCNULYVFAVMYHJ-UHFFFAOYSA-N 0 1 269.370 0.854 20 30 CCEDMN C#CCCNC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000733641763 581516371 /nfs/dbraw/zinc/51/63/71/581516371.db2.gz NMTSWEIXPIGOEB-CQSZACIVSA-N 0 1 280.416 0.581 20 30 CCEDMN COC[C@H](C)NC(=S)NN=C1CCN2CCC[C@@H]12 ZINC000765558233 581525050 /nfs/dbraw/zinc/52/50/50/581525050.db2.gz ADOHLCXLRRFRHK-ONGXEEELSA-N 0 1 270.402 0.710 20 30 CCEDMN CCN(CC)C(=O)CCNC(O)=C1N=CC=CC1=O ZINC000733888321 581530250 /nfs/dbraw/zinc/53/02/50/581530250.db2.gz WMJHNJLDXCKTCL-OUKQBFOZSA-N 0 1 265.313 0.771 20 30 CCEDMN CC[C@@H](O)[C@@H]1CCCCN1CC(=O)NCCC#N ZINC000733995953 581538378 /nfs/dbraw/zinc/53/83/78/581538378.db2.gz BRYLBDKYHWNGRL-NWDGAFQWSA-N 0 1 253.346 0.642 20 30 CCEDMN CCN(CCC#N)C(=O)CNC1(C(=O)NC)CCCC1 ZINC000734000447 581539196 /nfs/dbraw/zinc/53/91/96/581539196.db2.gz XPTWAGOPLBKBAH-UHFFFAOYSA-N 0 1 280.372 0.397 20 30 CCEDMN N#Cc1cccnc1N1CCN(C[C@H](O)C2CC2)CC1 ZINC000734142680 581544656 /nfs/dbraw/zinc/54/46/56/581544656.db2.gz XMNJHINRCMGZJI-AWEZNQCLSA-N 0 1 272.352 0.846 20 30 CCEDMN N#CC(C(=O)COC1CCOCC1)C(=O)NC1CCCC1 ZINC000735398678 581643289 /nfs/dbraw/zinc/64/32/89/581643289.db2.gz IODPQXPIBGSHMD-CYBMUJFWSA-N 0 1 294.351 0.950 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1CCC[C@H]([C@@H]2CCCN2C(=O)[O-])C1 ZINC000740305607 581663055 /nfs/dbraw/zinc/66/30/55/581663055.db2.gz QLNHKVILKBORPW-RYUDHWBXSA-N 0 1 294.355 0.481 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)C[C@H]1CCOC1 ZINC000740528964 581691980 /nfs/dbraw/zinc/69/19/80/581691980.db2.gz DLBOAKWCNPWJSO-VXGBXAGGSA-N 0 1 282.340 0.665 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000758134257 581722955 /nfs/dbraw/zinc/72/29/55/581722955.db2.gz CJDGNRCJJOSPJN-UWVGGRQHSA-N 0 1 275.308 0.511 20 30 CCEDMN CN(CCCNC(=O)OC(C)(C)C)CC(=O)NCCC#N ZINC000736317806 581738788 /nfs/dbraw/zinc/73/87/88/581738788.db2.gz NEYAKLNSLBNIQH-UHFFFAOYSA-N 0 1 298.387 0.863 20 30 CCEDMN Cc1nnc(N=NC2CC[N@@H+](C)[C@@H](C)C2)[n-]c1=O ZINC000741457839 581754255 /nfs/dbraw/zinc/75/42/55/581754255.db2.gz RFCCABVWAGGFOD-ZETCQYMHSA-N 0 1 250.306 0.768 20 30 CCEDMN CS(=O)(=O)CCNCc1cnc2ccc(C#N)cn12 ZINC000736639406 581809659 /nfs/dbraw/zinc/80/96/59/581809659.db2.gz VTKMJWCIDFKEKM-UHFFFAOYSA-N 0 1 278.337 0.340 20 30 CCEDMN N#Cc1ccc2ncc(CN[C@H]3CCS(=O)(=O)C3)n2c1 ZINC000736640692 581810274 /nfs/dbraw/zinc/81/02/74/581810274.db2.gz FZVHUPZGOPTEGR-NSHDSACASA-N 0 1 290.348 0.483 20 30 CCEDMN C#CCCN1CCC(NC(=O)C(=O)c2cnn(C)c2)CC1 ZINC000743491329 581814237 /nfs/dbraw/zinc/81/42/37/581814237.db2.gz FJPVMHFVNYSYDT-UHFFFAOYSA-N 0 1 288.351 0.207 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)Cn2cccnc2=O)c1 ZINC000759713311 581817201 /nfs/dbraw/zinc/81/72/01/581817201.db2.gz KYDSKRBQNAGBEJ-UHFFFAOYSA-N 0 1 270.248 0.459 20 30 CCEDMN N#C[C@H](C(=O)[C@H]1CC(=O)N(C2CC2)C1)c1ccncn1 ZINC000760338509 581856206 /nfs/dbraw/zinc/85/62/06/581856206.db2.gz FRJRRAIKMUHBBU-ONGXEEELSA-N 0 1 270.292 0.664 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@@H](CNC(=O)[O-])C1 ZINC000738761634 581856374 /nfs/dbraw/zinc/85/63/74/581856374.db2.gz AHXFSCMSDHJQCK-FZMZJTMJSA-N 0 1 296.371 0.630 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](CNC(=O)[O-])C1 ZINC000738761634 581856376 /nfs/dbraw/zinc/85/63/76/581856376.db2.gz AHXFSCMSDHJQCK-FZMZJTMJSA-N 0 1 296.371 0.630 20 30 CCEDMN CN(C)c1ccc(C=NNCCS(C)(=O)=O)cc1 ZINC000733205780 581890912 /nfs/dbraw/zinc/89/09/12/581890912.db2.gz LLPYKTRUSIWVKQ-UHFFFAOYSA-N 0 1 269.370 0.721 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)C[C@H](C)C(=O)[O-] ZINC000737078799 581897936 /nfs/dbraw/zinc/89/79/36/581897936.db2.gz CISGTBLDEGQRLD-QWRGUYRKSA-N 0 1 269.345 0.647 20 30 CCEDMN Cn1cc(N=NCc2cn(Cc3cccnc3)nn2)cn1 ZINC000761869185 581923505 /nfs/dbraw/zinc/92/35/05/581923505.db2.gz LONNIPKGOUUERI-UHFFFAOYSA-N 0 1 282.311 0.901 20 30 CCEDMN CON=CC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000773734428 581939773 /nfs/dbraw/zinc/93/97/73/581939773.db2.gz CDVCGTIFZJCKPR-QWRGUYRKSA-N 0 1 257.334 0.234 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CCS(=O)(=O)C2)c1 ZINC000747998772 581959494 /nfs/dbraw/zinc/95/94/94/581959494.db2.gz GYXSVGBNHJSBBF-VIFPVBQESA-N 0 1 280.305 0.637 20 30 CCEDMN Cn1cc[nH]c(=NN=C2COc3cc(O)ccc32)c1=O ZINC000748081111 581961179 /nfs/dbraw/zinc/96/11/79/581961179.db2.gz YKJYVJFJPQYKAJ-UHFFFAOYSA-N 0 1 272.264 0.695 20 30 CCEDMN Cn1ccnc(NN=C2COc3cc(O)ccc32)c1=O ZINC000748081111 581961182 /nfs/dbraw/zinc/96/11/82/581961182.db2.gz YKJYVJFJPQYKAJ-UHFFFAOYSA-N 0 1 272.264 0.695 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1nnc2ccccc2c1O ZINC000748342105 581969332 /nfs/dbraw/zinc/96/93/32/581969332.db2.gz KKBSIKFQDLRKBN-SECBINFHSA-N 0 1 284.275 0.700 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCc3[nH]nnc3C2)cn1 ZINC000748362961 581971624 /nfs/dbraw/zinc/97/16/24/581971624.db2.gz JBYPLDBWRLCUMN-UHFFFAOYSA-N 0 1 253.265 0.380 20 30 CCEDMN C#CCCN1CCC(NS(=O)(=O)c2cccnc2)CC1 ZINC000748672624 581983755 /nfs/dbraw/zinc/98/37/55/581983755.db2.gz YYQOIEZNZYNZDP-UHFFFAOYSA-N 0 1 293.392 0.848 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)CN2CC[C@@H]3C[C@@H]32)CC1 ZINC000774739644 581993558 /nfs/dbraw/zinc/99/35/58/581993558.db2.gz VMUVTPLCCRDOQW-ILXRZTDVSA-N 0 1 290.411 0.773 20 30 CCEDMN C#C[C@H](C)NC(=O)Cc1c(C)nc(-c2ncccn2)[nH]c1=O ZINC000775382494 582021802 /nfs/dbraw/zinc/02/18/02/582021802.db2.gz UYHPFVBBGPWOOJ-VIFPVBQESA-N 0 1 297.318 0.628 20 30 CCEDMN CC(C)[C@H](NCC(=O)NCCC#N)C(=O)N1CCCC1 ZINC000775425313 582023873 /nfs/dbraw/zinc/02/38/73/582023873.db2.gz WWLBDNPXUMCQGZ-ZDUSSCGKSA-N 0 1 280.372 0.253 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NC(=O)NCC=C)[C@@H]1CCCO1 ZINC000775797630 582039746 /nfs/dbraw/zinc/03/97/46/582039746.db2.gz FACDIHRHLDWPSI-SRVKXCTJSA-N 0 1 279.340 0.157 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@@H]1CNC(=O)[O-] ZINC000738459857 582052897 /nfs/dbraw/zinc/05/28/97/582052897.db2.gz GLMFQKGHCMCXJX-UPJWGTAASA-N 0 1 293.367 0.637 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@@H]1CNC(=O)[O-] ZINC000738459857 582052900 /nfs/dbraw/zinc/05/29/00/582052900.db2.gz GLMFQKGHCMCXJX-UPJWGTAASA-N 0 1 293.367 0.637 20 30 CCEDMN C#CCNC(=O)C[N@H+](CCC)[C@H]1CCN(C(=O)[O-])C1 ZINC000738462169 582053200 /nfs/dbraw/zinc/05/32/00/582053200.db2.gz XIULYKMNKZQIKA-NSHDSACASA-N 0 1 267.329 0.200 20 30 CCEDMN CS(=O)(=O)NN=Cc1cc(-c2cccnc2)[nH]n1 ZINC000764698650 582062609 /nfs/dbraw/zinc/06/26/09/582062609.db2.gz BTMPTRXPTLDYFP-UHFFFAOYSA-N 0 1 265.298 0.355 20 30 CCEDMN C[C@H]1CN(C)CC1N=Nc1ccc(S(N)(=O)=O)cn1 ZINC000752161827 582102011 /nfs/dbraw/zinc/10/20/11/582102011.db2.gz WGMODLGINCZLLP-QMMMGPOBSA-N 0 1 283.357 0.078 20 30 CCEDMN N#Cc1ccc([C@H](C(=O)[O-])N2C[C@H]3C[NH2+]C[C@H]3C2)cc1 ZINC000739104566 582102732 /nfs/dbraw/zinc/10/27/32/582102732.db2.gz HDWLYQQXJDIBTF-HZSPNIEDSA-N 0 1 271.320 0.835 20 30 CCEDMN C#CCOCCN1CCOC[C@H]1C[C@H]1CCOC1 ZINC000933663650 612983944 /nfs/dbraw/zinc/98/39/44/612983944.db2.gz RJNDQPUSEMWPDG-ZIAGYGMSSA-N 0 1 253.342 0.764 20 30 CCEDMN C#CCOCCN1CC[C@@]2(CC(C(=O)OC)=NO2)C1 ZINC000879490755 612984174 /nfs/dbraw/zinc/98/41/74/612984174.db2.gz WDSGXKPVCRMGOO-CYBMUJFWSA-N 0 1 266.297 0.030 20 30 CCEDMN COc1ccc(C#N)cc1Cn1cnc(-c2nn[nH]n2)n1 ZINC000821769988 607378026 /nfs/dbraw/zinc/37/80/26/607378026.db2.gz MPXYHQHCMBZFEN-UHFFFAOYSA-N 0 1 282.267 0.387 20 30 CCEDMN C[N@H+](CC(=O)NCC#N)Cc1ccc(C(=O)[O-])cc1 ZINC000037918873 599923609 /nfs/dbraw/zinc/92/36/09/599923609.db2.gz IMYMSLANMJRSMS-UHFFFAOYSA-N 0 1 261.281 0.456 20 30 CCEDMN C[N@@H+](CC(=O)NCC#N)Cc1ccc(C(=O)[O-])cc1 ZINC000037918873 599923611 /nfs/dbraw/zinc/92/36/11/599923611.db2.gz IMYMSLANMJRSMS-UHFFFAOYSA-N 0 1 261.281 0.456 20 30 CCEDMN C#CCNC(=O)N1CCNC[C@H]1c1cccc(OC)c1 ZINC000887278610 612946405 /nfs/dbraw/zinc/94/64/05/612946405.db2.gz IZEXDXWKDRKXAW-AWEZNQCLSA-N 0 1 273.336 0.984 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC2(CC1)C[C@H](O)CCO2 ZINC000933066167 612947610 /nfs/dbraw/zinc/94/76/10/612947610.db2.gz MFWFGQDBUCIWRK-CHWSQXEVSA-N 0 1 280.368 0.130 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NCCCc1nc[nH]n1 ZINC000875914376 613020574 /nfs/dbraw/zinc/02/05/74/613020574.db2.gz LXJHJDYSNKKNFY-NSHDSACASA-N 0 1 279.344 0.465 20 30 CCEDMN C#CCNC(=O)c1ccc(NC2CCN(CC#C)CC2)nc1 ZINC000895808348 612952420 /nfs/dbraw/zinc/95/24/20/612952420.db2.gz GDPOWYBZGAAPNQ-UHFFFAOYSA-N 0 1 296.374 0.954 20 30 CCEDMN C#CCNC(=S)N1CCC[C@H](N2CCOCC2)CC1 ZINC000848659095 612953114 /nfs/dbraw/zinc/95/31/14/612953114.db2.gz SCMQBYKKDSSXIQ-ZDUSSCGKSA-N 0 1 281.425 0.681 20 30 CCEDMN C#CC[C@@H](NC(=O)CN(C)[C@H]1CCSC1)C(=O)OC ZINC000837949986 613039661 /nfs/dbraw/zinc/03/96/61/613039661.db2.gz GSQSBIHITKHPSL-WDEREUQCSA-N 0 1 284.381 0.105 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NC[C@@H]1CCN1CC ZINC000883747642 613051089 /nfs/dbraw/zinc/05/10/89/613051089.db2.gz NZTVIJLIOHPNLZ-OLZOCXBDSA-N 0 1 267.373 0.808 20 30 CCEDMN Cc1nc(-c2nn[n-]n2)c(N=NC2C[C@H](C)[N@@H+](C)C2)o1 ZINC000822423073 606777186 /nfs/dbraw/zinc/77/71/86/606777186.db2.gz HNHYQGSVQFGAFG-LURJTMIESA-N 0 1 276.304 0.655 20 30 CCEDMN Cc1nc(-c2nn[n-]n2)c(N=NC2C[C@H](C)[N@H+](C)C2)o1 ZINC000822423073 606777188 /nfs/dbraw/zinc/77/71/88/606777188.db2.gz HNHYQGSVQFGAFG-LURJTMIESA-N 0 1 276.304 0.655 20 30 CCEDMN Cc1nc(-c2nnn[n-]2)c(N=NC2C[C@H](C)[N@H+](C)C2)o1 ZINC000822423073 606777185 /nfs/dbraw/zinc/77/71/85/606777185.db2.gz HNHYQGSVQFGAFG-LURJTMIESA-N 0 1 276.304 0.655 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCC[C@@H]2CCOC2)o1 ZINC000826323296 608228711 /nfs/dbraw/zinc/22/87/11/608228711.db2.gz YVFMJIRBNFWDCW-MRVPVSSYSA-N 0 1 277.288 0.987 20 30 CCEDMN C#CCNCC(=O)NC[C@H](O)c1cc(OC)ccc1OC ZINC000912369272 612956742 /nfs/dbraw/zinc/95/67/42/612956742.db2.gz PTNIXGLARBTRRR-ZDUSSCGKSA-N 0 1 292.335 0.076 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CC2=CCOCC2)C1 ZINC000972251898 613089497 /nfs/dbraw/zinc/08/94/97/613089497.db2.gz VCNNEZQJXCSTHO-AWEZNQCLSA-N 0 1 262.353 0.889 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cscn2)C1 ZINC000972489159 613090890 /nfs/dbraw/zinc/09/08/90/613090890.db2.gz HYEWVEINLSEXAU-LBPRGKRZSA-N 0 1 263.366 0.852 20 30 CCEDMN C#CC1(O)CN(C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)C1 ZINC000890526026 612957949 /nfs/dbraw/zinc/95/79/49/612957949.db2.gz FUTXKQJSGIKXIO-GXSJLCMTSA-N 0 1 259.309 0.282 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)[C@H]1CCOC1 ZINC000922022934 613098149 /nfs/dbraw/zinc/09/81/49/613098149.db2.gz DWRHFRNKRVYEJD-ZDUSSCGKSA-N 0 1 286.397 0.135 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)CCCOC ZINC000922023299 613098194 /nfs/dbraw/zinc/09/81/94/613098194.db2.gz FYROVXIIVQJDTR-UHFFFAOYSA-N 0 1 288.413 0.382 20 30 CCEDMN C#CC1CCN([C@H]2CC(=O)N(CCOC)C2=O)CC1 ZINC000843267628 612965046 /nfs/dbraw/zinc/96/50/46/612965046.db2.gz BLHHFBTYYOARJJ-LBPRGKRZSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000928095099 612980853 /nfs/dbraw/zinc/98/08/53/612980853.db2.gz DFQOPCYJYHGBJM-STQMWFEESA-N 0 1 282.409 0.722 20 30 CCEDMN COc1ccc(C(C)=NNC2=NCCN2)c(OC)c1 ZINC000841660219 617236763 /nfs/dbraw/zinc/23/67/63/617236763.db2.gz DXIZBDQLNAIUMD-UHFFFAOYSA-N 0 1 262.313 0.977 20 30 CCEDMN COc1ccc(CNC(=O)N2CCNCC2)cc1C#N ZINC000841862670 617253998 /nfs/dbraw/zinc/25/39/98/617253998.db2.gz CNEIXMSDFZCXFV-UHFFFAOYSA-N 0 1 274.324 0.682 20 30 CCEDMN COc1ccc(CN[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)nn1 ZINC000967942604 617259874 /nfs/dbraw/zinc/25/98/74/617259874.db2.gz CZZAYHVIJFZRSE-ZYHUDNBSSA-N 0 1 289.339 0.335 20 30 CCEDMN COc1ccc(F)c(OC)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000876801590 617264865 /nfs/dbraw/zinc/26/48/65/617264865.db2.gz GDSNXECJNORTGE-PSASIEDQSA-N 0 1 293.298 0.684 20 30 CCEDMN COc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834494597 617282319 /nfs/dbraw/zinc/28/23/19/617282319.db2.gz ONBKMRRVDLFNMI-GZMMTYOYSA-N 0 1 290.279 0.445 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn[nH]1 ZINC000968559033 617294236 /nfs/dbraw/zinc/29/42/36/617294236.db2.gz WQMDTSKNJVVBEC-GARJFASQSA-N 0 1 287.323 0.385 20 30 CCEDMN C#CC1(NC(=O)NCCCc2nc[nH]n2)CCCC1 ZINC000923127635 612949568 /nfs/dbraw/zinc/94/95/68/612949568.db2.gz QBVKNRPYKJFFAY-UHFFFAOYSA-N 0 1 261.329 0.983 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+](C)CC[C@@H](NC(=O)[O-])C(C)C ZINC000823456175 612947678 /nfs/dbraw/zinc/94/76/78/612947678.db2.gz LEYFFNADAHLESO-VXGBXAGGSA-N 0 1 283.372 0.738 20 30 CCEDMN C#CC1(O)CCN(C[C@H]2CN=C(c3cnn(C)c3)O2)CC1 ZINC000931151343 612952614 /nfs/dbraw/zinc/95/26/14/612952614.db2.gz LLMUOGWGZDIZPY-CYBMUJFWSA-N 0 1 288.351 0.026 20 30 CCEDMN C#CC1(O)CN(C(=O)[C@@]2(F)CC[N@H+](C(C)(C)C)C2)C1 ZINC000890526182 612958094 /nfs/dbraw/zinc/95/80/94/612958094.db2.gz QGAZVQYDFOLAJJ-CQSZACIVSA-N 0 1 268.332 0.405 20 30 CCEDMN C#CC1CCN(C(=O)[C@]2(C)C[C@@H](O)CN2C)CC1 ZINC000911548005 612964231 /nfs/dbraw/zinc/96/42/31/612964231.db2.gz SYCYTDDNHRINMF-OCCSQVGLSA-N 0 1 250.342 0.313 20 30 CCEDMN C#CCC1(O)CCN(C(=O)c2cc(CC)[nH]n2)CC1 ZINC000882522236 612972964 /nfs/dbraw/zinc/97/29/64/612972964.db2.gz LDTGKIDFWMZGFJ-UHFFFAOYSA-N 0 1 261.325 0.963 20 30 CCEDMN C#CCC1(O)CCN([C@@H](C)C(=O)NCC=C)CC1 ZINC000880478763 612974073 /nfs/dbraw/zinc/97/40/73/612974073.db2.gz KOWJOKHWGUGEQB-LBPRGKRZSA-N 0 1 250.342 0.527 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C2CCC2)CC1 ZINC000755896107 612978279 /nfs/dbraw/zinc/97/82/79/612978279.db2.gz UDHWFVNXSQJMPA-UHFFFAOYSA-N 0 1 250.342 0.723 20 30 CCEDMN C#CCCCNC(=O)C(=O)N1CCN(C(C)(C)CC)CC1 ZINC000848933927 612979490 /nfs/dbraw/zinc/97/94/90/612979490.db2.gz GUUIPVDEPYGBQR-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCOCCC(=O)NCc1cc(N(C)C)ccn1 ZINC000927702635 612979892 /nfs/dbraw/zinc/97/98/92/612979892.db2.gz OFNGJTZUSDLXJH-UHFFFAOYSA-N 0 1 261.325 0.804 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H]1CCC[N@H+]2CCCC[C@H]12 ZINC000848933995 612980756 /nfs/dbraw/zinc/98/07/56/612980756.db2.gz IKSVSHGDMQEHHL-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCCCNC(=O)C(C)(C)CN1CCOCC1 ZINC000820346171 612980966 /nfs/dbraw/zinc/98/09/66/612980966.db2.gz CTBKXJRESZBQCA-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C#CCCCS(=O)(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000841539084 612984849 /nfs/dbraw/zinc/98/48/49/612984849.db2.gz LDNVRKXTNWPTRG-UHFFFAOYSA-N 0 1 284.385 0.935 20 30 CCEDMN C#CCCN(CCCCS(C)(=O)=O)CCOC ZINC000852751640 612986481 /nfs/dbraw/zinc/98/64/81/612986481.db2.gz CBGDDVSHKDVXNS-UHFFFAOYSA-N 0 1 261.387 0.783 20 30 CCEDMN C#CCOCCNC(=O)c1n[nH]nc1-c1ccccc1 ZINC000797751399 612988745 /nfs/dbraw/zinc/98/87/45/612988745.db2.gz UYMMPKMAIBVAHU-UHFFFAOYSA-N 0 1 270.292 0.851 20 30 CCEDMN C#CCCN(CCOC)C[C@@H]1CN(C2CC2)C(=O)O1 ZINC000852749818 612989189 /nfs/dbraw/zinc/98/91/89/612989189.db2.gz GVVUQUVMLSWELD-CYBMUJFWSA-N 0 1 266.341 0.941 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CCOC)[C@@H](CCC)C1 ZINC000827588606 612994903 /nfs/dbraw/zinc/99/49/03/612994903.db2.gz JKKUPLZZNWNRMT-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCO[C@@H](C)C(=O)OC[C@@H]1CN(C(C)C)CCO1 ZINC000835297565 612998412 /nfs/dbraw/zinc/99/84/12/612998412.db2.gz LVPPGOMGZYSAIT-STQMWFEESA-N 0 1 269.341 0.677 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCCN(CCOC)CC1 ZINC000822767617 612999318 /nfs/dbraw/zinc/99/93/18/612999318.db2.gz ATKMRQRHVHHCFU-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H](CCSC)c1nn[nH]n1 ZINC000912863328 613002408 /nfs/dbraw/zinc/00/24/08/613002408.db2.gz UDJZUCCLCKDNKY-BDAKNGLRSA-N 0 1 283.357 0.148 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc[n+]([O-])cc2)CC1 ZINC000980663946 613005857 /nfs/dbraw/zinc/00/58/57/613005857.db2.gz UAFVNENQLOECOS-UHFFFAOYSA-N 0 1 273.336 0.491 20 30 CCEDMN C#CCOc1ccc(C[N@@H+]2CC[C@](O)(C(=O)[O-])C2)cc1 ZINC000901904474 613005877 /nfs/dbraw/zinc/00/58/77/613005877.db2.gz ZAWUEIPKDSICMY-OAHLLOKOSA-N 0 1 275.304 0.720 20 30 CCEDMN C#CCOc1ccc(CNC(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000839783129 613006167 /nfs/dbraw/zinc/00/61/67/613006167.db2.gz VAFPRLCGXAQRBJ-CHWSQXEVSA-N 0 1 274.276 0.980 20 30 CCEDMN C#CCCN1CCN(Cc2cn(CCC=C)nn2)CC1 ZINC000846837987 613011972 /nfs/dbraw/zinc/01/19/72/613011972.db2.gz UAGJJKSWIBKCNA-UHFFFAOYSA-N 0 1 273.384 0.995 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2cnccn2)C1 ZINC000964774748 613014740 /nfs/dbraw/zinc/01/47/40/613014740.db2.gz QWZIQFCYRCSOMR-OCCSQVGLSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)CN(C)[C@H]1CCSC1 ZINC000863784651 613019385 /nfs/dbraw/zinc/01/93/85/613019385.db2.gz PBAYLVVEOYWGAW-STQMWFEESA-N 0 1 284.425 0.968 20 30 CCEDMN C#CC[C@@H](CO)NCc1cnc(N2CCCC2)nc1 ZINC000883123517 613030367 /nfs/dbraw/zinc/03/03/67/613030367.db2.gz AJHFUFSPAGUQJY-ZDUSSCGKSA-N 0 1 260.341 0.551 20 30 CCEDMN C#CC[C@@H](CO)NS(=O)(=O)c1cc(O)cc(F)c1 ZINC000882339866 613030821 /nfs/dbraw/zinc/03/08/21/613030821.db2.gz JVANBQYDDHKZJP-VIFPVBQESA-N 0 1 273.285 0.194 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)CN(C)[C@H]1CCSC1 ZINC000863803847 613032669 /nfs/dbraw/zinc/03/26/69/613032669.db2.gz WDVBKEYIZJHBSH-RYUDHWBXSA-N 0 1 270.398 0.578 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCCCc1nc[nH]n1 ZINC000875926130 613034594 /nfs/dbraw/zinc/03/45/94/613034594.db2.gz BKOFKIPCQBWJES-JTQLQIEISA-N 0 1 265.317 0.075 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)C(C)(C)CN1CCOCC1 ZINC000822679598 613055760 /nfs/dbraw/zinc/05/57/60/613055760.db2.gz KLQVDBLRKWMMPE-CYBMUJFWSA-N 0 1 282.384 0.235 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)NCCCCN1CCOCC1 ZINC000798363516 613060802 /nfs/dbraw/zinc/06/08/02/613060802.db2.gz ANOKBFYOUDQFOC-AWEZNQCLSA-N 0 1 297.399 0.172 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000875929680 613061734 /nfs/dbraw/zinc/06/17/34/613061734.db2.gz UBCFAVDEULYEOA-MELADBBJSA-N 0 1 297.399 0.121 20 30 CCEDMN C#CC[C@H](COC)NC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000863804014 613066182 /nfs/dbraw/zinc/06/61/82/613066182.db2.gz ZWWJAHMMCLDYDF-SSDOTTSWSA-N 0 1 252.230 0.086 20 30 CCEDMN C#CC[C@H](COC)N[C@H](Cc1ccc(F)cc1)C(N)=O ZINC000863389850 613066734 /nfs/dbraw/zinc/06/67/34/613066734.db2.gz DMFXYAYCIHWCCY-ZIAGYGMSSA-N 0 1 278.327 0.850 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H](C)Cc1cnc[nH]1)C(=O)OC ZINC000848220773 613068487 /nfs/dbraw/zinc/06/84/87/613068487.db2.gz MOOWUSRMQZCMRE-ONGXEEELSA-N 0 1 263.297 0.269 20 30 CCEDMN C#CCN(C)CCCNC(=O)N1CCC(=O)[C@@H](C)C1 ZINC000922627951 613073855 /nfs/dbraw/zinc/07/38/55/613073855.db2.gz PNEWEOPDHBOFRJ-LBPRGKRZSA-N 0 1 265.357 0.562 20 30 CCEDMN C#CC[C@H]1NC(=O)N(C2CCN(CC=C)CC2)C1=O ZINC000927476874 613075011 /nfs/dbraw/zinc/07/50/11/613075011.db2.gz WEASUNLEQZDTHZ-GFCCVEGCSA-N 0 1 261.325 0.581 20 30 CCEDMN C#CCn1cc(CNC(=O)CN(C)[C@@H]2CCSC2)cn1 ZINC000834611670 613076726 /nfs/dbraw/zinc/07/67/26/613076726.db2.gz BXFGEZBSSATNDB-CYBMUJFWSA-N 0 1 292.408 0.570 20 30 CCEDMN C#CCN(C)CCCNC(=O)c1cc(C=O)n(C)c1 ZINC000820688695 613078073 /nfs/dbraw/zinc/07/80/73/613078073.db2.gz ZETWOQCFUYXQGG-UHFFFAOYSA-N 0 1 261.325 0.523 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(C)(C)c2cnn(C)c2)C1 ZINC000972638880 613079838 /nfs/dbraw/zinc/07/98/38/613079838.db2.gz YADVLQUJGWMDBC-CQSZACIVSA-N 0 1 288.395 0.864 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC[C@@H]2CCOC2)C1 ZINC000971297052 613080286 /nfs/dbraw/zinc/08/02/86/613080286.db2.gz DAHFDLGMRODBNH-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN C#C[C@@H](C)NC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000847401845 613081718 /nfs/dbraw/zinc/08/17/18/613081718.db2.gz LFEUMZNRPCIICG-RKDXNWHRSA-N 0 1 263.301 0.477 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC000972704140 613084115 /nfs/dbraw/zinc/08/41/15/613084115.db2.gz NXGPFWRCIQKWEQ-CHWSQXEVSA-N 0 1 292.383 0.086 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c(C)nn3cccnc23)C1 ZINC000980432043 613084612 /nfs/dbraw/zinc/08/46/12/613084612.db2.gz QPQUPXFTIKWAFR-CYBMUJFWSA-N 0 1 297.362 0.817 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NCc1n[nH]c(COC)n1 ZINC000820666493 613085798 /nfs/dbraw/zinc/08/57/98/613085798.db2.gz KJWDGQQIPRVPNK-VIFPVBQESA-N 0 1 265.317 0.552 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NCc1nnc(COC)[nH]1 ZINC000820666493 613085799 /nfs/dbraw/zinc/08/57/99/613085799.db2.gz KJWDGQQIPRVPNK-VIFPVBQESA-N 0 1 265.317 0.552 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000971958088 613091060 /nfs/dbraw/zinc/09/10/60/613091060.db2.gz QTUDEKRNMQMOER-ZDUSSCGKSA-N 0 1 273.336 0.496 20 30 CCEDMN C#C[C@@H](NC(=O)CN1CCCC1)C1CCOCC1 ZINC000824693098 613092211 /nfs/dbraw/zinc/09/22/11/613092211.db2.gz LUHOMZSXOQVPDA-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN C#C[C@@H](NC(=O)CN1CC[C@@H](C)C1)C1CCOCC1 ZINC000856099352 613092217 /nfs/dbraw/zinc/09/22/17/613092217.db2.gz ARYCLWXJNUJGJT-TZMCWYRMSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC000972704142 613092803 /nfs/dbraw/zinc/09/28/03/613092803.db2.gz NXGPFWRCIQKWEQ-QWHCGFSZSA-N 0 1 292.383 0.086 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(N(C)C)cn2)C1 ZINC000980506472 613094658 /nfs/dbraw/zinc/09/46/58/613094658.db2.gz DMXJAOPPZTZANZ-AWEZNQCLSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc3n[nH]nc3n2)C1 ZINC000971645723 613095356 /nfs/dbraw/zinc/09/53/56/613095356.db2.gz VMEPSPFNSAPAJB-JTQLQIEISA-N 0 1 284.323 0.132 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccn(CC(F)F)n2)C1 ZINC000971743371 613095930 /nfs/dbraw/zinc/09/59/30/613095930.db2.gz SFNPUNCHPAEYFN-NSHDSACASA-N 0 1 296.321 0.928 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cncc(OC)n2)C1 ZINC000972486762 613096090 /nfs/dbraw/zinc/09/60/90/613096090.db2.gz KGORVXQFKMZHOV-NSHDSACASA-N 0 1 274.324 0.265 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(C(C)C)nn2)C1 ZINC000972632560 613096102 /nfs/dbraw/zinc/09/61/02/613096102.db2.gz ULFIHFVJWKBMGC-LBPRGKRZSA-N 0 1 275.356 0.639 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc(N(C)C)cn2)C1 ZINC000971537826 613096250 /nfs/dbraw/zinc/09/62/50/613096250.db2.gz PYHVFXGACXXBAP-LBPRGKRZSA-N 0 1 287.367 0.322 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnns2)C1 ZINC000971144346 613096713 /nfs/dbraw/zinc/09/67/13/613096713.db2.gz HJRRXQSNZZSTRC-VIFPVBQESA-N 0 1 250.327 0.318 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2coc(C(N)=O)c2)C1 ZINC000972005938 613096922 /nfs/dbraw/zinc/09/69/22/613096922.db2.gz PDBQMBDFSQTYIC-NSHDSACASA-N 0 1 275.308 0.158 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)CCc1nc[nH]n1 ZINC000855494532 613103897 /nfs/dbraw/zinc/10/38/97/613103897.db2.gz OEFGQAHJHYIXPM-UHFFFAOYSA-N 0 1 292.339 0.541 20 30 CCEDMN C#CCN(CC)C(=O)CN1CCC[C@@H](C(=O)OCC)C1 ZINC000900353243 613105170 /nfs/dbraw/zinc/10/51/70/613105170.db2.gz LWFYHKXZBRWYPF-CYBMUJFWSA-N 0 1 280.368 0.743 20 30 CCEDMN C#CC[N@@H+](CC)CC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC ZINC000825614784 613106786 /nfs/dbraw/zinc/10/67/86/613106786.db2.gz XXBYCDNIMANHOC-JQWIXIFHSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CC[N@H+](CC)CC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC ZINC000825614784 613106787 /nfs/dbraw/zinc/10/67/87/613106787.db2.gz XXBYCDNIMANHOC-JQWIXIFHSA-N 0 1 254.330 0.557 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000820647950 613122966 /nfs/dbraw/zinc/12/29/66/613122966.db2.gz YAPOEQYUDXWWSM-CYBMUJFWSA-N 0 1 281.400 0.886 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H](CC(C)C)C(N)=O)CC1 ZINC000882975959 613128538 /nfs/dbraw/zinc/12/85/38/613128538.db2.gz MNZDXRQYICOUJO-AWEZNQCLSA-N 0 1 293.411 0.690 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(OC(C)C)C2)CC1 ZINC000825319932 613128793 /nfs/dbraw/zinc/12/87/93/613128793.db2.gz CDSAPLUYEJGAOX-UHFFFAOYSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H]2C(=O)OC)CC1 ZINC000888055029 613128971 /nfs/dbraw/zinc/12/89/71/613128971.db2.gz WXGQKPPQJAKUGB-GFCCVEGCSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H]3COC[C@@H]3C2)CC1 ZINC000872318134 613129951 /nfs/dbraw/zinc/12/99/51/613129951.db2.gz MURCCIJWJPGRNJ-OKILXGFUSA-N 0 1 262.353 0.436 20 30 CCEDMN C#CCN1CCC(C(=O)NCC(=O)c2cncs2)CC1 ZINC000832548130 613130021 /nfs/dbraw/zinc/13/00/21/613130021.db2.gz ZHZKNVOMKBMYEE-UHFFFAOYSA-N 0 1 291.376 0.787 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2cncc(OC)n2)CC1 ZINC000859555978 613132142 /nfs/dbraw/zinc/13/21/42/613132142.db2.gz ALNKVWIAISGQKM-UHFFFAOYSA-N 0 1 289.335 0.874 20 30 CCEDMN C#CCN1CCC(Nc2ccnc(C(=O)N(C)C)c2)CC1 ZINC000895803577 613132276 /nfs/dbraw/zinc/13/22/76/613132276.db2.gz IMDXFUSWQABARL-UHFFFAOYSA-N 0 1 286.379 0.715 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C/c2cnccn2)CC1 ZINC000928657545 613132454 /nfs/dbraw/zinc/13/24/54/613132454.db2.gz NGAANYSZIJJQLT-ONEGZZNKSA-N 0 1 270.336 0.704 20 30 CCEDMN C#CCN1CCC(Nc2nnnn2-c2ccc(C)cc2)CC1 ZINC000895806571 613132580 /nfs/dbraw/zinc/13/25/80/613132580.db2.gz BJSUMRZYHZJHPN-UHFFFAOYSA-N 0 1 296.378 0.902 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H](C)CC(=O)OC)CC1 ZINC000928650961 613133246 /nfs/dbraw/zinc/13/32/46/613133246.db2.gz IWTZLEDBEGPAFH-GFCCVEGCSA-N 0 1 280.368 0.790 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2nc(C)cc2C)CC1 ZINC000928659175 613133308 /nfs/dbraw/zinc/13/33/08/613133308.db2.gz GRRSFVWIYNBPME-UHFFFAOYSA-N 0 1 274.368 0.714 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cnn(C)c2C)CC1 ZINC000928651545 613133364 /nfs/dbraw/zinc/13/33/64/613133364.db2.gz VQWCHXWOSYHJJS-UHFFFAOYSA-N 0 1 274.368 0.485 20 30 CCEDMN C#CCN1CCC(NC(=O)COC[C@@H]2CCCO2)CC1 ZINC000928655312 613133601 /nfs/dbraw/zinc/13/36/01/613133601.db2.gz PMGLIPLTLWJEGE-AWEZNQCLSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCCn2cccn2)CC1 ZINC000914010352 613133820 /nfs/dbraw/zinc/13/38/20/613133820.db2.gz QCJAYNXPDFEMGP-UHFFFAOYSA-N 0 1 289.383 0.670 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2nnc(CC)[nH]2)CC1 ZINC000922645471 613134325 /nfs/dbraw/zinc/13/43/25/613134325.db2.gz YSBPVIUWZZDTET-UHFFFAOYSA-N 0 1 290.371 0.264 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H]2C[C@H](OC)C2)CC1 ZINC000924003844 613134443 /nfs/dbraw/zinc/13/44/43/613134443.db2.gz DNWPKOPBBQXUGV-MQMHXKEQSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@]2(OC)CCOC2)CC1 ZINC000921984015 613134588 /nfs/dbraw/zinc/13/45/88/613134588.db2.gz YIUSLLZTJJWSPU-HNNXBMFYSA-N 0 1 295.383 0.189 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)CC(=O)OC)CC1 ZINC000928655911 613135755 /nfs/dbraw/zinc/13/57/55/613135755.db2.gz CSPUJKDRQMVJLY-NSHDSACASA-N 0 1 266.341 0.399 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCO[C@H]2C=C)CC1 ZINC000928650629 613135775 /nfs/dbraw/zinc/13/57/75/613135775.db2.gz DYWYZOQPHZXSAO-KGLIPLIRSA-N 0 1 262.353 0.791 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCCN2C(C)=O)CC1 ZINC000928656948 613135973 /nfs/dbraw/zinc/13/59/73/613135973.db2.gz HCBPCGXDFMNRNA-HNNXBMFYSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](O)CCSC)CC1 ZINC000928654613 613136576 /nfs/dbraw/zinc/13/65/76/613136576.db2.gz JNBTYOVQJZOECJ-GFCCVEGCSA-N 0 1 270.398 0.314 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccnc2N(C)C)CC1 ZINC000928656840 613137553 /nfs/dbraw/zinc/13/75/53/613137553.db2.gz FFCVAHPRGGMGFF-UHFFFAOYSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc3c(cnn3C)c2)CC1 ZINC000928656418 613137585 /nfs/dbraw/zinc/13/75/85/613137585.db2.gz UJKDBJIXBBEASR-UHFFFAOYSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nn(C)cc2Cl)CC1 ZINC000928646417 613138216 /nfs/dbraw/zinc/13/82/16/613138216.db2.gz BLCJFZVVAHOLBS-UHFFFAOYSA-N 0 1 280.759 0.901 20 30 CCEDMN C#CCN1CCC(NC(=O)c2csnn2)CC1 ZINC000928657029 613138296 /nfs/dbraw/zinc/13/82/96/613138296.db2.gz MXKKLUUNJKOGPI-UHFFFAOYSA-N 0 1 250.327 0.366 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CO[C@H]3CCOC3)C2)C1 ZINC000981767473 613140507 /nfs/dbraw/zinc/14/05/07/613140507.db2.gz PLEORURTLDDBCW-AWEZNQCLSA-N 0 1 292.379 0.350 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C[C@H]3CCNC3=O)C2)C1 ZINC000981810429 613141454 /nfs/dbraw/zinc/14/14/54/613141454.db2.gz QNYQBCPNPZSCRD-CYBMUJFWSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3C[C@@H]3OCC)C2)C1 ZINC000981642083 613143303 /nfs/dbraw/zinc/14/33/03/613143303.db2.gz RJANYBJYMSQFMG-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3C[C@H](C)CO3)C2)C1 ZINC000981902835 613143699 /nfs/dbraw/zinc/14/36/99/613143699.db2.gz IDHSJZIMJXIUIE-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC000968835032 613145584 /nfs/dbraw/zinc/14/55/84/613145584.db2.gz VRJRYCRLBRCLDP-OAHLLOKOSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC000968882724 613148157 /nfs/dbraw/zinc/14/81/57/613148157.db2.gz SWGGNTBZXTYNNQ-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cn(C)nn1 ZINC000968842999 613149797 /nfs/dbraw/zinc/14/97/97/613149797.db2.gz HIOCOLTYJAVGNN-LBPRGKRZSA-N 0 1 275.356 0.423 20 30 CCEDMN C#C[C@H]1CCCN(Cc2c(CO)[nH]cc(OC)c2=O)C1 ZINC000902306446 613159407 /nfs/dbraw/zinc/15/94/07/613159407.db2.gz NQLRSNLGCZOWJT-NSHDSACASA-N 0 1 276.336 0.721 20 30 CCEDMN C#C[C@](C)(CC)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000911385526 613163805 /nfs/dbraw/zinc/16/38/05/613163805.db2.gz WHYNXKWEXABOCK-BXUZGUMPSA-N 0 1 266.341 0.701 20 30 CCEDMN C#C[C@](C)(CC)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000852102869 613164876 /nfs/dbraw/zinc/16/48/76/613164876.db2.gz RVXREWSUPVBDHR-TZMCWYRMSA-N 0 1 260.341 0.917 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC(N3CCCC3)C2)nc1 ZINC000898611518 613167350 /nfs/dbraw/zinc/16/73/50/613167350.db2.gz UYRJBTDLGQVXGW-UHFFFAOYSA-N 0 1 255.321 0.983 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN2CCN(C)CC2)cc1 ZINC000900043316 613178252 /nfs/dbraw/zinc/17/82/52/613178252.db2.gz KWMBRHMMEXWSJU-UHFFFAOYSA-N 0 1 271.364 0.645 20 30 CCEDMN C#CCN1CCC[C@H](Nc2ncnc(-n3cccn3)c2N)C1 ZINC000884612355 613193528 /nfs/dbraw/zinc/19/35/28/613193528.db2.gz JVEKZUNYXJUOQI-LBPRGKRZSA-N 0 1 297.366 0.754 20 30 CCEDMN C#CCN1CCC[C@H](Nc2cncc3ncnn32)C1 ZINC000884611376 613193537 /nfs/dbraw/zinc/19/35/37/613193537.db2.gz KRGJIZYDSWRKGY-NSHDSACASA-N 0 1 256.313 0.634 20 30 CCEDMN C#CCN1CCC[C@H](Nc2cc(NC[C@@H](C)O)ncn2)C1 ZINC000884612215 613193543 /nfs/dbraw/zinc/19/35/43/613193543.db2.gz HIHWCWBQRLBIJW-OLZOCXBDSA-N 0 1 289.383 0.779 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)[C@H]1CCN(C(=O)[O-])C1 ZINC000823451459 613194142 /nfs/dbraw/zinc/19/41/42/613194142.db2.gz VXFGZLNAYYQYSW-RYUDHWBXSA-N 0 1 279.340 0.295 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)NCCN(C)C)cc1 ZINC000906795214 613197499 /nfs/dbraw/zinc/19/74/99/613197499.db2.gz JIKZBDWRBRJEBK-UHFFFAOYSA-N 0 1 252.339 0.508 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1COC(=O)CSCC(=O)[O-] ZINC000819700727 613203476 /nfs/dbraw/zinc/20/34/76/613203476.db2.gz GFXWMBXZQULYMH-JTQLQIEISA-N 0 1 271.338 0.445 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)CSCC(=O)[O-] ZINC000819700727 613203478 /nfs/dbraw/zinc/20/34/78/613203478.db2.gz GFXWMBXZQULYMH-JTQLQIEISA-N 0 1 271.338 0.445 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000913498297 613205414 /nfs/dbraw/zinc/20/54/14/613205414.db2.gz NZYFLOXVJGIMFK-CYBMUJFWSA-N 0 1 297.318 0.324 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC000966780146 613214001 /nfs/dbraw/zinc/21/40/01/613214001.db2.gz DKKYEGBOTGSYIP-DOMZBBRYSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000967407496 613214180 /nfs/dbraw/zinc/21/41/80/613214180.db2.gz GPWIYTSPLOVKHH-OCCSQVGLSA-N 0 1 287.363 0.790 20 30 CCEDMN C#Cc1cncc(C(=O)NCCCCN2CCOCC2)c1 ZINC000798161689 613216431 /nfs/dbraw/zinc/21/64/31/613216431.db2.gz GWRFTWQUDRVFOG-UHFFFAOYSA-N 0 1 287.363 0.905 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@H]2CN(CC#CC)C[C@@H]2O)c1 ZINC000958391025 613218109 /nfs/dbraw/zinc/21/81/09/613218109.db2.gz WTSNBNNVRJNCRJ-HOTGVXAUSA-N 0 1 297.358 0.109 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965521995 613218558 /nfs/dbraw/zinc/21/85/58/613218558.db2.gz GLUGWUQGTHEWHC-TZMCWYRMSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cncnc2)C1 ZINC000965964657 613219113 /nfs/dbraw/zinc/21/91/13/613219113.db2.gz UNRKMIBVESZJRU-TZMCWYRMSA-N 0 1 272.352 0.479 20 30 CCEDMN C1CNC(N=NC2CCCN3CCSC[C@@H]23)=N1 ZINC000872385778 613221260 /nfs/dbraw/zinc/22/12/60/613221260.db2.gz PSANHNQECGNYFG-JTQLQIEISA-N 0 1 253.375 0.102 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@H]2COC(=O)C2)C(C)(C)C1 ZINC000940678653 613225839 /nfs/dbraw/zinc/22/58/39/613225839.db2.gz VSBDOYCGCNYDTB-CHWSQXEVSA-N 0 1 292.379 0.790 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000941173982 613228712 /nfs/dbraw/zinc/22/87/12/613228712.db2.gz DBYWZHYLMXEFAD-NWDGAFQWSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000940947343 613229467 /nfs/dbraw/zinc/22/94/67/613229467.db2.gz UVXUPWJZVGWJED-CHWSQXEVSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(OC)ncn2)[C@@H](C)C1 ZINC000942057811 613233558 /nfs/dbraw/zinc/23/35/58/613233558.db2.gz PPAMSILOSIUTFF-NWDGAFQWSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnc(OC)n2)[C@@H](C)C1 ZINC000942444151 613239061 /nfs/dbraw/zinc/23/90/61/613239061.db2.gz LUARNMMWJQECCM-NWDGAFQWSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)nc2C)C[C@H]1C ZINC000947126751 613239792 /nfs/dbraw/zinc/23/97/92/613239792.db2.gz PJRASTOKXMLKSW-DGCLKSJQSA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nccc(C)n2)[C@H](C)C1 ZINC000942008320 613244235 /nfs/dbraw/zinc/24/42/35/613244235.db2.gz HIEFBYWDSGZQDN-DGCLKSJQSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nnn(C)c2C)C[C@H]1C ZINC000947158375 613244522 /nfs/dbraw/zinc/24/45/22/613244522.db2.gz WBGBOYXRXXMQQI-ZYHUDNBSSA-N 0 1 275.356 0.339 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nn(CC)nc2C)C[C@@H]1C ZINC000948100101 613244725 /nfs/dbraw/zinc/24/47/25/613244725.db2.gz PFVMMLJIPUWZCJ-WCQYABFASA-N 0 1 289.383 0.822 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nnn(C)c2C)[C@@H](C)C1 ZINC000941914734 613244773 /nfs/dbraw/zinc/24/47/73/613244773.db2.gz XMAHDBUZRASCPC-CMPLNLGQSA-N 0 1 275.356 0.197 20 30 CCEDMN C=c1[nH]c(=O)[nH]c(=O)c1=C(C)NN=c1nc(OC)cc[nH]1 ZINC000914696782 617326320 /nfs/dbraw/zinc/32/63/20/617326320.db2.gz NPTMBQSEMRZZSI-UHFFFAOYSA-N 0 1 290.283 0.831 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CCN(C)C2=O)CC1 ZINC000949749364 613260333 /nfs/dbraw/zinc/26/03/33/613260333.db2.gz SZTLOPQAYFIZEL-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)c2c[nH]c(=O)cn2)CC1 ZINC000949908950 613261146 /nfs/dbraw/zinc/26/11/46/613261146.db2.gz VVTQNBXVQMOPGD-UHFFFAOYSA-N 0 1 276.340 0.494 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CC)cn2)[C@@H](C)C1 ZINC000944191252 613273920 /nfs/dbraw/zinc/27/39/20/613273920.db2.gz AEWGYJGHPBNPBW-STQMWFEESA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)C[C@H]1C ZINC000947399032 613274472 /nfs/dbraw/zinc/27/44/72/613274472.db2.gz BRTJVYLDGHJTQQ-PWSUYJOCSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)n2)C[C@@H]1C ZINC000947802263 613276020 /nfs/dbraw/zinc/27/60/20/613276020.db2.gz WUBPFVHJFRUIQR-QWRGUYRKSA-N 0 1 261.329 0.031 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnsn2)[C@@H](C)C1 ZINC000941838519 613276838 /nfs/dbraw/zinc/27/68/38/613276838.db2.gz XJAIBUQSXDUTAK-UWVGGRQHSA-N 0 1 264.354 0.612 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nn(C)cc2C)[C@@H](C)C1 ZINC000942046056 613278455 /nfs/dbraw/zinc/27/84/55/613278455.db2.gz QCPATSDTPRVQCY-AAEUAGOBSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CCC(=C)CC2)C1 ZINC000958371200 613285833 /nfs/dbraw/zinc/28/58/33/613285833.db2.gz YHNYSYLCBSPMNN-LSDHHAIUSA-N 0 1 276.380 0.775 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)nc(C)c2)C1 ZINC000958100964 613286100 /nfs/dbraw/zinc/28/61/00/613286100.db2.gz JWMCOTOAJABXPU-LSDHHAIUSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(Cl)[nH]2)[C@H](O)C1 ZINC000958379837 613288770 /nfs/dbraw/zinc/28/87/70/613288770.db2.gz VLHYMEQWYUEOAZ-MWLCHTKSSA-N 0 1 281.743 0.324 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(CCC)n[nH]2)[C@H](O)C1 ZINC000958304503 613288931 /nfs/dbraw/zinc/28/89/31/613288931.db2.gz PUOOHQUGNNAZIA-BXUZGUMPSA-N 0 1 290.367 0.018 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(CC)o2)[C@H](O)C1 ZINC000958187420 613289121 /nfs/dbraw/zinc/28/91/21/613289121.db2.gz QCCWZSZJRJLETK-DGCLKSJQSA-N 0 1 276.336 0.498 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(OC)cc2)[C@H](O)C1 ZINC000958613699 613289525 /nfs/dbraw/zinc/28/95/25/613289525.db2.gz PFJOQKJGZVOZCN-UKRRQHHQSA-N 0 1 288.347 0.351 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccccc2F)[C@H](O)C1 ZINC000957811292 613289615 /nfs/dbraw/zinc/28/96/15/613289615.db2.gz CQHOQBQKRGAWMG-BXUZGUMPSA-N 0 1 276.311 0.481 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2CC3(CC3)C2)C1 ZINC000958373846 613294161 /nfs/dbraw/zinc/29/41/61/613294161.db2.gz IQNCRIJOVBCYPB-STQMWFEESA-N 0 1 262.353 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccoc2C)C1 ZINC000957865044 613295463 /nfs/dbraw/zinc/29/54/63/613295463.db2.gz WIAFNPFLUYYFDZ-AAEUAGOBSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccnn2C(C)C)C1 ZINC000958097405 613295729 /nfs/dbraw/zinc/29/57/29/613295729.db2.gz YCGADMKMPSJIDO-JSGCOSHPSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2sccc2C)C1 ZINC000957815878 613296660 /nfs/dbraw/zinc/29/66/60/613296660.db2.gz CLZAVQKFOZRTIL-RYUDHWBXSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2CCOC2)C(C)(C)C1 ZINC000972843337 613298536 /nfs/dbraw/zinc/29/85/36/613298536.db2.gz FFSDRSXCTCTYPQ-NEPJUHHUSA-N 0 1 250.342 0.483 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2Cc3ccccc3C2)C1 ZINC000958430744 613302541 /nfs/dbraw/zinc/30/25/41/613302541.db2.gz XJUJGEXYWWCFDM-SJORKVTESA-N 0 1 298.386 0.444 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cnc(C)s2)C1 ZINC000957922369 613304213 /nfs/dbraw/zinc/30/42/13/613304213.db2.gz IOFIZFRZZPCICC-MNOVXSKESA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cncc(CC)c2)C1 ZINC000958262490 613304228 /nfs/dbraw/zinc/30/42/28/613304228.db2.gz KAOKVTUUNWFXIE-CABCVRRESA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2oc(C)cc2C)C1 ZINC000957946681 613304704 /nfs/dbraw/zinc/30/47/04/613304704.db2.gz AKOAPJHALMKJRU-OLZOCXBDSA-N 0 1 276.336 0.552 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCC(=O)NC2)CC1 ZINC000981520400 613310728 /nfs/dbraw/zinc/31/07/28/613310728.db2.gz QSYZDGCNQMYEJY-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cccc(OCC)n1 ZINC000961313307 613314409 /nfs/dbraw/zinc/31/44/09/613314409.db2.gz OPIPETNTWGDPQJ-HALDLXJZSA-N 0 1 299.374 0.702 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCc2nccn2C1 ZINC000960651089 613315345 /nfs/dbraw/zinc/31/53/45/613315345.db2.gz FZEIPLOLVWQZQJ-CXTNEJHOSA-N 0 1 284.363 0.125 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCc2nccn2C1 ZINC000960651091 613316618 /nfs/dbraw/zinc/31/66/18/613316618.db2.gz FZEIPLOLVWQZQJ-RMRHIDDWSA-N 0 1 284.363 0.125 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)ncn1C ZINC000960397916 613317295 /nfs/dbraw/zinc/31/72/95/613317295.db2.gz NVNAEFLKRXPUEZ-GDNZZTSVSA-N 0 1 258.325 0.022 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)cc(=O)[nH]c1C ZINC000962689175 613317390 /nfs/dbraw/zinc/31/73/90/613317390.db2.gz JCNLOLYLPJUOEA-JYAVWHMHSA-N 0 1 285.347 0.697 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CC)n[nH]1 ZINC000958275406 613317975 /nfs/dbraw/zinc/31/79/75/613317975.db2.gz ZSNNHVSAEREPJL-PJXYFTJBSA-N 0 1 258.325 0.265 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)nnc1CC ZINC000960648259 613318003 /nfs/dbraw/zinc/31/80/03/613318003.db2.gz YJPMZGQXWRQOPM-NHAGDIPZSA-N 0 1 284.363 0.641 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CC)on1 ZINC000962343227 613318009 /nfs/dbraw/zinc/31/80/09/613318009.db2.gz NXHGNHBVONGBFG-PJXYFTJBSA-N 0 1 259.309 0.530 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CC)n(C)n1 ZINC000963063994 613318157 /nfs/dbraw/zinc/31/81/57/613318157.db2.gz AZHXYXKYUUWTFE-IMRBUKKESA-N 0 1 272.352 0.276 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2c(c1)CCN2C ZINC000962243149 613319378 /nfs/dbraw/zinc/31/93/78/613319378.db2.gz YAKUGCRYHZDGDW-QLPKVWCKSA-N 0 1 295.386 0.972 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2[nH]nc(C)c2C)CC1 ZINC000893972864 613323628 /nfs/dbraw/zinc/32/36/28/613323628.db2.gz GOAPKMYCICTMNK-UHFFFAOYSA-N 0 1 274.368 0.988 20 30 CCEDMN C#CCNC(=O)CCN1CCO[C@H]([C@@H]2CCCO2)C1 ZINC000815060864 613325544 /nfs/dbraw/zinc/32/55/44/613325544.db2.gz CYQCXWSGILEPCI-STQMWFEESA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCNC(=O)CCNC(C)(C)c1nc(C)cc(=O)[nH]1 ZINC000852144670 613325739 /nfs/dbraw/zinc/32/57/39/613325739.db2.gz AXJGVJSZLNNCDO-UHFFFAOYSA-N 0 1 276.340 0.455 20 30 CCEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cnnn2C)C1 ZINC000965981012 613326569 /nfs/dbraw/zinc/32/65/69/613326569.db2.gz RNDWIMALWDMQNZ-VXGBXAGGSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)C(C)(C)CN1CCOCC1 ZINC000922754546 613354485 /nfs/dbraw/zinc/35/44/85/613354485.db2.gz LLNBUMXGVCGYJQ-ZDUSSCGKSA-N 0 1 284.400 0.788 20 30 CCEDMN C=C(C)C[C@H](NC(=O)c1ncn[nH]1)C(=O)OCC ZINC000881753640 613359192 /nfs/dbraw/zinc/35/91/92/613359192.db2.gz VEZUYWIZMJUXLF-QMMMGPOBSA-N 0 1 252.274 0.432 20 30 CCEDMN C=C(C)C[C@H](NC(=O)c1nc[nH]n1)C(=O)OCC ZINC000881753640 613359193 /nfs/dbraw/zinc/35/91/93/613359193.db2.gz VEZUYWIZMJUXLF-QMMMGPOBSA-N 0 1 252.274 0.432 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N1CCOC[C@H]1c1nn[nH]n1)OCC ZINC000913495971 613360260 /nfs/dbraw/zinc/36/02/60/613360260.db2.gz QGQHCIJXYCIQAA-WDEREUQCSA-N 0 1 295.343 0.471 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC(NS(=O)(=O)CCC)CC1 ZINC000929274464 613360370 /nfs/dbraw/zinc/36/03/70/613360370.db2.gz XGFAFTYAMGZGBR-CYBMUJFWSA-N 0 1 290.429 0.717 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)[C@]1(C)C[C@@H](O)CN1C ZINC000907949736 613361959 /nfs/dbraw/zinc/36/19/59/613361959.db2.gz ZFYJRAYEPHCWFS-CXTNEJHOSA-N 0 1 282.384 0.539 20 30 CCEDMN C=C(CN(C)C)C(=O)NCCN=S1(=O)CCCC1 ZINC000912038531 613366432 /nfs/dbraw/zinc/36/64/32/613366432.db2.gz FJZOOUWWJYXTNY-UHFFFAOYSA-N 0 1 273.402 0.482 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC000968392492 613384960 /nfs/dbraw/zinc/38/49/60/613384960.db2.gz XXPFFVGJWSLNNN-GHMZBOCLSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC000968989573 613385088 /nfs/dbraw/zinc/38/50/88/613385088.db2.gz JFAVAEOAYBWMBX-GHMZBOCLSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(C)[C@H](C)CS(C)(=O)=O ZINC000876661765 613387209 /nfs/dbraw/zinc/38/72/09/613387209.db2.gz HDWWGPHFURVZOD-RKDXNWHRSA-N 0 1 282.793 0.348 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(C)[C@H](C)CS(C)(=O)=O ZINC000876661762 613389067 /nfs/dbraw/zinc/38/90/67/613389067.db2.gz HDWWGPHFURVZOD-BDAKNGLRSA-N 0 1 282.793 0.348 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)Cc1nnc[nH]1)C(=O)OCC ZINC000870035563 613390743 /nfs/dbraw/zinc/39/07/43/613390743.db2.gz RLTUAAFIPUYXTH-QMMMGPOBSA-N 0 1 286.719 0.538 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H]2CNC(=O)c2cnn[nH]2)C1 ZINC000950998338 613394123 /nfs/dbraw/zinc/39/41/23/613394123.db2.gz MMBRBORBNBNLFX-SNVBAGLBSA-N 0 1 289.339 0.492 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(CC(=O)NC)C[C@H]2C)C1 ZINC000942602667 613396825 /nfs/dbraw/zinc/39/68/25/613396825.db2.gz LZRXCSOVFLMIKM-CHWSQXEVSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000878708519 613397135 /nfs/dbraw/zinc/39/71/35/613397135.db2.gz QUXQASLLTWEXDD-RYUDHWBXSA-N 0 1 284.356 0.141 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CC[C@H](C2OCCO2)C1 ZINC000931999411 613398794 /nfs/dbraw/zinc/39/87/94/613398794.db2.gz QPNJEHGYFHWTQD-QWRGUYRKSA-N 0 1 255.314 0.799 20 30 CCEDMN C=CC[C@@H](C)NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000909412042 613400034 /nfs/dbraw/zinc/40/00/34/613400034.db2.gz XLAWUKJDAVACEF-MNOVXSKESA-N 0 1 254.330 0.864 20 30 CCEDMN C=C1CCC(C(=O)NCCN2CC[C@H](O)C2)CC1 ZINC000899155387 613408048 /nfs/dbraw/zinc/40/80/48/613408048.db2.gz ZMKJDYYOXKMZTE-ZDUSSCGKSA-N 0 1 252.358 0.916 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCC[C@@H](CC(N)=O)C1 ZINC000878158568 613410351 /nfs/dbraw/zinc/41/03/51/613410351.db2.gz JHJMWDVHIPQPDH-WDEREUQCSA-N 0 1 254.330 0.692 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000878527891 613412587 /nfs/dbraw/zinc/41/25/87/613412587.db2.gz HXKUZHCWHCYPBD-ZWNOBZJWSA-N 0 1 252.314 0.316 20 30 CCEDMN C=CC[C@H](CO)NC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000925160990 613417666 /nfs/dbraw/zinc/41/76/66/613417666.db2.gz YSDORMGWHRJEHM-FRRDWIJNSA-N 0 1 299.440 0.802 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(N(C)C(=O)Cc2c[nH]cn2)C1 ZINC000955443881 613428297 /nfs/dbraw/zinc/42/82/97/613428297.db2.gz MHRYZBAOBNVUMX-UHFFFAOYSA-N 0 1 290.367 0.834 20 30 CCEDMN C=CCn1cc(C(=O)NCc2cc(N(C)C)ccn2)nn1 ZINC000927696288 613429669 /nfs/dbraw/zinc/42/96/69/613429669.db2.gz VNGOUDLYEOPKDO-UHFFFAOYSA-N 0 1 286.339 0.855 20 30 CCEDMN C=CCn1cc(CN[C@H](C)C[C@H]2CCC(=O)N2)nn1 ZINC000926614926 613436214 /nfs/dbraw/zinc/43/62/14/613436214.db2.gz NHIAXTQUZXDCFQ-GHMZBOCLSA-N 0 1 263.345 0.611 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1CCNC[C@@H]1COC ZINC000911340562 613446969 /nfs/dbraw/zinc/44/69/69/613446969.db2.gz FDYROJUXMOIMIO-GFCCVEGCSA-N 0 1 297.399 0.152 20 30 CCEDMN C=CC(C)(C)CCNC(=O)NCc1n[nH]c(=O)n1C ZINC000889423752 613447531 /nfs/dbraw/zinc/44/75/31/613447531.db2.gz BKCCKLJSLMOKRI-UHFFFAOYSA-N 0 1 267.333 0.922 20 30 CCEDMN C=C[C@@H](O)C(=O)Nc1ccc(CN2CCN(C)CC2)cc1 ZINC000821901496 613453090 /nfs/dbraw/zinc/45/30/90/613453090.db2.gz GDUKQORDBIJJIL-OAHLLOKOSA-N 0 1 289.379 0.919 20 30 CCEDMN C=C[C@@H](O)C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC000854259175 613453094 /nfs/dbraw/zinc/45/30/94/613453094.db2.gz IHLNWHHQQVCGAP-CQSZACIVSA-N 0 1 275.352 0.924 20 30 CCEDMN C=CCCC[N@H+](CC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC000903712963 613466865 /nfs/dbraw/zinc/46/68/65/613466865.db2.gz WCZKMUJIJDIEAQ-LLVKDONJSA-N 0 1 254.330 0.960 20 30 CCEDMN C=CCCC[C@@H](NC(=O)Cc1cnc[nH]1)C(=O)OC ZINC000928314020 613470616 /nfs/dbraw/zinc/47/06/16/613470616.db2.gz NXEIJOCYQGSLQQ-LLVKDONJSA-N 0 1 265.313 0.966 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NS(=O)(=O)N=[S@@](C)(=O)CC ZINC000867351711 613472142 /nfs/dbraw/zinc/47/21/42/613472142.db2.gz GMMMKNIVAANCIK-GLSBUQGYSA-N 0 1 282.387 0.282 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC000938450496 613475774 /nfs/dbraw/zinc/47/57/74/613475774.db2.gz NKGNQURBNVBHQB-HZMBPMFUSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC000938450496 613475775 /nfs/dbraw/zinc/47/57/75/613475775.db2.gz NKGNQURBNVBHQB-HZMBPMFUSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCN1CC(N(CC)C(=O)c2[nH]nnc2C)C1 ZINC000951117220 613479264 /nfs/dbraw/zinc/47/92/64/613479264.db2.gz IPYBNGLPIHFTFV-UHFFFAOYSA-N 0 1 263.345 0.836 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCc1n[nH]c(C)n1 ZINC000889702728 613505738 /nfs/dbraw/zinc/50/57/38/613505738.db2.gz JEMVCCUPMOBORO-GFCCVEGCSA-N 0 1 252.318 0.958 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC000949655413 613508545 /nfs/dbraw/zinc/50/85/45/613508545.db2.gz LPUZCSUDSPQSLS-NEPJUHHUSA-N 0 1 265.357 0.218 20 30 CCEDMN C=CCCN1CCN(C(=O)c2c[nH]c(=O)cn2)CC1 ZINC000949908768 613509677 /nfs/dbraw/zinc/50/96/77/613509677.db2.gz OHZHFZZEWPHGDN-UHFFFAOYSA-N 0 1 262.313 0.104 20 30 CCEDMN CC#CCN(C)C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000911702629 613523664 /nfs/dbraw/zinc/52/36/64/613523664.db2.gz GCZDKSRIXCVFLN-LLVKDONJSA-N 0 1 252.314 0.265 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2c(C)ncn2C)C1 ZINC000969895857 613532230 /nfs/dbraw/zinc/53/22/30/613532230.db2.gz COHFNVWMQSIHAK-LLVKDONJSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(C#N)[nH]2)C1 ZINC000969506073 613533313 /nfs/dbraw/zinc/53/33/13/613533313.db2.gz LXMPCINOHJULRY-LLVKDONJSA-N 0 1 270.336 0.960 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC000970411911 613536055 /nfs/dbraw/zinc/53/60/55/613536055.db2.gz LIZJQGSUUADKDU-JSGCOSHPSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C1 ZINC000969888587 613536174 /nfs/dbraw/zinc/53/61/74/613536174.db2.gz QRGQGGPUMARCBU-IACUBPJLSA-N 0 1 286.379 0.973 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000970835863 613538103 /nfs/dbraw/zinc/53/81/03/613538103.db2.gz LEQLHMOCLLPBRJ-NSHDSACASA-N 0 1 273.336 0.861 20 30 CCEDMN C=CCCOCCNC(=O)NC[C@@H]1CCN1CC ZINC000883537562 613575003 /nfs/dbraw/zinc/57/50/03/613575003.db2.gz WEGWJUWAVDISKH-LBPRGKRZSA-N 0 1 255.362 0.973 20 30 CCEDMN C=CCCO[N-]C(=O)C[N@@H+]1CCC[C@H](C(=O)OCC)C1 ZINC000912628395 613576549 /nfs/dbraw/zinc/57/65/49/613576549.db2.gz AMUQGAXTYLXNKH-LBPRGKRZSA-N 0 1 284.356 0.885 20 30 CCEDMN C=CCCO[C@H](C)C(=O)C(C#N)C(=O)NC1CC1 ZINC000119616431 613580065 /nfs/dbraw/zinc/58/00/65/613580065.db2.gz JMHBYAIYYXIJIW-MWLCHTKSSA-N 0 1 250.298 0.955 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@H]2[C@@H]1CCCN2CCO ZINC000908006022 613581250 /nfs/dbraw/zinc/58/12/50/613581250.db2.gz DPSKSZVEEGPHHP-STQMWFEESA-N 0 1 288.413 0.423 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CCO[C@@H]([C@H](C)NC(=O)[O-])C1 ZINC000823496297 613591017 /nfs/dbraw/zinc/59/10/17/613591017.db2.gz HLYBNJNPARSGEK-SDDRHHMPSA-N 0 1 272.345 0.670 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CCO[C@@H]([C@H](C)NC(=O)[O-])C1 ZINC000823496297 613591018 /nfs/dbraw/zinc/59/10/18/613591018.db2.gz HLYBNJNPARSGEK-SDDRHHMPSA-N 0 1 272.345 0.670 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1C[C@H](C(=O)N(C)C)CC[C@H]1C(=O)[O-] ZINC000923264464 613591238 /nfs/dbraw/zinc/59/12/38/613591238.db2.gz IJGKTJHBVPEYJZ-AGIUHOORSA-N 0 1 298.383 0.567 20 30 CCEDMN C=CCCn1cc(C(=O)N2CCC[C@H]2c2nnc[nH]2)nn1 ZINC000899108140 613593471 /nfs/dbraw/zinc/59/34/71/613593471.db2.gz ZYTJCWBIQTZMOS-NSHDSACASA-N 0 1 287.327 0.950 20 30 CCEDMN C=CCCn1cc(CNC2CC(CC(N)=O)C2)nn1 ZINC000922515493 613599302 /nfs/dbraw/zinc/59/93/02/613599302.db2.gz AIENJKCONBOPDJ-UHFFFAOYSA-N 0 1 263.345 0.598 20 30 CCEDMN C=CCCn1cc(CN[C@@H](CO)C[C@@H]2CCCO2)nn1 ZINC000886220038 613599400 /nfs/dbraw/zinc/59/94/00/613599400.db2.gz BERWPWPFTMSTIB-OCCSQVGLSA-N 0 1 280.372 0.874 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnnn2C)C(C)(C)C1 ZINC000940719023 613605626 /nfs/dbraw/zinc/60/56/26/613605626.db2.gz UXJDIKYZLDFQLT-ZDUSSCGKSA-N 0 1 289.383 0.669 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnon2)[C@@H](C)C1 ZINC000943725310 613606312 /nfs/dbraw/zinc/60/63/12/613606312.db2.gz AJPFSXOOKDOQIJ-QWRGUYRKSA-N 0 1 262.313 0.533 20 30 CCEDMN C=CCN(C(=O)NCc1n[nH]c(=O)n1C)[C@@H](C)COC ZINC000889572982 613606400 /nfs/dbraw/zinc/60/64/00/613606400.db2.gz XMCSUPPQGCUWBX-VIFPVBQESA-N 0 1 283.332 0.253 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CC(F)(F)C2)C1 ZINC000957948577 613612180 /nfs/dbraw/zinc/61/21/80/613612180.db2.gz RCNRNEMAFYODSW-NWDGAFQWSA-N 0 1 286.322 0.464 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccnc2)C1 ZINC000957811438 613612937 /nfs/dbraw/zinc/61/29/37/613612937.db2.gz FYAFNIWFBFLUHZ-UONOGXRCSA-N 0 1 273.336 0.127 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)C2CC(F)(F)C2)[C@H](O)C1 ZINC000957948580 613614359 /nfs/dbraw/zinc/61/43/59/613614359.db2.gz RCNRNEMAFYODSW-VXGBXAGGSA-N 0 1 286.322 0.464 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C[C@H]2COC(=O)C2)C(C)(C)C1 ZINC000973038410 613621607 /nfs/dbraw/zinc/62/16/07/613621607.db2.gz NQZYZXCUWAMYPL-OLZOCXBDSA-N 0 1 292.379 0.790 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@]23C[C@H]2COC3)C(C)(C)C1 ZINC000974611932 613622164 /nfs/dbraw/zinc/62/21/64/613622164.db2.gz XOYQQNDJDKJIRS-XEZPLFJOSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974708560 613622273 /nfs/dbraw/zinc/62/22/73/613622273.db2.gz PKIRIDFKCDOVOO-OLZOCXBDSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1=CCOCC1 ZINC000961696133 613633284 /nfs/dbraw/zinc/63/32/84/613633284.db2.gz JKMYHEHWUSGLKI-FOLVSLTJSA-N 0 1 274.364 0.793 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCCOC1 ZINC000962612915 613634009 /nfs/dbraw/zinc/63/40/09/613634009.db2.gz CNQPJTPFXDKNAU-TTZDDIAXSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)c1cncnc1 ZINC000961587877 613635208 /nfs/dbraw/zinc/63/52/08/613635208.db2.gz LJGOFEGFPFCRNT-SPWCGHHHSA-N 0 1 284.363 0.650 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC000962287466 613637750 /nfs/dbraw/zinc/63/77/50/613637750.db2.gz NCPDHHKIMCJQIP-YYWXWVFPSA-N 0 1 298.390 0.584 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]cnc1C ZINC000963106174 613638451 /nfs/dbraw/zinc/63/84/51/613638451.db2.gz CEKZMRDSHFIBAL-PJXYFTJBSA-N 0 1 258.325 0.401 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(CC)n1 ZINC000961937730 613641422 /nfs/dbraw/zinc/64/14/22/613641422.db2.gz ANTIKPHVCPFZII-IMRBUKKESA-N 0 1 272.352 0.586 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@@H]1C[N@@H+](C2CC2)C[C@@H]1C ZINC000909141210 613643206 /nfs/dbraw/zinc/64/32/06/613643206.db2.gz CPOPPHXPMWFSES-CMPLNLGQSA-N 0 1 281.356 0.751 20 30 CCEDMN C=CC[N@H+](CC(=O)[O-])C[C@@H](O)COCc1ccco1 ZINC000846264513 613643624 /nfs/dbraw/zinc/64/36/24/613643624.db2.gz GLBGFQHPSLIWSB-LLVKDONJSA-N 0 1 269.297 0.730 20 30 CCEDMN C=CC[N@@H+](CC(=O)[O-])C[C@@H](O)COCc1ccco1 ZINC000846264513 613643625 /nfs/dbraw/zinc/64/36/25/613643625.db2.gz GLBGFQHPSLIWSB-LLVKDONJSA-N 0 1 269.297 0.730 20 30 CCEDMN CC(=NNc1ccc(-n2cccn2)nn1)c1cnnn1C ZINC000814806564 613657049 /nfs/dbraw/zinc/65/70/49/613657049.db2.gz GLWKJONAZHHOQZ-UHFFFAOYSA-N 0 1 283.299 0.627 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC000969984398 613661906 /nfs/dbraw/zinc/66/19/06/613661906.db2.gz JPORCIPROXEIDS-VXGBXAGGSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC000970932965 613662216 /nfs/dbraw/zinc/66/22/16/613662216.db2.gz XPHYAOAHYWOCGZ-VXGBXAGGSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2COCCN2CC)C1 ZINC000970001727 613663415 /nfs/dbraw/zinc/66/34/15/613663415.db2.gz RQUGKTCFCSZMKA-TZMCWYRMSA-N 0 1 281.400 0.330 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cn3cccnc3n2)C1 ZINC000970046972 613667142 /nfs/dbraw/zinc/66/71/42/613667142.db2.gz FAFCVZCUMCFKCD-LLVKDONJSA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ncc(OC)cn2)C1 ZINC000970118170 613667628 /nfs/dbraw/zinc/66/76/28/613667628.db2.gz VMIMDCJEQBNTIN-SNVBAGLBSA-N 0 1 276.340 0.721 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccnc(OC)n2)C1 ZINC000970128400 613672859 /nfs/dbraw/zinc/67/28/59/613672859.db2.gz XPBSYUPOPWGRHZ-JTQLQIEISA-N 0 1 276.340 0.721 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2nonc2C)CC1 ZINC000983466183 613690749 /nfs/dbraw/zinc/69/07/49/613690749.db2.gz INLOCPRIEBRDPH-UHFFFAOYSA-N 0 1 264.329 0.641 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cccc(=O)n2C)CC1 ZINC000981926463 613697284 /nfs/dbraw/zinc/69/72/84/613697284.db2.gz IATKKSMODOSJEQ-UHFFFAOYSA-N 0 1 275.352 0.719 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cn2nccn2)C1 ZINC000966385419 613733760 /nfs/dbraw/zinc/73/37/60/613733760.db2.gz JCESEIIHSBTZGE-VXGBXAGGSA-N 0 1 263.345 0.291 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC000966750408 613734462 /nfs/dbraw/zinc/73/44/62/613734462.db2.gz HUMMOULICMDAKH-DGCLKSJQSA-N 0 1 290.367 0.902 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cc[nH]n2)C1 ZINC000965763116 613766995 /nfs/dbraw/zinc/76/69/95/613766995.db2.gz MIIRRNCUYGEBHQ-AAEUAGOBSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C2=COCCO2)C(C)(C)C1 ZINC000972770915 613826441 /nfs/dbraw/zinc/82/64/41/613826441.db2.gz AYWSXOHUSXJQRN-LBPRGKRZSA-N 0 1 266.341 0.887 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2COCCN2CC)C(C)(C)C1 ZINC000974758583 613828227 /nfs/dbraw/zinc/82/82/27/613828227.db2.gz NDOWZNWAYVCQBW-KGLIPLIRSA-N 0 1 295.427 0.720 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C(C)(C)C1 ZINC000975009344 613829568 /nfs/dbraw/zinc/82/95/68/613829568.db2.gz HNDJFAKLKPWGEG-NSHDSACASA-N 0 1 278.356 0.752 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CCC[C@@H](C2OCCO2)C1 ZINC000110853941 613845254 /nfs/dbraw/zinc/84/52/54/613845254.db2.gz JUMOQHJTIKXUBT-LLVKDONJSA-N 0 1 254.330 0.374 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](C2OCCO2)C1 ZINC000110853941 613845255 /nfs/dbraw/zinc/84/52/55/613845255.db2.gz JUMOQHJTIKXUBT-LLVKDONJSA-N 0 1 254.330 0.374 20 30 CCEDMN C=CCNC(=O)CN1CCN(Cc2n[nH]c(C)c2C)CC1 ZINC000893914715 613845607 /nfs/dbraw/zinc/84/56/07/613845607.db2.gz MBFYYVXELHWECH-UHFFFAOYSA-N 0 1 291.399 0.446 20 30 CCEDMN C=CCNC(=O)CN[C@@H]1C(=O)NCC1(CC)CC ZINC000933294258 613847337 /nfs/dbraw/zinc/84/73/37/613847337.db2.gz SPENAVJKRHBWAX-LLVKDONJSA-N 0 1 253.346 0.183 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@H](OCC)C1 ZINC000932977537 613851168 /nfs/dbraw/zinc/85/11/68/613851168.db2.gz UVWDMFQDBVYJIQ-MNOVXSKESA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N[C@H](C)c1ccnn1C ZINC000871927924 613852001 /nfs/dbraw/zinc/85/20/01/613852001.db2.gz SIZYAOZUHYXQJH-ZJUUUORDSA-N 0 1 279.344 0.471 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(CCNC(=O)O2)CC1 ZINC000932766147 613853312 /nfs/dbraw/zinc/85/33/12/613853312.db2.gz AHMVOMQUJMJAPE-LLVKDONJSA-N 0 1 281.356 0.642 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CC1)OCCCO2 ZINC000815156228 613855057 /nfs/dbraw/zinc/85/50/57/613855057.db2.gz XWKLYTVQUAJMLC-LBPRGKRZSA-N 0 1 268.357 0.906 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823507355 613855180 /nfs/dbraw/zinc/85/51/80/613855180.db2.gz WCQUICGIEAIWPS-UWVGGRQHSA-N 0 1 255.318 0.361 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823507355 613855181 /nfs/dbraw/zinc/85/51/81/613855181.db2.gz WCQUICGIEAIWPS-UWVGGRQHSA-N 0 1 255.318 0.361 20 30 CCEDMN C=CCNC(=O)[C@H](C)OC(=O)c1[nH]nc2c1CCC2 ZINC000919333125 613856077 /nfs/dbraw/zinc/85/60/77/613856077.db2.gz NYRZNIXGDVGOGM-QMMMGPOBSA-N 0 1 263.297 0.746 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N([C@H]2CCC[N@H+](C)C2)C1=O ZINC000925301188 613883026 /nfs/dbraw/zinc/88/30/26/613883026.db2.gz ZQLFIYRAQPQEHW-WDEREUQCSA-N 0 1 267.329 0.204 20 30 CCEDMN CC(C)Oc1cncc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834479913 614058265 /nfs/dbraw/zinc/05/82/65/614058265.db2.gz JJHUDBRTZBOIQG-YPMHNXCESA-N 0 1 274.324 0.710 20 30 CCEDMN CC(=O)Nc1ccc(CC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834510621 614200496 /nfs/dbraw/zinc/20/04/96/614200496.db2.gz DLBFRFHURHLVIE-OCCSQVGLSA-N 0 1 286.335 0.415 20 30 CCEDMN CC(=O)Nc1ccccc1CC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834500695 614206591 /nfs/dbraw/zinc/20/65/91/614206591.db2.gz WILCATDLSZNFPA-GXTWGEPZSA-N 0 1 286.335 0.415 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N2CC[C@@](F)(C#N)C2)cc1=O ZINC000935572647 614235590 /nfs/dbraw/zinc/23/55/90/614235590.db2.gz ZJIYTIVXXPUEKX-CQSZACIVSA-N 0 1 294.330 0.839 20 30 CCEDMN CC(C)Cn1cc(NC(=O)N[C@H]2CNC[C@@H]2C#N)cn1 ZINC000841129293 614238948 /nfs/dbraw/zinc/23/89/48/614238948.db2.gz FUGMGKIPWIZODQ-JQWIXIFHSA-N 0 1 276.344 0.772 20 30 CCEDMN CC(=O)c1c(C)[nH]c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1C ZINC000834505081 614244771 /nfs/dbraw/zinc/24/47/71/614244771.db2.gz HSRSWCSCIOSQLM-QWRGUYRKSA-N 0 1 274.324 0.676 20 30 CCEDMN CC(=O)c1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1O ZINC000834498622 614252606 /nfs/dbraw/zinc/25/26/06/614252606.db2.gz AVEXSDFAAVISOH-JQWIXIFHSA-N 0 1 273.292 0.436 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828431677 614286034 /nfs/dbraw/zinc/28/60/34/614286034.db2.gz JXALURMUTFNZLW-SUNKGSAMSA-N 0 1 281.356 0.693 20 30 CCEDMN CC(C)(C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21)c1cnc[nH]1 ZINC000962312271 614304197 /nfs/dbraw/zinc/30/41/97/614304197.db2.gz DELKEEKAYGSLLK-IAZYJMLFSA-N 0 1 273.340 0.257 20 30 CCEDMN CC(C)C#CC(=O)N1CCN([C@H]2CCNC(=O)CC2)CC1 ZINC000854883411 614326099 /nfs/dbraw/zinc/32/60/99/614326099.db2.gz BNSKPDQYSNUNHU-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN CC(C)(C)C(=O)NCCCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834498591 614343165 /nfs/dbraw/zinc/34/31/65/614343165.db2.gz AFIVHPASGZJBJU-QWRGUYRKSA-N 0 1 280.372 0.157 20 30 CCEDMN CCCn1nccc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC000853266451 614551957 /nfs/dbraw/zinc/55/19/57/614551957.db2.gz FVPVFSATSOUYNY-UHFFFAOYSA-N 0 1 278.341 0.724 20 30 CCEDMN CCCN(CCO[C@@H](C)C#N)[C@H]1CC(=O)N(C)C1=O ZINC000801955932 614582979 /nfs/dbraw/zinc/58/29/79/614582979.db2.gz XZFPGNXUKRXXKN-QWRGUYRKSA-N 0 1 267.329 0.384 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@@H]1NC(=O)Cc1nnc[nH]1 ZINC000941155782 614603702 /nfs/dbraw/zinc/60/37/02/614603702.db2.gz VYDHQTCFJKYAEB-JTQLQIEISA-N 0 1 276.344 0.087 20 30 CCEDMN CCC1(CC)CNC(=O)[C@H]1NCC(=O)NC1(C#N)CCC1 ZINC000933286568 614687625 /nfs/dbraw/zinc/68/76/25/614687625.db2.gz POQMPLRCYASJJH-GFCCVEGCSA-N 0 1 292.383 0.443 20 30 CCEDMN CCN(C(=O)c1ccn[nH]1)C1CN(C(=O)C#CC2CC2)C1 ZINC000967795868 614722210 /nfs/dbraw/zinc/72/22/10/614722210.db2.gz JLBXBOWEUSFTIS-UHFFFAOYSA-N 0 1 286.335 0.496 20 30 CCEDMN CCCC(=O)N1CCC[C@@H]1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000843463194 614760362 /nfs/dbraw/zinc/76/03/62/614760362.db2.gz VJRABGHDOYXLCM-SDDRHHMPSA-N 0 1 278.356 0.005 20 30 CCEDMN CCN(C(=O)c1cnc(C)[nH]1)C1CN(C(=O)[C@H](C)C#N)C1 ZINC000967840937 614764336 /nfs/dbraw/zinc/76/43/36/614764336.db2.gz UFNOHZZNYGIFIJ-SECBINFHSA-N 0 1 289.339 0.551 20 30 CCEDMN CCCCCCNC(=O)[C@@H](C#N)C(=O)CS(C)(=O)=O ZINC000920107435 614912648 /nfs/dbraw/zinc/91/26/48/614912648.db2.gz YQFNIPXJVIWMNG-JTQLQIEISA-N 0 1 288.369 0.436 20 30 CCEDMN CC1=NO[C@](C)(C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000904070393 615009643 /nfs/dbraw/zinc/00/96/43/615009643.db2.gz XUGYGNPTROBAMD-LBPRGKRZSA-N 0 1 264.329 0.645 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H]2CN(C(C)=O)C[C@@H]2C1 ZINC000821328253 615021976 /nfs/dbraw/zinc/02/19/76/615021976.db2.gz GGLCNOBLZFXDAS-OKILXGFUSA-N 0 1 292.383 0.159 20 30 CCEDMN CCN(CCO)N=Cc1cc(OC)c(O)c([N+](=O)[O-])c1 ZINC000842534556 615055170 /nfs/dbraw/zinc/05/51/70/615055170.db2.gz RYNUARYYSPWSMC-UHFFFAOYSA-N 0 1 283.284 0.957 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1[C@@H](C)CC[C@H]1CO ZINC000880439181 615061411 /nfs/dbraw/zinc/06/14/11/615061411.db2.gz OUWHQLSKJFLHPN-AVGNSLFASA-N 0 1 267.373 0.840 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@H]1COCC[C@H]1OC ZINC000934042148 615064696 /nfs/dbraw/zinc/06/46/96/615064696.db2.gz UVKPJXGCECBYGH-JHJVBQTASA-N 0 1 283.372 0.388 20 30 CCEDMN CCOC(=O)CCCCn1cnc(-c2nn[nH]n2)c1C#N ZINC000825505974 615172455 /nfs/dbraw/zinc/17/24/55/615172455.db2.gz ANTUGPFJAYTLAM-UHFFFAOYSA-N 0 1 289.299 0.668 20 30 CCEDMN CCOC1CC(CC(=O)NCC#CCN(C)C)C1 ZINC000913520845 615213996 /nfs/dbraw/zinc/21/39/96/615213996.db2.gz AJCHAVZTQWNFOB-UHFFFAOYSA-N 0 1 252.358 0.873 20 30 CCEDMN CCOCCCCCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000870940394 615239720 /nfs/dbraw/zinc/23/97/20/615239720.db2.gz IQCDFNHGZZDGJU-NWDGAFQWSA-N 0 1 253.346 0.811 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)[C@H](O)c1ccc(C#N)cc1 ZINC000922157845 615324144 /nfs/dbraw/zinc/32/41/44/615324144.db2.gz FDSQZCCXEDBFIJ-UONOGXRCSA-N 0 1 273.336 0.802 20 30 CCEDMN CCO[C@@H](C(=O)C(C#N)C(=O)NCCCOC)C1CC1 ZINC000860462380 615398798 /nfs/dbraw/zinc/39/87/98/615398798.db2.gz UGTDTMSLZJAVLR-WCQYABFASA-N 0 1 282.340 0.663 20 30 CCEDMN CC[C@@H]1C[C@H](C(=O)NCC#CCN(C)C)CCO1 ZINC000913520196 615571109 /nfs/dbraw/zinc/57/11/09/615571109.db2.gz HYHYJGMXFIOZIE-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN CCc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc(=O)[nH]1 ZINC000834485529 615630842 /nfs/dbraw/zinc/63/08/42/615630842.db2.gz XYWNOEMTAZHUBP-GXSJLCMTSA-N 0 1 260.297 0.191 20 30 CCEDMN CCc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)cc(=O)[nH]1 ZINC000834485530 615634846 /nfs/dbraw/zinc/63/48/46/615634846.db2.gz XYWNOEMTAZHUBP-KOLCDFICSA-N 0 1 260.297 0.191 20 30 CCEDMN CCn1nc(C)c(C([O-])=C(C#N)C(=O)Nc2ccccn2)n1 ZINC000815915419 615650801 /nfs/dbraw/zinc/65/08/01/615650801.db2.gz OCZSPURSMCVVGB-SNVBAGLBSA-N 0 1 298.306 0.963 20 30 CCEDMN CCn1nc(C)c(C(=O)C(C#N)C(=O)Nc2ccccn2)n1 ZINC000815915419 615650803 /nfs/dbraw/zinc/65/08/03/615650803.db2.gz OCZSPURSMCVVGB-SNVBAGLBSA-N 0 1 298.306 0.963 20 30 CCEDMN CCc1csc(CC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000843459739 615717387 /nfs/dbraw/zinc/71/73/87/615717387.db2.gz HSRAJPYYMPYPNK-SCZZXKLOSA-N 0 1 264.354 0.476 20 30 CCEDMN CCc1csc(NC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000841132168 615717838 /nfs/dbraw/zinc/71/78/38/615717838.db2.gz UYXJFSVOPCCHOP-VXNVDRBHSA-N 0 1 265.342 0.939 20 30 CCEDMN CN(C(=O)C1CN([C@@H]2CCOC2)C1)[C@H]1CCC[C@@H]1C#N ZINC000864078022 615805168 /nfs/dbraw/zinc/80/51/68/615805168.db2.gz KNSXDNLCSUQKDU-BNOWGMLFSA-N 0 1 277.368 0.858 20 30 CCEDMN CCc1n[nH]c(=O)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1CC ZINC000834479816 615817158 /nfs/dbraw/zinc/81/71/58/615817158.db2.gz ITOIGBXCKXAIJO-GZMMTYOYSA-N 0 1 289.339 0.148 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C/c1ccc(C(N)=O)o1 ZINC000913524298 615857215 /nfs/dbraw/zinc/85/72/15/615857215.db2.gz HHMIUORXGUDVJO-SOFGYWHQSA-N 0 1 275.308 0.073 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1c[nH]c2ncccc12 ZINC000913519527 615858426 /nfs/dbraw/zinc/85/84/26/615858426.db2.gz DHDNDMSESZSFRB-UHFFFAOYSA-N 0 1 270.336 0.787 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1ncc2ccccc21 ZINC000913518300 615858796 /nfs/dbraw/zinc/85/87/96/615858796.db2.gz LWQNIHIISYRJOV-UHFFFAOYSA-N 0 1 270.336 0.718 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1ncc2cccnc21 ZINC000913524931 615858836 /nfs/dbraw/zinc/85/88/36/615858836.db2.gz CKGGJROYGAZDSY-UHFFFAOYSA-N 0 1 271.324 0.113 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCCN1c1nccs1 ZINC000913518404 615859981 /nfs/dbraw/zinc/85/99/81/615859981.db2.gz NTFCBBAETRZQDI-GFCCVEGCSA-N 0 1 292.408 0.793 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CC(c2ccccn2)=NO1 ZINC000913524328 615860312 /nfs/dbraw/zinc/86/03/12/615860312.db2.gz IKQSCJRKWDQWFS-CQSZACIVSA-N 0 1 286.335 0.256 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(-c2ncn(C)n2)cc1 ZINC000913521201 615861010 /nfs/dbraw/zinc/86/10/10/615861010.db2.gz ONRLXCKKPQUSAY-UHFFFAOYSA-N 0 1 297.362 0.777 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccnc1-c1cnn(C)c1 ZINC000913522296 615861550 /nfs/dbraw/zinc/86/15/50/615861550.db2.gz CSSJRKSOAYCFLB-UHFFFAOYSA-N 0 1 297.362 0.777 20 30 CCEDMN CCc1onc(C)c1CNCCn1cnc(C#N)n1 ZINC000894419652 615891728 /nfs/dbraw/zinc/89/17/28/615891728.db2.gz IBOYQIGUDQRKTN-UHFFFAOYSA-N 0 1 260.301 0.798 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CC[C@@H]2[C@H]1CCCN2CCO ZINC000889756776 615941927 /nfs/dbraw/zinc/94/19/27/615941927.db2.gz QFTMKSSFWNUDNL-UMVBOHGHSA-N 0 1 279.384 0.984 20 30 CCEDMN CN(CC#N)C(=O)C1CCC(N2CCOCC2)CC1 ZINC000900452041 616039754 /nfs/dbraw/zinc/03/97/54/616039754.db2.gz KRGZEVDBTHFCCI-UHFFFAOYSA-N 0 1 265.357 0.859 20 30 CCEDMN CN(CC(=O)N1CCOCC1)C[C@H](O)CC1(C#N)CCC1 ZINC000885946263 616044720 /nfs/dbraw/zinc/04/47/20/616044720.db2.gz HAGUJWBTYDIISM-CYBMUJFWSA-N 0 1 295.383 0.222 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)[C@]1(C)C[C@@H](O)CN1C ZINC000908401649 616050977 /nfs/dbraw/zinc/05/09/77/616050977.db2.gz VUXWQMGGWIYQHM-MFKMUULPSA-N 0 1 253.346 0.450 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000820580153 616067361 /nfs/dbraw/zinc/06/73/61/616067361.db2.gz VIXUOHWZUBKIEN-SNVBAGLBSA-N 0 1 253.302 0.298 20 30 CCEDMN CN1c2ccc(C(=O)N[C@@H]3CNC[C@H]3C#N)cc2CCC1=O ZINC000834485408 616133851 /nfs/dbraw/zinc/13/38/51/616133851.db2.gz WHKFQYGGOUVKIX-CHWSQXEVSA-N 0 1 298.346 0.437 20 30 CCEDMN CN1C(=O)CS/C1=C/C(=O)NC1(C#N)CCN(C)CC1 ZINC000874438106 616165479 /nfs/dbraw/zinc/16/54/79/616165479.db2.gz HHADSNLMIYTELT-KPKJPENVSA-N 0 1 294.380 0.137 20 30 CCEDMN CN1CCC(C#N)(NC(=O)COC(F)(F)F)CC1 ZINC000932178630 616165997 /nfs/dbraw/zinc/16/59/97/616165997.db2.gz OVLMNGLYQRLXRK-UHFFFAOYSA-N 0 1 265.235 0.627 20 30 CCEDMN CN1CCC[C@@H](NC(=O)N2CCO[C@@](C)(C#N)C2)C1 ZINC000892241664 616189726 /nfs/dbraw/zinc/18/97/26/616189726.db2.gz XEIFXTAWHQZHRE-YPMHNXCESA-N 0 1 266.345 0.405 20 30 CCEDMN CN1CCN(C[C@H](O)CC2(C#N)CCC2)CCC1=O ZINC000886279345 616243542 /nfs/dbraw/zinc/24/35/42/616243542.db2.gz WOQRZIHFUICLMR-GFCCVEGCSA-N 0 1 265.357 0.595 20 30 CCEDMN C[NH+]1CCN(N=Cc2ccc(C(=O)[O-])c(O)c2)CC1 ZINC000901123263 616246212 /nfs/dbraw/zinc/24/62/12/616246212.db2.gz LIPWYOCVSLNZKA-UHFFFAOYSA-N 0 1 263.297 0.672 20 30 CCEDMN CNc1snc(C)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834499427 616279595 /nfs/dbraw/zinc/27/95/95/616279595.db2.gz IIDQAYLEVJRGIA-HTQZYQBOSA-N 0 1 265.342 0.335 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCCc1ccc(C#N)cc1 ZINC000912292273 616319041 /nfs/dbraw/zinc/31/90/41/616319041.db2.gz KOLLDZDCGIYTGA-HOCLYGCPSA-N 0 1 287.363 0.672 20 30 CCEDMN COCCN1CCCN(C(=O)[C@]2(C#N)CCCOC2)CC1 ZINC000865009902 616338585 /nfs/dbraw/zinc/33/85/85/616338585.db2.gz JDYFAHKLISNFBW-HNNXBMFYSA-N 0 1 295.383 0.487 20 30 CCEDMN COCCN1CCN(C[C@H](O)CC2(C#N)CCC2)CC1 ZINC000885948694 616372818 /nfs/dbraw/zinc/37/28/18/616372818.db2.gz CCASMFBUUSUQFY-CQSZACIVSA-N 0 1 281.400 0.695 20 30 CCEDMN COCCN1CCN(c2ccc(C#N)cc2CO)CC1 ZINC000891624933 616375262 /nfs/dbraw/zinc/37/52/62/616375262.db2.gz HRIVUGRHAMBTOD-UHFFFAOYSA-N 0 1 275.352 0.819 20 30 CCEDMN COC(=O)C1=NO[C@]2(CCN(CCCC#N)C2)C1 ZINC000879481030 616439233 /nfs/dbraw/zinc/43/92/33/616439233.db2.gz SJBBUPDKIMRPIP-GFCCVEGCSA-N 0 1 251.286 0.684 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCc1ccc(C#N)c(F)c1 ZINC000909390527 616500496 /nfs/dbraw/zinc/50/04/96/616500496.db2.gz HXSIXCKAGJJXOY-IUODEOHRSA-N 0 1 291.326 0.769 20 30 CCEDMN COC1CCN(CCNC(=O)c2coc(C#N)c2)CC1 ZINC000866416382 616523645 /nfs/dbraw/zinc/52/36/45/616523645.db2.gz UNBLONNAPVNFGR-UHFFFAOYSA-N 0 1 277.324 0.992 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000900770396 616587443 /nfs/dbraw/zinc/58/74/43/616587443.db2.gz DDFMJAOUKSNKAP-NOZJJQNGSA-N 0 1 290.323 0.601 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cc(F)cc(F)c1O ZINC000933219566 616587977 /nfs/dbraw/zinc/58/79/77/616587977.db2.gz SQZHXSGPODCARO-UHFFFAOYSA-N 0 1 284.218 0.809 20 30 CCEDMN COC(=O)C[C@@H]1CN([C@H](C)C(=O)N(C)CCC#N)C[C@H]1C ZINC000932110974 616667586 /nfs/dbraw/zinc/66/75/86/616667586.db2.gz KFKGDEILBGDBGT-JHJVBQTASA-N 0 1 295.383 0.878 20 30 CCEDMN CO[C@@H]1CC[C@H]1N(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000934129873 616712991 /nfs/dbraw/zinc/71/29/91/616712991.db2.gz VGGNGJQSUGYTCY-BZPMIXESSA-N 0 1 265.357 0.904 20 30 CCEDMN CNC(=O)NC[C@H]1CCCCN1CCO[C@@H](C)C#N ZINC000879337996 616758753 /nfs/dbraw/zinc/75/87/53/616758753.db2.gz KMEVHYZTWUJYTF-NWDGAFQWSA-N 0 1 268.361 0.699 20 30 CCEDMN COCC1(CC(=O)C(C#N)C(=O)NC2CC2)CC1 ZINC000842685230 616762337 /nfs/dbraw/zinc/76/23/37/616762337.db2.gz RUUPYXRMXDRZRD-SNVBAGLBSA-N 0 1 250.298 0.791 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC000981669519 616782764 /nfs/dbraw/zinc/78/27/64/616782764.db2.gz OCKLPPMHJYTWIW-VXGBXAGGSA-N 0 1 292.383 0.205 20 30 CCEDMN COC(=O)N1CCC(C(=O)C(C#N)C(=O)NC(C)C)CC1 ZINC000850760619 616819648 /nfs/dbraw/zinc/81/96/48/616819648.db2.gz AWCROZCINLJOKT-LLVKDONJSA-N 0 1 295.339 0.698 20 30 CCEDMN CO[C@@](C)(C(=O)NCC#CCN(C)C)C(=O)OC(C)(C)C ZINC000913523712 616897834 /nfs/dbraw/zinc/89/78/34/616897834.db2.gz JPUMSNJNJUKWBJ-HNNXBMFYSA-N 0 1 298.383 0.414 20 30 CCEDMN CO[C@H](C(=O)N[C@H]1CNC[C@H]1C#N)C1CCCC1 ZINC000852827350 616987871 /nfs/dbraw/zinc/98/78/71/616987871.db2.gz GZSBBVHJKVSTAH-WOPDTQHZSA-N 0 1 251.330 0.419 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC1CCCC1)[C@@H]1CCOC1 ZINC000850768090 617006302 /nfs/dbraw/zinc/00/63/02/617006302.db2.gz LXIBJUVHHBNRAY-QKCSRTOESA-N 0 1 294.351 0.806 20 30 CCEDMN CON(C)C(=O)[C@@H](C)NC[C@H](O)c1ccc(C#N)cc1 ZINC000863586574 617054395 /nfs/dbraw/zinc/05/43/95/617054395.db2.gz IGLVDASUHTZDBA-MFKMUULPSA-N 0 1 277.324 0.590 20 30 CCEDMN CNc1nc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cs1 ZINC000884249408 617071191 /nfs/dbraw/zinc/07/11/91/617071191.db2.gz LAOQZSPHQZJPDF-NKWVEPMBSA-N 0 1 251.315 0.026 20 30 CCEDMN CON=C(C(=O)N1CCCN(C)CC1)c1csc(N)n1 ZINC000873748128 617102420 /nfs/dbraw/zinc/10/24/20/617102420.db2.gz SLRLJDIXEWGPJX-UHFFFAOYSA-N 0 1 297.384 0.240 20 30 CCEDMN CON=CC(=O)Nc1cc(N2CCN(C)CC2)cc[nH+]1 ZINC000870667320 617106230 /nfs/dbraw/zinc/10/62/30/617106230.db2.gz VQQJMVMFYJQPKN-UHFFFAOYSA-N 0 1 277.328 0.404 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@]1(C)CCO[C@@H]1C ZINC001025922439 625304869 /nfs/dbraw/zinc/30/48/69/625304869.db2.gz SLXRBNGQVVADBY-BIGJJFBESA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CCC(OC)CC1 ZINC001025990830 625317683 /nfs/dbraw/zinc/31/76/83/625317683.db2.gz NHYQTXCDDKOHCP-QSCRHYGLSA-N 0 1 276.380 0.871 20 30 CCEDMN CN(Cc1nnc[nH]1)C[C@H](O)c1ccc(C#N)cc1 ZINC000178334431 625353594 /nfs/dbraw/zinc/35/35/94/625353594.db2.gz AHYSVEHXKMEHBR-LBPRGKRZSA-N 0 1 257.297 0.842 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CNCc2cnon2)C1 ZINC001026733580 625580880 /nfs/dbraw/zinc/58/08/80/625580880.db2.gz WQGIMLXKKVFGNE-UWVGGRQHSA-N 0 1 263.301 0.167 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@@H](NCc3cn(C)nn3)C2)C1 ZINC001022675539 622643050 /nfs/dbraw/zinc/64/30/50/622643050.db2.gz YTMGSRMJLCIHGS-TXEJJXNPSA-N 0 1 289.383 0.908 20 30 CCEDMN C[C@H]1C(=O)NCCCN1C[C@H](O)CC1(C#N)CCC1 ZINC000934810685 622870808 /nfs/dbraw/zinc/87/08/08/622870808.db2.gz JKQPRDAKIMUMBQ-NWDGAFQWSA-N 0 1 265.357 0.642 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2snnc2C)C1 ZINC001023225820 622960784 /nfs/dbraw/zinc/96/07/84/622960784.db2.gz QJTUQMZKOBCLPV-LLVKDONJSA-N 0 1 278.381 0.922 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)[C@H]2CCCOC2)C1 ZINC001023269521 622973709 /nfs/dbraw/zinc/97/37/09/622973709.db2.gz RMCIUVVGFDALPH-KGLIPLIRSA-N 0 1 264.369 0.874 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001023315109 622996813 /nfs/dbraw/zinc/99/68/13/622996813.db2.gz SKFLFJKFMWLJSY-NSHDSACASA-N 0 1 276.340 0.499 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1COCCO1 ZINC001024340046 623290974 /nfs/dbraw/zinc/29/09/74/623290974.db2.gz TTWVIJRTEBZTOV-CHWSQXEVSA-N 0 1 268.357 0.559 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cnn(C)c1N ZINC001024405868 623313908 /nfs/dbraw/zinc/31/39/08/623313908.db2.gz CSWLVWAZWXMSNW-GFCCVEGCSA-N 0 1 289.383 0.610 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001024411522 623320751 /nfs/dbraw/zinc/32/07/51/623320751.db2.gz QVCHTNOMBVXSOM-AWEZNQCLSA-N 0 1 279.384 0.621 20 30 CCEDMN O=C(NCC#CCO)N1CCC(c2cnc[nH]2)CC1 ZINC000923776616 623339112 /nfs/dbraw/zinc/33/91/12/623339112.db2.gz JNADFLKKFDDZHY-UHFFFAOYSA-N 0 1 262.313 0.294 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1COCCN1CC ZINC001024516762 623347657 /nfs/dbraw/zinc/34/76/57/623347657.db2.gz NCDSXZRDIKMTFV-HUUCEWRRSA-N 0 1 295.427 0.864 20 30 CCEDMN O=C(NCC#CCO)NC[C@@H](c1ccco1)N1CCCC1 ZINC000923788334 623569888 /nfs/dbraw/zinc/56/98/88/623569888.db2.gz JQWCDQYELRNELV-ZDUSSCGKSA-N 0 1 291.351 0.711 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]([C@H](C)NC(=O)c2ncc[nH]2)C1 ZINC001025416896 623770872 /nfs/dbraw/zinc/77/08/72/623770872.db2.gz LWMNTTRGHKOZBX-NWDGAFQWSA-N 0 1 260.341 0.873 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]([C@H](C)NC(=O)C2=NC(=O)N(C)C2)C1 ZINC001025525647 623822664 /nfs/dbraw/zinc/82/26/64/623822664.db2.gz QZFGPYFJRZIBIJ-RYUDHWBXSA-N 0 1 290.367 0.589 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CNCc2cnn(C)n2)C1 ZINC001026992178 625682039 /nfs/dbraw/zinc/68/20/39/625682039.db2.gz IGJSTVHPAJXQJD-LBPRGKRZSA-N 0 1 291.399 0.965 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2ccc(C#N)nc2)CC1 ZINC000294179197 623877740 /nfs/dbraw/zinc/87/77/40/623877740.db2.gz QIBDAMFBNQEPKW-UHFFFAOYSA-N 0 1 297.362 0.215 20 30 CCEDMN C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCOC[C@@H]1OC ZINC001025811860 623886351 /nfs/dbraw/zinc/88/63/51/623886351.db2.gz XCCWFOZPXPDYDZ-NJURLYQPSA-N 0 1 294.395 0.660 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N[C@H]2C[C@H](NCC#N)C2)cn1 ZINC001020908312 624623643 /nfs/dbraw/zinc/62/36/43/624623643.db2.gz YQPCOCAGJAUWFK-XYPYZODXSA-N 0 1 299.338 0.184 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000970428433 624686093 /nfs/dbraw/zinc/68/60/93/624686093.db2.gz GZQMURZDWIJAIZ-GHMZBOCLSA-N 0 1 273.340 0.229 20 30 CCEDMN N#CCOc1cccc(CC(=O)Nc2c[nH]nn2)c1 ZINC000807923043 624702482 /nfs/dbraw/zinc/70/24/82/624702482.db2.gz NLEJQVCXSJMKSM-UHFFFAOYSA-N 0 1 257.253 0.888 20 30 CCEDMN O=C(CCCc1nn[nH]n1)NCC#C[C@H]1CCCCO1 ZINC000891112506 624728018 /nfs/dbraw/zinc/72/80/18/624728018.db2.gz KXYNHJGCBUUKCP-LLVKDONJSA-N 0 1 277.328 0.211 20 30 CCEDMN C=C(Cl)CN1CC[C@H]2[C@@H](CCCN2C(=O)C(N)=O)C1 ZINC001021660782 624805003 /nfs/dbraw/zinc/80/50/03/624805003.db2.gz FZWYGHHUCPXCHF-QWRGUYRKSA-N 0 1 285.775 0.537 20 30 CCEDMN N#CCN1CC[C@@H]2[C@@H](CCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001021708580 624814128 /nfs/dbraw/zinc/81/41/28/624814128.db2.gz FOPGCXQSUPSGRF-NWDGAFQWSA-N 0 1 288.355 0.184 20 30 CCEDMN Cn1cnnc1CN[C@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001021941301 624854380 /nfs/dbraw/zinc/85/43/80/624854380.db2.gz LXXZHMGNAGRSQA-PHIMTYICSA-N 0 1 299.338 0.065 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN(CC(C)(C)O)CC2)n1 ZINC000308415130 624870288 /nfs/dbraw/zinc/87/02/88/624870288.db2.gz QJBUAEGPVWGNGI-UHFFFAOYSA-N 0 1 275.356 0.550 20 30 CCEDMN Cc1[nH]ncc1CCCNC(=O)N1CCO[C@@](C)(C#N)C1 ZINC000891755363 624921082 /nfs/dbraw/zinc/92/10/82/624921082.db2.gz VFQUTBMWOQZCAP-AWEZNQCLSA-N 0 1 291.355 0.975 20 30 CCEDMN N#Cc1ccc(N2CCN(CCOCCO)CC2)cc1 ZINC000219792295 624992900 /nfs/dbraw/zinc/99/29/00/624992900.db2.gz RDAWCLGRERQXRE-UHFFFAOYSA-N 0 1 275.352 0.689 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)N[C@H]1C[C@@H](NCC#N)C1 ZINC001022453180 624997712 /nfs/dbraw/zinc/99/77/12/624997712.db2.gz ZTEWFFHLUNULHI-DYNIEEOBSA-N 0 1 287.367 0.836 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N[C@H]1C[C@@H](NCC#N)C1 ZINC001022453183 624997734 /nfs/dbraw/zinc/99/77/34/624997734.db2.gz ZTEWFFHLUNULHI-PUHVVEEASA-N 0 1 287.367 0.836 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)CN2CC[C@@H]2CO)cc1 ZINC000934027979 625006211 /nfs/dbraw/zinc/00/62/11/625006211.db2.gz WONSPDQQKLLSBS-CHWSQXEVSA-N 0 1 262.309 0.365 20 30 CCEDMN C[C@H](C(N)=O)N(C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027402008 625870217 /nfs/dbraw/zinc/87/02/17/625870217.db2.gz KPKVTTGIKLJASX-YPMHNXCESA-N 0 1 277.368 0.196 20 30 CCEDMN CN(CC#N)[C@@H]1CCCN(C(=O)[C@H]2CCCCN2C)C1 ZINC001027464444 625898130 /nfs/dbraw/zinc/89/81/30/625898130.db2.gz GIWOCBIOQMQGGA-ZIAGYGMSSA-N 0 1 278.400 0.917 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@H]2CCN2C(=O)[C@@H](C)C#N)n[nH]1 ZINC000977424229 625937103 /nfs/dbraw/zinc/93/71/03/625937103.db2.gz RMTCVIMIPFBGNO-GXSJLCMTSA-N 0 1 289.339 0.551 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2ccsc2C#N)[C@H](C)CN1 ZINC000903490218 634587876 /nfs/dbraw/zinc/58/78/76/634587876.db2.gz NEOOTHRKWIKSKL-RKDXNWHRSA-N 0 1 285.394 0.991 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2ccsc2C#N)[C@H]1C ZINC000903497907 634591305 /nfs/dbraw/zinc/59/13/05/634591305.db2.gz ULLQONGUJDERQJ-BDAKNGLRSA-N 0 1 285.394 0.991 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)[C@@H]1COCCO1 ZINC001027758301 630897920 /nfs/dbraw/zinc/89/79/20/630897920.db2.gz VHOZDJQHVLAERZ-NEPJUHHUSA-N 0 1 254.330 0.168 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnn(CC)c1 ZINC001027799174 630930078 /nfs/dbraw/zinc/93/00/78/630930078.db2.gz XJBOQNRDZFJGBH-CYBMUJFWSA-N 0 1 260.341 0.730 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000967154065 649991348 /nfs/dbraw/zinc/99/13/48/649991348.db2.gz HGQLYNBZQQTJGP-ZWNOBZJWSA-N 0 1 287.367 0.862 20 30 CCEDMN N#CCN1CCCC2(CN(C(=O)CCc3c[nH]nn3)C2)C1 ZINC000982022113 631364448 /nfs/dbraw/zinc/36/44/48/631364448.db2.gz QZYRNDOHNZHILH-UHFFFAOYSA-N 0 1 288.355 0.185 20 30 CCEDMN N#CCN1CCCC2(CN(C(=O)CCc3cnn[nH]3)C2)C1 ZINC000982022113 631364455 /nfs/dbraw/zinc/36/44/55/631364455.db2.gz QZYRNDOHNZHILH-UHFFFAOYSA-N 0 1 288.355 0.185 20 30 CCEDMN COc1nccc(CN[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000967942745 650005580 /nfs/dbraw/zinc/00/55/80/650005580.db2.gz FXNKATVCBUEUIL-CMPLNLGQSA-N 0 1 289.339 0.335 20 30 CCEDMN C[C@@]1(CO)C[C@@H](O)CN1Cc1cc(C#N)cs1 ZINC000895327519 632059811 /nfs/dbraw/zinc/05/98/11/632059811.db2.gz DKPPPCMEFMZYKT-PWSUYJOCSA-N 0 1 252.339 0.937 20 30 CCEDMN O=C(NCC#Cc1ccccc1)C1CN([C@@H]2CCOC2)C1 ZINC000895563837 632100252 /nfs/dbraw/zinc/10/02/52/632100252.db2.gz NMEVZYAMEVRDBP-MRXNPFEDSA-N 0 1 284.359 0.875 20 30 CCEDMN N#CCCCCNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000896166031 632208354 /nfs/dbraw/zinc/20/83/54/632208354.db2.gz QHCGEFYLJQDZLL-LBPRGKRZSA-N 0 1 251.330 0.517 20 30 CCEDMN C[C@@H](NC(=O)c1coc(C#N)c1)[C@@H]1CN(C)CCN1C ZINC000896529398 632261479 /nfs/dbraw/zinc/26/14/79/632261479.db2.gz YWAQXYTZDOHWFA-MFKMUULPSA-N 0 1 276.340 0.515 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)[nH]1)[C@H]1CN(C)CCN1C ZINC000896551220 632281292 /nfs/dbraw/zinc/28/12/92/632281292.db2.gz COZFMNZHDWYYLF-ZWNOBZJWSA-N 0 1 275.356 0.250 20 30 CCEDMN CN1CC[C@H]1CNC(=O)N1CCO[C@](C)(C#N)C1 ZINC000896619654 632303029 /nfs/dbraw/zinc/30/30/29/632303029.db2.gz NRKZSRUCCGLANP-CMPLNLGQSA-N 0 1 252.318 0.015 20 30 CCEDMN C[C@H](C#N)CN(C(=O)C1CN([C@H]2CCOC2)C1)C1CC1 ZINC000897195221 632416194 /nfs/dbraw/zinc/41/61/94/632416194.db2.gz QJGRUZJMHSKDCV-RISCZKNCSA-N 0 1 277.368 0.858 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H]2CCNC2=O)C1 ZINC001007497213 650080367 /nfs/dbraw/zinc/08/03/67/650080367.db2.gz VKGVWTIHVTWHMX-NWDGAFQWSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001007490513 650080553 /nfs/dbraw/zinc/08/05/53/650080553.db2.gz CZVHNXZPUQXMQN-OLZOCXBDSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCC(N(C)CC(N)=O)CC2)C1 ZINC000985632380 632599157 /nfs/dbraw/zinc/59/91/57/632599157.db2.gz QUQFVVQRPPJWOQ-UHFFFAOYSA-N 0 1 279.384 0.751 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001007606600 650086018 /nfs/dbraw/zinc/08/60/18/650086018.db2.gz VFEGLWPVKWQRAH-WFASDCNBSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001007603921 650086195 /nfs/dbraw/zinc/08/61/95/650086195.db2.gz LWIVLSSDGQGBFN-GFCCVEGCSA-N 0 1 290.367 0.767 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001007673432 650087669 /nfs/dbraw/zinc/08/76/69/650087669.db2.gz JEAXBBDITZERTN-CYBMUJFWSA-N 0 1 275.352 0.766 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001008022992 650099617 /nfs/dbraw/zinc/09/96/17/650099617.db2.gz GUBGWBHRWCCDSP-BXUZGUMPSA-N 0 1 265.357 0.279 20 30 CCEDMN CCc1cc(C(=O)N2C[C@@H](NCC#N)C[C@@H]2C)n[nH]1 ZINC000988099034 632947550 /nfs/dbraw/zinc/94/75/50/632947550.db2.gz DNISUBYZLWPPMI-ONGXEEELSA-N 0 1 261.329 0.688 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCn2ccnn2)C1 ZINC000988714055 633089394 /nfs/dbraw/zinc/08/93/94/633089394.db2.gz SLTFWURMQVVCEJ-RYUDHWBXSA-N 0 1 297.790 1.000 20 30 CCEDMN C#CC[NH2+][C@@H]1C[C@@H](C)N(C(=O)c2cccc3nn[n-]c32)C1 ZINC000988873733 633124410 /nfs/dbraw/zinc/12/44/10/633124410.db2.gz CKTGOFARJCNRPM-GHMZBOCLSA-N 0 1 283.335 0.784 20 30 CCEDMN N#CC1(CNC(=O)C2CN([C@H]3CCOC3)C2)CCC1 ZINC000897991113 633268661 /nfs/dbraw/zinc/26/86/61/633268661.db2.gz CEGBNAKYBXQVLE-LBPRGKRZSA-N 0 1 263.341 0.517 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCCC(=O)N2C)CC1 ZINC000989582790 633333120 /nfs/dbraw/zinc/33/31/20/633333120.db2.gz RGMGDEACONIMLK-ZDUSSCGKSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCN1CCCN(C(=O)CCN2CCCC2=O)CC1 ZINC000989682569 633343491 /nfs/dbraw/zinc/34/34/91/633343491.db2.gz PPSKVLPDLXSIHW-UHFFFAOYSA-N 0 1 279.384 0.719 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)C3=COCCO3)CCC[C@H]12 ZINC000989927913 633386268 /nfs/dbraw/zinc/38/62/68/633386268.db2.gz DYOUQKSELNJJMK-ZFWWWQNUSA-N 0 1 276.336 0.621 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H]3CCCO3)CCC[C@H]12 ZINC000989932138 633386516 /nfs/dbraw/zinc/38/65/16/633386516.db2.gz LKKUCDHIOFRJCJ-KCQAQPDRSA-N 0 1 262.353 0.912 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@H]3CCC(=O)N3)CCC[C@@H]12 ZINC000990043075 633436161 /nfs/dbraw/zinc/43/61/61/633436161.db2.gz QSNNVRBBCSVBBR-JMSVASOKSA-N 0 1 275.352 0.011 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3nnn(C)c3C)CCC[C@H]12 ZINC000990108235 633448044 /nfs/dbraw/zinc/44/80/44/633448044.db2.gz NQYGWXHZUMJXPS-WFASDCNBSA-N 0 1 287.367 0.484 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3CN(C)CCO3)CCC[C@H]12 ZINC000990119289 633452377 /nfs/dbraw/zinc/45/23/77/633452377.db2.gz BQJTWOYLYSCKDG-IJEWVQPXSA-N 0 1 291.395 0.063 20 30 CCEDMN CCn1ncc(CNC2CCN(C(=O)[C@H](C)C#N)CC2)n1 ZINC000990261706 633498423 /nfs/dbraw/zinc/49/84/23/633498423.db2.gz HZPLXKUKUZWHMR-LLVKDONJSA-N 0 1 290.371 0.538 20 30 CCEDMN CC#CCN1CC[C@@]2(NC(=O)c3ncn(C)n3)CCC[C@H]12 ZINC000990564617 633554173 /nfs/dbraw/zinc/55/41/73/633554173.db2.gz AVMMNASCFMCBGU-WFASDCNBSA-N 0 1 287.367 0.565 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ncc[nH]3)CCC[C@@H]12 ZINC000990613419 633567431 /nfs/dbraw/zinc/56/74/31/633567431.db2.gz JYENNHJBQBOAEB-RISCZKNCSA-N 0 1 258.325 0.770 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CCCN(C[C@@H](C)O)C2)c1 ZINC001008471103 650115753 /nfs/dbraw/zinc/11/57/53/650115753.db2.gz YXBWPSQAJNRSTF-DOMZBBRYSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3ncccn3n2)C1 ZINC001008941451 650133610 /nfs/dbraw/zinc/13/36/10/650133610.db2.gz KUSBEBGOXINZRJ-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN CN(Cc1ccc(C#N)cc1)C(=O)[C@@]1(C)C[C@@H](O)CN1C ZINC000900127169 633959062 /nfs/dbraw/zinc/95/90/62/633959062.db2.gz HBMSOXBMOLKFND-GDBMZVCRSA-N 0 1 287.363 0.972 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001008994473 650135388 /nfs/dbraw/zinc/13/53/88/650135388.db2.gz NDYHHDUTWWIAOI-GFCCVEGCSA-N 0 1 290.367 0.884 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001009541760 650147908 /nfs/dbraw/zinc/14/79/08/650147908.db2.gz ONYSVRPMFUKASN-NSHDSACASA-N 0 1 276.340 0.494 20 30 CCEDMN CSC[C@](C)(C#N)NC(=O)C1=NC(=O)N(C)C1 ZINC000905544451 634873766 /nfs/dbraw/zinc/87/37/66/634873766.db2.gz AVFRVOKABDCMOR-JTQLQIEISA-N 0 1 254.315 0.501 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC001010417575 650223552 /nfs/dbraw/zinc/22/35/52/650223552.db2.gz GPWWBSHYUVHCFW-NSHDSACASA-N 0 1 263.345 0.504 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010641281 650249053 /nfs/dbraw/zinc/24/90/53/650249053.db2.gz QXQABVITUGKXKR-JTQLQIEISA-N 0 1 264.329 0.804 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CC[C@@H](N3CCC3)C2)cn1 ZINC000912898609 636693110 /nfs/dbraw/zinc/69/31/10/636693110.db2.gz NHAAYYYNSMNQBK-CQSZACIVSA-N 0 1 270.336 0.802 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001011114152 650286190 /nfs/dbraw/zinc/28/61/90/650286190.db2.gz HHZITPIPIPMWPQ-GHMZBOCLSA-N 0 1 295.339 0.535 20 30 CCEDMN C[C@@H](Oc1ccccn1)C(=O)NCC#CCN(C)C ZINC000913523026 636832212 /nfs/dbraw/zinc/83/22/12/636832212.db2.gz JOXFJSWVHJJLLL-GFCCVEGCSA-N 0 1 261.325 0.530 20 30 CCEDMN C[C@@H](CC(=O)NCC#CCN(C)C)c1cnn(C)c1 ZINC000913523006 636832625 /nfs/dbraw/zinc/83/26/25/636832625.db2.gz JADJSLISGJWMKN-LBPRGKRZSA-N 0 1 262.357 0.595 20 30 CCEDMN COc1ccc(OCC(=O)NCC#CCN(C)C)cc1 ZINC000913513173 636833114 /nfs/dbraw/zinc/83/31/14/636833114.db2.gz BFYZIIBNAZYZPG-UHFFFAOYSA-N 0 1 276.336 0.755 20 30 CCEDMN Cc1n[nH]c(=O)c(C(=O)NCC#CCN(C)C)c1C ZINC000913517167 636834988 /nfs/dbraw/zinc/83/49/88/636834988.db2.gz VNXFZPFZTRPYCB-UHFFFAOYSA-N 0 1 262.313 0.094 20 30 CCEDMN Cc1ccc2ncc(C(=O)NCC#CCN(C)C)c(=O)n2c1 ZINC000913516367 636835198 /nfs/dbraw/zinc/83/51/98/636835198.db2.gz MRYPZUGVSUHLSE-UHFFFAOYSA-N 0 1 298.346 0.298 20 30 CCEDMN Cc1c(C(=O)NCC#CCN(C)C)nnn1C(C)C ZINC000913522681 636835696 /nfs/dbraw/zinc/83/56/96/636835696.db2.gz UIUHDYJKIQIOBK-UHFFFAOYSA-N 0 1 263.345 0.462 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001015001356 637290904 /nfs/dbraw/zinc/29/09/04/637290904.db2.gz VYYLVMPODPMUFV-CHWSQXEVSA-N 0 1 274.368 0.822 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3n[nH]cc3F)CCC[C@H]12 ZINC000992150565 637323834 /nfs/dbraw/zinc/32/38/34/637323834.db2.gz HZYGODKXEFUWEJ-SMDDNHRTSA-N 0 1 276.315 0.909 20 30 CCEDMN C[C@@H]1C[C@H](NS(=O)(=O)CC(C)(C)C#N)CCN1C ZINC000914184537 637549988 /nfs/dbraw/zinc/54/99/88/637549988.db2.gz DOEKIRNMPKIHDS-GHMZBOCLSA-N 0 1 273.402 0.938 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1C ZINC000993008098 637661874 /nfs/dbraw/zinc/66/18/74/637661874.db2.gz AEBDMYAXZOZFDR-NEPJUHHUSA-N 0 1 288.351 0.788 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C2=COCCO2)[C@@H]1C ZINC000993027146 637668931 /nfs/dbraw/zinc/66/89/31/637668931.db2.gz ZROWXQCYGYFKDN-STQMWFEESA-N 0 1 278.352 0.867 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ncccc2OC)C1 ZINC001015614949 637749815 /nfs/dbraw/zinc/74/98/15/637749815.db2.gz USKFUYHKZOJQIH-ZDUSSCGKSA-N 0 1 287.363 0.847 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cc(C)nn2C)[C@@H]1C ZINC000993587102 637822370 /nfs/dbraw/zinc/82/23/70/637822370.db2.gz BVXMHNPSNOBKOL-ZFWWWQNUSA-N 0 1 288.395 0.873 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2c[nH]cn2)[C@H]1C ZINC000993885039 637851723 /nfs/dbraw/zinc/85/17/23/637851723.db2.gz HVDMUSSQZYSGKY-DGCLKSJQSA-N 0 1 260.341 0.555 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cccn(C)c2=O)[C@@H]1C ZINC000994237448 637878873 /nfs/dbraw/zinc/87/88/73/637878873.db2.gz GECOIZNOKIHRSN-GXTWGEPZSA-N 0 1 287.363 0.601 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)NCCNc1ncccc1C#N ZINC000916578394 637908818 /nfs/dbraw/zinc/90/88/18/637908818.db2.gz HMXXDOGJDDXZBR-UHFFFAOYSA-N 0 1 299.338 0.896 20 30 CCEDMN N#Cc1cccc(OCC(=O)C(C#N)C(=O)NC2CC2)c1 ZINC000916930740 637932789 /nfs/dbraw/zinc/93/27/89/637932789.db2.gz HVPNXTPFHDELIQ-CYBMUJFWSA-N 0 1 283.287 0.925 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)C(=O)N2CCC[C@@H](C)C2)C1 ZINC001015798943 638129434 /nfs/dbraw/zinc/12/94/34/638129434.db2.gz AYVZSWGJSXWNDO-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000182744108 638504905 /nfs/dbraw/zinc/50/49/05/638504905.db2.gz ZFHSCLLJXCOGMZ-UHFFFAOYSA-N 0 1 269.308 0.349 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnn(CC)n2)C1 ZINC001014354621 650441330 /nfs/dbraw/zinc/44/13/30/650441330.db2.gz HZHYPQKCCNVUQC-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC000943437673 638720327 /nfs/dbraw/zinc/72/03/27/638720327.db2.gz NUHIOFWMZGZNGX-ZJUUUORDSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC000943437673 638720330 /nfs/dbraw/zinc/72/03/30/638720330.db2.gz NUHIOFWMZGZNGX-ZJUUUORDSA-N 0 1 290.327 0.075 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnnn2CC)C1 ZINC001014371789 650444451 /nfs/dbraw/zinc/44/44/51/650444451.db2.gz VYARYLCARLAQLH-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC(N)=O)CC1(C)C ZINC000995576387 638778851 /nfs/dbraw/zinc/77/88/51/638778851.db2.gz YBNGTAFEKFKJIG-VIFPVBQESA-N 0 1 273.764 0.441 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](NCc2ccn(C)n2)C(C)(C)C1 ZINC000995577394 638779299 /nfs/dbraw/zinc/77/92/99/638779299.db2.gz CLTQGZHWUHJOCT-WCQYABFASA-N 0 1 289.383 0.906 20 30 CCEDMN C#C[C@H](C)NC(=O)NCCCCN1CCOCC1 ZINC000186082841 638796911 /nfs/dbraw/zinc/79/69/11/638796911.db2.gz DFVGOFLAIJKKNP-LBPRGKRZSA-N 0 1 253.346 0.420 20 30 CCEDMN CC1(C)CN(C(=O)CCc2c[nH]nn2)C[C@@H]1NCC#N ZINC000996324190 638815544 /nfs/dbraw/zinc/81/55/44/638815544.db2.gz DAIVVYHECLLJEX-NSHDSACASA-N 0 1 276.344 0.087 20 30 CCEDMN CC1(C)CN(C(=O)CCc2cnn[nH]2)C[C@@H]1NCC#N ZINC000996324190 638815545 /nfs/dbraw/zinc/81/55/45/638815545.db2.gz DAIVVYHECLLJEX-NSHDSACASA-N 0 1 276.344 0.087 20 30 CCEDMN C[C@H](NC(=O)[C@@H]1CCCN1C)C1CCN(CC#N)CC1 ZINC000997511851 638873909 /nfs/dbraw/zinc/87/39/09/638873909.db2.gz WKHBLHOVOISBMB-JSGCOSHPSA-N 0 1 278.400 0.821 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C3CCC3)nn2)C1 ZINC001014475927 650455034 /nfs/dbraw/zinc/45/50/34/650455034.db2.gz BFNVUPWYZHEXIE-NSHDSACASA-N 0 1 275.356 0.993 20 30 CCEDMN CN(C(=O)[C@H]1CCc2[nH]nnc2C1)C1CC(NCC#N)C1 ZINC000999684785 638992352 /nfs/dbraw/zinc/99/23/52/638992352.db2.gz KJSMHLYCNWDEMO-WHXUTIOJSA-N 0 1 288.355 0.012 20 30 CCEDMN CN(C(=O)[C@H]1CCc2nn[nH]c2C1)C1CC(NCC#N)C1 ZINC000999684785 638992354 /nfs/dbraw/zinc/99/23/54/638992354.db2.gz KJSMHLYCNWDEMO-WHXUTIOJSA-N 0 1 288.355 0.012 20 30 CCEDMN C#CCN1CC[C@@H](n2cc(CNC(=O)C3CC=CC3)nn2)C1 ZINC000999970411 639020937 /nfs/dbraw/zinc/02/09/37/639020937.db2.gz GGIZVEUYNAHJIT-OAHLLOKOSA-N 0 1 299.378 0.741 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2nc3nccc(C)n3n2)C1 ZINC001016171995 639029480 /nfs/dbraw/zinc/02/94/80/639029480.db2.gz QXKWRTFBWJXIDB-LBPRGKRZSA-N 0 1 298.350 0.260 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC001000518645 639109221 /nfs/dbraw/zinc/10/92/21/639109221.db2.gz YLTXZHRISDQIFS-OLZOCXBDSA-N 0 1 276.336 0.177 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2ccn(C)c(=O)c2)CC1 ZINC001000853725 639177875 /nfs/dbraw/zinc/17/78/75/639177875.db2.gz BDDCQONUFOMPAT-UHFFFAOYSA-N 0 1 299.374 0.771 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2coc(OC)n2)CC1 ZINC001001000143 639207048 /nfs/dbraw/zinc/20/70/48/639207048.db2.gz CLDZUWRTUHUYSE-UHFFFAOYSA-N 0 1 275.308 0.678 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2[nH]nnc2C)CC1 ZINC001001018981 639217350 /nfs/dbraw/zinc/21/73/50/639217350.db2.gz FTRNOOFBDSKGNZ-UHFFFAOYSA-N 0 1 259.313 0.108 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2nccn3ccnc23)CC1 ZINC001001165512 639243620 /nfs/dbraw/zinc/24/36/20/639243620.db2.gz HFECKFYWRIMJRR-UHFFFAOYSA-N 0 1 295.346 0.724 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc3n2CCOC3)C1 ZINC001014808755 650490132 /nfs/dbraw/zinc/49/01/32/650490132.db2.gz XZONIMVFHFGIGP-GFCCVEGCSA-N 0 1 288.351 0.241 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cc(C)n[nH]2)CC1 ZINC001002412762 639409013 /nfs/dbraw/zinc/40/90/13/639409013.db2.gz XIBXVNRAZOMWEA-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001014854322 650496004 /nfs/dbraw/zinc/49/60/04/650496004.db2.gz DXFMWTSWOTZYTC-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CC(=O)N[C@H](C)C2)CC1 ZINC001003220924 639517651 /nfs/dbraw/zinc/51/76/51/639517651.db2.gz FUXAMHBFCDOUKF-VXGBXAGGSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@]2(F)CCOC2)CC1 ZINC001003507793 639555819 /nfs/dbraw/zinc/55/58/19/639555819.db2.gz NENVGLDMKBVPJA-CYBMUJFWSA-N 0 1 254.305 0.329 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001015001901 650513086 /nfs/dbraw/zinc/51/30/86/650513086.db2.gz XBHBMIUQPMSTPG-KBPBESRZSA-N 0 1 286.379 0.659 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001015001904 650513676 /nfs/dbraw/zinc/51/36/76/650513676.db2.gz XBHBMIUQPMSTPG-ZIAGYGMSSA-N 0 1 286.379 0.659 20 30 CCEDMN CS(=O)(=O)C[C@@H](O)CNCc1cccc(C#N)c1 ZINC000922827116 639799016 /nfs/dbraw/zinc/79/90/16/639799016.db2.gz NWIDDSNTLMCXON-LBPRGKRZSA-N 0 1 268.338 0.053 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001015196761 650537166 /nfs/dbraw/zinc/53/71/66/650537166.db2.gz VWJMTHVPVMMTIF-NWDGAFQWSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC001005643761 639946432 /nfs/dbraw/zinc/94/64/32/639946432.db2.gz NUCSLZOIWTVJMZ-QWHCGFSZSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(OC)n(C)n2)C1 ZINC001015212631 650539441 /nfs/dbraw/zinc/53/94/41/650539441.db2.gz QJVNDTXZSUEQHW-SNVBAGLBSA-N 0 1 264.329 0.419 20 30 CCEDMN N#C[C@]1(F)CCN(C(=O)NCCCc2nc[nH]n2)C1 ZINC000923139164 640031687 /nfs/dbraw/zinc/03/16/87/640031687.db2.gz HVSDTDHMBYNXEH-LLVKDONJSA-N 0 1 266.280 0.384 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC001005942259 640039766 /nfs/dbraw/zinc/03/97/66/640039766.db2.gz MEZMNUBPJUZUQS-UHFFFAOYSA-N 0 1 276.340 0.295 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCCCC(=O)N2)CC1 ZINC001005979868 640049649 /nfs/dbraw/zinc/04/96/49/640049649.db2.gz IGLUSBUBAGKMLB-AWEZNQCLSA-N 0 1 291.395 0.601 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1C=NN[C@H](C)CC#N ZINC000755804248 640336692 /nfs/dbraw/zinc/33/66/92/640336692.db2.gz KPXASVXCUVMMJS-LLVKDONJSA-N 0 1 290.371 0.791 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1C=NNC[C@H](C)C#N ZINC000755804202 640337041 /nfs/dbraw/zinc/33/70/41/640337041.db2.gz YJOCBAXASRKSBI-LLVKDONJSA-N 0 1 290.371 0.648 20 30 CCEDMN N#CC(C(=O)COC[C@@H]1CCCO1)C(=O)NC1CCCC1 ZINC000113654062 650566544 /nfs/dbraw/zinc/56/65/44/650566544.db2.gz HDDLLHPBSHIJRY-QWHCGFSZSA-N 0 1 294.351 0.950 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001015494212 650573021 /nfs/dbraw/zinc/57/30/21/650573021.db2.gz ZBWIJPZUDGNYKQ-RYUDHWBXSA-N 0 1 265.357 0.374 20 30 CCEDMN Cc1nc[nH]c1C=NNCCCN1CCOCC1 ZINC000799490381 640577999 /nfs/dbraw/zinc/57/79/99/640577999.db2.gz AUSBRXPNUVTPGP-UHFFFAOYSA-N 0 1 251.334 0.364 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001015661526 650603337 /nfs/dbraw/zinc/60/33/37/650603337.db2.gz CEOWHSIUSFOFCA-FZMZJTMJSA-N 0 1 265.357 0.422 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nccn3ccnc23)C1 ZINC001015718984 650615821 /nfs/dbraw/zinc/61/58/21/650615821.db2.gz DSUPRKRDZFTXKW-LLVKDONJSA-N 0 1 271.324 0.719 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncc(OC)n2)C1 ZINC001015798209 650631869 /nfs/dbraw/zinc/63/18/69/650631869.db2.gz ZOPMEJRSVMTOGF-JTQLQIEISA-N 0 1 262.313 0.475 20 30 CCEDMN O=C(NCC#CCO)c1nnc2ccccc2c1O ZINC000816536681 641171671 /nfs/dbraw/zinc/17/16/71/641171671.db2.gz GUWLPUFQGHODJD-UHFFFAOYSA-N 0 1 257.249 0.061 20 30 CCEDMN C[C@@H]1CN=C(NN=C2CNC(=O)c3ccccc32)N1 ZINC000817325525 641254398 /nfs/dbraw/zinc/25/43/98/641254398.db2.gz DEPXXCMEEHBNIW-MRVPVSSYSA-N 0 1 257.297 0.071 20 30 CCEDMN O=C(N[C@@H]1CCN(O)C1=O)c1ccc2cncn2c1 ZINC000820143089 641469685 /nfs/dbraw/zinc/46/96/85/641469685.db2.gz CTAZWPPYEKTNLX-SNVBAGLBSA-N 0 1 260.253 0.054 20 30 CCEDMN C=C[C@@H](O)C(=O)Nc1ccccc1N1CCN(C)CC1 ZINC000820489901 641510902 /nfs/dbraw/zinc/51/09/02/641510902.db2.gz JTAGGTFLJOXDHN-CQSZACIVSA-N 0 1 275.352 0.924 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CN2CCCCCC2=O)C1 ZINC001016202722 650697562 /nfs/dbraw/zinc/69/75/62/650697562.db2.gz PIQAZZGYCNBCDP-ZDUSSCGKSA-N 0 1 279.384 0.766 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001016287941 650712921 /nfs/dbraw/zinc/71/29/21/650712921.db2.gz QHDFUGYYISMTFI-ZDUSSCGKSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(CC)n(C)n2)C1 ZINC001016417755 650728757 /nfs/dbraw/zinc/72/87/57/650728757.db2.gz WFSAZOYJVGKRLL-LBPRGKRZSA-N 0 1 274.368 0.810 20 30 CCEDMN C#CC[C@@H]1CCCN(CC(=O)N[C@@H](C)C(=O)N(C)C)C1 ZINC000829778425 642192053 /nfs/dbraw/zinc/19/20/53/642192053.db2.gz BXSLRQCDRJCTPI-QWHCGFSZSA-N 0 1 279.384 0.315 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@@H]2CC3(CCC3)C(=O)O2)C1 ZINC000829998914 642216873 /nfs/dbraw/zinc/21/68/73/642216873.db2.gz SJMDKVXHQMJJTL-SMDDNHRTSA-N 0 1 264.325 0.823 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cnc3n2CCOC3)=NO1 ZINC000831398842 642358937 /nfs/dbraw/zinc/35/89/37/642358937.db2.gz FNDVEPQRJVRGIO-SSDOTTSWSA-N 0 1 250.258 0.265 20 30 CCEDMN Cn1c2cc(C(=O)N[C@@H]3CNC[C@H]3C#N)ccc2[nH]c1=O ZINC000834479355 642571560 /nfs/dbraw/zinc/57/15/60/642571560.db2.gz DCZQUVVQBDHTKV-MWLCHTKSSA-N 0 1 285.307 0.120 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1occc1Br ZINC000834480140 642572333 /nfs/dbraw/zinc/57/23/33/642572333.db2.gz LOCDNWBPMDSGCU-HTRCEHHLSA-N 0 1 284.113 0.883 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc(-c2nc[nH]n2)c1 ZINC000834484271 642574283 /nfs/dbraw/zinc/57/42/83/642574283.db2.gz GNLNINPNLVKBKM-RYUDHWBXSA-N 0 1 282.307 0.313 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(-n2ccnn2)cc1 ZINC000834484575 642575720 /nfs/dbraw/zinc/57/57/20/642575720.db2.gz KNWGYOUAZSACRC-YPMHNXCESA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CN1CCc2ccccc21 ZINC000834490357 642581771 /nfs/dbraw/zinc/58/17/71/642581771.db2.gz UNOCXQRWKVOIIF-OLZOCXBDSA-N 0 1 270.336 0.277 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(-c2ccco2)[nH]c1=O ZINC000834493094 642581895 /nfs/dbraw/zinc/58/18/95/642581895.db2.gz AJQOCMKHBAMVMC-SKDRFNHKSA-N 0 1 298.302 0.889 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCc1nccs1 ZINC000834495275 642585103 /nfs/dbraw/zinc/58/51/03/642585103.db2.gz TUSLWUDWRFIOAA-IUCAKERBSA-N 0 1 250.327 0.303 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1noc2c1CCCCC2 ZINC000834499732 642588433 /nfs/dbraw/zinc/58/84/33/642588433.db2.gz MDPIGMIEUGJEBU-ONGXEEELSA-N 0 1 274.324 0.785 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(N2CCNC2=O)cc1 ZINC000834500584 642589230 /nfs/dbraw/zinc/58/92/30/642589230.db2.gz UUOJFUOGTBHZFX-AAEUAGOBSA-N 0 1 299.334 0.058 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1=Cc2ccccc2OC1 ZINC000834500690 642590898 /nfs/dbraw/zinc/59/08/98/642590898.db2.gz WDORIYTTZJHVAF-OLZOCXBDSA-N 0 1 269.304 0.690 20 30 CCEDMN Cc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1[N+](=O)[O-] ZINC000834505753 642592167 /nfs/dbraw/zinc/59/21/67/642592167.db2.gz NPTBRPXJADLZCU-GXSJLCMTSA-N 0 1 274.280 0.745 20 30 CCEDMN C[C@H](Oc1ccccc1)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834512450 642597551 /nfs/dbraw/zinc/59/75/51/642597551.db2.gz XACXPESTBVQZKA-LOWVWBTDSA-N 0 1 259.309 0.682 20 30 CCEDMN Cc1nn(C)c2nc(C)c(C(=O)N[C@@H]3CNC[C@H]3C#N)cc12 ZINC000834516067 642599185 /nfs/dbraw/zinc/59/91/85/642599185.db2.gz FEGDCCJADYJROC-ZWNOBZJWSA-N 0 1 298.350 0.427 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(-c2cccnc2)on1 ZINC000834520992 642601816 /nfs/dbraw/zinc/60/18/16/642601816.db2.gz AYOKIQVGUJSDQM-ZYHUDNBSSA-N 0 1 283.291 0.578 20 30 CCEDMN Cc1ccc(-n2cc(C(=O)N[C@H]3CNC[C@@H]3C#N)nn2)cc1 ZINC000834521789 642603036 /nfs/dbraw/zinc/60/30/36/642603036.db2.gz SUHYFJKFVHDXJP-AAEUAGOBSA-N 0 1 296.334 0.417 20 30 CCEDMN N#Cc1ccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000841005702 642800206 /nfs/dbraw/zinc/80/02/06/642800206.db2.gz ZTFOMONDOYANKJ-CMPLNLGQSA-N 0 1 255.281 0.791 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1cccc2c1OCO2 ZINC000841185223 642807285 /nfs/dbraw/zinc/80/72/85/642807285.db2.gz URVUCXDMIJPIDI-WPRPVWTQSA-N 0 1 274.280 0.648 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000843463822 643067421 /nfs/dbraw/zinc/06/74/21/643067421.db2.gz YECOARSUMPQMHZ-ONGXEEELSA-N 0 1 289.295 0.234 20 30 CCEDMN COc1ccc(OCCC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000843464024 643067953 /nfs/dbraw/zinc/06/79/53/643067953.db2.gz ZFBKPADZMGKLNM-FZMZJTMJSA-N 0 1 289.335 0.692 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CNc1cccc(Cl)c1 ZINC000844195629 643179451 /nfs/dbraw/zinc/17/94/51/643179451.db2.gz XNLOCRNKDRTGGZ-SKDRFNHKSA-N 0 1 278.743 0.980 20 30 CCEDMN N#Cc1cccc(OCC(=O)NCCCc2nc[nH]n2)c1 ZINC000846647072 643435440 /nfs/dbraw/zinc/43/54/40/643435440.db2.gz XDSCZUMHDALKBA-UHFFFAOYSA-N 0 1 285.307 0.804 20 30 CCEDMN C#C[C@H]1CCCN([C@H](C)C(=O)NC(=O)NCC)C1 ZINC000847030196 643480734 /nfs/dbraw/zinc/48/07/34/643480734.db2.gz PCZWPOLLFPBMQZ-MNOVXSKESA-N 0 1 251.330 0.566 20 30 CCEDMN N#CC(C(=O)NC1CCCC1)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000850768083 643812052 /nfs/dbraw/zinc/81/20/52/643812052.db2.gz LTBLFZAMUYECRT-WYUUTHIRSA-N 0 1 262.309 0.646 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ncoc1-c1ccon1 ZINC000852828174 644013737 /nfs/dbraw/zinc/01/37/37/644013737.db2.gz UVRDNYRYCLZVEP-CBAPKCEASA-N 0 1 273.252 0.171 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1cccc(N)c1Cl ZINC000852827822 644014052 /nfs/dbraw/zinc/01/40/52/644014052.db2.gz QDGOVWJVVCXQBE-GXSJLCMTSA-N 0 1 278.743 0.692 20 30 CCEDMN Cn1ccnc(NN=Cc2cc3n(n2)CCC3)c1=O ZINC000853313063 644097771 /nfs/dbraw/zinc/09/77/71/644097771.db2.gz CLPFEOSIGOTENE-UHFFFAOYSA-N 0 1 258.285 0.369 20 30 CCEDMN Cn1cc[nH]c(=NN=Cc2cc3n(n2)CCC3)c1=O ZINC000853313063 644097778 /nfs/dbraw/zinc/09/77/78/644097778.db2.gz CLPFEOSIGOTENE-UHFFFAOYSA-N 0 1 258.285 0.369 20 30 CCEDMN N#C[C@@H](CO)NC(=O)C(F)(F)c1ccc(F)cc1 ZINC000854872636 644268931 /nfs/dbraw/zinc/26/89/31/644268931.db2.gz MICALIGPOVMSDI-VIFPVBQESA-N 0 1 258.199 0.918 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001018849873 650916362 /nfs/dbraw/zinc/91/63/62/650916362.db2.gz JQWIYMOJPJHKRB-RYUDHWBXSA-N 0 1 273.340 0.544 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2cc(Br)[nH]n2)C1 ZINC001018931805 650922301 /nfs/dbraw/zinc/92/23/01/650922301.db2.gz MALUNFZGYJGKEU-ZETCQYMHSA-N 0 1 298.144 0.500 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnn(C)n2)C1 ZINC001019511345 650982258 /nfs/dbraw/zinc/98/22/58/650982258.db2.gz JCPAQMBEUJPMOI-VIFPVBQESA-N 0 1 269.736 0.372 20 30 CCEDMN Cc1cc(C#N)nc(N2CC(CNC(=O)C(F)(F)F)C2)n1 ZINC000866294580 645515058 /nfs/dbraw/zinc/51/50/58/645515058.db2.gz SLIUOYRSFJDXSI-UHFFFAOYSA-N 0 1 299.256 0.771 20 30 CCEDMN N#C[C@@]1(C(=O)NCCN2CC=CCC2)CCCOC1 ZINC000867921869 645682350 /nfs/dbraw/zinc/68/23/50/645682350.db2.gz QGMJHKYQHANYLG-AWEZNQCLSA-N 0 1 263.341 0.685 20 30 CCEDMN N#C[C@@]1(C(=O)N[C@@H](CO)CN2CCCCC2)CCCOC1 ZINC000868243126 645736557 /nfs/dbraw/zinc/73/65/57/645736557.db2.gz FZKVZPKHZJQZQM-HIFRSBDPSA-N 0 1 295.383 0.270 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)Cc2n[nH]c3c2CCCC3)C1 ZINC001020156438 651044367 /nfs/dbraw/zinc/04/43/67/651044367.db2.gz MCKLXDSDUOBCBU-XYPYZODXSA-N 0 1 287.367 0.591 20 30 CCEDMN N#C[C@]1(C(=O)NC[C@@H]2CCC[N@@H+]2CCCO)CCCOC1 ZINC000868629577 645796117 /nfs/dbraw/zinc/79/61/17/645796117.db2.gz QMSYGCXZRNSCNR-DZGCQCFKSA-N 0 1 295.383 0.270 20 30 CCEDMN Cn1cc(N=NCc2cn(C[C@H]3CCOC3)nn2)cn1 ZINC000872369246 646252552 /nfs/dbraw/zinc/25/25/52/646252552.db2.gz GDPXDSJRNNZKKT-SNVBAGLBSA-N 0 1 275.316 0.494 20 30 CCEDMN Cc1ccc(S(=O)(=O)NCCN(C)C)c(C#N)c1 ZINC000872430750 646259801 /nfs/dbraw/zinc/25/98/01/646259801.db2.gz YVOAVKPQORBIQG-UHFFFAOYSA-N 0 1 267.354 0.707 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2NCCc3cc(C#N)ccc32)CCO1 ZINC000876570375 646626135 /nfs/dbraw/zinc/62/61/35/646626135.db2.gz BFAHXVZEAUFQFF-IAQYHMDHSA-N 0 1 285.347 0.992 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NCC1(CO)CC1 ZINC000876656128 646638088 /nfs/dbraw/zinc/63/80/88/646638088.db2.gz FNGCEZSXDYBJKS-CQSZACIVSA-N 0 1 285.347 0.634 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1csc(NC2CC2)n1 ZINC000876801383 646669237 /nfs/dbraw/zinc/66/92/37/646669237.db2.gz BTCNDKXNMCVSAV-VXNVDRBHSA-N 0 1 277.353 0.559 20 30 CCEDMN Cc1ccc2c(c1)C=C(C(=O)N[C@H]1CNC[C@H]1C#N)CO2 ZINC000876803197 646670791 /nfs/dbraw/zinc/67/07/91/646670791.db2.gz VEZUUFGVIKOKBO-KGLIPLIRSA-N 0 1 283.331 0.999 20 30 CCEDMN Cc1cc(C2(C(=O)N[C@H]3CNC[C@@H]3C#N)CC2)on1 ZINC000876803595 646670796 /nfs/dbraw/zinc/67/07/96/646670796.db2.gz XILSBCLKZHIUTP-UWVGGRQHSA-N 0 1 260.297 0.242 20 30 CCEDMN N#Cc1cnc(Cl)c(CNC[C@H](O)Cn2ccnn2)c1 ZINC000877585772 646826833 /nfs/dbraw/zinc/82/68/33/646826833.db2.gz WJOOCWJRJLAREL-NSHDSACASA-N 0 1 292.730 0.349 20 30 CCEDMN C#CCN(C[C@H](O)COC[C@@H]1CCCO1)C1CSC1 ZINC000878913555 646996862 /nfs/dbraw/zinc/99/68/62/646996862.db2.gz UMRTWBHTVJDYMT-KBPBESRZSA-N 0 1 285.409 0.594 20 30 CCEDMN N#CCCCCN1CCC[C@H]2OCCNC(=O)[C@H]21 ZINC000879720470 647101011 /nfs/dbraw/zinc/10/10/11/647101011.db2.gz YUXOOBCLYCDQCI-NEPJUHHUSA-N 0 1 251.330 0.660 20 30 CCEDMN Cc1nc(C#N)cc(NC[C@@H](C)N2CCN(C)CC2)n1 ZINC000881908123 647350814 /nfs/dbraw/zinc/35/08/14/647350814.db2.gz MYEOUWHANLLHPD-LLVKDONJSA-N 0 1 274.372 0.705 20 30 CCEDMN Cc1nc(C)c(CC(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000884249882 647681253 /nfs/dbraw/zinc/68/12/53/647681253.db2.gz SVECTRLPCSHMJW-ZJUUUORDSA-N 0 1 264.354 0.530 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N[C@@H]2CNC[C@H]2C#N)C1 ZINC000884251253 647682655 /nfs/dbraw/zinc/68/26/55/647682655.db2.gz ZAFWXSWJBQCCEW-HJQYOEGKSA-N 0 1 273.340 0.303 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccc(C(N)=O)cc2)CC1 ZINC001006390192 647877463 /nfs/dbraw/zinc/87/74/63/647877463.db2.gz CLYOXXZMZREXJC-UHFFFAOYSA-N 0 1 299.374 0.955 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)CCCCc2cn[nH]n2)CCO1 ZINC000887682318 648140977 /nfs/dbraw/zinc/14/09/77/648140977.db2.gz DPALAMBEMZYMJQ-CYBMUJFWSA-N 0 1 277.328 0.659 20 30 CCEDMN C[C@]1([C@H]2CCCN(CC(=O)NCCC#N)C2)COC(=O)N1 ZINC000932030225 649044292 /nfs/dbraw/zinc/04/42/92/649044292.db2.gz RCXSHFCJVRMSCH-SMDDNHRTSA-N 0 1 294.355 0.227 20 30 CCEDMN C[C@@H](O)CN1CCOC2(CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000949320617 649418571 /nfs/dbraw/zinc/41/85/71/649418571.db2.gz QSEJLXWUSXNTSS-CHWSQXEVSA-N 0 1 295.383 0.220 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC000951872757 649568563 /nfs/dbraw/zinc/56/85/63/649568563.db2.gz ONYBIYXFWOORMM-RKDXNWHRSA-N 0 1 293.302 0.429 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000951872632 649568689 /nfs/dbraw/zinc/56/86/89/649568689.db2.gz NDPDBJBNZCGVGP-GHMZBOCLSA-N 0 1 289.339 0.219 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cn(C)nn2)C1 ZINC001007269632 649737490 /nfs/dbraw/zinc/73/74/90/649737490.db2.gz VXPGLJROXPOTOT-NSHDSACASA-N 0 1 261.329 0.033 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C)[nH]n1 ZINC001017389692 649830212 /nfs/dbraw/zinc/83/02/12/649830212.db2.gz WNYOGKCCZAUHGH-TXEJJXNPSA-N 0 1 258.325 0.640 20 30 CCEDMN CN1CCO[C@H]2CN(c3nc(Cl)ncc3C#N)C[C@@H]21 ZINC001164672063 719380140 /nfs/dbraw/zinc/38/01/40/719380140.db2.gz YDJBUOFZZNTCNM-UWVGGRQHSA-N 0 1 279.731 0.521 20 30 CCEDMN CN1CCO[C@H]2CN(c3ccc4c(C#N)c[nH]c4n3)C[C@H]21 ZINC001164668309 719400228 /nfs/dbraw/zinc/40/02/28/719400228.db2.gz QWYJDDXIULYOHC-OLZOCXBDSA-N 0 1 283.335 0.954 20 30 CCEDMN Cc1ccc(CC#N)c(N2C[C@H]3OCCN(C)[C@H]3C2)n1 ZINC001164666887 719453492 /nfs/dbraw/zinc/45/34/92/719453492.db2.gz DRPGOAPYTDGPLC-UONOGXRCSA-N 0 1 272.352 0.975 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001211487108 790321482 /nfs/dbraw/zinc/32/14/82/790321482.db2.gz KFSJAIKJLUNEPM-MGPQQGTHSA-N 0 1 293.411 0.594 20 30 CCEDMN C=C(C)CCC(=O)NCCN(C)CCN1CCNC1=O ZINC001266278799 790385403 /nfs/dbraw/zinc/38/54/03/790385403.db2.gz SFIHUJQUXFDNFG-UHFFFAOYSA-N 0 1 282.388 0.416 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)C2(COC)CC2)CC1 ZINC001266287798 790415485 /nfs/dbraw/zinc/41/54/85/790415485.db2.gz GXPFIDJPBFWDEM-UHFFFAOYSA-N 0 1 293.411 0.170 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)CNc1nccnc1C#N ZINC001104226389 790452914 /nfs/dbraw/zinc/45/29/14/790452914.db2.gz PXQNPPJOJPMJNV-JTQLQIEISA-N 0 1 299.338 0.478 20 30 CCEDMN Cc1nc([C@@H](C)N2CC[C@@H](NC(=O)C#CC3CC3)C2)n[nH]1 ZINC001266319149 790497268 /nfs/dbraw/zinc/49/72/68/790497268.db2.gz HUMUQLMGNZEUHT-ZWNOBZJWSA-N 0 1 287.367 0.778 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc2nncn2c1 ZINC001266337388 790539069 /nfs/dbraw/zinc/53/90/69/790539069.db2.gz FSGDWTMPKZEAGM-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN CCn1cc(CC(=O)NCCN(C)CC#CCOC)cn1 ZINC001266347920 790560582 /nfs/dbraw/zinc/56/05/82/790560582.db2.gz SNEYRVCRXXWNTP-UHFFFAOYSA-N 0 1 292.383 0.143 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCNC(=O)CC(C)C)C1 ZINC001266363924 790595438 /nfs/dbraw/zinc/59/54/38/790595438.db2.gz OFPUBKNNKUNNLA-ZDUSSCGKSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCNC(=O)CC(C)C)C1 ZINC001266363923 790594476 /nfs/dbraw/zinc/59/44/76/790594476.db2.gz OFPUBKNNKUNNLA-CYBMUJFWSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1CC(=O)N(CC(C)C)C1 ZINC001266394587 790627864 /nfs/dbraw/zinc/62/78/64/790627864.db2.gz UPMGGDOHSTUYQJ-CQSZACIVSA-N 0 1 293.411 0.562 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)Cc1ncc[nH]1 ZINC001266485542 790777401 /nfs/dbraw/zinc/77/74/01/790777401.db2.gz DTPSPIJRNKNQAE-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)C2CCC2)C1 ZINC001266497858 790792457 /nfs/dbraw/zinc/79/24/57/790792457.db2.gz LXVPRMZDJDAVET-OLZOCXBDSA-N 0 1 291.395 0.363 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@@H]1C[C@@H]1C)C1CC1 ZINC001266581565 790975516 /nfs/dbraw/zinc/97/55/16/790975516.db2.gz GJSZXWNAAOIMDU-GXTWGEPZSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C1CCC(O)CC1 ZINC001038207143 791110569 /nfs/dbraw/zinc/11/05/69/791110569.db2.gz FRIVIERQYWBINW-ROKHWSDSSA-N 0 1 264.369 0.751 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CN(C)C(C)=O ZINC001230829118 805673674 /nfs/dbraw/zinc/67/36/74/805673674.db2.gz JOUGPAYUFLLSMU-ZDUSSCGKSA-N 0 1 267.373 0.574 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1ccon1 ZINC001277476218 805705857 /nfs/dbraw/zinc/70/58/57/805705857.db2.gz QXFYOUDUHJKVEX-UHFFFAOYSA-N 0 1 265.313 0.376 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCOC1 ZINC001231060527 805720007 /nfs/dbraw/zinc/72/00/07/805720007.db2.gz GFPPDDBNEPQRNG-ZIAGYGMSSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C1CC1 ZINC001231082456 805727653 /nfs/dbraw/zinc/72/76/53/805727653.db2.gz WMMCJOQRMFXNCY-LLVKDONJSA-N 0 1 267.373 0.525 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)OC ZINC001231149150 805739216 /nfs/dbraw/zinc/73/92/16/805739216.db2.gz KCMXESCOGUGDQF-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCC(=O)NCC ZINC001231198903 805749703 /nfs/dbraw/zinc/74/97/03/805749703.db2.gz UWMNLPPJVKTNFA-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN COCC#CCN1CC[C@@H]1CN(C)C(=O)c1cnc(C)[nH]1 ZINC001231208315 805751680 /nfs/dbraw/zinc/75/16/80/805751680.db2.gz HJZGSFVLPKTSGR-CYBMUJFWSA-N 0 1 290.367 0.514 20 30 CCEDMN CCCC(=O)NCCCN(C)CC(=O)N(CC)CCC#N ZINC001231223919 805755838 /nfs/dbraw/zinc/75/58/38/805755838.db2.gz PTGFEMHOCAKIKR-UHFFFAOYSA-N 0 1 296.415 0.987 20 30 CCEDMN N#Cc1ccc(CCN2CCc3c([nH]nc3C(N)=O)C2)cc1 ZINC001277643482 805918465 /nfs/dbraw/zinc/91/84/65/805918465.db2.gz OEHCIGUTINFGHW-UHFFFAOYSA-N 0 1 295.346 0.981 20 30 CCEDMN N#Cc1ccnc(CN2CCC3(CC2)CC(=O)NC(=O)C3)c1 ZINC001232478708 805945397 /nfs/dbraw/zinc/94/53/97/805945397.db2.gz JVENZIBRTKLAAS-UHFFFAOYSA-N 0 1 298.346 0.972 20 30 CCEDMN C=CCn1cc(C(=O)N2CCO[C@@H]3CN(CC)C[C@@H]32)nn1 ZINC001083217822 791188378 /nfs/dbraw/zinc/18/83/78/791188378.db2.gz PKDPVQIQQRMLBW-QWHCGFSZSA-N 0 1 291.355 0.009 20 30 CCEDMN CN(CCNCC#Cc1ccc(Cl)cc1)C(=O)C(N)=O ZINC001266824948 791269644 /nfs/dbraw/zinc/26/96/44/791269644.db2.gz XQKMGEVQZRKMON-UHFFFAOYSA-N 0 1 293.754 0.225 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)N[C@@H](C)c1n[nH]c(C)n1 ZINC001266927689 791360772 /nfs/dbraw/zinc/36/07/72/791360772.db2.gz LGKKEZOBOIORBU-UWVGGRQHSA-N 0 1 281.360 0.471 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cn(C)nc3C)C[C@@H]21 ZINC001041974809 791583372 /nfs/dbraw/zinc/58/33/72/791583372.db2.gz GSWLRGAPFQFEJL-ZFWWWQNUSA-N 0 1 286.379 0.898 20 30 CCEDMN C=CCCC(=O)NCCN(C)CCN1C(=O)CCC1=O ZINC001267189675 791644456 /nfs/dbraw/zinc/64/44/56/791644456.db2.gz ZZCDMSYEEZCKBT-UHFFFAOYSA-N 0 1 281.356 0.150 20 30 CCEDMN CC#CCN(C)CCNC(=O)C1CC(OCC)C1 ZINC001267194920 791655622 /nfs/dbraw/zinc/65/56/22/791655622.db2.gz HYQDOHZTJYYMDM-UHFFFAOYSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1csc(NC(C)=O)n1 ZINC001267205334 791671957 /nfs/dbraw/zinc/67/19/57/791671957.db2.gz HNTWFDMYMLLMEK-UHFFFAOYSA-N 0 1 294.380 0.786 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](NC(C)=O)C1CCCC1 ZINC001267206234 791672167 /nfs/dbraw/zinc/67/21/67/791672167.db2.gz RYGSMKJKDJHDMD-OAHLLOKOSA-N 0 1 293.411 0.753 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cn(C(C)C)cn1 ZINC001267209911 791675329 /nfs/dbraw/zinc/67/53/29/791675329.db2.gz RDIDUSXJIORYFG-UHFFFAOYSA-N 0 1 292.383 0.775 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001038245093 791676236 /nfs/dbraw/zinc/67/62/36/791676236.db2.gz FYXJYEUDVMSRBR-GHMZBOCLSA-N 0 1 273.340 0.544 20 30 CCEDMN CC#CC[N@H+](C)CCNC(=O)c1cc(OC)ccn1 ZINC001267210329 791678614 /nfs/dbraw/zinc/67/86/14/791678614.db2.gz FJHOBIRSTIDVLN-UHFFFAOYSA-N 0 1 261.325 0.775 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cc(OC)ccn1 ZINC001267210329 791678620 /nfs/dbraw/zinc/67/86/20/791678620.db2.gz FJHOBIRSTIDVLN-UHFFFAOYSA-N 0 1 261.325 0.775 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CCn2c(C)ncc2C1 ZINC001267217480 791687987 /nfs/dbraw/zinc/68/79/87/791687987.db2.gz HXXOADMITZCCNE-AWEZNQCLSA-N 0 1 288.395 0.825 20 30 CCEDMN C#CCCCCC(=O)N(C)C[C@H]1CCN1C[C@H](O)COC ZINC001233762859 806118162 /nfs/dbraw/zinc/11/81/62/806118162.db2.gz WJWHFZHXMYXHSW-CABCVRRESA-N 0 1 296.411 0.720 20 30 CCEDMN COC[C@H](O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234161495 806192859 /nfs/dbraw/zinc/19/28/59/806192859.db2.gz LTEYJSXSNVJICZ-ZIAGYGMSSA-N 0 1 282.384 0.186 20 30 CCEDMN COC[C@H](O)CN1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234161495 806192864 /nfs/dbraw/zinc/19/28/64/806192864.db2.gz LTEYJSXSNVJICZ-ZIAGYGMSSA-N 0 1 282.384 0.186 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1nnc(C)[nH]1 ZINC001234162483 806194620 /nfs/dbraw/zinc/19/46/20/806194620.db2.gz SCWVTAXEMVKBAL-GFCCVEGCSA-N 0 1 277.372 0.764 20 30 CCEDMN C#CCN(CC)CCNC(=O)CC1OCCCO1 ZINC001267240087 793226849 /nfs/dbraw/zinc/22/68/49/793226849.db2.gz AJARMHJWQOJYGN-UHFFFAOYSA-N 0 1 254.330 0.211 20 30 CCEDMN C#CC[N@@H+](CC)CCNC(=O)COCc1cc(C)on1 ZINC001267245582 793237029 /nfs/dbraw/zinc/23/70/29/793237029.db2.gz JTPQUALTVKZHHB-UHFFFAOYSA-N 0 1 279.340 0.571 20 30 CCEDMN C#CCN(CC)CCNC(=O)COCc1cc(C)on1 ZINC001267245582 793237035 /nfs/dbraw/zinc/23/70/35/793237035.db2.gz JTPQUALTVKZHHB-UHFFFAOYSA-N 0 1 279.340 0.571 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CN(C)C(=O)C1CC1 ZINC001234990783 806338812 /nfs/dbraw/zinc/33/88/12/806338812.db2.gz UJDPXLJLEFGAKQ-CQSZACIVSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@H]1CCNC1=O ZINC001235280036 806399775 /nfs/dbraw/zinc/39/97/75/806399775.db2.gz HZJINYDZCPSWPP-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN N#CCCCN1C[C@@H]2COC[C@H](C1)N2Cc1cn[nH]c1 ZINC001277942901 806416153 /nfs/dbraw/zinc/41/61/53/806416153.db2.gz GUNWIRNBOQTSQX-OKILXGFUSA-N 0 1 275.356 0.598 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)C[C@@H](C)OC ZINC001235418895 806420464 /nfs/dbraw/zinc/42/04/64/806420464.db2.gz RZYDBHGWWSKBPP-OLZOCXBDSA-N 0 1 299.415 0.540 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@]1(CC)CCNC1=O ZINC001235572115 806459313 /nfs/dbraw/zinc/45/93/13/806459313.db2.gz WOQCJLACPCIZIB-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCNC(=O)[C@H](C)N[C@H](CO)CNC(=O)CC(C)(C)C ZINC001278049466 806639190 /nfs/dbraw/zinc/63/91/90/806639190.db2.gz ZUZZTCAPUMLEQR-RYUDHWBXSA-N 0 1 299.415 0.180 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)C=C1CCCCC1 ZINC001278054279 806640744 /nfs/dbraw/zinc/64/07/44/806640744.db2.gz PGMJNIPSSYYUKF-HNNXBMFYSA-N 0 1 294.395 0.593 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccoc1Cl ZINC001278069397 806648599 /nfs/dbraw/zinc/64/85/99/806648599.db2.gz AACBGZOUNXXOBK-SECBINFHSA-N 0 1 270.716 0.637 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(F)c(F)c1 ZINC001278093478 806659261 /nfs/dbraw/zinc/65/92/61/806659261.db2.gz LHJOUBQICDPGOV-SNVBAGLBSA-N 0 1 268.263 0.278 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1cccc2ncccc12 ZINC001278112578 806681777 /nfs/dbraw/zinc/68/17/77/806681777.db2.gz MZLBQNFUHUQTGN-CQSZACIVSA-N 0 1 297.358 0.477 20 30 CCEDMN N#Cc1ccc2nc(CN3CC[C@]4(CCNC4=O)C3)cn2c1 ZINC001237605043 806698175 /nfs/dbraw/zinc/69/81/75/806698175.db2.gz UTRVWBIKAMVNIX-MRXNPFEDSA-N 0 1 295.346 0.918 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001278120768 806700759 /nfs/dbraw/zinc/70/07/59/806700759.db2.gz XWGCOQZICSWNNW-YIYPIFLZSA-N 0 1 264.369 0.513 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1occ2c1CCC2 ZINC001278120963 806700798 /nfs/dbraw/zinc/70/07/98/806700798.db2.gz ZSHCXWXVLUOLIC-NSHDSACASA-N 0 1 262.309 0.082 20 30 CCEDMN C=CCn1c(C(N)=O)nnc1N1CCN(CC)[C@@H](C)C1 ZINC001121510601 799055426 /nfs/dbraw/zinc/05/54/26/799055426.db2.gz ZICGGXULCSXROV-JTQLQIEISA-N 0 1 278.360 0.093 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc2c1CCN2 ZINC001121932130 799098121 /nfs/dbraw/zinc/09/81/21/799098121.db2.gz UVQPVYYVHDKTQP-NOZJJQNGSA-N 0 1 256.309 0.496 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCO[C@H](CC(C)C)C1 ZINC001122042602 799129830 /nfs/dbraw/zinc/12/98/30/799129830.db2.gz KALNBIODGMQWTI-CHWSQXEVSA-N 0 1 252.358 0.919 20 30 CCEDMN C=CCCCCCN(C)C(=O)C1CNCCS1(=O)=O ZINC001121959680 799108325 /nfs/dbraw/zinc/10/83/25/799108325.db2.gz LPFNSEZBDSXWIN-LBPRGKRZSA-N 0 1 288.413 0.578 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc2cc(F)ccc2o1 ZINC001278164772 806735606 /nfs/dbraw/zinc/73/56/06/806735606.db2.gz MOXRRFBOBPCDLC-GFCCVEGCSA-N 0 1 290.294 0.885 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001123494061 799419974 /nfs/dbraw/zinc/41/99/74/799419974.db2.gz FPSVRLJSPRGWKB-STQMWFEESA-N 0 1 251.374 0.799 20 30 CCEDMN Cc1nocc1CNCCNC(=O)CSCC#N ZINC001123791943 799474838 /nfs/dbraw/zinc/47/48/38/799474838.db2.gz AGZHBEPATPPTIL-UHFFFAOYSA-N 0 1 268.342 0.446 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@@H]1COCCO1 ZINC001123870456 799490733 /nfs/dbraw/zinc/49/07/33/799490733.db2.gz UPRSQXVKKGJGIA-VIFPVBQESA-N 0 1 293.161 0.016 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cn(C)nc1C(C)C ZINC001278194278 806756199 /nfs/dbraw/zinc/75/61/99/806756199.db2.gz HWVOGMSFTGIIOA-LBPRGKRZSA-N 0 1 292.383 0.247 20 30 CCEDMN N#Cc1cccc(C[C@H](N)C(=O)N2CCC(O)CC2)c1 ZINC001161176051 799674754 /nfs/dbraw/zinc/67/47/54/799674754.db2.gz ITKNOZYIFUZVPB-AWEZNQCLSA-N 0 1 273.336 0.411 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001278202028 806770280 /nfs/dbraw/zinc/77/02/80/806770280.db2.gz NLQZDXXNVZKKSQ-AWEZNQCLSA-N 0 1 297.358 0.995 20 30 CCEDMN COc1cc(CN(C)C[C@H](O)CO)ccc1C#N ZINC001238405941 806781898 /nfs/dbraw/zinc/78/18/98/806781898.db2.gz LAHZIWJAARHTSB-LBPRGKRZSA-N 0 1 250.298 0.352 20 30 CCEDMN N#CCS(=O)(=O)N1CC2(CN(C3CCCC3)C2)C1 ZINC001278256456 806793673 /nfs/dbraw/zinc/79/36/73/806793673.db2.gz PBVVNVSCXQMZBC-UHFFFAOYSA-N 0 1 269.370 0.400 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)CCC(=O)NCc1cccnc1 ZINC001142324605 800115682 /nfs/dbraw/zinc/11/56/82/800115682.db2.gz OYRKKQKWBJSLOM-UHFFFAOYSA-N 0 1 298.306 0.711 20 30 CCEDMN C#CCNC(=O)c1ccccc1NC(=O)Cc1n[nH]c(C)n1 ZINC001142858556 800167740 /nfs/dbraw/zinc/16/77/40/800167740.db2.gz RTTFVHWKQBFDKT-UHFFFAOYSA-N 0 1 297.318 0.657 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001149415377 800257264 /nfs/dbraw/zinc/25/72/64/800257264.db2.gz LXZQVYPVBXFMNW-CHWSQXEVSA-N 0 1 263.341 0.376 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@H](CNC(=O)CC(C)(C)O)C1 ZINC001149660919 800288028 /nfs/dbraw/zinc/28/80/28/800288028.db2.gz UZEREXFPRQBELU-CYBMUJFWSA-N 0 1 282.384 0.378 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)CC(C)(C)O)C1 ZINC001149660919 800288035 /nfs/dbraw/zinc/28/80/35/800288035.db2.gz UZEREXFPRQBELU-CYBMUJFWSA-N 0 1 282.384 0.378 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2[nH]nc3ccncc32)CCO1 ZINC001152098375 800489632 /nfs/dbraw/zinc/48/96/32/800489632.db2.gz LOQUBYGCRNAHQW-MRVPVSSYSA-N 0 1 257.253 0.322 20 30 CCEDMN N#CNC(=NC(=O)c1cc2c[nH]cnc-2n1)c1ccncc1 ZINC001152996063 800577521 /nfs/dbraw/zinc/57/75/21/800577521.db2.gz BVUUIEJAYCLCHZ-UHFFFAOYSA-N 0 1 291.274 0.962 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCN[C@H](C)c1cnccn1 ZINC001153148764 800601906 /nfs/dbraw/zinc/60/19/06/800601906.db2.gz LEECQMCBHHKZEF-NEPJUHHUSA-N 0 1 278.356 0.835 20 30 CCEDMN C=C(Br)CNCCNC(=O)CCCC(N)=O ZINC001153473827 800633911 /nfs/dbraw/zinc/63/39/11/800633911.db2.gz UWQQAAVHTKXBPD-UHFFFAOYSA-N 0 1 292.177 0.256 20 30 CCEDMN C#CCCN(CC)[C@H](C)CNC(=O)[C@H](C)S(C)(=O)=O ZINC001154039384 800704687 /nfs/dbraw/zinc/70/46/87/800704687.db2.gz WDVAFSFUUCRBPU-NEPJUHHUSA-N 0 1 288.413 0.269 20 30 CCEDMN C#CCOc1ccccc1NC(=O)CCc1nn[nH]n1 ZINC001154541957 800797007 /nfs/dbraw/zinc/79/70/07/800797007.db2.gz VZDKUQYHTQKIPW-UHFFFAOYSA-N 0 1 271.280 0.783 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1ncccc1C#N ZINC001155076088 800903936 /nfs/dbraw/zinc/90/39/36/800903936.db2.gz DAXZDRRFALCPPU-UHFFFAOYSA-N 0 1 271.280 0.774 20 30 CCEDMN N#Cc1cscc1C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001155083394 800905920 /nfs/dbraw/zinc/90/59/20/800905920.db2.gz KMKZQVYBHXFTLK-SNVBAGLBSA-N 0 1 262.294 0.806 20 30 CCEDMN Cc1nnc(CNCCCNC(=O)[C@H](C)C#N)s1 ZINC001155827641 801059617 /nfs/dbraw/zinc/05/96/17/801059617.db2.gz CVDYCTNGTJQQLD-MRVPVSSYSA-N 0 1 267.358 0.602 20 30 CCEDMN C=CCCC(=O)NCCCNCc1nnnn1C(C)C ZINC001155579882 801015578 /nfs/dbraw/zinc/01/55/78/801015578.db2.gz NYUVJSXXUSUDTI-UHFFFAOYSA-N 0 1 280.376 0.816 20 30 CCEDMN C=CCCC(=O)NCCCNCc1nnnn1CCC ZINC001155586729 801017522 /nfs/dbraw/zinc/01/75/22/801017522.db2.gz HTDRHQHPTLEIDZ-UHFFFAOYSA-N 0 1 280.376 0.645 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001155743033 801046749 /nfs/dbraw/zinc/04/67/49/801046749.db2.gz CCFKDMSBZXABPK-NWDGAFQWSA-N 0 1 277.372 0.938 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cccc3cncn32)CCO1 ZINC001155793687 801052459 /nfs/dbraw/zinc/05/24/59/801052459.db2.gz VIBPDQCCADQRHB-NSHDSACASA-N 0 1 256.265 0.699 20 30 CCEDMN Cc1nc(NCCNC(=O)C(F)(F)F)cnc1C#N ZINC001156203612 801129275 /nfs/dbraw/zinc/12/92/75/801129275.db2.gz SYQAXIQOIVKYJH-UHFFFAOYSA-N 0 1 273.218 0.747 20 30 CCEDMN CCOC(=O)CN(CCC#N)c1ncc2c(n1)CNC2 ZINC001157159758 801315389 /nfs/dbraw/zinc/31/53/89/801315389.db2.gz QZDYZEKNNJMRMC-UHFFFAOYSA-N 0 1 275.312 0.363 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1cc(OC)no1 ZINC001157201185 801324489 /nfs/dbraw/zinc/32/44/89/801324489.db2.gz CLECOMIRYZAYMN-CQSZACIVSA-N 0 1 297.355 0.606 20 30 CCEDMN N#Cc1csc(CNC(=O)c2n[nH]cc2[N+](=O)[O-])n1 ZINC001157493092 801399589 /nfs/dbraw/zinc/39/95/89/801399589.db2.gz ZEKUNMFXZOBEDA-UHFFFAOYSA-N 0 1 278.253 0.576 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)CCN2CC[C@H](F)C2)CCO1 ZINC001157523807 801405677 /nfs/dbraw/zinc/40/56/77/801405677.db2.gz GKUHIDYNFBVODC-AAEUAGOBSA-N 0 1 269.320 0.561 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)CCN2CC[C@@H](F)C2)CCO1 ZINC001157523813 801406070 /nfs/dbraw/zinc/40/60/70/801406070.db2.gz GKUHIDYNFBVODC-YPMHNXCESA-N 0 1 269.320 0.561 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCC(=O)N1 ZINC001157686493 801454179 /nfs/dbraw/zinc/45/41/79/801454179.db2.gz HIDKXMLEXOBPGU-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN CCc1nnc(CNCCCNC(=O)C#CC2CC2)n1C ZINC001157698469 801457439 /nfs/dbraw/zinc/45/74/39/801457439.db2.gz DSQPDCWKLZOJCL-UHFFFAOYSA-N 0 1 289.383 0.387 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCCC[C@@H]1CN(C)CC#C ZINC001157841844 801497793 /nfs/dbraw/zinc/49/77/93/801497793.db2.gz YWFKDHADNOUOBO-CQSZACIVSA-N 0 1 289.379 0.072 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1nonc1C ZINC001157869086 801506551 /nfs/dbraw/zinc/50/65/51/801506551.db2.gz FYQGKRIYMDXKIJ-NSHDSACASA-N 0 1 280.328 0.012 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1ncccn1 ZINC001157869579 801507602 /nfs/dbraw/zinc/50/76/02/801507602.db2.gz PKPUXLWUWZNONY-GFCCVEGCSA-N 0 1 276.340 0.111 20 30 CCEDMN N#Cc1ccc([N+](=O)[O-])c(N2CCN3CC[C@@H]3C2)n1 ZINC001158424813 801642932 /nfs/dbraw/zinc/64/29/32/801642932.db2.gz YMUOVHQVDRWDIY-SNVBAGLBSA-N 0 1 259.269 0.756 20 30 CCEDMN COc1ccc(C(=NO)Nc2ncc3c(n2)CNC3)nc1 ZINC001158664390 801681633 /nfs/dbraw/zinc/68/16/33/801681633.db2.gz BWRJPJMFIZGUIW-UHFFFAOYSA-N 0 1 286.295 0.731 20 30 CCEDMN Cc1ncc(C#N)c(N2CC(N(C)[C@H]3CCOC3)C2)n1 ZINC001158731162 801694669 /nfs/dbraw/zinc/69/46/69/801694669.db2.gz GCNHNYIIONFJMG-LBPRGKRZSA-N 0 1 273.340 0.566 20 30 CCEDMN COC(=O)n1ncc(C#N)c1Nc1ncnc2c1CCNC2 ZINC001158965156 801729946 /nfs/dbraw/zinc/72/99/46/801729946.db2.gz LJKDYBZWTDXOGF-UHFFFAOYSA-N 0 1 299.294 0.549 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC=C(CCNC(C)=O)CC1 ZINC001159021920 801740953 /nfs/dbraw/zinc/74/09/53/801740953.db2.gz ALPRZJFXHZHOBJ-LBPRGKRZSA-N 0 1 279.384 0.835 20 30 CCEDMN C=CCOc1cc(C)nc(NC[C@H]2COCCN2)n1 ZINC001159044170 801749694 /nfs/dbraw/zinc/74/96/94/801749694.db2.gz QBKZVGRFXQNOQP-NSHDSACASA-N 0 1 264.329 0.750 20 30 CCEDMN COc1ccnc(-n2[n-]c(=O)c(CC[NH3+])c2C)c1C#N ZINC001159293735 801786977 /nfs/dbraw/zinc/78/69/77/801786977.db2.gz OYTPSUYOZYMHOG-UHFFFAOYSA-N 0 1 273.296 0.663 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2ncc[nH]2)CC1 ZINC001160048643 801901292 /nfs/dbraw/zinc/90/12/92/801901292.db2.gz RVQLZQXQSFHOBQ-UHFFFAOYSA-N 0 1 258.325 0.795 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC001160869283 802036975 /nfs/dbraw/zinc/03/69/75/802036975.db2.gz PZVVFBHBVLBSIY-KBPBESRZSA-N 0 1 289.379 0.270 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC001160923083 802047643 /nfs/dbraw/zinc/04/76/43/802047643.db2.gz OTMZMLPKXHZXDB-AWEZNQCLSA-N 0 1 289.379 0.379 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001161737976 802211416 /nfs/dbraw/zinc/21/14/16/802211416.db2.gz MZBKPGUSBNULFC-ZDUSSCGKSA-N 0 1 275.352 0.037 20 30 CCEDMN CN1CCC(C#N)(Nc2cccc(C(N)=O)n2)CC1 ZINC001162232003 802292730 /nfs/dbraw/zinc/29/27/30/802292730.db2.gz YVZABOYWYCCVHA-UHFFFAOYSA-N 0 1 259.313 0.580 20 30 CCEDMN C=CCCCC(=O)NC[C@]1(O)CCN(CC#CCOC)C1 ZINC001278800452 808267327 /nfs/dbraw/zinc/26/73/27/808267327.db2.gz NTNGTLAOSZSHHE-MRXNPFEDSA-N 0 1 294.395 0.546 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)COC(C)(C)C ZINC001278854694 808336617 /nfs/dbraw/zinc/33/66/17/808336617.db2.gz GVCGCRXSBIQHDJ-LBPRGKRZSA-N 0 1 270.373 0.186 20 30 CCEDMN C=CCS(=O)(=O)NCC(F)(F)C(=O)OCC ZINC001259933375 808808804 /nfs/dbraw/zinc/80/88/04/808808804.db2.gz XEQBFGKCBGPGOH-UHFFFAOYSA-N 0 1 257.258 0.290 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1-n1c(C)nnc1CN ZINC001163354211 802545884 /nfs/dbraw/zinc/54/58/84/802545884.db2.gz ZTYIVJZTBCWKSA-UHFFFAOYSA-N 0 1 268.284 0.130 20 30 CCEDMN CCN1CCN(C2CN(C(=O)[C@@H](C)CC#N)C2)CC1 ZINC001263951090 809612673 /nfs/dbraw/zinc/61/26/73/809612673.db2.gz SGXKXYSUYPUMPQ-LBPRGKRZSA-N 0 1 264.373 0.384 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)c2ccnn2C)[C@H]1C ZINC001088575025 814934288 /nfs/dbraw/zinc/93/42/88/814934288.db2.gz COWXMKFHYIKILU-UPJWGTAASA-N 0 1 274.368 0.736 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC(=O)C2=NC(=O)N(C)C2)c1 ZINC001264713751 809675038 /nfs/dbraw/zinc/67/50/38/809675038.db2.gz DLETXCOCGXQHSK-UHFFFAOYSA-N 0 1 298.302 0.476 20 30 CCEDMN N#Cc1nc(NCCNC(=O)C(F)(F)F)cc2nc[nH]c21 ZINC001164238597 802694274 /nfs/dbraw/zinc/69/42/74/802694274.db2.gz YHQXEPUDPCCLQL-UHFFFAOYSA-N 0 1 298.228 0.920 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1ncc(C#N)cc1Cl ZINC001165437579 802841478 /nfs/dbraw/zinc/84/14/78/802841478.db2.gz TZZJKPQSSOHNSN-JTQLQIEISA-N 0 1 280.715 0.558 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1cc(Cl)cc(C#N)n1 ZINC001165438106 802842446 /nfs/dbraw/zinc/84/24/46/802842446.db2.gz CYXARKFRHCGVSD-SNVBAGLBSA-N 0 1 280.715 0.558 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@@H](C)C(=O)NC)C[C@H]1C ZINC001206537510 803262315 /nfs/dbraw/zinc/26/23/15/803262315.db2.gz WUOLDCCNMZAKFN-GRYCIOLGSA-N 0 1 267.373 0.524 20 30 CCEDMN COC(=O)C1(C#N)CCN(CC2(C)COC2)CC1 ZINC001207799359 803452433 /nfs/dbraw/zinc/45/24/33/803452433.db2.gz WHQUBPICMFNIFF-UHFFFAOYSA-N 0 1 252.314 0.802 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CC(=O)NC)C1 ZINC001207889471 803463780 /nfs/dbraw/zinc/46/37/80/803463780.db2.gz LUQQLVKBRSCXOK-JTQLQIEISA-N 0 1 273.764 0.456 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H](C)OC)[C@H](OC)C1 ZINC001211959239 814944234 /nfs/dbraw/zinc/94/42/34/814944234.db2.gz DJUVMYZJEVYRPJ-YNEHKIRRSA-N 0 1 286.372 0.039 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN([C@@H](C)C(=O)NC)C[C@H]1C ZINC001208458589 803515746 /nfs/dbraw/zinc/51/57/46/803515746.db2.gz PNFDOYAYWMCTPV-FRRDWIJNSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCCNS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC001209611803 803617469 /nfs/dbraw/zinc/61/74/69/803617469.db2.gz LINSUFGBBNKWSO-UHFFFAOYSA-N 0 1 255.255 0.291 20 30 CCEDMN COc1cc(N)n(-c2ccc(O)c(C#N)c2)c(=N)n1 ZINC001210526733 803653260 /nfs/dbraw/zinc/65/32/60/803653260.db2.gz JJHQDJMHNTXCGY-UHFFFAOYSA-N 0 1 257.253 0.520 20 30 CCEDMN CCOc1cc(N)n(-c2ccc(O)c(C#N)c2)c(=N)n1 ZINC001210527468 803653272 /nfs/dbraw/zinc/65/32/72/803653272.db2.gz RDTNTEDMMKAMJS-UHFFFAOYSA-N 0 1 271.280 0.910 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)N2CCCC2=O)C1 ZINC001211133732 803669498 /nfs/dbraw/zinc/66/94/98/803669498.db2.gz KBUSZLOUEWRMPA-MGPQQGTHSA-N 0 1 291.395 0.457 20 30 CCEDMN CC(=O)NCCN(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000402211704 803817344 /nfs/dbraw/zinc/81/73/44/803817344.db2.gz MCLWVDDMDHDDHW-CQSZACIVSA-N 0 1 261.325 0.660 20 30 CCEDMN C=CCCC[N@@H+]1C[C@H]2OCCN(C(=O)CNC(C)=O)[C@H]2C1 ZINC001217206739 803877553 /nfs/dbraw/zinc/87/75/53/803877553.db2.gz PVDKBRLXWIIDFK-UONOGXRCSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CCOC(C)C)[C@H]2C1 ZINC001217356206 803887403 /nfs/dbraw/zinc/88/74/03/803887403.db2.gz WKUDFEVVOCUVNE-LSDHHAIUSA-N 0 1 294.395 0.736 20 30 CCEDMN C=CCOCCCC(=O)N1CCO[C@@H]2C[N@H+](CC)C[C@@H]21 ZINC001217595865 803898037 /nfs/dbraw/zinc/89/80/37/803898037.db2.gz TYMGDWKXOOYADC-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCOCCCC(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001217595865 803898045 /nfs/dbraw/zinc/89/80/45/803898045.db2.gz TYMGDWKXOOYADC-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCCCCC(=O)N1CCO[C@@H]2CN(CCOC)C[C@@H]21 ZINC001217669011 803899798 /nfs/dbraw/zinc/89/97/98/803899798.db2.gz DIPDBRYMTADAFG-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN CO[C@@H](C)CN1C[C@H]2OCCN(C(=O)C#CC(C)C)[C@H]2C1 ZINC001217852467 803920556 /nfs/dbraw/zinc/92/05/56/803920556.db2.gz KHMFTOYUKSHCFX-SOUVJXGZSA-N 0 1 294.395 0.592 20 30 CCEDMN COC(=O)[C@H](CCO)Oc1nc2ccc(C#N)cc2[nH]1 ZINC001218217944 803939115 /nfs/dbraw/zinc/93/91/15/803939115.db2.gz MESZTNGTHAANAS-NSHDSACASA-N 0 1 275.264 0.737 20 30 CCEDMN N#Cc1cccc2[nH]nc(NC(=O)C[C@H]3COCCN3)c21 ZINC001218656352 804065759 /nfs/dbraw/zinc/06/57/59/804065759.db2.gz GNUJVKILAIPLLM-JTQLQIEISA-N 0 1 285.307 0.752 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1c(N)cc[nH]c1=O ZINC001218678261 804076440 /nfs/dbraw/zinc/07/64/40/804076440.db2.gz HHUVLRIBLXHBGW-LBPRGKRZSA-N 0 1 297.318 0.750 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2snnc2C)[C@@H](O)C1 ZINC001219173713 804226921 /nfs/dbraw/zinc/22/69/21/804226921.db2.gz SERXTJWGWRANGP-MNOVXSKESA-N 0 1 296.396 0.588 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC[C@H]2CCCO2)[C@@H](O)C1 ZINC001219221854 804240466 /nfs/dbraw/zinc/24/04/66/804240466.db2.gz KONQEVBTCLJMDX-MCIONIFRSA-N 0 1 282.384 0.683 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)/C=C(/C)C2CC2)[C@@H](O)C1 ZINC001219279519 804255684 /nfs/dbraw/zinc/25/56/84/804255684.db2.gz SMELXLJCMUWEFG-JSQQBDPTSA-N 0 1 250.342 0.690 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)OCC(C)C)[C@@H](O)C1 ZINC001219289127 804257593 /nfs/dbraw/zinc/25/75/93/804257593.db2.gz INWOIRMYOPMLFJ-MCIONIFRSA-N 0 1 282.384 0.232 20 30 CCEDMN CC(C)OCCCN1C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C1 ZINC001219381107 804292796 /nfs/dbraw/zinc/29/27/96/804292796.db2.gz IIVAPJDTWZXMMQ-UPJWGTAASA-N 0 1 283.372 0.122 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C/C(C)(C)C)[C@@H](O)C1 ZINC001219385636 804295021 /nfs/dbraw/zinc/29/50/21/804295021.db2.gz RRSADUCFVWHWCT-NAISLTENSA-N 0 1 264.369 0.773 20 30 CCEDMN CO[C@H](C)CN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219598136 804367008 /nfs/dbraw/zinc/36/70/08/804367008.db2.gz KDHZFMBHYTXVOJ-UPJWGTAASA-N 0 1 282.384 0.232 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)NCC1(C#N)CCC1 ZINC001219633043 804382366 /nfs/dbraw/zinc/38/23/66/804382366.db2.gz WFDZILDMEBHJBH-NSHDSACASA-N 0 1 280.372 0.286 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC(=C)C)[C@@H](O)C1 ZINC001219718905 804412881 /nfs/dbraw/zinc/41/28/81/804412881.db2.gz UXMFRABBSNWZJH-OLZOCXBDSA-N 0 1 250.342 0.527 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H](C(=O)OC)c1ccc(OC)cc1 ZINC001219974992 804460942 /nfs/dbraw/zinc/46/09/42/804460942.db2.gz LCGJTCKEOOODGG-WFASDCNBSA-N 0 1 290.319 0.376 20 30 CCEDMN N#CN=C(NC(O)=C(N)CC1CCC1)c1ccncc1 ZINC001219972551 804461501 /nfs/dbraw/zinc/46/15/01/804461501.db2.gz RFTYXQHNOBLMPM-LBPRGKRZSA-N 0 1 271.324 0.943 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCC(F)F)[C@@H](O)C1 ZINC001220021293 804475072 /nfs/dbraw/zinc/47/50/72/804475072.db2.gz QRNJPXKXEVVTGW-MNOVXSKESA-N 0 1 292.326 0.396 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001220125252 804505641 /nfs/dbraw/zinc/50/56/41/804505641.db2.gz GGHUONSQGKEWBT-NEPJUHHUSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2C)[C@@H](O)C1 ZINC001220137974 804509133 /nfs/dbraw/zinc/50/91/33/804509133.db2.gz NPLTVQRRVZHHEN-LPWJVIDDSA-N 0 1 268.357 0.006 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001220201133 804535771 /nfs/dbraw/zinc/53/57/71/804535771.db2.gz HCMWKPSCKLIWDD-XQQFMLRXSA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCC=C)[C@@H](O)C1 ZINC001220247725 804547753 /nfs/dbraw/zinc/54/77/53/804547753.db2.gz RDRDCACPZUXUCE-OLZOCXBDSA-N 0 1 250.342 0.527 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)N/C=C/C(=O)C(F)(F)F ZINC001220346128 804579582 /nfs/dbraw/zinc/57/95/82/804579582.db2.gz ZBUILEDYUFWVTI-KPJROHGDSA-N 0 1 282.262 0.890 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(CF)CC2)[C@@H](O)C1 ZINC001220336367 804576340 /nfs/dbraw/zinc/57/63/40/804576340.db2.gz RQPYUHWIUJEOOL-MNOVXSKESA-N 0 1 256.321 0.474 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1nn(C)cc1Br ZINC001220335552 804576860 /nfs/dbraw/zinc/57/68/60/804576860.db2.gz PHQRGGOYAPNKES-JTQLQIEISA-N 0 1 285.145 0.149 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)[C@@H](N)Cc1ccsc1 ZINC001220498904 804614867 /nfs/dbraw/zinc/61/48/67/804614867.db2.gz OGRDOGINRYWJNP-UWVGGRQHSA-N 0 1 281.337 0.189 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COc2cccnc2)[C@@H](O)C1 ZINC001220550555 804622688 /nfs/dbraw/zinc/62/26/88/804622688.db2.gz YJRDJOMUKSISII-KGLIPLIRSA-N 0 1 291.351 0.198 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)CC(C)C)[C@@H](O)C1 ZINC001220628561 804639791 /nfs/dbraw/zinc/63/97/91/804639791.db2.gz FJWUEEIYHHOANH-MCIONIFRSA-N 0 1 266.385 0.853 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cc(F)cc(OC)c1 ZINC001220667702 804645954 /nfs/dbraw/zinc/64/59/54/804645954.db2.gz CXTYAMMCAIZWGH-ZDUSSCGKSA-N 0 1 250.273 0.801 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H](CC(=O)OC)C1CCCCC1 ZINC001220731708 804657941 /nfs/dbraw/zinc/65/79/41/804657941.db2.gz RRFASMAPMOMSFX-WFASDCNBSA-N 0 1 280.368 0.965 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](C)c2ccco2)[C@@H](O)C1 ZINC001220778062 804665620 /nfs/dbraw/zinc/66/56/20/804665620.db2.gz PESNHGZUSMDXIW-MJBXVCDLSA-N 0 1 290.363 0.958 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)C(F)(F)F)[C@@H](O)C1 ZINC001220819745 804672877 /nfs/dbraw/zinc/67/28/77/804672877.db2.gz NNNRRHFTRYWPMP-ZJUUUORDSA-N 0 1 292.301 0.760 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CSCC#N)[C@@H]2C1 ZINC001221294577 804769382 /nfs/dbraw/zinc/76/93/82/804769382.db2.gz XNZUGFGLVKNCKW-VXGBXAGGSA-N 0 1 265.382 0.962 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CN(C)C(C)=O)[C@@H]2C1 ZINC001221294083 804769605 /nfs/dbraw/zinc/76/96/05/804769605.db2.gz FNBGPUZUWDTYGF-CHWSQXEVSA-N 0 1 265.357 0.183 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCC(=O)NCC)[C@@H]2C1 ZINC001221432151 804815914 /nfs/dbraw/zinc/81/59/14/804815914.db2.gz ONTZOVRIJONUML-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](C)CC(N)=O)[C@@H]2C1 ZINC001221731818 804838835 /nfs/dbraw/zinc/83/88/35/804838835.db2.gz RVHAWOLHULRNOA-IJLUTSLNSA-N 0 1 265.357 0.217 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)CC2CC2)CC1 ZINC001222213328 804883100 /nfs/dbraw/zinc/88/31/00/804883100.db2.gz BUBDZATYEDDMQK-UHFFFAOYSA-N 0 1 293.411 0.917 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)CSCC#N)C[C@@H]21 ZINC001222295792 804889644 /nfs/dbraw/zinc/88/96/44/804889644.db2.gz OQFAZYBATVTQEA-OLZOCXBDSA-N 0 1 277.393 0.799 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]2CCN(CC(=O)NC)[C@H]2C1 ZINC001222401367 804898528 /nfs/dbraw/zinc/89/85/28/804898528.db2.gz FHAHTAHGZBWBPI-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCC(=O)NCC1CCN([C@@H](C)C(N)=O)CC1 ZINC001222609621 804920494 /nfs/dbraw/zinc/92/04/94/804920494.db2.gz NJLCMOMBZMSKED-NSHDSACASA-N 0 1 267.373 0.655 20 30 CCEDMN N#Cc1ccc(C(=O)N2C[C@@H]3CC[C@H](C2)[C@H]3C(N)=O)c(O)c1 ZINC001276864982 804955550 /nfs/dbraw/zinc/95/55/50/804955550.db2.gz ORHNCBULVWRBDL-GNXNZQSNSA-N 0 1 299.330 0.847 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c(C)ncn2C)[C@H]1C ZINC001088639735 815045109 /nfs/dbraw/zinc/04/51/09/815045109.db2.gz NBLCFGZCNPJZTK-NEPJUHHUSA-N 0 1 260.341 0.554 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)ncn2C)[C@H]1C ZINC001088640226 815045533 /nfs/dbraw/zinc/04/55/33/815045533.db2.gz LKYHQCDFJIUSEK-OLZOCXBDSA-N 0 1 274.368 0.944 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@H]1C ZINC001088642631 815046045 /nfs/dbraw/zinc/04/60/45/815046045.db2.gz PMETUXQEFKHWSK-MNOVXSKESA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001278381172 807054669 /nfs/dbraw/zinc/05/46/69/807054669.db2.gz HRQSNVUKOONSAX-SMDDNHRTSA-N 0 1 264.325 0.153 20 30 CCEDMN C[C@@H](NC1(CNC(=O)C#CC2CC2)CC1)C(=O)NC1CC1 ZINC001277054737 805139885 /nfs/dbraw/zinc/13/98/85/805139885.db2.gz IOWOHLULQGBRRU-LLVKDONJSA-N 0 1 289.379 0.305 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCC1CCN([C@H](C)C(N)=O)CC1 ZINC001225631325 805145981 /nfs/dbraw/zinc/14/59/81/805145981.db2.gz MEDLJZWVQGVTJG-NEPJUHHUSA-N 0 1 297.399 0.280 20 30 CCEDMN N#CCNC1(CNC(=O)c2c[nH]c3ccccc3c2=O)CC1 ZINC001277102445 805197141 /nfs/dbraw/zinc/19/71/41/805197141.db2.gz VFVAKUPOCYWYMT-UHFFFAOYSA-N 0 1 296.330 0.904 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1nc(C)cc(=O)[nH]1 ZINC001226157824 805199660 /nfs/dbraw/zinc/19/96/60/805199660.db2.gz GFZURSZPSOXJSR-VIFPVBQESA-N 0 1 250.254 0.824 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H](C)SC)CC1 ZINC001226194511 805202636 /nfs/dbraw/zinc/20/26/36/805202636.db2.gz FZIYMDMXUHEHFA-GFCCVEGCSA-N 0 1 284.425 0.968 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCCc1cnn(C)c1 ZINC001226253986 805211693 /nfs/dbraw/zinc/21/16/93/805211693.db2.gz HKMIKPHBOLVHDF-UHFFFAOYSA-N 0 1 277.372 0.217 20 30 CCEDMN N#CCN1CC[C@@H](Oc2nc[nH]c(=O)c2Br)C1 ZINC001226721115 805271033 /nfs/dbraw/zinc/27/10/33/805271033.db2.gz FRKSBNCRWVLAKF-SSDOTTSWSA-N 0 1 299.128 0.921 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1CCN([C@@H](C)C(=O)NC)CC1 ZINC001227124295 805324928 /nfs/dbraw/zinc/32/49/28/805324928.db2.gz PYHDZSDUMTZVJI-NHYWBVRUSA-N 0 1 297.399 0.029 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C#CC2CC2)CC1 ZINC001227289544 805340001 /nfs/dbraw/zinc/34/00/01/805340001.db2.gz AQYCCXHOSNZEQO-UHFFFAOYSA-N 0 1 274.364 0.630 20 30 CCEDMN C=C(C)C(=O)NC1(C(=O)OCC)CCN(C)CC1 ZINC001142515306 815070545 /nfs/dbraw/zinc/07/05/45/815070545.db2.gz FTDBCIXWZXZGPH-UHFFFAOYSA-N 0 1 254.330 0.706 20 30 CCEDMN C=C[C@H](Oc1c[nH]c(C(=O)OC)cc1=O)C(=O)OC ZINC001227787233 805388695 /nfs/dbraw/zinc/38/86/95/805388695.db2.gz ZXGBINNZYUYPCC-VIFPVBQESA-N 0 1 267.237 0.268 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2c[nH]nc2C)CC1 ZINC001228526784 805454344 /nfs/dbraw/zinc/45/43/44/805454344.db2.gz SCXRTCQKBQEBEZ-UHFFFAOYSA-N 0 1 290.367 0.562 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@H](C)O ZINC001277432269 805567678 /nfs/dbraw/zinc/56/76/78/805567678.db2.gz WPXDPLASXSNRBJ-LJISPDSOSA-N 0 1 294.395 0.471 20 30 CCEDMN CC#CCN1CCC(NC(=O)CC(=O)NCC2CC2)CC1 ZINC001229975979 805570711 /nfs/dbraw/zinc/57/07/11/805570711.db2.gz CJKOVDKYQYGULX-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001278409533 807083449 /nfs/dbraw/zinc/08/34/49/807083449.db2.gz HFUKAXCCHASGGS-INIZCTEOSA-N 0 1 286.379 0.974 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](NCc2ccc(C#N)s2)CN1 ZINC001246284148 807206968 /nfs/dbraw/zinc/20/69/68/807206968.db2.gz ZXORAGNCRAQCBQ-KCJUWKMLSA-N 0 1 265.338 0.613 20 30 CCEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3ncn(C)n3)CCC[C@H]12 ZINC000990547813 815125098 /nfs/dbraw/zinc/12/50/98/815125098.db2.gz VWMJMBKKNZDNGS-FZMZJTMJSA-N 0 1 275.356 0.728 20 30 CCEDMN N#C[C@H]1CN(Cc2cnc(N)nc2C(F)(F)F)CCC1=O ZINC001249394561 807554905 /nfs/dbraw/zinc/55/49/05/807554905.db2.gz WMHZMDVVTRZYOM-ZETCQYMHSA-N 0 1 299.256 0.992 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NCc1nc(CSC)n[nH]1 ZINC001251113573 807668444 /nfs/dbraw/zinc/66/84/44/807668444.db2.gz NNKKPVIMSLHVKB-QMMMGPOBSA-N 0 1 285.373 0.404 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NCc1nnc(CSC)[nH]1 ZINC001251113573 807668446 /nfs/dbraw/zinc/66/84/46/807668446.db2.gz NNKKPVIMSLHVKB-QMMMGPOBSA-N 0 1 285.373 0.404 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC001251642510 807692239 /nfs/dbraw/zinc/69/22/39/807692239.db2.gz SXYVNFAFXDCPRD-GHMZBOCLSA-N 0 1 278.356 0.893 20 30 CCEDMN C#CCOC[C@@H](O)CN(C)Cc1c(C)n[nH]c1C ZINC001251851949 807720482 /nfs/dbraw/zinc/72/04/82/807720482.db2.gz WVSXVGKPJPTPHB-LBPRGKRZSA-N 0 1 251.330 0.469 20 30 CCEDMN C#CCOC[C@H](O)CN(C)Cc1cccc2c1OCO2 ZINC001251859993 807725779 /nfs/dbraw/zinc/72/57/79/807725779.db2.gz AGTTZTXDULALCU-CYBMUJFWSA-N 0 1 277.320 0.858 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ccc(Cl)cn1 ZINC001251887857 807734546 /nfs/dbraw/zinc/73/45/46/807734546.db2.gz LAKPUEAWDMKEBM-GFCCVEGCSA-N 0 1 254.717 0.835 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cn(CC(C)C)cn1 ZINC001251900083 807740934 /nfs/dbraw/zinc/74/09/34/807740934.db2.gz JBSJCYSKIOIGCB-AWEZNQCLSA-N 0 1 265.357 0.639 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cccc(OCC)n1 ZINC001251900177 807742064 /nfs/dbraw/zinc/74/20/64/807742064.db2.gz KXSAFGCGDXNJAB-CYBMUJFWSA-N 0 1 264.325 0.581 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1NC[C@H](O)c1ccccc1 ZINC001252012949 807759194 /nfs/dbraw/zinc/75/91/94/807759194.db2.gz GVQBKJCWMNNGAG-DLOVCJGASA-N 0 1 250.298 0.546 20 30 CCEDMN CC(C)S(=O)(=O)Nc1c(C#N)cnc2ccnn21 ZINC001252426690 807841540 /nfs/dbraw/zinc/84/15/40/807841540.db2.gz FEHDOWDOVOPEFT-UHFFFAOYSA-N 0 1 265.298 0.751 20 30 CCEDMN C=CCOC[C@H](O)CN1CCCC[C@@H]1C(=O)NCCC ZINC001252465796 807876224 /nfs/dbraw/zinc/87/62/24/807876224.db2.gz JGRIUEORYKOVPX-ZIAGYGMSSA-N 0 1 284.400 0.931 20 30 CCEDMN C=CCOC[C@H](O)CN(C)Cc1cnc(C)nc1 ZINC001252471568 807880845 /nfs/dbraw/zinc/88/08/45/807880845.db2.gz YTLCXVMHASTWFG-CYBMUJFWSA-N 0 1 251.330 0.780 20 30 CCEDMN C=C[C@@](C)(O)CN1CCCC(O)=C1C(=O)OCC ZINC001252555568 807909362 /nfs/dbraw/zinc/90/93/62/807909362.db2.gz XXPAIHJEQMGBCF-WCQYABFASA-N 0 1 255.314 0.520 20 30 CCEDMN C=CCC[C@H](O)CNCc1ccc(S(C)(=O)=O)cn1 ZINC001252611684 807919006 /nfs/dbraw/zinc/91/90/06/807919006.db2.gz CZXNDOCLFMDQFB-LBPRGKRZSA-N 0 1 284.381 0.902 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1NC[C@H](O)c1ccc(F)cc1 ZINC001252864848 807979017 /nfs/dbraw/zinc/97/90/17/807979017.db2.gz QUMIYPNMTPXTPT-UWJYBYFXSA-N 0 1 268.288 0.685 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)c1ccn(-c2ccncc2)n1 ZINC001038493004 815171673 /nfs/dbraw/zinc/17/16/73/815171673.db2.gz GONBSKUZSJKIKM-CQSZACIVSA-N 0 1 295.346 0.705 20 30 CCEDMN C=C[C@H](O)CNc1nc[nH]c(=O)c1Br ZINC001253573925 808081143 /nfs/dbraw/zinc/08/11/43/808081143.db2.gz KQLREHXMVMZLLL-YFKPBYRVSA-N 0 1 260.091 0.904 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2CCCCC2)C1 ZINC001278752989 808167005 /nfs/dbraw/zinc/16/70/05/808167005.db2.gz QXNFLXQAQGJKQR-OAHLLOKOSA-N 0 1 264.369 0.753 20 30 CCEDMN CC[C@@H](F)CN1CC[C@](O)(CNC(=O)C#CC(C)C)C1 ZINC001278776334 808204038 /nfs/dbraw/zinc/20/40/38/808204038.db2.gz INPRNJSEVVBTBB-HIFRSBDPSA-N 0 1 284.375 0.947 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)N2C)[C@H]1C ZINC001088811809 815184303 /nfs/dbraw/zinc/18/43/03/815184303.db2.gz XFFFHLULPNUSPL-GRYCIOLGSA-N 0 1 265.357 0.372 20 30 CCEDMN CCOC(=O)CN1CCC(N2CC[C@H](C#N)C2)CC1 ZINC001254406488 808236471 /nfs/dbraw/zinc/23/64/71/808236471.db2.gz QYZOSWODKSSADK-GFCCVEGCSA-N 0 1 265.357 0.859 20 30 CCEDMN COC(=O)C1(N[C@H]2CC[C@H](C#N)C2)CCN(C)CC1 ZINC001254644661 808268643 /nfs/dbraw/zinc/26/86/43/808268643.db2.gz UNKLDCRIZUPNNM-RYUDHWBXSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CC[C@H](NC(=O)[C@H](C)CN1CCOCC1)C(=O)OCC ZINC001254737755 808277790 /nfs/dbraw/zinc/27/77/90/808277790.db2.gz XSAVFQOUJNSIGK-OLZOCXBDSA-N 0 1 298.383 0.579 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1(C(F)F)CCC1 ZINC001278868990 808399116 /nfs/dbraw/zinc/39/91/16/808399116.db2.gz LXCIOXYWOYTVRY-LLVKDONJSA-N 0 1 288.338 0.806 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H]1CC12CCC2 ZINC001278878766 808419921 /nfs/dbraw/zinc/41/99/21/808419921.db2.gz UCJCWDUXEGGTAT-OLZOCXBDSA-N 0 1 264.369 0.561 20 30 CCEDMN CC#CCN(C)CCN(C(=O)c1cn[nH]c(=O)c1)C(C)C ZINC001278912223 808471309 /nfs/dbraw/zinc/47/13/09/808471309.db2.gz MIMSZFWGCLTFBZ-UHFFFAOYSA-N 0 1 290.367 0.988 20 30 CCEDMN N#Cc1coc(CNC2CCS(=O)(=O)CC2)c1 ZINC001256384152 808518419 /nfs/dbraw/zinc/51/84/19/808518419.db2.gz WEIOIDJGCDKTDJ-UHFFFAOYSA-N 0 1 254.311 0.818 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@@H](C(C)(C)C)[C@H](O)C1 ZINC001256584773 808537075 /nfs/dbraw/zinc/53/70/75/808537075.db2.gz KCNPAYVMPMYUML-ZIAGYGMSSA-N 0 1 295.427 0.990 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H](CCO)C(F)(F)F ZINC001256585550 808538197 /nfs/dbraw/zinc/53/81/97/808538197.db2.gz SCBQTXGLDBTUNF-SECBINFHSA-N 0 1 281.278 0.164 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3c[nH]nc3C)[C@@H]2C1 ZINC001076113915 815246201 /nfs/dbraw/zinc/24/62/01/815246201.db2.gz VTCIUVLYHQQTKR-GXTWGEPZSA-N 0 1 272.352 0.888 20 30 CCEDMN N#CCCCC(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC001261218225 808929884 /nfs/dbraw/zinc/92/98/84/808929884.db2.gz NBTJPWDKJMYQPJ-UHFFFAOYSA-N 0 1 295.383 0.366 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(C(C)(C)C)CC1 ZINC001261255589 808941561 /nfs/dbraw/zinc/94/15/61/808941561.db2.gz BLRCDRPRMQLCCP-LBPRGKRZSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001076298549 815273995 /nfs/dbraw/zinc/27/39/95/815273995.db2.gz NSOAJVVCNJPHCB-NSHDSACASA-N 0 1 291.355 0.162 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cc3cnc[nH]3)[C@@H]2C1 ZINC001076295439 815274216 /nfs/dbraw/zinc/27/42/16/815274216.db2.gz KUGVURRFQSGTGA-GXTWGEPZSA-N 0 1 272.352 0.508 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)N1CCNC[C@@H]1COC ZINC001262581498 809355989 /nfs/dbraw/zinc/35/59/89/809355989.db2.gz URDNLZOIKASYJS-VXGBXAGGSA-N 0 1 255.362 0.971 20 30 CCEDMN C#CCNCC(=O)N[C@H](C(=O)OCC)C(C)(C)C ZINC001263089540 809438701 /nfs/dbraw/zinc/43/87/01/809438701.db2.gz LQMVSMIRGFMRKG-LLVKDONJSA-N 0 1 254.330 0.303 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2ccc(Cl)cn2)CC1 ZINC001263094699 809440110 /nfs/dbraw/zinc/44/01/10/809440110.db2.gz OZJOANFDYKEUGM-UHFFFAOYSA-N 0 1 292.770 0.606 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCN(c2ccc(F)cc2F)C1 ZINC001263099180 809442940 /nfs/dbraw/zinc/44/29/40/809442940.db2.gz AJPGGUATWQJNSP-LBPRGKRZSA-N 0 1 293.317 0.883 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001263895782 809601806 /nfs/dbraw/zinc/60/18/06/809601806.db2.gz GRIHOAGVGKIJGM-NSHDSACASA-N 0 1 278.356 0.785 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3Cc4cccnc4C3)[C@@H]2C1 ZINC001076499598 815304352 /nfs/dbraw/zinc/30/43/52/815304352.db2.gz MFKXRUZQJJKZDH-YQQAZPJKSA-N 0 1 295.386 0.962 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C(C)(C)C(N)=O)[C@H]1CC ZINC001264055721 809624976 /nfs/dbraw/zinc/62/49/76/809624976.db2.gz LKQBDZAXHPVJLO-WDEREUQCSA-N 0 1 265.357 0.100 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)NC(C)=O)[C@H]1CC ZINC001264044405 809625380 /nfs/dbraw/zinc/62/53/80/809625380.db2.gz QVJHBLWJMANFTQ-KWCYVHTRSA-N 0 1 279.384 0.503 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)Cn1cccn1 ZINC001264103462 809629548 /nfs/dbraw/zinc/62/95/48/809629548.db2.gz LTKLHPIKCLRLMZ-UHFFFAOYSA-N 0 1 292.383 0.313 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)N1CCN(CCC#N)CC1 ZINC000386196887 809638823 /nfs/dbraw/zinc/63/88/23/809638823.db2.gz QQZKJCVJMSZGKR-LBPRGKRZSA-N 0 1 282.388 0.187 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCCC(=O)N1 ZINC001264365499 809643805 /nfs/dbraw/zinc/64/38/05/809643805.db2.gz YUWHXPHCRQSOMY-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C#CC(C)C ZINC001264984151 809687961 /nfs/dbraw/zinc/68/79/61/809687961.db2.gz ZYLYUCKXNSZNKI-AWEZNQCLSA-N 0 1 291.395 0.222 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001265230612 809728060 /nfs/dbraw/zinc/72/80/60/809728060.db2.gz ITJMAWWRWSFSGL-STQMWFEESA-N 0 1 295.383 0.048 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001265303650 809752624 /nfs/dbraw/zinc/75/26/24/809752624.db2.gz LZCSPUUOTCCMHJ-KBPBESRZSA-N 0 1 297.399 0.294 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCc4nncn4C3)[C@@H]2C1 ZINC001076600386 815317573 /nfs/dbraw/zinc/31/75/73/815317573.db2.gz FIWYIPKOVYUYMT-MELADBBJSA-N 0 1 299.378 0.006 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3cnn(C)c3)[C@@H]2C1 ZINC001076602830 815318062 /nfs/dbraw/zinc/31/80/62/815318062.db2.gz CYPBDEDAJIRMDJ-LSDHHAIUSA-N 0 1 286.379 0.519 20 30 CCEDMN CCOCCC(=O)NC[C@H]1CCCN(CC#CCOC)C1 ZINC001265578666 809807383 /nfs/dbraw/zinc/80/73/83/809807383.db2.gz ZQUZYJGPIRVZSS-OAHLLOKOSA-N 0 1 296.411 0.891 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@H](CNCC#N)C1 ZINC001265619897 809815190 /nfs/dbraw/zinc/81/51/90/809815190.db2.gz WCECIRWUUWDPKE-SNVBAGLBSA-N 0 1 262.317 0.079 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H](C)NC(C)=O ZINC001265638271 809817404 /nfs/dbraw/zinc/81/74/04/809817404.db2.gz FROYVWKWURWFTM-WCQYABFASA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)NCc1ccn(C)n1 ZINC001265769160 809846934 /nfs/dbraw/zinc/84/69/34/809846934.db2.gz POQICVMHWDYDGT-LBPRGKRZSA-N 0 1 262.357 0.818 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](C)NCc1cc(C)n(C)n1 ZINC001265771087 809848347 /nfs/dbraw/zinc/84/83/47/809848347.db2.gz PJCLNYRTXFWEPU-XHDPSFHLSA-N 0 1 294.399 0.650 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H](C)NCc1cc(C)n(C)n1 ZINC001265775167 809850898 /nfs/dbraw/zinc/85/08/98/809850898.db2.gz OBFHOEYGJPJNFD-WCQYABFASA-N 0 1 292.383 0.361 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C#CC1CC1 ZINC001265843300 809873090 /nfs/dbraw/zinc/87/30/90/809873090.db2.gz YOTWJQJRXKTRSY-CYBMUJFWSA-N 0 1 291.395 0.529 20 30 CCEDMN C=CCOCC[NH2+][C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001265901071 809889159 /nfs/dbraw/zinc/88/91/59/809889159.db2.gz PUSNKKRCQMKKFG-LBPRGKRZSA-N 0 1 291.351 0.794 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC(N(C)C(=O)C#CC(C)C)CC1 ZINC001266181984 809956489 /nfs/dbraw/zinc/95/64/89/809956489.db2.gz XAWUHIKWVDNTJO-AWEZNQCLSA-N 0 1 293.411 0.833 20 30 CCEDMN CC#CCCCC(=O)N[C@H]1CCCN(CCOCCO)C1 ZINC001266233919 809968242 /nfs/dbraw/zinc/96/82/42/809968242.db2.gz UFWPOCFTMOMXCW-HNNXBMFYSA-N 0 1 296.411 0.770 20 30 CCEDMN C#CCN1CC=C(CNC(=O)Cc2cnn(C)c2)CC1 ZINC001279511107 809984072 /nfs/dbraw/zinc/98/40/72/809984072.db2.gz JUNXMZWGNFNXLA-UHFFFAOYSA-N 0 1 272.352 0.344 20 30 CCEDMN C[C@@H](NC(=O)C#CC1CC1)[C@H](C)NC(=O)c1[nH]ncc1F ZINC001280293682 810011001 /nfs/dbraw/zinc/01/10/01/810011001.db2.gz MJFYAACNZKVPTK-BDAKNGLRSA-N 0 1 292.314 0.585 20 30 CCEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001280530575 810028601 /nfs/dbraw/zinc/02/86/01/810028601.db2.gz IUSYIKLSIJYMOW-SNVBAGLBSA-N 0 1 279.344 0.738 20 30 CCEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001280530575 810028608 /nfs/dbraw/zinc/02/86/08/810028608.db2.gz IUSYIKLSIJYMOW-SNVBAGLBSA-N 0 1 279.344 0.738 20 30 CCEDMN C=CCOCC(=O)N(C)[C@H](C)CNC(=O)c1[nH]ncc1F ZINC001280535174 810030132 /nfs/dbraw/zinc/03/01/32/810030132.db2.gz HSDHDAZJJBJHIX-SECBINFHSA-N 0 1 298.318 0.328 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccccc2F)C1 ZINC001076690687 815347883 /nfs/dbraw/zinc/34/78/83/815347883.db2.gz PXPIBAXMMPILNF-ZIAGYGMSSA-N 0 1 276.311 0.624 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@@H]2CCCO2)C1 ZINC001076758690 815363639 /nfs/dbraw/zinc/36/36/39/815363639.db2.gz DKZSSHPYXKFFQI-YNEHKIRRSA-N 0 1 268.357 0.293 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cnnc(C)c2)C1 ZINC001076931632 815417021 /nfs/dbraw/zinc/41/70/21/815417021.db2.gz RJKRFESNKGPGRF-CHWSQXEVSA-N 0 1 276.340 0.136 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2c(C)ccn2C)C1 ZINC001076927243 815417584 /nfs/dbraw/zinc/41/75/84/815417584.db2.gz CAGYNSZIIJQRAC-CHWSQXEVSA-N 0 1 277.368 0.685 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCCC(=O)N(C)C ZINC001267282740 811093271 /nfs/dbraw/zinc/09/32/71/811093271.db2.gz XTXRAHZWCREQLX-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN CCc1nc(C#N)cc(-n2c(C)ncc(CN)c2=N)n1 ZINC001167673213 811155609 /nfs/dbraw/zinc/15/56/09/811155609.db2.gz PYALBKKBAIAVCY-UHFFFAOYSA-N 0 1 269.312 0.343 20 30 CCEDMN Cc1nccnc1CNCCNC(=O)c1ccc(C#N)[nH]1 ZINC001125782246 811333034 /nfs/dbraw/zinc/33/30/34/811333034.db2.gz BSYGZLNUBVDRFC-UHFFFAOYSA-N 0 1 284.323 0.504 20 30 CCEDMN C=CCCNCc1cn([C@@H]2CCN(C(=O)COC)C2)nn1 ZINC001098586941 811358037 /nfs/dbraw/zinc/35/80/37/811358037.db2.gz QJKYAEVRRYQBRG-CYBMUJFWSA-N 0 1 293.371 0.364 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCC(=O)NC)C2 ZINC001111485433 811373523 /nfs/dbraw/zinc/37/35/23/811373523.db2.gz UWRLKKHPSQHIIE-MCIONIFRSA-N 0 1 291.395 0.648 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cc3cnoc3)C[C@@H]21 ZINC001042198513 811379768 /nfs/dbraw/zinc/37/97/68/811379768.db2.gz LALUZZZOAZKKGM-KGLIPLIRSA-N 0 1 273.336 0.773 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnn2c1CCC2 ZINC001038317226 811423877 /nfs/dbraw/zinc/42/38/77/811423877.db2.gz AUWACAPPVLQADS-LBPRGKRZSA-N 0 1 272.352 0.657 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C3=COCCO3)[C@@H]2C1 ZINC001075517309 811512367 /nfs/dbraw/zinc/51/23/67/811512367.db2.gz WXBMASCDQWDULG-QWHCGFSZSA-N 0 1 276.336 0.431 20 30 CCEDMN N#CN=C(NC(O)=C1CCCS1(=O)=O)c1ccncc1 ZINC001143480644 811512597 /nfs/dbraw/zinc/51/25/97/811512597.db2.gz KMRQGFCMZUFRHD-SNVBAGLBSA-N 0 1 292.320 0.003 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)Cn2ccnc2)CC1 ZINC001267617918 811575988 /nfs/dbraw/zinc/57/59/88/811575988.db2.gz HZDNEYDJFYERCD-UHFFFAOYSA-N 0 1 291.399 0.145 20 30 CCEDMN COCC#CCN1CCC[C@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001267623589 811581038 /nfs/dbraw/zinc/58/10/38/811581038.db2.gz SULJVSRRDXPPMP-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN CN(CCCN(C)c1cncc(C#N)n1)C(=O)c1ccn[nH]1 ZINC001112028485 811604933 /nfs/dbraw/zinc/60/49/33/811604933.db2.gz OKDIUCDYOZURSI-UHFFFAOYSA-N 0 1 299.338 0.670 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC1CN(CCOC(C)C)C1 ZINC001267680767 811645225 /nfs/dbraw/zinc/64/52/25/811645225.db2.gz WPMRVWALTYAYJF-OAHLLOKOSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H]2C[C@H]1CN2CCC[C@H](C)O ZINC001267706605 811670636 /nfs/dbraw/zinc/67/06/36/811670636.db2.gz FBDSABIJBYLJGA-IHRRRGAJSA-N 0 1 282.384 0.635 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001267721882 811687372 /nfs/dbraw/zinc/68/73/72/811687372.db2.gz NMYPGXKUAQNPKI-JSGCOSHPSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001267738799 811717243 /nfs/dbraw/zinc/71/72/43/811717243.db2.gz CLYKFYXOCQZYHH-LLVKDONJSA-N 0 1 294.355 0.430 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](N(C)C(=O)COCC)C1 ZINC001267755735 811751994 /nfs/dbraw/zinc/75/19/94/811751994.db2.gz PFXUSMPFAGQMHF-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C(C)(C)C(N)=O)C1 ZINC001267766274 811763440 /nfs/dbraw/zinc/76/34/40/811763440.db2.gz GWKCGVRUQBONLX-SNVBAGLBSA-N 0 1 253.346 0.217 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1ncn[nH]1)Nc1ccncc1C#N ZINC001105212009 811864217 /nfs/dbraw/zinc/86/42/17/811864217.db2.gz UNWWROYJEVTEEK-GFCCVEGCSA-N 0 1 299.338 0.360 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1nc[nH]n1)Nc1ccncc1C#N ZINC001105212009 811864225 /nfs/dbraw/zinc/86/42/25/811864225.db2.gz UNWWROYJEVTEEK-GFCCVEGCSA-N 0 1 299.338 0.360 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)nc1 ZINC001105305296 811901718 /nfs/dbraw/zinc/90/17/18/811901718.db2.gz XCMPFYHDZVUWIE-LBPRGKRZSA-N 0 1 299.338 0.938 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)CNCc1cn(C)nn1 ZINC001267978236 811917098 /nfs/dbraw/zinc/91/70/98/811917098.db2.gz BUJSSLYLBCKQCA-GFCCVEGCSA-N 0 1 277.372 0.461 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cnsn3)[C@@H]2C1 ZINC001075570850 812029857 /nfs/dbraw/zinc/02/98/57/812029857.db2.gz YQKVHYMIVNVPHC-GXSJLCMTSA-N 0 1 264.354 0.870 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1cn(C)nn1 ZINC001027866068 812104519 /nfs/dbraw/zinc/10/45/19/812104519.db2.gz VFHKOQHOOALLHD-LLVKDONJSA-N 0 1 261.329 0.033 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccnc2ccnn21 ZINC001027924407 812144339 /nfs/dbraw/zinc/14/43/39/812144339.db2.gz INPVVKPMLVASLP-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccnc2ccnn21 ZINC001027924407 812144342 /nfs/dbraw/zinc/14/43/42/812144342.db2.gz INPVVKPMLVASLP-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCc2ncncc2C1 ZINC001027928419 812147029 /nfs/dbraw/zinc/14/70/29/812147029.db2.gz OQRGSRGNBGSCSJ-UKRRQHHQSA-N 0 1 298.390 0.795 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001027953389 812161498 /nfs/dbraw/zinc/16/14/98/812161498.db2.gz NJIYYVACNXDXEN-NSHDSACASA-N 0 1 260.297 0.010 20 30 CCEDMN Cc1cc(N[C@H]2CCN(C(=O)c3ccn[nH]3)C2)c(C#N)cn1 ZINC001058846463 812179961 /nfs/dbraw/zinc/17/99/61/812179961.db2.gz WEQYHMVOQIMPPP-LBPRGKRZSA-N 0 1 296.334 0.733 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CC23CCOCC3)CC1 ZINC000709670621 812199394 /nfs/dbraw/zinc/19/93/94/812199394.db2.gz AQTCDMQQEXKUOH-GFCCVEGCSA-N 0 1 277.368 0.907 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ncc(OC)cn1 ZINC001028015666 812215493 /nfs/dbraw/zinc/21/54/93/812215493.db2.gz HNHUNZNSWWFMTQ-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccnc(OC)n1 ZINC001028020678 812218220 /nfs/dbraw/zinc/21/82/20/812218220.db2.gz ZCEKNWNGZDBPLP-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnn2cccnc12 ZINC001028065277 812240655 /nfs/dbraw/zinc/24/06/55/812240655.db2.gz CDBSXAGTDVOZOD-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1c[nH]nc1C ZINC001028063605 812240933 /nfs/dbraw/zinc/24/09/33/812240933.db2.gz QFWPYKVIASYSIK-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN CC(C)C[C@@H](CNCC#N)NC(=O)c1cnn[nH]1 ZINC001268107939 812267080 /nfs/dbraw/zinc/26/70/80/812267080.db2.gz IXJBSSPUERNMTP-VIFPVBQESA-N 0 1 250.306 0.062 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCOC3)[C@@H]2C1 ZINC001075605068 812330109 /nfs/dbraw/zinc/33/01/09/812330109.db2.gz NPIFTBZYINMLHO-RRFJBIMHSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnc2cccnn21 ZINC001028186985 812339958 /nfs/dbraw/zinc/33/99/58/812339958.db2.gz YLJWREUBWATKQJ-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC1CN(CC2CCOCC2)C1 ZINC001268298669 812459466 /nfs/dbraw/zinc/45/94/66/812459466.db2.gz BDQZDKFTYHEYCT-GFCCVEGCSA-N 0 1 280.368 0.252 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001038976915 812520747 /nfs/dbraw/zinc/52/07/47/812520747.db2.gz RUTNFUDJZAZYIL-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@@H]1CN(C(C)=O)CCO1 ZINC001028294529 812594324 /nfs/dbraw/zinc/59/43/24/812594324.db2.gz DZLVZIYNFYHJRB-KBPBESRZSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cncc(C(N)=O)c1 ZINC001028323217 812617464 /nfs/dbraw/zinc/61/74/64/812617464.db2.gz PSKSOUPMKQNTFK-CYBMUJFWSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)nc1 ZINC001028348053 812635509 /nfs/dbraw/zinc/63/55/09/812635509.db2.gz JXLDMRLRYWSKLN-GFCCVEGCSA-N 0 1 286.335 0.008 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1conc1C ZINC001126366727 812684568 /nfs/dbraw/zinc/68/45/68/812684568.db2.gz YXDMGYBTGKRIPK-ZDUSSCGKSA-N 0 1 267.329 0.516 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001028581775 812863568 /nfs/dbraw/zinc/86/35/68/812863568.db2.gz LYZLERFAJMBZMY-LLVKDONJSA-N 0 1 298.350 0.428 20 30 CCEDMN Cc1coc(C(=O)NC/C=C\CNCC(=O)NCC#N)c1 ZINC001268580932 812865383 /nfs/dbraw/zinc/86/53/83/812865383.db2.gz SODPHOOGQFMZSX-IHWYPQMZSA-N 0 1 290.323 0.103 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCOC2)[C@@H](O)C1 ZINC001083362464 812909600 /nfs/dbraw/zinc/90/96/00/812909600.db2.gz KCMOMSLEOPJGLF-UPJWGTAASA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CCCOC2)[C@@H](O)C1 ZINC001083362464 812909604 /nfs/dbraw/zinc/90/96/04/812909604.db2.gz KCMOMSLEOPJGLF-UPJWGTAASA-N 0 1 268.357 0.150 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1ccncc1Cl ZINC001127027046 815564306 /nfs/dbraw/zinc/56/43/06/815564306.db2.gz FSBHQFLIIOMECO-UHFFFAOYSA-N 0 1 295.770 0.981 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC001028620742 812982500 /nfs/dbraw/zinc/98/25/00/812982500.db2.gz MGPPLRZZHANQSZ-JTQLQIEISA-N 0 1 296.758 0.986 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)CN[C@@H](C)c1cnccn1 ZINC001268683259 813006398 /nfs/dbraw/zinc/00/63/98/813006398.db2.gz SWXDXANJSFREPH-RYUDHWBXSA-N 0 1 278.356 0.835 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1nccnc1N ZINC001268726922 813039850 /nfs/dbraw/zinc/03/98/50/813039850.db2.gz SXVCXBBKFKBEFU-SNVBAGLBSA-N 0 1 261.329 0.132 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1cocn1 ZINC001268725601 813042731 /nfs/dbraw/zinc/04/27/31/813042731.db2.gz NVVPCMZUHRXYNP-NSHDSACASA-N 0 1 265.313 0.375 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CN(C)CCN1CCNC1=O ZINC001268730267 813044618 /nfs/dbraw/zinc/04/46/18/813044618.db2.gz NHEMTKPSNWFEEU-ZDUSSCGKSA-N 0 1 294.399 0.108 20 30 CCEDMN C#CC[N@@H+](C)C[C@H](C)NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001268733870 813050112 /nfs/dbraw/zinc/05/01/12/813050112.db2.gz MWHRMNUERSUYHM-QJPTWQEYSA-N 0 1 260.341 0.583 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cnn2cccnc12 ZINC001268739374 813052746 /nfs/dbraw/zinc/05/27/46/813052746.db2.gz ADRSCJCTQYWZPL-LBPRGKRZSA-N 0 1 285.351 0.803 20 30 CCEDMN CCCn1ncnc1CNCCCN(C)C(=O)[C@H](C)C#N ZINC001268783566 813084880 /nfs/dbraw/zinc/08/48/80/813084880.db2.gz KOWKKCLPIBHRLL-GFCCVEGCSA-N 0 1 292.387 0.786 20 30 CCEDMN C#CC(=O)N1CCC[C@@]2(CCN(CC(=O)N(C)C)C2)C1 ZINC001268941436 813151517 /nfs/dbraw/zinc/15/15/17/813151517.db2.gz AIGHWOXVWWBEKD-HNNXBMFYSA-N 0 1 277.368 0.022 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1snnc1C ZINC001127046635 815602963 /nfs/dbraw/zinc/60/29/63/815602963.db2.gz TZANXEOTSFOWMS-JTQLQIEISA-N 0 1 282.369 0.091 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)CCCCN2C(=O)c1ccn[nH]1 ZINC001269132064 813231911 /nfs/dbraw/zinc/23/19/11/813231911.db2.gz TZLZBLATHYXGEL-HNNXBMFYSA-N 0 1 286.335 0.640 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C(C)(C)NC(C)=O)C1 ZINC001269177894 813250353 /nfs/dbraw/zinc/25/03/53/813250353.db2.gz UAIIUQKIKHUDAE-LLVKDONJSA-N 0 1 253.346 0.278 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2C(=O)CN(CC)CC)C1=O ZINC001269186495 813253931 /nfs/dbraw/zinc/25/39/31/813253931.db2.gz KAFYEZJVWHVKEB-INIZCTEOSA-N 0 1 291.395 0.555 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)[C@H](OC)c1cnn(C)c1 ZINC001269266873 813297151 /nfs/dbraw/zinc/29/71/51/813297151.db2.gz LPGAHWMDQCLIBK-ZIAGYGMSSA-N 0 1 292.383 0.874 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CCc2cnn(C)n2)C1 ZINC001269276236 813302969 /nfs/dbraw/zinc/30/29/69/813302969.db2.gz YMBMFQNFXFBIBS-CQSZACIVSA-N 0 1 289.383 0.304 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2ccnc3n[nH]nc32)C1 ZINC001028681861 813304368 /nfs/dbraw/zinc/30/43/68/813304368.db2.gz CQYDQZZLHZECNZ-LLVKDONJSA-N 0 1 298.350 0.428 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CN(C)C(=O)C2CC2)C1 ZINC001269330385 813329857 /nfs/dbraw/zinc/32/98/57/813329857.db2.gz IICMRCUQPMKZQJ-CQSZACIVSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)[C@@H](C)CC)CC1 ZINC001269379226 813348962 /nfs/dbraw/zinc/34/89/62/813348962.db2.gz FAPCUORPEOZKPO-RYUDHWBXSA-N 0 1 279.384 0.409 20 30 CCEDMN CC[C@H](SC)C(=O)NCC1(NCC(=O)NCC#N)CC1 ZINC001269398634 813357428 /nfs/dbraw/zinc/35/74/28/813357428.db2.gz OIRKYMLQUSNVRN-JTQLQIEISA-N 0 1 298.412 0.006 20 30 CCEDMN C#CC[NH2+][C@H](CC)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001269476338 813385565 /nfs/dbraw/zinc/38/55/65/813385565.db2.gz BUNWIOHSKZVECD-LLVKDONJSA-N 0 1 288.351 0.791 20 30 CCEDMN C=C1CC(C)(C(=O)NCC2(N[C@@H]3CCNC3=O)CC2)C1 ZINC001269489226 813389747 /nfs/dbraw/zinc/38/97/47/813389747.db2.gz KPYAAPQDOAIVEB-LLVKDONJSA-N 0 1 277.368 0.470 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN([C@@H](C)C(N)=O)C2)cc1 ZINC001028731875 813397411 /nfs/dbraw/zinc/39/74/11/813397411.db2.gz PMSXDLDBEFUNQS-JSGCOSHPSA-N 0 1 299.374 0.593 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2C(=O)Cc2ccn[nH]2)C1=O ZINC001269663441 813463539 /nfs/dbraw/zinc/46/35/39/813463539.db2.gz BDAPIRZTTSFWLE-OAHLLOKOSA-N 0 1 288.351 0.732 20 30 CCEDMN C=CCCC(=O)N1CC[C@@]2(CCN([C@@H]3CCNC3=O)C2)C1 ZINC001269718717 813480454 /nfs/dbraw/zinc/48/04/54/813480454.db2.gz BJPGYPHAUOCTEM-CZUORRHYSA-N 0 1 291.395 0.766 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)n3cncn3)[C@@H]2C1 ZINC001075707475 813529416 /nfs/dbraw/zinc/52/94/16/813529416.db2.gz YYMAXPDTBAGIHL-HZSPNIEDSA-N 0 1 287.367 0.395 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001038414656 813656101 /nfs/dbraw/zinc/65/61/01/813656101.db2.gz BINJSYKRECZNGA-ZIAGYGMSSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN(C(=O)Cc1ncc[nH]1)C1CCN(CC#C)CC1 ZINC001270350794 813728358 /nfs/dbraw/zinc/72/83/58/813728358.db2.gz AETWKJIMZOTNSS-UHFFFAOYSA-N 0 1 284.363 0.512 20 30 CCEDMN C#CCCCC(=O)N[C@]1(C)CCN([C@@H]2CCN(C)C2=O)C1 ZINC001270575619 813821569 /nfs/dbraw/zinc/82/15/69/813821569.db2.gz FOFLRGPHXOUGTO-CZUORRHYSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)CN2CCCNC2=O)C1 ZINC001270606708 813835671 /nfs/dbraw/zinc/83/56/71/813835671.db2.gz FXYZQYWOQVWNJO-HNNXBMFYSA-N 0 1 292.383 0.006 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cc2cncn2C)C1 ZINC001270649454 813871054 /nfs/dbraw/zinc/87/10/54/813871054.db2.gz NVKYTQIPUOHQJH-CQSZACIVSA-N 0 1 260.341 0.176 20 30 CCEDMN N#CCCNC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000337828060 814006524 /nfs/dbraw/zinc/00/65/24/814006524.db2.gz YNSVOGJLBUMPII-ZDUSSCGKSA-N 0 1 280.372 0.654 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2[C@@H]1CCN(C)C1=O ZINC001029244334 814013048 /nfs/dbraw/zinc/01/30/48/814013048.db2.gz CXYVOEVUGJELKJ-WUHRBBMRSA-N 0 1 290.367 0.052 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cncnc2)[C@H]1CC ZINC001087556795 814157904 /nfs/dbraw/zinc/15/79/04/814157904.db2.gz GNMWCAUUQGGCCM-UONOGXRCSA-N 0 1 272.352 0.621 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C(CC)CC)C1 ZINC001271121669 814164258 /nfs/dbraw/zinc/16/42/58/814164258.db2.gz ULVSSAHSYIJRQS-CQSZACIVSA-N 0 1 252.358 0.609 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)[C@H]1CC ZINC001087592232 814171268 /nfs/dbraw/zinc/17/12/68/814171268.db2.gz GKQGSIBHYGBKKT-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)[C@H]1CC ZINC001087621787 814176019 /nfs/dbraw/zinc/17/60/19/814176019.db2.gz IWKFGGKMVPFADJ-ZENOOKHLSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2coc(C(N)=O)c2)[C@H]1CC ZINC001087671958 814185611 /nfs/dbraw/zinc/18/56/11/814185611.db2.gz XEMNBSNQNCXFNF-NWDGAFQWSA-N 0 1 289.335 0.594 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2[C@@H]1CCNC1=O ZINC001029422718 814218782 /nfs/dbraw/zinc/21/87/82/814218782.db2.gz VVHSHMSNBOQCTE-FRRDWIJNSA-N 0 1 291.395 0.762 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CSC(C)C)[C@H](OC)C1 ZINC001211886345 814280687 /nfs/dbraw/zinc/28/06/87/814280687.db2.gz ICTVGOGPPIOYJZ-CHWSQXEVSA-N 0 1 284.425 0.967 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)cn1 ZINC001059458184 814317517 /nfs/dbraw/zinc/31/75/17/814317517.db2.gz BHHCENSDHHRSTD-XYPYZODXSA-N 0 1 283.295 0.444 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cc(C3CC3)no2)C1 ZINC001271200364 814330289 /nfs/dbraw/zinc/33/02/89/814330289.db2.gz FSVPOXRXRLHCEJ-HNNXBMFYSA-N 0 1 289.335 0.352 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cc(C)[nH]n1 ZINC001271316443 814377406 /nfs/dbraw/zinc/37/74/06/814377406.db2.gz WIZMFWGIKCQLOK-CHWSQXEVSA-N 0 1 286.335 0.557 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3C[C@H](C)O)nc1 ZINC001029556721 814422829 /nfs/dbraw/zinc/42/28/29/814422829.db2.gz JPNGHSASFQVCSD-AEGPPILISA-N 0 1 299.374 0.733 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2=CCOCC2)[C@@H](O)C1 ZINC001083422516 814424266 /nfs/dbraw/zinc/42/42/66/814424266.db2.gz BGGBLKKKCPFAIN-OLZOCXBDSA-N 0 1 266.341 0.071 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CN(C)Cc2cnnn2C)C1 ZINC001029678770 814535415 /nfs/dbraw/zinc/53/54/15/814535415.db2.gz SSIRDCGPAYFYNO-NEPJUHHUSA-N 0 1 290.371 0.255 20 30 CCEDMN C=CCOCCN1CCC[C@@](CO)(NC(=O)[C@@H](C)C#N)C1 ZINC001271951513 814648442 /nfs/dbraw/zinc/64/84/42/814648442.db2.gz WSJRIRNRJRQDTB-DZGCQCFKSA-N 0 1 295.383 0.292 20 30 CCEDMN CCOCC(=O)N(C)CCN(C)CC#CCOC ZINC001271958852 814652701 /nfs/dbraw/zinc/65/27/01/814652701.db2.gz VJWPAKJJALPXEM-UHFFFAOYSA-N 0 1 256.346 0.063 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](NC(C)=O)[C@H]2C)C1=O ZINC001088438051 814689672 /nfs/dbraw/zinc/68/96/72/814689672.db2.gz IUWXDELHJHDKQS-KGYLQXTDSA-N 0 1 265.357 0.372 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)n(C)n2)[C@H]1C ZINC001088482595 814734523 /nfs/dbraw/zinc/73/45/23/814734523.db2.gz OFRWXSOAWCUQEY-OLZOCXBDSA-N 0 1 274.368 0.944 20 30 CCEDMN CCOC(=O)C1CO[C@@H](C)C1=Nc1nccc(C#N)n1 ZINC001168157215 814762906 /nfs/dbraw/zinc/76/29/06/814762906.db2.gz GXLPNUREXVWQNS-QMMMGPOBSA-N 0 1 274.280 0.996 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c[nH]c(=O)cn2)[C@@H]1C ZINC000986744326 814765462 /nfs/dbraw/zinc/76/54/62/814765462.db2.gz AKBDPJCEJHKDJD-NXEZZACHSA-N 0 1 296.758 0.715 20 30 CCEDMN CCn1ncc(C(=O)NCCNCC#Cc2ccccc2)n1 ZINC001126702380 814893732 /nfs/dbraw/zinc/89/37/32/814893732.db2.gz IOGZRBTYRDMEEI-UHFFFAOYSA-N 0 1 297.362 0.669 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cccc(Cl)n1 ZINC001127040099 815590515 /nfs/dbraw/zinc/59/05/15/815590515.db2.gz YGSDIYJBNFFNFX-NSHDSACASA-N 0 1 295.770 0.979 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cncc(F)c1 ZINC001127039682 815590586 /nfs/dbraw/zinc/59/05/86/815590586.db2.gz PBIYYXZWFLPYCH-NSHDSACASA-N 0 1 279.315 0.465 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cn(C)nc1CC ZINC001038385838 815637861 /nfs/dbraw/zinc/63/78/61/815637861.db2.gz RNEMGIKUMXOLND-LBPRGKRZSA-N 0 1 274.368 0.810 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)Cc2n[nH]c(C)n2)C1 ZINC001030289666 815957853 /nfs/dbraw/zinc/95/78/53/815957853.db2.gz XYVUDZORSHUZTO-UHFFFAOYSA-N 0 1 269.736 0.209 20 30 CCEDMN C#CCN1CC(NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001030313971 815971552 /nfs/dbraw/zinc/97/15/52/815971552.db2.gz LADHPDJVKVBARY-LLVKDONJSA-N 0 1 258.325 0.263 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@H]2CCNC2=O)C1 ZINC001030433441 816038364 /nfs/dbraw/zinc/03/83/64/816038364.db2.gz BSJNKFDLCQBHRF-LLVKDONJSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccc(=O)n2C)[C@H]1C ZINC001088847572 816064439 /nfs/dbraw/zinc/06/44/39/816064439.db2.gz AHYXUGDZZFLUTN-NEPJUHHUSA-N 0 1 273.336 0.211 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)c2cnc[nH]c2=O)C1 ZINC001030498294 816066669 /nfs/dbraw/zinc/06/66/69/816066669.db2.gz QBPKGALHYXYRAI-UHFFFAOYSA-N 0 1 262.313 0.562 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOC)C[C@H]1OC ZINC001212185302 816071278 /nfs/dbraw/zinc/07/12/78/816071278.db2.gz RTKUEKDOUOFVMS-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@]2(C)CCNC2=O)[C@H]1C ZINC001088854903 816079867 /nfs/dbraw/zinc/07/98/67/816079867.db2.gz HFYZXFGSOMHXMG-SUNKGSAMSA-N 0 1 265.357 0.278 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)NC1CN(CC#N)C1)C2 ZINC001030552885 816103791 /nfs/dbraw/zinc/10/37/91/816103791.db2.gz PFRUTLBKARRPQV-JTQLQIEISA-N 0 1 273.340 0.147 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2ccc(C)n2)[C@H]1C ZINC001088865745 816112798 /nfs/dbraw/zinc/11/27/98/816112798.db2.gz FPYYQJQSJPHDFO-OLZOCXBDSA-N 0 1 260.341 0.404 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2[nH]c(C)nc2C)[C@H]1C ZINC001088865994 816112964 /nfs/dbraw/zinc/11/29/64/816112964.db2.gz ULTSBQDEFBGYJJ-PWSUYJOCSA-N 0 1 260.341 0.852 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnon2)[C@H]1C ZINC001088931743 816181099 /nfs/dbraw/zinc/18/10/99/816181099.db2.gz SXHXYEZKJCFTNI-ZJUUUORDSA-N 0 1 250.302 0.838 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)[C@H]1C ZINC001089052747 816228286 /nfs/dbraw/zinc/22/82/86/816228286.db2.gz VGILMZYABSCDFV-DYEKYZERSA-N 0 1 286.379 0.727 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)[C@H]1C ZINC001089061983 816230261 /nfs/dbraw/zinc/23/02/61/816230261.db2.gz SWQMQEZFHYMWLR-MCIONIFRSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@@H](CS(C)(=O)=O)C(=O)Nc1cc(C#N)ccc1O ZINC000176407454 816471391 /nfs/dbraw/zinc/47/13/91/816471391.db2.gz OTZBLPYDCDDMRY-QMMMGPOBSA-N 0 1 282.321 0.883 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1C[C@@H](CNCC#N)[C@H](C)C1 ZINC001106198500 816640129 /nfs/dbraw/zinc/64/01/29/816640129.db2.gz CQZHUPPXMPKWOE-MCIONIFRSA-N 0 1 298.406 0.874 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)CC2CC(C)(C)C2)[C@@H](O)C1 ZINC001083721453 816653593 /nfs/dbraw/zinc/65/35/93/816653593.db2.gz CVMKOLRZIUQVKV-KGLIPLIRSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2CC(C)(C)C2)[C@@H](O)C1 ZINC001083721453 816653597 /nfs/dbraw/zinc/65/35/97/816653597.db2.gz CVMKOLRZIUQVKV-KGLIPLIRSA-N 0 1 278.396 0.997 20 30 CCEDMN C[C@H](CCNc1cncc(C#N)n1)NC(=O)c1ncn[nH]1 ZINC001106412733 816763400 /nfs/dbraw/zinc/76/34/00/816763400.db2.gz QEJLDKFCWYMYLM-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CCNc1cncc(C#N)n1)NC(=O)c1nc[nH]n1 ZINC001106412733 816763404 /nfs/dbraw/zinc/76/34/04/816763404.db2.gz QEJLDKFCWYMYLM-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CCNc1ncccc1C#N)NC(=O)c1ncn[nH]1 ZINC001106412243 816763525 /nfs/dbraw/zinc/76/35/25/816763525.db2.gz KSNBHLVJFGLESR-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@H](CCNc1ncccc1C#N)NC(=O)c1nc[nH]n1 ZINC001106412243 816763528 /nfs/dbraw/zinc/76/35/28/816763528.db2.gz KSNBHLVJFGLESR-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001046765690 816882829 /nfs/dbraw/zinc/88/28/29/816882829.db2.gz AVRSPIRKOKDLGI-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CCC(C)(C)C2)[C@@H](O)C1 ZINC001083757748 817086032 /nfs/dbraw/zinc/08/60/32/817086032.db2.gz UJXHVPYRUNWTKP-MJBXVCDLSA-N 0 1 278.396 0.997 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001031610922 817183200 /nfs/dbraw/zinc/18/32/00/817183200.db2.gz BGZOQPMYPMVPKJ-LBPRGKRZSA-N 0 1 265.357 0.137 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2(O)CCC2)[C@H](OC)C1 ZINC001212246497 817209791 /nfs/dbraw/zinc/20/97/91/817209791.db2.gz URMXXDZKCKECLW-CHWSQXEVSA-N 0 1 280.368 0.130 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)NCC1CN(CC#N)C1 ZINC001031707230 817270980 /nfs/dbraw/zinc/27/09/80/817270980.db2.gz UPIXJXHNZWZKQQ-UHFFFAOYSA-N 0 1 292.408 0.995 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3nccn3C)C2)C1=O ZINC001272677737 817290739 /nfs/dbraw/zinc/29/07/39/817290739.db2.gz BJYATWYFGFVMPW-OAHLLOKOSA-N 0 1 272.352 0.478 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)c1ccnn1C ZINC001127576202 817315330 /nfs/dbraw/zinc/31/53/30/817315330.db2.gz KVERRUSQQVOCQW-SNVBAGLBSA-N 0 1 270.764 0.982 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H](C)[C@H](NCc2cnns2)C1 ZINC001214333592 817354935 /nfs/dbraw/zinc/35/49/35/817354935.db2.gz FDLVBQAASBGUJG-ZYHUDNBSSA-N 0 1 296.396 0.677 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001212344613 817407291 /nfs/dbraw/zinc/40/72/91/817407291.db2.gz DWQINZJPRFLJAZ-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(OC)ccn2)C1 ZINC001031893457 817446871 /nfs/dbraw/zinc/44/68/71/817446871.db2.gz WOQSZZQBUPDLAL-UHFFFAOYSA-N 0 1 273.336 0.775 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001031915877 817463597 /nfs/dbraw/zinc/46/35/97/817463597.db2.gz XAAKDRDIBLVCNA-UHFFFAOYSA-N 0 1 262.313 0.338 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccc(=O)n2C)C1 ZINC001031966774 817502614 /nfs/dbraw/zinc/50/26/14/817502614.db2.gz QWQHSJDNRZWETC-UHFFFAOYSA-N 0 1 261.325 0.233 20 30 CCEDMN C=CCOCC(=O)NCCNCC(=C)Br ZINC001124765409 817568295 /nfs/dbraw/zinc/56/82/95/817568295.db2.gz WUCAHWNBTBKSNR-UHFFFAOYSA-N 0 1 277.162 0.803 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@]3(CCN(C(C)=O)C3)C2)C1=O ZINC001040965521 817584888 /nfs/dbraw/zinc/58/48/88/817584888.db2.gz MPBYQOSMWWBYAG-HOCLYGCPSA-N 0 1 291.395 0.718 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001032105991 817637767 /nfs/dbraw/zinc/63/77/67/817637767.db2.gz OOWLRAODLNJRJH-CQSZACIVSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c(C)nn(C)c2F)C1 ZINC001032155973 817670172 /nfs/dbraw/zinc/67/01/72/817670172.db2.gz HNCSDFAPDFCUJA-UHFFFAOYSA-N 0 1 266.320 0.715 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H](C)CN(C)C(=O)c2ccn[nH]2)n1 ZINC001115656203 817687624 /nfs/dbraw/zinc/68/76/24/817687624.db2.gz CTHYRPZRFUGDDY-SNVBAGLBSA-N 0 1 299.338 0.952 20 30 CCEDMN C[C@H](C#N)C(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107080820 817720185 /nfs/dbraw/zinc/72/01/85/817720185.db2.gz TWZLZAMHBHFKQQ-GKQMSVHHSA-N 0 1 285.311 0.548 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@@H]3C[C@H]2CN3C(=O)CC)C1=O ZINC001032238024 817741498 /nfs/dbraw/zinc/74/14/98/817741498.db2.gz DAXDQDZJVOHDOV-RWMBFGLXSA-N 0 1 277.368 0.468 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@]12C[C@H]1COC2 ZINC001127743007 817819375 /nfs/dbraw/zinc/81/93/75/817819375.db2.gz HSKNHVFWBFMYHA-RDJZCZTQSA-N 0 1 284.359 0.780 20 30 CCEDMN C#CCCCC(=O)NC[C@]12CCC[C@H]1N(CC(N)=O)CC2 ZINC001107383759 817845867 /nfs/dbraw/zinc/84/58/67/817845867.db2.gz FRNBQALUFAAMSH-CZUORRHYSA-N 0 1 291.395 0.636 20 30 CCEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1nnn(C)c1C ZINC001032328087 817880463 /nfs/dbraw/zinc/88/04/63/817880463.db2.gz INOACKPOLQLALC-STQMWFEESA-N 0 1 289.383 0.988 20 30 CCEDMN C[C@H](CNc1cnc(C#N)cn1)NC(=O)[C@@H]1CCCN1C ZINC001107644713 817911359 /nfs/dbraw/zinc/91/13/59/817911359.db2.gz HEVUHURWZBUMDA-PWSUYJOCSA-N 0 1 288.355 0.359 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+]([C@H](C)COC)C[C@H]2O)C1 ZINC001077645745 817912758 /nfs/dbraw/zinc/91/27/58/817912758.db2.gz UYHFBNAEYFZPID-JHJVBQTASA-N 0 1 282.384 0.539 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN([C@H](C)COC)C[C@H]2O)C1 ZINC001077645745 817912764 /nfs/dbraw/zinc/91/27/64/817912764.db2.gz UYHFBNAEYFZPID-JHJVBQTASA-N 0 1 282.384 0.539 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H]2CCCO2)C1 ZINC001107719310 817963863 /nfs/dbraw/zinc/96/38/63/817963863.db2.gz APLCYDQWIJMHMB-UKRRQHHQSA-N 0 1 282.384 0.949 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@](C)(O)C1CC1 ZINC001032361308 817990580 /nfs/dbraw/zinc/99/05/80/817990580.db2.gz JQSZUIBQZUPBRC-OFQRWUPVSA-N 0 1 276.380 0.846 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@@H](C)OC)C1 ZINC001107782138 818003377 /nfs/dbraw/zinc/00/33/77/818003377.db2.gz PCTQVBIPGAQWNY-OCCSQVGLSA-N 0 1 270.373 0.805 20 30 CCEDMN N#CCN[C@@H]1C[C@@H](NC(=O)c2[nH]ncc2F)C12CCC2 ZINC001078718557 818040684 /nfs/dbraw/zinc/04/06/84/818040684.db2.gz ROJLLIVVKFHKCB-NXEZZACHSA-N 0 1 277.303 0.703 20 30 CCEDMN CC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C[C@H]1O ZINC001089974493 818091764 /nfs/dbraw/zinc/09/17/64/818091764.db2.gz AUTLQOPQVANTLE-LSDHHAIUSA-N 0 1 273.336 0.630 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)on2)[C@@H](O)C1 ZINC001090032773 818296537 /nfs/dbraw/zinc/29/65/37/818296537.db2.gz SRNWRKVAVWQNIY-JQWIXIFHSA-N 0 1 265.313 0.334 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C2CCOCC2)C1 ZINC001032859151 818320929 /nfs/dbraw/zinc/32/09/29/818320929.db2.gz QNBUXPFLNCCYBZ-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccsc2)[C@@H](O)C1 ZINC001090047316 818343475 /nfs/dbraw/zinc/34/34/75/818343475.db2.gz RUXMSEIYHLJNDL-KBPBESRZSA-N 0 1 292.404 0.865 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cc[n+]([O-])cc2)C1 ZINC001032893059 818344097 /nfs/dbraw/zinc/34/40/97/818344097.db2.gz XZSYEHVKDLXWAF-CQSZACIVSA-N 0 1 273.336 0.490 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccsc2)[C@@H](O)C1 ZINC001090047317 818344244 /nfs/dbraw/zinc/34/42/44/818344244.db2.gz RUXMSEIYHLJNDL-KGLIPLIRSA-N 0 1 292.404 0.865 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCC[NH+]2C)C1 ZINC001032960618 818389522 /nfs/dbraw/zinc/38/95/22/818389522.db2.gz XVWLAKPNTLJJCH-KGLIPLIRSA-N 0 1 263.385 0.637 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCOC2)C1 ZINC001032953103 818389919 /nfs/dbraw/zinc/38/99/19/818389919.db2.gz WTEOCUYECBQVEJ-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2cccc(=O)[nH]2)C1 ZINC001032975486 818401187 /nfs/dbraw/zinc/40/11/87/818401187.db2.gz MYBOTTLGTMQYRT-NSHDSACASA-N 0 1 259.309 0.567 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2cccc(=O)[nH]2)C1 ZINC001032975485 818401535 /nfs/dbraw/zinc/40/15/35/818401535.db2.gz MYBOTTLGTMQYRT-LLVKDONJSA-N 0 1 259.309 0.567 20 30 CCEDMN COCC[N@H+]1CC[C@@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033024300 818435417 /nfs/dbraw/zinc/43/54/17/818435417.db2.gz VSQQFVARSBORQR-CYBMUJFWSA-N 0 1 276.340 0.679 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C2CCC(O)CC2)C1 ZINC001033037671 818448730 /nfs/dbraw/zinc/44/87/30/818448730.db2.gz KJBSWLAUHUVUSY-MOKVOYLWSA-N 0 1 264.369 0.703 20 30 CCEDMN C=CCN1C(=O)C[C@]2(CCCN(Cc3c[nH]cn3)C2)C1=O ZINC001273024364 818460715 /nfs/dbraw/zinc/46/07/15/818460715.db2.gz QROOYCQHHJTVEP-HNNXBMFYSA-N 0 1 288.351 0.937 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2ccnn2C)C1 ZINC001033048547 818461455 /nfs/dbraw/zinc/46/14/55/818461455.db2.gz UFTLMFPEJSNNKJ-CQSZACIVSA-N 0 1 274.368 0.519 20 30 CCEDMN C=CCN1C[C@@]2(CCN(Cc3cnc[nH]3)C2)OCC1=O ZINC001273024946 818462602 /nfs/dbraw/zinc/46/26/02/818462602.db2.gz YXFZGILADZZKCC-AWEZNQCLSA-N 0 1 276.340 0.399 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cccn2C)[C@@H](O)C1 ZINC001090092898 818498251 /nfs/dbraw/zinc/49/82/51/818498251.db2.gz PXCSVADTUNQVFL-OCCSQVGLSA-N 0 1 275.352 0.213 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2scnc2C)[C@@H](O)C1 ZINC001090096705 818505598 /nfs/dbraw/zinc/50/55/98/818505598.db2.gz UKLJHFBELYFBJY-QWRGUYRKSA-N 0 1 281.381 0.803 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(C)n2)[C@H](O)C1 ZINC001090095757 818508391 /nfs/dbraw/zinc/50/83/91/818508391.db2.gz ZULBSBKHRUIHIX-GXTWGEPZSA-N 0 1 275.352 0.741 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2cncc3nc[nH]c32)C1 ZINC001033102447 818520879 /nfs/dbraw/zinc/52/08/79/818520879.db2.gz OUSZBRNJRUBXSC-LLVKDONJSA-N 0 1 283.335 0.737 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001033120560 818544706 /nfs/dbraw/zinc/54/47/06/818544706.db2.gz UUUQCZWZAYIMHP-KGLIPLIRSA-N 0 1 293.411 0.962 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001033142613 818568856 /nfs/dbraw/zinc/56/88/56/818568856.db2.gz SQXFKPMWYWGOOY-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)[C@@H]1CCN(CC#N)C1 ZINC001033164521 818593112 /nfs/dbraw/zinc/59/31/12/818593112.db2.gz HITAWRASYZWUGM-IJLUTSLNSA-N 0 1 273.340 0.569 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033171909 818600999 /nfs/dbraw/zinc/60/09/99/818600999.db2.gz HPEKUVLKECUPMT-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCCNCc1n[nH]c(C)n1)OCC ZINC001128540153 818614838 /nfs/dbraw/zinc/61/48/38/818614838.db2.gz QYHZADYFNXCXRD-GFCCVEGCSA-N 0 1 295.387 0.690 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2nc(C)c[nH]2)[C@@H](O)C1 ZINC001083848212 818645925 /nfs/dbraw/zinc/64/59/25/818645925.db2.gz QMNAEOXUVLTGMM-MNOVXSKESA-N 0 1 264.329 0.069 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033205276 818649801 /nfs/dbraw/zinc/64/98/01/818649801.db2.gz XTALFBKPVWVOQW-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCn3cncc3C2)C1 ZINC001033206158 818649959 /nfs/dbraw/zinc/64/99/59/818649959.db2.gz GPHXQGVYEXLBLL-KBPBESRZSA-N 0 1 286.379 0.611 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C2CCC(C(N)=O)CC2)C1 ZINC001033222025 818659337 /nfs/dbraw/zinc/65/93/37/818659337.db2.gz YNMBNPBMMSMKIX-RUXDESIVSA-N 0 1 291.395 0.444 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2=COCCC2)[C@H](O)C1 ZINC001090128051 818678932 /nfs/dbraw/zinc/67/89/32/818678932.db2.gz JGTKJKIFCHHKLH-QWHCGFSZSA-N 0 1 266.341 0.418 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2csnn2)C1 ZINC001033305021 818700629 /nfs/dbraw/zinc/70/06/29/818700629.db2.gz TURXYUYGXWZWSJ-VIFPVBQESA-N 0 1 252.343 0.870 20 30 CCEDMN N#Cc1cccnc1N1CC[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001061634898 818702258 /nfs/dbraw/zinc/70/22/58/818702258.db2.gz NPQLXVNWFRYYAB-JTQLQIEISA-N 0 1 297.322 0.328 20 30 CCEDMN N#Cc1cccnc1N1CC[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001061634898 818702261 /nfs/dbraw/zinc/70/22/61/818702261.db2.gz NPQLXVNWFRYYAB-JTQLQIEISA-N 0 1 297.322 0.328 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001033319822 818705136 /nfs/dbraw/zinc/70/51/36/818705136.db2.gz FYDNXSSAPRAOPR-CHWSQXEVSA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001033345215 818729677 /nfs/dbraw/zinc/72/96/77/818729677.db2.gz VTJPSSIAEGDBMU-WFASDCNBSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cn2ccc(C)cc2=O)C1 ZINC001033469083 818790496 /nfs/dbraw/zinc/79/04/96/818790496.db2.gz CGNYRMUDTGQJAZ-CQSZACIVSA-N 0 1 289.379 0.875 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001033570344 818839504 /nfs/dbraw/zinc/83/95/04/818839504.db2.gz GRMRONCPPKTQLS-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCc3nncn3C2)C1 ZINC001033681591 818884271 /nfs/dbraw/zinc/88/42/71/818884271.db2.gz UVZICNGWYLMFQC-QWHCGFSZSA-N 0 1 287.367 0.006 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001033689064 818889924 /nfs/dbraw/zinc/88/99/24/818889924.db2.gz WOAOTCBXNXLDTM-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)N2CCOCC2)C1 ZINC001033720759 818897060 /nfs/dbraw/zinc/89/70/60/818897060.db2.gz YAAWRBUQDVNXGA-UONOGXRCSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2COCCN2C)C1 ZINC001033794082 818942221 /nfs/dbraw/zinc/94/22/21/818942221.db2.gz FDISVYPOVVJLSH-KBPBESRZSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ncn(C)n2)C1 ZINC001033814782 818956304 /nfs/dbraw/zinc/95/63/04/818956304.db2.gz CFDHNQWZQPSZKT-LLVKDONJSA-N 0 1 263.345 0.538 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033863058 818971306 /nfs/dbraw/zinc/97/13/06/818971306.db2.gz SCMXAMMWRZXQPM-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033869216 818974722 /nfs/dbraw/zinc/97/47/22/818974722.db2.gz JMKXASOEJPQLMP-GFCCVEGCSA-N 0 1 290.367 0.983 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2CCOCC2)[C@@H](O)C1 ZINC001090148614 818980162 /nfs/dbraw/zinc/98/01/62/818980162.db2.gz BIDHDBYXYUNSRV-KGLIPLIRSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@@H](C)OC)[C@@H](n2ccnn2)C1 ZINC001128780367 818984338 /nfs/dbraw/zinc/98/43/38/818984338.db2.gz JFGWSIVEBFVURE-UPJWGTAASA-N 0 1 293.371 0.231 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2CCOCC2)[C@@H](O)C1 ZINC001090151757 818988715 /nfs/dbraw/zinc/98/87/15/818988715.db2.gz UGLOGSHEHGLHGF-GJZGRUSLSA-N 0 1 294.395 0.378 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2CCC2)[C@H](O)C1 ZINC001090168347 819004795 /nfs/dbraw/zinc/00/47/95/819004795.db2.gz AHLVAUYNQGYGBJ-UONOGXRCSA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001034024638 819037467 /nfs/dbraw/zinc/03/74/67/819037467.db2.gz DHODKRHBCBNRBZ-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2cc(C)n[nH]2)C1 ZINC001034118841 819082577 /nfs/dbraw/zinc/08/25/77/819082577.db2.gz YCKJVDZUJWOWGZ-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc(C)n[nH]2)C1 ZINC001034118841 819082582 /nfs/dbraw/zinc/08/25/82/819082582.db2.gz YCKJVDZUJWOWGZ-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1CCCCN(CC#N)C1 ZINC001034129291 819087849 /nfs/dbraw/zinc/08/78/49/819087849.db2.gz AHGBMLOCQZDJGP-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001034150006 819096026 /nfs/dbraw/zinc/09/60/26/819096026.db2.gz IUOJJRUZLYOQJV-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC001034173118 819099235 /nfs/dbraw/zinc/09/92/35/819099235.db2.gz GVBXPXDMNPRTQQ-LLVKDONJSA-N 0 1 275.356 0.220 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC001034173728 819101563 /nfs/dbraw/zinc/10/15/63/819101563.db2.gz NHSFLACVSPDJFZ-GFCCVEGCSA-N 0 1 289.383 0.610 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC001034173729 819101938 /nfs/dbraw/zinc/10/19/38/819101938.db2.gz NHSFLACVSPDJFZ-LBPRGKRZSA-N 0 1 289.383 0.610 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CCCCN(CC#N)C2)n[nH]1 ZINC001034199766 819121145 /nfs/dbraw/zinc/12/11/45/819121145.db2.gz KMTWFZGUCHGRGL-NSHDSACASA-N 0 1 276.344 0.150 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001034232416 819131576 /nfs/dbraw/zinc/13/15/76/819131576.db2.gz UETQFIIENAGSGT-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001034232417 819131760 /nfs/dbraw/zinc/13/17/60/819131760.db2.gz UETQFIIENAGSGT-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001034244941 819138470 /nfs/dbraw/zinc/13/84/70/819138470.db2.gz SPLWRFKOCNGBAX-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)CN2CCCCC2=O)C1 ZINC001034285018 819150121 /nfs/dbraw/zinc/15/01/21/819150121.db2.gz JVDVZLJIOVNJFQ-AWEZNQCLSA-N 0 1 291.395 0.603 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001034318917 819165837 /nfs/dbraw/zinc/16/58/37/819165837.db2.gz LDVLMFIPTAHECW-ZDUSSCGKSA-N 0 1 289.383 0.444 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001034327525 819167952 /nfs/dbraw/zinc/16/79/52/819167952.db2.gz SHKQNJUPKCFAFU-SWLSCSKDSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)C2=CCOCC2)[C@H](O)C1 ZINC001090213578 819194503 /nfs/dbraw/zinc/19/45/03/819194503.db2.gz MTDLNQUMEDVNQB-CHWSQXEVSA-N 0 1 266.341 0.071 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2=CCOCC2)[C@H](O)C1 ZINC001090213578 819194508 /nfs/dbraw/zinc/19/45/08/819194508.db2.gz MTDLNQUMEDVNQB-CHWSQXEVSA-N 0 1 266.341 0.071 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2coc(CC)n2)[C@@H](O)C1 ZINC001090212047 819197081 /nfs/dbraw/zinc/19/70/81/819197081.db2.gz ZBWFZJABIJIZJK-YPMHNXCESA-N 0 1 293.367 0.978 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001034440970 819205921 /nfs/dbraw/zinc/20/59/21/819205921.db2.gz AUDPWNKMCWSRSY-CQSZACIVSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2c(C)ccn2C)[C@H](O)C1 ZINC001090219574 819224594 /nfs/dbraw/zinc/22/45/94/819224594.db2.gz SWHYLAURWCVLMQ-ZIAGYGMSSA-N 0 1 289.379 0.522 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001034509431 819226824 /nfs/dbraw/zinc/22/68/24/819226824.db2.gz WFTJCRDBXGUETP-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN Cc1cc(NC[C@@H](C)NC(=O)Cc2cnc[nH]2)c(C#N)cn1 ZINC001108136417 819280744 /nfs/dbraw/zinc/28/07/44/819280744.db2.gz XFCRPQMNZYBLKX-LLVKDONJSA-N 0 1 298.350 0.566 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(CC2CC2)C[C@@H]1n1ccnn1 ZINC001128984925 819282915 /nfs/dbraw/zinc/28/29/15/819282915.db2.gz CGUJHWRRJWZOIW-CABCVRRESA-N 0 1 299.378 0.443 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CN(CC3CC3)CCO2)c[nH]1 ZINC001035337068 819479546 /nfs/dbraw/zinc/47/95/46/819479546.db2.gz PDZBWCIKIDTFLU-CQSZACIVSA-N 0 1 288.351 0.727 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@H]1CN(CC#N)CCO1 ZINC001035610503 819589211 /nfs/dbraw/zinc/58/92/11/819589211.db2.gz XLASJOOWSIQKBD-KBPBESRZSA-N 0 1 294.399 0.201 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cc(=O)n(C)o1)CC2 ZINC001035693600 819608405 /nfs/dbraw/zinc/60/84/05/819608405.db2.gz AWUHHFMCFRWNDO-UHFFFAOYSA-N 0 1 289.335 0.150 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)CO[C@@H]1CCOC1)CC2 ZINC001035706578 819611272 /nfs/dbraw/zinc/61/12/72/819611272.db2.gz MOBSZGSKZPZPAK-CQSZACIVSA-N 0 1 294.395 0.902 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](O)C(C)C)CC2 ZINC001035701462 819611788 /nfs/dbraw/zinc/61/17/88/819611788.db2.gz ZEYJEFDYGWAWQN-CQSZACIVSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccn(C)c(=O)c1)CC2 ZINC001035735387 819617679 /nfs/dbraw/zinc/61/76/79/819617679.db2.gz UTWAMNVPMLWEHS-UHFFFAOYSA-N 0 1 299.374 0.557 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CC[C@@H]1C(N)=O)CC2 ZINC001035767474 819620881 /nfs/dbraw/zinc/62/08/81/819620881.db2.gz RBHAYBSCOMVIEW-STQMWFEESA-N 0 1 291.395 0.608 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1cnon1)CC2 ZINC001035821136 819629788 /nfs/dbraw/zinc/62/97/88/819629788.db2.gz QQUFAIBZZSUQIC-UHFFFAOYSA-N 0 1 262.313 0.794 20 30 CCEDMN C[C@H]1CN(C(=O)Cc2ccn[nH]2)CC[C@@H]1NCC#N ZINC001035970623 819657956 /nfs/dbraw/zinc/65/79/56/819657956.db2.gz CZKNHHVXJVSMSW-JQWIXIFHSA-N 0 1 261.329 0.302 20 30 CCEDMN C[C@H]1CN(C(=O)Cc2ccn[nH]2)CC[C@H]1NCC#N ZINC001035970620 819658393 /nfs/dbraw/zinc/65/83/93/819658393.db2.gz CZKNHHVXJVSMSW-CMPLNLGQSA-N 0 1 261.329 0.302 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](NCC#N)[C@H](C)C2)[nH]1 ZINC001035959934 819661824 /nfs/dbraw/zinc/66/18/24/819661824.db2.gz LMSMCKAXDSVUKA-MWLCHTKSSA-N 0 1 261.329 0.682 20 30 CCEDMN C#CC[NH2+][C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001036004921 819670793 /nfs/dbraw/zinc/67/07/93/819670793.db2.gz ZEWKNEQRCVQRFX-VXGBXAGGSA-N 0 1 273.336 0.861 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2c[nH]nn2)CC[C@H]1NCC#N ZINC001036185314 819699982 /nfs/dbraw/zinc/69/99/82/819699982.db2.gz BRTBIYFPCSYCOI-ZYHUDNBSSA-N 0 1 276.344 0.087 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnn[nH]2)CC[C@H]1NCC#N ZINC001036185314 819699986 /nfs/dbraw/zinc/69/99/86/819699986.db2.gz BRTBIYFPCSYCOI-ZYHUDNBSSA-N 0 1 276.344 0.087 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CCc3nc[nH]n3)CC[C@@H]21 ZINC001036680655 819999469 /nfs/dbraw/zinc/99/94/69/819999469.db2.gz ACVPYBMIIXYGCZ-NEPJUHHUSA-N 0 1 288.355 0.184 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccn(CC)n2)[C@@H](O)C1 ZINC001090272949 820038748 /nfs/dbraw/zinc/03/87/48/820038748.db2.gz AOYFNNHXDKPKIW-KBPBESRZSA-N 0 1 292.383 0.183 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc3[nH]cnc32)[C@@H](O)C1 ZINC001083881582 820051458 /nfs/dbraw/zinc/05/14/58/820051458.db2.gz JWMXJSOMTJISAF-KGLIPLIRSA-N 0 1 298.346 0.361 20 30 CCEDMN O=C(C[C@H]1CCNC1=O)NCCNCC#Cc1ccccc1 ZINC001129297033 820140544 /nfs/dbraw/zinc/14/05/44/820140544.db2.gz JFVBKIBWXQSZEB-OAHLLOKOSA-N 0 1 299.374 0.270 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(F)cncc2F)[C@H](O)C1 ZINC001090295253 820219785 /nfs/dbraw/zinc/21/97/85/820219785.db2.gz MEFOLKYUIHCVIK-VXGBXAGGSA-N 0 1 297.305 0.711 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccns2)[C@@H](O)C1 ZINC001090305705 820234779 /nfs/dbraw/zinc/23/47/79/820234779.db2.gz YWQVCTOUCVUSDX-QWRGUYRKSA-N 0 1 281.381 0.884 20 30 CCEDMN CN1CC[C@H]1CNC(=O)COc1ccc(C#N)cc1 ZINC000712268060 820246901 /nfs/dbraw/zinc/24/69/01/820246901.db2.gz UJDSLQMDERXFOS-LBPRGKRZSA-N 0 1 259.309 0.757 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(F)CCOCC2)[C@H](O)C1 ZINC001090315395 820248524 /nfs/dbraw/zinc/24/85/24/820248524.db2.gz ISRFTSLUWTVNRV-VXGBXAGGSA-N 0 1 286.347 0.243 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncc(F)cc2F)[C@@H](O)C1 ZINC001090358716 820297911 /nfs/dbraw/zinc/29/79/11/820297911.db2.gz OMWUIGYPKVJDHB-RYUDHWBXSA-N 0 1 297.305 0.711 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCOCC)[C@H](O)C1 ZINC001099698677 820321557 /nfs/dbraw/zinc/32/15/57/820321557.db2.gz SDPLVGWMSKRWIK-QWHCGFSZSA-N 0 1 270.373 0.541 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3c2OCCC3)C1 ZINC001080046238 820507214 /nfs/dbraw/zinc/50/72/14/820507214.db2.gz ZKBNHGGGTGOMGY-DGCLKSJQSA-N 0 1 290.367 0.902 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001080297709 820542263 /nfs/dbraw/zinc/54/22/63/820542263.db2.gz JUBXIHANAMXZTL-NXEZZACHSA-N 0 1 279.365 0.922 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cnoc2)C1 ZINC001080313655 820551943 /nfs/dbraw/zinc/55/19/43/820551943.db2.gz VXRVEGHAIQZOHZ-DGCLKSJQSA-N 0 1 261.325 0.677 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C)nn2CC)C1 ZINC001080494624 820585258 /nfs/dbraw/zinc/58/52/58/820585258.db2.gz XJVUXPDSASECJD-DGCLKSJQSA-N 0 1 274.368 0.895 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001081059765 820676091 /nfs/dbraw/zinc/67/60/91/820676091.db2.gz FXKWYHMSDPCXQT-HZSPNIEDSA-N 0 1 276.380 0.873 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CCCOC2)[C@H](OC)C1 ZINC001081434978 820751917 /nfs/dbraw/zinc/75/19/17/820751917.db2.gz HOYGGYIYQXDVOT-BFHYXJOUSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(CCn2cccn2)C[C@H]1O ZINC001099726687 820800000 /nfs/dbraw/zinc/80/00/00/820800000.db2.gz IZFCZLBQXONDKV-ZIAGYGMSSA-N 0 1 292.383 0.401 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnc(C)n2C)[C@H](OC)C1 ZINC001082065217 820891950 /nfs/dbraw/zinc/89/19/50/820891950.db2.gz MKVHFOGGZVRVLU-TZMCWYRMSA-N 0 1 290.367 0.181 20 30 CCEDMN CO[C@@H]1CN(CC#N)C[C@H]1NC(=O)CN1CCC(C)CC1 ZINC001082270720 820923798 /nfs/dbraw/zinc/92/37/98/820923798.db2.gz UNWGOQFKUNEZHG-ZIAGYGMSSA-N 0 1 294.399 0.057 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CC(=O)N(C)C2)C[C@H]1C ZINC001082541740 820995595 /nfs/dbraw/zinc/99/55/95/820995595.db2.gz KGQDAAJOQCNWFP-ADEWGFFLSA-N 0 1 299.802 0.654 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)/C=C/C2CC2)[C@H](O)C1 ZINC001099765941 821043392 /nfs/dbraw/zinc/04/33/92/821043392.db2.gz DNYCWLQCUNLPEV-JQSFKPKSSA-N 0 1 250.342 0.690 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H]2CCc3[nH]cnc3C2)C[C@H]1NCC#N ZINC001082846358 821046094 /nfs/dbraw/zinc/04/60/94/821046094.db2.gz QQHJKEANRVKFBS-UHIISALHSA-N 0 1 287.367 0.475 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(OCC)n2)[C@H](O)C1 ZINC001090395406 821144030 /nfs/dbraw/zinc/14/40/30/821144030.db2.gz BNRCJGCOTMMPAU-CMPLNLGQSA-N 0 1 295.339 0.424 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C)CC(F)(F)C2)[C@@H](O)C1 ZINC001083941501 821160466 /nfs/dbraw/zinc/16/04/66/821160466.db2.gz DZJNIZHDLAUVEG-MNOVXSKESA-N 0 1 286.322 0.606 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2c(C)nn(C)c2F)[C@@H](O)C1 ZINC001084003754 821180332 /nfs/dbraw/zinc/18/03/32/821180332.db2.gz LEVRLGHFRRQGGT-MNOVXSKESA-N 0 1 296.346 0.219 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CCN(CC#CC)C[C@@H]1O ZINC001099794828 821181245 /nfs/dbraw/zinc/18/12/45/821181245.db2.gz RZIFGIDPJZOJBE-CABCVRRESA-N 0 1 276.380 0.755 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccnc(C)n2)[C@@H](O)C1 ZINC001084069464 821191881 /nfs/dbraw/zinc/19/18/81/821191881.db2.gz CNEVAYMAZHVZPO-OLZOCXBDSA-N 0 1 276.340 0.136 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CC(=O)N(CC)C3)[C@@H]2C1 ZINC001084386015 821277334 /nfs/dbraw/zinc/27/73/34/821277334.db2.gz YBHFZZJDIPZHFR-MGPQQGTHSA-N 0 1 291.395 0.574 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)c3cn[nH]c(=O)c3)[C@@H]2C1 ZINC001084431190 821292609 /nfs/dbraw/zinc/29/26/09/821292609.db2.gz PQQPHQGNRLNSII-DGCLKSJQSA-N 0 1 288.351 0.905 20 30 CCEDMN C#CCN1CCC[C@H](Nc2cc(C)nc(CO)n2)C1 ZINC001119417262 821298402 /nfs/dbraw/zinc/29/84/02/821298402.db2.gz NVGNRPUYUCUYBF-LBPRGKRZSA-N 0 1 260.341 0.787 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CN3CCCNC3=O)[C@@H]2C1 ZINC001084482341 821298506 /nfs/dbraw/zinc/29/85/06/821298506.db2.gz QQZFIMOIDSHDPT-CHWSQXEVSA-N 0 1 292.383 0.120 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3cccn(C)c3=O)[C@@H]2C1 ZINC001084783079 821391759 /nfs/dbraw/zinc/39/17/59/821391759.db2.gz WXBBAIODPDNICH-UKRRQHHQSA-N 0 1 299.374 0.555 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](NC(=O)CC2(COC)CC2)[C@@H](O)C1 ZINC001099830613 821395252 /nfs/dbraw/zinc/39/52/52/821395252.db2.gz VMZSRYCYGUYETH-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cn3ccccc3=O)[C@@H]2C1 ZINC001084822583 821402828 /nfs/dbraw/zinc/40/28/28/821402828.db2.gz HBRWMCWBKGWFQU-HUUCEWRRSA-N 0 1 299.374 0.404 20 30 CCEDMN C#CC[C@H](CO)NC(=O)C1CCC(N2CCOCC2)CC1 ZINC000820352996 821441450 /nfs/dbraw/zinc/44/14/50/821441450.db2.gz XIISXJBSIORGAD-SHARSMKWSA-N 0 1 294.395 0.378 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2ccn3cncc3c2)[C@@H](O)C1 ZINC001090399969 821449504 /nfs/dbraw/zinc/44/95/04/821449504.db2.gz QNHRDQOTKLSFIF-KBPBESRZSA-N 0 1 299.334 0.023 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccnnc1 ZINC001273271293 821525930 /nfs/dbraw/zinc/52/59/30/821525930.db2.gz KVZRJERCOWECHW-ZIAGYGMSSA-N 0 1 270.336 0.675 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc([N+](=O)[O-])c1 ZINC000823674919 821529824 /nfs/dbraw/zinc/52/98/24/821529824.db2.gz GOICJYKMDRLHOR-UHFFFAOYSA-N 0 1 261.281 0.890 20 30 CCEDMN CC(=O)N1CCCC[C@@H]1C(=O)NCC#CCN(C)C ZINC000823675009 821530441 /nfs/dbraw/zinc/53/04/41/821530441.db2.gz RUBIXHIJUNZIEV-CYBMUJFWSA-N 0 1 265.357 0.069 20 30 CCEDMN C[C@@]1(NC(=O)c2cnn[nH]2)CCN(c2ncccc2C#N)C1 ZINC001065358342 821558220 /nfs/dbraw/zinc/55/82/20/821558220.db2.gz BMVIVIAASNJJOV-CQSZACIVSA-N 0 1 297.322 0.470 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H](C)CNC(=O)Cc2cnc[nH]2)n1 ZINC001098306840 821666434 /nfs/dbraw/zinc/66/64/34/821666434.db2.gz OLEXYUVMXJDUNJ-SNVBAGLBSA-N 0 1 299.338 0.539 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCO1 ZINC001085473091 821726238 /nfs/dbraw/zinc/72/62/38/821726238.db2.gz YWYSPIXRBVXCKD-CHWSQXEVSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCO1 ZINC001085473091 821726241 /nfs/dbraw/zinc/72/62/41/821726241.db2.gz YWYSPIXRBVXCKD-CHWSQXEVSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnn(C)c1C ZINC001085488945 821736107 /nfs/dbraw/zinc/73/61/07/821736107.db2.gz MZNOIYFRFMAKLP-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN CN(C[C@H]1CCN1CCCO)C(=O)c1ccc(C#N)[nH]1 ZINC001085543050 821775996 /nfs/dbraw/zinc/77/59/96/821775996.db2.gz NPNFJWARVMYIHU-GFCCVEGCSA-N 0 1 276.340 0.415 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1COCCN1C ZINC001085555319 821786379 /nfs/dbraw/zinc/78/63/79/821786379.db2.gz QJDIETVZLBZXNB-ZIAGYGMSSA-N 0 1 281.400 0.426 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CC(=O)N(CC)C1 ZINC001085627537 821857642 /nfs/dbraw/zinc/85/76/42/821857642.db2.gz HUGVEYDVJJFNOA-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1OCCO[C@H]1C ZINC001085649186 821878157 /nfs/dbraw/zinc/87/81/57/821878157.db2.gz HWGFXCCQAGIHJV-MJBXVCDLSA-N 0 1 280.368 0.346 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCC(=O)N1 ZINC001085660256 821882915 /nfs/dbraw/zinc/88/29/15/821882915.db2.gz RNLKHYDNXOEPIB-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCC(=O)NC1 ZINC001085689086 821903036 /nfs/dbraw/zinc/90/30/36/821903036.db2.gz YOMPDSMYHSEDMB-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCNC1=O ZINC001085762542 821935298 /nfs/dbraw/zinc/93/52/98/821935298.db2.gz KTGWVAIXJTZLDM-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)C[C@@H]2CCN2CCOC)c1 ZINC001085809970 821962659 /nfs/dbraw/zinc/96/26/59/821962659.db2.gz RLLYAKODDJQCEJ-HNNXBMFYSA-N 0 1 287.363 0.856 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CCN(CCO)C[C@@H]2O)CC1 ZINC001099998691 821974283 /nfs/dbraw/zinc/97/42/83/821974283.db2.gz LCYKSGAIKCKCSM-OLZOCXBDSA-N 0 1 282.384 0.277 20 30 CCEDMN C=CCn1cc(C(=O)N(C)C[C@H]2CCN2CCCF)nn1 ZINC001085862022 821984807 /nfs/dbraw/zinc/98/48/07/821984807.db2.gz NTARMRCIOQQXNR-GFCCVEGCSA-N 0 1 295.362 0.970 20 30 CCEDMN CCN(CCNCc1n[nH]c(C)n1)C(=O)C#CC1CC1 ZINC001273368447 821993596 /nfs/dbraw/zinc/99/35/96/821993596.db2.gz WKGLOOVMMWRMEB-UHFFFAOYSA-N 0 1 275.356 0.465 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCN(C(C)=O)C1 ZINC001085948489 822030702 /nfs/dbraw/zinc/03/07/02/822030702.db2.gz KAEXHJXAPKZVJP-LSDHHAIUSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CCO[C@](C)(CNC(=O)Cc2cnc[nH]2)C1 ZINC001108244922 822130142 /nfs/dbraw/zinc/13/01/42/822130142.db2.gz PLWAFKHAYTYOPR-OAHLLOKOSA-N 0 1 290.367 0.183 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001086269489 822183068 /nfs/dbraw/zinc/18/30/68/822183068.db2.gz JYTPAHJEZQNYFD-JGZJWPJOSA-N 0 1 284.323 0.579 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN(C(=O)c2ccn[nH]2)CC1 ZINC001066474888 822197008 /nfs/dbraw/zinc/19/70/08/822197008.db2.gz KVTHSJGKJHDZRF-MNOVXSKESA-N 0 1 289.339 0.680 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COc2ccsc2)[C@@H](O)C1 ZINC001100074370 822232164 /nfs/dbraw/zinc/23/21/64/822232164.db2.gz MJTSYVMYWCVKMS-STQMWFEESA-N 0 1 296.392 0.864 20 30 CCEDMN Cc1nnc([C@@H](C)NCCCNC(=O)[C@H](C)C#N)[nH]1 ZINC001155847862 822265737 /nfs/dbraw/zinc/26/57/37/822265737.db2.gz CWLXALJDSOKSEM-RKDXNWHRSA-N 0 1 264.333 0.430 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CNc2cnc(C#N)cn2)c1C ZINC001108298011 822333926 /nfs/dbraw/zinc/33/39/26/822333926.db2.gz MHULWNQNGFNUQS-QMMMGPOBSA-N 0 1 299.338 0.919 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCCN(C)C(C)=O)CC1 ZINC001066572205 822340393 /nfs/dbraw/zinc/34/03/93/822340393.db2.gz OEFZOVCKWCAIEP-UHFFFAOYSA-N 0 1 293.411 0.658 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(Cc3n[nH]c(C)n3)C[C@H]21 ZINC001114026274 837408698 /nfs/dbraw/zinc/40/86/98/837408698.db2.gz ZDXKXNLAQWGUDS-YABSGUDNSA-N 0 1 275.356 0.626 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NC3CC3)C[C@H]21 ZINC001114026996 837409855 /nfs/dbraw/zinc/40/98/55/837409855.db2.gz FICOXWVDRCDYJH-MUYACECFSA-N 0 1 291.395 0.666 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1[C@H]2CN(Cc3cccnc3)C[C@H]21 ZINC001114063840 837425468 /nfs/dbraw/zinc/42/54/68/837425468.db2.gz IRDNOSGXYSKFEZ-ZZVYKPCYSA-N 0 1 270.336 0.788 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CC)SC ZINC001114151065 837456586 /nfs/dbraw/zinc/45/65/86/837456586.db2.gz CXRGXJDCLIGPRB-KXNHARMFSA-N 0 1 252.383 0.808 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(CC#CC)C[C@H]21 ZINC001114263626 837491720 /nfs/dbraw/zinc/49/17/20/837491720.db2.gz YCTFZGJHNOOTPK-IGQOVBAYSA-N 0 1 260.337 0.094 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CN(CC)C[C@@H]1n1ccnn1 ZINC001129480734 837515585 /nfs/dbraw/zinc/51/55/85/837515585.db2.gz BPAXUYZXEAMBRZ-XQQFMLRXSA-N 0 1 293.371 0.231 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)[C@@H](C)CC)C[C@@H]1n1ccnn1 ZINC001129589776 837534747 /nfs/dbraw/zinc/53/47/47/837534747.db2.gz XMISDSUDENHTJJ-MJBXVCDLSA-N 0 1 289.383 0.689 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COCc1ccnn1C ZINC001130121535 837699921 /nfs/dbraw/zinc/69/99/21/837699921.db2.gz ZJABGMRFSHVXTA-UHFFFAOYSA-N 0 1 286.763 0.395 20 30 CCEDMN CC#CCN1CC[C@H](c2n[nH]cc2CNC(=O)[C@H](C)C#N)C1 ZINC001130364911 837780896 /nfs/dbraw/zinc/78/08/96/837780896.db2.gz KSCOZAZCTMBTDQ-OLZOCXBDSA-N 0 1 299.378 0.998 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)COCC3CC3)[C@@H]2C1 ZINC001187002364 844638662 /nfs/dbraw/zinc/63/86/62/844638662.db2.gz OCXASQFTKRAIPS-UONOGXRCSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COCC3CC3)[C@@H]2C1 ZINC001187002364 844638669 /nfs/dbraw/zinc/63/86/69/844638669.db2.gz OCXASQFTKRAIPS-UONOGXRCSA-N 0 1 262.353 0.579 20 30 CCEDMN CC#CCN1CCO[C@@](C)(CNC(=O)CN2CCCC2)C1 ZINC001108398365 835999163 /nfs/dbraw/zinc/99/91/63/835999163.db2.gz GYBWQSDNDSVJKQ-INIZCTEOSA-N 0 1 293.411 0.313 20 30 CCEDMN N#Cc1cccc2c1nc(Cl)nc2-n1nnnc1CN ZINC001168919052 836051073 /nfs/dbraw/zinc/05/10/73/836051073.db2.gz SXVPYWUVMGWLPE-UHFFFAOYSA-N 0 1 286.686 0.589 20 30 CCEDMN N#CCc1ccc([N+](=O)[O-])c(-n2nnnc2CN)c1 ZINC001168925210 836060628 /nfs/dbraw/zinc/06/06/28/836060628.db2.gz ZWBAXTPWIJVRQO-UHFFFAOYSA-N 0 1 259.229 0.095 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2ccn[nH]2)CC[C@@H]1CNCC#N ZINC001184112443 844153740 /nfs/dbraw/zinc/15/37/40/844153740.db2.gz QALBTEAPUZHBLP-VXGBXAGGSA-N 0 1 275.356 0.550 20 30 CCEDMN C[C@H](CCCCNCc1cnn(C)n1)NC(=O)[C@@H](C)C#N ZINC001169822908 836454631 /nfs/dbraw/zinc/45/46/31/836454631.db2.gz ZGRVFQNHXJLQMH-NWDGAFQWSA-N 0 1 292.387 0.739 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCCCNCc1nnnn1C ZINC001169848412 836459688 /nfs/dbraw/zinc/45/96/88/836459688.db2.gz OIJKSAQMMGGBCV-MNOVXSKESA-N 0 1 293.375 0.134 20 30 CCEDMN COC(=O)n1ncc(C#N)c1Nc1cnc(CN)nc1 ZINC001169968393 836486025 /nfs/dbraw/zinc/48/60/25/836486025.db2.gz RKSFEUSOKUBYNR-UHFFFAOYSA-N 0 1 273.256 0.362 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)NC(C)=O)C2 ZINC001108902248 836571041 /nfs/dbraw/zinc/57/10/41/836571041.db2.gz FYMOYMSQMIUFOD-GHYVTOPFSA-N 0 1 277.368 0.256 20 30 CCEDMN C[C@H](CN(C)c1ccc(C#N)nc1)NC(=O)Cc1nnc[nH]1 ZINC001109081744 836612287 /nfs/dbraw/zinc/61/22/87/836612287.db2.gz ZDCWQGYEVMWNGN-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCCOC)C2 ZINC001109090082 836617400 /nfs/dbraw/zinc/61/74/00/836617400.db2.gz CYKPMACGEBQFTQ-AGIUHOORSA-N 0 1 268.357 0.557 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCn1cncn1 ZINC001109089201 836617855 /nfs/dbraw/zinc/61/78/55/836617855.db2.gz ZGKFOMUKHRFKDP-NDBYEHHHSA-N 0 1 288.355 0.159 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOCCO ZINC001109204394 836637635 /nfs/dbraw/zinc/63/76/35/836637635.db2.gz NYUVDTUXJWITPE-ILXRZTDVSA-N 0 1 294.395 0.520 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@](C)(O)C=C)C2 ZINC001109208976 836638735 /nfs/dbraw/zinc/63/87/35/836638735.db2.gz IMECTVCCZBAKRT-UKTARXLSSA-N 0 1 262.353 0.668 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cn[nH]c1)C2 ZINC001109820395 836732782 /nfs/dbraw/zinc/73/27/82/836732782.db2.gz KSXJULPABCZFAN-RDBSUJKOSA-N 0 1 272.352 0.697 20 30 CCEDMN N#Cc1nccnc1NC[C@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001109885219 836743123 /nfs/dbraw/zinc/74/31/23/836743123.db2.gz YNADVIFGOXMKAO-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1nccnc1NC[C@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001109885219 836743134 /nfs/dbraw/zinc/74/31/34/836743134.db2.gz YNADVIFGOXMKAO-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2COCCO2)CC1 ZINC001112620858 836826900 /nfs/dbraw/zinc/82/69/00/836826900.db2.gz RVOGQRIXZSXUTP-ZDUSSCGKSA-N 0 1 268.357 0.512 20 30 CCEDMN C=CCCCN1CCN(C(=O)CCNC(=O)NC)CC1 ZINC001112826996 836931790 /nfs/dbraw/zinc/93/17/90/836931790.db2.gz SWEHOWNZLPHVBU-UHFFFAOYSA-N 0 1 282.388 0.416 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C[C@@H](C)NC(N)=O)CC1 ZINC001112853521 836942881 /nfs/dbraw/zinc/94/28/81/836942881.db2.gz BXOZBFJEARPJPI-GFCCVEGCSA-N 0 1 282.388 0.544 20 30 CCEDMN C=CCCCN1CCN(C(=O)Cn2nccn2)CC1 ZINC001112891751 836956085 /nfs/dbraw/zinc/95/60/85/836956085.db2.gz ZXDKIRNNUWAKRY-UHFFFAOYSA-N 0 1 263.345 0.389 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CNC(=O)NC)CC1 ZINC001112926895 836970205 /nfs/dbraw/zinc/97/02/05/836970205.db2.gz SKQRVPAWZVJHJW-UHFFFAOYSA-N 0 1 268.361 0.026 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@@H](C)Nc1ccc(C#N)cn1 ZINC001113115990 837024239 /nfs/dbraw/zinc/02/42/39/837024239.db2.gz BFWLFBJRJFMLRG-RKDXNWHRSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@@H](C)Nc1ccc(C#N)cn1 ZINC001113115990 837024251 /nfs/dbraw/zinc/02/42/51/837024251.db2.gz BFWLFBJRJFMLRG-RKDXNWHRSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1ccncc1C#N ZINC001113114774 837028141 /nfs/dbraw/zinc/02/81/41/837028141.db2.gz NJGYIPCSIYBLDY-DTWKUNHWSA-N 0 1 285.311 0.112 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1ccncc1C#N ZINC001113114774 837028155 /nfs/dbraw/zinc/02/81/55/837028155.db2.gz NJGYIPCSIYBLDY-DTWKUNHWSA-N 0 1 285.311 0.112 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H](COC)OC)CC1 ZINC001113331468 837090749 /nfs/dbraw/zinc/09/07/49/837090749.db2.gz YTFFMSCFMWDAHS-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H](C)[C@@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001113357060 837100219 /nfs/dbraw/zinc/10/02/19/837100219.db2.gz PVSWSEVCJXJRQM-NXEZZACHSA-N 0 1 299.338 0.999 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)nn1)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001113357178 837100428 /nfs/dbraw/zinc/10/04/28/837100428.db2.gz QIGILEZNORLBDL-SFYZADRCSA-N 0 1 286.299 0.085 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccco1 ZINC001113777979 837234916 /nfs/dbraw/zinc/23/49/16/837234916.db2.gz VCLSBSJUVRZEJQ-IMRBUKKESA-N 0 1 274.320 0.589 20 30 CCEDMN CCc1nnc([C@@H](C)NCCCNC(=O)C#CC2CC2)[nH]1 ZINC001157682333 837235774 /nfs/dbraw/zinc/23/57/74/837235774.db2.gz BRHVMNMGQLADLK-LLVKDONJSA-N 0 1 289.383 0.937 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCOC ZINC001113938559 837370625 /nfs/dbraw/zinc/37/06/25/837370625.db2.gz SICFMABXJDLJNY-IMRBUKKESA-N 0 1 250.342 0.483 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(=O)c(OC)co1 ZINC001131357879 838061550 /nfs/dbraw/zinc/06/15/50/838061550.db2.gz LUMVSTUONKCPGC-UHFFFAOYSA-N 0 1 286.715 0.720 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001131869741 838251176 /nfs/dbraw/zinc/25/11/76/838251176.db2.gz IHCHHDKCYMUULN-AWEZNQCLSA-N 0 1 295.387 0.548 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CNC(=O)CC)CC[C@@H]1C ZINC001131912444 838265667 /nfs/dbraw/zinc/26/56/67/838265667.db2.gz YAZPAFPNYABKQQ-STQMWFEESA-N 0 1 279.384 0.505 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCC(=O)NCC)CC[C@@H]1C ZINC001131895435 838267032 /nfs/dbraw/zinc/26/70/32/838267032.db2.gz IZSUZWQQDCPSFD-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](CNCC#N)[C@H](C)C1 ZINC001184912334 844309665 /nfs/dbraw/zinc/30/96/65/844309665.db2.gz HXFOKSOSMZLQEX-KOLCDFICSA-N 0 1 276.344 0.325 20 30 CCEDMN C#CCNC(=O)CC(=O)N[C@H]1CC[C@H](C)N(CC#CC)C1 ZINC001131980454 838282303 /nfs/dbraw/zinc/28/23/03/838282303.db2.gz NNCQEQZHDJXPAE-KBPBESRZSA-N 0 1 289.379 0.118 20 30 CCEDMN C[C@@H]1CC[C@H](NC(=O)CCc2cnc[nH]2)CN1CC#N ZINC001132087063 838318051 /nfs/dbraw/zinc/31/80/51/838318051.db2.gz LXNRBZHCTYEDMM-YPMHNXCESA-N 0 1 275.356 0.835 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COc2cc(C)on2)CC[C@H]1C ZINC001132116490 838322480 /nfs/dbraw/zinc/32/24/80/838322480.db2.gz QQCWMBBZOUWGJH-DGCLKSJQSA-N 0 1 291.351 0.964 20 30 CCEDMN COCC#CC[N@H+]1C[C@@H](NC(=O)c2cnn[n-]2)CC[C@H]1C ZINC001132150788 838327363 /nfs/dbraw/zinc/32/73/63/838327363.db2.gz WOSVSNFMWKILKB-NEPJUHHUSA-N 0 1 291.355 0.037 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNCc1ccnc(OC)n1 ZINC001132403833 838386403 /nfs/dbraw/zinc/38/64/03/838386403.db2.gz HIIPQWALTIPDGJ-UHFFFAOYSA-N 0 1 278.356 0.903 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCN(C)C(C)=O)CC[C@H]1C ZINC001132459530 838406127 /nfs/dbraw/zinc/40/61/27/838406127.db2.gz ASTDRDNKIQWIGT-HIFRSBDPSA-N 0 1 293.411 0.847 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCC(=O)Nc1ccon1 ZINC001132576867 838440263 /nfs/dbraw/zinc/44/02/63/838440263.db2.gz OQKSETAHSLJGNN-MNOVXSKESA-N 0 1 294.355 0.777 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)[C@H](O)C1 ZINC001090508379 838663114 /nfs/dbraw/zinc/66/31/14/838663114.db2.gz VWQFFSHXXUELIY-MQLXINIDSA-N 0 1 264.369 0.770 20 30 CCEDMN C#Cc1cncc(C(=O)NCCN[C@H](C)c2cnccn2)c1 ZINC001133593300 838676723 /nfs/dbraw/zinc/67/67/23/838676723.db2.gz XYDIGBAHWBXBRO-GFCCVEGCSA-N 0 1 295.346 0.934 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCN[C@@H](C)c1cnccn1 ZINC001134053304 838838483 /nfs/dbraw/zinc/83/84/83/838838483.db2.gz RPFZVBFDSKEOCZ-SCRDCRAPSA-N 0 1 290.367 0.835 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)C[C@@H](C)NCc1ncnn1C ZINC001134200099 838909875 /nfs/dbraw/zinc/90/98/75/838909875.db2.gz ATYPSVVZDLAXFL-CHWSQXEVSA-N 0 1 291.399 0.992 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1nnnn1C ZINC001134200527 838910001 /nfs/dbraw/zinc/91/00/01/838910001.db2.gz GDRRUVUGRWMZEH-NEPJUHHUSA-N 0 1 292.387 0.387 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCC[C@H](C(N)=O)C1 ZINC001134614056 839020549 /nfs/dbraw/zinc/02/05/49/839020549.db2.gz SCOKMPAAWIHHBR-WDEREUQCSA-N 0 1 287.791 0.736 20 30 CCEDMN C#CC1(NC(=O)[C@H]2CN(C)CCN2C)CCCCC1 ZINC001185268616 844391620 /nfs/dbraw/zinc/39/16/20/844391620.db2.gz FFSGOIXYEJJCGQ-CYBMUJFWSA-N 0 1 263.385 0.685 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@H](C)NCc1nnnn1C ZINC001135229835 839187574 /nfs/dbraw/zinc/18/75/74/839187574.db2.gz DVEQIRFDHYBSKW-WDEREUQCSA-N 0 1 294.403 0.795 20 30 CCEDMN Cn1ncc(C(=O)NCCNCC#Cc2ccccc2)n1 ZINC001135283142 839197482 /nfs/dbraw/zinc/19/74/82/839197482.db2.gz LITCNJPZYLMOMH-UHFFFAOYSA-N 0 1 283.335 0.186 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1c(C)cc(C)nc1=O ZINC001135831472 839429999 /nfs/dbraw/zinc/42/99/99/839429999.db2.gz DLUFGNMFCLZHNT-UHFFFAOYSA-N 0 1 298.774 0.318 20 30 CCEDMN CC[C@](N)(CO)Nc1nc(Cl)nc2[nH]cc(C#N)c21 ZINC001170858649 839445473 /nfs/dbraw/zinc/44/54/73/839445473.db2.gz MKGFFQFIHNMPKV-LLVKDONJSA-N 0 1 280.719 0.952 20 30 CCEDMN CC[C@@](N)(CO)Nc1ccc([N+](=O)[O-])cc1C#N ZINC001170863011 839457061 /nfs/dbraw/zinc/45/70/61/839457061.db2.gz KWWMIANOHLWMQN-NSHDSACASA-N 0 1 250.258 0.936 20 30 CCEDMN CC[C@](N)(CO)Nc1ncnc2ccc(C#N)cc21 ZINC001159743797 839562529 /nfs/dbraw/zinc/56/25/29/839562529.db2.gz LTKPEPHYXYKFLD-CYBMUJFWSA-N 0 1 257.297 0.971 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2=CCOCC2)[C@H](O)C1 ZINC001090544640 839630755 /nfs/dbraw/zinc/63/07/55/839630755.db2.gz DANXTVOLDBAQMU-HUUCEWRRSA-N 0 1 292.379 0.298 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2=CCOCC2)[C@H](O)C1 ZINC001090544641 839631824 /nfs/dbraw/zinc/63/18/24/839631824.db2.gz DANXTVOLDBAQMU-LSDHHAIUSA-N 0 1 292.379 0.298 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nccs2)[C@@H](O)C1 ZINC001090667437 839713706 /nfs/dbraw/zinc/71/37/06/839713706.db2.gz KFMUYIJZAFWMCX-UWVGGRQHSA-N 0 1 267.354 0.494 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cccnn2)[C@H](O)C1 ZINC001090667612 839717543 /nfs/dbraw/zinc/71/75/43/839717543.db2.gz MHEVBUJVKPKECR-WCQYABFASA-N 0 1 276.340 0.218 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2cccc3nc[nH]c32)[C@@H](O)C1 ZINC001090670687 839725021 /nfs/dbraw/zinc/72/50/21/839725021.db2.gz FTSGRBKARSISQW-AAEUAGOBSA-N 0 1 299.334 0.251 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(c2ncnc3[nH]cnc32)C[C@H]1C ZINC001090687864 839735979 /nfs/dbraw/zinc/73/59/79/839735979.db2.gz PAPONGILNWMTTM-IVZWLZJFSA-N 0 1 299.338 0.453 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnoc2C2CC2)[C@H](O)C1 ZINC001090696613 839744446 /nfs/dbraw/zinc/74/44/46/839744446.db2.gz WOHGQUXUHHVSRV-CHWSQXEVSA-N 0 1 291.351 0.903 20 30 CCEDMN CSCC[C@H](O)C(=O)NC1(C#N)CCN(C)CC1 ZINC001144004078 839910897 /nfs/dbraw/zinc/91/08/97/839910897.db2.gz RBPPNPDNFUEAJK-JTQLQIEISA-N 0 1 271.386 0.205 20 30 CCEDMN N#CCNC1CC(CNC(=O)c2ccc3cncn3c2)C1 ZINC001091145118 840063799 /nfs/dbraw/zinc/06/37/99/840063799.db2.gz YXOCKAOZBMORHX-UHFFFAOYSA-N 0 1 283.335 0.956 20 30 CCEDMN C[C@H](Cc1cccc(C#N)c1)n1cnc(C[C@H](N)C(N)=O)c1 ZINC001171203858 840110993 /nfs/dbraw/zinc/11/09/93/840110993.db2.gz QEBDWMLUZOZNGF-ABAIWWIYSA-N 0 1 297.362 0.914 20 30 CCEDMN C#CCC1(C(=O)N[C@H]2CCN(CC#CC)C[C@@H]2O)CCC1 ZINC001099876253 840275845 /nfs/dbraw/zinc/27/58/45/840275845.db2.gz ADBQTSZBGRQTNL-GJZGRUSLSA-N 0 1 288.391 0.755 20 30 CCEDMN C=C(CN(C)C)C(=O)N(C)C1CCS(=O)CC1 ZINC001146507758 840310486 /nfs/dbraw/zinc/31/04/86/840310486.db2.gz MNZJXFWYAQNZLT-UHFFFAOYSA-N 0 1 258.387 0.474 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@H]1CCNC1=O)C2 ZINC001147166268 840508462 /nfs/dbraw/zinc/50/84/62/840508462.db2.gz VAEYVUAYKXAXBC-LBPRGKRZSA-N 0 1 277.368 0.375 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC2(C1)CCN(CC#C)C2 ZINC001147530148 840615051 /nfs/dbraw/zinc/61/50/51/840615051.db2.gz GZOVNDQXCWXXOL-ZDUSSCGKSA-N 0 1 260.337 0.192 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001148131539 840750339 /nfs/dbraw/zinc/75/03/39/840750339.db2.gz UZYKIOCUSSUYCO-STQMWFEESA-N 0 1 293.411 0.997 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H]2CCN(C(=O)CC)[C@@H]2C1 ZINC001186621228 844577283 /nfs/dbraw/zinc/57/72/83/844577283.db2.gz PJAGRIGQENAXLZ-RWMBFGLXSA-N 0 1 279.384 0.620 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C[C@@H]1C)CCN(CC(N)=O)CC2 ZINC001086909991 840937522 /nfs/dbraw/zinc/93/75/22/840937522.db2.gz JRPYHNRBINLJRL-NEPJUHHUSA-N 0 1 292.383 0.334 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1Nc1cc(O[C@H]2CCOC2)ccn1 ZINC001171369323 840969837 /nfs/dbraw/zinc/96/98/37/840969837.db2.gz SSZVNBSJZHFQLM-GAFUQQFSSA-N 0 1 293.323 0.897 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2ncn(C)n2)[C@H](C)C1 ZINC001092583801 841053442 /nfs/dbraw/zinc/05/34/42/841053442.db2.gz RPMNFGFMVHSRNW-MWLCHTKSSA-N 0 1 297.790 0.865 20 30 CCEDMN Cc1ccc(C#N)c(NCCNC(=O)CN2CCCC2)n1 ZINC001093652043 841402476 /nfs/dbraw/zinc/40/24/76/841402476.db2.gz AZFRQAAGUJXNDP-UHFFFAOYSA-N 0 1 287.367 0.886 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC(C)(C)COC)[C@@H](O)C1 ZINC001099933940 841564686 /nfs/dbraw/zinc/56/46/86/841564686.db2.gz WWBTWVTVCHCYBZ-KBPBESRZSA-N 0 1 296.411 0.624 20 30 CCEDMN Cc1cc(C#N)nc(NCCCNC(=O)Cc2cnc[nH]2)n1 ZINC001094809066 841728033 /nfs/dbraw/zinc/72/80/33/841728033.db2.gz VIWZEMPYKMOOEN-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@H]1CCCOC1 ZINC001115046918 841832435 /nfs/dbraw/zinc/83/24/35/841832435.db2.gz UKOOMQYJRXFBGP-NYTXWWLZSA-N 0 1 276.380 0.873 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1COCCO1)C2 ZINC001095192407 842093877 /nfs/dbraw/zinc/09/38/77/842093877.db2.gz OJPVDQNLHUMFTM-VOAKCMCISA-N 0 1 266.341 0.309 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1cnn(C)n1 ZINC001177260036 842538066 /nfs/dbraw/zinc/53/80/66/842538066.db2.gz BYWCOUDAHZPOOT-UHFFFAOYSA-N 0 1 281.360 0.004 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1nonc1C ZINC001177269489 842541464 /nfs/dbraw/zinc/54/14/64/842541464.db2.gz OMAAZNLGIQJCOJ-LLVKDONJSA-N 0 1 282.344 0.565 20 30 CCEDMN N#Cc1cc(CC(=O)Nc2cccnc2CN)ccn1 ZINC001177672789 842649130 /nfs/dbraw/zinc/64/91/30/842649130.db2.gz QVPRTBWRJJFVOH-UHFFFAOYSA-N 0 1 267.292 0.988 20 30 CCEDMN C[C@@H](O)[C@@H](CO)NC(=O)C(C#N)Cc1cccs1 ZINC001177903698 842705430 /nfs/dbraw/zinc/70/54/30/842705430.db2.gz FFZPHFJZIUVANS-FXPVBKGRSA-N 0 1 268.338 0.288 20 30 CCEDMN N#C[C@H](Cc1cccs1)C(=O)NCc1nnc[nH]1 ZINC001177915072 842708248 /nfs/dbraw/zinc/70/82/48/842708248.db2.gz JNDZADYDJCGCKC-QMMMGPOBSA-N 0 1 261.310 0.865 20 30 CCEDMN C=CCCC[C@@H](NC(=O)CCc1nn[nH]n1)C(=O)OC ZINC001177964494 842714626 /nfs/dbraw/zinc/71/46/26/842714626.db2.gz LTBPUQQAPWMTMK-SECBINFHSA-N 0 1 281.316 0.146 20 30 CCEDMN C[C@H]1[C@@H](NCC#Cc2ccccc2)CCN1C(=O)C(N)=O ZINC001179446463 843000742 /nfs/dbraw/zinc/00/07/42/843000742.db2.gz OMNIOCDFZQUBDU-JSGCOSHPSA-N 0 1 285.347 0.102 20 30 CCEDMN CC#CC(=O)N1CCc2nc[nH]c2[C@@H]1C(=O)OCC ZINC001179752500 843046068 /nfs/dbraw/zinc/04/60/68/843046068.db2.gz VSFZHFNVDFOJDQ-GFCCVEGCSA-N 0 1 261.281 0.422 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001179901657 843075996 /nfs/dbraw/zinc/07/59/96/843075996.db2.gz OOYYREFCDCDTLN-AVGNSLFASA-N 0 1 265.357 0.906 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@@H](C)C1=NN(C)CC1=O ZINC001179926902 843080174 /nfs/dbraw/zinc/08/01/74/843080174.db2.gz PKTNQNJZXAXTRO-ONGXEEELSA-N 0 1 294.355 0.774 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H](C)C2=NN(C)CC2=O)nn1 ZINC001179925878 843080371 /nfs/dbraw/zinc/08/03/71/843080371.db2.gz BJYIAPJPESRLIO-SECBINFHSA-N 0 1 290.327 0.784 20 30 CCEDMN C#CC(C)(C)C(=O)N[C@H]1CC[C@H](NC(=O)CN(C)C)CC1 ZINC001182641813 843885991 /nfs/dbraw/zinc/88/59/91/843885991.db2.gz UDUJZQGASUPXPK-JOCQHMNTSA-N 0 1 293.411 0.751 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)C1CC1)C2 ZINC001110327718 843968423 /nfs/dbraw/zinc/96/84/23/843968423.db2.gz ICBDTWQQZNEWIO-MCIONIFRSA-N 0 1 289.379 0.257 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)C(O)C(F)(F)F)CC1 ZINC001183198479 843972641 /nfs/dbraw/zinc/97/26/41/843972641.db2.gz DKTULTGIWXEJQM-ZETCQYMHSA-N 0 1 294.229 0.215 20 30 CCEDMN C=C[C@H]1C[C@@]1(NC(=O)C(O)C(F)(F)F)C(=O)OCC ZINC001183201924 843985285 /nfs/dbraw/zinc/98/52/85/843985285.db2.gz REHJGPBMXUXFFX-BYULHYEWSA-N 0 1 281.230 0.534 20 30 CCEDMN COCC#CC(=O)N1CC[C@H]2[C@H]1CCN2Cc1cccnc1 ZINC001187125291 844654848 /nfs/dbraw/zinc/65/48/48/844654848.db2.gz HIOPGVDXEYNHGI-JKSUJKDBSA-N 0 1 299.374 0.907 20 30 CCEDMN CC[C@@H](OC)C(=O)N1CC[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001187151142 844659086 /nfs/dbraw/zinc/65/90/86/844659086.db2.gz KFDZTCBUTMRGGT-RRFJBIMHSA-N 0 1 294.395 0.594 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]2CN([C@H](C)C(=O)N(C)C)C[C@H]21 ZINC001187240591 844675451 /nfs/dbraw/zinc/67/54/51/844675451.db2.gz UCQBGMIZHHBNMQ-HZSPNIEDSA-N 0 1 293.411 0.962 20 30 CCEDMN COCCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C#N)C2 ZINC001110395841 844751333 /nfs/dbraw/zinc/75/13/33/844751333.db2.gz GQOXTDKDZMKJGB-DGAVXFQQSA-N 0 1 295.383 0.531 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCN(C)C(=O)[C@@H]1C[C@H]1C ZINC001273547964 844762339 /nfs/dbraw/zinc/76/23/39/844762339.db2.gz LKPBWEVTNTWNSG-MGPQQGTHSA-N 0 1 293.411 0.561 20 30 CCEDMN N#CC1(NC(=O)c2n[nH]cc2Cl)CCOCC1 ZINC001187847579 844781002 /nfs/dbraw/zinc/78/10/02/844781002.db2.gz CFDURIRJPDRMOM-UHFFFAOYSA-N 0 1 254.677 0.866 20 30 CCEDMN COCCn1nccc1C(=O)Nc1nc[nH]c1C#N ZINC001187856029 844784957 /nfs/dbraw/zinc/78/49/57/844784957.db2.gz VHTACBNGDRKFOI-UHFFFAOYSA-N 0 1 260.257 0.377 20 30 CCEDMN CCCCOC(=O)CNC(=O)NC1(C#N)CCN(C)CC1 ZINC001188307327 844872740 /nfs/dbraw/zinc/87/27/40/844872740.db2.gz WCPILTGDEHYFQP-UHFFFAOYSA-N 0 1 296.371 0.617 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)[C@H]1CC12CC2 ZINC001273566249 844934782 /nfs/dbraw/zinc/93/47/82/844934782.db2.gz PHITWPRIIYRPRW-CYBMUJFWSA-N 0 1 291.395 0.316 20 30 CCEDMN Cc1nc(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)[C@H](C)C#N)C3)n[nH]1 ZINC001110401715 844968030 /nfs/dbraw/zinc/96/80/30/844968030.db2.gz MJVGYADSXQTVDG-HKWIRBFKSA-N 0 1 288.355 0.494 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C(C)C)C1 ZINC001188916240 844991130 /nfs/dbraw/zinc/99/11/30/844991130.db2.gz VGOAWGFTPLYFMY-STQMWFEESA-N 0 1 281.400 0.866 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)CCCC)C1 ZINC001188942185 845003592 /nfs/dbraw/zinc/00/35/92/845003592.db2.gz SCUZOELSWFMTSO-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)CCOC(C)C)C1 ZINC001188992040 845016884 /nfs/dbraw/zinc/01/68/84/845016884.db2.gz JVKONXSGFCUGFS-OAHLLOKOSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@@H]2CCCNC2=O)C1 ZINC001189909125 845263833 /nfs/dbraw/zinc/26/38/33/845263833.db2.gz BBLNKXYZURVWIX-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001189911213 845266180 /nfs/dbraw/zinc/26/61/80/845266180.db2.gz YOQWYRGASUSWOI-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@@H](CC)C(N)=O)C1 ZINC001189925934 845271760 /nfs/dbraw/zinc/27/17/60/845271760.db2.gz IGTZZDCTLGXAJW-OLZOCXBDSA-N 0 1 279.384 0.587 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H](O)C(C)C)C1 ZINC001189956121 845298554 /nfs/dbraw/zinc/29/85/54/845298554.db2.gz ILQGXYJDKZWTNK-ZIAGYGMSSA-N 0 1 282.384 0.186 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CO[C@H]2CCOC2)C1 ZINC001190121123 845339978 /nfs/dbraw/zinc/33/99/78/845339978.db2.gz OMQXPYGKEJRXIJ-KBPBESRZSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCn3cccn3)[C@@H]2C1 ZINC001190247772 845372287 /nfs/dbraw/zinc/37/22/87/845372287.db2.gz WBWWGWLFKBUUBC-LSDHHAIUSA-N 0 1 286.379 0.829 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@@H](CO)Cc2cnc[nH]2)c1F ZINC001190555326 845426188 /nfs/dbraw/zinc/42/61/88/845426188.db2.gz VEOLSINRZQZXFJ-LLVKDONJSA-N 0 1 288.282 0.754 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2n[nH]c3nccnc23)nc1 ZINC001190581879 845439158 /nfs/dbraw/zinc/43/91/58/845439158.db2.gz YROXIFPXLZZJLK-UHFFFAOYSA-N 0 1 265.236 0.823 20 30 CCEDMN N#Cc1ccc(CNS(=O)(=O)c2ncc[nH]2)cn1 ZINC001190756680 845472210 /nfs/dbraw/zinc/47/22/10/845472210.db2.gz UJLVELLYVGMZCE-UHFFFAOYSA-N 0 1 263.282 0.155 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COCc2cc(C)on2)C1 ZINC001190856553 845525156 /nfs/dbraw/zinc/52/51/56/845525156.db2.gz LKOYCCYTSPEYMO-AWEZNQCLSA-N 0 1 291.351 0.666 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CC(C)(C)C)C1 ZINC001191068891 845574170 /nfs/dbraw/zinc/57/41/70/845574170.db2.gz QJCSDXZRCBEWGQ-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(=O)n(C)c2)C1 ZINC001191127748 845589058 /nfs/dbraw/zinc/58/90/58/845589058.db2.gz IBXJENKICJPYOX-AWEZNQCLSA-N 0 1 287.363 0.555 20 30 CCEDMN C=CCC[C@H](C)[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccn[nH]2)C1 ZINC001191156663 845598972 /nfs/dbraw/zinc/59/89/72/845598972.db2.gz NZOGKZLESVXJDW-CYZMBNFOSA-N 0 1 278.356 0.539 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cncs2)C1 ZINC001191362141 845641014 /nfs/dbraw/zinc/64/10/14/845641014.db2.gz SMMHBSKZEXOXRT-GHMZBOCLSA-N 0 1 281.381 0.884 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2cncs2)C1 ZINC001191362141 845641021 /nfs/dbraw/zinc/64/10/21/845641021.db2.gz SMMHBSKZEXOXRT-GHMZBOCLSA-N 0 1 281.381 0.884 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2coc(C(N)=O)c2)C1 ZINC001191506838 845683060 /nfs/dbraw/zinc/68/30/60/845683060.db2.gz DNBDHMFHGRKACS-LBPRGKRZSA-N 0 1 289.335 0.548 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2coc(C(N)=O)c2)C1 ZINC001191506838 845683067 /nfs/dbraw/zinc/68/30/67/845683067.db2.gz DNBDHMFHGRKACS-LBPRGKRZSA-N 0 1 289.335 0.548 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1CN(C(C)C)C[C@H]1O ZINC001191622891 845699207 /nfs/dbraw/zinc/69/92/07/845699207.db2.gz ZVVVWRFRVZGSNB-JHJVBQTASA-N 0 1 270.373 0.537 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC001191734802 845727551 /nfs/dbraw/zinc/72/75/51/845727551.db2.gz GHYAMECOTQTWBJ-HZSPNIEDSA-N 0 1 264.369 0.825 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001191860233 845743353 /nfs/dbraw/zinc/74/33/53/845743353.db2.gz YMACAGXIFOVWQP-IGQOVBAYSA-N 0 1 262.353 0.435 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)/C=C/C(C)(C)C)C1 ZINC001191833227 845746717 /nfs/dbraw/zinc/74/67/17/845746717.db2.gz RRSADUCFVWHWCT-WUOSCTTQSA-N 0 1 264.369 0.773 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COc2cnn(C)c2)C1 ZINC001191979145 845768308 /nfs/dbraw/zinc/76/83/08/845768308.db2.gz IDFPQLWMVBUQLC-ZDUSSCGKSA-N 0 1 290.367 0.355 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COC[C@@H]2CCOC2)C1 ZINC001192640919 845889424 /nfs/dbraw/zinc/88/94/24/845889424.db2.gz GXTMEZSQEKLFDJ-CABCVRRESA-N 0 1 294.395 0.596 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COC[C@H]2CCOC2)C1 ZINC001192640920 845890043 /nfs/dbraw/zinc/89/00/43/845890043.db2.gz GXTMEZSQEKLFDJ-GJZGRUSLSA-N 0 1 294.395 0.596 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1c(O)ccc(F)c1F ZINC001192741918 845897845 /nfs/dbraw/zinc/89/78/45/845897845.db2.gz PJSQYFJAHHYKQV-SSDOTTSWSA-N 0 1 267.235 0.608 20 30 CCEDMN Cn1ncc2ccc(NS(=O)(=O)CC#N)cc21 ZINC001192928610 845938642 /nfs/dbraw/zinc/93/86/42/845938642.db2.gz GBWXDGRPWRHTPI-UHFFFAOYSA-N 0 1 250.283 0.839 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccnc(N2CCOCC2)c1 ZINC001192939612 845943331 /nfs/dbraw/zinc/94/33/31/845943331.db2.gz UWKGIZOUYSHAFI-UHFFFAOYSA-N 0 1 282.325 0.183 20 30 CCEDMN Cn1cc2ccc(NS(=O)(=O)CC#N)cc2n1 ZINC001192978941 845961059 /nfs/dbraw/zinc/96/10/59/845961059.db2.gz PSXHFONWEFIWJK-UHFFFAOYSA-N 0 1 250.283 0.839 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc2c(c1)S(=O)(=O)C=C2 ZINC001192980155 845962556 /nfs/dbraw/zinc/96/25/56/845962556.db2.gz DMPIFLXXHQVPGL-UHFFFAOYSA-N 0 1 284.318 0.710 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CCOC2)C1 ZINC001193047603 845964129 /nfs/dbraw/zinc/96/41/29/845964129.db2.gz GYNFEMBVLCDVDA-DZGCQCFKSA-N 0 1 264.369 0.969 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccc2nccn2c1 ZINC001193103773 845977104 /nfs/dbraw/zinc/97/71/04/845977104.db2.gz BLTIBISWCOBTMX-QMMMGPOBSA-N 0 1 250.283 0.988 20 30 CCEDMN CC(=O)Nc1ccc(NS(=O)(=O)[C@@H](C)C#N)cn1 ZINC001193105021 845978832 /nfs/dbraw/zinc/97/88/32/845978832.db2.gz QFWWVCAGYZHBKR-ZETCQYMHSA-N 0 1 268.298 0.694 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)[N-]c1ccn2cc[nH+]c2c1 ZINC001193112435 845980902 /nfs/dbraw/zinc/98/09/02/845980902.db2.gz TVRJQPOUUCNTJJ-MRVPVSSYSA-N 0 1 250.283 0.988 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CCC[C@H]2CN(C)C[C@@H]21 ZINC001193129321 845992973 /nfs/dbraw/zinc/99/29/73/845992973.db2.gz WYUJUDVWDMXUTM-VWYCJHECSA-N 0 1 257.359 0.254 20 30 CCEDMN N#CC1(NC(=O)c2[nH]nc3ccncc32)CCOCC1 ZINC001152106080 846040320 /nfs/dbraw/zinc/04/03/20/846040320.db2.gz FQEBNILCUSNCDJ-UHFFFAOYSA-N 0 1 271.280 0.760 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1O ZINC001193337633 846056040 /nfs/dbraw/zinc/05/60/40/846056040.db2.gz VYWSCKVPEVRDRL-QJPTWQEYSA-N 0 1 270.373 0.131 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COCCCOC)C1 ZINC001193350146 846060297 /nfs/dbraw/zinc/06/02/97/846060297.db2.gz NOIUIXOWDDQGLN-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC(=O)NCC2CC2)C1 ZINC001193359151 846061546 /nfs/dbraw/zinc/06/15/46/846061546.db2.gz WHCQBJQNRUTXGJ-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N[C@@H]1CN(CC)C[C@H]1O)OCC ZINC001193422112 846077487 /nfs/dbraw/zinc/07/74/87/846077487.db2.gz MXJVSNOWPNRPAM-JHJVBQTASA-N 0 1 270.373 0.539 20 30 CCEDMN CN1CCN(C(=O)c2ccc(O)c(C#N)c2)CC1=O ZINC001193493813 846093383 /nfs/dbraw/zinc/09/33/83/846093383.db2.gz FJIQMOXPESESHQ-UHFFFAOYSA-N 0 1 259.265 0.178 20 30 CCEDMN Cn1ccnc1CNC(=O)c1ccc(O)c(C#N)c1 ZINC001193495088 846093492 /nfs/dbraw/zinc/09/34/92/846093492.db2.gz VYTWBCUPWVCDJR-UHFFFAOYSA-N 0 1 256.265 0.927 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COCc2cccnc2)C1 ZINC001193736884 846146384 /nfs/dbraw/zinc/14/63/84/846146384.db2.gz TVUSOKUYBGPWCT-OAHLLOKOSA-N 0 1 287.363 0.764 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1cncc(N2CCN(C)CC2)c1 ZINC001193952428 846186887 /nfs/dbraw/zinc/18/68/87/846186887.db2.gz UANMXTKXAHWKNU-ZDUSSCGKSA-N 0 1 290.367 0.110 20 30 CCEDMN C#CCN(C)[C@@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001194058434 846207172 /nfs/dbraw/zinc/20/71/72/846207172.db2.gz PLFQKNWYAHSFMF-HUUCEWRRSA-N 0 1 288.347 0.933 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001194326307 846262340 /nfs/dbraw/zinc/26/23/40/846262340.db2.gz NPOFZYFASQIAQZ-HUUCEWRRSA-N 0 1 291.395 0.553 20 30 CCEDMN COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2[nH]cnc2C)C1 ZINC001194530177 846319198 /nfs/dbraw/zinc/31/91/98/846319198.db2.gz DXFHAMBEOSMCIO-CYBMUJFWSA-N 0 1 290.367 0.514 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2[nH]cnc2C)C1 ZINC001194530177 846319211 /nfs/dbraw/zinc/31/92/11/846319211.db2.gz DXFHAMBEOSMCIO-CYBMUJFWSA-N 0 1 290.367 0.514 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)Cc1ccno1 ZINC001195262284 846487263 /nfs/dbraw/zinc/48/72/63/846487263.db2.gz ZXBJLGYPOTZUOD-UHFFFAOYSA-N 0 1 297.380 0.534 20 30 CCEDMN C=CCN1CCC2(CCN(CC(=O)NCC)CC2)C1=O ZINC001273699210 846531701 /nfs/dbraw/zinc/53/17/01/846531701.db2.gz WRGAJUJXFTXZGL-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCCC#CC)C1 ZINC001195467879 846546910 /nfs/dbraw/zinc/54/69/10/846546910.db2.gz XEMMASDEXUFWMY-ZIAGYGMSSA-N 0 1 264.369 0.917 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1O ZINC001195484419 846548960 /nfs/dbraw/zinc/54/89/60/846548960.db2.gz WJZFJJIVHUGPAF-BFHYXJOUSA-N 0 1 282.384 0.376 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CC(=C)C)C1 ZINC001195532801 846569704 /nfs/dbraw/zinc/56/97/04/846569704.db2.gz TWIAOBBVLARHPJ-RBSFLKMASA-N 0 1 296.411 0.953 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(C(F)F)CCC2)C1 ZINC001195601894 846581664 /nfs/dbraw/zinc/58/16/64/846581664.db2.gz MIDMQKJXMQWQHF-GHMZBOCLSA-N 0 1 286.322 0.606 20 30 CCEDMN CN1C[C@H](NS(=O)(=O)CCCC#N)C(C)(C)C1 ZINC001195643646 846592270 /nfs/dbraw/zinc/59/22/70/846592270.db2.gz YHHKORSKUCUDHQ-JTQLQIEISA-N 0 1 259.375 0.550 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2[C@H](CC)C(N)=O)C1=O ZINC001273703390 846593710 /nfs/dbraw/zinc/59/37/10/846593710.db2.gz GSDJNRCTMWFMDT-BXUZGUMPSA-N 0 1 265.357 0.503 20 30 CCEDMN CNC(=O)C1CCN(C(=O)c2ccc(C#N)cc2O)CC1 ZINC001195733286 846604163 /nfs/dbraw/zinc/60/41/63/846604163.db2.gz IDNALNOVMVVHGL-UHFFFAOYSA-N 0 1 287.319 0.862 20 30 CCEDMN CN1CCO[C@@H](CNC(=O)c2ccc(C#N)cc2O)C1 ZINC001195757319 846610845 /nfs/dbraw/zinc/61/08/45/846610845.db2.gz ARSSAMYFDKXAOF-NSHDSACASA-N 0 1 275.308 0.324 20 30 CCEDMN CO[C@@H]1COCC[C@H]1NC(=O)c1ccc(C#N)cc1O ZINC001195765054 846613181 /nfs/dbraw/zinc/61/31/81/846613181.db2.gz WFRDKSLXGYPCAR-DGCLKSJQSA-N 0 1 276.292 0.798 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1ccc(C#N)cc1O ZINC001195764713 846613746 /nfs/dbraw/zinc/61/37/46/846613746.db2.gz OJIUHYCUDAUWQW-SNVBAGLBSA-N 0 1 273.248 0.449 20 30 CCEDMN N#Cc1ccc(C(=O)N2C[C@@H]3COC[C@H](C2)C3=O)c(O)c1 ZINC001195749790 846620673 /nfs/dbraw/zinc/62/06/73/846620673.db2.gz AJMJSPCAXAUGBT-PHIMTYICSA-N 0 1 286.287 0.551 20 30 CCEDMN COC[C@H](O)CN1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785102 846621728 /nfs/dbraw/zinc/62/17/28/846621728.db2.gz CISASVINDCJPGX-CQSZACIVSA-N 0 1 296.411 0.578 20 30 CCEDMN C#CCOCCC(=O)N1CCC[N@H+](CCCOCC)CC1 ZINC001195799289 846628469 /nfs/dbraw/zinc/62/84/69/846628469.db2.gz VYNKEUXXQOBQDW-UHFFFAOYSA-N 0 1 296.411 0.987 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CC(F)(F)F)C1 ZINC001195833707 846635239 /nfs/dbraw/zinc/63/52/39/846635239.db2.gz HGUNDGWAQOGXCK-HBNTYKKESA-N 0 1 292.301 0.760 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2oncc2C)C1 ZINC001197434786 846912747 /nfs/dbraw/zinc/91/27/47/846912747.db2.gz ZYALOARTZIAEIL-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2oncc2C)C1 ZINC001197434786 846912749 /nfs/dbraw/zinc/91/27/49/846912749.db2.gz ZYALOARTZIAEIL-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CCCN(C(=O)C(C)(C)NC(C)=O)CC1 ZINC001197467962 846913765 /nfs/dbraw/zinc/91/37/65/846913765.db2.gz UPIRCSAVIKTHRH-UHFFFAOYSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001199314679 847283034 /nfs/dbraw/zinc/28/30/34/847283034.db2.gz RZHUKQRTHGMESV-QJPTWQEYSA-N 0 1 274.336 0.098 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1c(OC)cccc1OC ZINC001251885355 847327561 /nfs/dbraw/zinc/32/75/61/847327561.db2.gz TXDJFFUCKWQIHZ-LBPRGKRZSA-N 0 1 279.336 0.804 20 30 CCEDMN C=CCN1CC[C@@]2(CCN([C@@H](COC)C(=O)OC)C2)C1=O ZINC001273803322 847530625 /nfs/dbraw/zinc/53/06/25/847530625.db2.gz FQAKKCDMYDTVLZ-WFASDCNBSA-N 0 1 296.367 0.285 20 30 CCEDMN C=CCNC(=S)N1C[C@H]2CN(CC3CC3)C[C@@H](C1)O2 ZINC001200288691 847597818 /nfs/dbraw/zinc/59/78/18/847597818.db2.gz LVVGKDKAWAGPOF-BETUJISGSA-N 0 1 281.425 0.842 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001110553578 847631726 /nfs/dbraw/zinc/63/17/26/847631726.db2.gz XRUWQXYLCBWNQV-MCIONIFRSA-N 0 1 262.353 0.934 20 30 CCEDMN C=CCOC[C@@H](O)C[NH2+]CCCC[P@](=O)([O-])O ZINC001252488214 847986828 /nfs/dbraw/zinc/98/68/28/847986828.db2.gz IEHJWYISAYGUAG-JTQLQIEISA-N 0 1 267.262 0.097 20 30 CCEDMN C=CCC1(O)CCN([C@@H](C)C(=O)NC(=O)NC)CC1 ZINC000717452573 848265167 /nfs/dbraw/zinc/26/51/67/848265167.db2.gz WYSMOYSDVVVTFF-JTQLQIEISA-N 0 1 269.345 0.234 20 30 CCEDMN C#CC[C@H](CO)NCc1cc(Br)nn1C ZINC000717732407 848288679 /nfs/dbraw/zinc/28/86/79/848288679.db2.gz IIHXYUHRXXCSKF-MRVPVSSYSA-N 0 1 272.146 0.656 20 30 CCEDMN COC(=O)[C@@H](C)CN1CC[C@]2(CCN(CCC#N)C2)C1=O ZINC001274031152 848310218 /nfs/dbraw/zinc/31/02/18/848310218.db2.gz IWSGUAOEEPPMAH-WFASDCNBSA-N 0 1 293.367 0.634 20 30 CCEDMN CN1C[C@@]2(CC1=O)COCCN(CCCC#N)C2 ZINC001274040099 848316049 /nfs/dbraw/zinc/31/60/49/848316049.db2.gz GQPLZSIAWTUBCU-CYBMUJFWSA-N 0 1 251.330 0.471 20 30 CCEDMN C#CC[C@H](CO)NCc1cnc(C(=O)OCC)s1 ZINC000717829442 848400053 /nfs/dbraw/zinc/40/00/53/848400053.db2.gz IXEFHHJBJJYTNG-SECBINFHSA-N 0 1 268.338 0.794 20 30 CCEDMN C=CCNC(=O)[C@@H]1CC12CCN(Cc1nnc[nH]1)CC2 ZINC001274354462 848457774 /nfs/dbraw/zinc/45/77/74/848457774.db2.gz KKXAILWBXKWIMF-NSHDSACASA-N 0 1 275.356 0.709 20 30 CCEDMN CC(C)C#CC(=O)N1CCN(C2CN(CC3CC3)C2)CC1 ZINC001274474820 848486700 /nfs/dbraw/zinc/48/67/00/848486700.db2.gz XMEXXJLHPYQMMN-UHFFFAOYSA-N 0 1 289.423 0.884 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CNCc1cc(C)no1 ZINC001274733461 848555673 /nfs/dbraw/zinc/55/56/73/848555673.db2.gz VQNQCDGDBOLJQM-BXUZGUMPSA-N 0 1 281.356 0.904 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@@H]2CC2(C)C)CC1 ZINC001274903175 848585750 /nfs/dbraw/zinc/58/57/50/848585750.db2.gz BEQQGQPKPIVMEA-ZDUSSCGKSA-N 0 1 278.396 0.999 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)C[C@H]1CCCN1C(=O)CC ZINC001275080502 848631608 /nfs/dbraw/zinc/63/16/08/848631608.db2.gz HMYYFCSPNGRCLT-CHWSQXEVSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)COCC(F)F ZINC001275128498 848645144 /nfs/dbraw/zinc/64/51/44/848645144.db2.gz HMKOMKPIPJFMLA-LLVKDONJSA-N 0 1 274.311 0.824 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)[C@H](C)NCc1cnns1 ZINC001275365452 848699831 /nfs/dbraw/zinc/69/98/31/848699831.db2.gz JNHQHELITFYAEV-VHSXEESVSA-N 0 1 284.385 0.724 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C1(C(=O)NC)CC1 ZINC001275368880 848700434 /nfs/dbraw/zinc/70/04/34/848700434.db2.gz GOOIWLMOGSDHIQ-VIFPVBQESA-N 0 1 273.764 0.359 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)[C@H](C)NCc1nccn1C ZINC001275364176 848700735 /nfs/dbraw/zinc/70/07/35/848700735.db2.gz CUIFLUBUEQQKSN-NWDGAFQWSA-N 0 1 280.372 0.606 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H]1CCCOC1 ZINC001275519613 848748739 /nfs/dbraw/zinc/74/87/39/848748739.db2.gz ABNVZDYFXNJXIG-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)CN(C)[C@@H]1CCCNC1=O ZINC001275535497 848753855 /nfs/dbraw/zinc/75/38/55/848753855.db2.gz RTEKVQMUMCVZPM-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cnc2n1CCC2 ZINC001275851902 848847060 /nfs/dbraw/zinc/84/70/60/848847060.db2.gz ZTPJJHXSZUCYCZ-LBPRGKRZSA-N 0 1 274.368 0.903 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccc2c(c1)nnn2C ZINC001275858000 848848847 /nfs/dbraw/zinc/84/88/47/848848847.db2.gz FYJUKYCPWPWRBB-LLVKDONJSA-N 0 1 285.351 0.652 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@@]1(C)CCOC1 ZINC001275962309 848874600 /nfs/dbraw/zinc/87/46/00/848874600.db2.gz FIICCCIJIPFTGO-ZFWWWQNUSA-N 0 1 282.384 0.499 20 30 CCEDMN CN(CCCNCc1nncs1)C(=O)C#CC1CC1 ZINC001275996558 848885631 /nfs/dbraw/zinc/88/56/31/848885631.db2.gz RUVOEYMKWSEXPJ-UHFFFAOYSA-N 0 1 278.381 0.890 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)CCCNCc1ncnn1C ZINC001276168411 848946297 /nfs/dbraw/zinc/94/62/97/848946297.db2.gz MCVYRXPBCZUPER-UHFFFAOYSA-N 0 1 279.388 0.965 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc[nH]c1=O)C2 ZINC001095594205 848994169 /nfs/dbraw/zinc/99/41/69/848994169.db2.gz IKMCPRUVJWLEDU-WXHSDQCUSA-N 0 1 286.335 0.541 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)CCNC(=O)C1)C2 ZINC001095609934 848997670 /nfs/dbraw/zinc/99/76/70/848997670.db2.gz WKCLGHQCRWQMKT-LMOYCYGVSA-N 0 1 291.395 0.810 20 30 CCEDMN C#C[C@H](CO)NC(=O)c1ccc(CN(CC)CC)o1 ZINC000718201085 849242861 /nfs/dbraw/zinc/24/28/61/849242861.db2.gz JOPNIYRJGIEIAB-LLVKDONJSA-N 0 1 264.325 0.845 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cncnc1 ZINC001114574729 849254801 /nfs/dbraw/zinc/25/48/01/849254801.db2.gz XVJAHPBRILAZLP-NHAGDIPZSA-N 0 1 270.336 0.089 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN(CC(=O)N3CCC3)C[C@H]21 ZINC001114672041 849356627 /nfs/dbraw/zinc/35/66/27/849356627.db2.gz MMSHXVXYZHVSIK-IMRBUKKESA-N 0 1 291.395 0.477 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)N(C)C)C[C@H]21 ZINC001114686541 849363431 /nfs/dbraw/zinc/36/34/31/849363431.db2.gz NJMOYEHPZROIMC-WUHRBBMRSA-N 0 1 293.411 0.722 20 30 CCEDMN C=C[C@H](O)CNc1ncnc2[nH]cc(C(=O)OC)c21 ZINC001253570939 849454695 /nfs/dbraw/zinc/45/46/95/849454695.db2.gz DAUSJKAHBSXFMC-ZETCQYMHSA-N 0 1 262.269 0.655 20 30 CCEDMN CC[C@H]1CN(C(=O)c2ccn[nH]2)CC[C@H]1NCC#N ZINC001037787351 849585894 /nfs/dbraw/zinc/58/58/94/849585894.db2.gz ZZOMEWZTUUEZQR-WDEREUQCSA-N 0 1 261.329 0.764 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NC)C[C@H]21 ZINC001114896493 849597297 /nfs/dbraw/zinc/59/72/97/849597297.db2.gz OGCXHAOWIGHLOT-NDBYEHHHSA-N 0 1 293.411 0.770 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CC(=C)C)NC(C)=O ZINC001114933039 849659123 /nfs/dbraw/zinc/65/91/23/849659123.db2.gz ONBQIJALPSYFFW-BARDWOONSA-N 0 1 289.379 0.137 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1Nc1ccc(C#N)nn1 ZINC001067029139 849714759 /nfs/dbraw/zinc/71/47/59/849714759.db2.gz JMBPEBWGBZEQMZ-JOYOIKCWSA-N 0 1 297.322 0.644 20 30 CCEDMN C=CCOCCN1CC([C@@H](C)NC(=O)c2ncn(C)n2)C1 ZINC001276377948 849797020 /nfs/dbraw/zinc/79/70/20/849797020.db2.gz ZSEDVDCKJYEYBO-LLVKDONJSA-N 0 1 293.371 0.068 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2CCN2CCCO)[nH]1 ZINC001038165339 849802112 /nfs/dbraw/zinc/80/21/12/849802112.db2.gz ZOXBFWNAOHGZOX-NSHDSACASA-N 0 1 262.313 0.073 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCN(C)C1=O ZINC001038618769 849965959 /nfs/dbraw/zinc/96/59/59/849965959.db2.gz DOWXBWCBMLVKJT-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001038702438 849986325 /nfs/dbraw/zinc/98/63/25/849986325.db2.gz PSHGIWSQSVXAKZ-STQMWFEESA-N 0 1 279.384 0.812 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnn2cc(C)cnc12 ZINC001038758357 850005382 /nfs/dbraw/zinc/00/53/82/850005382.db2.gz RSGUJMFBTFNLCX-ZDUSSCGKSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnc2c(cnn2C)c1 ZINC001038856496 850049553 /nfs/dbraw/zinc/04/95/53/850049553.db2.gz HAMCQXVAYIIWJM-CQSZACIVSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCc2nc[nH]c2C1 ZINC001038892602 850071284 /nfs/dbraw/zinc/07/12/84/850071284.db2.gz GJNJTNRJGAIHEN-OLZOCXBDSA-N 0 1 286.379 0.728 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2CCCO)cn1 ZINC001038928288 850086611 /nfs/dbraw/zinc/08/66/11/850086611.db2.gz NHCHAUVGLQQFKM-CQSZACIVSA-N 0 1 273.336 0.249 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2CCCO)cn1 ZINC001038928287 850086741 /nfs/dbraw/zinc/08/67/41/850086741.db2.gz NHCHAUVGLQQFKM-AWEZNQCLSA-N 0 1 273.336 0.249 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2[C@@H](C)COC)nc1 ZINC001038929650 850088200 /nfs/dbraw/zinc/08/82/00/850088200.db2.gz CRUCRUZGYFMARX-JSGCOSHPSA-N 0 1 287.363 0.902 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001038935527 850094228 /nfs/dbraw/zinc/09/42/28/850094228.db2.gz HTBBVAKKFJSCBL-KBPBESRZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1N ZINC001039364032 850179122 /nfs/dbraw/zinc/17/91/22/850179122.db2.gz ABDZAHGJYDSAEF-NEPJUHHUSA-N 0 1 289.383 0.867 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1cncn1 ZINC001039467181 850195581 /nfs/dbraw/zinc/19/55/81/850195581.db2.gz ZMFLETBEPLUXPP-OLZOCXBDSA-N 0 1 275.356 0.529 20 30 CCEDMN N#CCN1CC[C@]2(CCN(C(=O)CCc3cnc[nH]3)C2)C1 ZINC001041343494 850431763 /nfs/dbraw/zinc/43/17/63/850431763.db2.gz AUNZGVITDNTPSI-HNNXBMFYSA-N 0 1 287.367 0.790 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H]3CCN(CC#N)[C@@H]3C2)[nH]1 ZINC001041978840 850547966 /nfs/dbraw/zinc/54/79/66/850547966.db2.gz PPZPFMRPCDQAFP-DGCLKSJQSA-N 0 1 273.340 0.778 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnn(CC)n3)C[C@@H]21 ZINC001042044968 850567542 /nfs/dbraw/zinc/56/75/42/850567542.db2.gz ATVMLGXDFYFKDP-OCCSQVGLSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnnn3CC)C[C@H]21 ZINC001042048024 850569809 /nfs/dbraw/zinc/56/98/09/850569809.db2.gz YWVAZYPLEPVJGL-TZMCWYRMSA-N 0 1 287.367 0.468 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cncn3C)C[C@H]21 ZINC001042138093 850585240 /nfs/dbraw/zinc/58/52/40/850585240.db2.gz KRTNRHRUEJRVHQ-UKRRQHHQSA-N 0 1 286.379 0.980 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H]2CCN(CC#N)[C@H]2C1 ZINC001042179338 850590113 /nfs/dbraw/zinc/59/01/13/850590113.db2.gz LANLZFBJJVBLJA-QWRGUYRKSA-N 0 1 274.328 0.173 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cnn(C)n3)C[C@H]21 ZINC001042265054 850604798 /nfs/dbraw/zinc/60/47/98/850604798.db2.gz CSNQPRQMJYWUKB-GXTWGEPZSA-N 0 1 287.367 0.375 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cnn(C)n3)C[C@@H]21 ZINC001042265056 850605464 /nfs/dbraw/zinc/60/54/64/850605464.db2.gz CSNQPRQMJYWUKB-JSGCOSHPSA-N 0 1 287.367 0.375 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)CN2CN=NC2=O)C1 ZINC001042638577 850719041 /nfs/dbraw/zinc/71/90/41/850719041.db2.gz OAPITLHDEGRUPP-UHFFFAOYSA-N 0 1 279.344 0.093 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)N(C)C1CN(CC#N)C1 ZINC001043384441 850864127 /nfs/dbraw/zinc/86/41/27/850864127.db2.gz DUVWXRPZBQWMRE-HZMBPMFUSA-N 0 1 287.367 0.742 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC001043387223 850866408 /nfs/dbraw/zinc/86/64/08/850866408.db2.gz WLIMDDHKNKRJHZ-NHYWBVRUSA-N 0 1 286.379 0.851 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001043576401 850899614 /nfs/dbraw/zinc/89/96/14/850899614.db2.gz SPMJQRJCSXCBCU-CYBMUJFWSA-N 0 1 279.384 0.574 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(C)C1CN(C[C@@H]2CCOC2)C1 ZINC001043924464 850965468 /nfs/dbraw/zinc/96/54/68/850965468.db2.gz YSUNDWCGBNNQBH-CFVMTHIKSA-N 0 1 294.395 0.757 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001044045851 850990833 /nfs/dbraw/zinc/99/08/33/850990833.db2.gz OSLAXKMHOPIPBP-NSHDSACASA-N 0 1 265.357 0.374 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](NCC#N)C[C@@H]2C)[nH]1 ZINC001044507522 851114334 /nfs/dbraw/zinc/11/43/34/851114334.db2.gz HQEWBWPMWJFGGH-GXSJLCMTSA-N 0 1 261.329 0.824 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC001045391050 851247623 /nfs/dbraw/zinc/24/76/23/851247623.db2.gz ZFKWEHMFACRQIF-NWDGAFQWSA-N 0 1 278.352 0.399 20 30 CCEDMN CC#CCN1CCC(C)(NC(=O)c2ncn(C)n2)CC1 ZINC001045430891 851255927 /nfs/dbraw/zinc/25/59/27/851255927.db2.gz FCCQCOUETZFSSY-UHFFFAOYSA-N 0 1 275.356 0.423 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnnn2C)CC1 ZINC001045426990 851256519 /nfs/dbraw/zinc/25/65/19/851256519.db2.gz MKSFFKGIWQWLTO-UHFFFAOYSA-N 0 1 261.329 0.033 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnc(C)n2C)CC1 ZINC001045536302 851276196 /nfs/dbraw/zinc/27/61/96/851276196.db2.gz OHWIGZBCXJNQMJ-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@](C)(NC(C)=O)C2)C1=O ZINC001046091520 851369126 /nfs/dbraw/zinc/36/91/26/851369126.db2.gz RUIROIITVQYASV-GXTWGEPZSA-N 0 1 265.357 0.374 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc(C)n(C)n2)C1 ZINC001046170536 851405042 /nfs/dbraw/zinc/40/50/42/851405042.db2.gz CAOLZXAPYZWUAD-OAHLLOKOSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001046353060 851472997 /nfs/dbraw/zinc/47/29/97/851472997.db2.gz LLHFZGNINCQTEP-HNNXBMFYSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001046395490 851486247 /nfs/dbraw/zinc/48/62/47/851486247.db2.gz DREYRPNBNITOPO-CYBMUJFWSA-N 0 1 262.313 0.251 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001046430286 851499138 /nfs/dbraw/zinc/49/91/38/851499138.db2.gz ZWIGONUHNDHODH-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001046443472 851508021 /nfs/dbraw/zinc/50/80/21/851508021.db2.gz FKMBEHQELCKOOB-MRXNPFEDSA-N 0 1 287.363 0.603 20 30 CCEDMN C[C@]1(NC(=O)[C@H]2CCCc3n[nH]nc32)CCN(CC#N)C1 ZINC001046576939 851550912 /nfs/dbraw/zinc/55/09/12/851550912.db2.gz UITGWNDMBPBDFA-HZMBPMFUSA-N 0 1 288.355 0.329 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2n[nH]cc2F)C1 ZINC001046600616 851561962 /nfs/dbraw/zinc/56/19/62/851561962.db2.gz QVARTVLGGBSURR-LBPRGKRZSA-N 0 1 252.293 0.929 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2OCC[C@H]2C)C1 ZINC001046625860 851570321 /nfs/dbraw/zinc/57/03/21/851570321.db2.gz ZZBYCPBYSOCJFZ-DYEKYZERSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cnn3cc(C)cnc23)C1 ZINC001046667735 851580457 /nfs/dbraw/zinc/58/04/57/851580457.db2.gz YDQZAGRSOKMBHD-MRXNPFEDSA-N 0 1 297.362 0.865 20 30 CCEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cnn(C)n2)C1 ZINC001046695413 851585281 /nfs/dbraw/zinc/58/52/81/851585281.db2.gz LDSYGUPZZMOOEC-ZDUSSCGKSA-N 0 1 263.345 0.585 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@](C)(NC(=O)c2cn(CC)nn2)C1 ZINC001046739300 851601535 /nfs/dbraw/zinc/60/15/35/851601535.db2.gz KYSGLNLCWQUJHD-CQSZACIVSA-N 0 1 275.356 0.516 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cn(CC)nn2)C1 ZINC001046739300 851601537 /nfs/dbraw/zinc/60/15/37/851601537.db2.gz KYSGLNLCWQUJHD-CQSZACIVSA-N 0 1 275.356 0.516 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@](C)(NC(=O)c2cn(CCC)nn2)C1 ZINC001046737410 851601573 /nfs/dbraw/zinc/60/15/73/851601573.db2.gz PQKJCWCXALUDJI-OAHLLOKOSA-N 0 1 289.383 0.906 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cn(CCC)nn2)C1 ZINC001046737410 851601574 /nfs/dbraw/zinc/60/15/74/851601574.db2.gz PQKJCWCXALUDJI-OAHLLOKOSA-N 0 1 289.383 0.906 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001046766840 851608398 /nfs/dbraw/zinc/60/83/98/851608398.db2.gz BOSTZEWGEVRECZ-LRDDRELGSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001046766840 851608404 /nfs/dbraw/zinc/60/84/04/851608404.db2.gz BOSTZEWGEVRECZ-LRDDRELGSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001046770073 851609914 /nfs/dbraw/zinc/60/99/14/851609914.db2.gz BYUDDDSABPYLEJ-IAQYHMDHSA-N 0 1 287.367 0.123 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](C)(NC(=O)c2nonc2C)C1 ZINC001046870369 851636311 /nfs/dbraw/zinc/63/63/11/851636311.db2.gz MLIZAEPCQVEVMU-GFCCVEGCSA-N 0 1 250.302 0.758 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2nonc2C)C1 ZINC001046870369 851636312 /nfs/dbraw/zinc/63/63/12/851636312.db2.gz MLIZAEPCQVEVMU-GFCCVEGCSA-N 0 1 250.302 0.758 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccon2)C1 ZINC001047326892 851717418 /nfs/dbraw/zinc/71/74/18/851717418.db2.gz ZIJJBLACBPCDTE-STQMWFEESA-N 0 1 279.340 0.297 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2CC=CC2)C1 ZINC001047332171 851722396 /nfs/dbraw/zinc/72/23/96/851722396.db2.gz QCLJQRVRVVGQCF-KBPBESRZSA-N 0 1 262.353 0.479 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001047353925 851733474 /nfs/dbraw/zinc/73/34/74/851733474.db2.gz QLBYAFQHZBJSLR-KBPBESRZSA-N 0 1 288.351 0.580 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)ncn2)C1 ZINC001047352345 851733497 /nfs/dbraw/zinc/73/34/97/851733497.db2.gz YTOXIKAFMFNBCZ-KBPBESRZSA-N 0 1 290.367 0.478 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2OCC[C@H]2CC)C1 ZINC001047413964 851755241 /nfs/dbraw/zinc/75/52/41/851755241.db2.gz UENVHVOAIFHLAS-QPSCCSFWSA-N 0 1 296.411 0.881 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C(C2CC2)C2CC2)C1 ZINC001047592770 851827147 /nfs/dbraw/zinc/82/71/47/851827147.db2.gz HFGOTAJHIXAFMO-GJZGRUSLSA-N 0 1 290.407 0.949 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)C[C@@H]2C1 ZINC001048873368 852089054 /nfs/dbraw/zinc/08/90/54/852089054.db2.gz NTJLTRYLJMVIQS-YVECIDJPSA-N 0 1 285.351 0.427 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnccn1 ZINC001049303161 852222200 /nfs/dbraw/zinc/22/22/00/852222200.db2.gz QYYCHTRHCMUMNT-KGLIPLIRSA-N 0 1 270.336 0.789 20 30 CCEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1=COCCO1 ZINC001049314583 852226769 /nfs/dbraw/zinc/22/67/69/852226769.db2.gz VVEOVSUBIQQQPT-KBPBESRZSA-N 0 1 290.363 0.963 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)Cn1cccn1 ZINC001049329983 852231442 /nfs/dbraw/zinc/23/14/42/852231442.db2.gz DRHZYEJZQGDPCH-KGLIPLIRSA-N 0 1 272.352 0.582 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)Cn1cc(C)cn1 ZINC001049360957 852242633 /nfs/dbraw/zinc/24/26/33/852242633.db2.gz QFLRFQLRKKEENC-GJZGRUSLSA-N 0 1 286.379 0.890 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)Cc1cnn(C)c1 ZINC001049375615 852249702 /nfs/dbraw/zinc/24/97/02/852249702.db2.gz YBJDCYDINSHQSW-CABCVRRESA-N 0 1 286.379 0.661 20 30 CCEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1nccnc1N ZINC001049386000 852251991 /nfs/dbraw/zinc/25/19/91/852251991.db2.gz JPCLSDDRNNOJKC-OLZOCXBDSA-N 0 1 299.378 0.761 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1[nH]c(=O)[nH]c1C ZINC001049539032 852307969 /nfs/dbraw/zinc/30/79/69/852307969.db2.gz WSAUFSMZOHXLLK-NEPJUHHUSA-N 0 1 288.351 0.736 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1c[nH]c(=O)n1C ZINC001049637018 852327545 /nfs/dbraw/zinc/32/75/45/852327545.db2.gz WAVMQCQMVFPLFM-RYUDHWBXSA-N 0 1 288.351 0.438 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@]1(C)CCC(=O)N1 ZINC001049700201 852354294 /nfs/dbraw/zinc/35/42/94/852354294.db2.gz RXYHTLXHERRRHF-XEZPLFJOSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1nonc1C ZINC001049928989 852397763 /nfs/dbraw/zinc/39/77/63/852397763.db2.gz XVDLVBONHYDBDD-VXGBXAGGSA-N 0 1 274.324 0.690 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCN1C(C)=O)C2 ZINC001096622119 852419294 /nfs/dbraw/zinc/41/92/94/852419294.db2.gz KOJFAAVCTAXLNJ-CBBWQLFWSA-N 0 1 291.395 0.905 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3nccnc3N)[C@@H]2C1 ZINC001050002761 852419414 /nfs/dbraw/zinc/41/94/14/852419414.db2.gz ZWIZRKOWAPDRTK-QWHCGFSZSA-N 0 1 299.378 0.619 20 30 CCEDMN CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc[nH]n1)C2 ZINC001096905702 852464687 /nfs/dbraw/zinc/46/46/87/852464687.db2.gz MYGFWKNJGSISCE-MCIONIFRSA-N 0 1 272.352 0.697 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc[nH]n1)C2 ZINC001096905702 852464694 /nfs/dbraw/zinc/46/46/94/852464694.db2.gz MYGFWKNJGSISCE-MCIONIFRSA-N 0 1 272.352 0.697 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(C)c1N)C2 ZINC001096987223 852480823 /nfs/dbraw/zinc/48/08/23/852480823.db2.gz QUWFDKOIZTVZRX-RTXFEEFZSA-N 0 1 289.383 0.914 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(C)nn1)C2 ZINC001097030282 852494326 /nfs/dbraw/zinc/49/43/26/852494326.db2.gz NHEDCUPOIJQKHN-WZRBSPASSA-N 0 1 275.356 0.726 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCN(C)C1=O)C2 ZINC001097674203 852569700 /nfs/dbraw/zinc/56/97/00/852569700.db2.gz IFOULWSGCOCTGW-SYQHCUMBSA-N 0 1 289.379 0.210 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(=O)cn1)C2 ZINC001097738578 852597244 /nfs/dbraw/zinc/59/72/44/852597244.db2.gz DNCGWVHVINSOQI-FOGDFJRCSA-N 0 1 274.324 0.291 20 30 CCEDMN Cc1nc(CC(=O)NC[C@@H](C)Nc2ncccc2C#N)n[nH]1 ZINC001097806747 852622047 /nfs/dbraw/zinc/62/20/47/852622047.db2.gz UHDPTWYGQWUTJI-SECBINFHSA-N 0 1 299.338 0.539 20 30 CCEDMN C=CCN1CC2(C1)CN(C(=O)c1ccn[nH]1)CCO2 ZINC001053168003 852699483 /nfs/dbraw/zinc/69/94/83/852699483.db2.gz YJEQSHCESLUEMI-UHFFFAOYSA-N 0 1 262.313 0.123 20 30 CCEDMN C=C(C)CCN1CC2(C1)CN(C(=O)c1ccn[nH]1)CCO2 ZINC001053169241 852701006 /nfs/dbraw/zinc/70/10/06/852701006.db2.gz SRSYLSOSUFRUDU-UHFFFAOYSA-N 0 1 290.367 0.903 20 30 CCEDMN C=C(C)CN1CC2(C1)CN(C(=O)Cc1ccn[nH]1)CCO2 ZINC001053201078 852707909 /nfs/dbraw/zinc/70/79/09/852707909.db2.gz OAIIEDAZQZZVSZ-UHFFFAOYSA-N 0 1 290.367 0.442 20 30 CCEDMN C#CC[N@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncn[n-]1 ZINC001054056682 852875002 /nfs/dbraw/zinc/87/50/02/852875002.db2.gz OXEKDDKLPUVHMY-CQSZACIVSA-N 0 1 295.346 0.595 20 30 CCEDMN C#CC[N@@H+]1Cc2ccccc2C[C@@H]1CNC(=O)c1ncn[n-]1 ZINC001054056682 852875005 /nfs/dbraw/zinc/87/50/05/852875005.db2.gz OXEKDDKLPUVHMY-CQSZACIVSA-N 0 1 295.346 0.595 20 30 CCEDMN C#CCN1Cc2ccccc2C[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001054056682 852875008 /nfs/dbraw/zinc/87/50/08/852875008.db2.gz OXEKDDKLPUVHMY-CQSZACIVSA-N 0 1 295.346 0.595 20 30 CCEDMN C[C@H]1CN(C(=O)C#CC2CC2)C[C@H]1NCc1cnns1 ZINC001054655744 852991438 /nfs/dbraw/zinc/99/14/38/852991438.db2.gz JAYXHOMWRNIGIY-GXFFZTMASA-N 0 1 290.392 0.888 20 30 CCEDMN N#Cc1cncc(N2CCN(C(=O)c3ccn[nH]3)CC2)n1 ZINC001055721671 853108220 /nfs/dbraw/zinc/10/82/20/853108220.db2.gz JHVPQVHDQFSODY-UHFFFAOYSA-N 0 1 283.295 0.034 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(c3nccnc3C#N)CC2)[nH]1 ZINC001055746086 853113033 /nfs/dbraw/zinc/11/30/33/853113033.db2.gz KHEKORADSODYJX-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCN(c2ccncc2C#N)CC1 ZINC001055975032 853134429 /nfs/dbraw/zinc/13/44/29/853134429.db2.gz WSGRLVOWDRGULL-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](Nc3cncc(C#N)n3)C2)[nH]1 ZINC001056592862 853177499 /nfs/dbraw/zinc/17/74/99/853177499.db2.gz MBUGSSPFDLTRSR-SNVBAGLBSA-N 0 1 297.322 0.706 20 30 CCEDMN CN1CC(C(=O)N2CCC[C@H]3CN(CC#N)C[C@H]32)=NC1=O ZINC001050131489 853320812 /nfs/dbraw/zinc/32/08/12/853320812.db2.gz XALMSOMGPKWXOR-CMPLNLGQSA-N 0 1 289.339 0.186 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cn3ccc(C)n3)[C@@H]2C1 ZINC001050142836 853323161 /nfs/dbraw/zinc/32/31/61/853323161.db2.gz IBUPHYDNZJQUJC-LSDHHAIUSA-N 0 1 286.379 0.748 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cn3ccccc3=O)[C@@H]2C1 ZINC001050198922 853334753 /nfs/dbraw/zinc/33/47/53/853334753.db2.gz UFMZUGSNIZTXLW-LSDHHAIUSA-N 0 1 299.374 0.404 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CC2(C1)CCN(CC#N)CC2 ZINC001050458972 853375857 /nfs/dbraw/zinc/37/58/57/853375857.db2.gz FYEABFFGJCYXPS-ZDUSSCGKSA-N 0 1 276.384 0.529 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCC(=O)N1)C2 ZINC001098024336 853380884 /nfs/dbraw/zinc/38/08/84/853380884.db2.gz JDSSSJHGMKXMMC-LOWDOPEQSA-N 0 1 277.368 0.563 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCC(=O)N1)C2 ZINC001098024337 853381481 /nfs/dbraw/zinc/38/14/81/853381481.db2.gz JDSSSJHGMKXMMC-LPWJVIDDSA-N 0 1 277.368 0.563 20 30 CCEDMN N#Cc1nccc(N[C@@H]2CCCN(C(=O)c3ccn[nH]3)C2)n1 ZINC001057592887 853434895 /nfs/dbraw/zinc/43/48/95/853434895.db2.gz YHCZIQGLOGSCDL-SNVBAGLBSA-N 0 1 297.322 0.210 20 30 CCEDMN C=C(C)CCN1CCOC[C@H]1CNC(=O)[C@@H]1CCCN1C ZINC001050847616 853459166 /nfs/dbraw/zinc/45/91/66/853459166.db2.gz BBZKFNOCRPEHBC-CABCVRRESA-N 0 1 295.427 0.864 20 30 CCEDMN COCCN1CCN([C@H]2CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001051997966 853672382 /nfs/dbraw/zinc/67/23/82/853672382.db2.gz LJNDJHFQJSKUCI-KBPBESRZSA-N 0 1 294.399 0.011 20 30 CCEDMN C=C(C)CN1CCN([C@H]2CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001051998512 853673110 /nfs/dbraw/zinc/67/31/10/853673110.db2.gz VDPUDFXJGLZUIT-GJZGRUSLSA-N 0 1 290.411 0.941 20 30 CCEDMN N#Cc1cccnc1N1CC[C@H](NC(=O)CN2CCCC2)C1 ZINC001058371778 853833831 /nfs/dbraw/zinc/83/38/31/853833831.db2.gz GZGICMMQRFRDBZ-AWEZNQCLSA-N 0 1 299.378 0.744 20 30 CCEDMN N#Cc1cccnc1N1CC[C@@H](NC(=O)CN2CCCC2)C1 ZINC001058371779 853833952 /nfs/dbraw/zinc/83/39/52/853833952.db2.gz GZGICMMQRFRDBZ-CQSZACIVSA-N 0 1 299.378 0.744 20 30 CCEDMN Cc1nc(N2CC[C@@H](NC(=O)c3ncn[nH]3)C2)ccc1C#N ZINC001058422978 853843366 /nfs/dbraw/zinc/84/33/66/853843366.db2.gz UGJRQBIJMIPVPM-LLVKDONJSA-N 0 1 297.322 0.389 20 30 CCEDMN Cc1nc(N2CC[C@@H](NC(=O)c3nc[nH]n3)C2)ccc1C#N ZINC001058422978 853843370 /nfs/dbraw/zinc/84/33/70/853843370.db2.gz UGJRQBIJMIPVPM-LLVKDONJSA-N 0 1 297.322 0.389 20 30 CCEDMN N#Cc1cnccc1N1CC[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001058443938 853847204 /nfs/dbraw/zinc/84/72/04/853847204.db2.gz XGYMLRBCGAOPLT-NSHDSACASA-N 0 1 297.322 0.009 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@@H](NC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001070554969 854075163 /nfs/dbraw/zinc/07/51/63/854075163.db2.gz HTYGHPHTEXXABH-MWLCHTKSSA-N 0 1 289.339 0.599 20 30 CCEDMN C=CCN1CCOC[C@@H]1c1nc(CNC(=O)C2CC2)n[nH]1 ZINC001070577210 854077992 /nfs/dbraw/zinc/07/79/92/854077992.db2.gz QWEGKSWXQFZWFF-LLVKDONJSA-N 0 1 291.355 0.390 20 30 CCEDMN C=CCN1CCOC[C@@H]1c1nnc(CNC(=O)C2CC2)[nH]1 ZINC001070577210 854077998 /nfs/dbraw/zinc/07/79/98/854077998.db2.gz QWEGKSWXQFZWFF-LLVKDONJSA-N 0 1 291.355 0.390 20 30 CCEDMN C=CCCN1C[C@@H]2[C@H](C1)OC(=O)N2[C@@H]1CCN(C(C)=O)C1 ZINC001070899267 854099614 /nfs/dbraw/zinc/09/96/14/854099614.db2.gz SCQZPTJKGQPAHJ-MCIONIFRSA-N 0 1 293.367 0.688 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)c1cn[nH]c1)C[C@@H](C)O2 ZINC001071139393 854128919 /nfs/dbraw/zinc/12/89/19/854128919.db2.gz UGRBXIUZEIVXTB-IUODEOHRSA-N 0 1 290.367 0.901 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2c[nH]cn2)CC[C@H]1C ZINC001071848554 854307030 /nfs/dbraw/zinc/30/70/30/854307030.db2.gz UJQCBXANBOZCBY-VXGBXAGGSA-N 0 1 260.341 0.555 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CC[C@H](C)N(CCO)C2)nc1 ZINC001072002412 854333252 /nfs/dbraw/zinc/33/32/52/854333252.db2.gz LJEHJOOPMZWFLO-JSGCOSHPSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CC3CCOCC3)C2)C1 ZINC001072565815 854417433 /nfs/dbraw/zinc/41/74/33/854417433.db2.gz MQPKELNFGVCSSG-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C=CCCCC(=O)N1C[C@H](NC(=O)c2cnn[nH]2)[C@@H](C)C1 ZINC001072632194 854433170 /nfs/dbraw/zinc/43/31/70/854433170.db2.gz JMFUJKKGNBGRHT-JQWIXIFHSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(OC)ns3)C2)C1 ZINC001072747279 854455689 /nfs/dbraw/zinc/45/56/89/854455689.db2.gz GFAHNQIIRDQTGM-UHFFFAOYSA-N 0 1 291.376 0.933 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3nn(C)cc3Cl)C2)C1 ZINC001072777020 854460692 /nfs/dbraw/zinc/46/06/92/854460692.db2.gz SOGRPSAWCMVLJV-UHFFFAOYSA-N 0 1 292.770 0.855 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnc4[nH]cnc4c3)C2)C1 ZINC001072785397 854462511 /nfs/dbraw/zinc/46/25/11/854462511.db2.gz XSRLHPRAFQOIIQ-UHFFFAOYSA-N 0 1 295.346 0.739 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(C)CCN(C(=O)c2ccn[nH]2)CC1 ZINC001072890339 854487917 /nfs/dbraw/zinc/48/79/17/854487917.db2.gz LJLIIUHYDUMIOI-JTQLQIEISA-N 0 1 289.339 0.680 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCOC[C@@H]3C)C2)C1 ZINC001072959739 854502221 /nfs/dbraw/zinc/50/22/21/854502221.db2.gz GYVUMWMPARHCLC-UONOGXRCSA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@]3(C)CCCOC3)C2)C1 ZINC001073085909 854526440 /nfs/dbraw/zinc/52/64/40/854526440.db2.gz SVHYRKKDKVSPRN-OAHLLOKOSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CC[N@@H+]1CCC2(CN(C(=O)CCc3cncn3C)C2)C1 ZINC001073157816 854539212 /nfs/dbraw/zinc/53/92/12/854539212.db2.gz XQVHKRRYIQJZIC-UHFFFAOYSA-N 0 1 286.379 0.520 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCCN3C(C)=O)C2)C1 ZINC001073469959 854562976 /nfs/dbraw/zinc/56/29/76/854562976.db2.gz RCYHTFMXLMHBOJ-CQSZACIVSA-N 0 1 289.379 0.165 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2cnn(C)c2)C1 ZINC001073530587 854579729 /nfs/dbraw/zinc/57/97/29/854579729.db2.gz VGUKBVUGNRNWKY-AWEZNQCLSA-N 0 1 290.367 0.264 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnns2)C1 ZINC001073547214 854588612 /nfs/dbraw/zinc/58/86/12/854588612.db2.gz CWQMCEWQMCZDRD-JTQLQIEISA-N 0 1 282.369 0.545 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C2=CCOCC2)C1 ZINC001073584341 854603699 /nfs/dbraw/zinc/60/36/99/854603699.db2.gz RHZJECHTUSLKGU-AWEZNQCLSA-N 0 1 280.368 0.726 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2n[nH]cc2C)C1 ZINC001073598189 854607771 /nfs/dbraw/zinc/60/77/71/854607771.db2.gz RYFSMDYDQVQOBI-GFCCVEGCSA-N 0 1 278.356 0.725 20 30 CCEDMN C#CCN1CCCO[C@@H](CNC(=O)c2[nH]c(C)nc2C)C1 ZINC001073769427 854642403 /nfs/dbraw/zinc/64/24/03/854642403.db2.gz GZRIRRLOJCWRSB-ZDUSSCGKSA-N 0 1 290.367 0.480 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)[C@H](C)C1 ZINC001074048552 854668524 /nfs/dbraw/zinc/66/85/24/854668524.db2.gz IMBMUCZUDZHQCZ-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NCc2ccn(C)n2)[C@@H]1C ZINC001074570257 854750180 /nfs/dbraw/zinc/75/01/80/854750180.db2.gz ZEGAWKFBHQWKAF-GVXVVHGQSA-N 0 1 275.356 0.659 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CCOC2)C1 ZINC001098751997 854883427 /nfs/dbraw/zinc/88/34/27/854883427.db2.gz VRHWYZZONZSPDJ-YDHLFZDLSA-N 0 1 262.353 0.627 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnnn2C)C1 ZINC001098820194 854890050 /nfs/dbraw/zinc/89/00/50/854890050.db2.gz SRSNCFLBLJGWCL-FZMZJTMJSA-N 0 1 273.340 0.033 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnnn2CC)C1 ZINC001098822887 854891184 /nfs/dbraw/zinc/89/11/84/854891184.db2.gz ZVLCOLFYFZMXPM-WFASDCNBSA-N 0 1 287.367 0.516 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ncccn2)C1 ZINC001099088963 854914682 /nfs/dbraw/zinc/91/46/82/854914682.db2.gz BLOGNEWPXKLNSX-WFASDCNBSA-N 0 1 270.336 0.694 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCSC)[C@@H](O)C1 ZINC001099654624 854958107 /nfs/dbraw/zinc/95/81/07/854958107.db2.gz PUSMDPADEQJSCP-MNOVXSKESA-N 0 1 258.387 0.477 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)COC2CCCC2)[C@@H](O)C1 ZINC001099697739 854970799 /nfs/dbraw/zinc/97/07/99/854970799.db2.gz DAXGJYGBKKNHAR-CABCVRRESA-N 0 1 294.395 0.520 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ccon2)[C@H](O)C1 ZINC001099702182 854971044 /nfs/dbraw/zinc/97/10/44/854971044.db2.gz PZYQMHYPJQKVMR-DGCLKSJQSA-N 0 1 295.339 0.042 20 30 CCEDMN C[C@@H](CCNC(=O)c1cnn[nH]1)Nc1cnc(C#N)cn1 ZINC001099777383 854991606 /nfs/dbraw/zinc/99/16/06/854991606.db2.gz NFOXGOKNVCLTOO-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)COC(C)(C)C)[C@H](O)C1 ZINC001099783174 854994648 /nfs/dbraw/zinc/99/46/48/854994648.db2.gz MFAOXZLDTFZBRF-CHWSQXEVSA-N 0 1 282.384 0.376 20 30 CCEDMN C#CCC1(C(=O)N[C@H]2CCN(CC=C)C[C@@H]2O)CCC1 ZINC001099874996 855017107 /nfs/dbraw/zinc/01/71/07/855017107.db2.gz UEVRXGIDXJXQSO-KBPBESRZSA-N 0 1 276.380 0.917 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCC(C)(F)F)[C@@H](O)C1 ZINC001099896598 855022399 /nfs/dbraw/zinc/02/23/99/855022399.db2.gz LSHJTEHFRQDOHU-NEPJUHHUSA-N 0 1 288.338 0.997 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(COCC)CC2)[C@H](O)C1 ZINC001099898580 855024882 /nfs/dbraw/zinc/02/48/82/855024882.db2.gz DQJFSYGYKPUBTG-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCn2ccnc2)[C@@H](O)C1 ZINC001099940024 855038990 /nfs/dbraw/zinc/03/89/90/855038990.db2.gz PHVPKXVHAKVXKC-STQMWFEESA-N 0 1 278.356 0.011 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC(C)(F)F)[C@H](O)C1 ZINC001099991094 855063922 /nfs/dbraw/zinc/06/39/22/855063922.db2.gz FPZNQVJDCXWMAW-VHSXEESVSA-N 0 1 262.300 0.769 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CC)CCOCC2)[C@@H](O)C1 ZINC001100056104 855086707 /nfs/dbraw/zinc/08/67/07/855086707.db2.gz OUIQABFYZBYPAA-KBPBESRZSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCOC(C)C)[C@H](O)C1 ZINC001100138301 855105846 /nfs/dbraw/zinc/10/58/46/855105846.db2.gz HDBAAJLVBWIWMU-UONOGXRCSA-N 0 1 284.400 0.929 20 30 CCEDMN CCCN(CCNC(=O)c1cnn[nH]1)c1ccc(C#N)cn1 ZINC001101267144 855278085 /nfs/dbraw/zinc/27/80/85/855278085.db2.gz PKQZYNOCWHCVLB-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN CC[C@H](CNC(=O)c1cnn[nH]1)Nc1ncccc1C#N ZINC001103371074 855514785 /nfs/dbraw/zinc/51/47/85/855514785.db2.gz HVXFCSRQUMFWDS-SNVBAGLBSA-N 0 1 285.311 0.692 20 30 CCEDMN CC[C@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)cn1 ZINC001103371530 855516031 /nfs/dbraw/zinc/51/60/31/855516031.db2.gz TYXIZZCAKXXGNG-SNVBAGLBSA-N 0 1 285.311 0.692 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@@H](C)CNC(=O)c2ncn[nH]2)n1 ZINC001104059173 855564521 /nfs/dbraw/zinc/56/45/21/855564521.db2.gz BZSJIJHUFXKKTN-SECBINFHSA-N 0 1 299.338 0.858 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@@H](C)CNC(=O)c2nc[nH]n2)n1 ZINC001104059173 855564525 /nfs/dbraw/zinc/56/45/25/855564525.db2.gz BZSJIJHUFXKKTN-SECBINFHSA-N 0 1 299.338 0.858 20 30 CCEDMN COCC#CCN1C[C@@H]2CCC[C@]2(NC(C)=O)C1 ZINC001111556541 855578362 /nfs/dbraw/zinc/57/83/62/855578362.db2.gz LHHJVVVNTYABQS-KBPBESRZSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cnn(C)c1 ZINC001115290235 855658458 /nfs/dbraw/zinc/65/84/58/855658458.db2.gz PSPCACFXAUUNCX-NHAGDIPZSA-N 0 1 272.352 0.032 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(CC#CC)C[C@H]21 ZINC001115332010 855662734 /nfs/dbraw/zinc/66/27/34/855662734.db2.gz HSJLYHZDQSQRNI-MQYQWHSLSA-N 0 1 262.353 0.647 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CN(C)c1ccccc1 ZINC001115343554 855663639 /nfs/dbraw/zinc/66/36/39/855663639.db2.gz LIBFKHGBYJNTSK-QLPKVWCKSA-N 0 1 283.375 0.802 20 30 CCEDMN C=CCN(C(=O)CCc1cnc[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC001116759619 855828397 /nfs/dbraw/zinc/82/83/97/855828397.db2.gz HYVRVDRATFMGLA-GFCCVEGCSA-N 0 1 297.380 0.544 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)NCc1cc(C)[nH]n1 ZINC001117302343 855940714 /nfs/dbraw/zinc/94/07/14/855940714.db2.gz KGSGHKFPRQBIES-LBPRGKRZSA-N 0 1 276.340 0.901 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C)C1CC1 ZINC001117589613 856021816 /nfs/dbraw/zinc/02/18/16/856021816.db2.gz BRTIHJSLRPAOAX-SMDDNHRTSA-N 0 1 291.395 0.762 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC001117652544 856037036 /nfs/dbraw/zinc/03/70/36/856037036.db2.gz LOATWKPMGSABRN-VHSXEESVSA-N 0 1 264.329 0.767 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1ccc2cncn2c1C ZINC001118706032 856404042 /nfs/dbraw/zinc/40/40/42/856404042.db2.gz CCWADGRXQFBTJE-NSHDSACASA-N 0 1 257.293 0.757 20 30 CCEDMN C#CCOCCC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001119328799 856635235 /nfs/dbraw/zinc/63/52/35/856635235.db2.gz ZIRFPXMNXUQXKX-UHFFFAOYSA-N 0 1 292.339 0.355 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CCc1nc[nH]n1)C(=O)OCC ZINC001119340262 856641301 /nfs/dbraw/zinc/64/13/01/856641301.db2.gz VNXJSOZZLPKOQD-SNVBAGLBSA-N 0 1 280.328 0.751 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)C(=O)N2CCC[C@H](C#N)C2)CCN1 ZINC001119546021 856730028 /nfs/dbraw/zinc/73/00/28/856730028.db2.gz VLPMDBKJRAXJFP-CHWSQXEVSA-N 0 1 292.383 0.205 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)C(=O)N2CCC[C@@H](C#N)C2)CCN1 ZINC001119546023 856730293 /nfs/dbraw/zinc/73/02/93/856730293.db2.gz VLPMDBKJRAXJFP-QWHCGFSZSA-N 0 1 292.383 0.205 20 30 CCEDMN C[S@@](=O)CCN1CCC2(CC1)CC(=O)C=CO2 ZINC001119870339 856900643 /nfs/dbraw/zinc/90/06/43/856900643.db2.gz WDTDZSASZYDWJL-QGZVFWFLSA-N 0 1 257.355 0.703 20 30 CCEDMN C#CCCCCCCn1c2nonc2[nH]c(=O)c1=O ZINC001120359311 857045251 /nfs/dbraw/zinc/04/52/51/857045251.db2.gz JKXXBCJGCAPLNI-UHFFFAOYSA-N 0 1 262.269 0.657 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)Cc1cnccc1OC ZINC001323265225 912232188 /nfs/dbraw/zinc/23/21/88/912232188.db2.gz ZKTUDWOLKKGUDI-CQSZACIVSA-N 0 1 287.363 0.847 20 30 CCEDMN CC(C)c1nc(CNC2(CNC(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001392889278 912236251 /nfs/dbraw/zinc/23/62/51/912236251.db2.gz JZUJJNYIACUJJS-JTQLQIEISA-N 0 1 290.371 0.826 20 30 CCEDMN COc1ncccc1CNC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001392889732 912236410 /nfs/dbraw/zinc/23/64/10/912236410.db2.gz NYCJFPXUIJPBEJ-NSHDSACASA-N 0 1 288.351 0.988 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001323285844 912245249 /nfs/dbraw/zinc/24/52/49/912245249.db2.gz AFICYEJQQYWDTO-SWLSCSKDSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CNC(=O)c1ccccc1 ZINC001323339134 912280210 /nfs/dbraw/zinc/28/02/10/912280210.db2.gz DQNVBLPVAZJZHZ-OAHLLOKOSA-N 0 1 299.374 0.630 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCC1(N[C@@H]2CCNC2=O)CC1 ZINC001323395747 912316663 /nfs/dbraw/zinc/31/66/63/912316663.db2.gz AONNHCFYGXZQCG-NWDGAFQWSA-N 0 1 295.383 0.095 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)/C=C(/C)C2CC2)CC1 ZINC001323397190 912323004 /nfs/dbraw/zinc/32/30/04/912323004.db2.gz OYDQHHLDBHUQSU-XFXZXTDPSA-N 0 1 289.379 0.331 20 30 CCEDMN C=CCC(C)(C)C(=O)NCC1(NCC(=O)NCC#N)CC1 ZINC001323553778 912413397 /nfs/dbraw/zinc/41/33/97/912413397.db2.gz AFWDLMCLZPEJKF-UHFFFAOYSA-N 0 1 292.383 0.467 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C2(C(=O)NC)CCC2)CC1 ZINC001323597795 912443065 /nfs/dbraw/zinc/44/30/65/912443065.db2.gz YOITWFGHEQVYQM-UHFFFAOYSA-N 0 1 299.802 0.894 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ccc(=O)n(C)n1 ZINC001394005412 912911137 /nfs/dbraw/zinc/91/11/37/912911137.db2.gz ZBTJNQPXHBTGLR-UWVGGRQHSA-N 0 1 298.774 0.629 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cnnn1C ZINC001394479563 913204611 /nfs/dbraw/zinc/20/46/11/913204611.db2.gz NTVMPNWYVRHRNF-SECBINFHSA-N 0 1 271.752 0.618 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C(C)(C)COC)C1 ZINC001325109455 913218927 /nfs/dbraw/zinc/21/89/27/913218927.db2.gz DCWLHEDUBFNQAU-OAHLLOKOSA-N 0 1 282.384 0.235 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C2(C)CCOCC2)C1 ZINC001325143302 913242956 /nfs/dbraw/zinc/24/29/56/913242956.db2.gz YWLBHSSYVSONMO-INIZCTEOSA-N 0 1 294.395 0.379 20 30 CCEDMN C=CCO[C@H]1CCN([C@H](C)C(=O)NC(=O)NCC)C1 ZINC001325223635 913289140 /nfs/dbraw/zinc/28/91/40/913289140.db2.gz QECYJOGOEGZCNZ-MNOVXSKESA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCO[C@H]1CC[N@H+]([C@H](C)C(=O)NC(=O)NCC)C1 ZINC001325223635 913289125 /nfs/dbraw/zinc/28/91/25/913289125.db2.gz QECYJOGOEGZCNZ-MNOVXSKESA-N 0 1 269.345 0.498 20 30 CCEDMN CCn1ncnc1CNC1CC(N(C)C(=O)[C@@H](C)C#N)C1 ZINC001394850223 913465478 /nfs/dbraw/zinc/46/54/78/913465478.db2.gz DALUHIFTAADWKY-UNXYVOJBSA-N 0 1 290.371 0.537 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1nnn(C(C)C)c1C ZINC001282479711 891453897 /nfs/dbraw/zinc/45/38/97/891453897.db2.gz ZXXPFSCUXFUODT-NSHDSACASA-N 0 1 277.372 0.851 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CC(=O)N(C(C)(C)C)C1 ZINC001480870882 891464296 /nfs/dbraw/zinc/46/42/96/891464296.db2.gz CMIBXMNHZSNPAW-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(CCNC(=O)c1c(C)nn2cccnc12)C1CC1 ZINC001493447961 891549364 /nfs/dbraw/zinc/54/93/64/891549364.db2.gz AAWFWECTYZZMRH-UHFFFAOYSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1c2c[nH]nc2CC[C@@H]1C ZINC001480892297 891697849 /nfs/dbraw/zinc/69/78/49/891697849.db2.gz KRYUKKBNDOSJKT-SMDDNHRTSA-N 0 1 274.368 0.757 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cn(C)nc1C(F)(F)F ZINC001480916983 891795397 /nfs/dbraw/zinc/79/53/97/891795397.db2.gz DKBNDNYAKSIBTI-UHFFFAOYSA-N 0 1 288.273 0.734 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCOC1 ZINC001481030506 892086633 /nfs/dbraw/zinc/08/66/33/892086633.db2.gz IILYLIDKXWGQNZ-GJZGRUSLSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)COc1cc(C)on1 ZINC001481043927 892110335 /nfs/dbraw/zinc/11/03/35/892110335.db2.gz GZPUGKWWDIEMSX-GFCCVEGCSA-N 0 1 277.324 0.576 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H](C)NC(=O)C(C)(C)C)C1CC1 ZINC001481180092 892277506 /nfs/dbraw/zinc/27/75/06/892277506.db2.gz RBPHSIQOHHNROV-GFCCVEGCSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN(CCNC(=O)[C@H](C)NC(=O)C(C)(C)C)C1CC1 ZINC001481180093 892278307 /nfs/dbraw/zinc/27/83/07/892278307.db2.gz RBPHSIQOHHNROV-LBPRGKRZSA-N 0 1 293.411 0.751 20 30 CCEDMN CC#CCN(CCNC(=O)Cc1[nH]cnc1C)C1CC1 ZINC001481196189 892295824 /nfs/dbraw/zinc/29/58/24/892295824.db2.gz VFFIKPQNPOLUQM-UHFFFAOYSA-N 0 1 274.368 0.865 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)C2CN(C(C)=O)C2)C1 ZINC001481553910 892823783 /nfs/dbraw/zinc/82/37/83/892823783.db2.gz XFTAMFZRXJWIFG-NSHDSACASA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCCCN1CC(NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001481566701 892840198 /nfs/dbraw/zinc/84/01/98/892840198.db2.gz UGENOPIFYTYEJY-UHFFFAOYSA-N 0 1 275.352 0.766 20 30 CCEDMN Cc1nc([C@@H](C)N2CC(CNC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001481617325 892911210 /nfs/dbraw/zinc/91/12/10/892911210.db2.gz DYMZIUYWZJWRQQ-DTWKUNHWSA-N 0 1 276.344 0.382 20 30 CCEDMN CCN(C(=O)[C@@H](C)C#N)[C@@H]1CCN(CCOCCO)C1 ZINC001481762012 893124079 /nfs/dbraw/zinc/12/40/79/893124079.db2.gz QDAWIISQJLPZRI-QWHCGFSZSA-N 0 1 283.372 0.078 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CC(=O)NCC ZINC001481804261 893178461 /nfs/dbraw/zinc/17/84/61/893178461.db2.gz XCDAZWQMBAPLOU-SNVBAGLBSA-N 0 1 275.780 0.750 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCCn2cncn2)[C@@H]1C ZINC001284151184 893320260 /nfs/dbraw/zinc/32/02/60/893320260.db2.gz FCHDFQQKVHJRHQ-UONOGXRCSA-N 0 1 289.383 0.661 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)[C@@H](C)CNCc1cnns1 ZINC001482276489 893744708 /nfs/dbraw/zinc/74/47/08/893744708.db2.gz AKVUOLAYXQQTIP-GWCFXTLKSA-N 0 1 298.412 0.802 20 30 CCEDMN C[C@H](NS(=O)(=O)c1ccc(C#N)cn1)c1nnc[nH]1 ZINC001363980910 893872295 /nfs/dbraw/zinc/87/22/95/893872295.db2.gz PCDKXWDPBBLOBV-ZETCQYMHSA-N 0 1 278.297 0.111 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC=CCNC(=O)c1[nH]ncc1F ZINC001285609930 894007835 /nfs/dbraw/zinc/00/78/35/894007835.db2.gz PCHJMUQTCYHMPZ-SGJFDWMWSA-N 0 1 279.275 0.111 20 30 CCEDMN C[C@H](NCC#N)[C@@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC001482477423 894094953 /nfs/dbraw/zinc/09/49/53/894094953.db2.gz FXJDALNHHIHQKI-WDEREUQCSA-N 0 1 276.344 0.087 20 30 CCEDMN C#CCC[N@H+](C)CCN(C)C(=O)[C@]1(C)CCOC1 ZINC001482638365 894438312 /nfs/dbraw/zinc/43/83/12/894438312.db2.gz QQMOREUYCMJOMC-CQSZACIVSA-N 0 1 252.358 0.827 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CC1(O)CCC1 ZINC001482729437 894546865 /nfs/dbraw/zinc/54/68/65/894546865.db2.gz RCIFANRQNNKHIK-SNVBAGLBSA-N 0 1 276.764 0.111 20 30 CCEDMN N#CCNC(=O)CNC/C=C/CNC(=O)C[C@@H]1C=CCC1 ZINC001482980604 894753105 /nfs/dbraw/zinc/75/31/05/894753105.db2.gz GJRXSCUQRMFKFO-ITDFMYJTSA-N 0 1 290.367 0.244 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)[C@@H](C)C(C)(C)C ZINC001483021952 894807671 /nfs/dbraw/zinc/80/76/71/894807671.db2.gz GRYOJMMUCSXUCQ-MEJMFZKBSA-N 0 1 293.411 0.680 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)CC(N)=O ZINC001483044992 894842655 /nfs/dbraw/zinc/84/26/55/894842655.db2.gz TZOBIDOUTDDCDN-CDAZIORVSA-N 0 1 273.764 0.512 20 30 CCEDMN Cc1ncoc1CNC[C@@H](C)NC(=O)CSCC#N ZINC001483110229 894921623 /nfs/dbraw/zinc/92/16/23/894921623.db2.gz UXWNFYZVCQPRSS-SECBINFHSA-N 0 1 282.369 0.834 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cnccc2C)[C@@H](O)C1 ZINC001083536007 895004636 /nfs/dbraw/zinc/00/46/36/895004636.db2.gz YYIXDTDLAAQPCU-CABCVRRESA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H](C)CNCC(=C)Cl)nn1 ZINC001483173208 895056182 /nfs/dbraw/zinc/05/61/82/895056182.db2.gz JJLOLJVGLRBZMA-SNVBAGLBSA-N 0 1 283.763 0.925 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001483359279 895500489 /nfs/dbraw/zinc/50/04/89/895500489.db2.gz DKUANEJIILRWTG-MRVPVSSYSA-N 0 1 257.725 0.607 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1[nH]ncc1Br ZINC001483398693 895536711 /nfs/dbraw/zinc/53/67/11/895536711.db2.gz YPFGYBIBHOGYON-MRVPVSSYSA-N 0 1 299.172 0.856 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)C1(C)CCC1 ZINC001507684681 895745914 /nfs/dbraw/zinc/74/59/14/895745914.db2.gz PGMKWHQNDVSRIO-AWEZNQCLSA-N 0 1 296.411 0.578 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCN1[C@@H](C)c1n[nH]c(C)n1 ZINC001483639627 895773057 /nfs/dbraw/zinc/77/30/57/895773057.db2.gz JYZRAAMWBBRNNE-CMPLNLGQSA-N 0 1 293.371 0.567 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)/C=C(\C)CC)CC1 ZINC001483732204 895931820 /nfs/dbraw/zinc/93/18/20/895931820.db2.gz QRYMGBKDYLSJRO-FMIVXFBMSA-N 0 1 279.384 0.883 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C/C=C(\C)C=C)CC1 ZINC001483759482 896018514 /nfs/dbraw/zinc/01/85/14/896018514.db2.gz IKSUDLGHZBIYDE-AWNIVKPZSA-N 0 1 289.379 0.497 20 30 CCEDMN CC(C)(C(=O)NCC1(NCC#N)CC1)c1cnc[nH]1 ZINC001483782470 896058984 /nfs/dbraw/zinc/05/89/84/896058984.db2.gz CNWODGKNNNUHBK-UHFFFAOYSA-N 0 1 261.329 0.449 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(C)(NC(=O)CC)CC1 ZINC001483958256 896132387 /nfs/dbraw/zinc/13/23/87/896132387.db2.gz RRKPHWUTKPMKHR-GFCCVEGCSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)/C=C\C(C)(C)C)C1 ZINC001484207129 896239932 /nfs/dbraw/zinc/23/99/32/896239932.db2.gz FJDTWJVTMJPIMU-XHPSBEMXSA-N 0 1 264.369 0.775 20 30 CCEDMN C#CC[N@@H+]1CC[C@](O)(CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001484224261 896251476 /nfs/dbraw/zinc/25/14/76/896251476.db2.gz GLJODCVTCPZENU-KRWDZBQOSA-N 0 1 299.374 0.759 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC001484224261 896251493 /nfs/dbraw/zinc/25/14/93/896251493.db2.gz GLJODCVTCPZENU-KRWDZBQOSA-N 0 1 299.374 0.759 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001484234353 896270556 /nfs/dbraw/zinc/27/05/56/896270556.db2.gz UCZPFOQMSLUOKW-PWSUYJOCSA-N 0 1 292.301 0.761 20 30 CCEDMN Cc1nnc([C@@H](C)N[C@H]2C[C@H](CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001397112926 914050683 /nfs/dbraw/zinc/05/06/83/914050683.db2.gz SLGVFBRBHUGXOR-CNVPUSNMSA-N 0 1 290.371 0.818 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2c(C)nsc2C)C1 ZINC001484251322 896288364 /nfs/dbraw/zinc/28/83/64/896288364.db2.gz BYAOKOWNTMHTCK-AWEZNQCLSA-N 0 1 293.392 0.560 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)[C@H](C)C#N)CCO1 ZINC001484671218 896528479 /nfs/dbraw/zinc/52/84/79/896528479.db2.gz MWIVPKHTPUYCCM-MWLCHTKSSA-N 0 1 271.748 0.716 20 30 CCEDMN CCn1ncc(CN[C@@H](C)CCNC(=O)C#CC2CC2)n1 ZINC001484992531 896709498 /nfs/dbraw/zinc/70/94/98/896709498.db2.gz ZMWMPEJGEBKJTP-LBPRGKRZSA-N 0 1 289.383 0.696 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)CCCOC)CC1 ZINC001485013328 896711779 /nfs/dbraw/zinc/71/17/79/896711779.db2.gz JKEFRWKJPJVNKT-UHFFFAOYSA-N 0 1 270.373 0.542 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)C2CC(OC)C2)CC1 ZINC001485019336 896720514 /nfs/dbraw/zinc/72/05/14/896720514.db2.gz HLCUWCDMNNVRCM-UHFFFAOYSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)C[C@H](C)C2CC2)CC1 ZINC001485027941 896722922 /nfs/dbraw/zinc/72/29/22/896722922.db2.gz ZUNWMVQZKZTNFD-ZDUSSCGKSA-N 0 1 278.396 0.999 20 30 CCEDMN CCOCC(=O)N1CCC[C@H]1CN(C)CC#CCOC ZINC001485046519 896733058 /nfs/dbraw/zinc/73/30/58/896733058.db2.gz FKJPWLRMSUYIML-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CCn1ccnn1 ZINC001485054212 896740831 /nfs/dbraw/zinc/74/08/31/896740831.db2.gz YHBRPBTVQVEKBI-ZDUSSCGKSA-N 0 1 275.356 0.224 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CCC(=O)N1C ZINC001485068080 896748793 /nfs/dbraw/zinc/74/87/93/896748793.db2.gz ZSKAOLYYJAWLQE-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)[C@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001485153372 896816254 /nfs/dbraw/zinc/81/62/54/896816254.db2.gz QXHOOFBCAIGRGM-QWRGUYRKSA-N 0 1 295.387 0.448 20 30 CCEDMN C[C@H](NCC#Cc1ccc(F)cc1)[C@H](C)NC(=O)C(N)=O ZINC001485191355 896853953 /nfs/dbraw/zinc/85/39/53/896853953.db2.gz RSNVHZYJPVQECM-QWRGUYRKSA-N 0 1 291.326 0.145 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)CCOC(C)C ZINC001485278107 896899431 /nfs/dbraw/zinc/89/94/31/896899431.db2.gz BROSCLUFLIYFBQ-AWEZNQCLSA-N 0 1 284.400 0.888 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cnn2ccncc12 ZINC001485311585 896937001 /nfs/dbraw/zinc/93/70/01/896937001.db2.gz COWFQHSCNOHTPU-GFCCVEGCSA-N 0 1 285.351 0.803 20 30 CCEDMN COCC(=O)NC[C@@H]1[C@H]2CN(CC#Cc3ccccc3)C[C@H]21 ZINC001485584780 897130291 /nfs/dbraw/zinc/13/02/91/897130291.db2.gz UNTQSLCYUKPGEI-OSYLJGHBSA-N 0 1 298.386 0.979 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@H]1CC12CC2 ZINC001485775830 897227628 /nfs/dbraw/zinc/22/76/28/897227628.db2.gz DUIWDZSWXZMOLG-CYBMUJFWSA-N 0 1 264.369 0.874 20 30 CCEDMN CC#CC[N@@H+](C)CCOCCNC(=O)[C@@H](C)n1cccn1 ZINC001485770698 897236257 /nfs/dbraw/zinc/23/62/57/897236257.db2.gz OEROPFDCPCXFQD-CQSZACIVSA-N 0 1 292.383 0.532 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@@H](C)n1cccn1 ZINC001485770698 897236272 /nfs/dbraw/zinc/23/62/72/897236272.db2.gz OEROPFDCPCXFQD-CQSZACIVSA-N 0 1 292.383 0.532 20 30 CCEDMN COCCCCN1CCO[C@@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107821372 897325297 /nfs/dbraw/zinc/32/52/97/897325297.db2.gz NYGSQFJLAAXGGD-ZFWWWQNUSA-N 0 1 297.399 0.780 20 30 CCEDMN CC(C)N1CCO[C@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107820739 897326348 /nfs/dbraw/zinc/32/63/48/897326348.db2.gz BWKHMMXFEGZDHZ-WCQYABFASA-N 0 1 253.346 0.762 20 30 CCEDMN CC(C)N1CCO[C@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107820738 897326996 /nfs/dbraw/zinc/32/69/96/897326996.db2.gz BWKHMMXFEGZDHZ-DGCLKSJQSA-N 0 1 253.346 0.762 20 30 CCEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)CC(=O)NC)C1 ZINC001107892244 897446713 /nfs/dbraw/zinc/44/67/13/897446713.db2.gz VTOGKCQSULUUIV-HNNXBMFYSA-N 0 1 297.399 0.296 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@]1(C)CN(C(C)C)CCO1 ZINC001107903776 897473477 /nfs/dbraw/zinc/47/34/77/897473477.db2.gz XLACAOZNVVDHHM-LSDHHAIUSA-N 0 1 284.400 0.929 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@]1(C)CN(CC2CC2)CCO1 ZINC001107940200 897526359 /nfs/dbraw/zinc/52/63/59/897526359.db2.gz PZWJVROMLDHXAF-CZUORRHYSA-N 0 1 294.395 0.642 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CNc2ncnc3[nH]cnc32)C1 ZINC001060824678 897534793 /nfs/dbraw/zinc/53/47/93/897534793.db2.gz HOXLEFAYXYMJFK-ZJUUUORDSA-N 0 1 299.338 0.725 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3ccc(C)[nH]3)C2)OCC1=O ZINC001272762819 897611787 /nfs/dbraw/zinc/61/17/87/897611787.db2.gz CNYJOIGBEPVBOL-INIZCTEOSA-N 0 1 287.363 0.760 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3ncco3)C2)C1=O ZINC001272801285 897690573 /nfs/dbraw/zinc/69/05/73/897690573.db2.gz FNKRNJYELHVIMM-AWEZNQCLSA-N 0 1 259.309 0.732 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](C)n1cncn1 ZINC001401609892 897698487 /nfs/dbraw/zinc/69/84/87/897698487.db2.gz AAUWYLIPEYAZTM-NXEZZACHSA-N 0 1 271.752 0.686 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)[C@@H](O)C1 ZINC001083794057 897862493 /nfs/dbraw/zinc/86/24/93/897862493.db2.gz ZEARAWCJUVJMBH-ZSAUSMIDSA-N 0 1 294.395 0.681 20 30 CCEDMN C#CCNCc1cccc(N2CC[C@@H](NC(=O)CC)C2)n1 ZINC001128069890 897947926 /nfs/dbraw/zinc/94/79/26/897947926.db2.gz APTMJYZGVUKBNL-CQSZACIVSA-N 0 1 286.379 0.909 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@]2(C)CCCOC2)C1 ZINC001077905024 898030387 /nfs/dbraw/zinc/03/03/87/898030387.db2.gz BFMYJRLBJTZXPD-NFAWXSAZSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cn(CCC)nn2)C1 ZINC001077911615 898041653 /nfs/dbraw/zinc/04/16/53/898041653.db2.gz YFEQPHNWIJCWHE-DGCLKSJQSA-N 0 1 293.371 0.039 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]nc2ccccc21 ZINC001032762543 898133790 /nfs/dbraw/zinc/13/37/90/898133790.db2.gz PQDOBXWNCQRQLF-QWRGUYRKSA-N 0 1 281.319 0.985 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1c(C)n[nH]c1C ZINC001032778081 898167145 /nfs/dbraw/zinc/16/71/45/898167145.db2.gz FKFBXBKONDRWDY-KBPBESRZSA-N 0 1 286.379 0.877 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H](C)NC(=O)CCc1cnc[nH]1 ZINC001078134866 898259098 /nfs/dbraw/zinc/25/90/98/898259098.db2.gz KWQNZHYBSFZYNS-MNOVXSKESA-N 0 1 291.355 0.513 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2ccc(C)nc2)C1 ZINC001078181058 898293805 /nfs/dbraw/zinc/29/38/05/898293805.db2.gz GULHYONWKZQYOV-HUUCEWRRSA-N 0 1 289.379 0.670 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001078300782 898330130 /nfs/dbraw/zinc/33/01/30/898330130.db2.gz DAUYKBVOZASUJM-MRVWCRGKSA-N 0 1 292.383 0.261 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2C[C@@H](NCC#N)C23CCC3)[nH]n1 ZINC001078595269 898421157 /nfs/dbraw/zinc/42/11/57/898421157.db2.gz WSIUAJMKRSNLRJ-OLZOCXBDSA-N 0 1 287.367 0.801 20 30 CCEDMN CC#CC[NH2+][C@@H](C)CN(C)C(=O)c1ncccc1[O-] ZINC001485866158 898469795 /nfs/dbraw/zinc/46/97/95/898469795.db2.gz OFNLGGYKHFSBKE-NSHDSACASA-N 0 1 261.325 0.861 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cn[nH]c(=O)c1 ZINC001485889173 898490835 /nfs/dbraw/zinc/49/08/35/898490835.db2.gz WCGFCBKJRZXSKF-VIFPVBQESA-N 0 1 284.747 0.985 20 30 CCEDMN C[C@H]1C[C@@H]1C(=O)NC[C@H](CO)NCc1cccc(C#N)c1 ZINC001486024490 898595677 /nfs/dbraw/zinc/59/56/77/898595677.db2.gz OSZXTUNFKZPBDU-GLQYFDAESA-N 0 1 287.363 0.781 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc2cc[nH]c2n1 ZINC001486006382 898601837 /nfs/dbraw/zinc/60/18/37/898601837.db2.gz KOPCOCSAJLXFCW-LBPRGKRZSA-N 0 1 286.335 0.267 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H](CO)NCC#CCOC)C1 ZINC001486032598 898620286 /nfs/dbraw/zinc/62/02/86/898620286.db2.gz CGJUMGUIGDDDIP-CYBMUJFWSA-N 0 1 280.368 0.059 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)C1=COCCO1 ZINC001486261402 898743358 /nfs/dbraw/zinc/74/33/58/898743358.db2.gz XQXSZUPCJAXCCJ-JTQLQIEISA-N 0 1 290.747 0.036 20 30 CCEDMN C=CC[N@H+](C)C[C@@H](O)CN(C)C(=O)Cc1ccc(F)cn1 ZINC001486339848 898805119 /nfs/dbraw/zinc/80/51/19/898805119.db2.gz AMENESHHINDXRM-CQSZACIVSA-N 0 1 295.358 0.700 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC001486515847 898919826 /nfs/dbraw/zinc/91/98/26/898919826.db2.gz RLDFTGVUXXKHGP-HNNXBMFYSA-N 0 1 275.352 0.037 20 30 CCEDMN C#CCN(CC#N)C(=O)c1ccn(-c2ccncc2)n1 ZINC001326993629 914310375 /nfs/dbraw/zinc/31/03/75/914310375.db2.gz BEACXNBXLBVLOU-UHFFFAOYSA-N 0 1 265.276 0.866 20 30 CCEDMN C=CCN1CC(CNC(=O)Cc2cn3c(n2)CCCC3)C1 ZINC001494555591 899144056 /nfs/dbraw/zinc/14/40/56/899144056.db2.gz DUNBWUSOUTVANK-UHFFFAOYSA-N 0 1 288.395 0.996 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001487371387 899162371 /nfs/dbraw/zinc/16/23/71/899162371.db2.gz GNQZEOVKBVTKGQ-WDEREUQCSA-N 0 1 291.355 0.928 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001487371387 899162379 /nfs/dbraw/zinc/16/23/79/899162379.db2.gz GNQZEOVKBVTKGQ-WDEREUQCSA-N 0 1 291.355 0.928 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001494629011 899169578 /nfs/dbraw/zinc/16/95/78/899169578.db2.gz WUKFSGYDPNFQFO-CYBMUJFWSA-N 0 1 267.373 0.381 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@]1(C)CCN(C(=O)c2ccn[nH]2)C1 ZINC001411046965 899668649 /nfs/dbraw/zinc/66/86/49/899668649.db2.gz IPZNDOAMKZBLLS-TVQRCGJNSA-N 0 1 275.312 0.290 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](NC(=O)/C(C)=C\C)CC1 ZINC001327113600 914382517 /nfs/dbraw/zinc/38/25/17/914382517.db2.gz MTXJVZAUASZNGQ-MECSIWFOSA-N 0 1 291.395 0.673 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CCN([C@H]2CCN(C)C2=O)CC1 ZINC001440981921 899892464 /nfs/dbraw/zinc/89/24/64/899892464.db2.gz CGHSQJDRVKDRTD-YPMHNXCESA-N 0 1 292.383 0.300 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(=O)NC)C1 ZINC001191868752 900022968 /nfs/dbraw/zinc/02/29/68/900022968.db2.gz ZGQIXMKJXYABNY-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCCNC(N)=O)[C@H]1C ZINC001489275433 900461682 /nfs/dbraw/zinc/46/16/82/900461682.db2.gz IQCSCHDLCQGFDL-NEPJUHHUSA-N 0 1 280.372 0.037 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)C(N)=O)[C@H]1C ZINC001489269488 900461843 /nfs/dbraw/zinc/46/18/43/900461843.db2.gz MEJPNIBXZQBMTL-ZJUUUORDSA-N 0 1 253.346 0.263 20 30 CCEDMN CC(C)C#CC(=O)NCCCN(C)CC(=O)NC1CC1 ZINC001490189400 900563321 /nfs/dbraw/zinc/56/33/21/900563321.db2.gz NJCQAIXENUPHOM-UHFFFAOYSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C1(CC)CCC1 ZINC001490236875 900572660 /nfs/dbraw/zinc/57/26/60/900572660.db2.gz JMJLWYWEMKQVIG-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN CC#CC[NH2+][C@H](C)[C@H]1CCCCN1C(=O)Cc1nnc[n-]1 ZINC001490304030 900594784 /nfs/dbraw/zinc/59/47/84/900594784.db2.gz SVZGCEHLTUGQEF-CHWSQXEVSA-N 0 1 289.383 0.730 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CCCn2cncn2)C1 ZINC001490454232 900642394 /nfs/dbraw/zinc/64/23/94/900642394.db2.gz DBJVKRUAPHIWJP-CYBMUJFWSA-N 0 1 277.372 0.825 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)CC2(COC)CC2)C1 ZINC001490538777 900650607 /nfs/dbraw/zinc/65/06/07/900650607.db2.gz CKKGMQMNZIGXOV-GFCCVEGCSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CC2(COC)CC2)C1 ZINC001490538777 900650613 /nfs/dbraw/zinc/65/06/13/900650613.db2.gz CKKGMQMNZIGXOV-GFCCVEGCSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2cnccn2)C1 ZINC001490568137 900669440 /nfs/dbraw/zinc/66/94/40/900669440.db2.gz YCDLSURFSINNHM-ZDUSSCGKSA-N 0 1 258.325 0.233 20 30 CCEDMN C#CCCCCC(=O)N1CCC[C@@H](CN(C)CC(N)=O)C1 ZINC001490754598 900721110 /nfs/dbraw/zinc/72/11/10/900721110.db2.gz GEOHZYYGBARBTD-AWEZNQCLSA-N 0 1 293.411 0.836 20 30 CCEDMN C[C@@H](CNCc1cnon1)CNC(=O)C#CC(C)(C)C ZINC001319185915 900873074 /nfs/dbraw/zinc/87/30/74/900873074.db2.gz NMSZGDDUXMVLKK-NSHDSACASA-N 0 1 278.356 0.961 20 30 CCEDMN CCc1nc(CNC(=O)c2cnn(CCC#N)c2C)n[nH]1 ZINC001412172730 901521067 /nfs/dbraw/zinc/52/10/67/901521067.db2.gz QNSZQBLVLUCUDE-UHFFFAOYSA-N 0 1 287.327 0.716 20 30 CCEDMN N#Cc1cccc(NC2CN(C(=O)c3cnncc3O)C2)c1 ZINC001412411528 901699942 /nfs/dbraw/zinc/69/99/42/901699942.db2.gz QTWLQGHJELESKO-UHFFFAOYSA-N 0 1 295.302 0.990 20 30 CCEDMN CN(C)C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1cccc(C#N)c1O ZINC001276214869 901973997 /nfs/dbraw/zinc/97/39/97/901973997.db2.gz DAMLUKXIDXGPPR-MEDUHNTESA-N 0 1 299.330 0.957 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCN(CCCN2CCCC2=O)C1 ZINC001398287252 914603003 /nfs/dbraw/zinc/60/30/03/914603003.db2.gz IGIXWAHSUUBDSP-OLZOCXBDSA-N 0 1 292.383 0.349 20 30 CCEDMN CN(CC(=O)Nc1ccc(C#N)cc1)Cc1n[nH]c(=O)o1 ZINC001413011762 902470317 /nfs/dbraw/zinc/47/03/17/902470317.db2.gz MJGLWWIPHYASAX-UHFFFAOYSA-N 0 1 287.279 0.717 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)c1nnn(C)c1C)C1CC1 ZINC001398389254 914642849 /nfs/dbraw/zinc/64/28/49/914642849.db2.gz FUSAVBWTPWNJSS-LLVKDONJSA-N 0 1 297.790 0.974 20 30 CCEDMN N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)CCc1c[nH]nn1 ZINC001049741490 903049444 /nfs/dbraw/zinc/04/94/44/903049444.db2.gz PMSXHIXQOLFQKB-QWHCGFSZSA-N 0 1 288.355 0.326 20 30 CCEDMN N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)CCc1cnn[nH]1 ZINC001049741490 903049457 /nfs/dbraw/zinc/04/94/57/903049457.db2.gz PMSXHIXQOLFQKB-QWHCGFSZSA-N 0 1 288.355 0.326 20 30 CCEDMN Cc1nc(CNC(=O)Nc2cccc(CC#N)n2)n[nH]1 ZINC001413622877 903125571 /nfs/dbraw/zinc/12/55/71/903125571.db2.gz MCZJSKFFCIFGMK-UHFFFAOYSA-N 0 1 271.284 0.896 20 30 CCEDMN Cc1nonc1CNC[C@@H](C)CNC(=O)[C@H](C)C#N ZINC001495654316 903525538 /nfs/dbraw/zinc/52/55/38/903525538.db2.gz FCMDKLFASLQZFT-RKDXNWHRSA-N 0 1 265.317 0.380 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNC(=O)c1ncn[nH]1 ZINC001491510611 903634227 /nfs/dbraw/zinc/63/42/27/903634227.db2.gz UFAWQOVEPWBGHP-BDAKNGLRSA-N 0 1 265.317 0.109 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNC(=O)c1nc[nH]n1 ZINC001491510611 903634232 /nfs/dbraw/zinc/63/42/32/903634232.db2.gz UFAWQOVEPWBGHP-BDAKNGLRSA-N 0 1 265.317 0.109 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001491560438 903957202 /nfs/dbraw/zinc/95/72/02/903957202.db2.gz SGATZAIEDSWLEY-GWCFXTLKSA-N 0 1 287.791 0.750 20 30 CCEDMN C#C[C@H](CC)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC001331556182 903997143 /nfs/dbraw/zinc/99/71/43/903997143.db2.gz SYPRKOQTPLZPSS-SSDOTTSWSA-N 0 1 272.286 0.018 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC001299565835 904054817 /nfs/dbraw/zinc/05/48/17/904054817.db2.gz WNXVHEOLIOCZFO-QWHCGFSZSA-N 0 1 298.383 0.409 20 30 CCEDMN CCNC(=O)CN1CCC[C@@H](NC(=O)C#CC2CC2)CC1 ZINC001280732874 904145140 /nfs/dbraw/zinc/14/51/40/904145140.db2.gz IAUHTBBPWUNBSX-CQSZACIVSA-N 0 1 291.395 0.507 20 30 CCEDMN CN(CCCN(C)[C@@H]1CCNC1=O)C(=O)C#CC1CC1 ZINC001280815268 904159864 /nfs/dbraw/zinc/15/98/64/904159864.db2.gz ZVODIZDGAIQQSW-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H](C)NC(=O)[C@H]1CCCN1C ZINC001280996751 904195813 /nfs/dbraw/zinc/19/58/13/904195813.db2.gz UWLTYQOWRMWQQY-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@@H](NC(=O)c2ncn[nH]2)C[C@@H]1C ZINC001281169354 904236874 /nfs/dbraw/zinc/23/68/74/904236874.db2.gz FCFLMJDGMUWOJL-QWRGUYRKSA-N 0 1 291.355 0.880 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@@H](NC(=O)c2nc[nH]n2)C[C@@H]1C ZINC001281169354 904236888 /nfs/dbraw/zinc/23/68/88/904236888.db2.gz FCFLMJDGMUWOJL-QWRGUYRKSA-N 0 1 291.355 0.880 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H]2CCOC2)CC1 ZINC001281799600 904346169 /nfs/dbraw/zinc/34/61/69/904346169.db2.gz XXWOMGOLYWURBD-GFCCVEGCSA-N 0 1 268.357 0.152 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H](C)OC)CC1 ZINC001281798914 904349084 /nfs/dbraw/zinc/34/90/84/904349084.db2.gz MTNDAXJQPJSRGK-NSHDSACASA-N 0 1 256.346 0.150 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccnn1C ZINC001281916706 904367275 /nfs/dbraw/zinc/36/72/75/904367275.db2.gz MZZJAVIKKPVCKU-GFCCVEGCSA-N 0 1 260.341 0.590 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)Cn1cccn1 ZINC001281919541 904369156 /nfs/dbraw/zinc/36/91/56/904369156.db2.gz OWZREVHDPYXMCT-CYBMUJFWSA-N 0 1 260.341 0.439 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cncn1C ZINC001281949853 904371229 /nfs/dbraw/zinc/37/12/29/904371229.db2.gz PEVIIHUPPZXQPY-CYBMUJFWSA-N 0 1 274.368 0.980 20 30 CCEDMN C[C@@H](NC(=O)C#CC1CC1)[C@H](C)NCc1cnnn1C ZINC001282127551 904417472 /nfs/dbraw/zinc/41/74/72/904417472.db2.gz BOJXHMSBZXNISD-WDEREUQCSA-N 0 1 275.356 0.211 20 30 CCEDMN C=CC[NH2+][C@H](C)[C@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001282213182 904435112 /nfs/dbraw/zinc/43/51/12/904435112.db2.gz CKKCPEIAGAMJHB-SFYZADRCSA-N 0 1 296.327 0.179 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)CCCOC ZINC001282354835 904453125 /nfs/dbraw/zinc/45/31/25/904453125.db2.gz HKJUZFKPTYXLLG-CYBMUJFWSA-N 0 1 270.373 0.499 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1nccnc1N ZINC001282368295 904462325 /nfs/dbraw/zinc/46/23/25/904462325.db2.gz WATBNLZBLUUUCD-SNVBAGLBSA-N 0 1 261.329 0.132 20 30 CCEDMN CC[C@@H](F)CN[C@@H](CO)CNC(=O)C#CC(C)C ZINC001331703756 917527580 /nfs/dbraw/zinc/52/75/80/917527580.db2.gz DALMWPMDGYJMNQ-VXGBXAGGSA-N 0 1 258.337 0.461 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H]1CCCCN1C)N(C)CC#N ZINC001282452820 904483040 /nfs/dbraw/zinc/48/30/40/904483040.db2.gz XSIPZBUROHPLMX-RYUDHWBXSA-N 0 1 252.362 0.431 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001282744485 904535923 /nfs/dbraw/zinc/53/59/23/904535923.db2.gz OIYOEHRNZZKTFE-FZMZJTMJSA-N 0 1 279.384 0.361 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001282744487 904536729 /nfs/dbraw/zinc/53/67/29/904536729.db2.gz OIYOEHRNZZKTFE-SMDDNHRTSA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@H](C)OC)C(C)(C)C1 ZINC001282790906 904543095 /nfs/dbraw/zinc/54/30/95/904543095.db2.gz KIUXIKWBULGHQT-RYUDHWBXSA-N 0 1 252.358 0.871 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CC[C@H]2C[N@H+](CC(N)=O)C[C@@H]2C1 ZINC001282938966 904619047 /nfs/dbraw/zinc/61/90/47/904619047.db2.gz LTJWYICXJDTUOC-RWMBFGLXSA-N 0 1 279.384 0.655 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)CNC(=O)OC)C2)C1 ZINC001282956164 904634284 /nfs/dbraw/zinc/63/42/84/904634284.db2.gz ZNVKZCNBJZJQKP-UHFFFAOYSA-N 0 1 293.367 0.290 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H]1C[C@H](CNCc2ccon2)C1 ZINC001283034563 904676896 /nfs/dbraw/zinc/67/68/96/904676896.db2.gz UTSAYHSWKPVXGU-WHOFXGATSA-N 0 1 293.367 0.986 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(-c2cnco2)cc1 ZINC001283772713 905011398 /nfs/dbraw/zinc/01/13/98/905011398.db2.gz SEFSJBAQSCQVAH-CQSZACIVSA-N 0 1 299.330 0.655 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001283804114 905024647 /nfs/dbraw/zinc/02/46/47/905024647.db2.gz JOWXLAHVYPIYBW-JHJVBQTASA-N 0 1 276.336 0.473 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001283946890 905097350 /nfs/dbraw/zinc/09/73/50/905097350.db2.gz KMEDDVWMTAETGF-JTQLQIEISA-N 0 1 291.355 0.642 20 30 CCEDMN C=CCOCC(=O)N[C@]12CCC[C@H]1N([C@H](C)C(N)=O)CC2 ZINC001284047957 905133586 /nfs/dbraw/zinc/13/35/86/905133586.db2.gz ZJUDZVDRCDQHSM-JMSVASOKSA-N 0 1 295.383 0.176 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1nnn(C)n1 ZINC001377832481 905176423 /nfs/dbraw/zinc/17/64/23/905176423.db2.gz HABPEUCPCPZXLO-YUMQZZPRSA-N 0 1 272.740 0.059 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CNC(=O)CCc1cnc[nH]1 ZINC001377967641 905284708 /nfs/dbraw/zinc/28/47/08/905284708.db2.gz BIVBVYKKVSLODN-ZJUUUORDSA-N 0 1 277.328 0.123 20 30 CCEDMN CC[C@H](F)CN(C)C[C@@H](O)CN(C)C(=O)C#CC1CC1 ZINC001284491269 905321484 /nfs/dbraw/zinc/32/14/84/905321484.db2.gz ACLCLERHTFZRBZ-UONOGXRCSA-N 0 1 284.375 0.899 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N(C)CCNC(=O)c1ncn[nH]1 ZINC001284569970 905377478 /nfs/dbraw/zinc/37/74/78/905377478.db2.gz RFBMBBCHCORMMG-CYBMUJFWSA-N 0 1 279.344 0.595 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N(C)CCNC(=O)c1nc[nH]n1 ZINC001284569970 905377495 /nfs/dbraw/zinc/37/74/95/905377495.db2.gz RFBMBBCHCORMMG-CYBMUJFWSA-N 0 1 279.344 0.595 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1[C@H]2CN(Cc3ccnn3C)C[C@H]21 ZINC001378681291 905756185 /nfs/dbraw/zinc/75/61/85/905756185.db2.gz BWTUJJPJSQHXBK-DOERSZECSA-N 0 1 287.367 0.374 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001285778805 905761157 /nfs/dbraw/zinc/76/11/57/905761157.db2.gz UATHFACEOZAMJZ-HZMBPMFUSA-N 0 1 293.371 0.984 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N(C)[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001285778805 905761169 /nfs/dbraw/zinc/76/11/69/905761169.db2.gz UATHFACEOZAMJZ-HZMBPMFUSA-N 0 1 293.371 0.984 20 30 CCEDMN Cc1nnsc1CNC[C@H](C)N(C)C(=O)[C@H](C)C#N ZINC001378754013 905795466 /nfs/dbraw/zinc/79/54/66/905795466.db2.gz LRCFWGREAIWTKT-BDAKNGLRSA-N 0 1 281.385 0.943 20 30 CCEDMN C=CCCC(=O)N(C)C[C@@H](C)NC(=O)CCc1nc[nH]n1 ZINC001287577392 905933763 /nfs/dbraw/zinc/93/37/63/905933763.db2.gz XMIPEBKINHTLQX-LLVKDONJSA-N 0 1 293.371 0.667 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)[C@H]1C ZINC001287876022 905986166 /nfs/dbraw/zinc/98/61/66/905986166.db2.gz MTHZHVUZBJBSQR-MNOVXSKESA-N 0 1 289.339 0.183 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)[C@H]1C ZINC001287876022 905986178 /nfs/dbraw/zinc/98/61/78/905986178.db2.gz MTHZHVUZBJBSQR-MNOVXSKESA-N 0 1 289.339 0.183 20 30 CCEDMN CC(=O)N1CCC[C@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC001337147877 921202300 /nfs/dbraw/zinc/20/23/00/921202300.db2.gz JHUHZYVYBQNTNP-ZDUSSCGKSA-N 0 1 292.383 0.349 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccnn1CC ZINC001379202172 906063370 /nfs/dbraw/zinc/06/33/70/906063370.db2.gz QQDHFKOSYPWURB-SNVBAGLBSA-N 0 1 286.763 0.336 20 30 CCEDMN N#Cc1ccc(CN[C@@H](CO)CNC(=O)CC2CC2)s1 ZINC001379336814 906157353 /nfs/dbraw/zinc/15/73/53/906157353.db2.gz UOMPUHGTTPVXMI-LLVKDONJSA-N 0 1 293.392 0.987 20 30 CCEDMN N#Cc1cccc(CN[C@H](CO)CNC(=O)[C@@H]2CC23CC3)c1 ZINC001379473498 906270789 /nfs/dbraw/zinc/27/07/89/906270789.db2.gz JUWBGXKUACCFNO-GJZGRUSLSA-N 0 1 299.374 0.925 20 30 CCEDMN C=CCCC(=O)NCCNC(=O)Cc1cnc[nH]1 ZINC001292566272 906281625 /nfs/dbraw/zinc/28/16/25/906281625.db2.gz POUNZEZNSJWKLI-UHFFFAOYSA-N 0 1 250.302 0.151 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccoc1CC(N)=O ZINC001379536595 906331419 /nfs/dbraw/zinc/33/14/19/906331419.db2.gz RUMIVEQEEGHTIO-VIFPVBQESA-N 0 1 299.758 0.768 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CC(NCc2nncn2C)C1 ZINC001380152677 906656489 /nfs/dbraw/zinc/65/64/89/906656489.db2.gz ZEIPQTPRVSPIOA-WHXUTIOJSA-N 0 1 276.344 0.054 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1CCNC(=O)C1 ZINC001380269899 906706631 /nfs/dbraw/zinc/70/66/31/906706631.db2.gz KYEKNYMSOYHDDR-RYUDHWBXSA-N 0 1 299.802 0.846 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCN1C ZINC001295361384 906709644 /nfs/dbraw/zinc/70/96/44/906709644.db2.gz BYYWCQLHANHHQR-COMQUAJESA-N 0 1 291.395 0.620 20 30 CCEDMN C[C@H](CNC(=O)CN(C)C1CCC1)NC(=O)C#CC1CC1 ZINC001295532724 906758025 /nfs/dbraw/zinc/75/80/25/906758025.db2.gz RCOSAIMHIHLINQ-GFCCVEGCSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)CCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001296065760 906857184 /nfs/dbraw/zinc/85/71/84/906857184.db2.gz YRURMNPFQVGYNL-NXEZZACHSA-N 0 1 283.328 0.438 20 30 CCEDMN C=CCOCC(=O)N(CC)CCNC(=O)c1[nH]ncc1F ZINC001296370622 906906597 /nfs/dbraw/zinc/90/65/97/906906597.db2.gz CWJZMTMIWBMLGG-UHFFFAOYSA-N 0 1 298.318 0.330 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N[C@@H](C)CNC(=O)C#CC1CC1 ZINC001298834043 907336225 /nfs/dbraw/zinc/33/62/25/907336225.db2.gz VRKUDVFJZSCWII-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]1CNC(=O)[C@H]1CCCN1C ZINC001298998125 907370781 /nfs/dbraw/zinc/37/07/81/907370781.db2.gz UQUYHKDVIFJDAZ-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CNC(C)=O)[C@@H]1C ZINC001382293534 907668077 /nfs/dbraw/zinc/66/80/77/907668077.db2.gz VIYZOCNRLVMTGN-KOLCDFICSA-N 0 1 273.764 0.454 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@@H]1CCOC[C@@H]1OCC ZINC001492348650 907866652 /nfs/dbraw/zinc/86/66/52/907866652.db2.gz RBGLAXNRVUFVGX-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCCCC(=O)N(C)CCCNC(=O)c1ncn[nH]1 ZINC001492475276 907937647 /nfs/dbraw/zinc/93/76/47/907937647.db2.gz DXSQRYNYHDBDGY-UHFFFAOYSA-N 0 1 279.344 0.739 20 30 CCEDMN C=CCCCC(=O)N(C)CCCNC(=O)c1nc[nH]n1 ZINC001492475276 907937660 /nfs/dbraw/zinc/93/76/60/907937660.db2.gz DXSQRYNYHDBDGY-UHFFFAOYSA-N 0 1 279.344 0.739 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N(Cc1nn[n-]n1)CC(C)C ZINC001303201799 908066247 /nfs/dbraw/zinc/06/62/47/908066247.db2.gz QNDSZBLCKAERGE-UHFFFAOYSA-N 0 1 280.376 0.692 20 30 CCEDMN CCN(C(=O)C(=O)N1CCC[C@H](C#N)C1)[C@@H]1CCN(C)C1 ZINC001338142836 921377680 /nfs/dbraw/zinc/37/76/80/921377680.db2.gz KZOXYWKULWQHML-CHWSQXEVSA-N 0 1 292.383 0.301 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H](O)c2cnc[nH]2)s1 ZINC001303583416 908100708 /nfs/dbraw/zinc/10/07/08/908100708.db2.gz GNVORHDTZCKPOX-SECBINFHSA-N 0 1 262.294 0.806 20 30 CCEDMN C=CCCCC(=O)N1CC[C@H](CNCc2nnnn2C)C1 ZINC001317335163 908158482 /nfs/dbraw/zinc/15/84/82/908158482.db2.gz QFJRQMVOKDKNSQ-GFCCVEGCSA-N 0 1 292.387 0.505 20 30 CCEDMN CC#CC[N@H+](C)CCCNC(=O)c1c(C)nn(C)c1OC ZINC001316852244 908243434 /nfs/dbraw/zinc/24/34/34/908243434.db2.gz PYISEFFWIWEHRU-UHFFFAOYSA-N 0 1 292.383 0.812 20 30 CCEDMN N#Cc1ccc(C(F)(F)F)n(Cc2nnc[nH]2)c1=O ZINC001307389482 908310904 /nfs/dbraw/zinc/31/09/04/908310904.db2.gz SQLZFJCIWUANNP-UHFFFAOYSA-N 0 1 269.186 0.905 20 30 CCEDMN C#CC[C@H](CO)NC(=O)NCc1n[nH]c(C(C)C)n1 ZINC001312756093 908627474 /nfs/dbraw/zinc/62/74/74/908627474.db2.gz DVECIHDXZIMSMW-SECBINFHSA-N 0 1 265.317 0.112 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC#CCN(C)C)C[C@H](C)O1 ZINC001313439580 908667308 /nfs/dbraw/zinc/66/73/08/908667308.db2.gz NQBQEXOSZWRNQF-TXEJJXNPSA-N 0 1 253.346 0.370 20 30 CCEDMN C=CCCN1CCN(C(=O)C2=COCCO2)CC1 ZINC001313442081 908669209 /nfs/dbraw/zinc/66/92/09/908669209.db2.gz ILOGBVOFJBWGHS-UHFFFAOYSA-N 0 1 252.314 0.595 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCc2cnn(C)n2)C1 ZINC001316946783 908696728 /nfs/dbraw/zinc/69/67/28/908696728.db2.gz CWQNYWNTOKYYAE-AWEZNQCLSA-N 0 1 289.383 0.352 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](C)NC(=O)CN1CCC(C)CC1 ZINC001386455807 908750014 /nfs/dbraw/zinc/75/00/14/908750014.db2.gz IFZNCASHZTZWHT-STQMWFEESA-N 0 1 294.399 0.499 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C=C(C)C)C1 ZINC001316745826 908760046 /nfs/dbraw/zinc/76/00/46/908760046.db2.gz ZDDBOSLTXXFSTL-CQSZACIVSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CO[C@@H]2CCOC2)[C@H]1CC ZINC001316733044 908761127 /nfs/dbraw/zinc/76/11/27/908761127.db2.gz CSSNTUOOHDNYTR-HZSPNIEDSA-N 0 1 280.368 0.394 20 30 CCEDMN CC#CC[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@H](COC)OC ZINC001316804842 908778487 /nfs/dbraw/zinc/77/84/87/908778487.db2.gz XYHNTYDNWJJUSR-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](COC)OC ZINC001316804842 908778499 /nfs/dbraw/zinc/77/84/99/908778499.db2.gz XYHNTYDNWJJUSR-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN(C)Cc1ocnc1C ZINC001316825542 908792014 /nfs/dbraw/zinc/79/20/14/908792014.db2.gz SVZPQIJNRRBLQX-CYBMUJFWSA-N 0 1 293.367 0.959 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC(N(C)C(=O)C#CC2CC2)CC1 ZINC001316930530 908851193 /nfs/dbraw/zinc/85/11/93/908851193.db2.gz DWZQTYWIOILGDQ-CQSZACIVSA-N 0 1 291.395 0.587 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1CCCN(CC#CC)C1 ZINC001316944720 908862151 /nfs/dbraw/zinc/86/21/51/908862151.db2.gz LULHWAJVRGNQGH-AWEZNQCLSA-N 0 1 262.353 0.630 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)Cn2cncn2)C1 ZINC001316953454 908864256 /nfs/dbraw/zinc/86/42/56/908864256.db2.gz UZABBKMVWIVPHH-ZDUSSCGKSA-N 0 1 293.371 0.061 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C[C@@H](C)SC)CC1 ZINC001316956046 908864475 /nfs/dbraw/zinc/86/44/75/908864475.db2.gz OMWKWJYIOQNADB-CQSZACIVSA-N 0 1 297.468 0.885 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@H](OC)C2CC2)CC1 ZINC001316955816 908868742 /nfs/dbraw/zinc/86/87/42/908868742.db2.gz KVILSTGPTVRPND-OAHLLOKOSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)[C@H]2CCC2(F)F)CC1 ZINC001316964667 908871697 /nfs/dbraw/zinc/87/16/97/908871697.db2.gz FRDBUMXDYUADGN-CYBMUJFWSA-N 0 1 299.365 0.789 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cnc(C)cn2)C1 ZINC001316968553 908879270 /nfs/dbraw/zinc/87/92/70/908879270.db2.gz FBCKVFFOVWVMNC-CYBMUJFWSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](CC(=C)C)NC(C)=O)C1 ZINC001316988877 908899680 /nfs/dbraw/zinc/89/96/80/908899680.db2.gz LBMXKDLZOSXKMB-ZIAGYGMSSA-N 0 1 279.384 0.834 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCCC(=O)NC(C)C)C1 ZINC001316991882 908908099 /nfs/dbraw/zinc/90/80/99/908908099.db2.gz TZTJOJFLASXQDV-CYBMUJFWSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)[C@H](C)NC(C)=O)CC1 ZINC001317006709 908912298 /nfs/dbraw/zinc/91/22/98/908912298.db2.gz FLJVYBUWJOFNAS-ZDUSSCGKSA-N 0 1 293.411 0.847 20 30 CCEDMN C=CCOCC[NH2+][C@H](C)CNC(=O)c1ncccc1[O-] ZINC001317232832 909066095 /nfs/dbraw/zinc/06/60/95/909066095.db2.gz GBAPLXQMCXRRHV-LLVKDONJSA-N 0 1 279.340 0.698 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(C(=O)NC)CC1 ZINC001317279614 909112539 /nfs/dbraw/zinc/11/25/39/909112539.db2.gz YVUMVMIZPLQSCB-SECBINFHSA-N 0 1 273.764 0.359 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](O)[C@H](C)C2)CC1 ZINC001338465574 921475063 /nfs/dbraw/zinc/47/50/63/921475063.db2.gz QPDANIIZJSCJTO-OCCSQVGLSA-N 0 1 264.369 0.561 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cn2cccnc2n1 ZINC001317451899 909224588 /nfs/dbraw/zinc/22/45/88/909224588.db2.gz WDVJEOTXNKAASH-UHFFFAOYSA-N 0 1 271.324 0.414 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1C[C@]12CCOC2 ZINC001317465002 909245286 /nfs/dbraw/zinc/24/52/86/909245286.db2.gz FTNKDVLJJVYSKZ-JSGCOSHPSA-N 0 1 250.342 0.484 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H](CCCC)NC(N)=O ZINC001317512738 909278519 /nfs/dbraw/zinc/27/85/19/909278519.db2.gz CSTVHQRKBROTTK-QWHCGFSZSA-N 0 1 294.399 0.427 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN([C@@H]2CCNC2=O)C1 ZINC001317521725 909289348 /nfs/dbraw/zinc/28/93/48/909289348.db2.gz HBLPPKUMLBLOAJ-VXGBXAGGSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN(CCNC(=O)C[C@@H]1CCOC[C@@H]1OC)C1CC1 ZINC001317552720 909326414 /nfs/dbraw/zinc/32/64/14/909326414.db2.gz WNXUYBFKAAQQCJ-ZFWWWQNUSA-N 0 1 294.395 0.642 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)[C@@]2(C#N)CC23CCCC3)C1 ZINC001417641972 921489712 /nfs/dbraw/zinc/48/97/12/921489712.db2.gz QGYYAYNFCBMTTL-BBRMVZONSA-N 0 1 290.411 0.822 20 30 CCEDMN CN(CCNCC#Cc1ccccc1)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001317626302 909422247 /nfs/dbraw/zinc/42/22/47/909422247.db2.gz OYEFYWONJCPRRW-CABCVRRESA-N 0 1 299.374 0.208 20 30 CCEDMN CC(C)N(CC#N)CCCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001317686941 909468687 /nfs/dbraw/zinc/46/86/87/909468687.db2.gz VDQROMXARRWSAU-GHMZBOCLSA-N 0 1 268.317 0.392 20 30 CCEDMN N#CCN[C@H](CNC(=O)CCc1c[nH]nn1)c1ccccc1 ZINC001317725707 909475988 /nfs/dbraw/zinc/47/59/88/909475988.db2.gz WWEQDEWKJHNDJP-CQSZACIVSA-N 0 1 298.350 0.708 20 30 CCEDMN N#CCN[C@H](CNC(=O)CCc1cnn[nH]1)c1ccccc1 ZINC001317725707 909475996 /nfs/dbraw/zinc/47/59/96/909475996.db2.gz WWEQDEWKJHNDJP-CQSZACIVSA-N 0 1 298.350 0.708 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](CCNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001317782669 909494195 /nfs/dbraw/zinc/49/41/95/909494195.db2.gz BWWLPFPUMONRMH-GFCCVEGCSA-N 0 1 290.367 0.889 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001317782669 909494205 /nfs/dbraw/zinc/49/42/05/909494205.db2.gz BWWLPFPUMONRMH-GFCCVEGCSA-N 0 1 290.367 0.889 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001317782669 909494215 /nfs/dbraw/zinc/49/42/15/909494215.db2.gz BWWLPFPUMONRMH-GFCCVEGCSA-N 0 1 290.367 0.889 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCN1CCN(CC=C)CC1 ZINC001317818599 909508733 /nfs/dbraw/zinc/50/87/33/909508733.db2.gz DUMVYLRAESIGRN-OAHLLOKOSA-N 0 1 293.411 0.287 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CCCC(N)=O)C1 ZINC001317956670 909550295 /nfs/dbraw/zinc/55/02/95/909550295.db2.gz LDKVVNAXGJCWRF-LLVKDONJSA-N 0 1 253.346 0.409 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)CN2CCCNC2=O)C1 ZINC001318043083 909597039 /nfs/dbraw/zinc/59/70/39/909597039.db2.gz OKBDWNHTVMZDRP-LBPRGKRZSA-N 0 1 294.399 0.557 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@H](C)OC)C1 ZINC001318108802 909624057 /nfs/dbraw/zinc/62/40/57/909624057.db2.gz UAPUCYOITPKJMV-NSHDSACASA-N 0 1 256.346 0.272 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@]2(CC(C)C)CCNC2=O)C1 ZINC001318338558 909712819 /nfs/dbraw/zinc/71/28/19/909712819.db2.gz VDAVOQFJGQZUSP-CZUORRHYSA-N 0 1 293.411 0.915 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCc2nc(C(C)C)no2)C1 ZINC001318346981 909716507 /nfs/dbraw/zinc/71/65/07/909716507.db2.gz MUSXFJCHWGDYME-GFCCVEGCSA-N 0 1 290.367 0.949 20 30 CCEDMN CCN(C(=O)C#CC1CC1)[C@@H]1CCN(CCO)C1 ZINC001318472198 909768532 /nfs/dbraw/zinc/76/85/32/909768532.db2.gz WVFWYXWIKCHMJF-CYBMUJFWSA-N 0 1 250.342 0.315 20 30 CCEDMN C#CCOCCC(=O)N(C)[C@H](C)CNCc1cnns1 ZINC001319456262 910159918 /nfs/dbraw/zinc/15/99/18/910159918.db2.gz YKHKOOXCFZYXBP-LLVKDONJSA-N 0 1 296.396 0.515 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N(C)[C@H](C)CNCC#N ZINC001319467840 910166962 /nfs/dbraw/zinc/16/69/62/910166962.db2.gz WSMUMUDKSXENNP-NEPJUHHUSA-N 0 1 272.368 0.626 20 30 CCEDMN C[C@@H](CNCC#Cc1ccc(F)cc1)N(C)C(=O)C(N)=O ZINC001319525141 910188176 /nfs/dbraw/zinc/18/81/76/910188176.db2.gz KYDRROHYLQTBAB-NSHDSACASA-N 0 1 291.326 0.099 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1cncc2nc[nH]c21)NCC#N ZINC001319914114 910349955 /nfs/dbraw/zinc/34/99/55/910349955.db2.gz BVZRVFDZBCZQJH-LLVKDONJSA-N 0 1 286.339 0.825 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001390334923 910358136 /nfs/dbraw/zinc/35/81/36/910358136.db2.gz GAYPQUDHBZXTOE-VHSXEESVSA-N 0 1 273.764 0.359 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cncc2ncn(C)c21 ZINC001320009587 910414323 /nfs/dbraw/zinc/41/43/23/910414323.db2.gz UOQFFBOXZKKOHW-UHFFFAOYSA-N 0 1 285.351 0.605 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H]1CCNC(=O)CC1 ZINC001320029071 910421393 /nfs/dbraw/zinc/42/13/93/910421393.db2.gz NGADRBMGLMYESA-CYBMUJFWSA-N 0 1 279.384 0.316 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1c[nH]c(=O)n1C ZINC001390461038 910438315 /nfs/dbraw/zinc/43/83/15/910438315.db2.gz OYGMLQVIVWWUHR-MRVPVSSYSA-N 0 1 272.736 0.586 20 30 CCEDMN N#Cc1ncn(CC(=O)Nc2ccccc2-c2nnc[nH]2)n1 ZINC001320068898 910448726 /nfs/dbraw/zinc/44/87/26/910448726.db2.gz UUOKXCWXWRJASD-UHFFFAOYSA-N 0 1 294.278 0.574 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@@H](OCC=C)C1 ZINC001320160532 910486569 /nfs/dbraw/zinc/48/65/69/910486569.db2.gz POFWAHROFFULAY-VXGBXAGGSA-N 0 1 281.356 0.664 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](O)CNCc1cnc(C)cn1 ZINC001320226267 910536337 /nfs/dbraw/zinc/53/63/37/910536337.db2.gz CABRVWMZLWNGRI-AWEZNQCLSA-N 0 1 292.383 0.708 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@@H](O)CNCC(=C)Cl)c1 ZINC001320272285 910570945 /nfs/dbraw/zinc/57/09/45/910570945.db2.gz HUMMKCFFGNRTKU-ZDUSSCGKSA-N 0 1 293.754 0.496 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)N[C@H]2CCN(CCOC)C2)C1 ZINC001320351798 910628007 /nfs/dbraw/zinc/62/80/07/910628007.db2.gz JTJKHIMFIXPPCK-KBPBESRZSA-N 0 1 297.399 0.694 20 30 CCEDMN Cc1nnc(CNCC[C@@H](C)NC(=O)[C@H](C)C#N)s1 ZINC001320374172 910644442 /nfs/dbraw/zinc/64/44/42/910644442.db2.gz ZXFCBSDPPRQXFC-RKDXNWHRSA-N 0 1 281.385 0.991 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CCNCc1cnn(C)n1 ZINC001320404770 910663487 /nfs/dbraw/zinc/66/34/87/910663487.db2.gz ARICTELUSZVYJD-GFCCVEGCSA-N 0 1 277.372 0.459 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCc3nc(N)ncc3C2)CC1 ZINC001320806427 910881497 /nfs/dbraw/zinc/88/14/97/910881497.db2.gz ZEJGFGNSIJAJJC-UHFFFAOYSA-N 0 1 299.378 0.289 20 30 CCEDMN CC[C@H](C)NC(=O)CNC/C=C\CNC(=O)C#CC1CC1 ZINC001321052857 911051614 /nfs/dbraw/zinc/05/16/14/911051614.db2.gz UXCSMGVXZDZVFJ-ZFDPJTLLSA-N 0 1 291.395 0.577 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H]1CCCNC1=O ZINC001321106936 911089960 /nfs/dbraw/zinc/08/99/60/911089960.db2.gz KRIPEXSBRHLUMA-KXMPLOMGSA-N 0 1 285.775 0.527 20 30 CCEDMN C=CCN(CC=C)c1nnc(C2=NO[C@@H](CO)C2)n1C ZINC001339073055 921643873 /nfs/dbraw/zinc/64/38/73/921643873.db2.gz ADSPAZXSNKSOSJ-SNVBAGLBSA-N 0 1 277.328 0.479 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](C)NC(N)=O ZINC001391682156 911325600 /nfs/dbraw/zinc/32/56/00/911325600.db2.gz CJGULAOBENKHAQ-IUCAKERBSA-N 0 1 276.768 0.280 20 30 CCEDMN Cc1nn(C)c(C)c1CC(=O)NC1(C#N)CCN(C)CC1 ZINC001321606490 911393313 /nfs/dbraw/zinc/39/33/13/911393313.db2.gz NNEGARNHXGJIKZ-UHFFFAOYSA-N 0 1 289.383 0.684 20 30 CCEDMN CN1CCC[C@H](NC(=O)C(=O)NCC#Cc2ccccc2)C1 ZINC001321640664 911415874 /nfs/dbraw/zinc/41/58/74/911415874.db2.gz LXDNNZAEKRNGKH-HNNXBMFYSA-N 0 1 299.374 0.365 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CO[C@@H]1CCOC1 ZINC001322158605 911688767 /nfs/dbraw/zinc/68/87/67/911688767.db2.gz VSPGCWLRULVFOD-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C=C[C@@H](COC)N1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC001322229974 911718740 /nfs/dbraw/zinc/71/87/40/911718740.db2.gz HWIMGTYMIPOSMB-UWVGGRQHSA-N 0 1 264.285 0.074 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H](C)c1cnn(C)c1 ZINC001322315504 911760407 /nfs/dbraw/zinc/76/04/07/911760407.db2.gz UPZUFPBSHJBIPQ-RYUDHWBXSA-N 0 1 262.357 0.593 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cnc(OCC)cn1 ZINC001322314081 911762301 /nfs/dbraw/zinc/76/23/01/911762301.db2.gz NHJYXHMYQYPHDO-GFCCVEGCSA-N 0 1 290.367 0.949 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1conc1C1CC1 ZINC001392405013 911788751 /nfs/dbraw/zinc/78/87/51/911788751.db2.gz SRNOCNOQSSSYMV-SNVBAGLBSA-N 0 1 299.758 0.985 20 30 CCEDMN CCc1cc(CNC(=O)C(=O)N2CC[C@@](F)(C#N)C2)n[nH]1 ZINC001322741534 911933784 /nfs/dbraw/zinc/93/37/84/911933784.db2.gz HQMOWVVIQGYUAE-CYBMUJFWSA-N 0 1 293.302 0.052 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)C(=O)NCC1CC1)C1CC1 ZINC001323089643 912109196 /nfs/dbraw/zinc/10/91/96/912109196.db2.gz NHVWQPQNNFSBPE-LBPRGKRZSA-N 0 1 299.802 0.750 20 30 CCEDMN COC[C@@H](C)N1CC[C@@H]1CNC(=O)C#CC1CC1 ZINC001323225961 912197347 /nfs/dbraw/zinc/19/73/47/912197347.db2.gz KWDSEAQQZJHMFU-DGCLKSJQSA-N 0 1 250.342 0.625 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2ocnc2C)CC1 ZINC001328520584 915248293 /nfs/dbraw/zinc/24/82/93/915248293.db2.gz UMOGVKYSINXVSJ-UHFFFAOYSA-N 0 1 291.351 0.563 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)Cc2cc[nH]n2)CC1 ZINC001328520877 915250425 /nfs/dbraw/zinc/25/04/25/915250425.db2.gz XTUNFFKZCLNBAI-UHFFFAOYSA-N 0 1 278.356 0.081 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H]2CC23CC3)CC1 ZINC001328554384 915273196 /nfs/dbraw/zinc/27/31/96/915273196.db2.gz DVTKIDZHNPXQCG-GFCCVEGCSA-N 0 1 262.353 0.363 20 30 CCEDMN C=CCCC(=O)N1CC=C(CNC(=O)c2ncn[nH]2)CC1 ZINC001339560301 921758689 /nfs/dbraw/zinc/75/86/89/921758689.db2.gz XHZJVHHOXKUJRZ-UHFFFAOYSA-N 0 1 289.339 0.659 20 30 CCEDMN C=CCCC(=O)N1CC=C(CNC(=O)c2nc[nH]n2)CC1 ZINC001339560301 921758700 /nfs/dbraw/zinc/75/87/00/921758700.db2.gz XHZJVHHOXKUJRZ-UHFFFAOYSA-N 0 1 289.339 0.659 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CO[C@H]1CCOC1 ZINC001328720050 915381596 /nfs/dbraw/zinc/38/15/96/915381596.db2.gz LQWCFUGAMWTHOK-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C(C)(C)C(N)=O ZINC001328731785 915394245 /nfs/dbraw/zinc/39/42/45/915394245.db2.gz ABSWBUPZJCIWOW-GFCCVEGCSA-N 0 1 279.384 0.444 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1c[nH]c(=O)n1C ZINC001328753027 915408632 /nfs/dbraw/zinc/40/86/32/915408632.db2.gz OEMNKWBEMZYKIP-NSHDSACASA-N 0 1 276.340 0.295 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1cn(C)nc1OC ZINC001328751989 915408869 /nfs/dbraw/zinc/40/88/69/915408869.db2.gz CYUPHUQNCYBTFU-LBPRGKRZSA-N 0 1 290.367 0.598 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cn(C)nc1OC ZINC001328751989 915408875 /nfs/dbraw/zinc/40/88/75/915408875.db2.gz CYUPHUQNCYBTFU-LBPRGKRZSA-N 0 1 290.367 0.598 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cnn2cccnc12 ZINC001328753189 915409541 /nfs/dbraw/zinc/40/95/41/915409541.db2.gz PUZXWDOMHOGLKL-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C1(COC)CC1 ZINC001328751075 915409771 /nfs/dbraw/zinc/40/97/71/915409771.db2.gz ZIUSQUKWKGLRSO-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C#C[C@H](NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-])C(C)C ZINC001328750136 915411593 /nfs/dbraw/zinc/41/15/93/915411593.db2.gz XKJPSNQJIIPFMW-QMMMGPOBSA-N 0 1 286.313 0.264 20 30 CCEDMN CC(=O)N1CCC[C@@H]([C@H]2CCN(CC(=O)NCC#N)C2)C1 ZINC001328806218 915443845 /nfs/dbraw/zinc/44/38/45/915443845.db2.gz ICNPTULERDCUCG-KGLIPLIRSA-N 0 1 292.383 0.207 20 30 CCEDMN CC(C)C#CC(=O)NC1CC(CNCc2nncn2C)C1 ZINC001328852867 915476245 /nfs/dbraw/zinc/47/62/45/915476245.db2.gz UZXLVAJXRGHRLF-UHFFFAOYSA-N 0 1 289.383 0.459 20 30 CCEDMN CCN1CCN(c2nnc([C@@H]3C[C@@H]3C#N)n2C)CC1 ZINC001339703330 921802598 /nfs/dbraw/zinc/80/25/98/921802598.db2.gz WPCVRRQZFXEEFJ-GHMZBOCLSA-N 0 1 260.345 0.584 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H](C)N(C)Cc1n[nH]c(C)n1 ZINC001329441543 915916649 /nfs/dbraw/zinc/91/66/49/915916649.db2.gz OAAOUBWILLQELC-MNOVXSKESA-N 0 1 293.371 0.088 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C1(COC)CCC1 ZINC001329488488 915957643 /nfs/dbraw/zinc/95/76/43/915957643.db2.gz LJVSJVBQYVVNBP-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@H](OC)C(C)C ZINC001329530324 915988836 /nfs/dbraw/zinc/98/88/36/915988836.db2.gz GRCTXJBZSIIBKM-ZIAGYGMSSA-N 0 1 284.400 0.744 20 30 CCEDMN C[C@H](CNC(=O)C(N)=O)N(C)Cc1ccc(C#N)c(F)c1 ZINC001329532852 915993074 /nfs/dbraw/zinc/99/30/74/915993074.db2.gz RFHLEAVFASIWOY-SECBINFHSA-N 0 1 292.314 0.119 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@](C)(CNCc1nccn1C)C1CC1 ZINC001400988800 916002682 /nfs/dbraw/zinc/00/26/82/916002682.db2.gz DNRDTFKMOAYXBJ-ABAIWWIYSA-N 0 1 289.383 0.954 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cn(CCC)nn1 ZINC001329587482 916041422 /nfs/dbraw/zinc/04/14/22/916041422.db2.gz HYBSRBGVJVLOOE-GFCCVEGCSA-N 0 1 277.372 0.762 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc(C(N)=O)cs1 ZINC001329626110 916074806 /nfs/dbraw/zinc/07/48/06/916074806.db2.gz ZYZMFPKKBSQJAG-SNVBAGLBSA-N 0 1 293.392 0.920 20 30 CCEDMN N#CCN1CCCCC[C@H]1CNC(=O)CCc1nc[nH]n1 ZINC001329921199 916280611 /nfs/dbraw/zinc/28/06/11/916280611.db2.gz OKJSHHWYLWEWAD-LBPRGKRZSA-N 0 1 290.371 0.622 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H](C)NC(=O)CC ZINC001329922493 916281085 /nfs/dbraw/zinc/28/10/85/916281085.db2.gz BZWXZEYPYUEYLL-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H]2[C@@H](CNC(=O)CCC)[C@@H]2C1 ZINC001330263986 916515466 /nfs/dbraw/zinc/51/54/66/916515466.db2.gz WZEJGHKXQFLIEU-XDQVBPFNSA-N 0 1 293.411 0.771 20 30 CCEDMN CCC[C@H](C)NC(=O)CNC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001401942733 916721613 /nfs/dbraw/zinc/72/16/13/916721613.db2.gz VJBUAUNKCUAKMB-NEPJUHHUSA-N 0 1 294.399 0.689 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CCNC(N)=O)CC1 ZINC001401985166 916743405 /nfs/dbraw/zinc/74/34/05/916743405.db2.gz VGBBXFJEOUMGKO-UHFFFAOYSA-N 0 1 274.752 0.036 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CCNC(=O)C1)C1CC1 ZINC001402296852 916934945 /nfs/dbraw/zinc/93/49/45/916934945.db2.gz OPFVEQLVTMLGGC-NEPJUHHUSA-N 0 1 299.802 0.750 20 30 CCEDMN Brc1cc(C=NNC2=NCCN2)c[nH]1 ZINC001330888451 916953607 /nfs/dbraw/zinc/95/36/07/916953607.db2.gz QWACPFVXNAHWGO-UHFFFAOYSA-N 0 1 256.107 0.660 20 30 CCEDMN CCN(C)C(=O)CN1CCC[C@@H](NC(=O)[C@H](C)C#N)CC1 ZINC001402610320 917127563 /nfs/dbraw/zinc/12/75/63/917127563.db2.gz VCTOLNAHOLXSGJ-CHWSQXEVSA-N 0 1 294.399 0.595 20 30 CCEDMN CCNC(=O)CN1CCC[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001402677538 917168547 /nfs/dbraw/zinc/16/85/47/917168547.db2.gz YGONUMLEFXYUFC-RYUDHWBXSA-N 0 1 280.372 0.110 20 30 CCEDMN C=C(Cl)CN[C@]1(CO)CCCN(C(=O)c2cocn2)C1 ZINC001402718402 917190080 /nfs/dbraw/zinc/19/00/80/917190080.db2.gz JILXRIQUIWOAEW-CYBMUJFWSA-N 0 1 299.758 0.984 20 30 CCEDMN COCc1nc(CNC(=O)c2cc(C#N)c[nH]2)n[nH]1 ZINC001331230682 917200550 /nfs/dbraw/zinc/20/05/50/917200550.db2.gz SVLMJRXYVMIOPS-UHFFFAOYSA-N 0 1 260.257 0.081 20 30 CCEDMN COCc1nnc(CNC(=O)c2cc(C#N)c[nH]2)[nH]1 ZINC001331230682 917200570 /nfs/dbraw/zinc/20/05/70/917200570.db2.gz SVLMJRXYVMIOPS-UHFFFAOYSA-N 0 1 260.257 0.081 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(OC)n(C)n1 ZINC001402930665 917357572 /nfs/dbraw/zinc/35/75/72/917357572.db2.gz AJUCZNBPUDMSLT-SECBINFHSA-N 0 1 286.763 0.889 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001402972884 917392819 /nfs/dbraw/zinc/39/28/19/917392819.db2.gz YTBMGWOBLMXWNE-MNOVXSKESA-N 0 1 287.791 0.750 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)CC1CCCC1 ZINC001331665003 917489738 /nfs/dbraw/zinc/48/97/38/917489738.db2.gz JFCSJPJMEMJDJR-AWEZNQCLSA-N 0 1 282.384 0.283 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1nccc2ccsc21 ZINC001331724714 917549097 /nfs/dbraw/zinc/54/90/97/917549097.db2.gz HRIQLXMCOQEDMB-NSHDSACASA-N 0 1 289.360 0.610 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1cccc(Cl)c1 ZINC001331774900 917596230 /nfs/dbraw/zinc/59/62/30/917596230.db2.gz OWFOPRFDCGFLJC-CYBMUJFWSA-N 0 1 280.755 0.582 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cccn2ccnc12 ZINC001331805374 917621977 /nfs/dbraw/zinc/62/19/77/917621977.db2.gz SNSXPJFSFXZMCN-GFCCVEGCSA-N 0 1 286.335 0.038 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc2c(c1)CCCC2 ZINC001331819495 917636432 /nfs/dbraw/zinc/63/64/32/917636432.db2.gz NVZFHCVVTFFZSZ-INIZCTEOSA-N 0 1 286.375 0.879 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc2cnccc2c1 ZINC001331825654 917644178 /nfs/dbraw/zinc/64/41/78/917644178.db2.gz PTXIGUCYURBWGM-OAHLLOKOSA-N 0 1 283.331 0.548 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(COC)cc1 ZINC001331837154 917651668 /nfs/dbraw/zinc/65/16/68/917651668.db2.gz WILXDYVJTUBVTB-OAHLLOKOSA-N 0 1 290.363 0.537 20 30 CCEDMN C#CC1(O)CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CC1 ZINC001331886138 917692396 /nfs/dbraw/zinc/69/23/96/917692396.db2.gz LNFNXEDFQQZHLB-LLVKDONJSA-N 0 1 273.336 0.501 20 30 CCEDMN C=CCOCC(=O)N[C@@]12CCC[C@H]1N(CC(=O)NC)CC2 ZINC001332083411 917851188 /nfs/dbraw/zinc/85/11/88/917851188.db2.gz RLMDGGYMQHNJMV-IUODEOHRSA-N 0 1 295.383 0.048 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)[C@H](C)C(=O)[O-] ZINC001332173651 917935333 /nfs/dbraw/zinc/93/53/33/917935333.db2.gz ZLBQYUYMXTUMOX-DCAQKATOSA-N 0 1 252.314 0.309 20 30 CCEDMN Cc1ncc(CNC[C@@H](O)CN(C)C(=O)C#CC(C)C)o1 ZINC001332503033 918225026 /nfs/dbraw/zinc/22/50/26/918225026.db2.gz DYCCEBWPASQPTI-CYBMUJFWSA-N 0 1 293.367 0.551 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccc[nH]1 ZINC001332617886 918316335 /nfs/dbraw/zinc/31/63/35/918316335.db2.gz XBKXQXMDTOQJKS-GFCCVEGCSA-N 0 1 263.341 0.403 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)C=C1CCC1 ZINC001332631594 918331160 /nfs/dbraw/zinc/33/11/60/918331160.db2.gz FYCPHFWMPJBLLW-HNNXBMFYSA-N 0 1 294.395 0.498 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H](O)CN(C)CC#CC ZINC001332631898 918334553 /nfs/dbraw/zinc/33/45/53/918334553.db2.gz GWTMQPKFGHZDNX-CQSZACIVSA-N 0 1 264.369 0.564 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@@H]1CCC(=O)N1)C(C)C ZINC001332734636 918411261 /nfs/dbraw/zinc/41/12/61/918411261.db2.gz CLQIZAUYJKAEPC-LBPRGKRZSA-N 0 1 265.357 0.067 20 30 CCEDMN CC#CC[NH2+]C[C@@H](NC(=O)CCc1nc[nH]n1)C(C)(C)C ZINC001332770752 918431122 /nfs/dbraw/zinc/43/11/22/918431122.db2.gz DAJOPFNROMNYQX-GFCCVEGCSA-N 0 1 291.399 0.881 20 30 CCEDMN C=CC[C@@H](NC(=O)C1CN([C@H]2CCOC2)C1)C(=O)OCC ZINC001332774215 918433432 /nfs/dbraw/zinc/43/34/32/918433432.db2.gz GLPMTNCRKNORPP-QWHCGFSZSA-N 0 1 296.367 0.331 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001332852800 918486747 /nfs/dbraw/zinc/48/67/47/918486747.db2.gz HUAQSVCAIAWAGZ-ZJUUUORDSA-N 0 1 261.325 0.649 20 30 CCEDMN N#CC[C@@H](O)CN1CC[C@@H](C(F)(F)F)[C@H](CO)C1 ZINC001333032255 918608811 /nfs/dbraw/zinc/60/88/11/918608811.db2.gz QWJMTONLZWTMKN-IVZWLZJFSA-N 0 1 266.263 0.754 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CS(C)(=O)=O ZINC001405588537 918811449 /nfs/dbraw/zinc/81/14/49/918811449.db2.gz LIQVQMHOHHMCRA-SNVBAGLBSA-N 0 1 294.804 0.364 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C[C@@H]1CCNC1=O ZINC001405836631 918938288 /nfs/dbraw/zinc/93/82/88/918938288.db2.gz TWEBSMFFEMGVRA-QWRGUYRKSA-N 0 1 287.791 0.702 20 30 CCEDMN CC(C)C[C@@H](CNCc1cnnn1C)NC(=O)[C@@H](C)C#N ZINC001405919634 918974030 /nfs/dbraw/zinc/97/40/30/918974030.db2.gz DGAOOLCDGNOGNX-RYUDHWBXSA-N 0 1 292.387 0.595 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CO[C@H]1CCOC1 ZINC001406353362 919205852 /nfs/dbraw/zinc/20/58/52/919205852.db2.gz NKKIOOYQANDVFV-MNOVXSKESA-N 0 1 276.764 0.639 20 30 CCEDMN C=CCCC(=O)N[C@H](C)[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001333853543 919210108 /nfs/dbraw/zinc/21/01/08/919210108.db2.gz XWCSZLCYZDWVQY-ZJUUUORDSA-N 0 1 279.344 0.323 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](O)[C@@H](F)C2)CC1 ZINC001333921638 919247098 /nfs/dbraw/zinc/24/70/98/919247098.db2.gz QJBBYPKDYNHWOT-STQMWFEESA-N 0 1 268.332 0.263 20 30 CCEDMN C=CCOCCCC(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001333979866 919293193 /nfs/dbraw/zinc/29/31/93/919293193.db2.gz IEVWIESTCSPKBA-LLVKDONJSA-N 0 1 294.355 0.908 20 30 CCEDMN C=CCOCCCC(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001333979866 919293207 /nfs/dbraw/zinc/29/32/07/919293207.db2.gz IEVWIESTCSPKBA-LLVKDONJSA-N 0 1 294.355 0.908 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001334344578 919512100 /nfs/dbraw/zinc/51/21/00/919512100.db2.gz GWHRSUMOSDRWGP-UHFFFAOYSA-N 0 1 279.344 0.448 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(C)CCN(CC(=O)N(C)C)CC1 ZINC001407111121 919620896 /nfs/dbraw/zinc/62/08/96/919620896.db2.gz BFNWDPFELPNZIE-LLVKDONJSA-N 0 1 280.372 0.205 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](C)[C@@H](C)COC ZINC001492966362 919855530 /nfs/dbraw/zinc/85/55/30/919855530.db2.gz SZSATALTLIFWDG-STQMWFEESA-N 0 1 254.374 0.976 20 30 CCEDMN CC#CCCNC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001335029025 919908739 /nfs/dbraw/zinc/90/87/39/919908739.db2.gz MCJBJPSOYAPSHB-NSHDSACASA-N 0 1 252.314 0.313 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)[nH]1 ZINC001408034309 919991038 /nfs/dbraw/zinc/99/10/38/919991038.db2.gz OPYCJXOQVQECKE-QAHWYCSRSA-N 0 1 287.323 0.064 20 30 CCEDMN CCCN1CCCC[C@@H]1C(=O)N[C@H]1CCN(O)C1=O ZINC001335287207 920095002 /nfs/dbraw/zinc/09/50/02/920095002.db2.gz GCJZKBNSMZAVGV-WDEREUQCSA-N 0 1 269.345 0.357 20 30 CCEDMN N#Cc1ccnc(N2CC[C@H](c3nc[nH]n3)C2)c1[N+](=O)[O-] ZINC001335491862 920233179 /nfs/dbraw/zinc/23/31/79/920233179.db2.gz QBBUPSXVMWZYJS-VIFPVBQESA-N 0 1 285.267 0.973 20 30 CCEDMN C#CCCN1CCN(C/C=C\C(=O)OCC)CC1 ZINC001335594372 920309090 /nfs/dbraw/zinc/30/90/90/920309090.db2.gz QGCUCZBUYXUZGZ-SREVYHEPSA-N 0 1 250.342 0.747 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCSC[C@H]2CO)CC1 ZINC001335846807 920478388 /nfs/dbraw/zinc/47/83/88/920478388.db2.gz JLMJPHLJHSYGRZ-CYBMUJFWSA-N 0 1 282.409 0.268 20 30 CCEDMN N#CC[C@@H](O)CN1CCC([C@@H]2COC(=O)N2)CC1 ZINC001336313944 920712493 /nfs/dbraw/zinc/71/24/93/920712493.db2.gz FGINATAGTMOJNO-MNOVXSKESA-N 0 1 253.302 0.081 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCNC(=O)c1n[nH]c2ccccc21 ZINC001415618349 920783809 /nfs/dbraw/zinc/78/38/09/920783809.db2.gz AJPYGTJGLBAHHG-SNVBAGLBSA-N 0 1 299.334 0.911 20 30 CCEDMN C#CCNCC(=O)NCc1ccccc1NC(C)=O ZINC001339973699 921898959 /nfs/dbraw/zinc/89/89/59/921898959.db2.gz NYMNCERYAZNWBX-UHFFFAOYSA-N 0 1 259.309 0.484 20 30 CCEDMN Cc1cc(NC(=O)CN[C@@H](C)CNC(=O)[C@@H](C)C#N)no1 ZINC001418216209 921928909 /nfs/dbraw/zinc/92/89/09/921928909.db2.gz SDCSWNGXFHEAPS-IUCAKERBSA-N 0 1 293.327 0.176 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1CNc2ccccc21 ZINC001340266609 922033009 /nfs/dbraw/zinc/03/30/09/922033009.db2.gz NQMJUSGKWLHYOR-SUZMYJTESA-N 0 1 256.309 0.423 20 30 CCEDMN C[C@H](CCNC(=O)c1cc(C#N)c[nH]1)NCc1ncccn1 ZINC001418385403 922069288 /nfs/dbraw/zinc/06/92/88/922069288.db2.gz UPTMBHSBJRTVSP-LLVKDONJSA-N 0 1 298.350 0.975 20 30 CCEDMN C#CCNCC(=O)NCCc1cnc(C2CC2)nc1 ZINC001340398963 922078954 /nfs/dbraw/zinc/07/89/54/922078954.db2.gz JWCQLRISKRKVFH-UHFFFAOYSA-N 0 1 258.325 0.236 20 30 CCEDMN CCCn1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1C ZINC001340906841 922268055 /nfs/dbraw/zinc/26/80/55/922268055.db2.gz FHGJGDAHCLKTBP-CMPLNLGQSA-N 0 1 261.329 0.443 20 30 CCEDMN C[C@@H]1OC(=O)N[C@@H]1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001418730628 922392010 /nfs/dbraw/zinc/39/20/10/922392010.db2.gz BOIIOGBJGCVJHU-WKEGUHRASA-N 0 1 285.263 0.870 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](N(C)c2ccccc2)C1 ZINC001341404555 922466616 /nfs/dbraw/zinc/46/66/16/922466616.db2.gz ZCQIGKAJMUYGHG-HNNXBMFYSA-N 0 1 271.364 0.947 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc2ncccc2o1 ZINC001341749055 922654552 /nfs/dbraw/zinc/65/45/52/922654552.db2.gz WDQLWFPCKXKHHM-PSASIEDQSA-N 0 1 256.265 0.669 20 30 CCEDMN C#CCNCC(=O)N1CCC(n2ccc([N+](=O)[O-])n2)CC1 ZINC001341772544 922668207 /nfs/dbraw/zinc/66/82/07/922668207.db2.gz VACLYXYHLHBBFM-UHFFFAOYSA-N 0 1 291.311 0.178 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(C(C)=O)c(C)n1 ZINC001341791254 922676049 /nfs/dbraw/zinc/67/60/49/922676049.db2.gz HTOYJAIKTMCQOD-UHFFFAOYSA-N 0 1 259.309 0.432 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(=O)n(C)cn1 ZINC001419191571 922701355 /nfs/dbraw/zinc/70/13/55/922701355.db2.gz BFKZSVIKCVTQGK-SNVBAGLBSA-N 0 1 298.774 0.631 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CCCC(=O)N1 ZINC001419215696 922710144 /nfs/dbraw/zinc/71/01/44/922710144.db2.gz KGPGHNBQOSOYTR-WDEREUQCSA-N 0 1 287.791 0.892 20 30 CCEDMN Cc1cnc(CN[C@H](CNC(=O)[C@@H](C)C#N)C2CC2)cn1 ZINC001419245711 922731706 /nfs/dbraw/zinc/73/17/06/922731706.db2.gz OTARTLJWIMOATH-IINYFYTJSA-N 0 1 287.367 0.929 20 30 CCEDMN CO[C@@H]1CCC[C@@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC001341948109 922734020 /nfs/dbraw/zinc/73/40/20/922734020.db2.gz PRBKQLYIMMMTEM-GARJFASQSA-N 0 1 250.298 0.789 20 30 CCEDMN C=CCn1ncc2ccc(NC(=O)C3(O)CNC3)cc21 ZINC001341949073 922734874 /nfs/dbraw/zinc/73/48/74/922734874.db2.gz GBNCXPBZWVLION-UHFFFAOYSA-N 0 1 272.308 0.495 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@]1(C)CCC(=O)N1)C1CC1 ZINC001419284925 922750455 /nfs/dbraw/zinc/75/04/55/922750455.db2.gz SJRADZYOPGCHSB-RISCZKNCSA-N 0 1 299.802 0.892 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CCC[C@@H]1OC ZINC001342019805 922766522 /nfs/dbraw/zinc/76/65/22/922766522.db2.gz HUCSSHQDOCQYBX-TUAOUCFPSA-N 0 1 282.340 0.663 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CC[C@@H](OC)C1 ZINC001342192557 922855895 /nfs/dbraw/zinc/85/58/95/922855895.db2.gz DXZVKZRIDZAGEL-SECBINFHSA-N 0 1 275.316 0.474 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1CCC2(CCOCC2)CO1 ZINC001342356729 922927842 /nfs/dbraw/zinc/92/78/42/922927842.db2.gz HEESAIWSHPZKOA-ZDUSSCGKSA-N 0 1 280.368 0.301 20 30 CCEDMN C#CCNCC(=O)N[C@@H](Cc1ccc(F)cc1)C(=O)OC ZINC001342520508 922990388 /nfs/dbraw/zinc/99/03/88/922990388.db2.gz SILADXCUKSBWIW-ZDUSSCGKSA-N 0 1 292.310 0.249 20 30 CCEDMN C#CCN(C)c1nnc(-c2c[nH]nn2)n1CCCOCC ZINC001342531742 922993036 /nfs/dbraw/zinc/99/30/36/922993036.db2.gz UJPRQCDHTZJPFQ-UHFFFAOYSA-N 0 1 289.343 0.559 20 30 CCEDMN Cc1nccnc1CN[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001419715020 923025491 /nfs/dbraw/zinc/02/54/91/923025491.db2.gz QNDJYBYWOCPBHV-SNVBAGLBSA-N 0 1 298.350 0.893 20 30 CCEDMN C[C@H](CNC(=O)c1cn(C)nn1)NCc1ccccc1C#N ZINC001419748766 923069347 /nfs/dbraw/zinc/06/93/47/923069347.db2.gz MJMSQPZRWYSEPP-LLVKDONJSA-N 0 1 298.350 0.595 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CC[C@@H](c2nc(CC)no2)C1 ZINC001342809841 923097203 /nfs/dbraw/zinc/09/72/03/923097203.db2.gz GXYGDBXAZZZSLG-MNOVXSKESA-N 0 1 276.340 0.607 20 30 CCEDMN C#CCNCC(=O)N[C@@](C)(C(=O)OC)c1ccccc1 ZINC001343232304 923241881 /nfs/dbraw/zinc/24/18/81/923241881.db2.gz GKJPRDVHGIWKAU-OAHLLOKOSA-N 0 1 274.320 0.414 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@]1(C)CCNC(=O)C1 ZINC001343252761 923250127 /nfs/dbraw/zinc/25/01/27/923250127.db2.gz AUGOCEHFEJYZSL-SWLSCSKDSA-N 0 1 278.352 0.544 20 30 CCEDMN CC(C)n1ccc(CC(=O)C(C#N)C(=O)NC2CC2)n1 ZINC001343448046 923322151 /nfs/dbraw/zinc/32/21/51/923322151.db2.gz QRVMUEWBCJYUML-LBPRGKRZSA-N 0 1 274.324 0.994 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@]1(C)CCCOC1 ZINC001420189538 923393361 /nfs/dbraw/zinc/39/33/61/923393361.db2.gz XDKJXADWDRSWHC-AAEUAGOBSA-N 0 1 290.791 0.622 20 30 CCEDMN Cc1nc(C)c(C=Nn2c(=O)c(C)n[nH]c2=S)o1 ZINC001343773507 923466143 /nfs/dbraw/zinc/46/61/43/923466143.db2.gz IYVVXKHRXRAZCS-UHFFFAOYSA-N 0 1 265.298 0.722 20 30 CCEDMN C[C@H](CNCc1cnns1)NC(=O)c1c[nH]c(C#N)c1 ZINC001420280475 923494847 /nfs/dbraw/zinc/49/48/47/923494847.db2.gz MBDXYQVQTBSMMC-MRVPVSSYSA-N 0 1 290.352 0.646 20 30 CCEDMN CCN(CCNC(=O)[C@@H](C)C#N)[C@H]1CCCN(C)C1=O ZINC001420373357 923592481 /nfs/dbraw/zinc/59/24/81/923592481.db2.gz ZKNSVMYNMXEHAG-RYUDHWBXSA-N 0 1 280.372 0.205 20 30 CCEDMN CC#CCN(C)C(=O)C(=O)N1CCN(C2CCCC2)CC1 ZINC001344658933 923662438 /nfs/dbraw/zinc/66/24/38/923662438.db2.gz WJHZCRCVEQHHSW-UHFFFAOYSA-N 0 1 291.395 0.555 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)CCNC(N)=O)C1CC1 ZINC001420785635 923930848 /nfs/dbraw/zinc/93/08/48/923930848.db2.gz MKPWUUINHSMSKT-JTQLQIEISA-N 0 1 288.779 0.282 20 30 CCEDMN C#CCN1CCC(OC(=O)CSCC#N)CC1 ZINC001345673301 923945019 /nfs/dbraw/zinc/94/50/19/923945019.db2.gz LADWVORLIMZTHA-UHFFFAOYSA-N 0 1 252.339 0.884 20 30 CCEDMN C#CCN1CCC(OC(=O)c2ccoc2CC(N)=O)CC1 ZINC001346152455 924090805 /nfs/dbraw/zinc/09/08/05/924090805.db2.gz YWMBFTPLYZJJNV-UHFFFAOYSA-N 0 1 290.319 0.562 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H](C)NC(=O)CC)CC1 ZINC001346160289 924093424 /nfs/dbraw/zinc/09/34/24/924093424.db2.gz BEYLSEWBNWJTIG-LLVKDONJSA-N 0 1 266.341 0.542 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001346284885 924144934 /nfs/dbraw/zinc/14/49/34/924144934.db2.gz YYHGBWDATBDXMW-FRRDWIJNSA-N 0 1 264.373 0.383 20 30 CCEDMN N#Cc1cnnc(NCc2nnc([C@@H]3CCOC3)[nH]2)c1 ZINC001421189899 924198941 /nfs/dbraw/zinc/19/89/41/924198941.db2.gz HFUPOUUQHLYQBX-SECBINFHSA-N 0 1 271.284 0.582 20 30 CCEDMN N#Cc1cnnc(NCc2n[nH]c([C@@H]3CCOC3)n2)c1 ZINC001421189899 924198954 /nfs/dbraw/zinc/19/89/54/924198954.db2.gz HFUPOUUQHLYQBX-SECBINFHSA-N 0 1 271.284 0.582 20 30 CCEDMN C=CCONC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001346424871 924205450 /nfs/dbraw/zinc/20/54/50/924205450.db2.gz GWGXDRKNSUOQFS-LLVKDONJSA-N 0 1 256.350 0.039 20 30 CCEDMN C#C[C@H](CC)NC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001346884621 924325305 /nfs/dbraw/zinc/32/53/05/924325305.db2.gz ZROPPBDMDVTNKD-LLVKDONJSA-N 0 1 276.340 0.769 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)NCC(C)(C)CC#N ZINC001347174198 924389356 /nfs/dbraw/zinc/38/93/56/924389356.db2.gz VTEPUMQEYDNHMA-VXGBXAGGSA-N 0 1 264.373 0.431 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cnn(C)c2OC)CC1 ZINC001347401293 924439475 /nfs/dbraw/zinc/43/94/75/924439475.db2.gz WLDURKXNPGMJJH-UHFFFAOYSA-N 0 1 277.324 0.683 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2CCCN(C(C)=O)C2)CC1 ZINC001347401306 924440033 /nfs/dbraw/zinc/44/00/33/924440033.db2.gz WVEAEZJCVWHFCF-AWEZNQCLSA-N 0 1 292.379 0.886 20 30 CCEDMN C[C@@H](C#N)CNC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001347553225 924479025 /nfs/dbraw/zinc/47/90/25/924479025.db2.gz FFFKQWASEDTTJI-RYUDHWBXSA-N 0 1 267.377 0.081 20 30 CCEDMN C[C@@H](Nc1nnccc1C#N)[C@H]1C[N@@H+](C)CCN1C ZINC001348311273 924647565 /nfs/dbraw/zinc/64/75/65/924647565.db2.gz HVWPEALUMNWLMY-ZYHUDNBSSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@@H](Nc1nnccc1C#N)[C@H]1CN(C)CCN1C ZINC001348311273 924647572 /nfs/dbraw/zinc/64/75/72/924647572.db2.gz HVWPEALUMNWLMY-ZYHUDNBSSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNC(=O)Cc1[nH]nc2ccccc21 ZINC001349173849 924898590 /nfs/dbraw/zinc/89/85/90/924898590.db2.gz MHFBPRCVOQLZQS-SNVBAGLBSA-N 0 1 299.334 0.497 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc3nonc3c2)CC1 ZINC001349535382 924983424 /nfs/dbraw/zinc/98/34/24/924983424.db2.gz LJJYKWMCLWJNLE-UHFFFAOYSA-N 0 1 285.307 0.941 20 30 CCEDMN C=CCOCCCC(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001350236468 925154326 /nfs/dbraw/zinc/15/43/26/925154326.db2.gz XWRXKSIGQNJNHL-JTQLQIEISA-N 0 1 265.317 0.498 20 30 CCEDMN C=CCN(CCOC)C(=O)NCc1n[nH]c(COC)n1 ZINC001350544393 925220600 /nfs/dbraw/zinc/22/06/00/925220600.db2.gz KYGRKQPPFJRJPF-UHFFFAOYSA-N 0 1 283.332 0.295 20 30 CCEDMN C=CCN(CCOC)C(=O)NCc1nnc(COC)[nH]1 ZINC001350544393 925220611 /nfs/dbraw/zinc/22/06/11/925220611.db2.gz KYGRKQPPFJRJPF-UHFFFAOYSA-N 0 1 283.332 0.295 20 30 CCEDMN C=CCCOCC[NH2+]C1CCN(CC(=O)[O-])CC1 ZINC001350623305 925243393 /nfs/dbraw/zinc/24/33/93/925243393.db2.gz ZQMOTQMJNCSIHQ-UHFFFAOYSA-N 0 1 256.346 0.718 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C[N@@H+]2CCC[C@@H](C(=O)[O-])C2)C1 ZINC001350801025 925283279 /nfs/dbraw/zinc/28/32/79/925283279.db2.gz HOQZTNRIHGYRNF-CHWSQXEVSA-N 0 1 278.352 0.655 20 30 CCEDMN C=CCCOCCNC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001351093179 925359173 /nfs/dbraw/zinc/35/91/73/925359173.db2.gz ZORQQLJNGQQAGI-UONOGXRCSA-N 0 1 298.431 0.513 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)NC1(C#N)CCSCC1 ZINC001351700343 925459496 /nfs/dbraw/zinc/45/94/96/925459496.db2.gz REOSRGOBQPJFAP-VXGBXAGGSA-N 0 1 294.424 0.280 20 30 CCEDMN C=CCN(CCOC)C(=O)N[C@@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC001351787984 925472876 /nfs/dbraw/zinc/47/28/76/925472876.db2.gz RWQGLWLBIMPWCN-KBPBESRZSA-N 0 1 298.431 0.465 20 30 CCEDMN C#CCN(CC#CC)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001352221810 925544665 /nfs/dbraw/zinc/54/46/65/925544665.db2.gz ZFVBLFTYAHORSU-HNNXBMFYSA-N 0 1 290.411 0.290 20 30 CCEDMN COc1ccc(CNC[C@H](O)CC(C)(C)C#N)nn1 ZINC001353351489 925807714 /nfs/dbraw/zinc/80/77/14/925807714.db2.gz LCBFQHYFLOOPNJ-LLVKDONJSA-N 0 1 264.329 0.876 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)C[C@H](O)c1ccccc1 ZINC001353394518 925820556 /nfs/dbraw/zinc/82/05/56/925820556.db2.gz TVASEERTNKFCRU-JSGCOSHPSA-N 0 1 260.337 0.838 20 30 CCEDMN C#CCSCC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001353888725 925954532 /nfs/dbraw/zinc/95/45/32/925954532.db2.gz WLFCESBZJCKPMZ-UHFFFAOYSA-N 0 1 283.441 0.495 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN(c3nccnc3CN)C2)nc1 ZINC001413886844 925994564 /nfs/dbraw/zinc/99/45/64/925994564.db2.gz CIQBXQSKADLYSF-LBPRGKRZSA-N 0 1 296.334 0.860 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1[C@@H]2Cc3cc(F)ccc3[C@@H]21 ZINC001354319562 926082189 /nfs/dbraw/zinc/08/21/89/926082189.db2.gz UHIUNBBYIQQHIA-VHDGCEQUSA-N 0 1 258.296 0.803 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)NC(=O)[C@H]1CCCN1C ZINC001354648869 926146735 /nfs/dbraw/zinc/14/67/35/926146735.db2.gz DDALEBXJLUAGIO-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cnn(-c2ccccn2)c1 ZINC001354657644 926149387 /nfs/dbraw/zinc/14/93/87/926149387.db2.gz KERPPRAWACVFAZ-UHFFFAOYSA-N 0 1 298.350 0.954 20 30 CCEDMN C#CCNCC(=O)N1CCC(c2ccn(C)n2)CC1 ZINC001354828986 926172211 /nfs/dbraw/zinc/17/22/11/926172211.db2.gz FXQGCBSJBZSREK-UHFFFAOYSA-N 0 1 260.341 0.349 20 30 CCEDMN C=CCOCCCC(=O)N1CCNC[C@H]1CCOC ZINC001355558486 926284451 /nfs/dbraw/zinc/28/44/51/926284451.db2.gz IWLLYXJWEAYKGI-CYBMUJFWSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CC(C)(C)C(=O)NC1CN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001356782059 926437642 /nfs/dbraw/zinc/43/76/42/926437642.db2.gz DRIKSUODUJCNHU-UHFFFAOYSA-N 0 1 292.339 0.279 20 30 CCEDMN C=CCCC(=O)N[C@](C)(CNC(=O)c1cnn[nH]1)C1CC1 ZINC001356949708 926467492 /nfs/dbraw/zinc/46/74/92/926467492.db2.gz GQGAVNKVMILAGM-CQSZACIVSA-N 0 1 291.355 0.786 20 30 CCEDMN C#CCNCC(=O)N[C@H](CC(N)=O)c1cccc(Cl)c1 ZINC001357321276 926511735 /nfs/dbraw/zinc/51/17/35/926511735.db2.gz FICJFIOJQIIGLQ-GFCCVEGCSA-N 0 1 293.754 0.596 20 30 CCEDMN COCCN1CCC(F)(F)[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001421328349 926676787 /nfs/dbraw/zinc/67/67/87/926676787.db2.gz CKEZVOWTAPVABQ-GHMZBOCLSA-N 0 1 289.326 0.866 20 30 CCEDMN C[C@]1(CO)CCC[N@H+]1Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001413983018 926724050 /nfs/dbraw/zinc/72/40/50/926724050.db2.gz ODSCCIYDIQRSSN-CQSZACIVSA-N 0 1 287.323 0.653 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)Nc2ccncc2C#N)cc1=O ZINC001361351088 926990883 /nfs/dbraw/zinc/99/08/83/926990883.db2.gz JPQZIKHMXMCBGL-UHFFFAOYSA-N 0 1 299.334 0.702 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3COC(=O)N3)[nH]c2c1 ZINC001361596602 927179660 /nfs/dbraw/zinc/17/96/60/927179660.db2.gz LUYQTJBTYJWOLK-VIFPVBQESA-N 0 1 271.236 0.481 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1nccnc1N ZINC001422317117 927217284 /nfs/dbraw/zinc/21/72/84/927217284.db2.gz HIMYBJKYOGPDRY-IUCAKERBSA-N 0 1 283.763 0.908 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2CCO[C@H](C)C#N)n[nH]1 ZINC001422444333 927281594 /nfs/dbraw/zinc/28/15/94/927281594.db2.gz MKTUCPXUMZPNHC-MXWKQRLJSA-N 0 1 279.344 0.403 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H](CC)NC(C)=O ZINC001422710728 927464853 /nfs/dbraw/zinc/46/48/53/927464853.db2.gz NCFPVWFDWOLVCS-ONGXEEELSA-N 0 1 275.780 0.748 20 30 CCEDMN CCOCC(=O)NC[C@@H](CO)NCc1cccc(C#N)c1 ZINC001423181912 927717470 /nfs/dbraw/zinc/71/74/70/927717470.db2.gz XGPCQHMPOGKIFS-AWEZNQCLSA-N 0 1 291.351 0.161 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CN2CCOCC2)CC1 ZINC001423197519 927732241 /nfs/dbraw/zinc/73/22/41/927732241.db2.gz PKKHGJMXCJNDLU-UHFFFAOYSA-N 0 1 287.791 0.310 20 30 CCEDMN N#C[C@@]1(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)CCCOC1 ZINC001362361022 927922871 /nfs/dbraw/zinc/92/28/71/927922871.db2.gz WGOCXVROMXCOHT-GWCFXTLKSA-N 0 1 290.327 0.226 20 30 CCEDMN C[C@H](C#N)CN(C(=O)CN(C)[C@@H]1CCC[C@H]1O)C1CC1 ZINC001362423623 927999837 /nfs/dbraw/zinc/99/98/37/927999837.db2.gz SWKYVNWDGUFUNH-MRVWCRGKSA-N 0 1 279.384 0.982 20 30 CCEDMN CCc1ncc(CNS(=O)(=O)N(C)CCC#N)[nH]1 ZINC001424793460 928299186 /nfs/dbraw/zinc/29/91/86/928299186.db2.gz LUOSEBUQNXNRRX-UHFFFAOYSA-N 0 1 271.346 0.152 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CC(C)(C)CNCc1cnon1 ZINC001424881409 928328997 /nfs/dbraw/zinc/32/89/97/928328997.db2.gz KQQQESGCZNNHQE-SNVBAGLBSA-N 0 1 279.344 0.803 20 30 CCEDMN N#Cc1ccc(CNC(=O)Cc2n[nH]c(C3CC3)n2)nc1 ZINC001362972093 928713091 /nfs/dbraw/zinc/71/30/91/928713091.db2.gz ONKGMPCCUCZHIK-UHFFFAOYSA-N 0 1 282.307 0.808 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)N[C@H](CC#N)C(F)(F)F)n1 ZINC001426316693 928813301 /nfs/dbraw/zinc/81/33/01/928813301.db2.gz BIBJWWPLHMHBNS-SSDOTTSWSA-N 0 1 290.249 0.799 20 30 CCEDMN CN(C[C@@H](O)c1cccc(C#N)c1)[C@]1(CO)CCOC1 ZINC001363225448 928993476 /nfs/dbraw/zinc/99/34/76/928993476.db2.gz LZVJDOURJLMEPA-CABCVRRESA-N 0 1 276.336 0.675 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCC[C@@H](Cc3nn[nH]n3)C2)n1 ZINC001363437363 929230648 /nfs/dbraw/zinc/23/06/48/929230648.db2.gz VRFBTSNZULWXFV-JTQLQIEISA-N 0 1 297.322 0.561 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](NC(=O)c1ncn[nH]1)C(C)(C)C ZINC001428390366 929232400 /nfs/dbraw/zinc/23/24/00/929232400.db2.gz RIIOAHRFOGATEO-BDAKNGLRSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](NC(=O)c1nc[nH]n1)C(C)(C)C ZINC001428390366 929232410 /nfs/dbraw/zinc/23/24/10/929232410.db2.gz RIIOAHRFOGATEO-BDAKNGLRSA-N 0 1 292.343 0.225 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC001363457010 929260917 /nfs/dbraw/zinc/26/09/17/929260917.db2.gz ZFGHZSITXKUTQR-LLVKDONJSA-N 0 1 290.323 0.063 20 30 CCEDMN N#Cc1ccncc1C(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001363580648 929383623 /nfs/dbraw/zinc/38/36/23/929383623.db2.gz FUGFLNXLHMFVOS-SNVBAGLBSA-N 0 1 297.322 0.561 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC001443594388 929656114 /nfs/dbraw/zinc/65/61/14/929656114.db2.gz CFKZJEICVNLHJR-LBPRGKRZSA-N 0 1 253.390 0.951 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](C)NC(=O)c1[nH]ncc1F ZINC001443999936 929763188 /nfs/dbraw/zinc/76/31/88/929763188.db2.gz WSEFGYVZJYLXEC-SFYZADRCSA-N 0 1 281.291 0.285 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H]1CN(C)C(=O)N1 ZINC001444656320 929927914 /nfs/dbraw/zinc/92/79/14/929927914.db2.gz QCLPBOZRPAGDAB-NXEZZACHSA-N 0 1 288.779 0.199 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](O)CNCc1ccncc1Cl ZINC001445166851 930059031 /nfs/dbraw/zinc/05/90/31/930059031.db2.gz ZYFRZTRKHXZOSJ-ONGXEEELSA-N 0 1 296.758 0.461 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccn(C)c1C ZINC001445248460 930073446 /nfs/dbraw/zinc/07/34/46/930073446.db2.gz YSZVDIHOGZXHPG-LLVKDONJSA-N 0 1 285.775 0.766 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001445958505 930295005 /nfs/dbraw/zinc/29/50/05/930295005.db2.gz OSHIUQZQYLFKDK-GARJFASQSA-N 0 1 289.339 0.123 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CC(=O)N(C)C1)C1CC1 ZINC001445958811 930295630 /nfs/dbraw/zinc/29/56/30/930295630.db2.gz VVRBGGNFAQJJGS-NWDGAFQWSA-N 0 1 299.802 0.702 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cnn(C)n1)C1CC1 ZINC001445998311 930302987 /nfs/dbraw/zinc/30/29/87/930302987.db2.gz YICGGWQLIPLEQT-SNVBAGLBSA-N 0 1 283.763 0.666 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](C)NC(C)=O ZINC001373726687 930315846 /nfs/dbraw/zinc/31/58/46/930315846.db2.gz KYWWMDAJSOHRDZ-KOLCDFICSA-N 0 1 275.780 0.748 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NCC(=O)NC(C)(C)C)CC1 ZINC001446164868 930358438 /nfs/dbraw/zinc/35/84/38/930358438.db2.gz VGMWXZHRWVTAGU-SNVBAGLBSA-N 0 1 280.372 0.299 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@](C)(CNCc2ncnn2C)C1 ZINC001446576974 930442595 /nfs/dbraw/zinc/44/25/95/930442595.db2.gz CAEKNJYHZUPZCF-SMDDNHRTSA-N 0 1 290.371 0.303 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001374284820 930478539 /nfs/dbraw/zinc/47/85/39/930478539.db2.gz WBYMDYYALWGESF-BBBLOLIVSA-N 0 1 273.764 0.297 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccncc1C ZINC001374604644 930587646 /nfs/dbraw/zinc/58/76/46/930587646.db2.gz YRPUEUNXUCHCLH-NSHDSACASA-N 0 1 283.759 0.823 20 30 CCEDMN C[C@@H](NC(=O)CNC1(CNC(=O)[C@@H](C)C#N)CC1)C1CC1 ZINC001375404232 930880063 /nfs/dbraw/zinc/88/00/63/930880063.db2.gz PSVCOLFDMFHZLE-WDEREUQCSA-N 0 1 292.383 0.299 20 30 CCEDMN COc1cc(CNC2(CNC(=O)[C@@H](C)C#N)CC2)ccn1 ZINC001375403343 930880423 /nfs/dbraw/zinc/88/04/23/930880423.db2.gz MIJXKZPPCGCVMW-NSHDSACASA-N 0 1 288.351 0.988 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H](CO)NCc2cccnc2)[nH]1 ZINC001448814537 930992462 /nfs/dbraw/zinc/99/24/62/930992462.db2.gz GCSTVUNNURDAEO-CYBMUJFWSA-N 0 1 299.334 0.162 20 30 CCEDMN C[C@H]1C[C@@H]1C(=O)NC[C@H](CO)NCc1ccc(C#N)cc1 ZINC001448876768 931014619 /nfs/dbraw/zinc/01/46/19/931014619.db2.gz BMZJSOUZXRVAOG-GLQYFDAESA-N 0 1 287.363 0.781 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NCc2cnnn2C)CCC1 ZINC001376721321 931247916 /nfs/dbraw/zinc/24/79/16/931247916.db2.gz MCQDWJKGJVWBGE-JTQLQIEISA-N 0 1 276.344 0.103 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001376796602 931278603 /nfs/dbraw/zinc/27/86/03/931278603.db2.gz CIKZEJKHLPYTFF-QMMMGPOBSA-N 0 1 272.736 0.586 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CN(C(=O)c2ccc3cncn3c2)C1 ZINC001450481557 931296355 /nfs/dbraw/zinc/29/63/55/931296355.db2.gz OBGSUQQZWRFMRO-SNVBAGLBSA-N 0 1 297.318 0.435 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC001450722721 931330465 /nfs/dbraw/zinc/33/04/65/931330465.db2.gz RXSZESXVMPGPEZ-VIFPVBQESA-N 0 1 269.308 0.907 20 30 CCEDMN CN(CCNC(=O)c1cnn[nH]1)Cc1ccc(C#N)s1 ZINC001377186124 931421529 /nfs/dbraw/zinc/42/15/29/931421529.db2.gz ACIKSPYUQNZFNC-UHFFFAOYSA-N 0 1 290.352 0.600 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1CCCC[C@@H]1CC(=O)[O-] ZINC001602702887 971331970 /nfs/dbraw/zinc/33/19/70/971331970.db2.gz VOFJRDMZALEKBU-LLVKDONJSA-N 0 1 252.314 0.455 20 30 CCEDMN CC[N@@H+](C[C@@H](O)CC1(C#N)CCOCC1)[C@H](C)C(=O)[O-] ZINC001589719325 950403150 /nfs/dbraw/zinc/40/31/50/950403150.db2.gz CESHNMCKWXKNHI-NEPJUHHUSA-N 0 1 284.356 0.853 20 30 CCEDMN C[C@@H]1CCN(c2cnc(C#N)c(-c3nn[nH]n3)n2)C[C@H]1F ZINC001576214258 950667243 /nfs/dbraw/zinc/66/72/43/950667243.db2.gz NZXKOGNMISOABL-HTQZYQBOSA-N 0 1 288.290 0.713 20 30 CCEDMN C[C@H]1C[C@H](C(=O)[O-])C[N@@H+](CC(=O)NCCC#N)C1 ZINC000399060598 951630550 /nfs/dbraw/zinc/63/05/50/951630550.db2.gz IXCOWTUGGROYMW-UWVGGRQHSA-N 0 1 253.302 0.059 20 30 CCEDMN C[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC001589305797 953738156 /nfs/dbraw/zinc/73/81/56/953738156.db2.gz RBGPHEPTMUIRQE-ZETOZRRWSA-N 0 1 293.367 0.980 20 30 CCEDMN C[NH+]1CCN(N=Cc2cccn2CC(=O)[O-])CC1 ZINC001593784316 954683100 /nfs/dbraw/zinc/68/31/00/954683100.db2.gz BPFRPCFPZNNEGJ-UHFFFAOYSA-N 0 1 250.302 0.154 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@@H]1CCC[N@H+](C(C)C)C1 ZINC001588408463 958111737 /nfs/dbraw/zinc/11/17/37/958111737.db2.gz OEPGJAUFCMDDHA-ZDUSSCGKSA-N 0 1 295.383 0.836 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@](COC)(C(=O)[O-])C1 ZINC001588430644 958259487 /nfs/dbraw/zinc/25/94/87/958259487.db2.gz OJDPZFRLZDHNAR-WFASDCNBSA-N 0 1 294.351 0.034 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@H]2CC[C@@H](C(=O)[O-])C2)CC1 ZINC001588431489 958271204 /nfs/dbraw/zinc/27/12/04/958271204.db2.gz ZEBDLURLMZOORU-QWHCGFSZSA-N 0 1 278.352 0.655 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@H]2CC[C@@H](C(=O)[O-])C2)CC1 ZINC001588431489 958271216 /nfs/dbraw/zinc/27/12/16/958271216.db2.gz ZEBDLURLMZOORU-QWHCGFSZSA-N 0 1 278.352 0.655 20 30 CCEDMN COCC[N@@H+](CCC(=O)[O-])C[C@H](O)CC1(C#N)CC1 ZINC001574156793 962683463 /nfs/dbraw/zinc/68/34/63/962683463.db2.gz VUMFZGWNSVGBNW-LLVKDONJSA-N 0 1 270.329 0.464 20 30 CCEDMN C[C@H](CC#N)C(=O)OC[C@H]1CCC[N@H+]1CC(=O)[O-] ZINC001588988001 962770634 /nfs/dbraw/zinc/77/06/34/962770634.db2.gz PDVBRWTUBQFJJG-NXEZZACHSA-N 0 1 254.286 0.628 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NC1CCC(C(=O)[O-])CC1 ZINC000327983497 968050916 /nfs/dbraw/zinc/05/09/16/968050916.db2.gz JNHCEADWBDBHLH-UHFFFAOYSA-N 0 1 295.383 0.884 20 30 CCEDMN C[N@@H+](CCCC(=O)[O-])CC(=O)NCc1cccc(C#N)c1 ZINC001604161482 972575793 /nfs/dbraw/zinc/57/57/93/972575793.db2.gz HTFDCKWHDVITEL-UHFFFAOYSA-N 0 1 289.335 0.971 20 30 CCEDMN C[N@@H+](CCCC(=O)[O-])[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC001604161522 972575986 /nfs/dbraw/zinc/57/59/86/972575986.db2.gz IOCXWLZSQPZTOM-CYBMUJFWSA-N 0 1 275.308 0.881 20 30 CCEDMN C[C@@H](CCC#N)C[N@H+](CCN1CCN(C)CC1)CC(=O)[O-] ZINC001592470717 978224459 /nfs/dbraw/zinc/22/44/59/978224459.db2.gz KXHSLNWGGMPMGJ-AWEZNQCLSA-N 0 1 296.415 0.560 20 30 CCEDMN C[C@@H](CCC#N)C[N@@H+](CCN1CCN(C)CC1)CC(=O)[O-] ZINC001592470717 978224462 /nfs/dbraw/zinc/22/44/62/978224462.db2.gz KXHSLNWGGMPMGJ-AWEZNQCLSA-N 0 1 296.415 0.560 20 30 CCEDMN CC[C@H](CC#N)[NH2+][C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001595030076 979163826 /nfs/dbraw/zinc/16/38/26/979163826.db2.gz LBTOZMBZWCLTIE-MNOVXSKESA-N 0 1 267.329 0.734 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CC[N@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588384242 983388051 /nfs/dbraw/zinc/38/80/51/983388051.db2.gz ZXKGGKKPZVNPSI-LLVKDONJSA-N 0 1 266.341 0.511 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)NC/C=C(\C)C(=O)[O-])C1 ZINC001588477179 983514736 /nfs/dbraw/zinc/51/47/36/983514736.db2.gz VXBPLOAGXFLKFA-IGEMTJHASA-N 0 1 279.340 0.414 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)NC/C=C(\C)C(=O)[O-])C1 ZINC001588477179 983514737 /nfs/dbraw/zinc/51/47/37/983514737.db2.gz VXBPLOAGXFLKFA-IGEMTJHASA-N 0 1 279.340 0.414 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](Nc2ncnc(C(=O)[O-])c2OC)C1 ZINC001588477608 983516930 /nfs/dbraw/zinc/51/69/30/983516930.db2.gz WLOCEBKOBUVICW-JTQLQIEISA-N 0 1 290.323 0.693 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](Nc2ncnc(C(=O)[O-])c2OC)C1 ZINC001588477608 983516933 /nfs/dbraw/zinc/51/69/33/983516933.db2.gz WLOCEBKOBUVICW-JTQLQIEISA-N 0 1 290.323 0.693 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1C[C@@H]2C[N@@H+](C)C[C@@H]2C1 ZINC001588618868 983713489 /nfs/dbraw/zinc/71/34/89/983713489.db2.gz CTBVIUCVTPXVCE-PHIMTYICSA-N 0 1 252.314 0.427 20 30 CCEDMN C=C(Cl)C[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588641878 983763627 /nfs/dbraw/zinc/76/36/27/983763627.db2.gz KUYFQKUZRHJWFJ-QMMMGPOBSA-N 0 1 256.693 0.976 20 30 CCEDMN C=C(Cl)C[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588641878 983763630 /nfs/dbraw/zinc/76/36/30/983763630.db2.gz KUYFQKUZRHJWFJ-QMMMGPOBSA-N 0 1 256.693 0.976 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[NH+]1CCN(C(=O)[C@@H]2CCCN2C)CC1 ZINC001588729116 983986576 /nfs/dbraw/zinc/98/65/76/983986576.db2.gz HEFWEGCHLPGMQY-STQMWFEESA-N 0 1 295.383 0.254 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])N1CCN(C(=O)[C@@H]2CCC[N@H+]2C)CC1 ZINC001588729116 983986578 /nfs/dbraw/zinc/98/65/78/983986578.db2.gz HEFWEGCHLPGMQY-STQMWFEESA-N 0 1 295.383 0.254 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])N1CCN(C(=O)[C@@H]2CCC[N@@H+]2C)CC1 ZINC001588729116 983986580 /nfs/dbraw/zinc/98/65/80/983986580.db2.gz HEFWEGCHLPGMQY-STQMWFEESA-N 0 1 295.383 0.254 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCCN(C(=O)OC)CC1 ZINC001588729201 983987243 /nfs/dbraw/zinc/98/72/43/983987243.db2.gz IBOYTJFPIHFGEE-SNVBAGLBSA-N 0 1 256.302 0.790 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC001588729838 983994252 /nfs/dbraw/zinc/99/42/52/983994252.db2.gz NYBPPUQADGWNCP-QWHCGFSZSA-N 0 1 296.367 0.587 20 30 CCEDMN C=CC[C@H](C(=O)OC)[NH+]1CCC([C@H](O)C(=O)[O-])CC1 ZINC001588732013 984014533 /nfs/dbraw/zinc/01/45/33/984014533.db2.gz UFZQZMPXFMXJOE-MNOVXSKESA-N 0 1 271.313 0.262 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@@H+]1C[C@](C)(CO)[C@](C)(CO)C1 ZINC001588732209 984015885 /nfs/dbraw/zinc/01/58/85/984015885.db2.gz WGRURARKDWIOGA-WCFLWFBJSA-N 0 1 257.330 0.329 20 30 CCEDMN C=CC[N@H+](CCO)C1CCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588828749 984309457 /nfs/dbraw/zinc/30/94/57/984309457.db2.gz MIUFVXSUYDOUDB-CHWSQXEVSA-N 0 1 296.367 0.178 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588836840 984330195 /nfs/dbraw/zinc/33/01/95/984330195.db2.gz KAJJBVSLWGTWFC-NWDGAFQWSA-N 0 1 264.325 0.641 20 30 CCEDMN C=CCNC(=O)C[N@@H+](C)Cc1ccc(OCC(=O)[O-])cc1 ZINC001588840090 984351898 /nfs/dbraw/zinc/35/18/98/984351898.db2.gz ZASVVXYEIPLUOX-UHFFFAOYSA-N 0 1 292.335 0.884 20 30 CCEDMN C=CCNC(=O)C[N@H+](C)Cc1ccc(OCC(=O)[O-])cc1 ZINC001588840090 984351903 /nfs/dbraw/zinc/35/19/03/984351903.db2.gz ZASVVXYEIPLUOX-UHFFFAOYSA-N 0 1 292.335 0.884 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](CC(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC001588850156 984379302 /nfs/dbraw/zinc/37/93/02/984379302.db2.gz ZYWSRJZSSJKCEB-CYBMUJFWSA-N 0 1 296.367 0.587 20 30 CCEDMN C[N@H+](CCc1cccc(C#N)c1)Cn1cnc(C(=O)[O-])n1 ZINC001598500096 992423551 /nfs/dbraw/zinc/42/35/51/992423551.db2.gz DWHMCKYULDSQGT-UHFFFAOYSA-N 0 1 285.307 0.980 20 30 CCEDMN C[N@@H+](CCc1cccc(C#N)c1)Cn1cnc(C(=O)[O-])n1 ZINC001598500096 992423553 /nfs/dbraw/zinc/42/35/53/992423553.db2.gz DWHMCKYULDSQGT-UHFFFAOYSA-N 0 1 285.307 0.980 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)c2ccc(C#N)[nH]2)C[C@@H]1C(=O)[O-] ZINC001598573827 993242848 /nfs/dbraw/zinc/24/28/48/993242848.db2.gz IOADVJMPSMNDHF-WCBMZHEXSA-N 0 1 263.253 0.200 20 30 CCEDMN C[N@H+]1CCN(Cc2cc(C#N)ccn2)C[C@H](C(=O)[O-])C1 ZINC001598619555 993975773 /nfs/dbraw/zinc/97/57/73/993975773.db2.gz MWJGTHBNLQJLQL-GFCCVEGCSA-N 0 1 274.324 0.401 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](C(=O)[O-])[N@@H+](CCO[C@H](C)C#N)C1 ZINC001598777416 995945477 /nfs/dbraw/zinc/94/54/77/995945477.db2.gz NMBBUJYCPCLLKJ-MXWKQRLJSA-N 0 1 284.312 0.253 20 30 CCEDMN CO[C@]1(C(=O)[O-])CC[N@@H+](Cc2cc(C#N)ccn2)C1 ZINC001599078283 996929468 /nfs/dbraw/zinc/92/94/68/996929468.db2.gz BKKZSHFJNAXTCM-CYBMUJFWSA-N 0 1 261.281 0.629 20 30 CCEDMN COC[C@]1(C(=O)[O-])CCC[N@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC001599193237 997164201 /nfs/dbraw/zinc/16/42/01/997164201.db2.gz BVYFARGPWJHRPM-DOMZBBRYSA-N 0 1 296.367 0.997 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)N2CCC(C#N)(C(=O)[O-])CC2)CC[N@H+]1C ZINC001594190511 998740137 /nfs/dbraw/zinc/74/01/37/998740137.db2.gz IOJYSCWBOZGWDI-GHMZBOCLSA-N 0 1 294.355 0.479 20 30 CCEDMN C#CCCN1CCN(c2ncnc(-n3cccn3)c2N)CC1 ZINC000091480454 349463852 /nfs/dbraw/zinc/46/38/52/349463852.db2.gz AQDLHJYBJLJYNI-UHFFFAOYSA-N 0 1 297.366 0.390 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)C[C@H]1C[C@@H](O)C1 ZINC000599670530 361780919 /nfs/dbraw/zinc/78/09/19/361780919.db2.gz GOZNJWZHMJIPAU-SUHUHFCYSA-N 0 1 265.357 0.498 20 30 CCEDMN CC(C)(C#N)CCCN1CCO[C@H](CC(N)=O)C1 ZINC000599665206 361779033 /nfs/dbraw/zinc/77/90/33/361779033.db2.gz RVVWAXYUGPSSSG-LLVKDONJSA-N 0 1 253.346 0.893 20 30 CCEDMN N#CCc1cccc2c1CCN(CCCS(N)(=O)=O)C2 ZINC000599679144 361783625 /nfs/dbraw/zinc/78/36/25/361783625.db2.gz PYNNWUIWXMRSJZ-UHFFFAOYSA-N 0 1 293.392 0.789 20 30 CCEDMN N#Cc1ccc2c(c1)CN(C(=O)NC[C@@H]1COCCN1)C2 ZINC000599942312 361837294 /nfs/dbraw/zinc/83/72/94/361837294.db2.gz ZRSFAOOALJDHGX-CQSZACIVSA-N 0 1 286.335 0.572 20 30 CCEDMN C[C@@H](NC(=O)NC[C@@H]1COCCN1)c1cccc(C#N)c1 ZINC000599918275 361829043 /nfs/dbraw/zinc/82/90/43/361829043.db2.gz IGLLQZGOSGPMMX-BXUZGUMPSA-N 0 1 288.351 0.907 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CCC(N2CCOCC2)CC1 ZINC000600106129 361868614 /nfs/dbraw/zinc/86/86/14/361868614.db2.gz AHWCFVVSJZWQPK-LBPRGKRZSA-N 0 1 265.357 0.859 20 30 CCEDMN CC(C)(CCC#N)CN1CCO[C@H](CC(N)=O)C1 ZINC000600207383 361890049 /nfs/dbraw/zinc/89/00/49/361890049.db2.gz FDIQPNUGCVQKKJ-LLVKDONJSA-N 0 1 253.346 0.893 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)NCCN1CC[C@H](O)C1 ZINC000600809162 362065548 /nfs/dbraw/zinc/06/55/48/362065548.db2.gz UVJQRFHAAAOWDC-ZDUSSCGKSA-N 0 1 273.336 0.663 20 30 CCEDMN COCCCN1CCN(c2nccnc2C#N)C[C@H]1C ZINC000155682980 136395719 /nfs/dbraw/zinc/39/57/19/136395719.db2.gz LNJZYZRZDFWDEW-GFCCVEGCSA-N 0 1 275.356 0.895 20 30 CCEDMN COCCN1CCN(C[C@@H](O)c2ccc(C#N)cc2)CC1 ZINC000126936462 187271930 /nfs/dbraw/zinc/27/19/30/187271930.db2.gz QCZGAHOCXRMICU-MRXNPFEDSA-N 0 1 289.379 0.856 20 30 CCEDMN C[C@@H](CNC(=O)c1ccc(C#N)nc1)N1CCN(C)CC1 ZINC000115384425 349854582 /nfs/dbraw/zinc/85/45/82/349854582.db2.gz USJLACJBOSMURK-LBPRGKRZSA-N 0 1 287.367 0.319 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)CC1(CO)CC1 ZINC000347244765 529831515 /nfs/dbraw/zinc/83/15/15/529831515.db2.gz HYHSWWJUKWGWPH-UHFFFAOYSA-N 0 1 251.330 0.253 20 30 CCEDMN CN(CC1(O)CCC1)C(=O)N[C@H]1CCc2ncnn2C1 ZINC000329557388 529854891 /nfs/dbraw/zinc/85/48/91/529854891.db2.gz HRPJWXJNFMWOII-JTQLQIEISA-N 0 1 279.344 0.354 20 30 CCEDMN N#CC1(C(=O)NC[C@@H]2CN3CCN2CCC3)CC2(CC2)C1 ZINC000601564729 362312990 /nfs/dbraw/zinc/31/29/90/362312990.db2.gz CXBCWPCOKDUBFJ-CYBMUJFWSA-N 0 1 288.395 0.576 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CCc1nc[nH]n1)C(=O)OC ZINC000601781160 362389489 /nfs/dbraw/zinc/38/94/89/362389489.db2.gz HGDAJRYULOAWKS-VIFPVBQESA-N 0 1 266.301 0.361 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](O)C[C@@H]1C ZINC000340920471 263315467 /nfs/dbraw/zinc/31/54/67/263315467.db2.gz XAYSEGBCNRDYRP-GRYCIOLGSA-N 0 1 253.346 0.450 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CCN(CCC#N)CC1 ZINC000602206128 362557974 /nfs/dbraw/zinc/55/79/74/362557974.db2.gz OGZADKVZQCSMDH-AWEZNQCLSA-N 0 1 294.399 0.013 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000602206977 362559322 /nfs/dbraw/zinc/55/93/22/362559322.db2.gz VNDNGPUTAGTXPG-LBPRGKRZSA-N 0 1 287.367 0.887 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@H](C)CCC#N)CC1 ZINC000602430424 362612501 /nfs/dbraw/zinc/61/25/01/362612501.db2.gz MFKXOTCUQGFSJD-AWEZNQCLSA-N 0 1 278.400 0.846 20 30 CCEDMN N#Cc1ccc(C(=O)NCC(=O)NCCN2CCC2)cc1 ZINC000533110869 290782546 /nfs/dbraw/zinc/78/25/46/290782546.db2.gz LVHYXKPUOJVLRN-UHFFFAOYSA-N 0 1 286.335 0.110 20 30 CCEDMN CC[C@H](CNS(C)(=O)=O)NCc1cccc(C#N)n1 ZINC000602679018 362745791 /nfs/dbraw/zinc/74/57/91/362745791.db2.gz IKGLMZVGTFIIJW-SNVBAGLBSA-N 0 1 282.369 0.371 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C[C@@H](C)CCC#N)C[C@H]21 ZINC000602719289 362768643 /nfs/dbraw/zinc/76/86/43/362768643.db2.gz OEOPLJJEOFMNLZ-RVMXOQNASA-N 0 1 286.397 0.670 20 30 CCEDMN N#Cc1ccc(CNCCNC(=O)c2cnccn2)o1 ZINC000343954578 137169960 /nfs/dbraw/zinc/16/99/60/137169960.db2.gz PCIXGMUHNJLISP-UHFFFAOYSA-N 0 1 271.280 0.461 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)c2ccnc(C#N)c2)n[nH]1 ZINC000343354778 137130526 /nfs/dbraw/zinc/13/05/26/137130526.db2.gz VWLNPQNIXDDEEH-ZETCQYMHSA-N 0 1 256.269 0.871 20 30 CCEDMN CCC(F)(F)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000602882618 362861432 /nfs/dbraw/zinc/86/14/32/362861432.db2.gz ODPWGOZRWJFYMP-UHFFFAOYSA-N 0 1 257.244 0.880 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2C[C@@H]3CCC[C@]3(CO)C2)[nH]1 ZINC000602884996 362863165 /nfs/dbraw/zinc/86/31/65/362863165.db2.gz XXVNGUYUSYVISF-SMDDNHRTSA-N 0 1 289.339 0.340 20 30 CCEDMN C[C@@H]1CCC[C@@H](CNC(=O)N=c2[nH]n(C)cc2C#N)O1 ZINC000602885943 362864362 /nfs/dbraw/zinc/86/43/62/362864362.db2.gz GSFWEVMOONVJRG-KOLCDFICSA-N 0 1 277.328 0.793 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CO[C@H](C3CC3)C2)[nH]1 ZINC000602881148 362860423 /nfs/dbraw/zinc/86/04/23/362860423.db2.gz IUZZEIQPKIEXKH-MNOVXSKESA-N 0 1 275.312 0.403 20 30 CCEDMN CCNC(=O)CN(CC)CCC(=O)N(C)CCC#N ZINC000042369122 348276671 /nfs/dbraw/zinc/27/66/71/348276671.db2.gz ADGADADJTSRRBG-UHFFFAOYSA-N 0 1 268.361 0.207 20 30 CCEDMN CC(C)N(CC(N)=O)C[C@@H](O)COc1ccccc1C#N ZINC000042428868 348279514 /nfs/dbraw/zinc/27/95/14/348279514.db2.gz LTNSMDAXGQMBNM-CYBMUJFWSA-N 0 1 291.351 0.494 20 30 CCEDMN N#Cc1cccc(NCC(=O)N2CCc3[nH]nnc3C2)c1 ZINC000346048640 137265512 /nfs/dbraw/zinc/26/55/12/137265512.db2.gz BOXUYVLIANNJTR-UHFFFAOYSA-N 0 1 282.307 0.673 20 30 CCEDMN CN1CCN(CCOc2ccccc2C#N)[C@H](CO)C1 ZINC000602904830 362878339 /nfs/dbraw/zinc/87/83/39/362878339.db2.gz OYCHMVVAKPTYCS-AWEZNQCLSA-N 0 1 275.352 0.545 20 30 CCEDMN C[C@@]12CN(Cc3ccncc3C#N)C[C@@]1(C)C(=O)NC2=O ZINC000602934455 362895206 /nfs/dbraw/zinc/89/52/06/362895206.db2.gz HPDOKDGVIHERAU-GJZGRUSLSA-N 0 1 284.319 0.438 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@](O)(CC)C1 ZINC000602982012 362920399 /nfs/dbraw/zinc/92/03/99/362920399.db2.gz MJXDNTXFMYZYHJ-CYBMUJFWSA-N 0 1 269.345 0.235 20 30 CCEDMN N#Cc1cccc(S(=O)(=O)NCCN2CCCC2)c1 ZINC000048176475 348401820 /nfs/dbraw/zinc/40/18/20/348401820.db2.gz DMJWXJKJMDBYIT-UHFFFAOYSA-N 0 1 279.365 0.932 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](CNC(C)=O)C1 ZINC000067418103 348773994 /nfs/dbraw/zinc/77/39/94/348773994.db2.gz FKFQQAKZWPBUBE-LBPRGKRZSA-N 0 1 253.346 0.137 20 30 CCEDMN CCCN(CCO)CC(=O)N(CC)C[C@@H](C)C#N ZINC000076951718 349094479 /nfs/dbraw/zinc/09/44/79/349094479.db2.gz SNKZSAOJNKXPAA-LBPRGKRZSA-N 0 1 255.362 0.699 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(CC(N)=O)CC1 ZINC000077168734 349100365 /nfs/dbraw/zinc/10/03/65/349100365.db2.gz GGYIXHVOIXXXEN-UHFFFAOYSA-N 0 1 280.372 0.336 20 30 CCEDMN CS(=O)(=O)NC[C@@H]1CCCN(CCCC#N)C1 ZINC000079977460 349196641 /nfs/dbraw/zinc/19/66/41/349196641.db2.gz MUAZUGSSAKJUFI-NSHDSACASA-N 0 1 259.375 0.551 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)c2cc(C#N)cn2C)C1 ZINC000081751334 349282465 /nfs/dbraw/zinc/28/24/65/349282465.db2.gz QEAAURGZDSLLKC-ZDUSSCGKSA-N 0 1 290.367 0.737 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)CC1(O)CCOCC1 ZINC000162227980 350739849 /nfs/dbraw/zinc/73/98/49/350739849.db2.gz AXCAISZISNEQRB-AWEZNQCLSA-N 0 1 295.383 0.268 20 30 CCEDMN CCN(C)CCNS(=O)(=O)c1ccc(C#N)nc1 ZINC000166068200 350795909 /nfs/dbraw/zinc/79/59/09/350795909.db2.gz XKVCDHMIZKOACC-UHFFFAOYSA-N 0 1 268.342 0.183 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)n1cc(CNC2CC2)nn1 ZINC000603238904 363018197 /nfs/dbraw/zinc/01/81/97/363018197.db2.gz AJMYPIYPIICWEJ-JTQLQIEISA-N 0 1 288.355 0.654 20 30 CCEDMN CN[C@@H](C)c1cn([C@H](C)C(=O)NC2(C#N)CCC2)nn1 ZINC000603240302 363018567 /nfs/dbraw/zinc/01/85/67/363018567.db2.gz RXZHAUPAIWTFHH-VHSXEESVSA-N 0 1 276.344 0.682 20 30 CCEDMN COc1cc(C#N)ccc1S(=O)(=O)NCCN(C)C ZINC000185376448 351444452 /nfs/dbraw/zinc/44/44/52/351444452.db2.gz XBJDEVIXACFEQE-UHFFFAOYSA-N 0 1 283.353 0.407 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@@](CC)(CO)C1 ZINC000187627408 351549818 /nfs/dbraw/zinc/54/98/18/351549818.db2.gz AFLFZOYAGYMEPM-SWLSCSKDSA-N 0 1 297.399 0.871 20 30 CCEDMN COC1(CO)CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000618550813 366043833 /nfs/dbraw/zinc/04/38/33/366043833.db2.gz GDDMYUPDUZOHDI-GFCCVEGCSA-N 0 1 283.372 0.220 20 30 CCEDMN N#Cc1cc(CN2CCN(CCO)CC2)ccc1F ZINC000229747497 352096194 /nfs/dbraw/zinc/09/61/94/352096194.db2.gz UNQCFZCIOSVIPA-UHFFFAOYSA-N 0 1 263.316 0.807 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCCN(CCN2CCOCC2)C1 ZINC000603408901 363117174 /nfs/dbraw/zinc/11/71/74/363117174.db2.gz GVINZHPSBACZHR-KBPBESRZSA-N 0 1 294.399 0.059 20 30 CCEDMN N#Cc1ccc(CNC(=O)N2CCNCC2)cc1F ZINC000418267941 234044969 /nfs/dbraw/zinc/04/49/69/234044969.db2.gz QVVAAKSNZJWFMV-UHFFFAOYSA-N 0 1 262.288 0.812 20 30 CCEDMN N#Cc1ccccc1OCCN1CC[C@H](NC(N)=O)C1 ZINC000270789338 352985445 /nfs/dbraw/zinc/98/54/45/352985445.db2.gz VFJMNIWYKWEGAO-LBPRGKRZSA-N 0 1 274.324 0.680 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@H](C)CC(C)=O)CC1 ZINC000270963261 353009218 /nfs/dbraw/zinc/00/92/18/353009218.db2.gz OGPXMNZSLBAIOX-LLVKDONJSA-N 0 1 250.342 0.815 20 30 CCEDMN CN(CCN(C)S(=O)(=O)c1cccnc1C#N)C1CC1 ZINC000276945607 353212665 /nfs/dbraw/zinc/21/26/65/353212665.db2.gz BDGCVWHECULFLD-UHFFFAOYSA-N 0 1 294.380 0.668 20 30 CCEDMN C=CCNC(=O)CNC(C)(C)C(=O)NCC(C)(C)C ZINC000155562584 188213362 /nfs/dbraw/zinc/21/33/62/188213362.db2.gz KQZRMMCLXGKQCH-UHFFFAOYSA-N 0 1 269.389 0.819 20 30 CCEDMN CN1CCCN(C(=O)C(=O)Nc2ccc(C#N)cc2)CC1 ZINC000155507342 188210881 /nfs/dbraw/zinc/21/08/81/188210881.db2.gz HYZSPWOLVGAPDT-UHFFFAOYSA-N 0 1 286.335 0.661 20 30 CCEDMN N#Cc1nccnc1N1CCC[C@@H](c2nc(=O)[nH][nH]2)C1 ZINC000284541734 353555471 /nfs/dbraw/zinc/55/54/71/353555471.db2.gz BCVOWRJWTTWQFX-MRVPVSSYSA-N 0 1 271.284 0.144 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(CC(C)(C)O)[C@H](C)C2)n1 ZINC000286735577 353607119 /nfs/dbraw/zinc/60/71/19/353607119.db2.gz QIYNIKZMLAQIHC-GFCCVEGCSA-N 0 1 289.383 0.938 20 30 CCEDMN CN1CCC[C@@H]1CNC(=O)C(=O)Nc1sccc1C#N ZINC000293530539 353818505 /nfs/dbraw/zinc/81/85/05/353818505.db2.gz WYXFLPDHHFUAOD-SNVBAGLBSA-N 0 1 292.364 0.769 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN(C(=O)c3ccn[nH]3)C2)nc1 ZINC000293733201 353835323 /nfs/dbraw/zinc/83/53/23/353835323.db2.gz NLIGRZPJNZGXLH-NSHDSACASA-N 0 1 283.291 0.970 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000294200469 353878166 /nfs/dbraw/zinc/87/81/66/353878166.db2.gz BUFMCFVCUSKRPC-UHFFFAOYSA-N 0 1 267.373 0.431 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1S(=O)(=O)c1ccc(C#N)s1 ZINC000300707195 354067904 /nfs/dbraw/zinc/06/79/04/354067904.db2.gz GKZCVCUSYJOTBN-DTWKUNHWSA-N 0 1 285.394 0.991 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2ccc(C#N)cc2)CC[C@@H]1O ZINC000331128045 354458774 /nfs/dbraw/zinc/45/87/74/354458774.db2.gz FIGLEZMJUHFCHX-WFASDCNBSA-N 0 1 287.363 0.877 20 30 CCEDMN C[C@@H](NCc1cnc2ccc(C#N)cn12)C(=O)NCCF ZINC000337276285 355294584 /nfs/dbraw/zinc/29/45/84/355294584.db2.gz FDQOWLKBRNYONO-SNVBAGLBSA-N 0 1 289.314 0.770 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@H](OCCOC)C2)C1=O ZINC000337174340 355277203 /nfs/dbraw/zinc/27/72/03/355277203.db2.gz HADQHZVGSGEYMA-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN CN(C[C@@H](O)COc1ccc(C#N)cc1)[C@@H]1CCNC1=O ZINC000340227210 355533285 /nfs/dbraw/zinc/53/32/85/355533285.db2.gz WGNPKNGKEYTOHV-TZMCWYRMSA-N 0 1 289.335 0.118 20 30 CCEDMN CN(CCC(=O)Nc1ccc(C#N)cc1)[C@H]1CCNC1=O ZINC000340224018 355529149 /nfs/dbraw/zinc/52/91/49/355529149.db2.gz UYZSJLZLIGKGRC-ZDUSSCGKSA-N 0 1 286.335 0.707 20 30 CCEDMN C[C@H]1C[C@@H](NC(=O)C(=O)NCCCCC#N)CN1C1CC1 ZINC000343337389 355769265 /nfs/dbraw/zinc/76/92/65/355769265.db2.gz MKXZILGREJISRQ-NWDGAFQWSA-N 0 1 292.383 0.538 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](OC)CCN1C(=O)C(C)C#N ZINC000351534211 356002320 /nfs/dbraw/zinc/00/23/20/356002320.db2.gz KYZTURIGNNIYAX-AEJSXWLSSA-N 0 1 254.286 0.325 20 30 CCEDMN COc1cncc(C(=O)N=c2[nH]n(C(C)C)cc2C#N)n1 ZINC000362546558 138063184 /nfs/dbraw/zinc/06/31/84/138063184.db2.gz ZTMWJYBDURPVQO-UHFFFAOYSA-N 0 1 286.295 0.808 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)CNc2cccc(C#N)c2)n1 ZINC000354494900 356425236 /nfs/dbraw/zinc/42/52/36/356425236.db2.gz GBGWNQYDQDXBIW-UHFFFAOYSA-N 0 1 284.323 0.756 20 30 CCEDMN COC1CCN(CCNC(=O)c2ccnc(C#N)c2)CC1 ZINC000619439179 366252352 /nfs/dbraw/zinc/25/23/52/366252352.db2.gz OTLCSDPHVQQCQN-UHFFFAOYSA-N 0 1 288.351 0.794 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@H](CCO)C1 ZINC000609204282 363478101 /nfs/dbraw/zinc/47/81/01/363478101.db2.gz ZOVJJPDWMJCSJZ-VXGBXAGGSA-N 0 1 283.372 0.481 20 30 CCEDMN CN(CC(=O)NCc1cccc(C#N)c1)C(C)(C)CO ZINC000609894896 363525415 /nfs/dbraw/zinc/52/54/15/363525415.db2.gz KJIUJYVUFAYUFB-UHFFFAOYSA-N 0 1 275.352 0.877 20 30 CCEDMN Cc1cc(CNS(=O)(=O)N(C)[C@H](C)CC#N)n[nH]1 ZINC000619750321 366348530 /nfs/dbraw/zinc/34/85/30/366348530.db2.gz ZWBDBLLBUNWKHK-SECBINFHSA-N 0 1 271.346 0.287 20 30 CCEDMN C[C@@H](C(=O)N(CCC#N)CCN1CCOCC1)N(C)C ZINC000610550569 363574531 /nfs/dbraw/zinc/57/45/31/363574531.db2.gz XEMBAMXZSQYSLP-ZDUSSCGKSA-N 0 1 282.388 0.011 20 30 CCEDMN CN(C[C@H]1CCCO1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610561117 363576245 /nfs/dbraw/zinc/57/62/45/363576245.db2.gz FQWWOMRWDUMTSQ-SNVBAGLBSA-N 0 1 263.301 0.356 20 30 CCEDMN CC[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H](C)O1 ZINC000610562703 363577922 /nfs/dbraw/zinc/57/79/22/363577922.db2.gz CPEGYVTZLNBKDQ-KOLCDFICSA-N 0 1 277.328 0.745 20 30 CCEDMN COCCN(CC(C)C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610562157 363577033 /nfs/dbraw/zinc/57/70/33/363577033.db2.gz YOUPSEMUYAQGBB-UHFFFAOYSA-N 0 1 279.344 0.850 20 30 CCEDMN COC[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610565565 363580619 /nfs/dbraw/zinc/58/06/19/363580619.db2.gz YYVZCWNJYFGTKC-VIFPVBQESA-N 0 1 263.301 0.214 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H]1COC ZINC000610605191 363586295 /nfs/dbraw/zinc/58/62/95/363586295.db2.gz PGIOFWCSOVWWDL-SNVBAGLBSA-N 0 1 255.318 0.109 20 30 CCEDMN C[C@H]1CN(CCO)CCN1Cc1cc(C#N)n(C)c1 ZINC000449789461 236052685 /nfs/dbraw/zinc/05/26/85/236052685.db2.gz ZUMYRECBZZVALI-LBPRGKRZSA-N 0 1 262.357 0.395 20 30 CCEDMN N#Cc1cnc(N2CCN3C[C@H](O)C[C@H]3C2)c([N+](=O)[O-])c1 ZINC000405253458 357824250 /nfs/dbraw/zinc/82/42/50/357824250.db2.gz FFTFQIVXOVUBCM-WDEREUQCSA-N 0 1 289.295 0.117 20 30 CCEDMN N#Cc1csc(CNCC[C@@]2(O)CCOC2)n1 ZINC000404159952 357816729 /nfs/dbraw/zinc/81/67/29/357816729.db2.gz XMGLETSYCQAWIV-LLVKDONJSA-N 0 1 253.327 0.646 20 30 CCEDMN C[C@H](CO[C@H]1CCOC1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610655674 363591220 /nfs/dbraw/zinc/59/12/20/363591220.db2.gz GSDFSGOPRIGHMP-KOLCDFICSA-N 0 1 293.327 0.029 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N(CCCCO)C2CC2)[nH]1 ZINC000610674812 363597159 /nfs/dbraw/zinc/59/71/59/363597159.db2.gz WXQZQPMKASARTI-UHFFFAOYSA-N 0 1 277.328 0.482 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCC[C@H]3OCC[C@@H]32)[nH]1 ZINC000610767521 363611154 /nfs/dbraw/zinc/61/11/54/363611154.db2.gz XWLJYIKZFCDADO-IJLUTSLNSA-N 0 1 289.339 0.793 20 30 CCEDMN CC[C@](C)(CCO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610773215 363613072 /nfs/dbraw/zinc/61/30/72/363613072.db2.gz NIQFWJSKHTYHDC-GFCCVEGCSA-N 0 1 265.317 0.386 20 30 CCEDMN CC(C)(Oc1ccc(C#N)cc1)C(=O)NCc1nn[nH]n1 ZINC000610807854 363616699 /nfs/dbraw/zinc/61/66/99/363616699.db2.gz JOFJWVXOHWGDDR-UHFFFAOYSA-N 0 1 286.295 0.545 20 30 CCEDMN CC[C@H](CC#N)NC[C@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000572056279 358927027 /nfs/dbraw/zinc/92/70/27/358927027.db2.gz RGSRGBJDQOKABV-RQJABVFESA-N 0 1 269.389 0.738 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)CCc1nc[nH]n1 ZINC000572356332 358929037 /nfs/dbraw/zinc/92/90/37/358929037.db2.gz GKVWORGQFYTNQF-UWVGGRQHSA-N 0 1 250.302 0.445 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCc2cn[nH]c2C1 ZINC000611009477 363647616 /nfs/dbraw/zinc/64/76/16/363647616.db2.gz ZYEYUJVUECETCQ-CQSZACIVSA-N 0 1 275.356 0.822 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCc2cn[nH]c2C1 ZINC000611008591 363648124 /nfs/dbraw/zinc/64/81/24/363648124.db2.gz CHKYNMMQRWFQFS-JTQLQIEISA-N 0 1 261.329 0.528 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2cccc(C#N)n2)C1 ZINC000589401808 359380815 /nfs/dbraw/zinc/38/08/15/359380815.db2.gz LUWZIAVALFQIHA-GFCCVEGCSA-N 0 1 274.324 0.404 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)CCCCC#N)[C@@H]2C1 ZINC000589928963 359410437 /nfs/dbraw/zinc/41/04/37/359410437.db2.gz ISHRALLSXHVKFI-VXGBXAGGSA-N 0 1 271.386 0.646 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3cccnc3C#N)[C@@H]2C1 ZINC000589928233 359410544 /nfs/dbraw/zinc/41/05/44/359410544.db2.gz DYFVKJMNJRBRTR-ZYHUDNBSSA-N 0 1 292.364 0.278 20 30 CCEDMN N#CC1(CC(=O)NCCN2CCSCC2)CC1 ZINC000590243439 359432646 /nfs/dbraw/zinc/43/26/46/359432646.db2.gz YEGCLXDVJHLARY-UHFFFAOYSA-N 0 1 253.371 0.845 20 30 CCEDMN N#CCCn1ccc(=NC(=O)[C@@H]2CCCc3n[nH]nc32)[nH]1 ZINC000590439011 359463212 /nfs/dbraw/zinc/46/32/12/359463212.db2.gz MQDHIVWWVDOPDJ-SECBINFHSA-N 0 1 285.311 0.395 20 30 CCEDMN N#Cc1ccc(N2CCN(CCc3c[nH]nn3)CC2)nc1 ZINC000590604628 359499106 /nfs/dbraw/zinc/49/91/06/359499106.db2.gz LCYHVGVYMILLMY-UHFFFAOYSA-N 0 1 283.339 0.436 20 30 CCEDMN CN1CCO[C@@H]2CN(c3cccc(C#N)c3[N+](=O)[O-])C[C@H]21 ZINC000590694472 359507825 /nfs/dbraw/zinc/50/78/25/359507825.db2.gz PMZRAVGWGCLCJK-CHWSQXEVSA-N 0 1 288.307 0.986 20 30 CCEDMN C=C(C)[C@H](CO)N1CCSC[C@@H]1CC(=O)OC ZINC000590701238 359509032 /nfs/dbraw/zinc/50/90/32/359509032.db2.gz RWMPAJQIMGHSNY-QWRGUYRKSA-N 0 1 259.371 0.904 20 30 CCEDMN C[C@H]1COCCN1C1CCN(C(=O)CSCC#N)CC1 ZINC000590555139 359485121 /nfs/dbraw/zinc/48/51/21/359485121.db2.gz MKGPKVQKFSXHIW-LBPRGKRZSA-N 0 1 297.424 0.955 20 30 CCEDMN C[C@@H]1CN(C(=O)CC2(C#N)CC2)[C@H](C)CN1CCO ZINC000591321585 359577344 /nfs/dbraw/zinc/57/73/44/359577344.db2.gz CFCORDLCWLPZAA-VXGBXAGGSA-N 0 1 265.357 0.594 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)NC1CCN(CC#N)CC1 ZINC000591351157 359580975 /nfs/dbraw/zinc/58/09/75/359580975.db2.gz CAWXBQSKZJDFDX-CQSZACIVSA-N 0 1 293.415 0.758 20 30 CCEDMN N#Cc1ccc(CNC[C@H](O)CN2CCOCC2)c(F)c1 ZINC000591734043 359650193 /nfs/dbraw/zinc/65/01/93/359650193.db2.gz YDIYQNACXRTBCN-AWEZNQCLSA-N 0 1 293.342 0.480 20 30 CCEDMN Cc1[nH]ncc1CCCNC(=O)N1CC[C@@](O)(CC#N)C1 ZINC000591903747 359687209 /nfs/dbraw/zinc/68/72/09/359687209.db2.gz TWSGRWMTRIESCE-AWEZNQCLSA-N 0 1 291.355 0.711 20 30 CCEDMN Cc1cc(CNC(=O)N=c2[nH]n(C)cc2C#N)ncn1 ZINC000611140940 363664393 /nfs/dbraw/zinc/66/43/93/363664393.db2.gz BIPMLYSKTAJYOQ-UHFFFAOYSA-N 0 1 271.284 0.134 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2ccncc2C#N)[C@H](C)C1 ZINC000592107650 359757646 /nfs/dbraw/zinc/75/76/46/359757646.db2.gz MKWQJJLXHUOMFO-OLZOCXBDSA-N 0 1 274.368 0.840 20 30 CCEDMN N#CC[C@]1(O)CCN(CCO[C@@H]2CCCCO2)C1 ZINC000592150145 359769162 /nfs/dbraw/zinc/76/91/62/359769162.db2.gz AFDPFORGNRJRQS-OLZOCXBDSA-N 0 1 254.330 0.880 20 30 CCEDMN N#CCCCNCc1ccc(S(N)(=O)=O)cc1F ZINC000592367195 359826088 /nfs/dbraw/zinc/82/60/88/359826088.db2.gz LHZGBCWZYVURNE-UHFFFAOYSA-N 0 1 271.317 0.866 20 30 CCEDMN CCCN(C(=O)C(=O)N(C)C[C@H](C)C#N)[C@@H]1CCN(C)C1 ZINC000592440480 359842195 /nfs/dbraw/zinc/84/21/95/359842195.db2.gz PLYGLULLAYPHFG-CHWSQXEVSA-N 0 1 294.399 0.547 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cc2cnn3ccccc23)CC1 ZINC000592612129 359902373 /nfs/dbraw/zinc/90/23/73/359902373.db2.gz ROGWPCUWYPVTOG-UHFFFAOYSA-N 0 1 297.362 0.981 20 30 CCEDMN CCn1ccc(C(=O)N=c2[nH]n(C)c(C)c2C#N)n1 ZINC000592651678 359909069 /nfs/dbraw/zinc/90/90/69/359909069.db2.gz YBGVQSFUYKWJDS-UHFFFAOYSA-N 0 1 258.285 0.491 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCSC2)[nH]n1C ZINC000592654901 359910612 /nfs/dbraw/zinc/91/06/12/359910612.db2.gz TYIVLRRFUZTSJK-MRVPVSSYSA-N 0 1 250.327 0.714 20 30 CCEDMN Cc1[nH]ncc1CCCNC(=O)CNc1ccc(C#N)cn1 ZINC000611238715 363691117 /nfs/dbraw/zinc/69/11/17/363691117.db2.gz JLCYGXJCWZHWMO-UHFFFAOYSA-N 0 1 298.350 0.568 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000592836526 359948272 /nfs/dbraw/zinc/94/82/72/359948272.db2.gz FEMNQJJNLHDSCP-CYBMUJFWSA-N 0 1 271.280 0.449 20 30 CCEDMN C[C@@H](CCO)N(C)CC(=O)N(CCC#N)CCC#N ZINC000593110668 360042307 /nfs/dbraw/zinc/04/23/07/360042307.db2.gz YOYMALALRRDVQK-LBPRGKRZSA-N 0 1 266.345 0.345 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000593474127 360095425 /nfs/dbraw/zinc/09/54/25/360095425.db2.gz ZLEBWCXJBWRAJJ-LLVKDONJSA-N 0 1 276.344 0.307 20 30 CCEDMN CCC[C@@H](NC(=O)[C@H]([NH3+])CCCCC#N)c1nn[n-]n1 ZINC000594432563 360303557 /nfs/dbraw/zinc/30/35/57/360303557.db2.gz DCGIGEPOLFKZDV-NXEZZACHSA-N 0 1 279.348 0.568 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)ccc1F ZINC000594386110 360288709 /nfs/dbraw/zinc/28/87/09/360288709.db2.gz LEXSIYSCDODKSF-QWHCGFSZSA-N 0 1 289.310 0.588 20 30 CCEDMN CC1(C)CN(c2ccc(C#N)c(N)n2)CCN1CCO ZINC000594572613 360333049 /nfs/dbraw/zinc/33/30/49/360333049.db2.gz SGMDFMFQXKGDJF-UHFFFAOYSA-N 0 1 275.356 0.428 20 30 CCEDMN N#Cc1nccc(NCCN2C[C@H]3CC[C@@H](C2)O3)n1 ZINC000594584910 360334918 /nfs/dbraw/zinc/33/49/18/360334918.db2.gz AVHOFUSKMOMHAE-PHIMTYICSA-N 0 1 259.313 0.045 20 30 CCEDMN Cc1nc([C@H]2CCN(c3ccnc(C#N)n3)C2)n[nH]1 ZINC000594585278 360335103 /nfs/dbraw/zinc/33/51/03/360335103.db2.gz GPWRMIUNMPWZGE-VIFPVBQESA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@H]2CCN(c3ccnc(C#N)n3)C2)[nH]1 ZINC000594585278 360335107 /nfs/dbraw/zinc/33/51/07/360335107.db2.gz GPWRMIUNMPWZGE-VIFPVBQESA-N 0 1 255.285 0.769 20 30 CCEDMN N#Cc1ncc(C(F)(F)F)cc1-n1cc([C@H](N)CO)nn1 ZINC000594645142 360345920 /nfs/dbraw/zinc/34/59/20/360345920.db2.gz KWPQAUGGDCJCMQ-SSDOTTSWSA-N 0 1 298.228 0.545 20 30 CCEDMN N#Cc1ccc2c(c1)CN(C(=O)[C@@H]1CNCCO1)C2 ZINC000594453051 360316407 /nfs/dbraw/zinc/31/64/07/360316407.db2.gz SJIJRVFCJNUOGU-ZDUSSCGKSA-N 0 1 257.293 0.389 20 30 CCEDMN CO[C@@H]1[C@@H](C)[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)C1(C)C ZINC000611433271 363718173 /nfs/dbraw/zinc/71/81/73/363718173.db2.gz WNUBRQSGVDRHFB-INTQDDNPSA-N 0 1 291.355 0.895 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@](C)(C(=O)OC)C1 ZINC000177440699 189051753 /nfs/dbraw/zinc/05/17/53/189051753.db2.gz HMTSVNCIZSIESK-ZWNOBZJWSA-N 0 1 252.314 0.009 20 30 CCEDMN C=CCn1cc(CN[C@H](C)[C@@H]2C[C@H]2C(=O)OC)nn1 ZINC000594948850 360425566 /nfs/dbraw/zinc/42/55/66/360425566.db2.gz BUQIHEDWNIFBSY-ADEWGFFLSA-N 0 1 264.329 0.751 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N1CCNC[C@H]1C ZINC000595284486 360480136 /nfs/dbraw/zinc/48/01/36/360480136.db2.gz RXVPBVOPZFBSIK-LLVKDONJSA-N 0 1 285.413 0.232 20 30 CCEDMN N#Cc1ccnc(N2CCN(C[C@H]3CNC(=O)C3)CC2)c1 ZINC000595291377 360483944 /nfs/dbraw/zinc/48/39/44/360483944.db2.gz RYZJVRSXCUZCBJ-CYBMUJFWSA-N 0 1 285.351 0.211 20 30 CCEDMN C[C@H]1CN(c2nccnc2C#N)CCN1CCc1c[nH]nn1 ZINC000595339410 360500166 /nfs/dbraw/zinc/50/01/66/360500166.db2.gz OIBWSTFCSUSEBQ-NSHDSACASA-N 0 1 298.354 0.220 20 30 CCEDMN N#Cc1ccc(CCN2CCC[C@H](S(N)(=O)=O)C2)cc1 ZINC000595422044 360526845 /nfs/dbraw/zinc/52/68/45/360526845.db2.gz NBFVCWWWPVEPJQ-AWEZNQCLSA-N 0 1 293.392 0.854 20 30 CCEDMN CCc1nc([C@H](C)N2CCN(CCC#N)CC2)n[nH]1 ZINC000595391387 360516759 /nfs/dbraw/zinc/51/67/59/360516759.db2.gz JPNYERLLSXEZRL-NSHDSACASA-N 0 1 262.361 0.959 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)Cc1cnc[nH]1 ZINC000595595176 360593046 /nfs/dbraw/zinc/59/30/46/360593046.db2.gz DICQOKNPIWXKMK-ZDUSSCGKSA-N 0 1 263.345 0.896 20 30 CCEDMN CO[C@@]1(CO)CCCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000595661785 360609531 /nfs/dbraw/zinc/60/95/31/360609531.db2.gz LQNXXKSTUWLVRD-OCCSQVGLSA-N 0 1 283.372 0.220 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H]1[C@@H](C)O ZINC000595766910 360646132 /nfs/dbraw/zinc/64/61/32/360646132.db2.gz SJHUHYGFAYDSAQ-YNEHKIRRSA-N 0 1 267.373 0.840 20 30 CCEDMN C[C@@H](O)[C@H]1CCCN1CC(=O)N(CCC#N)CCC#N ZINC000595773969 360650489 /nfs/dbraw/zinc/65/04/89/360650489.db2.gz YQBUTENIYVALIP-CHWSQXEVSA-N 0 1 278.356 0.488 20 30 CCEDMN COC(=O)[C@@H]1CCN(CC(=O)NCC#N)[C@H]2CCCC[C@H]12 ZINC000595737177 360634123 /nfs/dbraw/zinc/63/41/23/360634123.db2.gz PMBKRMBLNMLKBZ-UPJWGTAASA-N 0 1 293.367 0.680 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000595751325 360638905 /nfs/dbraw/zinc/63/89/05/360638905.db2.gz UJCYAVSFMQSUAG-WHOHXGKFSA-N 0 1 283.372 0.335 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@@]1(C)CO ZINC000595878925 360688283 /nfs/dbraw/zinc/68/82/83/360688283.db2.gz WQVVJBMHQSZCIY-BYCMXARLSA-N 0 1 279.384 0.745 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1C[C@@H](C)[C@@](C)(CO)C1 ZINC000595876307 360688321 /nfs/dbraw/zinc/68/83/21/360688321.db2.gz RPMSTCXOYCEOFV-BPLDGKMQSA-N 0 1 281.400 0.991 20 30 CCEDMN N#Cc1ccc(CCNC(=O)[C@H]2CNCCO2)cc1 ZINC000595937086 360714086 /nfs/dbraw/zinc/71/40/86/360714086.db2.gz CZBPPDWVPVCRGO-CYBMUJFWSA-N 0 1 259.309 0.205 20 30 CCEDMN CCN1CCN([C@@H]2CCN(c3cc(C#N)cnn3)C2)CC1 ZINC000596124903 360803849 /nfs/dbraw/zinc/80/38/49/360803849.db2.gz ZMOKAVOTQCOTCN-CQSZACIVSA-N 0 1 286.383 0.564 20 30 CCEDMN C=CCSCCNC(=O)NCCCc1nc[nH]n1 ZINC000596216170 360839089 /nfs/dbraw/zinc/83/90/89/360839089.db2.gz VSAYQEXXPKUWRA-UHFFFAOYSA-N 0 1 269.374 0.956 20 30 CCEDMN COCc1nc(CNc2ccc(C)c(C#N)n2)n[nH]1 ZINC000596436198 360895034 /nfs/dbraw/zinc/89/50/34/360895034.db2.gz MQROZFPQHIWEBJ-UHFFFAOYSA-N 0 1 258.285 0.560 20 30 CCEDMN COCc1nnc(CNc2ccc(C)c(C#N)n2)[nH]1 ZINC000596436198 360895038 /nfs/dbraw/zinc/89/50/38/360895038.db2.gz MQROZFPQHIWEBJ-UHFFFAOYSA-N 0 1 258.285 0.560 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000596893578 360975562 /nfs/dbraw/zinc/97/55/62/360975562.db2.gz JHBQQDNCNGJPPI-QMMMGPOBSA-N 0 1 297.336 0.271 20 30 CCEDMN N#CCCCCNC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597149652 361016050 /nfs/dbraw/zinc/01/60/50/361016050.db2.gz GLNCVYUVPLSCEI-UHFFFAOYSA-N 0 1 297.336 0.463 20 30 CCEDMN CCN(C(=O)C(=O)NCCCCC#N)[C@H]1CCN(C)C1 ZINC000597253980 361042273 /nfs/dbraw/zinc/04/22/73/361042273.db2.gz BDBCVTMZDOEWFO-LBPRGKRZSA-N 0 1 280.372 0.349 20 30 CCEDMN N#CCCn1ccc(=NC(=O)[C@H]2CCc3[nH]cnc3C2)[nH]1 ZINC000597261444 361044211 /nfs/dbraw/zinc/04/42/11/361044211.db2.gz PBPPVHBOTYWJQV-JTQLQIEISA-N 0 1 284.323 0.685 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000597624523 361166907 /nfs/dbraw/zinc/16/69/07/361166907.db2.gz CIDIZZDZKYLNII-ZDUSSCGKSA-N 0 1 287.367 0.315 20 30 CCEDMN N#CCCCCCC(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000597625810 361167214 /nfs/dbraw/zinc/16/72/14/361167214.db2.gz QTEJFPQGHFUQFW-CQSZACIVSA-N 0 1 278.400 0.967 20 30 CCEDMN C[C@H]1COCCN1C1CCN(C(=O)CC#N)CC1 ZINC000597678594 361189215 /nfs/dbraw/zinc/18/92/15/361189215.db2.gz MHJNLBANECOUIY-NSHDSACASA-N 0 1 251.330 0.612 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](C)[C@H](CO)C1 ZINC000598652100 361473212 /nfs/dbraw/zinc/47/32/12/361473212.db2.gz XDKJUVOALGCOSD-MCIONIFRSA-N 0 1 281.400 0.945 20 30 CCEDMN COC(=O)C1(O)CCN(C[C@@H](O)CC(C)(C)C#N)CC1 ZINC000598599611 361454861 /nfs/dbraw/zinc/45/48/61/361454861.db2.gz KGIVWACHYRGPMN-NSHDSACASA-N 0 1 284.356 0.287 20 30 CCEDMN COC[C@H](OC)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000598817868 361522235 /nfs/dbraw/zinc/52/22/35/361522235.db2.gz ZKPOYDGPIPYZDV-JTQLQIEISA-N 0 1 280.328 0.532 20 30 CCEDMN N#CC1(CC(=O)Nc2nn[nH]c2C(N)=O)CCCCC1 ZINC000598836477 361522856 /nfs/dbraw/zinc/52/28/56/361522856.db2.gz KGMLSEZQKAPYDO-UHFFFAOYSA-N 0 1 276.300 0.706 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N(C)Cc1cnc[nH]1 ZINC000616921144 365469663 /nfs/dbraw/zinc/46/96/63/365469663.db2.gz GTNOEBYAQVHUSM-LBPRGKRZSA-N 0 1 264.329 0.839 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275933641 193166863 /nfs/dbraw/zinc/16/68/63/193166863.db2.gz IDWPVLPXRHCWFQ-JTQLQIEISA-N 0 1 275.312 0.477 20 30 CCEDMN C[C@@H]1[C@H](O)CCN1CC#CCN1C(=O)c2ccccc2C1=O ZINC000625461466 368207661 /nfs/dbraw/zinc/20/76/61/368207661.db2.gz DYWAGQVFPUVDRA-IUODEOHRSA-N 0 1 298.342 0.741 20 30 CCEDMN C[C@H]1CCN(CC(=O)NCc2ccc(C#N)cc2)[C@@H]1CO ZINC000275985060 193181286 /nfs/dbraw/zinc/18/12/86/193181286.db2.gz FKCAHERHBFZPOA-SWLSCSKDSA-N 0 1 287.363 0.877 20 30 CCEDMN C=CCN(C)C(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000574241528 335003612 /nfs/dbraw/zinc/00/36/12/335003612.db2.gz FVGWYMBHEZIRDA-UHFFFAOYSA-N 0 1 285.307 0.272 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N(C)Cc1nnc[nH]1 ZINC000276238580 193252669 /nfs/dbraw/zinc/25/26/69/193252669.db2.gz NYGVMVKWYQMKSD-LBPRGKRZSA-N 0 1 252.318 0.992 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)Cc1cnn(C)c1 ZINC000490874140 261234178 /nfs/dbraw/zinc/23/41/78/261234178.db2.gz LITCUUDIOAJZGH-ZDUSSCGKSA-N 0 1 261.325 0.603 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)Cc1cnn(C)c1 ZINC000490874140 261234180 /nfs/dbraw/zinc/23/41/80/261234180.db2.gz LITCUUDIOAJZGH-ZDUSSCGKSA-N 0 1 261.325 0.603 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000491812402 332364440 /nfs/dbraw/zinc/36/44/40/332364440.db2.gz FDNLZVCMCCGNQF-SSDOTTSWSA-N 0 1 264.247 0.924 20 30 CCEDMN C=CCOCCNC(=O)C(C)(C)CN1CCOCC1 ZINC000635783949 372905156 /nfs/dbraw/zinc/90/51/56/372905156.db2.gz NVILXCBZQPJKFS-UHFFFAOYSA-N 0 1 270.373 0.664 20 30 CCEDMN CN1CC=C(CNS(=O)(=O)c2cncc(C#N)c2)CC1 ZINC000289533552 197393327 /nfs/dbraw/zinc/39/33/27/197393327.db2.gz SJFXNYGOJCXRLE-UHFFFAOYSA-N 0 1 292.364 0.493 20 30 CCEDMN C=CCC[C@@H](O)C[N@H+]1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639822739 376031406 /nfs/dbraw/zinc/03/14/06/376031406.db2.gz XAOYDDUULUDJAB-GHMZBOCLSA-N 0 1 266.345 0.989 20 30 CCEDMN C=CCNC(=O)CNC(=O)Nc1cc(CN(C)C)ccn1 ZINC000640567950 376425737 /nfs/dbraw/zinc/42/57/37/376425737.db2.gz VCDGSYINNSJWBK-UHFFFAOYSA-N 0 1 291.355 0.567 20 30 CCEDMN C=C(C)CS(=O)(=O)NCc1n[nH]c(=O)n1C1CC1 ZINC000641653479 377367010 /nfs/dbraw/zinc/36/70/10/377367010.db2.gz IYMIOLXYULQYPN-UHFFFAOYSA-N 0 1 272.330 0.314 20 30 CCEDMN C=CCN1CC[C@H](N(C)Cc2ccn(C)c(=O)c2)C1=O ZINC000685004175 545785429 /nfs/dbraw/zinc/78/54/29/545785429.db2.gz IYOCGOYWZBKLIS-ZDUSSCGKSA-N 0 1 275.352 0.604 20 30 CCEDMN CN1CC[C@H](NS(=O)(=O)Cc2cccc(C#N)c2)C1 ZINC000507313839 249089126 /nfs/dbraw/zinc/08/91/26/249089126.db2.gz YMYLHZZSGVJPMB-ZDUSSCGKSA-N 0 1 279.365 0.682 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)Nc1nc(SCCO)n[nH]1 ZINC000278728217 194125461 /nfs/dbraw/zinc/12/54/61/194125461.db2.gz PSZUKTWFJOYEIO-RKDXNWHRSA-N 0 1 298.368 0.809 20 30 CCEDMN C=CCCn1cc(CNCC2(C(N)=O)CCCC2)nn1 ZINC000657368882 412973429 /nfs/dbraw/zinc/97/34/29/412973429.db2.gz YHIZYFNKISEYLK-UHFFFAOYSA-N 0 1 277.372 0.990 20 30 CCEDMN C=CCCn1cc(CNC[C@@]2(OC)CCOC2)nn1 ZINC000657434334 412987831 /nfs/dbraw/zinc/98/78/31/412987831.db2.gz GAXWEVHAQRZYJY-ZDUSSCGKSA-N 0 1 266.345 0.749 20 30 CCEDMN CC#CCCCC(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000185097881 388786455 /nfs/dbraw/zinc/78/64/55/388786455.db2.gz KNIFIETZQVLMRM-UHFFFAOYSA-N 0 1 279.384 0.412 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC(C)(CO)CC1 ZINC000186923111 260024137 /nfs/dbraw/zinc/02/41/37/260024137.db2.gz SSLHKVHUGNDZRB-LBPRGKRZSA-N 0 1 267.373 0.841 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000287420135 388851672 /nfs/dbraw/zinc/85/16/72/388851672.db2.gz DPSFQPRJDPQLBJ-NSHDSACASA-N 0 1 276.340 0.938 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC000288641243 388864510 /nfs/dbraw/zinc/86/45/10/388864510.db2.gz SVVWRFPADKCGQE-NWDGAFQWSA-N 0 1 279.384 0.810 20 30 CCEDMN N#CC1(C(=O)N[C@@H]2CCN(C3CC3)C2)CCOCC1 ZINC000044767440 388872611 /nfs/dbraw/zinc/87/26/11/388872611.db2.gz ORUFDAWWYULRCF-LLVKDONJSA-N 0 1 263.341 0.660 20 30 CCEDMN C#C[C@H](C)NC(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000287209938 388850204 /nfs/dbraw/zinc/85/02/04/388850204.db2.gz QZINIQREJQKTOQ-JTQLQIEISA-N 0 1 288.351 0.990 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)O[C@@H](COC)C2)CC1 ZINC000188161267 388915954 /nfs/dbraw/zinc/91/59/54/388915954.db2.gz RHEZUFCIKNEKMZ-UKRRQHHQSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCONC(=O)[C@@H]1CC(=O)N(C)[C@H]1c1cccnc1 ZINC000291010476 388916728 /nfs/dbraw/zinc/91/67/28/388916728.db2.gz AVJMIPAJXBVHNB-YPMHNXCESA-N 0 1 273.292 0.282 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC(=O)NC(C)C)CC1 ZINC000049376248 388919460 /nfs/dbraw/zinc/91/94/60/388919460.db2.gz YBVCNXHHQKYIAB-UHFFFAOYSA-N 0 1 279.384 0.315 20 30 CCEDMN C[N@@H+]1CC[C@H](CNC(=O)c2ccc(C#N)c([O-])c2)C1 ZINC000188348472 388925070 /nfs/dbraw/zinc/92/50/70/388925070.db2.gz YDYSYVNDCVFKJB-SNVBAGLBSA-N 0 1 259.309 0.945 20 30 CCEDMN C[N@H+]1CC[C@H](CNC(=O)c2ccc(C#N)c([O-])c2)C1 ZINC000188348472 388925072 /nfs/dbraw/zinc/92/50/72/388925072.db2.gz YDYSYVNDCVFKJB-SNVBAGLBSA-N 0 1 259.309 0.945 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC(C(=O)NC)CC1 ZINC000052329547 388927503 /nfs/dbraw/zinc/92/75/03/388927503.db2.gz ZADHANPLCXQWDO-GFCCVEGCSA-N 0 1 294.399 0.453 20 30 CCEDMN C=CCNC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000060908792 388936788 /nfs/dbraw/zinc/93/67/88/388936788.db2.gz QAHLYRPJZGZCHE-TXEJJXNPSA-N 0 1 255.362 0.971 20 30 CCEDMN CC[N@H+](CCO)CCNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188823055 388942932 /nfs/dbraw/zinc/94/29/32/388942932.db2.gz GPIISTNWSNMGRF-UHFFFAOYSA-N 0 1 277.324 0.308 20 30 CCEDMN CC[N@@H+](CCO)CCNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188823055 388942933 /nfs/dbraw/zinc/94/29/33/388942933.db2.gz GPIISTNWSNMGRF-UHFFFAOYSA-N 0 1 277.324 0.308 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCN1CCN(C)CC1 ZINC000066942398 388946616 /nfs/dbraw/zinc/94/66/16/388946616.db2.gz FPKJGYJZCNDZTB-ZDUSSCGKSA-N 0 1 269.389 0.331 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H]1CN1CCOCC1 ZINC000075889319 388985512 /nfs/dbraw/zinc/98/55/12/388985512.db2.gz BHVGTXXWFQGPKG-ZDUSSCGKSA-N 0 1 267.373 0.085 20 30 CCEDMN C[C@@H]1CN(Cc2cnc3ccc(C#N)cn23)CCN1CCO ZINC000190239775 388986008 /nfs/dbraw/zinc/98/60/08/388986008.db2.gz TWAWLMLBVBIKMR-CYBMUJFWSA-N 0 1 299.378 0.704 20 30 CCEDMN C[C@@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)C[C@H]1CO ZINC000190869324 389001478 /nfs/dbraw/zinc/00/14/78/389001478.db2.gz KNSZZDSNASLADN-OLZOCXBDSA-N 0 1 278.356 0.203 20 30 CCEDMN C[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@H]1CO ZINC000190869324 389001481 /nfs/dbraw/zinc/00/14/81/389001481.db2.gz KNSZZDSNASLADN-OLZOCXBDSA-N 0 1 278.356 0.203 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)NCCN1CCCC1 ZINC000336880699 389027504 /nfs/dbraw/zinc/02/75/04/389027504.db2.gz ACUCHDLRBHCXSK-NSHDSACASA-N 0 1 274.390 0.008 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N(C)C1CCN(C)CC1 ZINC000336889127 389027702 /nfs/dbraw/zinc/02/77/02/389027702.db2.gz IGJDBMSPUCXPKL-UHFFFAOYSA-N 0 1 288.417 0.493 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N1CCCN(C)CC1 ZINC000336905581 389029503 /nfs/dbraw/zinc/02/95/03/389029503.db2.gz TUHZMHFSKMDTQP-UHFFFAOYSA-N 0 1 274.390 0.104 20 30 CCEDMN C#CCN(C)C[C@H](O)COc1ccccc1[N+](=O)[O-] ZINC000192231950 389029477 /nfs/dbraw/zinc/02/94/77/389029477.db2.gz OIIYUHJPQYLGTQ-NSHDSACASA-N 0 1 264.281 0.900 20 30 CCEDMN C[C@@H](O)CN(C)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000298443199 389020965 /nfs/dbraw/zinc/02/09/65/389020965.db2.gz QRFJENAUARUAPM-RISCZKNCSA-N 0 1 273.336 0.976 20 30 CCEDMN C=CCN1CC[C@@H](N[C@@H](C(=O)NC)C(C)C)C1=O ZINC000337156141 389048305 /nfs/dbraw/zinc/04/83/05/389048305.db2.gz AAAQVPIVVSUEIN-GHMZBOCLSA-N 0 1 253.346 0.134 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)c1cc(C#N)ccn1 ZINC000355286338 389053258 /nfs/dbraw/zinc/05/32/58/389053258.db2.gz QONIZVMDNAGLMZ-GFCCVEGCSA-N 0 1 299.290 0.190 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(CO)(OC)CC2)C1=O ZINC000337226263 389056997 /nfs/dbraw/zinc/05/69/97/389056997.db2.gz FROZOSAEYAFZPA-GFCCVEGCSA-N 0 1 268.357 0.247 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](OC)[C@H](OC)C2)C1=O ZINC000337228303 389057442 /nfs/dbraw/zinc/05/74/42/389057442.db2.gz GYQOCPHXAXKRKE-QJPTWQEYSA-N 0 1 254.330 0.119 20 30 CCEDMN C=CCC[C@@H](O)C[N@@H+]1CCCN(CC(=O)N(C)C)CC1 ZINC000305104450 389084560 /nfs/dbraw/zinc/08/45/60/389084560.db2.gz YZWJXPVQYYIUFZ-CQSZACIVSA-N 0 1 283.416 0.409 20 30 CCEDMN O=C(CN1CCOC[C@H]1c1nnc[nH]1)NC(=O)NC1CC1 ZINC000328903246 389144603 /nfs/dbraw/zinc/14/46/03/389144603.db2.gz HPRDTCFPRXKQFI-VIFPVBQESA-N 0 1 294.315 0.211 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N(C)[C@@H](C)CC#N ZINC000340042304 389146054 /nfs/dbraw/zinc/14/60/54/389146054.db2.gz BPEBBHRLKUPDNO-NSHDSACASA-N 0 1 261.391 0.892 20 30 CCEDMN C=CCCS(=O)(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000121356510 389146916 /nfs/dbraw/zinc/14/69/16/389146916.db2.gz AVEJOFURLFYCSL-UHFFFAOYSA-N 0 1 270.358 0.890 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)Cn1nc(SC)[nH]c1=O ZINC000194575703 389098208 /nfs/dbraw/zinc/09/82/08/389098208.db2.gz DZGKBAPIWIIGDY-QMMMGPOBSA-N 0 1 283.357 0.301 20 30 CCEDMN C=CC1CCN([C@@H](C)C(=O)NCC(=O)OC)CC1 ZINC000111257549 389103665 /nfs/dbraw/zinc/10/36/65/389103665.db2.gz HTBQFRACKGQCBT-JTQLQIEISA-N 0 1 254.330 0.562 20 30 CCEDMN CS(=O)(=O)NC[C@@H]1CCCCN1CCOCCC#N ZINC000338899470 389118857 /nfs/dbraw/zinc/11/88/57/389118857.db2.gz CXNNNUCARGMCCG-LBPRGKRZSA-N 0 1 289.401 0.320 20 30 CCEDMN C=CCOCCN1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000338956847 389119564 /nfs/dbraw/zinc/11/95/64/389119564.db2.gz BFZXQUBXEWYYHJ-AWEZNQCLSA-N 0 1 282.384 0.902 20 30 CCEDMN C[C@H]1CN(CCOCCC#N)C[C@]2(CCOC2)O1 ZINC000339144807 389126449 /nfs/dbraw/zinc/12/64/49/389126449.db2.gz MTDXRBNOCMOJDX-STQMWFEESA-N 0 1 254.330 0.796 20 30 CCEDMN CN(C)C(=O)C(C)(C)NCC(=O)N[C@@](C)(C#N)C1CC1 ZINC000114458188 389130715 /nfs/dbraw/zinc/13/07/15/389130715.db2.gz HARXHWDCRQPJBJ-AWEZNQCLSA-N 0 1 280.372 0.251 20 30 CCEDMN CN(CC(=O)NC1CC1)C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000328764890 389137135 /nfs/dbraw/zinc/13/71/35/389137135.db2.gz GPQQZTPWUWSVAL-QMMMGPOBSA-N 0 1 277.328 0.487 20 30 CCEDMN CN(CC(=O)NC1CC1)C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000328764890 389137138 /nfs/dbraw/zinc/13/71/38/389137138.db2.gz GPQQZTPWUWSVAL-QMMMGPOBSA-N 0 1 277.328 0.487 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H]1CCN(S(C)(=O)=O)C1 ZINC000328790535 389138268 /nfs/dbraw/zinc/13/82/68/389138268.db2.gz LLFXQAQPIQJXPZ-GHMZBOCLSA-N 0 1 289.401 0.319 20 30 CCEDMN Cc1nnc(NC(=O)[C@H]2CCc3[nH]nnc3C2)n1C ZINC000329057977 389149791 /nfs/dbraw/zinc/14/97/91/389149791.db2.gz TWJGJYPGDSKXFF-ZETCQYMHSA-N 0 1 261.289 0.635 20 30 CCEDMN Cc1nnc(NC(=O)[C@H]2CCc3nn[nH]c3C2)n1C ZINC000329057977 389149792 /nfs/dbraw/zinc/14/97/92/389149792.db2.gz TWJGJYPGDSKXFF-ZETCQYMHSA-N 0 1 261.289 0.635 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@H](O)CC1 ZINC000339776157 389139924 /nfs/dbraw/zinc/13/99/24/389139924.db2.gz LGJCYAZJCHTYHO-STQMWFEESA-N 0 1 267.373 0.841 20 30 CCEDMN CC(C)(C#N)CCN1CC[C@H](NS(C)(=O)=O)C1 ZINC000119663597 389140370 /nfs/dbraw/zinc/14/03/70/389140370.db2.gz KCUAOOBYWNFLRF-JTQLQIEISA-N 0 1 259.375 0.550 20 30 CCEDMN C[C@@H]1COCCN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329637198 389180192 /nfs/dbraw/zinc/18/01/92/389180192.db2.gz YNRNMBGOMHFCJR-ZJUUUORDSA-N 0 1 264.329 0.902 20 30 CCEDMN C[C@@H]1COCCN1C([O-])=[NH+][C@H]1CCc2nc[nH]c2C1 ZINC000329637198 389180194 /nfs/dbraw/zinc/18/01/94/389180194.db2.gz YNRNMBGOMHFCJR-ZJUUUORDSA-N 0 1 264.329 0.902 20 30 CCEDMN C[C@@H]1COCCN1C([O-])=[NH+][C@H]1CCc2[nH]cnc2C1 ZINC000329637198 389180196 /nfs/dbraw/zinc/18/01/96/389180196.db2.gz YNRNMBGOMHFCJR-ZJUUUORDSA-N 0 1 264.329 0.902 20 30 CCEDMN C=C[C@H](CO)NC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000358458622 389151468 /nfs/dbraw/zinc/15/14/68/389151468.db2.gz ADMDSZHZYFSLTO-CHWSQXEVSA-N 0 1 285.388 0.189 20 30 CCEDMN C[C@H](NC(=O)NC[C@@]1(C)CCOC1)c1nnc[nH]1 ZINC000329294476 389154977 /nfs/dbraw/zinc/15/49/77/389154977.db2.gz RWKSUNJCKXBCDE-GZMMTYOYSA-N 0 1 253.306 0.796 20 30 CCEDMN CCN(CCC#N)C(=O)CNC1(C(N)=O)CCCCC1 ZINC000123113679 389155420 /nfs/dbraw/zinc/15/54/20/389155420.db2.gz JDGGUHBBLMNMOJ-UHFFFAOYSA-N 0 1 280.372 0.526 20 30 CCEDMN O=C(NCCn1cncn1)N1CCOCC2(CC2)C1 ZINC000329321151 389156835 /nfs/dbraw/zinc/15/68/35/389156835.db2.gz GQNUUBINJWLPEQ-UHFFFAOYSA-N 0 1 265.317 0.305 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1C[C@@H]2CC[C@H](O)[C@@H]2C1)C1CC1 ZINC000125428918 389166495 /nfs/dbraw/zinc/16/64/95/389166495.db2.gz CEJUFJQXYXHWJO-QMPIGLIWSA-N 0 1 277.368 0.498 20 30 CCEDMN Cc1cnn([C@@H]2CCN(C(=O)NC[C@@H]3COCCO3)C2)c1 ZINC000329600230 389174257 /nfs/dbraw/zinc/17/42/57/389174257.db2.gz KAYUUAPKBAMVMX-CHWSQXEVSA-N 0 1 294.355 0.768 20 30 CCEDMN O=C(N[C@H]1CC[C@H](O)CC1)N1CCn2cncc2C1 ZINC000329615570 389177208 /nfs/dbraw/zinc/17/72/08/389177208.db2.gz PPDSBOPVMCFGEX-UMSPYCQHSA-N 0 1 264.329 0.916 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)C2(C#N)CCCC2)C1 ZINC000330654623 389249630 /nfs/dbraw/zinc/24/96/30/389249630.db2.gz SPEQONJMZRRCEC-GFCCVEGCSA-N 0 1 265.357 0.907 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCN(C)C[C@@H]1C ZINC000330670590 389251575 /nfs/dbraw/zinc/25/15/75/389251575.db2.gz MCEVUWGCQFASHE-OLZOCXBDSA-N 0 1 266.389 0.630 20 30 CCEDMN CN(CCn1cccn1)C([O-])=[NH+]C1CCOCC1 ZINC000330687736 389252357 /nfs/dbraw/zinc/25/23/57/389252357.db2.gz CMZCEEKGMLEMEA-UHFFFAOYSA-N 0 1 252.318 0.908 20 30 CCEDMN C=CCCN(C)CC(=O)NCC(=O)NC(C)(C)C ZINC000342735626 389252456 /nfs/dbraw/zinc/25/24/56/389252456.db2.gz IDCWJVOQBZZVPN-UHFFFAOYSA-N 0 1 255.362 0.525 20 30 CCEDMN C=C1CCN(C(=O)CN(C)c2ncnc3[nH]cnc32)CC1 ZINC000342778325 389252950 /nfs/dbraw/zinc/25/29/50/389252950.db2.gz CVMHJNSGPVZZLV-UHFFFAOYSA-N 0 1 286.339 0.968 20 30 CCEDMN CC(C)(CCC#N)CN1CCN(C(=O)CO)CC1 ZINC000342851195 389254532 /nfs/dbraw/zinc/25/45/32/389254532.db2.gz IVYXSRIVTUTDTR-UHFFFAOYSA-N 0 1 253.346 0.453 20 30 CCEDMN C[C@@H](CO)N(C)CC(=O)NC1(C#N)CCCCC1 ZINC000135511606 389257909 /nfs/dbraw/zinc/25/79/09/389257909.db2.gz BXNJTCUVIXYVDN-NSHDSACASA-N 0 1 253.346 0.642 20 30 CCEDMN CC(=O)NC1CN(C(=O)CN(C)[C@@H]2CCSC2)C1 ZINC000329899772 389209876 /nfs/dbraw/zinc/20/98/76/389209876.db2.gz FRXCKZCVDOFJQC-LLVKDONJSA-N 0 1 271.386 0.611 20 30 CCEDMN O=C(N[C@@H]1CCO[C@@H](C2CC2)C1)N1CCn2ncnc2C1 ZINC000329961135 389214256 /nfs/dbraw/zinc/21/42/56/389214256.db2.gz OJVZQVLQSCUOBA-VXGBXAGGSA-N 0 1 291.355 0.965 20 30 CCEDMN CC1(C)[C@H](O)C[C@@H]1[NH+]=C([O-])N[C@H]1CCn2ccnc2C1 ZINC000330266605 389219066 /nfs/dbraw/zinc/21/90/66/389219066.db2.gz HQLMPACGQICFIY-GARJFASQSA-N 0 1 278.356 0.861 20 30 CCEDMN CC1(C)[C@H](O)C[C@@H]1NC([O-])=[NH+][C@H]1CCn2ccnc2C1 ZINC000330266605 389219070 /nfs/dbraw/zinc/21/90/70/389219070.db2.gz HQLMPACGQICFIY-GARJFASQSA-N 0 1 278.356 0.861 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@](C)(C(N)=O)C1 ZINC000361558392 389219434 /nfs/dbraw/zinc/21/94/34/389219434.db2.gz WTPNVIZHMMMCEK-UONOGXRCSA-N 0 1 280.372 0.238 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@@H]1CCCN(C)[C@@H]1C ZINC000342499115 389219834 /nfs/dbraw/zinc/21/98/34/389219834.db2.gz CMQSJZSVXCYTPK-VXGBXAGGSA-N 0 1 267.373 0.668 20 30 CCEDMN C[C@H]1CN(CC(=O)NC2(C#N)CCCCC2)C[C@H]1CO ZINC000362083938 389229077 /nfs/dbraw/zinc/22/90/77/389229077.db2.gz BYPXCGGVLXLCCX-STQMWFEESA-N 0 1 279.384 0.889 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC[C@@H](OCCO)C1 ZINC000246942625 389233416 /nfs/dbraw/zinc/23/34/16/389233416.db2.gz XSXZYFPCXJSGOE-CHWSQXEVSA-N 0 1 283.372 0.220 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CC[C@H](C)O ZINC000247452034 389240483 /nfs/dbraw/zinc/24/04/83/389240483.db2.gz HNILNVOROQQGKF-VHSXEESVSA-N 0 1 257.334 0.089 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000366949738 389313289 /nfs/dbraw/zinc/31/32/89/389313289.db2.gz ALJUAKBHIJFSDQ-VXGBXAGGSA-N 0 1 281.356 0.642 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCSC[C@H](C(=O)OC)C1 ZINC000331914499 389316168 /nfs/dbraw/zinc/31/61/68/389316168.db2.gz GFXRZBMBFIEBLQ-GHMZBOCLSA-N 0 1 286.397 0.515 20 30 CCEDMN CS(=O)(=O)N[C@@H]1CCN([C@H]2CC[C@H](C#N)C2)C1 ZINC000408380621 389343530 /nfs/dbraw/zinc/34/35/30/389343530.db2.gz BEBCVZQCLHYKPB-AXFHLTTASA-N 0 1 257.359 0.302 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)cc1 ZINC000264430348 389328127 /nfs/dbraw/zinc/32/81/27/389328127.db2.gz MAAJWHQTSVZCOW-ZDUSSCGKSA-N 0 1 286.379 0.924 20 30 CCEDMN N#CCCNC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000408365254 389341318 /nfs/dbraw/zinc/34/13/18/389341318.db2.gz HRGMHKBMEIQYQB-UHFFFAOYSA-N 0 1 280.372 0.654 20 30 CCEDMN CCc1[nH]nc(C(=O)N2CCO[C@@H](C#N)C2)c1[N+](=O)[O-] ZINC000264644898 389341872 /nfs/dbraw/zinc/34/18/72/389341872.db2.gz YRDGHCKAAHJPKU-ZETCQYMHSA-N 0 1 279.256 0.245 20 30 CCEDMN C#CCN(CC)CCN1C(=O)NC2(CCCC2)C1=O ZINC000266885839 389367825 /nfs/dbraw/zinc/36/78/25/389367825.db2.gz BJMKQNSBFMECML-UHFFFAOYSA-N 0 1 263.341 0.806 20 30 CCEDMN N#CCN1CCC[C@@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC000267134535 389369009 /nfs/dbraw/zinc/36/90/09/389369009.db2.gz GDXQUMRXONIVAU-NSHDSACASA-N 0 1 287.367 0.864 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N([C@@H]2CCc3nc[nH]c3C2)C1=O ZINC000348474175 389378922 /nfs/dbraw/zinc/37/89/22/389378922.db2.gz OMPLNEQZGURVRU-SKDRFNHKSA-N 0 1 290.323 0.390 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1[C@@H](CO)CC[C@@H]1CO ZINC000347318996 389352060 /nfs/dbraw/zinc/35/20/60/389352060.db2.gz USQJUDXKZXYQOK-CHWSQXEVSA-N 0 1 270.373 0.229 20 30 CCEDMN C[C@@H]1[C@H](CO)CCCN1CC(=O)N(CCC#N)CCC#N ZINC000412099616 389476452 /nfs/dbraw/zinc/47/64/52/389476452.db2.gz WPHJMKWPZMRAIP-KGLIPLIRSA-N 0 1 292.383 0.735 20 30 CCEDMN CN(CCCCO)CC(=O)N(CCC#N)CCC#N ZINC000349918212 389460109 /nfs/dbraw/zinc/46/01/09/389460109.db2.gz CLKKQVADXKXCEW-UHFFFAOYSA-N 0 1 266.345 0.347 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCC(=O)N(C)C)C1=O ZINC000281525301 389619042 /nfs/dbraw/zinc/61/90/42/389619042.db2.gz ZPOQCZSUAYTVLA-NSHDSACASA-N 0 1 253.346 0.183 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCN2C)C1=O ZINC000281680064 389623138 /nfs/dbraw/zinc/62/31/38/389623138.db2.gz KLYLAUHSHKHXKX-VXGBXAGGSA-N 0 1 265.357 0.326 20 30 CCEDMN C[C@@](C#N)(NC(=O)CSc1c[nH]nn1)C1CC1 ZINC000177370137 389581049 /nfs/dbraw/zinc/58/10/49/389581049.db2.gz MIVWCNVKHPLSNQ-JTQLQIEISA-N 0 1 251.315 0.705 20 30 CCEDMN C=C(C)COCCNC(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000425711641 389655446 /nfs/dbraw/zinc/65/54/46/389655446.db2.gz OOAOKNDULRMQCS-LLVKDONJSA-N 0 1 279.344 0.896 20 30 CCEDMN O=C(CCc1nc[nH]n1)NCC#Cc1ccccc1 ZINC000426490150 389669492 /nfs/dbraw/zinc/66/94/92/389669492.db2.gz ATIPWFQLEJHTGJ-UHFFFAOYSA-N 0 1 254.293 0.905 20 30 CCEDMN C=C[C@H](CO)NC(=O)CCSc1nnc(C)[nH]1 ZINC000426857557 389679189 /nfs/dbraw/zinc/67/91/89/389679189.db2.gz PLCSTAWVKFQZCV-MRVPVSSYSA-N 0 1 256.331 0.258 20 30 CCEDMN N#Cc1ccc(CNCC(=O)N2CCOCC2)s1 ZINC000181032130 389679462 /nfs/dbraw/zinc/67/94/62/389679462.db2.gz LNYYJOKZHMJIEF-UHFFFAOYSA-N 0 1 265.338 0.568 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)Nc2sccc2C#N)CCN1 ZINC000415436515 389683127 /nfs/dbraw/zinc/68/31/27/389683127.db2.gz OHXDPVSVJCUHIQ-QMMMGPOBSA-N 0 1 278.337 0.379 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C#N)cc2)CCN1 ZINC000415432267 389683329 /nfs/dbraw/zinc/68/33/29/389683329.db2.gz LHUBXAZQBAAOKN-SNVBAGLBSA-N 0 1 272.308 0.317 20 30 CCEDMN C=CCN(Cc1n[nH]c(C)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000428387688 389695842 /nfs/dbraw/zinc/69/58/42/389695842.db2.gz LAHZLFNFHNSRMN-SNVBAGLBSA-N 0 1 270.358 0.288 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000352255878 389779406 /nfs/dbraw/zinc/77/94/06/389779406.db2.gz CJMQATXLGIJTSE-SMDDNHRTSA-N 0 1 280.372 0.382 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@H](C)C[C@@H](CO)C1 ZINC000398873122 389786581 /nfs/dbraw/zinc/78/65/81/389786581.db2.gz ZBNSBKMCNCQHSU-NFAWXSAZSA-N 0 1 281.400 0.991 20 30 CCEDMN C=CCOCCCC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000416307495 389731381 /nfs/dbraw/zinc/73/13/81/389731381.db2.gz KZFVLJPHOLGTCB-KGLIPLIRSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@@H]1CN2CCC[C@H]2CO1 ZINC000376247268 389731810 /nfs/dbraw/zinc/73/18/10/389731810.db2.gz LQGPAWVBDOXAHE-QWHCGFSZSA-N 0 1 286.397 0.182 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NCCN1CCCC[C@@H]1C ZINC000416374250 389737456 /nfs/dbraw/zinc/73/74/56/389737456.db2.gz PQJIQDPAGLMCOZ-WDEREUQCSA-N 0 1 259.375 0.692 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000352439184 389810601 /nfs/dbraw/zinc/81/06/01/389810601.db2.gz OPWHVPUXSLQLQF-GDBMZVCRSA-N 0 1 297.443 0.967 20 30 CCEDMN C=CC1CCN(CC2(O)CCS(=O)(=O)CC2)CC1 ZINC000407949472 389814567 /nfs/dbraw/zinc/81/45/67/389814567.db2.gz OZQYTJKDDBKAAX-UHFFFAOYSA-N 0 1 273.398 0.824 20 30 CCEDMN CC1CCN(CC(=O)N2CCOC[C@H]2C#N)CC1 ZINC000408310058 389841603 /nfs/dbraw/zinc/84/16/03/389841603.db2.gz PPBIUUKBFUETLL-GFCCVEGCSA-N 0 1 251.330 0.469 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1CC[C@](C)(O)C1 ZINC000408346149 389844833 /nfs/dbraw/zinc/84/48/33/389844833.db2.gz IMWYIMVNVBVZET-KWCYVHTRSA-N 0 1 267.373 0.886 20 30 CCEDMN Cc1cc(=NC(=O)c2ccc(C#N)c(O)c2)[nH]n1C ZINC000442668183 389854967 /nfs/dbraw/zinc/85/49/67/389854967.db2.gz COEVKKMDUCEYNB-UHFFFAOYSA-N 0 1 256.265 0.980 20 30 CCEDMN Cc1cc(CNCC(=O)N2CCOCC2)ccc1C#N ZINC000443994304 389887727 /nfs/dbraw/zinc/88/77/27/389887727.db2.gz OAKFGOQMLIBFPW-UHFFFAOYSA-N 0 1 273.336 0.815 20 30 CCEDMN CN(C[C@H]1CCN(S(C)(=O)=O)C1)CC(C)(C)C#N ZINC000433323881 389908689 /nfs/dbraw/zinc/90/86/89/389908689.db2.gz ZSVKBCFXWWZHDN-LLVKDONJSA-N 0 1 273.402 0.749 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](O)C(C)(C)C1 ZINC000447923537 389972555 /nfs/dbraw/zinc/97/25/55/389972555.db2.gz DLXXGJMKODVSBD-VXGBXAGGSA-N 0 1 267.373 0.697 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)CN1CCN(CC)CC1 ZINC000437473392 389994001 /nfs/dbraw/zinc/99/40/01/389994001.db2.gz LXITYIBFYVOATO-HOCLYGCPSA-N 0 1 295.427 0.721 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1cc(C)ccc1C ZINC000491452183 390113480 /nfs/dbraw/zinc/11/34/80/390113480.db2.gz JMKHFLWAIQMWRA-KBPBESRZSA-N 0 1 263.337 0.627 20 30 CCEDMN C[N@@H+](CCC(=O)Nc1sccc1C#N)[C@@H]1CCNC1=O ZINC000536178604 390158945 /nfs/dbraw/zinc/15/89/45/390158945.db2.gz FSJIEAYIHUFYNC-SNVBAGLBSA-N 0 1 292.364 0.769 20 30 CCEDMN CN(CCC(=O)Nc1sccc1C#N)[C@@H]1CCNC1=O ZINC000536178604 390158949 /nfs/dbraw/zinc/15/89/49/390158949.db2.gz FSJIEAYIHUFYNC-SNVBAGLBSA-N 0 1 292.364 0.769 20 30 CCEDMN CC#CCCN1CCN(C(C)(C)C(=O)OC)CC1 ZINC000494381510 390170391 /nfs/dbraw/zinc/17/03/91/390170391.db2.gz AQZSNZNAFLQBFG-UHFFFAOYSA-N 0 1 252.358 0.969 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@](O)(CC)C1 ZINC000495209171 390225472 /nfs/dbraw/zinc/22/54/72/390225472.db2.gz AMDBWBOJNSYJPS-TZMCWYRMSA-N 0 1 267.373 0.841 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2[C@@H]3CCCO[C@H]23)CC1 ZINC000543728533 390291114 /nfs/dbraw/zinc/29/11/14/390291114.db2.gz IWOALDXKBGDKAN-TUAOUCFPSA-N 0 1 263.341 0.516 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@H](CN2CCOC2=O)C1 ZINC000496075858 390295009 /nfs/dbraw/zinc/29/50/09/390295009.db2.gz JEXXKCJMTPBZCM-NEPJUHHUSA-N 0 1 281.356 0.451 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000648280931 390352091 /nfs/dbraw/zinc/35/20/91/390352091.db2.gz BOMQPQVBSBLUMQ-QPSCCSFWSA-N 0 1 294.395 0.635 20 30 CCEDMN C[C@H](CNc1ccncc1C#N)N1CCN(C)CC1 ZINC000547824747 390402575 /nfs/dbraw/zinc/40/25/75/390402575.db2.gz BEGJAWQBXAQHQZ-GFCCVEGCSA-N 0 1 259.357 0.423 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H](CCC)c2nn[nH]n2)nn1 ZINC000648815506 390415380 /nfs/dbraw/zinc/41/53/80/390415380.db2.gz TYEIFTQLUYYUBM-QMMMGPOBSA-N 0 1 276.304 0.248 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000645376308 390417478 /nfs/dbraw/zinc/41/74/78/390417478.db2.gz HOPHVFSWQFIHEN-CMPLNLGQSA-N 0 1 278.356 0.723 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H](C)Cc2cc(C)[nH]n2)nn1 ZINC000648832214 390417945 /nfs/dbraw/zinc/41/79/45/390417945.db2.gz CLPYCYCKLYHTLJ-SECBINFHSA-N 0 1 274.328 0.857 20 30 CCEDMN COC(=O)N1CC(NC[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000497212996 390438231 /nfs/dbraw/zinc/43/82/31/390438231.db2.gz ZQYBLLZSKCDDSD-CYBMUJFWSA-N 0 1 275.308 0.632 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2C[C@H]3CC(=O)[C@@H]2C3)[nH]n1C ZINC000648434349 390372385 /nfs/dbraw/zinc/37/23/85/390372385.db2.gz HQZKBSFULGEJOT-IVZWLZJFSA-N 0 1 272.308 0.576 20 30 CCEDMN CC(C)(C#N)c1ccccc1Cn1nnnc1CN ZINC000564926426 390498112 /nfs/dbraw/zinc/49/81/12/390498112.db2.gz MQDWMYNURBGBSB-UHFFFAOYSA-N 0 1 256.313 0.981 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)CN(C)[C@H]1CCSC1 ZINC000649184100 390513242 /nfs/dbraw/zinc/51/32/42/390513242.db2.gz CJKDUESFJIACAL-RYUDHWBXSA-N 0 1 270.398 0.314 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000649183530 390513287 /nfs/dbraw/zinc/51/32/87/390513287.db2.gz UZDSDXZAHAPGGT-CYBMUJFWSA-N 0 1 284.319 0.976 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)C1(CO)CCC1 ZINC000555660185 390461735 /nfs/dbraw/zinc/46/17/35/390461735.db2.gz QMNXULLWOSUFBA-UHFFFAOYSA-N 0 1 251.330 0.396 20 30 CCEDMN C=CCN(CCOC)C(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC000643543725 390462485 /nfs/dbraw/zinc/46/24/85/390462485.db2.gz ZZTMSMWVTXSFHP-CYBMUJFWSA-N 0 1 297.399 0.104 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNc2cc(C#N)ncn2)C1 ZINC000520488044 390578223 /nfs/dbraw/zinc/57/82/23/390578223.db2.gz DTDQRJFDYANYBQ-ZDUSSCGKSA-N 0 1 275.356 0.539 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2C[C@H](C(=O)[O-])CC[C@H]2C)C1=O ZINC000567733853 390711051 /nfs/dbraw/zinc/71/10/51/390711051.db2.gz VZJFVFMYEGYEME-UTUOFQBUSA-N 0 1 266.341 0.958 20 30 CCEDMN N#Cc1cc(CN2C[C@@H](CO)[C@H](CO)C2)ccc1F ZINC000528610162 390761766 /nfs/dbraw/zinc/76/17/66/390761766.db2.gz WQAWIQBEABIRJS-STQMWFEESA-N 0 1 264.300 0.730 20 30 CCEDMN C=CCOCCCC(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000532793159 390885200 /nfs/dbraw/zinc/88/52/00/390885200.db2.gz GMAPKDQUIGSNLY-NSHDSACASA-N 0 1 279.344 0.889 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)CN1CCC(C)CC1 ZINC000354867199 533482699 /nfs/dbraw/zinc/48/26/99/533482699.db2.gz TZXJIDCLPXSRHS-UHFFFAOYSA-N 0 1 267.329 0.243 20 30 CCEDMN CN(Cc1cc(C#N)n(C)c1)C[C@H](O)CN1CCOCC1 ZINC000191387544 130229796 /nfs/dbraw/zinc/22/97/96/130229796.db2.gz LLTVFLBFTQCUMA-HNNXBMFYSA-N 0 1 292.383 0.022 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC000191538459 130251382 /nfs/dbraw/zinc/25/13/82/130251382.db2.gz GINUABDKPPIAIE-GFCCVEGCSA-N 0 1 262.357 0.395 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N[C@H](C)c1ccc2c(c1)CC(=O)N2C ZINC000264020950 131380468 /nfs/dbraw/zinc/38/04/68/131380468.db2.gz WJWSTCLQUZPSRO-VXGBXAGGSA-N 0 1 299.374 0.994 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000268408537 132004485 /nfs/dbraw/zinc/00/44/85/132004485.db2.gz ACLQYBXWBDDAMI-PWSUYJOCSA-N 0 1 277.372 0.983 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000268446998 132010914 /nfs/dbraw/zinc/01/09/14/132010914.db2.gz ICKXYLPQRYOWPM-ZYHUDNBSSA-N 0 1 275.356 0.430 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN(CCOCCO)C2)nc1 ZINC000368333765 144218370 /nfs/dbraw/zinc/21/83/70/144218370.db2.gz GTRAZPFSDBHHAB-CYBMUJFWSA-N 0 1 277.324 0.415 20 30 CCEDMN N#Cc1ccncc1N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000373179207 144395323 /nfs/dbraw/zinc/39/53/23/144395323.db2.gz YBGXVBYLOCXYRP-UKRRQHHQSA-N 0 1 272.352 0.989 20 30 CCEDMN C=CCCn1cc(CN[C@H]2CCCC[C@@H]2C(N)=O)nn1 ZINC000653616407 412324988 /nfs/dbraw/zinc/32/49/88/412324988.db2.gz UVJGYIIXRWFAIZ-STQMWFEESA-N 0 1 277.372 0.988 20 30 CCEDMN C=CCCn1cc(CN2C[C@H](C)N(CCO)C[C@@H]2C)nn1 ZINC000653766574 412343166 /nfs/dbraw/zinc/34/31/66/412343166.db2.gz JZAVVYCTOKAHEJ-KBPBESRZSA-N 0 1 293.415 0.741 20 30 CCEDMN COc1ccc(CN2CCC[C@@](O)(C(N)=O)C2)cc1C#N ZINC000285024352 196180856 /nfs/dbraw/zinc/18/08/56/196180856.db2.gz ZXSZFXAZEIOIPX-HNNXBMFYSA-N 0 1 289.335 0.379 20 30 CCEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)N[C@@H](C)C(=O)N(C)C ZINC000662081693 414690211 /nfs/dbraw/zinc/69/02/11/414690211.db2.gz XLDAUVXKVIHBKU-RWMBFGLXSA-N 0 1 279.384 0.762 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@H](C(=O)NC(C)C)C1 ZINC000662117234 414691256 /nfs/dbraw/zinc/69/12/56/414691256.db2.gz BOAOBMPQLCEHMK-OLZOCXBDSA-N 0 1 281.400 0.914 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)C(=O)N2CCN[C@H](C)C2)C1 ZINC000662953141 414914030 /nfs/dbraw/zinc/91/40/30/414914030.db2.gz KDNCMZKPZWRNDR-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN Cc1nc2n(n1)C[C@@H]([NH+]=C([O-])N[C@@H]1C=C[C@H](CO)C1)CC2 ZINC000330078286 228034106 /nfs/dbraw/zinc/03/41/06/228034106.db2.gz FLXNKWDTMBNHDH-TUAOUCFPSA-N 0 1 291.355 0.342 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)N[C@@H]1C=C[C@H](CO)C1)CC2 ZINC000330078286 228034110 /nfs/dbraw/zinc/03/41/10/228034110.db2.gz FLXNKWDTMBNHDH-TUAOUCFPSA-N 0 1 291.355 0.342 20 30 CCEDMN N#CCSCC(=O)NC1(c2nn[nH]n2)CCCC1 ZINC000332378294 228157526 /nfs/dbraw/zinc/15/75/26/228157526.db2.gz FNQZUKPBTIHORU-UHFFFAOYSA-N 0 1 266.330 0.342 20 30 CCEDMN N#CC1(NC(=O)c2ccc3[nH]nnc3c2)CCOCC1 ZINC000332665805 228164720 /nfs/dbraw/zinc/16/47/20/228164720.db2.gz LTQYUYTZNYYTMO-UHFFFAOYSA-N 0 1 271.280 0.760 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CCc2cnc[nH]2)C1=O ZINC000281695719 544961517 /nfs/dbraw/zinc/96/15/17/544961517.db2.gz LRFVTFPSPHXSMC-LBPRGKRZSA-N 0 1 276.340 0.588 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cc2ccn[nH]2)C1=O ZINC000281682325 544961698 /nfs/dbraw/zinc/96/16/98/544961698.db2.gz KSOHEBSZBDNIBO-NSHDSACASA-N 0 1 262.313 0.198 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)CN2CCCCCC2=O)C1 ZINC000329397752 545022261 /nfs/dbraw/zinc/02/22/61/545022261.db2.gz XYJISXVFRQKHJL-ZDUSSCGKSA-N 0 1 296.415 0.591 20 30 CCEDMN CN(CCn1cccn1)C(=O)NC[C@@H]1COCCO1 ZINC000329497344 545023211 /nfs/dbraw/zinc/02/32/11/545023211.db2.gz VANWNWRZGORAIN-LLVKDONJSA-N 0 1 268.317 0.144 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)N1CC[C@@H](n2cccn2)C1 ZINC000329615497 545024439 /nfs/dbraw/zinc/02/44/39/545024439.db2.gz LAKBGPOQTRVFFU-VXGBXAGGSA-N 0 1 280.328 0.459 20 30 CCEDMN C[C@H]1OCCN(CCC(=O)N(C)CCC#N)[C@H]1C ZINC000092801784 260272005 /nfs/dbraw/zinc/27/20/05/260272005.db2.gz ZVXYXTMDBWGGHT-NWDGAFQWSA-N 0 1 253.346 0.858 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cccc2c[nH]nc21 ZINC000408150961 260294651 /nfs/dbraw/zinc/29/46/51/260294651.db2.gz NYZJZBNOMLSOMY-SNVBAGLBSA-N 0 1 256.265 0.927 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@](C)(O)C1 ZINC000245876551 260824294 /nfs/dbraw/zinc/82/42/94/260824294.db2.gz HNKMYLAICFLJKN-GWCFXTLKSA-N 0 1 269.345 0.234 20 30 CCEDMN CN(C)[C@]1(CNS(=O)(=O)CCCC#N)CCSC1 ZINC000360444853 261061760 /nfs/dbraw/zinc/06/17/60/261061760.db2.gz PVRORUMVTLYEJB-NSHDSACASA-N 0 1 291.442 0.647 20 30 CCEDMN CCCCC(N)=NOCCCN1C(=O)CNC1=O ZINC000153131389 261073567 /nfs/dbraw/zinc/07/35/67/261073567.db2.gz WTNGWPSTWVWAMD-UHFFFAOYSA-N 0 1 256.306 0.407 20 30 CCEDMN Cc1cc(C(=O)N(CCC#N)CCN2CCOCC2)n[nH]1 ZINC000162496632 261284055 /nfs/dbraw/zinc/28/40/55/261284055.db2.gz KWRAUTOWEOXMCS-UHFFFAOYSA-N 0 1 291.355 0.406 20 30 CCEDMN C#CCNC(=O)C1CCN(C/C=C(/C)C(=O)OC)CC1 ZINC000491155817 261353275 /nfs/dbraw/zinc/35/32/75/261353275.db2.gz VDYSXYBDLWILPQ-XGICHPGQSA-N 0 1 278.352 0.567 20 30 CCEDMN CC(C)(C#N)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000178103511 261375788 /nfs/dbraw/zinc/37/57/88/261375788.db2.gz ZLDFFFQDLCLRIN-LBPRGKRZSA-N 0 1 265.357 0.717 20 30 CCEDMN CSc1nn(CC(=O)Nc2sccc2C#N)c(=O)[nH]1 ZINC000188163165 261853998 /nfs/dbraw/zinc/85/39/98/261853998.db2.gz SJGJSSWTBFRPRH-UHFFFAOYSA-N 0 1 295.349 0.865 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N(C)CC1(CO)CC1 ZINC000186807274 262324991 /nfs/dbraw/zinc/32/49/91/262324991.db2.gz NFANAARNSVDKGM-NSHDSACASA-N 0 1 253.346 0.451 20 30 CCEDMN N#CCSCC(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000365558111 262370315 /nfs/dbraw/zinc/37/03/15/262370315.db2.gz PRFCYAKANJVPSV-GFCCVEGCSA-N 0 1 283.397 0.424 20 30 CCEDMN CS(=O)(=O)NC[C@H]1CCCN(CCOCCC#N)C1 ZINC000339025793 262585729 /nfs/dbraw/zinc/58/57/29/262585729.db2.gz FBGIGSWQKWIFKP-GFCCVEGCSA-N 0 1 289.401 0.178 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cc(C(F)(F)F)[nH]n2)CCO1 ZINC000339276059 262624685 /nfs/dbraw/zinc/62/46/85/262624685.db2.gz ZEUSNPTUYASQRH-LURJTMIESA-N 0 1 274.202 0.793 20 30 CCEDMN Cc1nnc(SCC(=O)N2CC(C)(C#N)C2)[nH]1 ZINC000280373068 263060843 /nfs/dbraw/zinc/06/08/43/263060843.db2.gz DXLRPUBPGDKAEB-UHFFFAOYSA-N 0 1 251.315 0.577 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CC(C)(C#N)C2)n1 ZINC000280373068 263060844 /nfs/dbraw/zinc/06/08/44/263060844.db2.gz DXLRPUBPGDKAEB-UHFFFAOYSA-N 0 1 251.315 0.577 20 30 CCEDMN Cc1[nH]ncc1CNS(=O)(=O)N(C)C[C@H](C)C#N ZINC000286523826 263098688 /nfs/dbraw/zinc/09/86/88/263098688.db2.gz FYQCBHBFRGQJBD-MRVPVSSYSA-N 0 1 271.346 0.144 20 30 CCEDMN CCO[C@H]1C[C@H]([N@@H+](C)CC(=O)NCC#N)C1(C)C ZINC000120590175 263287666 /nfs/dbraw/zinc/28/76/66/263287666.db2.gz TVAWYWNJQGAKCZ-QWRGUYRKSA-N 0 1 253.346 0.762 20 30 CCEDMN CCO[C@H]1C[C@H](N(C)CC(=O)NCC#N)C1(C)C ZINC000120590175 263287667 /nfs/dbraw/zinc/28/76/67/263287667.db2.gz TVAWYWNJQGAKCZ-QWRGUYRKSA-N 0 1 253.346 0.762 20 30 CCEDMN CN(C)C(=O)C[C@@H](NCC(=O)NCC#N)c1ccccc1 ZINC000341450828 263335902 /nfs/dbraw/zinc/33/59/02/263335902.db2.gz JORLQBMFMRFTRV-CYBMUJFWSA-N 0 1 288.351 0.435 20 30 CCEDMN CCN(C)C(=O)N[C@@H]1CCc2nc(COC)nn2C1 ZINC000330672417 264013851 /nfs/dbraw/zinc/01/38/51/264013851.db2.gz NWDGKRSNTHMLOU-SECBINFHSA-N 0 1 267.333 0.605 20 30 CCEDMN CS(=O)(=O)N[C@H]1CCN(Cc2ccc(CC#N)cc2)C1 ZINC000330819683 264047136 /nfs/dbraw/zinc/04/71/36/264047136.db2.gz FGIIVZHQCWCIGC-AWEZNQCLSA-N 0 1 293.392 0.876 20 30 CCEDMN COCc1nc2n(n1)C[C@@H]([NH+]=C([O-])NCC(C)(C)O)CC2 ZINC000330946949 264084879 /nfs/dbraw/zinc/08/48/79/264084879.db2.gz LJLDMXDDJUOHLD-VIFPVBQESA-N 0 1 297.359 0.014 20 30 CCEDMN COCc1nc2n(n1)C[C@@H](NC(=O)NCC(C)(C)O)CC2 ZINC000330946949 264084881 /nfs/dbraw/zinc/08/48/81/264084881.db2.gz LJLDMXDDJUOHLD-VIFPVBQESA-N 0 1 297.359 0.014 20 30 CCEDMN C[C@H](CC[NH+]=C([O-])N[C@@H]1CCc2c[nH]nc2C1)[S@](C)=O ZINC000331101150 264123497 /nfs/dbraw/zinc/12/34/97/264123497.db2.gz BULRLJMEPXHEEL-MTTWPYTGSA-N 0 1 298.412 0.928 20 30 CCEDMN C[C@H](CCNC(=O)N[C@@H]1CCc2c[nH]nc2C1)[S@](C)=O ZINC000331101150 264123499 /nfs/dbraw/zinc/12/34/99/264123499.db2.gz BULRLJMEPXHEEL-MTTWPYTGSA-N 0 1 298.412 0.928 20 30 CCEDMN CS(=O)(=O)C[C@H]1CCN(C([O-])=[NH+]CCn2cccc2)C1 ZINC000331118254 264129242 /nfs/dbraw/zinc/12/92/42/264129242.db2.gz FJJPFYXHUAXDPQ-LBPRGKRZSA-N 0 1 299.396 0.769 20 30 CCEDMN CNC(=O)NC(=O)[C@H](C)N1CCC([C@@H](C)NC(C)=O)CC1 ZINC000331152109 264139491 /nfs/dbraw/zinc/13/94/91/264139491.db2.gz XHVCHWQJYBNJQL-ZJUUUORDSA-N 0 1 298.387 0.272 20 30 CCEDMN C[C@H](CC[NH+]=C([O-])N[C@@H]1CCc2n[nH]cc2C1)[S@](C)=O ZINC000331132253 264149103 /nfs/dbraw/zinc/14/91/03/264149103.db2.gz SZFIGUGNWZZSBC-MTTWPYTGSA-N 0 1 298.412 0.928 20 30 CCEDMN C[C@H](CCNC(=O)N[C@@H]1CCc2n[nH]cc2C1)[S@](C)=O ZINC000331132253 264149104 /nfs/dbraw/zinc/14/91/04/264149104.db2.gz SZFIGUGNWZZSBC-MTTWPYTGSA-N 0 1 298.412 0.928 20 30 CCEDMN CCCNC(=O)NC(=O)CN1CCC[C@H](C)[C@H]1CO ZINC000331267351 264167968 /nfs/dbraw/zinc/16/79/68/264167968.db2.gz JJILLMBKDWOICC-WDEREUQCSA-N 0 1 271.361 0.519 20 30 CCEDMN C[C@@H]1NC(=O)CC[C@@H]1NC(=O)N(C)CCn1cccn1 ZINC000331261087 264184907 /nfs/dbraw/zinc/18/49/07/264184907.db2.gz BTVBBARROIVEOD-QWRGUYRKSA-N 0 1 279.344 0.396 20 30 CCEDMN COC[C@H](C)NC(=O)NC(=O)CN1CC[C@H](SC)C1 ZINC000331328323 264191240 /nfs/dbraw/zinc/19/12/40/264191240.db2.gz NQFQWMFINDWXRO-UWVGGRQHSA-N 0 1 289.401 0.489 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](OC)C[C@H]1C ZINC000334090167 264232012 /nfs/dbraw/zinc/23/20/12/264232012.db2.gz AJEQXQIFEKLBTF-GHMZBOCLSA-N 0 1 269.345 0.498 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)N[C@H]2CCC[C@@H]2C#N)[nH]1 ZINC000334028694 264233292 /nfs/dbraw/zinc/23/32/92/264233292.db2.gz FSEBYGVVRQWZBS-NEPJUHHUSA-N 0 1 290.371 0.680 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(Cc2noc(C)n2)CC1 ZINC000128773478 264307832 /nfs/dbraw/zinc/30/78/32/264307832.db2.gz NDMUXNPFNJNGAW-ZDUSSCGKSA-N 0 1 280.372 0.823 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(CCO)CC1 ZINC000520747523 265918434 /nfs/dbraw/zinc/91/84/34/265918434.db2.gz BSOVXQZPCPHOFJ-NSHDSACASA-N 0 1 283.372 0.481 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1c[nH]c2ccccc2c1=O ZINC000356874639 266201586 /nfs/dbraw/zinc/20/15/86/266201586.db2.gz PQJSWYTUDNIIKY-VIFPVBQESA-N 0 1 258.277 0.805 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@@H+](C)C1CCN(CCO)CC1 ZINC000357649319 266339734 /nfs/dbraw/zinc/33/97/34/266339734.db2.gz PJKKKPPWLWXYBN-GFCCVEGCSA-N 0 1 269.389 0.066 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCC(C)(C)C#N ZINC000359655174 266616822 /nfs/dbraw/zinc/61/68/22/266616822.db2.gz YXCDEJZJQRZLES-LLVKDONJSA-N 0 1 253.346 0.621 20 30 CCEDMN CC#CCCNC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000361290305 266816785 /nfs/dbraw/zinc/81/67/85/266816785.db2.gz WRGMHBWLAORQKX-OAHLLOKOSA-N 0 1 294.443 0.971 20 30 CCEDMN C#CCNC(=O)[C@H](C)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000369033380 267217535 /nfs/dbraw/zinc/21/75/35/267217535.db2.gz SUFJOTIGMUCNBJ-AAEUAGOBSA-N 0 1 283.335 0.622 20 30 CCEDMN CCN(CC)C(=O)C1(NCC(=O)NCC#N)CCCCC1 ZINC000118847272 267274325 /nfs/dbraw/zinc/27/43/25/267274325.db2.gz CGHOCVGDANIWGC-UHFFFAOYSA-N 0 1 294.399 0.787 20 30 CCEDMN C=CCC1(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)CCC1 ZINC000370104414 267290836 /nfs/dbraw/zinc/29/08/36/267290836.db2.gz VFBULAQCVBOORP-JTQLQIEISA-N 0 1 277.328 0.846 20 30 CCEDMN N#Cc1ccc(CNC(=O)NC[C@H]2COCCN2)cc1F ZINC000529330264 268229098 /nfs/dbraw/zinc/22/90/98/268229098.db2.gz LCMYQOMMGPLQJU-LBPRGKRZSA-N 0 1 292.314 0.485 20 30 CCEDMN N#Cc1ccc([C@@H](NCC23CC(C2)CO3)C(N)=O)cc1 ZINC000530133804 268262290 /nfs/dbraw/zinc/26/22/90/268262290.db2.gz GFHGASJSSTVWPJ-GLWUULTISA-N 0 1 271.320 0.853 20 30 CCEDMN CN1C[C@H](NC(=O)N2CCN3CCCC[C@H]3C2)CC1=O ZINC000330153747 277201449 /nfs/dbraw/zinc/20/14/49/277201449.db2.gz XKJJJFCOSHBGIB-NEPJUHHUSA-N 0 1 280.372 0.301 20 30 CCEDMN CN1CC[C@@H]2OCCN(C(=O)C(C)(C)C#N)[C@@H]2C1 ZINC000332680752 290165188 /nfs/dbraw/zinc/16/51/88/290165188.db2.gz MGKCKTNPHIGNPX-MNOVXSKESA-N 0 1 251.330 0.468 20 30 CCEDMN CC[C@@H](C(=O)NC1(C#N)CCN(C)CC1)C(=O)OC ZINC000580333978 327923879 /nfs/dbraw/zinc/92/38/79/327923879.db2.gz BCUIQRACFIASBV-JTQLQIEISA-N 0 1 267.329 0.290 20 30 CCEDMN CCN(CC#N)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000339732462 328022814 /nfs/dbraw/zinc/02/28/14/328022814.db2.gz ZORYEUGMMXVIGW-UHFFFAOYSA-N 0 1 278.337 0.854 20 30 CCEDMN CN1CCN(c2cccc(C(=O)NC3(C#N)CC3)n2)CC1 ZINC000534312246 328045017 /nfs/dbraw/zinc/04/50/17/328045017.db2.gz KAMQPHTVUHCSSG-UHFFFAOYSA-N 0 1 285.351 0.619 20 30 CCEDMN N#CCC1(CNC(=O)N2CC[C@@H](c3nc[nH]n3)C2)CC1 ZINC000571077046 328084579 /nfs/dbraw/zinc/08/45/79/328084579.db2.gz VHECYDDVCFDUPY-SNVBAGLBSA-N 0 1 274.328 0.997 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCC[C@@]1(C)CO)C1CC1 ZINC000546103266 328186713 /nfs/dbraw/zinc/18/67/13/328186713.db2.gz KRSRTOFOAJJVIB-UONOGXRCSA-N 0 1 265.357 0.642 20 30 CCEDMN Cc1nnc(SCCC(=O)N2CC(C)(C#N)C2)[nH]1 ZINC000281710059 328241195 /nfs/dbraw/zinc/24/11/95/328241195.db2.gz HSCOJGQGRKIBSC-UHFFFAOYSA-N 0 1 265.342 0.967 20 30 CCEDMN C#CCN(CC#C)C(=O)CN(C)[C@H]1CCSC1 ZINC000120742231 328360259 /nfs/dbraw/zinc/36/02/59/328360259.db2.gz XVCNPNVJCKGRFM-LBPRGKRZSA-N 0 1 250.367 0.519 20 30 CCEDMN CO[C@@H]1COC[C@@H]1NCc1cnc2c(C#N)cnn2c1 ZINC000584113662 327040773 /nfs/dbraw/zinc/04/07/73/327040773.db2.gz AZPPFFONENNVJL-NWDGAFQWSA-N 0 1 273.296 0.104 20 30 CCEDMN C[C@@H](CNc1cncc(C#N)n1)N1CCN(C)CC1 ZINC000584288515 327219862 /nfs/dbraw/zinc/21/98/62/327219862.db2.gz ROXKDSSIOCLILW-NSHDSACASA-N 0 1 260.345 0.396 20 30 CCEDMN Cc1ccc(C)c(OC[C@H](O)CNCC#CCO)c1C ZINC000570451742 327592687 /nfs/dbraw/zinc/59/26/87/327592687.db2.gz UTIITDIDCPDTJV-OAHLLOKOSA-N 0 1 277.364 0.937 20 30 CCEDMN CC[C@@H](C#N)NC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000171802614 329080406 /nfs/dbraw/zinc/08/04/06/329080406.db2.gz FQJPJEXEXIOFCU-ZDUSSCGKSA-N 0 1 287.367 0.865 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCC1(C#N)CC1 ZINC000582584198 329112210 /nfs/dbraw/zinc/11/22/10/329112210.db2.gz KYWKYLFUZGJCFH-LLVKDONJSA-N 0 1 251.330 0.375 20 30 CCEDMN CNC(=O)CC1CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000175032849 329162522 /nfs/dbraw/zinc/16/25/22/329162522.db2.gz BFOGWZGLWSYJGH-GFCCVEGCSA-N 0 1 294.399 0.595 20 30 CCEDMN CNC(=O)[C@H]1CN(CCCC(C)(C)C#N)CCO1 ZINC000184051143 329257295 /nfs/dbraw/zinc/25/72/95/329257295.db2.gz VIYWLOZPPBIEMD-LLVKDONJSA-N 0 1 253.346 0.763 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCNC(=O)[C@@H]2C)CC1 ZINC000188064915 329364068 /nfs/dbraw/zinc/36/40/68/329364068.db2.gz OQHAJQNQASJWHB-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@@](C)(CC)C(N)=O ZINC000133110251 329650772 /nfs/dbraw/zinc/65/07/72/329650772.db2.gz YLADJCBGHYFPCQ-ZDUSSCGKSA-N 0 1 255.362 0.655 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1C[C@H](C)NC[C@@H]1C ZINC000419158432 329709681 /nfs/dbraw/zinc/70/96/81/329709681.db2.gz XPSBBSPWEQLOMB-QWRGUYRKSA-N 0 1 285.413 0.231 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1CCNC(C)(C)C1 ZINC000419150233 329710938 /nfs/dbraw/zinc/71/09/38/329710938.db2.gz MCJPYGFVSOVTJZ-UHFFFAOYSA-N 0 1 285.413 0.232 20 30 CCEDMN C=CCOCCCC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000080091167 330106605 /nfs/dbraw/zinc/10/66/05/330106605.db2.gz BEGDGAOFEDZARZ-CQSZACIVSA-N 0 1 283.416 0.721 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000080091164 330106613 /nfs/dbraw/zinc/10/66/13/330106613.db2.gz BEGDGAOFEDZARZ-AWEZNQCLSA-N 0 1 283.416 0.721 20 30 CCEDMN Cc1nc([C@@H]2CN(C(=O)[C@@]3(C#N)C[C@@H]3C)CCO2)n[nH]1 ZINC000424087112 330223880 /nfs/dbraw/zinc/22/38/80/330223880.db2.gz HLBHVOGOGZOPEJ-GMOODISLSA-N 0 1 275.312 0.563 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@@H]2CCN3CCO[C@H]2C3)C1=O ZINC000451135110 331016961 /nfs/dbraw/zinc/01/69/61/331016961.db2.gz BAXBSIQVJOEOCL-MCIONIFRSA-N 0 1 279.384 0.178 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)CC(C)(C)CO ZINC000451239388 331021573 /nfs/dbraw/zinc/02/15/73/331021573.db2.gz BFEHHTVLWRXWPH-AWEZNQCLSA-N 0 1 267.373 0.745 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@@H]1CCCCN1CCO ZINC000451673789 331038385 /nfs/dbraw/zinc/03/83/85/331038385.db2.gz WSZZALMYLUJXAF-LBPRGKRZSA-N 0 1 276.402 0.329 20 30 CCEDMN C=C(CC)CNC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000454485504 331147215 /nfs/dbraw/zinc/14/72/15/331147215.db2.gz RNHHJCNMXLNLEJ-GFCCVEGCSA-N 0 1 282.388 0.592 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000454860789 331155146 /nfs/dbraw/zinc/15/51/46/331155146.db2.gz MXNRZEHKHWVOJN-GFCCVEGCSA-N 0 1 295.387 0.315 20 30 CCEDMN C=CCCOCCNC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000458581450 331253449 /nfs/dbraw/zinc/25/34/49/331253449.db2.gz FUYJRYUEYIFIKE-OKILXGFUSA-N 0 1 299.415 0.676 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)c1ccc(=O)n(C)c1 ZINC000490616331 332095782 /nfs/dbraw/zinc/09/57/82/332095782.db2.gz KFSZQFKQOXXSOA-ZDUSSCGKSA-N 0 1 274.320 0.640 20 30 CCEDMN C#CCN(C(=O)c1cc(CC)[nH]n1)[C@H]1CCS(=O)(=O)C1 ZINC000490616368 332095953 /nfs/dbraw/zinc/09/59/53/332095953.db2.gz KOKHMCSMJGGMHZ-NSHDSACASA-N 0 1 295.364 0.235 20 30 CCEDMN C#CCNC(=O)c1ccc(N2C[C@@H](C)N(C)C[C@@H]2C)nc1 ZINC000490717636 332108270 /nfs/dbraw/zinc/10/82/70/332108270.db2.gz DRMXBOFUNPLYLV-OLZOCXBDSA-N 0 1 286.379 0.973 20 30 CCEDMN C#CCN1CCC(C(=O)NCC[C@H](C)[S@@](C)=O)CC1 ZINC000490830897 332118546 /nfs/dbraw/zinc/11/85/46/332118546.db2.gz NNJXQFSUZXOEHA-HXPMCKFVSA-N 0 1 284.425 0.605 20 30 CCEDMN C#CCN1CCC(C(=O)OCCN2CCOCC2)CC1 ZINC000490872853 332123426 /nfs/dbraw/zinc/12/34/26/332123426.db2.gz GTBQNHDWKRDXJB-UHFFFAOYSA-N 0 1 280.368 0.207 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(C)(OC)C2)CC1 ZINC000490914419 332128430 /nfs/dbraw/zinc/12/84/30/332128430.db2.gz IIIKQPQFTBCRBX-UHFFFAOYSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cnn(CC)c2)CC1 ZINC000491067252 332144479 /nfs/dbraw/zinc/14/44/79/332144479.db2.gz HAKPRXFLUUGSSV-UHFFFAOYSA-N 0 1 274.368 0.864 20 30 CCEDMN C#CCNC(=O)CCN[C@H](CO)c1ccccc1OC ZINC000491067829 332144835 /nfs/dbraw/zinc/14/48/35/332144835.db2.gz KKLZTXIKGCHOKY-CYBMUJFWSA-N 0 1 276.336 0.458 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1CCSC2(CCOCC2)C1 ZINC000491122806 332153019 /nfs/dbraw/zinc/15/30/19/332153019.db2.gz YCBTWCVORILPKW-UHFFFAOYSA-N 0 1 282.409 0.724 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCCO[C@@H](C(C)C)C1 ZINC000491167569 332160493 /nfs/dbraw/zinc/16/04/93/332160493.db2.gz YVAANRJNSJVVFJ-QWHCGFSZSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCn1ccnn1 ZINC000491201429 332164429 /nfs/dbraw/zinc/16/44/29/332164429.db2.gz HTHRHXPOMSTBFO-GFCCVEGCSA-N 0 1 262.313 0.309 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CN1CCCCC1=O ZINC000491209159 332166071 /nfs/dbraw/zinc/16/60/71/332166071.db2.gz CKIGDUIUXYFVAX-CYBMUJFWSA-N 0 1 278.352 0.640 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000491538296 332233024 /nfs/dbraw/zinc/23/30/24/332233024.db2.gz XXGBBAMZBXZIKY-WDEREUQCSA-N 0 1 259.309 0.690 20 30 CCEDMN C#CCn1ccc(CN(CCOC)Cc2cnc[nH]2)n1 ZINC000491622480 332247116 /nfs/dbraw/zinc/24/71/16/332247116.db2.gz DRXYHTWXOHYBDB-UHFFFAOYSA-N 0 1 273.340 0.888 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000491640407 332260351 /nfs/dbraw/zinc/26/03/51/332260351.db2.gz OLCSGZQJNVKJAZ-WDEREUQCSA-N 0 1 274.324 0.311 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC(OCC(C)C)CC2)C1=O ZINC000491663940 332273019 /nfs/dbraw/zinc/27/30/19/332273019.db2.gz SSXDRMPVEMGNCI-CQSZACIVSA-N 0 1 292.379 0.884 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1c(C)cccc1C ZINC000491666164 332274619 /nfs/dbraw/zinc/27/46/19/332274619.db2.gz SYMORLWMFMPFBM-UONOGXRCSA-N 0 1 263.337 0.627 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)Cc1ccnn1C ZINC000491679609 332283566 /nfs/dbraw/zinc/28/35/66/332283566.db2.gz XORRXZHVACQZBJ-CYBMUJFWSA-N 0 1 261.325 0.603 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(OC(F)F)C2)CC1 ZINC000491717634 332306881 /nfs/dbraw/zinc/30/68/81/332306881.db2.gz XBQIHPMOPGAVNB-UHFFFAOYSA-N 0 1 272.295 0.782 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC3(C2)CCOC3)CC1 ZINC000491741832 332320492 /nfs/dbraw/zinc/32/04/92/332320492.db2.gz RYCRCZIQJPJMHD-UHFFFAOYSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N=c1[n-]ncs1 ZINC000491761612 332331902 /nfs/dbraw/zinc/33/19/02/332331902.db2.gz WKUHGYHEVMYXRG-UHFFFAOYSA-N 0 1 253.331 0.037 20 30 CCEDMN C#C[C@@H](CC)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000491774623 332340513 /nfs/dbraw/zinc/34/05/13/332340513.db2.gz DJIAPDZFMXBWMQ-VIFPVBQESA-N 0 1 272.312 0.317 20 30 CCEDMN C#CCn1ccc(CN(CCOC)C(=O)c2cnc[nH]2)n1 ZINC000491785550 332348486 /nfs/dbraw/zinc/34/84/86/332348486.db2.gz RZKVJMGUZXYYBI-UHFFFAOYSA-N 0 1 287.323 0.528 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC[C@@H](COC)C2)C1=O ZINC000491819810 332369688 /nfs/dbraw/zinc/36/96/88/332369688.db2.gz PATJSTCBFPXZID-NEPJUHHUSA-N 0 1 264.325 0.106 20 30 CCEDMN C#C[C@H](CC)NC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000491819933 332369713 /nfs/dbraw/zinc/36/97/13/332369713.db2.gz PQGDXTPVJBJKSO-CYBMUJFWSA-N 0 1 286.379 0.975 20 30 CCEDMN CC(C)OCCC(=O)NC1(C#N)CCN(C)CC1 ZINC000521604611 333179599 /nfs/dbraw/zinc/17/95/99/333179599.db2.gz NWKUNLPZVCXIGQ-UHFFFAOYSA-N 0 1 253.346 0.906 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN(c3cccnn3)CC2)CC1 ZINC000528366674 333416416 /nfs/dbraw/zinc/41/64/16/333416416.db2.gz IBRILZLOTBPVHI-ZDUSSCGKSA-N 0 1 287.367 0.653 20 30 CCEDMN C[C@@]1(CO)CCCN1CC(=O)N(CCC#N)CCC#N ZINC000546810457 334051085 /nfs/dbraw/zinc/05/10/85/334051085.db2.gz PTNPNUBFDVTPIA-AWEZNQCLSA-N 0 1 278.356 0.489 20 30 CCEDMN COC(=O)[C@H](C)[C@H](NCC(=O)NCC#N)c1ccccc1 ZINC000079923012 334164364 /nfs/dbraw/zinc/16/43/64/334164364.db2.gz ZBRCKQMRKZMLIA-RISCZKNCSA-N 0 1 289.335 0.766 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)CC[C@H](C)O ZINC000245452654 334194291 /nfs/dbraw/zinc/19/42/91/334194291.db2.gz QOGAPVGBUYCWDK-NEPJUHHUSA-N 0 1 255.362 0.697 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN(C[C@H](C)O)C[C@@H]1C ZINC000249504071 334334935 /nfs/dbraw/zinc/33/49/35/334334935.db2.gz JUDRAVBQWUBKLS-GJZGRUSLSA-N 0 1 295.427 0.574 20 30 CCEDMN CN1CCCN(C(=O)C(=O)Nc2cccc(C#N)n2)CC1 ZINC000576871436 335258268 /nfs/dbraw/zinc/25/82/68/335258268.db2.gz GNSHLCDVTFTDJC-UHFFFAOYSA-N 0 1 287.323 0.056 20 30 CCEDMN N#CCC(=O)N1CCN(CC[C@@H]2CCOC2)CC1 ZINC000577290386 335336143 /nfs/dbraw/zinc/33/61/43/335336143.db2.gz MEMCMHXKBXOCBJ-GFCCVEGCSA-N 0 1 251.330 0.471 20 30 CCEDMN CCOC[C@H](C)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000581603540 336110480 /nfs/dbraw/zinc/11/04/80/336110480.db2.gz AGUAGPXKUMKOKM-NSHDSACASA-N 0 1 297.380 0.742 20 30 CCEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)NCc1nnn(C)n1 ZINC000330310641 534145979 /nfs/dbraw/zinc/14/59/79/534145979.db2.gz NLYIADYGGPZLPT-RKDXNWHRSA-N 0 1 252.322 0.539 20 30 CCEDMN Cc1ccc(N2CCN(CCO)C[C@@H]2C)nc1C#N ZINC000450957211 534166451 /nfs/dbraw/zinc/16/64/51/534166451.db2.gz TYYJOGHVDUBDIP-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN CCS(=O)(=O)NCCNCc1cccc(C#N)c1 ZINC000058179142 521680755 /nfs/dbraw/zinc/68/07/55/521680755.db2.gz PLNZIOLMWPXDKQ-UHFFFAOYSA-N 0 1 267.354 0.587 20 30 CCEDMN CC(=O)N1CCC[C@@H]1C(=O)N=c1[nH]n(C(C)C)cc1C#N ZINC000361913225 534765773 /nfs/dbraw/zinc/76/57/73/534765773.db2.gz IEZWPEBWSMCXPM-GFCCVEGCSA-N 0 1 289.339 0.707 20 30 CCEDMN C=CCCNC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000665946134 545110500 /nfs/dbraw/zinc/11/05/00/545110500.db2.gz UBBZFUASPNICNV-QWHCGFSZSA-N 0 1 267.373 0.803 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@H](C(=O)NC)C1 ZINC000111269876 526523603 /nfs/dbraw/zinc/52/36/03/526523603.db2.gz GDPPKMDGTQRWKB-ZDUSSCGKSA-N 0 1 281.400 0.869 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)Nc1cccc(C#N)c1 ZINC000340803706 526548863 /nfs/dbraw/zinc/54/88/63/526548863.db2.gz VVBRMBPQTUFAFW-UHFFFAOYSA-N 0 1 283.291 0.845 20 30 CCEDMN C=CCOCCCC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000352197420 526732158 /nfs/dbraw/zinc/73/21/58/526732158.db2.gz RMLFERMXBGEJDQ-KBPBESRZSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCOCCCN1CCN(CC(=O)NC2CC2)CC1 ZINC000347967630 526755768 /nfs/dbraw/zinc/75/57/68/526755768.db2.gz PQOPYWACTUHYOB-UHFFFAOYSA-N 0 1 281.400 0.475 20 30 CCEDMN C=CCOCCCNC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000666515461 545124718 /nfs/dbraw/zinc/12/47/18/545124718.db2.gz CWJFPPLRKDCIRP-KGLIPLIRSA-N 0 1 299.415 0.676 20 30 CCEDMN C=CCOCCCNC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000666515462 545124848 /nfs/dbraw/zinc/12/48/48/545124848.db2.gz CWJFPPLRKDCIRP-UONOGXRCSA-N 0 1 299.415 0.676 20 30 CCEDMN C=CCOCCCNC(=O)N[C@H](C)c1nnc[nH]1 ZINC000666519868 545125002 /nfs/dbraw/zinc/12/50/02/545125002.db2.gz WUIZTBOTCJABPW-SECBINFHSA-N 0 1 253.306 0.758 20 30 CCEDMN C=CCCCC(=O)N[C@H](Cc1cnc[nH]1)C(=O)OC ZINC000344975489 527111407 /nfs/dbraw/zinc/11/14/07/527111407.db2.gz QFMJRKRLHZDNBJ-LLVKDONJSA-N 0 1 265.313 0.966 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N(C)C1CC(O)C1 ZINC000331959311 527936786 /nfs/dbraw/zinc/93/67/86/527936786.db2.gz AFIKXPUPDMJLMJ-BBCYWQGDSA-N 0 1 267.373 0.884 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N(C)C[C@@H](C)C#N ZINC000352326928 528156955 /nfs/dbraw/zinc/15/69/55/528156955.db2.gz QERVMABYVDRTLN-NSHDSACASA-N 0 1 261.391 0.749 20 30 CCEDMN C#CCCN(C)CC(=O)NCc1ccc(C(=O)NO)cc1 ZINC000671997585 545261807 /nfs/dbraw/zinc/26/18/07/545261807.db2.gz XSBQBMHSGJPKLV-UHFFFAOYSA-N 0 1 289.335 0.377 20 30 CCEDMN CCN1CCN(C(=O)N[C@H]2CCn3ccnc3C2)CC1 ZINC000330244938 529108067 /nfs/dbraw/zinc/10/80/67/529108067.db2.gz DMOLVWBBKHJRIR-LBPRGKRZSA-N 0 1 277.372 0.749 20 30 CCEDMN CN(C)C(=O)CCN1CCN(c2cccnc2C#N)CC1 ZINC000290756388 536074201 /nfs/dbraw/zinc/07/42/01/536074201.db2.gz JKMFJJJNQNSBCI-UHFFFAOYSA-N 0 1 287.367 0.554 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@H](CNC(=O)[O-])C1 ZINC000739404252 581131571 /nfs/dbraw/zinc/13/15/71/581131571.db2.gz PUJKOMBYIPGIKW-NWDGAFQWSA-N 0 1 296.371 0.584 20 30 CCEDMN C#CCCCCCC(=O)NC[C@H]1CN(C)CCN1C ZINC000780500578 581231099 /nfs/dbraw/zinc/23/10/99/581231099.db2.gz HAFVHVZMUVULPN-AWEZNQCLSA-N 0 1 265.401 0.932 20 30 CCEDMN CC(=O)N1CSC[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000726797763 581252983 /nfs/dbraw/zinc/25/29/83/581252983.db2.gz AEZZXLYZBGIBCT-NSHDSACASA-N 0 1 296.396 0.012 20 30 CCEDMN CC(C)CN1c2ccccc2C(=NNC(=N)N)C1=O ZINC000793038162 581281458 /nfs/dbraw/zinc/28/14/58/581281458.db2.gz AJKWTGCSGHYEOS-UHFFFAOYSA-N 0 1 259.313 0.876 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H]2C[C@]2(CO)C1 ZINC000782618828 581314753 /nfs/dbraw/zinc/31/47/53/581314753.db2.gz PCVAICKQAJRQIC-GXTWGEPZSA-N 0 1 250.342 0.501 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H]2CSCN2C(=O)[O-])CC1 ZINC000738457283 581331502 /nfs/dbraw/zinc/33/15/02/581331502.db2.gz LRIDKFDQAJDREP-LLVKDONJSA-N 0 1 297.380 0.207 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H]2CCC[C@H]2NC(=O)[O-])CC1 ZINC000738457722 581331916 /nfs/dbraw/zinc/33/19/16/581331916.db2.gz VHXOMFBNTSPLMQ-QWHCGFSZSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CNC(=O)[O-])C1CC1 ZINC000738461596 581334032 /nfs/dbraw/zinc/33/40/32/581334032.db2.gz UUWKGQULWNWQTJ-NEPJUHHUSA-N 0 1 279.340 0.246 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CNC(=O)[O-])C1CC1 ZINC000738461596 581334034 /nfs/dbraw/zinc/33/40/34/581334034.db2.gz UUWKGQULWNWQTJ-NEPJUHHUSA-N 0 1 279.340 0.246 20 30 CCEDMN C=CCC[N@H+](C)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000738493823 581361565 /nfs/dbraw/zinc/36/15/65/581361565.db2.gz SBILCURJVCESNE-UHFFFAOYSA-N 0 1 255.318 0.317 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[O-])[C@@H](C)C1 ZINC000738495713 581361988 /nfs/dbraw/zinc/36/19/88/581361988.db2.gz ODPFCUPBOJTEQE-UWVGGRQHSA-N 0 1 255.318 0.267 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000738495712 581362081 /nfs/dbraw/zinc/36/20/81/581362081.db2.gz ODPFCUPBOJTEQE-NXEZZACHSA-N 0 1 255.318 0.267 20 30 CCEDMN C#CCOc1ccccc1NC(=O)C(=O)NCc1c[nH]nn1 ZINC000783936092 581368003 /nfs/dbraw/zinc/36/80/03/581368003.db2.gz JCYJYOCICKMMNZ-UHFFFAOYSA-N 0 1 299.290 0.072 20 30 CCEDMN N#CCCNC(=O)CN1CCC([C@@H]2CCCO2)CC1 ZINC000784360897 581381519 /nfs/dbraw/zinc/38/15/19/581381519.db2.gz DAQGMNQEUSLSBI-ZDUSSCGKSA-N 0 1 265.357 0.907 20 30 CCEDMN C#CCOCCNC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000784385535 581382306 /nfs/dbraw/zinc/38/23/06/581382306.db2.gz LZLAIUPLQDFADS-SNVBAGLBSA-N 0 1 264.329 0.598 20 30 CCEDMN C#CCOCCNC(=O)CN(C)[C@H]1CCSC1 ZINC000784610624 581391168 /nfs/dbraw/zinc/39/11/68/581391168.db2.gz XZTAIYFXVJCANF-NSHDSACASA-N 0 1 256.371 0.190 20 30 CCEDMN COC(=O)Cn1cccc1C=NNc1cncnc1 ZINC000794978244 581393759 /nfs/dbraw/zinc/39/37/59/581393759.db2.gz CYYSJTZGVJFSAH-UHFFFAOYSA-N 0 1 259.269 0.897 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C[C@H]1CCC(=O)[C@H]1C ZINC000796628354 581446730 /nfs/dbraw/zinc/44/67/30/581446730.db2.gz AEUJHRYEXSJWOW-QJPTWQEYSA-N 0 1 294.351 0.853 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@H]1CCC[C@H](C(N)=O)C1 ZINC000786929573 581453483 /nfs/dbraw/zinc/45/34/83/581453483.db2.gz LGTZMTVSFQWUOQ-GARJFASQSA-N 0 1 279.340 0.512 20 30 CCEDMN N#CCCNC(=O)CSc1n[nH]c(=S)s1 ZINC000787620358 581473852 /nfs/dbraw/zinc/47/38/52/581473852.db2.gz SGJYTVFJFZTADA-UHFFFAOYSA-N 0 1 260.369 0.949 20 30 CCEDMN N#Cc1cccnc1NCCNC(=O)NCCN1CCC1 ZINC000752655035 581511560 /nfs/dbraw/zinc/51/15/60/581511560.db2.gz GLBOHEFBUPJFFB-UHFFFAOYSA-N 0 1 288.355 0.370 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2COC)CC1 ZINC000752745723 581514770 /nfs/dbraw/zinc/51/47/70/581514770.db2.gz SZWOTZZRDBHPLN-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN CCC[N@@H+](CC(=O)NCC#N)[C@H]1CCN(C(=O)[O-])C1 ZINC000739355272 581539860 /nfs/dbraw/zinc/53/98/60/581539860.db2.gz XFQSOLVLUHUBTH-JTQLQIEISA-N 0 1 268.317 0.090 20 30 CCEDMN COc1ncc(C(=O)C(C#N)C(=O)Nc2ccccn2)cn1 ZINC000734080052 581542817 /nfs/dbraw/zinc/54/28/17/581542817.db2.gz IPTYBVZYQSRCAH-JTQLQIEISA-N 0 1 297.274 0.841 20 30 CCEDMN C#CCOc1ccccc1CNC(=O)c1cnn[nH]1 ZINC000734135420 581548482 /nfs/dbraw/zinc/54/84/82/581548482.db2.gz VFJVFKWNFQSWIM-UHFFFAOYSA-N 0 1 256.265 0.747 20 30 CCEDMN COC(=O)c1ccc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)[nH]1 ZINC000753938155 581565649 /nfs/dbraw/zinc/56/56/49/581565649.db2.gz IUHBDJSSSLNXGY-QWRGUYRKSA-N 0 1 289.339 0.995 20 30 CCEDMN COCCn1cc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)cn1 ZINC000753938964 581565872 /nfs/dbraw/zinc/56/58/72/581565872.db2.gz NHKHQNPLECYTRP-STQMWFEESA-N 0 1 290.371 0.723 20 30 CCEDMN C#CCCCN1CCN(CC(=O)N(CC)CC)CC1 ZINC000766894887 581585572 /nfs/dbraw/zinc/58/55/72/581585572.db2.gz GKVDJUIPBGXKLG-UHFFFAOYSA-N 0 1 265.401 0.886 20 30 CCEDMN C#CCCCN(CCCOC)[C@H]1CCS(=O)(=O)C1 ZINC000766919858 581587356 /nfs/dbraw/zinc/58/73/56/581587356.db2.gz OVVIOKRUFBBELA-ZDUSSCGKSA-N 0 1 273.398 0.925 20 30 CCEDMN CN(CC1CC[NH+](CC(=O)NCCC#N)CC1)C(=O)[O-] ZINC000739661884 581588504 /nfs/dbraw/zinc/58/85/04/581588504.db2.gz UMGOJQURQBEPDY-UHFFFAOYSA-N 0 1 282.344 0.338 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NCCC#N)C[C@H]1n1ccnc1 ZINC000767433494 581613668 /nfs/dbraw/zinc/61/36/68/581613668.db2.gz JCNDXRGZIKYKIO-CHWSQXEVSA-N 0 1 275.356 0.796 20 30 CCEDMN O=C1c2ccccc2C(=O)N1CC=Nn1cnnc1 ZINC000755464468 581641352 /nfs/dbraw/zinc/64/13/52/581641352.db2.gz USLNCJBYXMIWSZ-UHFFFAOYSA-N 0 1 255.237 0.408 20 30 CCEDMN Cn1cc(C=Nn2c(=S)[nH]nc2C(F)(F)F)nn1 ZINC000755510659 581643211 /nfs/dbraw/zinc/64/32/11/581643211.db2.gz KLLRPRWGUHWZMC-UHFFFAOYSA-N 0 1 277.235 0.596 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CSCC(N)=O)c1 ZINC000768184055 581647003 /nfs/dbraw/zinc/64/70/03/581647003.db2.gz BBLFUVKCZIBHEU-UHFFFAOYSA-N 0 1 265.294 0.421 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1CCC[C@@H]([C@@H]2CCCN2C(=O)[O-])C1 ZINC000740305604 581662907 /nfs/dbraw/zinc/66/29/07/581662907.db2.gz QLNHKVILKBORPW-NEPJUHHUSA-N 0 1 294.355 0.481 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)c1c[nH]c(C(N)=O)c1 ZINC000756556510 581671467 /nfs/dbraw/zinc/67/14/67/581671467.db2.gz VKMUHXUIGUNGHH-NSHDSACASA-N 0 1 275.308 0.368 20 30 CCEDMN C=C(C)CN(CC)C(=O)COC(=O)CCc1nc[nH]n1 ZINC000756768759 581677790 /nfs/dbraw/zinc/67/77/90/581677790.db2.gz YRVXVLRQTYDOPC-UHFFFAOYSA-N 0 1 280.328 0.705 20 30 CCEDMN Cc1noc(C)c1CN=Nc1ccc(C(N)=O)nn1 ZINC000756843942 581680909 /nfs/dbraw/zinc/68/09/09/581680909.db2.gz LEGNYZWDGLHWHE-UHFFFAOYSA-N 0 1 260.257 0.626 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)C[C@@H](C)C(=O)[O-] ZINC000736078641 581686411 /nfs/dbraw/zinc/68/64/11/581686411.db2.gz CSEUFUWBSZVZJS-MNOVXSKESA-N 0 1 252.314 0.263 20 30 CCEDMN C#CCNC(=O)C[NH2+][C@@](CC)(C(=O)[O-])c1ccccc1 ZINC000736077178 581694730 /nfs/dbraw/zinc/69/47/30/581694730.db2.gz ZHVLJNZVAIGXGV-OAHLLOKOSA-N 0 1 274.320 0.716 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+](C[C@@H](C)C(=O)[O-])C1CC1 ZINC000736106282 581696738 /nfs/dbraw/zinc/69/67/38/581696738.db2.gz WYPWOEHRNCQHNB-SECBINFHSA-N 0 1 283.328 0.183 20 30 CCEDMN C#CCN1CCN(C(=O)c2cc(O)cc([N+](=O)[O-])c2)CC1 ZINC000770415854 581742382 /nfs/dbraw/zinc/74/23/82/581742382.db2.gz GVPGXVIKQMFCFB-UHFFFAOYSA-N 0 1 289.291 0.691 20 30 CCEDMN O=C1CNC(=O)N1N=Cc1cc(-c2ccccc2)[nH]n1 ZINC000770606525 581755764 /nfs/dbraw/zinc/75/57/64/581755764.db2.gz AWAWUMKYOVCVRG-UHFFFAOYSA-N 0 1 269.264 0.962 20 30 CCEDMN C#CCCCCNC(=O)NC[C@H]1CN(C)CCN1C ZINC000758768238 581768881 /nfs/dbraw/zinc/76/88/81/581768881.db2.gz TZPROBMWJPFGHT-ZDUSSCGKSA-N 0 1 266.389 0.335 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)[N-]OC1CCOCC1 ZINC000772725642 581887220 /nfs/dbraw/zinc/88/72/20/581887220.db2.gz SFFUVTBZQYJOFD-LBPRGKRZSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CCNC(=O)CN1CCC(OC[C@H]2CCOC2)CC1 ZINC000761112588 581889087 /nfs/dbraw/zinc/88/90/87/581889087.db2.gz JRLOGPDNDPPHHW-ZDUSSCGKSA-N 0 1 280.368 0.253 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000737078816 581897709 /nfs/dbraw/zinc/89/77/09/581897709.db2.gz DDHBQBDYWVHNHI-NEPJUHHUSA-N 0 1 281.356 0.791 20 30 CCEDMN C[C@@H]1CN(C)CC1N=Nc1ccccc1S(N)(=O)=O ZINC000773092766 581908058 /nfs/dbraw/zinc/90/80/58/581908058.db2.gz BQLGSKFXXBCJRU-SECBINFHSA-N 0 1 282.369 0.683 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)CC1CCSCC1 ZINC000762068686 581930134 /nfs/dbraw/zinc/93/01/34/581930134.db2.gz LPOJMRQYKSZUGM-NSHDSACASA-N 0 1 284.381 0.991 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCc2ncc[nH]2)o1 ZINC000737466836 581962311 /nfs/dbraw/zinc/96/23/11/581962311.db2.gz DTFMFZPOMGBNDK-UHFFFAOYSA-N 0 1 259.233 0.332 20 30 CCEDMN N#CCOc1ccc(CN2CC[C@@H](NC(N)=O)C2)cc1 ZINC000762924839 581972066 /nfs/dbraw/zinc/97/20/66/581972066.db2.gz HGEBNZAMESQEJB-GFCCVEGCSA-N 0 1 274.324 0.832 20 30 CCEDMN CON=Cc1ccc(C(=O)N2CCc3[nH]nnc3C2)cc1 ZINC000742203079 581983968 /nfs/dbraw/zinc/98/39/68/581983968.db2.gz HMAYYDRZGLBROD-UHFFFAOYSA-N 0 1 285.307 0.984 20 30 CCEDMN C#C[C@H](NCc1cnc2cnccn12)[C@@H]1CCCO1 ZINC000775343286 582019920 /nfs/dbraw/zinc/01/99/20/582019920.db2.gz VUHLNRDDZNKMEU-STQMWFEESA-N 0 1 256.309 1.000 20 30 CCEDMN C#C[C@H](NCCn1cc([N+](=O)[O-])ccc1=O)[C@@H]1CCCO1 ZINC000775790966 582038093 /nfs/dbraw/zinc/03/80/93/582038093.db2.gz RHPPIMMLLVMUKA-STQMWFEESA-N 0 1 291.307 0.527 20 30 CCEDMN CN1C(=O)CN(NC(=O)c2ccc(C#N)s2)C1=O ZINC000776246193 582063936 /nfs/dbraw/zinc/06/39/36/582063936.db2.gz QVXBWEMQKSMNJF-UHFFFAOYSA-N 0 1 264.266 0.159 20 30 CCEDMN N#Cc1cc(N2CCN(CCCCO)CC2)ncn1 ZINC000764835806 582070109 /nfs/dbraw/zinc/07/01/09/582070109.db2.gz MOTOSGHNJCQTCG-UHFFFAOYSA-N 0 1 261.329 0.243 20 30 CCEDMN CN(Cc1cnc[nH]1)S(=O)(=O)CC(C)(C)C#N ZINC000917131403 616093681 /nfs/dbraw/zinc/09/36/81/616093681.db2.gz VNMBERLWQXUUPI-UHFFFAOYSA-N 0 1 256.331 0.721 20 30 CCEDMN COC(=O)C[C@@H](C)CC(=O)NCC#CCN(C)C ZINC000913522629 616632296 /nfs/dbraw/zinc/63/22/96/616632296.db2.gz RBRHIYQZBHMCOP-NSHDSACASA-N 0 1 254.330 0.257 20 30 CCEDMN C#CCOCCN1CCO[C@@H]2CC[C@@H](OC)C[C@@H]21 ZINC000851867655 612984134 /nfs/dbraw/zinc/98/41/34/612984134.db2.gz CLABOTGEQATQIF-HZSPNIEDSA-N 0 1 253.342 0.905 20 30 CCEDMN C#CCCN(CCOC)C(=O)CCc1c[nH]nn1 ZINC000869309690 612986913 /nfs/dbraw/zinc/98/69/13/612986913.db2.gz LJDBFCLERWKUHL-UHFFFAOYSA-N 0 1 250.302 0.236 20 30 CCEDMN C#CCCN(CCOC)C(=O)CCc1cnn[nH]1 ZINC000869309690 612986915 /nfs/dbraw/zinc/98/69/15/612986915.db2.gz LJDBFCLERWKUHL-UHFFFAOYSA-N 0 1 250.302 0.236 20 30 CCEDMN C[C@H](C(=O)[O-])[N@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000163337796 597472701 /nfs/dbraw/zinc/47/27/01/597472701.db2.gz NGYGADKYQMFATO-SNVBAGLBSA-N 0 1 296.348 0.737 20 30 CCEDMN C[C@H](C(=O)[O-])[N@@H+](C)CCS(=O)(=O)c1ccc(C#N)cc1 ZINC000163337796 597472705 /nfs/dbraw/zinc/47/27/05/597472705.db2.gz NGYGADKYQMFATO-SNVBAGLBSA-N 0 1 296.348 0.737 20 30 CCEDMN C[C@H]1CCCC[N@H+]1CCNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820235006 597634936 /nfs/dbraw/zinc/63/49/36/597634936.db2.gz GJQDNUMWATWMPZ-SMDDNHRTSA-N 0 1 281.356 0.982 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC[N@@H+]1C ZINC000820246413 597650232 /nfs/dbraw/zinc/65/02/32/597650232.db2.gz XEHNLDISRIVQTC-BXKDBHETSA-N 0 1 253.302 0.153 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC000820246413 597650233 /nfs/dbraw/zinc/65/02/33/597650233.db2.gz XEHNLDISRIVQTC-BXKDBHETSA-N 0 1 253.302 0.153 20 30 CCEDMN COc1cc(C)c(C(=O)N[C@H]2CNC[C@H]2C#N)cc1OC ZINC000834480248 617173947 /nfs/dbraw/zinc/17/39/47/617173947.db2.gz NGBQUPUKLPFIOR-PWSUYJOCSA-N 0 1 289.335 0.854 20 30 CCEDMN N#CC[N@H+]1CCC[C@@H]1[C@@H]1CCCN(C(=O)c2nnc[n-]2)C1 ZINC000963377136 649911004 /nfs/dbraw/zinc/91/10/04/649911004.db2.gz FUOBGSVMLASWBT-VXGBXAGGSA-N 0 1 288.355 0.645 20 30 CCEDMN N#CC[N@@H+]1CCC[C@@H]1[C@@H]1CCCN(C(=O)c2nnc[n-]2)C1 ZINC000963377136 649911007 /nfs/dbraw/zinc/91/10/07/649911007.db2.gz FUOBGSVMLASWBT-VXGBXAGGSA-N 0 1 288.355 0.645 20 30 CCEDMN C=C1CC[NH+](CC(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC000382476269 599856455 /nfs/dbraw/zinc/85/64/55/599856455.db2.gz ISKXABLNCNAZQV-UHFFFAOYSA-N 0 1 266.341 0.962 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cccnn2)CC1 ZINC000982373587 613006186 /nfs/dbraw/zinc/00/61/86/613006186.db2.gz VICROBVMVIESGJ-UHFFFAOYSA-N 0 1 258.325 0.648 20 30 CCEDMN C#CCSCC(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913746198 613009688 /nfs/dbraw/zinc/00/96/88/613009688.db2.gz WNPIHPDOKCIRHS-UHFFFAOYSA-N 0 1 265.342 0.272 20 30 CCEDMN C#CCSCC(=O)NC1(c2nnc[nH]2)CCC1 ZINC000897520705 613011666 /nfs/dbraw/zinc/01/16/66/613011666.db2.gz KQBKMGHHYYRWQT-UHFFFAOYSA-N 0 1 250.327 0.667 20 30 CCEDMN C#CCSCC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896530360 613013118 /nfs/dbraw/zinc/01/31/18/613013118.db2.gz SPKBLYCQNIGSIV-RYUDHWBXSA-N 0 1 269.414 0.103 20 30 CCEDMN C#CCSCC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000896220426 613013137 /nfs/dbraw/zinc/01/31/37/613013137.db2.gz DSDKSIMBQVSHOY-LBPRGKRZSA-N 0 1 269.414 0.105 20 30 CCEDMN N#CCNC(=O)C[N@H+]1C[C@@H](C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000833066614 601434093 /nfs/dbraw/zinc/43/40/93/601434093.db2.gz CUILAGVRNSHIBH-STQMWFEESA-N 0 1 293.367 0.982 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1C[C@@H](C2CCCCC2)C[C@H]1C(=O)[O-] ZINC000833066614 601434096 /nfs/dbraw/zinc/43/40/96/601434096.db2.gz CUILAGVRNSHIBH-STQMWFEESA-N 0 1 293.367 0.982 20 30 CCEDMN C[C@@H]1C[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C[C@@H]1C(=O)[O-] ZINC000828411062 601515269 /nfs/dbraw/zinc/51/52/69/601515269.db2.gz DESVSJKOPWFCGB-JIMOISOXSA-N 0 1 287.319 0.737 20 30 CCEDMN C#CC1(F)CN(C(=O)[C@@H](C)CN2CCOCC2)C1 ZINC000881941228 612948506 /nfs/dbraw/zinc/94/85/06/612948506.db2.gz CGELTJVSKCBLPQ-NSHDSACASA-N 0 1 254.305 0.138 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](NC(=O)c2cnn[nH]2)CC1 ZINC000965010429 649927631 /nfs/dbraw/zinc/92/76/31/649927631.db2.gz DOTREPSXSVHPLZ-VHSXEESVSA-N 0 1 290.327 0.075 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000885262737 612950951 /nfs/dbraw/zinc/95/09/51/612950951.db2.gz PRFFSQVCNWKXJI-NRUUGDAUSA-N 0 1 289.335 0.757 20 30 CCEDMN C#CC1(O)CCN(C(=O)[C@@H]2CCN2C(C)(C)C)CC1 ZINC000899121473 612951325 /nfs/dbraw/zinc/95/13/25/612951325.db2.gz CMQDKRVFBNMGRH-LBPRGKRZSA-N 0 1 264.369 0.846 20 30 CCEDMN C#CC1(O)CCN(Cc2c3c(nn2C)CCC3)CC1 ZINC000895538631 612952546 /nfs/dbraw/zinc/95/25/46/612952546.db2.gz NHMYZVXCNFHIAO-UHFFFAOYSA-N 0 1 259.353 0.869 20 30 CCEDMN C#CC[C@@H](NS(=O)(=O)c1ccccc1O)C(=O)OC ZINC000849398603 613043009 /nfs/dbraw/zinc/04/30/09/613043009.db2.gz YYGJEVPHNYSMTK-SECBINFHSA-N 0 1 283.305 0.235 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)NCC(C)(C)C#N ZINC000833627111 605121896 /nfs/dbraw/zinc/12/18/96/605121896.db2.gz UDMKUXNPRDXETE-LLVKDONJSA-N 0 1 296.371 0.727 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)NCCN2CCCOCC2)C1 ZINC000889094032 613045676 /nfs/dbraw/zinc/04/56/76/613045676.db2.gz LRTCZSDQCUPXSE-CQSZACIVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCCOC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000859282605 613050054 /nfs/dbraw/zinc/05/00/54/613050054.db2.gz NVJZCIFTARBSNY-NSHDSACASA-N 0 1 267.329 0.076 20 30 CCEDMN C#CC[C@H](CCOC)NS(=O)(=O)CCN(CC)CC ZINC000859423362 613052868 /nfs/dbraw/zinc/05/28/68/613052868.db2.gz CDSFPCXLNLEDLG-CYBMUJFWSA-N 0 1 290.429 0.676 20 30 CCEDMN C#CCC[C@H](O)CNS(=O)(=O)c1cc(O)cc(F)c1 ZINC000867227281 613064353 /nfs/dbraw/zinc/06/43/53/613064353.db2.gz ZKNWTSJGPNGBSP-JTQLQIEISA-N 0 1 287.312 0.584 20 30 CCEDMN C#CCNCC(=O)N(CC)C[C@@H]1COc2ccccc2O1 ZINC000105964545 612956402 /nfs/dbraw/zinc/95/64/02/612956402.db2.gz NFYYPIHNTXLHAD-CYBMUJFWSA-N 0 1 288.347 0.898 20 30 CCEDMN C#CCN(C)CCCNS(=O)(=O)c1ccccc1C=O ZINC000815082880 613079064 /nfs/dbraw/zinc/07/90/64/613079064.db2.gz HZMOEHSHYDZLOW-UHFFFAOYSA-N 0 1 294.376 0.733 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(COC)on2)C1 ZINC000972494178 613094165 /nfs/dbraw/zinc/09/41/65/613094165.db2.gz ABOIASSLZOKQPC-NSHDSACASA-N 0 1 277.324 0.601 20 30 CCEDMN C#C[C@@H](NC(=O)c1[nH]ncc1F)C1CCOCC1 ZINC000912693257 613099396 /nfs/dbraw/zinc/09/93/96/613099396.db2.gz VDAZVBULBOFCGG-SNVBAGLBSA-N 0 1 251.261 0.707 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000898436849 613103584 /nfs/dbraw/zinc/10/35/84/613103584.db2.gz QKMAYOZQJMAFBN-OCCSQVGLSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCC1(O)CCN([C@H](C)C(=O)NC(=O)NCC)CC1 ZINC000880477023 612974044 /nfs/dbraw/zinc/97/40/44/612974044.db2.gz AKSCLFNBKBUUDW-LLVKDONJSA-N 0 1 281.356 0.071 20 30 CCEDMN COc1ccc(NC(=O)C[C@@H]2COCCN2)cc1C#N ZINC000877271436 617269074 /nfs/dbraw/zinc/26/90/74/617269074.db2.gz QDWQPBQOSGGHQM-GFCCVEGCSA-N 0 1 275.308 0.884 20 30 CCEDMN CSc1[n-]c(=O)c(C(=O)NC[C@H]2CC[N@H+]2C)cc1C#N ZINC000928567466 617283570 /nfs/dbraw/zinc/28/35/70/617283570.db2.gz OOWRUVKWRRXXTK-SECBINFHSA-N 0 1 292.364 0.815 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC000939945302 617294055 /nfs/dbraw/zinc/29/40/55/617294055.db2.gz ZNHCUGNCIVHKDV-QXFUBDJGSA-N 0 1 293.302 0.285 20 30 CCEDMN C#CC1CCN(CC(=O)NC2CCN(C(C)=O)CC2)CC1 ZINC000830333699 612964528 /nfs/dbraw/zinc/96/45/28/612964528.db2.gz GDSDPBCWQAXBBT-UHFFFAOYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCC1(O)CCN(C(=O)C2CN([C@@H]3CCOC3)C2)CC1 ZINC000899225366 612971414 /nfs/dbraw/zinc/97/14/14/612971414.db2.gz ZOVPEANKZBTCJZ-CQSZACIVSA-N 0 1 292.379 0.084 20 30 CCEDMN C#CCC1(O)CCN([C@H]2CCN(C3CCC3)C2=O)CC1 ZINC000880482420 612974016 /nfs/dbraw/zinc/97/40/16/612974016.db2.gz IGCMFWXVSBQDQL-AWEZNQCLSA-N 0 1 276.380 0.990 20 30 CCEDMN C#CCCCC(=O)NC1CCN([C@@H]2CCN(C)C2=O)CC1 ZINC000816609550 612974935 /nfs/dbraw/zinc/97/49/35/612974935.db2.gz FPQWNYPNKLGINQ-CQSZACIVSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCOC(=O)[C@H](CN(C)C)NC(=O)OC(C)(C)C ZINC000869832512 612976956 /nfs/dbraw/zinc/97/69/56/612976956.db2.gz SUECQPVYYZUFIR-JTQLQIEISA-N 0 1 270.329 0.618 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H]1C[N@@H+](C2CC2)C[C@@H]1C ZINC000806917220 612980692 /nfs/dbraw/zinc/98/06/92/612980692.db2.gz ZMNVIOARWUDESG-AAEUAGOBSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC000806917220 612980693 /nfs/dbraw/zinc/98/06/93/612980693.db2.gz ZMNVIOARWUDESG-AAEUAGOBSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCCCNC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833362503 612981520 /nfs/dbraw/zinc/98/15/20/612981520.db2.gz VSLNPOUYGRLBFG-LBPRGKRZSA-N 0 1 281.356 0.590 20 30 CCEDMN C#CCOCCN1CCOC[C@@H]1C[C@@H]1CCOC1 ZINC000933663647 612984061 /nfs/dbraw/zinc/98/40/61/612984061.db2.gz RJNDQPUSEMWPDG-KBPBESRZSA-N 0 1 253.342 0.764 20 30 CCEDMN C#CCOCCNC(=O)N1CCc2sc(CN)nc2C1 ZINC000891775084 612986660 /nfs/dbraw/zinc/98/66/60/612986660.db2.gz KKHZCRIEOVDELX-UHFFFAOYSA-N 0 1 294.380 0.319 20 30 CCEDMN C#CCCN(CCOC)[C@@H]1CCN(CC=C)C1=O ZINC000852748890 612989920 /nfs/dbraw/zinc/98/99/20/612989920.db2.gz JZRSQDHAPKWSDB-CYBMUJFWSA-N 0 1 250.342 0.745 20 30 CCEDMN C#CCONC(=O)Cc1c(Br)cnn1C ZINC000880080051 612992408 /nfs/dbraw/zinc/99/24/08/612992408.db2.gz YUCANPKIIWIZGP-UHFFFAOYSA-N 0 1 272.102 0.406 20 30 CCEDMN C#CCONC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000812782195 612993685 /nfs/dbraw/zinc/99/36/85/612993685.db2.gz QSWOTDABFJPROU-UHFFFAOYSA-N 0 1 260.209 0.766 20 30 CCEDMN C#CCC[NH+]1CC([C@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000969542546 612998627 /nfs/dbraw/zinc/99/86/27/612998627.db2.gz FRMPUHRUPSNCHJ-NSHDSACASA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCO[C@H](C)C(=O)OC[C@H]1CN(C(C)C)CCO1 ZINC000835297557 613004114 /nfs/dbraw/zinc/00/41/14/613004114.db2.gz LVPPGOMGZYSAIT-CHWSQXEVSA-N 0 1 269.341 0.677 20 30 CCEDMN C#CCOc1ccc(CNC(=O)CCc2nn[nH]n2)cc1 ZINC000868723105 613005823 /nfs/dbraw/zinc/00/58/23/613005823.db2.gz UBZYZICILFLKLX-UHFFFAOYSA-N 0 1 285.307 0.461 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)c2n[nH]c(C)c2[O-])CC1 ZINC000980981724 613006850 /nfs/dbraw/zinc/00/68/50/613006850.db2.gz GOUHTHJEHXFCMC-UHFFFAOYSA-N 0 1 276.340 0.595 20 30 CCEDMN C#CCOc1ccccc1CN1CC[C@@](O)(C(=O)OC)C1 ZINC000895708917 613007611 /nfs/dbraw/zinc/00/76/11/613007611.db2.gz XNKGDPGROBECOP-INIZCTEOSA-N 0 1 289.331 0.808 20 30 CCEDMN C#CCCN1CCN(c2ccc(-c3nn[nH]n3)nc2)CC1 ZINC000823845482 613011871 /nfs/dbraw/zinc/01/18/71/613011871.db2.gz USBAZVYGQXRHDZ-UHFFFAOYSA-N 0 1 283.339 0.407 20 30 CCEDMN C#CCCN1CCOC2(CCN(C(=O)COC)CC2)C1 ZINC000949261147 613012341 /nfs/dbraw/zinc/01/23/41/613012341.db2.gz KJBIHKODWJRLHZ-UHFFFAOYSA-N 0 1 280.368 0.350 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2nccnc2N)C1 ZINC000965692598 613014790 /nfs/dbraw/zinc/01/47/90/613014790.db2.gz NBDLDIPUNIMXMN-NEPJUHHUSA-N 0 1 287.367 0.522 20 30 CCEDMN C#CCSCCNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000828458582 613017737 /nfs/dbraw/zinc/01/77/37/613017737.db2.gz AEPXDMGYPNVIOH-SECBINFHSA-N 0 1 264.354 0.707 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000913988126 613025143 /nfs/dbraw/zinc/02/51/43/613025143.db2.gz GEQYGYXRRIZLTJ-MJBXVCDLSA-N 0 1 297.399 0.169 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000921532056 613026547 /nfs/dbraw/zinc/02/65/47/613026547.db2.gz HLBFBVRMOMTUBU-ONGXEEELSA-N 0 1 264.329 0.333 20 30 CCEDMN C#CC[C@@H](CO)NCc1cnnn1Cc1ccccc1 ZINC000883125093 613030422 /nfs/dbraw/zinc/03/04/22/613030422.db2.gz YQAMNIVFQXWJDV-AWEZNQCLSA-N 0 1 270.336 0.800 20 30 CCEDMN C#CC[C@@H](CO)NCc1ccc(OCCOC)o1 ZINC000895965002 613030489 /nfs/dbraw/zinc/03/04/89/613030489.db2.gz RLTOFSWPZFQFDE-NSHDSACASA-N 0 1 253.298 0.779 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)c2cnn(CC)n2)C(C)(C)C1 ZINC000974561960 613038831 /nfs/dbraw/zinc/03/88/31/613038831.db2.gz XCIGGTDZPODOBZ-ZDUSSCGKSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CCc2c[nH+]c(C)n2C1)C(=O)[O-] ZINC000910049572 613041189 /nfs/dbraw/zinc/04/11/89/613041189.db2.gz XYFRKZJMEWNOTG-CMPLNLGQSA-N 0 1 275.308 0.347 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)[C@@]2(C)C[C@H](O)CN2C)C1 ZINC000911514752 613044775 /nfs/dbraw/zinc/04/47/75/613044775.db2.gz ADSGWNOXPKMIFH-VNHYZAJKSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000863784648 613049731 /nfs/dbraw/zinc/04/97/31/613049731.db2.gz PBAYLVVEOYWGAW-CHWSQXEVSA-N 0 1 284.425 0.968 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)[C@H](C)CN1CCOCC1 ZINC000863785278 613052017 /nfs/dbraw/zinc/05/20/17/613052017.db2.gz ZWGLZMWPFMSCNW-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000863781946 613052284 /nfs/dbraw/zinc/05/22/84/613052284.db2.gz QDBVFTOBFWHBHV-MNOVXSKESA-N 0 1 276.340 0.769 20 30 CCEDMN C#CC[C@H](CCOC)NCc1cc(C(=O)OC)no1 ZINC000877661350 613052632 /nfs/dbraw/zinc/05/26/32/613052632.db2.gz AGEWHVIPSQVMGK-SNVBAGLBSA-N 0 1 266.297 0.979 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1c[nH]c2c(C)cccc2c1=O ZINC000857262873 613057349 /nfs/dbraw/zinc/05/73/49/613057349.db2.gz KDWQUUVFBZEFMT-LLVKDONJSA-N 0 1 284.315 0.951 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000820059537 613057658 /nfs/dbraw/zinc/05/76/58/613057658.db2.gz IHGSBMZDUUGEAJ-GFCCVEGCSA-N 0 1 270.292 0.586 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)Cc1[nH]nc2ccccc21 ZINC000799076997 613060583 /nfs/dbraw/zinc/06/05/83/613060583.db2.gz WASXMRHYQURAFB-NSHDSACASA-N 0 1 271.320 0.996 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)NCc1cn[nH]c1C ZINC000798366232 613061527 /nfs/dbraw/zinc/06/15/27/613061527.db2.gz OSAFASSDLUXGOJ-NSHDSACASA-N 0 1 250.302 0.292 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1CCN(C(C)C)CC1 ZINC000875921788 613061834 /nfs/dbraw/zinc/06/18/34/613061834.db2.gz SMUBBGIGLOMOGB-CYBMUJFWSA-N 0 1 267.373 0.760 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C)C(=O)OC ZINC000880270779 613069651 /nfs/dbraw/zinc/06/96/51/613069651.db2.gz FOWWBKRAHOTOPS-JIMOISOXSA-N 0 1 289.335 0.757 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)C(C)(C)CCC(=O)[O-] ZINC000911404977 613072743 /nfs/dbraw/zinc/07/27/43/613072743.db2.gz MFCVQZVJATZTCU-UHFFFAOYSA-N 0 1 268.357 0.949 20 30 CCEDMN C#CC[C@H]1CC[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC000886362956 613074276 /nfs/dbraw/zinc/07/42/76/613074276.db2.gz UPDWUKCEMYMIAT-NSHDSACASA-N 0 1 256.309 0.868 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@H](C)Cc2cnc[nH]2)cn1 ZINC000834603005 613077298 /nfs/dbraw/zinc/07/72/98/613077298.db2.gz HRZRQMMLTJLTID-LLVKDONJSA-N 0 1 271.324 0.734 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)NC[C@H]2CCN2C)n1 ZINC000925416196 613078392 /nfs/dbraw/zinc/07/83/92/613078392.db2.gz HUKOBWRWRVVRCH-CQSZACIVSA-N 0 1 289.383 0.752 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)[C@@H](O)c2c[nH]cn2)n1 ZINC000867929367 613078627 /nfs/dbraw/zinc/07/86/27/613078627.db2.gz ABSAMPFIQFXWQV-ZDUSSCGKSA-N 0 1 287.323 0.322 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2ccnc(C)n2)C1 ZINC000972004820 613080751 /nfs/dbraw/zinc/08/07/51/613080751.db2.gz JGTQRZKORFPZCW-OAHLLOKOSA-N 0 1 286.379 0.884 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000854078592 613083684 /nfs/dbraw/zinc/08/36/84/613083684.db2.gz NZLPERAISKSWNM-XQQFMLRXSA-N 0 1 267.373 0.495 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC000972717495 613084196 /nfs/dbraw/zinc/08/41/96/613084196.db2.gz HETZQXCOSONLHI-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)nc(C)n2)C1 ZINC000972543386 613085122 /nfs/dbraw/zinc/08/51/22/613085122.db2.gz FCLHSJJIKSMKFM-CYBMUJFWSA-N 0 1 272.352 0.873 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NCCN1CCC(O)CC1 ZINC000895689650 613085414 /nfs/dbraw/zinc/08/54/14/613085414.db2.gz QQQQBTNYHSCPEC-LBPRGKRZSA-N 0 1 267.373 0.544 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnc(N(C)C)c2)C1 ZINC000972456623 613086956 /nfs/dbraw/zinc/08/69/56/613086956.db2.gz BUHYXGHXQVRKOV-CQSZACIVSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(=O)N2CCC[C@H]2CC)C1 ZINC000972690398 613088684 /nfs/dbraw/zinc/08/86/84/613088684.db2.gz JXCYYNRZKPMVRM-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(=O)N2CCC[C@H](C)C2)C1 ZINC000972494774 613088967 /nfs/dbraw/zinc/08/89/67/613088967.db2.gz DYXMCYDFLFJGDX-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COC2CCOCC2)C1 ZINC000972461976 613090097 /nfs/dbraw/zinc/09/00/97/613090097.db2.gz QIMIBAKSWOSEHS-ZDUSSCGKSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2c(C)nn(C)c2C)C1 ZINC000972042859 613090449 /nfs/dbraw/zinc/09/04/49/613090449.db2.gz SQELLUBWSUKMGO-AWEZNQCLSA-N 0 1 288.395 0.745 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(C)nnc2C)C1 ZINC000971598741 613094186 /nfs/dbraw/zinc/09/41/86/613094186.db2.gz CZJSDHSPXVCZHL-ZDUSSCGKSA-N 0 1 272.352 0.873 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(N(C)C)nc2)C1 ZINC000971844816 613094631 /nfs/dbraw/zinc/09/46/31/613094631.db2.gz RPIPYHIMIOJXTI-AWEZNQCLSA-N 0 1 286.379 0.927 20 30 CCEDMN C#C[C@@H](NS(=O)(=O)CCN(CC)CC)[C@@H]1CCCO1 ZINC000810065301 613099923 /nfs/dbraw/zinc/09/99/23/613099923.db2.gz QZKHCKGYNICHFX-OLZOCXBDSA-N 0 1 288.413 0.428 20 30 CCEDMN C#CCN(CC#N)C(=O)C1CCC(N2CCOCC2)CC1 ZINC000907979761 613100732 /nfs/dbraw/zinc/10/07/32/613100732.db2.gz MIGNIQHZMXSMCD-UHFFFAOYSA-N 0 1 289.379 0.863 20 30 CCEDMN C#CCN(CC#N)C(=O)C(C)(C)CN1CCOCC1 ZINC000822681265 613100821 /nfs/dbraw/zinc/10/08/21/613100821.db2.gz YNTKQXUEHBRFJQ-UHFFFAOYSA-N 0 1 263.341 0.330 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC([C@H]3CCOC3)CC2)C1=O ZINC000821772058 613109576 /nfs/dbraw/zinc/10/95/76/613109576.db2.gz WVYMZQCUONKXGO-KBPBESRZSA-N 0 1 290.363 0.496 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@]3(CC3(F)F)C2)C1=O ZINC000844274198 613109950 /nfs/dbraw/zinc/10/99/50/613109950.db2.gz OZKOMZDCCUPQMF-CABZTGNLSA-N 0 1 268.263 0.478 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)[C@H]2CCCCN2C)C1 ZINC000950631210 613110897 /nfs/dbraw/zinc/11/08/97/613110897.db2.gz GKYAUKYHBPRYHN-CQSZACIVSA-N 0 1 263.385 0.637 20 30 CCEDMN C#C[C@H](CC)NC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000847401277 613121209 /nfs/dbraw/zinc/12/12/09/613121209.db2.gz FDQPHGBFZYHUSA-ZJUUUORDSA-N 0 1 277.328 0.867 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000820661736 613122862 /nfs/dbraw/zinc/12/28/62/613122862.db2.gz VONPICJTGRAGIQ-CYBMUJFWSA-N 0 1 281.400 0.886 20 30 CCEDMN C#C[C@H](CO)NC(=O)[C@H](c1ccccc1)[N@H+](C)CC ZINC000854767997 613128098 /nfs/dbraw/zinc/12/80/98/613128098.db2.gz ICTCLJQNQYPYFT-KGLIPLIRSA-N 0 1 260.337 0.790 20 30 CCEDMN C#C[C@H](CO)NC(=O)[C@H](c1ccccc1)N(C)CC ZINC000854767997 613128099 /nfs/dbraw/zinc/12/80/99/613128099.db2.gz ICTCLJQNQYPYFT-KGLIPLIRSA-N 0 1 260.337 0.790 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC3CC2(C(=O)OC)C3)CC1 ZINC000855520589 613128771 /nfs/dbraw/zinc/12/87/71/613128771.db2.gz QSSNMYIKQXKJEO-UHFFFAOYSA-N 0 1 290.363 0.496 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](CO)[C@@H]2C)CC1 ZINC000857287513 613129135 /nfs/dbraw/zinc/12/91/35/613129135.db2.gz AFJWMFYHIZJUSH-DZGCQCFKSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCNC(=O)CC2(C)C)CC1 ZINC000913067166 613129142 /nfs/dbraw/zinc/12/91/42/613129142.db2.gz FCVOELKAWMNEEF-UHFFFAOYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC[NH+]1CCC(C(=O)N[C@@H]2[C@H]3C[N@@H+](CCF)C[C@H]32)CC1 ZINC000962784729 613131823 /nfs/dbraw/zinc/13/18/23/613131823.db2.gz YTWRTVVULMJDNU-FICVDOATSA-N 0 1 293.386 0.348 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)CCC(C)C)CC1 ZINC000928658084 613132744 /nfs/dbraw/zinc/13/27/44/613132744.db2.gz LBPJAYAETROXLU-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2ccncn2)CC1 ZINC000928653003 613132827 /nfs/dbraw/zinc/13/28/27/613132827.db2.gz VDJGZAZWAXSQQS-UHFFFAOYSA-N 0 1 272.352 0.623 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2cc(C3CC3)cn2)CC1 ZINC000928648250 613133495 /nfs/dbraw/zinc/13/34/95/613133495.db2.gz HSLRHHPSIDYWQH-UHFFFAOYSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H]2CCCO2)CC1 ZINC000905144318 613134310 /nfs/dbraw/zinc/13/43/10/613134310.db2.gz DRSXLHVMSAEKEX-ZDUSSCGKSA-N 0 1 265.357 0.562 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ccc(C#N)cn2)CC1 ZINC000922103863 613134366 /nfs/dbraw/zinc/13/43/66/613134366.db2.gz MNNHQCASQWCUAU-UHFFFAOYSA-N 0 1 297.362 0.850 20 30 CCEDMN C#CCN1CCC(NC(=O)NOC[C@@H]2CCOC2)CC1 ZINC000922150059 613134484 /nfs/dbraw/zinc/13/44/84/613134484.db2.gz QQXSCUSVDAIDDF-GFCCVEGCSA-N 0 1 281.356 0.351 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H]2CCCOC2)CC1 ZINC000914291691 613134546 /nfs/dbraw/zinc/13/45/46/613134546.db2.gz KJDSJEWITSULSD-ZDUSSCGKSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)c2ccnn2C)CC1 ZINC000923937423 613134775 /nfs/dbraw/zinc/13/47/75/613134775.db2.gz CZNBBKHOTNZQOB-LBPRGKRZSA-N 0 1 289.383 0.878 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2nc(C)n(C)n2)CC1 ZINC000931525996 613135525 /nfs/dbraw/zinc/13/55/25/613135525.db2.gz JYGZPEBSJWWHBH-UHFFFAOYSA-N 0 1 276.344 0.343 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)c2cncnc2)CC1 ZINC000928653041 613135916 /nfs/dbraw/zinc/13/59/16/613135916.db2.gz WPWZNIDPUNNAJB-LBPRGKRZSA-N 0 1 272.352 0.794 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc([N+](=O)[O-])cn2)CC1 ZINC000928655845 613137018 /nfs/dbraw/zinc/13/70/18/613137018.db2.gz ANXUUUIRRUDEHN-UHFFFAOYSA-N 0 1 288.307 0.817 20 30 CCEDMN C#CCN1CCC(Nc2ccc(C(=O)N(C)C)nn2)CC1 ZINC000895808378 613138662 /nfs/dbraw/zinc/13/86/62/613138662.db2.gz GYDXYUCPZIEURX-UHFFFAOYSA-N 0 1 287.367 0.688 20 30 CCEDMN C#CCN1CCC(Nc2ncnc3nc[nH]c32)CC1 ZINC000895806973 613139087 /nfs/dbraw/zinc/13/90/87/613139087.db2.gz GZNSOJNUQJMGJN-UHFFFAOYSA-N 0 1 256.313 0.862 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3cc[nH]n3)C2)C1 ZINC000981683484 613141049 /nfs/dbraw/zinc/14/10/49/613141049.db2.gz SGPRYXOTGXIJNY-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN C#C[C@H](NC(=O)NCc1cn[nH]c1C)C1CCOCC1 ZINC000853406272 613141300 /nfs/dbraw/zinc/14/13/00/613141300.db2.gz WWPNHROFFACZHQ-ZDUSSCGKSA-N 0 1 276.340 0.946 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)c1nnc[nH]1)C1CCOCC1 ZINC000823172797 613144193 /nfs/dbraw/zinc/14/41/93/613144193.db2.gz KXHCHBJVSQICFT-KOLCDFICSA-N 0 1 277.328 0.593 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC000968787649 613146042 /nfs/dbraw/zinc/14/60/42/613146042.db2.gz HKGFXPZSWUAOSK-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NCC(F)(F)F)[C@@H]1CCCO1 ZINC000839563324 613152747 /nfs/dbraw/zinc/15/27/47/613152747.db2.gz HSAOFJVANWONDR-UTLUCORTSA-N 0 1 278.274 0.824 20 30 CCEDMN C#C[C@H]1COCCN1Cc1n[nH]c(C)c1C(=O)OCC ZINC000852162445 613162990 /nfs/dbraw/zinc/16/29/90/613162990.db2.gz JYCOQDUCWQIDIU-NSHDSACASA-N 0 1 277.324 0.729 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H](NC=O)C(C)C ZINC000860530255 613176643 /nfs/dbraw/zinc/17/66/43/613176643.db2.gz UIZIOOFMEJLMNI-OLZOCXBDSA-N 0 1 266.341 0.398 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2nnc([C@@H]3CCOC3)[nH]2)nc1 ZINC000898857532 613182066 /nfs/dbraw/zinc/18/20/66/613182066.db2.gz SMJITUGBXULZDD-LLVKDONJSA-N 0 1 297.318 0.615 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2n[nH]c([C@@H]3CCOC3)n2)nc1 ZINC000898857532 613182067 /nfs/dbraw/zinc/18/20/67/613182067.db2.gz SMJITUGBXULZDD-LLVKDONJSA-N 0 1 297.318 0.615 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)C2CN(CCO)C2)cn1 ZINC000970765927 613188556 /nfs/dbraw/zinc/18/85/56/613188556.db2.gz HOMPZENSZIUGKV-LLVKDONJSA-N 0 1 273.336 0.105 20 30 CCEDMN C#CCN1CCC[C@H](NS(=O)(=O)c2ccccc2O)C1 ZINC000885508321 613193044 /nfs/dbraw/zinc/19/30/44/613193044.db2.gz FLCREILSIGISPC-LBPRGKRZSA-N 0 1 294.376 0.768 20 30 CCEDMN C#CCN1CCC[C@H](N[C@H]2C[C@H](C)n3ncnc32)C1 ZINC000926869153 613193761 /nfs/dbraw/zinc/19/37/61/613193761.db2.gz UDOHLACETQSAEV-AVGNSLFASA-N 0 1 259.357 0.971 20 30 CCEDMN C#Cc1ccc(CNC[C@@H](O)CS(C)(=O)=O)cc1 ZINC000922824545 613194455 /nfs/dbraw/zinc/19/44/55/613194455.db2.gz STKVKVCOSLJTDK-CYBMUJFWSA-N 0 1 267.350 0.163 20 30 CCEDMN C#Cc1ccc(CNC[C@H]2CC(C(N)=O)=NO2)cc1 ZINC000809425894 613194496 /nfs/dbraw/zinc/19/44/96/613194496.db2.gz YJZJTGWSGKVOKZ-GFCCVEGCSA-N 0 1 257.293 0.388 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000825619567 613197422 /nfs/dbraw/zinc/19/74/22/613197422.db2.gz OFOLEFSKVMAOFJ-UTUOFQBUSA-N 0 1 264.325 0.263 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@H](CC)C(=O)[O-] ZINC000909870731 613199058 /nfs/dbraw/zinc/19/90/58/613199058.db2.gz GZUKUFKVHYXYJC-MNOVXSKESA-N 0 1 252.314 0.311 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2C[C@H](C)NC[C@@H]2C)c1 ZINC000841206815 613202302 /nfs/dbraw/zinc/20/23/02/613202302.db2.gz DYILLTTUVLPMJQ-RYUDHWBXSA-N 0 1 285.347 0.815 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N[C@@H](C)c2n[nH]c(C)n2)c1 ZINC000807400222 613203211 /nfs/dbraw/zinc/20/32/11/613203211.db2.gz WRBKANOCQFVOSL-VIFPVBQESA-N 0 1 297.318 0.910 20 30 CCEDMN C#Cc1cccc(NC(=O)CN(C)C[C@@H]2CNC(=O)C2)c1 ZINC000851729721 613203790 /nfs/dbraw/zinc/20/37/90/613203790.db2.gz FTHVSRLKDXBTJP-ZDUSSCGKSA-N 0 1 285.347 0.674 20 30 CCEDMN C#Cc1cnc(N2CCN([C@H]3CCC[C@H]3O)CC2)nc1 ZINC000827558210 613209733 /nfs/dbraw/zinc/20/97/33/613209733.db2.gz XNVYUYHZPVZRFT-UONOGXRCSA-N 0 1 272.352 0.493 20 30 CCEDMN C#Cc1cnc(N2C[C@H](C)N(CCO)C[C@@H]2C)nc1 ZINC000842046561 613210279 /nfs/dbraw/zinc/21/02/79/613210279.db2.gz ZKTHYILPWXXKRE-RYUDHWBXSA-N 0 1 260.341 0.349 20 30 CCEDMN C#CCN1CCO[C@@]2(CCN(C(=O)CN3CCCC3)C2)C1 ZINC000972674383 613210819 /nfs/dbraw/zinc/21/08/19/613210819.db2.gz OPQZNMDJVJGNMS-INIZCTEOSA-N 0 1 291.395 0.019 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC000966557240 613216148 /nfs/dbraw/zinc/21/61/48/613216148.db2.gz CAXMFAGZKPYHDE-MFKMUULPSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC000966557240 613216150 /nfs/dbraw/zinc/21/61/50/613216150.db2.gz CAXMFAGZKPYHDE-MFKMUULPSA-N 0 1 298.350 0.426 20 30 CCEDMN C(=NNc1cncnc1)c1cn(C[C@@H]2CCCO2)nn1 ZINC000872373038 613223796 /nfs/dbraw/zinc/22/37/96/613223796.db2.gz XCZKFJXSNVOSMA-LBPRGKRZSA-N 0 1 273.300 0.693 20 30 CCEDMN C=C(Br)CNC(=O)CCc1c[nH]nn1 ZINC000865023746 613236110 /nfs/dbraw/zinc/23/61/10/613236110.db2.gz MNJZRWJETJLWEL-UHFFFAOYSA-N 0 1 259.107 0.762 20 30 CCEDMN C=C(Br)CNC(=O)CCc1cnn[nH]1 ZINC000865023746 613236111 /nfs/dbraw/zinc/23/61/11/613236111.db2.gz MNJZRWJETJLWEL-UHFFFAOYSA-N 0 1 259.107 0.762 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(CC)n2)C(C)(C)C1 ZINC000940725824 613241820 /nfs/dbraw/zinc/24/18/20/613241820.db2.gz GLQIYIIWMUMUJM-CYBMUJFWSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnnn2C)C[C@@H]1C ZINC000947266200 613242261 /nfs/dbraw/zinc/24/22/61/613242261.db2.gz QSHWYGQCVPJAEY-WDEREUQCSA-N 0 1 261.329 0.031 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnnn2CC)C[C@H]1C ZINC000947260993 613242670 /nfs/dbraw/zinc/24/26/70/613242670.db2.gz BRJOROVEBZXWSF-VXGBXAGGSA-N 0 1 275.356 0.514 20 30 CCEDMN C/C(=C/c1ccccn1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000848417453 613244647 /nfs/dbraw/zinc/24/46/47/613244647.db2.gz AAWHAWBZYHSOPJ-MLIUNOBVSA-N 0 1 256.309 0.713 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966883222 613247461 /nfs/dbraw/zinc/24/74/61/613247461.db2.gz CFLIRBGCQBAYEP-ONGXEEELSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]2(F)CCOC2)C(C)(C)C1 ZINC000940980118 613263474 /nfs/dbraw/zinc/26/34/74/613263474.db2.gz NSKLGGOVCLJOCA-WFASDCNBSA-N 0 1 282.359 0.965 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)[C@@H](C)C1 ZINC000942106631 613269906 /nfs/dbraw/zinc/26/99/06/613269906.db2.gz PQMUUBUNTKNRGX-QWRGUYRKSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3cccnc23)[C@H](C)C1 ZINC000942550424 613276004 /nfs/dbraw/zinc/27/60/04/613276004.db2.gz DJGMNTUSKNTCDP-OCCSQVGLSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C(C)(C)C1 ZINC000974639450 613282420 /nfs/dbraw/zinc/28/24/20/613282420.db2.gz HPDPWHJZKGTMIE-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)c(CC)o2)C1 ZINC000958588576 613285564 /nfs/dbraw/zinc/28/55/64/613285564.db2.gz UTOBGCXEVQTKMV-QWHCGFSZSA-N 0 1 290.363 0.806 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2=CCCCCC2)C1 ZINC000958005045 613285776 /nfs/dbraw/zinc/28/57/76/613285776.db2.gz JNGAUFPHDFQOTR-LSDHHAIUSA-N 0 1 276.380 0.919 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccn3ccccc23)C1 ZINC000958250214 613286693 /nfs/dbraw/zinc/28/66/93/613286693.db2.gz YNFZTQKPJHXNGD-XJKSGUPXSA-N 0 1 297.358 0.595 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2nc(Cl)cs2)C1 ZINC000958328675 613287847 /nfs/dbraw/zinc/28/78/47/613287847.db2.gz KYPLHVJOUHISRB-DTWKUNHWSA-N 0 1 299.783 0.452 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2oc(CC)nc2C)C1 ZINC000958571105 613287971 /nfs/dbraw/zinc/28/79/71/613287971.db2.gz RKASKBPPWLYHDH-NWDGAFQWSA-N 0 1 291.351 0.201 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2oc(CC)cc2C)C1 ZINC000958590930 613288073 /nfs/dbraw/zinc/28/80/73/613288073.db2.gz CQZJVKOKFVUNDD-GXTWGEPZSA-N 0 1 290.363 0.806 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C(C)C)n[nH]2)[C@H](O)C1 ZINC000958414415 613288213 /nfs/dbraw/zinc/28/82/13/613288213.db2.gz ZERALRKUYBSNMJ-BXUZGUMPSA-N 0 1 290.367 0.189 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)c(C)cn2)[C@H](O)C1 ZINC000958282216 613288269 /nfs/dbraw/zinc/28/82/69/613288269.db2.gz XDYYFYCUPGTACH-UKRRQHHQSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc[nH]c2C2CC2)[C@H](O)C1 ZINC000958354591 613289227 /nfs/dbraw/zinc/28/92/27/613289227.db2.gz IQHXOANUBFRAOU-TZMCWYRMSA-N 0 1 287.363 0.548 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2csc(C)c2)[C@H](O)C1 ZINC000958486287 613290624 /nfs/dbraw/zinc/29/06/24/613290624.db2.gz YUCNQLJOESISAZ-CHWSQXEVSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2conc2C(C)C)[C@H](O)C1 ZINC000958129868 613290799 /nfs/dbraw/zinc/29/07/99/613290799.db2.gz ADKOLJKRWQGVKI-DGCLKSJQSA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ncc(Cl)s2)[C@H](O)C1 ZINC000958253644 613290804 /nfs/dbraw/zinc/29/08/04/613290804.db2.gz IYLLXBAFZPOFHL-RKDXNWHRSA-N 0 1 299.783 0.452 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000860156837 613293108 /nfs/dbraw/zinc/29/31/08/613293108.db2.gz HHWXZBSDOGXBBO-VXGBXAGGSA-N 0 1 266.341 0.516 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)ccc2F)C1 ZINC000958434029 613294758 /nfs/dbraw/zinc/29/47/58/613294758.db2.gz GJKHMHRNPUWJQY-WFASDCNBSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C)c(C)n2)C1 ZINC000958266751 613294824 /nfs/dbraw/zinc/29/48/24/613294824.db2.gz CEFIDVMUQHWLHE-ZFWWWQNUSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC000958403963 613296303 /nfs/dbraw/zinc/29/63/03/613296303.db2.gz LDNWNDDQJWMKHF-FZMZJTMJSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2nc(CC)c[nH]2)C(C)(C)C1 ZINC000974686443 613297662 /nfs/dbraw/zinc/29/76/62/613297662.db2.gz ONYWQHMKSUVGDY-ZDUSSCGKSA-N 0 1 288.395 0.974 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C(C)(C)C1 ZINC000974840806 613298679 /nfs/dbraw/zinc/29/86/79/613298679.db2.gz BNCSYIAWZUSJSN-QZOBBIQNSA-N 0 1 288.391 0.870 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc(Cl)c2)C1 ZINC000958614717 613303603 /nfs/dbraw/zinc/30/36/03/613303603.db2.gz XVPXUSMOBBQDCC-OCCSQVGLSA-N 0 1 292.766 0.996 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccsc2C)C1 ZINC000958020732 613303756 /nfs/dbraw/zinc/30/37/56/613303756.db2.gz TUYNVVVWSOZCCF-YPMHNXCESA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2coc(C(F)F)c2)C1 ZINC000958356670 613304261 /nfs/dbraw/zinc/30/42/61/613304261.db2.gz QQSRRBRIIBZVFR-MNOVXSKESA-N 0 1 298.289 0.873 20 30 CCEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2nccnc2N)C1 ZINC000969425115 613304522 /nfs/dbraw/zinc/30/45/22/613304522.db2.gz WPTNMQQEJOGRPO-JTQLQIEISA-N 0 1 275.356 0.685 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC000981967518 613311240 /nfs/dbraw/zinc/31/12/40/613311240.db2.gz DXPFXYBATVEYKW-NEPJUHHUSA-N 0 1 265.357 0.218 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2cc3n(n2)CCO3)CC1 ZINC000981343205 613312084 /nfs/dbraw/zinc/31/20/84/613312084.db2.gz VYODVZNDRPBCQO-UHFFFAOYSA-N 0 1 290.367 1.000 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1c[nH]c2ncccc12 ZINC000962896741 613314464 /nfs/dbraw/zinc/31/44/64/613314464.db2.gz ZFDBSNNPDHGPSF-FOLVSLTJSA-N 0 1 294.358 0.785 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccccc1O ZINC000958240511 613320027 /nfs/dbraw/zinc/32/00/27/613320027.db2.gz ASNRKEDGELJVCK-IMRBUKKESA-N 0 1 256.305 0.685 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccn1CC ZINC000962571766 613320056 /nfs/dbraw/zinc/32/00/56/613320056.db2.gz ZVDRHWNAWLFQOY-IMRBUKKESA-N 0 1 257.337 0.801 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccncc1CC ZINC000960676710 613320613 /nfs/dbraw/zinc/32/06/13/613320613.db2.gz ZSTHPBGTKZLTIZ-FICVDOATSA-N 0 1 269.348 0.937 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(CCC)nc1C ZINC000962137446 613320708 /nfs/dbraw/zinc/32/07/08/613320708.db2.gz XEFQUPWMNZVGIG-FICVDOATSA-N 0 1 286.379 0.895 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnn1C(C)C ZINC000960086437 613320830 /nfs/dbraw/zinc/32/08/30/613320830.db2.gz JYSCUOFQXUAHTB-IMRBUKKESA-N 0 1 272.352 0.757 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccncc1F ZINC000958457052 613320847 /nfs/dbraw/zinc/32/08/47/613320847.db2.gz RVUWMQOGPNJTEO-PJXYFTJBSA-N 0 1 259.284 0.514 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C)n1C ZINC000961589658 613320941 /nfs/dbraw/zinc/32/09/41/613320941.db2.gz MWTDNAAPBSLODI-PJXYFTJBSA-N 0 1 258.325 0.022 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2cccnc2n1 ZINC000960794791 613321035 /nfs/dbraw/zinc/32/10/35/613321035.db2.gz WZYGEJSCDXSYIT-PJXYFTJBSA-N 0 1 281.319 0.023 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(CC2CC2)c1 ZINC000962236400 613321575 /nfs/dbraw/zinc/32/15/75/613321575.db2.gz DZDKGRSLTLJQKX-FICVDOATSA-N 0 1 284.363 0.586 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncc2ccccn21 ZINC000961105291 613321750 /nfs/dbraw/zinc/32/17/50/613321750.db2.gz LYUICANXJYYAEH-WDNDVIMCSA-N 0 1 280.331 0.628 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncoc1-c1ccon1 ZINC000962305510 613322446 /nfs/dbraw/zinc/32/24/46/613322446.db2.gz KSUWMOHMOWQCPB-IAZYJMLFSA-N 0 1 298.302 0.623 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nnn(C(C)C)c1C ZINC000962166055 613322715 /nfs/dbraw/zinc/32/27/15/613322715.db2.gz AHEZPDQJLAIJJK-IMRBUKKESA-N 0 1 287.367 0.461 20 30 CCEDMN C#CCNC(=O)CCN1CCOC[C@@H]1C[C@H]1CCCO1 ZINC000933686431 613325111 /nfs/dbraw/zinc/32/51/11/613325111.db2.gz ZCZJXDZBJLSAEM-UONOGXRCSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCNC(=O)CCN1[C@H](C)C(=O)N(C)[C@@H](C)[C@@H]1C ZINC000878753250 613325961 /nfs/dbraw/zinc/32/59/61/613325961.db2.gz XTABKRQYZJCBDS-SDDRHHMPSA-N 0 1 265.357 0.065 20 30 CCEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cn(C)nn2)C1 ZINC000965986466 613326074 /nfs/dbraw/zinc/32/60/74/613326074.db2.gz YZCGBIFYJLINDS-VXGBXAGGSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000957975612 613341238 /nfs/dbraw/zinc/34/12/38/613341238.db2.gz RGSKXMVPEQXNIU-QWRGUYRKSA-N 0 1 294.355 0.022 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)c1[nH]ncc1F)C(=O)OC ZINC000913661764 613356190 /nfs/dbraw/zinc/35/61/90/613356190.db2.gz VELPQKCWXMZVIT-MRVPVSSYSA-N 0 1 255.249 0.786 20 30 CCEDMN C=C(C)C[C@H]1NC(=O)N(C[C@H]2CCN2CC)C1=O ZINC000925098835 613360052 /nfs/dbraw/zinc/36/00/52/613360052.db2.gz WAZJLHKOGQUVHK-GHMZBOCLSA-N 0 1 251.330 0.967 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC000906617848 613361111 /nfs/dbraw/zinc/36/11/11/613361111.db2.gz RGUUNZRGTMZWKW-DCAQKATOSA-N 0 1 293.327 0.081 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000906617848 613361112 /nfs/dbraw/zinc/36/11/12/613361112.db2.gz RGUUNZRGTMZWKW-DCAQKATOSA-N 0 1 293.327 0.081 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[N@H+]2CC[C@H]1C2 ZINC000908533455 613361128 /nfs/dbraw/zinc/36/11/28/613361128.db2.gz MOWOFSGNRQPTRQ-AVGNSLFASA-N 0 1 250.342 0.884 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCN2CC[C@H]1C2 ZINC000908533455 613361129 /nfs/dbraw/zinc/36/11/29/613361129.db2.gz MOWOFSGNRQPTRQ-AVGNSLFASA-N 0 1 250.342 0.884 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NC[C@@H]1CC[N@@H+]1C ZINC000922323841 613362025 /nfs/dbraw/zinc/36/20/25/613362025.db2.gz JIDKONPMKLPWSD-AVGNSLFASA-N 0 1 267.373 0.971 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NC[C@@H]1CCN1C ZINC000922323841 613362026 /nfs/dbraw/zinc/36/20/26/613362026.db2.gz JIDKONPMKLPWSD-AVGNSLFASA-N 0 1 267.373 0.971 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cncnc2)C1 ZINC000968523135 613384871 /nfs/dbraw/zinc/38/48/71/613384871.db2.gz WHGACYRRUQEZJH-GFCCVEGCSA-N 0 1 280.759 0.962 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC000969915594 613385536 /nfs/dbraw/zinc/38/55/36/613385536.db2.gz HSXFQFXPQFBMMB-VXGBXAGGSA-N 0 1 299.802 0.988 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2nc[nH]n2)C[C@@H]1C ZINC000939337978 613387126 /nfs/dbraw/zinc/38/71/26/613387126.db2.gz MDEXNHOUCXUJRX-CBAPKCEASA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(C)[C@H](C)[C@H](C)S(C)(=O)=O ZINC000876663006 613389001 /nfs/dbraw/zinc/38/90/01/613389001.db2.gz VMUZZNLPAAPVFG-UTLUCORTSA-N 0 1 296.820 0.736 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N1CCS(=O)(=O)[C@@H](C)C1 ZINC000876670988 613389589 /nfs/dbraw/zinc/38/95/89/613389589.db2.gz SMUKGRZEWINWCP-IUCAKERBSA-N 0 1 280.777 0.102 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@H](C(=O)NC)C1 ZINC000878094514 613397673 /nfs/dbraw/zinc/39/76/73/613397673.db2.gz QDAKQWNNNSTPHF-QWRGUYRKSA-N 0 1 254.330 0.562 20 30 CCEDMN C=CC[C@@H](C)[C@H](C)NCc1nnc2n1CCNC2=O ZINC000883303369 613400816 /nfs/dbraw/zinc/40/08/16/613400816.db2.gz WBQGVUBCHQBHMK-ZJUUUORDSA-N 0 1 263.345 0.712 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN(CCCCCO)CC1 ZINC000931491619 613411230 /nfs/dbraw/zinc/41/12/30/613411230.db2.gz CCPJYPHTCIKNIO-CQSZACIVSA-N 0 1 284.400 0.884 20 30 CCEDMN C=CC[C@H]1CC[C@@H](NCc2nnc3n2CCNC3=O)C1 ZINC000883293873 613423020 /nfs/dbraw/zinc/42/30/20/613423020.db2.gz JOBFIJLVDJBNIM-WDEREUQCSA-N 0 1 275.356 0.856 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC000983081851 613438291 /nfs/dbraw/zinc/43/82/91/613438291.db2.gz IRYCYGGCGDHDTK-SNVBAGLBSA-N 0 1 291.355 0.595 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NCCN1CCC(O)CC1 ZINC000895578758 613445794 /nfs/dbraw/zinc/44/57/94/613445794.db2.gz SUMNOUIUJRSTOT-SNVBAGLBSA-N 0 1 257.334 0.248 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000938991822 613440000 /nfs/dbraw/zinc/44/00/00/613440000.db2.gz SZUSIDBQIRXQNE-NSHDSACASA-N 0 1 290.367 0.882 20 30 CCEDMN C=CC(C)(C)CCCNS(=O)(=O)C[C@@H]1CNCCO1 ZINC000871806832 613447006 /nfs/dbraw/zinc/44/70/06/613447006.db2.gz OFEOAMPAPATMSI-LBPRGKRZSA-N 0 1 290.429 0.887 20 30 CCEDMN C=CC(C)(C)CNC(=O)NCc1n[nH]c(=O)n1C ZINC000889160288 613447922 /nfs/dbraw/zinc/44/79/22/613447922.db2.gz DHKMDDMFHMKWGL-UHFFFAOYSA-N 0 1 253.306 0.532 20 30 CCEDMN C=CCC(CC=C)C(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000913496260 613453562 /nfs/dbraw/zinc/45/35/62/613453562.db2.gz WUXNRMJQMMEVDP-NSHDSACASA-N 0 1 277.328 0.868 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NCCN1CCC(O)CC1 ZINC000895444339 613469452 /nfs/dbraw/zinc/46/94/52/613469452.db2.gz SERVOONCCNQPEX-JSGCOSHPSA-N 0 1 297.399 0.333 20 30 CCEDMN C=CCCC[C@H](NC(=O)Cc1cnc[nH]1)C(=O)OC ZINC000928314021 613473489 /nfs/dbraw/zinc/47/34/89/613473489.db2.gz NXEIJOCYQGSLQQ-NSHDSACASA-N 0 1 265.313 0.966 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC000910918326 613473894 /nfs/dbraw/zinc/47/38/94/613473894.db2.gz YAJWVODGBYTOGR-QWRGUYRKSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC000910918326 613473897 /nfs/dbraw/zinc/47/38/97/613473897.db2.gz YAJWVODGBYTOGR-QWRGUYRKSA-N 0 1 270.329 0.243 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC000950998459 613475599 /nfs/dbraw/zinc/47/55/99/613475599.db2.gz OQSIYNSLMOMNGU-HZMBPMFUSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)C#CC3CC3)CC2)C1 ZINC000941400886 613481588 /nfs/dbraw/zinc/48/15/88/613481588.db2.gz HTWXVBSWLARJHE-UHFFFAOYSA-N 0 1 287.407 0.804 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)c3cnon3)CC2)C1 ZINC000941613252 613482247 /nfs/dbraw/zinc/48/22/47/613482247.db2.gz LARXSRUYMMYDGB-UHFFFAOYSA-N 0 1 291.355 0.088 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC000949757948 613506226 /nfs/dbraw/zinc/50/62/26/613506226.db2.gz KTEWSZQZUIGMCN-GFCCVEGCSA-N 0 1 265.357 0.185 20 30 CCEDMN C=C[C@](C)(O)c1cn([C@@H](C)c2n[nH]c(CC)n2)nn1 ZINC000881385673 613510846 /nfs/dbraw/zinc/51/08/46/613510846.db2.gz UBEIZDKEMFJAEV-UFBFGSQYSA-N 0 1 262.317 0.961 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000969897908 613535051 /nfs/dbraw/zinc/53/50/51/613535051.db2.gz RDVBZXGGBIECGE-SNVBAGLBSA-N 0 1 274.324 0.256 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cc(OCC)n[nH]2)C1 ZINC000969945590 613537758 /nfs/dbraw/zinc/53/77/58/613537758.db2.gz WXONCIVACOAQRX-NSHDSACASA-N 0 1 290.367 0.882 20 30 CCEDMN CC#CCN1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000957621720 613568325 /nfs/dbraw/zinc/56/83/25/613568325.db2.gz MICXXSKKNJXYKL-UHFFFAOYSA-N 0 1 283.335 0.739 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965555239 613571570 /nfs/dbraw/zinc/57/15/70/613571570.db2.gz GARZPJUEKLCRBV-HIFRSBDPSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2cncn2)C1 ZINC000968620053 613572503 /nfs/dbraw/zinc/57/25/03/613572503.db2.gz QZUJIWXXNIHSIK-OLZOCXBDSA-N 0 1 275.356 0.128 20 30 CCEDMN C=CCCO[N-]C(=O)C[N@@H+]1CCC[C@@H](C(=O)OCC)C1 ZINC000912628394 613576743 /nfs/dbraw/zinc/57/67/43/613576743.db2.gz AMUQGAXTYLXNKH-GFCCVEGCSA-N 0 1 284.356 0.885 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ncn(C)n2)C[C@H]1C ZINC000947280519 613589326 /nfs/dbraw/zinc/58/93/26/613589326.db2.gz BRBLTULRIYXQAL-VXGBXAGGSA-N 0 1 275.356 0.421 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@H](C)[C@@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC000929049952 613589916 /nfs/dbraw/zinc/58/99/16/613589916.db2.gz NQWXSCINHUIQAV-WOPDTQHZSA-N 0 1 299.371 0.424 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@H](C)[C@@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC000929049952 613589918 /nfs/dbraw/zinc/58/99/18/613589918.db2.gz NQWXSCINHUIQAV-WOPDTQHZSA-N 0 1 299.371 0.424 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)CC2OCCCO2)C1 ZINC000965946696 613590491 /nfs/dbraw/zinc/59/04/91/613590491.db2.gz QMVIOXACSGTUNR-KBPBESRZSA-N 0 1 294.395 0.989 20 30 CCEDMN C=CCN(C(=O)NC[C@@H]1CCN1C)[C@H](C)COC ZINC000925430980 613605661 /nfs/dbraw/zinc/60/56/61/613605661.db2.gz RNBCKPIUHXZYDX-NEPJUHHUSA-N 0 1 255.362 0.923 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnon2)[C@H](C)C1 ZINC000943725309 613605923 /nfs/dbraw/zinc/60/59/23/613605923.db2.gz AJPFSXOOKDOQIJ-MNOVXSKESA-N 0 1 262.313 0.533 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CC3(CCC3)C2)C1 ZINC000958328129 613613197 /nfs/dbraw/zinc/61/31/97/613613197.db2.gz AHUQJMRXFUWJKR-LSDHHAIUSA-N 0 1 290.407 0.999 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccc(F)s2)[C@H](O)C1 ZINC000958740191 613614624 /nfs/dbraw/zinc/61/46/24/613614624.db2.gz KTLDUUQYLPGWFO-GHMZBOCLSA-N 0 1 296.367 0.933 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2coc(C)n2)[C@H](O)C1 ZINC000958003801 613615604 /nfs/dbraw/zinc/61/56/04/613615604.db2.gz AETFDPZDJJIBRK-DGCLKSJQSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cocc2C)[C@H](O)C1 ZINC000958323625 613615898 /nfs/dbraw/zinc/61/58/98/613615898.db2.gz CRTSDTSWHQQELE-TZMCWYRMSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C)n2C)C1 ZINC000957872495 613618404 /nfs/dbraw/zinc/61/84/04/613618404.db2.gz CJVXVTFNVILEGC-ZFWWWQNUSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2scnc2C)C1 ZINC000957897575 613620484 /nfs/dbraw/zinc/62/04/84/613620484.db2.gz ILBJKUTUAGVMRF-RYUDHWBXSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000972863137 613622507 /nfs/dbraw/zinc/62/25/07/613622507.db2.gz XKBNLFRBAGGNHJ-NEPJUHHUSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)sn2)C1 ZINC000958014705 613624684 /nfs/dbraw/zinc/62/46/84/613624684.db2.gz ICAYJWBJJPTHKS-YPMHNXCESA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc(F)cn1 ZINC000960624706 613634093 /nfs/dbraw/zinc/63/40/93/613634093.db2.gz VAFHBQJVCBDUBM-FOLVSLTJSA-N 0 1 287.338 0.833 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cc(C)nn1C ZINC000961079604 613634304 /nfs/dbraw/zinc/63/43/04/613634304.db2.gz LXGSZZKCTJKSRD-FOLVSLTJSA-N 0 1 286.379 0.341 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC000961236517 613636369 /nfs/dbraw/zinc/63/63/69/613636369.db2.gz KKVQUQNCTFLEDK-YZXKGSGOSA-N 0 1 288.391 0.871 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CC)nn1C ZINC000962121613 613639281 /nfs/dbraw/zinc/63/92/81/613639281.db2.gz NVIIVDVTAYQZBR-NHAGDIPZSA-N 0 1 286.379 0.666 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(N(C)C)cn1 ZINC000962908095 613640290 /nfs/dbraw/zinc/64/02/90/613640290.db2.gz PLVIGIHECVFIGX-FOLVSLTJSA-N 0 1 298.390 0.831 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc[nH]c(=O)c1 ZINC000958526503 613641461 /nfs/dbraw/zinc/64/14/61/613641461.db2.gz VKYUNUSYEHQSHS-IMRBUKKESA-N 0 1 271.320 0.471 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)C[N@H+](C)[C@@H]1CCSC1 ZINC000909516966 613642030 /nfs/dbraw/zinc/64/20/30/613642030.db2.gz VKZDQXXGTNTOJF-SNVBAGLBSA-N 0 1 272.370 0.523 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncnc1 ZINC000958639159 613642273 /nfs/dbraw/zinc/64/22/73/613642273.db2.gz ZEIFGLJHKAPJSZ-ITGUQSILSA-N 0 1 256.309 0.160 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2cc[nH]c12 ZINC000962413950 613642452 /nfs/dbraw/zinc/64/24/52/613642452.db2.gz JABJNQORBWRFRQ-ITGUQSILSA-N 0 1 283.335 0.346 20 30 CCEDMN CC#CCNC(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000155902171 613643977 /nfs/dbraw/zinc/64/39/77/613643977.db2.gz KWORDUPAYMHBOF-UHFFFAOYSA-N 0 1 287.344 0.825 20 30 CCEDMN C=CC[N@@H+](CCc1ccco1)CC(=O)NCC(=O)[O-] ZINC000833374456 613650431 /nfs/dbraw/zinc/65/04/31/613650431.db2.gz KELVFRRHDLOKSS-UHFFFAOYSA-N 0 1 266.297 0.511 20 30 CCEDMN C=CC[N@H+](CCc1ccco1)CC(=O)NCC(=O)[O-] ZINC000833374456 613650433 /nfs/dbraw/zinc/65/04/33/613650433.db2.gz KELVFRRHDLOKSS-UHFFFAOYSA-N 0 1 266.297 0.511 20 30 CCEDMN CC(=[NH+]NCC(=O)[O-])c1cc([N+](=O)[O-])ccc1N ZINC000814956575 613654318 /nfs/dbraw/zinc/65/43/18/613654318.db2.gz FRHIYBMYTUZQIH-UHFFFAOYSA-N 0 1 252.230 0.575 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cn(C)nc2OC)C1 ZINC000970266390 613666578 /nfs/dbraw/zinc/66/65/78/613666578.db2.gz WVYCXRROPKMFDI-SNVBAGLBSA-N 0 1 278.356 0.665 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(CN(C)C)on2)C1 ZINC000970013405 613671031 /nfs/dbraw/zinc/67/10/31/613671031.db2.gz SYVBCFVNQLBIKC-NSHDSACASA-N 0 1 292.383 0.972 20 30 CCEDMN C=CCN1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000957617816 613716984 /nfs/dbraw/zinc/71/69/84/613716984.db2.gz IYAHMPRLDDQAJW-UHFFFAOYSA-N 0 1 271.324 0.902 20 30 CCEDMN C=CCN1CCO[C@]2(CCN(C(=O)c3[nH]nnc3C)C2)C1 ZINC000972492988 613725954 /nfs/dbraw/zinc/72/59/54/613725954.db2.gz UGKIAJBLWWQKAY-CQSZACIVSA-N 0 1 291.355 0.216 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cnn(C)n2)C1 ZINC000968413752 613731526 /nfs/dbraw/zinc/73/15/26/613731526.db2.gz MUHVZZUUXFJOPU-PWSUYJOCSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ncn(C)n2)C1 ZINC000965996138 613731902 /nfs/dbraw/zinc/73/19/02/613731902.db2.gz OQKJWXOIPDWEMF-MNOVXSKESA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cc2c[nH]cn2)C1=O ZINC000907958563 613737726 /nfs/dbraw/zinc/73/77/26/613737726.db2.gz GTEWYIJNIMZLGF-LLVKDONJSA-N 0 1 262.313 0.198 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000844 613767888 /nfs/dbraw/zinc/76/78/88/613767888.db2.gz SUSVORMZZDAQDW-JQWIXIFHSA-N 0 1 277.372 0.668 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC000965924319 613770606 /nfs/dbraw/zinc/77/06/06/613770606.db2.gz BCTOHUQXCVLBLV-JQWIXIFHSA-N 0 1 277.372 0.629 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2ncn(C)n2)C(C)(C)C1 ZINC000974559107 613812479 /nfs/dbraw/zinc/81/24/79/613812479.db2.gz JWMSPCFHFISXSH-SNVBAGLBSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)no2)C1 ZINC000957860072 613813082 /nfs/dbraw/zinc/81/30/82/613813082.db2.gz ZKAJVBMWGHLLHW-WDEREUQCSA-N 0 1 265.313 0.192 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974648080 613827384 /nfs/dbraw/zinc/82/73/84/613827384.db2.gz ZSYODACZUMFVFX-NEPJUHHUSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCNC(=O)CN1CCC([C@H]2COC(=O)N2)CC1 ZINC000932782019 613845212 /nfs/dbraw/zinc/84/52/12/613845212.db2.gz BFYUPNQTMSOXOA-LLVKDONJSA-N 0 1 267.329 0.109 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H]2CSC[C@]2(C)C1 ZINC000934778943 613849903 /nfs/dbraw/zinc/84/99/03/613849903.db2.gz QOMWTTYXTJESCR-MFKMUULPSA-N 0 1 283.397 0.683 20 30 CCEDMN C=CCNc1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000834506655 613877095 /nfs/dbraw/zinc/87/70/95/613877095.db2.gz UTDNDRZEAWVKNW-BDAKNGLRSA-N 0 1 277.353 0.582 20 30 CCEDMN C=CCONC(=O)N1CCc2sc(CN)nc2C1 ZINC000891942294 613883776 /nfs/dbraw/zinc/88/37/76/613883776.db2.gz CYDJXZQUDHIAAO-UHFFFAOYSA-N 0 1 268.342 0.787 20 30 CCEDMN C=CCONC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000834931241 613883925 /nfs/dbraw/zinc/88/39/25/613883925.db2.gz CIELNBMYORMQPR-VXGBXAGGSA-N 0 1 271.361 0.903 20 30 CCEDMN C=CCOc1cccc(CNC[C@@H](O)CS(C)(=O)=O)c1 ZINC000922827167 613884705 /nfs/dbraw/zinc/88/47/05/613884705.db2.gz OOZGMUMGEKPOOQ-CYBMUJFWSA-N 0 1 299.392 0.747 20 30 CCEDMN CC(C)(C)N1CC[C@H]1C(=O)NCCn1cnc(C#N)n1 ZINC000897744793 613940225 /nfs/dbraw/zinc/94/02/25/613940225.db2.gz YLSNIZCYDCBTGM-JTQLQIEISA-N 0 1 276.344 0.139 20 30 CCEDMN CC(C)CC(=O)N[C@H](C(=O)NCC#CCN(C)C)C(C)C ZINC000913517123 614020060 /nfs/dbraw/zinc/02/00/60/614020060.db2.gz UCZSMIPSWVEFRU-HNNXBMFYSA-N 0 1 295.427 0.855 20 30 CCEDMN CC(C)(C)n1cc(C(=O)C(=O)N[C@@H]2CNC[C@@H]2C#N)cn1 ZINC000834489187 614059357 /nfs/dbraw/zinc/05/93/57/614059357.db2.gz KMMGKHKVJTUJHO-GXSJLCMTSA-N 0 1 289.339 0.049 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC000839719213 614186841 /nfs/dbraw/zinc/18/68/41/614186841.db2.gz OPVTXOXRMRHLFG-UTUOFQBUSA-N 0 1 280.328 0.344 20 30 CCEDMN CC(=O)Nc1ccccc1CC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834500698 614206690 /nfs/dbraw/zinc/20/66/90/614206690.db2.gz WILCATDLSZNFPA-TZMCWYRMSA-N 0 1 286.335 0.415 20 30 CCEDMN CC(=O)[C@](C)(O)CN(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000844370876 614243655 /nfs/dbraw/zinc/24/36/55/614243655.db2.gz KLESWYNYFVGEJP-HUUCEWRRSA-N 0 1 276.336 0.863 20 30 CCEDMN CC(C)Cn1nccc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000843459532 614244789 /nfs/dbraw/zinc/24/47/89/614244789.db2.gz FUEKTLDAVBUKQA-GHMZBOCLSA-N 0 1 261.329 0.380 20 30 CCEDMN CC(=O)c1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000834512391 614252192 /nfs/dbraw/zinc/25/21/92/614252192.db2.gz VWEXPXXLUFOMRH-BDAKNGLRSA-N 0 1 263.322 0.792 20 30 CCEDMN CC(=O)c1cncc(C(=O)NCC#CCN(C)C)c1 ZINC000913525028 614266042 /nfs/dbraw/zinc/26/60/42/614266042.db2.gz HKAQFODPVXXBLE-UHFFFAOYSA-N 0 1 259.309 0.579 20 30 CCEDMN CC(C)c1nnc(CCC(=O)NCC#CCN(C)C)o1 ZINC000913519311 614332725 /nfs/dbraw/zinc/33/27/25/614332725.db2.gz VRUBDFAGPQGSBH-UHFFFAOYSA-N 0 1 278.356 0.807 20 30 CCEDMN CC(C)N1CCC[C@@H]1C(=O)N[C@H]1CCCN(O)C1=O ZINC000820544629 614345803 /nfs/dbraw/zinc/34/58/03/614345803.db2.gz NVJXHRUDJYDYLN-WDEREUQCSA-N 0 1 269.345 0.356 20 30 CCEDMN CC(C)n1cc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)cn1 ZINC000848598721 614357024 /nfs/dbraw/zinc/35/70/24/614357024.db2.gz QEWDRLRYRSOQBD-GXSJLCMTSA-N 0 1 262.317 0.697 20 30 CCEDMN CCCCc1nc(Cn2cnc(-c3nn[nH]n3)c2C#N)no1 ZINC000825296302 614491089 /nfs/dbraw/zinc/49/10/89/614491089.db2.gz CJYCAYQGDLEKBT-UHFFFAOYSA-N 0 1 299.298 0.709 20 30 CCEDMN CCCc1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000834489821 614495616 /nfs/dbraw/zinc/49/56/16/614495616.db2.gz PSEUGAZVZHRQEJ-IUCAKERBSA-N 0 1 264.354 0.937 20 30 CCEDMN CCCc1nnc(NC(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000841015527 614497343 /nfs/dbraw/zinc/49/73/43/614497343.db2.gz IPASGCKGGCIZKT-HTQZYQBOSA-N 0 1 280.357 0.724 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@@H]1NC(=O)CN1CCCC1 ZINC000941221283 614603672 /nfs/dbraw/zinc/60/36/72/614603672.db2.gz PGALKQNGJDGGFK-ZDUSSCGKSA-N 0 1 278.400 0.822 20 30 CCEDMN CC1(C)CO[C@H](CC(=O)N[C@H]2CNC[C@H]2C#N)C1 ZINC000884249449 614732931 /nfs/dbraw/zinc/73/29/31/614732931.db2.gz LZYAKMFWHHCGAT-MXWKQRLJSA-N 0 1 251.330 0.419 20 30 CCEDMN CC1(C)[C@H]2[C@H](CCCN2CC(=O)NCCC#N)[C@@H]1O ZINC000872255131 614857836 /nfs/dbraw/zinc/85/78/36/614857836.db2.gz QAAFNYBFTRGXJE-UHTWSYAYSA-N 0 1 265.357 0.498 20 30 CCEDMN CCCNN=Cc1c(C)nn(C)c1N1CCOCC1 ZINC000755651801 614884131 /nfs/dbraw/zinc/88/41/31/614884131.db2.gz OBNARQKPIZLGFO-UHFFFAOYSA-N 0 1 265.361 0.899 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@H]1CC[C@H]1OC ZINC000934124397 615021159 /nfs/dbraw/zinc/02/11/59/615021159.db2.gz HSERXLKTVVOSLI-NWDGAFQWSA-N 0 1 253.346 0.858 20 30 CCEDMN CCN(CCC(N)=O)CC(=O)N[C@](C)(C#N)C(C)C ZINC000932480942 615040428 /nfs/dbraw/zinc/04/04/28/615040428.db2.gz FXESMFOOAONMNI-CYBMUJFWSA-N 0 1 268.361 0.238 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000895858733 615061377 /nfs/dbraw/zinc/06/13/77/615061377.db2.gz QSFKINDWPHFUCM-WCQYABFASA-N 0 1 265.357 0.715 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@]1(C)C[C@@H](O)CN1C ZINC000900239087 615064898 /nfs/dbraw/zinc/06/48/98/615064898.db2.gz AEKRSUCVCUDKQV-WZRBSPASSA-N 0 1 253.346 0.450 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@H]1CC1(F)F ZINC000835057963 615244321 /nfs/dbraw/zinc/24/43/21/615244321.db2.gz HVDTXTJUEDPEHV-DTWKUNHWSA-N 0 1 274.267 0.893 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@H](C)CO1 ZINC000892458918 615245509 /nfs/dbraw/zinc/24/55/09/615245509.db2.gz NLEAKEVMAABONU-QJPTWQEYSA-N 0 1 282.340 0.663 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)N1CCO[C@@](C)(C#N)C1 ZINC000896462164 615315497 /nfs/dbraw/zinc/31/54/97/615315497.db2.gz VHXSZCNXOZGXSB-AAEUAGOBSA-N 0 1 266.345 0.405 20 30 CCEDMN CCS(=O)(=O)NC1CCN(CCOCCC#N)CC1 ZINC000903010752 615341352 /nfs/dbraw/zinc/34/13/52/615341352.db2.gz ZFOZBLOBBKIAMM-UHFFFAOYSA-N 0 1 289.401 0.320 20 30 CCEDMN CC[C@@H]1CN(CC)CCN1C(=O)NCC#CCO ZINC000923768660 615563306 /nfs/dbraw/zinc/56/33/06/615563306.db2.gz OPNPTYIPGMVWET-GFCCVEGCSA-N 0 1 253.346 0.108 20 30 CCEDMN CCn1cccc(NC(=O)NCC#CCN(C)C)c1=O ZINC000931728300 615601806 /nfs/dbraw/zinc/60/18/06/615601806.db2.gz BMMJITQTZJCQMW-UHFFFAOYSA-N 0 1 276.340 0.555 20 30 CCEDMN CCc1c(C(=O)N[C@@H]2CNC[C@@H]2C#N)[nH]c(C)c1C(C)=O ZINC000834489492 615605997 /nfs/dbraw/zinc/60/59/97/615605997.db2.gz MYAGPKFSQKGDAY-CMPLNLGQSA-N 0 1 288.351 0.930 20 30 CCEDMN CCn1nc(C)c(C(=O)NCC#CCN(C)C)c1C ZINC000913518464 615644029 /nfs/dbraw/zinc/64/40/29/615644029.db2.gz QIFSHOYWHKKUIU-UHFFFAOYSA-N 0 1 262.357 0.815 20 30 CCEDMN CCc1ccc(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834512371 615677179 /nfs/dbraw/zinc/67/71/79/615677179.db2.gz VKBKYFKWXUFWBQ-GXTWGEPZSA-N 0 1 273.336 0.856 20 30 CCEDMN CCc1nc([C@H](C)N(CC#N)CCC(=O)OC)n[nH]1 ZINC000879472066 615755614 /nfs/dbraw/zinc/75/56/14/615755614.db2.gz OTDWNDCWWWADCV-VIFPVBQESA-N 0 1 265.317 0.817 20 30 CCEDMN CN(C)C1(C(=O)NCCNc2ccc(C#N)cn2)CC1 ZINC000821460381 615842425 /nfs/dbraw/zinc/84/24/25/615842425.db2.gz YPCRHXJOEIFCDE-UHFFFAOYSA-N 0 1 273.340 0.576 20 30 CCEDMN CN(C)C1(CS(=O)(=O)Oc2ccc(F)nc2C#N)CC1 ZINC000867275194 615853562 /nfs/dbraw/zinc/85/35/62/615853562.db2.gz PTZSTYVNXLRRLU-UHFFFAOYSA-N 0 1 299.327 0.895 20 30 CCEDMN CN(C)CC#CCNC(=O)CCN(C)C(=O)OC(C)(C)C ZINC000913522606 615857421 /nfs/dbraw/zinc/85/74/21/615857421.db2.gz QQTZAKSDGBYGNN-UHFFFAOYSA-N 0 1 297.399 0.925 20 30 CCEDMN CN(C)CC#CCNC(=O)CCCNC(=O)C(C)(C)C ZINC000913516169 615857727 /nfs/dbraw/zinc/85/77/27/615857727.db2.gz DXXKJLZRONKDSE-UHFFFAOYSA-N 0 1 281.400 0.610 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000913519410 615858305 /nfs/dbraw/zinc/85/83/05/615858305.db2.gz YOBFLBFRJFZNQZ-UHFFFAOYSA-N 0 1 275.308 0.819 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc2c(c1)OCCO2 ZINC000913515066 615858356 /nfs/dbraw/zinc/85/83/56/615858356.db2.gz HNNRHCUNBXESBX-UHFFFAOYSA-N 0 1 288.347 0.682 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@@H]1CC(C)(C)CO1 ZINC000913524946 615858469 /nfs/dbraw/zinc/85/84/69/615858469.db2.gz CVBYTAUCOKBDCV-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1cc(Cl)cn1 ZINC000913522664 615858771 /nfs/dbraw/zinc/85/87/71/615858771.db2.gz SVYLJNWWTDKOHW-UHFFFAOYSA-N 0 1 254.721 0.218 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CC(c2cccnc2)=NO1 ZINC000913521561 615860307 /nfs/dbraw/zinc/86/03/07/615860307.db2.gz DVFYQJRWKZEYRW-CQSZACIVSA-N 0 1 286.335 0.256 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc(OCC2CC2)cn1 ZINC000913522501 615861753 /nfs/dbraw/zinc/86/17/53/615861753.db2.gz MGIBDVSRKDIASO-UHFFFAOYSA-N 0 1 288.351 0.560 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn2ccccc2n1 ZINC000913516042 615862133 /nfs/dbraw/zinc/86/21/33/615862133.db2.gz YBEOIDPWOSGTIJ-UHFFFAOYSA-N 0 1 256.309 0.629 20 30 CCEDMN CCn1cc(C#N)c(=O)n(Cc2[nH]c(C)nc2C)c1=O ZINC000916520628 615930068 /nfs/dbraw/zinc/93/00/68/615930068.db2.gz CFNCPMNQTMZVTK-UHFFFAOYSA-N 0 1 273.296 0.290 20 30 CCEDMN CN(C)c1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834512462 616020604 /nfs/dbraw/zinc/02/06/04/616020604.db2.gz XJGJRWAFGIWSIL-YPMHNXCESA-N 0 1 258.325 0.594 20 30 CCEDMN CN(C)c1ncc(C=NNc2nccn(C)c2=O)cn1 ZINC000814863680 616035614 /nfs/dbraw/zinc/03/56/14/616035614.db2.gz XMLGMODGUYHAMV-UHFFFAOYSA-N 0 1 273.300 0.082 20 30 CCEDMN CN(CC(=O)N1CCO[C@@](C)(C#N)C1)[C@@H]1CCSC1 ZINC000887680577 616044763 /nfs/dbraw/zinc/04/47/63/616044763.db2.gz IYFXSKBGKFYSQI-YPMHNXCESA-N 0 1 283.397 0.565 20 30 CCEDMN C[N@H+](CCC(=O)[O-])[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000818457268 616069135 /nfs/dbraw/zinc/06/91/35/616069135.db2.gz FZHDSTGEHJKIKV-GFCCVEGCSA-N 0 1 261.281 0.491 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000818457268 616069136 /nfs/dbraw/zinc/06/91/36/616069136.db2.gz FZHDSTGEHJKIKV-GFCCVEGCSA-N 0 1 261.281 0.491 20 30 CCEDMN CN(Cc1cnn(C)c1)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971512338 616096928 /nfs/dbraw/zinc/09/69/28/616096928.db2.gz BAZCKLTVDNBRTR-HNNXBMFYSA-N 0 1 286.379 0.866 20 30 CCEDMN C[N@@H+](CCNc1cnccc1C#N)CCOCCO ZINC000882951232 616097579 /nfs/dbraw/zinc/09/75/79/616097579.db2.gz VGOQOYDBVSDKLA-UHFFFAOYSA-N 0 1 264.329 0.306 20 30 CCEDMN CN([C@@H]1CN2CCC1CC2)S(=O)(=O)CC(C)(C)C#N ZINC000916478156 616115477 /nfs/dbraw/zinc/11/54/77/616115477.db2.gz FZPODJKTBPKXOG-GFCCVEGCSA-N 0 1 285.413 0.892 20 30 CCEDMN CN1CCC(CN2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)CC1 ZINC000897058325 616169920 /nfs/dbraw/zinc/16/99/20/616169920.db2.gz NLHLXEOHKKAFPN-KGLIPLIRSA-N 0 1 297.424 0.198 20 30 CCEDMN CN1CCC[C@@H](N2CCC3(CC2)CC(=O)C=CO3)C1=O ZINC000933649167 616189132 /nfs/dbraw/zinc/18/91/32/616189132.db2.gz XWXMAVCCZZNGQF-CYBMUJFWSA-N 0 1 278.352 0.945 20 30 CCEDMN CN1CCCC(N2CCC3(CC2)CC(=O)C=CO3)C1=O ZINC000933649167 616189134 /nfs/dbraw/zinc/18/91/34/616189134.db2.gz XWXMAVCCZZNGQF-CYBMUJFWSA-N 0 1 278.352 0.945 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@@H]1CCCN(CC#N)C1 ZINC000896628914 616192930 /nfs/dbraw/zinc/19/29/30/616192930.db2.gz CFYHFCOCJHRSDI-QWHCGFSZSA-N 0 1 264.373 0.432 20 30 CCEDMN CN1CCN(C(=O)Cn2cnc(C#N)n2)C[C@H]1C(C)(C)C ZINC000912033016 616225239 /nfs/dbraw/zinc/22/52/39/616225239.db2.gz MNACNNQJOGXBPP-NSHDSACASA-N 0 1 290.371 0.338 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N2CCC[C@H](C#N)C2)C1 ZINC000917960242 616237579 /nfs/dbraw/zinc/23/75/79/616237579.db2.gz OSOBYIWXVTXGMV-OLZOCXBDSA-N 0 1 279.388 0.177 20 30 CCEDMN CN1CCN(CCNC(=O)c2coc(C#N)c2)CC1 ZINC000864658603 616242067 /nfs/dbraw/zinc/24/20/67/616242067.db2.gz JWGXRCLJBCGPRY-UHFFFAOYSA-N 0 1 262.313 0.128 20 30 CCEDMN COC(=O)[C@@H]1CC[N@@H+](CCCC#N)[C@H](C(=O)[O-])C1 ZINC000833710519 616305612 /nfs/dbraw/zinc/30/56/12/616305612.db2.gz WCBQGLFIKNFZNS-ZJUUUORDSA-N 0 1 254.286 0.628 20 30 CCEDMN COCCN1CC[C@H](NC(=O)C#Cc2cccs2)C1 ZINC000825765269 616412625 /nfs/dbraw/zinc/41/26/25/616412625.db2.gz VZJSWDNUIYDLCG-LBPRGKRZSA-N 0 1 278.377 0.937 20 30 CCEDMN COCCN1CC[C@H](NC(=O)C2(C#N)CCSCC2)C1 ZINC000932263631 616412748 /nfs/dbraw/zinc/41/27/48/616412748.db2.gz WNNGRKAKZASDOJ-LBPRGKRZSA-N 0 1 297.424 0.860 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N1CCC[C@H](CC#N)C1 ZINC000912293312 616495442 /nfs/dbraw/zinc/49/54/42/616495442.db2.gz ZMMGTKCFSHBMGC-YRGRVCCFSA-N 0 1 265.357 0.594 20 30 CCEDMN COCC#CCN1CCC([C@@]2(C)COC(=O)N2)CC1 ZINC000932098012 616527374 /nfs/dbraw/zinc/52/73/74/616527374.db2.gz ZDWLEUJEAXCZIE-CQSZACIVSA-N 0 1 266.341 0.847 20 30 CCEDMN CNC(=O)CN1C[C@]2(C)CN(C(=O)[C@H](C)C#N)C[C@]2(C)C1 ZINC000982237144 616633313 /nfs/dbraw/zinc/63/33/13/616633313.db2.gz WXFLGCOUDQXNHB-DFBGVHRSSA-N 0 1 292.383 0.062 20 30 CCEDMN CO[C@@H]1COCC[C@H]1N(C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000880424055 616811530 /nfs/dbraw/zinc/81/15/30/616811530.db2.gz HERSWLSWMQURCJ-NFAWXSAZSA-N 0 1 297.399 0.777 20 30 CCEDMN COCCC1(C(=O)N[C@H]2CNC[C@@H]2C#N)CCC1 ZINC000834479699 616840131 /nfs/dbraw/zinc/84/01/31/616840131.db2.gz HTHSJNVOOHVQAW-QWRGUYRKSA-N 0 1 251.330 0.421 20 30 CCEDMN COCc1cc(CN=Nc2nnc(C)n2C)no1 ZINC000853432239 616883824 /nfs/dbraw/zinc/88/38/24/616883824.db2.gz QQSNMLIJLUXQGJ-UHFFFAOYSA-N 0 1 250.262 0.704 20 30 CCEDMN COC(=O)c1ccc(C=NNc2ncnc3nc[nH]c32)n1C ZINC000814814081 616891347 /nfs/dbraw/zinc/89/13/47/616891347.db2.gz WCQOPTNNZWJCQE-UHFFFAOYSA-N 0 1 299.294 0.924 20 30 CCEDMN CNC(=O)c1ccc(CN=Nc2cnnn2C)cc1 ZINC000814981773 616907371 /nfs/dbraw/zinc/90/73/71/616907371.db2.gz HTFGOQRRTYXONY-UHFFFAOYSA-N 0 1 258.285 0.621 20 30 CCEDMN COCc1nc(C(=O)NCC#CCN(C)C)cs1 ZINC000913517457 616925845 /nfs/dbraw/zinc/92/58/45/616925845.db2.gz BHSIQTFPIHWHRL-UHFFFAOYSA-N 0 1 267.354 0.584 20 30 CCEDMN COC(=O)c1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834490622 616926008 /nfs/dbraw/zinc/92/60/08/616926008.db2.gz WHRYGAYEEHFGCK-RYUDHWBXSA-N 0 1 273.292 0.315 20 30 CCEDMN CNC(=S)NN=C1Oc2cc(O)cc(C)c2C1=O ZINC000915936132 616932200 /nfs/dbraw/zinc/93/22/00/616932200.db2.gz CIQOGBZFAJUDIY-UHFFFAOYSA-N 0 1 265.294 0.683 20 30 CCEDMN COC[C@@H]1CNCCN1C(=O)Nc1snc(C)c1C#N ZINC000904469888 616968959 /nfs/dbraw/zinc/96/89/59/616968959.db2.gz CJZZTSGGFMIWKY-VIFPVBQESA-N 0 1 295.368 0.775 20 30 CCEDMN COCCN(C)c1ccc(C=NNCCO)cn1 ZINC000814943948 616972423 /nfs/dbraw/zinc/97/24/23/616972423.db2.gz OSXXTYFWMTWPJL-UHFFFAOYSA-N 0 1 252.318 0.080 20 30 CCEDMN CO[C@H](C)CN1CC(N2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000941347879 617054772 /nfs/dbraw/zinc/05/47/72/617054772.db2.gz FTGGPRCBGRMZJC-QWHCGFSZSA-N 0 1 294.399 0.009 20 30 CCEDMN CNc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc(Cl)n1 ZINC000834490879 617056226 /nfs/dbraw/zinc/05/62/26/617056226.db2.gz XURXEDCPGYYRSL-IUCAKERBSA-N 0 1 279.731 0.618 20 30 CCEDMN CO[C@H]1CN(C)[C@](C)(COC(=O)CSCC#N)C1 ZINC000861340546 617110735 /nfs/dbraw/zinc/11/07/35/617110735.db2.gz JMTVIBQTNKBDNP-PWSUYJOCSA-N 0 1 272.370 0.896 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@H](NC(=O)c2[n-]ncc2C(F)(F)F)C1 ZINC001020228188 625291595 /nfs/dbraw/zinc/29/15/95/625291595.db2.gz VRYWLFDAMRWSCC-ZKCHVHJHSA-N 0 1 286.257 0.912 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@@H](C)CO1 ZINC001025911026 625301809 /nfs/dbraw/zinc/30/18/09/625301809.db2.gz AJHCAMZBRWYDPL-DGTMBMJNSA-N 0 1 262.353 0.481 20 30 CCEDMN N#CCNC1CCC(NC(=O)Cc2cnc[nH]2)CC1 ZINC001026568211 625473780 /nfs/dbraw/zinc/47/37/80/625473780.db2.gz YLHXOZAJABKCCG-UHFFFAOYSA-N 0 1 261.329 0.493 20 30 CCEDMN CCn1ncc(CNC[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001026732324 625573133 /nfs/dbraw/zinc/57/31/33/625573133.db2.gz IDELTXHLLQCSPA-RYUDHWBXSA-N 0 1 290.371 0.396 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CNCc2csnn2)C1 ZINC001026732136 625574241 /nfs/dbraw/zinc/57/42/41/625574241.db2.gz GXGAXRSWALZEJA-VHSXEESVSA-N 0 1 279.369 0.636 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001023306826 622993092 /nfs/dbraw/zinc/99/30/92/622993092.db2.gz NRJFJMFLCBOVDR-GFCCVEGCSA-N 0 1 290.367 0.889 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2coc(COC)n2)C1 ZINC001023676858 623102789 /nfs/dbraw/zinc/10/27/89/623102789.db2.gz VYBUYCNVVWRDML-GFCCVEGCSA-N 0 1 291.351 0.896 20 30 CCEDMN Cc1noc(CNC[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001026833881 625630202 /nfs/dbraw/zinc/63/02/02/625630202.db2.gz BKUZBMPPXGKVOI-ZDUSSCGKSA-N 0 1 288.351 0.730 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCNC1=O ZINC001024491798 623335482 /nfs/dbraw/zinc/33/54/82/623335482.db2.gz FSJKPDVKWLHJHW-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001024498277 623339291 /nfs/dbraw/zinc/33/92/91/623339291.db2.gz VJEFRGQEILVXSF-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CC[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024520027 623343722 /nfs/dbraw/zinc/34/37/22/623343722.db2.gz BUBCOEQQFHPHAP-OLZOCXBDSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024520027 623343731 /nfs/dbraw/zinc/34/37/31/623343731.db2.gz BUBCOEQQFHPHAP-OLZOCXBDSA-N 0 1 279.384 0.669 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCN(C)C1=O ZINC001024597681 623387918 /nfs/dbraw/zinc/38/79/18/623387918.db2.gz VQBNQQADNONHQE-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cccn(C)c1=O ZINC001024765121 623435540 /nfs/dbraw/zinc/43/55/40/623435540.db2.gz BZKYUQJOGQBIGP-ZDUSSCGKSA-N 0 1 287.363 0.603 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001007451215 623642258 /nfs/dbraw/zinc/64/22/58/623642258.db2.gz GHWCUHYCQXPCOD-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NCc2ccns2)C1 ZINC001018633663 623700543 /nfs/dbraw/zinc/70/05/43/623700543.db2.gz ZBENURORTQNBCZ-UWVGGRQHSA-N 0 1 264.354 0.993 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCCN(C[C@@H](C)O)C2)nn1 ZINC001008795720 625717007 /nfs/dbraw/zinc/71/70/07/625717007.db2.gz RMDVXVISQONRDV-NEPJUHHUSA-N 0 1 293.371 0.039 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC[C@H]2CCN2C(=O)c2ccn[nH]2)c1 ZINC000949283401 624499937 /nfs/dbraw/zinc/49/99/37/624499937.db2.gz MQWJITGVMCKZFC-SNVBAGLBSA-N 0 1 298.306 0.254 20 30 CCEDMN C[C@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)C1CN(CC#N)C1 ZINC000969666727 624529643 /nfs/dbraw/zinc/52/96/43/624529643.db2.gz DUMUHMLQLDWCML-CMPLNLGQSA-N 0 1 287.367 0.790 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000188721884 624730733 /nfs/dbraw/zinc/73/07/33/624730733.db2.gz XZIFEZLVAHTWGI-ZDUSSCGKSA-N 0 1 282.384 0.330 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@@H]2CN(CC#N)CC[C@H]21 ZINC001021649126 624803042 /nfs/dbraw/zinc/80/30/42/624803042.db2.gz OZXWXNXQMWPYHQ-VXGBXAGGSA-N 0 1 288.355 0.563 20 30 CCEDMN N#Cc1cc(CN2CCC(C(=O)NCCO)CC2)cs1 ZINC000891614312 624891662 /nfs/dbraw/zinc/89/16/62/624891662.db2.gz MRQCFFYCCQKUEK-UHFFFAOYSA-N 0 1 293.392 0.940 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(C(=O)CN(C)C)CC2)c1 ZINC000891763585 624922118 /nfs/dbraw/zinc/92/21/18/624922118.db2.gz AVQUWSAFNJNXAG-UHFFFAOYSA-N 0 1 287.367 0.472 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C2CCN(C(N)=O)CC2)C1 ZINC001009466876 625832471 /nfs/dbraw/zinc/83/24/71/625832471.db2.gz UXUGCSRYZYIGRY-ZDUSSCGKSA-N 0 1 294.399 0.544 20 30 CCEDMN CN(CC#N)[C@@H]1CCCN(C(=O)[C@@H]2CCC[N@H+]2C)C1 ZINC001027332681 625834966 /nfs/dbraw/zinc/83/49/66/625834966.db2.gz QOLMTFOFBMFSLI-OLZOCXBDSA-N 0 1 264.373 0.527 20 30 CCEDMN CN(CC#N)[C@@H]1CCCN(C(=O)[C@@H]2CCCN2C)C1 ZINC001027332681 625834971 /nfs/dbraw/zinc/83/49/71/625834971.db2.gz QOLMTFOFBMFSLI-OLZOCXBDSA-N 0 1 264.373 0.527 20 30 CCEDMN C[C@H]1CN(S(=O)(=O)c2ccc(C#N)cn2)[C@@H](C)CN1 ZINC000903489634 634587744 /nfs/dbraw/zinc/58/77/44/634587744.db2.gz CUALDWWXLRHJIE-UWVGGRQHSA-N 0 1 280.353 0.324 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc(C)n(C)n1 ZINC001027779613 630908991 /nfs/dbraw/zinc/90/89/91/630908991.db2.gz YTJXKRAJEQFPAA-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001027827664 630954297 /nfs/dbraw/zinc/95/42/97/630954297.db2.gz XLKMKCBWLWSZGQ-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCCO1 ZINC001027829003 630956116 /nfs/dbraw/zinc/95/61/16/630956116.db2.gz SPOADUYBQKMEDZ-QWHCGFSZSA-N 0 1 250.342 0.769 20 30 CCEDMN N#Cc1cc(C(=O)N2CCCN(CCCO)CC2)c[nH]1 ZINC000981006320 631091931 /nfs/dbraw/zinc/09/19/31/631091931.db2.gz XFXTZEBBJZGMCF-UHFFFAOYSA-N 0 1 276.340 0.417 20 30 CCEDMN N#CCN1CCCN(C(=O)[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000981165723 631242466 /nfs/dbraw/zinc/24/24/66/631242466.db2.gz YXAYBGWNVYXQOE-CYBMUJFWSA-N 0 1 287.367 0.887 20 30 CCEDMN C[C@]12CN(CC#N)C[C@@]1(C)CN(C(=O)[C@@H]1CC1[N+](=O)[O-])C2 ZINC000982278524 631394430 /nfs/dbraw/zinc/39/44/30/631394430.db2.gz HFDHKLHOTJMJNP-OXHZDVMGSA-N 0 1 292.339 0.346 20 30 CCEDMN Cc1ncc(CN[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)o1 ZINC000967974529 650006001 /nfs/dbraw/zinc/00/60/01/650006001.db2.gz HOLPLXUUQWMQDD-MWLCHTKSSA-N 0 1 262.313 0.833 20 30 CCEDMN C[C@@H](Nc1nccnc1C#N)[C@@H]1CN(C)CCN1C ZINC000895245214 632032794 /nfs/dbraw/zinc/03/27/94/632032794.db2.gz DHQDIGSKAUBMJV-PWSUYJOCSA-N 0 1 260.345 0.394 20 30 CCEDMN Cc1nc(C#N)cc(N[C@H](C)[C@@H]2CN(C)CCN2C)n1 ZINC000895247478 632037718 /nfs/dbraw/zinc/03/77/18/632037718.db2.gz UNGKPFPEYHPPLZ-MFKMUULPSA-N 0 1 274.372 0.703 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2CCC(=O)N2)C1 ZINC001006919024 650057364 /nfs/dbraw/zinc/05/73/64/650057364.db2.gz HLOVJLAPIBSBSJ-NWDGAFQWSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896546527 632276385 /nfs/dbraw/zinc/27/63/85/632276385.db2.gz WFSNHRPZSUHFSJ-QWHCGFSZSA-N 0 1 251.374 0.540 20 30 CCEDMN C[C@H](NC(=O)C(C)(C)C#N)[C@H]1C[N@@H+](C)CCN1C ZINC000896569229 632286370 /nfs/dbraw/zinc/28/63/70/632286370.db2.gz FNICJYFCCVFZKD-WDEREUQCSA-N 0 1 252.362 0.287 20 30 CCEDMN C[C@H](NC(=O)C(C)(C)C#N)[C@H]1CN(C)CCN1C ZINC000896569229 632286373 /nfs/dbraw/zinc/28/63/73/632286373.db2.gz FNICJYFCCVFZKD-WDEREUQCSA-N 0 1 252.362 0.287 20 30 CCEDMN C=C(Cl)CN(C)C1CCN(C(=O)c2nnn(C)n2)CC1 ZINC000985585521 632572637 /nfs/dbraw/zinc/57/26/37/632572637.db2.gz NSHHOGBPJSYKTN-UHFFFAOYSA-N 0 1 298.778 0.499 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ccnc3ccnn32)C1 ZINC001007496057 650080493 /nfs/dbraw/zinc/08/04/93/650080493.db2.gz NLIBJJOLWFJNGE-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001007549460 650083669 /nfs/dbraw/zinc/08/36/69/650083669.db2.gz ZBSRDIPHBMPWSX-GFCCVEGCSA-N 0 1 274.324 0.400 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001007660418 650087079 /nfs/dbraw/zinc/08/70/79/650087079.db2.gz FQKXTTXQIRIDLJ-AWEZNQCLSA-N 0 1 287.363 0.603 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@H](NCC#N)[C@@H]2C)n[nH]1 ZINC000986000831 632691209 /nfs/dbraw/zinc/69/12/09/632691209.db2.gz IOVQKEUPOFNFNZ-ONGXEEELSA-N 0 1 261.329 0.688 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnnn2C)[C@H]1C ZINC000986241438 632734858 /nfs/dbraw/zinc/73/48/58/632734858.db2.gz IXAMCKHKCZQWIX-VHSXEESVSA-N 0 1 283.763 0.760 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001008054928 650100733 /nfs/dbraw/zinc/10/07/33/650100733.db2.gz BUUCWGXBXXNBMI-CHWSQXEVSA-N 0 1 279.384 0.669 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CN(C)C(=O)c2ccn[nH]2)C1 ZINC000988297606 632973516 /nfs/dbraw/zinc/97/35/16/632973516.db2.gz UGKFDORFSGVHRW-MNOVXSKESA-N 0 1 289.339 0.490 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC000988685155 633083522 /nfs/dbraw/zinc/08/35/22/633083522.db2.gz OUUHLWUDODDMHE-MNOVXSKESA-N 0 1 259.309 0.613 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001008250381 650107807 /nfs/dbraw/zinc/10/78/07/650107807.db2.gz JQPVPNRKIWZQKL-LBPRGKRZSA-N 0 1 288.351 0.708 20 30 CCEDMN C[C@H]1C[C@@H](NCC#N)CN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000988870821 633122805 /nfs/dbraw/zinc/12/28/05/633122805.db2.gz NVXVHDLWYZBNEA-VHSXEESVSA-N 0 1 284.323 0.674 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](NCC#N)C[C@@H]2C)CC1 ZINC000989828698 633364629 /nfs/dbraw/zinc/36/46/29/633364629.db2.gz HTCAGMCQPPXWEF-ZFWWWQNUSA-N 0 1 288.395 0.434 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnccn3)CCC[C@H]12 ZINC000989919239 633385177 /nfs/dbraw/zinc/38/51/77/633385177.db2.gz MRWVBXJMTVGVIH-ZFWWWQNUSA-N 0 1 270.336 0.837 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3CCCO3)CCC[C@@H]12 ZINC000989932139 633386189 /nfs/dbraw/zinc/38/61/89/633386189.db2.gz LKKUCDHIOFRJCJ-UMVBOHGHSA-N 0 1 262.353 0.912 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(NCc2nncn2C)CC1 ZINC000990262720 633498414 /nfs/dbraw/zinc/49/84/14/633498414.db2.gz UQOQAEBEUSZOPP-JTQLQIEISA-N 0 1 276.344 0.055 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(NCc2nncs2)CC1 ZINC000990313724 633509490 /nfs/dbraw/zinc/50/94/90/633509490.db2.gz IFFDMDRENHCNTR-SECBINFHSA-N 0 1 279.369 0.778 20 30 CCEDMN N#C[C@]1(C(=O)N2CC(N3CCCC3)C2)CCCOC1 ZINC000898597010 633629077 /nfs/dbraw/zinc/62/90/77/633629077.db2.gz BISVJYCYYGIRKX-CQSZACIVSA-N 0 1 263.341 0.613 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCCN(CCO)C1 ZINC001008576514 650120588 /nfs/dbraw/zinc/12/05/88/650120588.db2.gz XNUPUJHUUPTSHB-XQQFMLRXSA-N 0 1 268.357 0.150 20 30 CCEDMN CN(Cc1ccc(C#N)cc1)C(=O)[C@@]1(C)C[C@H](O)CN1C ZINC000900127171 633959416 /nfs/dbraw/zinc/95/94/16/633959416.db2.gz HBMSOXBMOLKFND-GOEBONIOSA-N 0 1 287.363 0.972 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001009068442 650136486 /nfs/dbraw/zinc/13/64/86/650136486.db2.gz SWFVIHLZAVZVLT-CYBMUJFWSA-N 0 1 288.351 0.703 20 30 CCEDMN OCc1csc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)n1 ZINC000905456591 634866663 /nfs/dbraw/zinc/86/66/63/634866663.db2.gz LBYDWIRDIGYCJT-UWVGGRQHSA-N 0 1 279.369 0.829 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001009736379 650157486 /nfs/dbraw/zinc/15/74/86/650157486.db2.gz QZGBIVJZJWQDAR-NEPJUHHUSA-N 0 1 280.372 0.296 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2n[nH]c(C)c2C)C1 ZINC001010399594 650217913 /nfs/dbraw/zinc/21/79/13/650217913.db2.gz GVRNKFVMGJJSNS-LBPRGKRZSA-N 0 1 260.341 0.854 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cc(C)on2)C1 ZINC001010388953 650215369 /nfs/dbraw/zinc/21/53/69/650215369.db2.gz DTWXGRIFAOJNEK-LBPRGKRZSA-N 0 1 261.325 0.739 20 30 CCEDMN Cc1nc2ccnn2cc1C(=O)NCC#CCN(C)C ZINC000913523333 636832512 /nfs/dbraw/zinc/83/25/12/636832512.db2.gz WHRWRYOFOXIDTF-UHFFFAOYSA-N 0 1 271.324 0.333 20 30 CCEDMN C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)NCC#CCN(C)C)C1 ZINC000913524088 636833194 /nfs/dbraw/zinc/83/31/94/636833194.db2.gz ZLADILYBGYDYNO-AAEUAGOBSA-N 0 1 274.368 0.757 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)NCC#CCN(C)C)C1 ZINC000913524089 636833548 /nfs/dbraw/zinc/83/35/48/636833548.db2.gz ZLADILYBGYDYNO-DGCLKSJQSA-N 0 1 274.368 0.757 20 30 CCEDMN C[C@@H](NC(=O)OC(C)(C)C)C(=O)NCC#CCN(C)C ZINC000913516342 636835005 /nfs/dbraw/zinc/83/50/05/636835005.db2.gz LVVKGAPNVCLZQS-LLVKDONJSA-N 0 1 283.372 0.581 20 30 CCEDMN N#CCSc1ccccc1C(=O)NCc1nn[nH]n1 ZINC000044928195 636867243 /nfs/dbraw/zinc/86/72/43/636867243.db2.gz YQKLMEGNZJUPDV-UHFFFAOYSA-N 0 1 274.309 0.745 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(C)nc2COC)C1 ZINC001014996532 637285682 /nfs/dbraw/zinc/28/56/82/637285682.db2.gz OXSCZSMDYXCDTL-GFCCVEGCSA-N 0 1 290.367 0.394 20 30 CCEDMN Cc1cc(CNS(=O)(=O)CC(C)(C)C#N)n[nH]1 ZINC000914133332 637520023 /nfs/dbraw/zinc/52/00/23/637520023.db2.gz OFANWNJBKKJZJG-UHFFFAOYSA-N 0 1 256.331 0.687 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ncn(C)n2)[C@@H]1C ZINC000993258663 637759842 /nfs/dbraw/zinc/75/98/42/637759842.db2.gz CREGMXJRGSFWCI-NWDGAFQWSA-N 0 1 277.372 0.974 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC000993279606 637763828 /nfs/dbraw/zinc/76/38/28/637763828.db2.gz LGAIZAUECPGWGC-GHMZBOCLSA-N 0 1 277.324 0.194 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnnn2C)[C@H]1C ZINC000993295524 637767286 /nfs/dbraw/zinc/76/72/86/637767286.db2.gz QANPKMIKSMPFGQ-MNOVXSKESA-N 0 1 263.345 0.584 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cn(C)nn2)[C@H]1C ZINC000993294798 637767340 /nfs/dbraw/zinc/76/73/40/637767340.db2.gz HGKAVGDVGFWVMP-NEPJUHHUSA-N 0 1 277.372 0.974 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)[C@H]1C ZINC000993322571 637783387 /nfs/dbraw/zinc/78/33/87/637783387.db2.gz DZWMQNGTIMRTAV-ZYHUDNBSSA-N 0 1 277.372 0.811 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2ncc[nH]2)[C@H]1C ZINC000994113509 637871572 /nfs/dbraw/zinc/87/15/72/637871572.db2.gz LKQDXMQUVOUZAY-OLZOCXBDSA-N 0 1 274.368 0.945 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2nc[nH]n2)[C@H]1C ZINC000994206062 637875492 /nfs/dbraw/zinc/87/54/92/637875492.db2.gz QBKSMJPZSBGLLK-NEPJUHHUSA-N 0 1 275.356 0.340 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)CN2CCCC2)CCCN1CC#N ZINC000994407457 637934466 /nfs/dbraw/zinc/93/44/66/637934466.db2.gz MBLSNJHBGPZJOQ-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN Cc1[nH]ncc1CN(C)S(=O)(=O)N(C)[C@H](C)CC#N ZINC000918689666 638032429 /nfs/dbraw/zinc/03/24/29/638032429.db2.gz VRNILOXMIAUHIP-SECBINFHSA-N 0 1 285.373 0.629 20 30 CCEDMN N#CCCNC(=O)COC(=O)c1[nH]nc2c1CCC2 ZINC000919332424 638070071 /nfs/dbraw/zinc/07/00/71/638070071.db2.gz FRMDDECHDJLDMD-UHFFFAOYSA-N 0 1 262.269 0.085 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](N(C)C(=O)Cc2ccn[nH]2)C1 ZINC000939395691 638358390 /nfs/dbraw/zinc/35/83/90/638358390.db2.gz GENKTCITSOWPFM-PWSUYJOCSA-N 0 1 289.339 0.171 20 30 CCEDMN COCCOCCON=C(N)CN1CCCC[C@@H]1C ZINC000183255475 638539272 /nfs/dbraw/zinc/53/92/72/638539272.db2.gz BDEACJCXQIGTBA-LBPRGKRZSA-N 0 1 273.377 0.813 20 30 CCEDMN CC1([C@@H]2CCCN(CC(=O)NCC#N)C2)OCCO1 ZINC000183832639 638623773 /nfs/dbraw/zinc/62/37/73/638623773.db2.gz KWUVPKKTYUSWII-LLVKDONJSA-N 0 1 267.329 0.101 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCN(CCCCO)CC1 ZINC000081544731 638718368 /nfs/dbraw/zinc/71/83/68/638718368.db2.gz FRRMBWRNKQICDA-UHFFFAOYSA-N 0 1 297.443 0.801 20 30 CCEDMN Cc1cc(C(=O)N2C[C@H](NCC#N)C(C)(C)C2)n[nH]1 ZINC000995517805 638772518 /nfs/dbraw/zinc/77/25/18/638772518.db2.gz WWRYFSGWXBYDRU-NSHDSACASA-N 0 1 261.329 0.682 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1C[C@H](NCC#N)C(C)(C)C1 ZINC000995553872 638776605 /nfs/dbraw/zinc/77/66/05/638776605.db2.gz UMTOYRQMBKTIJV-RYUDHWBXSA-N 0 1 264.373 0.431 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001014417811 650450193 /nfs/dbraw/zinc/45/01/93/650450193.db2.gz HQDWFHWOWKKVJM-LBPRGKRZSA-N 0 1 287.367 0.370 20 30 CCEDMN N#CCNC1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC000996685183 638828355 /nfs/dbraw/zinc/82/83/55/638828355.db2.gz QCECGHXWHHPTBG-VXGBXAGGSA-N 0 1 273.340 0.617 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(CNCc2ncccn2)CC1 ZINC000997619239 638878655 /nfs/dbraw/zinc/87/86/55/638878655.db2.gz VACLPEBBIMPSGK-LBPRGKRZSA-N 0 1 287.367 0.964 20 30 CCEDMN C#CCOc1ncccc1C(=O)N[C@@H]1CC[N@H+](CC)C1 ZINC001016018290 638879035 /nfs/dbraw/zinc/87/90/35/638879035.db2.gz GWCBJGXSQXXZBA-GFCCVEGCSA-N 0 1 273.336 0.918 20 30 CCEDMN CCc1cc(C(=O)N2CCC[C@H](NCC#N)C2)n[nH]1 ZINC000998588801 638929456 /nfs/dbraw/zinc/92/94/56/638929456.db2.gz RIVJOVMKUDSEAT-NSHDSACASA-N 0 1 261.329 0.690 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CCC[C@H](NCC#N)C1 ZINC000998724987 638936658 /nfs/dbraw/zinc/93/66/58/638936658.db2.gz VHBZBRYLQHQFPS-RYUDHWBXSA-N 0 1 250.346 0.185 20 30 CCEDMN CCn1nncc1CN[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC000998745753 638938736 /nfs/dbraw/zinc/93/87/36/638938736.db2.gz JQQFYJJBYFEVQB-NWDGAFQWSA-N 0 1 290.371 0.538 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(NC(=O)c2[nH]ncc2F)C1 ZINC000999175214 638964875 /nfs/dbraw/zinc/96/48/75/638964875.db2.gz DFMAPMPEQARFDX-UHFFFAOYSA-N 0 1 280.303 0.702 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C(C)(C)C(N)=O)C1 ZINC000999416667 638980065 /nfs/dbraw/zinc/98/00/65/638980065.db2.gz SYBWECMLNBABEB-JTQLQIEISA-N 0 1 287.791 0.831 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cn[nH]n2)C1 ZINC000999577865 638987334 /nfs/dbraw/zinc/98/73/34/638987334.db2.gz FHIIXVPVIBGMCN-SECBINFHSA-N 0 1 269.736 0.751 20 30 CCEDMN C#CCN1CC[C@H](n2cc(CNC(=O)c3cc[nH]c3)nn2)C1 ZINC000999987540 639029370 /nfs/dbraw/zinc/02/93/70/639029370.db2.gz PNBPXSITBQOXTN-AWEZNQCLSA-N 0 1 298.350 0.416 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014610668 650467437 /nfs/dbraw/zinc/46/74/37/650467437.db2.gz LAJQGHOWYAGQPS-QWHCGFSZSA-N 0 1 279.384 0.620 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCCOC2)CC1 ZINC001000506590 639109954 /nfs/dbraw/zinc/10/99/54/639109954.db2.gz NYRHUKNTXJGPBW-AWEZNQCLSA-N 0 1 262.353 0.795 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cncnc2)CC1 ZINC001000608767 639140504 /nfs/dbraw/zinc/14/05/04/639140504.db2.gz KCUDSRGAVKKJEB-UHFFFAOYSA-N 0 1 256.309 0.472 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCCC(=O)N2)CC1 ZINC001000816188 639175422 /nfs/dbraw/zinc/17/54/22/639175422.db2.gz LLHYIWQYPBHLSM-CYBMUJFWSA-N 0 1 275.352 0.037 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cccc(C(N)=O)c2)CC1 ZINC001000854111 639178217 /nfs/dbraw/zinc/17/82/17/639178217.db2.gz FVJUJPDERYNLOK-UHFFFAOYSA-N 0 1 297.358 0.781 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccn(C)c(=O)c2)CC1 ZINC001000860991 639179486 /nfs/dbraw/zinc/17/94/86/639179486.db2.gz WQMYEGQYRXLAJG-UHFFFAOYSA-N 0 1 285.347 0.380 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc(C(N)=O)o2)CC1 ZINC001000937105 639200815 /nfs/dbraw/zinc/20/08/15/639200815.db2.gz WCQCZQDGYOIHQM-UHFFFAOYSA-N 0 1 287.319 0.374 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn3ncccc23)C1 ZINC001014747674 650484863 /nfs/dbraw/zinc/48/48/63/650484863.db2.gz UQLVGDPZWQOXLS-LLVKDONJSA-N 0 1 271.324 0.719 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC001002458048 639414345 /nfs/dbraw/zinc/41/43/45/639414345.db2.gz DEQMJXUUJARMIJ-QWHCGFSZSA-N 0 1 278.352 0.399 20 30 CCEDMN CC#CCN1CCC(NC(=O)CC2OCCCO2)CC1 ZINC001002679498 639440885 /nfs/dbraw/zinc/44/08/85/639440885.db2.gz JLKQDPUILJJARX-UHFFFAOYSA-N 0 1 280.368 0.743 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2nn(C)cc2C)CC1 ZINC001002789256 639456537 /nfs/dbraw/zinc/45/65/37/639456537.db2.gz UHWNLENEUKXZHO-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001014893076 650500151 /nfs/dbraw/zinc/50/01/51/650500151.db2.gz HSIRCLGYLIZUHY-GFCCVEGCSA-N 0 1 288.351 0.214 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC(CNC(=O)c2cnn[nH]2)C1 ZINC001003113494 639508008 /nfs/dbraw/zinc/50/80/08/639508008.db2.gz VSODOPTUQFTBHU-CQSZACIVSA-N 0 1 291.355 0.595 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC(CNC(=O)c2cnn[nH]2)C1 ZINC001003121022 639511044 /nfs/dbraw/zinc/51/10/44/639511044.db2.gz ULJHNCZITIDEQL-UHFFFAOYSA-N 0 1 291.355 0.595 20 30 CCEDMN C#CCN1CCC(NC(=O)c2coc(COC)n2)CC1 ZINC001003869533 639640684 /nfs/dbraw/zinc/64/06/84/639640684.db2.gz LURCVVLBJPHMGC-UHFFFAOYSA-N 0 1 277.324 0.648 20 30 CCEDMN N#Cc1ccccc1CN1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001015067487 650523540 /nfs/dbraw/zinc/52/35/40/650523540.db2.gz PHPYSNHILOMCIS-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn3c2OCCC3)C1 ZINC001015102030 650528349 /nfs/dbraw/zinc/52/83/49/650528349.db2.gz HZXYKCAMUBZCMC-LLVKDONJSA-N 0 1 276.340 0.656 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cc[n+]([O-])cc2)CC1 ZINC001005077786 639872711 /nfs/dbraw/zinc/87/27/11/639872711.db2.gz HHFJTXVURQRDSI-UHFFFAOYSA-N 0 1 287.363 0.880 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCCO2)CC1 ZINC001005089947 639874185 /nfs/dbraw/zinc/87/41/85/639874185.db2.gz UHUYCDJWPDSNBY-ZDUSSCGKSA-N 0 1 250.342 0.721 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCCN(C(N)=O)C2)C1 ZINC001015166678 650534404 /nfs/dbraw/zinc/53/44/04/650534404.db2.gz RQTXWAMPRWGKGX-NWDGAFQWSA-N 0 1 280.372 0.154 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnn3ccncc23)CC1 ZINC001005510281 639914290 /nfs/dbraw/zinc/91/42/90/639914290.db2.gz LJFDGDVSFAFBCH-UHFFFAOYSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@]2(C)CNC(=O)C2)CC1 ZINC001005775963 639962592 /nfs/dbraw/zinc/96/25/92/639962592.db2.gz XCZXZYBKYNOENL-OAHLLOKOSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001015218923 650540338 /nfs/dbraw/zinc/54/03/38/650540338.db2.gz WIIQEOHMOHBGEN-SNVBAGLBSA-N 0 1 262.313 0.475 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC001005844754 639985585 /nfs/dbraw/zinc/98/55/85/639985585.db2.gz DGJJEQLJIZFWGN-CQSZACIVSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001015218919 650540976 /nfs/dbraw/zinc/54/09/76/650540976.db2.gz WIIQEOHMOHBGEN-JTQLQIEISA-N 0 1 262.313 0.475 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc3c(c2)nnn3C)C1 ZINC001015303267 650552284 /nfs/dbraw/zinc/55/22/84/650552284.db2.gz MKFFKSGVFCVEJB-LBPRGKRZSA-N 0 1 298.350 0.191 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc3c(c2)nnn3C)C1 ZINC001015303266 650552456 /nfs/dbraw/zinc/55/24/56/650552456.db2.gz MKFFKSGVFCVEJB-GFCCVEGCSA-N 0 1 298.350 0.191 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCc3nncn3CC2)C1 ZINC001015324453 650554807 /nfs/dbraw/zinc/55/48/07/650554807.db2.gz ICRKCQLDSURKSX-STQMWFEESA-N 0 1 289.383 0.607 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001015321555 650555036 /nfs/dbraw/zinc/55/50/36/650555036.db2.gz PRPBVCHIKOJBPG-PRFQISJJSA-N 0 1 262.353 0.786 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)C[C@@H]2CCC(=O)N2)CC1 ZINC001006134804 640180157 /nfs/dbraw/zinc/18/01/57/640180157.db2.gz YEGXLDPRMLRNSA-ZDUSSCGKSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2coc(C(N)=O)c2)C1 ZINC001015388365 650561498 /nfs/dbraw/zinc/56/14/98/650561498.db2.gz PWYHVPRXCFNMFE-NSHDSACASA-N 0 1 277.324 0.759 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1C=NNC[C@@H](C)C#N ZINC000755804204 640336337 /nfs/dbraw/zinc/33/63/37/640336337.db2.gz YJOCBAXASRKSBI-NSHDSACASA-N 0 1 290.371 0.648 20 30 CCEDMN N#Cc1cc(CN2CC[C@@H]3NC(=O)OC[C@H]3C2)ccn1 ZINC000799180496 640553803 /nfs/dbraw/zinc/55/38/03/640553803.db2.gz MRKXKRFAMCGGFP-YPMHNXCESA-N 0 1 272.308 0.884 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2nnc3c2CCCC3)C1 ZINC001015710310 650613298 /nfs/dbraw/zinc/61/32/98/650613298.db2.gz JSWCFOYGXOFWAA-LBPRGKRZSA-N 0 1 289.383 0.533 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nccn3ccnc23)C1 ZINC001015718985 650616104 /nfs/dbraw/zinc/61/61/04/650616104.db2.gz DSUPRKRDZFTXKW-NSHDSACASA-N 0 1 271.324 0.719 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001015729720 650618152 /nfs/dbraw/zinc/61/81/52/650618152.db2.gz IHSZQNJWNQKYLT-SYQHCUMBSA-N 0 1 262.353 0.768 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)c[nH]c2CC(N)=O)C1 ZINC001015734069 650619266 /nfs/dbraw/zinc/61/92/66/650619266.db2.gz NWGSMPDJTFNEAQ-LLVKDONJSA-N 0 1 290.367 0.341 20 30 CCEDMN C#CCOc1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000813374752 641032840 /nfs/dbraw/zinc/03/28/40/641032840.db2.gz JEBWBGIYVBXQLU-UHFFFAOYSA-N 0 1 285.263 0.168 20 30 CCEDMN N=C(N)NN=Cc1ccc(Cl)cc1-n1cncn1 ZINC000814796763 641075238 /nfs/dbraw/zinc/07/52/38/641075238.db2.gz ONXGXBHAAGOMCN-UHFFFAOYSA-N 0 1 263.692 0.738 20 30 CCEDMN COc1nccnc1[N-][NH+]=Cc1cnc(N(C)C)nc1 ZINC000814905634 641082126 /nfs/dbraw/zinc/08/21/26/641082126.db2.gz LSYPWXDOOBAPPK-UHFFFAOYSA-N 0 1 273.300 0.787 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2c(C)nn(C)c2OC)C1 ZINC001015785137 650629621 /nfs/dbraw/zinc/62/96/21/650629621.db2.gz USYQYIKLMACDNG-GFCCVEGCSA-N 0 1 290.367 0.565 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCN(CC=C)C2)nn1 ZINC001015812933 650633638 /nfs/dbraw/zinc/63/36/38/650633638.db2.gz KOUSZVRRAIXLGY-NSHDSACASA-N 0 1 261.329 0.454 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(-n3ccnc3)nn2)C1 ZINC001015838558 650637879 /nfs/dbraw/zinc/63/78/79/650637879.db2.gz RJGBBIPCFVWJGN-LBPRGKRZSA-N 0 1 298.350 0.652 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001015870087 650642689 /nfs/dbraw/zinc/64/26/89/650642689.db2.gz XCRILUSQXIVTJP-GFCCVEGCSA-N 0 1 288.351 0.091 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+]1CC[C@H](C(=O)[O-])C1)C1CC1 ZINC000817727670 641271989 /nfs/dbraw/zinc/27/19/89/641271989.db2.gz AFTYFVXOOKTODU-TVQRCGJNSA-N 0 1 265.313 0.201 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc3ncccn3n2)C1 ZINC001015882130 650648219 /nfs/dbraw/zinc/64/82/19/650648219.db2.gz KJNXLOCHTQIULP-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC001015973678 650667373 /nfs/dbraw/zinc/66/73/73/650667373.db2.gz BXFVBNQSLMDMOE-VXGBXAGGSA-N 0 1 280.372 0.296 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001016017135 650675884 /nfs/dbraw/zinc/67/58/84/650675884.db2.gz RJTVDJNADCXXGC-GFCCVEGCSA-N 0 1 273.336 0.213 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001016128107 650683593 /nfs/dbraw/zinc/68/35/93/650683593.db2.gz MSGKNBWBZGCQDI-KBPBESRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccc3c[nH]nc3c2)C1 ZINC001016142479 650689738 /nfs/dbraw/zinc/68/97/38/650689738.db2.gz WYJOAMLWNZDNIW-AWEZNQCLSA-N 0 1 282.347 0.929 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2ccc3c[nH]nc3c2)C1 ZINC001016142481 650689787 /nfs/dbraw/zinc/68/97/87/650689787.db2.gz WYJOAMLWNZDNIW-CQSZACIVSA-N 0 1 282.347 0.929 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC001016163944 650694365 /nfs/dbraw/zinc/69/43/65/650694365.db2.gz KGXIVLJYCFAGDW-LBPRGKRZSA-N 0 1 259.309 0.615 20 30 CCEDMN N#CCCN1CCN(C(=O)c2cc(C3CC3)[nH]n2)CC1 ZINC000827484747 642009938 /nfs/dbraw/zinc/00/99/38/642009938.db2.gz AGSMGMCYDSTZDD-UHFFFAOYSA-N 0 1 273.340 0.959 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cccnc2C(N)=O)C1 ZINC001016318846 650716807 /nfs/dbraw/zinc/71/68/07/650716807.db2.gz GNPCMQGASXREGL-LLVKDONJSA-N 0 1 286.335 0.008 20 30 CCEDMN Cc1cc(S(N)(=O)=O)oc1C(=O)N=C1C[C@H](C)ON1 ZINC000831399570 642359577 /nfs/dbraw/zinc/35/95/77/642359577.db2.gz OMVFMBIDBZMFTH-LURJTMIESA-N 0 1 287.297 0.088 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000834479949 642573060 /nfs/dbraw/zinc/57/30/60/642573060.db2.gz JSSRPTUQMGHFGK-VXNVDRBHSA-N 0 1 275.268 0.018 20 30 CCEDMN CSc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)o1 ZINC000834484136 642574616 /nfs/dbraw/zinc/57/46/16/642574616.db2.gz FCNGQHCDHJBQEJ-YUMQZZPRSA-N 0 1 251.311 0.843 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1c(F)cccc1F ZINC000834481026 642574629 /nfs/dbraw/zinc/57/46/29/642574629.db2.gz ZHHPGVGEOJCRSX-QPUJVOFHSA-N 0 1 265.263 0.735 20 30 CCEDMN Cn1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(C(C)(C)C)n1 ZINC000834484064 642575071 /nfs/dbraw/zinc/57/50/71/642575071.db2.gz DGYKYEUJHFYSQI-GXSJLCMTSA-N 0 1 275.356 0.559 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@H]2C#N)cnn1C(C)C ZINC000834484687 642576430 /nfs/dbraw/zinc/57/64/30/642576430.db2.gz LVGUDUJVXKHNEC-PWSUYJOCSA-N 0 1 261.329 0.614 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(-n2ccnn2)cc1 ZINC000834484574 642576788 /nfs/dbraw/zinc/57/67/88/642576788.db2.gz KNWGYOUAZSACRC-WCQYABFASA-N 0 1 282.307 0.109 20 30 CCEDMN C[C@H](Oc1cccnc1)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834488604 642577863 /nfs/dbraw/zinc/57/78/63/642577863.db2.gz DWQZSVDGGFHJTF-UMNHJUIQSA-N 0 1 260.297 0.077 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@@H]2C#N)cnn1-c1ccccn1 ZINC000834489416 642579722 /nfs/dbraw/zinc/57/97/22/642579722.db2.gz MCMIMZSHZYSIBD-WCQYABFASA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(N2CCCC2)nc1 ZINC000834493694 642583309 /nfs/dbraw/zinc/58/33/09/642583309.db2.gz GOHWAIMCDGRMJU-STQMWFEESA-N 0 1 285.351 0.523 20 30 CCEDMN COc1ccccc1C1(C(=O)N[C@H]2CNC[C@@H]2C#N)CC1 ZINC000834494793 642584794 /nfs/dbraw/zinc/58/47/94/642584794.db2.gz PJMOZLHILGPRFG-AAEUAGOBSA-N 0 1 285.347 0.955 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccnc1Cl ZINC000834495472 642585399 /nfs/dbraw/zinc/58/53/99/642585399.db2.gz VZFOABGVWYOUMW-IONNQARKSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccn1Cc1ccncc1 ZINC000834494800 642585829 /nfs/dbraw/zinc/58/58/29/642585829.db2.gz POJKRBORYJSRRR-ZIAGYGMSSA-N 0 1 295.346 0.773 20 30 CCEDMN Cc1nc(C2CC2)oc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834499077 642587044 /nfs/dbraw/zinc/58/70/44/642587044.db2.gz FBHGUVWBLIGBBS-VHSXEESVSA-N 0 1 260.297 0.702 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)nc(C(F)(F)F)n1 ZINC000834501166 642590923 /nfs/dbraw/zinc/59/09/23/642590923.db2.gz ZWVRJFXBMXRTEZ-VXNVDRBHSA-N 0 1 299.256 0.645 20 30 CCEDMN Cc1cccc(O[C@H](C)C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834504881 642591337 /nfs/dbraw/zinc/59/13/37/642591337.db2.gz GIQRBFFDTBRVBH-MBNYWOFBSA-N 0 1 273.336 0.990 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCC(=O)c1ccccc1 ZINC000834505147 642591391 /nfs/dbraw/zinc/59/13/91/642591391.db2.gz IPPOEXNZZPRRAC-CHWSQXEVSA-N 0 1 271.320 0.877 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COc1ccccc1Cl ZINC000834504893 642592141 /nfs/dbraw/zinc/59/21/41/642592141.db2.gz GLVPXKHJQBZADE-GXSJLCMTSA-N 0 1 279.727 0.947 20 30 CCEDMN Cc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1[N+](=O)[O-] ZINC000834506615 642593582 /nfs/dbraw/zinc/59/35/82/642593582.db2.gz UNIKSZJBHQQYGH-GHMZBOCLSA-N 0 1 274.280 0.745 20 30 CCEDMN Cc1cc(C)cc(OCC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834510627 642595748 /nfs/dbraw/zinc/59/57/48/642595748.db2.gz DLQHYPQHGFSJHG-OCCSQVGLSA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1COc2ccccc2O1 ZINC000834510909 642595771 /nfs/dbraw/zinc/59/57/71/642595771.db2.gz HDJQFDKDVVTOTD-GIPNMCIBSA-N 0 1 273.292 0.054 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000834511360 642596016 /nfs/dbraw/zinc/59/60/16/642596016.db2.gz KVGRKSBQYZJLSB-MNOVXSKESA-N 0 1 274.280 0.365 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)CCC(=O)N2 ZINC000834511274 642596140 /nfs/dbraw/zinc/59/61/40/642596140.db2.gz KAWPGPCYJSOJKL-AAEUAGOBSA-N 0 1 284.319 0.413 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@H]2C#N)cccc1[N+](=O)[O-] ZINC000834511727 642597361 /nfs/dbraw/zinc/59/73/61/642597361.db2.gz NQMBTXLITSARIZ-KOLCDFICSA-N 0 1 274.280 0.745 20 30 CCEDMN Cc1nn(C)c2nc(C)cc(C(=O)N[C@@H]3CNC[C@H]3C#N)c12 ZINC000834515848 642599352 /nfs/dbraw/zinc/59/93/52/642599352.db2.gz CKSMNBHIHVSTKU-ZYHUDNBSSA-N 0 1 298.350 0.427 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1cc2ccccc2[nH]c1=O ZINC000834521770 642601654 /nfs/dbraw/zinc/60/16/54/642601654.db2.gz SNIYROFJTKQHJG-GXTWGEPZSA-N 0 1 296.330 0.711 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccccc1-n1cccn1 ZINC000834521556 642601901 /nfs/dbraw/zinc/60/19/01/642601901.db2.gz MWOVJAJQAYPIOS-YPMHNXCESA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccc(-n2cccn2)cc1 ZINC000834521103 642602427 /nfs/dbraw/zinc/60/24/27/642602427.db2.gz CSGDDCTUEIDQTK-DZGCQCFKSA-N 0 1 295.346 0.643 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccn2ccnc2c1 ZINC000841224046 642808182 /nfs/dbraw/zinc/80/81/82/642808182.db2.gz HVXNBNJGEZIJCZ-MWLCHTKSSA-N 0 1 270.296 0.567 20 30 CCEDMN C[C@@]1(Cn2c(=O)[nH]cc(C#N)c2=O)CC1(Cl)Cl ZINC000842618750 642918062 /nfs/dbraw/zinc/91/80/62/642918062.db2.gz XWXWMZCFGQHPDD-VIFPVBQESA-N 0 1 274.107 0.992 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(-c2ccco2)on1 ZINC000843459352 643063031 /nfs/dbraw/zinc/06/30/31/643063031.db2.gz DSRGBECHENAGFK-SCZZXKLOSA-N 0 1 272.264 0.776 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccccc1-n1ccnn1 ZINC000843461126 643065518 /nfs/dbraw/zinc/06/55/18/643065518.db2.gz SDSXHUKJKPQZNQ-ZYHUDNBSSA-N 0 1 282.307 0.109 20 30 CCEDMN Cc1cc(F)cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1[N+](=O)[O-] ZINC000843461868 643066253 /nfs/dbraw/zinc/06/62/53/643066253.db2.gz XRRGFRFSBJRUJN-GZMMTYOYSA-N 0 1 292.270 0.884 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cn1cc2ccccc2n1 ZINC000843463237 643068056 /nfs/dbraw/zinc/06/80/56/643068056.db2.gz VVSNKBSFZHOYPR-WCQYABFASA-N 0 1 269.308 0.264 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CCN(C2CC2)[C@H](C#N)C1 ZINC000847483928 643523972 /nfs/dbraw/zinc/52/39/72/643523972.db2.gz JCHPISYIMVEFTR-BXUZGUMPSA-N 0 1 287.367 0.787 20 30 CCEDMN C#C[C@@](C)(CC)NCC(=O)NCC(=O)NC(C)(C)C ZINC000852100163 643927370 /nfs/dbraw/zinc/92/73/70/643927370.db2.gz FQVTUPZZWICDHJ-AWEZNQCLSA-N 0 1 267.373 0.409 20 30 CCEDMN C#C[C@](C)(CC)NCC(=O)N(C)CC(=O)NCCC ZINC000852098429 643927965 /nfs/dbraw/zinc/92/79/65/643927965.db2.gz MRWKDCXXLUXKHV-CQSZACIVSA-N 0 1 267.373 0.363 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc2c1OC(F)(F)O2 ZINC000852828223 644014006 /nfs/dbraw/zinc/01/40/06/644014006.db2.gz VWCZFOHVJFDSIY-APPZFPTMSA-N 0 1 295.245 0.849 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ncoc1-c1ccon1 ZINC000852828176 644014102 /nfs/dbraw/zinc/01/41/02/644014102.db2.gz UVRDNYRYCLZVEP-VXNVDRBHSA-N 0 1 273.252 0.171 20 30 CCEDMN C=CCN(C(=O)c1[nH]nc2c1CNCC2)[C@@H](C)COC ZINC000852901640 644050812 /nfs/dbraw/zinc/05/08/12/644050812.db2.gz MLVISUSTANALIK-JTQLQIEISA-N 0 1 278.356 0.719 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2ccc(C(=O)[O-])c(F)c2)N1 ZINC000853329502 644098472 /nfs/dbraw/zinc/09/84/72/644098472.db2.gz ANCWRUAYBBVBFC-SSDOTTSWSA-N 0 1 264.260 0.795 20 30 CCEDMN C[C@@H]1CN=C(NN=C2C(=O)Nc3cc(O)c(Cl)cc32)N1 ZINC000853330210 644098863 /nfs/dbraw/zinc/09/88/63/644098863.db2.gz KOMIGNLNPGGUMB-RXMQYKEDSA-N 0 1 293.714 0.639 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001018663598 650894492 /nfs/dbraw/zinc/89/44/92/650894492.db2.gz IOHSHQHSDWNELR-RYUDHWBXSA-N 0 1 287.791 0.260 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H]2COC(=O)C2)C1 ZINC001018717487 650901613 /nfs/dbraw/zinc/90/16/13/650901613.db2.gz WQDJULABUHIOHU-MNOVXSKESA-N 0 1 286.759 0.883 20 30 CCEDMN Cn1ccc(CN[C@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001018731055 650902345 /nfs/dbraw/zinc/90/23/45/650902345.db2.gz MNDOZEUHXMQTAD-ZDUSSCGKSA-N 0 1 298.350 0.624 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCn2ccnn2)C1 ZINC001018769171 650905934 /nfs/dbraw/zinc/90/59/34/650905934.db2.gz IPYQNKUJZCMLJA-NSHDSACASA-N 0 1 283.763 0.611 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001018933204 650922072 /nfs/dbraw/zinc/92/20/72/650922072.db2.gz VHBBGSCKAFXSPN-QWRGUYRKSA-N 0 1 285.775 0.408 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CC[C@H](NCC#N)C1 ZINC001019493960 650978174 /nfs/dbraw/zinc/97/81/74/650978174.db2.gz TYRUEPWUVBZTPA-QWRGUYRKSA-N 0 1 261.329 0.302 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001019718360 651001078 /nfs/dbraw/zinc/00/10/78/651001078.db2.gz JVSDRMVFKHQSCC-NWDGAFQWSA-N 0 1 299.802 0.940 20 30 CCEDMN C[C@@H](NCc1nnn(C)n1)c1cccc(C#N)c1O ZINC000866350359 645522233 /nfs/dbraw/zinc/52/22/33/645522233.db2.gz ZVQUDBUZXBNELA-MRVPVSSYSA-N 0 1 258.285 0.638 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CCCC[N@@H+]2CCO)co1 ZINC000868637088 645798468 /nfs/dbraw/zinc/79/84/68/645798468.db2.gz SPAFLMMVVUMJCG-LBPRGKRZSA-N 0 1 277.324 0.728 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CCCCN2CCO)co1 ZINC000868637088 645798479 /nfs/dbraw/zinc/79/84/79/645798479.db2.gz SPAFLMMVVUMJCG-LBPRGKRZSA-N 0 1 277.324 0.728 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(N)ccc1Cl ZINC000870941683 646055413 /nfs/dbraw/zinc/05/54/13/646055413.db2.gz YCROCSGLTZRHJB-HQJQHLMTSA-N 0 1 264.716 0.764 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnn(C(F)F)c1 ZINC000870941808 646055618 /nfs/dbraw/zinc/05/56/18/646055618.db2.gz ZQZCWXJLRPWLQH-SVRRBLITSA-N 0 1 255.228 0.120 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnn(C(F)F)c1 ZINC000870941807 646055906 /nfs/dbraw/zinc/05/59/06/646055906.db2.gz ZQZCWXJLRPWLQH-POYBYMJQSA-N 0 1 255.228 0.120 20 30 CCEDMN Fc1cnccc1NN=Cc1cn(C[C@@H]2CCCO2)nn1 ZINC000872388647 646254492 /nfs/dbraw/zinc/25/44/92/646254492.db2.gz TVLGIYZBHMWEES-NSHDSACASA-N 0 1 290.302 0.859 20 30 CCEDMN Cn1c(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc(=O)c2ccccc21 ZINC000876802083 646669145 /nfs/dbraw/zinc/66/91/45/646669145.db2.gz LQXABBMFJXAEPV-CMPLNLGQSA-N 0 1 296.330 0.380 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc2cc(=O)[nH]cc21 ZINC000876802166 646670490 /nfs/dbraw/zinc/67/04/90/646670490.db2.gz MINVWVHIAPWZAO-GWCFXTLKSA-N 0 1 282.303 0.782 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)N1CCn2nccc21 ZINC000877293206 646781222 /nfs/dbraw/zinc/78/12/22/646781222.db2.gz KFIMWOLUXCPVGE-OAHLLOKOSA-N 0 1 293.330 0.988 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@H]2CSC[C@@H]2C1 ZINC000879558160 647073232 /nfs/dbraw/zinc/07/32/32/647073232.db2.gz RKFMHBFTVJPMCY-QWRGUYRKSA-N 0 1 253.371 0.701 20 30 CCEDMN N#Cc1ccc(N2CCN(Cc3c[nH]nn3)CC2)cc1 ZINC000879586416 647079064 /nfs/dbraw/zinc/07/90/64/647079064.db2.gz LPKJNMOSDJGLAX-UHFFFAOYSA-N 0 1 268.324 0.999 20 30 CCEDMN N#CCCCCN1CCC[C@@H]2OCCNC(=O)[C@@H]21 ZINC000879720471 647100607 /nfs/dbraw/zinc/10/06/07/647100607.db2.gz YUXOOBCLYCDQCI-NWDGAFQWSA-N 0 1 251.330 0.660 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCC3(C2)OCCO3)CCC1 ZINC000886062370 647896759 /nfs/dbraw/zinc/89/67/59/647896759.db2.gz NPBVOIPLYBCANY-GFCCVEGCSA-N 0 1 266.341 0.880 20 30 CCEDMN N#Cc1ccc(CN[C@H]2C[C@@H](O)[C@@H](O)C2)c(F)c1 ZINC000886255627 647942013 /nfs/dbraw/zinc/94/20/13/647942013.db2.gz CTPTXFVHBHLLIH-LMKPVCQUSA-N 0 1 250.273 0.671 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)[C@H]2CCc3[nH]cnc3C2)CCO1 ZINC000887688082 648142407 /nfs/dbraw/zinc/14/24/07/648142407.db2.gz BQSIHCPHGCNKPR-IINYFYTJSA-N 0 1 274.324 0.656 20 30 CCEDMN N#Cc1ccc([C@@H]2CN(C(=O)Cc3ncn[nH]3)CCO2)cc1 ZINC000887737524 648149149 /nfs/dbraw/zinc/14/91/49/648149149.db2.gz XRTDPHLHWKJYEF-ZDUSSCGKSA-N 0 1 297.318 0.819 20 30 CCEDMN Cc1cc(C(=O)N2CCN(C[C@H](O)CC#N)[C@H](C)C2)n[nH]1 ZINC000930684923 648889508 /nfs/dbraw/zinc/88/95/08/648889508.db2.gz PCQALVIWLMPRBF-VXGBXAGGSA-N 0 1 291.355 0.139 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1Cc1cncc(C#N)c1 ZINC000930744848 648898536 /nfs/dbraw/zinc/89/85/36/648898536.db2.gz ZWYTZMXNRADHFN-QWHCGFSZSA-N 0 1 274.368 0.840 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@H](C2OCCO2)C1)C1CC1 ZINC000932007932 649041337 /nfs/dbraw/zinc/04/13/37/649041337.db2.gz NLIFUGPSJPEYLB-XHDPSFHLSA-N 0 1 293.367 0.490 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cncn1 ZINC001017360240 649752510 /nfs/dbraw/zinc/75/25/10/649752510.db2.gz HLJZWYJBDDTZDZ-OKILXGFUSA-N 0 1 289.383 0.920 20 30 CCEDMN N#Cc1ccc(C[N@@H+]2C[C@H](O)[C@H](CNC(=O)C3CC3)C2)cc1 ZINC000957783426 649757968 /nfs/dbraw/zinc/75/79/68/649757968.db2.gz HCVOKPXMIMMWAA-CVEARBPZSA-N 0 1 299.374 0.877 20 30 CCEDMN N#Cc1ccc(CN2C[C@H](O)[C@H](CNC(=O)C3CC3)C2)cc1 ZINC000957783426 649757975 /nfs/dbraw/zinc/75/79/75/649757975.db2.gz HCVOKPXMIMMWAA-CVEARBPZSA-N 0 1 299.374 0.877 20 30 CCEDMN CN1CCO[C@H]2CN(c3ccc4[nH]c(C#N)cc4n3)C[C@@H]21 ZINC001164672970 719430065 /nfs/dbraw/zinc/43/00/65/719430065.db2.gz SCIMRUBFJZHWAW-KBPBESRZSA-N 0 1 283.335 0.954 20 30 CCEDMN Cc1cc(N2C[C@H]3CN(CC4CC4)C[C@@H](C2)O3)nc(C#N)n1 ZINC001164966740 722072664 /nfs/dbraw/zinc/07/26/64/722072664.db2.gz ABWGSGKRJXOLJL-OKILXGFUSA-N 0 1 299.378 0.956 20 30 CCEDMN N#CCCN1CC(Oc2nc[nH]c(=O)c2Cl)C1 ZINC001230018398 805575657 /nfs/dbraw/zinc/57/56/57/805575657.db2.gz RAZLMUDCZXOJNW-UHFFFAOYSA-N 0 1 254.677 0.812 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)c2n[nH]cc2C)CC1 ZINC001266262290 790349651 /nfs/dbraw/zinc/34/96/51/790349651.db2.gz GVTOKWFRLGYGJP-UHFFFAOYSA-N 0 1 289.383 0.089 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCCCN1C(=O)CC ZINC001266277239 790384311 /nfs/dbraw/zinc/38/43/11/790384311.db2.gz TVDNLEZZSKOYNU-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C[C@@H](NC(=O)c1[nH]ncc1F)C1CN(C(=O)[C@@H](C)C#N)C1 ZINC001006791810 790404816 /nfs/dbraw/zinc/40/48/16/790404816.db2.gz HAQXGAJFPKWXMI-JGVFFNPUSA-N 0 1 293.302 0.285 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@@H](NC(=O)CCn2cncn2)C1 ZINC001266302657 790470184 /nfs/dbraw/zinc/47/01/84/790470184.db2.gz SSQLHQJVPJZENU-CYBMUJFWSA-N 0 1 293.371 0.061 20 30 CCEDMN C#CC[N@H+](C)CCNC(=O)C[C@@H](C)n1ccc(C)n1 ZINC001266306232 790478483 /nfs/dbraw/zinc/47/84/83/790478483.db2.gz ISRZLZQRTSXZBK-CYBMUJFWSA-N 0 1 262.357 0.824 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@@H](C)n1ccc(C)n1 ZINC001266306232 790478486 /nfs/dbraw/zinc/47/84/86/790478486.db2.gz ISRZLZQRTSXZBK-CYBMUJFWSA-N 0 1 262.357 0.824 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2c(C)cnn2C)C1 ZINC001266336026 790532478 /nfs/dbraw/zinc/53/24/78/790532478.db2.gz IQPVWWWFPYHELS-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCNC(=O)CC(C)C)C1 ZINC001266363374 790591211 /nfs/dbraw/zinc/59/12/11/790591211.db2.gz HYGDLOLZSVPSSW-CQSZACIVSA-N 0 1 293.411 0.753 20 30 CCEDMN CCN(CCNC(=O)C#CC(C)C)Cc1n[nH]c(C)n1 ZINC001266388302 790622156 /nfs/dbraw/zinc/62/21/56/790622156.db2.gz YKPNHGCVIHODGS-UHFFFAOYSA-N 0 1 277.372 0.711 20 30 CCEDMN CCNCc1cn([C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)nn1 ZINC001075374805 790736212 /nfs/dbraw/zinc/73/62/12/790736212.db2.gz SWUAAKHEEPRYLO-WCQYABFASA-N 0 1 290.371 0.711 20 30 CCEDMN Cc1ncoc1CNCCNC(=O)c1cc(C#N)c[nH]1 ZINC001125370411 790801595 /nfs/dbraw/zinc/80/15/95/790801595.db2.gz NTFNIRWKEOJEEA-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1csc(C(=O)[O-])n1 ZINC000721434614 790803046 /nfs/dbraw/zinc/80/30/46/790803046.db2.gz MDIRFUMTTLQDLS-UHFFFAOYSA-N 0 1 281.337 0.526 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)c1ccn(C)n1 ZINC001266577290 790958616 /nfs/dbraw/zinc/95/86/16/790958616.db2.gz FBPZDLUQBPRVKS-UHFFFAOYSA-N 0 1 292.383 0.512 20 30 CCEDMN CC#CCN(CCNC(=O)c1ccc(C(N)=O)[nH]1)C1CC1 ZINC001266611459 791024261 /nfs/dbraw/zinc/02/42/61/791024261.db2.gz KLRPJGXYUCATSV-UHFFFAOYSA-N 0 1 288.351 0.331 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)Cc2ccon2)C1 ZINC001279471489 791075581 /nfs/dbraw/zinc/07/55/81/791075581.db2.gz OVJJRQXHHZKXQE-HNNXBMFYSA-N 0 1 293.367 0.736 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cnnn1C ZINC001266689609 791142308 /nfs/dbraw/zinc/14/23/08/791142308.db2.gz WVPBDWLHGIRTSI-UHFFFAOYSA-N 0 1 257.725 0.229 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)Cn1cccn1 ZINC001230773284 805663194 /nfs/dbraw/zinc/66/31/94/805663194.db2.gz VHGFDHOCIGPIOK-CQSZACIVSA-N 0 1 292.383 0.618 20 30 CCEDMN C[C@H](O)CN1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815654 805672333 /nfs/dbraw/zinc/67/23/33/805672333.db2.gz QYSSATKTCNPTFK-QWRGUYRKSA-N 0 1 271.386 0.157 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)CN(C)C(C)=O ZINC001230829773 805673664 /nfs/dbraw/zinc/67/36/64/805673664.db2.gz RYRONYQXVVLPBX-CQSZACIVSA-N 0 1 297.399 0.200 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(=O)CCOC)C1=O ZINC001231036268 805713868 /nfs/dbraw/zinc/71/38/68/805713868.db2.gz FZVSZWDOQYLVQD-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN N#CCN1CC[C@@H](Oc2nc(Cl)nc3[nH]ncc32)C1 ZINC001231046751 805716039 /nfs/dbraw/zinc/71/60/39/805716039.db2.gz DAIFQKSSBFUXSM-SSDOTTSWSA-N 0 1 278.703 0.983 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CCN1CCn1cccn1 ZINC001231171170 805743873 /nfs/dbraw/zinc/74/38/73/805743873.db2.gz YMORQXLFCUIYIU-CQSZACIVSA-N 0 1 292.383 0.618 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCOCC ZINC001231189436 805746903 /nfs/dbraw/zinc/74/69/03/805746903.db2.gz MMTDJPCTUNOLSB-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(C)n1 ZINC001231239271 805758016 /nfs/dbraw/zinc/75/80/16/805758016.db2.gz BOWQGTCWWFXHTA-GFCCVEGCSA-N 0 1 293.371 0.348 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)COCCOC ZINC001231305062 805772935 /nfs/dbraw/zinc/77/29/35/805772935.db2.gz XJHQBQFLCAJNFU-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C1CCCCC1 ZINC001231345623 805778378 /nfs/dbraw/zinc/77/83/78/805778378.db2.gz VRTXQSGGZATDSW-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)CC1CCCC1 ZINC001231379286 805785517 /nfs/dbraw/zinc/78/55/17/805785517.db2.gz OWAAIAUQZYJSNP-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN N#Cc1ccc(CCN2C[C@@H]3C(C(N)=O)=NO[C@@H]3C2)cc1 ZINC001277644053 805918400 /nfs/dbraw/zinc/91/84/00/805918400.db2.gz VYEIPJYJDAXJSN-QWHCGFSZSA-N 0 1 284.319 0.273 20 30 CCEDMN CN(C(=O)[C@@H]1CCCCN1C)[C@H](CNCC#N)C1CC1 ZINC001277684697 805961029 /nfs/dbraw/zinc/96/10/29/805961029.db2.gz NUCGPOVIPNMIMW-UONOGXRCSA-N 0 1 278.400 0.821 20 30 CCEDMN COC(=O)CN(CC(=O)OC)Cc1cc(C#N)ccc1O ZINC001232679852 805970025 /nfs/dbraw/zinc/97/00/25/805970025.db2.gz TZUQEUQCBHLVKR-UHFFFAOYSA-N 0 1 292.291 0.412 20 30 CCEDMN CN1CCO[C@H]2C[N@@H+](Cc3cc(C#N)ccc3[O-])C[C@H]21 ZINC001232675249 805970151 /nfs/dbraw/zinc/97/01/51/805970151.db2.gz OIJVIHNWQBEIFQ-HIFRSBDPSA-N 0 1 273.336 0.779 20 30 CCEDMN CN1CCN(CC[N@H+](C)Cc2cc(C#N)ccc2[O-])CC1 ZINC001232678796 805970292 /nfs/dbraw/zinc/97/02/92/805970292.db2.gz QMEWXLXNPBCDKW-UHFFFAOYSA-N 0 1 288.395 0.943 20 30 CCEDMN CN1CCN(CC[N@@H+](C)Cc2cc(C#N)ccc2[O-])CC1 ZINC001232678796 805970293 /nfs/dbraw/zinc/97/02/93/805970293.db2.gz QMEWXLXNPBCDKW-UHFFFAOYSA-N 0 1 288.395 0.943 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCCN([C@H](C)C(=O)NC)C1 ZINC001266746867 791205891 /nfs/dbraw/zinc/20/58/91/791205891.db2.gz KKFBTIPFULYNEE-KGLIPLIRSA-N 0 1 293.411 0.753 20 30 CCEDMN C[C@@H](C(N)=O)N1CCC[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001266749203 791208352 /nfs/dbraw/zinc/20/83/52/791208352.db2.gz FQSYGUHVFSQENC-STQMWFEESA-N 0 1 293.411 0.738 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3nc(C)c[nH]3)[C@H]2C1 ZINC001083219033 791213283 /nfs/dbraw/zinc/21/32/83/791213283.db2.gz WZMWKOPICMQONO-QWHCGFSZSA-N 0 1 290.367 0.819 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)NCc1ocnc1C ZINC001266926884 791358403 /nfs/dbraw/zinc/35/84/03/791358403.db2.gz FIHDVOKMXJANKI-JTQLQIEISA-N 0 1 267.329 0.780 20 30 CCEDMN C[C@H](CNC(=O)C#CC(C)(C)C)NCc1cnnn1C ZINC001266937942 791374711 /nfs/dbraw/zinc/37/47/11/791374711.db2.gz QRZJOTLGYBXEEF-LLVKDONJSA-N 0 1 277.372 0.459 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cn1ccnc1 ZINC001266995552 791428992 /nfs/dbraw/zinc/42/89/92/791428992.db2.gz IPALQJFKOYFMGS-SNVBAGLBSA-N 0 1 256.737 0.730 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)CCSC)C1 ZINC001149168599 791493573 /nfs/dbraw/zinc/49/35/73/791493573.db2.gz UZCOIVRLLDYZRB-ZDUSSCGKSA-N 0 1 284.425 0.970 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCC(=O)N1CCCCC1 ZINC001233533132 806077667 /nfs/dbraw/zinc/07/76/67/806077667.db2.gz YHBYCUSBAKTQNN-UHFFFAOYSA-N 0 1 294.399 0.253 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)COC[C@@H]1CCCO1 ZINC001267150653 791594364 /nfs/dbraw/zinc/59/43/64/791594364.db2.gz YJYUWYFGLMBTGM-LBPRGKRZSA-N 0 1 290.791 0.983 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccnc(F)c1 ZINC001267187768 791641610 /nfs/dbraw/zinc/64/16/10/791641610.db2.gz CCRFQBDCSTVJCZ-UHFFFAOYSA-N 0 1 279.315 0.532 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@@H](C)OC)C[C@H](C)O2 ZINC001098570416 791641682 /nfs/dbraw/zinc/64/16/82/791641682.db2.gz ILFZYXMMYPTCIW-UONOGXRCSA-N 0 1 294.395 0.736 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H](C)C1CC1 ZINC001267188821 791643805 /nfs/dbraw/zinc/64/38/05/791643805.db2.gz FXGHVYVBEAMJOI-GFCCVEGCSA-N 0 1 252.358 0.730 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CC(=O)N(C(C)(C)C)C1 ZINC001267198771 791660176 /nfs/dbraw/zinc/66/01/76/791660176.db2.gz AUQPYOYOFNGBDX-LBPRGKRZSA-N 0 1 279.384 0.315 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN(C)CCNC(=O)C1CC1 ZINC001267212003 791679488 /nfs/dbraw/zinc/67/94/88/791679488.db2.gz JLWRAJQTNPTYHD-UHFFFAOYSA-N 0 1 281.400 0.773 20 30 CCEDMN C#CCN(C)CCNC(=O)c1c[nH]c(=O)cc1OC ZINC001267217803 791688061 /nfs/dbraw/zinc/68/80/61/791688061.db2.gz QAUCSCAWEJQIIN-UHFFFAOYSA-N 0 1 263.297 0.091 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H]1COC(=O)C1 ZINC001233609818 806092532 /nfs/dbraw/zinc/09/25/32/806092532.db2.gz ASTBCCHGGUQXQV-VXGBXAGGSA-N 0 1 266.341 0.658 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C[C@@H]1C=CCC1 ZINC001233759087 806115826 /nfs/dbraw/zinc/11/58/26/806115826.db2.gz MCJLTJYFQQWNEZ-CQSZACIVSA-N 0 1 291.395 0.530 20 30 CCEDMN CN(CCCNC(=O)[C@@H]1CC=CCC1)CC(=O)NCC#N ZINC001233834734 806128189 /nfs/dbraw/zinc/12/81/89/806128189.db2.gz BVXCURFVGFGHPP-CYBMUJFWSA-N 0 1 292.383 0.421 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C(C)C ZINC001234087683 806181911 /nfs/dbraw/zinc/18/19/11/806181911.db2.gz BKTQMBKVLXBAGB-GFCCVEGCSA-N 0 1 269.389 0.771 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)CCOC(C)C ZINC001234189482 806200917 /nfs/dbraw/zinc/20/09/17/806200917.db2.gz SZWCONLSGFWLQM-UHFFFAOYSA-N 0 1 299.415 0.542 20 30 CCEDMN C=C(C)C(=O)NCCCNc1ncc2c(n1)CNCC2 ZINC001167664957 793230290 /nfs/dbraw/zinc/23/02/90/793230290.db2.gz CLXUEOIWVGASPK-UHFFFAOYSA-N 0 1 275.356 0.617 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN(CC)[C@H]1CCC(=O)NC1=O ZINC001267247470 793239826 /nfs/dbraw/zinc/23/98/26/793239826.db2.gz NTRUJRYCZHKPSG-NSHDSACASA-N 0 1 295.383 0.442 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCc1ccnn1C ZINC001234634033 806291532 /nfs/dbraw/zinc/29/15/32/806291532.db2.gz NRCNJCLWLTVOHD-HNNXBMFYSA-N 0 1 288.395 0.909 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)CC(F)(F)F ZINC001235044392 806349269 /nfs/dbraw/zinc/34/92/69/806349269.db2.gz UEYJFHJCHCJIKB-UHFFFAOYSA-N 0 1 293.289 0.126 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCCn1ccnn1 ZINC001235246020 806394848 /nfs/dbraw/zinc/39/48/48/806394848.db2.gz PYWUSFOQEVNVCB-ZDUSSCGKSA-N 0 1 277.372 0.777 20 30 CCEDMN N#CCCCCN1C[C@@H]2CN(Cc3cn[nH]c3)C[C@H](C1)O2 ZINC001277948337 806430843 /nfs/dbraw/zinc/43/08/43/806430843.db2.gz HMHCXBPQXXAZPD-GASCZTMLSA-N 0 1 289.383 0.989 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@H](C)COC ZINC001235669458 806488607 /nfs/dbraw/zinc/48/86/07/806488607.db2.gz NADXJHVPAJIDRG-OLZOCXBDSA-N 0 1 299.415 0.398 20 30 CCEDMN N#CCC1CN(C(=O)[C@@H]2CC23CN(C[C@@H]2CCCO2)C3)C1 ZINC001277971610 806505640 /nfs/dbraw/zinc/50/56/40/806505640.db2.gz FKZLSKWSVZKOSY-KBPBESRZSA-N 0 1 289.379 0.859 20 30 CCEDMN CC(=O)NC[C@@H](CO)NCC#Cc1cccc(Cl)c1 ZINC001278034985 806623511 /nfs/dbraw/zinc/62/35/11/806623511.db2.gz PGBQQUMHXLJPML-AWEZNQCLSA-N 0 1 280.755 0.778 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(C(C)C)nc1 ZINC001278094592 806661407 /nfs/dbraw/zinc/66/14/07/806661407.db2.gz YABMYKXOHFACMF-ZDUSSCGKSA-N 0 1 275.352 0.519 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(-c2ccccc2)no1 ZINC001278106073 806675545 /nfs/dbraw/zinc/67/55/45/806675545.db2.gz TXVSTVAJGLCGCH-CYBMUJFWSA-N 0 1 299.330 0.655 20 30 CCEDMN N#Cc1ccc2nc(CN3CCN(CCCO)CC3)cn2c1 ZINC001237608318 806700854 /nfs/dbraw/zinc/70/08/54/806700854.db2.gz FYJIFGIIDVAKFZ-UHFFFAOYSA-N 0 1 299.378 0.706 20 30 CCEDMN C=C[C@H](O)c1nnc(N2CCN(C)C(C)(C)C2)n1C ZINC001121384776 799039235 /nfs/dbraw/zinc/03/92/35/799039235.db2.gz LATSRMJIRKMOAP-JTQLQIEISA-N 0 1 265.361 0.565 20 30 CCEDMN C#CCNCC(=O)N1CCOc2cccc(F)c2C1 ZINC001122061372 799132882 /nfs/dbraw/zinc/13/28/82/799132882.db2.gz JYVHBCFEJNDLGS-UHFFFAOYSA-N 0 1 262.284 0.770 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(C(=O)OC)s1 ZINC001122076033 799137689 /nfs/dbraw/zinc/13/76/89/799137689.db2.gz OPYJMLPPIBSRFH-UHFFFAOYSA-N 0 1 266.322 0.374 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCc2sc(CN)nc2C1 ZINC001122131522 799151389 /nfs/dbraw/zinc/15/13/89/799151389.db2.gz KHCUXBGFWZTYBT-GFCCVEGCSA-N 0 1 267.354 0.424 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1CCC(C2CC2)CC1 ZINC001278186367 806747390 /nfs/dbraw/zinc/74/73/90/806747390.db2.gz DSKXIAFSJAEFAB-YMAMQOFZSA-N 0 1 278.396 0.903 20 30 CCEDMN C=C(CC)CNC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001123656418 799449557 /nfs/dbraw/zinc/44/95/57/799449557.db2.gz DWZXZIVXFQNIIZ-OLZOCXBDSA-N 0 1 268.405 0.886 20 30 CCEDMN CC#CCN(C)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001123796723 799476370 /nfs/dbraw/zinc/47/63/70/799476370.db2.gz ZOMFKJASSIDKTK-OLZOCXBDSA-N 0 1 266.389 0.285 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)Cc1ccc(C)c(C)c1 ZINC001278193761 806756063 /nfs/dbraw/zinc/75/60/63/806756063.db2.gz CPZKMQJVTVSYRT-INIZCTEOSA-N 0 1 288.391 0.936 20 30 CCEDMN C=CCCC(=O)NCCNCC(=O)Nc1nccs1 ZINC001124636555 799621031 /nfs/dbraw/zinc/62/10/31/799621031.db2.gz SWFQQTNCIRCQRN-UHFFFAOYSA-N 0 1 282.369 0.754 20 30 CCEDMN COc1cccc(CNCCNC(=O)[C@@H](C)C#N)n1 ZINC001124888728 799642412 /nfs/dbraw/zinc/64/24/12/799642412.db2.gz LKFBNKRDCBCFQE-JTQLQIEISA-N 0 1 262.313 0.456 20 30 CCEDMN Cc1cc(NC(=O)[C@H](C)NCCNC(=O)[C@@H](C)C#N)on1 ZINC001124907862 799646000 /nfs/dbraw/zinc/64/60/00/799646000.db2.gz AWFCUOAWXVMXTC-WPRPVWTQSA-N 0 1 293.327 0.176 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1CCC(O)CC1 ZINC001161178546 799698951 /nfs/dbraw/zinc/69/89/51/799698951.db2.gz QYYOHBXTXSQDHU-AWEZNQCLSA-N 0 1 273.336 0.411 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@]1(C)CC=C(C)CC1 ZINC001278201636 806770229 /nfs/dbraw/zinc/77/02/29/806770229.db2.gz IEIGRQSORJSIHS-DZGCQCFKSA-N 0 1 264.369 0.823 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)COc1cc(F)cc(F)c1 ZINC001278201317 806770402 /nfs/dbraw/zinc/77/04/02/806770402.db2.gz DWEBZCKAHJDDIW-GFCCVEGCSA-N 0 1 298.289 0.044 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](C)c1cccc(OC)c1 ZINC001278201538 806770453 /nfs/dbraw/zinc/77/04/53/806770453.db2.gz GWYFEXCLXLSBSV-JSGCOSHPSA-N 0 1 290.363 0.499 20 30 CCEDMN C#CCOc1ccc(CN2C[C@H](O)[C@@H](CO)C2)cc1OC ZINC001138343981 799722545 /nfs/dbraw/zinc/72/25/45/799722545.db2.gz UHAMBSQZQPEIOD-KGLIPLIRSA-N 0 1 291.347 0.492 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1coc2ccccc21 ZINC001278213786 806775078 /nfs/dbraw/zinc/77/50/78/806775078.db2.gz QFHNZNBGXOXADG-LLVKDONJSA-N 0 1 272.304 0.746 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)CN2CCCC2=O)C1 ZINC001149160305 799839973 /nfs/dbraw/zinc/83/99/73/799839973.db2.gz RNTTWOMJVBIRGW-ZDUSSCGKSA-N 0 1 295.383 0.002 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CN2CCCC2=O)C1 ZINC001149160305 799839980 /nfs/dbraw/zinc/83/99/80/799839980.db2.gz RNTTWOMJVBIRGW-ZDUSSCGKSA-N 0 1 295.383 0.002 20 30 CCEDMN COc1ccc(OC)c(NC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000841008571 800063890 /nfs/dbraw/zinc/06/38/90/800063890.db2.gz VGDHLZCWQGNVOZ-BXKDBHETSA-N 0 1 290.323 0.937 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCc1nc(O)cc(=O)[nH]1)OCC ZINC001149284004 800242304 /nfs/dbraw/zinc/24/23/04/800242304.db2.gz LNQQGJQLQBBTRT-VIFPVBQESA-N 0 1 281.312 0.875 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2cnn(C)c2)C1 ZINC001149363651 800252319 /nfs/dbraw/zinc/25/23/19/800252319.db2.gz YZASFZGJCCPPAA-CQSZACIVSA-N 0 1 292.383 0.356 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@@H](C)OC)C1 ZINC001149416187 800258528 /nfs/dbraw/zinc/25/85/28/800258528.db2.gz RLXYFNALEXUSRS-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCCN1CCCO[C@@H](CNC(=O)C(=O)C(C)(C)C)C1 ZINC001149440251 800262001 /nfs/dbraw/zinc/26/20/01/800262001.db2.gz UANAPHCFPRBQKJ-ZDUSSCGKSA-N 0 1 294.395 0.832 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cnn2cccnc12 ZINC001150645599 800354517 /nfs/dbraw/zinc/35/45/17/800354517.db2.gz WBUSSLZNBCWFMG-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN Cc1c(C(=O)Nc2nc[nH]c2C#N)cnc2ccnn21 ZINC001151421164 800422476 /nfs/dbraw/zinc/42/24/76/800422476.db2.gz ICVWSQFTTGYZOB-UHFFFAOYSA-N 0 1 267.252 0.885 20 30 CCEDMN CC(C)C[C@H](NC(=O)c1ccc2cncn2c1)C(=O)NO ZINC001152210287 800501714 /nfs/dbraw/zinc/50/17/14/800501714.db2.gz VZMIAQGOYMBFRP-LBPRGKRZSA-N 0 1 290.323 0.984 20 30 CCEDMN O=C(C#Cc1ccccc1)N[C@H](CO)Cc1cnc[nH]1 ZINC001152490612 800530917 /nfs/dbraw/zinc/53/09/17/800530917.db2.gz UOTOQFOXCWGRFW-AWEZNQCLSA-N 0 1 269.304 0.481 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@H](c3nn[nH]n3)C2)c[nH]1 ZINC001153000951 800578931 /nfs/dbraw/zinc/57/89/31/800578931.db2.gz HODRWUYXYVZIMS-ZETCQYMHSA-N 0 1 257.257 0.029 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1ccc(OC)nn1 ZINC001153134328 800600045 /nfs/dbraw/zinc/60/00/45/800600045.db2.gz BUBFXADSUPDZDN-LLVKDONJSA-N 0 1 294.355 0.282 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2n[nH]c3cccnc32)CCO1 ZINC001153151174 800602227 /nfs/dbraw/zinc/60/22/27/800602227.db2.gz IBHPDSQNICDGHN-QMMMGPOBSA-N 0 1 257.253 0.322 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)c1cncc2nc[nH]c21 ZINC001153470634 800632852 /nfs/dbraw/zinc/63/28/52/800632852.db2.gz AHPHRWWCKPWOSR-SNVBAGLBSA-N 0 1 286.339 0.922 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(C(N)=O)nc1 ZINC001153520988 800637818 /nfs/dbraw/zinc/63/78/18/800637818.db2.gz WEOVPYHRNMRPFG-UHFFFAOYSA-N 0 1 282.731 0.252 20 30 CCEDMN C=CCCOCCNC(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001153559637 800640540 /nfs/dbraw/zinc/64/05/40/800640540.db2.gz GNGWOIKUXSXMFL-CHWSQXEVSA-N 0 1 270.373 0.541 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)NC(=O)C1CCC1 ZINC001153693892 800653921 /nfs/dbraw/zinc/65/39/21/800653921.db2.gz URXKABKIZGAHFB-JTQLQIEISA-N 0 1 287.791 0.750 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1nn(CC)nc1C ZINC001153752823 800661142 /nfs/dbraw/zinc/66/11/42/800661142.db2.gz LUMPLCZWOSTVCZ-UHFFFAOYSA-N 0 1 271.752 0.678 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@@H]1C[N@H+](C(C)C)CCO1 ZINC001154838796 800854512 /nfs/dbraw/zinc/85/45/12/800854512.db2.gz WKDHEVCSBFDFFY-KGLIPLIRSA-N 0 1 296.415 0.446 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@@H]1CN(C(C)C)CCO1 ZINC001154838796 800854518 /nfs/dbraw/zinc/85/45/18/800854518.db2.gz WKDHEVCSBFDFFY-KGLIPLIRSA-N 0 1 296.415 0.446 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCNCc1nonc1C ZINC001155427968 800973698 /nfs/dbraw/zinc/97/36/98/800973698.db2.gz XDOFGTZDPYJHBL-GFCCVEGCSA-N 0 1 296.371 0.955 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1coc(C)n1 ZINC001155712942 801042426 /nfs/dbraw/zinc/04/24/26/801042426.db2.gz WNTCIDBTNVSCOI-UHFFFAOYSA-N 0 1 267.329 0.782 20 30 CCEDMN CC(C)c1nnc(CNCCCNC(=O)[C@H](C)C#N)n1C ZINC001155829669 801060697 /nfs/dbraw/zinc/06/06/97/801060697.db2.gz YDVUQBIXCAWXKM-LLVKDONJSA-N 0 1 292.387 0.694 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CCNC(=O)C2CC2)C[C@H]1C ZINC001206660111 801118580 /nfs/dbraw/zinc/11/85/80/801118580.db2.gz XCKTTXXMRBYCOJ-NQBHXWOUSA-N 0 1 292.383 0.109 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)Nc1cn[nH]c1C(N)=O ZINC001156876682 801252349 /nfs/dbraw/zinc/25/23/49/801252349.db2.gz LEGRPXSEYUIAKO-UHFFFAOYSA-N 0 1 295.262 0.509 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCN[C@@H](C)c1ncccn1 ZINC001157201333 801324364 /nfs/dbraw/zinc/32/43/64/801324364.db2.gz FYAMUCQBHZVSNX-WFASDCNBSA-N 0 1 292.383 0.961 20 30 CCEDMN CCOC(=O)C1CO[C@@H](C)C1=Nc1nccnc1C#N ZINC001157380372 801364393 /nfs/dbraw/zinc/36/43/93/801364393.db2.gz DVZFCIFYJFFKLA-QMMMGPOBSA-N 0 1 274.280 0.996 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CNC(C)=O ZINC001157458422 801389005 /nfs/dbraw/zinc/38/90/05/801389005.db2.gz DSKWHQLQRIRJKF-CQSZACIVSA-N 0 1 279.384 0.459 20 30 CCEDMN COc1ccnc(C(=N)Nc2cc(CN(C)C)ccn2)n1 ZINC001157636785 801441919 /nfs/dbraw/zinc/44/19/19/801441919.db2.gz GMXWPPXYCVHFIK-UHFFFAOYSA-N 0 1 286.339 0.979 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN[C@@H](C)c1nncn1C ZINC001157874600 801507991 /nfs/dbraw/zinc/50/79/91/801507991.db2.gz UUFQQWOSAAOYGG-NWDGAFQWSA-N 0 1 293.371 0.010 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CNC(=O)NC ZINC001158043817 801560489 /nfs/dbraw/zinc/56/04/89/801560489.db2.gz LXSYUXUXBSVPSZ-CYBMUJFWSA-N 0 1 294.399 0.252 20 30 CCEDMN N#CCNCCCNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001158086274 801568316 /nfs/dbraw/zinc/56/83/16/801568316.db2.gz MVQCNRNGKBTXNS-JTQLQIEISA-N 0 1 261.329 0.449 20 30 CCEDMN Cc1nc(CNC(=O)c2ncc(C#N)cc2C)n[nH]1 ZINC001158432752 801648935 /nfs/dbraw/zinc/64/89/35/801648935.db2.gz GZSDDCYLOOIDRC-UHFFFAOYSA-N 0 1 256.269 0.618 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1NC[C@@H]1COCCN1 ZINC001159047988 801752071 /nfs/dbraw/zinc/75/20/71/801752071.db2.gz XEIRCMOCKGQQJL-SECBINFHSA-N 0 1 272.312 0.183 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cnn(C)c2N)CC1 ZINC001159899261 801887860 /nfs/dbraw/zinc/88/78/60/801887860.db2.gz LZTMCRDYBJEAIV-UHFFFAOYSA-N 0 1 287.367 0.388 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cnnn2C)CC1 ZINC001159996914 801897973 /nfs/dbraw/zinc/89/79/73/801897973.db2.gz WNHVAYGSCCGDKT-UHFFFAOYSA-N 0 1 273.340 0.200 20 30 CCEDMN N=C(Nc1ncc2c(n1)CNC2)c1ccc(C(N)=O)cc1 ZINC001160080142 801904976 /nfs/dbraw/zinc/90/49/76/801904976.db2.gz YSSCJWFJELYAKL-UHFFFAOYSA-N 0 1 282.307 0.216 20 30 CCEDMN N#Cc1c[nH]c2ncnc(Nc3nccnc3CN)c12 ZINC001160245172 801923348 /nfs/dbraw/zinc/92/33/48/801923348.db2.gz YQOOBORFUVHBBL-UHFFFAOYSA-N 0 1 266.268 0.822 20 30 CCEDMN CN(C)c1cc(C#N)cc(C(=O)Nc2n[nH]c3ncnn23)c1 ZINC001160382463 801933619 /nfs/dbraw/zinc/93/36/19/801933619.db2.gz VRAKAKYYNOVKNB-UHFFFAOYSA-N 0 1 296.294 0.642 20 30 CCEDMN COCCOc1ccc(NC2(C#N)CCN(C)CC2)nn1 ZINC001162232015 802292670 /nfs/dbraw/zinc/29/26/70/802292670.db2.gz ZGYJXNIHLMKKMY-UHFFFAOYSA-N 0 1 291.355 0.902 20 30 CCEDMN Cc1nnc(CN)n1-c1nc(C#N)c(C#N)nc1Cl ZINC001163348830 802542178 /nfs/dbraw/zinc/54/21/78/802542178.db2.gz VSLSJMFZQKRBLB-UHFFFAOYSA-N 0 1 274.675 0.221 20 30 CCEDMN C=CCCC(=O)NCCC1(CNCc2nnnn2C)CC1 ZINC001163762897 802607961 /nfs/dbraw/zinc/60/79/61/802607961.db2.gz OMTQVQVNYLGGRW-UHFFFAOYSA-N 0 1 292.387 0.552 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1(C(N)=O)CC1 ZINC001264371168 809644603 /nfs/dbraw/zinc/64/46/03/809644603.db2.gz RGWHFOBUPPYFFY-NSHDSACASA-N 0 1 265.357 0.361 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)CN1CCCC1 ZINC001264380369 809647736 /nfs/dbraw/zinc/64/77/36/809647736.db2.gz IEBKMEWXMKUUND-GFCCVEGCSA-N 0 1 250.346 0.138 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](COC)OC)[C@H]1C ZINC001264718812 809675575 /nfs/dbraw/zinc/67/55/75/809675575.db2.gz BBGYQWPFJIPPFD-FRRDWIJNSA-N 0 1 268.357 0.250 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001164222484 802690729 /nfs/dbraw/zinc/69/07/29/802690729.db2.gz LTXGMCCPSVJHDU-QWRGUYRKSA-N 0 1 295.387 0.163 20 30 CCEDMN N#CC1(NC(=O)[C@@]23C[C@@H]2CCN3Cc2cnc[nH]2)CCC1 ZINC001278345250 807000326 /nfs/dbraw/zinc/00/03/26/807000326.db2.gz LQGGNSQNWGTKNR-XHDPSFHLSA-N 0 1 285.351 0.937 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1ccc(CC#N)c(C)n1 ZINC001165441698 802843598 /nfs/dbraw/zinc/84/35/98/802843598.db2.gz HNSNUZQNWFWDNS-LBPRGKRZSA-N 0 1 274.324 0.407 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)[C@H](C)[C@H](C)CC)CC1 ZINC001269513530 813399701 /nfs/dbraw/zinc/39/97/01/813399701.db2.gz VKRQFGZZOJVRHG-CHWSQXEVSA-N 0 1 293.411 0.656 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C)n[nH]2)C1 ZINC001206274424 803221358 /nfs/dbraw/zinc/22/13/58/803221358.db2.gz VAEOOKICJGZEEJ-BXUZGUMPSA-N 0 1 292.383 0.971 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COCCCC)C1 ZINC001206690171 803280333 /nfs/dbraw/zinc/28/03/33/803280333.db2.gz RNVHIGPKDHNLTM-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccn(C)c2)C1 ZINC001206866934 803295642 /nfs/dbraw/zinc/29/56/42/803295642.db2.gz CAKPRYUUOIIZOA-UKRRQHHQSA-N 0 1 289.379 0.725 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCCC(=O)NC)C1 ZINC001206897323 803301326 /nfs/dbraw/zinc/30/13/26/803301326.db2.gz SZHNWMGVQZOWMO-CHWSQXEVSA-N 0 1 279.384 0.363 20 30 CCEDMN CC(=O)NC1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC001207101221 803351706 /nfs/dbraw/zinc/35/17/06/803351706.db2.gz IMVSGDRDGKGDEI-UHFFFAOYSA-N 0 1 260.341 0.997 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@H](NCc2cnns2)C1 ZINC001207680765 803435139 /nfs/dbraw/zinc/43/51/39/803435139.db2.gz VEKKPEQKLJDHKG-NSHDSACASA-N 0 1 296.396 0.821 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@H](NCc2ccn(C)n2)C1 ZINC001207685212 803437504 /nfs/dbraw/zinc/43/75/04/803437504.db2.gz YVBKNCVBJXORSJ-AWEZNQCLSA-N 0 1 292.383 0.703 20 30 CCEDMN C=CCOCCN1C[C@@H](C)[C@H](NC(=O)c2n[nH]cc2F)C1 ZINC001208517524 803520276 /nfs/dbraw/zinc/52/02/76/803520276.db2.gz MCKJRXFOMNMZOA-ZYHUDNBSSA-N 0 1 296.346 0.802 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)[C@@H]2C1 ZINC001075903515 814943570 /nfs/dbraw/zinc/94/35/70/814943570.db2.gz BKWDRRLVPNXJMZ-FMCLSXCISA-N 0 1 285.351 0.569 20 30 CCEDMN C=CCN(CCN1CC[C@H](CO)[C@@H](O)C1)C(=O)OCC ZINC001209017132 803564977 /nfs/dbraw/zinc/56/49/77/803564977.db2.gz LDDXAQJNYQFGGW-OLZOCXBDSA-N 0 1 286.372 0.306 20 30 CCEDMN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCC[C@@H](CC#N)C2)O1 ZINC001209276050 803586669 /nfs/dbraw/zinc/58/66/69/803586669.db2.gz PUSFPZLDJNUOGB-RFQIPJPRSA-N 0 1 298.383 0.485 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H]1CN(CC#N)C[C@H]1C ZINC001209327913 803595597 /nfs/dbraw/zinc/59/55/97/803595597.db2.gz SJMSNHZBCDSNJS-NQBHXWOUSA-N 0 1 275.356 0.548 20 30 CCEDMN NC(=O)C(=O)N1CCC[C@@H](NCC#Cc2ccccc2)C1 ZINC001209385464 803600227 /nfs/dbraw/zinc/60/02/27/803600227.db2.gz DBJJGZTXCJLODQ-CQSZACIVSA-N 0 1 285.347 0.104 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COc3cc(C)on3)[C@@H]2C1 ZINC001075909203 814951952 /nfs/dbraw/zinc/95/19/52/814951952.db2.gz OHIYJFHWDWWNLK-QWHCGFSZSA-N 0 1 289.335 0.528 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H](C)N2CCCC2=O)C1 ZINC001210277404 803645119 /nfs/dbraw/zinc/64/51/19/803645119.db2.gz RXJFTWYBGQHXGK-MGPQQGTHSA-N 0 1 291.395 0.457 20 30 CCEDMN CS(=O)(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC000400229632 803765078 /nfs/dbraw/zinc/76/50/78/803765078.db2.gz RAHXIURGQVFCPQ-CQSZACIVSA-N 0 1 278.377 0.662 20 30 CCEDMN N#CCCNC(=O)CN1CCC(CCCO)CC1 ZINC000401028705 803782107 /nfs/dbraw/zinc/78/21/07/803782107.db2.gz OQZLQNWMRFSFHO-UHFFFAOYSA-N 0 1 253.346 0.501 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@H]2OCCN(C(=O)CNC(C)=O)[C@H]2C1 ZINC001217211994 803876372 /nfs/dbraw/zinc/87/63/72/803876372.db2.gz DIMQXBJQXLDEAK-UONOGXRCSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCOCC(=O)N1CCO[C@@H]2CN([C@@H](C)COC)C[C@@H]21 ZINC001217538001 803895447 /nfs/dbraw/zinc/89/54/47/803895447.db2.gz MZFFWDYDFMZFQI-MELADBBJSA-N 0 1 298.383 0.136 20 30 CCEDMN C#CCCCC(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001217764582 803911818 /nfs/dbraw/zinc/91/18/18/803911818.db2.gz QYVJQUJCPUHBHJ-QWHCGFSZSA-N 0 1 250.342 0.721 20 30 CCEDMN COCCCN1C[C@H]2OCCN(C(=O)C#CC3CC3)[C@H]2C1 ZINC001217835489 803918046 /nfs/dbraw/zinc/91/80/46/803918046.db2.gz VAEXHBKEODUCQX-LSDHHAIUSA-N 0 1 292.379 0.348 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CCCF)[C@H]2C1 ZINC001218474403 803959678 /nfs/dbraw/zinc/95/96/78/803959678.db2.gz HCFIHAFCEZYVEJ-QWHCGFSZSA-N 0 1 268.332 0.671 20 30 CCEDMN CO[C@@H]1CN(CCCOC(C)C)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212045040 814984687 /nfs/dbraw/zinc/98/46/87/814984687.db2.gz FKDVUIOBSMBQHP-MGPQQGTHSA-N 0 1 297.399 0.777 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H](C)C#N)[C@H](OC)C1 ZINC001212041618 814984182 /nfs/dbraw/zinc/98/41/82/814984182.db2.gz WAQKANQEXVPLOR-YNEHKIRRSA-N 0 1 281.356 0.164 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1cnc(N)cn1 ZINC001218624071 804040268 /nfs/dbraw/zinc/04/02/68/804040268.db2.gz VSXAIQABWPZXJX-NSHDSACASA-N 0 1 282.307 0.439 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)Nc1c[nH]nc1C#N ZINC001218655718 804066322 /nfs/dbraw/zinc/06/63/22/804066322.db2.gz IJICSJFKQKUZEH-ZETCQYMHSA-N 0 1 251.290 0.362 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)[C@H](N)Cc1ccccc1F ZINC001218656496 804067000 /nfs/dbraw/zinc/06/70/00/804067000.db2.gz WRYDCNYNWYXSIB-SNVBAGLBSA-N 0 1 273.271 0.929 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CCO[C@@H]2CN(C(C)C)C[C@@H]21 ZINC001219065972 804189255 /nfs/dbraw/zinc/18/92/55/804189255.db2.gz STVYFRABJOFSJK-MELADBBJSA-N 0 1 282.384 0.898 20 30 CCEDMN C=CCOCC(=O)NCC[C@H](C)NC(=O)c1[nH]ncc1F ZINC001075918928 815002374 /nfs/dbraw/zinc/00/23/74/815002374.db2.gz MOQNNFWPFXSLND-VIFPVBQESA-N 0 1 298.318 0.376 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@H]2CCCO2)[C@@H](O)C1 ZINC001219232672 804242504 /nfs/dbraw/zinc/24/25/04/804242504.db2.gz RYCBUWGGNNMASS-MCIONIFRSA-N 0 1 280.368 0.130 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C(\C)C2CC2)[C@@H](O)C1 ZINC001219281535 804255966 /nfs/dbraw/zinc/25/59/66/804255966.db2.gz JNWMUQONEXKGLF-GELOPOQCSA-N 0 1 262.353 0.527 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C(C)=C\CC)[C@@H](O)C1 ZINC001219295565 804259968 /nfs/dbraw/zinc/25/99/68/804259968.db2.gz WVSWBXFGYGNCOZ-QQKWEAIHSA-N 0 1 282.384 0.707 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001219313206 804268057 /nfs/dbraw/zinc/26/80/57/804268057.db2.gz YPXNTIBQJGSPDH-TUAOUCFPSA-N 0 1 256.346 0.149 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)OCCC=C)[C@@H](O)C1 ZINC001219324484 804270954 /nfs/dbraw/zinc/27/09/54/804270954.db2.gz QYYMSTIOPNSKEK-MCIONIFRSA-N 0 1 280.368 0.152 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@@H]1O ZINC001219334932 804274288 /nfs/dbraw/zinc/27/42/88/804274288.db2.gz MIBIYDSCHGBNDC-TUAOUCFPSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(Cc2ccccc2)C[C@@H]1O ZINC001219361994 804285613 /nfs/dbraw/zinc/28/56/13/804285613.db2.gz NJPSUXOVALBNNJ-CABCVRRESA-N 0 1 290.363 0.551 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)CSC)[C@@H](O)C1 ZINC001219369335 804288406 /nfs/dbraw/zinc/28/84/06/804288406.db2.gz WJUACBZYFJRAIF-TUAOUCFPSA-N 0 1 270.398 0.170 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](C)SC)[C@@H](O)C1 ZINC001219484406 804328711 /nfs/dbraw/zinc/32/87/11/804328711.db2.gz QRKBYEGDDSHLPJ-TUAOUCFPSA-N 0 1 270.398 0.313 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(CCc2ccccc2)C[C@@H]1O ZINC001219591503 804363464 /nfs/dbraw/zinc/36/34/64/804363464.db2.gz HVUBGIWUGISPSP-SJORKVTESA-N 0 1 298.386 0.804 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NC[C@@H](O)c1ccco1 ZINC001219604445 804370423 /nfs/dbraw/zinc/37/04/23/804370423.db2.gz OVMLGVZWPMMLBZ-UONOGXRCSA-N 0 1 299.330 0.871 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@@H]1O ZINC001219706862 804410776 /nfs/dbraw/zinc/41/07/76/804410776.db2.gz RVMOERNZWPOQDF-MCIONIFRSA-N 0 1 298.427 0.911 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001219709036 804411493 /nfs/dbraw/zinc/41/14/93/804411493.db2.gz NBGWKKOXJXEYHS-OLZOCXBDSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(CC)C[C@@H]2O)CCC1 ZINC001219785402 804423905 /nfs/dbraw/zinc/42/39/05/804423905.db2.gz NJKNGIYOORFQIM-NEPJUHHUSA-N 0 1 250.342 0.361 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@]23C[C@H]2CCC3)[C@@H](O)C1 ZINC001220042830 804480572 /nfs/dbraw/zinc/48/05/72/804480572.db2.gz QNGBQABBEDMQCP-HGTKMLMNSA-N 0 1 294.395 0.541 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cncc(OC)n1 ZINC001272126464 815021050 /nfs/dbraw/zinc/02/10/50/815021050.db2.gz YXZDIMYTJIOCBV-UHFFFAOYSA-N 0 1 276.340 0.512 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCCc1cc[nH]n1 ZINC001220062445 804491863 /nfs/dbraw/zinc/49/18/63/804491863.db2.gz YSNVJVHGOIXQLT-AWEZNQCLSA-N 0 1 283.335 0.510 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001220076319 804495971 /nfs/dbraw/zinc/49/59/71/804495971.db2.gz WLYCVROCBFYBQR-DYEKYZERSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2conc2C)[C@@H](O)C1 ZINC001220260222 804553357 /nfs/dbraw/zinc/55/33/57/804553357.db2.gz JVSXAKOLDRSVHB-OLZOCXBDSA-N 0 1 279.340 0.724 20 30 CCEDMN Cn1cc(CCNC(=O)[C@@H](N)Cc2ccccc2C#N)cn1 ZINC001220276986 804558986 /nfs/dbraw/zinc/55/89/86/804558986.db2.gz WGMPMCASQDJISY-HNNXBMFYSA-N 0 1 297.362 0.520 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2CC3(CC3)C2)[C@@H](O)C1 ZINC001220331045 804575440 /nfs/dbraw/zinc/57/54/40/804575440.db2.gz IEPNLXCJFCWLDM-KGLIPLIRSA-N 0 1 294.395 0.541 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC(C)(C)CC(=O)N1CCCC1 ZINC001220686988 804650620 /nfs/dbraw/zinc/65/06/20/804650620.db2.gz BEKNNEIMXGTKRS-AWEZNQCLSA-N 0 1 265.357 0.244 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC000315892180 804656391 /nfs/dbraw/zinc/65/63/91/804656391.db2.gz LONLNNCJWBYPMK-JQWIXIFHSA-N 0 1 281.356 0.942 20 30 CCEDMN CC[N@@H+]1C[C@@H](NC(=O)CC#Cc2ccccc2)[C@@H](O)C1 ZINC001221046571 804723032 /nfs/dbraw/zinc/72/30/32/804723032.db2.gz UAXMYJLNFRULCW-CABCVRRESA-N 0 1 272.348 0.609 20 30 CCEDMN CCN1C[C@@H](NC(=O)CC#Cc2ccccc2)[C@@H](O)C1 ZINC001221046571 804723036 /nfs/dbraw/zinc/72/30/36/804723036.db2.gz UAXMYJLNFRULCW-CABCVRRESA-N 0 1 272.348 0.609 20 30 CCEDMN N#Cc1ccc(CNC(=O)[C@H](N)Cc2cccc(O)c2)cn1 ZINC001221089023 804728666 /nfs/dbraw/zinc/72/86/66/804728666.db2.gz DQIRFLDSBGAELR-OAHLLOKOSA-N 0 1 296.330 0.845 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](C)NC(C)=O)[C@@H]2C1 ZINC001221243928 804755162 /nfs/dbraw/zinc/75/51/62/804755162.db2.gz VSDXGBPLHWFJPL-CYZMBNFOSA-N 0 1 265.357 0.230 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@@H](O)c1cc2ccccc2o1 ZINC001221328633 804776705 /nfs/dbraw/zinc/77/67/05/804776705.db2.gz BKHJPOMMSCMLFU-ABAIWWIYSA-N 0 1 272.304 0.933 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCCC(N)=O)[C@@H]2C1 ZINC001222108851 804870318 /nfs/dbraw/zinc/87/03/18/804870318.db2.gz ZCXAJDBTMUMIGP-VXGBXAGGSA-N 0 1 265.357 0.361 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](CC(C)C)C(N)=O)[C@@H]2C1 ZINC001222136487 804873796 /nfs/dbraw/zinc/87/37/96/804873796.db2.gz WSRBTEKPKGUZHB-MGPQQGTHSA-N 0 1 293.411 0.853 20 30 CCEDMN CCC(=O)N1CC[C@H]2CCN(CC#CCOC)[C@H]2C1 ZINC001222152321 804875888 /nfs/dbraw/zinc/87/58/88/804875888.db2.gz SLDPCJRHRDLVRZ-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)COC)CC1 ZINC001222194181 804879499 /nfs/dbraw/zinc/87/94/99/804879499.db2.gz CSCSHPDYLWNMFZ-UHFFFAOYSA-N 0 1 268.357 0.111 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3ncccc23)[C@H]1C ZINC001088625819 815041839 /nfs/dbraw/zinc/04/18/39/815041839.db2.gz GZQRCYFLQXPHTL-YPMHNXCESA-N 0 1 283.335 0.555 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)[C@@H]2CCOC2)CC1 ZINC001222543965 804914884 /nfs/dbraw/zinc/91/48/84/804914884.db2.gz ZMRPMTNLQXLPGS-OAHLLOKOSA-N 0 1 294.395 0.501 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H](C)n2cccn2)C1 ZINC001278364903 807038909 /nfs/dbraw/zinc/03/89/09/807038909.db2.gz ZVVABEIQPDULTF-JSGCOSHPSA-N 0 1 260.341 0.658 20 30 CCEDMN CC(C)C#CC(=O)NCC1CCN([C@H](C)C(N)=O)CC1 ZINC001223113683 804954632 /nfs/dbraw/zinc/95/46/32/804954632.db2.gz ANXWCYOFMSFJFY-GFCCVEGCSA-N 0 1 279.384 0.348 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2[C@H]3CC[C@H](C3)[C@@H]2C(N)=O)c(O)c1 ZINC001276864548 804955142 /nfs/dbraw/zinc/95/51/42/804955142.db2.gz AFLSPNWKAIRMCW-XXSPCDMZSA-N 0 1 299.330 0.894 20 30 CCEDMN C[C@@H](C(N)=O)N1CCC(CNC(=O)C#CC2CC2)CC1 ZINC001223130662 804956356 /nfs/dbraw/zinc/95/63/56/804956356.db2.gz OJWNDRNTQOKLOM-NSHDSACASA-N 0 1 277.368 0.102 20 30 CCEDMN CNC(=O)[C@H](C)N1CCC(CNC(=O)C#CC2CC2)CC1 ZINC001223135389 804956689 /nfs/dbraw/zinc/95/66/89/804956689.db2.gz XPXPVNIUHCHXES-LBPRGKRZSA-N 0 1 291.395 0.363 20 30 CCEDMN C=CCCCCCN1CC[C@H]1CNC(=O)C(N)=O ZINC001276915427 804986881 /nfs/dbraw/zinc/98/68/81/804986881.db2.gz AJKURLWCMMBLMY-NSHDSACASA-N 0 1 253.346 0.409 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H]2CN(C(=O)C(C)C)CC[C@@H]21 ZINC001272192607 815045932 /nfs/dbraw/zinc/04/59/32/815045932.db2.gz DVYVOKNMICFLOE-KBPBESRZSA-N 0 1 291.395 0.315 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)C1(C(=O)NC)CCC1 ZINC001276955747 805016340 /nfs/dbraw/zinc/01/63/40/805016340.db2.gz INPCPLSPVZLISI-LBPRGKRZSA-N 0 1 279.384 0.669 20 30 CCEDMN C[C@H]1C(=O)N=C2N=CNC(c3ccncc3C#N)=C21 ZINC001244332083 807053924 /nfs/dbraw/zinc/05/39/24/807053924.db2.gz VJUCJAHVLBKMJA-SSDOTTSWSA-N 0 1 251.249 0.871 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H](C)n2cncn2)C1 ZINC001278380922 807054154 /nfs/dbraw/zinc/05/41/54/807054154.db2.gz FAZAFCWASUOBAA-JSGCOSHPSA-N 0 1 275.356 0.443 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCC[C@H]1NCc1cn(C)nn1 ZINC001225414172 805126322 /nfs/dbraw/zinc/12/63/22/805126322.db2.gz IHZYLZKFZDWGRF-CYZMBNFOSA-N 0 1 290.371 0.492 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ccn[nH]2)CC1 ZINC001226118814 805195605 /nfs/dbraw/zinc/19/56/05/805195605.db2.gz DZSCTZHHPVCNIO-UHFFFAOYSA-N 0 1 276.340 0.254 20 30 CCEDMN COC(=O)[C@H](Oc1nccc(/C=N/O)n1)C(F)(F)F ZINC001226253701 805211980 /nfs/dbraw/zinc/21/19/80/805211980.db2.gz LWWLFRMCYYVJBX-CAUSQTJPSA-N 0 1 279.174 0.767 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC001226649879 805260299 /nfs/dbraw/zinc/26/02/99/805260299.db2.gz XWBLMUHGYPTBLC-GHMZBOCLSA-N 0 1 292.383 0.394 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(CC)C[C@@H]1C)[C@@H]1CCCO1 ZINC001226733839 805275265 /nfs/dbraw/zinc/27/52/65/805275265.db2.gz CENFVAHHHAHOMM-IHRRRGAJSA-N 0 1 279.384 0.903 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](C)NC(=O)CC)CC1 ZINC001227504628 805360276 /nfs/dbraw/zinc/36/02/76/805360276.db2.gz NQHCLIFERQMRDN-LBPRGKRZSA-N 0 1 279.384 0.505 20 30 CCEDMN C[N+](C)(C)C[C@@H](CC#N)Oc1cnnc(=S)[n-]1 ZINC001228641962 805461750 /nfs/dbraw/zinc/46/17/50/805461750.db2.gz KHFUEABSVRRPEN-MRVPVSSYSA-O 0 1 254.339 0.528 20 30 CCEDMN CCOC(=O)C[C@@H](CC#N)Oc1[nH]c(=O)[nH]c(=O)c1F ZINC001229120696 805505978 /nfs/dbraw/zinc/50/59/78/805505978.db2.gz WFGVNWMCMIYZDF-ZCFIWIBFSA-N 0 1 285.231 0.641 20 30 CCEDMN Cc1nc(O[C@H]2CCN(CC#N)C2)c([N+](=O)[O-])c(=O)[nH]1 ZINC001229381662 805526374 /nfs/dbraw/zinc/52/63/74/805526374.db2.gz OGXQVSXJGVGZIZ-QMMMGPOBSA-N 0 1 279.256 0.376 20 30 CCEDMN N#CCC1CN(C(=O)[C@@]23C[C@@H]2CCN3Cc2c[nH]nn2)C1 ZINC001277380278 805527119 /nfs/dbraw/zinc/52/71/19/805527119.db2.gz ZVTQZAQVILMDEU-SMDDNHRTSA-N 0 1 286.339 0.141 20 30 CCEDMN N#CC1(NC(=O)[C@@H]2CC23CN(Cc2c[nH]nn2)C3)CCC1 ZINC001277380196 805527270 /nfs/dbraw/zinc/52/72/70/805527270.db2.gz WTPCOYKXLNKDBQ-NSHDSACASA-N 0 1 286.339 0.189 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1ncc(F)nc1C(N)=O ZINC001229725710 805552454 /nfs/dbraw/zinc/55/24/54/805552454.db2.gz QJBYAFXJUZWRIX-SCSAIBSYSA-N 0 1 267.216 0.313 20 30 CCEDMN N#CCC1CN(C(=O)[C@@H]2CC23CN(Cc2cnc[nH]2)C3)C1 ZINC001278351089 807010067 /nfs/dbraw/zinc/01/00/67/807010067.db2.gz PUIBEHIWTMHVEF-ZDUSSCGKSA-N 0 1 285.351 0.604 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)Cn2cncn2)CC1 ZINC000989619787 815085110 /nfs/dbraw/zinc/08/51/10/815085110.db2.gz QMMALLRFZOINCL-UHFFFAOYSA-N 0 1 263.345 0.389 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](C)(NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001278403434 807075222 /nfs/dbraw/zinc/07/52/22/807075222.db2.gz BHGUEZWGXNEPFL-IUODEOHRSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H](C)NC(=O)C(C)(C)C)C1 ZINC001278414147 807092352 /nfs/dbraw/zinc/09/23/52/807092352.db2.gz QNEZIWQVECUXGV-MLGOLLRUSA-N 0 1 293.411 0.751 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](NCc2ccccc2C#N)CN1 ZINC001246188095 807181677 /nfs/dbraw/zinc/18/16/77/807181677.db2.gz UOEUGIOLOCSBJM-KBPBESRZSA-N 0 1 273.336 0.941 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCCCC(=O)NC)C1 ZINC001278449491 807257365 /nfs/dbraw/zinc/25/73/65/807257365.db2.gz CXXYDJZFAGIDHJ-OAHLLOKOSA-N 0 1 279.384 0.507 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCc2cn[nH]c2)[C@H]1C ZINC001278522322 807563881 /nfs/dbraw/zinc/56/38/81/807563881.db2.gz WNOAUNGIAXWJMZ-TZMCWYRMSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCCCCCC(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102228524 815133673 /nfs/dbraw/zinc/13/36/73/815133673.db2.gz COBZDPQMMXZAQP-ZIAGYGMSSA-N 0 1 293.411 0.740 20 30 CCEDMN CN(C)S(=O)(=O)Nc1ccc(Cl)nc1C#N ZINC001251049700 807656532 /nfs/dbraw/zinc/65/65/32/807656532.db2.gz ZZEDQICYWGQSDW-UHFFFAOYSA-N 0 1 260.706 0.825 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc(N(C)C)nc3)[C@@H]2C1 ZINC001075979704 815137174 /nfs/dbraw/zinc/13/71/74/815137174.db2.gz PBBLEUNHUXGFIA-LSDHHAIUSA-N 0 1 298.390 0.927 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCc2cc3c(cc2C1)OCO3 ZINC001251835772 807715566 /nfs/dbraw/zinc/71/55/66/807715566.db2.gz PDYAJIOQUAFFAF-AWEZNQCLSA-N 0 1 289.331 0.784 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCc2ncc(C)cc2C1 ZINC001251857623 807725502 /nfs/dbraw/zinc/72/55/02/807725502.db2.gz HEMUHVASOJVAIF-AWEZNQCLSA-N 0 1 260.337 0.759 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cn2cccc(C)c2n1 ZINC001251888407 807734462 /nfs/dbraw/zinc/73/44/62/807734462.db2.gz SYHVZWLUAKVAQP-CQSZACIVSA-N 0 1 273.336 0.743 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)[C@H]1C ZINC001088766567 815146829 /nfs/dbraw/zinc/14/68/29/815146829.db2.gz SUJUMXWNLXXFSI-CAEXGNQWSA-N 0 1 294.395 0.803 20 30 CCEDMN C=CCOC[C@@H](O)CNc1cc(=O)[nH]c(Cl)n1 ZINC001252456456 807871835 /nfs/dbraw/zinc/87/18/35/807871835.db2.gz RPOOHFBDUJYREJ-ZETCQYMHSA-N 0 1 259.693 0.811 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@H](CC(=O)OC)c1cccnc1 ZINC001252490555 807892646 /nfs/dbraw/zinc/89/26/46/807892646.db2.gz AUXPPVVFLGOTJS-UONOGXRCSA-N 0 1 294.351 0.839 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ccn(CCF)n1 ZINC001252495129 807893313 /nfs/dbraw/zinc/89/33/13/807893313.db2.gz KFJXQSPBQHKCHI-LBPRGKRZSA-N 0 1 257.309 0.506 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cccc(OC2COC2)n1 ZINC001252495965 807894490 /nfs/dbraw/zinc/89/44/90/807894490.db2.gz UTJBGRWQJJYPCI-CYBMUJFWSA-N 0 1 294.351 0.512 20 30 CCEDMN C=C[C@](C)(O)CN1CCCC(O)=C1C(=O)OCC ZINC001252555566 807909914 /nfs/dbraw/zinc/90/99/14/807909914.db2.gz XXPAIHJEQMGBCF-AAEUAGOBSA-N 0 1 255.314 0.520 20 30 CCEDMN C=C[C@@H](O)CN1CC[C@@H](O)[C@H](NC(=O)OC(C)(C)C)C1 ZINC001253585695 808091298 /nfs/dbraw/zinc/09/12/98/808091298.db2.gz YVAHPHFQQYAVBS-IJLUTSLNSA-N 0 1 286.372 0.493 20 30 CCEDMN C=C[C@H](O)CN1CCN(c2cc(OC)ccn2)CC1 ZINC001253586145 808092352 /nfs/dbraw/zinc/09/23/52/808092352.db2.gz HHGBCTACQVEATG-LBPRGKRZSA-N 0 1 263.341 0.759 20 30 CCEDMN C=C[C@@H](O)C[N@H+]1CCC[C@H](c2nnc(C(=O)OCC)[n-]2)C1 ZINC001253588420 808092771 /nfs/dbraw/zinc/09/27/71/808092771.db2.gz VKUSJJBWWVFFPJ-WDEREUQCSA-N 0 1 294.355 0.708 20 30 CCEDMN C=C[C@@H](O)C[N@@H+]1CCC[C@H](c2nnc(C(=O)OCC)[n-]2)C1 ZINC001253588420 808092779 /nfs/dbraw/zinc/09/27/79/808092779.db2.gz VKUSJJBWWVFFPJ-WDEREUQCSA-N 0 1 294.355 0.708 20 30 CCEDMN C=C[C@@H](O)C[N@H+]1CCC[C@H](c2nc(C(=O)OCC)n[n-]2)C1 ZINC001253588420 808092787 /nfs/dbraw/zinc/09/27/87/808092787.db2.gz VKUSJJBWWVFFPJ-WDEREUQCSA-N 0 1 294.355 0.708 20 30 CCEDMN C=C[C@@H](O)C[N@@H+]1CCC[C@H](c2nc(C(=O)OCC)n[n-]2)C1 ZINC001253588420 808092796 /nfs/dbraw/zinc/09/27/96/808092796.db2.gz VKUSJJBWWVFFPJ-WDEREUQCSA-N 0 1 294.355 0.708 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H](O)CNCc1ccn(C)n1 ZINC001278749391 808160660 /nfs/dbraw/zinc/16/06/60/808160660.db2.gz IZOGWSOUZXKKCJ-CQSZACIVSA-N 0 1 292.383 0.133 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc3n(n2)CCC3)[C@@H](O)C1 ZINC001083509125 815181742 /nfs/dbraw/zinc/18/17/42/815181742.db2.gz PTAACEJCPPJSTC-KGLIPLIRSA-N 0 1 290.367 0.180 20 30 CCEDMN COC(=O)CNC(=O)[C@@H]1CCCN1[C@@H]1CC[C@@H](C#N)C1 ZINC001254676086 808272995 /nfs/dbraw/zinc/27/29/95/808272995.db2.gz ISMMOTYWMCKGDR-UTUOFQBUSA-N 0 1 279.340 0.432 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cc(Cl)no2)C1 ZINC001278823309 808294702 /nfs/dbraw/zinc/29/47/02/808294702.db2.gz YCTMNJLNMNJYAD-GFCCVEGCSA-N 0 1 283.715 0.128 20 30 CCEDMN COC(=O)[C@@H](Cc1ccc(C#N)cc1)N[C@H]1CCN(C)C1 ZINC001255425503 808360800 /nfs/dbraw/zinc/36/08/00/808360800.db2.gz GOVFVFCUNUYGAS-LSDHHAIUSA-N 0 1 287.363 0.936 20 30 CCEDMN C=C(C)CCN1CC(O)(CNC(=O)[C@H]2CCCCN2C)C1 ZINC001278883741 808426143 /nfs/dbraw/zinc/42/61/43/808426143.db2.gz QZSQSVJTQWZOCV-CQSZACIVSA-N 0 1 295.427 0.600 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@@H](OC)[C@@H]1CCOC1)C(C)C ZINC001278914418 808472302 /nfs/dbraw/zinc/47/23/02/808472302.db2.gz GPSZJWSJNLLYFZ-CABCVRRESA-N 0 1 296.411 0.840 20 30 CCEDMN CC[C@@H](CC#N)N[C@H](C)CC(=O)N1CCOCC1 ZINC001256322781 808494792 /nfs/dbraw/zinc/49/47/92/808494792.db2.gz BPFVDPRJCSAZMC-NEPJUHHUSA-N 0 1 253.346 0.906 20 30 CCEDMN C=CCS(=O)(=O)Nc1nc(OC)ccc1C(=O)OC ZINC001259924319 808805401 /nfs/dbraw/zinc/80/54/01/808805401.db2.gz QVNPGZBWBGOGDK-UHFFFAOYSA-N 0 1 286.309 0.805 20 30 CCEDMN C=CCS(=O)(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC001259929671 808808439 /nfs/dbraw/zinc/80/84/39/808808439.db2.gz OWQHLQUGUDFERV-UHFFFAOYSA-N 0 1 297.380 0.728 20 30 CCEDMN C=CCCN1CCN(C(=O)CCn2cccn2)CC1 ZINC001261277252 808943459 /nfs/dbraw/zinc/94/34/59/808943459.db2.gz NWYPALBUKAKPGF-UHFFFAOYSA-N 0 1 262.357 0.994 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1C[C@@H](C(=O)[O-])[C@@H]2C[C@@H]21 ZINC001262195273 809235631 /nfs/dbraw/zinc/23/56/31/809235631.db2.gz VTVFLTDVPNNEMM-FHUSYTEZSA-N 0 1 276.336 0.309 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1C[C@@H](C(=O)[O-])[C@@H]2C[C@@H]21 ZINC001262195273 809235637 /nfs/dbraw/zinc/23/56/37/809235637.db2.gz VTVFLTDVPNNEMM-FHUSYTEZSA-N 0 1 276.336 0.309 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)NC(=O)[C@@H]1CCCN1C ZINC001076307423 815275978 /nfs/dbraw/zinc/27/59/78/815275978.db2.gz KUYREVXHZCZJDQ-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](CNC(=O)CCC)C1 ZINC001263079898 809434904 /nfs/dbraw/zinc/43/49/04/809434904.db2.gz GATRBNOTULJWGY-ZDUSSCGKSA-N 0 1 279.384 0.364 20 30 CCEDMN C#CCNCC(=O)N[C@](C)(C(=O)OCC)c1ccccc1 ZINC001263185230 809474557 /nfs/dbraw/zinc/47/45/57/809474557.db2.gz TYTKUXCAPYNLPC-INIZCTEOSA-N 0 1 288.347 0.804 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(OC)c(OC)c1C ZINC001263225439 809488332 /nfs/dbraw/zinc/48/83/32/809488332.db2.gz NZJRGINMTLZNCO-UHFFFAOYSA-N 0 1 276.336 0.851 20 30 CCEDMN C#CCNCC(=O)NCc1c(C)nc2ccccn21 ZINC001263257887 809497647 /nfs/dbraw/zinc/49/76/47/809497647.db2.gz GBHGZMLJERFAOC-UHFFFAOYSA-N 0 1 256.309 0.482 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc(OC)nn3)[C@@H]2C1 ZINC001076428402 815295305 /nfs/dbraw/zinc/29/53/05/815295305.db2.gz SFXHPPZHSDWAPT-WCQYABFASA-N 0 1 288.351 0.818 20 30 CCEDMN CC(C)(C#N)C(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001263911136 809605518 /nfs/dbraw/zinc/60/55/18/809605518.db2.gz BJZQOKNHZKTLJF-VIFPVBQESA-N 0 1 262.317 0.531 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCN(C(C)=O)C3)[C@@H]2C1 ZINC001076508246 815304201 /nfs/dbraw/zinc/30/42/01/815304201.db2.gz OPIOSJUDDVWRNB-RRFJBIMHSA-N 0 1 289.379 0.021 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)CCCCOC)C1 ZINC001264074981 809628264 /nfs/dbraw/zinc/62/82/64/809628264.db2.gz MKKZLCYRZAIUMN-OAHLLOKOSA-N 0 1 296.411 0.722 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@@H](CNC(=O)C#CC(C)C)C2)[nH]1 ZINC001264390952 809649913 /nfs/dbraw/zinc/64/99/13/809649913.db2.gz JMQNHTSTJNFPNR-BETUJISGSA-N 0 1 289.383 0.757 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)CC[NH+]2CCOCC2)[C@H]1CC ZINC001264621175 809665347 /nfs/dbraw/zinc/66/53/47/809665347.db2.gz MCUMYISHOVCZRB-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN(C)CCCNC(=O)CS(=O)(=O)C(C)(C)C ZINC001265058193 809702048 /nfs/dbraw/zinc/70/20/48/809702048.db2.gz LROKHMMOFPLOAN-UHFFFAOYSA-N 0 1 290.429 0.824 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CCCN(CCn2cncn2)C1 ZINC001265213204 809726743 /nfs/dbraw/zinc/72/67/43/809726743.db2.gz NMFMXTBYYHNXHH-CQSZACIVSA-N 0 1 287.367 0.272 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C(C)(F)F)CC1 ZINC001265237071 809729341 /nfs/dbraw/zinc/72/93/41/809729341.db2.gz NBQPOSXJESMWGP-UHFFFAOYSA-N 0 1 273.327 0.399 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)c2c[nH]nc2C)CC1 ZINC001265247610 809730479 /nfs/dbraw/zinc/73/04/79/809730479.db2.gz MJKFURAAXQMQKG-UHFFFAOYSA-N 0 1 289.383 0.089 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)CC2CC2)CC1 ZINC001265256053 809732224 /nfs/dbraw/zinc/73/22/24/809732224.db2.gz KUZVQEMRMGGULF-UHFFFAOYSA-N 0 1 263.385 0.544 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)CC(F)(F)F)CC1 ZINC001265255791 809732390 /nfs/dbraw/zinc/73/23/90/809732390.db2.gz AWLVVBYWDDJXTF-UHFFFAOYSA-N 0 1 291.317 0.696 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cn[nH]c2)C1 ZINC001265271216 809738911 /nfs/dbraw/zinc/73/89/11/809738911.db2.gz UMTWUARTIQRFEJ-GFCCVEGCSA-N 0 1 264.329 0.416 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2coc(C)n2)C1 ZINC001265279881 809743940 /nfs/dbraw/zinc/74/39/40/809743940.db2.gz YURVWAFHOGSRLU-LBPRGKRZSA-N 0 1 279.340 0.990 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@H]3CCCOC3)[C@@H]2C1 ZINC001076580690 815313424 /nfs/dbraw/zinc/31/34/24/815313424.db2.gz FMSKBJQSETWZII-QLFBSQMISA-N 0 1 276.380 0.969 20 30 CCEDMN N#CCNC[C@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC001265605354 809813049 /nfs/dbraw/zinc/81/30/49/809813049.db2.gz UOULIXUWERJXCD-LLVKDONJSA-N 0 1 276.344 0.089 20 30 CCEDMN N#CCNC[C@@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC001265605355 809813483 /nfs/dbraw/zinc/81/34/83/809813483.db2.gz UOULIXUWERJXCD-NSHDSACASA-N 0 1 276.344 0.089 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)CCn1ccnc1 ZINC001265650073 809819157 /nfs/dbraw/zinc/81/91/57/809819157.db2.gz ZMTHWKQZSRRSIE-AWEZNQCLSA-N 0 1 274.368 0.877 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@](C)(O)C=C ZINC001265643023 809819520 /nfs/dbraw/zinc/81/95/20/809819520.db2.gz FCBOITPGXOAEOT-DZGCQCFKSA-N 0 1 264.369 0.917 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCC(=O)N(C)C3)[C@@H]2C1 ZINC001076609844 815320243 /nfs/dbraw/zinc/32/02/43/815320243.db2.gz SKZJOHXNQKPVPM-MELADBBJSA-N 0 1 289.379 0.021 20 30 CCEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1COC(=O)N1 ZINC001266038519 809924397 /nfs/dbraw/zinc/92/43/97/809924397.db2.gz WIBBAADPWRFZJC-SNVBAGLBSA-N 0 1 289.763 0.676 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC1CC1)NCc1ncccn1 ZINC001266143633 809947010 /nfs/dbraw/zinc/94/70/10/809947010.db2.gz HYDKRVLYKCMGKV-LBPRGKRZSA-N 0 1 272.352 0.874 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)c2ccnnc2)C1 ZINC001266239446 809969661 /nfs/dbraw/zinc/96/96/61/809969661.db2.gz CJQYOGMNNMGBPN-AWEZNQCLSA-N 0 1 290.367 0.873 20 30 CCEDMN C=C(Cl)CNCCN(Cc1ccccc1)C(=O)C(N)=O ZINC001279317800 809974921 /nfs/dbraw/zinc/97/49/21/809974921.db2.gz FPHAUFNUKQAQSY-UHFFFAOYSA-N 0 1 295.770 0.843 20 30 CCEDMN CCO[C@H](CC)C(=O)NCCN1CCC(NCC#N)CC1 ZINC001279423731 809978237 /nfs/dbraw/zinc/97/82/37/809978237.db2.gz BDUQSVNCDZONFI-CQSZACIVSA-N 0 1 296.415 0.495 20 30 CCEDMN COCC#CCN1CC[C@H]2[C@H]1CCCN2C(C)=O ZINC001279530615 809985533 /nfs/dbraw/zinc/98/55/33/809985533.db2.gz WFDDNRHQDKUAKQ-KGLIPLIRSA-N 0 1 250.342 0.721 20 30 CCEDMN C=CCCCC(=O)NC1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001280099451 810004448 /nfs/dbraw/zinc/00/44/48/810004448.db2.gz BGOWMAYCDVUZCF-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCCC(=O)NC1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001280099451 810004455 /nfs/dbraw/zinc/00/44/55/810004455.db2.gz BGOWMAYCDVUZCF-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccccc2C)C1 ZINC001076700819 815349012 /nfs/dbraw/zinc/34/90/12/815349012.db2.gz WRNIWMBOCORNTH-HUUCEWRRSA-N 0 1 272.348 0.793 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@H]2CCCCO2)C1 ZINC001076869110 815402212 /nfs/dbraw/zinc/40/22/12/815402212.db2.gz QTKOWQYTTBBOCA-MGPQQGTHSA-N 0 1 282.384 0.683 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)C[C@@H](C)COC ZINC001267279507 811087472 /nfs/dbraw/zinc/08/74/72/811087472.db2.gz GLHVDNCOCVOGNE-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)CCCC(=O)N(C)C ZINC001267282742 811092952 /nfs/dbraw/zinc/09/29/52/811092952.db2.gz XUCLOCIPSYHCFF-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN COCC#CC[N@H+]1CC[C@@H](CNC(=O)[C@@H](C)SC)C1 ZINC001267290518 811105925 /nfs/dbraw/zinc/10/59/25/811105925.db2.gz ZUJBJWOCRACMBQ-OLZOCXBDSA-N 0 1 284.425 0.826 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2cnco2)C1 ZINC001267299262 811117711 /nfs/dbraw/zinc/11/77/11/811117711.db2.gz WTCWLXMDQNEYEJ-GFCCVEGCSA-N 0 1 277.324 0.376 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1nc2ncccc2cc1C#N ZINC001167672951 811149287 /nfs/dbraw/zinc/14/92/87/811149287.db2.gz CAZVPDULCXNCKV-UHFFFAOYSA-N 0 1 291.318 0.934 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CC2(F)F)C1 ZINC001076970490 815425275 /nfs/dbraw/zinc/42/52/75/815425275.db2.gz ORWDITUDWFUKMN-GMTAPVOTSA-N 0 1 274.311 0.769 20 30 CCEDMN C#CCN(CCNC(=O)[C@H](C)c1cnn(C)c1)C1CC1 ZINC001267339226 811175818 /nfs/dbraw/zinc/17/58/18/811175818.db2.gz YUSKZJNYRNCPEY-GFCCVEGCSA-N 0 1 274.368 0.737 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@@H](C)CNc2cnc(C#N)cn2)[nH]1 ZINC001104501247 811187266 /nfs/dbraw/zinc/18/72/66/811187266.db2.gz PHXOQFDUEMPXCR-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCNCc1n[nH]c(C(C)(C)C)n1 ZINC001267355109 811202661 /nfs/dbraw/zinc/20/26/61/811202661.db2.gz IRWSGSNIZWGHPU-SNVBAGLBSA-N 0 1 292.387 0.810 20 30 CCEDMN C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@@H](O)COC ZINC001267401239 811281390 /nfs/dbraw/zinc/28/13/90/811281390.db2.gz LLQWMCCMCGPKQO-QLFBSQMISA-N 0 1 294.395 0.472 20 30 CCEDMN C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@H](O)COC ZINC001267401240 811281722 /nfs/dbraw/zinc/28/17/22/811281722.db2.gz LLQWMCCMCGPKQO-ZNMIVQPWSA-N 0 1 294.395 0.472 20 30 CCEDMN Cc1nnsc1CNCCNC(=O)c1ccc(C#N)[nH]1 ZINC001125782230 811333495 /nfs/dbraw/zinc/33/34/95/811333495.db2.gz BGXFUPFEDYOOGB-UHFFFAOYSA-N 0 1 290.352 0.566 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CCNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001267524217 811403576 /nfs/dbraw/zinc/40/35/76/811403576.db2.gz QKYKLBVVFYQABU-NSHDSACASA-N 0 1 276.340 0.499 20 30 CCEDMN C#CC[N@H+]1CC[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001267524217 811403582 /nfs/dbraw/zinc/40/35/82/811403582.db2.gz QKYKLBVVFYQABU-NSHDSACASA-N 0 1 276.340 0.499 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001267524217 811403585 /nfs/dbraw/zinc/40/35/85/811403585.db2.gz QKYKLBVVFYQABU-NSHDSACASA-N 0 1 276.340 0.499 20 30 CCEDMN C#CCCNCc1cn([C@@H]2CCN(C(C)=O)C2)nn1 ZINC001098591261 811412521 /nfs/dbraw/zinc/41/25/21/811412521.db2.gz BLKKVZPCNFOBNW-CYBMUJFWSA-N 0 1 261.329 0.184 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2c(C)[nH]nc2Cl)C1 ZINC001077109290 815445956 /nfs/dbraw/zinc/44/59/56/815445956.db2.gz RSWPPEBMDDXIMO-NXEZZACHSA-N 0 1 298.774 0.723 20 30 CCEDMN C=C(C)CN1CCN(CCN(C)C(=O)[C@H]2CCCO2)CC1 ZINC001267573261 811464671 /nfs/dbraw/zinc/46/46/71/811464671.db2.gz CVBDSYMMZUNMLR-OAHLLOKOSA-N 0 1 295.427 0.818 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)CNC(=O)OC)C1 ZINC001267611359 811561541 /nfs/dbraw/zinc/56/15/41/811561541.db2.gz QJMQVFZNDRCEAT-GFCCVEGCSA-N 0 1 299.371 0.126 20 30 CCEDMN C#CCNCC(=O)NCCOc1ccccc1OC ZINC000695222903 811612944 /nfs/dbraw/zinc/61/29/44/811612944.db2.gz SNMAPGHMSFEUQC-UHFFFAOYSA-N 0 1 262.309 0.413 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C23CCC(CC2)C3)C1 ZINC001077238470 815464712 /nfs/dbraw/zinc/46/47/12/815464712.db2.gz DDVHXDJLCAOZOP-MYMJJRTCSA-N 0 1 276.380 0.751 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cc(CC)n[nH]2)C1 ZINC001267674974 811638670 /nfs/dbraw/zinc/63/86/70/811638670.db2.gz XWOAPCSQQMSSOJ-UHFFFAOYSA-N 0 1 292.383 0.836 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c[nH]nc2CC)C1 ZINC001077286281 815472323 /nfs/dbraw/zinc/47/23/23/815472323.db2.gz VZFQSEVHGXZXMM-ZIAGYGMSSA-N 0 1 292.383 0.713 20 30 CCEDMN C#CC[NH2+]CCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001167750512 811882245 /nfs/dbraw/zinc/88/22/45/811882245.db2.gz IZKISKSHJBOXBO-UHFFFAOYSA-N 0 1 274.324 0.402 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(OC)no1 ZINC001126033710 811984880 /nfs/dbraw/zinc/98/48/80/811984880.db2.gz UVHVZUHTKNCMAF-UHFFFAOYSA-N 0 1 259.693 0.755 20 30 CCEDMN CN(CCN(C)c1ccc(C#N)nn1)C(=O)c1ccn[nH]1 ZINC001105338689 811999609 /nfs/dbraw/zinc/99/96/09/811999609.db2.gz BSQUFXIILHOBSM-UHFFFAOYSA-N 0 1 285.311 0.280 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)Cc2nc[nH]n2)C1 ZINC001268030130 812030504 /nfs/dbraw/zinc/03/05/04/812030504.db2.gz NDCJECGTQBGKDU-GFCCVEGCSA-N 0 1 293.371 0.130 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](n2cc(CNCC)nn2)C1 ZINC001098669568 815495792 /nfs/dbraw/zinc/49/57/92/815495792.db2.gz URCDNKUZPJHVPZ-AWEZNQCLSA-N 0 1 289.383 0.965 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccccc2F)[C@@H](O)C1 ZINC001083314579 812094743 /nfs/dbraw/zinc/09/47/43/812094743.db2.gz PXPIBAXMMPILNF-KGLIPLIRSA-N 0 1 276.311 0.624 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccnc2[nH]cnc21 ZINC001027911312 812134682 /nfs/dbraw/zinc/13/46/82/812134682.db2.gz UDKYKPFODBBIHZ-NSHDSACASA-N 0 1 283.335 0.785 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001027917024 812139633 /nfs/dbraw/zinc/13/96/33/812139633.db2.gz YYACOQCPNBNYPV-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001027917024 812139639 /nfs/dbraw/zinc/13/96/39/812139639.db2.gz YYACOQCPNBNYPV-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnn2ncccc12 ZINC001027935291 812150856 /nfs/dbraw/zinc/15/08/56/812150856.db2.gz HWTXNFLTDGSZKM-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001027941040 812154062 /nfs/dbraw/zinc/15/40/62/812154062.db2.gz BHCKFQBPWKHSNA-XQQFMLRXSA-N 0 1 266.341 0.004 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCNC1=O ZINC001027947803 812159198 /nfs/dbraw/zinc/15/91/98/812159198.db2.gz RZNXXTJOFDSZGH-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)C1CCC(C(N)=O)CC1 ZINC001027982830 812194031 /nfs/dbraw/zinc/19/40/31/812194031.db2.gz WARVDNOPMXYQFZ-RUXDESIVSA-N 0 1 291.395 0.492 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001027986723 812195692 /nfs/dbraw/zinc/19/56/92/812195692.db2.gz DNXVWQKEDIOWJR-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(Cl)CN1CCC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001027990152 812199187 /nfs/dbraw/zinc/19/91/87/812199187.db2.gz HBILHKUVNJTTPC-VIFPVBQESA-N 0 1 269.736 0.751 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1cccc2ncnn21 ZINC001028001201 812207756 /nfs/dbraw/zinc/20/77/56/812207756.db2.gz CCVMSJQQHMWMPU-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001028018693 812216883 /nfs/dbraw/zinc/21/68/83/812216883.db2.gz BYOGTKDLBOWTBJ-LLVKDONJSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001028050178 812234314 /nfs/dbraw/zinc/23/43/14/812234314.db2.gz NQQLSTUZWBZXEI-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cncc(C#C)c1 ZINC001028126184 812294481 /nfs/dbraw/zinc/29/44/81/812294481.db2.gz GZJBEKWQZAGXLT-HNNXBMFYSA-N 0 1 267.332 0.890 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001028137877 812304280 /nfs/dbraw/zinc/30/42/80/812304280.db2.gz OJFJSDMQFMROIE-JTQLQIEISA-N 0 1 276.340 0.494 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCCCN1C(N)=O ZINC001038910550 812334538 /nfs/dbraw/zinc/33/45/38/812334538.db2.gz WEIXWRHXSATTBO-QWHCGFSZSA-N 0 1 292.383 0.133 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cncc(OC)n1 ZINC001028184842 812338334 /nfs/dbraw/zinc/33/83/34/812338334.db2.gz PKSBEHBIQYOWHM-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)c2cn(C)cn2)C1 ZINC001268184852 812349461 /nfs/dbraw/zinc/34/94/61/812349461.db2.gz UZNNVFAUXJDWNU-ZDUSSCGKSA-N 0 1 292.383 0.817 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H]1C[C@H](C)CO1 ZINC001268244021 812411892 /nfs/dbraw/zinc/41/18/92/812411892.db2.gz RJRKTGSRJBQHJI-STQMWFEESA-N 0 1 252.358 0.825 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C[C@H]1CCC(=O)N1 ZINC001268253268 812419431 /nfs/dbraw/zinc/41/94/31/812419431.db2.gz OFVSGPVRBZBBPS-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCC(=O)N(CC)CC ZINC001268259195 812427204 /nfs/dbraw/zinc/42/72/04/812427204.db2.gz KIOLGBXTZOPNDA-UHFFFAOYSA-N 0 1 281.400 0.658 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)c(C)cn2)C1 ZINC001077426057 815527796 /nfs/dbraw/zinc/52/77/96/815527796.db2.gz UNGJYRMIDGBKCD-HUUCEWRRSA-N 0 1 287.363 0.497 20 30 CCEDMN Cc1ccc2[nH]nc(C(=O)N[C@@H]3CN(CC#N)C[C@@H]3O)c2c1 ZINC001083601021 815528412 /nfs/dbraw/zinc/52/84/12/815528412.db2.gz ZJSDGEOJLAJCIP-OLZOCXBDSA-N 0 1 299.334 0.170 20 30 CCEDMN C[C@H](CCNCC#N)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001268365910 812523556 /nfs/dbraw/zinc/52/35/56/812523556.db2.gz LPWJLFGUWDJYDL-GHMZBOCLSA-N 0 1 275.356 0.838 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3CCOC3)[C@@H]2C1 ZINC001075636166 812601354 /nfs/dbraw/zinc/60/13/54/812601354.db2.gz PQGBNRGVLLKQKW-MELADBBJSA-N 0 1 262.353 0.579 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001028318442 812614613 /nfs/dbraw/zinc/61/46/13/812614613.db2.gz WSHBQCNNAHYDSS-LBPRGKRZSA-N 0 1 288.351 0.331 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cccc(C(=O)NC)c1 ZINC001028326629 812617170 /nfs/dbraw/zinc/61/71/70/812617170.db2.gz NJGJNYPIAYTXOB-HNNXBMFYSA-N 0 1 299.374 0.874 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001028333491 812623624 /nfs/dbraw/zinc/62/36/24/812623624.db2.gz MGZHLMCZXCMWKR-OLZOCXBDSA-N 0 1 287.367 0.054 20 30 CCEDMN COCC#CCN1CC(NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001268481942 812648921 /nfs/dbraw/zinc/64/89/21/812648921.db2.gz LPIZQRYDELROCQ-UHFFFAOYSA-N 0 1 299.334 0.022 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1ccnc(OC)n1 ZINC001126366261 812686472 /nfs/dbraw/zinc/68/64/72/812686472.db2.gz TYFSRKKNVKTRTH-AWEZNQCLSA-N 0 1 294.355 0.018 20 30 CCEDMN C=CCCOCC(=O)NC1CN(Cc2n[nH]c(C)n2)C1 ZINC001268492601 812690809 /nfs/dbraw/zinc/69/08/09/812690809.db2.gz ZHGNYDWILDIMQL-UHFFFAOYSA-N 0 1 279.344 0.006 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)c1ccccn1 ZINC001268507245 812731868 /nfs/dbraw/zinc/73/18/68/812731868.db2.gz XVGORAZJDOPLQV-WAYWQWQTSA-N 0 1 288.351 0.259 20 30 CCEDMN CC(C)(C)CC(=O)NC/C=C\CNCC(=O)NCC#N ZINC001268508433 812739079 /nfs/dbraw/zinc/73/90/79/812739079.db2.gz BEVYRVPQZCYLAD-PLNGDYQASA-N 0 1 280.372 0.324 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001028485095 812752815 /nfs/dbraw/zinc/75/28/15/812752815.db2.gz KERBPVPQMXQSRA-SNVBAGLBSA-N 0 1 264.329 0.661 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001028484863 812752835 /nfs/dbraw/zinc/75/28/35/812752835.db2.gz FDKMLIIBSKTCIN-JTQLQIEISA-N 0 1 262.313 0.109 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)c1ccoc1 ZINC001268514651 812755411 /nfs/dbraw/zinc/75/54/11/812755411.db2.gz WSSUUVGXFNZMCR-ONEGZZNKSA-N 0 1 277.324 0.457 20 30 CCEDMN CCN(CC)C(=O)CNC/C=C\CNC(=O)[C@H](C)C#N ZINC001268520438 812764811 /nfs/dbraw/zinc/76/48/11/812764811.db2.gz CFABVDRGYTWCLV-ZHRWSRJISA-N 0 1 280.372 0.276 20 30 CCEDMN N#CCNC/C=C/CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001268562076 812801891 /nfs/dbraw/zinc/80/18/91/812801891.db2.gz YLKCEBYTQHYAKQ-ONEGZZNKSA-N 0 1 296.330 0.927 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN[C@H](C)c1n[nH]c(CC)n1 ZINC001126377792 812809528 /nfs/dbraw/zinc/80/95/28/812809528.db2.gz FULAHXKIWJTSBY-YGRLFVJLSA-N 0 1 295.387 0.461 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2cn3c(n2)CCC3)C1 ZINC001028547726 812830752 /nfs/dbraw/zinc/83/07/52/812830752.db2.gz CEIRHWHSFXWPSY-ZDUSSCGKSA-N 0 1 286.379 0.904 20 30 CCEDMN N#CCNC/C=C\CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001268581636 812866338 /nfs/dbraw/zinc/86/63/38/812866338.db2.gz JTWLOXRQKAWDKT-SYBPUXJVSA-N 0 1 274.328 0.010 20 30 CCEDMN C=CCCCC(=O)NC/C=C\CN[C@@H]1CCNC1=O ZINC001268583195 812867753 /nfs/dbraw/zinc/86/77/53/812867753.db2.gz DSAFOAUSLDXQCD-FOQNGQEVSA-N 0 1 265.357 0.493 20 30 CCEDMN C#Cc1ccccc1CC(=O)NC/C=C\CNCC(=O)NC ZINC001268618034 812950450 /nfs/dbraw/zinc/95/04/50/812950450.db2.gz LTSXPGOEGSQIFM-SREVYHEPSA-N 0 1 299.374 0.218 20 30 CCEDMN C#CCN1CC[C@@H](CNC(=O)c2cn(C)c(C)cc2=O)C1 ZINC001028610710 812971423 /nfs/dbraw/zinc/97/14/23/812971423.db2.gz RTDIKQZLYBTXBM-ZDUSSCGKSA-N 0 1 287.363 0.379 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCNC(=O)CC1 ZINC001268739813 813054438 /nfs/dbraw/zinc/05/44/38/813054438.db2.gz PRXIVOLUQDCPDN-CHWSQXEVSA-N 0 1 279.384 0.363 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H](OC)[C@H]2CCOC2)C1 ZINC001268859155 813118227 /nfs/dbraw/zinc/11/82/27/813118227.db2.gz XZMMFGPLUWTKCI-QWHCGFSZSA-N 0 1 268.357 0.272 20 30 CCEDMN C=CCN1C[C@@]2(CCN(C(=O)c3cnc[nH]3)C2)OCC1=O ZINC001268868782 813125377 /nfs/dbraw/zinc/12/53/77/813125377.db2.gz WKPRDMBJAQBGJQ-CQSZACIVSA-N 0 1 290.323 0.039 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001028652865 813165857 /nfs/dbraw/zinc/16/58/57/813165857.db2.gz GFGPAVGFXYZBNT-NSHDSACASA-N 0 1 287.367 0.864 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(C(=O)CN(C)CC)CC2 ZINC001269093203 813213091 /nfs/dbraw/zinc/21/30/91/813213091.db2.gz SGMMECCFKBEEQC-CYBMUJFWSA-N 0 1 291.395 0.316 20 30 CCEDMN COC[C@@H](O)CN1CC[C@H]1CNC(=O)C#CC(C)(C)C ZINC001269235848 813282758 /nfs/dbraw/zinc/28/27/58/813282758.db2.gz QBKRFJOOFFBOFD-STQMWFEESA-N 0 1 282.384 0.234 20 30 CCEDMN N#Cc1ccc2n[nH]c(C(=O)N3CC4(C[C@H]4C(N)=O)C3)c2c1 ZINC001269243833 813286675 /nfs/dbraw/zinc/28/66/75/813286675.db2.gz YRUJCEFFQBNVEZ-JTQLQIEISA-N 0 1 295.302 0.382 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)CNC(=O)CC)C1 ZINC001269313084 813322114 /nfs/dbraw/zinc/32/21/14/813322114.db2.gz JBTUYNLIJNSUCM-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN CC[C@@H](CNC(=O)CSCC#N)NCc1cnns1 ZINC001269371374 813345768 /nfs/dbraw/zinc/34/57/68/813345768.db2.gz GWFJZYCYYRSBDR-VIFPVBQESA-N 0 1 299.425 0.779 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@H](C)CC)CC1 ZINC001269379227 813348575 /nfs/dbraw/zinc/34/85/75/813348575.db2.gz FAPCUORPEOZKPO-VXGBXAGGSA-N 0 1 279.384 0.409 20 30 CCEDMN C=C(C)CCC(=O)NCC1(NCC(=O)NCC#N)CC1 ZINC001269419921 813363148 /nfs/dbraw/zinc/36/31/48/813363148.db2.gz NNWGGQIVLDWQRQ-UHFFFAOYSA-N 0 1 278.356 0.221 20 30 CCEDMN C#CCN1CCC2(CCN(C(=O)[C@H](C)N(C)C)CC2)C1=O ZINC001269797168 813513956 /nfs/dbraw/zinc/51/39/56/813513956.db2.gz RVZSDEYWSCISDN-ZDUSSCGKSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCOCCN1CC(N(C)C(=O)[C@@H]2CCCCN2C)C1 ZINC001269812697 813518356 /nfs/dbraw/zinc/51/83/56/813518356.db2.gz PTNUSRXTNOEUSV-HNNXBMFYSA-N 0 1 295.427 0.816 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1ncc[nH]1 ZINC001126432652 813554456 /nfs/dbraw/zinc/55/44/56/813554456.db2.gz SOZWVIZFWUKHTB-UHFFFAOYSA-N 0 1 256.737 0.801 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@H](O)C(C)C)[C@@H]2C1 ZINC001075756146 813772434 /nfs/dbraw/zinc/77/24/34/813772434.db2.gz NMYFTGOKNRSQIP-YNEHKIRRSA-N 0 1 252.358 0.722 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cc3nnc(C)o3)[C@@H]2C1 ZINC001075759743 813843300 /nfs/dbraw/zinc/84/33/00/813843300.db2.gz FZYYSLNSYIIYAE-QWHCGFSZSA-N 0 1 288.351 0.477 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1COCCN1CC ZINC001038425822 813844939 /nfs/dbraw/zinc/84/49/39/813844939.db2.gz CFIQIPZWAYGUIY-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CC3(O)CCC3)[C@@H]2C1 ZINC001075773023 813953099 /nfs/dbraw/zinc/95/30/99/813953099.db2.gz KLOUSMSNWBBMKI-UONOGXRCSA-N 0 1 276.380 0.848 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cn(C)nc1COC ZINC001038432607 813973323 /nfs/dbraw/zinc/97/33/23/813973323.db2.gz QHERTZOOARNRES-GFCCVEGCSA-N 0 1 292.383 0.947 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C=C(C)C)C1 ZINC001271110585 814156205 /nfs/dbraw/zinc/15/62/05/814156205.db2.gz VNWUXLHCJKUWJI-CQSZACIVSA-N 0 1 250.342 0.529 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(C)C2)[C@H]1CC ZINC001087592653 814172601 /nfs/dbraw/zinc/17/26/01/814172601.db2.gz TWNRYYACWSJMBK-MELADBBJSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)CC2CCOCC2)C1 ZINC001271133374 814191794 /nfs/dbraw/zinc/19/17/94/814191794.db2.gz ZMIAQSFQMUQLRG-INIZCTEOSA-N 0 1 294.395 0.379 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2c(C)ccn2C)C1 ZINC001271137447 814198291 /nfs/dbraw/zinc/19/82/91/814198291.db2.gz LCEAZHSUXLGJQX-HNNXBMFYSA-N 0 1 275.352 0.133 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C[C@@H](C)COC)C1 ZINC001271207586 814333739 /nfs/dbraw/zinc/33/37/39/814333739.db2.gz DUBYPKJEJANGPD-HIFRSBDPSA-N 0 1 282.384 0.235 20 30 CCEDMN C=CCN1CC(O)(CNC(=O)[C@@H]2CCCCN2CC)C1 ZINC001271393326 814402730 /nfs/dbraw/zinc/40/27/30/814402730.db2.gz LOPSNDULEFSZAN-ZDUSSCGKSA-N 0 1 281.400 0.210 20 30 CCEDMN C#CCCCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(CC(N)=O)C2 ZINC001271415008 814409097 /nfs/dbraw/zinc/40/90/97/814409097.db2.gz NZANBFJTFFSLGS-IHRRRGAJSA-N 0 1 291.395 0.634 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCOC)nc1 ZINC001029556622 814422561 /nfs/dbraw/zinc/42/25/61/814422561.db2.gz DYQIHPBXLGFLQP-GASCZTMLSA-N 0 1 299.374 0.998 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cc(C)ns1 ZINC001127050995 815605295 /nfs/dbraw/zinc/60/52/95/815605295.db2.gz AVUSOXAGWPLSHM-LLVKDONJSA-N 0 1 281.381 0.696 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CC(=O)N(C)C3)[C@@H]2C1 ZINC001075854477 814543835 /nfs/dbraw/zinc/54/38/35/814543835.db2.gz YTJFVRSPHOJRNE-BFHYXJOUSA-N 0 1 289.379 0.021 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCCCC[C@@H](NCC#N)C1 ZINC001088278546 814567685 /nfs/dbraw/zinc/56/76/85/814567685.db2.gz XGSWPWILUOTKNW-ZIAGYGMSSA-N 0 1 278.400 0.965 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CCN(C(=O)CCCC#N)C2 ZINC001271769388 814570459 /nfs/dbraw/zinc/57/04/59/814570459.db2.gz QJAXQKMACOXULQ-UHFFFAOYSA-N 0 1 289.339 0.690 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cc[nH]n1 ZINC001271969040 814657655 /nfs/dbraw/zinc/65/76/55/814657655.db2.gz AFALCXWKFKHRLC-UHFFFAOYSA-N 0 1 264.329 0.063 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1ccn[nH]1 ZINC001271969040 814657670 /nfs/dbraw/zinc/65/76/70/814657670.db2.gz AFALCXWKFKHRLC-UHFFFAOYSA-N 0 1 264.329 0.063 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)CNC(=O)C1CC1 ZINC001272005083 814699210 /nfs/dbraw/zinc/69/92/10/814699210.db2.gz LOPZGYAATIIXEY-KGLIPLIRSA-N 0 1 289.379 0.211 20 30 CCEDMN C=CCC[N@H+]1CC[C@H]1CNC(=O)c1cnc[nH]c1=O ZINC001038381043 815582060 /nfs/dbraw/zinc/58/20/60/815582060.db2.gz CHRSCSORVCZWSN-JTQLQIEISA-N 0 1 262.313 0.562 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c(C)cncc2C)[C@@H](O)C1 ZINC001083640270 815584781 /nfs/dbraw/zinc/58/47/81/815584781.db2.gz HYJSPENKJCVJKG-KGLIPLIRSA-N 0 1 287.363 0.497 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cnn2ccncc12 ZINC001272034002 814766146 /nfs/dbraw/zinc/76/61/46/814766146.db2.gz ZOFFMFUNFKXUMS-UHFFFAOYSA-N 0 1 285.351 0.756 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1c(C)noc1C ZINC001127039915 815590562 /nfs/dbraw/zinc/59/05/62/815590562.db2.gz UKJSOPVCDKDHDJ-GFCCVEGCSA-N 0 1 279.340 0.536 20 30 CCEDMN CC#CCN1CC(NC(=O)Cc2n[nH]c3c2CCCC3)C1 ZINC001030313424 815971983 /nfs/dbraw/zinc/97/19/83/815971983.db2.gz XBFCMIIRMUPMDL-UHFFFAOYSA-N 0 1 286.379 0.655 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@H]2CCCCN2C)C1 ZINC001030532998 816090854 /nfs/dbraw/zinc/09/08/54/816090854.db2.gz COUUXTZNNDDGRE-CYBMUJFWSA-N 0 1 251.374 0.847 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]c(=O)cc2OC)[C@H]1C ZINC001088866047 816112741 /nfs/dbraw/zinc/11/27/41/816112741.db2.gz WVIAGXPNGCGJHP-PWSUYJOCSA-N 0 1 289.335 0.622 20 30 CCEDMN C#CCN1CC(NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001030599250 816135963 /nfs/dbraw/zinc/13/59/63/816135963.db2.gz CMZWIHVTWSUYJN-UHFFFAOYSA-N 0 1 281.315 0.575 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2[nH]cnc2CC)[C@H]1C ZINC001089011815 816213706 /nfs/dbraw/zinc/21/37/06/816213706.db2.gz WTMCTSYAHUZREJ-PWSUYJOCSA-N 0 1 260.341 0.798 20 30 CCEDMN C=CCC[NH+]1CC(NC(=O)[C@H]2C[N@H+]3CC4CC3(C4)CO2)C1 ZINC001030705053 816239056 /nfs/dbraw/zinc/23/90/56/816239056.db2.gz LZIJPPSEYVKVBX-CXOJTPOUSA-N 0 1 291.395 0.226 20 30 CCEDMN C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)CCc1nnc[nH]1 ZINC001233561612 816336998 /nfs/dbraw/zinc/33/69/98/816336998.db2.gz SYTBXHIOJIZBAY-NSHDSACASA-N 0 1 263.345 0.456 20 30 CCEDMN Cc1csc(CNC[C@@H](O)CNC(=O)C#CC2CC2)n1 ZINC001272415426 816404203 /nfs/dbraw/zinc/40/42/03/816404203.db2.gz XPYUYRWRLMKMFP-GFCCVEGCSA-N 0 1 293.392 0.432 20 30 CCEDMN C#CCN1C(=O)C[C@]2(CCCN(Cc3cc[nH]n3)C2)C1=O ZINC001272537264 816561363 /nfs/dbraw/zinc/56/13/63/816561363.db2.gz GTBRBAIKXAMQEI-HNNXBMFYSA-N 0 1 286.335 0.384 20 30 CCEDMN C=CC[N@H+]1CC[C@](C)(NC(=O)[C@H]2C[NH+](C(C)C)CCO2)C1 ZINC001046567808 816635991 /nfs/dbraw/zinc/63/59/91/816635991.db2.gz LEAXNSFPOSIRTI-ZBFHGGJFSA-N 0 1 295.427 0.862 20 30 CCEDMN C=CCOCCN1CC(C)(C)CC[C@@H]1CNC(=O)C(N)=O ZINC001099208047 816709032 /nfs/dbraw/zinc/70/90/32/816709032.db2.gz MGMMFPZKERQLMO-GFCCVEGCSA-N 0 1 297.399 0.281 20 30 CCEDMN C[C@H](CCNc1ncccc1C#N)NC(=O)c1cnn[nH]1 ZINC001106637886 816828511 /nfs/dbraw/zinc/82/85/11/816828511.db2.gz LTIADBDLDMKLAR-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](OC)C2CC2)[C@H](OC)C1 ZINC001212205971 816854718 /nfs/dbraw/zinc/85/47/18/816854718.db2.gz CXIKQAGATASJLD-MCIONIFRSA-N 0 1 280.368 0.250 20 30 CCEDMN C[C@H]1COC[C@H]1NCCS(=O)(=O)c1cccc(C#N)c1 ZINC000699813031 816903831 /nfs/dbraw/zinc/90/38/31/816903831.db2.gz OHEQXWWMMKXJBL-SMDDNHRTSA-N 0 1 294.376 0.956 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc3[nH]ccc3c2)[C@@H](O)C1 ZINC001083742308 816955789 /nfs/dbraw/zinc/95/57/89/816955789.db2.gz NALSMTFQYRYRHZ-CVEARBPZSA-N 0 1 297.358 0.966 20 30 CCEDMN CCn1nnc(C)c1CNCCNC(=O)CSCC#N ZINC001123792360 817067995 /nfs/dbraw/zinc/06/79/95/817067995.db2.gz UJCGSFNZEYKUAF-UHFFFAOYSA-N 0 1 296.400 0.069 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2C[C@@H]2C(=O)OC)C1 ZINC001031580982 817162147 /nfs/dbraw/zinc/16/21/47/817162147.db2.gz YAQADJUOCGEBLY-NEPJUHHUSA-N 0 1 266.341 0.420 20 30 CCEDMN CO[C@@H]1CN(CCCF)C[C@H]1NC(=O)C#CC1CC1 ZINC001212246266 817205252 /nfs/dbraw/zinc/20/52/52/817205252.db2.gz PDUGDVIHCLJSGL-CHWSQXEVSA-N 0 1 268.332 0.575 20 30 CCEDMN CC#CCN(C)Cc1ccccc1CNC(=O)CC(N)=O ZINC001272670491 817215635 /nfs/dbraw/zinc/21/56/35/817215635.db2.gz SKSMNTVCHQDNDE-UHFFFAOYSA-N 0 1 287.363 0.633 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1OC ZINC001213269192 817261961 /nfs/dbraw/zinc/26/19/61/817261961.db2.gz DFOKBNDDZMFLBD-RRFJBIMHSA-N 0 1 296.411 0.766 20 30 CCEDMN O=C(NCCNCC#Cc1ccc(F)cc1)c1cn[nH]c1 ZINC001124067847 817277241 /nfs/dbraw/zinc/27/72/41/817277241.db2.gz NCZDQCAELVRWNF-UHFFFAOYSA-N 0 1 286.310 0.920 20 30 CCEDMN N#CCN1CC(CNC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001031722863 817288610 /nfs/dbraw/zinc/28/86/10/817288610.db2.gz HZZPFSPNLIQCGE-UHFFFAOYSA-N 0 1 296.334 0.657 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnn3ncccc23)C1 ZINC001031733877 817299052 /nfs/dbraw/zinc/29/90/52/817299052.db2.gz RSDZEQBXOBQYNA-UHFFFAOYSA-N 0 1 285.351 0.967 20 30 CCEDMN C=CCOc1ccc(C(=O)NCC2CN(CCO)C2)cc1 ZINC001031778551 817359222 /nfs/dbraw/zinc/35/92/22/817359222.db2.gz OJVWSZQVKIHAQX-UHFFFAOYSA-N 0 1 290.363 0.905 20 30 CCEDMN C=CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)[nH]n2)C1 ZINC001047316575 817382556 /nfs/dbraw/zinc/38/25/56/817382556.db2.gz CNEPBYQRDFGZHJ-STQMWFEESA-N 0 1 278.356 0.411 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c(OC)ccnc2OC)C1 ZINC001031843111 817410899 /nfs/dbraw/zinc/41/08/99/817410899.db2.gz LNZQFWGHNLMPKN-UHFFFAOYSA-N 0 1 291.351 0.946 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CCCNC(=O)C1CC1 ZINC000130967709 817453160 /nfs/dbraw/zinc/45/31/60/817453160.db2.gz SOKWABLWBUQCDA-LLVKDONJSA-N 0 1 279.340 0.526 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCNCc1cnc(C)nc1 ZINC001124488445 817488046 /nfs/dbraw/zinc/48/80/46/817488046.db2.gz OPNYKVJDVINKSB-GFCCVEGCSA-N 0 1 292.383 0.972 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)[C@H]1COCCO1 ZINC001038074788 817531286 /nfs/dbraw/zinc/53/12/86/817531286.db2.gz DLAIDVPDPQVXJY-VXGBXAGGSA-N 0 1 254.330 0.168 20 30 CCEDMN COc1cc(CNCCNC(=O)[C@@H](C)C#N)sn1 ZINC001124888299 817615939 /nfs/dbraw/zinc/61/59/39/817615939.db2.gz GEQJBZAOPWHTJG-QMMMGPOBSA-N 0 1 268.342 0.517 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)NCC3CN(CC#N)C3)c2C1 ZINC001032095563 817623273 /nfs/dbraw/zinc/62/32/73/817623273.db2.gz VHEWGSBQRRTKMP-JTQLQIEISA-N 0 1 287.367 0.720 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccc(C(N)=O)n2)C1 ZINC001032215073 817718340 /nfs/dbraw/zinc/71/83/40/817718340.db2.gz XHFCMEFGPRLZOT-UHFFFAOYSA-N 0 1 274.324 0.028 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@]2(CNC(C)=O)CCC[C@@H]12 ZINC001107242293 817814975 /nfs/dbraw/zinc/81/49/75/817814975.db2.gz QGAQMFVNGXWBOH-UKRRQHHQSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccnn1C ZINC001032308170 817833350 /nfs/dbraw/zinc/83/33/50/817833350.db2.gz DMPVKPIMXGRXCO-RYUDHWBXSA-N 0 1 260.341 0.895 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1nccnc1N ZINC001032322794 817866349 /nfs/dbraw/zinc/86/63/49/817866349.db2.gz XXYVBLOYCLAUOA-QWRGUYRKSA-N 0 1 273.340 0.534 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc[nH]n1 ZINC001032328944 817882111 /nfs/dbraw/zinc/88/21/11/817882111.db2.gz YGMWYKWSLZYRHJ-STQMWFEESA-N 0 1 260.341 0.813 20 30 CCEDMN Cc1cc(C#N)nc(NCC=CCNC(=O)c2cnn[nH]2)n1 ZINC001107587536 817892941 /nfs/dbraw/zinc/89/29/41/817892941.db2.gz WRDJRUFWVXHGNQ-NSCUHMNNSA-N 0 1 298.310 0.173 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCC(C)(C)C2)C1 ZINC001077640921 817906287 /nfs/dbraw/zinc/90/62/87/817906287.db2.gz UJXHVPYRUNWTKP-MGPQQGTHSA-N 0 1 278.396 0.997 20 30 CCEDMN Cc1nc(CC(=O)N[C@H](C)CNc2ccc(C#N)nc2)n[nH]1 ZINC001107722056 817965910 /nfs/dbraw/zinc/96/59/10/817965910.db2.gz WVDBTPLHXPDFHR-SECBINFHSA-N 0 1 299.338 0.539 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccn(C)c2CC)C1 ZINC001077678101 817985629 /nfs/dbraw/zinc/98/56/29/817985629.db2.gz QSNNARNNHYJUDD-UKRRQHHQSA-N 0 1 289.379 0.386 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)Cc2cnc[nH]2)C12CCC2 ZINC001078734975 818037617 /nfs/dbraw/zinc/03/76/17/818037617.db2.gz WNSMORNQIXGLKG-RYUDHWBXSA-N 0 1 273.340 0.493 20 30 CCEDMN CN1CC(C(=O)N[C@H]2C[C@H](NCC#N)C23CCC3)=NC1=O ZINC001078721112 818041544 /nfs/dbraw/zinc/04/15/44/818041544.db2.gz WAVRRWUNEKFUHU-QWRGUYRKSA-N 0 1 289.339 0.280 20 30 CCEDMN CN1CC(C(=O)N[C@H]2C[C@@H](NCC#N)C23CCC3)=NC1=O ZINC001078721111 818041846 /nfs/dbraw/zinc/04/18/46/818041846.db2.gz WAVRRWUNEKFUHU-MNOVXSKESA-N 0 1 289.339 0.280 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)Cc1cnc[nH]1 ZINC001078753006 818045149 /nfs/dbraw/zinc/04/51/49/818045149.db2.gz WBTHYOPLVBZQNX-LBPRGKRZSA-N 0 1 290.367 0.623 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnccn2)[C@@H](O)C1 ZINC001090031514 818294860 /nfs/dbraw/zinc/29/48/60/818294860.db2.gz OJCYEDIGJOWHPL-AAEUAGOBSA-N 0 1 276.340 0.218 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C2CCOCC2)C1 ZINC001032865442 818328377 /nfs/dbraw/zinc/32/83/77/818328377.db2.gz FUSBGELYNKGIBC-ZDUSSCGKSA-N 0 1 250.342 0.579 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCC[NH+]2C)C1 ZINC001032960617 818389289 /nfs/dbraw/zinc/38/92/89/818389289.db2.gz XVWLAKPNTLJJCH-KBPBESRZSA-N 0 1 263.385 0.637 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)n2cncn2)C1 ZINC001033020317 818428396 /nfs/dbraw/zinc/42/83/96/818428396.db2.gz KECJHOZZNHSCOI-VXGBXAGGSA-N 0 1 263.345 0.558 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2snnc2C)[C@H](O)C1 ZINC001090066133 818446256 /nfs/dbraw/zinc/44/62/56/818446256.db2.gz VGDWMZIDCKSEEA-VHSXEESVSA-N 0 1 282.369 0.198 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H](C)c2ccnn2C)C1 ZINC001033089812 818506377 /nfs/dbraw/zinc/50/63/77/818506377.db2.gz DMIZYBIHCWLJCR-STQMWFEESA-N 0 1 274.368 0.690 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cn3c(n2)CCC3)C1 ZINC001033090059 818510717 /nfs/dbraw/zinc/51/07/17/818510717.db2.gz KUZXFHAUEVEPRG-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C[C@@H](C)COC)CC1 ZINC001229848966 818626116 /nfs/dbraw/zinc/62/61/16/818626116.db2.gz CWZVOJSMDCNTDX-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001033208787 818653202 /nfs/dbraw/zinc/65/32/02/818653202.db2.gz WDPTVLMSRMDFEL-KGLIPLIRSA-N 0 1 286.379 0.611 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2cc(C)nn2C)C1 ZINC001033260221 818680812 /nfs/dbraw/zinc/68/08/12/818680812.db2.gz VEFXCFGGZMBOBH-CQSZACIVSA-N 0 1 288.395 0.827 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CN(C)C(=O)C1CC1 ZINC001128614400 818736381 /nfs/dbraw/zinc/73/63/81/818736381.db2.gz TWKZXBOYLGUFNV-UHFFFAOYSA-N 0 1 273.764 0.313 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(F)nc2)[C@@H](O)C1 ZINC001090136581 818737970 /nfs/dbraw/zinc/73/79/70/818737970.db2.gz GNPAOSVJODJEQA-NEPJUHHUSA-N 0 1 279.315 0.572 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001033414256 818761940 /nfs/dbraw/zinc/76/19/40/818761940.db2.gz UGSBEJMJNCNTPW-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cnn(C)n2)C1 ZINC001033501292 818797483 /nfs/dbraw/zinc/79/74/83/818797483.db2.gz CSSSBILJMJEIKN-LLVKDONJSA-N 0 1 263.345 0.538 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cc(C)on2)[C@H](O)C1 ZINC001090140137 818828975 /nfs/dbraw/zinc/82/89/75/818828975.db2.gz GFHLWMVZVDKEGZ-CHWSQXEVSA-N 0 1 279.340 0.263 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC001033563110 818831341 /nfs/dbraw/zinc/83/13/41/818831341.db2.gz SGRZJVBCVVQQBQ-STQMWFEESA-N 0 1 286.379 0.681 20 30 CCEDMN C#CC[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001033563110 818831346 /nfs/dbraw/zinc/83/13/46/818831346.db2.gz SGRZJVBCVVQQBQ-STQMWFEESA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC001033563110 818831356 /nfs/dbraw/zinc/83/13/56/818831356.db2.gz SGRZJVBCVVQQBQ-STQMWFEESA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001033563110 818831360 /nfs/dbraw/zinc/83/13/60/818831360.db2.gz SGRZJVBCVVQQBQ-STQMWFEESA-N 0 1 286.379 0.681 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001033605546 818849892 /nfs/dbraw/zinc/84/98/92/818849892.db2.gz WTXIPAVNPBRUGU-KGLIPLIRSA-N 0 1 279.384 0.716 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2[nH]cnc2C)C1 ZINC001033616802 818853510 /nfs/dbraw/zinc/85/35/10/818853510.db2.gz MDJRQMDQZGNIBE-CYBMUJFWSA-N 0 1 274.368 0.817 20 30 CCEDMN CN(C(=O)CN1CCCC1)[C@H]1CCN(CC#N)C1 ZINC001033666745 818874905 /nfs/dbraw/zinc/87/49/05/818874905.db2.gz YYCSMHIQQOPSSH-LBPRGKRZSA-N 0 1 250.346 0.138 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001033678042 818884100 /nfs/dbraw/zinc/88/41/00/818884100.db2.gz BAHHHYBMOLNSJP-OLZOCXBDSA-N 0 1 289.383 0.559 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001033697692 818889076 /nfs/dbraw/zinc/88/90/76/818889076.db2.gz WTXIPAVNPBRUGU-UONOGXRCSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001033688903 818889630 /nfs/dbraw/zinc/88/96/30/818889630.db2.gz RYFSLJBPIUIINI-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](N(CC)C(C)=O)C2)C1=O ZINC001033716901 818902419 /nfs/dbraw/zinc/90/24/19/818902419.db2.gz COXWIFHBXPUWLU-UONOGXRCSA-N 0 1 279.384 0.716 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCOC2)C1 ZINC001033765561 818929011 /nfs/dbraw/zinc/92/90/11/818929011.db2.gz DQJVROPRJIBXJO-CHWSQXEVSA-N 0 1 250.342 0.579 20 30 CCEDMN CCN(C(=O)[C@H]1CCCN1C)[C@@H]1CCN(CC#N)C1 ZINC001033778239 818938754 /nfs/dbraw/zinc/93/87/54/818938754.db2.gz YWZJXDHAEKNCLY-CHWSQXEVSA-N 0 1 264.373 0.527 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(C)[nH]2)[C@H](O)C1 ZINC001090148345 818981393 /nfs/dbraw/zinc/98/13/93/818981393.db2.gz HSQMKEAHVXPBCJ-CMPLNLGQSA-N 0 1 264.329 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncccc2C)[C@@H](O)C1 ZINC001090166513 819007108 /nfs/dbraw/zinc/00/71/08/819007108.db2.gz QOIDSSBAQZCJTL-OLZOCXBDSA-N 0 1 275.352 0.741 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001033950841 819009974 /nfs/dbraw/zinc/00/99/74/819009974.db2.gz YUYKCOKWAXNOIX-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C#Cc1ccc(C(=O)N(CC)[C@H]2CCN(CCO)C2)nc1 ZINC001034022772 819037097 /nfs/dbraw/zinc/03/70/97/819037097.db2.gz KEZRHKUIDUDGGU-AWEZNQCLSA-N 0 1 287.363 0.592 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C#N)c[nH]2)[C@@H](O)C1 ZINC001090180848 819037305 /nfs/dbraw/zinc/03/73/05/819037305.db2.gz VGVLVPXREVPONS-JSGCOSHPSA-N 0 1 286.335 0.075 20 30 CCEDMN C=CCN1CCCC[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC001034144086 819094960 /nfs/dbraw/zinc/09/49/60/819094960.db2.gz YSLYLSKIWYVABE-GFCCVEGCSA-N 0 1 277.372 0.894 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001034165591 819105068 /nfs/dbraw/zinc/10/50/68/819105068.db2.gz OXLSQQBOSCONQS-KGLIPLIRSA-N 0 1 292.379 0.934 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H](C)n2cncn2)C1 ZINC001034171051 819107466 /nfs/dbraw/zinc/10/74/66/819107466.db2.gz HRZILYQWPXLXTO-QWHCGFSZSA-N 0 1 275.356 0.443 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001034354195 819174362 /nfs/dbraw/zinc/17/43/62/819174362.db2.gz KTEVEJVLMMHTPU-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001034341546 819176130 /nfs/dbraw/zinc/17/61/30/819176130.db2.gz GJMYQCNLDNRGMI-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2coc(CC)n2)[C@H](O)C1 ZINC001090212044 819197310 /nfs/dbraw/zinc/19/73/10/819197310.db2.gz ZBWFZJABIJIZJK-DGCLKSJQSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)ccn2C)[C@H](O)C1 ZINC001090219811 819228296 /nfs/dbraw/zinc/22/82/96/819228296.db2.gz XLNQOESVJUMEFX-CHWSQXEVSA-N 0 1 277.368 0.685 20 30 CCEDMN C=C(Cl)C[NH2+]CCNC(=O)CCc1cnc[nH]1 ZINC001128985994 819287853 /nfs/dbraw/zinc/28/78/53/819287853.db2.gz ALXUVASKVRHWMG-UHFFFAOYSA-N 0 1 256.737 0.801 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001108143679 819295729 /nfs/dbraw/zinc/29/57/29/819295729.db2.gz FAPCBRLWDXDNRK-ORIJERBGSA-N 0 1 294.395 0.662 20 30 CCEDMN C=CCN1CCO[C@H](CNC(=O)[C@H]2CCCN2C)C1 ZINC001035306114 819456557 /nfs/dbraw/zinc/45/65/57/819456557.db2.gz HJJWAPIPDYIMPM-CHWSQXEVSA-N 0 1 267.373 0.084 20 30 CCEDMN N#CCN1CCO[C@H](CNC(=O)c2cccc3nc[nH]c32)C1 ZINC001035559801 819570161 /nfs/dbraw/zinc/57/01/61/819570161.db2.gz NRJRPIKMWGZMBP-LLVKDONJSA-N 0 1 299.334 0.517 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)n1cncn1)CC2 ZINC001035689694 819604428 /nfs/dbraw/zinc/60/44/28/819604428.db2.gz CKOLKYGBMSLXGW-ZDUSSCGKSA-N 0 1 289.383 0.950 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@@H]1C(=O)OC)CC2 ZINC001035667106 819606675 /nfs/dbraw/zinc/60/66/75/819606675.db2.gz DWOQCCFJFCUGTA-STQMWFEESA-N 0 1 290.363 0.353 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CCCNC1=O)CC2 ZINC001035727002 819614653 /nfs/dbraw/zinc/61/46/53/819614653.db2.gz LRTRDVDYBOBRHL-ZDUSSCGKSA-N 0 1 289.379 0.070 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CC(=O)N(C)C1)CC2 ZINC001035717078 819614705 /nfs/dbraw/zinc/61/47/05/819614705.db2.gz FQDXOJGJHLRIDU-CYBMUJFWSA-N 0 1 291.395 0.575 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1nc[nH]n1)CC2 ZINC001035823032 819629356 /nfs/dbraw/zinc/62/93/56/819629356.db2.gz APJWAIAUSDPNHF-UHFFFAOYSA-N 0 1 261.329 0.529 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CC[C@@H]1NCC#N ZINC001036299827 819723002 /nfs/dbraw/zinc/72/30/02/819723002.db2.gz ZJWVBFQDHLAILY-JQWIXIFHSA-N 0 1 298.350 0.922 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@@H](CO)[C@H]1CO ZINC000709845880 819814006 /nfs/dbraw/zinc/81/40/06/819814006.db2.gz KMUYIWUBUCWKQF-UONOGXRCSA-N 0 1 284.400 0.476 20 30 CCEDMN C#CCCCS(=O)(=O)N(C)C[C@@H]1CCCN1C ZINC000710260406 819823210 /nfs/dbraw/zinc/82/32/10/819823210.db2.gz CJEHFWXNTDXOMG-LBPRGKRZSA-N 0 1 258.387 0.756 20 30 CCEDMN C=CC(C)(C)CCNC(=O)[C@@]1(COC)CNCCO1 ZINC000710810127 819842633 /nfs/dbraw/zinc/84/26/33/819842633.db2.gz LWHJICGRINJHIW-AWEZNQCLSA-N 0 1 270.373 0.710 20 30 CCEDMN C#CCCS(=O)(=O)N1CCCN(CC)C[C@H]1C ZINC000710996574 819871782 /nfs/dbraw/zinc/87/17/82/819871782.db2.gz LWRISGNMVLLOQX-GFCCVEGCSA-N 0 1 258.387 0.756 20 30 CCEDMN C#CCCS(=O)(=O)NCCN1CCC(C)CC1 ZINC000711045179 819875809 /nfs/dbraw/zinc/87/58/09/819875809.db2.gz IBEQAGYUFNFKFM-UHFFFAOYSA-N 0 1 258.387 0.661 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NC(=O)[C@@H]2CCCN2C)CCC1 ZINC001064302477 820195439 /nfs/dbraw/zinc/19/54/39/820195439.db2.gz KWBWYZVSDYODRL-RYUDHWBXSA-N 0 1 292.383 0.395 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cn(C)nc2C)[C@H](O)C1 ZINC001090332080 820269392 /nfs/dbraw/zinc/26/93/92/820269392.db2.gz QZJAFYWHYWNQGX-ZIAGYGMSSA-N 0 1 292.383 0.008 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCO2)C1 ZINC001079387446 820373384 /nfs/dbraw/zinc/37/33/84/820373384.db2.gz VIPXNPZKEQFDKN-JHJVBQTASA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCOCC2)C1 ZINC001079639854 820446044 /nfs/dbraw/zinc/44/60/44/820446044.db2.gz VITKLOVYXIFUET-HZSPNIEDSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cncc3[nH]cnc32)C1 ZINC001079737586 820461234 /nfs/dbraw/zinc/46/12/34/820461234.db2.gz SEWBVHUDFCUXAM-ZWNOBZJWSA-N 0 1 283.335 0.641 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001079839825 820476337 /nfs/dbraw/zinc/47/63/37/820476337.db2.gz GQHXMLFZGOFUCA-DGCLKSJQSA-N 0 1 289.383 0.680 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001079940330 820494175 /nfs/dbraw/zinc/49/41/75/820494175.db2.gz GUBOFRNOAHFXOS-BXKDBHETSA-N 0 1 288.351 0.070 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1[nH]nc2c1CCCC2 ZINC001080027329 820504583 /nfs/dbraw/zinc/50/45/83/820504583.db2.gz CZFVNIMWOIIYJK-ZWNOBZJWSA-N 0 1 287.367 0.862 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001080047629 820506976 /nfs/dbraw/zinc/50/69/76/820506976.db2.gz RFOBMDQRDDIDAH-ZYHUDNBSSA-N 0 1 283.335 0.593 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001080288171 820544815 /nfs/dbraw/zinc/54/48/15/820544815.db2.gz KGENZSGDQGQQOL-RGDJUOJXSA-N 0 1 262.353 0.339 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@@H]2CN(CC#N)C[C@H]2C)C1 ZINC001080446996 820578937 /nfs/dbraw/zinc/57/89/37/820578937.db2.gz TUJIEUZAKYANBT-JHJVBQTASA-N 0 1 264.373 0.288 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCOC2)C1 ZINC001080676228 820618644 /nfs/dbraw/zinc/61/86/44/820618644.db2.gz TYFYPMYBCTVPPO-BZPMIXESSA-N 0 1 250.342 0.483 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001080712236 820625177 /nfs/dbraw/zinc/62/51/77/820625177.db2.gz YZTXJJWPXPGEJS-ZYHUDNBSSA-N 0 1 276.340 0.721 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(CCOC)c2)C1 ZINC001080860644 820647598 /nfs/dbraw/zinc/64/75/98/820647598.db2.gz UBQUTRMORFCUSI-TZMCWYRMSA-N 0 1 290.367 0.213 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2c(C)noc2C)C1 ZINC001080926695 820655582 /nfs/dbraw/zinc/65/55/82/820655582.db2.gz BXQQOFFJIYQJSS-QMTHXVAHSA-N 0 1 275.352 0.904 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001080978060 820660594 /nfs/dbraw/zinc/66/05/94/820660594.db2.gz LHGBUBWWJFUPPR-LALPHHSUSA-N 0 1 286.379 0.584 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCCN2C)[C@H](OC)C1 ZINC001081434858 820750732 /nfs/dbraw/zinc/75/07/32/820750732.db2.gz CSXKSHYGUMWATF-FRRDWIJNSA-N 0 1 267.373 0.082 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H]2CCCO2)[C@H](OC)C1 ZINC001081398623 820756517 /nfs/dbraw/zinc/75/65/17/820756517.db2.gz RAFKOKNNSHLHSG-BFHYXJOUSA-N 0 1 280.368 0.394 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(CCOCC2CC2)C[C@@H]1O ZINC001099725846 820782257 /nfs/dbraw/zinc/78/22/57/820782257.db2.gz ZQJGROOAORZFBQ-GJZGRUSLSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CC(C)C2)[C@H](OC)C1 ZINC001081515459 820783142 /nfs/dbraw/zinc/78/31/42/820783142.db2.gz VOTIJTDNAKMEEX-NWINJMCUSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2[nH]c(C)nc2C)[C@H](OC)C1 ZINC001082145511 820909253 /nfs/dbraw/zinc/90/92/53/820909253.db2.gz SYBNXXVBXXGURU-CHWSQXEVSA-N 0 1 290.367 0.479 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](NC(=O)c2ccncn2)[C@H](OC)C1 ZINC001082254348 820924153 /nfs/dbraw/zinc/92/41/53/820924153.db2.gz SLRLVGDPCQGTKS-ZIAGYGMSSA-N 0 1 290.367 0.872 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ncc[nH]2)[C@H](OC)C1 ZINC001082272379 820924821 /nfs/dbraw/zinc/92/48/21/820924821.db2.gz ZATWVYFRBJGXQM-VXGBXAGGSA-N 0 1 278.356 0.344 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H]2CCCc3c[nH]nc32)C[C@H]1NCC#N ZINC001082513120 820991394 /nfs/dbraw/zinc/99/13/94/820991394.db2.gz ZYQWKGPSWKJHBG-KGYLQXTDSA-N 0 1 287.367 0.790 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2n[nH]c3c2CCC3)[C@@H](O)C1 ZINC001090388382 821062254 /nfs/dbraw/zinc/06/22/54/821062254.db2.gz PMYFRBFDRYNYJR-STQMWFEESA-N 0 1 290.367 0.249 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H](C)C3CC3)[C@H]2C1 ZINC001083038814 821124165 /nfs/dbraw/zinc/12/41/65/821124165.db2.gz PGLZARSXRMQJMO-VHDGCEQUSA-N 0 1 276.380 0.967 20 30 CCEDMN C=CCN1C[C@H]2OCCN(C(=O)CCc3cnc[nH]3)[C@H]2C1 ZINC001083100836 821140191 /nfs/dbraw/zinc/14/01/91/821140191.db2.gz AJQHZDZWDQMCEB-UONOGXRCSA-N 0 1 290.367 0.440 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(CC)on2)[C@@H](O)C1 ZINC001083968063 821175985 /nfs/dbraw/zinc/17/59/85/821175985.db2.gz CKSBEJKZCFTQNR-OLZOCXBDSA-N 0 1 279.340 0.588 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc3ccccc3[nH]2)[C@@H](O)C1 ZINC001084038350 821180753 /nfs/dbraw/zinc/18/07/53/821180753.db2.gz FFWLVMINAJYZKQ-CVEARBPZSA-N 0 1 297.358 0.966 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2n[nH]c3ccccc32)[C@@H](O)C1 ZINC001084017299 821185267 /nfs/dbraw/zinc/18/52/67/821185267.db2.gz XOJXVUVHYYHLFK-KGLIPLIRSA-N 0 1 298.346 0.361 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2c(C)noc2C)[C@@H](O)C1 ZINC001084049513 821187962 /nfs/dbraw/zinc/18/79/62/821187962.db2.gz MYXVZRCJDWOFPJ-KGLIPLIRSA-N 0 1 291.351 0.019 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)c2cnn(C)c2)[C@@H](O)C1 ZINC001084138155 821210374 /nfs/dbraw/zinc/21/03/74/821210374.db2.gz DAUYKBVOZASUJM-BNOWGMLFSA-N 0 1 292.383 0.261 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C3CCOCC3)[C@@H]2C1 ZINC001084146027 821214095 /nfs/dbraw/zinc/21/40/95/821214095.db2.gz UDPMHVNGPURADZ-HUUCEWRRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)c3cc(CC)[nH]n3)[C@@H]2C1 ZINC001084198836 821233553 /nfs/dbraw/zinc/23/35/53/821233553.db2.gz FBKBKOLPNOCMTM-BXUZGUMPSA-N 0 1 272.352 0.752 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H]3COC(=O)C3)[C@@H]2C1 ZINC001084281286 821261741 /nfs/dbraw/zinc/26/17/41/821261741.db2.gz LWDKHZVSAXBRBW-BFHYXJOUSA-N 0 1 290.363 0.496 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3nn(C)cc3C)[C@@H]2C1 ZINC001084337518 821274374 /nfs/dbraw/zinc/27/43/74/821274374.db2.gz JANQCTAEKHSQOG-ZIAGYGMSSA-N 0 1 286.379 0.898 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]2CN(C(=O)C[C@@H]3CCCC(=O)N3)[C@@H]2C1 ZINC001084503675 821302100 /nfs/dbraw/zinc/30/21/00/821302100.db2.gz MVLVNICYRFLRDS-HZSPNIEDSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCCC(=O)N3)[C@@H]2C1 ZINC001084503675 821302109 /nfs/dbraw/zinc/30/21/09/821302109.db2.gz MVLVNICYRFLRDS-HZSPNIEDSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN(CC#CC)C[C@H]1O ZINC001099803831 821309788 /nfs/dbraw/zinc/30/97/88/821309788.db2.gz DOYUHAFJKXZNMI-ZIAGYGMSSA-N 0 1 262.353 0.365 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCn3ccnc3)[C@@H]2C1 ZINC001084560206 821313825 /nfs/dbraw/zinc/31/38/25/821313825.db2.gz FDMDFUOTTRIGMU-ZIAGYGMSSA-N 0 1 274.368 0.992 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3cnoc3)[C@@H]2C1 ZINC001084600306 821323374 /nfs/dbraw/zinc/32/33/74/821323374.db2.gz WFYGDMVARFPRNB-CHWSQXEVSA-N 0 1 261.325 0.936 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@]3(C)CCC(=O)NC3)[C@@H]2C1 ZINC001084596787 821325137 /nfs/dbraw/zinc/32/51/37/821325137.db2.gz RLNXKGMMLSHRSM-XJKCOSOUSA-N 0 1 291.395 0.621 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cn3ccc(C)n3)[C@@H]2C1 ZINC001084669797 821346186 /nfs/dbraw/zinc/34/61/86/821346186.db2.gz LMULZTASQKIEKS-HUUCEWRRSA-N 0 1 286.379 0.748 20 30 CCEDMN C=CC[C@H](NC(=O)Cc1cnc[nH]1)C(=O)OCC ZINC001119568779 821371087 /nfs/dbraw/zinc/37/10/87/821371087.db2.gz QPJKSUCBZSEBPX-JTQLQIEISA-N 0 1 251.286 0.576 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@H](C)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001119586324 821378338 /nfs/dbraw/zinc/37/83/38/821378338.db2.gz FBOPQAPCCUEHEN-STQMWFEESA-N 0 1 297.399 0.548 20 30 CCEDMN CC[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)[C@H](O)C1 ZINC001099830127 821391528 /nfs/dbraw/zinc/39/15/28/821391528.db2.gz NHHBTVIQOKOWGN-VXGBXAGGSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CC[C@@H](OC)C2)CC1 ZINC001119679033 821416166 /nfs/dbraw/zinc/41/61/66/821416166.db2.gz XSNMMRCOFGDAAI-UONOGXRCSA-N 0 1 279.384 0.951 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCCN3C(N)=O)[C@@H]2C1 ZINC001084868493 821417060 /nfs/dbraw/zinc/41/70/60/821417060.db2.gz PBSRJUZQDAZKKN-FRRDWIJNSA-N 0 1 292.383 0.248 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)c1ccco1 ZINC001231243765 821513066 /nfs/dbraw/zinc/51/30/66/821513066.db2.gz ZTLGFAKLEXYKJF-UHFFFAOYSA-N 0 1 277.324 0.081 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@]1(c2ccccc2)CNC(=O)C1 ZINC000823674791 821530011 /nfs/dbraw/zinc/53/00/11/821530011.db2.gz AVPCCMQGOSKNLV-QGZVFWFLSA-N 0 1 299.374 0.126 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@]1(O)CCc2ccccc2C1 ZINC000823675072 821530718 /nfs/dbraw/zinc/53/07/18/821530718.db2.gz ZNTXJVDRXKYOQK-KRWDZBQOSA-N 0 1 286.375 0.588 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cncnc1 ZINC001085560494 821788795 /nfs/dbraw/zinc/78/87/95/821788795.db2.gz NXPIPOVOEXKBHT-CYBMUJFWSA-N 0 1 258.325 0.646 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001085597419 821841093 /nfs/dbraw/zinc/84/10/93/821841093.db2.gz XRUWXDOYGHHWKP-NWDGAFQWSA-N 0 1 287.367 0.886 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1c(C)nnn1CC ZINC001085635039 821865370 /nfs/dbraw/zinc/86/53/70/821865370.db2.gz HKWZSAFFUGZWBK-CYBMUJFWSA-N 0 1 289.383 0.776 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnn2ncccc12 ZINC001085644503 821868591 /nfs/dbraw/zinc/86/85/91/821868591.db2.gz PCTZMZKMAPRNTQ-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCNC1=O ZINC001085653725 821872933 /nfs/dbraw/zinc/87/29/33/821872933.db2.gz YDXJPJDTGFJWGA-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnc2[n-]nnc21 ZINC001085715094 821916710 /nfs/dbraw/zinc/91/67/10/821916710.db2.gz BKSMFWQWAMKEMM-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN CC#CCN1CC[C@H]1CN(C)C(=O)c1ccnc2n[nH]nc21 ZINC001085715094 821916714 /nfs/dbraw/zinc/91/67/14/821916714.db2.gz BKSMFWQWAMKEMM-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001085819858 821967173 /nfs/dbraw/zinc/96/71/73/821967173.db2.gz KHOBQWMEAHTANB-MCIONIFRSA-N 0 1 293.411 0.820 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CF)CC2)[C@@H](O)C1 ZINC001100006281 822007538 /nfs/dbraw/zinc/00/75/38/822007538.db2.gz OUQUDCKHGOCDEH-QWRGUYRKSA-N 0 1 256.321 0.474 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001085948488 822030993 /nfs/dbraw/zinc/03/09/93/822030993.db2.gz KAEXHJXAPKZVJP-HUUCEWRRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001085987486 822053340 /nfs/dbraw/zinc/05/33/40/822053340.db2.gz DOIAUYXNAJFQQQ-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)C[N@@H+]1CCO[C@@](C)(CNC(=O)Cc2c[nH]cn2)C1 ZINC001108245100 822131737 /nfs/dbraw/zinc/13/17/37/822131737.db2.gz QQCHYWGEFIFLIX-HNNXBMFYSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCC(=O)NCCC)[C@H](O)C1 ZINC001100077998 822239388 /nfs/dbraw/zinc/23/93/88/822239388.db2.gz BTODFMTVHXZRRT-QWHCGFSZSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C3CC3)C[C@H]21 ZINC001114001401 837400386 /nfs/dbraw/zinc/40/03/86/837400386.db2.gz CRVGUXBSZMVJJT-VIKVFOODSA-N 0 1 291.395 0.620 20 30 CCEDMN Cc1oncc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C#N ZINC001114066514 837420634 /nfs/dbraw/zinc/42/06/34/837420634.db2.gz DHATUWVQCBPWGT-HWNAMQAFSA-N 0 1 274.324 0.689 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C=C1CCC1 ZINC001114123406 837443854 /nfs/dbraw/zinc/44/38/54/837443854.db2.gz ZDGAXKYQDFHSCT-FOLVSLTJSA-N 0 1 274.364 0.793 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COC(C)(C)C ZINC001114166164 837460827 /nfs/dbraw/zinc/46/08/27/837460827.db2.gz OVOSWBNUASOJFK-PJXYFTJBSA-N 0 1 250.342 0.481 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N3CCC3)C[C@H]21 ZINC001114196907 837467939 /nfs/dbraw/zinc/46/79/39/837467939.db2.gz OQUXYYSXSAEKNK-VIKVFOODSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1COCCN1C ZINC001157818215 837470679 /nfs/dbraw/zinc/47/06/79/837470679.db2.gz HUADZLQDUWNGTI-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1[C@H]2CN(Cc3cnn(C)c3)C[C@H]21 ZINC001114199320 837473519 /nfs/dbraw/zinc/47/35/19/837473519.db2.gz RSCNIPZVAYICTH-FOLVSLTJSA-N 0 1 286.379 0.770 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H](C)CCC)C[C@@H]1n1ccnn1 ZINC001129712021 837547390 /nfs/dbraw/zinc/54/73/90/837547390.db2.gz CBYMSTJXYXFJJW-MJBXVCDLSA-N 0 1 289.383 0.689 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@@H](NCCF)[C@@H](n2ccnn2)C1 ZINC001129879248 837574333 /nfs/dbraw/zinc/57/43/33/837574333.db2.gz YRANAWVEBJWZIC-OLZOCXBDSA-N 0 1 295.362 0.945 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C(C)(C)C)C[C@@H]1n1ccnn1 ZINC001130099942 837688542 /nfs/dbraw/zinc/68/85/42/837688542.db2.gz RHWKPMCNKKAPME-OLZOCXBDSA-N 0 1 289.383 0.689 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OC)ccn2)[C@@H](O)C1 ZINC001090485829 837828060 /nfs/dbraw/zinc/82/80/60/837828060.db2.gz KJDQUINJGBWZFS-JSGCOSHPSA-N 0 1 291.351 0.441 20 30 CCEDMN CN(C)S(=O)(=O)CCNc1c[nH]c2c(C#N)cnc-2c1 ZINC001168867211 836025588 /nfs/dbraw/zinc/02/55/88/836025588.db2.gz OKOOFLSVBMOHJV-UHFFFAOYSA-N 0 1 293.352 0.738 20 30 CCEDMN C[Si](C)(C)C#Cc1ccc(-n2nnnc2CN)nc1 ZINC001168917091 836048421 /nfs/dbraw/zinc/04/84/21/836048421.db2.gz CCYOEQZUYRGTDU-UHFFFAOYSA-N 0 1 272.388 0.745 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CCCCNCc1nnnn1C ZINC001169714562 836429036 /nfs/dbraw/zinc/42/90/36/836429036.db2.gz YPXAKUYVPIMANH-GFCCVEGCSA-N 0 1 294.403 0.941 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1cc(C2CC2)nn1 ZINC001129346989 836451068 /nfs/dbraw/zinc/45/10/68/836451068.db2.gz JFMPTSBSYVGDKA-UHFFFAOYSA-N 0 1 283.763 0.614 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)cn1)NC(=O)Cc1cnc[nH]1 ZINC001108718792 836515427 /nfs/dbraw/zinc/51/54/27/836515427.db2.gz UYLNOKBLBBNNLR-JTQLQIEISA-N 0 1 284.323 0.836 20 30 CCEDMN COCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CSCC#N)C2 ZINC001108951866 836582059 /nfs/dbraw/zinc/58/20/59/836582059.db2.gz IKGDONGCZSYZHU-WOPDTQHZSA-N 0 1 283.397 0.611 20 30 CCEDMN Cc1cc(N(C)C[C@@H](C)NC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001109063461 836607642 /nfs/dbraw/zinc/60/76/42/836607642.db2.gz WGUFJGJNANBUFM-SNVBAGLBSA-N 0 1 299.338 0.635 20 30 CCEDMN Cc1cc(N(C)C[C@@H](C)NC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001109063461 836607645 /nfs/dbraw/zinc/60/76/45/836607645.db2.gz WGUFJGJNANBUFM-SNVBAGLBSA-N 0 1 299.338 0.635 20 30 CCEDMN C[C@@H](CN(C)c1ccc(C#N)nc1)NC(=O)c1ncn[nH]1 ZINC001109063257 836608781 /nfs/dbraw/zinc/60/87/81/836608781.db2.gz PWXNLCSMIOOQRC-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN C[C@@H](CN(C)c1ccc(C#N)nc1)NC(=O)c1nc[nH]n1 ZINC001109063257 836608785 /nfs/dbraw/zinc/60/87/85/836608785.db2.gz PWXNLCSMIOOQRC-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@](C)(O)C1CC1)C2 ZINC001109165330 836626489 /nfs/dbraw/zinc/62/64/89/836626489.db2.gz VEXLASAABMCICG-HOSILWTGSA-N 0 1 276.380 0.892 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#C ZINC001109272933 836650000 /nfs/dbraw/zinc/65/00/00/836650000.db2.gz SNZRSUGTVWMRFQ-RDBSUJKOSA-N 0 1 260.337 0.381 20 30 CCEDMN Cc1cc(N(C)C[C@@H](C)NC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001109318412 836657374 /nfs/dbraw/zinc/65/73/74/836657374.db2.gz DDLYMOHSUMOXHB-SNVBAGLBSA-N 0 1 299.338 0.635 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001109362963 836663664 /nfs/dbraw/zinc/66/36/64/836663664.db2.gz LRYFQCMDIQDLPQ-RFGFWPKPSA-N 0 1 296.390 0.911 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CC(N)=O)C2 ZINC001109599313 836705356 /nfs/dbraw/zinc/70/53/56/836705356.db2.gz FNJOXSNROWZYND-NDBYEHHHSA-N 0 1 277.368 0.243 20 30 CCEDMN C=C(CC(=O)OCCCC)C(=O)NCc1c[nH]nn1 ZINC001184313140 844201018 /nfs/dbraw/zinc/20/10/18/844201018.db2.gz HGIHZSIIKFFYMM-UHFFFAOYSA-N 0 1 266.301 0.711 20 30 CCEDMN C=C(CC(=O)OCCCC)C(=O)NCc1nnc[nH]1 ZINC001184313994 844200350 /nfs/dbraw/zinc/20/03/50/844200350.db2.gz WJQARXCOJDGFDT-UHFFFAOYSA-N 0 1 266.301 0.711 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2COCCO2)CC1 ZINC001112620857 836826549 /nfs/dbraw/zinc/82/65/49/836826549.db2.gz RVOGQRIXZSXUTP-CYBMUJFWSA-N 0 1 268.357 0.512 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H](C)n2cncn2)CC1 ZINC001112770223 836901138 /nfs/dbraw/zinc/90/11/38/836901138.db2.gz GQEAYXHDJWDAIC-CYBMUJFWSA-N 0 1 277.372 0.950 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(C[C@H]2CCCOC2)CC1 ZINC001112814302 836923441 /nfs/dbraw/zinc/92/34/41/836923441.db2.gz NACQOFPYJGBYIX-GDBMZVCRSA-N 0 1 296.411 0.884 20 30 CCEDMN C=CCCN1CCN(C(=O)CNC(=O)c2ccoc2)CC1 ZINC001112875742 836949087 /nfs/dbraw/zinc/94/90/87/836949087.db2.gz DUKAPPLPKDPJNN-UHFFFAOYSA-N 0 1 291.351 0.730 20 30 CCEDMN C=CCCN1CCN(C(=O)COCc2nccn2C)CC1 ZINC001112997898 836991852 /nfs/dbraw/zinc/99/18/52/836991852.db2.gz ZLPOYASJQJQGML-UHFFFAOYSA-N 0 1 292.383 0.657 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CCC(=O)NC2)CC1 ZINC001113057443 837010999 /nfs/dbraw/zinc/01/09/99/837010999.db2.gz RGVQWFXQEKSFOK-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H](C)CC(N)=O)CC1 ZINC001113196833 837058386 /nfs/dbraw/zinc/05/83/86/837058386.db2.gz XHUJVBVLKQKOSV-QWHCGFSZSA-N 0 1 281.400 0.997 20 30 CCEDMN CCCCCCCN1CCN(C(=O)[C@@H]2CNC(=O)N2)CC1 ZINC001113275332 837075659 /nfs/dbraw/zinc/07/56/59/837075659.db2.gz JOIAXYBSTQORSV-ZDUSSCGKSA-N 0 1 296.415 0.782 20 30 CCEDMN C=CCCN1CCN(C(=O)COC[C@H]2CCCO2)CC1 ZINC001113738982 837210771 /nfs/dbraw/zinc/21/07/71/837210771.db2.gz LPRKETHMIYXNRS-CQSZACIVSA-N 0 1 282.384 0.902 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(C)=O ZINC001113753141 837216341 /nfs/dbraw/zinc/21/63/41/837216341.db2.gz VSHGEKZREHEFBB-RZFFKMDDSA-N 0 1 293.411 0.866 20 30 CCEDMN CN(CC#N)C[C@H]1CCCCN1C(=O)[C@H]1CCCN1C ZINC001157710598 837279361 /nfs/dbraw/zinc/27/93/61/837279361.db2.gz ISDLAOSYGPKDGI-ZIAGYGMSSA-N 0 1 278.400 0.917 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C3CC3)on2)[C@@H](O)C1 ZINC001090467397 837296476 /nfs/dbraw/zinc/29/64/76/837296476.db2.gz QVQFTFPAWUUGBI-YPMHNXCESA-N 0 1 291.351 0.903 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn(C)ccc1=O ZINC001131160092 838010916 /nfs/dbraw/zinc/01/09/16/838010916.db2.gz OTMTXSAZNWBXOH-UHFFFAOYSA-N 0 1 269.732 0.457 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)CCOCC)C[C@@H](C)O2 ZINC001131652596 838167826 /nfs/dbraw/zinc/16/78/26/838167826.db2.gz KZUNMZDZXNIILE-ZBFHGGJFSA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCCCN1CC2(C1)COCC(=O)N2C1COC1 ZINC001273493223 844301399 /nfs/dbraw/zinc/30/13/99/844301399.db2.gz VTHFPBLONOPMQD-UHFFFAOYSA-N 0 1 266.341 0.265 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CNC(=O)CCC)CC[C@@H]1C ZINC001131861073 838246643 /nfs/dbraw/zinc/24/66/43/838246643.db2.gz GDXSZNCYXSCVNJ-STQMWFEESA-N 0 1 279.384 0.505 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCNC(=O)C(C)(C)C ZINC001132126402 838323567 /nfs/dbraw/zinc/32/35/67/838323567.db2.gz XNTLCQGXXQKJAI-UHFFFAOYSA-N 0 1 289.807 0.997 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCn2ccnc2)CC[C@H]1C ZINC001132221350 838340654 /nfs/dbraw/zinc/34/06/54/838340654.db2.gz GGLAIKRTWSJQLO-KGLIPLIRSA-N 0 1 274.368 0.876 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNCC(=O)Nc1cc(C)no1 ZINC001132402001 838385325 /nfs/dbraw/zinc/38/53/25/838385325.db2.gz ITUMNZKMNMLAPY-UHFFFAOYSA-N 0 1 294.355 0.840 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNCc1cnnn1C ZINC001132403880 838386413 /nfs/dbraw/zinc/38/64/13/838386413.db2.gz JLKYGCHXMYZOFB-UHFFFAOYSA-N 0 1 251.334 0.233 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COc1cnn(C)c1 ZINC001132960999 838528287 /nfs/dbraw/zinc/52/82/87/838528287.db2.gz DAKFJYMJLIIITP-UHFFFAOYSA-N 0 1 272.736 0.257 20 30 CCEDMN C=C1CCC(C(=O)NCCNCCS(C)(=O)=O)CC1 ZINC001133362477 838610457 /nfs/dbraw/zinc/61/04/57/838610457.db2.gz HURPVZOZESITEV-UHFFFAOYSA-N 0 1 288.413 0.483 20 30 CCEDMN C=CCCC1(C(=O)NCCNCc2cnnn2C)CC1 ZINC001133375785 838612254 /nfs/dbraw/zinc/61/22/54/838612254.db2.gz IWOLLHZQQOWUBM-UHFFFAOYSA-N 0 1 277.372 0.767 20 30 CCEDMN C=CCCC1(C(=O)NCCNCCS(C)(=O)=O)CC1 ZINC001133375989 838616269 /nfs/dbraw/zinc/61/62/69/838616269.db2.gz QVUKYMKBXZRGOO-UHFFFAOYSA-N 0 1 288.413 0.483 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](CC)NC(C)=O ZINC001134167972 838891463 /nfs/dbraw/zinc/89/14/63/838891463.db2.gz JBAQIEGQYKZTJT-JTQLQIEISA-N 0 1 261.753 0.359 20 30 CCEDMN COc1ccc(C#N)cc1NC(=O)[C@H]1CN(C)CCN1C ZINC001185254625 844377807 /nfs/dbraw/zinc/37/78/07/844377807.db2.gz FYFQJKOMAXLKOJ-CYBMUJFWSA-N 0 1 288.351 0.751 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)C[C@H](C)NCc1nnnn1C ZINC001134200528 838909631 /nfs/dbraw/zinc/90/96/31/838909631.db2.gz GDRRUVUGRWMZEH-NWDGAFQWSA-N 0 1 292.387 0.387 20 30 CCEDMN C#CCCC1(CCNC(=O)[C@@H]2CN(C)CCN2C)N=N1 ZINC001185269527 844391291 /nfs/dbraw/zinc/39/12/91/844391291.db2.gz OZEITCSZOQPOGO-LBPRGKRZSA-N 0 1 277.372 0.314 20 30 CCEDMN CC[C@](N)(CO)Nc1ccc(C#N)cc1[N+](=O)[O-] ZINC001170864716 839458229 /nfs/dbraw/zinc/45/82/29/839458229.db2.gz WAEZVQCFTWVMSY-LLVKDONJSA-N 0 1 250.258 0.936 20 30 CCEDMN CC[C@@](N)(CO)Nc1nc(Cl)nc(C)c1C#N ZINC001159744782 839567516 /nfs/dbraw/zinc/56/75/16/839567516.db2.gz VWSNXVYGKJCPTH-JTQLQIEISA-N 0 1 255.709 0.779 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(CC#CC)C[C@@H]2O)C1 ZINC001090555333 839639927 /nfs/dbraw/zinc/63/99/27/839639927.db2.gz JAANMPNASUIPCC-KGLIPLIRSA-N 0 1 276.380 0.917 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cccnc2C)[C@H](O)C1 ZINC001090572301 839651179 /nfs/dbraw/zinc/65/11/79/839651179.db2.gz FRMDVTLSSCJVPN-LSDHHAIUSA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)[nH]c2C)[C@@H](O)C1 ZINC001090592729 839663302 /nfs/dbraw/zinc/66/33/02/839663302.db2.gz HKHCTJXIUJIHQJ-KBPBESRZSA-N 0 1 277.368 0.983 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnoc2CC)[C@@H](O)C1 ZINC001090614967 839678164 /nfs/dbraw/zinc/67/81/64/839678164.db2.gz CXWKBGDBKAHBPY-OLZOCXBDSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(C)nc2C)[C@H](O)C1 ZINC001090654066 839705247 /nfs/dbraw/zinc/70/52/47/839705247.db2.gz FFJQIRQGDMFWFW-UONOGXRCSA-N 0 1 290.367 0.444 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nc(C)oc2C)[C@@H](O)C1 ZINC001090673241 839727412 /nfs/dbraw/zinc/72/74/12/839727412.db2.gz JTGHDNSQSJMIHD-RYUDHWBXSA-N 0 1 279.340 0.642 20 30 CCEDMN C#CC(=O)N1CCc2c(CN(C)C(C)C)[nH]nc2C1 ZINC001143906883 839890060 /nfs/dbraw/zinc/89/00/60/839890060.db2.gz BUMXSZGFJVYZHA-UHFFFAOYSA-N 0 1 260.341 0.768 20 30 CCEDMN C#CC[NH2+]C1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001090992459 839946250 /nfs/dbraw/zinc/94/62/50/839946250.db2.gz FDSQFMKOHSVJPP-UHFFFAOYSA-N 0 1 259.309 0.518 20 30 CCEDMN C=C(C(=O)N[C@@H](CO)Cc1cnc[nH]1)C(F)(F)F ZINC001144212977 839977240 /nfs/dbraw/zinc/97/72/40/839977240.db2.gz COXXGVYDQOSRRK-MRVPVSSYSA-N 0 1 263.219 0.548 20 30 CCEDMN CC(=O)N[C@@H](CS)C(=O)N(C(=N)N)c1ccccc1F ZINC001144417005 840041094 /nfs/dbraw/zinc/04/10/94/840041094.db2.gz AWLWFTYZKKGZKL-VIFPVBQESA-N 0 1 298.343 0.487 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@@H]3C[C@H]3C)C2)C1 ZINC001146934634 840418802 /nfs/dbraw/zinc/41/88/02/840418802.db2.gz WVOMFQPPGVXCFX-ZIAGYGMSSA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC2(CN(C(=O)C(C)C)C2)C1 ZINC001147005420 840457215 /nfs/dbraw/zinc/45/72/15/840457215.db2.gz HNPVAVKSPNOYLK-CYBMUJFWSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COCCCC)C2)C1 ZINC001147282210 840547286 /nfs/dbraw/zinc/54/72/86/840547286.db2.gz YFJVTHQJUQHZRA-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN C[C@H](C(N)=O)N1C[C@@H]2CN(C(=O)C#CC3CC3)C[C@]2(C)C1 ZINC001091655236 840682194 /nfs/dbraw/zinc/68/21/94/840682194.db2.gz HFCUCHNTQXFEKD-KFNAQCHYSA-N 0 1 289.379 0.054 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](CCC)OC)C2)C1 ZINC001148017085 840729624 /nfs/dbraw/zinc/72/96/24/840729624.db2.gz FNTJFIDDKFARPO-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cnc(C)cn2)nc1 ZINC001148377287 840796289 /nfs/dbraw/zinc/79/62/89/840796289.db2.gz VPQBVDRJFZZMRV-UHFFFAOYSA-N 0 1 295.346 0.681 20 30 CCEDMN C[C@H](C#N)c1cccc(C(=O)NCc2nn[nH]n2)c1 ZINC001148622709 840868788 /nfs/dbraw/zinc/86/87/88/840868788.db2.gz OJZVBPPUELUUNO-MRVPVSSYSA-N 0 1 256.269 0.757 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CNC(=O)[C@H](C)CC)C2)C1 ZINC001148784270 840885936 /nfs/dbraw/zinc/88/59/36/840885936.db2.gz RRAVQDXOSNYFIA-CYBMUJFWSA-N 0 1 291.395 0.316 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C(C)(F)F)C1 ZINC001149002100 840914329 /nfs/dbraw/zinc/91/43/29/840914329.db2.gz FYBOZCQNNGIULL-LLVKDONJSA-N 0 1 274.311 0.872 20 30 CCEDMN COC(=O)Cc1cc(N[C@@H]2C(=O)N(O)C[C@@H]2C)ccn1 ZINC001171369141 840967793 /nfs/dbraw/zinc/96/77/93/840967793.db2.gz PXVPMUQWFSJWLT-UFBFGSQYSA-N 0 1 279.296 0.445 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)CSCC#N)[C@@H]2C1 ZINC001186908154 844620156 /nfs/dbraw/zinc/62/01/56/844620156.db2.gz JCDXHZVGZTYJDM-NWDGAFQWSA-N 0 1 265.382 0.962 20 30 CCEDMN Cc1nonc1CNC[C@@H]1CN(C(=O)[C@@H](C)C#N)C[C@H]1C ZINC001093678651 841426456 /nfs/dbraw/zinc/42/64/56/841426456.db2.gz MGAMTOHJTNKRGL-HOSYDEDBSA-N 0 1 291.355 0.722 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCCNc1ccncc1C#N ZINC001094248819 841569037 /nfs/dbraw/zinc/56/90/37/841569037.db2.gz RCLLSUAFXCZXOU-CQSZACIVSA-N 0 1 287.367 0.388 20 30 CCEDMN N#Cc1nc(Nc2nccnc2CN)cc2nc[nH]c21 ZINC001171441605 841619166 /nfs/dbraw/zinc/61/91/66/841619166.db2.gz UVWDEGYTGAQODE-UHFFFAOYSA-N 0 1 266.268 0.822 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)CCc2nc[nH]n2)nc1 ZINC001094363254 841620339 /nfs/dbraw/zinc/62/03/39/841620339.db2.gz HFVJLEDHAQDNOK-UHFFFAOYSA-N 0 1 299.338 0.622 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)CCc2c[nH]nn2)cn1 ZINC001094792878 841722228 /nfs/dbraw/zinc/72/22/28/841722228.db2.gz VQFPLPDJHGERIP-UHFFFAOYSA-N 0 1 299.338 0.622 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)CCc2cnn[nH]2)cn1 ZINC001094792878 841722234 /nfs/dbraw/zinc/72/22/34/841722234.db2.gz VQFPLPDJHGERIP-UHFFFAOYSA-N 0 1 299.338 0.622 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2cnn(C)n2)nc1 ZINC001171585114 841733604 /nfs/dbraw/zinc/73/36/04/841733604.db2.gz JWBYGDPCJKGBJB-UHFFFAOYSA-N 0 1 298.350 0.101 20 30 CCEDMN C=CCOC(=O)N1CCN([C@H]2CCN(CC)C(=O)C2)CC1 ZINC001172051250 841829670 /nfs/dbraw/zinc/82/96/70/841829670.db2.gz IUMAMXLFHGWBLT-ZDUSSCGKSA-N 0 1 295.383 0.938 20 30 CCEDMN Cc1nc(NCCCNC(=O)c2cnn[nH]2)ccc1C#N ZINC001094881764 841866842 /nfs/dbraw/zinc/86/68/42/841866842.db2.gz WTBXYKWIXNDJBH-UHFFFAOYSA-N 0 1 285.311 0.612 20 30 CCEDMN CN1CCC(C#N)(N[C@H]2CCC[C@H](n3ncnn3)C2)CC1 ZINC001173744143 842141203 /nfs/dbraw/zinc/14/12/03/842141203.db2.gz JOFKCYXQBBKUMW-STQMWFEESA-N 0 1 289.387 0.734 20 30 CCEDMN N#C[C@@H]1CN([C@@H]2CCC[C@@H](n3ncnn3)C2)CCC1=O ZINC001173766999 842157372 /nfs/dbraw/zinc/15/73/72/842157372.db2.gz YXLVGDSOSZUBSD-IJLUTSLNSA-N 0 1 274.328 0.571 20 30 CCEDMN C#CCNC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)OC(C)(C)C ZINC001177089207 842506334 /nfs/dbraw/zinc/50/63/34/842506334.db2.gz UPYXCHUBAXSEOO-LLVKDONJSA-N 0 1 292.339 0.595 20 30 CCEDMN O=C(C#Cc1cccnc1)Nc1n[nH]c2nccnc12 ZINC001177773322 842659437 /nfs/dbraw/zinc/65/94/37/842659437.db2.gz PRGXSSIJKPHYBN-UHFFFAOYSA-N 0 1 264.248 0.738 20 30 CCEDMN NCc1ncccc1NC(=O)C#Cc1cccnc1 ZINC001177780086 842675057 /nfs/dbraw/zinc/67/50/57/842675057.db2.gz OBPNXDBIKNGPMR-UHFFFAOYSA-N 0 1 252.277 0.926 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)C#Cc1cccnc1 ZINC001177782357 842676608 /nfs/dbraw/zinc/67/66/08/842676608.db2.gz MGYPBAHXCXNDAL-UHFFFAOYSA-N 0 1 298.302 0.649 20 30 CCEDMN C#CCN1CCC(NC(=O)COc2ccsn2)CC1 ZINC001178206946 842789897 /nfs/dbraw/zinc/78/98/97/842789897.db2.gz CYWWEROPQZFYSP-UHFFFAOYSA-N 0 1 279.365 0.736 20 30 CCEDMN C#CC[C@H](NC(=O)OC(C)(C)C)C(=O)NCc1c[nH]nn1 ZINC001179587643 843021570 /nfs/dbraw/zinc/02/15/70/843021570.db2.gz JKEGXCDLVQLDJB-JTQLQIEISA-N 0 1 293.327 0.338 20 30 CCEDMN C=C[C@H]1C[C@]1(NC(=O)[C@H](C)N(C)C)C(=O)OCC ZINC001180863857 843327410 /nfs/dbraw/zinc/32/74/10/843327410.db2.gz MVTMJGKAQUJWNI-OUJBWJOFSA-N 0 1 254.330 0.561 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2cc(C)ncn2)C1 ZINC001181618656 843555670 /nfs/dbraw/zinc/55/56/70/843555670.db2.gz WPCFUPILVAXGLV-CYBMUJFWSA-N 0 1 290.367 0.678 20 30 CCEDMN C#CC(C)(C)C(=O)NCc1[nH]ncc1C(=O)OCC ZINC001182641191 843886991 /nfs/dbraw/zinc/88/69/91/843886991.db2.gz AYCYWSBMKQSIFG-UHFFFAOYSA-N 0 1 263.297 0.862 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)COC)[C@@H]2C1 ZINC001187311945 844704281 /nfs/dbraw/zinc/70/42/81/844704281.db2.gz NYWFOTRVGCCMJX-MELADBBJSA-N 0 1 264.369 0.825 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H]2CCN(C(=O)COCCOC)[C@@H]2C1 ZINC001187343564 844713614 /nfs/dbraw/zinc/71/36/14/844713614.db2.gz KMWCDFIQLFGAEX-UONOGXRCSA-N 0 1 282.384 0.758 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1n[nH]cc1Cl ZINC001187849799 844782012 /nfs/dbraw/zinc/78/20/12/844782012.db2.gz YOFUHAVAHNBJAW-LURJTMIESA-N 0 1 256.649 0.248 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCOCC(F)F)[C@@H]2C1 ZINC001187930367 844808852 /nfs/dbraw/zinc/80/88/52/844808852.db2.gz QYLHWJMYVAJBMO-NWDGAFQWSA-N 0 1 286.322 0.824 20 30 CCEDMN Cc1[nH]ncc1C(=O)Nc1c(C#N)cnc2c(C#N)cnn21 ZINC001188050996 844820402 /nfs/dbraw/zinc/82/04/02/844820402.db2.gz ZVSLDWUKWBRZRP-UHFFFAOYSA-N 0 1 292.262 0.756 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1ncc(C(F)(F)F)[nH]1 ZINC001188281106 844863171 /nfs/dbraw/zinc/86/31/71/844863171.db2.gz PWXNZKVACBWPCI-LURJTMIESA-N 0 1 273.218 0.366 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)c2ccncc2O)CC1 ZINC001188636364 844941283 /nfs/dbraw/zinc/94/12/83/844941283.db2.gz HCWDDQWRSKKSJQ-UHFFFAOYSA-N 0 1 289.291 0.706 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)CC(C)C)C1 ZINC001188677039 844945409 /nfs/dbraw/zinc/94/54/09/844945409.db2.gz WCPAHSQYDTWCIK-ZIAGYGMSSA-N 0 1 293.411 0.703 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cnccn2)C1 ZINC001188685923 844948447 /nfs/dbraw/zinc/94/84/47/844948447.db2.gz UZJNCKOQKJJUQA-ZDUSSCGKSA-N 0 1 288.351 0.273 20 30 CCEDMN COC(=O)c1scc(C#N)c1NC(=O)c1nc[nH]n1 ZINC001188690257 844949810 /nfs/dbraw/zinc/94/98/10/844949810.db2.gz DXYYXSIVURPEGJ-UHFFFAOYSA-N 0 1 277.265 0.777 20 30 CCEDMN C=CCOC(=O)N1CCC(CNC(=O)c2ncn[nH]2)CC1 ZINC001188703981 844954786 /nfs/dbraw/zinc/95/47/86/844954786.db2.gz ZSQNAJVOJRKGDZ-UHFFFAOYSA-N 0 1 293.327 0.569 20 30 CCEDMN C=CCOC(=O)N1CCC(CNC(=O)c2nc[nH]n2)CC1 ZINC001188703981 844954791 /nfs/dbraw/zinc/95/47/91/844954791.db2.gz ZSQNAJVOJRKGDZ-UHFFFAOYSA-N 0 1 293.327 0.569 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C=C(C)C)C1 ZINC001188718444 844959712 /nfs/dbraw/zinc/95/97/12/844959712.db2.gz TYAIXNSVKGYBEO-KBPBESRZSA-N 0 1 291.395 0.623 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)CCSC)C1 ZINC001188793290 844967875 /nfs/dbraw/zinc/96/78/75/844967875.db2.gz PDYPPCUSKZDVAN-CYBMUJFWSA-N 0 1 284.425 0.922 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3C)[C@@H]2C1 ZINC001188776687 844974490 /nfs/dbraw/zinc/97/44/90/844974490.db2.gz CHWSUJUSNVTHCB-LXTVHRRPSA-N 0 1 276.380 0.825 20 30 CCEDMN CCO[C@@H](C)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189021258 845025707 /nfs/dbraw/zinc/02/57/07/845025707.db2.gz GKMJUNWTWAVWCZ-UONOGXRCSA-N 0 1 282.384 0.594 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2cncnc2C)C1 ZINC001189042287 845027674 /nfs/dbraw/zinc/02/76/74/845027674.db2.gz SXMDJOBVUDURIV-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cncnc2C)C1 ZINC001189042287 845027677 /nfs/dbraw/zinc/02/76/77/845027677.db2.gz SXMDJOBVUDURIV-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)OC)C1 ZINC001189114897 845040337 /nfs/dbraw/zinc/04/03/37/845040337.db2.gz LFUCCZGISBPNIU-STQMWFEESA-N 0 1 268.357 0.204 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CC34CC4)[C@@H]2C1 ZINC001189237645 845084848 /nfs/dbraw/zinc/08/48/48/845084848.db2.gz IXUCNTHDRPGDJO-SOUVJXGZSA-N 0 1 288.391 0.969 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001189310736 845106028 /nfs/dbraw/zinc/10/60/28/845106028.db2.gz QNADNAZGVHVRSV-QWRGUYRKSA-N 0 1 253.346 0.359 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@H](CC)C(N)=O)C1 ZINC001189318441 845108213 /nfs/dbraw/zinc/10/82/13/845108213.db2.gz LSQWZNPCAOJMLX-VXGBXAGGSA-N 0 1 267.373 0.749 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@H](N(C)[C@H](CC)C(N)=O)C1 ZINC001189744579 845199800 /nfs/dbraw/zinc/19/98/00/845199800.db2.gz VVAZRGBFOKCCNJ-UONOGXRCSA-N 0 1 293.411 0.977 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001190053500 845317395 /nfs/dbraw/zinc/31/73/95/845317395.db2.gz JJGFISOESIZOGV-RYUDHWBXSA-N 0 1 265.357 0.052 20 30 CCEDMN CNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001190054788 845318910 /nfs/dbraw/zinc/31/89/10/845318910.db2.gz TWPNXJZSCQWUOO-QWHCGFSZSA-N 0 1 279.384 0.313 20 30 CCEDMN CC[C@@H](C#N)NS(=O)(=O)c1ncc(F)cc1F ZINC001190204980 845365581 /nfs/dbraw/zinc/36/55/81/845365581.db2.gz FRKMQLBXLRMAQS-ZETCQYMHSA-N 0 1 261.253 0.940 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](C)OCCOCC)[C@@H]2C1 ZINC001190323705 845387259 /nfs/dbraw/zinc/38/72/59/845387259.db2.gz CGJNMWSCFSHVLT-SOUVJXGZSA-N 0 1 294.395 0.594 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H]([N@H+](C)[C@H]2CCNC2=O)C1 ZINC001190428505 845403451 /nfs/dbraw/zinc/40/34/51/845403451.db2.gz KRQRVXAIZFUWEO-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H](N(C)[C@H]2CCNC2=O)C1 ZINC001190428505 845403454 /nfs/dbraw/zinc/40/34/54/845403454.db2.gz KRQRVXAIZFUWEO-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H]([N@H+](C)[C@H]2CCNC2=O)C1 ZINC001190428503 845403682 /nfs/dbraw/zinc/40/36/82/845403682.db2.gz KRQRVXAIZFUWEO-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H](N(C)[C@H]2CCNC2=O)C1 ZINC001190428503 845403684 /nfs/dbraw/zinc/40/36/84/845403684.db2.gz KRQRVXAIZFUWEO-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCOCCOC)[C@@H]2C1 ZINC001190458353 845407427 /nfs/dbraw/zinc/40/74/27/845407427.db2.gz CJJCIKJUBSKANH-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccnc3ccnn32)C1 ZINC001190621717 845435923 /nfs/dbraw/zinc/43/59/23/845435923.db2.gz KLQHOQCTRRLWMR-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1cc(C#N)ccc1F ZINC001190663472 845447935 /nfs/dbraw/zinc/44/79/35/845447935.db2.gz WJRODDIHTZXBBW-VIFPVBQESA-N 0 1 285.344 0.926 20 30 CCEDMN N#CCC1(NS(=O)(=O)c2ncc[nH]2)CCCC1 ZINC001190762554 845475472 /nfs/dbraw/zinc/47/54/72/845475472.db2.gz LXBDVYJTJDSKNL-UHFFFAOYSA-N 0 1 254.315 0.914 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCNC2=O)C1 ZINC001190789287 845499472 /nfs/dbraw/zinc/49/94/72/845499472.db2.gz PACLOOBOCPKJPM-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccn(C)c(=O)c2)C1 ZINC001190982300 845557485 /nfs/dbraw/zinc/55/74/85/845557485.db2.gz ZXSIWMWTQWHNFF-AWEZNQCLSA-N 0 1 287.363 0.555 20 30 CCEDMN C=CCCN(C)[C@@H]1CCN(C(=O)[C@@H](C)S(C)(=O)=O)C1 ZINC001190955170 845562516 /nfs/dbraw/zinc/56/25/16/845562516.db2.gz BRNBUEPKUSGDKA-VXGBXAGGSA-N 0 1 288.413 0.528 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1[nH]nc(C)c1C ZINC001191126315 845590099 /nfs/dbraw/zinc/59/00/99/845590099.db2.gz JXCWAYSUOZQVSE-ZETCQYMHSA-N 0 1 257.315 0.228 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1O ZINC001191646316 845707799 /nfs/dbraw/zinc/70/77/99/845707799.db2.gz YVVLMZUZOLZNMK-QJPTWQEYSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CCCC(=O)N[C@@H]1C[N@@H+](CCOC(C)C)C[C@H]1O ZINC001191646381 845707938 /nfs/dbraw/zinc/70/79/38/845707938.db2.gz ZRNAKFVVYSOVIO-CHWSQXEVSA-N 0 1 270.373 0.539 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOC(C)C)C[C@H]1O ZINC001191646381 845707940 /nfs/dbraw/zinc/70/79/40/845707940.db2.gz ZRNAKFVVYSOVIO-CHWSQXEVSA-N 0 1 270.373 0.539 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)/C=C/C(C)(C)C)C1 ZINC001191832602 845744388 /nfs/dbraw/zinc/74/43/88/845744388.db2.gz IYQJCDYBEYVLGA-BYAJROORSA-N 0 1 252.358 0.936 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001191930393 845756575 /nfs/dbraw/zinc/75/65/75/845756575.db2.gz FYQLTFGIOBGPGO-CHWSQXEVSA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC2(C)C)C1 ZINC001192248486 845805198 /nfs/dbraw/zinc/80/51/98/845805198.db2.gz JEYFUXZZGVZNHK-JHJVBQTASA-N 0 1 282.384 0.396 20 30 CCEDMN COC[C@H](C)N1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192812899 845915061 /nfs/dbraw/zinc/91/50/61/845915061.db2.gz AGIYUYGGAOAXFT-YNEHKIRRSA-N 0 1 282.384 0.232 20 30 CCEDMN CC/C=C/CCN1C[C@@H](O)[C@H](NC(=O)C#CC2CC2)C1 ZINC001192822311 845916980 /nfs/dbraw/zinc/91/69/80/845916980.db2.gz YFGLPEDCPFPLSF-LRPXVYOUSA-N 0 1 276.380 0.917 20 30 CCEDMN CO[C@H](C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192871051 845917542 /nfs/dbraw/zinc/91/75/42/845917542.db2.gz KDHZFMBHYTXVOJ-JHJVBQTASA-N 0 1 282.384 0.232 20 30 CCEDMN CO[C@H](C)CN1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192871051 845917548 /nfs/dbraw/zinc/91/75/48/845917548.db2.gz KDHZFMBHYTXVOJ-JHJVBQTASA-N 0 1 282.384 0.232 20 30 CCEDMN N#CCS(=O)(=O)Nc1cc(=O)n(-c2ccccc2)[nH]1 ZINC001192942988 845930364 /nfs/dbraw/zinc/93/03/64/845930364.db2.gz GEKVBVNMABFXOC-UHFFFAOYSA-N 0 1 278.293 0.843 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N1CC[C@H](N(C)C2CC2)C1 ZINC001193138662 846002632 /nfs/dbraw/zinc/00/26/32/846002632.db2.gz QYRHLBAHTBLFGO-ONGXEEELSA-N 0 1 257.359 0.397 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1C[C@H]2[C@H](C1)CCCN2C ZINC001193139108 846002910 /nfs/dbraw/zinc/00/29/10/846002910.db2.gz YZZCBUCFZNWMRW-VWYCJHECSA-N 0 1 257.359 0.254 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cc(CO)ccc1F ZINC001193146712 846004333 /nfs/dbraw/zinc/00/43/33/846004333.db2.gz RLRYVXCQIBPJAM-ZETCQYMHSA-N 0 1 258.274 0.972 20 30 CCEDMN CCOC(=O)[C@H](NS(=O)(=O)[C@@H](C)C#N)c1cccnc1 ZINC001193182580 846026511 /nfs/dbraw/zinc/02/65/11/846026511.db2.gz OMLLDIGHIHJWOL-GXSJLCMTSA-N 0 1 297.336 0.517 20 30 CCEDMN CCOC(=O)[C@@H](NS(=O)(=O)[C@@H](C)C#N)c1ccccn1 ZINC001193182969 846027501 /nfs/dbraw/zinc/02/75/01/846027501.db2.gz UXXGZJYPTVBWNB-ONGXEEELSA-N 0 1 297.336 0.517 20 30 CCEDMN N#Cc1cc(C(=O)Nc2ncnc3n[nH]nc32)ccc1O ZINC001193493341 846091703 /nfs/dbraw/zinc/09/17/03/846091703.db2.gz DEIDPHCFBMCZPD-UHFFFAOYSA-N 0 1 281.235 0.577 20 30 CCEDMN C[C@]1(CO)CCN(C(=O)c2ccc(O)c(C#N)c2)C[C@@H]1O ZINC001193505830 846101261 /nfs/dbraw/zinc/10/12/61/846101261.db2.gz JGMOBMVCZGPWPU-DZGCQCFKSA-N 0 1 290.319 0.469 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CCC(=O)N2)ccc1O ZINC001193512324 846102778 /nfs/dbraw/zinc/10/27/78/846102778.db2.gz MIZKUHKDXANWIX-SNVBAGLBSA-N 0 1 259.265 0.272 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1ccc(O)c(C#N)c1 ZINC001193514159 846103713 /nfs/dbraw/zinc/10/37/13/846103713.db2.gz CCUJCQPFFBWKLI-GHMZBOCLSA-N 0 1 274.276 0.803 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001193586884 846112464 /nfs/dbraw/zinc/11/24/64/846112464.db2.gz DVIMGODKRNBVNJ-ZIAGYGMSSA-N 0 1 279.384 0.313 20 30 CCEDMN CS(=O)(=O)CCNC(=O)c1cccc(C#N)c1O ZINC001193635333 846131440 /nfs/dbraw/zinc/13/14/40/846131440.db2.gz RQQQTKLMGCRBIR-UHFFFAOYSA-N 0 1 268.294 0.038 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC(=O)N(C3CC3)C(=O)C2)c1O ZINC001193642207 846135151 /nfs/dbraw/zinc/13/51/51/846135151.db2.gz DDWACEZXLYKDMB-UHFFFAOYSA-N 0 1 299.286 0.237 20 30 CCEDMN N#Cc1cccc(C(=O)NC[C@@H]2CNC(=O)O2)c1O ZINC001193660034 846139389 /nfs/dbraw/zinc/13/93/89/846139389.db2.gz RYZOCYLGIGOIHP-MRVPVSSYSA-N 0 1 261.237 0.102 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccc(-n2cncn2)nc1 ZINC001193686417 846143586 /nfs/dbraw/zinc/14/35/86/846143586.db2.gz ZAWVGXJPZONCAZ-UHFFFAOYSA-N 0 1 280.251 0.509 20 30 CCEDMN CN1CCN(c2cncc(C(=O)NCCCS)c2)CC1 ZINC001193951084 846192523 /nfs/dbraw/zinc/19/25/23/846192523.db2.gz BPWYDZNQNHYEHD-UHFFFAOYSA-N 0 1 294.424 0.883 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)[C@H](C)CC)C1 ZINC001194081476 846212962 /nfs/dbraw/zinc/21/29/62/846212962.db2.gz PXJXQOGNHSENBM-ZIAGYGMSSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCc2cccnc2)C1 ZINC001194492789 846312606 /nfs/dbraw/zinc/31/26/06/846312606.db2.gz ITUWBRMEYCNBLF-HUUCEWRRSA-N 0 1 287.363 0.199 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001195312006 846502503 /nfs/dbraw/zinc/50/25/03/846502503.db2.gz AKOZTJAMNQIRFJ-GHMZBOCLSA-N 0 1 256.346 0.006 20 30 CCEDMN N#Cc1ccc(C(=O)n2c(N)c(F)ccc2=N)c(O)c1 ZINC001195733495 846605032 /nfs/dbraw/zinc/60/50/32/846605032.db2.gz POBCDKBGVPUKSL-UHFFFAOYSA-N 0 1 272.239 0.955 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2CNC(=O)O2)c(O)c1 ZINC001195768194 846615139 /nfs/dbraw/zinc/61/51/39/846615139.db2.gz IOITVYREEIZOPI-QMMMGPOBSA-N 0 1 261.237 0.102 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cc(Cl)ncc1O ZINC001196395582 846740926 /nfs/dbraw/zinc/74/09/26/846740926.db2.gz HHXOUCKCQRZZSP-ZETCQYMHSA-N 0 1 267.672 0.805 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)CC=C)C1 ZINC001196475198 846752180 /nfs/dbraw/zinc/75/21/80/846752180.db2.gz QCNOFUBTAKZBNP-ZIAGYGMSSA-N 0 1 296.411 0.953 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@H](C)CC(N)=O)CC1 ZINC001196763183 846797585 /nfs/dbraw/zinc/79/75/85/846797585.db2.gz VNCZVEIRYZUUCK-CYBMUJFWSA-N 0 1 297.399 0.235 20 30 CCEDMN C=CCC[C@@H](C)[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ncccn2)C1 ZINC001197090089 846836639 /nfs/dbraw/zinc/83/66/39/846836639.db2.gz JNPCBQIYAGYTRX-JHJVBQTASA-N 0 1 290.367 0.606 20 30 CCEDMN C=CCC[C@H](C)[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnon2)C1 ZINC001197090038 846837063 /nfs/dbraw/zinc/83/70/63/846837063.db2.gz ILQPLUWCOCIZHB-MVWJERBFSA-N 0 1 280.328 0.199 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCn2cccc2)C1 ZINC001197188769 846852379 /nfs/dbraw/zinc/85/23/79/846852379.db2.gz FHPLAMSCKAEFFW-ZIAGYGMSSA-N 0 1 275.352 0.063 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)COC)C1 ZINC001197357952 846896029 /nfs/dbraw/zinc/89/60/29/846896029.db2.gz KQXYANDYKIOBJG-YNEHKIRRSA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC[C@H]2CCCOC2)C1 ZINC001197341165 846898570 /nfs/dbraw/zinc/89/85/70/846898570.db2.gz DYJAFZIOQSKRJC-RBSFLKMASA-N 0 1 294.395 0.378 20 30 CCEDMN CN(C)c1cccnc1C(=O)Nc1nc[nH]c1C#N ZINC001198226817 847072225 /nfs/dbraw/zinc/07/22/25/847072225.db2.gz SKVRDEREKRGZFJ-UHFFFAOYSA-N 0 1 256.269 0.995 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1[nH]nc2c1CC[C@H]2C ZINC001198450028 847113264 /nfs/dbraw/zinc/11/32/64/847113264.db2.gz LJDYYXAHOSYEPI-SVRRBLITSA-N 0 1 283.353 0.661 20 30 CCEDMN Cn1c2ccc(C(=O)Nc3nc[nH]c3C#N)cc2n(C)c1=O ZINC001198484027 847119751 /nfs/dbraw/zinc/11/97/51/847119751.db2.gz DIZBTURPNWGXDH-UHFFFAOYSA-N 0 1 296.290 0.724 20 30 CCEDMN C=CCCN[C@H](CNC(=O)C(N)=O)c1ccccc1OC ZINC001198864683 847183390 /nfs/dbraw/zinc/18/33/90/847183390.db2.gz FQRUPSAKGBZPLL-GFCCVEGCSA-N 0 1 291.351 0.504 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ncccc1Cl ZINC001251888564 847348144 /nfs/dbraw/zinc/34/81/44/847348144.db2.gz VYFPYGPKTBKXGV-SNVBAGLBSA-N 0 1 254.717 0.835 20 30 CCEDMN C#CCOC[C@H](O)CNCc1nn(C)c2ccccc12 ZINC001251894386 847397754 /nfs/dbraw/zinc/39/77/54/847397754.db2.gz SHVVCSHCWHKZEJ-GFCCVEGCSA-N 0 1 273.336 0.674 20 30 CCEDMN N#C[C@H]1CN(C(=S)NC[C@H]2CCCO2)CCC1=O ZINC001200017818 847506122 /nfs/dbraw/zinc/50/61/22/847506122.db2.gz FWRAPKYXMJTNGU-VHSXEESVSA-N 0 1 267.354 0.454 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC(NCc2cnon2)CC1 ZINC001200531712 847645958 /nfs/dbraw/zinc/64/59/58/847645958.db2.gz HVCIICIAMDVEJQ-NSHDSACASA-N 0 1 292.339 0.189 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2nc[nH]n2)CCCO1 ZINC001201679306 847801203 /nfs/dbraw/zinc/80/12/03/847801203.db2.gz VJCAVZSCRTUHQC-SNVBAGLBSA-N 0 1 299.762 0.378 20 30 CCEDMN NC(=NC(=O)c1cc2c[nH]cnc-2n1)c1ccc(F)cn1 ZINC001152996350 847891959 /nfs/dbraw/zinc/89/19/59/847891959.db2.gz HMSKLHOIPPHZEW-UHFFFAOYSA-N 0 1 284.254 0.989 20 30 CCEDMN C=CCOC[C@@H](O)CN(C)Cc1cnc(C)nc1 ZINC001252471569 847977185 /nfs/dbraw/zinc/97/71/85/847977185.db2.gz YTLCXVMHASTWFG-ZDUSSCGKSA-N 0 1 251.330 0.780 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ccc(OC)nc1OC ZINC001252490239 847987816 /nfs/dbraw/zinc/98/78/16/847987816.db2.gz FZICYGUNIIUSIM-GFCCVEGCSA-N 0 1 282.340 0.752 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(CC(=O)OCC)CC1 ZINC001252542066 847998404 /nfs/dbraw/zinc/99/84/04/847998404.db2.gz ZHZZTNGWETZTFF-ZDUSSCGKSA-N 0 1 256.346 0.104 20 30 CCEDMN C=C[C@@](C)(O)CN1CCO[C@]2(CCCN(C(C)=O)C2)C1 ZINC001252549441 848001554 /nfs/dbraw/zinc/00/15/54/848001554.db2.gz FCQGQENNYUPCKZ-HUUCEWRRSA-N 0 1 282.384 0.637 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NC)C[C@H]21 ZINC001114340987 848019461 /nfs/dbraw/zinc/01/94/61/848019461.db2.gz QLCWYKVYRYPSBF-NMKXLXIOSA-N 0 1 293.411 0.770 20 30 CCEDMN C=CCC[C@@H](O)CN1C[C@](O)(C(F)(F)F)C[C@H]1CO ZINC001252594224 848029689 /nfs/dbraw/zinc/02/96/89/848029689.db2.gz VADKMDPFLQGACR-AXFHLTTASA-N 0 1 283.290 0.674 20 30 CCEDMN Cc1nonc1C(=O)NCCNCc1ccccc1C#N ZINC001153561438 848029380 /nfs/dbraw/zinc/02/93/80/848029380.db2.gz QLBWOPWWWWRROX-UHFFFAOYSA-N 0 1 285.307 0.769 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(C)[C@H](C(=O)OC)C1 ZINC001252602102 848031168 /nfs/dbraw/zinc/03/11/68/848031168.db2.gz LWBNRKXQZJWANF-RYUDHWBXSA-N 0 1 256.346 0.103 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1oc(C(=O)[O-])cc1C ZINC000717193194 848169902 /nfs/dbraw/zinc/16/99/02/848169902.db2.gz YMAODWRWRYJOAL-UHFFFAOYSA-N 0 1 278.308 0.971 20 30 CCEDMN C=CCNC(=O)NC1(C(=O)OCC)CCN(CC)CC1 ZINC001202577155 848181337 /nfs/dbraw/zinc/18/13/37/848181337.db2.gz VHCNWUDAJSIJCN-UHFFFAOYSA-N 0 1 283.372 0.889 20 30 CCEDMN C=CCN1CC[C@]2(CCN(COCCOC)C2)C1=O ZINC001273991076 848212528 /nfs/dbraw/zinc/21/25/28/848212528.db2.gz VNRVQSBRSFAVAI-AWEZNQCLSA-N 0 1 268.357 0.717 20 30 CCEDMN C=CCC1(O)CCN(CC(=O)N2CCO[C@@H](C)C2)CC1 ZINC000717465589 848266514 /nfs/dbraw/zinc/26/65/14/848266514.db2.gz UCILZGJAGFGGPQ-ZDUSSCGKSA-N 0 1 282.384 0.637 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC/C=C\CNCc1cnn(C)c1 ZINC001274016928 848303729 /nfs/dbraw/zinc/30/37/29/848303729.db2.gz PTPWUUICXXKBMK-CFHLNLSMSA-N 0 1 290.367 0.220 20 30 CCEDMN Cn1cnnc1CN1CC[C@]2(CCN(CCC#N)C2)C1=O ZINC001274032676 848312196 /nfs/dbraw/zinc/31/21/96/848312196.db2.gz VDDWQSXVMZMBKN-AWEZNQCLSA-N 0 1 288.355 0.153 20 30 CCEDMN CCOCCN1C[C@@]2(CCN(CCCC#N)C2)OCC1=O ZINC001274039155 848314754 /nfs/dbraw/zinc/31/47/54/848314754.db2.gz SHJWRTWQUABMSC-HNNXBMFYSA-N 0 1 295.383 0.630 20 30 CCEDMN Cc1cocc1C(=O)NC/C=C\CNCC(=O)NCC#N ZINC001274276697 848437295 /nfs/dbraw/zinc/43/72/95/848437295.db2.gz YEHUKEQQMASNNB-IHWYPQMZSA-N 0 1 290.323 0.103 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)c1ncccc1C#N)C2 ZINC001274591279 848514218 /nfs/dbraw/zinc/51/42/18/848514218.db2.gz PAWLQEPPLZMELQ-PWSUYJOCSA-N 0 1 256.309 0.729 20 30 CCEDMN C=C(C)CN1CC[C@@]2(CCCN2C[C@@H](O)C(=O)OC)C1=O ZINC001274605462 848519495 /nfs/dbraw/zinc/51/94/95/848519495.db2.gz LQCCHQJZZPHTED-DOMZBBRYSA-N 0 1 296.367 0.163 20 30 CCEDMN CCCC#CC(=O)N1CCc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001274704047 848545033 /nfs/dbraw/zinc/54/50/33/848545033.db2.gz ZSDZJGCRXIDDHT-UHFFFAOYSA-N 0 1 288.351 0.800 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3[nH]ccc3C#N)C2)C1=O ZINC001274855189 848577306 /nfs/dbraw/zinc/57/73/06/848577306.db2.gz OFSBWAUHKLTMFP-INIZCTEOSA-N 0 1 282.347 0.944 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)CCCOC)CC1 ZINC001274891375 848585363 /nfs/dbraw/zinc/58/53/63/848585363.db2.gz FALZXCNBXCBYIR-UHFFFAOYSA-N 0 1 282.384 0.379 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)CCCC=C)CC1 ZINC001274923599 848591265 /nfs/dbraw/zinc/59/12/65/848591265.db2.gz IBKFIQDFNJZDED-UHFFFAOYSA-N 0 1 264.369 0.919 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CCC(=O)N1C ZINC001275135051 848646104 /nfs/dbraw/zinc/64/61/04/848646104.db2.gz FOURGIXKRPWFGB-CHWSQXEVSA-N 0 1 277.368 0.163 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)COC(C)C ZINC001275513829 848744187 /nfs/dbraw/zinc/74/41/87/848744187.db2.gz RUXYHBZQPMWPLQ-CYBMUJFWSA-N 0 1 270.373 0.498 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCc1nc(C)no1 ZINC001275613966 848775368 /nfs/dbraw/zinc/77/53/68/848775368.db2.gz HNNPWTUIZYFQKA-SNVBAGLBSA-N 0 1 264.329 0.380 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H](C)CNC(=O)CC)C1=O ZINC001275750634 848808945 /nfs/dbraw/zinc/80/89/45/848808945.db2.gz JVMDDVZIYKAKPX-VXGBXAGGSA-N 0 1 267.373 0.620 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)C1(C)CC1 ZINC001275836964 848840353 /nfs/dbraw/zinc/84/03/53/848840353.db2.gz YPEYHFMGZWEKOO-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H](CC1CCC1)NC(C)=O ZINC001275914014 848864642 /nfs/dbraw/zinc/86/46/42/848864642.db2.gz WYRWJTJAEJTWRC-SWLSCSKDSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1nc2nccc(C)n2n1 ZINC001275987437 848881858 /nfs/dbraw/zinc/88/18/58/848881858.db2.gz SCWUIJDVJIWHHZ-NSHDSACASA-N 0 1 286.339 0.116 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CC(=O)N(CC)C1)C2 ZINC001095492193 848950822 /nfs/dbraw/zinc/95/08/22/848950822.db2.gz VWJSLZISYBCIEY-MQYQWHSLSA-N 0 1 291.395 0.762 20 30 CCEDMN C#CCNC(=O)CN1CCCCC[C@@H]1CNC(=O)CC ZINC001276276912 848982891 /nfs/dbraw/zinc/98/28/91/848982891.db2.gz FUXFGGWCUXCFNB-CYBMUJFWSA-N 0 1 279.384 0.507 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)COCCOC ZINC001276291830 848986259 /nfs/dbraw/zinc/98/62/59/848986259.db2.gz ZNKGUZIPFRGPEI-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cnn(C)n1)C2 ZINC001111028438 849048660 /nfs/dbraw/zinc/04/86/60/849048660.db2.gz ZAWSGEKARJSJKZ-MCIONIFRSA-N 0 1 287.367 0.102 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccncc1 ZINC001114513324 849230019 /nfs/dbraw/zinc/23/00/19/849230019.db2.gz RDYNSMASTMYMHI-FOLVSLTJSA-N 0 1 269.348 0.694 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NC)C[C@H]21 ZINC001114686886 849363338 /nfs/dbraw/zinc/36/33/38/849363338.db2.gz UCTRFZMBQIFGQX-WYUUTHIRSA-N 0 1 279.384 0.380 20 30 CCEDMN C#CCN1CCN([C@H](C)CCC(=O)OCC)CC1 ZINC001258497986 849369055 /nfs/dbraw/zinc/36/90/55/849369055.db2.gz FTSVZUHKSMQBGC-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)Cc2cc(C)on2)C1 ZINC001276360144 849448110 /nfs/dbraw/zinc/44/81/10/849448110.db2.gz KNPOTPDVYTXCMH-LBPRGKRZSA-N 0 1 275.352 0.985 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NCC1(C(=O)[O-])CCC1 ZINC000380759217 849691400 /nfs/dbraw/zinc/69/14/00/849691400.db2.gz DAKFZJWKTNEKOG-UHFFFAOYSA-N 0 1 281.356 0.496 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)c3cc(C)n[nH]3)C2)C1 ZINC001041111787 849762600 /nfs/dbraw/zinc/76/26/00/849762600.db2.gz QWWQFCATKWDPIS-HNNXBMFYSA-N 0 1 272.352 0.889 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001038034514 849777910 /nfs/dbraw/zinc/77/79/10/849777910.db2.gz AOBBGESTSCOWFL-JTQLQIEISA-N 0 1 260.297 0.010 20 30 CCEDMN C[C@H](O)CN1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038171709 849806144 /nfs/dbraw/zinc/80/61/44/849806144.db2.gz BLURQDKDBFKOLW-ONGXEEELSA-N 0 1 262.313 0.071 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cncc2[nH]cnc21 ZINC001038279360 849836640 /nfs/dbraw/zinc/83/66/40/849836640.db2.gz FEQNZZIXSAHEJE-NSHDSACASA-N 0 1 283.335 0.785 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cc(=O)n(C)cn1 ZINC001038362815 849862287 /nfs/dbraw/zinc/86/22/87/849862287.db2.gz GBONAJFJBUBRCS-LLVKDONJSA-N 0 1 276.340 0.161 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1c(C)ncn1C ZINC001038365305 849865810 /nfs/dbraw/zinc/86/58/10/849865810.db2.gz FNDIITIGQCJUQV-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)NC[C@H]1CCN1CC#N)C2 ZINC001038432398 849896672 /nfs/dbraw/zinc/89/66/72/849896672.db2.gz VDVBWSFLBMQMNG-NWDGAFQWSA-N 0 1 287.367 0.537 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001038444815 849902478 /nfs/dbraw/zinc/90/24/78/849902478.db2.gz GBIGBYWEVAQYSI-LLVKDONJSA-N 0 1 296.330 0.856 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1ccn2cncc2c1 ZINC001038463407 849911921 /nfs/dbraw/zinc/91/19/21/849911921.db2.gz UOHKFTJUWRFHSR-GFCCVEGCSA-N 0 1 269.308 0.662 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc2nnnn2c1 ZINC001038520028 849930052 /nfs/dbraw/zinc/93/00/52/849930052.db2.gz ZRWIOQZGKVSVKC-LBPRGKRZSA-N 0 1 286.339 0.505 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1nc2ncccn2n1 ZINC001038829113 850035422 /nfs/dbraw/zinc/03/54/22/850035422.db2.gz JNULYECUPLGTPE-LLVKDONJSA-N 0 1 286.339 0.505 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2[C@H](C)COC)nc1 ZINC001038929653 850086529 /nfs/dbraw/zinc/08/65/29/850086529.db2.gz CRUCRUZGYFMARX-OCCSQVGLSA-N 0 1 287.363 0.902 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1nn(CC)nc1C ZINC001039096720 850154569 /nfs/dbraw/zinc/15/45/69/850154569.db2.gz KRLAXXKHFXVNHP-GFCCVEGCSA-N 0 1 277.372 0.987 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COCCO1 ZINC001039352440 850177510 /nfs/dbraw/zinc/17/75/10/850177510.db2.gz RZBQKSQWLREOEO-HZSPNIEDSA-N 0 1 280.368 0.653 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC1OCCCO1 ZINC001039364850 850181072 /nfs/dbraw/zinc/18/10/72/850181072.db2.gz JSMCYMLRLAUWDY-KGLIPLIRSA-N 0 1 292.379 0.838 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC1(O)CCC1 ZINC001039371520 850183284 /nfs/dbraw/zinc/18/32/84/850183284.db2.gz NGFHNSGVZIWCMC-KGLIPLIRSA-N 0 1 276.380 0.990 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)NC1 ZINC001039398087 850183863 /nfs/dbraw/zinc/18/38/63/850183863.db2.gz KYWXVFAWJROMJS-MCIONIFRSA-N 0 1 291.395 0.764 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](Nc2ncnc3[nH]cnc32)[C@@H]1C ZINC001040073964 850262671 /nfs/dbraw/zinc/26/26/71/850262671.db2.gz IPCGTEMPRFVSNM-UTLUCORTSA-N 0 1 299.338 0.914 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001041535355 850462504 /nfs/dbraw/zinc/46/25/04/850462504.db2.gz GKUIBHCDYLPZKL-INIZCTEOSA-N 0 1 293.411 0.998 20 30 CCEDMN N#CCN1CC[C@]2(CCN(C(=O)CCc3c[nH]nn3)C2)C1 ZINC001041696954 850485471 /nfs/dbraw/zinc/48/54/71/850485471.db2.gz ZYBMQDKKXSDHNO-AWEZNQCLSA-N 0 1 288.355 0.185 20 30 CCEDMN N#CCN1CC[C@]2(CCN(C(=O)CCc3cnn[nH]3)C2)C1 ZINC001041696954 850485481 /nfs/dbraw/zinc/48/54/81/850485481.db2.gz ZYBMQDKKXSDHNO-AWEZNQCLSA-N 0 1 288.355 0.185 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)C3CCOCC3)C[C@H]21 ZINC001041892509 850523755 /nfs/dbraw/zinc/52/37/55/850523755.db2.gz XTCCCXHVVJHARG-DZGCQCFKSA-N 0 1 276.380 0.969 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H]3CCN(CC#N)[C@@H]3C2)[nH]1 ZINC001041978841 850547074 /nfs/dbraw/zinc/54/70/74/850547074.db2.gz PPZPFMRPCDQAFP-WCQYABFASA-N 0 1 273.340 0.778 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3ncc[nH]3)C[C@@H]21 ZINC001042038021 850564974 /nfs/dbraw/zinc/56/49/74/850564974.db2.gz CHMURSPXPCCQTF-OLZOCXBDSA-N 0 1 272.352 0.969 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3c(C)ncn3C)C[C@@H]21 ZINC001042105580 850576081 /nfs/dbraw/zinc/57/60/81/850576081.db2.gz VJDXKZLFWATABV-KGLIPLIRSA-N 0 1 286.379 0.898 20 30 CCEDMN C[C@@H]1CN(c2ccncc2C#N)C[C@H]1NC(=O)c1ncn[nH]1 ZINC001042130961 850581816 /nfs/dbraw/zinc/58/18/16/850581816.db2.gz ZJCOAJVUOCWISL-MWLCHTKSSA-N 0 1 297.322 0.326 20 30 CCEDMN C[C@@H]1CN(c2ccncc2C#N)C[C@H]1NC(=O)c1nc[nH]n1 ZINC001042130961 850581819 /nfs/dbraw/zinc/58/18/19/850581819.db2.gz ZJCOAJVUOCWISL-MWLCHTKSSA-N 0 1 297.322 0.326 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnc(C)n3C)C[C@H]21 ZINC001042196043 850592296 /nfs/dbraw/zinc/59/22/96/850592296.db2.gz GICJITKRYSYDRM-UKRRQHHQSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cc3nonc3C)C[C@@H]21 ZINC001042294287 850608861 /nfs/dbraw/zinc/60/88/61/850608861.db2.gz MPFRBAQBGIZCLR-JSGCOSHPSA-N 0 1 288.351 0.477 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)CN2CCCC2=O)C1 ZINC001042462982 850652663 /nfs/dbraw/zinc/65/26/63/850652663.db2.gz PBBLBFDXXQBTHD-UHFFFAOYSA-N 0 1 279.384 0.718 20 30 CCEDMN CN(C(=O)c1cc(C#N)c[nH]1)C1CN(C[C@H]2CCOC2)C1 ZINC001042590172 850705055 /nfs/dbraw/zinc/70/50/55/850705055.db2.gz WSLLILJDYJRWPL-LLVKDONJSA-N 0 1 288.351 0.679 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cc(-c3ccn(C)c3)[nH]n2)C1 ZINC001042888463 850774446 /nfs/dbraw/zinc/77/44/46/850774446.db2.gz OMPNYLCUCPSWQP-UHFFFAOYSA-N 0 1 297.362 0.805 20 30 CCEDMN C=CCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001042973951 850790633 /nfs/dbraw/zinc/79/06/33/850790633.db2.gz OJSHBLRVNLMQQP-VXGBXAGGSA-N 0 1 260.341 0.842 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)c2cn[nH]c(=O)c2)C1 ZINC001043004858 850795068 /nfs/dbraw/zinc/79/50/68/850795068.db2.gz RPFMEOSJDZHIKT-UHFFFAOYSA-N 0 1 262.313 0.515 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2nnc[nH]2)C1 ZINC001044163842 851030172 /nfs/dbraw/zinc/03/01/72/851030172.db2.gz ZPAHOHMYEDBIJV-UHFFFAOYSA-N 0 1 263.345 0.456 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](NCC#N)C[C@H]2C)n[nH]1 ZINC001044498343 851113108 /nfs/dbraw/zinc/11/31/08/851113108.db2.gz NQIGRYSQHLTWQC-GHMZBOCLSA-N 0 1 261.329 0.824 20 30 CCEDMN C[C@H]1C[C@@H](NCC#N)CCN1C(=O)C1=NC(=O)N(C)C1 ZINC001044732220 851150243 /nfs/dbraw/zinc/15/02/43/851150243.db2.gz ASBJSIHQXNHEAS-UWVGGRQHSA-N 0 1 277.328 0.232 20 30 CCEDMN CC#CCN1CCC(C)(NC(=O)c2cnn(C)c2N)CC1 ZINC001045417045 851254665 /nfs/dbraw/zinc/25/46/65/851254665.db2.gz SFIKIEIMNRXLPZ-UHFFFAOYSA-N 0 1 289.383 0.610 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCCCC(=O)N2)CC1 ZINC001045552101 851277144 /nfs/dbraw/zinc/27/71/44/851277144.db2.gz SZTGKAUGZRLIDY-CYBMUJFWSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2nonc2C)CC1 ZINC001045652212 851293096 /nfs/dbraw/zinc/29/30/96/851293096.db2.gz QLZZLHXSRQPACN-UHFFFAOYSA-N 0 1 262.313 0.596 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@](C)(NC(C)=O)C2)C1=O ZINC001046091523 851368030 /nfs/dbraw/zinc/36/80/30/851368030.db2.gz RUIROIITVQYASV-TZMCWYRMSA-N 0 1 265.357 0.374 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2COCCO2)C1 ZINC001046162243 851404245 /nfs/dbraw/zinc/40/42/45/851404245.db2.gz GQWJBDPLTOJVCB-GXTWGEPZSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@](C)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001046241138 851436194 /nfs/dbraw/zinc/43/61/94/851436194.db2.gz ZBVZMRDKUBVZPE-CQSZACIVSA-N 0 1 276.340 0.641 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cccn3nnnc23)C1 ZINC001046343000 851470226 /nfs/dbraw/zinc/47/02/26/851470226.db2.gz YZGIXHFWMHQOIK-AWEZNQCLSA-N 0 1 286.339 0.505 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCCNC2=O)C1 ZINC001046397967 851487535 /nfs/dbraw/zinc/48/75/35/851487535.db2.gz PUPSOEQJFISPMF-WFASDCNBSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001046474656 851520868 /nfs/dbraw/zinc/52/08/68/851520868.db2.gz URHIQJCNLIQFFR-AWEZNQCLSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@](C)(NC(=O)c2c(C)cnn2C)C1 ZINC001046552043 851542977 /nfs/dbraw/zinc/54/29/77/851542977.db2.gz QRWBYQHBNPIEMZ-OAHLLOKOSA-N 0 1 274.368 0.946 20 30 CCEDMN C[C@]1(NC(=O)[C@@H]2CCCc3n[nH]nc32)CCN(CC#N)C1 ZINC001046576947 851553306 /nfs/dbraw/zinc/55/33/06/851553306.db2.gz UITGWNDMBPBDFA-YGRLFVJLSA-N 0 1 288.355 0.329 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001046620472 851568774 /nfs/dbraw/zinc/56/87/74/851568774.db2.gz BGQGMNATOLEZKV-WOSRLPQWSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cnc(OC)nc2)C1 ZINC001046746476 851603534 /nfs/dbraw/zinc/60/35/34/851603534.db2.gz KUDIZFXVDUZHHB-AWEZNQCLSA-N 0 1 276.340 0.865 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001046778693 851611485 /nfs/dbraw/zinc/61/14/85/851611485.db2.gz KDICJSLESJDXHV-FZMZJTMJSA-N 0 1 265.357 0.422 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001046808768 851619247 /nfs/dbraw/zinc/61/92/47/851619247.db2.gz AIWITPUFPQFVJG-CJNGLKHVSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001046812436 851620034 /nfs/dbraw/zinc/62/00/34/851620034.db2.gz XIOZDULHUZQSPS-DOMZBBRYSA-N 0 1 277.368 0.211 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)c3cnn[nH]3)C2)nn1 ZINC001047087398 851668350 /nfs/dbraw/zinc/66/83/50/851668350.db2.gz XAYUVOWGWXMEQG-CZMCAQCFSA-N 0 1 298.310 0.087 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccccn2)C1 ZINC001047280257 851694455 /nfs/dbraw/zinc/69/44/55/851694455.db2.gz UNBQFIICHFCXSG-KBPBESRZSA-N 0 1 273.336 0.222 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cnn(C)c2C)C1 ZINC001047305286 851705513 /nfs/dbraw/zinc/70/55/13/851705513.db2.gz RHADTRNXTYHTPA-KBPBESRZSA-N 0 1 292.383 0.422 20 30 CCEDMN C=CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)nn2C)C1 ZINC001047318271 851713386 /nfs/dbraw/zinc/71/33/86/851713386.db2.gz MDTHPRPBFMCIMQ-KBPBESRZSA-N 0 1 292.383 0.422 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2=COCCC2)C1 ZINC001047319928 851715097 /nfs/dbraw/zinc/71/50/97/851715097.db2.gz KXHGPMSXAJWROZ-KBPBESRZSA-N 0 1 278.352 0.208 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccoc2)C1 ZINC001047358440 851735870 /nfs/dbraw/zinc/73/58/70/851735870.db2.gz WTMZIJFYPFNGPR-STQMWFEESA-N 0 1 264.325 0.512 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cnnc(C)c2)C1 ZINC001047362579 851738455 /nfs/dbraw/zinc/73/84/55/851738455.db2.gz CCUTUQWDQGCKGM-KBPBESRZSA-N 0 1 290.367 0.478 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CC2(F)F)C1 ZINC001047375837 851742010 /nfs/dbraw/zinc/74/20/10/851742010.db2.gz JJZNZXWUSAYVGG-VWYCJHECSA-N 0 1 272.295 0.168 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2(C)CC2)C1 ZINC001047389793 851748234 /nfs/dbraw/zinc/74/82/34/851748234.db2.gz MRLDZNZZZQTSAE-RYUDHWBXSA-N 0 1 250.342 0.313 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccn(C)c2C)C1 ZINC001047519767 851798513 /nfs/dbraw/zinc/79/85/13/851798513.db2.gz PUYPYEXGJOXXOS-GJZGRUSLSA-N 0 1 289.379 0.474 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2n[nH]cc2F)C1 ZINC001047518624 851799766 /nfs/dbraw/zinc/79/97/66/851799766.db2.gz SVFUERZCBRFWQM-QWRGUYRKSA-N 0 1 282.319 0.242 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(C)[C@H]1CN(CC(=C)C)C[C@@H]1O ZINC001047533531 851804747 /nfs/dbraw/zinc/80/47/47/851804747.db2.gz UNBAUJMYZNYVJB-QPSCCSFWSA-N 0 1 294.395 0.657 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(OC)o2)C1 ZINC001047576137 851823982 /nfs/dbraw/zinc/82/39/82/851823982.db2.gz VIKKLEWEJWGODB-RYUDHWBXSA-N 0 1 294.351 0.981 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccnc(C)n2)C1 ZINC001047633336 851842239 /nfs/dbraw/zinc/84/22/39/851842239.db2.gz OTGMHUUEZMZWCB-KBPBESRZSA-N 0 1 290.367 0.478 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001096368691 851963067 /nfs/dbraw/zinc/96/30/67/851963067.db2.gz JTHKJEGAYIXAAY-NSHDSACASA-N 0 1 298.350 0.707 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)c3cc(C(F)F)[nH]n3)C[C@@H]2C1 ZINC001049051001 852149396 /nfs/dbraw/zinc/14/93/96/852149396.db2.gz BZMNHSGQQIUNDL-DTORHVGOSA-N 0 1 295.293 0.875 20 30 CCEDMN C#CC[N@H+]1C[C@H]2CN(C(=O)CCN3CCCC3=O)C[C@H]2C1 ZINC001049217779 852196441 /nfs/dbraw/zinc/19/64/41/852196441.db2.gz DFXDTRNJSBSMIW-OKILXGFUSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)CN1CCCC1=O ZINC001049317679 852226314 /nfs/dbraw/zinc/22/63/14/852226314.db2.gz DNHCCCQFCRMYSL-UONOGXRCSA-N 0 1 289.379 0.307 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1cnn(C)c1 ZINC001049375616 852249049 /nfs/dbraw/zinc/24/90/49/852249049.db2.gz YBJDCYDINSHQSW-GJZGRUSLSA-N 0 1 286.379 0.661 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1ccccc1=O)C2 ZINC001096517375 852259499 /nfs/dbraw/zinc/25/94/99/852259499.db2.gz HXTJHWFOKOYSPZ-RDBSUJKOSA-N 0 1 287.363 0.756 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cn(C)nn1 ZINC001049461956 852288263 /nfs/dbraw/zinc/28/82/63/852288263.db2.gz MDSVELSJWAUFRF-QWHCGFSZSA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccnnc1 ZINC001049750671 852366540 /nfs/dbraw/zinc/36/65/40/852366540.db2.gz ZKRIHDIDVLDXII-KBPBESRZSA-N 0 1 270.336 0.789 20 30 CCEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1nc[nH]n1 ZINC001049790178 852373576 /nfs/dbraw/zinc/37/35/76/852373576.db2.gz AKQNDHUQGUNIGY-NEPJUHHUSA-N 0 1 273.340 0.507 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cn[nH]c3)[C@@H]2C1 ZINC001049985882 852412875 /nfs/dbraw/zinc/41/28/75/852412875.db2.gz RAQWKKAGOMURSQ-WCQYABFASA-N 0 1 258.325 0.579 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@H]3CN(CC#N)C[C@H]32)n[nH]1 ZINC001049987658 852414946 /nfs/dbraw/zinc/41/49/46/852414946.db2.gz PVMROLHOQZBBOB-WCQYABFASA-N 0 1 273.340 0.778 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cc(C)nn3C)[C@@H]2C1 ZINC001049991918 852417728 /nfs/dbraw/zinc/41/77/28/852417728.db2.gz YPDHXRGFNYIIFD-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN N#Cc1cccnc1N[C@@H](CNC(=O)c1ncn[nH]1)C1CC1 ZINC001096704804 852427440 /nfs/dbraw/zinc/42/74/40/852427440.db2.gz AAAZSWWCMLWXPS-NSHDSACASA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cccnc1N[C@@H](CNC(=O)c1nc[nH]n1)C1CC1 ZINC001096704804 852427444 /nfs/dbraw/zinc/42/74/44/852427444.db2.gz AAAZSWWCMLWXPS-NSHDSACASA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1=COCCO1)C2 ZINC001096752156 852436023 /nfs/dbraw/zinc/43/60/23/852436023.db2.gz NTJHLWBCBZALOB-UTUOFQBUSA-N 0 1 264.325 0.782 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCC(=O)N1)C2 ZINC001096886333 852461767 /nfs/dbraw/zinc/46/17/67/852461767.db2.gz KCHASXPIBXPQKN-LPWJVIDDSA-N 0 1 275.352 0.010 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCNC1=O)C2 ZINC001097211385 852514992 /nfs/dbraw/zinc/51/49/92/852514992.db2.gz PKUQXCFUJIIFKH-LOWDOPEQSA-N 0 1 277.368 0.420 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCNC1=O)C2 ZINC001097263791 852519428 /nfs/dbraw/zinc/51/94/28/852519428.db2.gz BLHAVOQHFQOJNY-XQHKEYJVSA-N 0 1 277.368 0.420 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)Nc1ncnc2[nH]cnc21 ZINC001097719740 852588833 /nfs/dbraw/zinc/58/88/33/852588833.db2.gz SUTYVSQMSIBIAG-SECBINFHSA-N 0 1 290.327 0.472 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H]1CCCN1C)Nc1ccc(C#N)nc1 ZINC001097730067 852592900 /nfs/dbraw/zinc/59/29/00/852592900.db2.gz QRZITDOLTMEYKZ-FZMZJTMJSA-N 0 1 287.367 0.964 20 30 CCEDMN Cc1cc(CC(=O)NC[C@@H](C)Nc2ccncc2C#N)[nH]n1 ZINC001097738142 852596649 /nfs/dbraw/zinc/59/66/49/852596649.db2.gz GCOQPGRYPFUWMC-LLVKDONJSA-N 0 1 298.350 0.566 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H](C)Nc2cncc(C#N)n2)c1C ZINC001097760941 852601202 /nfs/dbraw/zinc/60/12/02/852601202.db2.gz XTPQGVXHLIQHCX-MRVPVSSYSA-N 0 1 299.338 0.919 20 30 CCEDMN C#CCCN1CC2(C1)C[C@H](NC(=O)[C@@H]1CCCN1C)CO2 ZINC001053758560 852808885 /nfs/dbraw/zinc/80/88/85/852808885.db2.gz URNFUQDNPDSATK-KBPBESRZSA-N 0 1 291.395 0.063 20 30 CCEDMN N#CCN1CC[C@@]2(C1)CCCN(C(=O)Cc1ccn[nH]1)C2 ZINC001054107721 852883923 /nfs/dbraw/zinc/88/39/23/852883923.db2.gz HDXKWLGZRNTNPA-OAHLLOKOSA-N 0 1 287.367 0.790 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(N)=O)C[C@H]1C ZINC001054502667 852959703 /nfs/dbraw/zinc/95/97/03/852959703.db2.gz XCLPLBIITJBABA-VXNVDRBHSA-N 0 1 259.737 0.051 20 30 CCEDMN C[C@@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)C[C@H]1NCC#N ZINC001054697371 852998384 /nfs/dbraw/zinc/99/83/84/852998384.db2.gz YGAIFZRPWRMZLH-BXKDBHETSA-N 0 1 284.323 0.532 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccncn3)[C@@H]2C1 ZINC001050166587 853328115 /nfs/dbraw/zinc/32/81/15/853328115.db2.gz ZLCGNAAFWPMZJZ-GXTWGEPZSA-N 0 1 270.336 0.646 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001050268389 853349368 /nfs/dbraw/zinc/34/93/68/853349368.db2.gz LBJKFOOEZWLGJX-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001050268389 853349372 /nfs/dbraw/zinc/34/93/72/853349372.db2.gz LBJKFOOEZWLGJX-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)CCN1CCOC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001051174985 853539596 /nfs/dbraw/zinc/53/95/96/853539596.db2.gz NGHCKLKKLACICX-NSHDSACASA-N 0 1 279.344 0.202 20 30 CCEDMN C=C(C)CCN1CCOC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC001051174985 853539600 /nfs/dbraw/zinc/53/96/00/853539600.db2.gz NGHCKLKKLACICX-NSHDSACASA-N 0 1 279.344 0.202 20 30 CCEDMN Cc1ncc(CNC[C@@H]2CN(C(=O)[C@@H](C)C#N)CCO2)o1 ZINC001051495230 853596592 /nfs/dbraw/zinc/59/65/92/853596592.db2.gz SLYHYHBIQGXXHJ-GXFFZTMASA-N 0 1 292.339 0.460 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@H]1CCCN(CC#N)CC1 ZINC001052499106 853759140 /nfs/dbraw/zinc/75/91/40/853759140.db2.gz NWVVIHPKQNKMTI-UONOGXRCSA-N 0 1 278.400 0.965 20 30 CCEDMN C[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001058314100 853822861 /nfs/dbraw/zinc/82/28/61/853822861.db2.gz BQCNQRFPTOZCSC-HIFRSBDPSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001058314100 853822867 /nfs/dbraw/zinc/82/28/67/853822867.db2.gz BQCNQRFPTOZCSC-HIFRSBDPSA-N 0 1 299.378 0.742 20 30 CCEDMN C[C@@H]1C[C@@H](Nc2nccnc2C#N)CN1C(=O)c1ccn[nH]1 ZINC001069018042 853939456 /nfs/dbraw/zinc/93/94/56/853939456.db2.gz NBAMXKZYENSPKG-NXEZZACHSA-N 0 1 297.322 0.786 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@H]2C[C@@H]2C)[C@@H](n2ccnn2)C1 ZINC001070001868 854015380 /nfs/dbraw/zinc/01/53/80/854015380.db2.gz JAEMECDZAQKKMJ-FQUUOJAGSA-N 0 1 289.383 0.852 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001070081163 854023941 /nfs/dbraw/zinc/02/39/41/854023941.db2.gz KCRNYSJQWDPJMW-OLZOCXBDSA-N 0 1 289.383 0.689 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H]2[C@@H]3CCC[C@@H]32)C[C@@H]1n1ccnn1 ZINC001070168758 854032327 /nfs/dbraw/zinc/03/23/27/854032327.db2.gz UQNSSTQNBSSRRW-SEBNEYGDSA-N 0 1 299.378 0.299 20 30 CCEDMN C=C(C)CN1C[C@@H](OC)C[C@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070357661 854048699 /nfs/dbraw/zinc/04/86/99/854048699.db2.gz ZCJYIPVQDVUOQA-RYUDHWBXSA-N 0 1 293.371 0.779 20 30 CCEDMN C=C(C)CN1C[C@@H](OC)C[C@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070357661 854048706 /nfs/dbraw/zinc/04/87/06/854048706.db2.gz ZCJYIPVQDVUOQA-RYUDHWBXSA-N 0 1 293.371 0.779 20 30 CCEDMN C#CCN1CCC([C@@H]2NC(=O)CC[C@H]2NC(=O)CC)CC1 ZINC001070361217 854050043 /nfs/dbraw/zinc/05/00/43/854050043.db2.gz NWPYDVOSRYEFPD-CJNGLKHVSA-N 0 1 291.395 0.505 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001070702806 854089179 /nfs/dbraw/zinc/08/91/79/854089179.db2.gz RLOYXEMAFMKFND-LLVKDONJSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)CSC)C[C@@H](C)O2 ZINC001071132238 854127352 /nfs/dbraw/zinc/12/73/52/854127352.db2.gz SVUPKYDTSGTMCM-TZMCWYRMSA-N 0 1 282.409 0.674 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)c1cn[nH]c1)C[C@H](C)O2 ZINC001071139394 854129272 /nfs/dbraw/zinc/12/92/72/854129272.db2.gz UGRBXIUZEIVXTB-SWLSCSKDSA-N 0 1 290.367 0.901 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@@H]1C ZINC001071326308 854158480 /nfs/dbraw/zinc/15/84/80/854158480.db2.gz DURRGVXYJVGSFL-QWRGUYRKSA-N 0 1 274.324 0.398 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cncnc2)CC[C@@H]1C ZINC001071470924 854212643 /nfs/dbraw/zinc/21/26/43/854212643.db2.gz QDYRPZGGFAXZKP-JSGCOSHPSA-N 0 1 272.352 0.621 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ccn(C)n2)CC[C@@H]1C ZINC001071505359 854223871 /nfs/dbraw/zinc/22/38/71/854223871.db2.gz VADCLEHVUIPLJE-GXTWGEPZSA-N 0 1 274.368 0.565 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cnc[nH]c2=O)CC[C@H]1C ZINC001071600347 854246715 /nfs/dbraw/zinc/24/67/15/854246715.db2.gz KGWCZIBAQCVUGB-NEPJUHHUSA-N 0 1 288.351 0.788 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C ZINC001071652299 854257906 /nfs/dbraw/zinc/25/79/06/854257906.db2.gz SQOWGEWYNXCMKA-ZYHUDNBSSA-N 0 1 292.339 0.189 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ccc(=O)[nH]c2)CC[C@H]1C ZINC001071693186 854264977 /nfs/dbraw/zinc/26/49/77/854264977.db2.gz MZNLUMMIULKQHM-TZMCWYRMSA-N 0 1 287.363 0.932 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001071790228 854292633 /nfs/dbraw/zinc/29/26/33/854292633.db2.gz FDFLBYVMYVNNPI-MNOVXSKESA-N 0 1 291.355 0.277 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CC[C@H](C)N(CCO)C2)cn1 ZINC001072001749 854333740 /nfs/dbraw/zinc/33/37/40/854333740.db2.gz GHOGAFXSWIUTER-SWLSCSKDSA-N 0 1 287.363 0.638 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H](NC(=O)c2cnn[nH]2)[C@@H](C)C1 ZINC001072056616 854340922 /nfs/dbraw/zinc/34/09/22/854340922.db2.gz MPMYMECVIJBUGZ-JQWIXIFHSA-N 0 1 289.339 0.041 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cnn(C)c3)C2)C1 ZINC001072453053 854390144 /nfs/dbraw/zinc/39/01/44/854390144.db2.gz VNDDFJGFJADTAU-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3c[nH]c(C)n3)C2)C1 ZINC001072548159 854412539 /nfs/dbraw/zinc/41/25/39/854412539.db2.gz RUAQCSSBCHWIPB-UHFFFAOYSA-N 0 1 258.325 0.499 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@](C)(O)C3CC3)C2)C1 ZINC001072640128 854436568 /nfs/dbraw/zinc/43/65/68/854436568.db2.gz OUGRWLSXBQNEMW-HNNXBMFYSA-N 0 1 276.380 0.705 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3nccc(C)n3)C2)C1 ZINC001072686657 854446849 /nfs/dbraw/zinc/44/68/49/854446849.db2.gz SGYCJOVTDJUMHN-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cccc(C(N)=O)c3)C2)C1 ZINC001072860811 854481820 /nfs/dbraw/zinc/48/18/20/854481820.db2.gz IJKHCDLEIZQVRW-UHFFFAOYSA-N 0 1 297.358 0.567 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](OC)C(C)C)C2)C1 ZINC001072948202 854500396 /nfs/dbraw/zinc/50/03/96/854500396.db2.gz BUGVRIAVJQAVRN-ZDUSSCGKSA-N 0 1 264.369 0.825 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cc(C)n[nH]2)C1 ZINC001073546025 854585683 /nfs/dbraw/zinc/58/56/83/854585683.db2.gz XPULMKHUBJWORN-LBPRGKRZSA-N 0 1 278.356 0.725 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccnn2C)C1 ZINC001073554897 854591999 /nfs/dbraw/zinc/59/19/99/854591999.db2.gz QHTJGOWLSGEKJQ-GFCCVEGCSA-N 0 1 278.356 0.427 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C2=CCOCC2)C1 ZINC001073584351 854603833 /nfs/dbraw/zinc/60/38/33/854603833.db2.gz RHZJECHTUSLKGU-CQSZACIVSA-N 0 1 280.368 0.726 20 30 CCEDMN C=C(C)CN1CCCO[C@@H](CNC(=O)c2cn(C)nn2)C1 ZINC001073587239 854607297 /nfs/dbraw/zinc/60/72/97/854607297.db2.gz KJHCIKVNYORPRN-LBPRGKRZSA-N 0 1 293.371 0.212 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@H]1CN(CC#N)CCCO1 ZINC001073663274 854626562 /nfs/dbraw/zinc/62/65/62/854626562.db2.gz XUXBIXFEQVGUKT-UONOGXRCSA-N 0 1 294.399 0.201 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001276390145 854771816 /nfs/dbraw/zinc/77/18/16/854771816.db2.gz XMFMVJLIVLKOBK-NSHDSACASA-N 0 1 289.383 0.778 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C[C@@H]1C ZINC001075104077 854812199 /nfs/dbraw/zinc/81/21/99/854812199.db2.gz PITPDXHUGWZSQP-WDEREUQCSA-N 0 1 291.355 0.880 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C[C@@H]1C ZINC001075104077 854812201 /nfs/dbraw/zinc/81/22/01/854812201.db2.gz PITPDXHUGWZSQP-WDEREUQCSA-N 0 1 291.355 0.880 20 30 CCEDMN C[C@H](CNC(=O)CCc1cnc[nH]1)Nc1cnc(C#N)cn1 ZINC001098344843 854859257 /nfs/dbraw/zinc/85/92/57/854859257.db2.gz WXAZQVAAQFRKIK-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN1CCCC1)C2 ZINC001098401878 854863445 /nfs/dbraw/zinc/86/34/45/854863445.db2.gz UBNWDIXTJCSTPR-UPJWGTAASA-N 0 1 262.357 0.327 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC(N)=O ZINC001098993014 854903433 /nfs/dbraw/zinc/90/34/33/854903433.db2.gz KGJLLLPQLPCEKZ-LLVKDONJSA-N 0 1 265.357 0.102 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccnnc2)C1 ZINC001099046615 854909490 /nfs/dbraw/zinc/90/94/90/854909490.db2.gz ODENYMIARATEFM-ZFWWWQNUSA-N 0 1 270.336 0.694 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@@H](NC(=O)c2ccco2)[C@@H](O)C1 ZINC001099625188 854947303 /nfs/dbraw/zinc/94/73/03/854947303.db2.gz XRJLZPIUCUWABH-OLZOCXBDSA-N 0 1 294.351 0.647 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ccco2)[C@@H](O)C1 ZINC001099625188 854947311 /nfs/dbraw/zinc/94/73/11/854947311.db2.gz XRJLZPIUCUWABH-OLZOCXBDSA-N 0 1 294.351 0.647 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2ccoc2)[C@@H](O)C1 ZINC001099777748 854992703 /nfs/dbraw/zinc/99/27/03/854992703.db2.gz BRMTXRSATBXTKS-CABCVRRESA-N 0 1 290.363 0.787 20 30 CCEDMN C#CCCCCC(=O)N[C@H]1CCN(CC=C)C[C@@H]1O ZINC001099787646 854995602 /nfs/dbraw/zinc/99/56/02/854995602.db2.gz YQWQBNWJTKKFJK-KBPBESRZSA-N 0 1 264.369 0.917 20 30 CCEDMN CC(C)OCCN1CC[C@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001099826477 855004398 /nfs/dbraw/zinc/00/43/98/855004398.db2.gz LITOOPVACMRLAW-GJZGRUSLSA-N 0 1 294.395 0.376 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(COCC)CC2)[C@@H](O)C1 ZINC001099897626 855023444 /nfs/dbraw/zinc/02/34/44/855023444.db2.gz VSXISRFDLSXYJA-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN CN(CCNC(=O)c1[nH]ncc1F)c1ccc(C#N)nc1 ZINC001100310688 855145177 /nfs/dbraw/zinc/14/51/77/855145177.db2.gz DRPFBIBIMBVLIX-UHFFFAOYSA-N 0 1 288.286 0.682 20 30 CCEDMN CCN(CCNC(=O)c1cnn[nH]1)c1ccc(C#N)nc1 ZINC001100762712 855219816 /nfs/dbraw/zinc/21/98/16/855219816.db2.gz KNYUQGBUJUWQJL-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CN(C(=O)C(C)C)C[C@]2(C)C1 ZINC001101127706 855266389 /nfs/dbraw/zinc/26/63/89/855266389.db2.gz HUXGZMMSZHWQET-CJNGLKHVSA-N 0 1 293.411 0.725 20 30 CCEDMN C[C@@]12CN(CCF)C[C@@H]1CN(C(=O)CSCC#N)C2 ZINC001101135712 855268281 /nfs/dbraw/zinc/26/82/81/855268281.db2.gz VQBGUHOOYSXFRD-YPMHNXCESA-N 0 1 285.388 0.993 20 30 CCEDMN CN(CCNc1cncc(C#N)n1)C(=O)c1ccn[nH]1 ZINC001101509525 855301733 /nfs/dbraw/zinc/30/17/33/855301733.db2.gz ARTXCSJZTSRMJI-UHFFFAOYSA-N 0 1 271.284 0.255 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCNc2cc(C)ncc2C#N)[nH]1 ZINC001101532002 855309331 /nfs/dbraw/zinc/30/93/31/855309331.db2.gz ILTQVXTXFJGPDG-UHFFFAOYSA-N 0 1 298.350 0.899 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CNC(N)=O)[C@H](C)C1 ZINC001101709549 855349925 /nfs/dbraw/zinc/34/99/25/855349925.db2.gz HDONHISRGFRVAL-PSASIEDQSA-N 0 1 288.779 0.091 20 30 CCEDMN CC[C@H](C)C(=O)NC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001101730159 855355848 /nfs/dbraw/zinc/35/58/48/855355848.db2.gz RHWDCBOBZZYLLU-YNEHKIRRSA-N 0 1 294.399 0.356 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001101798293 855367398 /nfs/dbraw/zinc/36/73/98/855367398.db2.gz RATZIEWVJFEARB-JHJVBQTASA-N 0 1 297.399 0.137 20 30 CCEDMN CN(CCNc1ncccc1C#N)C(=O)Cc1c[nH]cn1 ZINC001101975302 855402681 /nfs/dbraw/zinc/40/26/81/855402681.db2.gz TVHZVXFOGVHGPO-UHFFFAOYSA-N 0 1 284.323 0.789 20 30 CCEDMN CN(CCNc1cncc(C#N)n1)C(=O)CCc1cnc[nH]1 ZINC001102021229 855408150 /nfs/dbraw/zinc/40/81/50/855408150.db2.gz HDRRZDSXVHMXFZ-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C#CCC1(C(=O)NC[C@@H]2CN(CC(N)=O)C[C@H]2C)CCC1 ZINC001102036147 855410145 /nfs/dbraw/zinc/41/01/45/855410145.db2.gz SSNQXNUFBLXDBM-CHWSQXEVSA-N 0 1 291.395 0.349 20 30 CCEDMN C=CCC1(C(=O)NC[C@@H]2CN(CC(N)=O)C[C@H]2C)CCC1 ZINC001102331684 855429563 /nfs/dbraw/zinc/42/95/63/855429563.db2.gz OABOHVLQPWCGFK-CHWSQXEVSA-N 0 1 293.411 0.902 20 30 CCEDMN CC[C@H](CNC(=O)Cc1nnc[nH]1)Nc1ccc(C#N)nc1 ZINC001103186003 855503100 /nfs/dbraw/zinc/50/31/00/855503100.db2.gz ATYAFCDIVOMJRA-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN CC[C@@H](CNC(=O)c1cnn[nH]1)Nc1cnc(C#N)cn1 ZINC001103370998 855515124 /nfs/dbraw/zinc/51/51/24/855515124.db2.gz FXHIISBRLBQPFN-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)Cc1cnc[nH]1 ZINC001103472316 855520380 /nfs/dbraw/zinc/52/03/80/855520380.db2.gz NLGUFKCDWZCPMK-VXGBXAGGSA-N 0 1 275.356 0.550 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)CNc1ncnc2[nH]cnc21 ZINC001103898040 855548004 /nfs/dbraw/zinc/54/80/04/855548004.db2.gz FASNXUPONWQSHF-RKDXNWHRSA-N 0 1 287.327 0.628 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOC1CCOCC1 ZINC001115098360 855641388 /nfs/dbraw/zinc/64/13/88/855641388.db2.gz GARBOZCDFOJKCL-FOLVSLTJSA-N 0 1 292.379 0.252 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCC(=O)NCC ZINC001115139430 855645065 /nfs/dbraw/zinc/64/50/65/855645065.db2.gz COWFYYHCJVPVFX-VIKVFOODSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCCCNC(C)=O ZINC001115209324 855652696 /nfs/dbraw/zinc/65/26/96/855652696.db2.gz VEKLWWWAAMRNOD-FOLVSLTJSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001115323805 855661702 /nfs/dbraw/zinc/66/17/02/855661702.db2.gz FTDDGRXNHJOETA-NHIYQJMISA-N 0 1 291.395 0.217 20 30 CCEDMN C#CCCCCNC(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC001117361222 855955033 /nfs/dbraw/zinc/95/50/33/855955033.db2.gz ZCAHYXVWKRRTNO-UHFFFAOYSA-N 0 1 276.340 0.508 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC[C@H](C#N)CCC#N)CC2 ZINC001118085612 856155995 /nfs/dbraw/zinc/15/59/95/856155995.db2.gz HXMNZCWNRCFLOM-NWDGAFQWSA-N 0 1 258.329 0.934 20 30 CCEDMN Cc1cc(CNC[C@H](O)CN(C)CCC#N)ncn1 ZINC001118149385 856176883 /nfs/dbraw/zinc/17/68/83/856176883.db2.gz FJJRZXDTUXNFHO-ZDUSSCGKSA-N 0 1 263.345 0.081 20 30 CCEDMN C[C@@H](c1nc([C@@H]2CCCN2)no1)n1cnc(C#N)n1 ZINC001118172369 856185649 /nfs/dbraw/zinc/18/56/49/856185649.db2.gz OHWKFAYIGFXHSI-YUMQZZPRSA-N 0 1 259.273 0.567 20 30 CCEDMN CCN(C(=O)C(=O)N1CC[C@](F)(C#N)C1)[C@@H]1CCN(C)C1 ZINC001118468413 856305001 /nfs/dbraw/zinc/30/50/01/856305001.db2.gz ROZVMYCQIJVTRF-RISCZKNCSA-N 0 1 296.346 0.003 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCc1n[nH]c(COC)n1 ZINC001118726978 856413651 /nfs/dbraw/zinc/41/36/51/856413651.db2.gz GJNUCFBSNRHCMI-JTQLQIEISA-N 0 1 295.343 0.038 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCc1nnc(COC)[nH]1 ZINC001118726978 856413657 /nfs/dbraw/zinc/41/36/57/856413657.db2.gz GJNUCFBSNRHCMI-JTQLQIEISA-N 0 1 295.343 0.038 20 30 CCEDMN C#CCC1(O)CCN(C(=O)[C@H](C)Cc2cnc[nH]2)CC1 ZINC001119288227 856613217 /nfs/dbraw/zinc/61/32/17/856613217.db2.gz ZJTCMUUHYDFVER-GFCCVEGCSA-N 0 1 275.352 0.965 20 30 CCEDMN C=C(C)Cn1nnnc1N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC001119648282 856811443 /nfs/dbraw/zinc/81/14/43/856811443.db2.gz SKQWBIFTSHMUGX-BETUJISGSA-N 0 1 294.403 0.795 20 30 CCEDMN C[C@H]1C[C@@H](NC2CCN(CC#N)CC2)c2ncnn21 ZINC001119690378 856838728 /nfs/dbraw/zinc/83/87/28/856838728.db2.gz YXBONNBJOREGAU-CMPLNLGQSA-N 0 1 260.345 0.861 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1ncn[nH]1)Nc1ccc(C#N)nc1 ZINC001120067147 856974395 /nfs/dbraw/zinc/97/43/95/856974395.db2.gz BUGXKZLKZNBBAW-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1ncn[nH]1)Nc1ncccc1C#N ZINC001120067124 856975119 /nfs/dbraw/zinc/97/51/19/856975119.db2.gz BOANKEQVIFKKNM-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C=CCCC[C@H](NC(=O)CCc1nc[nH]n1)C(=O)OC ZINC001120079966 856978544 /nfs/dbraw/zinc/97/85/44/856978544.db2.gz TYWCFLHUVLTCCS-JTQLQIEISA-N 0 1 280.328 0.751 20 30 CCEDMN C#CCNC(=O)CCNCc1cc2n(n1)CCCC2 ZINC001120467915 857079513 /nfs/dbraw/zinc/07/95/13/857079513.db2.gz OKZZHLWTVWWCPP-UHFFFAOYSA-N 0 1 260.341 0.449 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)Cc1cnccc1OC ZINC001323265224 912229582 /nfs/dbraw/zinc/22/95/82/912229582.db2.gz ZKTUDWOLKKGUDI-AWEZNQCLSA-N 0 1 287.363 0.847 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CCNC(=O)C(C)(C)C ZINC001323277737 912239115 /nfs/dbraw/zinc/23/91/15/912239115.db2.gz MVTHNRXOGKINBV-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001323285845 912244313 /nfs/dbraw/zinc/24/43/13/912244313.db2.gz AFICYEJQQYWDTO-WFASDCNBSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CC[C@H](NC2(CNC(=O)/C(C)=C\C)CC2)C1=O ZINC001323421039 912338250 /nfs/dbraw/zinc/33/82/50/912338250.db2.gz AZKHIKOEYPLAPY-XLVZXTRVSA-N 0 1 291.395 0.978 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C2(CCF)CC2)CC1 ZINC001323502998 912376474 /nfs/dbraw/zinc/37/64/74/912376474.db2.gz XIAOQUDGGDJLGP-UHFFFAOYSA-N 0 1 295.358 0.114 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001323945824 912594793 /nfs/dbraw/zinc/59/47/93/912594793.db2.gz OKEWXZJLSBGISQ-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)CCCC(=O)NCC)CC1 ZINC001324339545 912789228 /nfs/dbraw/zinc/78/92/28/912789228.db2.gz JFDLVSZWUNHEHI-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CC[NH2+]C[C@H](NC(=O)c1[n-]nnc1C)C1CCCC1 ZINC001324494309 912874365 /nfs/dbraw/zinc/87/43/65/912874365.db2.gz GQEVLKPPXXIBKF-LBPRGKRZSA-N 0 1 275.356 0.625 20 30 CCEDMN CCn1ncnc1CNC[C@H]1C[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001394367859 913147565 /nfs/dbraw/zinc/14/75/65/913147565.db2.gz LPTAJNSAPMSYAX-SRVKXCTJSA-N 0 1 290.371 0.442 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cccc(F)c2)C1 ZINC001325067201 913180913 /nfs/dbraw/zinc/18/09/13/913180913.db2.gz ITYHPNBGFGGFKU-OAHLLOKOSA-N 0 1 276.311 0.626 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccc(C)n2C)C1 ZINC001325069978 913187447 /nfs/dbraw/zinc/18/74/47/913187447.db2.gz VHTIRTCZGHZGRU-HNNXBMFYSA-N 0 1 275.352 0.133 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cc3cccn3cn2)C1 ZINC001325101797 913213234 /nfs/dbraw/zinc/21/32/34/913213234.db2.gz IYHWDRVHMMHVMO-INIZCTEOSA-N 0 1 298.346 0.134 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cc3cccn3cn2)C1 ZINC001325101798 913214514 /nfs/dbraw/zinc/21/45/14/913214514.db2.gz IYHWDRVHMMHVMO-MRXNPFEDSA-N 0 1 298.346 0.134 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)CCc2ccon2)C1 ZINC001325111721 913222943 /nfs/dbraw/zinc/22/29/43/913222943.db2.gz VFFCAFBOHYWNKF-HNNXBMFYSA-N 0 1 291.351 0.184 20 30 CCEDMN C=C(C)CN1CC(O)(CNC(=O)CN2CCC(C)CC2)C1 ZINC001325294546 913323105 /nfs/dbraw/zinc/32/31/05/913323105.db2.gz VYKIBQPMVMSBDC-UHFFFAOYSA-N 0 1 295.427 0.457 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)C[C@H]1CCCO1 ZINC001394732364 913382284 /nfs/dbraw/zinc/38/22/84/913382284.db2.gz ZOIBLWWRCLWVKE-VXGBXAGGSA-N 0 1 290.791 0.717 20 30 CCEDMN Cc1nc(C)c(CNC[C@H](O)CN(C)C(=O)[C@H](C)C#N)o1 ZINC001394727180 913392318 /nfs/dbraw/zinc/39/23/18/913392318.db2.gz ARLMGSIZTMWZAT-SKDRFNHKSA-N 0 1 294.355 0.360 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC001480867840 891435183 /nfs/dbraw/zinc/43/51/83/891435183.db2.gz VMOJYURITNIDAL-CQSZACIVSA-N 0 1 291.395 0.316 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1c2c(nn1C)CCC2 ZINC001282478423 891453997 /nfs/dbraw/zinc/45/39/97/891453997.db2.gz QMZSIGWYTFRMNH-LBPRGKRZSA-N 0 1 288.395 0.982 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N1CCC[C@@H](C#N)C1 ZINC001349385501 891735652 /nfs/dbraw/zinc/73/56/52/891735652.db2.gz ZTNMICLNYOKCCH-FRRDWIJNSA-N 0 1 262.357 0.137 20 30 CCEDMN CN(C(=O)Cc1ccn[nH]1)[C@@H](CNCC#N)C1CC1 ZINC001283494652 891845950 /nfs/dbraw/zinc/84/59/50/891845950.db2.gz WTJRBTWCFDOQSN-LBPRGKRZSA-N 0 1 261.329 0.302 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCCN1CC=CC1 ZINC001350801171 891865531 /nfs/dbraw/zinc/86/55/31/891865531.db2.gz BFKKEKXENDLHPO-ZDUSSCGKSA-N 0 1 265.357 0.445 20 30 CCEDMN COCC#CCN(C)CCNC(=O)COc1ccccc1 ZINC001480940121 891908664 /nfs/dbraw/zinc/90/86/64/891908664.db2.gz SYOXLWFKFBLTPM-UHFFFAOYSA-N 0 1 290.363 0.763 20 30 CCEDMN CC#CCN(C)CCNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001480955905 891954900 /nfs/dbraw/zinc/95/49/00/891954900.db2.gz HUJYTUAINGPXJH-HNNXBMFYSA-N 0 1 279.384 0.507 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCc1c(C)nc[nH]c1=O ZINC001480986207 892014479 /nfs/dbraw/zinc/01/44/79/892014479.db2.gz XDNQNJDFAGIUGI-UHFFFAOYSA-N 0 1 290.367 0.495 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cn(CCC)nn1 ZINC001481008339 892051541 /nfs/dbraw/zinc/05/15/41/892051541.db2.gz OUXNKZLFHQSSSV-UHFFFAOYSA-N 0 1 263.345 0.373 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCN(CC(=O)NC(C)(C)C)C1 ZINC001481082866 892141012 /nfs/dbraw/zinc/14/10/12/892141012.db2.gz GOGKSSKTPFPPJP-NEPJUHHUSA-N 0 1 294.399 0.499 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)CCC=C)C1 ZINC001481082173 892142916 /nfs/dbraw/zinc/14/29/16/892142916.db2.gz FSJVXOZFIXZMBB-KBPBESRZSA-N 0 1 291.395 0.529 20 30 CCEDMN CC#CCCCC(=O)NC[C@H]1CCN([C@H](C)C(=O)NC)C1 ZINC001481107018 892170077 /nfs/dbraw/zinc/17/00/77/892170077.db2.gz VFNDUTDSRSPJPK-ZIAGYGMSSA-N 0 1 293.411 0.753 20 30 CCEDMN CC#CCCCC(=O)NC[C@H]1CCN([C@@H](C)C(=O)NC)C1 ZINC001481107017 892170624 /nfs/dbraw/zinc/17/06/24/892170624.db2.gz VFNDUTDSRSPJPK-UONOGXRCSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN(CC(=O)N(C)C)C2)C1 ZINC001481111255 892173129 /nfs/dbraw/zinc/17/31/29/892173129.db2.gz UESFKVRGVLCOLZ-ZDUSSCGKSA-N 0 1 293.411 0.869 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)c1cn(C)cn1 ZINC001481135182 892216596 /nfs/dbraw/zinc/21/65/96/892216596.db2.gz CCNCGRZJAHHCGZ-UHFFFAOYSA-N 0 1 292.383 0.512 20 30 CCEDMN C#CCN(CCNC(=O)[C@@]1(CC)CCNC1=O)C1CC1 ZINC001481173078 892270013 /nfs/dbraw/zinc/27/00/13/892270013.db2.gz REPOVTGLJGVYAP-HNNXBMFYSA-N 0 1 277.368 0.117 20 30 CCEDMN COc1nccc(CNCCN(C)C(=O)[C@@H](C)C#N)n1 ZINC001481215646 892365025 /nfs/dbraw/zinc/36/50/25/892365025.db2.gz MBNFXUDFNROOSF-JTQLQIEISA-N 0 1 277.328 0.193 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@]2(NC(=O)CC)CCC[C@@H]12 ZINC001284029422 892577433 /nfs/dbraw/zinc/57/74/33/892577433.db2.gz LBHDWWOSRDXTSV-ZENOOKHLSA-N 0 1 291.395 0.648 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CNC(C)=O)C1 ZINC001481388790 892608046 /nfs/dbraw/zinc/60/80/46/892608046.db2.gz DOMYUCFXQVVZDK-CYBMUJFWSA-N 0 1 267.373 0.621 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CNC(=O)C(C)(C)C)C1 ZINC001481538470 892784550 /nfs/dbraw/zinc/78/45/50/892784550.db2.gz CHPZLGZTXWRNCI-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C(Cl)CN1CC(CNC(=O)CCc2nc[nH]n2)C1 ZINC001481620459 892916583 /nfs/dbraw/zinc/91/65/83/892916583.db2.gz YPMXZIYJWPGNRA-UHFFFAOYSA-N 0 1 283.763 0.538 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H](OCC)[C@@H]2CCOC2)C1 ZINC001481637056 892945184 /nfs/dbraw/zinc/94/51/84/892945184.db2.gz UDAUNKKOROUHKX-ZIAGYGMSSA-N 0 1 282.384 0.662 20 30 CCEDMN CC#CCCCC(=O)NCC1CN(Cc2n[nH]c(C)n2)C1 ZINC001481641301 892948392 /nfs/dbraw/zinc/94/83/92/892948392.db2.gz QNWUJOGGKBGLIN-UHFFFAOYSA-N 0 1 289.383 0.855 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCC(=O)N(C)C)C1 ZINC001481695163 893032919 /nfs/dbraw/zinc/03/29/19/893032919.db2.gz FMOIKYJGMFUDQT-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CCC(=O)NCCC)C1 ZINC001481747545 893110396 /nfs/dbraw/zinc/11/03/96/893110396.db2.gz QSKQCPPVCFLQCC-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CO[C@H]2CCOC2)C1 ZINC001481767108 893131712 /nfs/dbraw/zinc/13/17/12/893131712.db2.gz VZISQKGZXYFVOW-KBPBESRZSA-N 0 1 280.368 0.348 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)NC(=O)CC)C1 ZINC001481769359 893134279 /nfs/dbraw/zinc/13/42/79/893134279.db2.gz RMYTZKDJCQDRED-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)Cc2ncc[nH]2)C1 ZINC001481778986 893139095 /nfs/dbraw/zinc/13/90/95/893139095.db2.gz YHBVYMGFKONVKE-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1CC[C@@H](CNCc2cnon2)C1 ZINC001284173738 893326437 /nfs/dbraw/zinc/32/64/37/893326437.db2.gz KQOWEDGFFVQXIQ-OLZOCXBDSA-N 0 1 288.351 0.858 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CN(CC2CCC2)CCO1 ZINC001482184114 893471713 /nfs/dbraw/zinc/47/17/13/893471713.db2.gz KDHHDHWKQOVMHI-AWEZNQCLSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CN(CC2CCC2)CCO1 ZINC001482184115 893472066 /nfs/dbraw/zinc/47/20/66/893472066.db2.gz KDHHDHWKQOVMHI-CQSZACIVSA-N 0 1 282.384 0.806 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)NC(=O)CN1CCCC1 ZINC001284233108 893483409 /nfs/dbraw/zinc/48/34/09/893483409.db2.gz KFXMIXNATRFIAX-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H](C)[C@H](C)COC ZINC001482602278 894388643 /nfs/dbraw/zinc/38/86/43/894388643.db2.gz VYIZIPUJAUIWBU-CABCVRRESA-N 0 1 298.427 0.945 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cccc2ncnn21 ZINC001482610382 894405621 /nfs/dbraw/zinc/40/56/21/894405621.db2.gz DVFKDIZIHQQAKF-UHFFFAOYSA-N 0 1 285.351 0.756 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cccc(=O)n1C ZINC001482627583 894423509 /nfs/dbraw/zinc/42/35/09/894423509.db2.gz ZYWARKCOSKCQKE-UHFFFAOYSA-N 0 1 275.352 0.412 20 30 CCEDMN N#CC1(CCCn2nnnc2CN)CCOCC1 ZINC001326329158 913892827 /nfs/dbraw/zinc/89/28/27/913892827.db2.gz GWIQNFBSDXDEFO-UHFFFAOYSA-N 0 1 250.306 0.232 20 30 CCEDMN CCc1nc([C@H](C)NCC=CCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001482990956 894777127 /nfs/dbraw/zinc/77/71/27/894777127.db2.gz KHIQTCRXLGWPKJ-OBOPVNRVSA-N 0 1 290.371 0.850 20 30 CCEDMN C=C(C)CCC(=O)NC/C=C\CN[C@@H]1CCNC1=O ZINC001483014060 894802461 /nfs/dbraw/zinc/80/24/61/894802461.db2.gz JDZCYQZHYRCFCD-VSQXVHSFSA-N 0 1 265.357 0.493 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)[C@@H](C)C(C)(C)C ZINC001483021953 894807572 /nfs/dbraw/zinc/80/75/72/894807572.db2.gz GRYOJMMUCSXUCQ-SBDDDAINSA-N 0 1 293.411 0.680 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3OC[C@@H]4CCC[C@@H]43)[C@@H]2C1 ZINC001076059474 895016924 /nfs/dbraw/zinc/01/69/24/895016924.db2.gz APQCUTRZTSNQSE-RFBLXINOSA-N 0 1 288.391 0.967 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCc1cn(C)nn1 ZINC001409469534 895193190 /nfs/dbraw/zinc/19/31/90/895193190.db2.gz NHDPQQQIZDYROM-MNOVXSKESA-N 0 1 299.806 0.983 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cc(OC)ns1 ZINC001483338130 895475122 /nfs/dbraw/zinc/47/51/22/895475122.db2.gz AZFPMOWFZUYXAQ-VIFPVBQESA-N 0 1 267.354 0.835 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCc1cc(OC)no1 ZINC001483345329 895481317 /nfs/dbraw/zinc/48/13/17/895481317.db2.gz YFKGZNDHXLQXLE-LLVKDONJSA-N 0 1 279.340 0.686 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1ccnnc1C ZINC001483355941 895496217 /nfs/dbraw/zinc/49/62/17/895496217.db2.gz IJIGBRJZOUCVQI-LBPRGKRZSA-N 0 1 290.367 0.485 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1c(OC)ccnc1OC ZINC001483362994 895503494 /nfs/dbraw/zinc/50/34/94/895503494.db2.gz UTKWPMQRPLNQOC-NSHDSACASA-N 0 1 291.351 0.782 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1cccnn1 ZINC001483399839 895540076 /nfs/dbraw/zinc/54/00/76/895540076.db2.gz VZQLUJFVSIMFIE-GFCCVEGCSA-N 0 1 276.340 0.177 20 30 CCEDMN CC#CC[N@@H+](C)C[C@@H](C)NC(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001483404109 895546746 /nfs/dbraw/zinc/54/67/46/895546746.db2.gz PMNTWZDCJLRNBP-CZUORRHYSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001483404109 895546754 /nfs/dbraw/zinc/54/67/54/895546754.db2.gz PMNTWZDCJLRNBP-CZUORRHYSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CC[N@@H+](C)C[C@H](C)NC(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001483404107 895548112 /nfs/dbraw/zinc/54/81/12/895548112.db2.gz PMNTWZDCJLRNBP-BBRMVZONSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001483404107 895548119 /nfs/dbraw/zinc/54/81/19/895548119.db2.gz PMNTWZDCJLRNBP-BBRMVZONSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCc2nnc(C)n2C1 ZINC001483421019 895554524 /nfs/dbraw/zinc/55/45/24/895554524.db2.gz PJKRXZRYGNCENE-DGCLKSJQSA-N 0 1 289.383 0.219 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](NC(C)=O)C(C)C ZINC001483693106 895870021 /nfs/dbraw/zinc/87/00/21/895870021.db2.gz KNDLYISZUSVXCM-ZIAGYGMSSA-N 0 1 281.400 0.914 20 30 CCEDMN Cc1ncc(CNC2(CNC(=O)[C@H](C)C#N)CC2)cn1 ZINC001483723727 895918978 /nfs/dbraw/zinc/91/89/78/895918978.db2.gz WIGQZCDQFXDIEA-SNVBAGLBSA-N 0 1 273.340 0.683 20 30 CCEDMN COCC#CCN1CC(N(C)C(=O)c2cnc(C)[nH]2)C1 ZINC001483856596 896078764 /nfs/dbraw/zinc/07/87/64/896078764.db2.gz KFCZJBKMHXCNPH-UHFFFAOYSA-N 0 1 276.340 0.124 20 30 CCEDMN C#CCN(C(=O)[C@@]1(F)CCOC1)C1CCN(CC#C)CC1 ZINC001483986328 896144227 /nfs/dbraw/zinc/14/42/27/896144227.db2.gz CEGQGWYVBYDPMP-MRXNPFEDSA-N 0 1 292.354 0.674 20 30 CCEDMN C=CCOCCN1CC[C@@](C)(NC(=O)c2cnsn2)C1 ZINC001484031334 896157090 /nfs/dbraw/zinc/15/70/90/896157090.db2.gz AQQJZTWHKHGATJ-CYBMUJFWSA-N 0 1 296.396 0.935 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001484160663 896213321 /nfs/dbraw/zinc/21/33/21/896213321.db2.gz IUCHLVOANXAIJE-CZUORRHYSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](C)NC(=O)CC)C1 ZINC001484160664 896215567 /nfs/dbraw/zinc/21/55/67/896215567.db2.gz IUCHLVOANXAIJE-XJKSGUPXSA-N 0 1 293.411 0.753 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001484213386 896249754 /nfs/dbraw/zinc/24/97/54/896249754.db2.gz JYFJAACZGWDJHT-OAHLLOKOSA-N 0 1 286.335 0.076 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](O)(CNC(=O)[C@@H]2CCC2(F)F)C1 ZINC001484223145 896256032 /nfs/dbraw/zinc/25/60/32/896256032.db2.gz GKRQBLJSAGHBQW-CMPLNLGQSA-N 0 1 272.295 0.218 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H]2CCC2(F)F)C1 ZINC001484223145 896256043 /nfs/dbraw/zinc/25/60/43/896256043.db2.gz GKRQBLJSAGHBQW-CMPLNLGQSA-N 0 1 272.295 0.218 20 30 CCEDMN C=CCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(CC(N)=O)C2 ZINC001484317229 896337817 /nfs/dbraw/zinc/33/78/17/896337817.db2.gz MBHMSQQFOMVLSC-TUAOUCFPSA-N 0 1 265.357 0.407 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H]([C@@H](C)NCC#N)C2)n[nH]1 ZINC001484332836 896348300 /nfs/dbraw/zinc/34/83/00/896348300.db2.gz PWQUSCQLIDWMAN-GHMZBOCLSA-N 0 1 261.329 0.682 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](CNCc2ncnn2C)C1 ZINC001484363588 896360385 /nfs/dbraw/zinc/36/03/85/896360385.db2.gz LAUFBXABZSTSRE-OLZOCXBDSA-N 0 1 291.399 0.965 20 30 CCEDMN CC(C)[C@H](F)C(=O)NCCN1CCC(NCC#N)CC1 ZINC001484420945 896392869 /nfs/dbraw/zinc/39/28/69/896392869.db2.gz FKQNJOHQXJKDAR-ZDUSSCGKSA-N 0 1 284.379 0.674 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)[C@@H](C)OCC)C1 ZINC001484428445 896401655 /nfs/dbraw/zinc/40/16/55/896401655.db2.gz PBMADWVAZUJQAF-HIFRSBDPSA-N 0 1 284.400 0.931 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)c2[nH]nnc2C)C1 ZINC001484443764 896411910 /nfs/dbraw/zinc/41/19/10/896411910.db2.gz ZGYDLTDQKFGSKK-AWEZNQCLSA-N 0 1 293.371 0.246 20 30 CCEDMN CCC(=O)N(CCO)CCNCC#Cc1ccccc1 ZINC001484471610 896418606 /nfs/dbraw/zinc/41/86/06/896418606.db2.gz BSGGRNQMSCHTKW-UHFFFAOYSA-N 0 1 274.364 0.859 20 30 CCEDMN C=CCN1CCOC[C@@H]1CNC(=O)CN1CCCC1 ZINC001326667039 914087071 /nfs/dbraw/zinc/08/70/71/914087071.db2.gz KFPAPNJXQSHREV-ZDUSSCGKSA-N 0 1 267.373 0.085 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)CCn2ccnn2)C[C@H]1C ZINC001484973039 896693214 /nfs/dbraw/zinc/69/32/14/896693214.db2.gz PVBHNXSZXCIPQJ-KGLIPLIRSA-N 0 1 289.383 0.661 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@]2(F)CCOC2)CC1 ZINC001485022635 896715234 /nfs/dbraw/zinc/71/52/34/896715234.db2.gz GYIVDQYRXORFKV-CQSZACIVSA-N 0 1 286.347 0.244 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C1=COCCO1 ZINC001485048202 896732791 /nfs/dbraw/zinc/73/27/91/896732791.db2.gz SPUXMHCWZAWDLY-CYBMUJFWSA-N 0 1 278.352 0.821 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CN(C)C(C)=O ZINC001485047007 896733644 /nfs/dbraw/zinc/73/36/44/896733644.db2.gz HAZRHFMGKUBMEK-ZDUSSCGKSA-N 0 1 265.357 0.021 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnc(Cl)n1C ZINC001485330289 896953567 /nfs/dbraw/zinc/95/35/67/896953567.db2.gz FTTVBKHHBJXJND-SECBINFHSA-N 0 1 268.748 0.757 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)N(C)[C@@H]1CCNC1=O ZINC001485340721 896967612 /nfs/dbraw/zinc/96/76/12/896967612.db2.gz YTDRDXUKSUJILD-QWHCGFSZSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H]1CCCN1C(=O)CCC ZINC001485390521 897015386 /nfs/dbraw/zinc/01/53/86/897015386.db2.gz UPVHNYDVRQCNAP-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H](C)C1CN(CC=C)C1 ZINC001485503921 897090927 /nfs/dbraw/zinc/09/09/27/897090927.db2.gz PMFHKGBBOSKYAC-NWDGAFQWSA-N 0 1 250.342 0.647 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@]2(CC)CCNC2=O)C1 ZINC001485508975 897093950 /nfs/dbraw/zinc/09/39/50/897093950.db2.gz GBEBWHHJUMUAMZ-IAQYHMDHSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](CCCC)NC(N)=O)C1 ZINC001485538843 897106399 /nfs/dbraw/zinc/10/63/99/897106399.db2.gz IBRNNBQASZTRFP-WCQYABFASA-N 0 1 296.415 0.836 20 30 CCEDMN CN1CC(C(=O)N2CCCC[C@@H]2CNCC#N)=NC1=O ZINC001326736244 914128274 /nfs/dbraw/zinc/12/82/74/914128274.db2.gz KWVUJXOFLSYOJQ-SNVBAGLBSA-N 0 1 277.328 0.234 20 30 CCEDMN COC[C@H](O)C[N@H+]1C[C@H](NC(=O)C#CC2CC2)C(C)(C)C1 ZINC001485568609 897120065 /nfs/dbraw/zinc/12/00/65/897120065.db2.gz DPOCUJMFMFQVFC-KGLIPLIRSA-N 0 1 294.395 0.234 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccnc(-n2ccnc2)c1 ZINC001492859515 914132942 /nfs/dbraw/zinc/13/29/42/914132942.db2.gz HTKHSVSWXRPHNT-UHFFFAOYSA-N 0 1 297.362 0.952 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cncc(F)c1 ZINC001485773280 897241531 /nfs/dbraw/zinc/24/15/31/897241531.db2.gz LRPNFCVLBGUPQR-UHFFFAOYSA-N 0 1 293.342 0.922 20 30 CCEDMN COCCCN1CCO[C@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107821334 897326857 /nfs/dbraw/zinc/32/68/57/897326857.db2.gz NEVLRJFIZZGASN-TZMCWYRMSA-N 0 1 283.372 0.390 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ncn(C)n1 ZINC001032390230 897414297 /nfs/dbraw/zinc/41/42/97/897414297.db2.gz HPWFYWDAGJFNIT-QWRGUYRKSA-N 0 1 261.329 0.290 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001032408947 897483482 /nfs/dbraw/zinc/48/34/82/897483482.db2.gz PRIUFGSORNHLGO-ZOBORPQBSA-N 0 1 289.379 0.210 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]12C[C@H]1COC2 ZINC001032413688 897515212 /nfs/dbraw/zinc/51/52/12/897515212.db2.gz XBVFYBQOTHWIQY-ABHRYQDASA-N 0 1 262.353 0.884 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@]1(C)CN(CC2CC2)CCO1 ZINC001107940201 897531314 /nfs/dbraw/zinc/53/13/14/897531314.db2.gz PZWJVROMLDHXAF-XJKSGUPXSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccn(C)n1 ZINC001032429421 897556382 /nfs/dbraw/zinc/55/63/82/897556382.db2.gz SVWHVHAPCLKWCC-GJZGRUSLSA-N 0 1 286.379 0.661 20 30 CCEDMN CCNCc1nnc2n1CC[C@H](NC(=O)[C@@H](C)C#N)CC2 ZINC001127963819 897578493 /nfs/dbraw/zinc/57/84/93/897578493.db2.gz AAWBKTREUZWOCV-WDEREUQCSA-N 0 1 290.371 0.368 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(CC)CCNC1=O ZINC001032455241 897584382 /nfs/dbraw/zinc/58/43/82/897584382.db2.gz FFFJPTVJPOKXCR-XEZPLFJOSA-N 0 1 289.379 0.211 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@@H]1CC1(F)F ZINC001403832821 897696972 /nfs/dbraw/zinc/69/69/72/897696972.db2.gz AAWKOCZWIGZIJI-IUCAKERBSA-N 0 1 282.718 0.803 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2CN3[C@H](C)COC)c1 ZINC001032616939 897829950 /nfs/dbraw/zinc/82/99/50/897829950.db2.gz CXIONEDLQYKDAW-KCXAZCMYSA-N 0 1 299.374 0.997 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cn1nccc1C ZINC001032655715 897903251 /nfs/dbraw/zinc/90/32/51/897903251.db2.gz ASRBXCSQUXWTIG-KBPBESRZSA-N 0 1 272.352 0.500 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1nnc[nH]1 ZINC001032723775 898012592 /nfs/dbraw/zinc/01/25/92/898012592.db2.gz UFWVMAAHKMPIQZ-QWRGUYRKSA-N 0 1 261.329 0.208 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cncnc2CC)C1 ZINC001078188606 898288383 /nfs/dbraw/zinc/28/83/83/898288383.db2.gz JAUBWCFFOAAEOY-ZIAGYGMSSA-N 0 1 290.367 0.390 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)COCCN(Cc1ccc[nH]1)C2 ZINC001272925443 898300427 /nfs/dbraw/zinc/30/04/27/898300427.db2.gz CVIHZBLDMFZYFV-MRXNPFEDSA-N 0 1 287.363 0.699 20 30 CCEDMN C[C@H](CN(C)C(=O)CSCC#N)NCc1cnnn1C ZINC001485847205 898452104 /nfs/dbraw/zinc/45/21/04/898452104.db2.gz IWEFWVPMVLQSKE-SNVBAGLBSA-N 0 1 296.400 0.008 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(OC)cs1 ZINC001486022963 898594937 /nfs/dbraw/zinc/59/49/37/898594937.db2.gz MQACQUIOSOVUNN-SECBINFHSA-N 0 1 268.338 0.070 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cnn2ccccc12 ZINC001486043530 898608910 /nfs/dbraw/zinc/60/89/10/898608910.db2.gz LNYXIEZZPVPBOM-LBPRGKRZSA-N 0 1 286.335 0.038 20 30 CCEDMN C=CCC1(C(=O)NC[C@H](CO)N[C@@H](C)C(N)=O)CCCC1 ZINC001486068052 898633271 /nfs/dbraw/zinc/63/32/71/898633271.db2.gz NPGYBYLBEZGHIU-NWDGAFQWSA-N 0 1 297.399 0.063 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NCc2cnnn2C)[C@H]1C ZINC001486074312 898655713 /nfs/dbraw/zinc/65/57/13/898655713.db2.gz RKLZSYZHLBZRLM-DGCLKSJQSA-N 0 1 277.372 0.860 20 30 CCEDMN COCC#CCN1CC[C@]2(NC(=O)[C@H](C)OC)CCC[C@@H]12 ZINC001486137273 898683525 /nfs/dbraw/zinc/68/35/25/898683525.db2.gz BYNAEHYTODNDMM-SQWLQELKSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)/C=C\c1ccco1 ZINC001486323438 898779943 /nfs/dbraw/zinc/77/99/43/898779943.db2.gz KGABHPYFZRPJLY-WSROAFLRSA-N 0 1 276.336 0.677 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1CC(C)C1 ZINC001486333246 898799060 /nfs/dbraw/zinc/79/90/60/898799060.db2.gz ZPXUZVFUGFJADP-WXRRBKDZSA-N 0 1 252.358 0.417 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cnc(CC)s1 ZINC001486359735 898812942 /nfs/dbraw/zinc/81/29/42/898812942.db2.gz CLZOUFCIOPLZIO-NSHDSACASA-N 0 1 295.408 0.703 20 30 CCEDMN C#CCN1CC=C(CNC(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001486506405 898909354 /nfs/dbraw/zinc/90/93/54/898909354.db2.gz HBWULLJXOBIYJH-ZDUSSCGKSA-N 0 1 276.336 0.321 20 30 CCEDMN CCn1cc(CN[C@H]2C[C@H](CNC(=O)[C@H](C)C#N)C2)nn1 ZINC001397627874 914308479 /nfs/dbraw/zinc/30/84/79/914308479.db2.gz PAOKSMKIOQVKAD-IJLUTSLNSA-N 0 1 290.371 0.442 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cc(CC)n[nH]2)C1 ZINC001494743176 899597043 /nfs/dbraw/zinc/59/70/43/899597043.db2.gz ACDXIUKGJPSEHQ-CYBMUJFWSA-N 0 1 292.383 0.979 20 30 CCEDMN Cc1ccc2c(c1NS(=O)(=O)[C@@H](C)C#N)CNC2=O ZINC001193140197 900033671 /nfs/dbraw/zinc/03/36/71/900033671.db2.gz UUQIVLZJKQOOOL-QMMMGPOBSA-N 0 1 279.321 0.892 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCn2cccn2)C1 ZINC001194115360 900045585 /nfs/dbraw/zinc/04/55/85/900045585.db2.gz YICPWJFVARYLQL-CQSZACIVSA-N 0 1 274.368 0.829 20 30 CCEDMN Cc1cc(CC(=O)N[C@H](C)CCNC(=O)[C@H](C)C#N)[nH]n1 ZINC001397854136 914410696 /nfs/dbraw/zinc/41/06/96/914410696.db2.gz NTLYIKHYFYBLAD-NXEZZACHSA-N 0 1 291.355 0.431 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)CN(C)C(=O)c1ccn[nH]1 ZINC001487716118 900107494 /nfs/dbraw/zinc/10/74/94/900107494.db2.gz JIWMNJPLGDRPHO-NSHDSACASA-N 0 1 292.339 0.026 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)c1cncc2ncn(C)c21 ZINC001327213815 914420784 /nfs/dbraw/zinc/42/07/84/914420784.db2.gz XLALWNVIYYQYQV-UHFFFAOYSA-N 0 1 299.378 0.995 20 30 CCEDMN C[C@H]1[C@H](NC(=O)C#CC2CC2)CCN1C(=O)c1ccn[nH]1 ZINC001488118743 900225575 /nfs/dbraw/zinc/22/55/75/900225575.db2.gz PXGWQHDXMPJRNN-CMPLNLGQSA-N 0 1 286.335 0.542 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN(C(=O)c2ccn[nH]2)[C@@H]1C ZINC001488118055 900226114 /nfs/dbraw/zinc/22/61/14/900226114.db2.gz HHGASWXXAUOAIC-GHMZBOCLSA-N 0 1 292.339 0.332 20 30 CCEDMN CC#CCCCC(=O)N(C)C[C@H]1CCN1C[C@H](O)COC ZINC001488650353 900329433 /nfs/dbraw/zinc/32/94/33/900329433.db2.gz GIWWVMSIEOXSCO-CABCVRRESA-N 0 1 296.411 0.720 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)c1c[nH]nc1C ZINC001489215168 900448426 /nfs/dbraw/zinc/44/84/26/900448426.db2.gz CROCTMHYTMOAQB-UHFFFAOYSA-N 0 1 292.383 0.762 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CNC(=O)C(C)(C)C)[C@H]1C ZINC001489306442 900461709 /nfs/dbraw/zinc/46/17/09/900461709.db2.gz ALVWYJSJVPDDQJ-NEPJUHHUSA-N 0 1 279.384 0.361 20 30 CCEDMN CC#CCN1CCN(CCCNC(=O)CCCOC)CC1 ZINC001490467103 900630206 /nfs/dbraw/zinc/63/02/06/900630206.db2.gz WGHXYGIFQAMQLA-UHFFFAOYSA-N 0 1 295.427 0.560 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C2CC=CC2)CC1 ZINC001490465401 900630997 /nfs/dbraw/zinc/63/09/97/900630997.db2.gz IVHFRBMQONIMOM-UHFFFAOYSA-N 0 1 275.396 0.710 20 30 CCEDMN CC#CC[N@H+](C)CCCN(C)C(=O)[C@@H]1C[NH+](CC)CCO1 ZINC001327291901 914465810 /nfs/dbraw/zinc/46/58/10/914465810.db2.gz UXHATSPPWOTKRV-HNNXBMFYSA-N 0 1 295.427 0.511 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](CNC(=O)CCC)C1 ZINC001493172392 900688661 /nfs/dbraw/zinc/68/86/61/900688661.db2.gz OBTCUDQNJZVHLU-QWHCGFSZSA-N 0 1 279.384 0.363 20 30 CCEDMN C=C(C)CCN(C)C[C@H](C)NC(=O)CS(C)(=O)=O ZINC001322120371 900998341 /nfs/dbraw/zinc/99/83/41/900998341.db2.gz IFLURKWJVUOFAJ-NSHDSACASA-N 0 1 276.402 0.434 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CC[C@@H]1CCOC1 ZINC001275527646 901114840 /nfs/dbraw/zinc/11/48/40/901114840.db2.gz IQYYYZGRZFYUQT-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1cc[nH]c1 ZINC001275567395 901134055 /nfs/dbraw/zinc/13/40/55/901134055.db2.gz TYHMSMSFFNKAHV-LBPRGKRZSA-N 0 1 263.341 0.715 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2ccc(CN3CCCC3)o2)C1 ZINC001412035958 901423399 /nfs/dbraw/zinc/42/33/99/901423399.db2.gz ZBAXRXQMERLCLQ-UHFFFAOYSA-N 0 1 289.335 0.976 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001412206814 901560030 /nfs/dbraw/zinc/56/00/30/901560030.db2.gz XOKMIHSUYLLHHI-LPWJVIDDSA-N 0 1 292.383 0.251 20 30 CCEDMN Cc1[nH]ncc1CN(C)C(=O)[C@@H](C)n1cnc(C#N)n1 ZINC001412267842 901610028 /nfs/dbraw/zinc/61/00/28/901610028.db2.gz GJICCJRUEKFFMK-SECBINFHSA-N 0 1 273.300 0.401 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)/C(C)=C/C)C1 ZINC001493191442 902027661 /nfs/dbraw/zinc/02/76/61/902027661.db2.gz GHRCNVIJRNOPEW-YFTXEMMHSA-N 0 1 279.384 0.693 20 30 CCEDMN C=CCCCC(=O)N1CC[C@H](NCc2cnnn2C)C1 ZINC001490840116 902122970 /nfs/dbraw/zinc/12/29/70/902122970.db2.gz BRALXZLREPLKOD-LBPRGKRZSA-N 0 1 277.372 0.862 20 30 CCEDMN N#Cc1ccnnc1N1CC(c2[nH]nc3c2COCC3)C1 ZINC001412917262 902357002 /nfs/dbraw/zinc/35/70/02/902357002.db2.gz JYQCRKHINSFWIO-UHFFFAOYSA-N 0 1 282.307 0.748 20 30 CCEDMN C=CCN(C(=O)C(=O)N1CCNC(C)(C)C1)[C@H](C)COC ZINC001327591522 914638710 /nfs/dbraw/zinc/63/87/10/914638710.db2.gz DPXLIUBICWHUCG-GFCCVEGCSA-N 0 1 297.399 0.246 20 30 CCEDMN CN1CCC(NS(=O)(=O)CC(C)(C)CC#N)CC1 ZINC001413268729 902829422 /nfs/dbraw/zinc/82/94/22/902829422.db2.gz SYAZSTOOOODGBP-UHFFFAOYSA-N 0 1 273.402 0.940 20 30 CCEDMN Cc1conc1CN[C@H]1C[C@@H](NC(=O)CSCC#N)C1 ZINC001490994712 903281845 /nfs/dbraw/zinc/28/18/45/903281845.db2.gz JWKKOKJBMSPVOZ-PHIMTYICSA-N 0 1 294.380 0.977 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCN(Cc2cncn2C)C1 ZINC001493184685 903553351 /nfs/dbraw/zinc/55/33/51/903553351.db2.gz QQGMTNMLIFIAGO-CYBMUJFWSA-N 0 1 292.383 0.561 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H](COC)OC ZINC001329538209 903807130 /nfs/dbraw/zinc/80/71/30/903807130.db2.gz AKPULHGIVOZQHQ-RYUDHWBXSA-N 0 1 256.346 0.108 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@@H]2C[C@H]2OCC)CC1 ZINC001281799619 903980645 /nfs/dbraw/zinc/98/06/45/903980645.db2.gz YETDPEDNFFAFFO-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@](C)(F)CCCC ZINC001331741501 904013054 /nfs/dbraw/zinc/01/30/54/904013054.db2.gz VFLKESPAHRWSAC-GXTWGEPZSA-N 0 1 272.364 0.995 20 30 CCEDMN C=C(C)CCC(=O)N(C)CCCN(C)[C@@H](C)C(N)=O ZINC001280821301 904102412 /nfs/dbraw/zinc/10/24/12/904102412.db2.gz FFFDVVDUOQKVED-LBPRGKRZSA-N 0 1 269.389 0.997 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)CCOC)C2)CC1 ZINC001280678529 904136212 /nfs/dbraw/zinc/13/62/12/904136212.db2.gz OEXBMYMWZFFZFC-OAHLLOKOSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)c1ccoc1 ZINC001280787104 904153047 /nfs/dbraw/zinc/15/30/47/904153047.db2.gz IKXUMHYVLRHQIG-UHFFFAOYSA-N 0 1 291.351 0.423 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)C1CC(OC)C1 ZINC001280822958 904155557 /nfs/dbraw/zinc/15/55/57/904155557.db2.gz PKHOBEXLXBOUPV-UHFFFAOYSA-N 0 1 252.358 0.825 20 30 CCEDMN COCC#CCN1CC[C@@H](NC(=O)c2ccon2)C[C@@H]1C ZINC001281653382 904321682 /nfs/dbraw/zinc/32/16/82/904321682.db2.gz SLAKINNHQXSUAJ-QWHCGFSZSA-N 0 1 291.351 0.907 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCN1C(=O)Cc1ccn[nH]1 ZINC001281928001 904368355 /nfs/dbraw/zinc/36/83/55/904368355.db2.gz HWZYTYUOPJBBPX-LBPRGKRZSA-N 0 1 261.329 0.399 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cc2n(n1)CCO2 ZINC001281942133 904375477 /nfs/dbraw/zinc/37/54/77/904375477.db2.gz MLVOEQQYKZFHPL-GFCCVEGCSA-N 0 1 288.351 0.445 20 30 CCEDMN C#CCOCCC(=O)NC1CC(CNCc2ccon2)C1 ZINC001282003107 904385230 /nfs/dbraw/zinc/38/52/30/904385230.db2.gz XOVMDMPXZUPXQL-UHFFFAOYSA-N 0 1 291.351 0.699 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1c(C)nc[nH]c1=O ZINC001282448804 904477157 /nfs/dbraw/zinc/47/71/57/904477157.db2.gz DEOLLZMCECUWIR-SNVBAGLBSA-N 0 1 276.340 0.564 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CCCn1cncn1 ZINC001282479380 904487706 /nfs/dbraw/zinc/48/77/06/904487706.db2.gz VIYFKWHXPIQSEF-GFCCVEGCSA-N 0 1 263.345 0.128 20 30 CCEDMN C=CCCN1CC(CCO)(NC(=O)[C@@H]2CCCN2C)C1 ZINC001282510503 904499648 /nfs/dbraw/zinc/49/96/48/904499648.db2.gz ZBBKLAAURODZNO-ZDUSSCGKSA-N 0 1 281.400 0.210 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@]2(F)CCOC2)C1 ZINC001282735579 904534066 /nfs/dbraw/zinc/53/40/66/904534066.db2.gz PHESGSZQPZQXHP-ZWNOBZJWSA-N 0 1 256.321 0.738 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCNC(=O)[C@H]1CCCN1C ZINC001282948097 904628679 /nfs/dbraw/zinc/62/86/79/904628679.db2.gz JIISBVPRUWLTSZ-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN CC(C)C#CC(=O)NCCNC(=O)c1ccn2cncc2c1 ZINC001282998085 904661950 /nfs/dbraw/zinc/66/19/50/904661950.db2.gz CMCJLTBSLFADEA-UHFFFAOYSA-N 0 1 298.346 0.840 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CC(C)(C)C(F)(F)F ZINC001283826460 905042901 /nfs/dbraw/zinc/04/29/01/905042901.db2.gz WVFLJTODASJOQN-SECBINFHSA-N 0 1 280.290 0.665 20 30 CCEDMN C=CCOCCNC(=O)C(=O)N(CCC)[C@@H]1CCN(C)C1 ZINC001327931117 914874619 /nfs/dbraw/zinc/87/46/19/914874619.db2.gz VFAYQYUMKKEPQK-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001284097245 905147145 /nfs/dbraw/zinc/14/71/45/905147145.db2.gz WOZAQUUERKDKBE-ISTVAULSSA-N 0 1 291.355 0.784 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1C=CC=CC=C1 ZINC001284491891 905325265 /nfs/dbraw/zinc/32/52/65/905325265.db2.gz FBLRHPBDPLWIEH-OAHLLOKOSA-N 0 1 274.364 0.669 20 30 CCEDMN C=CCN(CCNC(=O)c1cccn(C)c1=O)CCOC ZINC001284669070 905413068 /nfs/dbraw/zinc/41/30/68/905413068.db2.gz SNYAIAVKXOGEHP-UHFFFAOYSA-N 0 1 293.367 0.250 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001378260027 905543065 /nfs/dbraw/zinc/54/30/65/905543065.db2.gz WDLNRODYZAIHJV-MNOVXSKESA-N 0 1 287.791 0.702 20 30 CCEDMN N#CCCN1CCN(C[C@@H]2C[C@H]3COC[C@@H]3O2)CC1 ZINC001332827790 905555691 /nfs/dbraw/zinc/55/56/91/905555691.db2.gz BNFKTLVKSHBOOE-IHRRRGAJSA-N 0 1 265.357 0.322 20 30 CCEDMN C=C(C)CCC(=O)NCC1CC(NC(=O)c2ncn[nH]2)C1 ZINC001285240269 905580019 /nfs/dbraw/zinc/58/00/19/905580019.db2.gz CIIZSTGXDNEWFW-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN C=C(C)CCC(=O)NCC1CC(NC(=O)c2nc[nH]n2)C1 ZINC001285240269 905580040 /nfs/dbraw/zinc/58/00/40/905580040.db2.gz CIIZSTGXDNEWFW-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](CNC(=O)c1cnn[nH]1)C(C)C ZINC001285327860 905597134 /nfs/dbraw/zinc/59/71/34/905597134.db2.gz VPFZJNUPYPSHTC-JTQLQIEISA-N 0 1 293.371 0.888 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](O)CNC(=O)CN1CCCC1 ZINC001285439007 905630413 /nfs/dbraw/zinc/63/04/13/905630413.db2.gz UYUYDSCKITUAMR-CYBMUJFWSA-N 0 1 297.399 0.032 20 30 CCEDMN CC(C)n1ncnc1CNC[C@@H](C)CNC(=O)[C@@H](C)C#N ZINC001378549864 905673655 /nfs/dbraw/zinc/67/36/55/905673655.db2.gz HDHHXMAMKFRMQE-NEPJUHHUSA-N 0 1 292.387 0.861 20 30 CCEDMN C#CCCCC(=O)N1CC([C@@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001286204511 905867006 /nfs/dbraw/zinc/86/70/06/905867006.db2.gz BREJDSYPQAYEFJ-SNVBAGLBSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCCCC(=O)N1CC([C@@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001286204511 905867023 /nfs/dbraw/zinc/86/70/23/905867023.db2.gz BREJDSYPQAYEFJ-SNVBAGLBSA-N 0 1 289.339 0.185 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](C)NC(C)=O ZINC001379058501 905954118 /nfs/dbraw/zinc/95/41/18/905954118.db2.gz PYMYDDVCEBQDQO-NXEZZACHSA-N 0 1 275.780 0.700 20 30 CCEDMN CCn1cc(CN[C@H](CNC(=O)[C@@H](C)C#N)C(C)C)nn1 ZINC001378958577 905900532 /nfs/dbraw/zinc/90/05/32/905900532.db2.gz CBLLOQUHTCQSLZ-WCQYABFASA-N 0 1 292.387 0.688 20 30 CCEDMN C=CCCOCC(=O)NCCNC(=O)[C@@H]1CCCCN1C ZINC001292895100 906360888 /nfs/dbraw/zinc/36/08/88/906360888.db2.gz VPABWRLKGKJXHN-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN C[C@@]1(C#N)CCN(C(=O)CN2CCC(CO)CC2)C1 ZINC001337297586 921230910 /nfs/dbraw/zinc/23/09/10/921230910.db2.gz YHMXSWUWZSJIRS-AWEZNQCLSA-N 0 1 265.357 0.453 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)CN1CCCC1=O)C1CC1 ZINC001379840097 906499877 /nfs/dbraw/zinc/49/98/77/906499877.db2.gz AFJSHDNXEAHMRA-GFCCVEGCSA-N 0 1 299.802 0.846 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CN(Cc2n[nH]c(C3CC3)n2)C1 ZINC001380222137 906682243 /nfs/dbraw/zinc/68/22/43/906682243.db2.gz PRSUSJDOCUPHIU-SECBINFHSA-N 0 1 288.355 0.484 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(C)CCN([C@H]2CCNC2=O)CC1 ZINC001380300914 906720491 /nfs/dbraw/zinc/72/04/91/906720491.db2.gz WEXHLGIHSNXCPT-MNOVXSKESA-N 0 1 278.356 0.005 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC001296815225 906963504 /nfs/dbraw/zinc/96/35/04/906963504.db2.gz VHAYZQUEZHPONE-CHWSQXEVSA-N 0 1 298.383 0.409 20 30 CCEDMN C=CCCC(=O)NC[C@H](CC)NC(=O)c1cnn[nH]1 ZINC001297368260 907061100 /nfs/dbraw/zinc/06/11/00/907061100.db2.gz QUGGQFYODLODOI-VIFPVBQESA-N 0 1 265.317 0.396 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)CNC(=O)C1=NC(=O)N(C)C1 ZINC001297725940 907108690 /nfs/dbraw/zinc/10/86/90/907108690.db2.gz GATAUBJVKSOJOI-JTQLQIEISA-N 0 1 294.355 0.574 20 30 CCEDMN C=CCCC(=O)NC[C@@H](O)CNC(=O)[C@H]1CCCC[N@@H+]1C ZINC001298156696 907165599 /nfs/dbraw/zinc/16/55/99/907165599.db2.gz FKSJKLBQNFHEOF-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCCC(=O)NC[C@@H](O)CNC(=O)[C@H]1CCCCN1C ZINC001298156696 907165611 /nfs/dbraw/zinc/16/56/11/907165611.db2.gz FKSJKLBQNFHEOF-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCCC(=O)NC/C=C/CNC(=O)Cc1n[nH]c(C)n1 ZINC001298301250 907215573 /nfs/dbraw/zinc/21/55/73/907215573.db2.gz OPZWULYLKXZRAY-AATRIKPKSA-N 0 1 291.355 0.410 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)NC(=O)C#CC(C)(C)C ZINC001298771606 907325856 /nfs/dbraw/zinc/32/58/56/907325856.db2.gz AZMNFOFUKZCUJM-NSHDSACASA-N 0 1 290.367 0.623 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H]1CCN1C(=O)Cc1ncn[nH]1 ZINC001299043485 907376829 /nfs/dbraw/zinc/37/68/29/907376829.db2.gz NRDWNFIWBMDKNC-NSHDSACASA-N 0 1 291.355 0.421 20 30 CCEDMN C=CC[N@H+]1CCCC[C@@H]1CNC(=O)C[C@@H]1CCNC1=O ZINC001317175340 907405803 /nfs/dbraw/zinc/40/58/03/907405803.db2.gz ZVIYGRFVARUPRC-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)NCc1ccn(C)n1 ZINC001491586363 907423603 /nfs/dbraw/zinc/42/36/03/907423603.db2.gz XYXCPIIYLCPYGB-YUTCNCBUSA-N 0 1 292.383 0.606 20 30 CCEDMN COc1nccc(CN[C@@H](C)CN(C)C(=O)[C@H](C)C#N)n1 ZINC001382059265 907523972 /nfs/dbraw/zinc/52/39/72/907523972.db2.gz RGCUVPDHUFOLSL-MNOVXSKESA-N 0 1 291.355 0.581 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1COCCO1 ZINC001382082585 907538792 /nfs/dbraw/zinc/53/87/92/907538792.db2.gz ZHNALOYHUCKGCD-GHMZBOCLSA-N 0 1 276.764 0.591 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)CN2CCCC2)C1 ZINC001491803479 907583660 /nfs/dbraw/zinc/58/36/60/907583660.db2.gz QUEXTPVQZACGRB-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001492067161 907691725 /nfs/dbraw/zinc/69/17/25/907691725.db2.gz AYMDHFFCRXFWCS-JTQLQIEISA-N 0 1 295.387 0.305 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC001492252216 907806761 /nfs/dbraw/zinc/80/67/61/907806761.db2.gz KKBUIMDITYBRGH-GFCCVEGCSA-N 0 1 274.368 0.901 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cncc2[nH]cnc21 ZINC001492258347 907810871 /nfs/dbraw/zinc/81/08/71/907810871.db2.gz YSOBHAGSFAJGIY-UHFFFAOYSA-N 0 1 257.297 0.253 20 30 CCEDMN CC[C@@H]1CC[C@H](C(=O)NCCN(C)CC#CCOC)O1 ZINC001492276927 907823882 /nfs/dbraw/zinc/82/38/82/907823882.db2.gz MRAVXGCGLHFUCS-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN CN(CCNC(=O)c1cnn(C)n1)Cc1cccc(C#N)c1 ZINC001492455649 907928187 /nfs/dbraw/zinc/92/81/87/907928187.db2.gz LWSTYQBACSQSHM-UHFFFAOYSA-N 0 1 298.350 0.549 20 30 CCEDMN CCN(C(=O)[C@@H](C)C#N)[C@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001384637736 908269256 /nfs/dbraw/zinc/26/92/56/908269256.db2.gz YIGZFDLIGPMWMG-WDEREUQCSA-N 0 1 291.355 0.465 20 30 CCEDMN CC(C)[C@](C)(NCC(=O)NC1(C#N)CCC1)C(N)=O ZINC001307755383 908338393 /nfs/dbraw/zinc/33/83/93/908338393.db2.gz AIAJPNWVATYYRA-LBPRGKRZSA-N 0 1 266.345 0.038 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)CC(F)(F)F ZINC001307808552 908349259 /nfs/dbraw/zinc/34/92/59/908349259.db2.gz XAZYZSJYFSRJBH-SNVBAGLBSA-N 0 1 252.280 0.797 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)NC[C@@H]1CCN(CC#N)C1 ZINC001317520103 908584080 /nfs/dbraw/zinc/58/40/80/908584080.db2.gz JJTQSEUUYDVBQQ-STQMWFEESA-N 0 1 284.379 0.628 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H]1CCO[C@@]2(CCOC2)C1 ZINC001312396047 908600728 /nfs/dbraw/zinc/60/07/28/908600728.db2.gz DYLVWAOEWWBOOF-ZFWWWQNUSA-N 0 1 295.383 0.189 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H](C)NCc1nncs1 ZINC001328312712 908662265 /nfs/dbraw/zinc/66/22/65/908662265.db2.gz KCQYZADOJNOPEB-BDAKNGLRSA-N 0 1 267.358 0.682 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@@]2(CCOC2)C1 ZINC001313438591 908667342 /nfs/dbraw/zinc/66/73/42/908667342.db2.gz OJKJRYNRYOXSSD-CQSZACIVSA-N 0 1 265.357 0.373 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2ccnc(C)n2)[C@H]1C ZINC001316787328 908773703 /nfs/dbraw/zinc/77/37/03/908773703.db2.gz BNIUVLUPVLWWFB-DOMZBBRYSA-N 0 1 286.379 0.930 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCCN(Cc2n[nH]c(C)n2)C1 ZINC001316943055 908858121 /nfs/dbraw/zinc/85/81/21/908858121.db2.gz DOJWGTHHLYPQMN-CYBMUJFWSA-N 0 1 289.383 0.997 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1C[C@@H](NCc2ncnn2C)C1 ZINC001317110516 908987273 /nfs/dbraw/zinc/98/72/73/908987273.db2.gz VDOCBWQNDQQCAY-TXEJJXNPSA-N 0 1 275.356 0.211 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)Cc1cnn(C)c1C ZINC001317173805 909027634 /nfs/dbraw/zinc/02/76/34/909027634.db2.gz QPGQOLBGTZFWTP-HNNXBMFYSA-N 0 1 288.395 0.875 20 30 CCEDMN C[C@@H](NC(=O)CN1CCCC1)[C@@H]1CCCN(CC#N)C1 ZINC001317205075 909041328 /nfs/dbraw/zinc/04/13/28/909041328.db2.gz PCBHNAYPIQHEET-ZIAGYGMSSA-N 0 1 278.400 0.822 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](C)NCc1ocnc1C ZINC001317236147 909077370 /nfs/dbraw/zinc/07/73/70/909077370.db2.gz QYDYRGHHHDWDIW-IINYFYTJSA-N 0 1 281.356 0.904 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001417636885 921478569 /nfs/dbraw/zinc/47/85/69/921478569.db2.gz YQVNOQHUHYGZHF-NSHDSACASA-N 0 1 289.383 0.561 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H]1CCO[C@@H](C)C1 ZINC001317465480 909245865 /nfs/dbraw/zinc/24/58/65/909245865.db2.gz AICOFOCWTPEZOE-KBPBESRZSA-N 0 1 282.384 0.499 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCN(CC)[C@@H]1CCNC1=O ZINC001317485814 909255000 /nfs/dbraw/zinc/25/50/00/909255000.db2.gz DRMHBJZXXJBNEX-DOMZBBRYSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1CCCN1C(=O)C1CC1 ZINC001317490099 909259382 /nfs/dbraw/zinc/25/93/82/909259382.db2.gz UVLUXYXSRYHCEN-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)Cn1cc(C2CC2)nn1 ZINC001317501351 909273862 /nfs/dbraw/zinc/27/38/62/909273862.db2.gz HQSFZFVWLRUWCO-CYBMUJFWSA-N 0 1 287.367 0.369 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)Cn1nc(C)cc1C ZINC001317500561 909276445 /nfs/dbraw/zinc/27/64/45/909276445.db2.gz DRPDPSRXKCJENA-CQSZACIVSA-N 0 1 274.368 0.714 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)c2ccc[nH]2)C1 ZINC001317519266 909288419 /nfs/dbraw/zinc/28/84/19/909288419.db2.gz WGSDAQPQQBLKFC-LBPRGKRZSA-N 0 1 290.367 0.369 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)C(=O)c1ccn[nH]1 ZINC001388599417 909514192 /nfs/dbraw/zinc/51/41/92/909514192.db2.gz DQADWQCPWBEDFY-JTQLQIEISA-N 0 1 277.328 0.538 20 30 CCEDMN Cc1nc([C@@H](C)N2CC(NC(=O)C#CC3CC3)C2)n[nH]1 ZINC001318023957 909588385 /nfs/dbraw/zinc/58/83/85/909588385.db2.gz CEHUCMCNZQKHBT-SECBINFHSA-N 0 1 273.340 0.388 20 30 CCEDMN C=CCCCN1CC(NC(=O)c2cnc[nH]c2=O)C1 ZINC001318032048 909591527 /nfs/dbraw/zinc/59/15/27/909591527.db2.gz GZFIMKASMWYVGL-UHFFFAOYSA-N 0 1 262.313 0.562 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)CCn2cnccc2=O)C1 ZINC001318074169 909611408 /nfs/dbraw/zinc/61/14/08/909611408.db2.gz LBCMRUJXBVMUEZ-UHFFFAOYSA-N 0 1 290.367 0.400 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)C[C@H]2CCNC2=O)C1 ZINC001318128339 909636073 /nfs/dbraw/zinc/63/60/73/909636073.db2.gz LKVQWXRRVTYPAM-GFCCVEGCSA-N 0 1 265.357 0.137 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CCN(CCOCCO)C2)CC1 ZINC001318351227 909716964 /nfs/dbraw/zinc/71/69/64/909716964.db2.gz FMJNDIJZTXICPX-OAHLLOKOSA-N 0 1 296.411 0.932 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CCOCCOC)C1 ZINC001318491647 909779235 /nfs/dbraw/zinc/77/92/35/909779235.db2.gz KGNVZDXVODRQCY-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN CC(C)(C)NC(=O)CCNC(O)=C1N=CC=CC1=O ZINC001318594497 909828078 /nfs/dbraw/zinc/82/80/78/909828078.db2.gz YMPWZEACJSQBIJ-QXMHVHEDSA-N 0 1 265.313 0.818 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H](C)NC(C)=O)C1CC1 ZINC001389555410 909967779 /nfs/dbraw/zinc/96/77/79/909967779.db2.gz QJYWNDIIOFSSBT-CABZTGNLSA-N 0 1 287.791 0.748 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H](C)CNCc1ccn(C)n1 ZINC001319437142 910147720 /nfs/dbraw/zinc/14/77/20/910147720.db2.gz QAQIJGBIHOELFN-GHMZBOCLSA-N 0 1 263.345 0.516 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@@H](C)Cc1cnc[nH]1)NCC#N ZINC001319946480 910364323 /nfs/dbraw/zinc/36/43/23/910364323.db2.gz HERXCBRNTBBWMP-WCQYABFASA-N 0 1 277.372 0.842 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@H](C)Cc1cnc[nH]1)NCC#N ZINC001319946481 910368059 /nfs/dbraw/zinc/36/80/59/910368059.db2.gz HERXCBRNTBBWMP-YPMHNXCESA-N 0 1 277.372 0.842 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)Cc1ccon1 ZINC001319985662 910396394 /nfs/dbraw/zinc/39/63/94/910396394.db2.gz JAFCILDDLLFBLJ-UHFFFAOYSA-N 0 1 279.340 0.257 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2cc(CN(C)C)on2)NO1 ZINC001319991226 910402804 /nfs/dbraw/zinc/40/28/04/910402804.db2.gz YXCUFFXYVHITIK-ZETCQYMHSA-N 0 1 252.274 0.588 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cn(C)cn1 ZINC001319990009 910403654 /nfs/dbraw/zinc/40/36/54/910403654.db2.gz KMSUUDDMDSLGLV-UHFFFAOYSA-N 0 1 278.356 0.074 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccn(C)c(=O)c1 ZINC001390422183 910409056 /nfs/dbraw/zinc/40/90/56/910409056.db2.gz SPEDSYLBZTXTLV-JTQLQIEISA-N 0 1 283.759 0.846 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnc2cccnn21 ZINC001320058276 910439385 /nfs/dbraw/zinc/43/93/85/910439385.db2.gz KVCXFKMSQXTERX-UHFFFAOYSA-N 0 1 271.324 0.366 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](O)CNCC(=C)Cl ZINC001320212481 910524905 /nfs/dbraw/zinc/52/49/05/910524905.db2.gz AOBAFZAWVIMEBM-NSHDSACASA-N 0 1 258.749 0.609 20 30 CCEDMN C#CCCCC(=O)NC[C@H](O)CNCC(=C)Cl ZINC001320212480 910524920 /nfs/dbraw/zinc/52/49/20/910524920.db2.gz AOBAFZAWVIMEBM-LLVKDONJSA-N 0 1 258.749 0.609 20 30 CCEDMN C=CCCC[NH2+]C[C@H](O)CNC(=O)c1ncccc1[O-] ZINC001320213693 910525894 /nfs/dbraw/zinc/52/58/94/910525894.db2.gz JFMKXNSZWVQVPX-NSHDSACASA-N 0 1 279.340 0.434 20 30 CCEDMN C#CCOCCN(C)[C@H](C)C(=O)NC[C@@H]1CCCO1 ZINC001320281778 910578039 /nfs/dbraw/zinc/57/80/39/910578039.db2.gz KYIGXEIHUXSADP-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN CN(C)Cc1ccc(C(N)=O)cc1NC(=O)CC#N ZINC001320510063 910713780 /nfs/dbraw/zinc/71/37/80/910713780.db2.gz RXIWVYCKJUYZSU-UHFFFAOYSA-N 0 1 260.297 0.699 20 30 CCEDMN Cc1nc(CN2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001391080376 910856451 /nfs/dbraw/zinc/85/64/51/910856451.db2.gz WGJXMRDKFVBIEZ-GXSJLCMTSA-N 0 1 276.344 0.211 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)c1ccoc1C ZINC001320967128 910966411 /nfs/dbraw/zinc/96/64/11/910966411.db2.gz WPHJVYMYDKUQAO-SNAWJCMRSA-N 0 1 291.351 0.766 20 30 CCEDMN C[C@H](NS(=O)(=O)CC1(C#N)CC1)c1nnc[nH]1 ZINC001321198533 911157234 /nfs/dbraw/zinc/15/72/34/911157234.db2.gz AFGSEKVRUOIOTN-ZETCQYMHSA-N 0 1 255.303 0.089 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccc2c(c1)nnn2C ZINC001322194728 911704235 /nfs/dbraw/zinc/70/42/35/911704235.db2.gz VWKXGOCJTRRLKB-NSHDSACASA-N 0 1 285.351 0.652 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H](C)Oc1ccccn1 ZINC001322282219 911743600 /nfs/dbraw/zinc/74/36/00/911743600.db2.gz WLCPRIMUCNLICN-OLZOCXBDSA-N 0 1 275.352 0.919 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1nnc(C)o1 ZINC001392558319 911941701 /nfs/dbraw/zinc/94/17/01/911941701.db2.gz STSQRUPMFHAJJO-QMMMGPOBSA-N 0 1 272.736 0.767 20 30 CCEDMN CN1CCN(C2CN(C(=O)CCCCCC#N)C2)CC1 ZINC001339427235 921724551 /nfs/dbraw/zinc/72/45/51/921724551.db2.gz HMRJICKCVMOBRK-UHFFFAOYSA-N 0 1 278.400 0.919 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@H]1CC[N@H+](CCOC)C1)C(=O)[O-] ZINC001339491892 921736320 /nfs/dbraw/zinc/73/63/20/921736320.db2.gz DYWXWGUNCBSBOU-QWRGUYRKSA-N 0 1 285.344 0.036 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC001328501821 915237629 /nfs/dbraw/zinc/23/76/29/915237629.db2.gz RJEZZDVYPZIOJG-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2n[nH]c(C)c2C)CC1 ZINC001328519515 915246631 /nfs/dbraw/zinc/24/66/31/915246631.db2.gz IDEVGXGZUKOVDO-UHFFFAOYSA-N 0 1 292.383 0.769 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H](OC)C2CC2)CC1 ZINC001328529582 915257255 /nfs/dbraw/zinc/25/72/55/915257255.db2.gz ICUNDYBARMXUQA-CYBMUJFWSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001328750430 915411395 /nfs/dbraw/zinc/41/13/95/915411395.db2.gz SJSDUTLLCCDQDP-RDGWLKQMSA-N 0 1 288.391 0.966 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@H]1CN(C)CC#N ZINC001328752636 915411438 /nfs/dbraw/zinc/41/14/38/915411438.db2.gz KJCGDIXUUPRFNH-JTQLQIEISA-N 0 1 262.317 0.173 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)N(C)CC2CN(C)C2)C1 ZINC001328919705 915516052 /nfs/dbraw/zinc/51/60/52/915516052.db2.gz UCCIFPBZTOCTMB-LBPRGKRZSA-N 0 1 279.384 0.431 20 30 CCEDMN C#CCOCCNC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001329036851 915610921 /nfs/dbraw/zinc/61/09/21/915610921.db2.gz BOYYQKYJYDZNQH-JTQLQIEISA-N 0 1 293.327 0.105 20 30 CCEDMN CCO[C@H](CC)C(=O)NC[C@@H](C)N(C)CC#CCOC ZINC001329393349 915873357 /nfs/dbraw/zinc/87/33/57/915873357.db2.gz NZFGDPXFJCZBSD-ZIAGYGMSSA-N 0 1 284.400 0.888 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1ccc(C)nn1 ZINC001329433179 915914432 /nfs/dbraw/zinc/91/44/32/915914432.db2.gz WBJXBFPXSUCCTC-CYBMUJFWSA-N 0 1 290.367 0.485 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CN1Cc2ccccc2C1=O ZINC001329593259 916042802 /nfs/dbraw/zinc/04/28/02/916042802.db2.gz ZDRKDOHIACIBPK-CYBMUJFWSA-N 0 1 299.374 0.712 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccc(C(N)=O)cc1 ZINC001329614546 916064146 /nfs/dbraw/zinc/06/41/46/916064146.db2.gz VPIZXFDSCWLBAF-LLVKDONJSA-N 0 1 273.336 0.469 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H](C)c1cnn(C)c1 ZINC001329626648 916073678 /nfs/dbraw/zinc/07/36/78/916073678.db2.gz AIBVQVRBBKKUFQ-NEPJUHHUSA-N 0 1 262.357 0.593 20 30 CCEDMN CON=CC(=O)NCc1ccccc1N1CCN(C)CC1 ZINC001329802463 916193121 /nfs/dbraw/zinc/19/31/21/916193121.db2.gz YCNCQKLNPKJXND-UHFFFAOYSA-N 0 1 290.367 0.687 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001330022408 916362604 /nfs/dbraw/zinc/36/26/04/916362604.db2.gz RFUKIOHIGCBKDO-IAQYHMDHSA-N 0 1 279.384 0.525 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCc2nc(C)no2)C1 ZINC001330030544 916366575 /nfs/dbraw/zinc/36/65/75/916366575.db2.gz FIPHFLUFGHMBGC-NSHDSACASA-N 0 1 290.367 0.770 20 30 CCEDMN CCN1CCO[C@@]2(CCN(C(=O)CSCC#N)C2)C1 ZINC001330147919 916435794 /nfs/dbraw/zinc/43/57/94/916435794.db2.gz MNHDBTQNYSWOHO-ZDUSSCGKSA-N 0 1 283.397 0.566 20 30 CCEDMN N#CCC1(O)CN(C(=O)[C@H]2CCN2C2CCCC2)C1 ZINC001330247519 916504886 /nfs/dbraw/zinc/50/48/86/916504886.db2.gz IQIBPJZNKVCHRQ-GFCCVEGCSA-N 0 1 263.341 0.490 20 30 CCEDMN CC(C)NC(=O)NCCN(C)C[C@@H](C)NC(=O)[C@H](C)C#N ZINC001401729639 916523082 /nfs/dbraw/zinc/52/30/82/916523082.db2.gz BINJPHYISHGHBO-VXGBXAGGSA-N 0 1 297.403 0.290 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)NC[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC001330297894 916536355 /nfs/dbraw/zinc/53/63/55/916536355.db2.gz QWSYOHYSAQVXKS-YIYPIFLZSA-N 0 1 296.390 0.484 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)CS(C)(=O)=O)C1CC1 ZINC001401840247 916629091 /nfs/dbraw/zinc/62/90/91/916629091.db2.gz XDJSHJYVWYDQQE-JTQLQIEISA-N 0 1 294.804 0.268 20 30 CCEDMN CN[C@@H](C)c1cn(CC(=O)NC2(C#N)CCC2)nn1 ZINC001330783372 916860375 /nfs/dbraw/zinc/86/03/75/916860375.db2.gz KECVNDORXCJDPL-VIFPVBQESA-N 0 1 262.317 0.121 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H]1CCCO1 ZINC001492910026 916986103 /nfs/dbraw/zinc/98/61/03/916986103.db2.gz HIMKWONELRASJN-CYBMUJFWSA-N 0 1 268.357 0.253 20 30 CCEDMN C#CCC[N@H+](C)CCOCCNC(=O)c1ncccc1[O-] ZINC001331117852 917100621 /nfs/dbraw/zinc/10/06/21/917100621.db2.gz NHZPDTFMGGQVLA-UHFFFAOYSA-N 0 1 291.351 0.489 20 30 CCEDMN C#CCC[N@@H+](C)CCOCCNC(=O)c1ncccc1[O-] ZINC001331117852 917100632 /nfs/dbraw/zinc/10/06/32/917100632.db2.gz NHZPDTFMGGQVLA-UHFFFAOYSA-N 0 1 291.351 0.489 20 30 CCEDMN Cc1cc(CN[C@H]2C[C@@H](NC(=O)[C@H](C)C#N)C2)nn1C ZINC001402574919 917105047 /nfs/dbraw/zinc/10/50/47/917105047.db2.gz HDVBYQWGZUTXLU-JLLWLGSASA-N 0 1 275.356 0.625 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C(=O)NCC(F)F ZINC001331492106 917371024 /nfs/dbraw/zinc/37/10/24/917371024.db2.gz SQNIGWZLFSCPOS-MRVPVSSYSA-N 0 1 297.733 0.557 20 30 CCEDMN CC(=O)NC[C@@H](CO)NCc1ccc(C#N)s1 ZINC001331667042 917481669 /nfs/dbraw/zinc/48/16/69/917481669.db2.gz SQTLBWXXOYKLEZ-VIFPVBQESA-N 0 1 253.327 0.206 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)/C=C/c1ccc[nH]1 ZINC001331707750 917535531 /nfs/dbraw/zinc/53/55/31/917535531.db2.gz OOOYWKKKKFGOGE-KTRBRXNASA-N 0 1 261.325 0.118 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(C)c(C)n1 ZINC001331760712 917582773 /nfs/dbraw/zinc/58/27/73/917582773.db2.gz WEQGUNBOVVFTGY-CYBMUJFWSA-N 0 1 275.352 0.402 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(Cl)ccc1OC ZINC001331820467 917636333 /nfs/dbraw/zinc/63/63/33/917636333.db2.gz SMOGJOGQMQZCPC-LLVKDONJSA-N 0 1 296.754 0.662 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(F)cc1F ZINC001331820159 917637643 /nfs/dbraw/zinc/63/76/43/917637643.db2.gz QNSGDYQTCNAKOA-LLVKDONJSA-N 0 1 282.290 0.668 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(=O)[nH]n1 ZINC001403520538 917769305 /nfs/dbraw/zinc/76/93/05/917769305.db2.gz FNWZLXMJCQNWLC-SECBINFHSA-N 0 1 284.747 0.985 20 30 CCEDMN N#C[C@@]1(C(=O)N2CCc3nc[nH]c3C2)CCCOC1 ZINC001332135696 917892242 /nfs/dbraw/zinc/89/22/42/917892242.db2.gz JSKGBBWWUYDYJX-ZDUSSCGKSA-N 0 1 260.297 0.615 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H](CO)NCc2cccnc2)c[nH]1 ZINC001403654568 917893336 /nfs/dbraw/zinc/89/33/36/917893336.db2.gz KHTDCYXRLIVFMZ-CQSZACIVSA-N 0 1 299.334 0.162 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCc2cnn(C)n2)[C@@H]1C ZINC001332205313 917955574 /nfs/dbraw/zinc/95/55/74/917955574.db2.gz JIWRMMSJYFEDEL-JSGCOSHPSA-N 0 1 289.383 0.350 20 30 CCEDMN CC(C)(C#N)CNC[C@H](O)CC1(O)CCOCC1 ZINC001332288455 918026059 /nfs/dbraw/zinc/02/60/59/918026059.db2.gz RBPBNOKBRCAFOG-LLVKDONJSA-N 0 1 256.346 0.418 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@@H](O)CN(C)Cc1cccnc1 ZINC001403895752 918097099 /nfs/dbraw/zinc/09/70/99/918097099.db2.gz MYEWLZWYRSYSCB-JSGCOSHPSA-N 0 1 290.367 0.492 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1ccns1 ZINC001332632271 918331205 /nfs/dbraw/zinc/33/12/05/918331205.db2.gz NHOOCLAVZIBKOV-NSHDSACASA-N 0 1 281.381 0.531 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)COCC(F)F ZINC001332648539 918353417 /nfs/dbraw/zinc/35/34/17/918353417.db2.gz QGGMCMWZENMXBQ-NSHDSACASA-N 0 1 292.326 0.043 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1[nH]c(C)nc1C ZINC001332663560 918364813 /nfs/dbraw/zinc/36/48/13/918364813.db2.gz NRXVMRZYVLSAKN-ZDUSSCGKSA-N 0 1 292.383 0.415 20 30 CCEDMN C=CC[C@@H](NC(=O)C1CN([C@@H]2CCOC2)C1)C(=O)OCC ZINC001332773900 918429595 /nfs/dbraw/zinc/42/95/95/918429595.db2.gz GLPMTNCRKNORPP-CHWSQXEVSA-N 0 1 296.367 0.331 20 30 CCEDMN N#CC[C@@H](O)CN1CC[C@H](C(F)(F)F)[C@H](CO)C1 ZINC001333032253 918609848 /nfs/dbraw/zinc/60/98/48/918609848.db2.gz QWJMTONLZWTMKN-AEJSXWLSSA-N 0 1 266.263 0.754 20 30 CCEDMN CC[C@@H](CC#N)NC[C@H](O)CC1(O)CCOCC1 ZINC001333039959 918616014 /nfs/dbraw/zinc/61/60/14/918616014.db2.gz YYHUTKNLUNKHJV-NWDGAFQWSA-N 0 1 256.346 0.561 20 30 CCEDMN C=C(C)C[C@H](NC(=O)NCC[N@H+]1CCCOCC1)C(=O)[O-] ZINC001333317893 918797473 /nfs/dbraw/zinc/79/74/73/918797473.db2.gz KDVQEAOGJYPUKM-LBPRGKRZSA-N 0 1 299.371 0.427 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@@H]1C[C@@H](C)[N@H+](C2CC2)C1)C(=O)[O-] ZINC001333318014 918797808 /nfs/dbraw/zinc/79/78/08/918797808.db2.gz OBSFSWHXAZJDOZ-FOGDFJRCSA-N 0 1 281.356 0.940 20 30 CCEDMN C#CCN1CC=C(CNC(=O)CC2(O)CCC2)CC1 ZINC001333336110 918815124 /nfs/dbraw/zinc/81/51/24/918815124.db2.gz ASOOQIVYEHERNI-UHFFFAOYSA-N 0 1 262.353 0.673 20 30 CCEDMN C=CC[C@H]1NC(=O)N(Cc2n[nH]c(C3CC3)n2)C1=O ZINC001333645735 919068658 /nfs/dbraw/zinc/06/86/58/919068658.db2.gz SAWNREUFBXCUAF-MRVPVSSYSA-N 0 1 261.285 0.679 20 30 CCEDMN CCN(CCNC(=O)C#CC1CC1)[C@@H]1CCCNC1=O ZINC001492950365 919184400 /nfs/dbraw/zinc/18/44/00/919184400.db2.gz PJSKRYKOHHFPRP-CYBMUJFWSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](Cc2cn(C)nc2C(=O)[O-])C1 ZINC001334006260 919314874 /nfs/dbraw/zinc/31/48/74/919314874.db2.gz VQYXVYNVWPMJFS-NSHDSACASA-N 0 1 265.313 0.895 20 30 CCEDMN C#CC1(O)CCN(Cc2c(C)nn(CCO)c2C)CC1 ZINC001334373860 919532039 /nfs/dbraw/zinc/53/20/39/919532039.db2.gz HTNQXFPMIMDDJP-UHFFFAOYSA-N 0 1 277.368 0.452 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)Cn2cncn2)CCC1 ZINC001407817884 919909899 /nfs/dbraw/zinc/90/98/99/919909899.db2.gz JCFOKQJKCUCUAP-UHFFFAOYSA-N 0 1 283.763 0.659 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)CNC(C)=O)CC1 ZINC001407851485 919918562 /nfs/dbraw/zinc/91/85/62/919918562.db2.gz OTWWXZFYNHJQJM-LBPRGKRZSA-N 0 1 287.791 0.846 20 30 CCEDMN N#CC1(CNC[C@@H](O)CC2(C#N)CCOCC2)CC1 ZINC001335379696 920158253 /nfs/dbraw/zinc/15/82/53/920158253.db2.gz BDHOVXWHCVDAHD-LBPRGKRZSA-N 0 1 263.341 0.951 20 30 CCEDMN C[C@@H](CCS(C)(=O)=O)NC[C@H](C#N)CCC#N ZINC001335459090 920206726 /nfs/dbraw/zinc/20/67/26/920206726.db2.gz TWEKITXYPYTXDV-QWRGUYRKSA-N 0 1 257.359 0.843 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N[C@H]1CN2CCC1CC2 ZINC001336081974 920598787 /nfs/dbraw/zinc/59/87/87/920598787.db2.gz RRBNOBBQCFBUMQ-LBPRGKRZSA-N 0 1 258.325 0.721 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N[C@@H]1CN2CCC1CC2 ZINC001336081964 920599782 /nfs/dbraw/zinc/59/97/82/920599782.db2.gz RRBNOBBQCFBUMQ-GFCCVEGCSA-N 0 1 258.325 0.721 20 30 CCEDMN N#CCCN1CCN(CCCN2CCOCC2)CC1 ZINC001336773812 920998842 /nfs/dbraw/zinc/99/88/42/920998842.db2.gz NEZNRLHSUXYDOQ-UHFFFAOYSA-N 0 1 266.389 0.240 20 30 CCEDMN COCC[C@H]1CNCCN1C(=O)NCCCCC#N ZINC001336797838 921017297 /nfs/dbraw/zinc/01/72/97/921017297.db2.gz FKQVLLYUENJDEG-LBPRGKRZSA-N 0 1 268.361 0.700 20 30 CCEDMN CCCNC(=O)CN1CCC[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001418119767 921846049 /nfs/dbraw/zinc/84/60/49/921846049.db2.gz DBYLAPMPIUNXSW-STQMWFEESA-N 0 1 294.399 0.500 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2ncc(C)s2)CC1 ZINC001340223815 922014743 /nfs/dbraw/zinc/01/47/43/922014743.db2.gz YVCUIBVQXMBJKL-UHFFFAOYSA-N 0 1 278.381 0.323 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C)CC1(C)COC1 ZINC001340601766 922135809 /nfs/dbraw/zinc/13/58/09/922135809.db2.gz XAPHVASKAUIBNI-UHFFFAOYSA-N 0 1 289.343 0.722 20 30 CCEDMN C#CCN(CC#CC)c1nnc(-c2nc(Cl)n[n-]2)n1C ZINC001341247855 922397012 /nfs/dbraw/zinc/39/70/12/922397012.db2.gz IDKAHVXXVOWDEF-UHFFFAOYSA-N 0 1 289.730 0.717 20 30 CCEDMN Cc1nc([C@H](C)N(CCNC(=O)[C@@H](C)C#N)C2CC2)n[nH]1 ZINC001418748990 922406213 /nfs/dbraw/zinc/40/62/13/922406213.db2.gz AQYWMYWGCWGZRH-UWVGGRQHSA-N 0 1 290.371 0.914 20 30 CCEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC001418919658 922481248 /nfs/dbraw/zinc/48/12/48/922481248.db2.gz ASWAEYLFWVVSGM-VXGBXAGGSA-N 0 1 299.802 0.798 20 30 CCEDMN C#CCNCC(=O)N1CCN(C(=O)Cc2ccccc2)CC1 ZINC001341431848 922482949 /nfs/dbraw/zinc/48/29/49/922482949.db2.gz OHAQDSNZMJZOTB-UHFFFAOYSA-N 0 1 299.374 0.123 20 30 CCEDMN C#CCNCC(=O)N[C@H](c1ccccc1)[C@H](C)C(=O)OC ZINC001341519462 922532148 /nfs/dbraw/zinc/53/21/48/922532148.db2.gz YDZNENYAOVLHNH-WFASDCNBSA-N 0 1 288.347 0.876 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](C(F)(F)F)O[C@H](C)C1 ZINC001341580288 922565174 /nfs/dbraw/zinc/56/51/74/922565174.db2.gz UIRVTHRDMLYVSL-NXEZZACHSA-N 0 1 278.274 0.778 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccnn1C1CCC1 ZINC001341641716 922601704 /nfs/dbraw/zinc/60/17/04/922601704.db2.gz CNLMDCWSUNVHOO-MWLCHTKSSA-N 0 1 259.313 0.449 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CO[C@@H]1CCOC1 ZINC001341784504 922673531 /nfs/dbraw/zinc/67/35/31/922673531.db2.gz FJHKXRNCTYERIM-ZJUUUORDSA-N 0 1 254.286 0.025 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1cn(C)nc1C(F)(F)F ZINC001341792189 922675914 /nfs/dbraw/zinc/67/59/14/922675914.db2.gz QKDAKUXMDKVRIC-UHFFFAOYSA-N 0 1 288.273 0.620 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cc(=O)n(C)cn1 ZINC001419191568 922702726 /nfs/dbraw/zinc/70/27/26/922702726.db2.gz BFKZSVIKCVTQGK-JTQLQIEISA-N 0 1 298.774 0.631 20 30 CCEDMN C#CCNCC(=O)NCc1nc2cccc(C)c2n1C ZINC001341891165 922710846 /nfs/dbraw/zinc/71/08/46/922710846.db2.gz MOFUCDQLRBTOGT-UHFFFAOYSA-N 0 1 270.336 0.721 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC001341946734 922735102 /nfs/dbraw/zinc/73/51/02/922735102.db2.gz GDFBKYWDQCVZDR-VFRUTBLMSA-N 0 1 260.293 0.589 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(=O)[nH]n1 ZINC001419646584 922966236 /nfs/dbraw/zinc/96/62/36/922966236.db2.gz MFHPKYXXRKJERT-SECBINFHSA-N 0 1 284.747 0.985 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2COC[C@@H]2C2CC2)CC1 ZINC001342666848 923046451 /nfs/dbraw/zinc/04/64/51/923046451.db2.gz SGRIWLKRJNMJRN-HUUCEWRRSA-N 0 1 291.395 0.808 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(C(N)=O)c[nH]1 ZINC001419750668 923066151 /nfs/dbraw/zinc/06/61/51/923066151.db2.gz YPJLQWYYPAKZPJ-VIFPVBQESA-N 0 1 298.774 0.916 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc(C)sn1 ZINC001420096330 923306316 /nfs/dbraw/zinc/30/63/16/923306316.db2.gz CGOGRUOYDISICW-VIFPVBQESA-N 0 1 289.788 0.884 20 30 CCEDMN Cc1cccc(C(=O)C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC001343467760 923332712 /nfs/dbraw/zinc/33/27/12/923332712.db2.gz OLHNMJQFCPFISW-NEPJUHHUSA-N 0 1 257.293 0.406 20 30 CCEDMN CC(=O)NC1CCC(C(=O)C(C#N)C(=O)NC(C)C)CC1 ZINC001343509607 923357335 /nfs/dbraw/zinc/35/73/35/923357335.db2.gz QUBRHPGIGMBOFH-BPCQOVAHSA-N 0 1 293.367 0.915 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@H]1CCNC1=O)C1CC1 ZINC001420746217 923916077 /nfs/dbraw/zinc/91/60/77/923916077.db2.gz XTXYZURDDAAAAJ-NEPJUHHUSA-N 0 1 299.802 0.750 20 30 CCEDMN C#CCN1CCC(OC(=O)Cn2nccc2C)CC1 ZINC001346150626 924086504 /nfs/dbraw/zinc/08/65/04/924086504.db2.gz LYIKDLOJSRXJIJ-UHFFFAOYSA-N 0 1 261.325 0.832 20 30 CCEDMN CCn1cc(CCN2CC[C@@H](NC(=O)[C@@H](C)C#N)C2)cn1 ZINC001421266492 924244269 /nfs/dbraw/zinc/24/42/69/924244269.db2.gz FNWPFYNVRHOYQX-GXTWGEPZSA-N 0 1 289.383 0.796 20 30 CCEDMN CCN1CCN(C2CN(c3cnc(C#N)c(C#N)n3)C2)CC1 ZINC001421268147 924245533 /nfs/dbraw/zinc/24/55/33/924245533.db2.gz DQLGFBNHZFMTQO-UHFFFAOYSA-N 0 1 297.366 0.046 20 30 CCEDMN C=CCN(C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C)C1CC1 ZINC001347183515 924390177 /nfs/dbraw/zinc/39/01/77/924390177.db2.gz ANYPBABLQWRPTD-OCCSQVGLSA-N 0 1 280.416 0.981 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N1CC[C@](C)(C#N)C1 ZINC001347335313 924426036 /nfs/dbraw/zinc/42/60/36/924426036.db2.gz SICBDQJQFMOCRO-MBNYWOFBSA-N 0 1 262.357 0.137 20 30 CCEDMN CCN1CCN(C2CN(C(=O)C#Cc3ccccc3)C2)CC1 ZINC001347432073 924446137 /nfs/dbraw/zinc/44/61/37/924446137.db2.gz GAOPGGJRBSADHS-UHFFFAOYSA-N 0 1 297.402 0.886 20 30 CCEDMN C=CCOCCCC(=O)N1CC(N2CCN(CC)CC2)C1 ZINC001348455487 924695023 /nfs/dbraw/zinc/69/50/23/924695023.db2.gz UJBQUHMKEMWLJP-UHFFFAOYSA-N 0 1 295.427 0.818 20 30 CCEDMN CC(C)(C)C#CC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001348749666 924794634 /nfs/dbraw/zinc/79/46/34/924794634.db2.gz YOVCPMYWFGBBQW-XJKSGUPXSA-N 0 1 294.395 0.378 20 30 CCEDMN C=C(C)CCC(=O)NCCNC(=O)c1[nH]ncc1F ZINC001349142530 924891483 /nfs/dbraw/zinc/89/14/83/924891483.db2.gz HUZMZNLFBREFCA-UHFFFAOYSA-N 0 1 268.292 0.751 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNC(=O)c1cccc2nc[nH]c21 ZINC001349516981 924981179 /nfs/dbraw/zinc/98/11/79/924981179.db2.gz FDZUQYSMHDUSEI-SECBINFHSA-N 0 1 285.307 0.569 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)[C@H](C)NC(N)=O)CC1 ZINC001479992284 925058654 /nfs/dbraw/zinc/05/86/54/925058654.db2.gz MRUFVYCFKHCCBH-LBPRGKRZSA-N 0 1 294.399 0.379 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(C[C@H]2CN3CCC[C@@H]3CO2)C1=O ZINC001350937836 925315359 /nfs/dbraw/zinc/31/53/59/925315359.db2.gz QYPRRVKJFYJUQJ-UTUOFQBUSA-N 0 1 279.340 0.346 20 30 CCEDMN C#C[C@H](NC[C@H]1CCCS1(=O)=O)[C@H]1CCCO1 ZINC001351319092 925403394 /nfs/dbraw/zinc/40/33/94/925403394.db2.gz HFZUZTIMCZTWHE-GRYCIOLGSA-N 0 1 257.355 0.334 20 30 CCEDMN CCc1cc(C(=O)N2CCC[C@H](CNCC#N)C2)n[nH]1 ZINC001480273210 925572718 /nfs/dbraw/zinc/57/27/18/925572718.db2.gz GRMQMZKPPIBPJZ-LLVKDONJSA-N 0 1 275.356 0.937 20 30 CCEDMN C=CCOCCCn1nnnc1N(C)Cc1nnc[nH]1 ZINC001352559754 925598668 /nfs/dbraw/zinc/59/86/68/925598668.db2.gz ZHAQIZKKJGVLQG-UHFFFAOYSA-N 0 1 278.320 0.020 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](NC(=O)C#CC3CC3)C2)n[nH]1 ZINC001352820876 925650840 /nfs/dbraw/zinc/65/08/40/925650840.db2.gz KJAIMKBOTIUOGQ-GFCCVEGCSA-N 0 1 286.335 0.462 20 30 CCEDMN C#CCC1(NC(=O)[C@@H]2CN3CCN2C[C@@H]3C)CCOCC1 ZINC001352899048 925666962 /nfs/dbraw/zinc/66/69/62/925666962.db2.gz BMDFUJMJMZMHFV-KBPBESRZSA-N 0 1 291.395 0.063 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001352972629 925687793 /nfs/dbraw/zinc/68/77/93/925687793.db2.gz IDHVTDFZTITLKL-NSHDSACASA-N 0 1 276.340 0.636 20 30 CCEDMN N#CCC[C@@H](C#N)CNCC[C@@]1(O)CCCOC1 ZINC001353248860 925771488 /nfs/dbraw/zinc/77/14/88/925771488.db2.gz VGIVCVGSFILRAV-STQMWFEESA-N 0 1 251.330 0.951 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H](C)NCc1c(C)noc1C ZINC001480459967 925780321 /nfs/dbraw/zinc/78/03/21/925780321.db2.gz RUPWWXCGUMEBQT-LLVKDONJSA-N 0 1 293.367 0.926 20 30 CCEDMN C[C@@H](NC[C@@H](O)CC1(C#N)CCC1)c1nncn1C ZINC001353353386 925809749 /nfs/dbraw/zinc/80/97/49/925809749.db2.gz HFYZOXICIRCAFD-MNOVXSKESA-N 0 1 263.345 0.911 20 30 CCEDMN CC#CCCNC(=O)N1CCNC[C@@H]1CCOC ZINC001353392076 925819443 /nfs/dbraw/zinc/81/94/43/925819443.db2.gz XNJHEWHUYNZQEO-LBPRGKRZSA-N 0 1 253.346 0.420 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CCNC[C@H]1COC ZINC001353402105 925821839 /nfs/dbraw/zinc/82/18/39/925821839.db2.gz HJPQHYXZGGTHKL-RDBSUJKOSA-N 0 1 297.399 0.597 20 30 CCEDMN C=C(C)CCC(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC001353778867 925930086 /nfs/dbraw/zinc/93/00/86/925930086.db2.gz VGTTZAJKCIEXNL-VIFPVBQESA-N 0 1 251.290 0.456 20 30 CCEDMN C=CCCCC(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001353802369 925935772 /nfs/dbraw/zinc/93/57/72/925935772.db2.gz HEPFLHWENVGRKC-AOOOYVTPSA-N 0 1 277.328 0.538 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CNC(=O)C#CC(C)C)c1C ZINC001354369318 926096284 /nfs/dbraw/zinc/09/62/84/926096284.db2.gz QQTFFGJBNFEKPZ-JTQLQIEISA-N 0 1 290.367 0.920 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)NC(=O)CCc1cnc[nH]1 ZINC001354380048 926099058 /nfs/dbraw/zinc/09/90/58/926099058.db2.gz RLOQLNMFPCFNDK-NSHDSACASA-N 0 1 288.351 0.377 20 30 CCEDMN C[C@H](CNC(=O)c1[nH]ncc1F)NC(=O)C#CC(C)(C)C ZINC001354706004 926158545 /nfs/dbraw/zinc/15/85/45/926158545.db2.gz JOGHAMASRYSIQI-SECBINFHSA-N 0 1 294.330 0.833 20 30 CCEDMN COc1cc(=O)[nH]cc1C(=O)NC1(C#N)CCN(C)CC1 ZINC001354932843 926184417 /nfs/dbraw/zinc/18/44/17/926184417.db2.gz ITJLXIRKKJTRCW-UHFFFAOYSA-N 0 1 290.323 0.514 20 30 CCEDMN CC(=O)NC1CCC(C(=O)C(C#N)C(=O)NC2CC2)CC1 ZINC001356264430 926363584 /nfs/dbraw/zinc/36/35/84/926363584.db2.gz BORPCQGUKRTYOD-GCZXYKMCSA-N 0 1 291.351 0.669 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)c1cn(C2CC2)nn1 ZINC001356567594 926402002 /nfs/dbraw/zinc/40/20/02/926402002.db2.gz QMUVYAADLCUKAD-VIFPVBQESA-N 0 1 256.269 0.837 20 30 CCEDMN C#CCCCCC(=O)NC[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001357018294 926475948 /nfs/dbraw/zinc/47/59/48/926475948.db2.gz MFQRBXWRDGISJG-NSHDSACASA-N 0 1 291.355 0.480 20 30 CCEDMN C#CCCCCC(=O)NC[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001357018294 926475951 /nfs/dbraw/zinc/47/59/51/926475951.db2.gz MFQRBXWRDGISJG-NSHDSACASA-N 0 1 291.355 0.480 20 30 CCEDMN C=CCCC(=O)NCC=CCNC(=O)c1cnn[nH]1 ZINC001357654268 926577767 /nfs/dbraw/zinc/57/77/67/926577767.db2.gz IDOUEWUPFIJUFG-PLNGDYQASA-N 0 1 263.301 0.173 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001358525247 926732086 /nfs/dbraw/zinc/73/20/86/926732086.db2.gz QKOHVIXVHJJFGT-QMMMGPOBSA-N 0 1 251.290 0.005 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001358531590 926735868 /nfs/dbraw/zinc/73/58/68/926735868.db2.gz PYGVNEYOGYALQB-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C[C@@H]1CC[C@H](CO)[N@H+]1Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001414031564 926870110 /nfs/dbraw/zinc/87/01/10/926870110.db2.gz CVMCWMOUCHOUTI-BXKDBHETSA-N 0 1 287.323 0.652 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CNC(=O)N2)CCC1 ZINC001421771938 926903254 /nfs/dbraw/zinc/90/32/54/926903254.db2.gz GJUCSWVVGHBPSS-SECBINFHSA-N 0 1 286.763 0.049 20 30 CCEDMN CO[C@H]1CC[C@H]1[N@@H+](C)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001414056227 926905374 /nfs/dbraw/zinc/90/53/74/926905374.db2.gz BEYRVMMFUHWIAY-NEPJUHHUSA-N 0 1 287.323 0.916 20 30 CCEDMN CN(C[C@@H](O)c1cccc(C#N)c1)c1nc(N)nc(N)[nH+]1 ZINC001413893675 926949270 /nfs/dbraw/zinc/94/92/70/926949270.db2.gz UNQIGQNEHFRNPU-SNVBAGLBSA-N 0 1 285.311 0.077 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](C(=O)NC)C1 ZINC001421947753 927005400 /nfs/dbraw/zinc/00/54/00/927005400.db2.gz JYIZBGQAPPLEBT-VXGBXAGGSA-N 0 1 280.372 0.062 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C[C@@H](C)SC ZINC001422284307 927194520 /nfs/dbraw/zinc/19/45/20/927194520.db2.gz QTFAEZPZNIRLHF-NXEZZACHSA-N 0 1 280.821 0.947 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CC[C@@H](C(N)=O)O3)[nH]c2c1 ZINC001361685980 927247495 /nfs/dbraw/zinc/24/74/95/927247495.db2.gz CAIPODKBVAZMFL-WDEREUQCSA-N 0 1 299.290 0.406 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cccc2[nH]c(CO)nc21 ZINC001361841356 927378343 /nfs/dbraw/zinc/37/83/43/927378343.db2.gz BNQIKSDTTJEVLW-SECBINFHSA-N 0 1 286.291 0.420 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cnn(CC)n1 ZINC001422642319 927431053 /nfs/dbraw/zinc/43/10/53/927431053.db2.gz ZDANIBPUEQACBV-VIFPVBQESA-N 0 1 271.752 0.758 20 30 CCEDMN N#CCSc1cccc(C(=O)NCc2nn[n-]n2)c1 ZINC001361913912 927442900 /nfs/dbraw/zinc/44/29/00/927442900.db2.gz GVWCDFKQAPAWGJ-UHFFFAOYSA-N 0 1 274.309 0.745 20 30 CCEDMN N#CCSc1cccc(C(=O)NCc2nn[nH]n2)c1 ZINC001361913912 927442909 /nfs/dbraw/zinc/44/29/09/927442909.db2.gz GVWCDFKQAPAWGJ-UHFFFAOYSA-N 0 1 274.309 0.745 20 30 CCEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)Cn1ncnn1 ZINC001422975267 927615779 /nfs/dbraw/zinc/61/57/79/927615779.db2.gz AGVXWVUEUNBZPB-LLVKDONJSA-N 0 1 298.778 0.252 20 30 CCEDMN N#CC1(CNC(=O)Cc2n[nH]c(C3CCOCC3)n2)CC1 ZINC001362583237 928209365 /nfs/dbraw/zinc/20/93/65/928209365.db2.gz JLYQLNBDJHKUKV-UHFFFAOYSA-N 0 1 289.339 0.661 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CN1CCCC1=O ZINC001424755094 928276955 /nfs/dbraw/zinc/27/69/55/928276955.db2.gz YFDLESRTKKUGGW-MNOVXSKESA-N 0 1 287.791 0.844 20 30 CCEDMN N#Cc1ccc(CC(=O)NCc2n[nH]c(CO)n2)cc1 ZINC001363114425 928885963 /nfs/dbraw/zinc/88/59/63/928885963.db2.gz UMDBRQIEZBTULW-UHFFFAOYSA-N 0 1 271.280 0.028 20 30 CCEDMN N#Cc1ccc(CC(=O)NCc2nnc(CO)[nH]2)cc1 ZINC001363114425 928885968 /nfs/dbraw/zinc/88/59/68/928885968.db2.gz UMDBRQIEZBTULW-UHFFFAOYSA-N 0 1 271.280 0.028 20 30 CCEDMN COc1cc(CNC(=O)Cc2nnc[nH]2)ccc1C#N ZINC001363159306 928932841 /nfs/dbraw/zinc/93/28/41/928932841.db2.gz NDGIJCXWLVXDLX-UHFFFAOYSA-N 0 1 271.280 0.544 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)[C@H](O)c1ccncc1 ZINC001363189091 928958564 /nfs/dbraw/zinc/95/85/64/928958564.db2.gz WVDMVMKCAWCXAC-MVWJERBFSA-N 0 1 291.307 0.323 20 30 CCEDMN CN(C[C@@H](O)c1cccc(C#N)c1)[C@@]1(CO)CCOC1 ZINC001363225489 928995042 /nfs/dbraw/zinc/99/50/42/928995042.db2.gz LZVJDOURJLMEPA-HUUCEWRRSA-N 0 1 276.336 0.675 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001363330464 929122817 /nfs/dbraw/zinc/12/28/17/929122817.db2.gz ZWYQOEKDCNQFGS-SNVBAGLBSA-N 0 1 283.295 0.405 20 30 CCEDMN Cc1cn[nH]c1CN1C[C@H]2CS(=O)(=O)C[C@]2(C#N)C1 ZINC001363333237 929124316 /nfs/dbraw/zinc/12/43/16/929124316.db2.gz OMGZZTFNECBEBJ-JQWIXIFHSA-N 0 1 280.353 0.088 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@H](Cc3nn[nH]n3)C2)nc1 ZINC001363437811 929231378 /nfs/dbraw/zinc/23/13/78/929231378.db2.gz WMBWKSJARHVZSE-SNVBAGLBSA-N 0 1 297.322 0.561 20 30 CCEDMN COCC(COC)N1CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC001363796062 929582186 /nfs/dbraw/zinc/58/21/86/929582186.db2.gz AIFSIMNQTLAJEM-ZIAGYGMSSA-N 0 1 281.400 0.958 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CN(Cc2ccn(C)c(=O)c2)C1 ZINC001443569758 929648598 /nfs/dbraw/zinc/64/85/98/929648598.db2.gz KULXERDATUAAIO-LLVKDONJSA-N 0 1 288.351 0.093 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1CCC(=O)N1C ZINC001443967260 929753542 /nfs/dbraw/zinc/75/35/42/929753542.db2.gz NLYBBAOYNJTTLO-MNOVXSKESA-N 0 1 287.791 0.844 20 30 CCEDMN COc1cc(CNCc2n[nH]c(CO)n2)ccc1C#N ZINC001414117822 929769629 /nfs/dbraw/zinc/76/96/29/929769629.db2.gz KIQLQWSKMRCTTO-UHFFFAOYSA-N 0 1 273.296 0.467 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001372566386 929885303 /nfs/dbraw/zinc/88/53/03/929885303.db2.gz BTFSRJJHBCXOIU-DDHJBXDOSA-N 0 1 278.356 0.004 20 30 CCEDMN C=C(Cl)CN(C)C[C@H](C)NC(=O)Cc1n[nH]c(C)n1 ZINC001445721406 930230581 /nfs/dbraw/zinc/23/05/81/930230581.db2.gz VOUSLGTYLZXKTA-VIFPVBQESA-N 0 1 285.779 0.845 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@](C)(CNCc2cn(C)nn2)C1 ZINC001446578664 930442748 /nfs/dbraw/zinc/44/27/48/930442748.db2.gz GBMCJSDPIFFPLQ-SMDDNHRTSA-N 0 1 290.371 0.303 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](O)CNCc1cc(C2CC2)no1 ZINC001374589637 930577985 /nfs/dbraw/zinc/57/79/85/930577985.db2.gz IQOPCADUFVRPAS-MWLCHTKSSA-N 0 1 292.339 0.278 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)C[C@H](C)NC(=O)c1c[nH]c(C#N)c1 ZINC001375032684 930771814 /nfs/dbraw/zinc/77/18/14/930771814.db2.gz XLQVMVKSZZFENQ-CABZTGNLSA-N 0 1 291.355 0.200 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)CCn1cncn1)C1CC1 ZINC001375205711 930815431 /nfs/dbraw/zinc/81/54/31/930815431.db2.gz CAESJYSDUIMUDI-LBPRGKRZSA-N 0 1 297.790 0.905 20 30 CCEDMN CC[C@H](C)NC(=O)CNC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001375401042 930876876 /nfs/dbraw/zinc/87/68/76/930876876.db2.gz AQYHYTQQRBLFAI-MNOVXSKESA-N 0 1 280.372 0.299 20 30 CCEDMN Cc1nn(C)cc1CNC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001375403350 930879551 /nfs/dbraw/zinc/87/95/51/930879551.db2.gz MJTXIIYLBKTFRC-JTQLQIEISA-N 0 1 275.356 0.627 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1CCCC(=O)N1 ZINC001448677576 930944694 /nfs/dbraw/zinc/94/46/94/930944694.db2.gz ZGORDSBXUXPYIM-GHMZBOCLSA-N 0 1 287.791 0.844 20 30 CCEDMN CC(C)[C@@H](CNC(=O)CN1CCCC1)NC(=O)[C@@H](C)C#N ZINC001375987790 931013033 /nfs/dbraw/zinc/01/30/33/931013033.db2.gz IMRIVQNFTWDFFH-QWHCGFSZSA-N 0 1 294.399 0.499 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CN(CCO)CCC1(F)F ZINC001375995614 931015071 /nfs/dbraw/zinc/01/50/71/931015071.db2.gz XBQDHMAGBZENLC-NXEZZACHSA-N 0 1 275.299 0.212 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@@H](CC)OC ZINC001449109581 931074220 /nfs/dbraw/zinc/07/42/20/931074220.db2.gz JCGNEMOHSIQMHI-WDEREUQCSA-N 0 1 278.780 0.573 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)c1ncn(C)n1)C1CC1 ZINC001376208539 931093892 /nfs/dbraw/zinc/09/38/92/931093892.db2.gz JGLBWUSUSDEHCT-SNVBAGLBSA-N 0 1 283.763 0.666 20 30 CCEDMN C#CC[N@@H+](CCC(=O)Nc1cccnc1)CC(=O)[O-] ZINC001602700882 971322920 /nfs/dbraw/zinc/32/29/20/971322920.db2.gz MMOWIFVVYSTMRH-UHFFFAOYSA-N 0 1 261.281 0.430 20 30 CCEDMN C#CC[N@H+](CCC(=O)Nc1cccnc1)CC(=O)[O-] ZINC001602700882 971322930 /nfs/dbraw/zinc/32/29/30/971322930.db2.gz MMOWIFVVYSTMRH-UHFFFAOYSA-N 0 1 261.281 0.430 20 30 CCEDMN C=CC[C@H](C(=O)OC)[N@H+](CCOC)CCC(=O)[O-] ZINC001602718709 971375907 /nfs/dbraw/zinc/37/59/07/971375907.db2.gz SSFMEWFCMXUROY-SNVBAGLBSA-N 0 1 259.302 0.527 20 30 CCEDMN C=CC[C@H](C(=O)OC)[N@@H+](CCOC)CCC(=O)[O-] ZINC001602718709 971375914 /nfs/dbraw/zinc/37/59/14/971375914.db2.gz SSFMEWFCMXUROY-SNVBAGLBSA-N 0 1 259.302 0.527 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+](C)CCCC(=O)[O-] ZINC001602789410 971543663 /nfs/dbraw/zinc/54/36/63/971543663.db2.gz SKAZDIFYAJSPJX-JTQLQIEISA-N 0 1 267.329 0.734 20 30 CCEDMN C[C@@H]1[C@H](Nc2cnc(C#N)c(-c3nnn[n-]3)n2)CC[N@H+]1C ZINC001575927737 950754917 /nfs/dbraw/zinc/75/49/17/950754917.db2.gz SZSQNGCHSIJMAW-HTQZYQBOSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@@H]1[C@H](Nc2cnc(C#N)c(-c3nn[n-]n3)n2)CC[N@@H+]1C ZINC001575927737 950754938 /nfs/dbraw/zinc/75/49/38/950754938.db2.gz SZSQNGCHSIJMAW-HTQZYQBOSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@@H]1[C@H](Nc2cnc(C#N)c(-c3nn[n-]n3)n2)CC[N@H+]1C ZINC001575927737 950754946 /nfs/dbraw/zinc/75/49/46/950754946.db2.gz SZSQNGCHSIJMAW-HTQZYQBOSA-N 0 1 285.315 0.033 20 30 CCEDMN O=C([O-])CCC(Cc1ccccc1)N=NC1=[NH+]CCN1 ZINC001595000646 951119790 /nfs/dbraw/zinc/11/97/90/951119790.db2.gz MELHMXVDARKDPU-UHFFFAOYSA-N 0 1 274.324 0.999 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1C[C@@H](C)C[C@H](C(=O)[O-])C1 ZINC000398771360 951607641 /nfs/dbraw/zinc/60/76/41/951607641.db2.gz KRCZYLYPEQPDTH-STQMWFEESA-N 0 1 295.383 0.694 20 30 CCEDMN C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CC[C@@H]1C(=O)[O-] ZINC001589396462 954383560 /nfs/dbraw/zinc/38/35/60/954383560.db2.gz YYGSSKZKVCXWKV-MNOVXSKESA-N 0 1 279.340 0.591 20 30 CCEDMN C#C[C@H]([NH2+]Cc1cc(C(=O)[O-])nn1C)[C@H]1CCCO1 ZINC001588385733 957973064 /nfs/dbraw/zinc/97/30/64/957973064.db2.gz HFLKCXJEXUTHSR-CMPLNLGQSA-N 0 1 263.297 0.389 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000087612487 958023405 /nfs/dbraw/zinc/02/34/05/958023405.db2.gz MVYFRUWXVDQIRN-ZDUSSCGKSA-N 0 1 272.304 0.420 20 30 CCEDMN C#CCNC(=O)C[N@H+]1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000087612487 958023412 /nfs/dbraw/zinc/02/34/12/958023412.db2.gz MVYFRUWXVDQIRN-ZDUSSCGKSA-N 0 1 272.304 0.420 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H]1CC[N@@H+](C)[C@@H](C)C1 ZINC001588417520 958174360 /nfs/dbraw/zinc/17/43/60/958174360.db2.gz SUGRDWNIRFJYRB-QWRGUYRKSA-N 0 1 252.314 0.263 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H](OC)C1CCOCC1 ZINC001588424353 958214874 /nfs/dbraw/zinc/21/48/74/958214874.db2.gz PFJDJJUXEHEXRJ-GFCCVEGCSA-N 0 1 255.314 0.448 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H](OC)C1CCOCC1 ZINC001588424353 958214887 /nfs/dbraw/zinc/21/48/87/958214887.db2.gz PFJDJJUXEHEXRJ-GFCCVEGCSA-N 0 1 255.314 0.448 20 30 CCEDMN C#CCNC(=O)C[N@H+]1C[C@@H](c2ccccc2)[C@H](C(=O)[O-])C1 ZINC001588430401 958255201 /nfs/dbraw/zinc/25/52/01/958255201.db2.gz LMDKZTKCDYTFMI-UONOGXRCSA-N 0 1 286.331 0.536 20 30 CCEDMN C=C[C@@H]1CCCC[N@@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001588451797 958415840 /nfs/dbraw/zinc/41/58/40/958415840.db2.gz BBENGPCOSIZBPO-GHMZBOCLSA-N 0 1 252.314 0.712 20 30 CCEDMN CC(=O)NCC[N@H+](C[C@H](C)CCC#N)[C@H](C)C(=O)[O-] ZINC001573348486 958553949 /nfs/dbraw/zinc/55/39/49/958553949.db2.gz FKCMFXYJRIJERO-GHMZBOCLSA-N 0 1 269.345 0.837 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N1CCN(c2[nH]cc[nH+]2)CC1 ZINC001588538476 958941137 /nfs/dbraw/zinc/94/11/37/958941137.db2.gz ISLQDIBGPGOEHB-CYBMUJFWSA-N 0 1 291.311 0.063 20 30 CCEDMN C#CC[NH2+]CC(=O)N1CCc2ccc(C(=O)[O-])cc21 ZINC000228523542 960021411 /nfs/dbraw/zinc/02/14/11/960021411.db2.gz KKNMPBNQZRATSK-UHFFFAOYSA-N 0 1 258.277 0.497 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1C[C@H](C)[N@H+](C)C[C@@H]1C ZINC001573332139 962825644 /nfs/dbraw/zinc/82/56/44/962825644.db2.gz JGTPWVGVZMUNJU-QWRGUYRKSA-N 0 1 254.330 0.958 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)[O-] ZINC000299994090 962961929 /nfs/dbraw/zinc/96/19/29/962961929.db2.gz MNWLXCOBMOZDTD-NHCYSSNCSA-N 0 1 252.314 0.474 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](NC(=O)CNC(=O)[O-])C[C@@H]1C ZINC001573369726 963101773 /nfs/dbraw/zinc/10/17/73/963101773.db2.gz YPOGUNJDNSNVSZ-RYUDHWBXSA-N 0 1 299.371 0.426 20 30 CCEDMN C#CCCC[N@@H+]1C[C@H](C(=O)[O-])CC[C@H]1C(=O)OC ZINC001588446446 964073500 /nfs/dbraw/zinc/07/35/00/964073500.db2.gz MDLYIMXIYSPOFM-MNOVXSKESA-N 0 1 253.298 0.738 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC001603368810 972886348 /nfs/dbraw/zinc/88/63/48/972886348.db2.gz UGAJFSWYUHKMEE-GRYCIOLGSA-N 0 1 281.356 0.790 20 30 CCEDMN C[C@@H]1CC[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@@H]1C(=O)[O-] ZINC001603426148 973155015 /nfs/dbraw/zinc/15/50/15/973155015.db2.gz AVXGNGLRUPELFF-IFUGULHKSA-N 0 1 293.367 0.837 20 30 CCEDMN N#Cc1cc(C[N@H+]2C[C@@H](O)C[C@H](C(=O)[O-])C2)ccc1F ZINC000401924960 973538899 /nfs/dbraw/zinc/53/88/99/973538899.db2.gz QLTXVSLKMKTWDG-RYUDHWBXSA-N 0 1 278.283 0.965 20 30 CCEDMN CC(C)OC[C@H](C(=O)[O-])[N@@H+](C)CCO[C@@H](C)C#N ZINC001591712820 975611935 /nfs/dbraw/zinc/61/19/35/975611935.db2.gz YNNSTORPXOQEBB-WDEREUQCSA-N 0 1 258.318 0.725 20 30 CCEDMN CC(C)OC[C@H](C(=O)[O-])[N@H+](C)CCO[C@@H](C)C#N ZINC001591712820 975611928 /nfs/dbraw/zinc/61/19/28/975611928.db2.gz YNNSTORPXOQEBB-WDEREUQCSA-N 0 1 258.318 0.725 20 30 CCEDMN C#C[C@H](NC(=O)N1CC[N@@H+](C)C[C@H](C(=O)[O-])C1)C(C)C ZINC001588372855 983334207 /nfs/dbraw/zinc/33/42/07/983334207.db2.gz UWVJYZFBENGTNP-RYUDHWBXSA-N 0 1 281.356 0.302 20 30 CCEDMN C#C[C@@H]1CCC[N@@H+](CC(=O)N[C@H](C(=O)[O-])[C@H](C)CC)C1 ZINC001588383439 983381656 /nfs/dbraw/zinc/38/16/56/983381656.db2.gz ZNZNXTCGEQAUJV-BZPMIXESSA-N 0 1 280.368 0.947 20 30 CCEDMN C#C[C@@H]1CCC[N@H+](CC(=O)N[C@H](C(=O)[O-])[C@H](C)CC)C1 ZINC001588383439 983381663 /nfs/dbraw/zinc/38/16/63/983381663.db2.gz ZNZNXTCGEQAUJV-BZPMIXESSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc(C(=O)[O-])cn2)C1 ZINC001588475634 983508936 /nfs/dbraw/zinc/50/89/36/983508936.db2.gz FGSRHJXWXTUPGO-LBPRGKRZSA-N 0 1 287.319 0.607 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc(C(=O)[O-])cn2)C1 ZINC001588475634 983508937 /nfs/dbraw/zinc/50/89/37/983508937.db2.gz FGSRHJXWXTUPGO-LBPRGKRZSA-N 0 1 287.319 0.607 20 30 CCEDMN C#CCOCCC(=O)O[C@@H]1C[N@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001588489817 983529586 /nfs/dbraw/zinc/52/95/86/983529586.db2.gz NAGAACPMOPVVAO-GWCFXTLKSA-N 0 1 269.297 0.117 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@](OC)(C(=O)[O-])C1 ZINC001596229671 983621596 /nfs/dbraw/zinc/62/15/96/983621596.db2.gz FFQWINQIIDXHPL-BXUZGUMPSA-N 0 1 297.355 0.170 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@@](OC)(C(=O)[O-])C1 ZINC001596229674 983621699 /nfs/dbraw/zinc/62/16/99/983621699.db2.gz FFQWINQIIDXHPL-RISCZKNCSA-N 0 1 297.355 0.170 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)c1csc(C[NH3+])n1)C(=O)[O-] ZINC001588548793 983625711 /nfs/dbraw/zinc/62/57/11/983625711.db2.gz UGKNGUPCJINIOU-SSDOTTSWSA-N 0 1 269.326 0.751 20 30 CCEDMN C=C(C)C[N@@H+](CCNC(=O)[C@H]1C[C@H]1C(=O)[O-])C1CC1 ZINC001588568031 983648837 /nfs/dbraw/zinc/64/88/37/983648837.db2.gz ZVTJPFFZAMYLJS-NWDGAFQWSA-N 0 1 266.341 0.864 20 30 CCEDMN C=C[C@@H](C(=O)[O-])N1CCC([N@H+]2CCC[C@@H]2C(N)=O)CC1 ZINC001588662554 983789649 /nfs/dbraw/zinc/78/96/49/983789649.db2.gz BRIMGTGPEKTMSC-NWDGAFQWSA-N 0 1 281.356 0.040 20 30 CCEDMN C=C[C@@H](C(=O)[O-])N1CCC([N@@H+]2CCC[C@@H]2C(N)=O)CC1 ZINC001588662554 983789655 /nfs/dbraw/zinc/78/96/55/983789655.db2.gz BRIMGTGPEKTMSC-NWDGAFQWSA-N 0 1 281.356 0.040 20 30 CCEDMN C=C[C@@H](C(=O)[O-])N1CCC([N@H+]2CCC[C@H]2C(N)=O)CC1 ZINC001588662555 983789936 /nfs/dbraw/zinc/78/99/36/983789936.db2.gz BRIMGTGPEKTMSC-RYUDHWBXSA-N 0 1 281.356 0.040 20 30 CCEDMN C=C[C@@H](C(=O)[O-])N1CCC([N@@H+]2CCC[C@H]2C(N)=O)CC1 ZINC001588662555 983789938 /nfs/dbraw/zinc/78/99/38/983789938.db2.gz BRIMGTGPEKTMSC-RYUDHWBXSA-N 0 1 281.356 0.040 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](CN2CCOCC2)C1 ZINC001588663454 983794955 /nfs/dbraw/zinc/79/49/55/983794955.db2.gz NIHYKAFAFPAIRV-CHWSQXEVSA-N 0 1 268.357 0.670 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC001588664349 983799357 /nfs/dbraw/zinc/79/93/57/983799357.db2.gz UMXYXRMUDABPHX-ONGXEEELSA-N 0 1 294.311 0.341 20 30 CCEDMN C=C[C@H](C(=O)[O-])[NH+]1CCN(C(=O)c2cccc(O)c2)CC1 ZINC001588668913 983807419 /nfs/dbraw/zinc/80/74/19/983807419.db2.gz COMXKPQHPAPBJA-CYBMUJFWSA-N 0 1 290.319 0.789 20 30 CCEDMN C=C[C@H](C(=O)[O-])N1CCN(c2[nH+]ccn2C)CC1 ZINC001588668942 983808935 /nfs/dbraw/zinc/80/89/35/983808935.db2.gz DMESTDBZOGEJHB-SNVBAGLBSA-N 0 1 250.302 0.181 20 30 CCEDMN C=C[C@H](OC(=O)C[N@@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC001588710700 983933922 /nfs/dbraw/zinc/93/39/22/983933922.db2.gz HVXILGFQVFKXJS-BDAKNGLRSA-N 0 1 259.327 0.606 20 30 CCEDMN C=C[C@H](OC(=O)C[N@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC001588710700 983933924 /nfs/dbraw/zinc/93/39/24/983933924.db2.gz HVXILGFQVFKXJS-BDAKNGLRSA-N 0 1 259.327 0.606 20 30 CCEDMN C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@@](OC)(C(=O)[O-])C1 ZINC001588731865 984012003 /nfs/dbraw/zinc/01/20/03/984012003.db2.gz PCMINNUVAYWZMD-CABZTGNLSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+]([C@H]2CCN(CCC(=O)[O-])C2=O)C1 ZINC001588849724 984374300 /nfs/dbraw/zinc/37/43/00/984374300.db2.gz HLPFOQWVVBOKKR-NEPJUHHUSA-N 0 1 282.340 0.339 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588930979 984600585 /nfs/dbraw/zinc/60/05/85/984600585.db2.gz HQRLGIOPQARHHK-QWHCGFSZSA-N 0 1 276.336 0.479 20 30 CCEDMN C[N@@H+](CCNC(=O)c1ccc(C#N)c(Cl)c1)CC(=O)[O-] ZINC001598492306 992313748 /nfs/dbraw/zinc/31/37/48/992313748.db2.gz IYHFYGACKUIMMM-UHFFFAOYSA-N 0 1 295.726 0.958 20 30 CCEDMN C[N@@H+](CCNC(=O)c1ccc(Cl)c(C#N)c1)CC(=O)[O-] ZINC001598492859 992325431 /nfs/dbraw/zinc/32/54/31/992325431.db2.gz UMBQTRFTUGAHFD-UHFFFAOYSA-N 0 1 295.726 0.958 20 30 CCEDMN C[N@H+](CCOCCC#N)[C@H](COC1CCC1)C(=O)[O-] ZINC001598497574 992385512 /nfs/dbraw/zinc/38/55/12/992385512.db2.gz JAUAXNAGQDRDQP-GFCCVEGCSA-N 0 1 270.329 0.871 20 30 CCEDMN C[N@@H+](CCOCCC#N)[C@H](COC1CCC1)C(=O)[O-] ZINC001598497574 992385520 /nfs/dbraw/zinc/38/55/20/992385520.db2.gz JAUAXNAGQDRDQP-GFCCVEGCSA-N 0 1 270.329 0.871 20 30 CCEDMN C[N@H+]1CCN(C(=O)C#CC(C)(C)C)C[C@@H](C(=O)[O-])C1 ZINC001598603172 993596685 /nfs/dbraw/zinc/59/66/85/993596685.db2.gz BTMMZUYYPVCARQ-NSHDSACASA-N 0 1 266.341 0.511 20 30 CCEDMN C[N@H+]1CCN(CCC(C)(C)C#N)C[C@@H](C(=O)[O-])C1 ZINC001598617043 993904270 /nfs/dbraw/zinc/90/42/70/993904270.db2.gz QTBDHNQVAWPUJA-NSHDSACASA-N 0 1 253.346 0.874 20 30 CCEDMN CN1CC[N@H+](CCC(C)(C)C#N)C[C@@H](C(=O)[O-])C1 ZINC001598617043 993904282 /nfs/dbraw/zinc/90/42/82/993904282.db2.gz QTBDHNQVAWPUJA-NSHDSACASA-N 0 1 253.346 0.874 20 30 CCEDMN CN1CC[N@@H+](CCC(C)(C)C#N)C[C@@H](C(=O)[O-])C1 ZINC001598617043 993904293 /nfs/dbraw/zinc/90/42/93/993904293.db2.gz QTBDHNQVAWPUJA-NSHDSACASA-N 0 1 253.346 0.874 20 30 CCEDMN C#CCN(C)C[C@H](O)COc1ccc(C(=O)OC)cc1 ZINC000096934646 185132794 /nfs/dbraw/zinc/13/27/94/185132794.db2.gz LKDDYCHLPYGLGE-ZDUSSCGKSA-N 0 1 277.320 0.778 20 30 CCEDMN C=CCNC(=O)c1ccc(N2CCN(C)CC2)nc1 ZINC000096674692 349622147 /nfs/dbraw/zinc/62/21/47/349622147.db2.gz OWELDOZGKGAPLH-UHFFFAOYSA-N 0 1 260.341 0.749 20 30 CCEDMN CC[C@@H](CO)N(C)CC(=O)NCc1cccc(C#N)c1 ZINC000451154367 529503498 /nfs/dbraw/zinc/50/34/98/529503498.db2.gz KHGDVXOZFIVHKR-AWEZNQCLSA-N 0 1 275.352 0.877 20 30 CCEDMN N#Cc1ccc(C(=O)NCC[N@H+]2CC[C@H](O)C2)cc1[O-] ZINC000600808816 362065933 /nfs/dbraw/zinc/06/59/33/362065933.db2.gz RXWBXZQVSISHMS-LBPRGKRZSA-N 0 1 275.308 0.060 20 30 CCEDMN N#Cc1ccc(C(=O)NCC[N@@H+]2CC[C@H](O)C2)cc1[O-] ZINC000600808816 362065934 /nfs/dbraw/zinc/06/59/34/362065934.db2.gz RXWBXZQVSISHMS-LBPRGKRZSA-N 0 1 275.308 0.060 20 30 CCEDMN N#CCN(CC#N)C(=O)c1n[nH]cc1C(F)(F)F ZINC000601025985 362132572 /nfs/dbraw/zinc/13/25/72/362132572.db2.gz JPFNXMRNFQWHAY-UHFFFAOYSA-N 0 1 257.175 0.918 20 30 CCEDMN CNS(=O)(=O)CCCNCc1nc(C#N)cs1 ZINC000601151108 362159407 /nfs/dbraw/zinc/15/94/07/362159407.db2.gz PGENGARRYYUWBH-UHFFFAOYSA-N 0 1 274.371 0.044 20 30 CCEDMN CCc1nc([C@@H](C)NC(=O)N[C@H]2[C@@H]3COC[C@@H]32)n[nH]1 ZINC000330024400 529744464 /nfs/dbraw/zinc/74/44/64/529744464.db2.gz JTOKTKDBMKGSQU-ODXREFDESA-N 0 1 265.317 0.577 20 30 CCEDMN C[C@H](CNC(=O)c1sccc1C#N)N1CCN(C)CC1 ZINC000114384138 349828705 /nfs/dbraw/zinc/82/87/05/349828705.db2.gz VZXXUZWTXSBLMQ-LLVKDONJSA-N 0 1 292.408 0.985 20 30 CCEDMN C[C@]1(C#N)CCCN(S(=O)(=O)c2ncc[nH]2)C1 ZINC000601391423 362254863 /nfs/dbraw/zinc/25/48/63/362254863.db2.gz ORPKFBAQLGFSHP-SNVBAGLBSA-N 0 1 254.315 0.724 20 30 CCEDMN C[C@@H](CN(C)C(=O)C1(C#N)CC2(CC2)C1)c1nn[nH]n1 ZINC000601436411 362272481 /nfs/dbraw/zinc/27/24/81/362272481.db2.gz GNTQUMPVUVTGPN-VIFPVBQESA-N 0 1 274.328 0.846 20 30 CCEDMN N#CCc1cccc2c1CCN(C(=O)CCc1nn[n-]n1)C2 ZINC000601683895 362355038 /nfs/dbraw/zinc/35/50/38/362355038.db2.gz BUDANTVROWQGPJ-UHFFFAOYSA-N 0 1 296.334 0.783 20 30 CCEDMN N#CCc1cccc2c1CCN(C(=O)CCc1nn[nH]n1)C2 ZINC000601683895 362355043 /nfs/dbraw/zinc/35/50/43/362355043.db2.gz BUDANTVROWQGPJ-UHFFFAOYSA-N 0 1 296.334 0.783 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CSc1nnc(C)[nH]1)C(=O)OC ZINC000601782417 362390902 /nfs/dbraw/zinc/39/09/02/362390902.db2.gz OVNNAMPSXRJQET-VIFPVBQESA-N 0 1 298.368 0.829 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CSc1nc(C)n[nH]1)C(=O)OC ZINC000601782417 362390907 /nfs/dbraw/zinc/39/09/07/362390907.db2.gz OVNNAMPSXRJQET-VIFPVBQESA-N 0 1 298.368 0.829 20 30 CCEDMN COCCN(CCC#N)C(=O)[C@@H]1CCCCN1C ZINC000602091049 362480816 /nfs/dbraw/zinc/48/08/16/362480816.db2.gz HLOSFBXOKLOEJS-LBPRGKRZSA-N 0 1 253.346 0.859 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCCc2cscn2)C1 ZINC000330173586 530070141 /nfs/dbraw/zinc/07/01/41/530070141.db2.gz HNGAAQXXQDMLGD-LBPRGKRZSA-N 0 1 297.428 0.435 20 30 CCEDMN Cc1[nH]ncc1CN(C)C(=O)CNc1ccc(C#N)cn1 ZINC000602174174 362541914 /nfs/dbraw/zinc/54/19/14/362541914.db2.gz VHARWAMHKZNOQI-UHFFFAOYSA-N 0 1 284.323 0.477 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2C[C@@H](C)O[C@@H]2C)[nH]n1C ZINC000602347559 362593984 /nfs/dbraw/zinc/59/39/84/362593984.db2.gz HZGIDGCMVGCDFI-SZEHBUNVSA-N 0 1 262.313 0.774 20 30 CCEDMN C[C@]1(C(=O)N2Cc3cccc(C#N)c3C2)CNCCO1 ZINC000602522122 362655761 /nfs/dbraw/zinc/65/57/61/362655761.db2.gz QONTZDANQWXEPF-OAHLLOKOSA-N 0 1 271.320 0.779 20 30 CCEDMN C=CCCSCCNC(=O)[C@]1(C)CNCCO1 ZINC000602529922 362660241 /nfs/dbraw/zinc/66/02/41/362660241.db2.gz PYCIHHWHHVMIRX-LBPRGKRZSA-N 0 1 258.387 0.790 20 30 CCEDMN CC(C)CN(C)C(=O)C(=O)N=c1[nH]n(C(C)C)cc1C#N ZINC000359129616 535014624 /nfs/dbraw/zinc/01/46/24/535014624.db2.gz FLYLFTKYVPDXFB-UHFFFAOYSA-N 0 1 291.355 0.810 20 30 CCEDMN CC(C)OC[C@H](N)C(=O)N(C)[C@H]1CCC[C@H]1C#N ZINC000602603828 362708906 /nfs/dbraw/zinc/70/89/06/362708906.db2.gz VIFLPTIUFAIBJR-SRVKXCTJSA-N 0 1 253.346 0.889 20 30 CCEDMN C[C@@H](CCC#N)CN1CCN(S(C)(=O)=O)[C@H](C)C1 ZINC000602711940 362762693 /nfs/dbraw/zinc/76/26/93/362762693.db2.gz SUPRSMVZKPJWDC-NWDGAFQWSA-N 0 1 273.402 0.892 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(C[C@H](C)O)C[C@H]2C)n1 ZINC000286843354 136672206 /nfs/dbraw/zinc/67/22/06/136672206.db2.gz LWJSHKOOKFDNGY-NEPJUHHUSA-N 0 1 275.356 0.548 20 30 CCEDMN C=CCOc1cccc(CNCC(=O)NCC(=O)OC)c1 ZINC000602746565 362779125 /nfs/dbraw/zinc/77/91/25/362779125.db2.gz FUNNEZOIVNHFDO-UHFFFAOYSA-N 0 1 292.335 0.630 20 30 CCEDMN CNS(=O)(=O)C[C@@H]1CCCN1CC1(CC#N)CC1 ZINC000602794260 362802991 /nfs/dbraw/zinc/80/29/91/362802991.db2.gz USQWICQNKAVGMO-NSHDSACASA-N 0 1 271.386 0.694 20 30 CCEDMN CC(C)COC[C@@H](O)CN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856575 362843361 /nfs/dbraw/zinc/84/33/61/362843361.db2.gz KXIDHNRAVRUKSA-ZNMIVQPWSA-N 0 1 283.416 0.938 20 30 CCEDMN C[C@@H]1CN(CCN2CCCC2=O)C[C@H](C)N1CC#N ZINC000602856524 362844119 /nfs/dbraw/zinc/84/41/19/362844119.db2.gz MWSVPYMBSGONPE-BETUJISGSA-N 0 1 264.373 0.527 20 30 CCEDMN CC(C)(C#N)CCN1CCN(Cc2nnc[nH]2)CC1 ZINC000602861407 362846002 /nfs/dbraw/zinc/84/60/02/362846002.db2.gz AAXBKXPDXFFSAH-UHFFFAOYSA-N 0 1 262.361 0.862 20 30 CCEDMN N#CCC[C@H](C#N)CN1CCN(Cc2nnc[nH]2)CC1 ZINC000602861631 362847772 /nfs/dbraw/zinc/84/77/72/362847772.db2.gz COVKFDKOYGKXBI-GFCCVEGCSA-N 0 1 273.344 0.366 20 30 CCEDMN COc1cc(CN[C@H]2CCC(=O)N(C)C2=O)ccc1C#N ZINC000234951557 136964562 /nfs/dbraw/zinc/96/45/62/136964562.db2.gz WPZLMLPXYHIYNT-LBPRGKRZSA-N 0 1 287.319 0.804 20 30 CCEDMN N#Cc1ccc(N2C(=O)N[C@@H](Cc3cnc[nH]3)C2=O)cc1 ZINC000342916090 137103503 /nfs/dbraw/zinc/10/35/03/137103503.db2.gz AYELTHSVXQMBGW-LBPRGKRZSA-N 0 1 281.275 0.949 20 30 CCEDMN CO[C@@H]1C[C@@H](CN(C)C(=O)c2cnn(C)c2C#N)N(C)C1 ZINC000344488695 137196835 /nfs/dbraw/zinc/19/68/35/137196835.db2.gz CEXBCNGDWCTJAF-WDEREUQCSA-N 0 1 291.355 0.083 20 30 CCEDMN COCc1nc2n(n1)C[C@@H](NCc1ccc(C#N)o1)CC2 ZINC000344869830 137218062 /nfs/dbraw/zinc/21/80/62/137218062.db2.gz DEQWSAWWGDKDJS-JTQLQIEISA-N 0 1 287.323 0.994 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCO[C@@H]2CC2(F)F)[nH]1 ZINC000602884406 362862561 /nfs/dbraw/zinc/86/25/61/362862561.db2.gz BNUOCVYDPGOKCM-MRVPVSSYSA-N 0 1 285.254 0.259 20 30 CCEDMN COCCCCN(C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000602885604 362864678 /nfs/dbraw/zinc/86/46/78/362864678.db2.gz GQEXRYBIHJLNLU-UHFFFAOYSA-N 0 1 265.317 0.604 20 30 CCEDMN CN(CC1=CCSC1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000602885491 362865010 /nfs/dbraw/zinc/86/50/10/362865010.db2.gz FMZUOCUCXUUXNH-UHFFFAOYSA-N 0 1 277.353 0.851 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@H]3COC[C@@H]3C2)[nH]1 ZINC000602885525 362865105 /nfs/dbraw/zinc/86/51/05/362865105.db2.gz FVRXXUWVCRJJLP-ONGXEEELSA-N 0 1 275.312 0.214 20 30 CCEDMN COc1ccc(C#N)cc1CN1C[C@H](O)C[C@@]1(C)CO ZINC000602896560 362871362 /nfs/dbraw/zinc/87/13/62/362871362.db2.gz DYTNNJGWVZEEIV-HIFRSBDPSA-N 0 1 276.336 0.884 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(OC)CC1 ZINC000043610445 348311754 /nfs/dbraw/zinc/31/17/54/348311754.db2.gz XPNAXUIGNZBPHW-SNVBAGLBSA-N 0 1 269.345 0.498 20 30 CCEDMN COC[C@@](C)(CO)NCC(=O)Nc1cccc(C#N)c1 ZINC000602932207 362894301 /nfs/dbraw/zinc/89/43/01/362894301.db2.gz ODVPJRGVOKNIKH-CQSZACIVSA-N 0 1 277.324 0.484 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC(CO)CC1 ZINC000046091363 348349672 /nfs/dbraw/zinc/34/96/72/348349672.db2.gz WOSLFTPJSWZESK-NSHDSACASA-N 0 1 253.346 0.451 20 30 CCEDMN CNC(=O)NC(=O)CCN(C)[C@H]1CCC[C@H]1C#N ZINC000602976530 362917980 /nfs/dbraw/zinc/91/79/80/362917980.db2.gz QSXWWZSQKQHHEI-UWVGGRQHSA-N 0 1 252.318 0.456 20 30 CCEDMN C[C@@H]1C[C@H](O)CN(CC(=O)NCc2ccc(C#N)cc2)C1 ZINC000412035325 233009342 /nfs/dbraw/zinc/00/93/42/233009342.db2.gz KWGVAKDOOYPDNP-DOMZBBRYSA-N 0 1 287.363 0.877 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCN(CC2CC2)CC1)C1CC1 ZINC000052164765 348505292 /nfs/dbraw/zinc/50/52/92/348505292.db2.gz JPTDOCZZRUWTAZ-MRXNPFEDSA-N 0 1 290.411 0.822 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN(CC2CC2)CC1 ZINC000052165116 348506553 /nfs/dbraw/zinc/50/65/53/348506553.db2.gz LMRQJHYJFDEJHR-LBPRGKRZSA-N 0 1 251.374 0.705 20 30 CCEDMN C=CCNC(=O)CN1CCC(C(=O)N2CCCC2)CC1 ZINC000053845923 348534827 /nfs/dbraw/zinc/53/48/27/348534827.db2.gz VVDZJZPVYPZIMZ-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C[C@H]1CN(Cc2ccccc2)CCN1CC(=O)NCC#N ZINC000076803027 349092664 /nfs/dbraw/zinc/09/26/64/349092664.db2.gz MCKMVYLBBSMKRX-AWEZNQCLSA-N 0 1 286.379 0.832 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(CC2CC2)CC1 ZINC000077202990 349101925 /nfs/dbraw/zinc/10/19/25/349101925.db2.gz DKBDZZXZHBXHJG-UHFFFAOYSA-N 0 1 278.400 0.776 20 30 CCEDMN N#Cc1cscc1C(=O)N[C@H](CO)CN1CCCC1 ZINC000603051297 362946430 /nfs/dbraw/zinc/94/64/30/362946430.db2.gz AJZXUMZRCDLVSN-NSHDSACASA-N 0 1 279.365 0.806 20 30 CCEDMN N#Cc1cccnc1N1CCN(CCC2OCCO2)CC1 ZINC000123607288 350077915 /nfs/dbraw/zinc/07/79/15/350077915.db2.gz MCJQEQLMVDDUNP-UHFFFAOYSA-N 0 1 288.351 0.838 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(C#N)nc1)N1CCCC1 ZINC000122081317 350062313 /nfs/dbraw/zinc/06/23/13/350062313.db2.gz QRFZDFRMPMEXRA-NSHDSACASA-N 0 1 294.380 0.716 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)C[C@H](O)C1CC1 ZINC000130953417 350255150 /nfs/dbraw/zinc/25/51/50/350255150.db2.gz QYEZQBSUKWICLJ-AAEUAGOBSA-N 0 1 267.373 0.697 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@@H](C)N(CC#N)[C@@H](C)C2)[nH]1 ZINC000603098605 362963111 /nfs/dbraw/zinc/96/31/11/362963111.db2.gz HRQSOWKEEYGGLE-AOOOYVTPSA-N 0 1 261.329 0.777 20 30 CCEDMN CNCc1cn(CC(=O)N[C@](C)(C#N)C(C)C)nn1 ZINC000603173422 362982836 /nfs/dbraw/zinc/98/28/36/362982836.db2.gz VWWGCDGDQUAVNV-GFCCVEGCSA-N 0 1 264.333 0.052 20 30 CCEDMN N#Cc1cccc(S(=O)(=O)CCN[C@@H]2CCOC2)c1 ZINC000166377789 350798529 /nfs/dbraw/zinc/79/85/29/350798529.db2.gz LYRARJWRVWXSOS-GFCCVEGCSA-N 0 1 280.349 0.710 20 30 CCEDMN COc1ccc(CNC(=O)N=c2[nH]n(C)cc2C#N)nc1 ZINC000618378589 366007944 /nfs/dbraw/zinc/00/79/44/366007944.db2.gz PXFZQTPTNIUSFT-UHFFFAOYSA-N 0 1 286.295 0.439 20 30 CCEDMN CNCc1cn([C@H](C)C(=O)NC2(C#N)CCCCC2)nn1 ZINC000603178490 362985837 /nfs/dbraw/zinc/98/58/37/362985837.db2.gz WHMUYXWLCOGCJT-LLVKDONJSA-N 0 1 290.371 0.901 20 30 CCEDMN CCNCc1cn(CC(=O)NC2(C#N)CCCCC2)nn1 ZINC000603179635 362986217 /nfs/dbraw/zinc/98/62/17/362986217.db2.gz ZHNXNMJDSLZOER-UHFFFAOYSA-N 0 1 290.371 0.730 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@@H](O)C[C@H]1CO ZINC000186518418 351500049 /nfs/dbraw/zinc/50/00/49/351500049.db2.gz DSNFECOTCLFBOQ-AVGNSLFASA-N 0 1 270.373 0.227 20 30 CCEDMN CNS(=O)(=O)CCN[C@H](C)c1ccc(C#N)cc1 ZINC000187189310 351532818 /nfs/dbraw/zinc/53/28/18/351532818.db2.gz PUECQCSHRLBYJQ-SNVBAGLBSA-N 0 1 267.354 0.758 20 30 CCEDMN N#Cc1cccc(NC(=O)C(=O)N2CCN3CCC2CC3)c1 ZINC000189793511 351702757 /nfs/dbraw/zinc/70/27/57/351702757.db2.gz GYEZDVJRQVADCS-UHFFFAOYSA-N 0 1 298.346 0.803 20 30 CCEDMN CO[C@@H]1CN(C[C@H](O)c2ccc(C#N)cc2)C[C@@H]1OC ZINC000234850933 352175424 /nfs/dbraw/zinc/17/54/24/352175424.db2.gz KYENEOFORRFLLG-SOUVJXGZSA-N 0 1 276.336 0.937 20 30 CCEDMN C[C@H](CNS(=O)(=O)c1cccnc1C#N)[N@@H+](C)C1CC1 ZINC000235488314 352184186 /nfs/dbraw/zinc/18/41/86/352184186.db2.gz UFDCPSQOKWKGKU-SNVBAGLBSA-N 0 1 294.380 0.714 20 30 CCEDMN C[C@@]1(C(N)=O)CCN(CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000235431832 352184595 /nfs/dbraw/zinc/18/45/95/352184595.db2.gz WGNFNWGKGGVXDF-OAHLLOKOSA-N 0 1 286.335 0.694 20 30 CCEDMN C[C@@H](NCc1cc(C#N)n(C)c1)C(=O)N1CCCC1 ZINC000232315864 352143361 /nfs/dbraw/zinc/14/33/61/352143361.db2.gz SIXOTGXDAGTMFH-LLVKDONJSA-N 0 1 260.341 0.997 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](C(=O)NC)C2)CC1 ZINC000264790697 352597594 /nfs/dbraw/zinc/59/75/94/352597594.db2.gz RTLXLIFQTFPARG-AWEZNQCLSA-N 0 1 291.395 0.316 20 30 CCEDMN CC[C@H](O)CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000292131288 198174663 /nfs/dbraw/zinc/17/46/63/198174663.db2.gz XWRSPDHOXVZJKM-QMMMGPOBSA-N 0 1 290.291 0.886 20 30 CCEDMN N#Cc1nccnc1N1CCN(C[C@H]2CCOC2)CC1 ZINC000267325440 352760028 /nfs/dbraw/zinc/76/00/28/352760028.db2.gz AXZZFHOOGKJHLB-GFCCVEGCSA-N 0 1 273.340 0.507 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@](C)(C(=O)OC)C1 ZINC000268313245 352824867 /nfs/dbraw/zinc/82/48/67/352824867.db2.gz CIDHHPLAUCHEIB-HZMBPMFUSA-N 0 1 297.355 0.272 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)NC[C@H]1CN(C)CCN1C ZINC000269648155 352858969 /nfs/dbraw/zinc/85/89/69/352858969.db2.gz CSTAZWXHNGNJTE-AWEZNQCLSA-N 0 1 286.379 0.842 20 30 CCEDMN CC[C@@H]1CN(CC(=O)NCc2ccc(C#N)cc2)C[C@@H]1O ZINC000270972007 353009831 /nfs/dbraw/zinc/00/98/31/353009831.db2.gz WJESUAFIILJKGL-CABCVRRESA-N 0 1 287.363 0.877 20 30 CCEDMN Cc1nsc(NCCN2CCN(C)CC2)c1C#N ZINC000286273686 353574185 /nfs/dbraw/zinc/57/41/85/353574185.db2.gz WQCSPPKHZNBUAP-UHFFFAOYSA-N 0 1 265.386 0.983 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cc(C#N)ccn2)[C@H](C)C1 ZINC000287052831 353625522 /nfs/dbraw/zinc/62/55/22/353625522.db2.gz XASKFBOWUKOIAE-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(C[C@@H](C)O)C[C@@H]2C)n1 ZINC000286843356 353614595 /nfs/dbraw/zinc/61/45/95/353614595.db2.gz LWJSHKOOKFDNGY-NWDGAFQWSA-N 0 1 275.356 0.548 20 30 CCEDMN CCc1nc([C@H](C)NS(=O)(=O)c2ccc(C#N)o2)n[nH]1 ZINC000287843428 353670899 /nfs/dbraw/zinc/67/08/99/353670899.db2.gz OGXGMIYOFUIWPG-ZETCQYMHSA-N 0 1 295.324 0.871 20 30 CCEDMN Cc1cc(C#N)nc(NCCCN2CCC[C@H]2C(N)=O)n1 ZINC000287571846 353655094 /nfs/dbraw/zinc/65/50/94/353655094.db2.gz XCSQYXHVVMTGDJ-LBPRGKRZSA-N 0 1 288.355 0.408 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN(C(C)(C)CO)CC1 ZINC000605420964 363251238 /nfs/dbraw/zinc/25/12/38/363251238.db2.gz KSRANZARGNNOJH-LBPRGKRZSA-N 0 1 269.389 0.066 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@@H]2CCCN2C2CC2)CC1 ZINC000618831424 366106784 /nfs/dbraw/zinc/10/67/84/366106784.db2.gz QGTNLXHMVWZKIS-AWEZNQCLSA-N 0 1 276.384 0.717 20 30 CCEDMN C=C(Cl)CNC(=O)NCCN1CCCOCC1 ZINC000296453498 353947822 /nfs/dbraw/zinc/94/78/22/353947822.db2.gz PDDORBYLDMQSKD-UHFFFAOYSA-N 0 1 261.753 0.760 20 30 CCEDMN CCc1nc(C#N)cc(NCCN2CCN(C)CC2)n1 ZINC000308274071 354183260 /nfs/dbraw/zinc/18/32/60/354183260.db2.gz JIPFZLZULRFMJL-UHFFFAOYSA-N 0 1 274.372 0.570 20 30 CCEDMN CC(=O)NCCN(C)C[C@H](O)COc1ccccc1C#N ZINC000308248834 354183294 /nfs/dbraw/zinc/18/32/94/354183294.db2.gz AGYLUPZESDFFPG-AWEZNQCLSA-N 0 1 291.351 0.366 20 30 CCEDMN N#Cc1ccccc1OCCN1CCC(O)(CO)CC1 ZINC000313692975 354219183 /nfs/dbraw/zinc/21/91/83/354219183.db2.gz ORUYXWJLDOMEJK-UHFFFAOYSA-N 0 1 276.336 0.756 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC[C@@H]1CCO ZINC000336833324 355206958 /nfs/dbraw/zinc/20/69/58/355206958.db2.gz GPGZAZPWACFWDD-CYBMUJFWSA-N 0 1 278.356 0.489 20 30 CCEDMN CN(C[C@@H]1CCCN1C)S(=O)(=O)c1cncc(C#N)c1 ZINC000336840371 355211010 /nfs/dbraw/zinc/21/10/10/355211010.db2.gz PTTPRWJMIJDWKZ-LBPRGKRZSA-N 0 1 294.380 0.668 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCOCCOCC)C1=O ZINC000337205438 355283763 /nfs/dbraw/zinc/28/37/63/355283763.db2.gz KONAGIVCTOJFKO-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN CN(C)C(=O)CCN1CCN(c2cccc(C#N)n2)CC1 ZINC000339216943 355501846 /nfs/dbraw/zinc/50/18/46/355501846.db2.gz NVJUSGZSMGFGAW-UHFFFAOYSA-N 0 1 287.367 0.554 20 30 CCEDMN CCN(CCO)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000343456675 355770847 /nfs/dbraw/zinc/77/08/47/355770847.db2.gz YMXTXQROKDQYBO-DGCLKSJQSA-N 0 1 255.362 0.744 20 30 CCEDMN CNC(=O)C(C)(C)CNCc1nc(C#N)cs1 ZINC000352839532 356093477 /nfs/dbraw/zinc/09/34/77/356093477.db2.gz MVQURQAVKFRGQD-UHFFFAOYSA-N 0 1 252.343 0.877 20 30 CCEDMN C[C@@H](CN1CCOCC1)NCc1nc(C#N)cs1 ZINC000352851736 356096022 /nfs/dbraw/zinc/09/60/22/356096022.db2.gz IYGJINSCAAEHHB-JTQLQIEISA-N 0 1 266.370 0.825 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CCN(C3CC3)C2)cn1 ZINC000359964205 137973367 /nfs/dbraw/zinc/97/33/67/137973367.db2.gz ORLXIYWUMPNLKG-LBPRGKRZSA-N 0 1 256.309 0.920 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000684005878 545602453 /nfs/dbraw/zinc/60/24/53/545602453.db2.gz DHZFIMQMUXOQDB-OLZOCXBDSA-N 0 1 288.413 0.423 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2ncn(C(C)(C)C)n2)[nH]1 ZINC000361918981 138038078 /nfs/dbraw/zinc/03/80/78/138038078.db2.gz DGHJUDMEXMXECE-UHFFFAOYSA-N 0 1 273.300 0.312 20 30 CCEDMN Cn1ncc(C(=O)N2CCN(C3CCCC3)CC2)c1C#N ZINC000354320902 356388921 /nfs/dbraw/zinc/38/89/21/356388921.db2.gz VAWMSVKKCXEJBI-UHFFFAOYSA-N 0 1 287.367 0.992 20 30 CCEDMN CNC(=O)CCN1CCN([C@H](C#N)C(C)C)CC1 ZINC000609537569 363502592 /nfs/dbraw/zinc/50/25/92/363502592.db2.gz BDCGDBMOCBKWMH-GFCCVEGCSA-N 0 1 252.362 0.288 20 30 CCEDMN COCCN(CC(=O)OC(C)(C)C)C(=O)C(C)C#N ZINC000609921420 363529413 /nfs/dbraw/zinc/52/94/13/363529413.db2.gz URXYTZWQGNRWMI-SNVBAGLBSA-N 0 1 270.329 0.963 20 30 CCEDMN Cc1cc(CNS(=O)(=O)N(C)C[C@@H](C)C#N)n[nH]1 ZINC000619710342 366330633 /nfs/dbraw/zinc/33/06/33/366330633.db2.gz RCMWSPTWYJPGGV-QMMMGPOBSA-N 0 1 271.346 0.144 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N1CCc2cn[nH]c2C1 ZINC000619712548 366331070 /nfs/dbraw/zinc/33/10/70/366331070.db2.gz VRZVIIOGTYNMCK-SECBINFHSA-N 0 1 283.357 0.104 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000619717201 366334070 /nfs/dbraw/zinc/33/40/70/366334070.db2.gz JODDTUUCVOOZRK-JHJVBQTASA-N 0 1 268.357 0.245 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N[C@H]1CCCN(C)C1 ZINC000619749375 366348794 /nfs/dbraw/zinc/34/87/94/366348794.db2.gz ZYYCNNOVZJOWCJ-QWRGUYRKSA-N 0 1 274.390 0.149 20 30 CCEDMN C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)c1cnn(C)c1C#N ZINC000356143560 356710725 /nfs/dbraw/zinc/71/07/25/356710725.db2.gz BLOFJFIPDCIJAT-VXGBXAGGSA-N 0 1 287.367 0.991 20 30 CCEDMN Cn1ccnc1-c1cc(=NC(=O)c2cnn(C)c2C#N)[nH][nH]1 ZINC000359734485 356881212 /nfs/dbraw/zinc/88/12/12/356881212.db2.gz IXSLSENXYGXZEL-UHFFFAOYSA-N 0 1 296.294 0.090 20 30 CCEDMN Cc1cccc(CNC(=O)N=c2[nH]n(C)cc2C#N)n1 ZINC000610560311 363574791 /nfs/dbraw/zinc/57/47/91/363574791.db2.gz AKYOZYIMEUHGIA-UHFFFAOYSA-N 0 1 270.296 0.739 20 30 CCEDMN CCOC[C@@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610560727 363575071 /nfs/dbraw/zinc/57/50/71/363575071.db2.gz IQQDHPHQMAOYKS-LLVKDONJSA-N 0 1 291.355 0.994 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2[C@@H]3CCO[C@H]3C2(C)C)[nH]1 ZINC000610562233 363575841 /nfs/dbraw/zinc/57/58/41/363575841.db2.gz LKGYSCGDDGEDKL-HBNTYKKESA-N 0 1 289.339 0.649 20 30 CCEDMN CC(C)CCOCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610565124 363579158 /nfs/dbraw/zinc/57/91/58/363579158.db2.gz PPEVJVRWODGKTJ-UHFFFAOYSA-N 0 1 279.344 0.898 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2nccs2)[nH]1 ZINC000610564404 363579802 /nfs/dbraw/zinc/57/98/02/363579802.db2.gz QJDOOVYDLORUEU-UHFFFAOYSA-N 0 1 262.298 0.492 20 30 CCEDMN COC1CCN(CCNC(=O)c2ccc(C#N)cn2)CC1 ZINC000610571583 363580254 /nfs/dbraw/zinc/58/02/54/363580254.db2.gz BZEOPKHYSNBPGO-UHFFFAOYSA-N 0 1 288.351 0.794 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN([C@H]3CCC[C@@H]3O)CC2)c[nH]1 ZINC000376161305 357439011 /nfs/dbraw/zinc/43/90/11/357439011.db2.gz HLZPWLIAQNWPKG-KBPBESRZSA-N 0 1 288.351 0.558 20 30 CCEDMN Cc1nc(N2CCN(CCO)C[C@@H]2C)ccc1C#N ZINC000450950860 236123511 /nfs/dbraw/zinc/12/35/11/236123511.db2.gz DWRRFBNPCNBQRC-NSHDSACASA-N 0 1 260.341 0.765 20 30 CCEDMN Cc1cc(CC(=O)N[C@]2(C#N)CCSC2)[nH]n1 ZINC000610667118 363593063 /nfs/dbraw/zinc/59/30/63/363593063.db2.gz WGOCIHQBHHEBSJ-NSHDSACASA-N 0 1 250.327 0.776 20 30 CCEDMN C#CCNC(=O)CCN1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)OC ZINC000294585910 199096424 /nfs/dbraw/zinc/09/64/24/199096424.db2.gz MWLGJCQYGQGNLP-OUCADQQQSA-N 0 1 278.352 0.399 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)C2C[C@H]3CC[C@@H](C2)C3=O)[nH]1 ZINC000432737368 358231740 /nfs/dbraw/zinc/23/17/40/358231740.db2.gz KCHHWFCLWIEMKC-ULKQDVFKSA-N 0 1 272.308 0.657 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2ncnn2CC)CC1 ZINC000491192495 358292634 /nfs/dbraw/zinc/29/26/34/358292634.db2.gz PAWZFAKUGFASEA-UHFFFAOYSA-N 0 1 276.340 0.686 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N1C[C@@H](C)[C@H](CO)C1 ZINC000484725385 358283903 /nfs/dbraw/zinc/28/39/03/358283903.db2.gz JKTPVZOLFLHSAG-OSFYFWSMSA-N 0 1 281.400 0.990 20 30 CCEDMN C#CCCN1CCN(Cc2ccnc(OC)n2)CC1 ZINC000294885734 199231648 /nfs/dbraw/zinc/23/16/48/199231648.db2.gz FQYVTEJDXVEBGO-UHFFFAOYSA-N 0 1 260.341 0.626 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N=c1ccn(CCC#N)[nH]1 ZINC000573624560 358985712 /nfs/dbraw/zinc/98/57/12/358985712.db2.gz YXIYNWAIRFPNHP-JTQLQIEISA-N 0 1 272.312 0.759 20 30 CCEDMN CN1CCN(C(=O)CNc2ccc(C#N)cn2)CC1(C)C ZINC000584729633 359198533 /nfs/dbraw/zinc/19/85/33/359198533.db2.gz JUOBURZACMJNQN-UHFFFAOYSA-N 0 1 287.367 0.340 20 30 CCEDMN CC[C@@H]1CN(C)CCN1CC(=O)N(CC)CCC#N ZINC000584877411 359222329 /nfs/dbraw/zinc/22/23/29/359222329.db2.gz MMLSSYLECFNSTN-CYBMUJFWSA-N 0 1 266.389 0.775 20 30 CCEDMN CCc1nc(CNS(=O)(=O)c2cccc(C#N)c2)n[nH]1 ZINC000296555615 199930313 /nfs/dbraw/zinc/93/03/13/199930313.db2.gz CDSVVJZYRWECTR-UHFFFAOYSA-N 0 1 291.336 0.717 20 30 CCEDMN COC1(CNC(=O)N=c2[nH]n(C)cc2C#N)CCOCC1 ZINC000610964605 363638405 /nfs/dbraw/zinc/63/84/05/363638405.db2.gz GRWXXDHDQWEJSG-UHFFFAOYSA-N 0 1 293.327 0.031 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1CC[C@@H](CC(N)=O)C1 ZINC000588977084 359355084 /nfs/dbraw/zinc/35/50/84/359355084.db2.gz ADXUIOBVNBEKHP-TYNCELHUSA-N 0 1 294.399 0.627 20 30 CCEDMN CCc1cnc(CNC(=O)N=c2[nH]n(C)cc2C#N)o1 ZINC000588259076 359319984 /nfs/dbraw/zinc/31/99/84/359319984.db2.gz WTAJGFVUOHOGAF-UHFFFAOYSA-N 0 1 274.284 0.586 20 30 CCEDMN CN(C[C@H]1CCC[C@@H]1O)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611112031 363661175 /nfs/dbraw/zinc/66/11/75/363661175.db2.gz RZYHEUXVTUEEDE-KOLCDFICSA-N 0 1 277.328 0.338 20 30 CCEDMN Cc1nnc(CN2CCN([C@@H]3CC[C@@H](C#N)C3)CC2)[nH]1 ZINC000590167166 359428396 /nfs/dbraw/zinc/42/83/96/359428396.db2.gz ZOSVDWSAUASGTE-CHWSQXEVSA-N 0 1 274.372 0.923 20 30 CCEDMN COCCN1CCCN(C(=O)CC2(C#N)CC2)CC1 ZINC000590181366 359429406 /nfs/dbraw/zinc/42/94/06/359429406.db2.gz RWSPSPVIVHAWNY-UHFFFAOYSA-N 0 1 265.357 0.861 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)CC2(C#N)CC2)[C@H](C)C1 ZINC000590332265 359440867 /nfs/dbraw/zinc/44/08/67/359440867.db2.gz BBRXTFTYIHBPSI-NEPJUHHUSA-N 0 1 265.357 0.594 20 30 CCEDMN C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N1CC(C#N)C1 ZINC000590486109 359475523 /nfs/dbraw/zinc/47/55/23/359475523.db2.gz BSYGAZVCAKGHNL-SECBINFHSA-N 0 1 297.318 0.663 20 30 CCEDMN CCN1C[C@H](NCc2cnc3ccc(C#N)cn23)CC1=O ZINC000590659551 359503505 /nfs/dbraw/zinc/50/35/05/359503505.db2.gz GJIMDOQAPQVKBM-GFCCVEGCSA-N 0 1 283.335 0.916 20 30 CCEDMN C[C@H](CCC#N)N(C)CCN1CCCS1(=O)=O ZINC000591015561 359546585 /nfs/dbraw/zinc/54/65/85/359546585.db2.gz OKHREFXLZUHXSF-LLVKDONJSA-N 0 1 259.375 0.646 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCOC2(C)C)[nH]1 ZINC000590819434 359526697 /nfs/dbraw/zinc/52/66/97/359526697.db2.gz JYNUJAHFJJDSPZ-SECBINFHSA-N 0 1 263.301 0.403 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1ccc(C#N)cc1F ZINC000591733991 359649741 /nfs/dbraw/zinc/64/97/41/359649741.db2.gz TVXHBBGFPQMVTK-AWEZNQCLSA-N 0 1 290.342 0.993 20 30 CCEDMN N#Cc1cnccc1CN1CCn2c(=O)[nH]nc2C1 ZINC000592104775 359756316 /nfs/dbraw/zinc/75/63/16/359756316.db2.gz WHDVQUQOPCHIBO-UHFFFAOYSA-N 0 1 256.269 0.266 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCNCC2(CO)CC2)nc1 ZINC000592430581 359838245 /nfs/dbraw/zinc/83/82/45/359838245.db2.gz SJQAMHXPWQMNLP-UHFFFAOYSA-N 0 1 295.364 0.089 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCCc3nn(C)cc32)[nH]n1C ZINC000592650117 359909217 /nfs/dbraw/zinc/90/92/17/359909217.db2.gz NUYBHYJZMRYCDV-SNVBAGLBSA-N 0 1 298.350 0.814 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)C[C@H](C)O1 ZINC000611245805 363696968 /nfs/dbraw/zinc/69/69/68/363696968.db2.gz VSWFAWONPLNEDQ-DOFRTFSJSA-N 0 1 277.328 0.791 20 30 CCEDMN CCC(=O)N1CC[C@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)C1 ZINC000592654662 359910309 /nfs/dbraw/zinc/91/03/09/359910309.db2.gz SKCCKJQIKBRIIR-JTQLQIEISA-N 0 1 289.339 0.219 20 30 CCEDMN CC(C)(CO)N1CCN(c2cnccc2C#N)CC1 ZINC000611281263 363701251 /nfs/dbraw/zinc/70/12/51/363701251.db2.gz PJRUQYFBUNQGEU-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1CC[C@@H]2CCN(C)C[C@@H]21 ZINC000297858508 200293360 /nfs/dbraw/zinc/29/33/60/200293360.db2.gz OFKZENITCRCNNE-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC([C@@H](C)CO)CC1 ZINC000593085353 360032080 /nfs/dbraw/zinc/03/20/80/360032080.db2.gz UZJSBTFWJYHQSC-RYUDHWBXSA-N 0 1 297.399 0.727 20 30 CCEDMN COC(=O)CC[C@H](NC(=O)C(C)C#N)C(=O)OC(C)(C)C ZINC000593750681 360147898 /nfs/dbraw/zinc/14/78/98/360147898.db2.gz IUTUEVAOHNUPFR-ZJUUUORDSA-N 0 1 298.339 0.926 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N1CCCNC[C@@H]1C(=O)OC ZINC000593906956 360204540 /nfs/dbraw/zinc/20/45/40/360204540.db2.gz GSXDGNFIAGQXFP-VXGBXAGGSA-N 0 1 268.357 0.952 20 30 CCEDMN CO[C@H]1CCC[C@H]1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611388939 363712788 /nfs/dbraw/zinc/71/27/88/363712788.db2.gz XLGMKEKFAGTYKD-ONGXEEELSA-N 0 1 277.328 0.650 20 30 CCEDMN C[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H]1F ZINC000611503770 363728248 /nfs/dbraw/zinc/72/82/48/363728248.db2.gz IVEMQVADRAXALH-SCZZXKLOSA-N 0 1 265.292 0.925 20 30 CCEDMN CC(C)[C@@H]1C[N@@H+](C)CCN1C(=O)N=c1[n-]n(C)cc1C#N ZINC000611532637 363734911 /nfs/dbraw/zinc/73/49/11/363734911.db2.gz NOHSSLZLDUTXQU-LBPRGKRZSA-N 0 1 290.371 0.518 20 30 CCEDMN N#Cc1cccnc1N1CCN(C[C@H]2CNC(=O)C2)CC1 ZINC000595287139 360480815 /nfs/dbraw/zinc/48/08/15/360480815.db2.gz BPEOTGIERUKUBD-GFCCVEGCSA-N 0 1 285.351 0.211 20 30 CCEDMN CCOC(=O)c1c(C)[nH]nc1CN1CCO[C@@H](C#N)C1 ZINC000595315898 360493695 /nfs/dbraw/zinc/49/36/95/360493695.db2.gz HGFAYIAXVZMBAQ-JTQLQIEISA-N 0 1 278.312 0.619 20 30 CCEDMN C[C@@H]1CN(C(=O)CC2(C#N)CCOCC2)C[C@H](C)N1 ZINC000595254754 360460346 /nfs/dbraw/zinc/46/03/46/360460346.db2.gz WSRNLIWGTXQUBZ-TXEJJXNPSA-N 0 1 265.357 0.906 20 30 CCEDMN N#Cc1ccc(CCNC(=O)C[C@@H]2COCCN2)cc1 ZINC000595257077 360460972 /nfs/dbraw/zinc/46/09/72/360460972.db2.gz PLRWKQRIEDCAFD-CQSZACIVSA-N 0 1 273.336 0.595 20 30 CCEDMN N#Cc1ccc(CCN2CCn3c(=O)[nH]nc3C2)cc1 ZINC000595438390 360532763 /nfs/dbraw/zinc/53/27/63/360532763.db2.gz OWIJYGXAKFYOSL-UHFFFAOYSA-N 0 1 269.308 0.914 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N(C)Cc1n[nH]c(=O)o1 ZINC000595547780 360575766 /nfs/dbraw/zinc/57/57/66/360575766.db2.gz RWRDXGJGDHANMA-TVQRCGJNSA-N 0 1 295.343 0.650 20 30 CCEDMN C[C@H]1NC(=O)N(CCN2CCC[C@H](CC#N)C2)C1=O ZINC000595623541 360602168 /nfs/dbraw/zinc/60/21/68/360602168.db2.gz SWUGBNXQSFXELV-GHMZBOCLSA-N 0 1 264.329 0.552 20 30 CCEDMN C[C@]1(C#N)CCN(C(=O)NCCN2CCCOCC2)C1 ZINC000595639356 360603412 /nfs/dbraw/zinc/60/34/12/360603412.db2.gz UMAZHMQLZNTQDM-CQSZACIVSA-N 0 1 280.372 0.654 20 30 CCEDMN C[C@H]1C[C@H]([N-]S(=O)(=O)c2ccc(C#N)o2)CC[NH2+]1 ZINC000563445703 291230758 /nfs/dbraw/zinc/23/07/58/291230758.db2.gz JAKJWSVJYXYKRC-DTWKUNHWSA-N 0 1 269.326 0.570 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@H]([C@@H]2CCCCO2)C1 ZINC000595791450 360656175 /nfs/dbraw/zinc/65/61/75/360656175.db2.gz UODRCDZGPWLDAM-STQMWFEESA-N 0 1 265.357 0.907 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N1CCCC[C@@H]1C(=O)OCC ZINC000595864321 360684781 /nfs/dbraw/zinc/68/47/81/360684781.db2.gz XLJACJHACHNYPJ-VXGBXAGGSA-N 0 1 284.356 0.067 20 30 CCEDMN CCc1nc(C#N)cc(NC[C@@H](C)N2CCN(C)CC2)n1 ZINC000596104250 360795476 /nfs/dbraw/zinc/79/54/76/360795476.db2.gz IPHOBPCJRBVCIQ-GFCCVEGCSA-N 0 1 288.399 0.380 20 30 CCEDMN CCc1nc(C#N)cc(NC[C@H](C)N2CCN(C)CC2)n1 ZINC000596104251 360795841 /nfs/dbraw/zinc/79/58/41/360795841.db2.gz IPHOBPCJRBVCIQ-LBPRGKRZSA-N 0 1 288.399 0.380 20 30 CCEDMN N#Cc1cnnc(N2CCN([C@@H]3CCC[C@@H]3O)CC2)c1 ZINC000596112438 360797922 /nfs/dbraw/zinc/79/79/22/360797922.db2.gz PLAIHKMFKZRKSA-OLZOCXBDSA-N 0 1 273.340 0.384 20 30 CCEDMN C[C@@H]1CN(c2cc(C#N)cnn2)[C@H](C)CN1CCO ZINC000596274210 360863349 /nfs/dbraw/zinc/86/33/49/360863349.db2.gz CDSBCZLBRXGTLT-GHMZBOCLSA-N 0 1 261.329 0.240 20 30 CCEDMN COCC[N@H+]1CCC[C@@H](NC(=O)[C@@H](C)CC#N)C1 ZINC000597167930 361022115 /nfs/dbraw/zinc/02/21/15/361022115.db2.gz BQLHRLNALCSENT-NWDGAFQWSA-N 0 1 253.346 0.763 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)[C@@H](C)CC#N)C1 ZINC000597167930 361022117 /nfs/dbraw/zinc/02/21/17/361022117.db2.gz BQLHRLNALCSENT-NWDGAFQWSA-N 0 1 253.346 0.763 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000597624443 361166999 /nfs/dbraw/zinc/16/69/99/361166999.db2.gz JHIAISIPKIISRK-GFCCVEGCSA-N 0 1 288.351 0.579 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000597619588 361164803 /nfs/dbraw/zinc/16/48/03/361164803.db2.gz HWNOICXHWNKJLW-STQMWFEESA-N 0 1 265.357 0.859 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(C(=O)[C@@H](C)CC#N)C1 ZINC000597772012 361239329 /nfs/dbraw/zinc/23/93/29/361239329.db2.gz BCKCGPWSLXSSHF-WDEREUQCSA-N 0 1 267.329 0.099 20 30 CCEDMN CC(C#N)C(=O)N[C@]1(C(=O)OC(C)(C)C)CCOC1 ZINC000598155767 361345003 /nfs/dbraw/zinc/34/50/03/361345003.db2.gz IIRZWYWXZVGUIO-NOZJJQNGSA-N 0 1 268.313 0.763 20 30 CCEDMN CC(C)(C#N)CNC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000611636995 363753768 /nfs/dbraw/zinc/75/37/68/363753768.db2.gz OPZXLYKOYGFXHY-CHWSQXEVSA-N 0 1 294.399 0.777 20 30 CCEDMN CN(C[C@H](O)CC(C)(C)C#N)[C@@H]1CCN(C)C1=O ZINC000598592743 361453216 /nfs/dbraw/zinc/45/32/16/361453216.db2.gz MJCFBDOHJAXGLW-GHMZBOCLSA-N 0 1 253.346 0.450 20 30 CCEDMN CO[C@H]1CC[C@@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)C1 ZINC000598843108 361524957 /nfs/dbraw/zinc/52/49/57/361524957.db2.gz JRINWKXIIYMCDH-ZJUUUORDSA-N 0 1 262.313 0.776 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000611875716 363798599 /nfs/dbraw/zinc/79/85/99/363798599.db2.gz UTFPBYIOBZSVLV-AWEZNQCLSA-N 0 1 268.357 0.247 20 30 CCEDMN CC[C@](C)(C#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599233214 361616619 /nfs/dbraw/zinc/61/66/19/361616619.db2.gz OMYCLVITVJNONK-GFCCVEGCSA-N 0 1 296.352 0.875 20 30 CCEDMN COC(=O)[C@@H]1CC[N@@H+](C[C@H](O)CC2(C#N)CC2)C1 ZINC000599265251 361625052 /nfs/dbraw/zinc/62/50/52/361625052.db2.gz PDCLPUOMYMFUTB-GHMZBOCLSA-N 0 1 252.314 0.536 20 30 CCEDMN CCC[C@H](C#N)NC(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001142685252 800145954 /nfs/dbraw/zinc/14/59/54/800145954.db2.gz PQWHYDRJNGDCLI-IJLUTSLNSA-N 0 1 253.346 0.640 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCCN1CCC1 ZINC000612842538 364022331 /nfs/dbraw/zinc/02/23/31/364022331.db2.gz KDWVFFSQYMCZNI-LBPRGKRZSA-N 0 1 253.346 0.279 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCC2(CN(C)C2)C1 ZINC000614035108 364469131 /nfs/dbraw/zinc/46/91/31/364469131.db2.gz MQGRXPAUYAXYKN-NEPJUHHUSA-N 0 1 250.342 0.742 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)Cc1n[nH]c(C)n1 ZINC000614372325 364587657 /nfs/dbraw/zinc/58/76/57/364587657.db2.gz HWUCGNXGOMQAAI-GXFFZTMASA-N 0 1 264.329 0.753 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000614504667 364634250 /nfs/dbraw/zinc/63/42/50/364634250.db2.gz XURMNZBIZDXKIZ-ZBFHGGJFSA-N 0 1 295.427 0.721 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCn3ccnc3C2)[nH]1 ZINC000614688649 364698237 /nfs/dbraw/zinc/69/82/37/364698237.db2.gz YAVBNAQXVTUIBI-SNVBAGLBSA-N 0 1 285.311 0.047 20 30 CCEDMN Cc1[nH]nc(C(=O)N2CC[C@](F)(C#N)C2)c1[N+](=O)[O-] ZINC000615825428 365097359 /nfs/dbraw/zinc/09/73/59/365097359.db2.gz QLVSADQGBNMVLU-JTQLQIEISA-N 0 1 267.220 0.704 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000616100114 365177645 /nfs/dbraw/zinc/17/76/45/365177645.db2.gz HGFPHOJHZYPKBQ-NXEZZACHSA-N 0 1 264.329 0.668 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC[C@H](O)CC3)cnc12 ZINC000566241404 291382324 /nfs/dbraw/zinc/38/23/24/291382324.db2.gz AFJPPERTCMVPRA-ZDUSSCGKSA-N 0 1 271.324 0.948 20 30 CCEDMN C=C[C@H](CO)NCC#CCN1C(=O)c2ccccc2C1=O ZINC000625564209 368247767 /nfs/dbraw/zinc/24/77/67/368247767.db2.gz SAIHVFSPUOQHMQ-GFCCVEGCSA-N 0 1 284.315 0.423 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)NC[C@H]2COCCN2)C1 ZINC000627191835 368862589 /nfs/dbraw/zinc/86/25/89/368862589.db2.gz WZICRNIQYROFRF-NEPJUHHUSA-N 0 1 253.346 0.582 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)Cc2noc(C)c2C#N)C1 ZINC000330773593 203216719 /nfs/dbraw/zinc/21/67/19/203216719.db2.gz XIJTZVGGTFVNPA-LLVKDONJSA-N 0 1 292.339 0.234 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNS(=O)(=O)c1ncc[nH]1 ZINC000632301450 370972378 /nfs/dbraw/zinc/97/23/78/370972378.db2.gz ZURFNMXNCVYWOM-UWVGGRQHSA-N 0 1 271.342 0.669 20 30 CCEDMN CN(CCCCO)CC(=O)NCc1ccc(C#N)cc1 ZINC000276460373 193340712 /nfs/dbraw/zinc/34/07/12/193340712.db2.gz XJDYJNAVPBMCCO-UHFFFAOYSA-N 0 1 275.352 0.879 20 30 CCEDMN N#Cc1cccc(CNC[C@H]2CC(C(N)=O)=NO2)c1 ZINC000276548671 193376664 /nfs/dbraw/zinc/37/66/64/193376664.db2.gz YVEHETLZJYFJOM-LLVKDONJSA-N 0 1 258.281 0.278 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCC[C@H](c3n[nH]c(=O)o3)C2)c1 ZINC000331827783 204209838 /nfs/dbraw/zinc/20/98/38/204209838.db2.gz NLMMPEZUVTYALN-VIFPVBQESA-N 0 1 287.279 0.995 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)CCc1nn[nH]n1 ZINC000632840598 371295436 /nfs/dbraw/zinc/29/54/36/371295436.db2.gz HJBSDODETYWTAM-CABZTGNLSA-N 0 1 265.317 0.230 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)N(C)C)C1=O ZINC000635891899 373062061 /nfs/dbraw/zinc/06/20/61/373062061.db2.gz QJGWRYWZCBLPGF-MNOVXSKESA-N 0 1 253.346 0.182 20 30 CCEDMN C=C[C@H](CO)NC(=O)C(C)(C)CN1CCOCC1 ZINC000636228653 373472336 /nfs/dbraw/zinc/47/23/36/373472336.db2.gz FETRLTPPYANBGP-LLVKDONJSA-N 0 1 256.346 0.008 20 30 CCEDMN C=CCCCCNC(=O)NCCN1CC[C@@H](O)C1 ZINC000637055610 373903895 /nfs/dbraw/zinc/90/38/95/373903895.db2.gz JSMGZAXYVBAGCZ-GFCCVEGCSA-N 0 1 255.362 0.709 20 30 CCEDMN CC(=O)[C@@](C)(O)CN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000639699029 375928534 /nfs/dbraw/zinc/92/85/34/375928534.db2.gz RBHNNADYQFCNSP-LOWVWBTDSA-N 0 1 253.346 0.245 20 30 CCEDMN C=CCN(CC=C)C(=O)Cn1cc(CNCC)nn1 ZINC000640791369 376540128 /nfs/dbraw/zinc/54/01/28/376540128.db2.gz CWJYTZZBNFUQFT-UHFFFAOYSA-N 0 1 263.345 0.588 20 30 CCEDMN C=C(C)[C@H](CO)n1cc([C@H](N)[C@@H]2CCCO2)nn1 ZINC000641084379 376755811 /nfs/dbraw/zinc/75/58/11/376755811.db2.gz JTBXWADCFIJWAO-SRVKXCTJSA-N 0 1 252.318 0.566 20 30 CCEDMN CC#CCNCc1cn(Cc2n[nH]c(C(C)C)n2)nn1 ZINC000641171708 376841121 /nfs/dbraw/zinc/84/11/21/376841121.db2.gz VQOVSFSOAOOGBK-UHFFFAOYSA-N 0 1 273.344 0.681 20 30 CCEDMN C[C@@H]1CN(c2nccc(C#N)n2)[C@@H](C)CN1CCO ZINC000419006574 249010686 /nfs/dbraw/zinc/01/06/86/249010686.db2.gz SQHUIBQCOFSGMM-MNOVXSKESA-N 0 1 261.329 0.240 20 30 CCEDMN C[C@H]1CN(c2cncc(C#N)n2)CCN1CC(C)(C)O ZINC000515052282 249117328 /nfs/dbraw/zinc/11/73/28/249117328.db2.gz HJQJZGWPGYONBQ-NSHDSACASA-N 0 1 275.356 0.630 20 30 CCEDMN Cc1nnc([C@H](C)NS(=O)(=O)c2cccnc2C#N)o1 ZINC000515546594 249138695 /nfs/dbraw/zinc/13/86/95/249138695.db2.gz YTZZISJDTMHTFK-ZETCQYMHSA-N 0 1 293.308 0.684 20 30 CCEDMN CC#CC[C@@H](CO)NS(=O)(=O)c1ccccc1O ZINC000657021150 412874672 /nfs/dbraw/zinc/87/46/72/412874672.db2.gz OGFQROQGLPNWGS-JTQLQIEISA-N 0 1 269.322 0.445 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2ccc(C#N)n2C)C1 ZINC000448932218 532984694 /nfs/dbraw/zinc/98/46/94/532984694.db2.gz HFEDGYXKFFDDIP-NSHDSACASA-N 0 1 276.340 0.347 20 30 CCEDMN C#CCCN1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC000270618841 533054540 /nfs/dbraw/zinc/05/45/40/533054540.db2.gz OOVNLVLGONWPBG-UHFFFAOYSA-N 0 1 257.337 0.931 20 30 CCEDMN C=CCCn1cc(CNC[C@H]2CCCNC2=O)nn1 ZINC000657490312 413007390 /nfs/dbraw/zinc/00/73/90/413007390.db2.gz IOIPCNWCAAECGV-LLVKDONJSA-N 0 1 263.345 0.470 20 30 CCEDMN C=CCCn1cc(CNC[C@]2(OCCO)CCOC2)nn1 ZINC000657599121 413031105 /nfs/dbraw/zinc/03/11/05/413031105.db2.gz WNVBMARSTZJONX-CQSZACIVSA-N 0 1 296.371 0.112 20 30 CCEDMN C=CCSCCN1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000288307166 388860993 /nfs/dbraw/zinc/86/09/93/388860993.db2.gz LVFARRNOWBPGGS-JTQLQIEISA-N 0 1 280.353 0.792 20 30 CCEDMN C#CCC(CC#C)C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000289131900 388867016 /nfs/dbraw/zinc/86/70/16/388867016.db2.gz QWINCEKWNHMNEZ-AWEZNQCLSA-N 0 1 290.407 0.953 20 30 CCEDMN C[C@H]1CN(CCOc2ccc(C#N)cc2)CCN1CCO ZINC000187665108 388889353 /nfs/dbraw/zinc/88/93/53/388889353.db2.gz HQUQORPKMYGLNT-AWEZNQCLSA-N 0 1 289.379 0.935 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](C(N)=O)CC[C@H]2C)CC1 ZINC000068096392 388948035 /nfs/dbraw/zinc/94/80/35/388948035.db2.gz RZTIFWLOSNVJQE-TZMCWYRMSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCOC)CCOC)CC1 ZINC000068027731 388948669 /nfs/dbraw/zinc/94/86/69/388948669.db2.gz RWCRCWVGTQGHFR-UHFFFAOYSA-N 0 1 282.384 0.453 20 30 CCEDMN C#CCNC(=O)CCN1CCN(Cc2cc(C)on2)CC1 ZINC000293580201 388984550 /nfs/dbraw/zinc/98/45/50/388984550.db2.gz TVIVUFJXSIOQBY-UHFFFAOYSA-N 0 1 290.367 0.240 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N(C)C[C@@H]1CCCO1 ZINC000076299465 388986232 /nfs/dbraw/zinc/98/62/32/388986232.db2.gz UBRBIASYLRBQLZ-NEPJUHHUSA-N 0 1 253.346 0.858 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCS[C@@H](C)CC1 ZINC000192168190 389028397 /nfs/dbraw/zinc/02/83/97/389028397.db2.gz WDQPVMAJWQPJTE-JTQLQIEISA-N 0 1 271.386 0.826 20 30 CCEDMN C=CC[N@H+](CCOC)Cc1cc(=O)n2[n-]ccc2n1 ZINC000191148850 389007190 /nfs/dbraw/zinc/00/71/90/389007190.db2.gz GOTAQGJKDJYLHN-UHFFFAOYSA-N 0 1 262.313 0.657 20 30 CCEDMN CN1CCN(c2cc(C(=O)N(CC#N)C3CC3)ccn2)CC1 ZINC000354197581 389017548 /nfs/dbraw/zinc/01/75/48/389017548.db2.gz WVAJECUUTOYSRB-UHFFFAOYSA-N 0 1 299.378 0.962 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000298416214 389017612 /nfs/dbraw/zinc/01/76/12/389017612.db2.gz JMEYDGFKXWEUDT-UHFFFAOYSA-N 0 1 272.352 0.768 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(OCCO)CC2)C1=O ZINC000337171309 389050473 /nfs/dbraw/zinc/05/04/73/389050473.db2.gz DPSVNKUGWNNYBO-ZDUSSCGKSA-N 0 1 268.357 0.247 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCn2cc(C)cn2)C1=O ZINC000337204572 389054430 /nfs/dbraw/zinc/05/44/30/389054430.db2.gz HXDIDYIXLYNVER-CYBMUJFWSA-N 0 1 262.357 0.910 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](O)COCC)C1=O ZINC000337203394 389055123 /nfs/dbraw/zinc/05/51/23/389055123.db2.gz GJFAXFSKWQYHAT-NEPJUHHUSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N[C@@H]1CCCN(C)[C@H]1C ZINC000355305646 389057695 /nfs/dbraw/zinc/05/76/95/389057695.db2.gz ZJPRGODEHKQUIY-NWDGAFQWSA-N 0 1 299.440 0.621 20 30 CCEDMN CCN(CCOCCO)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000337493366 389063007 /nfs/dbraw/zinc/06/30/07/389063007.db2.gz PGSJLRLPYIHJBL-LBPRGKRZSA-N 0 1 283.372 0.268 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000337633871 389064890 /nfs/dbraw/zinc/06/48/90/389064890.db2.gz CGKGOLMIRGFHQU-AWEZNQCLSA-N 0 1 282.384 0.760 20 30 CCEDMN CS(=O)(=O)N1CCC[C@H]1CNCc1ccc(C#N)o1 ZINC000338195631 389088753 /nfs/dbraw/zinc/08/87/53/389088753.db2.gz XSUNHZVDVPWODA-JTQLQIEISA-N 0 1 283.353 0.665 20 30 CCEDMN C=CCOCC(=O)N1CCC(N2CCO[C@@H](C)C2)CC1 ZINC000355526125 389089577 /nfs/dbraw/zinc/08/95/77/389089577.db2.gz WYQUJTXGOBQFIZ-ZDUSSCGKSA-N 0 1 282.384 0.901 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N(C)CCN(C)C1CC1 ZINC000336954025 389036952 /nfs/dbraw/zinc/03/69/52/389036952.db2.gz XFKUTVCGBDEPHX-LLVKDONJSA-N 0 1 288.417 0.349 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)CSc1nnc(C)[nH]1 ZINC000337049860 389038861 /nfs/dbraw/zinc/03/88/61/389038861.db2.gz IWODUBAUZNOBCY-QMMMGPOBSA-N 0 1 256.331 0.258 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)CSc1nc(C)n[nH]1 ZINC000337049860 389038865 /nfs/dbraw/zinc/03/88/65/389038865.db2.gz IWODUBAUZNOBCY-QMMMGPOBSA-N 0 1 256.331 0.258 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000337049593 389039078 /nfs/dbraw/zinc/03/90/78/389039078.db2.gz QUENLKXNZMFIKH-RYUDHWBXSA-N 0 1 256.346 0.008 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(CC(=O)NC2CC2)CC1 ZINC000121356094 389147582 /nfs/dbraw/zinc/14/75/82/389147582.db2.gz IVNIKSBEBKOCOB-AWEZNQCLSA-N 0 1 281.400 0.210 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2ccc3nsnc3c2)[nH]1 ZINC000355617031 389102305 /nfs/dbraw/zinc/10/23/05/389102305.db2.gz GXWASYXOLOSGNF-UHFFFAOYSA-N 0 1 284.304 0.971 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](C)N(C)[C@@H](C)C1 ZINC000339632063 389136589 /nfs/dbraw/zinc/13/65/89/389136589.db2.gz VNCZIMACXXBYAS-STQMWFEESA-N 0 1 266.389 0.773 20 30 CCEDMN C=CC[C@H](CC)N=c1ccc(C(=O)NCCO)n[nH]1 ZINC000357705259 389137529 /nfs/dbraw/zinc/13/75/29/389137529.db2.gz NNYNLCNFUSJIIX-JTQLQIEISA-N 0 1 264.329 0.387 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000357826929 389138188 /nfs/dbraw/zinc/13/81/88/389138188.db2.gz MNTPJTCGFAGSKZ-LBPRGKRZSA-N 0 1 265.357 0.717 20 30 CCEDMN Cn1c(CNC(=O)c2cnn[nH]2)nnc1C1CCC1 ZINC000329651824 389183056 /nfs/dbraw/zinc/18/30/56/389183056.db2.gz KMFUGZFMOWMECM-UHFFFAOYSA-N 0 1 261.289 0.706 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)CCCCCO ZINC000341384392 389188031 /nfs/dbraw/zinc/18/80/31/389188031.db2.gz SUSRCKDHUANCPI-UHFFFAOYSA-N 0 1 275.330 0.106 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@H](C)[C@@H]1CCOC1 ZINC000329710095 389191440 /nfs/dbraw/zinc/19/14/40/389191440.db2.gz NLYPGIHYKDSOAU-MGPQQGTHSA-N 0 1 298.431 0.551 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2(C)COC2)[C@H](C)C1 ZINC000329281949 389154712 /nfs/dbraw/zinc/15/47/12/389154712.db2.gz MQJXKDOUEYQDPX-NEPJUHHUSA-N 0 1 285.388 0.324 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CC[C@@H](O)C(C)(C)C2)C1 ZINC000329421094 389163373 /nfs/dbraw/zinc/16/33/73/389163373.db2.gz HAXOGXUSBYDXJZ-CHWSQXEVSA-N 0 1 298.431 0.239 20 30 CCEDMN C=CCN(CCOC)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000358917877 389163451 /nfs/dbraw/zinc/16/34/51/389163451.db2.gz XERJHRIGVFXQKV-CYBMUJFWSA-N 0 1 285.388 0.551 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CCO[C@@H]3CCC[C@@H]32)C1 ZINC000329425214 389163599 /nfs/dbraw/zinc/16/35/99/389163599.db2.gz IUFKBCHKXAJZLZ-MELADBBJSA-N 0 1 297.399 0.874 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N(C)C[C@H](C)C#N ZINC000358979881 389164062 /nfs/dbraw/zinc/16/40/62/389164062.db2.gz PLSSBLHTIIALIY-CHWSQXEVSA-N 0 1 281.404 0.423 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000359087030 389166655 /nfs/dbraw/zinc/16/66/55/389166655.db2.gz ILSGYJPNMYAEIU-KBPBESRZSA-N 0 1 283.416 0.720 20 30 CCEDMN C=C[C@H](C)NC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000128164349 389176739 /nfs/dbraw/zinc/17/67/39/389176739.db2.gz VUYSPQOQNUWISR-RYUDHWBXSA-N 0 1 254.378 0.496 20 30 CCEDMN O=C(N[C@H]1CCc2nc[nH]c2C1)N1CCC[C@@H]1CO ZINC000329621734 389177754 /nfs/dbraw/zinc/17/77/54/389177754.db2.gz NBQRRAMMTVFENR-VHSXEESVSA-N 0 1 264.329 0.638 20 30 CCEDMN CCNC(=O)NC(=O)CN1C[C@H](C(N)=O)CC[C@H]1C ZINC000330596407 389245122 /nfs/dbraw/zinc/24/51/22/389245122.db2.gz VKMKBWSSXAZSEM-RKDXNWHRSA-N 0 1 270.333 0.672 20 30 CCEDMN COc1cc(C(=O)N[C@H](CO)CN2CCCCC2)on1 ZINC000330608487 389245958 /nfs/dbraw/zinc/24/59/58/389245958.db2.gz MUORFZKGOYLPAZ-JTQLQIEISA-N 0 1 283.328 0.835 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CCC[C@H](OC)C2)C1 ZINC000330604280 389245993 /nfs/dbraw/zinc/24/59/93/389245993.db2.gz LGVQHPPWKJZKEI-STQMWFEESA-N 0 1 285.388 0.732 20 30 CCEDMN CCN(CCCO)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000135427522 389256865 /nfs/dbraw/zinc/25/68/65/389256865.db2.gz LBOKZVRQTKXNQL-ZDUSSCGKSA-N 0 1 255.362 0.745 20 30 CCEDMN CN1CCO[C@H](C(=O)NC2(c3nnc[nH]3)CCC2)C1 ZINC000329905019 389210809 /nfs/dbraw/zinc/21/08/09/389210809.db2.gz JLXVCTATPIBKQZ-VIFPVBQESA-N 0 1 265.317 0.471 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C[C@H]1CCCC[C@H]1O ZINC000245533669 389211670 /nfs/dbraw/zinc/21/16/70/389211670.db2.gz DMYPALXZDMESLW-VXGBXAGGSA-N 0 1 283.372 0.481 20 30 CCEDMN CNC(=O)N[C@H]1CCN(CCOc2ccc(C#N)cc2)C1 ZINC000342351215 389212629 /nfs/dbraw/zinc/21/26/29/389212629.db2.gz DRSOMOMTMMFCAH-ZDUSSCGKSA-N 0 1 288.351 0.940 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)NCCC(=O)N(C)C ZINC000330400755 389225372 /nfs/dbraw/zinc/22/53/72/389225372.db2.gz NVLYCHVYLJGYMC-UHFFFAOYSA-N 0 1 253.306 0.200 20 30 CCEDMN [O-]C(=[NH+]CCCn1ccnc1)N1CCC(CO)CC1 ZINC000330455094 389231605 /nfs/dbraw/zinc/23/16/05/389231605.db2.gz MRAKKMIQSCNYHU-UHFFFAOYSA-N 0 1 266.345 0.892 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)NC[C@@H]1CCCCO1 ZINC000330493706 389235292 /nfs/dbraw/zinc/23/52/92/389235292.db2.gz RKMPJOFXBGUURS-VIFPVBQESA-N 0 1 253.306 0.720 20 30 CCEDMN CCN(C[C@@H]1CCCO1)[C@@H](C)C(=O)NC(=O)NC ZINC000330537160 389239426 /nfs/dbraw/zinc/23/94/26/389239426.db2.gz QFKJJIIWVILBTB-UWVGGRQHSA-N 0 1 257.334 0.536 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)[C@H](CO)C(C)(C)C ZINC000346988164 389342862 /nfs/dbraw/zinc/34/28/62/389342862.db2.gz RMOFVLUPZDFMFB-LLVKDONJSA-N 0 1 267.373 0.888 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@H](C)C#N)N1CC[NH+](C)CC1 ZINC000345680559 389312278 /nfs/dbraw/zinc/31/22/78/389312278.db2.gz HDUZWYSUGXUKFQ-CHWSQXEVSA-N 0 1 266.389 0.534 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@H](C)C#N)N1CC[NH+](C)CC1 ZINC000345680560 389312329 /nfs/dbraw/zinc/31/23/29/389312329.db2.gz HDUZWYSUGXUKFQ-OLZOCXBDSA-N 0 1 266.389 0.534 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000345813425 389315564 /nfs/dbraw/zinc/31/55/64/389315564.db2.gz JJPGWCKYHFQCHD-IJLUTSLNSA-N 0 1 253.346 0.762 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000345813429 389315715 /nfs/dbraw/zinc/31/57/15/389315715.db2.gz JJPGWCKYHFQCHD-SDDRHHMPSA-N 0 1 253.346 0.762 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000345813430 389316000 /nfs/dbraw/zinc/31/60/00/389316000.db2.gz JJPGWCKYHFQCHD-UTUOFQBUSA-N 0 1 253.346 0.762 20 30 CCEDMN C=CCNC(=O)CN1CCC(CC(=O)OC)CC1 ZINC000346203947 389323916 /nfs/dbraw/zinc/32/39/16/389323916.db2.gz BTSLSFOPOWVGGY-UHFFFAOYSA-N 0 1 254.330 0.564 20 30 CCEDMN CC[C@]1(O)CCN([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346541510 389331955 /nfs/dbraw/zinc/33/19/55/389331955.db2.gz WCTRLLQUFQRCFY-RISCZKNCSA-N 0 1 265.357 0.784 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@H](C)C(=O)NC2(C#N)CCC2)[C@H]1C ZINC000346649154 389333294 /nfs/dbraw/zinc/33/32/94/389333294.db2.gz GMGZYTWWXNZMIK-QJPTWQEYSA-N 0 1 293.367 0.821 20 30 CCEDMN CCCCN(CCO)CC(=O)NC1(C#N)CCC1 ZINC000346831987 389338524 /nfs/dbraw/zinc/33/85/24/389338524.db2.gz AEUOVQHUKOGXKL-UHFFFAOYSA-N 0 1 253.346 0.643 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2cc(C#N)cn2C)C1 ZINC000330982680 389271634 /nfs/dbraw/zinc/27/16/34/389271634.db2.gz ORMNBSOEIDHWKB-GFCCVEGCSA-N 0 1 276.340 0.347 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000346861248 389340802 /nfs/dbraw/zinc/34/08/02/389340802.db2.gz ACKFALIHMIXZJA-MGPQQGTHSA-N 0 1 299.415 0.722 20 30 CCEDMN C=CCCS(=O)(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000343991418 389277810 /nfs/dbraw/zinc/27/78/10/389277810.db2.gz QFZUBRRCZOJPTI-UHFFFAOYSA-N 0 1 299.400 0.137 20 30 CCEDMN C=CCOCCCN1CCN(c2nc(N)ns2)CC1 ZINC000348046916 389362764 /nfs/dbraw/zinc/36/27/64/389362764.db2.gz DWHYEFZXUBTJCB-UHFFFAOYSA-N 0 1 283.401 0.665 20 30 CCEDMN C=CCOCCCN1CCN(c2nccn(C)c2=O)CC1 ZINC000348026661 389362813 /nfs/dbraw/zinc/36/28/13/389362813.db2.gz AISUGCXFKKMOAL-UHFFFAOYSA-N 0 1 292.383 0.495 20 30 CCEDMN CN(CC(=O)N1CCSC[C@@H]1C#N)[C@@H]1CCSC1 ZINC000334223338 389462000 /nfs/dbraw/zinc/46/20/00/389462000.db2.gz ZMISWOBNNIXSGH-MNOVXSKESA-N 0 1 285.438 0.891 20 30 CCEDMN Cc1[nH]nc(C(=O)N2CCSC[C@H]2C#N)c1[N+](=O)[O-] ZINC000271800723 389445814 /nfs/dbraw/zinc/44/58/14/389445814.db2.gz WPEIJZPWWZWTOD-SSDOTTSWSA-N 0 1 281.297 0.708 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2ccc(C#N)cn2)C1 ZINC000413497803 389523243 /nfs/dbraw/zinc/52/32/43/389523243.db2.gz LYXZCXVCYHQOME-GFCCVEGCSA-N 0 1 274.324 0.494 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2C[C@@H](C)NC[C@H]2C)CC1 ZINC000335050168 389534793 /nfs/dbraw/zinc/53/47/93/389534793.db2.gz KHXJYXGSGPXMCX-VXGBXAGGSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CC[C@@H](CO)NCc1cn(Cc2cccnc2)nn1 ZINC000351601959 389628105 /nfs/dbraw/zinc/62/81/05/389628105.db2.gz HLMSJAZCDVTCMR-ZDUSSCGKSA-N 0 1 273.340 0.748 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(CC)[nH]n2)C1=O ZINC000281866610 389629948 /nfs/dbraw/zinc/62/99/48/389629948.db2.gz ZAOVYYSYWBEERX-LBPRGKRZSA-N 0 1 276.340 0.831 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CC[C@H]2CCN(C)C[C@@H]21 ZINC000336281340 389630127 /nfs/dbraw/zinc/63/01/27/389630127.db2.gz AFWIZGATNSVQBL-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCc2n[nH]nc2C1 ZINC000351622987 389638178 /nfs/dbraw/zinc/63/81/78/389638178.db2.gz XZLWZZOJNHPESI-UHFFFAOYSA-N 0 1 261.329 0.363 20 30 CCEDMN C=CCN1CCC(NC(=O)N[C@H](C)C[S@](C)=O)CC1 ZINC000182727813 389715119 /nfs/dbraw/zinc/71/51/19/389715119.db2.gz OQKUHOLSSNNNPA-WYRIXSBYSA-N 0 1 287.429 0.703 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@H](n3cncn3)C2)C1=O ZINC000282099031 389656968 /nfs/dbraw/zinc/65/69/68/389656968.db2.gz VVNZUIBAFZPPKO-OLZOCXBDSA-N 0 1 275.356 0.702 20 30 CCEDMN C=CCn1cc(CNC(=O)NCCN2CC=CCC2)nn1 ZINC000425882989 389660114 /nfs/dbraw/zinc/66/01/14/389660114.db2.gz VHPIJZDOKWDABA-UHFFFAOYSA-N 0 1 290.371 0.525 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@H]1CCO ZINC000426263323 389662555 /nfs/dbraw/zinc/66/25/55/389662555.db2.gz LCUAMIRNHFHBDV-OLZOCXBDSA-N 0 1 267.373 0.841 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@H](C3CC3)C2)CC1 ZINC000375326326 389665909 /nfs/dbraw/zinc/66/59/09/389665909.db2.gz CSCJFXNNOFJDBJ-OAHLLOKOSA-N 0 1 276.380 0.969 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2cccc(C#N)c2)C[C@H]1O ZINC000351818008 389689709 /nfs/dbraw/zinc/68/97/09/389689709.db2.gz XNESHDJHOGFDNS-BXUZGUMPSA-N 0 1 273.336 0.487 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1C(=O)C(=O)Nc1sccc1C#N ZINC000415573326 389692560 /nfs/dbraw/zinc/69/25/60/389692560.db2.gz VLGQDLWJRKIUJG-DTWKUNHWSA-N 0 1 292.364 0.767 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC(C)=C[C@H](C)C1 ZINC000430268810 389792038 /nfs/dbraw/zinc/79/20/38/389792038.db2.gz OENRJRDZXJBRDP-JTQLQIEISA-N 0 1 251.330 0.896 20 30 CCEDMN C[C@H](NS(=O)(=O)c1ccc(C#N)nc1)c1nnc[nH]1 ZINC000183207953 389728302 /nfs/dbraw/zinc/72/83/02/389728302.db2.gz PIVNIQFOZNRLRU-ZETCQYMHSA-N 0 1 278.297 0.111 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)C1(CO)CCC1 ZINC000429229828 389745776 /nfs/dbraw/zinc/74/57/76/389745776.db2.gz ISXMOZDMWQMGKT-LBPRGKRZSA-N 0 1 267.373 0.841 20 30 CCEDMN CC(C)N1CCC[C@@H](NS(=O)(=O)[C@H](C)C#N)C1 ZINC000416464412 389746395 /nfs/dbraw/zinc/74/63/95/389746395.db2.gz HNAXXTZXZCUEHQ-GHMZBOCLSA-N 0 1 259.375 0.691 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC2(CC#N)CC2)C1 ZINC000430673509 389817333 /nfs/dbraw/zinc/81/73/33/389817333.db2.gz DEVDBEOHNIUZHD-GFCCVEGCSA-N 0 1 279.388 0.225 20 30 CCEDMN N#CCSCCCN1CCN2C(=O)CC[C@H]2C1 ZINC000336702891 262381751 /nfs/dbraw/zinc/38/17/51/262381751.db2.gz APRZHZCTNAASDS-NSHDSACASA-N 0 1 253.371 0.940 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NCCN1CC=C(C)CC1 ZINC000354099941 389859917 /nfs/dbraw/zinc/85/99/17/389859917.db2.gz QFBAPAYTEDXGGN-UHFFFAOYSA-N 0 1 265.357 0.399 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1CCNC[C@H]1CCC ZINC000419053695 389886485 /nfs/dbraw/zinc/88/64/85/389886485.db2.gz JTVQHPDHTUITIH-GFCCVEGCSA-N 0 1 267.373 0.669 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000433974648 389922401 /nfs/dbraw/zinc/92/24/01/389922401.db2.gz PMXMXRVCEFAKSH-ZANVPECISA-N 0 1 262.313 0.874 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](C)C[C@@H](CO)C1 ZINC000420933476 390038800 /nfs/dbraw/zinc/03/88/00/390038800.db2.gz GALHMMUTSOPFRX-MGPQQGTHSA-N 0 1 281.400 0.945 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000469368227 390077117 /nfs/dbraw/zinc/07/71/17/390077117.db2.gz WMVJJZLTLYKFFU-RRFJBIMHSA-N 0 1 295.427 0.720 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)c2ccncn2)[nH]1 ZINC000489724978 390101890 /nfs/dbraw/zinc/10/18/90/390101890.db2.gz YHBLKFYUQRQYID-UHFFFAOYSA-N 0 1 256.269 0.800 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)Cc2noc(C)n2)CC1 ZINC000490947666 390108750 /nfs/dbraw/zinc/10/87/50/390108750.db2.gz AHGOQIBEYPCHRG-UHFFFAOYSA-N 0 1 276.340 0.682 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1cc(C)ccc1C ZINC000491452184 390113567 /nfs/dbraw/zinc/11/35/67/390113567.db2.gz JMKHFLWAIQMWRA-KGLIPLIRSA-N 0 1 263.337 0.627 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC(C)=C[C@H](C)C2)CC1 ZINC000491524683 390114458 /nfs/dbraw/zinc/11/44/58/390114458.db2.gz NJVYOWNCVXTMAE-AWEZNQCLSA-N 0 1 275.396 0.662 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CCN(C2CCOCC2)CC1 ZINC000491804404 390116931 /nfs/dbraw/zinc/11/69/31/390116931.db2.gz LTSWFDONMVBVSD-ZDUSSCGKSA-N 0 1 279.384 0.904 20 30 CCEDMN C[C@@H]1CN(Cc2cnc3c(C#N)cnn3c2)CC[C@H]1O ZINC000579008472 390135189 /nfs/dbraw/zinc/13/51/89/390135189.db2.gz XVBJWTLHZGUKCJ-ZWNOBZJWSA-N 0 1 271.324 0.804 20 30 CCEDMN N#Cc1csc(CNC[C@H]2CS(=O)(=O)CCO2)c1 ZINC000535072096 390138656 /nfs/dbraw/zinc/13/86/56/390138656.db2.gz YYUJBIDKKLVNLG-JTQLQIEISA-N 0 1 286.378 0.523 20 30 CCEDMN C[C@@H]1CN(Cc2ccc(C#N)cn2)[C@H](C)CN1CCO ZINC000580213160 390230696 /nfs/dbraw/zinc/23/06/96/390230696.db2.gz IOVOUHQMBIUWOQ-CHWSQXEVSA-N 0 1 274.368 0.840 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1Cc1ccc(C#N)cn1 ZINC000580213162 390230882 /nfs/dbraw/zinc/23/08/82/390230882.db2.gz IOVOUHQMBIUWOQ-QWHCGFSZSA-N 0 1 274.368 0.840 20 30 CCEDMN C=CCn1cc(CNC[C@H]2CNc3ccnn3C2)nn1 ZINC000648044970 390263880 /nfs/dbraw/zinc/26/38/80/390263880.db2.gz LPYKWZJEMBPTBK-NSHDSACASA-N 0 1 273.344 0.492 20 30 CCEDMN C=CCn1cc(C(=O)N2CCNC[C@@H]2CCC)nn1 ZINC000648108372 390288033 /nfs/dbraw/zinc/28/80/33/390288033.db2.gz MIKKUVBYBZWGTF-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN CC#CCN(C)C[C@H](O)CNC(=O)OC(C)(C)C ZINC000496601031 390356198 /nfs/dbraw/zinc/35/61/98/390356198.db2.gz UKDFEFIHGZFHBG-LLVKDONJSA-N 0 1 256.346 0.827 20 30 CCEDMN CN1CCC(C#N)(NC(=O)COCC2CC2)CC1 ZINC000518817182 390495278 /nfs/dbraw/zinc/49/52/78/390495278.db2.gz FXAFUKXHRRVHDS-UHFFFAOYSA-N 0 1 251.330 0.517 20 30 CCEDMN CCC(=O)N1CCCN(CCOCCC#N)CC1 ZINC000555314597 390452347 /nfs/dbraw/zinc/45/23/47/390452347.db2.gz FEKPHLRDFUNUIM-UHFFFAOYSA-N 0 1 253.346 0.861 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@H]1C[C@@H](OC)C1 ZINC000510102573 390464330 /nfs/dbraw/zinc/46/43/30/390464330.db2.gz HJEQTOMTRZSSEP-AOOOYVTPSA-N 0 1 255.318 0.107 20 30 CCEDMN COC(=O)[C@@H]1CC[N@@H+](Cc2cnc3c(C#N)cnn3c2)C1 ZINC000565951448 390561920 /nfs/dbraw/zinc/56/19/20/390561920.db2.gz UPNABFQEMZWDTF-LLVKDONJSA-N 0 1 285.307 0.596 20 30 CCEDMN COC(=O)[C@@H]1CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000565951448 390561923 /nfs/dbraw/zinc/56/19/23/390561923.db2.gz UPNABFQEMZWDTF-LLVKDONJSA-N 0 1 285.307 0.596 20 30 CCEDMN C=C[C@@H](O)C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000646689062 390709645 /nfs/dbraw/zinc/70/96/45/390709645.db2.gz LITXAOXNOLQUGT-CQSZACIVSA-N 0 1 275.352 0.709 20 30 CCEDMN Cc1cc(CNC[C@H]2CS(=O)(=O)CCO2)ccc1C#N ZINC000572447729 390783746 /nfs/dbraw/zinc/78/37/46/390783746.db2.gz AINDANZXSJFPTD-AWEZNQCLSA-N 0 1 294.376 0.770 20 30 CCEDMN C[C@H](NC(=O)NC[C@@H]1COCCN1)c1ccc(C#N)cc1 ZINC000529297101 390802346 /nfs/dbraw/zinc/80/23/46/390802346.db2.gz MZXKTLRGOMSOOS-SMDDNHRTSA-N 0 1 288.351 0.907 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCC3(C2)OCCO3)CC1 ZINC000528412410 390742064 /nfs/dbraw/zinc/74/20/64/390742064.db2.gz BGCDUGRKQVDMKQ-LLVKDONJSA-N 0 1 252.314 0.490 20 30 CCEDMN C[C@@H]1C[C@@H](O)CN1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528430775 390743178 /nfs/dbraw/zinc/74/31/78/390743178.db2.gz UUPDHKBLJSQMFD-CHWSQXEVSA-N 0 1 298.342 0.741 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)Cc2cccc(C#N)c2)C1 ZINC000531391530 390878286 /nfs/dbraw/zinc/87/82/86/390878286.db2.gz ACCXOUJLJPOXJA-OAHLLOKOSA-N 0 1 286.379 0.463 20 30 CCEDMN N#CCN1CCC(CNC(=O)c2[nH]nc3c2CCC3)CC1 ZINC000532301452 390879311 /nfs/dbraw/zinc/87/93/11/390879311.db2.gz JGSZQYSIAFBCLN-UHFFFAOYSA-N 0 1 287.367 0.864 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC[C@H]3CO)cnc12 ZINC000575060289 390831865 /nfs/dbraw/zinc/83/18/65/390831865.db2.gz DFBKQFKBJUJABC-LBPRGKRZSA-N 0 1 257.297 0.558 20 30 CCEDMN CO[C@@H]1CC[C@@H](NC(=O)NCCN2CCCOCC2)C1 ZINC000329978864 533424579 /nfs/dbraw/zinc/42/45/79/533424579.db2.gz IJLVOSFXSMQQIT-CHWSQXEVSA-N 0 1 285.388 0.780 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1ccnc(C#N)c1 ZINC000193182690 130595151 /nfs/dbraw/zinc/59/51/51/130595151.db2.gz GXJICUCRIHPXEA-LBPRGKRZSA-N 0 1 287.367 0.319 20 30 CCEDMN C[C@H](O)[C@@H]1CCN(CC(=O)NCc2ccc(C#N)cc2)C1 ZINC000356442111 144003167 /nfs/dbraw/zinc/00/31/67/144003167.db2.gz BBMYKGMHRVLGBR-SWLSCSKDSA-N 0 1 287.363 0.877 20 30 CCEDMN C[C@H](O)CN1CCN(c2cc(C#N)ncn2)[C@H](C)C1 ZINC000519737940 397568784 /nfs/dbraw/zinc/56/87/84/397568784.db2.gz YTVPPWCNDOHFTD-MNOVXSKESA-N 0 1 261.329 0.240 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H](O)C[C@@H]1COC ZINC000649761416 397665719 /nfs/dbraw/zinc/66/57/19/397665719.db2.gz XLEXAGMRJOXOQU-CHWSQXEVSA-N 0 1 268.357 0.269 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(CCOC)CC2(C)C)C1=O ZINC000649851062 397674970 /nfs/dbraw/zinc/67/49/70/397674970.db2.gz KDSPDYASOOGZGN-AWEZNQCLSA-N 0 1 295.427 0.816 20 30 CCEDMN COCC#CC[N@H+]1CC[C@@H]([C@@H](O)C(F)(F)F)C1 ZINC000650204788 397704531 /nfs/dbraw/zinc/70/45/31/397704531.db2.gz HXPAIYGKVMVXJQ-NXEZZACHSA-N 0 1 251.248 0.881 20 30 CCEDMN COCC#CCN1CC[C@@H]([C@@H](O)C(F)(F)F)C1 ZINC000650204788 397704533 /nfs/dbraw/zinc/70/45/33/397704533.db2.gz HXPAIYGKVMVXJQ-NXEZZACHSA-N 0 1 251.248 0.881 20 30 CCEDMN C[C@@H](Oc1ccccc1C#N)C(=O)NCc1nn[nH]n1 ZINC000044928497 411632776 /nfs/dbraw/zinc/63/27/76/411632776.db2.gz QCRWQDWCUQESMD-MRVPVSSYSA-N 0 1 272.268 0.155 20 30 CCEDMN C=CCCn1cc(CN2CCN(C[C@H](C)O)CC2)nn1 ZINC000653541454 412313498 /nfs/dbraw/zinc/31/34/98/412313498.db2.gz QGLZFCJLKHDKTB-ZDUSSCGKSA-N 0 1 279.388 0.353 20 30 CCEDMN C=CCCn1cc(CN[C@@H](CO)C2CCOCC2)nn1 ZINC000653612427 412323928 /nfs/dbraw/zinc/32/39/28/412323928.db2.gz PDYYTWBYZWDCOJ-AWEZNQCLSA-N 0 1 280.372 0.731 20 30 CCEDMN CO[C@H]1C[C@@H](CN(C)C(=O)c2cc(C#N)ccn2)N(C)C1 ZINC000285040712 196184810 /nfs/dbraw/zinc/18/48/10/196184810.db2.gz POCKCBOBHPSCCO-STQMWFEESA-N 0 1 288.351 0.744 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(c3nc(N)n[nH]3)CC2)C1=O ZINC000660566919 414390854 /nfs/dbraw/zinc/39/08/54/414390854.db2.gz LCKXYTYGOAXDBK-LLVKDONJSA-N 0 1 290.371 0.353 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)Cc1n[nH]c(=O)o1 ZINC000660850435 414508599 /nfs/dbraw/zinc/50/85/99/414508599.db2.gz MTEQJXKGTCTCLQ-JTQLQIEISA-N 0 1 280.328 0.796 20 30 CCEDMN C=CCCNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000660856122 414511025 /nfs/dbraw/zinc/51/10/25/414511025.db2.gz YKLOZYIUSKHJML-LBPRGKRZSA-N 0 1 254.378 0.498 20 30 CCEDMN C=CCCNC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000660863452 414514940 /nfs/dbraw/zinc/51/49/40/414514940.db2.gz HGVYTVCAFYQOBH-CQSZACIVSA-N 0 1 296.415 0.268 20 30 CCEDMN C=C(C)CN(CC)C(=O)CNC1(C(N)=O)CCOCC1 ZINC000661593835 414646035 /nfs/dbraw/zinc/64/60/35/414646035.db2.gz AVWITXIVYVBDEL-UHFFFAOYSA-N 0 1 283.372 0.035 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H]2NC(=O)CC[C@H]2C1 ZINC000661604819 414650902 /nfs/dbraw/zinc/65/09/02/414650902.db2.gz BYJRMGZVDKKHNG-SDDRHHMPSA-N 0 1 265.357 0.278 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(CO)(CO)C1 ZINC000661967717 414685202 /nfs/dbraw/zinc/68/52/02/414685202.db2.gz QMGNZALGEKWAPK-ZDUSSCGKSA-N 0 1 284.400 0.476 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCCc1ccccc1 ZINC000662167669 414695398 /nfs/dbraw/zinc/69/53/98/414695398.db2.gz LKBPEQKXIFVHPI-CQSZACIVSA-N 0 1 262.353 0.872 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCc1n[nH]c(C(C)C)n1 ZINC000664989629 415506552 /nfs/dbraw/zinc/50/65/52/415506552.db2.gz GHIZHMRENQWRET-MRVPVSSYSA-N 0 1 253.306 0.274 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1CCCN(C)CC1 ZINC000665161289 415557011 /nfs/dbraw/zinc/55/70/11/415557011.db2.gz BMUUKYPVECAEPE-ZDUSSCGKSA-N 0 1 267.373 0.621 20 30 CCEDMN COC[C@@H]1CCCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347055529 226006243 /nfs/dbraw/zinc/00/62/43/226006243.db2.gz NHPIHJVOYMTARD-GFCCVEGCSA-N 0 1 265.357 0.907 20 30 CCEDMN C[C@@H]1[C@H](C)NCCN1S(=O)(=O)c1ccc(C#N)o1 ZINC000575196513 335079549 /nfs/dbraw/zinc/07/95/49/335079549.db2.gz OBRRXFZJMASOAJ-DTWKUNHWSA-N 0 1 269.326 0.522 20 30 CCEDMN CN(C)CC(=O)N1CCN(C[C@@H](C#N)CCC#N)CC1 ZINC000352791033 227229349 /nfs/dbraw/zinc/22/93/49/227229349.db2.gz XFQFKGIQHJPPNQ-CYBMUJFWSA-N 0 1 277.372 0.136 20 30 CCEDMN O=C(NCCN1CC=CCC1)c1cn([C@H]2CCOC2)nn1 ZINC000329011222 227257741 /nfs/dbraw/zinc/25/77/41/227257741.db2.gz OQWGSKBZKAJEBM-LBPRGKRZSA-N 0 1 291.355 0.806 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)N1CCC(n2ccnc2)CC1 ZINC000329165789 227335474 /nfs/dbraw/zinc/33/54/74/227335474.db2.gz HKXIZVZONWHLNX-CYBMUJFWSA-N 0 1 294.355 0.849 20 30 CCEDMN C[C@H](NC(=O)NC[C@@H]1CCCCO1)c1nnc[nH]1 ZINC000329271733 227399032 /nfs/dbraw/zinc/39/90/32/227399032.db2.gz IEMSVJQZJVOZLV-IUCAKERBSA-N 0 1 253.306 0.938 20 30 CCEDMN N#CC1CCN(C(=O)C(=O)N[C@H]2CCN(C3CC3)C2)CC1 ZINC000331378167 228097087 /nfs/dbraw/zinc/09/70/87/228097087.db2.gz HSPALJQPIPXDCU-LBPRGKRZSA-N 0 1 290.367 0.101 20 30 CCEDMN Cc1nc2n(n1)C[C@H]([NH+]=C([O-])N[C@@H]1C=C[C@H](CO)C1)CC2 ZINC000330078285 228033569 /nfs/dbraw/zinc/03/35/69/228033569.db2.gz FLXNKWDTMBNHDH-QJPTWQEYSA-N 0 1 291.355 0.342 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N[C@@H]1C=C[C@H](CO)C1)CC2 ZINC000330078285 228033572 /nfs/dbraw/zinc/03/35/72/228033572.db2.gz FLXNKWDTMBNHDH-QJPTWQEYSA-N 0 1 291.355 0.342 20 30 CCEDMN O=C(NCCN1C(=O)CCC1=O)[C@H]1CCCN1C1CC1 ZINC000330217939 228069443 /nfs/dbraw/zinc/06/94/43/228069443.db2.gz IYLAMGPCBZZFFN-LLVKDONJSA-N 0 1 279.340 0.719 20 30 CCEDMN C#CCC(CC#C)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000287684292 544966915 /nfs/dbraw/zinc/96/69/15/544966915.db2.gz IFVGYZHAUFVFCN-OAHLLOKOSA-N 0 1 288.391 0.830 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)N1CC[C@H](n2cccn2)C1 ZINC000329615496 545024584 /nfs/dbraw/zinc/02/45/84/545024584.db2.gz LAKBGPOQTRVFFU-NWDGAFQWSA-N 0 1 280.328 0.459 20 30 CCEDMN [O-]C(=[NH+][C@H]1CCc2[nH]cnc2C1)N1C[C@@H]2COC[C@H](C1)O2 ZINC000329735999 545025283 /nfs/dbraw/zinc/02/52/83/545025283.db2.gz SHHACIOOKFMOQH-GARJFASQSA-N 0 1 292.339 0.281 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N1CC[C@H](n2cccn2)C1 ZINC000329840119 545027400 /nfs/dbraw/zinc/02/74/00/545027400.db2.gz WGFZGFNIAMFUNO-NNYUYHANSA-N 0 1 262.313 0.689 20 30 CCEDMN CC[C@H]1CN(CCC(=O)N(C)CCC#N)CCO1 ZINC000036769797 260118804 /nfs/dbraw/zinc/11/88/04/260118804.db2.gz DJHWFJYBECYAAY-LBPRGKRZSA-N 0 1 253.346 0.859 20 30 CCEDMN CCN1CCC[C@H](NS(=O)(=O)N(C)[C@H](C)CC#N)C1 ZINC000407974195 260137017 /nfs/dbraw/zinc/13/70/17/260137017.db2.gz CRQDGYORKMJEHC-NEPJUHHUSA-N 0 1 288.417 0.539 20 30 CCEDMN CCNS(=O)(=O)[C@@H]1CCN([C@@H]2CC[C@H](C#N)C2)C1 ZINC000407993403 260155711 /nfs/dbraw/zinc/15/57/11/260155711.db2.gz OLZZUWCMSSQFTN-QJPTWQEYSA-N 0 1 271.386 0.692 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN[C@H]1CCCc2c[nH]nc21 ZINC000516862504 260194112 /nfs/dbraw/zinc/19/41/12/260194112.db2.gz FMBRYKYVZCXECS-OLZOCXBDSA-N 0 1 277.372 0.583 20 30 CCEDMN CC1(C)NC(=O)N(CCN2CCC(C)(C#N)CC2)C1=O ZINC000408158807 260317123 /nfs/dbraw/zinc/31/71/23/260317123.db2.gz MXHQFQFGMFGVKL-UHFFFAOYSA-N 0 1 278.356 0.942 20 30 CCEDMN C#C[C@H](CC)NS(=O)(=O)c1scnc1C(=O)OC ZINC000234037652 260337071 /nfs/dbraw/zinc/33/70/71/260337071.db2.gz GFDRJYXYNQOXEP-SSDOTTSWSA-N 0 1 288.350 0.620 20 30 CCEDMN C#CCN(CC)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000490671692 261180729 /nfs/dbraw/zinc/18/07/29/261180729.db2.gz UGCUXZUFBWWBTO-GFCCVEGCSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCN1CCC(C(=O)OCCn2ccnc2)CC1 ZINC000490839739 261222521 /nfs/dbraw/zinc/22/25/21/261222521.db2.gz JWWBFWOCPDAKGD-UHFFFAOYSA-N 0 1 261.325 0.772 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1COCCN1CC ZINC000490934639 261254521 /nfs/dbraw/zinc/25/45/21/261254521.db2.gz JTNYGYINHDOREW-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1CCO[C@H](C(C)(C)C)C1 ZINC000491167363 261358528 /nfs/dbraw/zinc/35/85/28/261358528.db2.gz XQYIBIYQODDFLB-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)C(C)(C)C#N ZINC000106818383 261373228 /nfs/dbraw/zinc/37/32/28/261373228.db2.gz IRMZKDYMHXVJHQ-NSHDSACASA-N 0 1 252.362 0.288 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1OC[C@H]2COCC[C@H]21 ZINC000491231208 261380801 /nfs/dbraw/zinc/38/08/01/261380801.db2.gz REGXRUMNISLAJD-KBUPBQIOSA-N 0 1 293.363 0.679 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)C[C@H](O)Cc1ccccc1 ZINC000491240684 261384954 /nfs/dbraw/zinc/38/49/54/261384954.db2.gz BVPAYEVSKWAYDJ-UKRRQHHQSA-N 0 1 274.364 0.660 20 30 CCEDMN C#CCC[N@H+](C)C[C@@H](O)CNC(=O)OC(C)(C)C ZINC000497189855 262093992 /nfs/dbraw/zinc/09/39/92/262093992.db2.gz KGNXJVROIANXAW-NSHDSACASA-N 0 1 256.346 0.827 20 30 CCEDMN CC(C)(C)OC(=O)NC[C@@H](O)CNC[C@H](C#N)CCC#N ZINC000497306443 262140675 /nfs/dbraw/zinc/14/06/75/262140675.db2.gz RENAAYZDFJCADS-RYUDHWBXSA-N 0 1 296.371 0.905 20 30 CCEDMN C[N@@H+](C[C@H](O)CN(CCC#N)CCC#N)C1CC1 ZINC000336789145 262393621 /nfs/dbraw/zinc/39/36/21/262393621.db2.gz IMDNJRPCVALUGA-ZDUSSCGKSA-N 0 1 250.346 0.571 20 30 CCEDMN CCCN(C)C(=O)/C(=N/OCC(=O)OCC)c1cc[nH]n1 ZINC000278232136 263045841 /nfs/dbraw/zinc/04/58/41/263045841.db2.gz VIRVHWSFPSYVPV-FOWTUZBSSA-N 0 1 296.327 0.562 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N2CC(C)(C#N)C2)cn1 ZINC000280391518 263061106 /nfs/dbraw/zinc/06/11/06/263061106.db2.gz GJMSDXUGTQCCFX-UHFFFAOYSA-N 0 1 270.296 0.796 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)c2cc(C3CC3)[nH]n2)CC1 ZINC000288116602 263103610 /nfs/dbraw/zinc/10/36/10/263103610.db2.gz LFSVIKCRBRKURZ-UHFFFAOYSA-N 0 1 287.323 0.485 20 30 CCEDMN CC(=O)N(C)C1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000119867913 263280060 /nfs/dbraw/zinc/28/00/60/263280060.db2.gz DRHCAYVLLOKSKT-LBPRGKRZSA-N 0 1 294.399 0.690 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000341704485 263347323 /nfs/dbraw/zinc/34/73/23/263347323.db2.gz RYHDUIJVUKRWGQ-GFCCVEGCSA-N 0 1 295.387 0.173 20 30 CCEDMN Cc1cc(CNC([O-])=[NH+][C@H]2CCc3nc(C)nn3C2)on1 ZINC000328812373 263866110 /nfs/dbraw/zinc/86/61/10/263866110.db2.gz MZNVTNBTXNVSFJ-JTQLQIEISA-N 0 1 290.327 0.902 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@H](C(N)=O)CC[C@@H]1C ZINC000330598171 263982124 /nfs/dbraw/zinc/98/21/24/263982124.db2.gz WKHPJMVQUQIMQO-VHSXEESVSA-N 0 1 282.344 0.838 20 30 CCEDMN COCCN1CC[C@H](NC(=O)C2(C#N)CCCC2)C1 ZINC000330654624 263989701 /nfs/dbraw/zinc/98/97/01/263989701.db2.gz SPEQONJMZRRCEC-LBPRGKRZSA-N 0 1 265.357 0.907 20 30 CCEDMN C[C@H]1CN(C)CCN1CC(=O)NC(=O)Nc1ccccc1 ZINC000330672827 264013583 /nfs/dbraw/zinc/01/35/83/264013583.db2.gz OKBFCZIJTTUXCY-LBPRGKRZSA-N 0 1 290.367 0.984 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N1CCC(C#N)CC1 ZINC000330824348 264048507 /nfs/dbraw/zinc/04/85/07/264048507.db2.gz QLUPAMMPMZCLRY-UHFFFAOYSA-N 0 1 273.402 0.894 20 30 CCEDMN CN1CCC(NS(=O)(=O)CCCCC#N)CC1 ZINC000330786377 264053662 /nfs/dbraw/zinc/05/36/62/264053662.db2.gz NFCPKDLIMGZIGG-UHFFFAOYSA-N 0 1 259.375 0.694 20 30 CCEDMN CC(C)(O)[C@H]1CCCN1C(=O)NCCn1cncn1 ZINC000330867253 264058104 /nfs/dbraw/zinc/05/81/04/264058104.db2.gz VQDSLOPEEVTRIN-SNVBAGLBSA-N 0 1 267.333 0.427 20 30 CCEDMN CC[C@H](CO)N1CCN(C(=O)CCCC#N)CC1 ZINC000330910719 264075419 /nfs/dbraw/zinc/07/54/19/264075419.db2.gz YLVQALFKNSXYLB-GFCCVEGCSA-N 0 1 253.346 0.595 20 30 CCEDMN CC[C@H](C[C@H](C)CO)NC(=O)N[C@@H]1CCc2ncnn2C1 ZINC000330934306 264079820 /nfs/dbraw/zinc/07/98/20/264079820.db2.gz IBSQONSUXIUTKF-QJPTWQEYSA-N 0 1 295.387 0.894 20 30 CCEDMN CC[C@H](C[C@H](C)CO)[NH+]=C([O-])N[C@@H]1CCc2ncnn2C1 ZINC000330934306 264079823 /nfs/dbraw/zinc/07/98/23/264079823.db2.gz IBSQONSUXIUTKF-QJPTWQEYSA-N 0 1 295.387 0.894 20 30 CCEDMN CN(C)C(=O)NCCNC(=O)[C@H]1CCCc2[nH]cnc21 ZINC000330948493 264086045 /nfs/dbraw/zinc/08/60/45/264086045.db2.gz BWVXUGANCGYCHK-VIFPVBQESA-N 0 1 279.344 0.421 20 30 CCEDMN CC(C)N(C[C@@H](C)O)C(=O)NCCn1cncn1 ZINC000330987959 264104235 /nfs/dbraw/zinc/10/42/35/264104235.db2.gz RYNRFLNIBJMRMT-SNVBAGLBSA-N 0 1 255.322 0.283 20 30 CCEDMN N#CC1(CS(=O)(=O)NCCc2nc[nH]n2)CCCCC1 ZINC000331084895 264117918 /nfs/dbraw/zinc/11/79/18/264117918.db2.gz ZJADPPSFAWKEKD-UHFFFAOYSA-N 0 1 297.384 0.741 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC([C@H](C)NC(C)=O)CC1 ZINC000331137371 264135456 /nfs/dbraw/zinc/13/54/56/264135456.db2.gz SLBUKXXIVXRFPX-NWDGAFQWSA-N 0 1 281.400 0.914 20 30 CCEDMN C=CCNC(=O)N1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC000331162929 264143241 /nfs/dbraw/zinc/14/32/41/264143241.db2.gz AFSDYWXPSWRCDT-VIFPVBQESA-N 0 1 278.316 0.114 20 30 CCEDMN CC(=O)NC[C@H]1CCCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000126241464 264214632 /nfs/dbraw/zinc/21/46/32/264214632.db2.gz GIEKVZRLJRFTPV-TZMCWYRMSA-N 0 1 294.399 0.595 20 30 CCEDMN CNC(=O)[C@@H](NCC(=O)Nc1cccc(C#N)c1)C(C)C ZINC000183636740 264273427 /nfs/dbraw/zinc/27/34/27/264273427.db2.gz DZTUEDCDZMJGJL-AWEZNQCLSA-N 0 1 288.351 0.857 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@H]1C(=O)NCC[C@H]1C ZINC000193563859 264669894 /nfs/dbraw/zinc/66/98/94/264669894.db2.gz QNVCPYLKHBDJKZ-YPMHNXCESA-N 0 1 265.357 0.301 20 30 CCEDMN C=CCOCC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000354305649 266043892 /nfs/dbraw/zinc/04/38/92/266043892.db2.gz RTJJRBFLRRXQFZ-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN CN1CCC(NS(=O)(=O)CC2(C#N)CCC2)CC1 ZINC000357146415 266254792 /nfs/dbraw/zinc/25/47/92/266254792.db2.gz DCKOESMPYQGVMC-UHFFFAOYSA-N 0 1 271.386 0.694 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@@H]1CN2CCC[C@H]2CO1 ZINC000368564828 267186333 /nfs/dbraw/zinc/18/63/33/267186333.db2.gz WICMCCOUHYKKIE-NWDGAFQWSA-N 0 1 274.386 0.345 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000368965353 267215662 /nfs/dbraw/zinc/21/56/62/267215662.db2.gz TZHPQTOVLJFBCU-UHFFFAOYSA-N 0 1 270.358 0.890 20 30 CCEDMN CN(CCC(N)=O)Cc1ccc(C#N)cc1[N+](=O)[O-] ZINC000518986111 267305567 /nfs/dbraw/zinc/30/55/67/267305567.db2.gz BQXALRNGPGZTEG-UHFFFAOYSA-N 0 1 262.269 0.774 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)N1CCN[C@H](C)C1 ZINC000335045046 275752332 /nfs/dbraw/zinc/75/23/32/275752332.db2.gz WKVVXIGDCVAPBY-NEPJUHHUSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2=CCCOC2)C[C@H]1C ZINC000329709187 278289677 /nfs/dbraw/zinc/28/96/77/278289677.db2.gz MVXKXKXOPNDHSM-OLZOCXBDSA-N 0 1 297.399 0.634 20 30 CCEDMN CCN1CCOC[C@@H]1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329181447 279377366 /nfs/dbraw/zinc/37/73/66/279377366.db2.gz OPUQNRWFVZVAHP-ZWNOBZJWSA-N 0 1 278.356 0.944 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)C(=O)N2CCC(C#N)CC2)CCCN1C ZINC000347762633 289049260 /nfs/dbraw/zinc/04/92/60/289049260.db2.gz ZHIODCBJTUHOPH-DGCLKSJQSA-N 0 1 292.383 0.347 20 30 CCEDMN C=CCOC[C@@H](NC(=O)C[N@H+]1CC[C@H](C)C1)C(=O)OC ZINC000563391929 328060594 /nfs/dbraw/zinc/06/05/94/328060594.db2.gz CSPKCDPDLAMBQP-NWDGAFQWSA-N 0 1 284.356 0.189 20 30 CCEDMN C=CCOC[C@@H](NC(=O)CN1CC[C@H](C)C1)C(=O)OC ZINC000563391929 328060595 /nfs/dbraw/zinc/06/05/95/328060595.db2.gz CSPKCDPDLAMBQP-NWDGAFQWSA-N 0 1 284.356 0.189 20 30 CCEDMN C[C@H](CC#N)N(C)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000264012912 328157053 /nfs/dbraw/zinc/15/70/53/328157053.db2.gz BYMOGPPBERQQBE-SECBINFHSA-N 0 1 287.327 0.550 20 30 CCEDMN C=CCCC[N@H+](C)[C@H](C)C(=O)N1CCN(C(C)=O)CC1 ZINC000121122693 328365416 /nfs/dbraw/zinc/36/54/16/328365416.db2.gz KMVPYMJUIJWHKG-CYBMUJFWSA-N 0 1 281.400 0.964 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N(C)C[C@@H](C)O ZINC000159463763 327196718 /nfs/dbraw/zinc/19/67/18/327196718.db2.gz XIOOXQYJTSCJHE-MDZLAQPJSA-N 0 1 255.362 0.742 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N(C)C[C@@H](C)O ZINC000159463362 327196734 /nfs/dbraw/zinc/19/67/34/327196734.db2.gz XIOOXQYJTSCJHE-NQBHXWOUSA-N 0 1 255.362 0.742 20 30 CCEDMN C#CCN(CCNS(=O)(=O)CC)C1CCCC1 ZINC000155906325 327399906 /nfs/dbraw/zinc/39/99/06/327399906.db2.gz YEZRQZQCOUDAMN-UHFFFAOYSA-N 0 1 258.387 0.804 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@](CO)(C(C)C)C1 ZINC000377669609 329023825 /nfs/dbraw/zinc/02/38/25/329023825.db2.gz GGWOWWWLIKNYHS-CQSZACIVSA-N 0 1 283.372 0.339 20 30 CCEDMN C=CCC[C@H](O)CN1CCN([C@H]2CCOC2)CC1 ZINC000378407295 329049502 /nfs/dbraw/zinc/04/95/02/329049502.db2.gz UXWMOAIHCCUALM-KBPBESRZSA-N 0 1 254.374 0.720 20 30 CCEDMN C[C@H](C#N)CNC[C@@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000582601876 329114290 /nfs/dbraw/zinc/11/42/90/329114290.db2.gz YPBGTHVZTUENED-FVCCEPFGSA-N 0 1 255.362 0.206 20 30 CCEDMN CCC(C#N)(CC)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000394825782 329150505 /nfs/dbraw/zinc/15/05/05/329150505.db2.gz ZKEUXSBNQFCBNX-ZDUSSCGKSA-N 0 1 278.400 0.822 20 30 CCEDMN C=C(C)CN(C)CC(=O)N1CCN(C(C)=O)CC1 ZINC000181300401 329230264 /nfs/dbraw/zinc/23/02/64/329230264.db2.gz UQQLEYWRBZKXFR-UHFFFAOYSA-N 0 1 253.346 0.185 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000186083727 329337413 /nfs/dbraw/zinc/33/74/13/329337413.db2.gz SGQGPOMQZVWKBT-ZIAGYGMSSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@]23CCOC3)CC1 ZINC000194392590 329686146 /nfs/dbraw/zinc/68/61/46/329686146.db2.gz RLLSPLZAKQATRT-MRXNPFEDSA-N 0 1 292.379 0.350 20 30 CCEDMN C=CCNC(=O)Nc1ccc(NC(=O)[C@@H](N)COC)cc1 ZINC000262352469 330007704 /nfs/dbraw/zinc/00/77/04/330007704.db2.gz UPEWYBCHSFHBKY-LBPRGKRZSA-N 0 1 292.339 0.906 20 30 CCEDMN N#Cc1cccc(NC(=O)CCN2CCn3nncc3C2)c1 ZINC000580723056 330144657 /nfs/dbraw/zinc/14/46/57/330144657.db2.gz OCCQKPWMDDNQJW-UHFFFAOYSA-N 0 1 296.334 0.994 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)NCc1ccc2cncn2c1 ZINC000422474213 330147580 /nfs/dbraw/zinc/14/75/80/330147580.db2.gz PMAQKHHQBSHKTE-UHFFFAOYSA-N 0 1 286.335 0.985 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000423566090 330212254 /nfs/dbraw/zinc/21/22/54/330212254.db2.gz PXNUWHLSLOWGHR-XQQFMLRXSA-N 0 1 279.384 0.762 20 30 CCEDMN C[C@H](O)[C@@H]1CCCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000527135645 330225722 /nfs/dbraw/zinc/22/57/22/330225722.db2.gz COWLDRPFLMQTTK-NWDGAFQWSA-N 0 1 265.357 0.642 20 30 CCEDMN C=CCNC(=O)CN1CCC(OC[C@H]2CCCO2)CC1 ZINC000083408217 330357855 /nfs/dbraw/zinc/35/78/55/330357855.db2.gz YQXNIVCGMMEKIT-CQSZACIVSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](OC)[C@H](C)C1 ZINC000451551250 331034042 /nfs/dbraw/zinc/03/40/42/331034042.db2.gz VZMGWXCJFSVUGI-WOPDTQHZSA-N 0 1 283.372 0.744 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H]2C[C@@H](O)CC[C@@H]2C1 ZINC000451720703 331040135 /nfs/dbraw/zinc/04/01/35/331040135.db2.gz YDKSWMVQYFMSBN-UPJWGTAASA-N 0 1 295.383 0.481 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H]2C[C@H](O)CC[C@@H]2C1 ZINC000451720701 331040144 /nfs/dbraw/zinc/04/01/44/331040144.db2.gz YDKSWMVQYFMSBN-JHJVBQTASA-N 0 1 295.383 0.481 20 30 CCEDMN C=CCn1cccc1C(=O)NCCN1CCN(C)CC1 ZINC000490134161 332082387 /nfs/dbraw/zinc/08/23/87/332082387.db2.gz YXRMOFVZEWMQPF-UHFFFAOYSA-N 0 1 276.384 0.651 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCC[C@H](C(N)=O)C1 ZINC000490717934 332108573 /nfs/dbraw/zinc/10/85/73/332108573.db2.gz GFGWZAWBCWEYKC-BFHYXJOUSA-N 0 1 292.379 0.919 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCSC[C@@H]2CCO)CC1 ZINC000490802869 332116971 /nfs/dbraw/zinc/11/69/71/332116971.db2.gz IBIRKJUFXVFFFO-AWEZNQCLSA-N 0 1 296.436 0.658 20 30 CCEDMN C#CCN1CCC(C(=O)OCCn2cncn2)CC1 ZINC000490875433 332123973 /nfs/dbraw/zinc/12/39/73/332123973.db2.gz QDKUQFXWFXGFLJ-UHFFFAOYSA-N 0 1 262.313 0.167 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCc1cnn(C)c1 ZINC000490876012 332124068 /nfs/dbraw/zinc/12/40/68/332124068.db2.gz SNEZTJHELQEJIQ-AWEZNQCLSA-N 0 1 275.352 0.994 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCNC(=O)N(C)C)CC1 ZINC000490915834 332128614 /nfs/dbraw/zinc/12/86/14/332128614.db2.gz QJRLNRLTNHNYDO-UHFFFAOYSA-N 0 1 294.399 0.061 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1C[C@@H]1C(=O)OC ZINC000490925789 332130085 /nfs/dbraw/zinc/13/00/85/332130085.db2.gz YDMTVMRDQZONOL-GRYCIOLGSA-N 0 1 265.309 0.436 20 30 CCEDMN C#CCN(CC#N)C(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000490973576 332134671 /nfs/dbraw/zinc/13/46/71/332134671.db2.gz LCRKAHPLENDNSR-UHFFFAOYSA-N 0 1 268.280 0.409 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H]2CN(C)C(=O)O2)CC1 ZINC000491071899 332146581 /nfs/dbraw/zinc/14/65/81/332146581.db2.gz OHPHTVCAEJWLHE-LBPRGKRZSA-N 0 1 280.324 0.325 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000491142473 332155219 /nfs/dbraw/zinc/15/52/19/332155219.db2.gz CSRNLHPUTVOPEU-NSHDSACASA-N 0 1 263.345 0.400 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)CN1CCC(C)(C)C1 ZINC000491428005 332209731 /nfs/dbraw/zinc/20/97/31/332209731.db2.gz MYOQKDJXPYKHHM-LBPRGKRZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1ccc(SC)cc1 ZINC000491452967 332214226 /nfs/dbraw/zinc/21/42/26/332214226.db2.gz OWICNHZTGBDQFB-NEPJUHHUSA-N 0 1 281.377 0.732 20 30 CCEDMN C#CC[NH+]1CCC(C(=O)N(C)C[C@@H](C)c2nn[n-]n2)CC1 ZINC000491505270 332224833 /nfs/dbraw/zinc/22/48/33/332224833.db2.gz LENSZNOSHRWXDB-LLVKDONJSA-N 0 1 290.371 0.107 20 30 CCEDMN C#C[C@@H](NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1)C(C)C ZINC000491616491 332243517 /nfs/dbraw/zinc/24/35/17/332243517.db2.gz AZSHWFATBKSDEW-HZSPNIEDSA-N 0 1 281.400 0.741 20 30 CCEDMN C#CCNN=Cc1c(N2CCOCC2)nc2ccccn21 ZINC000491627447 332250689 /nfs/dbraw/zinc/25/06/89/332250689.db2.gz UEKYOXMKOMUXTA-UHFFFAOYSA-N 0 1 283.335 0.728 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CC[C@@H](OC(C)C)C2)C1=O ZINC000491641743 332261021 /nfs/dbraw/zinc/26/10/21/332261021.db2.gz SQVUTMJTXUUFLN-VXGBXAGGSA-N 0 1 264.325 0.246 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCO[C@H](CF)C1 ZINC000491706391 332299345 /nfs/dbraw/zinc/29/93/45/332299345.db2.gz KFRHYRQBHKDYSH-GFCCVEGCSA-N 0 1 271.336 0.321 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC000491766362 332334515 /nfs/dbraw/zinc/33/45/15/332334515.db2.gz GKMKNYZWUAARDS-LBPRGKRZSA-N 0 1 284.319 0.889 20 30 CCEDMN C#CCn1ccc(CN(CCOC)C(=O)c2ccn[nH]2)n1 ZINC000491779069 332343674 /nfs/dbraw/zinc/34/36/74/332343674.db2.gz IQGOBVRKNBEZCN-UHFFFAOYSA-N 0 1 287.323 0.528 20 30 CCEDMN C=CCNC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000175507096 333025930 /nfs/dbraw/zinc/02/59/30/333025930.db2.gz XQSAXSGJLAUFQP-GFCCVEGCSA-N 0 1 253.346 0.536 20 30 CCEDMN CNC(=O)[C@@H](NCC(=O)N[C@](C)(C#N)C1CC1)C(C)C ZINC000183639971 333121568 /nfs/dbraw/zinc/12/15/68/333121568.db2.gz IXQBOTVUJXVEPH-GXTWGEPZSA-N 0 1 280.372 0.155 20 30 CCEDMN CCO[C@H]1C[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000521859270 333194003 /nfs/dbraw/zinc/19/40/03/333194003.db2.gz OOVUHHBEJJLVRO-MNOVXSKESA-N 0 1 251.330 0.516 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCOCCOCC ZINC000190255181 333204829 /nfs/dbraw/zinc/20/48/29/333204829.db2.gz YHPLGCMBIQWZTD-GFCCVEGCSA-N 0 1 256.346 0.109 20 30 CCEDMN CN(CC(=O)N[C@@]1(C#N)CCOC1)[C@H]1CCSC1 ZINC000548140520 334142242 /nfs/dbraw/zinc/14/22/42/334142242.db2.gz DKXVXBKUUDSSFN-CMPLNLGQSA-N 0 1 269.370 0.223 20 30 CCEDMN N#CCCN(CCC(=O)N1CCOCC1)CC1CC1 ZINC000081043458 334177152 /nfs/dbraw/zinc/17/71/52/334177152.db2.gz LYSHFYLAVLKRFU-UHFFFAOYSA-N 0 1 265.357 0.861 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CC[C@H]2CC[NH2+]C2)o1 ZINC000576360737 335194766 /nfs/dbraw/zinc/19/47/66/335194766.db2.gz NGUTYCXWDPOSTJ-SECBINFHSA-N 0 1 269.326 0.429 20 30 CCEDMN CC[C@@H](CO)N(C)CC(=O)N(CCC#N)CCC#N ZINC000345836817 335338218 /nfs/dbraw/zinc/33/82/18/335338218.db2.gz OITWZEAGUSALHG-LBPRGKRZSA-N 0 1 266.345 0.345 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)[C@@H]2CCC(=O)c3cccn32)[nH]1 ZINC000578062030 335474276 /nfs/dbraw/zinc/47/42/76/335474276.db2.gz LFKUFQVRPYMRQT-NSHDSACASA-N 0 1 283.291 0.671 20 30 CCEDMN CN(Cc1cnc2c(C#N)cnn2c1)CC1(O)CCC1 ZINC000581071161 336003982 /nfs/dbraw/zinc/00/39/82/336003982.db2.gz CAICEFSOVINMIT-UHFFFAOYSA-N 0 1 271.324 0.948 20 30 CCEDMN N#Cc1ccncc1N1CCN(CC[C@H]2CCNC2=O)CC1 ZINC000581615458 336112649 /nfs/dbraw/zinc/11/26/49/336112649.db2.gz HVOCDJGZNDQSBE-CYBMUJFWSA-N 0 1 299.378 0.601 20 30 CCEDMN C[C@H](O)CN(C)CC(=O)NCc1cccc(C#N)c1 ZINC000361505376 534069636 /nfs/dbraw/zinc/06/96/36/534069636.db2.gz NROUDKUFTMEYEL-NSHDSACASA-N 0 1 261.325 0.487 20 30 CCEDMN Cn1nnc(CNC(=O)[C@@H]2CCCN2C2CC2)n1 ZINC000330300883 534326640 /nfs/dbraw/zinc/32/66/40/534326640.db2.gz IRNNUFQAFSSJON-VIFPVBQESA-N 0 1 250.306 0.293 20 30 CCEDMN CC#CCCN1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000367132947 526309488 /nfs/dbraw/zinc/30/94/88/526309488.db2.gz HZGDJZYKCNJMHS-GFCCVEGCSA-N 0 1 258.387 0.756 20 30 CCEDMN C=C(C)COCCNC(=O)NCCCCN1CCOCC1 ZINC000354485877 526638518 /nfs/dbraw/zinc/63/85/18/526638518.db2.gz YOBMTVFQQLDZIU-UHFFFAOYSA-N 0 1 299.415 0.991 20 30 CCEDMN N#Cc1ccc(NC(=O)C(=O)N2CCN3CCC2CC3)nc1 ZINC000340353826 526648361 /nfs/dbraw/zinc/64/83/61/526648361.db2.gz HLYQRJUOADJZFU-UHFFFAOYSA-N 0 1 299.334 0.198 20 30 CCEDMN C=CCCn1cc(CNCCN2CCCOCC2)nn1 ZINC000668167231 545166040 /nfs/dbraw/zinc/16/60/40/545166040.db2.gz XCXOQOXYSVKPKI-UHFFFAOYSA-N 0 1 279.388 0.666 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@H]1CCCN(C)[C@@H]1C ZINC000347766389 527558965 /nfs/dbraw/zinc/55/89/65/527558965.db2.gz SATHRYWWAXZBQC-MNOVXSKESA-N 0 1 253.346 0.230 20 30 CCEDMN CCN(CC)CCS(=O)(=O)NCCCCC#N ZINC000352276387 528158608 /nfs/dbraw/zinc/15/86/08/528158608.db2.gz UURFVUJQSFWUDK-UHFFFAOYSA-N 0 1 261.391 0.941 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)NCc1cc(C)[nH]n1 ZINC000672538710 545270188 /nfs/dbraw/zinc/27/01/88/545270188.db2.gz BISQJZWTUVPROJ-UHFFFAOYSA-N 0 1 264.329 0.759 20 30 CCEDMN CCN1CCN(CC(=O)NCC(=O)N2CCCC2)[C@H](C)C1 ZINC000329065616 529136339 /nfs/dbraw/zinc/13/63/39/529136339.db2.gz LACCCQWGLQTIOV-CYBMUJFWSA-N 0 1 296.415 0.591 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N(C)Cc1n[nH]c(=O)o1 ZINC000673479025 545284421 /nfs/dbraw/zinc/28/44/21/545284421.db2.gz ITHZGGNXHLLGGM-QMMMGPOBSA-N 0 1 255.274 0.715 20 30 CCEDMN C=CCC[C@H](O)CN(C)CCN1CCCS1(=O)=O ZINC000675499699 545327251 /nfs/dbraw/zinc/32/72/51/545327251.db2.gz XFCHBLJBQRYKFD-LBPRGKRZSA-N 0 1 276.402 0.281 20 30 CCEDMN Cn1cc([C@@H](CO)NC[C@@H](O)c2ccc(C#N)cc2)cn1 ZINC000778138615 581143556 /nfs/dbraw/zinc/14/35/56/581143556.db2.gz RLCYDOYZRPJBAZ-HUUCEWRRSA-N 0 1 286.335 0.648 20 30 CCEDMN Cc1cc([C@H](C#N)C(=O)CN2CCOCC2)nc(C)n1 ZINC000778603364 581160634 /nfs/dbraw/zinc/16/06/34/581160634.db2.gz DXBUJCUZAVOTSK-LBPRGKRZSA-N 0 1 274.324 0.602 20 30 CCEDMN COCCN(C)c1ccc(C=NNC2=NC[C@@H](C)N2)cn1 ZINC000790173532 581179438 /nfs/dbraw/zinc/17/94/38/581179438.db2.gz JXGKKWFPOIMWOJ-LLVKDONJSA-N 0 1 290.371 0.435 20 30 CCEDMN C[C@@H]1CN=C(NN=C2CCCc3[nH]c(=O)ccc32)N1 ZINC000779794392 581205728 /nfs/dbraw/zinc/20/57/28/581205728.db2.gz HKZASJXZDIAKDI-MRVPVSSYSA-N 0 1 259.313 0.765 20 30 CCEDMN C#CCCCCCC(=O)NCCN1CCN(C)CC1 ZINC000780687246 581240185 /nfs/dbraw/zinc/24/01/85/581240185.db2.gz OKWPWVNFQXEIBJ-UHFFFAOYSA-N 0 1 265.401 0.934 20 30 CCEDMN C#C[C@H](NC(=O)c1cn[nH]c1-c1cnn(C)c1)[C@@H]1CCCO1 ZINC000781031841 581257024 /nfs/dbraw/zinc/25/70/24/581257024.db2.gz LTJCNTNGASYDJT-STQMWFEESA-N 0 1 299.334 0.721 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC([C@@H]3CCOC3)C2)CC1 ZINC000792602889 581266752 /nfs/dbraw/zinc/26/67/52/581266752.db2.gz BHTFYEXIRLDMFW-CQSZACIVSA-N 0 1 276.380 0.827 20 30 CCEDMN COc1nccnc1C=NNc1ncnc2nc[nH]c21 ZINC000792988752 581279381 /nfs/dbraw/zinc/27/93/81/581279381.db2.gz XUAJCIGKVCMHEK-UHFFFAOYSA-N 0 1 270.256 0.598 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COCc1ccnn1C ZINC000793126678 581284990 /nfs/dbraw/zinc/28/49/90/581284990.db2.gz VAIJHFHWDYOLTM-NSHDSACASA-N 0 1 278.312 0.170 20 30 CCEDMN COc1cccc(NC(=O)C(C#N)C(=O)c2cnn(C)n2)c1 ZINC000793127180 581285008 /nfs/dbraw/zinc/28/50/08/581285008.db2.gz VEEAQEFJQUVPEK-NSHDSACASA-N 0 1 299.290 0.785 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H](CCC)NC(=O)[O-])CC1 ZINC000738457277 581331098 /nfs/dbraw/zinc/33/10/98/581331098.db2.gz LNJZILYLXYIERJ-GFCCVEGCSA-N 0 1 281.356 0.590 20 30 CCEDMN Cn1nncc1NS(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000794043942 581333462 /nfs/dbraw/zinc/33/34/62/581333462.db2.gz VHJQKFFMPILGGD-UHFFFAOYSA-N 0 1 281.272 0.627 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC(NC(=O)[O-])CC1 ZINC000738462317 581333943 /nfs/dbraw/zinc/33/39/43/581333943.db2.gz YVDJQYWVMLUABF-LBPRGKRZSA-N 0 1 279.340 0.343 20 30 CCEDMN Cc1cc(CNC(=O)NCCNc2ncccc2C#N)n[nH]1 ZINC000738481057 581352443 /nfs/dbraw/zinc/35/24/43/581352443.db2.gz MLSIUSOXVBJPBO-UHFFFAOYSA-N 0 1 299.338 0.896 20 30 CCEDMN C[C@H](O)[C@H]1C[C@H](C)CCN1CC(=O)NCCC#N ZINC000785545450 581411962 /nfs/dbraw/zinc/41/19/62/581411962.db2.gz GCDAOJFIJOUWJO-GRYCIOLGSA-N 0 1 253.346 0.498 20 30 CCEDMN CNC(=O)CC[C@H]1CCCCN1CC(=O)NCCC#N ZINC000796587103 581445462 /nfs/dbraw/zinc/44/54/62/581445462.db2.gz FXXAHLMGMWZPTB-GFCCVEGCSA-N 0 1 280.372 0.397 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@H]1CCC[C@H](C(N)=O)C1 ZINC000786931638 581453470 /nfs/dbraw/zinc/45/34/70/581453470.db2.gz YHUQNRWMBJJKEN-QXEWZRGKSA-N 0 1 277.324 0.266 20 30 CCEDMN CCOC(=O)NCCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000752477946 581507346 /nfs/dbraw/zinc/50/73/46/581507346.db2.gz TZKZQCBIRGKSQU-JTQLQIEISA-N 0 1 281.312 0.500 20 30 CCEDMN C#CCNC(=O)CN(CCO)[C@H]1CCc2ccccc21 ZINC000733996800 581538051 /nfs/dbraw/zinc/53/80/51/581538051.db2.gz XIQPMTVSWZHMMQ-HNNXBMFYSA-N 0 1 272.348 0.718 20 30 CCEDMN C#CCNC(=O)CN1CCC(CCC(=O)OC)CC1 ZINC000753387526 581539306 /nfs/dbraw/zinc/53/93/06/581539306.db2.gz AMWJBJQCBKMMJE-UHFFFAOYSA-N 0 1 266.341 0.401 20 30 CCEDMN N#CC(C(=O)CCC1CC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000734712939 581583031 /nfs/dbraw/zinc/58/30/31/581583031.db2.gz RJLXKEYQUYPKPY-LLVKDONJSA-N 0 1 298.364 0.142 20 30 CCEDMN C#CCCCN1CCN(CC(=O)NCCCC)CC1 ZINC000766895739 581585531 /nfs/dbraw/zinc/58/55/31/581585531.db2.gz GSWMWRMINHZZJF-UHFFFAOYSA-N 0 1 265.401 0.934 20 30 CCEDMN C#CCNC(=O)CN[C@H](CC)c1c(C)nn(C)c1C ZINC000754431740 581591629 /nfs/dbraw/zinc/59/16/29/581591629.db2.gz LXPLNBLZSYXRLQ-GFCCVEGCSA-N 0 1 262.357 0.827 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCN1CCCC1=O ZINC000729812666 581616569 /nfs/dbraw/zinc/61/65/69/581616569.db2.gz ZQNMAGWRUAUSLQ-CYBMUJFWSA-N 0 1 278.352 0.640 20 30 CCEDMN C#CCNC(=O)CNC(C)(C)C(=O)NCC(C)(C)C ZINC000767674447 581626004 /nfs/dbraw/zinc/62/60/04/581626004.db2.gz MZCNDOOEGXMKOR-UHFFFAOYSA-N 0 1 267.373 0.266 20 30 CCEDMN N#Cc1cccc(NC(=O)COC(=O)CCc2nc[nH]n2)c1 ZINC000756762604 581677074 /nfs/dbraw/zinc/67/70/74/581677074.db2.gz VWMDZDXNRNBFDE-UHFFFAOYSA-N 0 1 299.290 0.791 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@@H](C(=O)[O-])C1 ZINC000736079443 581687216 /nfs/dbraw/zinc/68/72/16/581687216.db2.gz MSFDKDWXDOQIKF-NEPJUHHUSA-N 0 1 264.325 0.407 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000770580449 581754387 /nfs/dbraw/zinc/75/43/87/581754387.db2.gz ZPTXWJFFYBWPTE-JTQLQIEISA-N 0 1 298.302 0.766 20 30 CCEDMN CC(C)[C@@H]([NH2+][C@H](C(=O)[O-])c1ccc(C#N)cc1)C(N)=O ZINC000736390979 581757461 /nfs/dbraw/zinc/75/74/61/581757461.db2.gz YJLAJULPJHFJTM-NEPJUHHUSA-N 0 1 275.308 0.783 20 30 CCEDMN C#CCNC(=O)CN1CCC(OCCCOC)CC1 ZINC000741793103 581763083 /nfs/dbraw/zinc/76/30/83/581763083.db2.gz GANPOHCBMGKVFO-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN NC(=O)CON=Cc1cccc(Br)c1O ZINC000771570929 581809413 /nfs/dbraw/zinc/80/94/13/581809413.db2.gz LLPMVRPKTAUKFZ-UHFFFAOYSA-N 0 1 273.086 0.991 20 30 CCEDMN C[C@@H](O)c1cn(CC(=O)Nc2cc(C#N)ccc2O)nn1 ZINC000759717591 581817341 /nfs/dbraw/zinc/81/73/41/581817341.db2.gz JPTPVEYBITWYIA-MRVPVSSYSA-N 0 1 287.279 0.547 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H](O)C2CCOCC2)c1 ZINC000759712944 581817391 /nfs/dbraw/zinc/81/73/91/581817391.db2.gz CNIRCBAHRKSLHU-CYBMUJFWSA-N 0 1 276.292 0.990 20 30 CCEDMN CCN(C(=O)NCCNC(O)=C1N=CC=CC1=O)C(C)C ZINC000732465858 581828973 /nfs/dbraw/zinc/82/89/73/581828973.db2.gz IXFPPRQPMUOZQJ-SEYXRHQNSA-N 0 1 294.355 0.953 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)C1CCN(CC#C)CC1 ZINC000772108471 581843558 /nfs/dbraw/zinc/84/35/58/581843558.db2.gz CQJVEGNEGJUZEM-GFCCVEGCSA-N 0 1 276.336 0.013 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2cccc(C#N)c2)CCN1C ZINC000761072913 581885825 /nfs/dbraw/zinc/88/58/25/581885825.db2.gz FTNSYOPTMBYIGH-CYBMUJFWSA-N 0 1 286.379 0.810 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCN(C)[C@H](C)C1 ZINC000761078548 581886857 /nfs/dbraw/zinc/88/68/57/581886857.db2.gz RPYKAWGRACFQRY-CHWSQXEVSA-N 0 1 266.389 0.630 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)C[C@H](C)C(=O)[O-] ZINC000737078798 581897794 /nfs/dbraw/zinc/89/77/94/581897794.db2.gz CISGTBLDEGQRLD-MNOVXSKESA-N 0 1 269.345 0.647 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000737094543 581902648 /nfs/dbraw/zinc/90/26/48/581902648.db2.gz SHFMIMYIPAKNKS-NSHDSACASA-N 0 1 267.329 0.545 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN(CCCCO)CC1 ZINC000746484735 581915691 /nfs/dbraw/zinc/91/56/91/581915691.db2.gz TWYCZEXNWWFGJF-ZDUSSCGKSA-N 0 1 269.389 0.067 20 30 CCEDMN C[C@@H]1CC(N=Nc2ccc(S(N)(=O)=O)cn2)CN1C ZINC000761805559 581920713 /nfs/dbraw/zinc/92/07/13/581920713.db2.gz FAZPQYRDSKTXIM-MRVPVSSYSA-N 0 1 283.357 0.221 20 30 CCEDMN Cn1nncc1CN=Nc1ccc(-n2ccnc2)nn1 ZINC000761858302 581922192 /nfs/dbraw/zinc/92/21/92/581922192.db2.gz SODXZRRFZXWBNV-UHFFFAOYSA-N 0 1 269.272 0.237 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)C#Cc1ccc2c(c1)OCO2 ZINC000762221772 581936249 /nfs/dbraw/zinc/93/62/49/581936249.db2.gz ILDGAIASJGUJCK-UHFFFAOYSA-N 0 1 284.275 0.544 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CCN(C)C2=O)CC1 ZINC000773921939 581947349 /nfs/dbraw/zinc/94/73/49/581947349.db2.gz CPWQDZUUWHNMSE-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CCC(=O)NC2)c1 ZINC000748411127 581974084 /nfs/dbraw/zinc/97/40/84/581974084.db2.gz KBAQYMICMYNDJS-VIFPVBQESA-N 0 1 259.265 0.729 20 30 CCEDMN N#Cc1cnc(-n2cnc(-c3nn[nH]n3)n2)c(Cl)c1 ZINC000737729081 581997056 /nfs/dbraw/zinc/99/70/56/581997056.db2.gz ZYFRTRZLRJRUCR-UHFFFAOYSA-N 0 1 273.647 0.367 20 30 CCEDMN C#CCNC(=O)CN[C@@H](C)c1ccc2c(c1)CC(=O)N2C ZINC000775350227 582020753 /nfs/dbraw/zinc/02/07/53/582020753.db2.gz JDCIFNKTLWSFJU-NSHDSACASA-N 0 1 285.347 0.606 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NC(=O)NC1CC1)[C@@H]1CCCO1 ZINC000775786653 582038277 /nfs/dbraw/zinc/03/82/77/582038277.db2.gz ZEUNKJZODRWDAJ-DLOVCJGASA-N 0 1 279.340 0.134 20 30 CCEDMN C#C[C@H](N[C@@H]1CCN(C(C)C)C1=O)[C@H]1CCCO1 ZINC000775794210 582038658 /nfs/dbraw/zinc/03/86/58/582038658.db2.gz YHZJVCXCWWOOOY-YNEHKIRRSA-N 0 1 250.342 0.766 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](C(=O)N2CCN(C(=O)[O-])CC2)C1 ZINC000738503083 582056966 /nfs/dbraw/zinc/05/69/66/582056966.db2.gz MJOCBYIWRQDONB-CYBMUJFWSA-N 0 1 293.367 0.544 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C(C)C)CC1)[C@@H]1CCCO1 ZINC000776224735 582061342 /nfs/dbraw/zinc/06/13/42/582061342.db2.gz MVAGQYLXJHKODU-KBPBESRZSA-N 0 1 279.384 0.903 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738605453 582065547 /nfs/dbraw/zinc/06/55/47/582065547.db2.gz VSEIGVPFSFKZOU-VXGBXAGGSA-N 0 1 296.371 0.821 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738605453 582065550 /nfs/dbraw/zinc/06/55/50/582065550.db2.gz VSEIGVPFSFKZOU-VXGBXAGGSA-N 0 1 296.371 0.821 20 30 CCEDMN Cc1nonc1CNS(=O)(=O)c1cccc(F)c1C#N ZINC000751454729 582081333 /nfs/dbraw/zinc/08/13/33/582081333.db2.gz VWTNRMLZNQNLII-UHFFFAOYSA-N 0 1 296.283 0.867 20 30 CCEDMN N#Cc1ccc(CN2CCC(C(=O)NCCO)CC2)o1 ZINC000768321473 582105551 /nfs/dbraw/zinc/10/55/51/582105551.db2.gz VIRZTZLUWJBGGS-UHFFFAOYSA-N 0 1 277.324 0.472 20 30 CCEDMN C#CCCCNC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000875316833 612981710 /nfs/dbraw/zinc/98/17/10/612981710.db2.gz GIUUZVNRGMBHBR-LBPRGKRZSA-N 0 1 280.372 0.039 20 30 CCEDMN C#CCOCCN1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000851746812 612982477 /nfs/dbraw/zinc/98/24/77/612982477.db2.gz AKKVTXGSGZWNMK-AWEZNQCLSA-N 0 1 280.368 0.350 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)OCCC[NH+]1CCOCC1 ZINC000819865256 597621012 /nfs/dbraw/zinc/62/10/12/597621012.db2.gz JPLUUURUPDYOKN-CYBMUJFWSA-N 0 1 284.312 0.256 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N1CC[N@H+]2CCC[C@H]2C1 ZINC000819823367 597639013 /nfs/dbraw/zinc/63/90/13/597639013.db2.gz SXQHZQBLJPRRSO-GWCFXTLKSA-N 0 1 265.313 0.298 20 30 CCEDMN N#CCSCC(=O)OC[C@H]1CCC[N@H+]1CC(=O)[O-] ZINC000821324335 598068652 /nfs/dbraw/zinc/06/86/52/598068652.db2.gz ALFVVFKTRCKNBO-SECBINFHSA-N 0 1 272.326 0.335 20 30 CCEDMN N#CCSCC(=O)OC[C@H]1CCC[N@@H+]1CC(=O)[O-] ZINC000821324335 598068657 /nfs/dbraw/zinc/06/86/57/598068657.db2.gz ALFVVFKTRCKNBO-SECBINFHSA-N 0 1 272.326 0.335 20 30 CCEDMN C#CC1(F)CN(C(=O)CCSc2nnc(C)[nH]2)C1 ZINC000919919383 612946527 /nfs/dbraw/zinc/94/65/27/612946527.db2.gz ZUSYYJQRHBGKEH-UHFFFAOYSA-N 0 1 268.317 0.779 20 30 CCEDMN C#CCCN1CCN(C[C@H]2COC(C)(C)O2)CC1 ZINC000851873328 613011389 /nfs/dbraw/zinc/01/13/89/613011389.db2.gz VHIWBOJOBSUFBK-ZDUSSCGKSA-N 0 1 252.358 0.779 20 30 CCEDMN C#CCCN1CCN(CC2(CC(=O)OC)CC2)CC1 ZINC000799311516 613011793 /nfs/dbraw/zinc/01/17/93/613011793.db2.gz WSFVXMYHQBVYII-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000235354724 601499770 /nfs/dbraw/zinc/49/97/70/601499770.db2.gz LHJYTZGDXYELIV-VXGBXAGGSA-N 0 1 266.341 0.840 20 30 CCEDMN C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1CCCC(=O)[O-] ZINC000820252068 601664225 /nfs/dbraw/zinc/66/42/25/601664225.db2.gz YUJCQPDNWLBAMI-LLVKDONJSA-N 0 1 289.339 0.724 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC000062936523 601943115 /nfs/dbraw/zinc/94/31/15/601943115.db2.gz ZRJNRAVQFKOQJK-CQSZACIVSA-N 0 1 266.341 0.984 20 30 CCEDMN N#Cc1ccc([C@@H](O)C[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])cc1 ZINC000833070882 602017433 /nfs/dbraw/zinc/01/74/33/602017433.db2.gz BGQNMBGRTJFHPV-AGIUHOORSA-N 0 1 276.292 0.111 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H]([C@H](C)NC(=O)[O-])C1 ZINC000823457187 612949654 /nfs/dbraw/zinc/94/96/54/612949654.db2.gz WIAONUDBMTYTDG-SDDRHHMPSA-N 0 1 281.356 0.492 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+](C)CC[C@H](NC(=O)[O-])C(C)C ZINC000823456174 612949806 /nfs/dbraw/zinc/94/98/06/612949806.db2.gz LEYFFNADAHLESO-RYUDHWBXSA-N 0 1 283.372 0.738 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2c[nH]cc3ncnc2-3)CC1 ZINC000899120908 612952005 /nfs/dbraw/zinc/95/20/05/612952005.db2.gz CBJSDFCTBHGVLW-UHFFFAOYSA-N 0 1 270.292 0.558 20 30 CCEDMN C#CCNC(=S)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000905634486 612953685 /nfs/dbraw/zinc/95/36/85/612953685.db2.gz RDDBOJLPCAYGRZ-MNOVXSKESA-N 0 1 269.439 0.664 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)[C@@]2(C)C[C@@H](O)CN2C)C1 ZINC000911514750 613044759 /nfs/dbraw/zinc/04/47/59/613044759.db2.gz ADSGWNOXPKMIFH-UMVBOHGHSA-N 0 1 264.369 0.703 20 30 CCEDMN COc1cc(CO)ccc1C(=O)NCC#CCN(C)C ZINC000913524499 617191803 /nfs/dbraw/zinc/19/18/03/617191803.db2.gz OPSNNXZLLCJNOS-UHFFFAOYSA-N 0 1 276.336 0.482 20 30 CCEDMN N#Cc1nc(-n2cnc(-c3nn[nH]n3)n2)ccc1Cl ZINC000822845706 606446348 /nfs/dbraw/zinc/44/63/48/606446348.db2.gz FDJXOMFOQMIZTI-UHFFFAOYSA-N 0 1 273.647 0.367 20 30 CCEDMN C#CC[C@H](NC(=O)CN1CC[C@@H](C)C1)C(=O)OC ZINC000848219586 613067948 /nfs/dbraw/zinc/06/79/48/613067948.db2.gz AYURYBHZERUMTJ-MNOVXSKESA-N 0 1 252.314 0.009 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCc2cncn2C)o1 ZINC000826322750 607886930 /nfs/dbraw/zinc/88/69/30/607886930.db2.gz AJBLDFICCPXQLQ-UHFFFAOYSA-N 0 1 273.260 0.343 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C)Oc1ccc(OC)cc1 ZINC000912439467 612956552 /nfs/dbraw/zinc/95/65/52/612956552.db2.gz SOHADIFKXYIFEG-LBPRGKRZSA-N 0 1 276.336 0.802 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(NC(C)=O)cc1 ZINC000106083808 612956613 /nfs/dbraw/zinc/95/66/13/612956613.db2.gz XHVBFZSRFPVTMR-UHFFFAOYSA-N 0 1 259.309 0.484 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NCc1n[nH]c(=O)n1C ZINC000889337826 613085763 /nfs/dbraw/zinc/08/57/63/613085763.db2.gz IGAYHVWWJQVGCK-QMMMGPOBSA-N 0 1 251.290 0.122 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCNC(C)(C)C1)[C@H]1CCCO1 ZINC000841878376 613094037 /nfs/dbraw/zinc/09/40/37/613094037.db2.gz FGWUQNIBFXYADQ-VXGBXAGGSA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1c[nH]cn1 ZINC000922024302 613098062 /nfs/dbraw/zinc/09/80/62/613098062.db2.gz ORCLBAKPCFWSCC-UHFFFAOYSA-N 0 1 282.369 0.128 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)C[C@@H](C)OC ZINC000922023060 613098025 /nfs/dbraw/zinc/09/80/25/613098025.db2.gz SRGLXQARQJVWOI-GFCCVEGCSA-N 0 1 288.413 0.381 20 30 CCEDMN C#C[C@@H]1CCCN(CCNS(=O)(=O)CCCOC)C1 ZINC000847023143 613105976 /nfs/dbraw/zinc/10/59/76/613105976.db2.gz BCGMAKFJVQGYSE-CYBMUJFWSA-N 0 1 288.413 0.288 20 30 CCEDMN C#CCN(CC1CCN(C)CC1)[C@@H]1CCS(=O)(=O)C1 ZINC000891749154 613107209 /nfs/dbraw/zinc/10/72/09/613107209.db2.gz URSBEIOUHHODEY-CQSZACIVSA-N 0 1 284.425 0.451 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(CC)CCS(C)(=O)=O ZINC000876891555 613387250 /nfs/dbraw/zinc/38/72/50/613387250.db2.gz AZPKRMHOUUNCDG-SECBINFHSA-N 0 1 282.793 0.349 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(OC(C)=O)CC1 ZINC000153237499 613853220 /nfs/dbraw/zinc/85/32/20/613853220.db2.gz HSCNEKGAMDOGBI-SNVBAGLBSA-N 0 1 254.330 0.705 20 30 CCEDMN CC(C)CN(CCC#N)C(=O)CCCc1nn[nH]n1 ZINC000874230444 614078701 /nfs/dbraw/zinc/07/87/01/614078701.db2.gz ADMGBMJHGJNVAK-UHFFFAOYSA-N 0 1 264.333 0.921 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N1CCN(C(=O)[O-])C[C@H]1C#N ZINC000824330117 614252519 /nfs/dbraw/zinc/25/25/19/614252519.db2.gz RYXSPBHLRFZIAO-SNVBAGLBSA-N 0 1 268.317 0.041 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)c(OC)c1 ZINC000834510332 617234767 /nfs/dbraw/zinc/23/47/67/617234767.db2.gz AHBWIYNDSJYPFN-SKDRFNHKSA-N 0 1 275.308 0.545 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)C#CC2CC2)n1 ZINC000924262944 617258186 /nfs/dbraw/zinc/25/81/86/617258186.db2.gz VPDVMIPLHJSJIF-UHFFFAOYSA-N 0 1 250.327 0.697 20 30 CCEDMN CSCc1nnc(CNC(=O)C#CC2CC2)[nH]1 ZINC000924262944 617258187 /nfs/dbraw/zinc/25/81/87/617258187.db2.gz VPDVMIPLHJSJIF-UHFFFAOYSA-N 0 1 250.327 0.697 20 30 CCEDMN COc1ccc(NC(=O)NC[C@@H]2COCCN2)cc1C#N ZINC000868203872 617269494 /nfs/dbraw/zinc/26/94/94/617269494.db2.gz LEKGFEBDLQYXSB-GFCCVEGCSA-N 0 1 290.323 0.677 20 30 CCEDMN COc1ccc(OC)c(CC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834512091 617274961 /nfs/dbraw/zinc/27/49/61/617274961.db2.gz RRABVMWJVHLNNU-WCQYABFASA-N 0 1 289.335 0.474 20 30 CCEDMN COc1ccc(OCC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834507330 617276405 /nfs/dbraw/zinc/27/64/05/617276405.db2.gz ZTUCZEADTPCSPR-GWCFXTLKSA-N 0 1 275.308 0.302 20 30 CCEDMN CSc1cccnc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000846676838 617281449 /nfs/dbraw/zinc/28/14/49/617281449.db2.gz IFMFMNBDUJXTAL-RKDXNWHRSA-N 0 1 262.338 0.645 20 30 CCEDMN COc1ccc2[nH]cc(CC(=O)N[C@H]3CNC[C@H]3C#N)c2c1 ZINC000846677540 617282864 /nfs/dbraw/zinc/28/28/64/617282864.db2.gz NOIMJYLWVRXIMQ-ABAIWWIYSA-N 0 1 298.346 0.947 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC(N(C)C(=O)[C@@H]2CCCCN2C)C1 ZINC000954710148 617287354 /nfs/dbraw/zinc/28/73/54/617287354.db2.gz OTDDSYIPXWZUTO-AAEUAGOBSA-N 0 1 292.383 0.300 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC2(CC1)CN(CCCO)CCO2 ZINC000949320717 617288557 /nfs/dbraw/zinc/28/85/57/617288557.db2.gz UETQQAPEQSJBIX-ZDUSSCGKSA-N 0 1 295.383 0.222 20 30 CCEDMN C[C@@H](C#N)OCCN(C)Cc1cnc(N(C)C)nc1 ZINC000801939027 617297111 /nfs/dbraw/zinc/29/71/11/617297111.db2.gz PAESPPCEVYCRFM-NSHDSACASA-N 0 1 263.345 0.903 20 30 CCEDMN C#CC1(O)CCN(C(=O)[C@H]2CCN2C(C)(C)C)CC1 ZINC000899121472 612951616 /nfs/dbraw/zinc/95/16/16/612951616.db2.gz CMQDKRVFBNMGRH-GFCCVEGCSA-N 0 1 264.369 0.846 20 30 CCEDMN C#CCNCC(=O)N1CCCN(C(=O)c2ccccc2)CC1 ZINC000912475906 612956458 /nfs/dbraw/zinc/95/64/58/612956458.db2.gz IXCIZBGDZIRCHM-UHFFFAOYSA-N 0 1 299.374 0.584 20 30 CCEDMN C#CC1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)CC1 ZINC000825979344 612963567 /nfs/dbraw/zinc/96/35/67/612963567.db2.gz PUMAIFAWPZXKEP-CQSZACIVSA-N 0 1 278.400 0.287 20 30 CCEDMN C#CC1CCN(CC(=O)N2CCC[C@@H](NC(C)=O)C2)CC1 ZINC000830330134 612964414 /nfs/dbraw/zinc/96/44/14/612964414.db2.gz QRMUBVLJLWMSDG-OAHLLOKOSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCC1(NC(=O)C2CN([C@@H]3CCOC3)C2)CCOCC1 ZINC000925418909 612968561 /nfs/dbraw/zinc/96/85/61/612968561.db2.gz YRIWKKTWVAPFSE-CQSZACIVSA-N 0 1 292.379 0.396 20 30 CCEDMN C#CCCCCNC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000840323394 612977353 /nfs/dbraw/zinc/97/73/53/612977353.db2.gz BFHVCOXRBWJUNK-ZDUSSCGKSA-N 0 1 295.383 0.536 20 30 CCEDMN C#CCOCCC(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000862961382 612977769 /nfs/dbraw/zinc/97/77/69/612977769.db2.gz XQZGMNBFCGLNHS-UHFFFAOYSA-N 0 1 294.395 0.740 20 30 CCEDMN C#CCOCCN1C[C@@H]2CCC[C@H]2[C@H]1C(=O)OC ZINC000851973722 612984917 /nfs/dbraw/zinc/98/49/17/612984917.db2.gz ILYXNROUIHYEDA-XQQFMLRXSA-N 0 1 251.326 0.910 20 30 CCEDMN C#CCOCCNC(=O)c1cccc2nc(CO)[nH]c21 ZINC000907339155 612989061 /nfs/dbraw/zinc/98/90/61/612989061.db2.gz HLIDOELXRSMHTH-UHFFFAOYSA-N 0 1 273.292 0.435 20 30 CCEDMN C#CCOCCNCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000819231027 612989480 /nfs/dbraw/zinc/98/94/80/612989480.db2.gz PECHPRQPPMLJLE-UHFFFAOYSA-N 0 1 292.360 0.571 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN([C@@H](C)CC)CC1 ZINC000837003911 612994982 /nfs/dbraw/zinc/99/49/82/612994982.db2.gz BZYYFWLWUPXTDN-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC(N2CCOCC2)CC1 ZINC000862214074 612999246 /nfs/dbraw/zinc/99/92/46/612999246.db2.gz FAIDUPLDQQCPNX-CYBMUJFWSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccc3nncn3c2)CC1 ZINC000982207282 613005712 /nfs/dbraw/zinc/00/57/12/613005712.db2.gz CTVRSRLXXIRDKA-UHFFFAOYSA-N 0 1 297.362 0.901 20 30 CCEDMN COc1cccc2c1n[nH]c2C(=O)NCC#CCN(C)C ZINC000913522708 617311079 /nfs/dbraw/zinc/31/10/79/617311079.db2.gz VXOOBIQUORNDKK-UHFFFAOYSA-N 0 1 286.335 0.866 20 30 CCEDMN C#CCSCC(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000897161266 613009573 /nfs/dbraw/zinc/00/95/73/613009573.db2.gz CEJGNZHMASGAGD-SECBINFHSA-N 0 1 265.342 0.272 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NCc1cc(C)[nH]n1 ZINC000858237972 613021126 /nfs/dbraw/zinc/02/11/26/613021126.db2.gz PMHJCGZVSPJVBN-NSHDSACASA-N 0 1 264.329 0.946 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)[C@H](C)CN1CCOCC1 ZINC000863785276 613022005 /nfs/dbraw/zinc/02/20/05/613022005.db2.gz ZWGLZMWPFMSCNW-KGLIPLIRSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2cnon2)C1 ZINC000968444040 613025283 /nfs/dbraw/zinc/02/52/83/613025283.db2.gz FABGGNWRRGJFAM-CMPLNLGQSA-N 0 1 262.313 0.533 20 30 CCEDMN C#CC[C@@H](COC)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000859429711 613038583 /nfs/dbraw/zinc/03/85/83/613038583.db2.gz ZZZNNCDMQVTQDB-VIFPVBQESA-N 0 1 299.308 0.306 20 30 CCEDMN C#CC[C@@H]1CCCN(S(=O)(=O)c2ncc[nH]2)C1 ZINC000867448972 613045158 /nfs/dbraw/zinc/04/51/58/613045158.db2.gz SYQUPVMZYLMKSE-SNVBAGLBSA-N 0 1 253.327 0.834 20 30 CCEDMN C#CC[C@@H]1NC(=O)N([C@H]2CCCN3CCSC[C@H]23)C1=O ZINC000929012768 613048599 /nfs/dbraw/zinc/04/85/99/613048599.db2.gz ORQVTFMEFAKHMH-SDDRHHMPSA-N 0 1 293.392 0.510 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935812290 613056115 /nfs/dbraw/zinc/05/61/15/613056115.db2.gz CFPWWAYEJAIFSJ-CYBMUJFWSA-N 0 1 293.367 0.265 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@@H]1CCCN1C1CC1 ZINC000799082799 613058217 /nfs/dbraw/zinc/05/82/17/613058217.db2.gz NBAPWLPVCRKEJS-OLZOCXBDSA-N 0 1 250.342 0.504 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC000799084902 613058317 /nfs/dbraw/zinc/05/83/17/613058317.db2.gz HHPHNYXWUMZBHN-GFCCVEGCSA-N 0 1 284.319 0.976 20 30 CCEDMN C#CC[C@H](CO)NCc1ccc(OCCOC)o1 ZINC000895965001 613058760 /nfs/dbraw/zinc/05/87/60/613058760.db2.gz RLTOFSWPZFQFDE-LLVKDONJSA-N 0 1 253.298 0.779 20 30 CCEDMN C#CC[C@H](CO)NCc1ccnn1CCCOC ZINC000883124307 613058791 /nfs/dbraw/zinc/05/87/91/613058791.db2.gz CYUXLMVFIDHPKN-GFCCVEGCSA-N 0 1 251.330 0.393 20 30 CCEDMN C#CC[C@H](CO)NS(=O)(=O)c1ccccc1O ZINC000882339596 613059236 /nfs/dbraw/zinc/05/92/36/613059236.db2.gz JECHURGHQHSDNU-SECBINFHSA-N 0 1 255.295 0.055 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000847158974 613062801 /nfs/dbraw/zinc/06/28/01/613062801.db2.gz GAVHWTJBAHDJOT-MNOVXSKESA-N 0 1 261.325 0.405 20 30 CCEDMN C#CCN(C(=O)NCC[S@@](=O)CC)C1CCN(C)CC1 ZINC000920084401 613067150 /nfs/dbraw/zinc/06/71/50/613067150.db2.gz KQMMSRDMJMLSHD-FQEVSTJZSA-N 0 1 299.440 0.494 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CCC[N@H+]1C1CCCC1)C(=O)[O-] ZINC000910048363 613068871 /nfs/dbraw/zinc/06/88/71/613068871.db2.gz JPNXAAWFJMGTRS-STQMWFEESA-N 0 1 278.352 0.986 20 30 CCEDMN C#CC[C@H](NC(=O)c1cnccc1N(C)C)C(=O)OC ZINC000837946014 613070629 /nfs/dbraw/zinc/07/06/29/613070629.db2.gz XUOPLSPCYDIRDP-NSHDSACASA-N 0 1 275.308 0.442 20 30 CCEDMN C#CC[C@H](NC(=O)c1[nH]nc2c1C[C@H](C)CC2)C(=O)OC ZINC000897956328 613070773 /nfs/dbraw/zinc/07/07/73/613070773.db2.gz AOLZJJPOPKHWMI-SKDRFNHKSA-N 0 1 289.335 0.829 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1C2CCC1(C(=O)[O-])CC2 ZINC000909038194 613073827 /nfs/dbraw/zinc/07/38/27/613073827.db2.gz UBRBNILEPUUZEC-UHFFFAOYSA-N 0 1 293.367 0.733 20 30 CCEDMN C#CCN(C)CCCNC(=O)NCC[S@@](=O)CC ZINC000836310290 613075449 /nfs/dbraw/zinc/07/54/49/613075449.db2.gz LNIUUXABZBYFOX-SFHVURJKSA-N 0 1 273.402 0.009 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCc3nncn3C2)C1 ZINC000980470866 613083146 /nfs/dbraw/zinc/08/31/46/613083146.db2.gz JEAOGDAPCZOKLB-CHWSQXEVSA-N 0 1 287.367 0.006 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCc3nncn3C2)C1 ZINC000980470878 613083962 /nfs/dbraw/zinc/08/39/62/613083962.db2.gz JEAOGDAPCZOKLB-QWHCGFSZSA-N 0 1 287.367 0.006 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ncc3n2CCCC3)C1 ZINC000980445009 613088417 /nfs/dbraw/zinc/08/84/17/613088417.db2.gz DTAMXACYDCVYIS-CQSZACIVSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2ccn(CC)n2)C1 ZINC000971573209 613090848 /nfs/dbraw/zinc/09/08/48/613090848.db2.gz RCXCQRFNAVRXNC-AWEZNQCLSA-N 0 1 274.368 0.611 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2ccnc(OC)c2)C1 ZINC000972339075 613091157 /nfs/dbraw/zinc/09/11/57/613091157.db2.gz KOCGZKNHYKWTLO-AWEZNQCLSA-N 0 1 287.363 0.799 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC000972016398 613093046 /nfs/dbraw/zinc/09/30/46/613093046.db2.gz KRDSJCATWBXIKD-UONOGXRCSA-N 0 1 290.367 0.274 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCc3nncn3C2)C1 ZINC000980470882 613093184 /nfs/dbraw/zinc/09/31/84/613093184.db2.gz JEAOGDAPCZOKLB-STQMWFEESA-N 0 1 287.367 0.006 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CC[C@H](O)C1)c1ccccc1 ZINC000875721867 613095540 /nfs/dbraw/zinc/09/55/40/613095540.db2.gz JYPVXJLTVMNJNH-LSDHHAIUSA-N 0 1 287.363 0.727 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC000972718906 613095894 /nfs/dbraw/zinc/09/58/94/613095894.db2.gz QQJZQFDTUACOHM-NSHDSACASA-N 0 1 299.334 0.214 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnc(C)n2)C1 ZINC000980432988 613095903 /nfs/dbraw/zinc/09/59/03/613095903.db2.gz YULMNDGXDJZDFN-LBPRGKRZSA-N 0 1 258.325 0.565 20 30 CCEDMN C#C[C@@H](NC(=O)NC[C@@H]1COCCN1)c1ccc(F)cc1 ZINC000861684115 613096149 /nfs/dbraw/zinc/09/61/49/613096149.db2.gz JITQSJQMZVXWED-ZIAGYGMSSA-N 0 1 291.326 0.788 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn3c(n2)CCC3)C1 ZINC000971559784 613096404 /nfs/dbraw/zinc/09/64/04/613096404.db2.gz ZPVSWJTVMSNPSZ-LBPRGKRZSA-N 0 1 272.352 0.609 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1cn[nH]c1C)C1CCOCC1 ZINC000853406271 613096499 /nfs/dbraw/zinc/09/64/99/613096499.db2.gz WWPNHROFFACZHQ-CYBMUJFWSA-N 0 1 276.340 0.946 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1cccnc1 ZINC000922022567 613098189 /nfs/dbraw/zinc/09/81/89/613098189.db2.gz OFDKFGNLTRAESX-UHFFFAOYSA-N 0 1 293.392 0.800 20 30 CCEDMN C#CCN(CC#N)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935813347 613100753 /nfs/dbraw/zinc/10/07/53/613100753.db2.gz ZHHLWJRRLDBDHP-UHFFFAOYSA-N 0 1 274.324 0.360 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)C1CN([C@H]2CCOC2)C1 ZINC000890657351 613101173 /nfs/dbraw/zinc/10/11/73/613101173.db2.gz OVUJAUBBUBXURZ-KGLIPLIRSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN(CC1CCN(C)CC1)[C@H]1CCS(=O)(=O)C1 ZINC000891749153 613107053 /nfs/dbraw/zinc/10/70/53/613107053.db2.gz URSBEIOUHHODEY-AWEZNQCLSA-N 0 1 284.425 0.451 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)NC[C@@]2(O)CC[N@H+](C)C2)CC1 ZINC000876442346 613108021 /nfs/dbraw/zinc/10/80/21/613108021.db2.gz JMHURLNXTRPZLI-YDHLFZDLSA-N 0 1 279.384 0.544 20 30 CCEDMN C#CCN(C[C@@H](O)CO[C@@H](C)COC)C1CSC1 ZINC000878910389 613108185 /nfs/dbraw/zinc/10/81/85/613108185.db2.gz UCXTWKWZFIJLEF-WCQYABFASA-N 0 1 273.398 0.449 20 30 CCEDMN C#CCN(Cc1n[nH]c(C)c1C)[C@H]1CCS(=O)(=O)C1 ZINC000893966460 613109160 /nfs/dbraw/zinc/10/91/60/613109160.db2.gz AZSHUORONCRUPQ-LBPRGKRZSA-N 0 1 281.381 0.649 20 30 CCEDMN C#C[C@H](C)NC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000923216399 613118392 /nfs/dbraw/zinc/11/83/92/613118392.db2.gz XWVXBZBYNOOGJT-SDDRHHMPSA-N 0 1 267.398 0.887 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000854070445 613120526 /nfs/dbraw/zinc/12/05/26/613120526.db2.gz NLYQGEIGVKQLFB-RDBSUJKOSA-N 0 1 279.384 0.639 20 30 CCEDMN C#CCN1CCC(NC(=O)COCCC=C)CC1 ZINC000928657573 613132939 /nfs/dbraw/zinc/13/29/39/613132939.db2.gz OIIGTSFICUNVNU-UHFFFAOYSA-N 0 1 250.342 0.793 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](CO)C[C@H]2C)CC1 ZINC000831212459 613129289 /nfs/dbraw/zinc/12/92/89/613129289.db2.gz JTAPRWRLCRIXNV-ZIAGYGMSSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](N(C)C(C)=O)C2)CC1 ZINC000938669193 613129442 /nfs/dbraw/zinc/12/94/42/613129442.db2.gz FXSIPIIQJSVYPW-HNNXBMFYSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)[C@H](NC(C)=O)C2)CC1 ZINC000949865022 613129748 /nfs/dbraw/zinc/12/97/48/613129748.db2.gz QXBKSIBVIGGUIW-IUODEOHRSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CCC(C(=O)OC(COC)COC)CC1 ZINC000909008389 613131956 /nfs/dbraw/zinc/13/19/56/613131956.db2.gz RYZFJGBSPKVFIZ-UHFFFAOYSA-N 0 1 269.341 0.536 20 30 CCEDMN C#CC[NH+]1CCC(C(=O)Nc2c(C)[n-][nH]c2=O)CC1 ZINC000816741255 613131962 /nfs/dbraw/zinc/13/19/62/613131962.db2.gz DSCDGRFBSBWSMD-UHFFFAOYSA-N 0 1 262.313 0.707 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2cnn(C)n2)CC1 ZINC000811123823 613132168 /nfs/dbraw/zinc/13/21/68/613132168.db2.gz KLRBYAVDERXZOF-UHFFFAOYSA-N 0 1 262.313 0.204 20 30 CCEDMN C#CCN1CCC(C(=O)OCCNC(=O)C2CC2)CC1 ZINC000909008150 613132171 /nfs/dbraw/zinc/13/21/71/613132171.db2.gz QMETXVXICPYMAC-UHFFFAOYSA-N 0 1 278.352 0.401 20 30 CCEDMN C#CCN1CCC(Nc2ccnc(C(=O)OCC)n2)CC1 ZINC000895809058 613132504 /nfs/dbraw/zinc/13/25/04/613132504.db2.gz XXOVVDFGCYAYKV-UHFFFAOYSA-N 0 1 288.351 0.585 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCNC(=O)OCC)CC1 ZINC000928654726 613133009 /nfs/dbraw/zinc/13/30/09/613133009.db2.gz NCLIMTKUXYWEHH-UHFFFAOYSA-N 0 1 295.383 0.727 20 30 CCEDMN C#C[C@H](NC(=O)CN1CC[C@H](C)C1)C1CCOCC1 ZINC000856099350 613133371 /nfs/dbraw/zinc/13/33/71/613133371.db2.gz ARYCLWXJNUJGJT-JSGCOSHPSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2nncn2CC)CC1 ZINC000905144718 613134317 /nfs/dbraw/zinc/13/43/17/613134317.db2.gz HWRKZVDCQWPMTL-UHFFFAOYSA-N 0 1 290.371 0.195 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)c2cn[nH]c2)CC1 ZINC000921664513 613135358 /nfs/dbraw/zinc/13/53/58/613135358.db2.gz OSQHDMAHDWVMDI-LLVKDONJSA-N 0 1 275.356 0.868 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](O)/C=C/CCC)CC1 ZINC000928660709 613136089 /nfs/dbraw/zinc/13/60/89/613136089.db2.gz NWAMJLFYCZMBJF-PSKZRQQASA-N 0 1 264.369 0.917 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C)[nH]c2=O)CC1 ZINC000928656958 613137320 /nfs/dbraw/zinc/13/73/20/613137320.db2.gz HGQWOEXMCXGBRT-UHFFFAOYSA-N 0 1 273.336 0.923 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnc3n[nH]nc32)CC1 ZINC000928653759 613137636 /nfs/dbraw/zinc/13/76/36/613137636.db2.gz ZMXNAFVLODULLW-UHFFFAOYSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccc3ncnn32)CC1 ZINC000928654073 613137688 /nfs/dbraw/zinc/13/76/88/613137688.db2.gz MIHHMSKEYKWWJJ-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nc(C)c(C)[nH]c2=O)CC1 ZINC000928654584 613138118 /nfs/dbraw/zinc/13/81/18/613138118.db2.gz IMBVTKFVFRVIJC-UHFFFAOYSA-N 0 1 288.351 0.214 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C[C@H]3CCOC3)C2)C1 ZINC000981683741 613141058 /nfs/dbraw/zinc/14/10/58/613141058.db2.gz ZADBKSBQGOEBAD-CQSZACIVSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H]3CCNC3=O)C2)C1 ZINC000981810430 613141557 /nfs/dbraw/zinc/14/15/57/613141557.db2.gz QNYQBCPNPZSCRD-ZDUSSCGKSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ccc(=O)[nH]n3)C2)C1 ZINC000981602958 613143964 /nfs/dbraw/zinc/14/39/64/613143964.db2.gz WKZGUPHZBPRAAY-UHFFFAOYSA-N 0 1 286.335 0.353 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cc(OC)no3)C2)C1 ZINC000981726384 613144321 /nfs/dbraw/zinc/14/43/21/613144321.db2.gz MSFPQJBIWLDFSR-UHFFFAOYSA-N 0 1 289.335 0.854 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)[C@@H]1CCCO1 ZINC000822074390 613146425 /nfs/dbraw/zinc/14/64/25/613146425.db2.gz XHPMCEMKOMKMQP-DCQANWLSSA-N 0 1 259.309 0.810 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cnnn1C ZINC000968841059 613147229 /nfs/dbraw/zinc/14/72/29/613147229.db2.gz XSXLKFNSHATQAE-GFCCVEGCSA-N 0 1 275.356 0.423 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1nccnc1N ZINC000968793935 613147546 /nfs/dbraw/zinc/14/75/46/613147546.db2.gz NXBYIYMMPQIRIK-GFCCVEGCSA-N 0 1 287.367 0.666 20 30 CCEDMN C#C[C@H](NCc1ccc(S(N)(=O)=O)cc1)[C@H]1CCCO1 ZINC000877418383 613150958 /nfs/dbraw/zinc/15/09/58/613150958.db2.gz YCUSSZSEGOYKSN-UONOGXRCSA-N 0 1 294.376 0.604 20 30 CCEDMN C#C[C@H](NS(=O)(=O)N=[S@@](C)(=O)CC)[C@H]1CCCO1 ZINC000867124521 613151991 /nfs/dbraw/zinc/15/19/91/613151991.db2.gz CJBMKWPNKWUFPT-GBNMTWHSSA-N 0 1 294.398 0.119 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NCC(F)(F)F)[C@H]1CCCO1 ZINC000839563323 613152717 /nfs/dbraw/zinc/15/27/17/613152717.db2.gz HSAOFJVANWONDR-LPEHRKFASA-N 0 1 278.274 0.824 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N2CCOC(C)(C)C2)C1 ZINC000930884887 613158915 /nfs/dbraw/zinc/15/89/15/613158915.db2.gz INVRRNOLEZUYSD-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)NCc2cc(CC)[nH]n2)C1 ZINC000884164515 613169949 /nfs/dbraw/zinc/16/99/49/613169949.db2.gz IWKYIPMROLMGHS-CYBMUJFWSA-N 0 1 289.383 0.869 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCNC(=O)CC1 ZINC000815939946 613177188 /nfs/dbraw/zinc/17/71/88/613177188.db2.gz GAWVXNKMNVSHBE-CHWSQXEVSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCC[C@@](O)(C2(C(=O)OC)CCC2)C1 ZINC000933839977 613177350 /nfs/dbraw/zinc/17/73/50/613177350.db2.gz IOTAPKDVFXMGOV-AWEZNQCLSA-N 0 1 251.326 0.790 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC000923556743 613190399 /nfs/dbraw/zinc/19/03/99/613190399.db2.gz IDBSUNVRIACNSY-STQMWFEESA-N 0 1 286.379 0.728 20 30 CCEDMN C#Cc1ccc(NC(=O)NCCN2CC[C@@H](O)C2)cc1 ZINC000934923373 613195515 /nfs/dbraw/zinc/19/55/15/613195515.db2.gz XIRVYZLAUCGRIH-CQSZACIVSA-N 0 1 273.336 0.856 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@]2(C(=O)[O-])CCC[C@@H]12 ZINC000910394686 613196895 /nfs/dbraw/zinc/19/68/95/613196895.db2.gz UIUAFAHXDAPXLL-ZENOOKHLSA-N 0 1 290.363 0.940 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NCC1(C(=O)[O-])CCOCC1 ZINC000833364474 613198741 /nfs/dbraw/zinc/19/87/41/613198741.db2.gz NSLDMYGYALFBFN-LBPRGKRZSA-N 0 1 294.351 0.082 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCC1(C(=O)[O-])CCOCC1 ZINC000833364474 613198743 /nfs/dbraw/zinc/19/87/43/613198743.db2.gz NSLDMYGYALFBFN-LBPRGKRZSA-N 0 1 294.351 0.082 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N2C[C@H](C)NC[C@@H]2C)c1 ZINC000842033317 613199097 /nfs/dbraw/zinc/19/90/97/613199097.db2.gz RFNJNENZMOIGGB-STQMWFEESA-N 0 1 299.374 0.493 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)C12CC(C(=O)OC)(C1)C2 ZINC000842709872 613203262 /nfs/dbraw/zinc/20/32/62/613203262.db2.gz AEUHEZUQWDHLGO-JQRITLKVSA-N 0 1 291.347 0.971 20 30 CCEDMN C#Cc1cccnc1N1CCN([C@H](CC)CO)CC1 ZINC000853391022 613208582 /nfs/dbraw/zinc/20/85/82/613208582.db2.gz WLQSVDYHHDJPEL-CQSZACIVSA-N 0 1 259.353 0.956 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cc[nH]n2)C1 ZINC000965755146 613214015 /nfs/dbraw/zinc/21/40/15/613214015.db2.gz CIOFPQCYDIPAQG-YPMHNXCESA-N 0 1 260.341 0.412 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CCCN(CCOC)C2)c1 ZINC000806807406 613219470 /nfs/dbraw/zinc/21/94/70/613219470.db2.gz NVXVIKHRHYZHSW-OAHLLOKOSA-N 0 1 287.363 0.904 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H](C)c2n[nH]c(C)n2)c1 ZINC000804815050 613221167 /nfs/dbraw/zinc/22/11/67/613221167.db2.gz MXFZCUXHLXGXES-MRVPVSSYSA-N 0 1 255.281 0.980 20 30 CCEDMN C(=NN1CCOCC1)c1ccnn1-c1ccncc1 ZINC000853260437 613223411 /nfs/dbraw/zinc/22/34/11/613223411.db2.gz UBFULHSBKCYSLD-UHFFFAOYSA-N 0 1 257.297 0.933 20 30 CCEDMN C(=NNCCN1CCCCC1)c1cnc2n1CCOC2 ZINC000905435438 613223566 /nfs/dbraw/zinc/22/35/66/613223566.db2.gz BURUNIRDSQVEIL-UHFFFAOYSA-N 0 1 277.372 0.823 20 30 CCEDMN C(=NNc1ncnc2nc[nH]c21)c1cc2n(n1)CCC2 ZINC000853293290 613224052 /nfs/dbraw/zinc/22/40/52/613224052.db2.gz VHCXTXNAIQVHMV-UHFFFAOYSA-N 0 1 268.284 0.942 20 30 CCEDMN C(CN1CCCCC1)NN=C1CCO[C@]12CCOC2 ZINC000901170695 613224408 /nfs/dbraw/zinc/22/44/08/613224408.db2.gz ZFZHDIGFNIPENX-AWEZNQCLSA-N 0 1 267.373 0.997 20 30 CCEDMN C=C(Br)CNC[C@@H](O)C[C@@]1(O)CCOC1 ZINC000905065634 613238802 /nfs/dbraw/zinc/23/88/02/613238802.db2.gz QEDYXKDFGJEBID-UWVGGRQHSA-N 0 1 280.162 0.387 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc3n(n2)CCO3)[C@H](C)C1 ZINC000942131500 613234462 /nfs/dbraw/zinc/23/44/62/613234462.db2.gz WCZGJWRQHJJSPP-VXGBXAGGSA-N 0 1 288.351 0.349 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn3nnnc3c2)[C@H](C)C1 ZINC000942556236 613238898 /nfs/dbraw/zinc/23/88/98/613238898.db2.gz XZPAQSWWHBODSQ-DGCLKSJQSA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnc(OC)n2)C[C@H]1C ZINC000947533539 613239005 /nfs/dbraw/zinc/23/90/05/613239005.db2.gz SKTFXJGTFSIEEZ-VXGBXAGGSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnc3n[nH]nc32)C[C@H]1C ZINC000947531841 613239027 /nfs/dbraw/zinc/23/90/27/613239027.db2.gz FNRRCGBSTZBJTK-GHMZBOCLSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc3n[nH]nc3c2)[C@H](C)C1 ZINC000942131777 613240456 /nfs/dbraw/zinc/24/04/56/613240456.db2.gz ZQAIYQLSWCBUKG-ZYHUDNBSSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnccn2)[C@H](C)C1 ZINC000941762328 613241691 /nfs/dbraw/zinc/24/16/91/613241691.db2.gz AQNWGVGUXREMAM-VXGBXAGGSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2C)[C@@H](C)C1 ZINC000941825271 613241845 /nfs/dbraw/zinc/24/18/45/613241845.db2.gz WQXLLRUOZLERAB-SMDDNHRTSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(CCF)c2)[C@@H](C)C1 ZINC000942208458 613242182 /nfs/dbraw/zinc/24/21/82/613242182.db2.gz PBVGXCPUDQUMLG-GXTWGEPZSA-N 0 1 292.358 0.926 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3cccnc23)[C@H](C)C1 ZINC000942550425 613242417 /nfs/dbraw/zinc/24/24/17/613242417.db2.gz DJGMNTUSKNTCDP-TZMCWYRMSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2n[nH]c3c2CCC3)[C@H](C)C1 ZINC000942217348 613243203 /nfs/dbraw/zinc/24/32/03/613243203.db2.gz AGLDDNDJZJQZJU-DGCLKSJQSA-N 0 1 286.379 0.972 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2n[nH]cc2F)C[C@H]1C ZINC000947699517 613243260 /nfs/dbraw/zinc/24/32/60/613243260.db2.gz YUBJNUTVNZXROY-NXEZZACHSA-N 0 1 264.304 0.765 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncccn2)C(C)(C)C1 ZINC000941117875 613243802 /nfs/dbraw/zinc/24/38/02/613243802.db2.gz OONXDGZBVRVHHD-GFCCVEGCSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nn(CC)nc2C)[C@@H](C)C1 ZINC000946182505 613244802 /nfs/dbraw/zinc/24/48/02/613244802.db2.gz YDXSSENEDHYNHW-WCQYABFASA-N 0 1 289.383 0.680 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966884638 613250157 /nfs/dbraw/zinc/25/01/57/613250157.db2.gz OPVRAQFZSPQKNI-JQWIXIFHSA-N 0 1 274.324 0.256 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cn2nccc2C)C1 ZINC000968368955 613252492 /nfs/dbraw/zinc/25/24/92/613252492.db2.gz BLONMNCGBWCJPP-GXTWGEPZSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2c[nH]cn2)C(C)(C)C1 ZINC000941061685 613260925 /nfs/dbraw/zinc/26/09/25/613260925.db2.gz PFXJTMIAASRRQH-ZDUSSCGKSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cnn(C)c2)C(C)(C)C1 ZINC000940617293 613261380 /nfs/dbraw/zinc/26/13/80/613261380.db2.gz IJENLDUWFUGZPG-AWEZNQCLSA-N 0 1 288.395 0.813 20 30 CCEDMN C/C(=C\c1cccnc1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000848419483 613264696 /nfs/dbraw/zinc/26/46/96/613264696.db2.gz VKQLFKJLHAODHK-GWKFAAHWSA-N 0 1 256.309 0.713 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C(N)=O)co2)[C@@H](C)C1 ZINC000942717794 613265385 /nfs/dbraw/zinc/26/53/85/613265385.db2.gz AJPTXDXCCJPFJV-JQWIXIFHSA-N 0 1 289.335 0.452 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2)[C@H](C)C1 ZINC000941794952 613275343 /nfs/dbraw/zinc/27/53/43/613275343.db2.gz OTACWMBWCFHYPP-YPMHNXCESA-N 0 1 260.341 0.494 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2n[nH]cc2C)[C@@H](C)C1 ZINC000942029163 613277672 /nfs/dbraw/zinc/27/76/72/613277672.db2.gz JXIPYEMLLUBMFD-RYUDHWBXSA-N 0 1 260.341 0.792 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nn(CC)nc2C)[C@@H](C)C1 ZINC000946182501 613278718 /nfs/dbraw/zinc/27/87/18/613278718.db2.gz YDXSSENEDHYNHW-AAEUAGOBSA-N 0 1 289.383 0.680 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C(C)(C)C1 ZINC000974840803 613281759 /nfs/dbraw/zinc/28/17/59/613281759.db2.gz BNCSYIAWZUSJSN-DXUDUQDWSA-N 0 1 288.391 0.870 20 30 CCEDMN C=C(C)CN(C)Cc1c(CO)[nH]cc(OC)c1=O ZINC000902214471 613282552 /nfs/dbraw/zinc/28/25/52/613282552.db2.gz VMBGINIDTOCDIJ-UHFFFAOYSA-N 0 1 252.314 0.884 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CC3(CCC3)C2)C1 ZINC000958316274 613285613 /nfs/dbraw/zinc/28/56/13/613285613.db2.gz FYGTYSDAPSEHCM-UONOGXRCSA-N 0 1 276.380 0.609 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(C)coc2C)C1 ZINC000958041231 613285747 /nfs/dbraw/zinc/28/57/47/613285747.db2.gz KGBCIYGZBXZBIE-QWHCGFSZSA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC000958121188 613285851 /nfs/dbraw/zinc/28/58/51/613285851.db2.gz DGYCCUHAXUKUTF-GXTWGEPZSA-N 0 1 287.363 0.548 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)ccc2F)C1 ZINC000958434028 613286209 /nfs/dbraw/zinc/28/62/09/613286209.db2.gz GJKHMHRNPUWJQY-SWLSCSKDSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccoc2Cl)C1 ZINC000958011456 613287315 /nfs/dbraw/zinc/28/73/15/613287315.db2.gz ZNXDVSZROZCGKL-GXSJLCMTSA-N 0 1 282.727 0.589 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3ccn(C)n3)C[C@]2(C)C1 ZINC000982805083 613293744 /nfs/dbraw/zinc/29/37/44/613293744.db2.gz HBXJHRVQIBEYBK-IYBDPMFKSA-N 0 1 286.379 0.837 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3cnns3)C[C@]2(C)C1 ZINC000982221478 613294331 /nfs/dbraw/zinc/29/43/31/613294331.db2.gz FOLSBOYUUYCKKM-OKILXGFUSA-N 0 1 290.392 0.955 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc(F)c2F)C1 ZINC000958345035 613295146 /nfs/dbraw/zinc/29/51/46/613295146.db2.gz KZKNXCIEEYCADP-GWCFXTLKSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccncc2Cl)C1 ZINC000958429596 613295809 /nfs/dbraw/zinc/29/58/09/613295809.db2.gz KWAHVHGFWAYINK-GWCFXTLKSA-N 0 1 293.754 0.391 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ncc(F)cc2F)C1 ZINC000958182991 613296160 /nfs/dbraw/zinc/29/61/60/613296160.db2.gz JLKIPKJATFPTJR-CABZTGNLSA-N 0 1 295.289 0.016 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2oc(CC)cc2C)C1 ZINC000958590931 613296332 /nfs/dbraw/zinc/29/63/32/613296332.db2.gz CQZJVKOKFVUNDD-JSGCOSHPSA-N 0 1 290.363 0.806 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC000957979654 613302462 /nfs/dbraw/zinc/30/24/62/613302462.db2.gz OYGRXUIWNLTHFN-OLZOCXBDSA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(F)ccc2F)C1 ZINC000958145305 613302851 /nfs/dbraw/zinc/30/28/51/613302851.db2.gz JFTUIZILAKCYSC-YGRLFVJLSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C)nc2)C1 ZINC000957855446 613302912 /nfs/dbraw/zinc/30/29/12/613302912.db2.gz NRMGURBECZCUSH-KGLIPLIRSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccnn2C(C)C)C1 ZINC000958097406 613303533 /nfs/dbraw/zinc/30/35/33/613303533.db2.gz YCGADMKMPSJIDO-OCCSQVGLSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccccc2OC)C1 ZINC000958611355 613303722 /nfs/dbraw/zinc/30/37/22/613303722.db2.gz ZZEYYSJNYVSMNZ-OCCSQVGLSA-N 0 1 288.347 0.351 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccccc2O)C1 ZINC000957885326 613303744 /nfs/dbraw/zinc/30/37/44/613303744.db2.gz CQFKFYZHMYGCCN-RISCZKNCSA-N 0 1 274.320 0.048 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2sccc2F)C1 ZINC000958029917 613304398 /nfs/dbraw/zinc/30/43/98/613304398.db2.gz FLZNUGDBILZYMC-KOLCDFICSA-N 0 1 282.340 0.543 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2nccc3[nH]ccc32)C1 ZINC000958403965 613304532 /nfs/dbraw/zinc/30/45/32/613304532.db2.gz LDNWNDDQJWMKHF-RISCZKNCSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CC(C)(C)CO1 ZINC000960994630 613313733 /nfs/dbraw/zinc/31/37/33/613313733.db2.gz OMWHOXJUCYVOBX-RMRHIDDWSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1c(C)n[nH]c1C ZINC000962538287 613314290 /nfs/dbraw/zinc/31/42/90/613314290.db2.gz RYWYTNKFDYONSM-NHAGDIPZSA-N 0 1 272.352 0.249 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC12CCOCC2 ZINC000963139975 613316135 /nfs/dbraw/zinc/31/61/35/613316135.db2.gz NWFSYCQJHCKLLU-RQJABVFESA-N 0 1 274.364 0.483 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(Cl)n[nH]c1CC ZINC000960252712 613317526 /nfs/dbraw/zinc/31/75/26/613317526.db2.gz ZVZZOFBQCYKABL-GDGBQDQQSA-N 0 1 292.770 0.919 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2c(cn1)OCCC2 ZINC000962420472 613318665 /nfs/dbraw/zinc/31/86/65/613318665.db2.gz PMDQTBUNGCDARG-VIKVFOODSA-N 0 1 297.358 0.700 20 30 CCEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2ncn(C)n2)C1 ZINC000965969550 613324671 /nfs/dbraw/zinc/32/46/71/613324671.db2.gz MWPOWKBZNWWMEJ-NEPJUHHUSA-N 0 1 277.372 0.831 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](CO)c1ccc(F)cc1F ZINC000852713531 613326975 /nfs/dbraw/zinc/32/69/75/613326975.db2.gz IWTLMGKNZITZPU-ZDUSSCGKSA-N 0 1 282.290 0.727 20 30 CCEDMN C#CCNC(=O)CCN[C@H](CCO)c1ccccc1F ZINC000830356190 613327231 /nfs/dbraw/zinc/32/72/31/613327231.db2.gz VVOYXRCHFSGUQY-CQSZACIVSA-N 0 1 278.327 0.978 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCC[C@@H]([C@@H](C)NC(=O)[O-])C1 ZINC000823456404 613329204 /nfs/dbraw/zinc/32/92/04/613329204.db2.gz MLJHMANHUVENAE-GHMZBOCLSA-N 0 1 267.329 0.104 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)NCc1cn[nH]c1C ZINC000883138572 613354876 /nfs/dbraw/zinc/35/48/76/613354876.db2.gz IOZXHWTWJXZBTC-NSHDSACASA-N 0 1 252.318 0.844 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(=O)OCC ZINC000881756857 613356036 /nfs/dbraw/zinc/35/60/36/613356036.db2.gz PCVXUQXCFCLRSD-OPRDCNLKSA-N 0 1 270.285 0.666 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000899234533 613357136 /nfs/dbraw/zinc/35/71/36/613357136.db2.gz KQFJKYGFXBHOOQ-CHWSQXEVSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CN1CCCC1)C(=O)OC ZINC000825260121 613358627 /nfs/dbraw/zinc/35/86/27/613358627.db2.gz UCAKBRJEDKDVCL-NSHDSACASA-N 0 1 254.330 0.706 20 30 CCEDMN C=C(C)[C@@H](CO)N1CC[C@]2(CC(C(=O)OC)=NO2)C1 ZINC000879483913 613360415 /nfs/dbraw/zinc/36/04/15/613360415.db2.gz NJGKINFZMOFCSF-YPMHNXCESA-N 0 1 268.313 0.317 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC(NS(=O)(=O)CC)CC1 ZINC000903008928 613362497 /nfs/dbraw/zinc/36/24/97/613362497.db2.gz RBJAWBHHVRMYSU-LBPRGKRZSA-N 0 1 276.402 0.327 20 30 CCEDMN C=C(CC)CNC(=O)NCCN1CCC(O)CC1 ZINC000895254067 613363273 /nfs/dbraw/zinc/36/32/73/613363273.db2.gz ASTMNOXVGWBUBP-UHFFFAOYSA-N 0 1 255.362 0.709 20 30 CCEDMN C=C(CO)C(=O)NC1CCN(Cc2cccnc2)CC1 ZINC000798294566 613367194 /nfs/dbraw/zinc/36/71/94/613367194.db2.gz UKOFKKIXDDMBJK-UHFFFAOYSA-N 0 1 275.352 0.711 20 30 CCEDMN C=C(CO)C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC000798275594 613367458 /nfs/dbraw/zinc/36/74/58/613367458.db2.gz PSLBAQIWKSRQBM-UHFFFAOYSA-N 0 1 275.352 0.925 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]([C@@H]2CCCN(C(=O)C(N)=O)C2)C1 ZINC000961239772 613377491 /nfs/dbraw/zinc/37/74/91/613377491.db2.gz XHBWYFSIQZASFF-VXGBXAGGSA-N 0 1 299.802 0.785 20 30 CCEDMN C=C(Cl)CNC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000875800750 613383597 /nfs/dbraw/zinc/38/35/97/613383597.db2.gz PXLKAZMJRYNEMJ-JTQLQIEISA-N 0 1 288.779 0.378 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC000970436988 613384405 /nfs/dbraw/zinc/38/44/05/613384405.db2.gz GVWOXEBVOIDYQX-CMPLNLGQSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2COCCN2C)C1 ZINC000968462841 613385262 /nfs/dbraw/zinc/38/52/62/613385262.db2.gz UMZNVHXJTIAMFU-NEPJUHHUSA-N 0 1 287.791 0.260 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000969008177 613385478 /nfs/dbraw/zinc/38/54/78/613385478.db2.gz QPXUHGXQERTBNO-SECBINFHSA-N 0 1 284.747 0.980 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCn2cnnn2)C[C@H]1C ZINC000939396553 613386259 /nfs/dbraw/zinc/38/62/59/613386259.db2.gz KDHVMMQWSHNDPY-MWLCHTKSSA-N 0 1 298.778 0.252 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)NCCCS(=O)(=O)CC ZINC000877226155 613387937 /nfs/dbraw/zinc/38/79/37/613387937.db2.gz ZAWCCNYGGUIUFM-SECBINFHSA-N 0 1 282.793 0.397 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@@H](C)CS(C)(=O)=O ZINC000876661665 613390151 /nfs/dbraw/zinc/39/01/51/613390151.db2.gz GYUCPMMECOPEJS-YUMQZZPRSA-N 0 1 268.766 0.006 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@@H](CC(N)=O)C1 ZINC000878158567 613396317 /nfs/dbraw/zinc/39/63/17/613396317.db2.gz JHJMWDVHIPQPDH-QWRGUYRKSA-N 0 1 254.330 0.692 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CC[C@H](C(=O)N(C)C)C1 ZINC000878709252 613399299 /nfs/dbraw/zinc/39/92/99/613399299.db2.gz IWKYWMZKRYIIOS-QWRGUYRKSA-N 0 1 254.330 0.514 20 30 CCEDMN C=C1CCC(C(=O)N2CCOC[C@H]2c2nn[nH]n2)CC1 ZINC000913493829 613405580 /nfs/dbraw/zinc/40/55/80/613405580.db2.gz JTQFKYLHOZQOAI-NSHDSACASA-N 0 1 277.328 0.846 20 30 CCEDMN C=CC[C@@H](NC(=O)NC[C@H]1COCCN1)c1ccncc1 ZINC000861580976 613405841 /nfs/dbraw/zinc/40/58/41/613405841.db2.gz DNCDPEFWEALBQJ-UONOGXRCSA-N 0 1 290.367 0.986 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NC[C@@H]1CC[N@@H+]1CC ZINC000890065720 613415212 /nfs/dbraw/zinc/41/52/12/613415212.db2.gz MKLURRGIKNPARH-RYUDHWBXSA-N 0 1 255.362 0.564 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NC[C@@H]1CCN1CC ZINC000890065720 613415213 /nfs/dbraw/zinc/41/52/13/613415213.db2.gz MKLURRGIKNPARH-RYUDHWBXSA-N 0 1 255.362 0.564 20 30 CCEDMN C=CC[C@H](NC(=O)NC[C@@H]1COCCN1)c1ccncc1 ZINC000861580975 613418699 /nfs/dbraw/zinc/41/86/99/613418699.db2.gz DNCDPEFWEALBQJ-KGLIPLIRSA-N 0 1 290.367 0.986 20 30 CCEDMN C=CC[C@H]1CCN1C(=O)CN1CCN(CC)CC1 ZINC000890559794 613421962 /nfs/dbraw/zinc/42/19/62/613421962.db2.gz PMFKXGARVVQETG-ZDUSSCGKSA-N 0 1 251.374 0.801 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCN(CCF)C[C@H]2C)nn1 ZINC000943480981 613431335 /nfs/dbraw/zinc/43/13/35/613431335.db2.gz HQLJSVDWFXNQTM-NEPJUHHUSA-N 0 1 295.362 0.874 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@H]2CCN2C(C)(C)C)nn1 ZINC000898008129 613435057 /nfs/dbraw/zinc/43/50/57/613435057.db2.gz WEEJIYPENCCWOO-GFCCVEGCSA-N 0 1 277.372 0.953 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CN(C)CCN1C ZINC000878810053 613445703 /nfs/dbraw/zinc/44/57/03/613445703.db2.gz RSGJEYOWRWXTIO-GFCCVEGCSA-N 0 1 253.390 0.951 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000845622699 613444418 /nfs/dbraw/zinc/44/44/18/613444418.db2.gz MSKKVBYEMQXLJR-NWDGAFQWSA-N 0 1 285.388 0.979 20 30 CCEDMN C=CC(C)(C)NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000908352237 613448305 /nfs/dbraw/zinc/44/83/05/613448305.db2.gz SPAPDGKDCFBYRC-JTQLQIEISA-N 0 1 254.330 0.864 20 30 CCEDMN C=CCC1(O)CCN(C(=O)[C@@]2(C)C[C@H](O)CN2C)CC1 ZINC000924440396 613459262 /nfs/dbraw/zinc/45/92/62/613459262.db2.gz SHCLLUQWAZVUTC-GXTWGEPZSA-N 0 1 282.384 0.371 20 30 CCEDMN C=CCCC(=O)[C@H]1CCCN1C(=O)CCc1nn[nH]n1 ZINC000908669476 613463406 /nfs/dbraw/zinc/46/34/06/613463406.db2.gz QMDDSKVXJSHUPX-SNVBAGLBSA-N 0 1 277.328 0.659 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)[C@H](O)C(C)C)CC2)C1 ZINC000941396036 613481945 /nfs/dbraw/zinc/48/19/45/613481945.db2.gz TUOHZKQEKRWCPV-OAHLLOKOSA-N 0 1 295.427 0.408 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000932281852 613489194 /nfs/dbraw/zinc/48/91/94/613489194.db2.gz CRMCSMFKXXTNNF-NXEZZACHSA-N 0 1 265.317 0.702 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@@H]2CCNC2=O)CC1 ZINC000948734037 613503810 /nfs/dbraw/zinc/50/38/10/613503810.db2.gz MNNSXDXPNWHRKY-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@]2(CC)CCNC2=O)CC1 ZINC000948858379 613507031 /nfs/dbraw/zinc/50/70/31/613507031.db2.gz CNGPADNMHXFQBB-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC000950540789 613508220 /nfs/dbraw/zinc/50/82/20/613508220.db2.gz BMUVUIMOQSWWQV-OLZOCXBDSA-N 0 1 279.384 0.608 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@]2(C)CCC(=O)NC2)CC1 ZINC000949793216 613509172 /nfs/dbraw/zinc/50/91/72/613509172.db2.gz PAERTZYVWOAUHU-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)[C@H](O)C(C)C)C2)C1 ZINC000972371245 613513715 /nfs/dbraw/zinc/51/37/15/613513715.db2.gz RWGDKVSYDCOAED-ZBFHGGJFSA-N 0 1 296.411 0.883 20 30 CCEDMN CC#CCCN1CC[C@]2(CC(C(=O)OC)=NO2)C1 ZINC000879484318 613521095 /nfs/dbraw/zinc/52/10/95/613521095.db2.gz RZMUFDLMWNEHAA-ZDUSSCGKSA-N 0 1 250.298 0.794 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cccc3ncnn32)C1 ZINC000970070803 613533967 /nfs/dbraw/zinc/53/39/67/613533967.db2.gz KSNYPCLTBDFYFA-GFCCVEGCSA-N 0 1 297.362 0.803 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccnc3ccnn32)C1 ZINC000969832576 613534209 /nfs/dbraw/zinc/53/42/09/613534209.db2.gz HVRHHHCRDZJTKG-GFCCVEGCSA-N 0 1 297.362 0.803 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2nccn2CC)C1 ZINC000969585172 613535490 /nfs/dbraw/zinc/53/54/90/613535490.db2.gz QNTSJYHFSRFQIN-GFCCVEGCSA-N 0 1 274.368 0.976 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)C2=CCOCC2)C1 ZINC000969549681 613535539 /nfs/dbraw/zinc/53/55/39/613535539.db2.gz VJHYOPIUKQPPCW-LBPRGKRZSA-N 0 1 262.353 0.793 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000969487912 613538828 /nfs/dbraw/zinc/53/88/28/613538828.db2.gz STXHXMONTWWWJO-NSHDSACASA-N 0 1 273.336 0.861 20 30 CCEDMN CC#CCN1CCN(C(=O)[C@@H]2CCCCN2C)CC1 ZINC000949741965 613564761 /nfs/dbraw/zinc/56/47/61/613564761.db2.gz PBVBXTRYVCGGRM-AWEZNQCLSA-N 0 1 263.385 0.638 20 30 CCEDMN C=CCC[C@@H]1NC(=O)N(C[C@H]2CCN2CC)C1=O ZINC000925099872 613587265 /nfs/dbraw/zinc/58/72/65/613587265.db2.gz YXQWNYZYHRQYTR-MNOVXSKESA-N 0 1 251.330 0.967 20 30 CCEDMN C=CCC[C@@H]1NC(=O)N(C[C@H]2CN3CCC[C@@H]3CO2)C1=O ZINC000842240422 613587665 /nfs/dbraw/zinc/58/76/65/613587665.db2.gz QNYYHUSYVLCFQN-UPJWGTAASA-N 0 1 293.367 0.736 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897931141 613588060 /nfs/dbraw/zinc/58/80/60/613588060.db2.gz NVORYXBGLQZZGI-CHWSQXEVSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCCn1cc(C(=O)NC[C@@H]2CCN2CC)nn1 ZINC000882494885 613594896 /nfs/dbraw/zinc/59/48/96/613594896.db2.gz OUPLBMVIOXMXIF-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN C=CCCn1cc(CNC[C@H]2CCO[C@H]2C(=O)OC)nn1 ZINC000877725465 613598946 /nfs/dbraw/zinc/59/89/46/613598946.db2.gz BZMSSYWNDIFPDV-DGCLKSJQSA-N 0 1 294.355 0.522 20 30 CCEDMN C=CCCn1cc(CNCC[C@H]2CC(=O)N(C)C2)nn1 ZINC000922485224 613599194 /nfs/dbraw/zinc/59/91/94/613599194.db2.gz VPAFCUNHFFFKMI-LBPRGKRZSA-N 0 1 277.372 0.812 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cn2cccn2)C(C)(C)C1 ZINC000972813136 613608877 /nfs/dbraw/zinc/60/88/77/613608877.db2.gz HIIUQZRNGDOJIS-CYBMUJFWSA-N 0 1 274.368 0.733 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cn2cncn2)C(C)(C)C1 ZINC000977554289 613609395 /nfs/dbraw/zinc/60/93/95/613609395.db2.gz SNIOSJWOAQCURC-GFCCVEGCSA-N 0 1 275.356 0.128 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc[nH]c2C)C1 ZINC000957952888 613612739 /nfs/dbraw/zinc/61/27/39/613612739.db2.gz ICLUOFHBCWSERB-GXTWGEPZSA-N 0 1 275.352 0.369 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2nc(C)oc2C)C1 ZINC000958522559 613613583 /nfs/dbraw/zinc/61/35/83/613613583.db2.gz RBPZTKLRGQQWSH-QWHCGFSZSA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccc[nH]2)[C@H](O)C1 ZINC000957869388 613614391 /nfs/dbraw/zinc/61/43/91/613614391.db2.gz OCWCPFONCQUTGJ-DGCLKSJQSA-N 0 1 261.325 0.061 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)C2CCC2)[C@H](O)C1 ZINC000957802103 613614897 /nfs/dbraw/zinc/61/48/97/613614897.db2.gz BEDXCZQAZHKOGT-CHWSQXEVSA-N 0 1 250.342 0.219 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2coc(CC)n2)C1 ZINC000957994828 613619061 /nfs/dbraw/zinc/61/90/61/613619061.db2.gz ILSNRLMMHUZZBO-AAEUAGOBSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974862821 613621883 /nfs/dbraw/zinc/62/18/83/613621883.db2.gz JHLRJUWNMHOTPM-LRDDRELGSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1Cc2cccnc2C1 ZINC000962409202 613637347 /nfs/dbraw/zinc/63/73/47/613637347.db2.gz UCTJDADQDYQWNZ-LJIGWXMPSA-N 0 1 295.386 0.866 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(=O)n(C)c1 ZINC000960762348 613639364 /nfs/dbraw/zinc/63/93/64/613639364.db2.gz BGRYDWVJUDLOQC-NHAGDIPZSA-N 0 1 285.347 0.069 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1conc1C ZINC000961670358 613642309 /nfs/dbraw/zinc/64/23/09/613642309.db2.gz QCOFZILLNZLWBH-PJXYFTJBSA-N 0 1 259.309 0.666 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)[C@@H](C)[NH+]1CCSCC1 ZINC000909515701 613643180 /nfs/dbraw/zinc/64/31/80/613643180.db2.gz FRWYOPSXOIBSHU-SNVBAGLBSA-N 0 1 272.370 0.523 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000860157476 613647290 /nfs/dbraw/zinc/64/72/90/613647290.db2.gz WOBRQZFNEPASCU-VXGBXAGGSA-N 0 1 264.325 0.292 20 30 CCEDMN C=CCN(CCC#N)C(=O)[C@]1(C)C[C@H](O)CN1C ZINC000908343572 613649290 /nfs/dbraw/zinc/64/92/90/613649290.db2.gz QFFLMFJGBVVEMH-AAEUAGOBSA-N 0 1 251.330 0.370 20 30 CCEDMN CC(=NNC(=N)N)c1nnn(-c2ccc(F)cc2)c1C ZINC000814796550 613653136 /nfs/dbraw/zinc/65/31/36/613653136.db2.gz YXBLVWVMJWERLY-UHFFFAOYSA-N 0 1 275.291 0.922 20 30 CCEDMN CC(=NNCCN1CCCCC1)c1cnnn1C ZINC000814808056 613654830 /nfs/dbraw/zinc/65/48/30/613654830.db2.gz AIQJILPWBGTDHS-UHFFFAOYSA-N 0 1 250.350 0.615 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cn(C)nc2C)C1 ZINC000969396460 613666480 /nfs/dbraw/zinc/66/64/80/613666480.db2.gz SOTHBSPDEJJHMV-SNVBAGLBSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC000970424845 613669934 /nfs/dbraw/zinc/66/99/34/613669934.db2.gz KRWQEKJQUBQZKY-WCFLWFBJSA-N 0 1 279.384 0.333 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnc(OC)nc2)C1 ZINC000970681165 613672965 /nfs/dbraw/zinc/67/29/65/613672965.db2.gz IPRLVKDPVVBBGE-JTQLQIEISA-N 0 1 276.340 0.721 20 30 CCEDMN C=CCN1CCCN(C(=O)CCn2cnccc2=O)CC1 ZINC000983089928 613688963 /nfs/dbraw/zinc/68/89/63/613688963.db2.gz DLERWMMKFFPVNM-UHFFFAOYSA-N 0 1 290.367 0.354 20 30 CCEDMN C=CCN1CCN(CN2CC[C@@H]3COC[C@H]3C2)C1=O ZINC000853591413 613720549 /nfs/dbraw/zinc/72/05/49/613720549.db2.gz RAOZUFWBQDLWHM-CHWSQXEVSA-N 0 1 265.357 0.836 20 30 CCEDMN C=CCN1CCO[C@]2(CCN(C(=O)c3cc(C)[nH]n3)C2)C1 ZINC000972281392 613726055 /nfs/dbraw/zinc/72/60/55/613726055.db2.gz HJTWPRSLVVDICG-OAHLLOKOSA-N 0 1 290.367 0.821 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2cncn2)C1 ZINC000968614769 613728127 /nfs/dbraw/zinc/72/81/27/613728127.db2.gz NHVBITJULCCQBX-NEPJUHHUSA-N 0 1 263.345 0.291 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccnn2C)C1 ZINC000965963544 613733171 /nfs/dbraw/zinc/73/31/71/613733171.db2.gz JECRJKOJUIMTDO-TZMCWYRMSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2[nH]nnc2C)C1 ZINC000967700679 613733583 /nfs/dbraw/zinc/73/35/83/613733583.db2.gz JEDVGWOGGJJCQV-MWLCHTKSSA-N 0 1 263.345 0.739 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H]2C[C@H]2C(=O)OCC)C1=O ZINC000930310852 613738303 /nfs/dbraw/zinc/73/83/03/613738303.db2.gz REJQIWNIXSVGIQ-YNEHKIRRSA-N 0 1 280.368 0.904 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(CCCCCO)CC2)C1=O ZINC000931496609 613739849 /nfs/dbraw/zinc/73/98/49/613739849.db2.gz UKNLNUCXGFADLJ-OAHLLOKOSA-N 0 1 295.427 0.554 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cn2ccnc2)C1 ZINC000968699587 613767701 /nfs/dbraw/zinc/76/77/01/613767701.db2.gz XSYUEEWQKXLZJL-STQMWFEESA-N 0 1 262.357 0.896 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2c[nH]cc3ncnc2-3)C1=O ZINC000897651211 613776796 /nfs/dbraw/zinc/77/67/96/613776796.db2.gz VLJIKVHHSGBEGF-LBPRGKRZSA-N 0 1 299.334 0.817 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(C3OCCO3)CC2)C1=O ZINC000799121490 613777716 /nfs/dbraw/zinc/77/77/16/613777716.db2.gz YNTWBLHGDCNVKC-ZDUSSCGKSA-N 0 1 280.368 0.858 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](C)N(CCOC)[C@@H](C)C2)C1=O ZINC000830075099 613778937 /nfs/dbraw/zinc/77/89/37/613778937.db2.gz VGLRBRNXVBAPAW-ZNMIVQPWSA-N 0 1 295.427 0.814 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C[C@H]1C ZINC000947506951 613800905 /nfs/dbraw/zinc/80/09/05/613800905.db2.gz UNGVOABXPDYIGP-BDAKNGLRSA-N 0 1 293.327 0.052 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C(C)(C)C1 ZINC000974958544 613827876 /nfs/dbraw/zinc/82/78/76/613827876.db2.gz SPPWDHGXACFGAH-LRDDRELGSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C(C)(C)C1 ZINC000977627316 613828334 /nfs/dbraw/zinc/82/83/34/613828334.db2.gz YXUAOPPZNISJDN-LBPRGKRZSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCNC(=O)CN1CCC([C@@H]2COC(=O)N2)CC1 ZINC000932782029 613845377 /nfs/dbraw/zinc/84/53/77/613845377.db2.gz BFYUPNQTMSOXOA-NSHDSACASA-N 0 1 267.329 0.109 20 30 CCEDMN C=CCNC(=O)CNCc1cc(C(=O)OC)c(CC)[nH]1 ZINC000894374962 613846933 /nfs/dbraw/zinc/84/69/33/613846933.db2.gz IBKPAFOCEANOMH-UHFFFAOYSA-N 0 1 279.340 0.756 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@@H]1CN(C)C(=O)[O-] ZINC000823511587 613849613 /nfs/dbraw/zinc/84/96/13/613849613.db2.gz ZCRREOHWIHMBOB-SNVBAGLBSA-N 0 1 298.343 0.072 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@@H]1CN(C)C(=O)[O-] ZINC000823511587 613849614 /nfs/dbraw/zinc/84/96/14/613849614.db2.gz ZCRREOHWIHMBOB-SNVBAGLBSA-N 0 1 298.343 0.072 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H](CF)C1 ZINC000880230843 613849652 /nfs/dbraw/zinc/84/96/52/613849652.db2.gz PPUARJONSRWLKZ-SNVBAGLBSA-N 0 1 257.309 0.680 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C1(COC)CCC1 ZINC000880187398 613849677 /nfs/dbraw/zinc/84/96/77/613849677.db2.gz KRAHQAHBXYWGBE-UHFFFAOYSA-N 0 1 269.345 0.499 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](C)c1ccnn1C ZINC000879683961 613850101 /nfs/dbraw/zinc/85/01/01/613850101.db2.gz RDGZVTIBNLRJTO-SECBINFHSA-N 0 1 265.317 0.083 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000923531811 613853409 /nfs/dbraw/zinc/85/34/09/613853409.db2.gz LCMQLOSEZGATBS-ADEWGFFLSA-N 0 1 266.341 0.720 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC000923531812 613855141 /nfs/dbraw/zinc/85/51/41/613855141.db2.gz LCMQLOSEZGATBS-ZMLRMANQSA-N 0 1 266.341 0.720 20 30 CCEDMN C=CCOC(=O)[C@@H](N)CC(=O)NOCc1ccccc1 ZINC000884175395 613878591 /nfs/dbraw/zinc/87/85/91/613878591.db2.gz RUXAFTWEDXSZKS-LBPRGKRZSA-N 0 1 278.308 0.681 20 30 CCEDMN CC(C)NC(=O)CCCC(=O)C(C#N)C(=O)NC(C)C ZINC000121445926 613908950 /nfs/dbraw/zinc/90/89/50/613908950.db2.gz DSVIHFPHWROJJZ-NSHDSACASA-N 0 1 281.356 0.915 20 30 CCEDMN CC(=O)c1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)n(C)c1 ZINC000834499574 614247685 /nfs/dbraw/zinc/24/76/85/614247685.db2.gz JSHQRLROAUFXHU-QWRGUYRKSA-N 0 1 260.297 0.069 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H]1CC(=O)[O-] ZINC000833466192 614285813 /nfs/dbraw/zinc/28/58/13/614285813.db2.gz VBPVKVQYYUENQV-RISCZKNCSA-N 0 1 281.356 0.980 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000817733685 614286468 /nfs/dbraw/zinc/28/64/68/614286468.db2.gz SKYKCFROSVLFHI-GYSYKLTISA-N 0 1 281.356 0.836 20 30 CCEDMN CC(C)(C(=O)N[C@@H]1CNC[C@H]1C#N)c1cccnc1 ZINC000834505722 614301655 /nfs/dbraw/zinc/30/16/55/614301655.db2.gz NERXLNHIFKFJJF-ZYHUDNBSSA-N 0 1 258.325 0.587 20 30 CCEDMN CC(C)(C(=O)N[C@H]1CCCN(O)C1=O)N1CCCCC1 ZINC000908402328 614302711 /nfs/dbraw/zinc/30/27/11/614302711.db2.gz FKFOXFQBSQGBRN-NSHDSACASA-N 0 1 283.372 0.747 20 30 CCEDMN CC(C)(C)C(=O)N1CCC[C@@H]1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834516471 614323763 /nfs/dbraw/zinc/32/37/63/614323763.db2.gz ITLZUBYRRRDZOS-QJPTWQEYSA-N 0 1 292.383 0.251 20 30 CCEDMN CC(C)n1ncc2cc(C(=O)N[C@H]3CNC[C@@H]3C#N)cnc21 ZINC000834517532 614370934 /nfs/dbraw/zinc/37/09/34/614370934.db2.gz WSAXWHQZCRYROX-STQMWFEESA-N 0 1 298.350 0.854 20 30 CCEDMN CC(C)[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820244923 614394978 /nfs/dbraw/zinc/39/49/78/614394978.db2.gz KAXONAWRLWPQJP-GYSYKLTISA-N 0 1 281.356 0.836 20 30 CCEDMN CCC1(C(=O)N[C@@H]2CNC[C@H]2C#N)CCOCC1 ZINC000834485404 614664381 /nfs/dbraw/zinc/66/43/81/614664381.db2.gz WFDJAZLHFILAIB-GHMZBOCLSA-N 0 1 251.330 0.421 20 30 CCEDMN CC1(C)CN(CCO)C[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000973010783 614666591 /nfs/dbraw/zinc/66/65/91/614666591.db2.gz PFAZOGPZUBGZSY-GFCCVEGCSA-N 0 1 276.340 0.319 20 30 CCEDMN CC1=NO[C@@](C)(C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000904070392 614990896 /nfs/dbraw/zinc/99/08/96/614990896.db2.gz XUGYGNPTROBAMD-GFCCVEGCSA-N 0 1 264.329 0.645 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000829692351 615021890 /nfs/dbraw/zinc/02/18/90/615021890.db2.gz HMNFVRQATJWUTA-WDEREUQCSA-N 0 1 267.329 0.401 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H](O)[C@H]1C ZINC000887031506 615061627 /nfs/dbraw/zinc/06/16/27/615061627.db2.gz PQEJOTUNCNQCCY-YNEHKIRRSA-N 0 1 267.373 0.840 20 30 CCEDMN CC[N@@H+](Cc1cnc2c(C#N)cnn2c1)[C@H](C)CO ZINC000843409716 615069125 /nfs/dbraw/zinc/06/91/25/615069125.db2.gz QDNIBHNWEXATMS-SNVBAGLBSA-N 0 1 259.313 0.804 20 30 CCEDMN CCN(Cc1cnc2c(C#N)cnn2c1)[C@H](C)CO ZINC000843409716 615069126 /nfs/dbraw/zinc/06/91/26/615069126.db2.gz QDNIBHNWEXATMS-SNVBAGLBSA-N 0 1 259.313 0.804 20 30 CCEDMN CCNc1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000834480004 615141341 /nfs/dbraw/zinc/14/13/41/615141341.db2.gz KHIJPBFUOPKNMF-SFYZADRCSA-N 0 1 265.342 0.416 20 30 CCEDMN CCN1CCOC2(CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000949320156 615163916 /nfs/dbraw/zinc/16/39/16/615163916.db2.gz GQCSLQCRKVHIDM-GFCCVEGCSA-N 0 1 265.357 0.859 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@@H]1CCCCO1 ZINC000121922591 615245531 /nfs/dbraw/zinc/24/55/31/615245531.db2.gz OJVZCIPKBNIFIZ-NEPJUHHUSA-N 0 1 282.340 0.807 20 30 CCEDMN CCOC(=O)[C@@H]1[C@@H]2CCN(C[C@H](O)CC#N)C[C@@H]21 ZINC000932057911 615391148 /nfs/dbraw/zinc/39/11/48/615391148.db2.gz WWJGYXLJXXZSLG-WISYIIOYSA-N 0 1 252.314 0.392 20 30 CCEDMN CCOC(=O)[C@](C)(O)CN1CCC2(CC1)CC(=O)C=CO2 ZINC000933649051 615392032 /nfs/dbraw/zinc/39/20/32/615392032.db2.gz VYSJQIKJVHZJPQ-CQSZACIVSA-N 0 1 297.351 0.638 20 30 CCEDMN CCNC(=O)CCN1CCC(C#N)(C(=O)OC)CC1 ZINC000846959654 615463071 /nfs/dbraw/zinc/46/30/71/615463071.db2.gz RJBFERUJDCIORN-UHFFFAOYSA-N 0 1 267.329 0.291 20 30 CCEDMN CCOc1ccc(CC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834504369 615509835 /nfs/dbraw/zinc/50/98/35/615509835.db2.gz AMSHMMWXLHZNJM-OCCSQVGLSA-N 0 1 273.336 0.856 20 30 CCEDMN CC[C@@H](O)CNN=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000811655980 615542242 /nfs/dbraw/zinc/54/22/42/615542242.db2.gz CELTXUUEQBETNH-SECBINFHSA-N 0 1 253.258 0.995 20 30 CCEDMN CCn1nc(C)c(CN=Nc2ccnc(N(C)C)n2)n1 ZINC000834958757 615650618 /nfs/dbraw/zinc/65/06/18/615650618.db2.gz DIVLNDAMLCTKPD-UHFFFAOYSA-N 0 1 274.332 0.908 20 30 CCEDMN CCc1cnc(NC(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000841130998 615709113 /nfs/dbraw/zinc/70/91/13/615709113.db2.gz VDKPTAOIOAGHCH-VXNVDRBHSA-N 0 1 265.342 0.939 20 30 CCEDMN CCc1nc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)cs1 ZINC000834494112 615739414 /nfs/dbraw/zinc/73/94/14/615739414.db2.gz KGKYADBBGNPNMD-WCBMZHEXSA-N 0 1 264.354 0.476 20 30 CCEDMN CN(C)CC#CCNC(=O)C1(C)CCC2(CC1)OCCO2 ZINC000827971982 615857909 /nfs/dbraw/zinc/85/79/09/615857909.db2.gz NVRUSJWZVXJCOP-UHFFFAOYSA-N 0 1 294.395 0.991 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc(Cl)cn1 ZINC000913522768 615858194 /nfs/dbraw/zinc/85/81/94/615858194.db2.gz XTTSYEYEEZPSEB-UHFFFAOYSA-N 0 1 265.744 0.959 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc2n[nH]cc2c1 ZINC000913522005 615858533 /nfs/dbraw/zinc/85/85/33/615858533.db2.gz WEQNAIIPTTWOML-UHFFFAOYSA-N 0 1 270.336 0.787 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1cnn2ccccc12 ZINC000913523660 615858864 /nfs/dbraw/zinc/85/88/64/615858864.db2.gz HRYJDDLNNSFVJY-UHFFFAOYSA-N 0 1 270.336 0.558 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc(F)cn1 ZINC000930783547 615859041 /nfs/dbraw/zinc/85/90/41/615859041.db2.gz QMCMZZNVFSLGST-UHFFFAOYSA-N 0 1 250.277 0.907 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(-n2cccn2)nc1 ZINC000913516253 615860857 /nfs/dbraw/zinc/86/08/57/615860857.db2.gz IKMIYQGTWLXUAI-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2oc(=O)[nH]c21 ZINC000913522812 615861336 /nfs/dbraw/zinc/86/13/36/615861336.db2.gz ZQWXNNVFKHRADP-UHFFFAOYSA-N 0 1 273.292 0.828 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccnc1-n1cccn1 ZINC000913516551 615861421 /nfs/dbraw/zinc/86/14/21/615861421.db2.gz VPWRWPFAXNGWAF-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc(-c2nc[nH]n2)c1 ZINC000913520038 615861527 /nfs/dbraw/zinc/86/15/27/615861527.db2.gz ACPLJVQWLHTYIQ-UHFFFAOYSA-N 0 1 283.335 0.767 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cncc(-c2cnn(C)c2)c1 ZINC000913518067 615861683 /nfs/dbraw/zinc/86/16/83/615861683.db2.gz CKICOIVNSXUUBD-UHFFFAOYSA-N 0 1 297.362 0.777 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(CCF)c1 ZINC000913522730 615861864 /nfs/dbraw/zinc/86/18/64/615861864.db2.gz WMYCNFUZEBXKSB-UHFFFAOYSA-N 0 1 252.293 0.147 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn2cccnc2n1 ZINC000913516723 615861921 /nfs/dbraw/zinc/86/19/21/615861921.db2.gz CAKONLOXXCLVMW-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cncc2ncn(C)c21 ZINC000913524620 615861997 /nfs/dbraw/zinc/86/19/97/615861997.db2.gz VODBMJJGANKAGK-UHFFFAOYSA-N 0 1 271.324 0.263 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000937347074 615899694 /nfs/dbraw/zinc/89/96/94/615899694.db2.gz GMONZADLJDCCOH-LBPRGKRZSA-N 0 1 286.335 0.496 20 30 CCEDMN CN(C)C(=O)OC1CCN(C[C@H](O)CC#N)CC1 ZINC000930583469 615987658 /nfs/dbraw/zinc/98/76/58/615987658.db2.gz PYOHTVRLQQMQRS-SNVBAGLBSA-N 0 1 255.318 0.424 20 30 CCEDMN CN(C)c1cc(C(=O)N[C@H]2CNC[C@H]2C#N)cc(Cl)n1 ZINC000834488655 616005068 /nfs/dbraw/zinc/00/50/68/616005068.db2.gz FSVWRWLHHUZDBZ-ZJUUUORDSA-N 0 1 293.758 0.642 20 30 CCEDMN CN(C)c1ccncc1C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC000962312984 616030396 /nfs/dbraw/zinc/03/03/96/616030396.db2.gz QZRUZPANECRLOS-IMRBUKKESA-N 0 1 285.351 0.331 20 30 CCEDMN CCc1[nH]nc(Cl)c1C=NNC1=NC[C@@H](C)N1 ZINC000863105462 616033642 /nfs/dbraw/zinc/03/36/42/616033642.db2.gz RHAKYTDQSSFKCF-ZCFIWIBFSA-N 0 1 254.725 0.897 20 30 CCEDMN CN(CCN1CC2(C1)CCOCC2)c1cc(C#N)cnn1 ZINC000866258264 616085631 /nfs/dbraw/zinc/08/56/31/616085631.db2.gz POETUNBMEINTAU-UHFFFAOYSA-N 0 1 287.367 0.897 20 30 CCEDMN CN(CCc1cccc(C#N)c1)CC(=O)NC(N)=O ZINC000879434714 616124830 /nfs/dbraw/zinc/12/48/30/616124830.db2.gz NEYWQWSSLPOWTJ-UHFFFAOYSA-N 0 1 260.297 0.227 20 30 CCEDMN CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])[C@@H]1CCC[N@@H+](C)C1 ZINC000820620846 616188918 /nfs/dbraw/zinc/18/89/18/616188918.db2.gz VCSGBHWECHKNKZ-ZWNOBZJWSA-N 0 1 267.329 0.544 20 30 CCEDMN CN1CCC[C@H](NC(=O)[C@]2(C#N)CCCOC2)C1 ZINC000865185587 616208018 /nfs/dbraw/zinc/20/80/18/616208018.db2.gz YNMRONIYCITNGW-AAEUAGOBSA-N 0 1 251.330 0.517 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000937755563 616212678 /nfs/dbraw/zinc/21/26/78/616212678.db2.gz GSEFPMOLYYTTQU-KBPBESRZSA-N 0 1 289.379 0.211 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N1CC[C@@H]2[C@@H]1CCCN2C ZINC000872666654 616217221 /nfs/dbraw/zinc/21/72/21/616217221.db2.gz XVLDDNBRZYGJCD-NEPJUHHUSA-N 0 1 286.401 0.245 20 30 CCEDMN CN1CCN(C)CCN(C(=O)NCCCCC#N)CC1 ZINC000892793584 616235452 /nfs/dbraw/zinc/23/54/52/616235452.db2.gz ZLTOIYYIMNXQOA-UHFFFAOYSA-N 0 1 281.404 0.569 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)Nc2sccc2C#N)C1 ZINC000931847353 616237727 /nfs/dbraw/zinc/23/77/27/616237727.db2.gz PSZIBODWOJMHPX-NSHDSACASA-N 0 1 293.396 0.987 20 30 CCEDMN CN1CCO[C@H](C(=O)Nc2nc3ccc(C#N)cc3[nH]2)C1 ZINC000871071755 616260495 /nfs/dbraw/zinc/26/04/95/616260495.db2.gz DPGMMCLJKOXILO-LBPRGKRZSA-N 0 1 285.307 0.704 20 30 CCEDMN CN1CCOc2ccc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc21 ZINC000876802637 616263608 /nfs/dbraw/zinc/26/36/08/616263608.db2.gz RHYDXGMMAULMMF-NWDGAFQWSA-N 0 1 286.335 0.357 20 30 CCEDMN CN1CC[C@@H](C(=O)Nc2cc(C#N)ccc2O)S1(=O)=O ZINC000843842535 616267907 /nfs/dbraw/zinc/26/79/07/616267907.db2.gz KAAFBLSBQYOIPT-NSHDSACASA-N 0 1 295.320 0.236 20 30 CCEDMN CN1C[C@@H](O)C[C@@H]1CNC(=O)c1cc(C#N)ccc1F ZINC000924977253 616305712 /nfs/dbraw/zinc/30/57/12/616305712.db2.gz COMHHAGAIQHJGK-MNOVXSKESA-N 0 1 277.299 0.492 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N2CCC[C@@H](C#N)C2)C1 ZINC000919634237 616394345 /nfs/dbraw/zinc/39/43/45/616394345.db2.gz GYVXGXMUMIAKDT-QWHCGFSZSA-N 0 1 280.372 0.652 20 30 CCEDMN COCCN1[C@H](C)CN(C(=O)C=NOC)C[C@@H]1C ZINC000844023867 616474066 /nfs/dbraw/zinc/47/40/66/616474066.db2.gz XXZYDEBGPHZPAN-PHIMTYICSA-N 0 1 257.334 0.186 20 30 CCEDMN COCCNC(=O)[C@H](C#N)C(=O)C(C)=Cc1ccccn1 ZINC000847624425 616495255 /nfs/dbraw/zinc/49/52/55/616495255.db2.gz VAWSLMBJCJFADQ-PRWOLLLXSA-N 0 1 287.319 0.956 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCc1ccc(C#N)cn1 ZINC000908213934 616500792 /nfs/dbraw/zinc/50/07/92/616500792.db2.gz FMGFXGPNZDSUHU-TZMCWYRMSA-N 0 1 274.324 0.025 20 30 CCEDMN COCC#CCN1CCC(N2CCOC2=O)CC1 ZINC000852721076 616527733 /nfs/dbraw/zinc/52/77/33/616527733.db2.gz DOWSPAYRWOMKOK-UHFFFAOYSA-N 0 1 252.314 0.553 20 30 CCEDMN COCC#CCN1CC[C@H](CN2CCOC2=O)C1 ZINC000830124709 616528133 /nfs/dbraw/zinc/52/81/33/616528133.db2.gz MFIAOLKQFOMGAI-LBPRGKRZSA-N 0 1 252.314 0.410 20 30 CCEDMN COC(=O)CCNC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000876596498 616565222 /nfs/dbraw/zinc/56/52/22/616565222.db2.gz RHALGMSHSLAMIA-AWEZNQCLSA-N 0 1 287.319 0.424 20 30 CCEDMN COC(=O)CC[C@@H]1CCCN(CC(=O)NCCC#N)C1 ZINC000880038363 616570371 /nfs/dbraw/zinc/57/03/71/616570371.db2.gz VVIZSLQERDNWLB-LBPRGKRZSA-N 0 1 281.356 0.681 20 30 CCEDMN COCCOc1ccccc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834493109 616596579 /nfs/dbraw/zinc/59/65/79/616596579.db2.gz AOJKDJLJSHIMLI-WCQYABFASA-N 0 1 289.335 0.553 20 30 CCEDMN COC[C@H](CN1CCN(c2ccc(C#N)nc2)CC1)OC ZINC000851999721 616651754 /nfs/dbraw/zinc/65/17/54/616651754.db2.gz IUOCAJUNXHPCKA-HNNXBMFYSA-N 0 1 290.367 0.737 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2cc(C#N)nc(C)n2)C1 ZINC000882452644 616689011 /nfs/dbraw/zinc/68/90/11/616689011.db2.gz PKJNQGQSICNSRC-NSHDSACASA-N 0 1 289.339 0.198 20 30 CCEDMN CO[C@@H]1CC[C@@H]1N(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000934129875 616694363 /nfs/dbraw/zinc/69/43/63/616694363.db2.gz VGGNGJQSUGYTCY-OUCADQQQSA-N 0 1 265.357 0.904 20 30 CCEDMN COCCc1ccnc(NC(=O)NCC#CCN(C)C)c1 ZINC000931387955 616698165 /nfs/dbraw/zinc/69/81/65/616698165.db2.gz OQHSQFYJAIYAHF-UHFFFAOYSA-N 0 1 290.367 0.957 20 30 CCEDMN COC(=O)C[C@H]1CSCCN1CCO[C@H](C)C#N ZINC000801929535 616710750 /nfs/dbraw/zinc/71/07/50/616710750.db2.gz VYCRPSNTLCERFX-MNOVXSKESA-N 0 1 272.370 0.896 20 30 CCEDMN CO[C@@H]1CN(Cc2cncc(C#N)c2)[C@](C)(CO)C1 ZINC000931469767 616777105 /nfs/dbraw/zinc/77/71/05/616777105.db2.gz GHWWVKQEFZKVRX-KBPBESRZSA-N 0 1 261.325 0.925 20 30 CCEDMN COC(=O)c1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(C)o1 ZINC000852827570 616825948 /nfs/dbraw/zinc/82/59/48/616825948.db2.gz LGQFRSOLDDOBDS-PSASIEDQSA-N 0 1 277.280 0.216 20 30 CCEDMN COC(=O)c1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(C)o1 ZINC000852827572 616826146 /nfs/dbraw/zinc/82/61/46/616826146.db2.gz LGQFRSOLDDOBDS-WCBMZHEXSA-N 0 1 277.280 0.216 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)Cc1c(C)noc1C ZINC000916870437 616901399 /nfs/dbraw/zinc/90/13/99/616901399.db2.gz KEGUQRPFVNELKG-GFCCVEGCSA-N 0 1 293.323 0.696 20 30 CCEDMN COC(=O)c1cn([C@@H]2CCN(CCO[C@@H](C)C#N)C2)nn1 ZINC000801941225 616963287 /nfs/dbraw/zinc/96/32/87/616963287.db2.gz KGESYMJADZNKEZ-WDEREUQCSA-N 0 1 293.327 0.240 20 30 CCEDMN CO[C@H](C(=O)N[C@H]1CNC[C@H]1C#N)C1CCCCC1 ZINC000852827272 616987771 /nfs/dbraw/zinc/98/77/71/616987771.db2.gz FLZTUYGKJRKOCY-AGIUHOORSA-N 0 1 265.357 0.809 20 30 CCEDMN CO[C@H](C(=O)N[C@H]1CNC[C@H]1C#N)c1ccc(F)cc1 ZINC000876803634 616987798 /nfs/dbraw/zinc/98/77/98/616987798.db2.gz XRVILLHKWDNMRD-WXHSDQCUSA-N 0 1 277.299 0.741 20 30 CCEDMN COC(=O)c1coc(/C=C\C(=O)NCC#CCN(C)C)c1 ZINC000913525064 617007948 /nfs/dbraw/zinc/00/79/48/617007948.db2.gz JAECFMDRAQKLNO-SREVYHEPSA-N 0 1 290.319 0.761 20 30 CCEDMN COCCN(Cc1ccc(C#N)cc1)C[C@H](O)CO ZINC000929395030 617027284 /nfs/dbraw/zinc/02/72/84/617027284.db2.gz KRYMHLKGZKHGHY-AWEZNQCLSA-N 0 1 264.325 0.360 20 30 CCEDMN CON=CC(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000809621594 617106125 /nfs/dbraw/zinc/10/61/25/617106125.db2.gz KSEXHDNCVASQHI-SNVBAGLBSA-N 0 1 260.257 0.038 20 30 CCEDMN CO[C@H]1CCn2cc(C(=O)NCC#CCN(C)C)nc2C1 ZINC000913525442 617106638 /nfs/dbraw/zinc/10/66/38/617106638.db2.gz XXAPZACOQNQGNX-LBPRGKRZSA-N 0 1 290.367 0.139 20 30 CCEDMN CON=Cc1ccc(C(=O)NC[C@@H]2C[C@H](O)CN2C)cc1 ZINC000924993149 617106874 /nfs/dbraw/zinc/10/68/74/617106874.db2.gz PDCFJUYXIZTKBO-KBPBESRZSA-N 0 1 291.351 0.462 20 30 CCEDMN COc1cc(C#N)ccc1NC(=O)N[C@H]1CNC[C@H]1C#N ZINC000841191952 617152604 /nfs/dbraw/zinc/15/26/04/617152604.db2.gz JSGCQSMOQVQLFX-PWSUYJOCSA-N 0 1 285.307 0.800 20 30 CCEDMN Cc1cc(N)nc(N2CCN(c3cccc(C#N)n3)CC2)n1 ZINC000893179886 625364905 /nfs/dbraw/zinc/36/49/05/625364905.db2.gz AMTYPIBQECDESQ-UHFFFAOYSA-N 0 1 295.350 0.961 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)CCc3c[nH]nn3)C[C@H]12 ZINC001026363307 625414410 /nfs/dbraw/zinc/41/44/10/625414410.db2.gz OXQBKSAYIMXLRD-KGYLQXTDSA-N 0 1 288.355 0.087 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)CCc3cnn[nH]3)C[C@H]12 ZINC001026363307 625414412 /nfs/dbraw/zinc/41/44/12/625414412.db2.gz OXQBKSAYIMXLRD-KGYLQXTDSA-N 0 1 288.355 0.087 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001022929621 622855250 /nfs/dbraw/zinc/85/52/50/622855250.db2.gz JKPDWDUMVTZDPR-BETUJISGSA-N 0 1 296.334 0.846 20 30 CCEDMN O=c1ccc(C=NNC2=N[C@H]3CCCC[C@H]3N2)c[nH]1 ZINC000841631388 622888749 /nfs/dbraw/zinc/88/87/49/622888749.db2.gz DNFVBXSCURDDOJ-PHIMTYICSA-N 0 1 259.313 0.981 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2cc(C(N)=O)co2)C1 ZINC001023588313 623071542 /nfs/dbraw/zinc/07/15/42/623071542.db2.gz WCAOPQGVEBKCAW-NSHDSACASA-N 0 1 289.335 0.454 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1COCCO1 ZINC001024340049 623290631 /nfs/dbraw/zinc/29/06/31/623290631.db2.gz TTWVIJRTEBZTOV-STQMWFEESA-N 0 1 268.357 0.559 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cn(C)nn1 ZINC001024420438 623317619 /nfs/dbraw/zinc/31/76/19/623317619.db2.gz PMFXDRMTKMWGDU-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001024411366 623320611 /nfs/dbraw/zinc/32/06/11/623320611.db2.gz PJFAGKPMRMEQLH-CQSZACIVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cccc(=O)n1C ZINC001024644274 623407956 /nfs/dbraw/zinc/40/79/56/623407956.db2.gz OKDVBDOQXUTDAK-ZDUSSCGKSA-N 0 1 287.363 0.603 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001024651739 623409501 /nfs/dbraw/zinc/40/95/01/623409501.db2.gz DBLKVQOGRGAIFY-LLVKDONJSA-N 0 1 290.367 0.884 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001007451219 623642695 /nfs/dbraw/zinc/64/26/95/623642695.db2.gz GHWCUHYCQXPCOD-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)C2=NC(=O)N(C)C2)C1 ZINC001025525648 623822853 /nfs/dbraw/zinc/82/28/53/623822853.db2.gz QZFGPYFJRZIBIJ-VXGBXAGGSA-N 0 1 290.367 0.589 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H](CC)C(=O)[O-] ZINC000328027746 623825410 /nfs/dbraw/zinc/82/54/10/623825410.db2.gz URNVRGFJIYUZRB-SNVBAGLBSA-N 0 1 255.318 0.104 20 30 CCEDMN N#CCCCCNC(=O)N1CC[C@@H]2[C@@H]1CCC[N@@H+]2CCO ZINC000892794603 623841241 /nfs/dbraw/zinc/84/12/41/623841241.db2.gz FNMMSHOXUOGQCL-KGLIPLIRSA-N 0 1 294.399 0.921 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2[nH]nc3ccccc32)C1 ZINC000970618275 624712329 /nfs/dbraw/zinc/71/23/29/624712329.db2.gz MYIPUGOEROSKGT-SNVBAGLBSA-N 0 1 269.308 0.891 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCC#C[C@H]1CCCCO1 ZINC000891122309 624731486 /nfs/dbraw/zinc/73/14/86/624731486.db2.gz CDLIHGCWNVGCPS-LSDHHAIUSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H](CCCN2C(=O)c2cnon2)C1 ZINC001021692907 624811002 /nfs/dbraw/zinc/81/10/02/624811002.db2.gz KMPLFZNHRZTOQK-DGCLKSJQSA-N 0 1 274.324 0.629 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@H](C2CCOCC2)C1 ZINC000933077491 624825146 /nfs/dbraw/zinc/82/51/46/624825146.db2.gz NBDFAFNBJUUOPB-ZDUSSCGKSA-N 0 1 265.357 0.765 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)c2cncnc2C)C1 ZINC001027314905 625823014 /nfs/dbraw/zinc/82/30/14/625823014.db2.gz IBFBONCPSAXUPO-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN C=CCn1cc(C(=O)N2CCC[C@H](N(C)CCF)C2)nn1 ZINC001027606468 630797624 /nfs/dbraw/zinc/79/76/24/630797624.db2.gz GBFISZFSQIOSNM-LBPRGKRZSA-N 0 1 295.362 0.970 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@H]2CNC(C)=O)C1=O ZINC001027710863 630865431 /nfs/dbraw/zinc/86/54/31/630865431.db2.gz BTXPQGZWFWYVRL-QWHCGFSZSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000967154064 649991018 /nfs/dbraw/zinc/99/10/18/649991018.db2.gz HGQLYNBZQQTJGP-MFKMUULPSA-N 0 1 287.367 0.862 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCCO1 ZINC001027829001 630954871 /nfs/dbraw/zinc/95/48/71/630954871.db2.gz SPOADUYBQKMEDZ-CHWSQXEVSA-N 0 1 250.342 0.769 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@@H](NC(=O)c3ncn[nH]3)C[C@@H]2C1 ZINC000980187660 630999911 /nfs/dbraw/zinc/99/99/11/630999911.db2.gz KCRWDHDIDXXTEO-HBNTYKKESA-N 0 1 274.328 0.159 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@@H](NC(=O)c3nc[nH]n3)C[C@@H]2C1 ZINC000980187660 630999913 /nfs/dbraw/zinc/99/99/13/630999913.db2.gz KCRWDHDIDXXTEO-HBNTYKKESA-N 0 1 274.328 0.159 20 30 CCEDMN C[C@@H](O)CN1CCCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000981002221 631092359 /nfs/dbraw/zinc/09/23/59/631092359.db2.gz ZIATUGMQBATQBV-LLVKDONJSA-N 0 1 276.340 0.415 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000981352761 631271967 /nfs/dbraw/zinc/27/19/67/631271967.db2.gz FEZREUNVXQTVFU-MNOVXSKESA-N 0 1 261.329 0.493 20 30 CCEDMN N#CCN1CCCC2(CN(C(=O)[C@@H]3CC3[N+](=O)[O-])C2)C1 ZINC000981764729 631317321 /nfs/dbraw/zinc/31/73/21/631317321.db2.gz AMPKBJZSQOIZFR-GHMZBOCLSA-N 0 1 278.312 0.100 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](NCc2n[nH]c(C3CC3)n2)C1 ZINC000967944328 650006074 /nfs/dbraw/zinc/00/60/74/650006074.db2.gz PEGLIRZPCKXFSK-MWLCHTKSSA-N 0 1 288.355 0.532 20 30 CCEDMN COc1cncc(CN[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000967975039 650006168 /nfs/dbraw/zinc/00/61/68/650006168.db2.gz JVUSRWOTCRGDCP-GHMZBOCLSA-N 0 1 289.339 0.335 20 30 CCEDMN COc1nccc(CNCCn2cnc(C#N)n2)c1F ZINC000894419481 631761664 /nfs/dbraw/zinc/76/16/64/631761664.db2.gz GDBYAVDXMYXCAB-UHFFFAOYSA-N 0 1 276.275 0.482 20 30 CCEDMN Cc1nn(C)c2ncc(CN[C@H]3CCCN(O)C3=O)cc12 ZINC000895164662 632000233 /nfs/dbraw/zinc/00/02/33/632000233.db2.gz HJFONUMAVRSZTN-LBPRGKRZSA-N 0 1 289.339 0.747 20 30 CCEDMN NC(=O)c1ccc(CN[C@H]2CCCN(O)C2=O)cc1 ZINC000895166568 632001786 /nfs/dbraw/zinc/00/17/86/632001786.db2.gz RVGZMCKUXSLBNA-NSHDSACASA-N 0 1 263.297 0.255 20 30 CCEDMN C[C@@H](Nc1cc(C#N)cnn1)[C@@H]1CN(C)CCN1C ZINC000895246394 632033749 /nfs/dbraw/zinc/03/37/49/632033749.db2.gz NYOHBTARLPXJGQ-PWSUYJOCSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@H](Nc1ccncc1C#N)[C@@H]1CN(C)CCN1C ZINC000895247677 632036698 /nfs/dbraw/zinc/03/66/98/632036698.db2.gz VOLRYDZOBPFSTM-FZMZJTMJSA-N 0 1 259.357 0.421 20 30 CCEDMN C[C@H](CC#N)N(C)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000896885162 632343608 /nfs/dbraw/zinc/34/36/08/632343608.db2.gz XVPUDLMCLAPGBY-PWSUYJOCSA-N 0 1 251.330 0.468 20 30 CCEDMN CN(CC#N)C1CCN(C(=O)[C@@H]2CCCCN2C)CC1 ZINC000985501841 632524356 /nfs/dbraw/zinc/52/43/56/632524356.db2.gz MYSKDMRIHUXRSB-AWEZNQCLSA-N 0 1 278.400 0.917 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000986297409 632741124 /nfs/dbraw/zinc/74/11/24/632741124.db2.gz BLFCMPZBYFQJMS-ONGXEEELSA-N 0 1 284.323 0.674 20 30 CCEDMN C[C@H]1[C@H](NCC#N)CCN1C(=O)CCc1cnc[nH]1 ZINC000986358353 632746460 /nfs/dbraw/zinc/74/64/60/632746460.db2.gz ZJROOXASHPCBQA-CMPLNLGQSA-N 0 1 261.329 0.445 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnc3nccn3c2)C1 ZINC001007937630 650097859 /nfs/dbraw/zinc/09/78/59/650097859.db2.gz BZCPVJTXPNXUNX-CQSZACIVSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCCCNC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000189016691 632812487 /nfs/dbraw/zinc/81/24/87/632812487.db2.gz YVYPMIUVCHJYCI-CYBMUJFWSA-N 0 1 281.400 0.886 20 30 CCEDMN C=CCC[N@H+]1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001007799670 650094344 /nfs/dbraw/zinc/09/43/44/650094344.db2.gz JXFZEODMPYZPMM-SECBINFHSA-N 0 1 293.327 0.053 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001008164969 650103597 /nfs/dbraw/zinc/10/35/97/650103597.db2.gz VRFZFOQGZWSYJZ-NEPJUHHUSA-N 0 1 280.372 0.167 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)C2CN(C(C)=O)C2)C1 ZINC000988721669 633092612 /nfs/dbraw/zinc/09/26/12/633092612.db2.gz UZTVXHDDUXQKOJ-GXFFZTMASA-N 0 1 299.802 0.796 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cnnn2C)C1 ZINC000988762473 633104162 /nfs/dbraw/zinc/10/41/62/633104162.db2.gz FZQKHXZIHHBCTL-ZJUUUORDSA-N 0 1 283.763 0.760 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cn2ncnn2)C1 ZINC000988831692 633118501 /nfs/dbraw/zinc/11/85/01/633118501.db2.gz YJMCRZKHOVUQGJ-ZJUUUORDSA-N 0 1 284.751 0.005 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001008335691 650110158 /nfs/dbraw/zinc/11/01/58/650110158.db2.gz AOJXTWZGQPQMOM-GHMZBOCLSA-N 0 1 288.355 0.329 20 30 CCEDMN N#Cc1csc(CN[C@H]2CCCNC(=O)C2)n1 ZINC000897629172 633219244 /nfs/dbraw/zinc/21/92/44/633219244.db2.gz HDUOBGIYHYPSPB-QMMMGPOBSA-N 0 1 250.327 0.773 20 30 CCEDMN Cc1nc(CNC(=O)N2CCC[C@](C)(C#N)C2)n[nH]1 ZINC000898360798 633303974 /nfs/dbraw/zinc/30/39/74/633303974.db2.gz KOJIETYYUDATAT-GFCCVEGCSA-N 0 1 262.317 0.948 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnsn3)CCC[C@H]12 ZINC000989969237 633395510 /nfs/dbraw/zinc/39/55/10/633395510.db2.gz JEVZNZLHTPRDHF-WCQYABFASA-N 0 1 276.365 0.898 20 30 CCEDMN Cc1cc(CC(=O)N[C@@]23CCC[C@@H]2N(CC#N)CC3)[nH]n1 ZINC000990194326 633476705 /nfs/dbraw/zinc/47/67/05/633476705.db2.gz SIOQUIURSMZIGX-DZGCQCFKSA-N 0 1 287.367 0.897 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnnn3C)CCC[C@H]12 ZINC000990480126 633541380 /nfs/dbraw/zinc/54/13/80/633541380.db2.gz WQLNULCNURRXGI-GXTWGEPZSA-N 0 1 273.340 0.175 20 30 CCEDMN CC#CCN1CC[C@@]2(NC(=O)c3ncn(C)n3)CCC[C@@H]12 ZINC000990564611 633554418 /nfs/dbraw/zinc/55/44/18/633554418.db2.gz AVMMNASCFMCBGU-DOMZBBRYSA-N 0 1 287.367 0.565 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ncc[nH]3)CCC[C@@H]12 ZINC000990613413 633567441 /nfs/dbraw/zinc/56/74/41/633567441.db2.gz JYENNHJBQBOAEB-BXUZGUMPSA-N 0 1 258.325 0.770 20 30 CCEDMN CN(Cc1ccc(C#N)cc1)C(=O)[C@]1(C)C[C@H](O)CN1C ZINC000900127173 633958912 /nfs/dbraw/zinc/95/89/12/633958912.db2.gz HBMSOXBMOLKFND-HOCLYGCPSA-N 0 1 287.363 0.972 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cncn2C)C1 ZINC001009205561 650140092 /nfs/dbraw/zinc/14/00/92/650140092.db2.gz APZNJYSWNYXLAE-CYBMUJFWSA-N 0 1 274.368 0.567 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001009380660 650144159 /nfs/dbraw/zinc/14/41/59/650144159.db2.gz YOUGVFGFRMLLRS-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2cc(C(=O)[O-])ccc2N(C)C)N1 ZINC000901216197 634209336 /nfs/dbraw/zinc/20/93/36/634209336.db2.gz PAEVHJNFCDAZPX-VIFPVBQESA-N 0 1 289.339 0.722 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001009619307 650151946 /nfs/dbraw/zinc/15/19/46/650151946.db2.gz PKUATYSMUBUZIX-CHWSQXEVSA-N 0 1 289.383 0.607 20 30 CCEDMN C[C@H](CNC(=O)c1ccsc1C#N)N1CCN(C)CC1 ZINC000906683818 635021609 /nfs/dbraw/zinc/02/16/09/635021609.db2.gz RFHNZMUMSGQTJK-LLVKDONJSA-N 0 1 292.408 0.985 20 30 CCEDMN N#CCCCC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907480373 635140184 /nfs/dbraw/zinc/14/01/84/635140184.db2.gz ORDJARNPMUCDCH-MRVPVSSYSA-N 0 1 266.330 0.510 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)N[C@@H]3CCCN(O)C3=O)c2C1 ZINC000908405122 635367299 /nfs/dbraw/zinc/36/72/99/635367299.db2.gz DZKPYCGLYMQEIK-GZMMTYOYSA-N 0 1 292.339 0.645 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CCC(O)CC2)C1 ZINC001010818566 650267074 /nfs/dbraw/zinc/26/70/74/650267074.db2.gz UADTYNMHSDPUHF-ROKHWSDSSA-N 0 1 264.369 0.751 20 30 CCEDMN O=C(C#CC1CC1)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000913436948 636807865 /nfs/dbraw/zinc/80/78/65/636807865.db2.gz IZZOGAKZGXUIRA-ZDUSSCGKSA-N 0 1 267.292 0.819 20 30 CCEDMN Cc1nnnn1-c1ccc(C(=O)NCC#CCN(C)C)cc1 ZINC000913523800 636831967 /nfs/dbraw/zinc/83/19/67/636831967.db2.gz MUTKYOYKEXJRAA-UHFFFAOYSA-N 0 1 298.350 0.266 20 30 CCEDMN C[C@H](C(=O)NCC#CCN(C)C)C(=O)OC(C)(C)C ZINC000913523337 636832616 /nfs/dbraw/zinc/83/26/16/636832616.db2.gz WNDIQLYTDUGTTG-LLVKDONJSA-N 0 1 268.357 0.645 20 30 CCEDMN Cc1nc(C(=O)NCC#CCN(C)C)c2ccccn12 ZINC000913519317 636834820 /nfs/dbraw/zinc/83/48/20/636834820.db2.gz VUJSNIJHADEZQR-UHFFFAOYSA-N 0 1 270.336 0.938 20 30 CCEDMN Cc1n[nH]c(C)c1[C@@H](C)C(=O)NCC#CCN(C)C ZINC000913518116 636835030 /nfs/dbraw/zinc/83/50/30/636835030.db2.gz DZBMYRHIASHTII-SNVBAGLBSA-N 0 1 262.357 0.811 20 30 CCEDMN C[C@H]1C[C@H](C(=O)NCC#CCN(C)C)CCC1=O ZINC000913520089 636835463 /nfs/dbraw/zinc/83/54/63/636835463.db2.gz CAZSSBRDEKECDH-NWDGAFQWSA-N 0 1 250.342 0.673 20 30 CCEDMN Cc1onc(CC(=O)NCC#CCN(C)C)c1C#N ZINC000913520303 636835737 /nfs/dbraw/zinc/83/57/37/636835737.db2.gz MLJFJNLXKLFGDO-UHFFFAOYSA-N 0 1 260.297 0.078 20 30 CCEDMN C[C@H]1C(=O)CC[C@H]1CC(=O)NCC#CCN(C)C ZINC000913522050 636835981 /nfs/dbraw/zinc/83/59/81/636835981.db2.gz XVLHXMNRYIAWOB-NEPJUHHUSA-N 0 1 250.342 0.673 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@H]3CCCO3)CCC[C@H]12 ZINC000992508126 637458086 /nfs/dbraw/zinc/45/80/86/637458086.db2.gz LKKUCDHIOFRJCJ-IPYPFGDCSA-N 0 1 262.353 0.912 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)Cc3ccn[nH]3)C[C@@H]21 ZINC000992656586 637532503 /nfs/dbraw/zinc/53/25/03/637532503.db2.gz UGDMULVZJIRQGF-KWCYVHTRSA-N 0 1 287.367 0.692 20 30 CCEDMN C[C@@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)CC(C)(C)C#N ZINC000914244435 637570220 /nfs/dbraw/zinc/57/02/20/637570220.db2.gz IOGCWQFJFFHAOE-ZYHUDNBSSA-N 0 1 285.413 0.938 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)C2=COCCO2)[C@H]1C ZINC000993003521 637662667 /nfs/dbraw/zinc/66/26/67/637662667.db2.gz CITVFYQZFDQTIG-VXGBXAGGSA-N 0 1 264.325 0.477 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2cnn3cccnc23)C1 ZINC001015481787 637664897 /nfs/dbraw/zinc/66/48/97/637664897.db2.gz HSQAPTTVTHTFHI-NSHDSACASA-N 0 1 271.324 0.719 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn3cccnc23)C1 ZINC001015481787 637664903 /nfs/dbraw/zinc/66/49/03/637664903.db2.gz HSQAPTTVTHTFHI-NSHDSACASA-N 0 1 271.324 0.719 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2nccnc2N)[C@@H]1C ZINC000993181153 637728591 /nfs/dbraw/zinc/72/85/91/637728591.db2.gz ZXUWUNDRESWETB-WDEREUQCSA-N 0 1 275.356 0.828 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cn2nccn2)[C@H]1C ZINC000993393957 637799487 /nfs/dbraw/zinc/79/94/87/637799487.db2.gz MIVSISAYDBPTOY-CHWSQXEVSA-N 0 1 275.356 0.271 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[C@H]1C ZINC000993704217 637839033 /nfs/dbraw/zinc/83/90/33/637839033.db2.gz MIHDIMIKALQNIS-MRLBHPIUSA-N 0 1 276.380 0.871 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CCCN(CCO)[C@@H]2C)c1 ZINC000993776912 637846797 /nfs/dbraw/zinc/84/67/97/637846797.db2.gz YBGRIDWQBVEGGC-IUODEOHRSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cncn2C)[C@H]1C ZINC000994207218 637875823 /nfs/dbraw/zinc/87/58/23/637875823.db2.gz JXDJUYMUVPTGCP-TZMCWYRMSA-N 0 1 274.368 0.565 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)NCCNc1ccc(C#N)cn1 ZINC000916521064 637904408 /nfs/dbraw/zinc/90/44/08/637904408.db2.gz SLVPIMDERVGJFF-UHFFFAOYSA-N 0 1 299.338 0.896 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)c1[nH]nc2c1CCC2 ZINC000919331166 638069792 /nfs/dbraw/zinc/06/97/92/638069792.db2.gz IVKGXLCJUCEYAF-QMMMGPOBSA-N 0 1 261.281 0.193 20 30 CCEDMN CCNS(=O)(=O)CCNCc1ccc(C#N)cc1 ZINC000068981185 638284012 /nfs/dbraw/zinc/28/40/12/638284012.db2.gz SWHNFLDWSHTHSN-UHFFFAOYSA-N 0 1 267.354 0.587 20 30 CCEDMN C[C@@H]1CN(C(=O)c2cnccc2N(C)C)C[C@H]1NCC#N ZINC000939550392 638378005 /nfs/dbraw/zinc/37/80/05/638378005.db2.gz JSQPBTKXGWADFC-DGCLKSJQSA-N 0 1 287.367 0.721 20 30 CCEDMN COC[C@@H](C)N1CC(N2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000941348610 638528804 /nfs/dbraw/zinc/52/88/04/638528804.db2.gz QGYCZZJMRDNQQL-QWHCGFSZSA-N 0 1 294.399 0.009 20 30 CCEDMN C#C[C@H](C)NC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000186045724 638795922 /nfs/dbraw/zinc/79/59/22/638795922.db2.gz ZIKLYINTUFHIOI-AVGNSLFASA-N 0 1 267.373 0.807 20 30 CCEDMN Cc1nonc1CNC1CCN(C(=O)C#CC2CC2)CC1 ZINC000996383181 638817105 /nfs/dbraw/zinc/81/71/05/638817105.db2.gz HBNLSUIDDWYHLN-UHFFFAOYSA-N 0 1 288.351 0.872 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC(NCc2nnnn2C)CC1 ZINC000996880107 638835597 /nfs/dbraw/zinc/83/55/97/638835597.db2.gz YKJIYEZMIYIPFN-UHFFFAOYSA-N 0 1 292.387 0.503 20 30 CCEDMN C[C@@H](NC(=O)[C@@H]1CCCN1C)C1CCN(CC#N)CC1 ZINC000996981533 638846738 /nfs/dbraw/zinc/84/67/38/638846738.db2.gz WKHBLHOVOISBMB-OCCSQVGLSA-N 0 1 278.400 0.821 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001014460406 650454015 /nfs/dbraw/zinc/45/40/15/650454015.db2.gz KZEFGLHLWQXILX-GRYCIOLGSA-N 0 1 265.357 0.278 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC(NC(=O)c3ncn[nH]3)C2)C1 ZINC000997816096 638884504 /nfs/dbraw/zinc/88/45/04/638884504.db2.gz ROCZIXKLXHCMRH-UHFFFAOYSA-N 0 1 275.312 0.102 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC(NC(=O)c3nc[nH]n3)C2)C1 ZINC000997816096 638884505 /nfs/dbraw/zinc/88/45/05/638884505.db2.gz ROCZIXKLXHCMRH-UHFFFAOYSA-N 0 1 275.312 0.102 20 30 CCEDMN Cc1cc(CN[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)nn1C ZINC000998746216 638938705 /nfs/dbraw/zinc/93/87/05/638938705.db2.gz LKWFIBINXWLZNE-AAEUAGOBSA-N 0 1 289.383 0.969 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](NCc2cnnn2C)C1 ZINC000998744809 638938834 /nfs/dbraw/zinc/93/88/34/638938834.db2.gz CYORRXOECMAFAW-WDEREUQCSA-N 0 1 276.344 0.055 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](NCc2cnns2)C1 ZINC000998755913 638939428 /nfs/dbraw/zinc/93/94/28/638939428.db2.gz RWNIPDGKXRSJHH-VHSXEESVSA-N 0 1 279.369 0.778 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC000999159399 638963715 /nfs/dbraw/zinc/96/37/15/638963715.db2.gz AIKOTYOYPFACLN-STQMWFEESA-N 0 1 287.367 0.934 20 30 CCEDMN C[C@@H](ON=C(N)CN1CCCCCC1)C(=O)NC1CC1 ZINC000113250032 650460205 /nfs/dbraw/zinc/46/02/05/650460205.db2.gz MAJCITAIOOHFCK-LLVKDONJSA-N 0 1 282.388 0.818 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CN2CCCCCC2=O)C1 ZINC001016209273 639097179 /nfs/dbraw/zinc/09/71/79/639097179.db2.gz XMWZBFYSLAOSRI-AWEZNQCLSA-N 0 1 291.395 0.603 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001014635111 650471370 /nfs/dbraw/zinc/47/13/70/650471370.db2.gz PLAKHOVEHOJIFS-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CC[N@@H+]1CC=C(CNC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001000587888 639129467 /nfs/dbraw/zinc/12/94/67/639129467.db2.gz NAWKCIQKHSXRKI-UHFFFAOYSA-N 0 1 274.324 0.419 20 30 CCEDMN C#CC[N@@H+]1CC=C(CNC(=O)c2c(C)nnn2CC)CC1 ZINC001000769395 639161148 /nfs/dbraw/zinc/16/11/48/639161148.db2.gz FIKHXVNOJPBMAB-UHFFFAOYSA-N 0 1 287.367 0.602 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2c(C)nnn2CC)CC1 ZINC001000769395 639161151 /nfs/dbraw/zinc/16/11/51/639161151.db2.gz FIKHXVNOJPBMAB-UHFFFAOYSA-N 0 1 287.367 0.602 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CCC[C@@H](NCC#N)C1 ZINC001000929071 639198838 /nfs/dbraw/zinc/19/88/38/639198838.db2.gz WZCMOBGEPGGUCF-ZIAGYGMSSA-N 0 1 294.399 0.059 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2C[C@@H](C)CO2)CC1 ZINC001000963288 639205555 /nfs/dbraw/zinc/20/55/55/639205555.db2.gz BGIMKFHPDUNZBD-OCCSQVGLSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCc3n[nH]cc3C2)CC1 ZINC001001360600 639287875 /nfs/dbraw/zinc/28/78/75/639287875.db2.gz MYUDDARHBGMFJN-AWEZNQCLSA-N 0 1 298.390 0.896 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn3ccncc23)C1 ZINC001014743104 650484576 /nfs/dbraw/zinc/48/45/76/650484576.db2.gz ZMVUBXOXOAOPFD-NSHDSACASA-N 0 1 271.324 0.719 20 30 CCEDMN N#CCN1CCC(CNC(=O)c2[nH]ncc2F)CC1 ZINC001001800713 639339776 /nfs/dbraw/zinc/33/97/76/639339776.db2.gz PBQQKJKOUTWMDC-UHFFFAOYSA-N 0 1 265.292 0.514 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cncs2)C1 ZINC001014821508 650492526 /nfs/dbraw/zinc/49/25/26/650492526.db2.gz NQKBAGHKIAYRJL-LLVKDONJSA-N 0 1 263.366 0.899 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cncnc2C)CC1 ZINC001002390465 639405248 /nfs/dbraw/zinc/40/52/48/639405248.db2.gz JGGWQCVLHRIZIY-UHFFFAOYSA-N 0 1 258.325 0.612 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001002601403 639432496 /nfs/dbraw/zinc/43/24/96/639432496.db2.gz JYUQFNSFGYPKAV-LBPRGKRZSA-N 0 1 278.352 0.544 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnnn2CC)CC1 ZINC001002753801 639451837 /nfs/dbraw/zinc/45/18/37/639451837.db2.gz OXVRQQHTTFOPFS-UHFFFAOYSA-N 0 1 275.356 0.516 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC(CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001003036452 639498197 /nfs/dbraw/zinc/49/81/97/639498197.db2.gz NOLMAZHQHPSXTE-YPMHNXCESA-N 0 1 292.383 0.205 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cncn2C)CC1 ZINC001003255552 639523078 /nfs/dbraw/zinc/52/30/78/639523078.db2.gz SWIGNGXFVMPIMM-UHFFFAOYSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnc3nccn3c2)CC1 ZINC001003305521 639528140 /nfs/dbraw/zinc/52/81/40/639528140.db2.gz RIJPCUGCRLXUBC-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2nonc2C)CC1 ZINC001003883258 639643044 /nfs/dbraw/zinc/64/30/44/639643044.db2.gz DSYCIOWNCWBASB-UHFFFAOYSA-N 0 1 276.340 0.524 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)N[C@@H]1CCN(CC#N)C1)C2 ZINC001015012703 650514403 /nfs/dbraw/zinc/51/44/03/650514403.db2.gz FHWMDOYEMGRUHW-NWDGAFQWSA-N 0 1 287.367 0.537 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2COCCN2CC)C1 ZINC001015023518 650515930 /nfs/dbraw/zinc/51/59/30/650515930.db2.gz JLENMYYTHPNMKL-OLZOCXBDSA-N 0 1 267.373 0.084 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001005225884 639891974 /nfs/dbraw/zinc/89/19/74/639891974.db2.gz KHKNCMVAOCAQBX-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cnnn2CC)CC1 ZINC001005355294 639904500 /nfs/dbraw/zinc/90/45/00/639904500.db2.gz FMZLULUZSRGWAJ-UHFFFAOYSA-N 0 1 289.383 0.858 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cn[nH]n2)CC1 ZINC001005648321 639947032 /nfs/dbraw/zinc/94/70/32/639947032.db2.gz LPYNRIUQTOEDPY-UHFFFAOYSA-N 0 1 261.329 0.364 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2csnn2)CC1 ZINC001005790653 639964923 /nfs/dbraw/zinc/96/49/23/639964923.db2.gz IUWJQIJXUNDFLZ-UHFFFAOYSA-N 0 1 264.354 0.708 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2cnoc2)CC1 ZINC001005872400 640004413 /nfs/dbraw/zinc/00/44/13/640004413.db2.gz XRHWUYLVRKUNTR-UHFFFAOYSA-N 0 1 261.325 0.773 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001014841858 640039819 /nfs/dbraw/zinc/03/98/19/640039819.db2.gz ZBGOKHLOJXPSMB-JTQLQIEISA-N 0 1 262.313 0.251 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc3nccn3c2)C1 ZINC001015267242 650546519 /nfs/dbraw/zinc/54/65/19/650546519.db2.gz HXAHEOCUMBDRFW-ZDUSSCGKSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@@H]2CCC(=O)N2)CC1 ZINC001006126561 640175962 /nfs/dbraw/zinc/17/59/62/640175962.db2.gz IDDVNNBUHQNSBQ-LBPRGKRZSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@]2(C)CCOC2)CC1 ZINC001006194824 640200112 /nfs/dbraw/zinc/20/01/12/640200112.db2.gz BBHWZEVWWBNMEY-OAHLLOKOSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2coc(COC)n2)CC1 ZINC001006209267 640206258 /nfs/dbraw/zinc/20/62/58/640206258.db2.gz BKNZGRJOUDCLOY-UHFFFAOYSA-N 0 1 291.351 0.991 20 30 CCEDMN C=CCCOCCNS(=O)(=O)N=[S@@](C)(=O)CC ZINC000867017014 640354036 /nfs/dbraw/zinc/35/40/36/640354036.db2.gz BRTOQTCGEZXIOE-INIZCTEOSA-N 0 1 284.403 0.531 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccc(OC)cn2)C1 ZINC001015610087 650590823 /nfs/dbraw/zinc/59/08/23/650590823.db2.gz QVLZUUFEYXUABW-CQSZACIVSA-N 0 1 287.363 0.847 20 30 CCEDMN C[C@H](C#N)OCCN1CCN(CCO[C@@H](C)C#N)[C@@H](C)C1 ZINC000801929960 640676801 /nfs/dbraw/zinc/67/68/01/640676801.db2.gz AZFWAUUNGAIBGS-ZNMIVQPWSA-N 0 1 294.399 0.850 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001015685581 650609209 /nfs/dbraw/zinc/60/92/09/650609209.db2.gz JCPHEXLSGNBTDQ-RYUDHWBXSA-N 0 1 265.357 0.422 20 30 CCEDMN N#CCCN(Cc1ccccn1)C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840320170 641067241 /nfs/dbraw/zinc/06/72/41/641067241.db2.gz JXZKWDRVRHABGL-VXGBXAGGSA-N 0 1 274.280 0.989 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3cccnn32)C1 ZINC001015808044 650633482 /nfs/dbraw/zinc/63/34/82/650633482.db2.gz OBUZEQSGBREKNM-LLVKDONJSA-N 0 1 271.324 0.719 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CCN(C[C@H](C)O)C2)nn1 ZINC001015821490 650634676 /nfs/dbraw/zinc/63/46/76/650634676.db2.gz WEAWFVKRVXVGFV-NWDGAFQWSA-N 0 1 293.371 0.039 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(-n3ccnc3)nn2)C1 ZINC001015838557 650637728 /nfs/dbraw/zinc/63/77/28/650637728.db2.gz RJGBBIPCFVWJGN-GFCCVEGCSA-N 0 1 298.350 0.652 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccc(C(N)=O)s2)C1 ZINC001015838929 650637891 /nfs/dbraw/zinc/63/78/91/650637891.db2.gz XHYUJSZTYYMWPX-JTQLQIEISA-N 0 1 291.376 0.674 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)c1[nH]nc2c1CCCC2 ZINC000816754113 641209713 /nfs/dbraw/zinc/20/97/13/641209713.db2.gz XDJGDNUWVRBYHW-SNVBAGLBSA-N 0 1 278.312 0.399 20 30 CCEDMN CC1CCN(CC(=O)N[C@@H]2CCN(CC#N)C2)CC1 ZINC001015891194 650651429 /nfs/dbraw/zinc/65/14/29/650651429.db2.gz YSQBTUYUBZXAJR-CYBMUJFWSA-N 0 1 264.373 0.432 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(CC3CC3)nn2)C1 ZINC001015913453 650655615 /nfs/dbraw/zinc/65/56/15/650655615.db2.gz MPUHVUYIPWBZIU-LBPRGKRZSA-N 0 1 275.356 0.678 20 30 CCEDMN N#CCNC(=O)CNC[C@H](O)c1ccc(F)cc1 ZINC000819310911 641400331 /nfs/dbraw/zinc/40/03/31/641400331.db2.gz JFJQSKDNFUQLBN-NSHDSACASA-N 0 1 251.261 0.088 20 30 CCEDMN C[C@@H]1CC(NC(=O)C(C)(C)CN2CCOCC2)=NO1 ZINC000821393884 641594764 /nfs/dbraw/zinc/59/47/64/641594764.db2.gz DOLJDUNPJZROEQ-SNVBAGLBSA-N 0 1 269.345 0.583 20 30 CCEDMN Cc1noc(C)c1Cn1cnc(-c2nn[nH]n2)c1C#N ZINC000826339194 641926906 /nfs/dbraw/zinc/92/69/06/641926906.db2.gz VHPDBSDMKGUTIQ-UHFFFAOYSA-N 0 1 270.256 0.588 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001016307654 650714791 /nfs/dbraw/zinc/71/47/91/650714791.db2.gz UTSLNGUELHJLQP-JSGCOSHPSA-N 0 1 286.379 0.728 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001016331437 650718775 /nfs/dbraw/zinc/71/87/75/650718775.db2.gz JENKJBPKWYBFJU-QWHCGFSZSA-N 0 1 287.367 0.054 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001016374989 650722638 /nfs/dbraw/zinc/72/26/38/650722638.db2.gz KNVSRTLAEOAZML-AWEZNQCLSA-N 0 1 285.347 0.152 20 30 CCEDMN C[C@@H]1CCN(c2cc(C(=O)N[C@@H]3CNC[C@H]3C#N)ccn2)C1 ZINC000834480663 642572764 /nfs/dbraw/zinc/57/27/64/642572764.db2.gz ULVRLMBPSXOYQP-MRVWCRGKSA-N 0 1 299.378 0.769 20 30 CCEDMN Cc1c2ccccc2oc(=O)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834480856 642573031 /nfs/dbraw/zinc/57/30/31/642573031.db2.gz XIPSSMHBVPHTGZ-CMPLNLGQSA-N 0 1 297.314 0.943 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)CCN2 ZINC000834484044 642574505 /nfs/dbraw/zinc/57/45/05/642574505.db2.gz CWPUYLZBUKKBMP-AAEUAGOBSA-N 0 1 256.309 0.496 20 30 CCEDMN Cc1c(Cl)c(C(=O)N[C@H]2CNC[C@H]2C#N)nn1C ZINC000834484919 642576178 /nfs/dbraw/zinc/57/61/78/642576178.db2.gz PAWJTKPNLCVOLE-SFYZADRCSA-N 0 1 267.720 0.223 20 30 CCEDMN Cc1cc2c(cc1C)O[C@H](C(=O)N[C@@H]1CNC[C@@H]1C#N)C2 ZINC000834489796 642579248 /nfs/dbraw/zinc/57/92/48/642579248.db2.gz POOULHSFCQQRAS-GUTXKFCHSA-N 0 1 285.347 0.835 20 30 CCEDMN Cn1nc(C(C)(C)C)cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834490906 642581351 /nfs/dbraw/zinc/58/13/51/642581351.db2.gz YHUNYPRDDLYCAU-UWVGGRQHSA-N 0 1 275.356 0.559 20 30 CCEDMN Cn1cc(C(=O)N[C@H]2CNC[C@H]2C#N)c(-c2ccncc2)n1 ZINC000834493235 642583496 /nfs/dbraw/zinc/58/34/96/642583496.db2.gz BYXBBYBFQDRWGX-YPMHNXCESA-N 0 1 296.334 0.323 20 30 CCEDMN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834493217 642584164 /nfs/dbraw/zinc/58/41/64/642584164.db2.gz BUWVZRIAWWKMDS-CABZTGNLSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(-n2cnnc2)cc1 ZINC000834495785 642587208 /nfs/dbraw/zinc/58/72/08/642587208.db2.gz ZQBSUIHHXPCEPS-WCQYABFASA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C1=Cc2ccccc2OC1 ZINC000834500692 642589934 /nfs/dbraw/zinc/58/99/34/642589934.db2.gz WDORIYTTZJHVAF-QWHCGFSZSA-N 0 1 269.304 0.690 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1c(F)ccc(F)c1F ZINC000834500931 642590034 /nfs/dbraw/zinc/59/00/34/642590034.db2.gz YEPVUHYIUJHLHT-IMTBSYHQSA-N 0 1 269.226 0.945 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(N2CCNC2=O)cc1 ZINC000834500586 642590266 /nfs/dbraw/zinc/59/02/66/642590266.db2.gz UUOJFUOGTBHZFX-WCQYABFASA-N 0 1 299.334 0.058 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000834505901 642591696 /nfs/dbraw/zinc/59/16/96/642591696.db2.gz OXIAADGQCBZBGN-ONGXEEELSA-N 0 1 260.253 0.436 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCC(=O)c1cccs1 ZINC000834505073 642592110 /nfs/dbraw/zinc/59/21/10/642592110.db2.gz HQGLJBWOTVAFIX-VHSXEESVSA-N 0 1 277.349 0.939 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(NC(=O)C2CC2)cc1 ZINC000834505565 642592207 /nfs/dbraw/zinc/59/22/07/642592207.db2.gz MGPKEHLKEIFDMN-OCCSQVGLSA-N 0 1 298.346 0.876 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COc1ccc(F)cc1 ZINC000834505852 642592355 /nfs/dbraw/zinc/59/23/55/642592355.db2.gz OSFFWDNHYAULPY-JOYOIKCWSA-N 0 1 263.272 0.432 20 30 CCEDMN COc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc(OC)c1C ZINC000834505744 642592451 /nfs/dbraw/zinc/59/24/51/642592451.db2.gz NLHHXRYMBLFOAM-VXGBXAGGSA-N 0 1 289.335 0.854 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccnc2ccncc21 ZINC000834505246 642592512 /nfs/dbraw/zinc/59/25/12/642592512.db2.gz JLPCTVDGGWVZBX-RNCFNFMXSA-N 0 1 267.292 0.471 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)OCO2 ZINC000834507234 642593609 /nfs/dbraw/zinc/59/36/09/642593609.db2.gz YUWYVMJMOXDMQV-VHSXEESVSA-N 0 1 259.265 0.257 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCc1ccc(F)cc1 ZINC000834511038 642595732 /nfs/dbraw/zinc/59/57/32/642595732.db2.gz HZNVWSZHUUNLPH-YPMHNXCESA-N 0 1 261.300 0.986 20 30 CCEDMN Cc1ccc(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1C ZINC000834511051 642596094 /nfs/dbraw/zinc/59/60/94/642596094.db2.gz IEEFTPPIQIVGEX-GXTWGEPZSA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1c[nH]nc1-c1ccccc1 ZINC000834515906 642598809 /nfs/dbraw/zinc/59/88/09/642598809.db2.gz DEFBJUJPVGRYCC-DGCLKSJQSA-N 0 1 281.319 0.918 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1cnn(-c2ccccc2)c1 ZINC000834515909 642599047 /nfs/dbraw/zinc/59/90/47/642599047.db2.gz DEZIYNVKLBDUEW-DZGCQCFKSA-N 0 1 295.346 0.643 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccn2ccnc2c1 ZINC000841224044 642807852 /nfs/dbraw/zinc/80/78/52/642807852.db2.gz HVXNBNJGEZIJCZ-GXSJLCMTSA-N 0 1 270.296 0.567 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccc2c(n1)CCC2 ZINC000841259469 642809575 /nfs/dbraw/zinc/80/95/75/642809575.db2.gz RLQCBLPZECNRHT-ZYHUDNBSSA-N 0 1 271.324 0.803 20 30 CCEDMN N#C[C@H](C(=O)Nc1ccccc1)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842289287 642889262 /nfs/dbraw/zinc/88/92/62/642889262.db2.gz PCMLGRMREOCOLH-OUAUKWLOSA-N 0 1 273.248 0.999 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CN(C)CCO1 ZINC001017440382 650802046 /nfs/dbraw/zinc/80/20/46/650802046.db2.gz FYXAXUJBSUVORK-ZNMIVQPWSA-N 0 1 293.411 0.568 20 30 CCEDMN C=CCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc[nH]n1 ZINC001017453315 650803139 /nfs/dbraw/zinc/80/31/39/650803139.db2.gz BNDUEMRJUULXNJ-TXEJJXNPSA-N 0 1 275.356 0.599 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COC(=O)N1 ZINC001017523303 650808834 /nfs/dbraw/zinc/80/88/34/650808834.db2.gz NBNWDECBAUEWRP-GRYCIOLGSA-N 0 1 279.340 0.346 20 30 CCEDMN C[C@H](NC(=O)C1CCCCC1)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000843460886 643064204 /nfs/dbraw/zinc/06/42/04/643064204.db2.gz IUFNDIPSTBLJLW-UHTWSYAYSA-N 0 1 292.383 0.299 20 30 CCEDMN Cc1noc(-c2ccccc2C(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000843461535 643066268 /nfs/dbraw/zinc/06/62/68/643066268.db2.gz VGYZVJGJFYCCFG-ZWNOBZJWSA-N 0 1 297.318 0.886 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn[nH]c(=O)c1 ZINC001017640345 650815386 /nfs/dbraw/zinc/81/53/86/650815386.db2.gz UJYGIFZJPUCUOW-BETUJISGSA-N 0 1 286.335 0.494 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1cn2ccccc2n1 ZINC000844194160 643177785 /nfs/dbraw/zinc/17/77/85/643177785.db2.gz AQMQGSSJDUDQFJ-PWSUYJOCSA-N 0 1 269.308 0.105 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CNC[C@H]2C#N)c(C)s1 ZINC000844195087 643179650 /nfs/dbraw/zinc/17/96/50/643179650.db2.gz PYKPIQKYDSNBMT-MWLCHTKSSA-N 0 1 264.354 0.530 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(=O)N1C ZINC001017838778 650826243 /nfs/dbraw/zinc/82/62/43/650826243.db2.gz BOFLDNAVIKATLE-HZSPNIEDSA-N 0 1 291.395 0.859 20 30 CCEDMN Cn1cc(CC(=O)N[C@H]2CNC[C@H]2C#N)c2ccccc21 ZINC000846675986 643441408 /nfs/dbraw/zinc/44/14/08/643441408.db2.gz AIDHYXBZWZCXNS-OCCSQVGLSA-N 0 1 282.347 0.949 20 30 CCEDMN COc1cccc(OC)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000846676365 643441418 /nfs/dbraw/zinc/44/14/18/643441418.db2.gz DGJYRNLAPRPKHM-UWVGGRQHSA-N 0 1 275.308 0.545 20 30 CCEDMN N#CC1(c2ccc(CNC[C@H]3CC(C(N)=O)=NO3)cc2)CC1 ZINC000850279534 643791930 /nfs/dbraw/zinc/79/19/30/643791930.db2.gz YZEIYDACLRQYOH-CYBMUJFWSA-N 0 1 298.346 0.962 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@@H](N(C)C(=O)N(C)C)C1 ZINC000852652919 643985202 /nfs/dbraw/zinc/98/52/02/643985202.db2.gz HRPUPJWQLGNBCI-NWDGAFQWSA-N 0 1 268.361 0.603 20 30 CCEDMN Cn1ncc(C2CCC2)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000852874856 644036266 /nfs/dbraw/zinc/03/62/66/644036266.db2.gz DEEABAPTROYBNR-CMPLNLGQSA-N 0 1 273.340 0.529 20 30 CCEDMN Cc1nnc(NN=Cc2ccc(S(C)(=O)=O)cc2)n1C ZINC000853435318 644106122 /nfs/dbraw/zinc/10/61/22/644106122.db2.gz KZMUMELCDBGGKF-UHFFFAOYSA-N 0 1 293.352 0.973 20 30 CCEDMN Cc1ccc(C(=O)NC[C@H]2CN3CCN2CCC3)cc1C#N ZINC000854353058 644191172 /nfs/dbraw/zinc/19/11/72/644191172.db2.gz QEHDWJQSPBJMQQ-INIZCTEOSA-N 0 1 298.390 0.986 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnn(C)c2N)C1 ZINC001018744992 650903437 /nfs/dbraw/zinc/90/34/37/650903437.db2.gz IFGGJCIWXFDRMI-VIFPVBQESA-N 0 1 283.763 0.559 20 30 CCEDMN C=CCN(C(=O)C1=NC(=O)N(C)C1)[C@H](C)COC ZINC000855374695 644307217 /nfs/dbraw/zinc/30/72/17/644307217.db2.gz AKRRMAIPJFEGOS-SECBINFHSA-N 0 1 253.302 0.789 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2nc[nH]c2C(F)(F)F)C1 ZINC001018971487 650925584 /nfs/dbraw/zinc/92/55/84/650925584.db2.gz CMDGBWBHKYXMIH-ZETCQYMHSA-N 0 1 287.245 0.756 20 30 CCEDMN N#Cc1cccc(OCC(=O)NCCN2CC[C@H](O)C2)c1 ZINC000863832089 645133069 /nfs/dbraw/zinc/13/30/69/645133069.db2.gz UNQINKUSYSPQAQ-ZDUSSCGKSA-N 0 1 289.335 0.120 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CN2C(=O)CCC2=O)C1 ZINC001019753437 651003164 /nfs/dbraw/zinc/00/31/64/651003164.db2.gz OOCVOTFKJYFVLQ-JTQLQIEISA-N 0 1 299.758 0.078 20 30 CCEDMN N#Cc1cnc(N2CC(CNC(=O)C(F)(F)F)C2)cn1 ZINC000866293965 645515006 /nfs/dbraw/zinc/51/50/06/645515006.db2.gz IJXZXHPTUKLHGG-UHFFFAOYSA-N 0 1 285.229 0.463 20 30 CCEDMN N#Cc1cccc(NC2CN(C(=O)CCc3c[nH]nn3)C2)c1 ZINC000869340402 645874667 /nfs/dbraw/zinc/87/46/67/645874667.db2.gz FXCHDCILDZEKSJ-UHFFFAOYSA-N 0 1 296.334 0.932 20 30 CCEDMN N#Cc1cccc(NC2CN(C(=O)CCc3cnn[nH]3)C2)c1 ZINC000869340402 645874674 /nfs/dbraw/zinc/87/46/74/645874674.db2.gz FXCHDCILDZEKSJ-UHFFFAOYSA-N 0 1 296.334 0.932 20 30 CCEDMN N#Cc1c[nH]c2cc(C(=O)NCCN3CC[C@@H](O)C3)ccc12 ZINC000869462479 645889548 /nfs/dbraw/zinc/88/95/48/645889548.db2.gz USINRXIJCMISIW-CYBMUJFWSA-N 0 1 298.346 0.836 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCN(c2ccc(C#N)cc2)CC1 ZINC000870095127 645962034 /nfs/dbraw/zinc/96/20/34/645962034.db2.gz KXXRKONNRDCJIU-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(C(F)(F)F)ncn1 ZINC000870941319 646055924 /nfs/dbraw/zinc/05/59/24/646055924.db2.gz USVIHIPTFNJLOJ-HTRCEHHLSA-N 0 1 285.229 0.337 20 30 CCEDMN Cc1nnc(N=NCc2cnc3c(cnn3C)c2)n1C ZINC000872390871 646254586 /nfs/dbraw/zinc/25/45/86/646254586.db2.gz RVPWGOKDDIFQMY-UHFFFAOYSA-N 0 1 270.300 0.851 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C[C@H]1Cc2ccccc2O1 ZINC000876801398 646668470 /nfs/dbraw/zinc/66/84/70/646668470.db2.gz BTTCVWHPCLXFKA-JHJVBQTASA-N 0 1 271.320 0.608 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1csc(NC2CC2)n1 ZINC000876801381 646668607 /nfs/dbraw/zinc/66/86/07/646668607.db2.gz BTCNDKXNMCVSAV-CBAPKCEASA-N 0 1 277.353 0.559 20 30 CCEDMN Cn1nc(C(=O)N[C@@H]2CNC[C@H]2C#N)c2ccccc21 ZINC000876801855 646669354 /nfs/dbraw/zinc/66/93/54/646669354.db2.gz JTUGUJZQQALILS-MWLCHTKSSA-N 0 1 269.308 0.415 20 30 CCEDMN Cc1ccc2nc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cn2c1 ZINC000876802239 646670547 /nfs/dbraw/zinc/67/05/47/646670547.db2.gz NFSVHSYDVKORHB-WDEREUQCSA-N 0 1 269.308 0.484 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NCCn1cccn1 ZINC000876876484 646688778 /nfs/dbraw/zinc/68/87/78/646688778.db2.gz FWVPOEJUQVJVTC-HNNXBMFYSA-N 0 1 295.346 0.758 20 30 CCEDMN N#Cc1c[nH]c2cc(C(=O)NC[C@@H]3COCCN3)ccc12 ZINC000877038570 646718793 /nfs/dbraw/zinc/71/87/93/646718793.db2.gz SPZCHPSDVWOCQS-GFCCVEGCSA-N 0 1 284.319 0.758 20 30 CCEDMN COc1cnc(F)c(CNCCn2cnc(C#N)n2)c1 ZINC000877518470 646821423 /nfs/dbraw/zinc/82/14/23/646821423.db2.gz CHPAPVWFZBYSPN-UHFFFAOYSA-N 0 1 276.275 0.482 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CC(C)(C)O)C[C@H](C)N1CC#N ZINC000878771193 646976278 /nfs/dbraw/zinc/97/62/78/646976278.db2.gz FQZKYBGGJPSZKU-XQQFMLRXSA-N 0 1 269.389 0.426 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@H](n2ccnn2)CC1 ZINC000878940283 647001328 /nfs/dbraw/zinc/00/13/28/647001328.db2.gz JORUDEKLUQTQNW-LBPRGKRZSA-N 0 1 276.344 0.335 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN(C[C@H](C)O)C[C@@H]2C)n1 ZINC000882208551 647383161 /nfs/dbraw/zinc/38/31/61/647383161.db2.gz WGVYCNMLFWQWKA-QWRGUYRKSA-N 0 1 275.356 0.548 20 30 CCEDMN Cc1nc(C#N)cc(N2C[C@H](C)N(CCO)C[C@@H]2C)n1 ZINC000882467066 647410008 /nfs/dbraw/zinc/41/00/08/647410008.db2.gz AIWUAWRDVYNCTM-QWRGUYRKSA-N 0 1 275.356 0.548 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN(Cc3nnc[nH]3)CC2)n1 ZINC000882528646 647415662 /nfs/dbraw/zinc/41/56/62/647415662.db2.gz ADQUSYRTBGCWHZ-UHFFFAOYSA-N 0 1 284.327 0.097 20 30 CCEDMN Cc1nc(C(C)C)ncc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000884250169 647681203 /nfs/dbraw/zinc/68/12/03/647681203.db2.gz YODKOTRGPNRXEX-JQWIXIFHSA-N 0 1 273.340 0.750 20 30 CCEDMN C[C@@H]1CCc2n[nH]cc2[C@@H]1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000884250255 647681260 /nfs/dbraw/zinc/68/12/60/647681260.db2.gz AKNBZKOXUFIRMT-NRMKKVEVSA-N 0 1 273.340 0.303 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccn2ccccc12 ZINC000884250363 647681435 /nfs/dbraw/zinc/68/14/35/647681435.db2.gz COKGJRMOFHKAPJ-JQWIXIFHSA-N 0 1 254.293 0.781 20 30 CCEDMN N#CC1(C[C@@H](O)CN2C[C@@H]3C[C@H]2C[S@@]3=O)CCC1 ZINC000886268941 647948289 /nfs/dbraw/zinc/94/82/89/647948289.db2.gz GDJNUVOBBMWOLI-DBYGNECLSA-N 0 1 268.382 0.636 20 30 CCEDMN Cc1nc(C2CCN(CC(=O)NCC#N)CC2)[nH]c1C ZINC000886666451 647996585 /nfs/dbraw/zinc/99/65/85/647996585.db2.gz SWTXYQODWAXWKS-UHFFFAOYSA-N 0 1 275.356 0.846 20 30 CCEDMN C[C@H](C#N)Cn1[nH]c2c(c1=O)CC1(CC2)OCCO1 ZINC000887284909 648087373 /nfs/dbraw/zinc/08/73/73/648087373.db2.gz DSFMAUYTLVGFSH-ZJUUUORDSA-N 0 1 263.297 0.887 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1n[nH]c(=O)n1C)C(C)(C)C ZINC000889568011 648216682 /nfs/dbraw/zinc/21/66/82/648216682.db2.gz DUGNMUWBNBTPIU-MRVPVSSYSA-N 0 1 265.317 0.368 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H]3[C@H]2CCCN3CCO)o1 ZINC000889749571 648243182 /nfs/dbraw/zinc/24/31/82/648243182.db2.gz IMVBLMKZEDEYBE-QWHCGFSZSA-N 0 1 289.335 0.822 20 30 CCEDMN N#CCCc1ccc(NC(=O)C(=O)N2CCNCC2)cc1 ZINC000927790586 648586325 /nfs/dbraw/zinc/58/63/25/648586325.db2.gz IQCXYEOLDCPEIS-UHFFFAOYSA-N 0 1 286.335 0.513 20 30 CCEDMN N#CCCNC(=O)CN1C[C@@H]2COC[C@]2(c2ccccc2)C1 ZINC000931904795 649034817 /nfs/dbraw/zinc/03/48/17/649034817.db2.gz KJAVAQMGBBSBSX-WBVHZDCISA-N 0 1 299.374 0.916 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cccc(F)c2O)CCO1 ZINC000932148917 649053518 /nfs/dbraw/zinc/05/35/18/649053518.db2.gz KRVOVYJBHVOQFY-QMMMGPOBSA-N 0 1 250.229 0.896 20 30 CCEDMN COc1ccc(CN[C@H]2CCC(=O)N(C)C2=O)cc1C#N ZINC000090027784 649666599 /nfs/dbraw/zinc/66/65/99/649666599.db2.gz ODMDPWICMMVCPW-LBPRGKRZSA-N 0 1 287.319 0.804 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncc([N+](=O)[O-])cc3C#N)C[C@H]21 ZINC000471704401 719388725 /nfs/dbraw/zinc/38/87/25/719388725.db2.gz DJEARYRQQAZAMZ-VXGBXAGGSA-N 0 1 289.295 0.381 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nc(C#N)ccc3[N+](=O)[O-])C[C@@H]21 ZINC001164664749 719392815 /nfs/dbraw/zinc/39/28/15/719392815.db2.gz COXCQBFILPPYFG-NWDGAFQWSA-N 0 1 289.295 0.381 20 30 CCEDMN CN1CCO[C@H]2CN(c3[nH]ccc4ncc(C#N)c3-4)C[C@@H]21 ZINC001164666495 719422613 /nfs/dbraw/zinc/42/26/13/719422613.db2.gz DEQDYQPCVAGNTA-STQMWFEESA-N 0 1 283.335 0.954 20 30 CCEDMN CN1CCO[C@H]2CN(c3cncc(CC#N)n3)C[C@@H]21 ZINC001164673178 719424950 /nfs/dbraw/zinc/42/49/50/719424950.db2.gz SRNSCZFDGNOVAL-RYUDHWBXSA-N 0 1 259.313 0.062 20 30 CCEDMN C=CC[NH+]1CCN(c2nccnc2CC(=O)[O-])CC1 ZINC001165032557 721870122 /nfs/dbraw/zinc/87/01/22/721870122.db2.gz YNUAFGAYKABYAQ-UHFFFAOYSA-N 0 1 262.313 0.412 20 30 CCEDMN COc1ccc(CN2C[C@H]3C[C@@]3(C(N)=O)C2)c(C#N)c1 ZINC001277453574 805595308 /nfs/dbraw/zinc/59/53/08/805595308.db2.gz BMOQUQDCAMMJJE-IUODEOHRSA-N 0 1 271.320 0.874 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)OCC=C)CC1 ZINC001230407331 805599731 /nfs/dbraw/zinc/59/97/31/805599731.db2.gz NQGMMLQYNAGCBV-GFCCVEGCSA-N 0 1 250.342 0.791 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C2CC(F)(F)C2)CC1 ZINC001266283155 790399421 /nfs/dbraw/zinc/39/94/21/790399421.db2.gz GMVJQGUFXVVOLQ-UHFFFAOYSA-N 0 1 287.354 0.952 20 30 CCEDMN C=C1CC(C)(C(=O)NCCN2CCN(CCO)CC2)C1 ZINC001266292565 790435388 /nfs/dbraw/zinc/43/53/88/790435388.db2.gz MWFDPLHBJBXVAS-UHFFFAOYSA-N 0 1 281.400 0.069 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2oncc2C)CC1 ZINC001266295260 790444606 /nfs/dbraw/zinc/44/46/06/790444606.db2.gz ZDKIBPQAKXWNND-UHFFFAOYSA-N 0 1 292.383 0.907 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001266309941 790484964 /nfs/dbraw/zinc/48/49/64/790484964.db2.gz BKDOTXJMQCBTIP-CYBMUJFWSA-N 0 1 288.351 0.893 20 30 CCEDMN C#CC[N@H+](C)CCNC(=O)C1(CC)CCOCC1 ZINC001266342457 790545257 /nfs/dbraw/zinc/54/52/57/790545257.db2.gz PLKTUDWETBYFBK-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C#CCN(C)CCNC(=O)C1(CC)CCOCC1 ZINC001266342457 790545267 /nfs/dbraw/zinc/54/52/67/790545267.db2.gz PLKTUDWETBYFBK-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN CO[C@@H](C)CN1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038175190 790591301 /nfs/dbraw/zinc/59/13/01/790591301.db2.gz MQKOSBRYPHQLJH-GXFFZTMASA-N 0 1 276.340 0.725 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CCN(CCn2cccn2)C1 ZINC001266364560 790595740 /nfs/dbraw/zinc/59/57/40/790595740.db2.gz YEFZZKLIMMRGOW-CQSZACIVSA-N 0 1 292.383 0.666 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N(C)CCNCc1cnnn1C ZINC001266797504 791242687 /nfs/dbraw/zinc/24/26/87/791242687.db2.gz BFLSWVYOXKWEGL-UHFFFAOYSA-N 0 1 279.388 0.965 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CN(C)C(=O)C1CC1 ZINC001266465008 790758648 /nfs/dbraw/zinc/75/86/48/790758648.db2.gz NERNCYXCXFTXIC-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C2(C(=O)NC)CC2)C1 ZINC001210124309 790795160 /nfs/dbraw/zinc/79/51/60/790795160.db2.gz AAMHHOKBANEZAX-LLVKDONJSA-N 0 1 299.802 0.846 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001266511399 790832299 /nfs/dbraw/zinc/83/22/99/790832299.db2.gz MLYPJUQESCCDRQ-CYBMUJFWSA-N 0 1 289.383 0.855 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2cnc(C)cn2)C1 ZINC001266514396 790846779 /nfs/dbraw/zinc/84/67/79/790846779.db2.gz AMDYPLSTWJEZPH-ZDUSSCGKSA-N 0 1 290.367 0.678 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN(CC(=O)NC)C1 ZINC001266525645 790870035 /nfs/dbraw/zinc/87/00/35/790870035.db2.gz KZJDREFYWPSNKY-LLVKDONJSA-N 0 1 267.373 0.383 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)CNC(=O)CC ZINC001266660481 791093114 /nfs/dbraw/zinc/09/31/14/791093114.db2.gz PROITUMQQZVCON-UHFFFAOYSA-N 0 1 261.753 0.313 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)[C@H]1CCCN(CC(=O)NC)C1 ZINC001266892616 791324966 /nfs/dbraw/zinc/32/49/66/791324966.db2.gz OGXVYYBDACZMPO-STQMWFEESA-N 0 1 281.400 0.915 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CNC(=O)C1CC1 ZINC001230876992 805682124 /nfs/dbraw/zinc/68/21/24/805682124.db2.gz SJUNZJGJZQLQQM-CYBMUJFWSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1cnn(C)c1 ZINC001231159900 805741117 /nfs/dbraw/zinc/74/11/17/805741117.db2.gz CKZDHSQRCOMZJG-ZDUSSCGKSA-N 0 1 262.357 0.681 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H]1CN(C)C(=O)COCC=C ZINC001231241721 805757468 /nfs/dbraw/zinc/75/74/68/805757468.db2.gz XRJJYNCDVAVSCI-AWEZNQCLSA-N 0 1 282.384 0.924 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)COCC=C ZINC001231241721 805757472 /nfs/dbraw/zinc/75/74/72/805757472.db2.gz XRJJYNCDVAVSCI-AWEZNQCLSA-N 0 1 282.384 0.924 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001277521971 805809140 /nfs/dbraw/zinc/80/91/40/805809140.db2.gz ABNZLLSOJNGQMD-BBRMVZONSA-N 0 1 293.411 0.998 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCOCCCNCC#N)c1C ZINC001277604462 805893429 /nfs/dbraw/zinc/89/34/29/805893429.db2.gz XNNRHKQWGIIEES-UHFFFAOYSA-N 0 1 279.344 0.276 20 30 CCEDMN CC(=O)N[C@@H]1CC[N@@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232677266 805970176 /nfs/dbraw/zinc/97/01/76/805970176.db2.gz ALLPWHUQQNXEBP-CYBMUJFWSA-N 0 1 259.309 0.974 20 30 CCEDMN N#CCNCCCNC(=O)c1nnc2ccccc2c1O ZINC001167492490 791314132 /nfs/dbraw/zinc/31/41/32/791314132.db2.gz XEXMCNSMBNTQTB-UHFFFAOYSA-N 0 1 285.307 0.568 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cnnn1CC ZINC001038229891 791390336 /nfs/dbraw/zinc/39/03/36/791390336.db2.gz PVPPYLUBMTXZIT-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN Cc1nc(C)n(CCN(C)CCNC(=O)C#CC2CC2)n1 ZINC001267194583 791655978 /nfs/dbraw/zinc/65/59/78/791655978.db2.gz UDARZWMJDZKIQJ-UHFFFAOYSA-N 0 1 289.383 0.356 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(C2CC2)n(C)n1 ZINC001267199435 791662309 /nfs/dbraw/zinc/66/23/09/791662309.db2.gz PDSKTGDHIQXISD-UHFFFAOYSA-N 0 1 260.341 0.592 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCO[C@H]1C(=C)C ZINC001267220791 791694098 /nfs/dbraw/zinc/69/40/98/791694098.db2.gz HGLCXTBVNFTTIZ-STQMWFEESA-N 0 1 250.342 0.649 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)c1cn(C)cn1 ZINC001233612851 806092173 /nfs/dbraw/zinc/09/21/73/806092173.db2.gz ZLEBOEXOMJLZMX-CYBMUJFWSA-N 0 1 292.383 0.769 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@H]1COC(=O)C1 ZINC001233653798 806102002 /nfs/dbraw/zinc/10/20/02/806102002.db2.gz NDAZWKISPRQMIK-OLZOCXBDSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)CCSC ZINC001233687375 806107977 /nfs/dbraw/zinc/10/79/77/806107977.db2.gz WLUZDVNMOGGHKC-LBPRGKRZSA-N 0 1 299.440 0.316 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1C[C@H](O)COC ZINC001233946359 806154588 /nfs/dbraw/zinc/15/45/88/806154588.db2.gz AAYKAFGGKPOLFJ-KBPBESRZSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1C[C@H](O)COC ZINC001233946359 806154593 /nfs/dbraw/zinc/15/45/93/806154593.db2.gz AAYKAFGGKPOLFJ-KBPBESRZSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@H]1CCN1[C@@H](C)COC ZINC001234246099 806209967 /nfs/dbraw/zinc/20/99/67/806209967.db2.gz IMCATRBQLORYPQ-UONOGXRCSA-N 0 1 282.384 0.594 20 30 CCEDMN CCN(CC#N)CCNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001267243028 793231428 /nfs/dbraw/zinc/23/14/28/793231428.db2.gz HGWXVMJQGIJVPQ-GHMZBOCLSA-N 0 1 261.329 0.475 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2ccon2)[C@H](OC)C1 ZINC001213167807 793234219 /nfs/dbraw/zinc/23/42/19/793234219.db2.gz LFOVPKAPHGVRFS-ZIAGYGMSSA-N 0 1 293.367 0.999 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1COCCN1CC ZINC001267245871 793236333 /nfs/dbraw/zinc/23/63/33/793236333.db2.gz VFMPADQTFVIZSD-CQSZACIVSA-N 0 1 281.400 0.169 20 30 CCEDMN C=CCCN(CC)CCNC(=O)[C@H](C)S(C)(=O)=O ZINC001267245370 793238200 /nfs/dbraw/zinc/23/82/00/793238200.db2.gz FYZCKOYKUJZSJD-NSHDSACASA-N 0 1 276.402 0.434 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001267259321 793258421 /nfs/dbraw/zinc/25/84/21/793258421.db2.gz QQMLFXOUMAZJKT-INIZCTEOSA-N 0 1 293.411 0.897 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001267259322 793258721 /nfs/dbraw/zinc/25/87/21/793258721.db2.gz QQMLFXOUMAZJKT-MRXNPFEDSA-N 0 1 293.411 0.897 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)Cn1cc(C)cn1 ZINC001267260445 793259978 /nfs/dbraw/zinc/25/99/78/793259978.db2.gz JWAYHONCPSFCRC-AWEZNQCLSA-N 0 1 274.368 0.795 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)c1ccon1 ZINC001234512665 806265426 /nfs/dbraw/zinc/26/54/26/806265426.db2.gz LJDXIPNUWGZIST-NSHDSACASA-N 0 1 294.355 0.417 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N(C)C[C@@H]1CCN1CC#N ZINC001234642459 806291737 /nfs/dbraw/zinc/29/17/37/806291737.db2.gz JOZYCVZOFDXITI-QWHCGFSZSA-N 0 1 284.379 0.723 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCN(C)CC(=O)NC ZINC001235229062 806393034 /nfs/dbraw/zinc/39/30/34/806393034.db2.gz MTETYGWTQMONFE-GFCCVEGCSA-N 0 1 285.388 0.152 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCC(=O)NC1 ZINC001235247815 806395357 /nfs/dbraw/zinc/39/53/57/806395357.db2.gz ZMGPWVLILDIAFX-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C(C)(C)C(N)=O ZINC001235588559 806465331 /nfs/dbraw/zinc/46/53/31/806465331.db2.gz LMPCMDJLGHGVSK-LLVKDONJSA-N 0 1 267.373 0.607 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235701094 806495084 /nfs/dbraw/zinc/49/50/84/806495084.db2.gz MZLGJXNBKBFYJH-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235701094 806495089 /nfs/dbraw/zinc/49/50/89/806495089.db2.gz MZLGJXNBKBFYJH-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@]2(F)CCOC2)CC1 ZINC001278071692 806649909 /nfs/dbraw/zinc/64/99/09/806649909.db2.gz OUGNUHYQTZHWTP-AWEZNQCLSA-N 0 1 268.332 0.719 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc(OCCC)c1 ZINC001278093426 806660017 /nfs/dbraw/zinc/66/00/17/806660017.db2.gz KMNAPWIZNIXJKR-CQSZACIVSA-N 0 1 290.363 0.789 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc2c1CCN2 ZINC001121932136 799098315 /nfs/dbraw/zinc/09/83/15/799098315.db2.gz UVQPVYYVHDKTQP-TVQRCGJNSA-N 0 1 256.309 0.496 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(F)c(COC)c1 ZINC001121952076 799106099 /nfs/dbraw/zinc/10/60/99/799106099.db2.gz YAWIDABJNYQSJL-UHFFFAOYSA-N 0 1 264.300 0.811 20 30 CCEDMN N#CC(C(=O)CCCNC(=O)C1CC1)c1ccncn1 ZINC001122641018 799280215 /nfs/dbraw/zinc/28/02/15/799280215.db2.gz UFNBQIZWYVUBHB-LLVKDONJSA-N 0 1 272.308 0.959 20 30 CCEDMN N#CC1(C(=O)OCCc2c[nH]nn2)CCSCC1 ZINC001122665031 799282239 /nfs/dbraw/zinc/28/22/39/799282239.db2.gz GKXUEUIXRSWMLT-UHFFFAOYSA-N 0 1 266.326 0.927 20 30 CCEDMN N#CC1(C(=O)OCCc2cnn[nH]2)CCSCC1 ZINC001122665031 799282243 /nfs/dbraw/zinc/28/22/43/799282243.db2.gz GKXUEUIXRSWMLT-UHFFFAOYSA-N 0 1 266.326 0.927 20 30 CCEDMN CCOCC(=O)NCCNCC#Cc1ccccc1 ZINC001123014146 799336423 /nfs/dbraw/zinc/33/64/23/799336423.db2.gz HWSBUTIYLOFYDN-UHFFFAOYSA-N 0 1 260.337 0.780 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@]2(C)CCN(C(C)=O)C2)CC1 ZINC001123251304 799379295 /nfs/dbraw/zinc/37/92/95/799379295.db2.gz ZSKJIXGGMDYKRP-INIZCTEOSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN(C(=O)[C@H](COC)OC)C1CCN(CC#C)CC1 ZINC001278184169 806746183 /nfs/dbraw/zinc/74/61/83/806746183.db2.gz HPSYPUWHHODRSG-HNNXBMFYSA-N 0 1 292.379 0.207 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C(C)(C)Cc1ccccc1 ZINC001278178127 806741649 /nfs/dbraw/zinc/74/16/49/806741649.db2.gz BOJJPRHUQWDISF-OAHLLOKOSA-N 0 1 288.391 0.955 20 30 CCEDMN C#CCN(CC1CC1)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001123795998 799476141 /nfs/dbraw/zinc/47/61/41/799476141.db2.gz UNPKRYKZYUXNIN-UKRRQHHQSA-N 0 1 292.427 0.676 20 30 CCEDMN Cc1ncc(CNCCNC(=O)CSCC#N)o1 ZINC001123799256 799476512 /nfs/dbraw/zinc/47/65/12/799476512.db2.gz OEHRPKRFCMLLDX-UHFFFAOYSA-N 0 1 268.342 0.446 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc2c(c1)CCC2 ZINC001278196312 806760642 /nfs/dbraw/zinc/76/06/42/806760642.db2.gz YBQNXCKUOHKGML-OAHLLOKOSA-N 0 1 272.348 0.489 20 30 CCEDMN Nc1nccnc1C(=O)NCCNCC#Cc1ccccc1 ZINC001125029127 799653159 /nfs/dbraw/zinc/65/31/59/799653159.db2.gz KUKNLSIDFOCGIB-UHFFFAOYSA-N 0 1 295.346 0.430 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cnn(C)c1C1CC1 ZINC001278214660 806776507 /nfs/dbraw/zinc/77/65/07/806776507.db2.gz YKWQXTKONYEACE-GFCCVEGCSA-N 0 1 290.367 0.001 20 30 CCEDMN N#C[C@H]1CN(Cc2c(N)ncnc2Cl)CCC1=O ZINC001139440316 799814070 /nfs/dbraw/zinc/81/40/70/799814070.db2.gz AHXUVWGJCYELEH-ZETCQYMHSA-N 0 1 265.704 0.627 20 30 CCEDMN COc1ccc(OC)c(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000841008573 800064207 /nfs/dbraw/zinc/06/42/07/800064207.db2.gz VGDHLZCWQGNVOZ-JOYOIKCWSA-N 0 1 290.323 0.937 20 30 CCEDMN C=C(C)C(=O)N(Cc1noc2c1CNCC2)S(C)(=O)=O ZINC001142509766 800126963 /nfs/dbraw/zinc/12/69/63/800126963.db2.gz LBTADACOKZRFPJ-UHFFFAOYSA-N 0 1 299.352 0.185 20 30 CCEDMN CSc1n[nH]c(NC(=O)CCC#N)c1C(N)=O ZINC001143189306 800204570 /nfs/dbraw/zinc/20/45/70/800204570.db2.gz DGBXIXRJLDLOKZ-UHFFFAOYSA-N 0 1 253.287 0.473 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H](C)OC)C1 ZINC001149294555 800244909 /nfs/dbraw/zinc/24/49/09/800244909.db2.gz VUEMREVYJKVXNG-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C[C@H]2CCOC2)C1 ZINC001149432460 800260192 /nfs/dbraw/zinc/26/01/92/800260192.db2.gz TUDOUANUPSOGNQ-HUUCEWRRSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)Cc2cc[nH]n2)C1 ZINC001149457274 800267374 /nfs/dbraw/zinc/26/73/74/800267374.db2.gz GOUSJZHWLIPECO-CYBMUJFWSA-N 0 1 278.356 0.345 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2cc[nH]n2)C1 ZINC001149457274 800267378 /nfs/dbraw/zinc/26/73/78/800267378.db2.gz GOUSJZHWLIPECO-CYBMUJFWSA-N 0 1 278.356 0.345 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H](C)n2cncn2)C1 ZINC001149549491 800276109 /nfs/dbraw/zinc/27/61/09/800276109.db2.gz OAJCHAKXEGFJOX-CHWSQXEVSA-N 0 1 293.371 0.232 20 30 CCEDMN C[C@H]1CCCN1CC(=O)NC[C@@H]1CN(CC#N)CCCO1 ZINC001150316548 800330542 /nfs/dbraw/zinc/33/05/42/800330542.db2.gz FBGRLWGRFMDFFL-UONOGXRCSA-N 0 1 294.399 0.201 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)C(C)(C)C ZINC001150829236 800371015 /nfs/dbraw/zinc/37/10/15/800371015.db2.gz OKTAYFICIBSJGE-UHFFFAOYSA-N 0 1 275.780 0.607 20 30 CCEDMN C=CC(=O)N(C)CC(=O)Nc1cc(C#N)ccc1O ZINC001153000413 800578667 /nfs/dbraw/zinc/57/86/67/800578667.db2.gz SLUVLLAPASFHFP-UHFFFAOYSA-N 0 1 259.265 0.847 20 30 CCEDMN C#Cc1cnc(NC(=O)c2n[nH]c3cccnc32)c(C#C)n1 ZINC001153143443 800601290 /nfs/dbraw/zinc/60/12/90/800601290.db2.gz FYEPLSVUKIHJTJ-UHFFFAOYSA-N 0 1 288.270 0.963 20 30 CCEDMN CC[N@H+](Cc1n[nH]c(=O)[n-]1)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153232471 800611848 /nfs/dbraw/zinc/61/18/48/800611848.db2.gz FIQDJUDYJSXXMR-SNVBAGLBSA-N 0 1 291.355 0.250 20 30 CCEDMN CC[N@@H+](Cc1n[nH]c(=O)[n-]1)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153232471 800611850 /nfs/dbraw/zinc/61/18/50/800611850.db2.gz FIQDJUDYJSXXMR-SNVBAGLBSA-N 0 1 291.355 0.250 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1[nH]nc2cc(F)ccc21 ZINC001154346177 800765764 /nfs/dbraw/zinc/76/57/64/800765764.db2.gz DNXABGHIZIZPGF-VIFPVBQESA-N 0 1 273.271 0.640 20 30 CCEDMN C[C@H](NCCCNC(=O)CSCC#N)c1ncccn1 ZINC001154814960 800850484 /nfs/dbraw/zinc/85/04/84/800850484.db2.gz SKAYXCYCYUPTSI-NSHDSACASA-N 0 1 293.396 0.890 20 30 CCEDMN N#CC1(Nc2ncccc2C(=O)N2CCNCC2)CCC1 ZINC001154919441 800870166 /nfs/dbraw/zinc/87/01/66/800870166.db2.gz XZCVPABMAIQANQ-UHFFFAOYSA-N 0 1 285.351 0.985 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cnc(C#N)c(C#N)n1 ZINC001155084502 800907289 /nfs/dbraw/zinc/90/72/89/800907289.db2.gz YOZOCHSITAQKOD-UHFFFAOYSA-N 0 1 297.278 0.041 20 30 CCEDMN N#C[C@H]1CN(c2nc(Cl)nc3c2CNCC3)CCO1 ZINC001155322804 800951483 /nfs/dbraw/zinc/95/14/83/800951483.db2.gz NOLPHWOZJDJENE-QMMMGPOBSA-N 0 1 279.731 0.504 20 30 CCEDMN N#C[C@@H]1CN(c2nc(Cl)nc3c2CNCC3)CCO1 ZINC001155322802 800951794 /nfs/dbraw/zinc/95/17/94/800951794.db2.gz NOLPHWOZJDJENE-MRVPVSSYSA-N 0 1 279.731 0.504 20 30 CCEDMN N#Cc1nccnc1NCc1nnc2n1CCCNC2 ZINC001155424618 800973355 /nfs/dbraw/zinc/97/33/55/800973355.db2.gz KOFXQYQDYORUEG-UHFFFAOYSA-N 0 1 270.300 0.045 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCNCc1cnn(C)n1 ZINC001155426636 800973394 /nfs/dbraw/zinc/97/33/94/800973394.db2.gz HPZLKVPUMQWWSI-LBPRGKRZSA-N 0 1 295.387 0.392 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1nc(C)c[nH]1 ZINC001155731227 801043732 /nfs/dbraw/zinc/04/37/32/801043732.db2.gz WTGOFAIFCGWKSF-CYBMUJFWSA-N 0 1 292.383 0.808 20 30 CCEDMN N#C[C@H]1CNCCN1c1ncccc1CN1CCCC1 ZINC001156333171 801152104 /nfs/dbraw/zinc/15/21/04/801152104.db2.gz VDMRKURCVCXUHQ-AWEZNQCLSA-N 0 1 271.368 0.979 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1ncc(C)cn1 ZINC001157189851 801320789 /nfs/dbraw/zinc/32/07/89/801320789.db2.gz SOAUTQDWVHUKBB-OAHLLOKOSA-N 0 1 292.383 0.708 20 30 CCEDMN C#CCN(CC#C)c1ncnc2[nH]cc(C(=O)OC)c21 ZINC001157561196 801419114 /nfs/dbraw/zinc/41/91/14/801419114.db2.gz SHCFWVNSGFWSDD-UHFFFAOYSA-N 0 1 268.276 0.817 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CNC(=O)CC ZINC001157754792 801472443 /nfs/dbraw/zinc/47/24/43/801472443.db2.gz JEOJKQFSCIOMKN-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cnn(C)c1N ZINC001157834783 801497482 /nfs/dbraw/zinc/49/74/82/801497482.db2.gz AXTCVCGCYFNSEA-GFCCVEGCSA-N 0 1 289.383 0.562 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCCC(=O)NC ZINC001157860983 801504901 /nfs/dbraw/zinc/50/49/01/801504901.db2.gz AJZVXTJBDNDWMV-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCCC[C@@H]1CN(C)CC#N ZINC001158304820 801618104 /nfs/dbraw/zinc/61/81/04/801618104.db2.gz SHPRLDRBCJWYGO-LLVKDONJSA-N 0 1 276.344 0.563 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCNC1=O ZINC001158310590 801619812 /nfs/dbraw/zinc/61/98/12/801619812.db2.gz ANLLNODIXYLSAE-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)COCCOCC ZINC001158936235 801725048 /nfs/dbraw/zinc/72/50/48/801725048.db2.gz WXRKTCMLNLWIHX-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN N#Cc1cc(Cl)nnc1NC[C@H]1COCCN1 ZINC001159042286 801746966 /nfs/dbraw/zinc/74/69/66/801746966.db2.gz DURNNSHRGSQGGW-QMMMGPOBSA-N 0 1 253.693 0.402 20 30 CCEDMN Cc1c(CC[NH3+])c(=O)[n-]n1-c1nccc(C#N)c1F ZINC001159293695 801786567 /nfs/dbraw/zinc/78/65/67/801786567.db2.gz NKKCQCCUCAHXKZ-UHFFFAOYSA-N 0 1 261.260 0.793 20 30 CCEDMN CCN(C)c1cc(C)nc(N[C@@H]2C(=O)N(O)C[C@@H]2C)n1 ZINC001160173562 801913921 /nfs/dbraw/zinc/91/39/21/801913921.db2.gz OYLSRYFAOLNPQQ-KWQFWETISA-N 0 1 279.344 0.889 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@]23C[C@H]2COC3)CC1 ZINC001160190112 801919054 /nfs/dbraw/zinc/91/90/54/801919054.db2.gz RAHQXXMPMVJHJM-HOCLYGCPSA-N 0 1 274.364 0.795 20 30 CCEDMN COc1cc(Nc2nccnc2CN)ncc1C#N ZINC001160245133 801922860 /nfs/dbraw/zinc/92/28/60/801922860.db2.gz WRCZCQOMCNGDIS-UHFFFAOYSA-N 0 1 256.269 0.954 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2CCCNC2=O)CC1 ZINC001160417064 801939238 /nfs/dbraw/zinc/93/92/38/801939238.db2.gz YRBPUYNYERQMHU-CQSZACIVSA-N 0 1 289.379 0.284 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@@H](C(=O)N2CCCCC2)C1 ZINC001160451623 801943747 /nfs/dbraw/zinc/94/37/47/801943747.db2.gz SAYANGPMMLWYKB-CJNGLKHVSA-N 0 1 291.395 0.588 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCc2nc(Cl)ncc2C1 ZINC001160575865 801972943 /nfs/dbraw/zinc/97/29/43/801972943.db2.gz LNIMRMIKNJVASF-LBPRGKRZSA-N 0 1 264.716 0.365 20 30 CCEDMN COCCN(CC#N)C(=O)c1ccn(-c2ccncc2)n1 ZINC001160823070 802023350 /nfs/dbraw/zinc/02/33/50/802023350.db2.gz MKUPYTPDRRXAHG-UHFFFAOYSA-N 0 1 285.307 0.879 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)Nc1nc(SCCO)n[nH]1 ZINC001160954876 802052083 /nfs/dbraw/zinc/05/20/83/802052083.db2.gz PSZUKTWFJOYEIO-IUCAKERBSA-N 0 1 298.368 0.809 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cn2ccccc2=O)CC1 ZINC001161843867 802230111 /nfs/dbraw/zinc/23/01/11/802230111.db2.gz CDEGBOMSNRCQDT-UHFFFAOYSA-N 0 1 299.374 0.620 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1nc(C)cnc1C#N ZINC001162532968 802360406 /nfs/dbraw/zinc/36/04/06/802360406.db2.gz KGTGEYPYUJUHTP-UHFFFAOYSA-N 0 1 286.295 0.478 20 30 CCEDMN O=C1C=C2CN(S(=O)(=O)C(F)F)CCC2S1 ZINC001259952665 808820325 /nfs/dbraw/zinc/82/03/25/808820325.db2.gz PUVPYRYTTJYVDQ-LURJTMIESA-N 0 1 269.294 0.813 20 30 CCEDMN CSc1ncc(C#N)c(N[C@H]2CN3CCC2CC3)n1 ZINC001163380992 802549856 /nfs/dbraw/zinc/54/98/56/802549856.db2.gz KFIZLTWNFNLLQZ-NSHDSACASA-N 0 1 275.381 0.998 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2ncnn2C)cc1 ZINC001163734279 802600649 /nfs/dbraw/zinc/60/06/49/802600649.db2.gz LZASJYKYLPLDBS-UHFFFAOYSA-N 0 1 297.362 0.706 20 30 CCEDMN N#C[C@@H]1CNCCN1c1nc(Cl)nc2c1CCNC2 ZINC001164641261 802774622 /nfs/dbraw/zinc/77/46/22/802774622.db2.gz JEJQJKHRXWMQSK-MRVPVSSYSA-N 0 1 278.747 0.077 20 30 CCEDMN C[C@@H]1C(=O)N=C2N=CNC(c3cccnc3C#N)=C21 ZINC001242308578 806998745 /nfs/dbraw/zinc/99/87/45/806998745.db2.gz HSFCVTIRCYOKNL-ZETCQYMHSA-N 0 1 251.249 0.871 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1ncc(CC#N)cc1Cl ZINC001165441479 802843743 /nfs/dbraw/zinc/84/37/43/802843743.db2.gz ASNUPSQCWMQLKG-NSHDSACASA-N 0 1 294.742 0.752 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CSCC#N ZINC001268300357 812459972 /nfs/dbraw/zinc/45/99/72/812459972.db2.gz ORSJFBRSBRGYKH-SECBINFHSA-N 0 1 277.777 0.062 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1N1CC[C@@H](N)C(F)(F)C1 ZINC001166961302 802996958 /nfs/dbraw/zinc/99/69/58/802996958.db2.gz NRBRJFNASADDNX-LLVKDONJSA-N 0 1 292.293 0.955 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001028536819 812828981 /nfs/dbraw/zinc/82/89/81/812828981.db2.gz YVZLUVOXMJQNST-VXGBXAGGSA-N 0 1 287.367 0.791 20 30 CCEDMN C[C@@H]1CCC[N@H+]1CC(=O)N1CCC(CNCC#N)CC1 ZINC001206273876 803221941 /nfs/dbraw/zinc/22/19/41/803221941.db2.gz BXZHRVHGYMGLDJ-CYBMUJFWSA-N 0 1 278.400 0.822 20 30 CCEDMN C#C[C@@H](CO)NCc1ccc(N2CCC(O)CC2)cc1 ZINC001206838101 803292127 /nfs/dbraw/zinc/29/21/27/803292127.db2.gz LESGPSZYZCLMQO-AWEZNQCLSA-N 0 1 274.364 0.731 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN([C@H]2CCN(C)C2=O)C[C@H]1C ZINC001206911463 803305491 /nfs/dbraw/zinc/30/54/91/803305491.db2.gz QPLCSNMRBBCPCL-MCIONIFRSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCOCC(F)F)C1 ZINC001206977944 803320844 /nfs/dbraw/zinc/32/08/44/803320844.db2.gz GETVKRDTORJDTR-GHMZBOCLSA-N 0 1 274.311 0.728 20 30 CCEDMN C=CCOCC(=O)N1CC[C@]2(C1)CN(CC1CC1)CCO2 ZINC001276533476 803403290 /nfs/dbraw/zinc/40/32/90/803403290.db2.gz XESGEHMZKXCDPW-MRXNPFEDSA-N 0 1 294.395 0.902 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CNC(=O)C2CC2)C1 ZINC001207490103 803410454 /nfs/dbraw/zinc/41/04/54/803410454.db2.gz RQIURMFQOAIQGB-LBPRGKRZSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)C(=O)NCC(F)F)C1 ZINC001207799417 803452520 /nfs/dbraw/zinc/45/25/20/803452520.db2.gz APZPABADODCYSB-NXEZZACHSA-N 0 1 289.326 0.380 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)CCCCc1cn[nH]n1 ZINC001208105868 803489436 /nfs/dbraw/zinc/48/94/36/803489436.db2.gz QLWGILNUXXOTKJ-DGCLKSJQSA-N 0 1 290.371 0.478 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(COC)CCOC2)C1 ZINC001208190100 803496625 /nfs/dbraw/zinc/49/66/25/803496625.db2.gz WCOQNHBQLBWLLA-NFAWXSAZSA-N 0 1 282.384 0.662 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)NC(=O)C(C)(C)C)C1 ZINC001208266665 803501319 /nfs/dbraw/zinc/50/13/19/803501319.db2.gz SVIRGNSSRCFSLT-JHJVBQTASA-N 0 1 293.411 0.607 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001208415859 803513132 /nfs/dbraw/zinc/51/31/32/803513132.db2.gz VVVUOZDRROAYSV-TZMCWYRMSA-N 0 1 287.363 0.790 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H](C)OC)[C@H](OC)C1 ZINC001211959238 814944864 /nfs/dbraw/zinc/94/48/64/814944864.db2.gz DJUVMYZJEVYRPJ-JHJVBQTASA-N 0 1 286.372 0.039 20 30 CCEDMN C#CCCCN1CCOC[C@]2(CN(C(C)=O)CCO2)C1 ZINC001209267377 803584880 /nfs/dbraw/zinc/58/48/80/803584880.db2.gz ORQAVOKKQQNIDR-OAHLLOKOSA-N 0 1 280.368 0.350 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1OC ZINC001213271065 803687666 /nfs/dbraw/zinc/68/76/66/803687666.db2.gz GBJUTIYXTAEKHE-IJLUTSLNSA-N 0 1 270.373 0.395 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H]2C[C@@H]2C)[C@H](OC)C1 ZINC001213269146 803687982 /nfs/dbraw/zinc/68/79/82/803687982.db2.gz BDYOAVIAUSQQKH-IGQOVBAYSA-N 0 1 282.384 0.660 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(C(C)C)C2)[C@H]1C ZINC001088601814 814965130 /nfs/dbraw/zinc/96/51/30/814965130.db2.gz NAUDWBYSASRSKG-RDBSUJKOSA-N 0 1 291.395 0.456 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)[C@H]1C ZINC001088601813 814965800 /nfs/dbraw/zinc/96/58/00/814965800.db2.gz NAUDWBYSASRSKG-MCIONIFRSA-N 0 1 291.395 0.456 20 30 CCEDMN C=CCOCCN1C[C@H]2OCCN(C(=O)C3CCC3)[C@H]2C1 ZINC001217171626 803874112 /nfs/dbraw/zinc/87/41/12/803874112.db2.gz BSRBAUUBBMYWRL-LSDHHAIUSA-N 0 1 294.395 0.901 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H](C)OCC)[C@H]2C1 ZINC001217350137 803888531 /nfs/dbraw/zinc/88/85/31/803888531.db2.gz TVYZUMBGNSPVQG-HZSPNIEDSA-N 0 1 280.368 0.346 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCO[C@@H]2CN(CC(=C)C)C[C@@H]21 ZINC001217762765 803911736 /nfs/dbraw/zinc/91/17/36/803911736.db2.gz FGEDLGSMWKGSNM-SQWLQELKSA-N 0 1 294.395 0.801 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CCO[C@@H]2CN(CCCO)C[C@@H]21 ZINC001217852646 803921296 /nfs/dbraw/zinc/92/12/96/803921296.db2.gz QAPWIACFCUROQO-UONOGXRCSA-N 0 1 294.395 0.330 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCO[C@@H]2CN(C(C)C)C[C@@H]21 ZINC001217875367 803922613 /nfs/dbraw/zinc/92/26/13/803922613.db2.gz HUIQDIJXZKODIU-HZSPNIEDSA-N 0 1 280.368 0.345 20 30 CCEDMN N#Cc1cnc(NC(=O)[C@@H](N)c2ccccc2)cn1 ZINC001218503777 803971708 /nfs/dbraw/zinc/97/17/08/803971708.db2.gz JBPPLHCBFPZPDW-LBPRGKRZSA-N 0 1 253.265 0.987 20 30 CCEDMN C=CCCCC(=O)N1CCO[C@@H]2CN(CCOC)C[C@@H]21 ZINC001218558006 804004016 /nfs/dbraw/zinc/00/40/16/804004016.db2.gz PTPZDBNMDRTMNV-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN N#Cc1c(N)nn(C(=O)[C@@H](N)Cc2ccsc2)c1N ZINC001218660745 804069760 /nfs/dbraw/zinc/06/97/60/804069760.db2.gz RIHHXRLZVTZLPM-QMMMGPOBSA-N 0 1 276.325 0.191 20 30 CCEDMN N#Cc1ccnnc1NC(=O)[C@@H](N)Cc1cccc(O)c1 ZINC001218700533 804086563 /nfs/dbraw/zinc/08/65/63/804086563.db2.gz CUSCEARPYBYFEK-LBPRGKRZSA-N 0 1 283.291 0.562 20 30 CCEDMN C[C@@H](C[C@H]1CCOC1)NC(=O)NCC#CCN(C)C ZINC001223758860 814991602 /nfs/dbraw/zinc/99/16/02/814991602.db2.gz AWFKJTWEJOAMNK-QWHCGFSZSA-N 0 1 267.373 0.666 20 30 CCEDMN CNc1ccc(C#N)cc1NC(=O)[C@@H](N)CC[S@](C)=O ZINC001218981251 804173253 /nfs/dbraw/zinc/17/32/53/804173253.db2.gz PMYJPOFNWKDHJC-FVINQWEUSA-N 0 1 294.380 0.634 20 30 CCEDMN N#Cc1ccc(NC(=O)[C@]2(N)CCC[NH2+]C2)c([O-])c1 ZINC001218992177 804178453 /nfs/dbraw/zinc/17/84/53/804178453.db2.gz IOLFCVXOSCGPDT-ZDUSSCGKSA-N 0 1 260.297 0.283 20 30 CCEDMN COC(=O)[C@@H]([NH3+])CCC(=O)Nc1cc(C#N)c(F)cc1[O-] ZINC001219068384 804190308 /nfs/dbraw/zinc/19/03/08/804190308.db2.gz RQGNKXZQSYMWJI-VIFPVBQESA-N 0 1 295.270 0.622 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COCC2CC2)[C@@H](O)C1 ZINC001219253254 804247166 /nfs/dbraw/zinc/24/71/66/804247166.db2.gz LMHMTETWQKFGFA-OLZOCXBDSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@@H]1O ZINC001219334933 804274830 /nfs/dbraw/zinc/27/48/30/804274830.db2.gz MIBIYDSCHGBNDC-UTUOFQBUSA-N 0 1 256.346 0.149 20 30 CCEDMN CC/C=C/CCN1C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C1 ZINC001219378697 804290464 /nfs/dbraw/zinc/29/04/64/804290464.db2.gz CJZFWUDBCUPMAD-MTTQNTSXSA-N 0 1 265.357 0.664 20 30 CCEDMN Cc1ccccc1CN1C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](O)C1 ZINC001219379108 804293040 /nfs/dbraw/zinc/29/30/40/804293040.db2.gz NUHKOAGXPNEQRY-CFVMTHIKSA-N 0 1 287.363 0.816 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@](C)(O)C2CC2)[C@@H](O)C1 ZINC001219480458 804327411 /nfs/dbraw/zinc/32/74/11/804327411.db2.gz UHWXROYFAOCGHH-VNHYZAJKSA-N 0 1 282.384 0.275 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCOCC=C)C[C@@H]1O ZINC001219490783 804328762 /nfs/dbraw/zinc/32/87/62/804328762.db2.gz YUCJWWLCADANAY-CABCVRRESA-N 0 1 294.395 0.544 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)Cc2ccoc2)[C@@H](O)C1 ZINC001219505945 804331631 /nfs/dbraw/zinc/33/16/31/804331631.db2.gz SHDQITSNWGEEED-KGLIPLIRSA-N 0 1 294.351 0.186 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CN(CC=C(C)C)C[C@@H]1O ZINC001219535609 804341787 /nfs/dbraw/zinc/34/17/87/804341787.db2.gz CHUQXQHWAPPGQA-IPYPFGDCSA-N 0 1 282.384 0.441 20 30 CCEDMN COCCCCCN1C[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001219587747 804360776 /nfs/dbraw/zinc/36/07/76/804360776.db2.gz TXNDNMBZEAUWSD-CABCVRRESA-N 0 1 296.411 0.624 20 30 CCEDMN C=CCSCC(=O)NC[C@]1(O)CCNC[C@@H]1F ZINC001219618187 804377878 /nfs/dbraw/zinc/37/78/78/804377878.db2.gz XRIKYGMHWXGAHP-GXSJLCMTSA-N 0 1 262.350 0.084 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CCc1cnn(C)n1 ZINC001272110844 815014325 /nfs/dbraw/zinc/01/43/25/815014325.db2.gz IAEPGDISTZZBMC-UHFFFAOYSA-N 0 1 277.372 0.161 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCOCC2CC2)C[C@@H]1O ZINC001219720734 804414679 /nfs/dbraw/zinc/41/46/79/804414679.db2.gz XQWLBPXYCXPGFB-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN([C@H](C)COC)C[C@@H]2O)CCC1 ZINC001219785369 804423477 /nfs/dbraw/zinc/42/34/77/804423477.db2.gz MEPVJYGEWFXYNF-MCIONIFRSA-N 0 1 294.395 0.376 20 30 CCEDMN CC(=O)/C=C(/C)NC(=O)[C@H](C)NC(=O)[C@@H](N)CC(C)C ZINC001219909824 804444880 /nfs/dbraw/zinc/44/48/80/804444880.db2.gz BCUZUUPCAZCWDK-KSIQUBBLSA-N 0 1 283.372 0.474 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)N[C@H]1CC[C@H](C#N)CC1 ZINC001220095462 804497139 /nfs/dbraw/zinc/49/71/39/804497139.db2.gz ZKLBKELYDLTFGA-AVGNSLFASA-N 0 1 294.399 0.675 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCOC(C)C)C[C@@H]1O ZINC001220147587 804515095 /nfs/dbraw/zinc/51/50/95/804515095.db2.gz FGCDJXPZRIJGOF-OLZOCXBDSA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C[C@H](C=C)CC)[C@@H](O)C1 ZINC001220205632 804538139 /nfs/dbraw/zinc/53/81/39/804538139.db2.gz HDAKGEQUTNYTNQ-KFWWJZLASA-N 0 1 296.411 0.953 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN([C@H](C)COC)C[C@@H]2O)CC1 ZINC001220292250 804564624 /nfs/dbraw/zinc/56/46/24/804564624.db2.gz RPZXVMXPMVRPQZ-MCIONIFRSA-N 0 1 296.411 0.929 20 30 CCEDMN C[C@@H]1CCN[C@H](C(=O)N=C(N)c2ccc(C(N)=O)cc2)C1 ZINC001220643728 804642456 /nfs/dbraw/zinc/64/24/56/804642456.db2.gz QIZQJWKGWQGFKI-SKDRFNHKSA-N 0 1 288.351 0.406 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC2(C)CC2)[C@@H](O)C1 ZINC001220680281 804648870 /nfs/dbraw/zinc/64/88/70/804648870.db2.gz UNBYDDCCBJSWRM-OLZOCXBDSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C(C)(C)C)[C@@H](O)C1 ZINC001220822292 804674751 /nfs/dbraw/zinc/67/47/51/804674751.db2.gz RWHSRZQJLTYLNS-NEPJUHHUSA-N 0 1 270.373 0.396 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC1CCN(c2cnccn2)CC1 ZINC001220933114 804701458 /nfs/dbraw/zinc/70/14/58/804701458.db2.gz WGWRQCLNHRFMEU-HNNXBMFYSA-N 0 1 287.367 0.160 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1C[N@@H+](CC2CC(C)C2)C[C@@H]1O ZINC001221139492 804733171 /nfs/dbraw/zinc/73/31/71/804733171.db2.gz AMBILYBKQMQHMA-CVSAEHQPSA-N 0 1 296.411 0.787 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCc3nccn32)[C@H]1C ZINC001088622426 815037560 /nfs/dbraw/zinc/03/75/60/815037560.db2.gz WBNSPACCFFWFMB-RDBSUJKOSA-N 0 1 286.379 0.973 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](C)NC(=O)CC)[C@@H]2C1 ZINC001221565945 804831285 /nfs/dbraw/zinc/83/12/85/804831285.db2.gz QJKYXWJRYSAAMI-JHJVBQTASA-N 0 1 279.384 0.620 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H]1CCCCO1 ZINC001221599655 804832361 /nfs/dbraw/zinc/83/23/61/804832361.db2.gz LJVBBRNSTDTRGY-LBPRGKRZSA-N 0 1 253.346 0.420 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CNC(=O)C[C@H]1CCOC1 ZINC001276824121 804835195 /nfs/dbraw/zinc/83/51/95/804835195.db2.gz RAVXTWHUERTPEM-OLZOCXBDSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@H]1CCOC1 ZINC001276824121 804835201 /nfs/dbraw/zinc/83/52/01/804835201.db2.gz RAVXTWHUERTPEM-OLZOCXBDSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](CC)NC(C)=O)[C@@H]2C1 ZINC001221825901 804846635 /nfs/dbraw/zinc/84/66/35/804846635.db2.gz SMQKIMLIHROEBR-MGPQQGTHSA-N 0 1 279.384 0.620 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@@H](COC)OC)[C@@H]2C1 ZINC001221802585 804847032 /nfs/dbraw/zinc/84/70/32/804847032.db2.gz LTEAJKXZZCNLBM-MGPQQGTHSA-N 0 1 282.384 0.757 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3(C(=O)NC)CC3)[C@@H]2C1 ZINC001221868678 804851395 /nfs/dbraw/zinc/85/13/95/804851395.db2.gz MOOARCSNARFFTP-CHWSQXEVSA-N 0 1 291.395 0.621 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(CNC(=O)C2CC2)CC1 ZINC001222184988 804879061 /nfs/dbraw/zinc/87/90/61/804879061.db2.gz CMKGXBFISIKLQZ-GFCCVEGCSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)COCC3CC3)C[C@@H]21 ZINC001222326062 804891140 /nfs/dbraw/zinc/89/11/40/804891140.db2.gz JZJOUGVEOMHPLI-CABCVRRESA-N 0 1 276.380 0.969 20 30 CCEDMN N#Cc1ccc(C(=O)NC23CC(C(N)=O)(C2)C3)c(O)c1 ZINC001276864761 804953906 /nfs/dbraw/zinc/95/39/06/804953906.db2.gz IFBNDUUTHJNWMG-UHFFFAOYSA-N 0 1 271.276 0.402 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC3(C[C@H]3C(N)=O)CC2)c(O)c1 ZINC001276865340 804956419 /nfs/dbraw/zinc/95/64/19/804956419.db2.gz WQZIGAOADLPDMX-LBPRGKRZSA-N 0 1 299.330 0.991 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)COCc1nccn1C ZINC001276867677 804957146 /nfs/dbraw/zinc/95/71/46/804957146.db2.gz SFLQKFXEYIXVLS-CYBMUJFWSA-N 0 1 290.367 0.151 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN2CCCO)C1 ZINC001276923946 804993169 /nfs/dbraw/zinc/99/31/69/804993169.db2.gz QRWZQRFKDAEJQK-LBPRGKRZSA-N 0 1 252.358 0.916 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)Cn1ccccc1=O ZINC001276970839 805035701 /nfs/dbraw/zinc/03/57/01/805035701.db2.gz VNTNUBVPUPUJMR-CYBMUJFWSA-N 0 1 275.352 0.615 20 30 CCEDMN C=C1CC(C)(C(=O)NCC2CCN(CC(N)=O)CC2)C1 ZINC001224477100 805040533 /nfs/dbraw/zinc/04/05/33/805040533.db2.gz PKJYXHLIAFKHNM-UHFFFAOYSA-N 0 1 279.384 0.656 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1cnc(CC(=O)OC)nc1 ZINC001225471322 805132365 /nfs/dbraw/zinc/13/23/65/805132365.db2.gz DGDYRVVGQQFVKH-SSDOTTSWSA-N 0 1 278.264 0.790 20 30 CCEDMN C=C[C@@H](Oc1nc(NC(C)=O)nc2nc[nH]c21)C(=O)OC ZINC001225825330 805165958 /nfs/dbraw/zinc/16/59/58/805165958.db2.gz AMFCRJODWPEFBV-SSDOTTSWSA-N 0 1 291.267 0.418 20 30 CCEDMN COCC[NH+]1CCC(Oc2nccc(/C=N/[O-])n2)CC1 ZINC001226254665 805210977 /nfs/dbraw/zinc/21/09/77/805210977.db2.gz BTGAJNOFAFNWQO-XNTDXEJSSA-N 0 1 280.328 0.774 20 30 CCEDMN C[N@@H+]1[C@@H]2C[C@@H](Oc3[nH]nc4ncccc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001226301812 805215191 /nfs/dbraw/zinc/21/51/91/805215191.db2.gz FVIYUPWUAPBBLT-ZQLNZSQWSA-N 0 1 272.308 0.949 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)[C@@H]2COCCN2CC)[C@H]1C ZINC001088684731 815058000 /nfs/dbraw/zinc/05/80/00/815058000.db2.gz MFQDEMVIAUBXFH-RDBSUJKOSA-N 0 1 281.400 0.472 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCN([C@@H]2CCCNC2=O)CC1 ZINC001226626264 805258160 /nfs/dbraw/zinc/25/81/60/805258160.db2.gz WZIZXFHTQPBYMI-CMPLNLGQSA-N 0 1 278.356 0.005 20 30 CCEDMN C[C@H](C(=O)NC1CC1)N1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226649892 805262092 /nfs/dbraw/zinc/26/20/92/805262092.db2.gz XWBLMUHGYPTBLC-WDEREUQCSA-N 0 1 292.383 0.394 20 30 CCEDMN N#CCN1CC[C@H](Oc2nc3[nH]cnc3c(=S)[nH]2)C1 ZINC001226784219 805281946 /nfs/dbraw/zinc/28/19/46/805281946.db2.gz FJUJYLZDTQRYHP-ZETCQYMHSA-N 0 1 276.325 0.618 20 30 CCEDMN CN(CCN(C)c1cncc(C#N)n1)C(=O)Cc1c[nH]cn1 ZINC001105576118 815064512 /nfs/dbraw/zinc/06/45/12/815064512.db2.gz NCAAVBNHKQAGDQ-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1cc(=O)[nH]c(OC)n1 ZINC001227002253 805308194 /nfs/dbraw/zinc/30/81/94/805308194.db2.gz OLBDSJXEQYPJAB-MRVPVSSYSA-N 0 1 266.253 0.525 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1CCN(CC#CCOC)CC1 ZINC001227123971 805324706 /nfs/dbraw/zinc/32/47/06/805324706.db2.gz KQPCKWSHHJMLFQ-INIZCTEOSA-N 0 1 294.395 0.544 20 30 CCEDMN N#CCN1CC[C@H](Oc2[nH]c(=O)nc3[nH]ccc32)C1 ZINC001227270134 805339109 /nfs/dbraw/zinc/33/91/09/805339109.db2.gz CXLDWIISQMJPDE-QMMMGPOBSA-N 0 1 259.269 0.640 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@]23C[C@H]2COC3)CC1 ZINC001227454847 805356161 /nfs/dbraw/zinc/35/61/61/805356161.db2.gz WAUFGAUOOPPFDH-BBRMVZONSA-N 0 1 292.379 0.253 20 30 CCEDMN C=C(C)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OCC ZINC001142519244 815071142 /nfs/dbraw/zinc/07/11/42/815071142.db2.gz XYEBJYFAFWBNTM-JTQLQIEISA-N 0 1 251.286 0.576 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N(C)Cc1nnc[nH]1 ZINC001228508092 805452578 /nfs/dbraw/zinc/45/25/78/805452578.db2.gz MXQYIWGYSKCGFT-BDAKNGLRSA-N 0 1 251.290 0.290 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(C(=O)OC)ncn1 ZINC001228757273 805475354 /nfs/dbraw/zinc/47/53/54/805475354.db2.gz QFFUQSZARCJTEF-ZCFIWIBFSA-N 0 1 264.237 0.861 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCCC(N)=O)CC1 ZINC001229942683 805568595 /nfs/dbraw/zinc/56/85/95/805568595.db2.gz ADTJUJDYZMNNEI-UHFFFAOYSA-N 0 1 265.357 0.246 20 30 CCEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001278403433 807075786 /nfs/dbraw/zinc/07/57/86/807075786.db2.gz BHGUEZWGXNEPFL-DOMZBBRYSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccnc(OC)n2)[C@H]1C ZINC001088733452 815121220 /nfs/dbraw/zinc/12/12/20/815121220.db2.gz NHTLCRSYORHBIS-NEPJUHHUSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc3n[nH]nc32)[C@H]1C ZINC001088732972 815122832 /nfs/dbraw/zinc/12/28/32/815122832.db2.gz ZTMIVRGZHNXOPY-KOLCDFICSA-N 0 1 284.323 0.179 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCc2cncn2C)[C@@H]1C ZINC001278526813 807565251 /nfs/dbraw/zinc/56/52/51/807565251.db2.gz MYJOJDJAJGQNQA-ZFWWWQNUSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCCC(N)=O)[C@@H]1C ZINC001278533046 807567168 /nfs/dbraw/zinc/56/71/68/807567168.db2.gz MNXXXDLOJLKFFP-NWDGAFQWSA-N 0 1 265.357 0.244 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)[C@@H](F)CC)[C@H](C)C1 ZINC001102231620 815133171 /nfs/dbraw/zinc/13/31/71/815133171.db2.gz OVTSYLOHROAXKK-UPJWGTAASA-N 0 1 299.390 0.721 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@H]1[C@@H]1CCCOC1 ZINC001251711522 807696388 /nfs/dbraw/zinc/69/63/88/807696388.db2.gz DISLUCIWWUJIHO-KGLIPLIRSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ncnc4[nH]ccc43)[C@@H]2C1 ZINC001075991308 815141222 /nfs/dbraw/zinc/14/12/22/815141222.db2.gz WQZDWCNMRXPWKT-WCQYABFASA-N 0 1 295.346 0.689 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCC[C@H]1c1cnccn1 ZINC001251847835 807718299 /nfs/dbraw/zinc/71/82/99/807718299.db2.gz NRDGJMQDNVBYQF-JSGCOSHPSA-N 0 1 261.325 0.624 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1NC[C@@H](O)COc1ccc(F)cc1 ZINC001251947489 807748778 /nfs/dbraw/zinc/74/87/78/807748778.db2.gz SQLUNVTWHOYZPC-NDMJEZRESA-N 0 1 298.314 0.391 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(C[C@H](O)COCC)C1 ZINC001252032967 807768818 /nfs/dbraw/zinc/76/88/18/807768818.db2.gz POTLEXIDYKJCFJ-STQMWFEESA-N 0 1 286.372 0.760 20 30 CCEDMN C=CCOC[C@H](O)CNCC(=O)c1ccc(O)cc1 ZINC001252497476 807894445 /nfs/dbraw/zinc/89/44/45/807894445.db2.gz SMAGQRZJBUBVOL-CYBMUJFWSA-N 0 1 265.309 0.728 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cc2ccccn2n1 ZINC001252497469 807895283 /nfs/dbraw/zinc/89/52/83/807895283.db2.gz SDVIGNSWXUXGOQ-CQSZACIVSA-N 0 1 261.325 0.987 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(c2cc(C)ncn2)CC1 ZINC001252558412 807911071 /nfs/dbraw/zinc/91/10/71/807911071.db2.gz VZEOAILVALCQRO-CQSZACIVSA-N 0 1 262.357 0.844 20 30 CCEDMN COC(=O)C1=CC[C@@H](NC(=O)NCC#CCN(C)C)C1 ZINC001253052936 808001751 /nfs/dbraw/zinc/00/17/51/808001751.db2.gz FEZJNGLGBBYPFD-GFCCVEGCSA-N 0 1 279.340 0.112 20 30 CCEDMN C=C[C@H](O)CN1C[C@H](O)C[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001253568257 808081169 /nfs/dbraw/zinc/08/11/69/808081169.db2.gz ZFXJFRWDJDMMMP-GRYCIOLGSA-N 0 1 286.372 0.493 20 30 CCEDMN C=C[C@H](O)CNc1ccc(N2CCN(CCO)CC2)cc1 ZINC001253589255 808094224 /nfs/dbraw/zinc/09/42/24/808094224.db2.gz FHJSCTNVKQBBCO-INIZCTEOSA-N 0 1 291.395 0.760 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCN(C)C2=O)[C@H]1C ZINC001088804839 815174420 /nfs/dbraw/zinc/17/44/20/815174420.db2.gz KWZAMWJJKJLPQD-UPJWGTAASA-N 0 1 277.368 0.067 20 30 CCEDMN CC(C)C[C@H](NC[C@@H](O)C(=O)OC(C)(C)C)C(=O)NO ZINC001253714043 808126562 /nfs/dbraw/zinc/12/65/62/808126562.db2.gz QHGFLWOXQVVPTN-VHSXEESVSA-N 0 1 290.360 0.199 20 30 CCEDMN Cc1nc([C@H](C)NCCNC(=O)C#CC2CC2)n[nH]1 ZINC001126881354 815180876 /nfs/dbraw/zinc/18/08/76/815180876.db2.gz QDLYADFZNZJFJF-VIFPVBQESA-N 0 1 261.329 0.293 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C[C@H]2CCCO2)C1 ZINC001278760230 808171041 /nfs/dbraw/zinc/17/10/41/808171041.db2.gz JUTQUDGQBOMWAE-UKRRQHHQSA-N 0 1 280.368 0.132 20 30 CCEDMN C#CC[N@@H+]1CC[C@](O)(CNC(=O)CCc2cccnc2)C1 ZINC001278792342 808258096 /nfs/dbraw/zinc/25/80/96/808258096.db2.gz XPJGSSUJQRJTLG-INIZCTEOSA-N 0 1 287.363 0.200 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CCc2cccnc2)C1 ZINC001278792342 808258100 /nfs/dbraw/zinc/25/81/00/808258100.db2.gz XPJGSSUJQRJTLG-INIZCTEOSA-N 0 1 287.363 0.200 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2(C(F)(F)F)CC2)C1 ZINC001278806193 808276257 /nfs/dbraw/zinc/27/62/57/808276257.db2.gz WJASFDFAUDEANH-LLVKDONJSA-N 0 1 290.285 0.515 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@H](C)CN1CCOCC1)C(=O)OCC ZINC001254737754 808277536 /nfs/dbraw/zinc/27/75/36/808277536.db2.gz XSAVFQOUJNSIGK-CHWSQXEVSA-N 0 1 298.383 0.579 20 30 CCEDMN CCOC(=O)[C@H](CS)N[C@H]1CC2CCN(CC2)C1 ZINC001254832542 808281834 /nfs/dbraw/zinc/28/18/34/808281834.db2.gz HBICUDMILGWCDZ-RYUDHWBXSA-N 0 1 272.414 0.922 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccc(C)n1C ZINC001278845339 808324435 /nfs/dbraw/zinc/32/44/35/808324435.db2.gz XBVDFTSMLYUCSR-CYBMUJFWSA-N 0 1 277.368 0.331 20 30 CCEDMN COC(=O)c1ccc(C(=NO)N[C@@H]2CCN(C)C2)cc1 ZINC001255411883 808353934 /nfs/dbraw/zinc/35/39/34/808353934.db2.gz LXYGFDPCUSMCAR-GFCCVEGCSA-N 0 1 277.324 0.903 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CC(C)(C)COC ZINC001278868689 808387227 /nfs/dbraw/zinc/38/72/27/808387227.db2.gz GZTSFGIYNHZLPQ-ZDUSSCGKSA-N 0 1 284.400 0.433 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc3c2nnn3C)[C@H]1C ZINC001088821124 815208712 /nfs/dbraw/zinc/20/87/12/815208712.db2.gz CUZXWWGVQBONMD-PWSUYJOCSA-N 0 1 298.350 0.189 20 30 CCEDMN C#CC[N@H+](C)C[C@@H](O)CN(C)C(=O)c1cncc(C#C)c1 ZINC001278873655 808410574 /nfs/dbraw/zinc/41/05/74/808410574.db2.gz MGWYOGGAUDSHKZ-OAHLLOKOSA-N 0 1 285.347 0.061 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cncc(C#C)c1 ZINC001278873655 808410579 /nfs/dbraw/zinc/41/05/79/808410579.db2.gz MGWYOGGAUDSHKZ-OAHLLOKOSA-N 0 1 285.347 0.061 20 30 CCEDMN Cc1ncccc1CCNC(=O)NCC#CCN(C)C ZINC001256215123 808481221 /nfs/dbraw/zinc/48/12/21/808481221.db2.gz BNGLQFZSCSLJJH-UHFFFAOYSA-N 0 1 274.368 0.797 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC#CCN(C)C)CCC1=O ZINC001256585223 808537574 /nfs/dbraw/zinc/53/75/74/808537574.db2.gz NXNWJYSKDCJQIB-LLVKDONJSA-N 0 1 251.330 0.172 20 30 CCEDMN N#CC1CCC(N2CC(N3CCNC(=O)C3)C2)CC1 ZINC001256969437 808571909 /nfs/dbraw/zinc/57/19/09/808571909.db2.gz IMKBVGSUYYQECH-UHFFFAOYSA-N 0 1 262.357 0.185 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3CCCC(=O)N3)[C@@H]2C1 ZINC001076018451 815234278 /nfs/dbraw/zinc/23/42/78/815234278.db2.gz QWDREEXCNDVOOU-MELADBBJSA-N 0 1 289.379 0.211 20 30 CCEDMN Cn1cnc(NS(=O)(=O)C2CCCC2)c1C#N ZINC001259794642 808770575 /nfs/dbraw/zinc/77/05/75/808770575.db2.gz KLMKBSAVPOYLCV-UHFFFAOYSA-N 0 1 254.315 0.976 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCO[C@H]3C3CC3)[C@@H]2C1 ZINC001076068520 815238262 /nfs/dbraw/zinc/23/82/62/815238262.db2.gz NNXNTUCUUYHHSP-JJXSEGSLSA-N 0 1 288.391 0.967 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2CCN(C(=O)CCn3ccnc3)[C@@H]2C1 ZINC001076106091 815244633 /nfs/dbraw/zinc/24/46/33/815244633.db2.gz VIPCASIBOQPSOH-UONOGXRCSA-N 0 1 274.368 0.992 20 30 CCEDMN C#CC[C@H](NC(=O)C(=O)N1CCNC[C@H]1C)c1ccccc1 ZINC001261377787 808962658 /nfs/dbraw/zinc/96/26/58/808962658.db2.gz FYJTVRZJFBJDFE-HIFRSBDPSA-N 0 1 299.374 0.688 20 30 CCEDMN C#CC[C@H](NC(=O)C(=O)N1CCN[C@@H](C)C1)c1ccccc1 ZINC001261377893 808963045 /nfs/dbraw/zinc/96/30/45/808963045.db2.gz ITJHFBXQMLOQAP-ZFWWWQNUSA-N 0 1 299.374 0.688 20 30 CCEDMN CC(C)(O)C[C@H](O)CN1CCN(CCC#N)CC1 ZINC001262016437 809157616 /nfs/dbraw/zinc/15/76/16/809157616.db2.gz VBYHQTNVKQBCPU-LBPRGKRZSA-N 0 1 255.362 0.040 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3OCC[C@H]3C)[C@@H]2C1 ZINC001076256188 815268052 /nfs/dbraw/zinc/26/80/52/815268052.db2.gz ODEDUGAIHLHSAF-RQJABVFESA-N 0 1 262.353 0.577 20 30 CCEDMN C=CCCOCC(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001262151544 809206385 /nfs/dbraw/zinc/20/63/85/809206385.db2.gz NDXFUEVJKXXVAZ-JTQLQIEISA-N 0 1 280.328 0.518 20 30 CCEDMN C=CCCOCC(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001262151544 809206389 /nfs/dbraw/zinc/20/63/89/809206389.db2.gz NDXFUEVJKXXVAZ-JTQLQIEISA-N 0 1 280.328 0.518 20 30 CCEDMN CCOc1cc(C(=O)NCC#CCN(C)C)[nH]n1 ZINC001262185769 809231020 /nfs/dbraw/zinc/23/10/20/809231020.db2.gz ZVXMAOASBLASAX-UHFFFAOYSA-N 0 1 250.302 0.103 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(C)cc1OCCOC ZINC001263089175 809438750 /nfs/dbraw/zinc/43/87/50/809438750.db2.gz BPQJXLGLNTVTLQ-UHFFFAOYSA-N 0 1 290.363 0.859 20 30 CCEDMN Cn1nc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1C(F)F ZINC001263105264 809446737 /nfs/dbraw/zinc/44/67/37/809446737.db2.gz IZFXGKJLNNMFSE-SVRRBLITSA-N 0 1 269.255 0.199 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](CCC(=O)OCC)C1 ZINC001263148673 809460912 /nfs/dbraw/zinc/46/09/12/809460912.db2.gz JKZJFMFCNMABCO-CYBMUJFWSA-N 0 1 280.368 0.791 20 30 CCEDMN N#CC1(CNC(=O)NCCN2CCC2)CCOCC1 ZINC001263772564 809567652 /nfs/dbraw/zinc/56/76/52/809567652.db2.gz RYPNUQAJCOPUGC-UHFFFAOYSA-N 0 1 266.345 0.312 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)Cc1ncc[nH]1 ZINC001263814575 809577412 /nfs/dbraw/zinc/57/74/12/809577412.db2.gz HSBFPYKXCGHOPT-CYBMUJFWSA-N 0 1 292.383 0.688 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1C[C@@H](NCc2nnc(C)[nH]2)C1 ZINC001263827600 809581322 /nfs/dbraw/zinc/58/13/22/809581322.db2.gz JUGSITONWDQCQG-TXEJJXNPSA-N 0 1 293.371 0.300 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)[C@H]2CN3CCN2C[C@H]3C)C1 ZINC001263858205 809590058 /nfs/dbraw/zinc/59/00/58/809590058.db2.gz ZLYNZXAQVPEJOY-RBSFLKMASA-N 0 1 275.396 0.637 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)c2ccncc2)n1 ZINC001264023970 809624099 /nfs/dbraw/zinc/62/40/99/809624099.db2.gz OMALUKOFLHJATG-UHFFFAOYSA-N 0 1 297.318 0.230 20 30 CCEDMN N#Cc1ccccc1CN[C@H]1C[C@H](CNC(=O)C(N)=O)C1 ZINC001264430562 809656361 /nfs/dbraw/zinc/65/63/61/809656361.db2.gz FUUOMODTGZGGHW-MJHDQNEOSA-N 0 1 286.335 0.028 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)CC2CC2)C1 ZINC001264628091 809666456 /nfs/dbraw/zinc/66/64/56/809666456.db2.gz GTHDILCFMWYQLC-CQSZACIVSA-N 0 1 264.369 0.705 20 30 CCEDMN C=CCCCC(=O)N1C[C@H]2CCN([C@H](C)C(N)=O)C[C@H]2C1 ZINC001264650625 809667585 /nfs/dbraw/zinc/66/75/85/809667585.db2.gz YLNJSVCBIZEXLZ-MCIONIFRSA-N 0 1 293.411 0.997 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cncnc2)[C@H]1C ZINC001264696828 809673254 /nfs/dbraw/zinc/67/32/54/809673254.db2.gz DWZHHFLZFJWENQ-OCCSQVGLSA-N 0 1 290.367 0.872 20 30 CCEDMN CCN(CCCNC(=O)C1(C)CCC1)CC(=O)NCC#N ZINC001265128008 809712914 /nfs/dbraw/zinc/71/29/14/809712914.db2.gz MQWHCFUNTUNORI-UHFFFAOYSA-N 0 1 294.399 0.645 20 30 CCEDMN C#CCNC(=O)CN(CC)CCCNC(=O)C(C)(C)C ZINC001265143303 809716281 /nfs/dbraw/zinc/71/62/81/809716281.db2.gz DUDGXBKDDFWBTF-UHFFFAOYSA-N 0 1 281.400 0.610 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CCN2C(=O)CCC2=O)C1 ZINC001265218828 809726559 /nfs/dbraw/zinc/72/65/59/809726559.db2.gz MRTRZGOKPTUBJA-GFCCVEGCSA-N 0 1 293.367 0.292 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CN(C)C(=O)C2CC2)C1 ZINC001265216040 809726688 /nfs/dbraw/zinc/72/66/88/809726688.db2.gz HPATVTQUMSGDJC-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001265234794 809729971 /nfs/dbraw/zinc/72/99/71/809729971.db2.gz BERWSPMAGCPZFH-QWHCGFSZSA-N 0 1 281.400 0.901 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)[C@@H]2CCCO2)C1 ZINC001265267732 809735996 /nfs/dbraw/zinc/73/59/96/809735996.db2.gz AVXWOAFMZFXIPB-STQMWFEESA-N 0 1 268.357 0.559 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)[C@H]2CCCO2)C1 ZINC001265267731 809736405 /nfs/dbraw/zinc/73/64/05/809736405.db2.gz AVXWOAFMZFXIPB-QWHCGFSZSA-N 0 1 268.357 0.559 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCC(=O)N2CCOCC2)C1 ZINC001265314760 809755080 /nfs/dbraw/zinc/75/50/80/809755080.db2.gz IGWFGUSDCLFONG-ZDUSSCGKSA-N 0 1 295.383 0.002 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H](NCC#N)C1CC1 ZINC001265366206 809765683 /nfs/dbraw/zinc/76/56/83/809765683.db2.gz JTMWZSQIENIODY-NWDGAFQWSA-N 0 1 250.346 0.089 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@H]1CCCN(C(=O)C2CC2)C1 ZINC001265384552 809770829 /nfs/dbraw/zinc/77/08/29/809770829.db2.gz KGKLSYNFOJJZOZ-CYBMUJFWSA-N 0 1 291.395 0.316 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCc4c[nH]nc4C3)[C@@H]2C1 ZINC001076592977 815316328 /nfs/dbraw/zinc/31/63/28/815316328.db2.gz DTEAIGNMQKEMBN-IVMMDQJWSA-N 0 1 298.390 0.681 20 30 CCEDMN N#CCNC[C@@H]1CCCN(C(=O)CCc2cnc[nH]2)C1 ZINC001265611756 809814178 /nfs/dbraw/zinc/81/41/78/809814178.db2.gz WDHVULIWPPAKTK-LBPRGKRZSA-N 0 1 275.356 0.694 20 30 CCEDMN CCc1nocc1CN[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001265758717 809843054 /nfs/dbraw/zinc/84/30/54/809843054.db2.gz ITXIRAPUCPXDNY-VHSXEESVSA-N 0 1 264.329 0.991 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)NCc1cnnn1CC ZINC001265769019 809846614 /nfs/dbraw/zinc/84/66/14/809846614.db2.gz MUKPBTMPTXFQRI-GFCCVEGCSA-N 0 1 277.372 0.696 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)NCc1cc(C)n(C)n1 ZINC001265771084 809848179 /nfs/dbraw/zinc/84/81/79/809848179.db2.gz PJCLNYRTXFWEPU-ABAIWWIYSA-N 0 1 294.399 0.650 20 30 CCEDMN C[C@@H](CNC(=O)CCCCc1cn[nH]n1)NCC#N ZINC001265803862 809857221 /nfs/dbraw/zinc/85/72/21/809857221.db2.gz DCZOBRGLCMZWMU-JTQLQIEISA-N 0 1 264.333 0.135 20 30 CCEDMN CNC(=O)[C@H](C)N(CCCNC(=O)C#CC(C)C)C1CC1 ZINC001266135371 809944851 /nfs/dbraw/zinc/94/48/51/809944851.db2.gz HTEOXEGYTRRJML-ZDUSSCGKSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCN(CC(N)=O)C1CC1 ZINC001266159332 809952557 /nfs/dbraw/zinc/95/25/57/809952557.db2.gz MLZOICVSHIHGBJ-OLZOCXBDSA-N 0 1 295.383 0.034 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)CC(N)=O)C1 ZINC001266234079 809968020 /nfs/dbraw/zinc/96/80/20/809968020.db2.gz XAQJPZOZGXUBRT-NEPJUHHUSA-N 0 1 267.373 0.655 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@]2(CO)CCCN(CC)C2)cn1 ZINC001279517715 809985671 /nfs/dbraw/zinc/98/56/71/809985671.db2.gz ASLQWYMYHVSJTP-MRXNPFEDSA-N 0 1 287.363 0.640 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccccn2)C1 ZINC001076679469 815344155 /nfs/dbraw/zinc/34/41/55/815344155.db2.gz YKICYSFSOMHLIP-CHWSQXEVSA-N 0 1 261.325 0.433 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C2CCOCC2)C1 ZINC001076674967 815340602 /nfs/dbraw/zinc/34/06/02/815340602.db2.gz FBBYSKIRPAZLHZ-CHWSQXEVSA-N 0 1 268.357 0.150 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC=CCC2)C1 ZINC001076734108 815356452 /nfs/dbraw/zinc/35/64/52/815356452.db2.gz ZJRPHVOUWPCZMH-MGPQQGTHSA-N 0 1 262.353 0.527 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)CC2CCOCC2)C1 ZINC001076819931 815387711 /nfs/dbraw/zinc/38/77/11/815387711.db2.gz GACSZBURMSTCAO-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC2CCOCC2)C1 ZINC001076819931 815387718 /nfs/dbraw/zinc/38/77/18/815387718.db2.gz GACSZBURMSTCAO-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cncc(C)c2)C1 ZINC001076837301 815388939 /nfs/dbraw/zinc/38/89/39/815388939.db2.gz XNQWLAREMNWEFJ-ZIAGYGMSSA-N 0 1 273.336 0.188 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cncc(C)c2)C1 ZINC001076837301 815388945 /nfs/dbraw/zinc/38/89/45/815388945.db2.gz XNQWLAREMNWEFJ-ZIAGYGMSSA-N 0 1 273.336 0.188 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2cc[nH]c2C)C1 ZINC001076860786 815396016 /nfs/dbraw/zinc/39/60/16/815396016.db2.gz RNIPEPHGQWPQPN-CHWSQXEVSA-N 0 1 263.341 0.674 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@@H]2CCCCO2)C1 ZINC001076869109 815402483 /nfs/dbraw/zinc/40/24/83/815402483.db2.gz QTKOWQYTTBBOCA-BFHYXJOUSA-N 0 1 282.384 0.683 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2cccnc2)C1 ZINC001076886124 815404928 /nfs/dbraw/zinc/40/49/28/815404928.db2.gz YSISOJGMSVMSPP-ZIAGYGMSSA-N 0 1 275.352 0.362 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCCN1CC#CC ZINC001267265149 811066769 /nfs/dbraw/zinc/06/67/69/811066769.db2.gz WWEOCSZIUOFQBM-CYBMUJFWSA-N 0 1 250.342 0.793 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)CCc1ccnn1C ZINC001267270691 811072941 /nfs/dbraw/zinc/07/29/41/811072941.db2.gz VSZRWRUZINCKCG-OAHLLOKOSA-N 0 1 288.395 0.957 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2nccc(C)n2)C1 ZINC001076944262 815420352 /nfs/dbraw/zinc/42/03/52/815420352.db2.gz MFWOWXLPPFDOOA-VXGBXAGGSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H](CC(C)C)C(N)=O ZINC001267282547 811093567 /nfs/dbraw/zinc/09/35/67/811093567.db2.gz DVZNZZIEJWBNCY-CHWSQXEVSA-N 0 1 279.384 0.348 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)CCc1cn(C)nn1 ZINC001267283787 811094995 /nfs/dbraw/zinc/09/49/95/811094995.db2.gz QYKRXDIFDBLEDQ-CQSZACIVSA-N 0 1 289.383 0.352 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2cnn(CCF)c2)C1 ZINC001023455952 811146182 /nfs/dbraw/zinc/14/61/82/811146182.db2.gz VBYHZPBWJULOLP-ZDUSSCGKSA-N 0 1 292.358 0.928 20 30 CCEDMN COCC#CC[N@@H+](CCNC(=O)C1CCOCC1)C1CC1 ZINC001267321557 811153607 /nfs/dbraw/zinc/15/36/07/811153607.db2.gz QJKLBVYHFUHTSD-UHFFFAOYSA-N 0 1 294.395 0.643 20 30 CCEDMN COCC#CCN(CCNC(=O)C1CCOCC1)C1CC1 ZINC001267321557 811153615 /nfs/dbraw/zinc/15/36/15/811153615.db2.gz QJKLBVYHFUHTSD-UHFFFAOYSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCN[C@@H](CNC(=O)Cn1ccnc1)c1ccccc1 ZINC001267381357 811251012 /nfs/dbraw/zinc/25/10/12/811251012.db2.gz AYFPQEBZDFFZJN-HNNXBMFYSA-N 0 1 282.347 0.963 20 30 CCEDMN Cc1noc(CNC[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001023895161 811278348 /nfs/dbraw/zinc/27/83/48/811278348.db2.gz LEFLSCJXUMCCTK-JQWIXIFHSA-N 0 1 291.355 0.866 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)CCNCc2cnnn2C)nc1 ZINC001267457542 811320395 /nfs/dbraw/zinc/32/03/95/811320395.db2.gz KLGIGXKGHJPTTD-UHFFFAOYSA-N 0 1 298.350 0.053 20 30 CCEDMN N#Cc1ccc(C(=O)NCCNCc2cncc(F)c2)[nH]1 ZINC001125775746 811320528 /nfs/dbraw/zinc/32/05/28/811320528.db2.gz AMTKITMVNHPKHI-UHFFFAOYSA-N 0 1 287.298 0.940 20 30 CCEDMN Cc1cc(CNCCNC(=O)c2ccc(C#N)[nH]2)on1 ZINC001125776204 811321157 /nfs/dbraw/zinc/32/11/57/811321157.db2.gz IUYPZWLNIOHCMS-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN Cc1nocc1CNCCNC(=O)c1ccc(C#N)[nH]1 ZINC001125776660 811322954 /nfs/dbraw/zinc/32/29/54/811322954.db2.gz TXSZEDQBIGGNFK-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(CCC)[C@@H]1CCN(CCO)C1 ZINC001267460273 811325024 /nfs/dbraw/zinc/32/50/24/811325024.db2.gz UBBFDOKPGLFUFZ-UONOGXRCSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3c[nH]nc3C)C[C@H]21 ZINC001042172974 811346345 /nfs/dbraw/zinc/34/63/45/811346345.db2.gz KSWVZGYUCGTYES-GXTWGEPZSA-N 0 1 272.352 0.888 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2n[nH]cc2C)CC1 ZINC001267572608 811463731 /nfs/dbraw/zinc/46/37/31/811463731.db2.gz QSWFJXIILTVPSN-UHFFFAOYSA-N 0 1 291.399 0.594 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)C2CC2)CC1 ZINC001267573763 811466195 /nfs/dbraw/zinc/46/61/95/811466195.db2.gz LEGFONNJVNXPMF-UHFFFAOYSA-N 0 1 263.385 0.496 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)[C@H](C)C#N)CC1 ZINC001267606123 811545497 /nfs/dbraw/zinc/54/54/97/811545497.db2.gz OCGSUELLLRIOEP-CQSZACIVSA-N 0 1 276.384 0.245 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCO3)[C@@H]2C1 ZINC001075521746 811545517 /nfs/dbraw/zinc/54/55/17/811545517.db2.gz ZHEGQZGUXBBTST-BFHYXJOUSA-N 0 1 262.353 0.721 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@@]2(C)CCOC2)CC1 ZINC001267617306 811575196 /nfs/dbraw/zinc/57/51/96/811575196.db2.gz BTZSCTOTAHQLGB-INIZCTEOSA-N 0 1 295.427 0.675 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C(N)=O)C1 ZINC001267627978 811586390 /nfs/dbraw/zinc/58/63/90/811586390.db2.gz QHGLKKSWFOREAS-LBPRGKRZSA-N 0 1 297.399 0.281 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)C(C)(C)C(N)=O)C1 ZINC001267627978 811586396 /nfs/dbraw/zinc/58/63/96/811586396.db2.gz QHGLKKSWFOREAS-LBPRGKRZSA-N 0 1 297.399 0.281 20 30 CCEDMN C=CCCN1CC(NC(=O)[C@@H](C)CN2CCOCC2)C1 ZINC001267669312 811634106 /nfs/dbraw/zinc/63/41/06/811634106.db2.gz GJVNNPRLWBHBSW-ZDUSSCGKSA-N 0 1 281.400 0.331 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2[nH]c(C)cc2C)C1 ZINC001077239771 815465475 /nfs/dbraw/zinc/46/54/75/815465475.db2.gz PJXLRJKNPMJTOK-CHWSQXEVSA-N 0 1 277.368 0.983 20 30 CCEDMN C=C1CC(C)(C(=O)NCC2CN(CCn3cncn3)C2)C1 ZINC001267692918 811658144 /nfs/dbraw/zinc/65/81/44/811658144.db2.gz NXYASTCIQQLDJH-UHFFFAOYSA-N 0 1 289.383 0.682 20 30 CCEDMN N#C[C@H](NC(=O)C[C@H]1COCCN1)c1ccccc1 ZINC001219558410 811683825 /nfs/dbraw/zinc/68/38/25/811683825.db2.gz PSMAYBAJXWKGIC-STQMWFEESA-N 0 1 259.309 0.746 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN([C@@H]2CCCN(C)C2=O)C1 ZINC001267723166 811688777 /nfs/dbraw/zinc/68/87/77/811688777.db2.gz YHRJWSQPBSYOKJ-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)C(F)(F)F)[C@@H](O)C1 ZINC001220156446 811717419 /nfs/dbraw/zinc/71/74/19/811717419.db2.gz VZNVZZITDIJXBR-BBBLOLIVSA-N 0 1 278.274 0.369 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(C)C(=O)CNC(=O)c2ccccc2)C1 ZINC001267776341 811776977 /nfs/dbraw/zinc/77/69/77/811776977.db2.gz JMSCWOFYVJTQFF-OAHLLOKOSA-N 0 1 299.374 0.582 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CNC(=O)c2ccccc2)C1 ZINC001267776341 811776984 /nfs/dbraw/zinc/77/69/84/811776984.db2.gz JMSCWOFYVJTQFF-OAHLLOKOSA-N 0 1 299.374 0.582 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cc3nonc3C)C[C@@H]21 ZINC001042294288 811792963 /nfs/dbraw/zinc/79/29/63/811792963.db2.gz MPFRBAQBGIZCLR-OCCSQVGLSA-N 0 1 288.351 0.477 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)CCCF)C2)nn1 ZINC001105305983 811903264 /nfs/dbraw/zinc/90/32/64/811903264.db2.gz VVEUEPLMLINHFM-UHFFFAOYSA-N 0 1 293.346 0.524 20 30 CCEDMN CC[C@H]1OC(C)=C(OCC(=O)N2CCN(C)CC2)C1=O ZINC001222543666 811934208 /nfs/dbraw/zinc/93/42/08/811934208.db2.gz TWYIHWQGVOIOEA-LLVKDONJSA-N 0 1 282.340 0.386 20 30 CCEDMN Cc1nc([C@@H](C)NCCNC(=O)c2c[nH]c(C#N)c2)n[nH]1 ZINC001125994363 811970771 /nfs/dbraw/zinc/97/07/71/811970771.db2.gz ABDLFNMDWOCXTC-MRVPVSSYSA-N 0 1 287.327 0.394 20 30 CCEDMN CCOC(=O)[C@@H](C#C[Si](C)(C)C)OCc1nn[nH]n1 ZINC001223026962 812073943 /nfs/dbraw/zinc/07/39/43/812073943.db2.gz KOYOTCNKCIUACL-SECBINFHSA-N 0 1 282.376 0.529 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@@H]1CC[N@H+](CCC)C1 ZINC000710308554 819824034 /nfs/dbraw/zinc/82/40/34/819824034.db2.gz FNDLTVJPUUBAOX-GFCCVEGCSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1cnnn1CC ZINC001027871614 812108759 /nfs/dbraw/zinc/10/87/59/812108759.db2.gz ODWJUWDXVFQPQN-GFCCVEGCSA-N 0 1 275.356 0.516 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1nnn(C(C)(C)C)n1 ZINC001027893096 812125501 /nfs/dbraw/zinc/12/55/01/812125501.db2.gz MLMOJSFXTGJNBD-NSHDSACASA-N 0 1 292.387 0.808 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001027917025 812140225 /nfs/dbraw/zinc/14/02/25/812140225.db2.gz YYACOQCPNBNYPV-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001027917025 812140228 /nfs/dbraw/zinc/14/02/28/812140228.db2.gz YYACOQCPNBNYPV-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001027977467 812188116 /nfs/dbraw/zinc/18/81/16/812188116.db2.gz SQVFNWWGJIFLMW-CYBMUJFWSA-N 0 1 273.336 0.213 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(C(N)=O)cn1C ZINC001027999983 812206882 /nfs/dbraw/zinc/20/68/82/812206882.db2.gz VIHBMIGYRPAZAJ-GFCCVEGCSA-N 0 1 290.367 0.504 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cc(C)nn3C)[C@@H]2C1 ZINC001075594723 812209497 /nfs/dbraw/zinc/20/94/97/812209497.db2.gz KLXVHGMPRKDGEQ-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cn(C)ccc1=O ZINC001028016889 812219244 /nfs/dbraw/zinc/21/92/44/812219244.db2.gz NRCOXPVFAQUDFB-LBPRGKRZSA-N 0 1 275.352 0.766 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCCCC(N)=O)C1 ZINC001268112030 812271530 /nfs/dbraw/zinc/27/15/30/812271530.db2.gz JNGVZICYEIFGHR-CYBMUJFWSA-N 0 1 279.384 0.636 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cc(C)on1 ZINC001268230488 812389895 /nfs/dbraw/zinc/38/98/95/812389895.db2.gz RDMUVUKEGMFXRE-UHFFFAOYSA-N 0 1 279.340 0.637 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ncccn1 ZINC001028213799 812396152 /nfs/dbraw/zinc/39/61/52/812396152.db2.gz LVQJSOLVWCTVOX-GFCCVEGCSA-N 0 1 258.325 0.694 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)Cc1c(C)nn(C)c1C ZINC001268243071 812408514 /nfs/dbraw/zinc/40/85/14/812408514.db2.gz HLTVPZLJLUAYNL-UHFFFAOYSA-N 0 1 290.411 0.993 20 30 CCEDMN C#CCCCC(=O)NC1CN(C[C@@H]2CCOC2)C1 ZINC001268279227 812441520 /nfs/dbraw/zinc/44/15/20/812441520.db2.gz FDXRCZCTYJNWQT-LBPRGKRZSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CCN[C@H](C)c1nnnn1C ZINC001268347107 812510753 /nfs/dbraw/zinc/51/07/53/812510753.db2.gz BAFCWJYEUHMVOD-GHMZBOCLSA-N 0 1 280.376 0.722 20 30 CCEDMN Cc1nnc(CNCC[C@@H](C)NC(=O)C#CC(C)C)[nH]1 ZINC001268362126 812520010 /nfs/dbraw/zinc/52/00/10/812520010.db2.gz BUXZPMPYOPVZIK-LLVKDONJSA-N 0 1 277.372 0.757 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2ccn3cncc3c2)[C@@H](O)C1 ZINC001083607444 815534805 /nfs/dbraw/zinc/53/48/05/815534805.db2.gz YMSONRZGUVZIQP-CABCVRRESA-N 0 1 298.346 0.133 20 30 CCEDMN CCN(CCNCc1cnnn1C)C(=O)C#CC1CC1 ZINC001268449524 812578782 /nfs/dbraw/zinc/57/87/82/812578782.db2.gz WJTZWXNTPWDIKU-UHFFFAOYSA-N 0 1 275.356 0.167 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccnc(C3CC3)c2)[C@@H](O)C1 ZINC001083609674 815538122 /nfs/dbraw/zinc/53/81/22/815538122.db2.gz IIFDELYQFPWTPW-CVEARBPZSA-N 0 1 299.374 0.757 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)C1CCN(C(C)=O)CC1 ZINC001028306495 812609500 /nfs/dbraw/zinc/60/95/00/812609500.db2.gz IGULZTKHIPHYGH-HNNXBMFYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001028327682 812619631 /nfs/dbraw/zinc/61/96/31/812619631.db2.gz AYIPHSWGUXBYOK-GXTWGEPZSA-N 0 1 286.379 0.728 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cnc(C)nc1 ZINC001126365703 812685140 /nfs/dbraw/zinc/68/51/40/812685140.db2.gz MVROLTKBUKXQBJ-CQSZACIVSA-N 0 1 278.356 0.318 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H]1CCN(CC#N)C1 ZINC001028447014 812713271 /nfs/dbraw/zinc/71/32/71/812713271.db2.gz KXVDZXUKARLDNS-VXGBXAGGSA-N 0 1 250.346 0.042 20 30 CCEDMN C#CCCCC(=O)NC/C=C/CNCC(=O)N1CCC1 ZINC001268531976 812776003 /nfs/dbraw/zinc/77/60/03/812776003.db2.gz HETXOYRUJDATEE-AATRIKPKSA-N 0 1 277.368 0.284 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2cncc3[nH]cnc32)C1 ZINC001028556050 812835664 /nfs/dbraw/zinc/83/56/64/812835664.db2.gz OEQQSPYGFNUGPS-LLVKDONJSA-N 0 1 283.335 0.643 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](Nc2nccnc2C#N)C1 ZINC001059025516 812850612 /nfs/dbraw/zinc/85/06/12/812850612.db2.gz RPUHFHKDNMRCQG-VIFPVBQESA-N 0 1 298.310 0.101 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2n[nH]c(C)c2C)[C@@H](O)C1 ZINC001083376710 812920755 /nfs/dbraw/zinc/92/07/55/812920755.db2.gz GUVIPDAPYXKMIC-NEPJUHHUSA-N 0 1 278.356 0.378 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ocnc2C)[C@@H](O)C1 ZINC001083388712 812929867 /nfs/dbraw/zinc/92/98/67/812929867.db2.gz GOZCFWVGQWWZNJ-MNOVXSKESA-N 0 1 265.313 0.334 20 30 CCEDMN Cn1nccc1CC(=O)NCCNCc1ccccc1C#N ZINC001126393177 812969395 /nfs/dbraw/zinc/96/93/95/812969395.db2.gz MJTNHSNJEGYDGC-UHFFFAOYSA-N 0 1 297.362 0.740 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC001028618865 812978462 /nfs/dbraw/zinc/97/84/62/812978462.db2.gz AKSQXSYMKHROTK-LLVKDONJSA-N 0 1 276.340 0.810 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)CN[C@H](C)c1cnccn1 ZINC001268683258 813005546 /nfs/dbraw/zinc/00/55/46/813005546.db2.gz SWXDXANJSFREPH-NWDGAFQWSA-N 0 1 278.356 0.835 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H](C)CNCc1cnoc1C ZINC001268688563 813011061 /nfs/dbraw/zinc/01/10/61/813011061.db2.gz INTOFLYWUSASDH-CMPLNLGQSA-N 0 1 279.340 0.616 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)[C@H](C)n1cccn1 ZINC001268723737 813035276 /nfs/dbraw/zinc/03/52/76/813035276.db2.gz ZXVUUYWQTAVIEG-KBPBESRZSA-N 0 1 292.383 0.530 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccn(CCOC)n1 ZINC001268737692 813051065 /nfs/dbraw/zinc/05/10/65/813051065.db2.gz MIQCERVNRNABAO-CYBMUJFWSA-N 0 1 292.383 0.603 20 30 CCEDMN C=CCCC[N@H+](C)C[C@@H](C)NC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001268737359 813051471 /nfs/dbraw/zinc/05/14/71/813051471.db2.gz KTPMQOHAUQTWAN-SECBINFHSA-N 0 1 295.343 0.299 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnn2cc(C)cnc12 ZINC001268747744 813062724 /nfs/dbraw/zinc/06/27/24/813062724.db2.gz BJQLUTKUFNPKLN-LBPRGKRZSA-N 0 1 285.351 0.721 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(C(=O)c1cnc[nH]1)CC2 ZINC001268867564 813123874 /nfs/dbraw/zinc/12/38/74/813123874.db2.gz JFRMRZQCKCGDMO-NSHDSACASA-N 0 1 286.335 0.401 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2C(=O)c2cnc[nH]2)C1=O ZINC001268868472 813124663 /nfs/dbraw/zinc/12/46/63/813124663.db2.gz UKQURHBUVKAMRE-CQSZACIVSA-N 0 1 274.324 0.803 20 30 CCEDMN CCC#CC(=O)N1CC2(C[C@@H]2C(=O)NCc2cnc[nH]2)C1 ZINC001268873844 813125334 /nfs/dbraw/zinc/12/53/34/813125334.db2.gz UKRSPIFQDRPLJV-GFCCVEGCSA-N 0 1 286.335 0.288 20 30 CCEDMN CCC#CC(=O)N1Cc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001268873293 813128213 /nfs/dbraw/zinc/12/82/13/813128213.db2.gz LGPPWTKYCQWXBC-UHFFFAOYSA-N 0 1 260.297 0.367 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2cnn(C)c2C)C1 ZINC001269029749 813184255 /nfs/dbraw/zinc/18/42/55/813184255.db2.gz JVCCCJUAFCBXQC-HNNXBMFYSA-N 0 1 288.395 0.875 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCN[C@H](C)c1cnccn1 ZINC001127046645 815602745 /nfs/dbraw/zinc/60/27/45/815602745.db2.gz UEUMMVKILDRRSM-NEPJUHHUSA-N 0 1 276.340 0.282 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001269129467 813230877 /nfs/dbraw/zinc/23/08/77/813230877.db2.gz KMAQPEJCVXPADD-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cn(CC)cn2)C1 ZINC001269186715 813254987 /nfs/dbraw/zinc/25/49/87/813254987.db2.gz FCTOZUHJGYGYND-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CO[C@H]1CCOC1 ZINC001269235352 813281844 /nfs/dbraw/zinc/28/18/44/813281844.db2.gz KBUQMZUNZKNMDO-STQMWFEESA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CCn1ccccc1=O ZINC001269239686 813285076 /nfs/dbraw/zinc/28/50/76/813285076.db2.gz CZMKVHBKUQLERU-CQSZACIVSA-N 0 1 287.363 0.452 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCc2nc(C)no2)C1 ZINC001269262362 813295534 /nfs/dbraw/zinc/29/55/34/813295534.db2.gz HDRDPNRQHUJBFB-LBPRGKRZSA-N 0 1 276.340 0.477 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H](CC(C)C)NC(C)=O)C1 ZINC001269292422 813311285 /nfs/dbraw/zinc/31/12/85/813311285.db2.gz MQCXNYHUQNBKHL-CABCVRRESA-N 0 1 293.411 0.703 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001269320991 813323831 /nfs/dbraw/zinc/32/38/31/813323831.db2.gz MULSRASVYOOOQU-NWDGAFQWSA-N 0 1 265.357 0.422 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CNC(=O)c2c[nH]c(=O)n2C)C1 ZINC001028738224 813409967 /nfs/dbraw/zinc/40/99/67/813409967.db2.gz QLZVDLAMLUWYSD-JTQLQIEISA-N 0 1 298.774 0.930 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001028766400 813465868 /nfs/dbraw/zinc/46/58/68/813465868.db2.gz RHHKDGLHRXHYJJ-RYUDHWBXSA-N 0 1 287.367 0.296 20 30 CCEDMN C#CCNC(=O)CN1CC[C@]2(CCN(C(=O)CC)C2)C1 ZINC001269713677 813479151 /nfs/dbraw/zinc/47/91/51/813479151.db2.gz GBUGERBXATZKBV-HNNXBMFYSA-N 0 1 277.368 0.070 20 30 CCEDMN C=C1CCC(C(=O)NC[C@@H]2CCN(CC(=O)NC)C2)CC1 ZINC001028781440 813495222 /nfs/dbraw/zinc/49/52/22/813495222.db2.gz WFIMMURSJIZNMI-ZDUSSCGKSA-N 0 1 293.411 0.917 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cn[nH]n2)C1 ZINC001269812785 813519054 /nfs/dbraw/zinc/51/90/54/813519054.db2.gz SYVVYEABLOMENP-JTQLQIEISA-N 0 1 263.345 0.916 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)CCCCNC(N)=O)C1 ZINC001270608065 813836412 /nfs/dbraw/zinc/83/64/12/813836412.db2.gz VALQFRHBAOXDSE-OAHLLOKOSA-N 0 1 294.399 0.429 20 30 CCEDMN C[C@@H](NCc1cnon1)C1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001029069900 813850624 /nfs/dbraw/zinc/85/06/24/813850624.db2.gz UOEVVQGHNQSFPC-WDEREUQCSA-N 0 1 291.355 0.946 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCCn2nccc21 ZINC001038432965 813977908 /nfs/dbraw/zinc/97/79/08/813977908.db2.gz SRDJWNHWIGBDOJ-KBPBESRZSA-N 0 1 286.379 0.974 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2[C@H]1CCN(C)C1=O ZINC001029244332 814013186 /nfs/dbraw/zinc/01/31/86/814013186.db2.gz CXYVOEVUGJELKJ-NDBYEHHHSA-N 0 1 290.367 0.052 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cocn2)CC1 ZINC001226542466 814040144 /nfs/dbraw/zinc/04/01/44/814040144.db2.gz OKVOYMUZQHFGJJ-UHFFFAOYSA-N 0 1 277.324 0.519 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](C)CC(N)=O)C1 ZINC001270981850 814107544 /nfs/dbraw/zinc/10/75/44/814107544.db2.gz COFZBPQBKGZJSB-WFASDCNBSA-N 0 1 279.384 0.349 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cnnn1C ZINC001126535113 814125471 /nfs/dbraw/zinc/12/54/71/814125471.db2.gz FNKAKDYODGPXAT-UHFFFAOYSA-N 0 1 288.149 0.043 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C2=CCOCC2)[C@H]1CC ZINC001087551579 814145395 /nfs/dbraw/zinc/14/53/95/814145395.db2.gz JVXHTXYVTHBAEL-UONOGXRCSA-N 0 1 262.353 0.935 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2ncoc2C)C1 ZINC001271119587 814160292 /nfs/dbraw/zinc/16/02/92/814160292.db2.gz ILYYTYPFXPUVDI-CQSZACIVSA-N 0 1 277.324 0.173 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2n[nH]cc2C)[C@H]1CC ZINC001087565106 814165426 /nfs/dbraw/zinc/16/54/26/814165426.db2.gz JUHAQRYIFYCBDT-NWDGAFQWSA-N 0 1 260.341 0.934 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)[C@H]1CC ZINC001087592233 814172290 /nfs/dbraw/zinc/17/22/90/814172290.db2.gz GKQGSIBHYGBKKT-MELADBBJSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@]34C[C@H]3COC4)[C@@H]2C1 ZINC001075816809 814185551 /nfs/dbraw/zinc/18/55/51/814185551.db2.gz RQHDLLUQAAVDML-AYDFFVQHSA-N 0 1 274.364 0.579 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001059458474 814318328 /nfs/dbraw/zinc/31/83/28/814318328.db2.gz KJDOFIMEMAJBRK-MGCOHNPYSA-N 0 1 283.295 0.444 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2(CC)CC2)C1 ZINC001271185398 814328477 /nfs/dbraw/zinc/32/84/77/814328477.db2.gz YBEHYQIFGFAAKP-CQSZACIVSA-N 0 1 250.342 0.363 20 30 CCEDMN N#CCCCC(=O)N1CC2(CN(CCn3ccnc3)C2)C1 ZINC001271256856 814352208 /nfs/dbraw/zinc/35/22/08/814352208.db2.gz YORMBQMNYDYTQS-UHFFFAOYSA-N 0 1 287.367 0.721 20 30 CCEDMN CN1CCN(C(=O)CCCC#N)C[C@]2(CCCC(=O)N2)C1 ZINC001271252552 814352426 /nfs/dbraw/zinc/35/24/26/814352426.db2.gz JNIKYZZLMBQKNE-HNNXBMFYSA-N 0 1 292.383 0.493 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@@H]1CNCC#N ZINC001271595376 814485314 /nfs/dbraw/zinc/48/53/14/814485314.db2.gz ZYBPQUDLRLDCHK-MNOVXSKESA-N 0 1 261.329 0.160 20 30 CCEDMN C[C@H]1CN(C(=O)Cc2ccn[nH]2)C[C@@H]1CNCC#N ZINC001271595381 814485332 /nfs/dbraw/zinc/48/53/32/814485332.db2.gz ZYBPQUDLRLDCHK-QWRGUYRKSA-N 0 1 261.329 0.160 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CN(C)Cc2cnnn2C)C1 ZINC001029678771 814534660 /nfs/dbraw/zinc/53/46/60/814534660.db2.gz SSIRDCGPAYFYNO-NWDGAFQWSA-N 0 1 290.371 0.255 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CN(C)Cc2cnnn2C)C1 ZINC001029678773 814534979 /nfs/dbraw/zinc/53/49/79/814534979.db2.gz SSIRDCGPAYFYNO-VXGBXAGGSA-N 0 1 290.371 0.255 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)C2(C3CC3)CC2)CC1 ZINC001271911771 814628359 /nfs/dbraw/zinc/62/83/59/814628359.db2.gz LJMSVZNYMRDLPK-UHFFFAOYSA-N 0 1 290.411 0.870 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)C1CCC(O)CC1 ZINC001272004261 814698252 /nfs/dbraw/zinc/69/82/52/814698252.db2.gz DFUZINZNNBBDNC-UHFFFAOYSA-N 0 1 252.358 0.561 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)CNC(=O)C1CC1 ZINC001272005082 814699116 /nfs/dbraw/zinc/69/91/16/814699116.db2.gz LOPZGYAATIIXEY-KBPBESRZSA-N 0 1 289.379 0.211 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+](C)CC2CCN(C(C)=O)CC2)C1=O ZINC001029923166 814735002 /nfs/dbraw/zinc/73/50/02/814735002.db2.gz ULDSQAHAUWMIJX-OAHLLOKOSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnc2[nH]cnc2c1 ZINC001272028184 814756557 /nfs/dbraw/zinc/75/65/57/814756557.db2.gz SHEZQEPWZJKWOC-UHFFFAOYSA-N 0 1 271.324 0.595 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c[nH]c(=O)cn2)[C@H]1C ZINC000986744331 814765499 /nfs/dbraw/zinc/76/54/99/814765499.db2.gz AKBDPJCEJHKDJD-VHSXEESVSA-N 0 1 296.758 0.715 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cncc2ncn(C)c21 ZINC001272040575 814864962 /nfs/dbraw/zinc/86/49/62/814864962.db2.gz BLDNMBIEWUJZDF-UHFFFAOYSA-N 0 1 299.378 0.995 20 30 CCEDMN C#CCCN(C)CCN(C)C(=O)c1cc(=O)c(OC)c[nH]1 ZINC001272067797 814904829 /nfs/dbraw/zinc/90/48/29/814904829.db2.gz NQQSYMOTXFWYGF-UHFFFAOYSA-N 0 1 291.351 0.411 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cccc(OC)n1 ZINC001127039624 815589948 /nfs/dbraw/zinc/58/99/48/815589948.db2.gz NKUNVFQGHSQAMU-GFCCVEGCSA-N 0 1 291.351 0.334 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC1CN(Cc2cccc(C#N)c2)C1 ZINC001030154713 815841815 /nfs/dbraw/zinc/84/18/15/815841815.db2.gz JPSSCELZMUXLKG-INIZCTEOSA-N 0 1 298.390 0.953 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(OC)c(C(=O)OC)c1 ZINC001120452825 816073370 /nfs/dbraw/zinc/07/33/70/816073370.db2.gz LJDHUGPZLQXLGN-UHFFFAOYSA-N 0 1 290.319 0.321 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2cnco2)C1 ZINC001077475212 816108158 /nfs/dbraw/zinc/10/81/58/816108158.db2.gz ZAMBRMOZJNINJN-GHMZBOCLSA-N 0 1 265.313 0.416 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2nn(CC)nc2C)[C@H]1C ZINC001089081907 816237660 /nfs/dbraw/zinc/23/76/60/816237660.db2.gz XPHDKEIRFLXLTN-NEPJUHHUSA-N 0 1 277.372 0.985 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nn(CC)nc2C)[C@H]1C ZINC001089081907 816237665 /nfs/dbraw/zinc/23/76/65/816237665.db2.gz XPHDKEIRFLXLTN-NEPJUHHUSA-N 0 1 277.372 0.985 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@@](C)(c2ccccc2)C1 ZINC001121875250 816464708 /nfs/dbraw/zinc/46/47/08/816464708.db2.gz MQUUSPDRDRJENG-MRXNPFEDSA-N 0 1 272.348 0.983 20 30 CCEDMN N#CCCCN1C(=O)COCC12CN(CC1CC1)C2 ZINC001272484438 816477049 /nfs/dbraw/zinc/47/70/49/816477049.db2.gz UPUANACXCVMQQU-UHFFFAOYSA-N 0 1 263.341 0.613 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C1(C#N)CCSCC1 ZINC001122011153 816531158 /nfs/dbraw/zinc/53/11/58/816531158.db2.gz JBMROSFSODSXGD-VHSXEESVSA-N 0 1 264.354 0.251 20 30 CCEDMN C=C(C)CN1CC[C@]2(CCCN2C[C@@H]2CCC(=O)N2)C1=O ZINC001272525274 816549075 /nfs/dbraw/zinc/54/90/75/816549075.db2.gz QVGVSNRGFULBND-XJKSGUPXSA-N 0 1 291.395 0.908 20 30 CCEDMN C#CCN1C[C@@]2(F)CN(Cc3cc(C)[nH]n3)C[C@@]2(F)C1=O ZINC001272545082 816569481 /nfs/dbraw/zinc/56/94/81/816569481.db2.gz WSZLZZRCHDINMK-UONOGXRCSA-N 0 1 294.305 0.426 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2c(C)cnn2C)C1 ZINC001046552295 816631283 /nfs/dbraw/zinc/63/12/83/816631283.db2.gz AIRWGPBDRLMWNC-AWEZNQCLSA-N 0 1 260.341 0.556 20 30 CCEDMN Cc1cc(NCC[C@@H](C)NC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001106638475 816829160 /nfs/dbraw/zinc/82/91/60/816829160.db2.gz OIUWUDWNZUPOFQ-SECBINFHSA-N 0 1 299.338 0.422 20 30 CCEDMN C[C@H](CCNc1cnc(C#N)cn1)NC(=O)c1cnn[nH]1 ZINC001106639240 816831696 /nfs/dbraw/zinc/83/16/96/816831696.db2.gz ZLTPWPLDVZFKCE-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN CCN(CCNc1cc(C)ncc1C#N)C(=O)c1ccn[nH]1 ZINC001106697554 816853296 /nfs/dbraw/zinc/85/32/96/816853296.db2.gz CYEUIAPUXGWTOJ-UHFFFAOYSA-N 0 1 298.350 0.981 20 30 CCEDMN CCN(CCNc1ccncc1C#N)C(=O)c1cnc(C)[nH]1 ZINC001106705846 816858420 /nfs/dbraw/zinc/85/84/20/816858420.db2.gz KXMDRFPUGWLDAS-UHFFFAOYSA-N 0 1 298.350 0.981 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001046808770 816924097 /nfs/dbraw/zinc/92/40/97/816924097.db2.gz AIWITPUFPQFVJG-XJKSGUPXSA-N 0 1 291.395 0.601 20 30 CCEDMN Cc1cnc([C@H](C)NCCNC(=O)CSCC#N)cn1 ZINC001123792467 817069679 /nfs/dbraw/zinc/06/96/79/817069679.db2.gz XYYVTZNDXBMUQR-NSHDSACASA-N 0 1 293.396 0.809 20 30 CCEDMN CC1(C)C[C@@H](NC(=O)c2nc[nH]n2)CCN(CC#N)C1 ZINC001089519430 817178993 /nfs/dbraw/zinc/17/89/93/817178993.db2.gz MKKIJKYIULCJRZ-JTQLQIEISA-N 0 1 276.344 0.549 20 30 CCEDMN CC1(C)C[C@@H](NC(=O)c2ncn[nH]2)CCN(CC#N)C1 ZINC001089519430 817178996 /nfs/dbraw/zinc/17/89/96/817178996.db2.gz MKKIJKYIULCJRZ-JTQLQIEISA-N 0 1 276.344 0.549 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCC1CN(CC=C)C1 ZINC001031741466 817306141 /nfs/dbraw/zinc/30/61/41/817306141.db2.gz ZWYWGKVPFJGCJK-AWEZNQCLSA-N 0 1 261.369 0.318 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C1 ZINC001031743421 817307503 /nfs/dbraw/zinc/30/75/03/817307503.db2.gz UAAZSGWYIRHEIO-VXGBXAGGSA-N 0 1 260.341 0.747 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc3n2CCOC3)C1 ZINC001031745009 817309373 /nfs/dbraw/zinc/30/93/73/817309373.db2.gz DRELRPPNPNJOJN-UHFFFAOYSA-N 0 1 276.340 0.261 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnc(C)n2C)C1 ZINC001031925179 817470527 /nfs/dbraw/zinc/47/05/27/817470527.db2.gz ZWEBXNWLQHRYKH-UHFFFAOYSA-N 0 1 262.357 0.966 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccoc2CC(N)=O)C1 ZINC001031927335 817470544 /nfs/dbraw/zinc/47/05/44/817470544.db2.gz GMZUXXSWHVJLDK-UHFFFAOYSA-N 0 1 277.324 0.155 20 30 CCEDMN C=CCCC(=O)NCCN[C@@H](C)c1n[nH]c(C)n1 ZINC001124640934 817529385 /nfs/dbraw/zinc/52/93/85/817529385.db2.gz UQJSMIUAHIFOSR-VIFPVBQESA-N 0 1 251.334 0.846 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2cccnc2C)[C@@H](O)C1 ZINC001083776903 817536463 /nfs/dbraw/zinc/53/64/63/817536463.db2.gz VPGPLJIDCGDGNT-CABCVRRESA-N 0 1 287.363 0.117 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c(C)nn(C)c2OC)C1 ZINC001032021173 817555443 /nfs/dbraw/zinc/55/54/43/817555443.db2.gz JORQFDVSPBZLAW-UHFFFAOYSA-N 0 1 278.356 0.585 20 30 CCEDMN C=CCCn1cc(C(=O)NCC2CN(CCOC)C2)nn1 ZINC001032041467 817574382 /nfs/dbraw/zinc/57/43/82/817574382.db2.gz PCEGPXUAJNLXDQ-UHFFFAOYSA-N 0 1 293.371 0.162 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCc1n[nH]c(C(C)(C)C)n1 ZINC001124890509 817618849 /nfs/dbraw/zinc/61/88/49/817618849.db2.gz YGMKRQAGBHNNRR-SECBINFHSA-N 0 1 278.360 0.468 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCc1n[nH]c(C(C)(C)C)n1 ZINC001124890511 817618939 /nfs/dbraw/zinc/61/89/39/817618939.db2.gz YGMKRQAGBHNNRR-VIFPVBQESA-N 0 1 278.360 0.468 20 30 CCEDMN Cc1cc(CNCCNC(=O)[C@@H](C)C#N)sn1 ZINC001124901670 817627811 /nfs/dbraw/zinc/62/78/11/817627811.db2.gz BNCKFISHBQIVET-QMMMGPOBSA-N 0 1 252.343 0.817 20 30 CCEDMN N#CCN1CC(CNC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001032100842 817634076 /nfs/dbraw/zinc/63/40/76/817634076.db2.gz OHDUKFRKZFBVFD-UHFFFAOYSA-N 0 1 296.334 0.657 20 30 CCEDMN CC#CCN1CC(CNC(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC001032111597 817640391 /nfs/dbraw/zinc/64/03/91/817640391.db2.gz KUFUWRSGGAZTEV-CYBMUJFWSA-N 0 1 286.379 0.586 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc3nnn(C)c3c2)C1 ZINC001032153252 817667035 /nfs/dbraw/zinc/66/70/35/817667035.db2.gz YUDPUOFIYVTWHS-UHFFFAOYSA-N 0 1 285.351 0.816 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001032173603 817677670 /nfs/dbraw/zinc/67/76/70/817677670.db2.gz JPQQNBARRZHZHY-UHFFFAOYSA-N 0 1 293.411 0.869 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]cnc2CC)C1 ZINC001047612965 817796231 /nfs/dbraw/zinc/79/62/31/817796231.db2.gz UVYIUJFMJWSRLS-STQMWFEESA-N 0 1 290.367 0.113 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cn1cc(C)cn1 ZINC001032304861 817823628 /nfs/dbraw/zinc/82/36/28/817823628.db2.gz WMIHYJOXEHNKKP-KBPBESRZSA-N 0 1 272.352 0.500 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(CC)C[C@H]2O)CC1 ZINC001077625055 817882825 /nfs/dbraw/zinc/88/28/25/817882825.db2.gz OPRCEVQMQZMNID-CHWSQXEVSA-N 0 1 252.358 0.914 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2ccn(C)c2C)C1 ZINC001077635926 817896568 /nfs/dbraw/zinc/89/65/68/817896568.db2.gz WYVABBYXMDOWAA-ZIAGYGMSSA-N 0 1 277.368 0.685 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H](C)C#N)CC2(CCOCC2)C1 ZINC001099571518 817931336 /nfs/dbraw/zinc/93/13/36/817931336.db2.gz JIOIHGUZNPZGNL-KGLIPLIRSA-N 0 1 289.379 0.767 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1COC(=O)C1 ZINC001032356898 817976971 /nfs/dbraw/zinc/97/69/71/817976971.db2.gz YYBVAXICUOWJBI-AGIUHOORSA-N 0 1 278.352 0.801 20 30 CCEDMN C[C@H](CNc1cncc(C#N)n1)NC(=O)CN1CCCC1 ZINC001107768826 817997001 /nfs/dbraw/zinc/99/70/01/817997001.db2.gz JFMCAZQFGPCMJE-LLVKDONJSA-N 0 1 288.355 0.361 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@@H](C)OC)C1 ZINC001107782139 818003123 /nfs/dbraw/zinc/00/31/23/818003123.db2.gz PCTQVBIPGAQWNY-TZMCWYRMSA-N 0 1 270.373 0.805 20 30 CCEDMN CC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C[C@@H]1O ZINC001089975780 818101336 /nfs/dbraw/zinc/10/13/36/818101336.db2.gz LPGPHHYVNUQZSA-GJZGRUSLSA-N 0 1 273.336 0.630 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CC23CCC3)[C@@H](O)C1 ZINC001083819560 818287015 /nfs/dbraw/zinc/28/70/15/818287015.db2.gz GYWKPAUWQGPREU-UPJWGTAASA-N 0 1 262.353 0.361 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnc(C)cn2)[C@@H](O)C1 ZINC001090039742 818326514 /nfs/dbraw/zinc/32/65/14/818326514.db2.gz XUAOLMYCAIGCQS-OCCSQVGLSA-N 0 1 290.367 0.526 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001044297762 818349634 /nfs/dbraw/zinc/34/96/34/818349634.db2.gz BKKKGMRKHYVBSQ-UHFFFAOYSA-N 0 1 290.367 0.836 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001032975322 818400906 /nfs/dbraw/zinc/40/09/06/818400906.db2.gz HKOMIUAOUVTBTI-STQMWFEESA-N 0 1 267.373 0.036 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)no2)[C@H](O)C1 ZINC001090058264 818413939 /nfs/dbraw/zinc/41/39/39/818413939.db2.gz PBQGGEOTROYXHU-GHMZBOCLSA-N 0 1 265.313 0.334 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)c2snnc2C)[C@H](O)C1 ZINC001090062574 818435018 /nfs/dbraw/zinc/43/50/18/818435018.db2.gz AIPVBAFUQKLTFN-GHMZBOCLSA-N 0 1 294.380 0.035 20 30 CCEDMN C=CCN1C[C@]2(CC1=O)COCCN(Cc1cnc[nH]1)C2 ZINC001273024404 818460588 /nfs/dbraw/zinc/46/05/88/818460588.db2.gz SEAVPJNPDYBKPM-OAHLLOKOSA-N 0 1 290.367 0.647 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2occc2C)[C@H](O)C1 ZINC001090078586 818469902 /nfs/dbraw/zinc/46/99/02/818469902.db2.gz KMSUNYSHXCBSJE-VXGBXAGGSA-N 0 1 264.325 0.939 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccon2)[C@H](O)C1 ZINC001090096570 818504880 /nfs/dbraw/zinc/50/48/80/818504880.db2.gz RWUSCNLACXHLBI-ZYHUDNBSSA-N 0 1 265.313 0.416 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@]23C[C@H]2COC3)C1 ZINC001033092738 818508204 /nfs/dbraw/zinc/50/82/04/818508204.db2.gz FWWMMKSOANJQMI-GUTXKFCHSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001033120405 818544297 /nfs/dbraw/zinc/54/42/97/818544297.db2.gz QTCDETSANJQKPH-ZIAGYGMSSA-N 0 1 291.395 0.409 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001033141068 818566595 /nfs/dbraw/zinc/56/65/95/818566595.db2.gz KAUKKNIHTRSTMK-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033194260 818637584 /nfs/dbraw/zinc/63/75/84/818637584.db2.gz YSMGENVHLUNGTI-DOMZBBRYSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033194260 818637587 /nfs/dbraw/zinc/63/75/87/818637587.db2.gz YSMGENVHLUNGTI-DOMZBBRYSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cn(C)nc2COC)C1 ZINC001033204447 818650853 /nfs/dbraw/zinc/65/08/53/818650853.db2.gz XCQJOBUDIOALSI-LBPRGKRZSA-N 0 1 292.383 0.899 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccnc3n[nH]nc32)C1 ZINC001033257819 818679200 /nfs/dbraw/zinc/67/92/00/818679200.db2.gz VHVCQLCNQSUTRO-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001033276615 818688777 /nfs/dbraw/zinc/68/87/77/818688777.db2.gz RODCZMVNPYFPLR-DEPYFDJDSA-N 0 1 274.364 0.576 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001033389447 818754436 /nfs/dbraw/zinc/75/44/36/818754436.db2.gz PDMIGRWTLJVQCO-WFASDCNBSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001033414602 818761398 /nfs/dbraw/zinc/76/13/98/818761398.db2.gz XIQPQAFWSPXSLQ-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001033414608 818761783 /nfs/dbraw/zinc/76/17/83/818761783.db2.gz XIQPQAFWSPXSLQ-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C(=O)N2CCC[C@@H](C)C2)C1 ZINC001033478390 818792824 /nfs/dbraw/zinc/79/28/24/818792824.db2.gz BPHURJUWPYPKHC-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCn1cc(C(=O)N(C)[C@H]2CCN(CCOC)C2)nn1 ZINC001033483961 818794237 /nfs/dbraw/zinc/79/42/37/818794237.db2.gz MJLCSCNTHJVWGZ-LBPRGKRZSA-N 0 1 293.371 0.257 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cn2nccc2C)C1 ZINC001033481531 818795519 /nfs/dbraw/zinc/79/55/19/818795519.db2.gz ZGJBJRMSNLCBQN-CYBMUJFWSA-N 0 1 262.357 0.910 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@]2(C)CCN(C(C)=O)C2)C1 ZINC001033561082 818832209 /nfs/dbraw/zinc/83/22/09/818832209.db2.gz FUYREAMKMYEIRH-GOEBONIOSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2c(C)noc2C)C1 ZINC001033658919 818880872 /nfs/dbraw/zinc/88/08/72/818880872.db2.gz XUDLEVAEJBYUBQ-ZDUSSCGKSA-N 0 1 275.352 1.000 20 30 CCEDMN CN(C(=O)c1ccc2[nH]nnc2c1)[C@@H]1CCN(CC#N)C1 ZINC001033663302 818882519 /nfs/dbraw/zinc/88/25/19/818882519.db2.gz PPNDXROADFXUAM-LLVKDONJSA-N 0 1 284.323 0.628 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001033697078 818888326 /nfs/dbraw/zinc/88/83/26/818888326.db2.gz RIGZKGCICAUWLT-HUUCEWRRSA-N 0 1 291.395 0.553 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001033688905 818890505 /nfs/dbraw/zinc/89/05/05/818890505.db2.gz RYFSLJBPIUIINI-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)C2=COCCO2)C1 ZINC001033743306 818913891 /nfs/dbraw/zinc/91/38/91/818913891.db2.gz SMNHDBXSAWFSGU-LBPRGKRZSA-N 0 1 266.341 0.983 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2COCCO2)C1 ZINC001033759927 818917715 /nfs/dbraw/zinc/91/77/15/818917715.db2.gz BRNZBTQNDVBLFK-STQMWFEESA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2COCCN2C)C1 ZINC001033794084 818942672 /nfs/dbraw/zinc/94/26/72/818942672.db2.gz FDISVYPOVVJLSH-UONOGXRCSA-N 0 1 281.400 0.426 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2COCCN2C)C1 ZINC001033802218 818949329 /nfs/dbraw/zinc/94/93/29/818949329.db2.gz REWIUZBWAYVWFA-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033848498 818966716 /nfs/dbraw/zinc/96/67/16/818966716.db2.gz NIJUDKBWIOKRPW-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cn[nH]c(=O)c2)C1 ZINC001033864746 818967433 /nfs/dbraw/zinc/96/74/33/818967433.db2.gz ULTCHTMWEIZNGF-ZDUSSCGKSA-N 0 1 288.351 0.742 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033863066 818972677 /nfs/dbraw/zinc/97/26/77/818972677.db2.gz SEGYSKCBLULULO-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2C)[C@@H](O)C1 ZINC001090150701 818987809 /nfs/dbraw/zinc/98/78/09/818987809.db2.gz OUNTVIAEXLGTPA-OLZOCXBDSA-N 0 1 290.367 0.215 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncc(C)c2)[C@H](O)C1 ZINC001090163807 819004373 /nfs/dbraw/zinc/00/43/73/819004373.db2.gz QWRDSORFQHUYSH-ZIAGYGMSSA-N 0 1 275.352 0.741 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001033950838 819010467 /nfs/dbraw/zinc/01/04/67/819010467.db2.gz YUYKCOKWAXNOIX-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(C(C)C)C[C@@H]1n1ccnn1 ZINC001128812386 819032900 /nfs/dbraw/zinc/03/29/00/819032900.db2.gz YCVOSDVJEOTPCG-OLZOCXBDSA-N 0 1 293.371 0.231 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccn(C)c2)[C@H](O)C1 ZINC001090188147 819065569 /nfs/dbraw/zinc/06/55/69/819065569.db2.gz CNIPEWDFPSKPQL-ZIAGYGMSSA-N 0 1 275.352 0.213 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)CC2OCCCO2)C1 ZINC001034182178 819117301 /nfs/dbraw/zinc/11/73/01/819117301.db2.gz YNLPSUXQAGXWIW-ZDUSSCGKSA-N 0 1 280.368 0.743 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC001034181347 819117330 /nfs/dbraw/zinc/11/73/30/819117330.db2.gz QWOCUJNUHHJYHC-CQSZACIVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2coc(C)n2)[C@@H](O)C1 ZINC001090205335 819161577 /nfs/dbraw/zinc/16/15/77/819161577.db2.gz CRICLJYKGPGGTG-YPMHNXCESA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(OC)no2)[C@H](O)C1 ZINC001090207004 819163652 /nfs/dbraw/zinc/16/36/52/819163652.db2.gz SFIGSKPYKCDSPJ-NXEZZACHSA-N 0 1 281.312 0.034 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001034326990 819167264 /nfs/dbraw/zinc/16/72/64/819167264.db2.gz OKTCZWUQMJEXOS-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001034327523 819168068 /nfs/dbraw/zinc/16/80/68/819168068.db2.gz SHKQNJUPKCFAFU-IUODEOHRSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001034342884 819176253 /nfs/dbraw/zinc/17/62/53/819176253.db2.gz JAEUABGMHQTKJB-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(F)cn2)[C@@H](O)C1 ZINC001090211045 819189342 /nfs/dbraw/zinc/18/93/42/819189342.db2.gz UNSAHZIICLNLGC-YPMHNXCESA-N 0 1 279.315 0.572 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(F)cn2)[C@H](O)C1 ZINC001090211039 819189526 /nfs/dbraw/zinc/18/95/26/819189526.db2.gz UNSAHZIICLNLGC-DGCLKSJQSA-N 0 1 279.315 0.572 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2ncccc2O)[C@H](O)C1 ZINC001090214880 819194521 /nfs/dbraw/zinc/19/45/21/819194521.db2.gz AKLCDCAZXUZQOW-CMPLNLGQSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090214880 819194526 /nfs/dbraw/zinc/19/45/26/819194526.db2.gz AKLCDCAZXUZQOW-CMPLNLGQSA-N 0 1 277.324 0.138 20 30 CCEDMN C[C@H](CNc1ccncc1C#N)NC(=O)CCc1c[nH]nn1 ZINC001108131284 819245224 /nfs/dbraw/zinc/24/52/24/819245224.db2.gz BWMAXEUGZAYWPK-SNVBAGLBSA-N 0 1 299.338 0.043 20 30 CCEDMN C[C@H](CNc1ccncc1C#N)NC(=O)CCc1cnn[nH]1 ZINC001108131284 819245228 /nfs/dbraw/zinc/24/52/28/819245228.db2.gz BWMAXEUGZAYWPK-SNVBAGLBSA-N 0 1 299.338 0.043 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2ccnn2C)C1 ZINC001035311354 819460260 /nfs/dbraw/zinc/46/02/60/819460260.db2.gz SSQGKBHDWYSLIN-CYBMUJFWSA-N 0 1 292.383 0.817 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CN(CC3CC3)CCO2)cn1 ZINC001035586294 819577701 /nfs/dbraw/zinc/57/77/01/819577701.db2.gz PZKQQEKMSHOEED-MRXNPFEDSA-N 0 1 299.374 0.904 20 30 CCEDMN C=CCN1CCO[C@@H](CNC(=O)[C@@H]2CCCCN2CC)C1 ZINC001035614769 819590859 /nfs/dbraw/zinc/59/08/59/819590859.db2.gz RYSFBGULUBGYAW-GJZGRUSLSA-N 0 1 295.427 0.864 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cn(C)cn1)CC2 ZINC001035685719 819602669 /nfs/dbraw/zinc/60/26/69/819602669.db2.gz FSBFNINHWJMTRQ-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1cc(=O)n(C)o1)CC2 ZINC001035694016 819607601 /nfs/dbraw/zinc/60/76/01/819607601.db2.gz OROYKIRFAONCHH-UHFFFAOYSA-N 0 1 291.351 0.702 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1[nH]c(=O)[nH]c1C)CC2 ZINC001035731016 819616072 /nfs/dbraw/zinc/61/60/72/819616072.db2.gz YMGIKKXANICUMT-UHFFFAOYSA-N 0 1 288.351 0.595 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CO[C@H](C)C1)CC2 ZINC001035734845 819618237 /nfs/dbraw/zinc/61/82/37/819618237.db2.gz BROCGRRUYBNDCZ-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC(=O)NC1)CC2 ZINC001035741424 819618252 /nfs/dbraw/zinc/61/82/52/819618252.db2.gz NFNGFNANXOMZSP-CYBMUJFWSA-N 0 1 289.379 0.070 20 30 CCEDMN C[C@H]1CN(C(=O)C#CC2CC2)CC[C@H]1NCc1cnon1 ZINC001036037013 819681407 /nfs/dbraw/zinc/68/14/07/819681407.db2.gz LFCIRDAACUTQJH-SMDDNHRTSA-N 0 1 288.351 0.810 20 30 CCEDMN C#CC1(O)CCN(C[C@](C)(O)C(F)(F)F)CC1 ZINC000708142952 819760023 /nfs/dbraw/zinc/76/00/23/819760023.db2.gz QPOJXIRXSMISMO-VIFPVBQESA-N 0 1 251.248 0.760 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)C1=NC(=O)N(C)C1 ZINC000708444256 819774879 /nfs/dbraw/zinc/77/48/79/819774879.db2.gz SLSZHKXHFQYNND-UHFFFAOYSA-N 0 1 267.285 0.317 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CS(C)(=O)=O)CC[C@@H]21 ZINC001036650431 819867255 /nfs/dbraw/zinc/86/72/55/819867255.db2.gz NIOJLFGZYFXMRE-NEPJUHHUSA-N 0 1 286.397 0.140 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]3[C@H](CCN3CC#N)C2)n[nH]1 ZINC001036659410 819876048 /nfs/dbraw/zinc/87/60/48/819876048.db2.gz YTFGLPFQJKLKCL-YPMHNXCESA-N 0 1 273.340 0.778 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CCc3nc[nH]n3)CC[C@H]21 ZINC001036680658 819999488 /nfs/dbraw/zinc/99/94/88/819999488.db2.gz ACVPYBMIIXYGCZ-VXGBXAGGSA-N 0 1 288.355 0.184 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccncc2C)[C@@H](O)C1 ZINC001090265094 819999650 /nfs/dbraw/zinc/99/96/50/819999650.db2.gz JOUXQENFZCVWKM-GJZGRUSLSA-N 0 1 289.379 0.670 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccco2)[C@@H](O)C1 ZINC001083878722 820026511 /nfs/dbraw/zinc/02/65/11/820026511.db2.gz FIMYGUPUAYKOSL-OLZOCXBDSA-N 0 1 262.309 0.007 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NC(=O)CN2CCCC2)CCC1 ZINC001064308513 820192602 /nfs/dbraw/zinc/19/26/02/820192602.db2.gz IZXXZXKLSFUNGP-GFCCVEGCSA-N 0 1 292.383 0.397 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NC(=O)[C@@H]2CCCN2C)CCC1 ZINC001064302475 820195498 /nfs/dbraw/zinc/19/54/98/820195498.db2.gz KWBWYZVSDYODRL-NEPJUHHUSA-N 0 1 292.383 0.395 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@]2(C)CCCOC2)[C@@H](O)C1 ZINC001083895693 820202704 /nfs/dbraw/zinc/20/27/04/820202704.db2.gz BFMYJRLBJTZXPD-IPYPFGDCSA-N 0 1 282.384 0.541 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)Cc2cnc(C)o2)[C@@H](O)C1 ZINC001090323326 820260341 /nfs/dbraw/zinc/26/03/41/820260341.db2.gz QXNRINGZIBMLIF-KBPBESRZSA-N 0 1 293.367 0.653 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2nc(CC)c[nH]2)[C@H](O)C1 ZINC001090337100 820277768 /nfs/dbraw/zinc/27/77/68/820277768.db2.gz OMLFLHZQBJSJKQ-CHWSQXEVSA-N 0 1 292.383 0.252 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C)nc2CC)[C@H](O)C1 ZINC001090344563 820286322 /nfs/dbraw/zinc/28/63/22/820286322.db2.gz LFYUPFQLRCOCEA-ZIAGYGMSSA-N 0 1 292.383 0.334 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccc(F)cn2)[C@@H](O)C1 ZINC001090358637 820297874 /nfs/dbraw/zinc/29/78/74/820297874.db2.gz NVNDJQGWLUNZSR-KGLIPLIRSA-N 0 1 293.342 0.501 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001079581409 820428791 /nfs/dbraw/zinc/42/87/91/820428791.db2.gz NOINAVHNDNJFAH-BXUZGUMPSA-N 0 1 270.336 0.960 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnn2CC(F)F)C1 ZINC001079696890 820454118 /nfs/dbraw/zinc/45/41/18/820454118.db2.gz IFXNERHZJYRMJX-GHMZBOCLSA-N 0 1 296.321 0.832 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnn2CC(F)F)C1 ZINC001079696890 820454122 /nfs/dbraw/zinc/45/41/22/820454122.db2.gz IFXNERHZJYRMJX-GHMZBOCLSA-N 0 1 296.321 0.832 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)c2ccnn2C)C1 ZINC001079714491 820461681 /nfs/dbraw/zinc/46/16/81/820461681.db2.gz AGJHWKFSSJZHTP-JHJVBQTASA-N 0 1 274.368 0.593 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001080103680 820515667 /nfs/dbraw/zinc/51/56/67/820515667.db2.gz PEEGARFBCHCCJJ-ZYHUDNBSSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001080293857 820546991 /nfs/dbraw/zinc/54/69/91/820546991.db2.gz DXAUUSBMIPWJCC-RKDXNWHRSA-N 0 1 265.338 0.532 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001080478165 820583462 /nfs/dbraw/zinc/58/34/62/820583462.db2.gz JVIFMQKFSFNMTQ-KSTCHIGDSA-N 0 1 262.353 0.624 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001080531902 820588729 /nfs/dbraw/zinc/58/87/29/820588729.db2.gz MKYDIEDXZATFPN-MGPQQGTHSA-N 0 1 291.395 0.315 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1cnccc1N(C)C ZINC001080794158 820642196 /nfs/dbraw/zinc/64/21/96/820642196.db2.gz OUJQTVNXLXGVKP-DGCLKSJQSA-N 0 1 287.367 0.721 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2c(C)n[nH]c2C)C1 ZINC001080928405 820655040 /nfs/dbraw/zinc/65/50/40/820655040.db2.gz GZGNHFPXYHXYBL-QMTHXVAHSA-N 0 1 274.368 0.639 20 30 CCEDMN CO[C@@H]1CN(CCCF)C[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC001081501037 820779863 /nfs/dbraw/zinc/77/98/63/820779863.db2.gz ZTWCDEWVAVGSTM-CHWSQXEVSA-N 0 1 294.330 0.675 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)n2cccc2)[C@H](OC)C1 ZINC001081550242 820795691 /nfs/dbraw/zinc/79/56/91/820795691.db2.gz KIBSKVFJROYZKX-RRFJBIMHSA-N 0 1 289.379 0.888 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2nccc(C)n2)[C@H](OC)C1 ZINC001081599655 820803634 /nfs/dbraw/zinc/80/36/34/820803634.db2.gz HDCGNVXWOLWOMP-CHWSQXEVSA-N 0 1 290.367 0.790 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)[C@H](OC)C1 ZINC001081823664 820834903 /nfs/dbraw/zinc/83/49/03/820834903.db2.gz WRPKWWKZJAFISC-VXGBXAGGSA-N 0 1 292.339 0.187 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccnc(C)c2)[C@H](OC)C1 ZINC001082256808 820925538 /nfs/dbraw/zinc/92/55/38/820925538.db2.gz UTZATEQPSPGDFZ-HUUCEWRRSA-N 0 1 287.363 0.842 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCCCN2CC)[C@H](OC)C1 ZINC001082384831 820953489 /nfs/dbraw/zinc/95/34/89/820953489.db2.gz NJYQLSOPKPXCIA-QLFBSQMISA-N 0 1 295.427 0.862 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]nc2CC)[C@H](O)C1 ZINC001090379806 821038597 /nfs/dbraw/zinc/03/85/97/821038597.db2.gz AOJSPMCTHPFFPZ-QWHCGFSZSA-N 0 1 278.356 0.323 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)/C=C\C2CC2)[C@H](O)C1 ZINC001099765939 821044165 /nfs/dbraw/zinc/04/41/65/821044165.db2.gz DNYCWLQCUNLPEV-AGFGORMFSA-N 0 1 250.342 0.690 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CCc3[nH]cnc3C2)C[C@H]1NCC#N ZINC001082846357 821045273 /nfs/dbraw/zinc/04/52/73/821045273.db2.gz QQHJKEANRVKFBS-JTNHKYCSSA-N 0 1 287.367 0.475 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H](C)CC)[C@H]2C1 ZINC001083005968 821118737 /nfs/dbraw/zinc/11/87/37/821118737.db2.gz RANSGCBDQSMLQL-MELADBBJSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@H](OC)C3CC3)[C@H]2C1 ZINC001083068073 821135158 /nfs/dbraw/zinc/13/51/58/821135158.db2.gz QUNZMHLNMSBKGD-RRFJBIMHSA-N 0 1 294.395 0.899 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2csc(C)n2)[C@@H](O)C1 ZINC001084034812 821180394 /nfs/dbraw/zinc/18/03/94/821180394.db2.gz VPLDLDVRRPGZMQ-OLZOCXBDSA-N 0 1 295.408 0.731 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cc(C)n(C)n3)[C@@H]2C1 ZINC001084217329 821244414 /nfs/dbraw/zinc/24/44/14/821244414.db2.gz KXLKIZWUWNUJPW-UKRRQHHQSA-N 0 1 286.379 0.898 20 30 CCEDMN COCCN1CC[C@@H]2CN(C(=O)c3cc(C#N)c[nH]3)[C@@H]2C1 ZINC001084261286 821253624 /nfs/dbraw/zinc/25/36/24/821253624.db2.gz CEHPKPABTSHSHS-TZMCWYRMSA-N 0 1 288.351 0.679 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cn(C)cn3)[C@@H]2C1 ZINC001084280413 821261240 /nfs/dbraw/zinc/26/12/40/821261240.db2.gz KMVIVCDNIPPXAL-TZMCWYRMSA-N 0 1 272.352 0.590 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H]3COC(=O)C3)[C@@H]2C1 ZINC001084281287 821261391 /nfs/dbraw/zinc/26/13/91/821261391.db2.gz LWDKHZVSAXBRBW-MGPQQGTHSA-N 0 1 290.363 0.496 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001084284855 821262340 /nfs/dbraw/zinc/26/23/40/821262340.db2.gz QPPOEWCGMOUPLI-GHMZBOCLSA-N 0 1 276.340 0.756 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C3CN(C(C)=O)C3)[C@@H]2C1 ZINC001084306291 821265306 /nfs/dbraw/zinc/26/53/06/821265306.db2.gz OYYLJHVVNIOLPP-TZMCWYRMSA-N 0 1 277.368 0.183 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cnn(CC)n3)[C@@H]2C1 ZINC001084325999 821272279 /nfs/dbraw/zinc/27/22/79/821272279.db2.gz SGDXRLVQFNBVBQ-TZMCWYRMSA-N 0 1 287.367 0.468 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]2CN(C(=O)c3ccnc4n[nH]nc43)[C@@H]2C1 ZINC001084508038 821301554 /nfs/dbraw/zinc/30/15/54/821301554.db2.gz SXMNZYOJGNAKSR-ZYHUDNBSSA-N 0 1 298.350 0.685 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccnc4n[nH]nc43)[C@@H]2C1 ZINC001084508038 821301562 /nfs/dbraw/zinc/30/15/62/821301562.db2.gz SXMNZYOJGNAKSR-ZYHUDNBSSA-N 0 1 298.350 0.685 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ncc[nH]2)[C@@H](O)C1 ZINC001099804798 821312282 /nfs/dbraw/zinc/31/22/82/821312282.db2.gz RXLHMJTZSPGBOA-RYUDHWBXSA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3c(C)nc[nH]c3=O)[C@@H]2C1 ZINC001084595954 821324949 /nfs/dbraw/zinc/32/49/49/821324949.db2.gz OERRTYUCHLKAPP-VXGBXAGGSA-N 0 1 288.351 0.823 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3nc[nH]n3)[C@@H]2C1 ZINC001084728465 821363150 /nfs/dbraw/zinc/36/31/50/821363150.db2.gz IMWWGRBVNMIMSQ-GHMZBOCLSA-N 0 1 261.329 0.527 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C#CC(C)C)[C@H](O)C1 ZINC001099820888 821368012 /nfs/dbraw/zinc/36/80/12/821368012.db2.gz MIIQKFUXRPDNGF-UONOGXRCSA-N 0 1 264.369 0.773 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cccnn3)[C@@H]2C1 ZINC001084734151 821370622 /nfs/dbraw/zinc/37/06/22/821370622.db2.gz ACCYGZCTRQOTEN-DGCLKSJQSA-N 0 1 258.325 0.809 20 30 CCEDMN CC[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)[C@H](O)C1 ZINC001099830125 821391186 /nfs/dbraw/zinc/39/11/86/821391186.db2.gz NHHBTVIQOKOWGN-NWDGAFQWSA-N 0 1 252.358 0.607 20 30 CCEDMN CCOCC[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)[C@H](O)C1 ZINC001099830678 821395160 /nfs/dbraw/zinc/39/51/60/821395160.db2.gz WDBODUOBDLPFRD-UONOGXRCSA-N 0 1 296.411 0.624 20 30 CCEDMN CCOCCN1CC[C@H](NC(=O)C#CC(C)(C)C)[C@H](O)C1 ZINC001099830678 821395170 /nfs/dbraw/zinc/39/51/70/821395170.db2.gz WDBODUOBDLPFRD-UONOGXRCSA-N 0 1 296.411 0.624 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCN(C(C)=O)C3)[C@@H]2C1 ZINC001084800477 821395203 /nfs/dbraw/zinc/39/52/03/821395203.db2.gz KIOCKLCWWQKYSR-QLFBSQMISA-N 0 1 291.395 0.574 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC001119681755 821416240 /nfs/dbraw/zinc/41/62/40/821416240.db2.gz WIZBMXHTSYKAFN-OLZOCXBDSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3nonc3C)[C@@H]2C1 ZINC001084867759 821416938 /nfs/dbraw/zinc/41/69/38/821416938.db2.gz AZYYEZNNQDWIEE-VXGBXAGGSA-N 0 1 274.324 0.548 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C1=COCCO1 ZINC001085470279 821721975 /nfs/dbraw/zinc/72/19/75/821721975.db2.gz LJQVNGPMUZEIBC-GFCCVEGCSA-N 0 1 264.325 0.431 20 30 CCEDMN C[C@H](O)CN1CC[C@@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534334 821774509 /nfs/dbraw/zinc/77/45/09/821774509.db2.gz IFMMYKOYCGRMOU-CMPLNLGQSA-N 0 1 276.340 0.413 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001085550244 821786329 /nfs/dbraw/zinc/78/63/29/821786329.db2.gz OLTMECDAUJEQBV-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CC(=O)N(C)C1 ZINC001085625862 821863415 /nfs/dbraw/zinc/86/34/15/821863415.db2.gz ATTZJBGRSSBOCA-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CC(=O)N(C)C1 ZINC001085625862 821863426 /nfs/dbraw/zinc/86/34/26/821863426.db2.gz ATTZJBGRSSBOCA-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C1CC(OC)C1 ZINC001085659976 821883763 /nfs/dbraw/zinc/88/37/63/821883763.db2.gz JWGHUXJFNBXWGN-MOKVOYLWSA-N 0 1 264.369 0.967 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)[C@H]1CCCCN1C ZINC001085673696 821889198 /nfs/dbraw/zinc/88/91/98/821889198.db2.gz CKYPLQYBFNYOME-QWHCGFSZSA-N 0 1 264.373 0.527 20 30 CCEDMN C[C@H](CNc1ncc(C#N)cc1F)NC(=O)c1cnn[nH]1 ZINC001108204495 821902671 /nfs/dbraw/zinc/90/26/71/821902671.db2.gz KTKQFBPNOFVANU-SSDOTTSWSA-N 0 1 289.274 0.441 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cccc2ncnn21 ZINC001085702345 821910508 /nfs/dbraw/zinc/91/05/08/821910508.db2.gz NQWQQYUZMNXRQB-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cn(C)c(=O)[nH]1 ZINC001085800367 821960355 /nfs/dbraw/zinc/96/03/55/821960355.db2.gz RRPIEHJGPZCMGZ-NSHDSACASA-N 0 1 276.340 0.295 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1nccn2ccnc12 ZINC001085824565 821971952 /nfs/dbraw/zinc/97/19/52/821971952.db2.gz MQBVQUOPEAUGSY-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C=CCCC1(C(=O)N[C@H]2CCN(CCO)C[C@H]2O)CC1 ZINC001099998692 821973487 /nfs/dbraw/zinc/97/34/87/821973487.db2.gz LCYKSGAIKCKCSM-QWHCGFSZSA-N 0 1 282.384 0.277 20 30 CCEDMN C[C@@H]1CCCN1CC(=O)NC[C@]1(C)CN(CC#N)CCO1 ZINC001108214034 821984276 /nfs/dbraw/zinc/98/42/76/821984276.db2.gz XFBJQAMPDJDUGV-UKRRQHHQSA-N 0 1 294.399 0.201 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1nc(C)c[nH]1 ZINC001085863905 821988030 /nfs/dbraw/zinc/98/80/30/821988030.db2.gz MQOQWRJLOWQFRS-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C=C(C)CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn(C)n1 ZINC001085872858 821990469 /nfs/dbraw/zinc/99/04/69/821990469.db2.gz JDFGFCZTUIKBMH-GFCCVEGCSA-N 0 1 277.372 0.928 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ncccn1 ZINC001085886710 822001139 /nfs/dbraw/zinc/00/11/39/822001139.db2.gz HVFNLZJURPFBBM-GFCCVEGCSA-N 0 1 258.325 0.646 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnn2cc[nH]c12 ZINC001085944986 822030449 /nfs/dbraw/zinc/03/04/49/822030449.db2.gz XHLTZXQTMMXSOQ-GFCCVEGCSA-N 0 1 285.351 0.832 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCCN1C(C)=O ZINC001085992137 822059824 /nfs/dbraw/zinc/05/98/24/822059824.db2.gz DEJWFZMLFJGOFP-LSDHHAIUSA-N 0 1 291.395 0.553 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCC2CCOCC2)[C@@H](O)C1 ZINC001100026706 822101920 /nfs/dbraw/zinc/10/19/20/822101920.db2.gz GUOAMRAEQKDRHP-GJZGRUSLSA-N 0 1 296.411 0.931 20 30 CCEDMN CC(C)N1CCO[C@@H](C(=O)NC[C@H]2C[C@@H](NCC#N)C2)C1 ZINC001086177559 822144112 /nfs/dbraw/zinc/14/41/12/822144112.db2.gz YWVNSMPJHYFDCX-HZSPNIEDSA-N 0 1 294.399 0.104 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)CCOC)[C@@H](O)C1 ZINC001100058081 822187384 /nfs/dbraw/zinc/18/73/84/822187384.db2.gz MQIOAQSXBOZXDJ-STQMWFEESA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCC(=O)NCC)[C@@H](O)C1 ZINC001100079429 822246799 /nfs/dbraw/zinc/24/67/99/822246799.db2.gz ZJHASXNDLLPIJY-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN Cc1nonc1CNCCCNC(=O)[C@@H](C)C#N ZINC001155829226 822256275 /nfs/dbraw/zinc/25/62/75/822256275.db2.gz UVZKWHVEOOZKMM-QMMMGPOBSA-N 0 1 251.290 0.134 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2cnn(C)n2)C1 ZINC001108278692 822268422 /nfs/dbraw/zinc/26/84/22/822268422.db2.gz TVKUORBMRVZESJ-CQSZACIVSA-N 0 1 293.371 0.212 20 30 CCEDMN C[C@@H](CNc1cnc(C#N)cn1)NC(=O)[C@H]1CCCN1C ZINC001108311554 822354577 /nfs/dbraw/zinc/35/45/77/822354577.db2.gz HEVUHURWZBUMDA-CMPLNLGQSA-N 0 1 288.355 0.359 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCOC1 ZINC001114002894 837399734 /nfs/dbraw/zinc/39/97/34/837399734.db2.gz PVRJRBCVNBCFJR-KBXIAJHMSA-N 0 1 292.379 0.109 20 30 CCEDMN CC[C@H](OC)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114010086 837404529 /nfs/dbraw/zinc/40/45/29/837404529.db2.gz VPUKYOSTDOWSGX-RFQIPJPRSA-N 0 1 280.368 0.108 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]ccc1C ZINC001114201153 837470580 /nfs/dbraw/zinc/47/05/80/837470580.db2.gz KGGWMBIPTXQQGQ-NHAGDIPZSA-N 0 1 287.363 0.633 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOCC1CC1 ZINC001114275330 837495287 /nfs/dbraw/zinc/49/52/87/837495287.db2.gz CRAPGWPFKRVSPY-NHAGDIPZSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)/C=C\C2CC2)C[C@@H]1n1ccnn1 ZINC001129718167 837549295 /nfs/dbraw/zinc/54/92/95/837549295.db2.gz ZRDILNIYKCRTOF-WJNHHXLDSA-N 0 1 299.378 0.609 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCc2nccn2C1 ZINC001130478190 837800214 /nfs/dbraw/zinc/80/02/14/837800214.db2.gz VHRAXHBEUJJQQW-NSHDSACASA-N 0 1 282.775 0.904 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)NCCNCC#N)C2 ZINC001130490355 837810114 /nfs/dbraw/zinc/81/01/14/837810114.db2.gz BQFPSTBGPDBMJI-JTQLQIEISA-N 0 1 261.329 0.052 20 30 CCEDMN N#CC(Cc1ccc(O)cc1)C(=O)N1C[C@@H]2C[C@H]1C(=O)O2 ZINC001183611085 844044331 /nfs/dbraw/zinc/04/43/31/844044331.db2.gz YUFZFKYOGYWTJO-WXHSDQCUSA-N 0 1 286.287 0.601 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CNc1ncnc2[nH]cnc21 ZINC001108342870 835980269 /nfs/dbraw/zinc/98/02/69/835980269.db2.gz QPDIIZVEDOUIPI-JTQLQIEISA-N 0 1 286.339 0.881 20 30 CCEDMN C[C@@H](CNc1ncc(C#N)cc1F)NC(=O)c1ncn[nH]1 ZINC001108475414 836270904 /nfs/dbraw/zinc/27/09/04/836270904.db2.gz HGRIYSKLTFZZBV-ZETCQYMHSA-N 0 1 289.274 0.441 20 30 CCEDMN C[C@@H](CNc1ncc(C#N)cc1F)NC(=O)c1nc[nH]n1 ZINC001108475414 836270913 /nfs/dbraw/zinc/27/09/13/836270913.db2.gz HGRIYSKLTFZZBV-ZETCQYMHSA-N 0 1 289.274 0.441 20 30 CCEDMN C=CCCCN1CC(N2C[C@@H](NC(=O)CC)CC2=O)C1 ZINC001108491142 836288035 /nfs/dbraw/zinc/28/80/35/836288035.db2.gz LEKQUMKBNVNRLN-LBPRGKRZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CS(=O)(=O)CCC ZINC001151390883 844165623 /nfs/dbraw/zinc/16/56/23/844165623.db2.gz SHGUXUMGRIXFPF-UHFFFAOYSA-N 0 1 282.793 0.270 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001109039872 836600610 /nfs/dbraw/zinc/60/06/10/836600610.db2.gz KKMJSNZCYIPCOB-MQYQWHSLSA-N 0 1 282.384 0.681 20 30 CCEDMN CCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C#N)C2 ZINC001109090488 836616336 /nfs/dbraw/zinc/61/63/36/836616336.db2.gz URVYTVOSQBBFRH-NDBYEHHHSA-N 0 1 265.357 0.904 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1ccnn1)C2 ZINC001109198671 836631466 /nfs/dbraw/zinc/63/14/66/836631466.db2.gz DGVGLWMRNMIIEU-AGIUHOORSA-N 0 1 275.356 0.576 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)NC(N)=O)C2 ZINC001109285013 836652242 /nfs/dbraw/zinc/65/22/42/836652242.db2.gz PTQUFJTUDCJTLJ-NDBYEHHHSA-N 0 1 292.383 0.178 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCCNc2ccc(C#N)nn2)[nH]1 ZINC001109395000 836670101 /nfs/dbraw/zinc/67/01/01/836670101.db2.gz SUSHYJICKXHLOD-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCCNc1ccc(C#N)nc1 ZINC001109574772 836698270 /nfs/dbraw/zinc/69/82/70/836698270.db2.gz RMPXJXWMCMXBOV-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)COC[C@@H]1CCOC1)C2 ZINC001109789383 836730011 /nfs/dbraw/zinc/73/00/11/836730011.db2.gz XKZUPFJDYGTPLO-KBXIAJHMSA-N 0 1 294.395 0.947 20 30 CCEDMN N#Cc1c[nH]c2nc(Cl)nc(NC[C@@H]3COCCN3)c12 ZINC001170036386 836758456 /nfs/dbraw/zinc/75/84/56/836758456.db2.gz ZAERIBASZUWHMS-MRVPVSSYSA-N 0 1 292.730 0.883 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](NC(=O)c2cc(F)c[nH]2)[C@@H](O)C1 ZINC001090438452 836796718 /nfs/dbraw/zinc/79/67/18/836796718.db2.gz MJROQGKERCHZMC-AAEUAGOBSA-N 0 1 279.315 0.342 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CNC(=O)CC)CC1 ZINC001112720198 836885011 /nfs/dbraw/zinc/88/50/11/836885011.db2.gz ZQCFBCYWZAMPHS-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CCCC(=O)N2)CC1 ZINC001112996014 836988739 /nfs/dbraw/zinc/98/87/39/836988739.db2.gz JTIPXTUWXXXNNG-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCCCN1CCN(C(=O)CCCCNC(N)=O)CC1 ZINC001113078563 837018635 /nfs/dbraw/zinc/01/86/35/837018635.db2.gz OLEMQEMXPUKESL-UHFFFAOYSA-N 0 1 296.415 0.935 20 30 CCEDMN Cc1ccc(C#N)c(N[C@@H](C)[C@@H](C)NC(=O)c2ncn[nH]2)n1 ZINC001113114459 837028411 /nfs/dbraw/zinc/02/84/11/837028411.db2.gz ICHLSCLBGRVTNN-VHSXEESVSA-N 0 1 299.338 0.999 20 30 CCEDMN Cc1ccc(C#N)c(N[C@@H](C)[C@@H](C)NC(=O)c2nc[nH]n2)n1 ZINC001113114459 837028418 /nfs/dbraw/zinc/02/84/18/837028418.db2.gz ICHLSCLBGRVTNN-VHSXEESVSA-N 0 1 299.338 0.999 20 30 CCEDMN Cn1ncc(CNCCCNC(=O)C#CC(C)(C)C)n1 ZINC001157718584 837303403 /nfs/dbraw/zinc/30/34/03/837303403.db2.gz CSOZVQCSINDJAC-UHFFFAOYSA-N 0 1 277.372 0.461 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C)nc1 ZINC001113861091 837341733 /nfs/dbraw/zinc/34/17/33/837341733.db2.gz UPFPUXSNEPPXSB-ZSHCYNCHSA-N 0 1 299.374 0.700 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)CC(=O)NC)C[C@@H](C)O2 ZINC001131649004 838165702 /nfs/dbraw/zinc/16/57/02/838165702.db2.gz CYFOPSQDZREHAN-DOMZBBRYSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCn2cncn2)CC[C@@H]1C ZINC001131856823 838247952 /nfs/dbraw/zinc/24/79/52/838247952.db2.gz RRZIAPVQAOSYOY-KBPBESRZSA-N 0 1 289.383 0.661 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)COCCOC)CC[C@H]1C ZINC001131931635 838267908 /nfs/dbraw/zinc/26/79/08/838267908.db2.gz VLGQGWWHEIFMMY-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1coc(OC)n1 ZINC001131920799 838269993 /nfs/dbraw/zinc/26/99/93/838269993.db2.gz IRWULJCTLXEFNT-UHFFFAOYSA-N 0 1 259.693 0.755 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2ccnn2C)CC[C@H]1C ZINC001132072164 838311010 /nfs/dbraw/zinc/31/10/10/838311010.db2.gz JBOPWJHWKROKBC-ZIAGYGMSSA-N 0 1 288.395 0.955 20 30 CCEDMN C=CC[N@H+]1C[C@@H](NC(=O)CN(C)C(=O)COC)CC[C@@H]1C ZINC001132097465 838317046 /nfs/dbraw/zinc/31/70/46/838317046.db2.gz JVBXIVNFYPXCHZ-STQMWFEESA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC(=O)N(C)C)CC[C@@H]1C ZINC001132346351 838369512 /nfs/dbraw/zinc/36/95/12/838369512.db2.gz YMFISLIJQJAFBW-NWDGAFQWSA-N 0 1 265.357 0.067 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC(=O)N(C)C)CC[C@H]1C ZINC001132346350 838369608 /nfs/dbraw/zinc/36/96/08/838369608.db2.gz YMFISLIJQJAFBW-NEPJUHHUSA-N 0 1 265.357 0.067 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNCc1cncc(OC)n1 ZINC001132392091 838383742 /nfs/dbraw/zinc/38/37/42/838383742.db2.gz VCZGYNDHGYKUPT-UHFFFAOYSA-N 0 1 278.356 0.903 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CNC(=O)C(C)(C)C)CC[C@H]1C ZINC001132481708 838413472 /nfs/dbraw/zinc/41/34/72/838413472.db2.gz JSFIKBOVLZVEFJ-OLZOCXBDSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2cn(C)nn2)CC[C@@H]1C ZINC001132545837 838427822 /nfs/dbraw/zinc/42/78/22/838427822.db2.gz FMJRMTPUDRQLRA-QWHCGFSZSA-N 0 1 289.383 0.350 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCCC(=O)NC)CC[C@H]1C ZINC001132533326 838429402 /nfs/dbraw/zinc/42/94/02/838429402.db2.gz LSIVZQUGUHRESK-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCCC(N)=O)CC[C@@H]1C ZINC001132536546 838430477 /nfs/dbraw/zinc/43/04/77/838430477.db2.gz CDOJPFODSXCXJS-QWHCGFSZSA-N 0 1 279.384 0.634 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001132573807 838440331 /nfs/dbraw/zinc/44/03/31/838440331.db2.gz QZRCWTXKNRDRBX-ZJUUUORDSA-N 0 1 265.361 0.777 20 30 CCEDMN C[C@@H]1CCN(C(=O)C2=NC(=O)N(C)C2)C[C@@H]1CNCC#N ZINC001133087182 838552373 /nfs/dbraw/zinc/55/23/73/838552373.db2.gz LFULVTBDTKYTMS-MNOVXSKESA-N 0 1 291.355 0.337 20 30 CCEDMN C=CCCCC(=O)NCCNCc1cnnn1C ZINC001133154329 838562776 /nfs/dbraw/zinc/56/27/76/838562776.db2.gz FUQJLYXPILHTDF-UHFFFAOYSA-N 0 1 251.334 0.377 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)C1(C(N)=O)CC1 ZINC001133187901 838568503 /nfs/dbraw/zinc/56/85/03/838568503.db2.gz LKKCJLZGLDXKPZ-UHFFFAOYSA-N 0 1 286.335 0.030 20 30 CCEDMN C=C1CC(C)(C(=O)NCCNCC(=O)Nc2ccon2)C1 ZINC001133565465 838669019 /nfs/dbraw/zinc/66/90/19/838669019.db2.gz CGCIEXVEBTYSBL-UHFFFAOYSA-N 0 1 292.339 0.675 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1nc(SC)ncc1O ZINC001185187744 844354971 /nfs/dbraw/zinc/35/49/71/844354971.db2.gz GQWBHZXYUZBUOO-LURJTMIESA-N 0 1 255.299 0.181 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC001133721665 838700071 /nfs/dbraw/zinc/70/00/71/838700071.db2.gz QOWHDFJGPPSJCD-WYUUTHIRSA-N 0 1 265.382 0.842 20 30 CCEDMN C=CCN1CC[C@@H](N2CC=C(CCNC(C)=O)CC2)C1=O ZINC001159004449 838763101 /nfs/dbraw/zinc/76/31/01/838763101.db2.gz QRNQLAVULXBLRV-OAHLLOKOSA-N 0 1 291.395 0.932 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@@H](C)NCc1nonc1C ZINC001133909727 838773203 /nfs/dbraw/zinc/77/32/03/838773203.db2.gz QAMWTWDDPHPIKC-MNOVXSKESA-N 0 1 296.371 0.954 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c[nH]c(=O)cc1OC ZINC001134025309 838820141 /nfs/dbraw/zinc/82/01/41/838820141.db2.gz UUBLORUWLVESOI-UHFFFAOYSA-N 0 1 285.731 0.868 20 30 CCEDMN Cc1cc(CC(=O)N[C@H](C)C[C@H](C)NCC#N)[nH]n1 ZINC001134055385 838840107 /nfs/dbraw/zinc/84/01/07/838840107.db2.gz IHJXTWVXPLGCBW-VHSXEESVSA-N 0 1 263.345 0.657 20 30 CCEDMN N#Cc1nc(Cl)c(NC[C@@H]2COCCN2)nc1C#N ZINC001159044551 838842290 /nfs/dbraw/zinc/84/22/90/838842290.db2.gz XTFIHIRQGIETFZ-SSDOTTSWSA-N 0 1 278.703 0.274 20 30 CCEDMN CC#CC[NH2+][C@H](C)C[C@@H](C)NC(=O)c1[n-]nnc1C ZINC001135171815 839172791 /nfs/dbraw/zinc/17/27/91/839172791.db2.gz TWTKOALDWSDSRH-NXEZZACHSA-N 0 1 263.345 0.623 20 30 CCEDMN CC[C@](N)(CO)Nc1cc(Cl)nc(CC#N)n1 ZINC001170856804 839434907 /nfs/dbraw/zinc/43/49/07/839434907.db2.gz AUAZTMRITBYFHY-SNVBAGLBSA-N 0 1 255.709 0.665 20 30 CCEDMN CC[C@](N)(CO)Nc1nc(C)nc(Cl)c1C#N ZINC001170859141 839445518 /nfs/dbraw/zinc/44/55/18/839445518.db2.gz NOVJZZOBFDOLLD-SNVBAGLBSA-N 0 1 255.709 0.779 20 30 CCEDMN CC[C@@](N)(CO)Nc1nc(C)nc(Cl)c1C#N ZINC001170859139 839445577 /nfs/dbraw/zinc/44/55/77/839445577.db2.gz NOVJZZOBFDOLLD-JTQLQIEISA-N 0 1 255.709 0.779 20 30 CCEDMN C[C@@H](C(N)=O)N(C)CCCN(C)C(=O)C#CC1CC1 ZINC001273507678 844444978 /nfs/dbraw/zinc/44/49/78/844444978.db2.gz IVLYPBWKLLCMJX-NSHDSACASA-N 0 1 265.357 0.054 20 30 CCEDMN C#CCNC(=O)c1ccccc1NC(=O)c1cnncc1O ZINC001136510359 839642301 /nfs/dbraw/zinc/64/23/01/839642301.db2.gz WCQYGLPOQCQZLC-UHFFFAOYSA-N 0 1 296.286 0.798 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(COC)on2)[C@@H](O)C1 ZINC001090629305 839687427 /nfs/dbraw/zinc/68/74/27/839687427.db2.gz RTMAUZPXPLWMAM-YPMHNXCESA-N 0 1 295.339 0.172 20 30 CCEDMN Cc1nc2cnc(N[C@@H]3C(=O)N(O)C[C@@H]3C)nc2[nH]1 ZINC001160176493 839699306 /nfs/dbraw/zinc/69/93/06/839699306.db2.gz VBJHBPCQBRJRNI-XNCJUZBTSA-N 0 1 262.273 0.261 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccncn2)[C@@H](O)C1 ZINC001090662806 839715254 /nfs/dbraw/zinc/71/52/54/839715254.db2.gz IIPRYXMWOBULOR-YPMHNXCESA-N 0 1 276.340 0.218 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C(C)C)nn2)[C@H](O)C1 ZINC001090687176 839735547 /nfs/dbraw/zinc/73/55/47/839735547.db2.gz UJDDITISCBSPDE-DGCLKSJQSA-N 0 1 293.371 0.210 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(OC)n2)[C@H](O)C1 ZINC001090692941 839740245 /nfs/dbraw/zinc/74/02/45/839740245.db2.gz PXBMAUQKXZJDGO-WCQYABFASA-N 0 1 291.351 0.441 20 30 CCEDMN C#CC(=O)N[C@]1(C(=O)OC)CCN(Cc2ccccc2)C1 ZINC001143915487 839894317 /nfs/dbraw/zinc/89/43/17/839894317.db2.gz BIUZDUJGYRHEOZ-MRXNPFEDSA-N 0 1 286.331 0.554 20 30 CCEDMN CN(C1CN(Cc2cncc(C#N)c2)C1)[C@@H]1CCOC1 ZINC001144079371 839929744 /nfs/dbraw/zinc/92/97/44/839929744.db2.gz LSHKQEUMJVLAGQ-CQSZACIVSA-N 0 1 272.352 0.858 20 30 CCEDMN COc1cccc(C[C@@H](N)C(=O)Nc2cnc(C#N)cn2)c1 ZINC001144931130 840195142 /nfs/dbraw/zinc/19/51/42/840195142.db2.gz WNGOFEVFGVONBA-CYBMUJFWSA-N 0 1 297.318 0.865 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N[C@@H](C)C[C@H](C)NCC#N ZINC001146590769 840328149 /nfs/dbraw/zinc/32/81/49/840328149.db2.gz AEIYCWPHRVFTFW-RYUDHWBXSA-N 0 1 254.378 0.723 20 30 CCEDMN C=C[C@H](O)C(=O)Nc1ccc(OCc2nn[nH]n2)cc1 ZINC001147000477 840442409 /nfs/dbraw/zinc/44/24/09/840442409.db2.gz JQNAHNSDNKZTFX-JTQLQIEISA-N 0 1 275.268 0.264 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@H](C)OC)C2)C1 ZINC001147090621 840495827 /nfs/dbraw/zinc/49/58/27/840495827.db2.gz NENYJYKRRNCKTP-ZDUSSCGKSA-N 0 1 280.368 0.205 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CC[N@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001147165536 840507876 /nfs/dbraw/zinc/50/78/76/840507876.db2.gz IIRYINSOPFPPGN-UHFFFAOYSA-N 0 1 291.355 0.511 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CC[N@@H+](Cc1n[nH]c(=O)[n-]1)C2 ZINC001147165536 840507886 /nfs/dbraw/zinc/50/78/86/840507886.db2.gz IIRYINSOPFPPGN-UHFFFAOYSA-N 0 1 291.355 0.511 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(C(=O)c2cnc[nH]2)C1 ZINC001147253283 840529776 /nfs/dbraw/zinc/52/97/76/840529776.db2.gz ZEIXVCWGFXGYDV-JTQLQIEISA-N 0 1 278.312 0.927 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)c3ncc[nH]3)C2)C1 ZINC001147483207 840608912 /nfs/dbraw/zinc/60/89/12/840608912.db2.gz LAHUKOAJCKHTNO-UHFFFAOYSA-N 0 1 288.351 0.207 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@]3(COC)CCOC3)C2)C1 ZINC001147991642 840732687 /nfs/dbraw/zinc/73/26/87/840732687.db2.gz DDOYOKGJOGFNMV-MRXNPFEDSA-N 0 1 292.379 0.207 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@@H]3CC34CC4)C2)C1 ZINC001148335174 840785889 /nfs/dbraw/zinc/78/58/89/840785889.db2.gz QRGUZWHCAARXNV-AWEZNQCLSA-N 0 1 288.391 0.971 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COC[C@@H]3CCOC3)C2)C1 ZINC001148363383 840792862 /nfs/dbraw/zinc/79/28/62/840792862.db2.gz FPJHNNMWMLRELG-CQSZACIVSA-N 0 1 292.379 0.207 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cccc(C(N)=O)c1 ZINC001148539508 840831815 /nfs/dbraw/zinc/83/18/15/840831815.db2.gz XGIFCGPBHBFQMO-UHFFFAOYSA-N 0 1 255.237 0.633 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CCOC)C1 ZINC001148968918 840916175 /nfs/dbraw/zinc/91/61/75/840916175.db2.gz GYXOUVREONDLHZ-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN C[C@@H](CNC(=O)CCc1cnc[nH]1)Nc1ccnc(C#N)n1 ZINC001098344972 840964929 /nfs/dbraw/zinc/96/49/29/840964929.db2.gz ZSACBXACAVHVFE-JTQLQIEISA-N 0 1 299.338 0.043 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2CN(CCF)C[C@H]2C)nn1 ZINC001093035082 841122656 /nfs/dbraw/zinc/12/26/56/841122656.db2.gz LXDRAYQLYZKFQQ-VXGBXAGGSA-N 0 1 295.362 0.731 20 30 CCEDMN C=CCCNC(=S)N1CC(N(C)[C@@H]2CCOC2)C1 ZINC001247627345 841132717 /nfs/dbraw/zinc/13/27/17/841132717.db2.gz HRRFAWUEAHYWRO-LLVKDONJSA-N 0 1 269.414 0.842 20 30 CCEDMN CC(C)NCc1cn(C2CN(C(=O)[C@@H](C)C#N)C2)nn1 ZINC001093312379 841213649 /nfs/dbraw/zinc/21/36/49/841213649.db2.gz SKDTUOQKXZXMLS-JTQLQIEISA-N 0 1 276.344 0.319 20 30 CCEDMN CCNCc1cn(C2CN(C(=O)C#CC3CC3)C2)nn1 ZINC001093318463 841220897 /nfs/dbraw/zinc/22/08/97/841220897.db2.gz GLBMINWUONYBOJ-UHFFFAOYSA-N 0 1 273.340 0.184 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)C1CCC1)C2 ZINC001110145263 841237702 /nfs/dbraw/zinc/23/77/02/841237702.db2.gz OZZUPLIRJVGQDP-RDBSUJKOSA-N 0 1 289.379 0.257 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCNc2ccncc2C#N)c1C ZINC001093536283 841318764 /nfs/dbraw/zinc/31/87/64/841318764.db2.gz SORLZRIGZXZUDA-UHFFFAOYSA-N 0 1 284.323 0.557 20 30 CCEDMN Cc1ccc(C#N)c(NCCNC(=O)CCc2c[nH]nn2)n1 ZINC001094129889 841540439 /nfs/dbraw/zinc/54/04/39/841540439.db2.gz LWGGDRRDCJVIHB-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN Cc1ccc(C#N)c(NCCNC(=O)CCc2cnn[nH]2)n1 ZINC001094129889 841540450 /nfs/dbraw/zinc/54/04/50/841540450.db2.gz LWGGDRRDCJVIHB-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN N#Cc1cccnc1NCCNC(=O)c1[nH]ncc1F ZINC001094103766 841544380 /nfs/dbraw/zinc/54/43/80/841544380.db2.gz HVWAAMMZBDVKSO-UHFFFAOYSA-N 0 1 274.259 0.657 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCCNc1ccc(C#N)nn1 ZINC001094339959 841608208 /nfs/dbraw/zinc/60/82/08/841608208.db2.gz HJEFAEAKWJEMEK-LBPRGKRZSA-N 0 1 288.355 0.361 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)CN2CCCC2)cn1 ZINC001094434903 841635319 /nfs/dbraw/zinc/63/53/19/841635319.db2.gz YCRDTJOBNXBROM-UHFFFAOYSA-N 0 1 287.367 0.967 20 30 CCEDMN COC(=O)[C@H]1CN([C@@H](C)CCCC#N)CCN1C ZINC001172498721 841934828 /nfs/dbraw/zinc/93/48/28/841934828.db2.gz QJYSDIBJMIZDPZ-NWDGAFQWSA-N 0 1 253.346 0.858 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnn(C)c1)C2 ZINC001095223599 842106098 /nfs/dbraw/zinc/10/60/98/842106098.db2.gz PDARPMDPLBPGCT-RDBSUJKOSA-N 0 1 272.352 0.317 20 30 CCEDMN CN1CCN(c2ccc(-n3cnc(C#N)c3N)cn2)CC1 ZINC001176656116 842402411 /nfs/dbraw/zinc/40/24/11/842402411.db2.gz KXITWWBNFCTZQQ-UHFFFAOYSA-N 0 1 283.339 0.473 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1ccon1 ZINC001177260590 842539786 /nfs/dbraw/zinc/53/97/86/842539786.db2.gz RXDRZIOCPDCHAX-UHFFFAOYSA-N 0 1 267.329 0.863 20 30 CCEDMN CN(C)c1cc(NC(=O)Cc2ccnc(C#N)c2)n[nH]1 ZINC001177666038 842647145 /nfs/dbraw/zinc/64/71/45/842647145.db2.gz JSHQNEKPYWYEPT-UHFFFAOYSA-N 0 1 270.296 0.924 20 30 CCEDMN O=C(C#Cc1cccnc1)N1CCN2CCC1CC2 ZINC001177776548 842660612 /nfs/dbraw/zinc/66/06/12/842660612.db2.gz FNQYOTJGKAARFR-UHFFFAOYSA-N 0 1 255.321 0.740 20 30 CCEDMN CN1CCOC[C@@H]1CNC(=O)C(C#N)Cc1cccs1 ZINC001177914673 842707187 /nfs/dbraw/zinc/70/71/87/842707187.db2.gz CAXCOPIAWFRLAC-NEPJUHHUSA-N 0 1 293.392 0.877 20 30 CCEDMN CN1CCOC[C@@H]1CNC(=O)C(C#N)Cc1cccs1 ZINC001177914676 842707223 /nfs/dbraw/zinc/70/72/23/842707223.db2.gz CAXCOPIAWFRLAC-RYUDHWBXSA-N 0 1 293.392 0.877 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NCCC(N)=O ZINC001177916254 842708275 /nfs/dbraw/zinc/70/82/75/842708275.db2.gz ULTZPUHLGTYPHY-QMMMGPOBSA-N 0 1 251.311 0.422 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)C1CN(c2cnccn2)C1 ZINC001178091482 842771029 /nfs/dbraw/zinc/77/10/29/842771029.db2.gz SMYZOIHPVXFHME-UHFFFAOYSA-N 0 1 269.268 0.146 20 30 CCEDMN Cn1c2ccccc2n(CC(=O)Nc2nc[nH]c2C#N)c1=O ZINC001178787803 842917378 /nfs/dbraw/zinc/91/73/78/842917378.db2.gz NUMYZUPUBRLLAB-UHFFFAOYSA-N 0 1 296.290 0.573 20 30 CCEDMN COC(=O)[C@](C)(NC(=O)C(C)C#N)C(F)(F)F ZINC001179901776 843078408 /nfs/dbraw/zinc/07/84/08/843078408.db2.gz CCGRPANSVHLYKD-XRGYYRRGSA-N 0 1 252.192 0.756 20 30 CCEDMN CC(C)N1CCN(CC(=O)N(C)[C@@H](C)C#N)CC1 ZINC001180347523 843148511 /nfs/dbraw/zinc/14/85/11/843148511.db2.gz WBVICYMAMLCZRJ-LBPRGKRZSA-N 0 1 252.362 0.383 20 30 CCEDMN C=C(CC)C(=O)N1C[C@H](O)C[C@H]1c1n[nH]c(CC)n1 ZINC001181163796 843424267 /nfs/dbraw/zinc/42/42/67/843424267.db2.gz KFWGPYOPXJRZRO-ZJUUUORDSA-N 0 1 264.329 0.968 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)C1(N(C)C)CC1 ZINC001182599967 843872089 /nfs/dbraw/zinc/87/20/89/843872089.db2.gz BTEPKHUUSRNDEI-UHFFFAOYSA-N 0 1 253.346 0.279 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCOC)[C@@H]2C1 ZINC001187031306 844644765 /nfs/dbraw/zinc/64/47/65/844644765.db2.gz BWQAGFJFPNGWTJ-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN COCC#CC(=O)Nc1cc2[nH]ncc2c(C(=O)OC)c1 ZINC001187131698 844656592 /nfs/dbraw/zinc/65/65/92/844656592.db2.gz RKMLLTJKDHOPSH-UHFFFAOYSA-N 0 1 287.275 0.938 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H](C)OC)[C@@H]2C1 ZINC001187217999 844682448 /nfs/dbraw/zinc/68/24/48/844682448.db2.gz WXIQJIJZICSBRL-HZSPNIEDSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)CC)C2 ZINC001110392561 844698565 /nfs/dbraw/zinc/69/85/65/844698565.db2.gz UXPAQMWDLICJMN-UTUOFQBUSA-N 0 1 265.357 0.420 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)CC)C2 ZINC001110392561 844698567 /nfs/dbraw/zinc/69/85/67/844698567.db2.gz UXPAQMWDLICJMN-UTUOFQBUSA-N 0 1 265.357 0.420 20 30 CCEDMN O=C1C=C2CN(S(=O)(=O)c3c[nH]cn3)CCC2S1 ZINC001187741794 844761181 /nfs/dbraw/zinc/76/11/81/844761181.db2.gz ZYPVTZFOPDVLLZ-MRVPVSSYSA-N 0 1 285.350 0.373 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCOCC3CC3)[C@@H]2C1 ZINC001187925828 844809132 /nfs/dbraw/zinc/80/91/32/844809132.db2.gz RCTBUBZTOGHNLP-LSDHHAIUSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CN(C)C(=O)C3CC3)[C@@H]2C1 ZINC001188107062 844832399 /nfs/dbraw/zinc/83/23/99/844832399.db2.gz GEAMUPHTPHAUNA-UONOGXRCSA-N 0 1 289.379 0.021 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H]1CC(=O)OCC ZINC000156072265 844853638 /nfs/dbraw/zinc/85/36/38/844853638.db2.gz GGQNBIPORFGYCP-NSHDSACASA-N 0 1 254.330 0.706 20 30 CCEDMN C#Cc1cnc(NC(=O)c2ccncc2O)c(C#C)n1 ZINC001188628549 844938772 /nfs/dbraw/zinc/93/87/72/844938772.db2.gz FCDUNMVYKXAWMK-UHFFFAOYSA-N 0 1 264.244 0.792 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ccncc2)C1 ZINC001188626517 844939058 /nfs/dbraw/zinc/93/90/58/844939058.db2.gz UCOMXECAHDQTKU-HNNXBMFYSA-N 0 1 287.363 0.878 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCn1cccn1 ZINC001110401883 844968954 /nfs/dbraw/zinc/96/89/54/844968954.db2.gz QFUDKUISZXDTMP-SYQHCUMBSA-N 0 1 287.367 0.764 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)CSC)C1 ZINC001188776722 844974874 /nfs/dbraw/zinc/97/48/74/844974874.db2.gz NTJGGUHDIUOLKC-NWDGAFQWSA-N 0 1 297.424 0.020 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)CSC)C1 ZINC001188776408 844975255 /nfs/dbraw/zinc/97/52/55/844975255.db2.gz KZNUKGYVCGKMNJ-NWDGAFQWSA-N 0 1 299.440 0.573 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnn(C)c2)C1 ZINC001188814656 844982134 /nfs/dbraw/zinc/98/21/34/844982134.db2.gz VYWVFYGAWUULLJ-CYBMUJFWSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)OCC)C1 ZINC001189023300 845025289 /nfs/dbraw/zinc/02/52/89/845025289.db2.gz UCXKHCNJORRCEK-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCOCC)C1 ZINC001189345310 845115706 /nfs/dbraw/zinc/11/57/06/845115706.db2.gz ORSJVRPEXOKFIK-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccnn2C)C1 ZINC001189231912 845082705 /nfs/dbraw/zinc/08/27/05/845082705.db2.gz MVBTVWVEIQWRLT-GFCCVEGCSA-N 0 1 260.341 0.590 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@H](C)OCCOC)[C@@H]2C1 ZINC001189303291 845097949 /nfs/dbraw/zinc/09/79/49/845097949.db2.gz LHOBEAUGCPUQHR-MELADBBJSA-N 0 1 280.368 0.204 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](C)OCCOC)[C@@H]2C1 ZINC001189303291 845097950 /nfs/dbraw/zinc/09/79/50/845097950.db2.gz LHOBEAUGCPUQHR-MELADBBJSA-N 0 1 280.368 0.204 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCCOC)C1 ZINC001189259830 845099518 /nfs/dbraw/zinc/09/95/18/845099518.db2.gz BPGJGMLEVLYORJ-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(=O)NC)C1 ZINC001189318781 845108145 /nfs/dbraw/zinc/10/81/45/845108145.db2.gz PFFLOWFFYXVYNI-NWDGAFQWSA-N 0 1 267.373 0.620 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cccc(=O)[nH]2)C1 ZINC001189546278 845160056 /nfs/dbraw/zinc/16/00/56/845160056.db2.gz ADYKNXSVEMJBHG-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)n2cncn2)C1 ZINC001189695305 845193050 /nfs/dbraw/zinc/19/30/50/845193050.db2.gz HBXIFFIKDUEUAH-STQMWFEESA-N 0 1 275.356 0.395 20 30 CCEDMN C#CCC[N@H+](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189771011 845205032 /nfs/dbraw/zinc/20/50/32/845205032.db2.gz ZPIMLFCSCJZSLB-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCC[N@@H+](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189771011 845205022 /nfs/dbraw/zinc/20/50/22/845205022.db2.gz ZPIMLFCSCJZSLB-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)CC[C@@H](C)OC)C1 ZINC001189806913 845212046 /nfs/dbraw/zinc/21/20/46/845212046.db2.gz DOYCUTWJACNWKV-CABCVRRESA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCC(=O)N(C)C)[C@@H]2C1 ZINC001189857483 845225908 /nfs/dbraw/zinc/22/59/08/845225908.db2.gz QOWLORKOKPBIHW-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(=O)N(C)C)C1 ZINC001189925461 845271253 /nfs/dbraw/zinc/27/12/53/845271253.db2.gz BROYJMUSUZBSLT-UONOGXRCSA-N 0 1 293.411 0.799 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC001190005329 845309308 /nfs/dbraw/zinc/30/93/08/845309308.db2.gz ZJLWJJIJUAMGTP-LBPRGKRZSA-N 0 1 276.340 0.206 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC2(COC)CC2)C1 ZINC001190067285 845309384 /nfs/dbraw/zinc/30/93/84/845309384.db2.gz LLALOGHUKPDIDF-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C[C@@H](C(=O)N(C)C)N(C)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001190035306 845314367 /nfs/dbraw/zinc/31/43/67/845314367.db2.gz CMDYLDNXMRGCNE-JSGCOSHPSA-N 0 1 291.395 0.409 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001190053061 845316147 /nfs/dbraw/zinc/31/61/47/845316147.db2.gz DDTFVBTXLURXOT-NSHDSACASA-N 0 1 291.355 0.202 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001190053061 845316154 /nfs/dbraw/zinc/31/61/54/845316154.db2.gz DDTFVBTXLURXOT-NSHDSACASA-N 0 1 291.355 0.202 20 30 CCEDMN CN([C@@H]1CCN(C(=O)C#CC(C)(C)C)C1)[C@@H]1CCNC1=O ZINC001190076990 845326447 /nfs/dbraw/zinc/32/64/47/845326447.db2.gz XPIWFOHQISWCKR-CHWSQXEVSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H]([N@H+](C)[C@@H](C)C(N)=O)C1 ZINC001190428469 845404139 /nfs/dbraw/zinc/40/41/39/845404139.db2.gz JILMDAFZSJJUDZ-NWDGAFQWSA-N 0 1 267.373 0.749 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001190428469 845404144 /nfs/dbraw/zinc/40/41/44/845404144.db2.gz JILMDAFZSJJUDZ-NWDGAFQWSA-N 0 1 267.373 0.749 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CN(C)C(=O)C2CC2)C1 ZINC001190471979 845407220 /nfs/dbraw/zinc/40/72/20/845407220.db2.gz HEBPFTRLEGBXHI-CYBMUJFWSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCNC2=O)C1 ZINC001190635453 845452052 /nfs/dbraw/zinc/45/20/52/845452052.db2.gz VQHOONIEZFCUNL-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CCC[C@H]1CNS(=O)(=O)c1ncc[nH]1 ZINC001190755259 845471988 /nfs/dbraw/zinc/47/19/88/845471988.db2.gz NQMJPRSMLVENRR-JTQLQIEISA-N 0 1 270.358 0.338 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H]2C=CCC2)C1 ZINC001190968145 845553208 /nfs/dbraw/zinc/55/32/08/845553208.db2.gz CSMBYLQFIGTONV-RBSFLKMASA-N 0 1 294.395 0.707 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnc3nccn3c2)C1 ZINC001191342879 845628966 /nfs/dbraw/zinc/62/89/66/845628966.db2.gz SQYHYXXEVBXLIQ-CQSZACIVSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)OCCCC)C1 ZINC001191599166 845701323 /nfs/dbraw/zinc/70/13/23/845701323.db2.gz VCQYNACRFPVAHQ-MGPQQGTHSA-N 0 1 282.384 0.376 20 30 CCEDMN CCC[C@@H](OC)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001191707428 845712986 /nfs/dbraw/zinc/71/29/86/845712986.db2.gz NHYJKOKUUMXURN-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cn(C)nc2OC)C1 ZINC001191684182 845719001 /nfs/dbraw/zinc/71/90/01/845719001.db2.gz PDVXNFNYATUTEN-LBPRGKRZSA-N 0 1 290.367 0.598 20 30 CCEDMN Cc1cccc(CN2C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C2)c1 ZINC001191853173 845741043 /nfs/dbraw/zinc/74/10/43/845741043.db2.gz CAQMZPHEXLNUDG-NWANDNLSSA-N 0 1 287.363 0.816 20 30 CCEDMN CC/C=C/CCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001191863099 845743052 /nfs/dbraw/zinc/74/30/52/845743052.db2.gz CJZFWUDBCUPMAD-YVAWVJMJSA-N 0 1 265.357 0.664 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001191860194 845743414 /nfs/dbraw/zinc/74/34/14/845743414.db2.gz YDBSYMPJFWKMNF-STQMWFEESA-N 0 1 279.384 0.587 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC001192037993 845778670 /nfs/dbraw/zinc/77/86/70/845778670.db2.gz PAYOJZJWFFDKFD-KHMAMNHCSA-N 0 1 294.395 0.396 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001192218052 845806716 /nfs/dbraw/zinc/80/67/16/845806716.db2.gz MJLCUUAXRKDIED-WFASDCNBSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001192223638 845807083 /nfs/dbraw/zinc/80/70/83/845807083.db2.gz VXKQTCSWMSZVEH-GHMZBOCLSA-N 0 1 294.355 0.165 20 30 CCEDMN COC(=O)c1ccc(O)c(C(=O)N2CCOC[C@@H]2C#N)c1 ZINC001192385922 845834611 /nfs/dbraw/zinc/83/46/11/845834611.db2.gz IQNIKEQNFVKJAT-JTQLQIEISA-N 0 1 290.275 0.543 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(C[C@H]2CCCCO2)C[C@H]1O ZINC001192509706 845859810 /nfs/dbraw/zinc/85/98/10/845859810.db2.gz COOSQBNRQIKLBV-RBSFLKMASA-N 0 1 294.395 0.520 20 30 CCEDMN N#CCS(=O)(=O)Nc1cccnc1N1CCCC1 ZINC001192935516 845942174 /nfs/dbraw/zinc/94/21/74/845942174.db2.gz VQDWOESWGBQHFG-UHFFFAOYSA-N 0 1 266.326 0.947 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)c1cnccc1C#N ZINC001192983092 845945053 /nfs/dbraw/zinc/94/50/53/845945053.db2.gz CRPSHTWRZYUHFJ-UHFFFAOYSA-N 0 1 299.290 0.783 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1C[C@H]2CCCN(C)[C@@H]2C1 ZINC001193139105 846003646 /nfs/dbraw/zinc/00/36/46/846003646.db2.gz YZZCBUCFZNWMRW-GMTAPVOTSA-N 0 1 257.359 0.254 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccc(CO)cc1F ZINC001193146680 846005412 /nfs/dbraw/zinc/00/54/12/846005412.db2.gz QKWPOFLWMWALCM-ZETCQYMHSA-N 0 1 258.274 0.972 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccccc1-n1cncn1 ZINC001193149988 846006469 /nfs/dbraw/zinc/00/64/69/846006469.db2.gz UMKJAMQFBHPKSB-VIFPVBQESA-N 0 1 277.309 0.921 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccc2c(c1)CCNC2=O ZINC001193151983 846006582 /nfs/dbraw/zinc/00/65/82/846006582.db2.gz XZMXNXUNYMGNGP-QMMMGPOBSA-N 0 1 279.321 0.626 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccc2c(c1)CCN(C)C2=O ZINC001193161089 846009782 /nfs/dbraw/zinc/00/97/82/846009782.db2.gz LAVRXQDBYDGFQM-SECBINFHSA-N 0 1 293.348 0.968 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](CCCO)C[C@H]1O ZINC001193312966 846061612 /nfs/dbraw/zinc/06/16/12/846061612.db2.gz UDLLCTZXAMODTD-GHMZBOCLSA-N 0 1 290.791 0.309 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1CN(CCCO)C[C@H]1O ZINC001193312966 846061615 /nfs/dbraw/zinc/06/16/15/846061615.db2.gz UDLLCTZXAMODTD-GHMZBOCLSA-N 0 1 290.791 0.309 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](OCC)C(=C)C)C1 ZINC001193422106 846077603 /nfs/dbraw/zinc/07/76/03/846077603.db2.gz MPFCWFOKSDTMNE-KFWWJZLASA-N 0 1 294.395 0.542 20 30 CCEDMN N#Cc1cc(C(=O)n2c(N)csc2=N)ccc1O ZINC001193499682 846094260 /nfs/dbraw/zinc/09/42/60/846094260.db2.gz UJRULNAJFFYKEX-UHFFFAOYSA-N 0 1 260.278 0.877 20 30 CCEDMN CC(C)[C@@](C)(NC(=O)c1ccc(O)c(C#N)c1)C(N)=O ZINC001193513070 846102962 /nfs/dbraw/zinc/10/29/62/846102962.db2.gz ZCOLFODYFMCDGA-CQSZACIVSA-N 0 1 275.308 0.894 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2(C(=O)NC)CCC2)C1 ZINC001193630010 846129812 /nfs/dbraw/zinc/12/98/12/846129812.db2.gz AMDXOAOIQUTDKF-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@@H]1C(=O)NCCN1C(=O)c1cccc(C#N)c1O ZINC001193644339 846134950 /nfs/dbraw/zinc/13/49/50/846134950.db2.gz VAJXSWCQDVYXNZ-MRVPVSSYSA-N 0 1 259.265 0.224 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2ccncc2)C1 ZINC001194359062 846275341 /nfs/dbraw/zinc/27/53/41/846275341.db2.gz KLNMXLYLOUMJEF-HUUCEWRRSA-N 0 1 289.379 0.752 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COCCC=C)C1 ZINC001194381734 846284184 /nfs/dbraw/zinc/28/41/84/846284184.db2.gz MNBVLNDOGUWUBZ-ZDUSSCGKSA-N 0 1 250.342 0.745 20 30 CCEDMN COC(=O)C1(C#N)CCN(CCC[C@@H](C)O)CC1 ZINC001194392769 846285163 /nfs/dbraw/zinc/28/51/63/846285163.db2.gz CIZTVGSUWYNPSR-LLVKDONJSA-N 0 1 254.330 0.926 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(OC)nn1C ZINC001194751108 846372961 /nfs/dbraw/zinc/37/29/61/846372961.db2.gz TUGYSXHLGFMZSI-NSHDSACASA-N 0 1 278.356 0.809 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCc2ccccn2)C1 ZINC001195229537 846477892 /nfs/dbraw/zinc/47/78/92/846477892.db2.gz VABZPQYRYKACBD-HUUCEWRRSA-N 0 1 287.363 0.199 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(CCC#C)C[C@H]1O ZINC001195302094 846498590 /nfs/dbraw/zinc/49/85/90/846498590.db2.gz ZMHWRKUMDXMUED-HUUCEWRRSA-N 0 1 276.380 0.755 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCOC(C)C)C[C@H]1O ZINC001195333312 846519509 /nfs/dbraw/zinc/51/95/09/846519509.db2.gz FGCDJXPZRIJGOF-CHWSQXEVSA-N 0 1 284.400 0.785 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C(F)(F)F)C1 ZINC001195401367 846521101 /nfs/dbraw/zinc/52/11/01/846521101.db2.gz VINSOZCKAVJXKK-OPRDCNLKSA-N 0 1 280.290 0.922 20 30 CCEDMN C=C(C)CNC(=O)[C@H]1CC12CCN(CC(=O)NCC)CC2 ZINC001273699226 846530066 /nfs/dbraw/zinc/53/00/66/846530066.db2.gz KPAVGKHBGBPQGT-CYBMUJFWSA-N 0 1 293.411 0.917 20 30 CCEDMN C=CCOCCCC(=O)N1CCCN(CCO)CC1 ZINC001195443870 846531500 /nfs/dbraw/zinc/53/15/00/846531500.db2.gz JAIFWCUIIDFEJD-UHFFFAOYSA-N 0 1 270.373 0.496 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(C)C)C1 ZINC001195492264 846551183 /nfs/dbraw/zinc/55/11/83/846551183.db2.gz SHLYBKVJJYRRIC-UTUOFQBUSA-N 0 1 258.337 0.718 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(C)C)C1 ZINC001195492264 846551190 /nfs/dbraw/zinc/55/11/90/846551190.db2.gz SHLYBKVJJYRRIC-UTUOFQBUSA-N 0 1 258.337 0.718 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC(=O)NC)C2 ZINC001110472938 846587881 /nfs/dbraw/zinc/58/78/81/846587881.db2.gz KVQJTMPEMLYMDH-MCIONIFRSA-N 0 1 291.395 0.648 20 30 CCEDMN CC1(C)C(=O)NC[C@H]1NC(=O)c1ccc(C#N)cc1O ZINC001195769133 846615212 /nfs/dbraw/zinc/61/52/12/846615212.db2.gz WLMYTLDHCFJFGH-LLVKDONJSA-N 0 1 273.292 0.518 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](O)[C@H](F)C2)c(O)c1 ZINC001195740280 846619779 /nfs/dbraw/zinc/61/97/79/846619779.db2.gz CBSDSESFLRJMGL-GHMZBOCLSA-N 0 1 264.256 0.809 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCCN([C@@H](C)COC)CC1 ZINC001195805944 846631599 /nfs/dbraw/zinc/63/15/99/846631599.db2.gz IKVDVVXFHGEREL-UONOGXRCSA-N 0 1 282.384 0.594 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(C[C@H](C)OC)C[C@H]2O)CC1 ZINC001195906300 846647612 /nfs/dbraw/zinc/64/76/12/846647612.db2.gz VTCUAOXLHBXDLZ-BFHYXJOUSA-N 0 1 296.411 0.929 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCCOCC)C[C@H]2O)C1 ZINC001196020898 846669069 /nfs/dbraw/zinc/66/90/69/846669069.db2.gz QDHAGTQLXQHWPC-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC(F)(F)C(F)F)C1 ZINC001196193078 846695672 /nfs/dbraw/zinc/69/56/72/846695672.db2.gz QSZUUDFBYRQHAV-RKDXNWHRSA-N 0 1 296.264 0.462 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1O ZINC001196482890 846752811 /nfs/dbraw/zinc/75/28/11/846752811.db2.gz UJIIMZNOGVEVIC-YNEHKIRRSA-N 0 1 284.400 0.785 20 30 CCEDMN N#Cc1cccnc1C(=O)Nc1n[nH]c2nccnc12 ZINC001196546710 846771001 /nfs/dbraw/zinc/77/10/01/846771001.db2.gz GRQYYVUCOKJUTR-UHFFFAOYSA-N 0 1 265.236 0.823 20 30 CCEDMN COCCOc1cccnc1C(=O)Nc1nc[nH]c1C#N ZINC001197676373 846946207 /nfs/dbraw/zinc/94/62/07/846946207.db2.gz WQKSRWWQOOAGFN-UHFFFAOYSA-N 0 1 287.279 0.954 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@](C)(O)C=C)C2 ZINC001110500651 847027941 /nfs/dbraw/zinc/02/79/41/847027941.db2.gz IMECTVCCZBAKRT-CXTNEJHOSA-N 0 1 262.353 0.668 20 30 CCEDMN COCCOc1ccnc(C(=O)Nc2nc[nH]c2C#N)c1 ZINC001199213060 847256572 /nfs/dbraw/zinc/25/65/72/847256572.db2.gz JLMDEMXUKBCABW-UHFFFAOYSA-N 0 1 287.279 0.954 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cncc(N2CCOCC2)c1 ZINC001199271794 847281476 /nfs/dbraw/zinc/28/14/76/847281476.db2.gz HCBUGJNFUXADMP-UHFFFAOYSA-N 0 1 298.306 0.765 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)COC)CCCO1 ZINC001199589529 847361401 /nfs/dbraw/zinc/36/14/01/847361401.db2.gz AXQQUJPFCFHHEK-LLVKDONJSA-N 0 1 276.764 0.592 20 30 CCEDMN CN1CCC[C@](C)(NC(=O)c2cnc(C#N)cn2)C1 ZINC001199669536 847394442 /nfs/dbraw/zinc/39/44/42/847394442.db2.gz FPKKLQYKAMUZLA-ZDUSSCGKSA-N 0 1 259.313 0.562 20 30 CCEDMN Cc1nc(CN2[C@@H]3CC[C@H]2[C@H](NC(=O)C#CC2CC2)C3)n[nH]1 ZINC001110540295 847398888 /nfs/dbraw/zinc/39/88/88/847398888.db2.gz MEZJCWPWVXDKMT-MCIONIFRSA-N 0 1 299.378 0.748 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@H](CO)c1ccccc1OC ZINC001251902858 847410456 /nfs/dbraw/zinc/41/04/56/847410456.db2.gz ANTMCQSZRFMZSJ-GXTWGEPZSA-N 0 1 279.336 0.329 20 30 CCEDMN C#CCCCC(=O)N1CCC(NCc2nnnn2C)CC1 ZINC001200317487 847605262 /nfs/dbraw/zinc/60/52/62/847605262.db2.gz WEAISMXKCHSRQZ-UHFFFAOYSA-N 0 1 290.371 0.094 20 30 CCEDMN CC(C)C#CC(=O)N1CCC(NCc2cn(C)nn2)CC1 ZINC001200448919 847630430 /nfs/dbraw/zinc/63/04/30/847630430.db2.gz UFZMRVJMLJTJFO-UHFFFAOYSA-N 0 1 289.383 0.555 20 30 CCEDMN C[N@H+]1CCCC[C@@H]1C(=O)N1CCCO[C@H](CNCC#N)C1 ZINC001200805927 847694702 /nfs/dbraw/zinc/69/47/02/847694702.db2.gz NKMNRAHIKDJIES-ZIAGYGMSSA-N 0 1 294.399 0.201 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCCO[C@H](CNCC#N)C1 ZINC001200805927 847694706 /nfs/dbraw/zinc/69/47/06/847694706.db2.gz NKMNRAHIKDJIES-ZIAGYGMSSA-N 0 1 294.399 0.201 20 30 CCEDMN C=C(C)CN1C(=O)COCC12CN(C[C@H](O)C(C)(C)C)C2 ZINC001273889952 847751909 /nfs/dbraw/zinc/75/19/09/847751909.db2.gz CCBZVPGIWNMROX-ZDUSSCGKSA-N 0 1 296.411 0.883 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(CC(=O)OC)C2)C1=O ZINC001273931949 847861370 /nfs/dbraw/zinc/86/13/70/847861370.db2.gz YGAFFHINAYOTEM-CYBMUJFWSA-N 0 1 252.314 0.270 20 30 CCEDMN C=CCN1C(=O)C[C@@]2(CCCN(CC(=O)CC)C2)C1=O ZINC001273952498 847928640 /nfs/dbraw/zinc/92/86/40/847928640.db2.gz YIBFKEXVFXNQAT-OAHLLOKOSA-N 0 1 278.352 0.993 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCN[C@@H](C)c1n[nH]c(C)n1 ZINC001153149360 847933289 /nfs/dbraw/zinc/93/32/89/847933289.db2.gz WIJSNCZJPUKQDO-VHSXEESVSA-N 0 1 281.360 0.471 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCN[C@@H](C)c1cnccn1 ZINC001153148766 847935263 /nfs/dbraw/zinc/93/52/63/847935263.db2.gz LEECQMCBHHKZEF-RYUDHWBXSA-N 0 1 278.356 0.835 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCc1cc(C)no1 ZINC001114455886 848071728 /nfs/dbraw/zinc/07/17/28/848071728.db2.gz KOAPPBKVIZAXPW-NHAGDIPZSA-N 0 1 289.335 0.179 20 30 CCEDMN C#CCOc1ccc(F)cc1NC(=O)CCc1nn[nH]n1 ZINC001137094370 848114184 /nfs/dbraw/zinc/11/41/84/848114184.db2.gz NYEYMRIEAAFNIJ-UHFFFAOYSA-N 0 1 289.270 0.922 20 30 CCEDMN N#CCCCN1CCC[C@@]12CCN(C1COC1)C2=O ZINC001274040698 848314728 /nfs/dbraw/zinc/31/47/28/848314728.db2.gz RYDYJGRBQFUDNE-AWEZNQCLSA-N 0 1 263.341 0.756 20 30 CCEDMN C=CCN1CC2(CCC1=O)CCN(CC(N)=O)CC2 ZINC001274054009 848329210 /nfs/dbraw/zinc/32/92/10/848329210.db2.gz ITGFHJMUMNDFGN-UHFFFAOYSA-N 0 1 265.357 0.362 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncn(C)n1)C2 ZINC001095384613 848380657 /nfs/dbraw/zinc/38/06/57/848380657.db2.gz JFHUITAGFSNFOT-VWYCJHECSA-N 0 1 261.329 0.336 20 30 CCEDMN C[C@H](CNCC#N)NC(=O)c1cncc2nc[nH]c21 ZINC001274759866 848562203 /nfs/dbraw/zinc/56/22/03/848562203.db2.gz HPZGYDXFGJJLLH-MRVPVSSYSA-N 0 1 258.285 0.189 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)CC[C@H](C)OC)CC1 ZINC001274903192 848585183 /nfs/dbraw/zinc/58/51/83/848585183.db2.gz BOHHOFCTLFPAKC-AWEZNQCLSA-N 0 1 296.411 0.768 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H](C)[C@H](C)COC)CC1 ZINC001274910434 848590044 /nfs/dbraw/zinc/59/00/44/848590044.db2.gz KSSRKMARFQQWCY-KGLIPLIRSA-N 0 1 296.411 0.481 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)CNCc1nccn1C ZINC001274925594 848593053 /nfs/dbraw/zinc/59/30/53/848593053.db2.gz IQKSLSOAPLZUHQ-UPJWGTAASA-N 0 1 292.383 0.606 20 30 CCEDMN CCN1C[C@@H]2CN(Cc3cc(C#N)n(C)c3)C[C@H](C1)O2 ZINC001275086299 848634165 /nfs/dbraw/zinc/63/41/65/848634165.db2.gz KAWKOWKAAPYAMX-GASCZTMLSA-N 0 1 274.368 0.802 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCN1C(=O)CCc1cnc[nH]1 ZINC001275115897 848642162 /nfs/dbraw/zinc/64/21/62/848642162.db2.gz SCOYZRPFKOTYKC-ZDUSSCGKSA-N 0 1 275.356 0.789 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CC1CCOCC1 ZINC001275520743 848748375 /nfs/dbraw/zinc/74/83/75/848748375.db2.gz JFUMZRQYPCHTNQ-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCN(C)C[C@@H](C)NC(=O)c1cn(C)c(C)cc1=O ZINC001275583100 848768152 /nfs/dbraw/zinc/76/81/52/848768152.db2.gz SQIKONWYECKLGX-LLVKDONJSA-N 0 1 277.368 0.930 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H](CC(=C)C)NC(C)=O ZINC001275665841 848786678 /nfs/dbraw/zinc/78/66/78/848786678.db2.gz ONJBOJRSDGSIAV-GXTWGEPZSA-N 0 1 279.384 0.527 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)N(C)[C@@H]1CCN(CC)C1=O ZINC001275784527 848822180 /nfs/dbraw/zinc/82/21/80/848822180.db2.gz YCDVWGDREBGECY-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H](C)Cn1ccc(C)n1 ZINC001275845662 848843528 /nfs/dbraw/zinc/84/35/28/848843528.db2.gz QTNWDYVHCNEAOK-JSGCOSHPSA-N 0 1 276.384 0.897 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(C)[C@H]1CCCNC1=O ZINC001275897656 848859511 /nfs/dbraw/zinc/85/95/11/848859511.db2.gz RPQLBAIJAQTLSQ-NEPJUHHUSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCCCN1CC2(CCN2C(=O)c2cccc(=O)n2C)C1 ZINC001275975799 848877703 /nfs/dbraw/zinc/87/77/03/848877703.db2.gz PBTOSBMMWKNESB-UHFFFAOYSA-N 0 1 299.374 0.699 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN1CCCCC1=O)C2 ZINC001095678662 849007442 /nfs/dbraw/zinc/00/74/42/849007442.db2.gz RSPYQNDWUWCXRP-RDBSUJKOSA-N 0 1 291.395 0.906 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001111487118 849094263 /nfs/dbraw/zinc/09/42/63/849094263.db2.gz AICDSBBZLKARGW-UPJWGTAASA-N 0 1 268.357 0.293 20 30 CCEDMN CCOC(=O)c1cc(C(=O)NCC#CCN(C)C)on1 ZINC000717893017 849202431 /nfs/dbraw/zinc/20/24/31/849202431.db2.gz XNAIPTCPGPJJMH-UHFFFAOYSA-N 0 1 279.296 0.146 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cc(C(=O)[O-])oc1C ZINC000689107267 849223782 /nfs/dbraw/zinc/22/37/82/849223782.db2.gz WRMJROHQPSHMSD-UHFFFAOYSA-N 0 1 278.308 0.971 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(F)CCCC1 ZINC001114496616 849226016 /nfs/dbraw/zinc/22/60/16/849226016.db2.gz PRLKNBZMTQEBTL-WDNDVIMCSA-N 0 1 294.370 0.965 20 30 CCEDMN C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)COC ZINC001114568938 849247973 /nfs/dbraw/zinc/24/79/73/849247973.db2.gz VJUJQCQGQPAKLB-GDNZZTSVSA-N 0 1 252.358 0.891 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCCc1cn[nH]n1 ZINC001114622095 849329462 /nfs/dbraw/zinc/32/94/62/849329462.db2.gz XGUDOZGFXNQNLY-IMRBUKKESA-N 0 1 288.355 0.087 20 30 CCEDMN C#CCN1CCN([C@@H](C)CCC(=O)OCC)CC1 ZINC001258497987 849369376 /nfs/dbraw/zinc/36/93/76/849369376.db2.gz FTSVZUHKSMQBGC-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1[C@H]2CN([C@@H](CC)C(N)=O)C[C@H]21 ZINC001114718622 849379471 /nfs/dbraw/zinc/37/94/71/849379471.db2.gz RPMLMBHKRHXHED-SFDCQRBFSA-N 0 1 291.395 0.490 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccc(=O)[nH]c1 ZINC001114737140 849382728 /nfs/dbraw/zinc/38/27/28/849382728.db2.gz VGFGLENOGVLXFW-VIKVFOODSA-N 0 1 285.347 0.399 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C(C)(F)F ZINC001114855060 849440972 /nfs/dbraw/zinc/44/09/72/849440972.db2.gz UBVRLCPFOHWRSI-ZNSHCXBVSA-N 0 1 256.296 0.957 20 30 CCEDMN C=C[C@H](O)CN1Cc2cnn(C)c2[C@H](COCC)C1 ZINC001253578336 849529822 /nfs/dbraw/zinc/52/98/22/849529822.db2.gz ZFHONQQFYSHWEQ-STQMWFEESA-N 0 1 265.357 0.903 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC12CCC2 ZINC001114888699 849574735 /nfs/dbraw/zinc/57/47/35/849574735.db2.gz MAYXDQSPUWAQOZ-LJISPDSOSA-N 0 1 288.391 0.873 20 30 CCEDMN C=C[C@@H](O)CN[C@@H](c1ccccc1)[C@@H](O)C(=O)OC ZINC001253601364 849640627 /nfs/dbraw/zinc/64/06/27/849640627.db2.gz PQYJCZKAMKITRY-FRRDWIJNSA-N 0 1 265.309 0.398 20 30 CCEDMN CO[C@]1(CNCc2cccc(C#N)c2)CCS(=O)(=O)C1 ZINC000721219238 849644044 /nfs/dbraw/zinc/64/40/44/849644044.db2.gz OETAKUFXWHXJMY-AWEZNQCLSA-N 0 1 294.376 0.852 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C1=COCCO1 ZINC001038030864 849652937 /nfs/dbraw/zinc/65/29/37/849652937.db2.gz GONBYZBHLHHRIK-NSHDSACASA-N 0 1 250.298 0.088 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@](C)(CC)C(=O)[O-] ZINC000380869577 849694983 /nfs/dbraw/zinc/69/49/83/849694983.db2.gz YCCFZEFKRDLAQH-ZDUSSCGKSA-N 0 1 269.345 0.494 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)C1CN(CCn2cncn2)C1 ZINC001276377064 849796387 /nfs/dbraw/zinc/79/63/87/849796387.db2.gz RQZBGOYGQLFUFN-ZDUSSCGKSA-N 0 1 289.383 0.374 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001038196141 849813381 /nfs/dbraw/zinc/81/33/81/849813381.db2.gz VYCOWWOOEKQESD-SNVBAGLBSA-N 0 1 265.313 0.359 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001038444993 849903386 /nfs/dbraw/zinc/90/33/86/849903386.db2.gz FGLKHHXGXMCWFS-CYBMUJFWSA-N 0 1 275.352 0.766 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCCN(C(N)=O)C1 ZINC001038484888 849919658 /nfs/dbraw/zinc/91/96/58/849919658.db2.gz LYJKWOGDTHPNIM-OLZOCXBDSA-N 0 1 294.399 0.544 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1nnc2ccccc2c1O ZINC001038765051 850008051 /nfs/dbraw/zinc/00/80/51/850008051.db2.gz VBMJGHMJRCKZHK-SNVBAGLBSA-N 0 1 297.318 0.663 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc2n[nH]c(=O)n2c1 ZINC001038803223 850019166 /nfs/dbraw/zinc/01/91/66/850019166.db2.gz WWIDFLKQLYGWNX-LBPRGKRZSA-N 0 1 299.334 0.262 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(C#C)nc1 ZINC001038930436 850090870 /nfs/dbraw/zinc/09/08/70/850090870.db2.gz CHAJUGRKFWTIIC-OAHLLOKOSA-N 0 1 267.332 0.890 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cnn(C)c1OC ZINC001038962798 850102746 /nfs/dbraw/zinc/10/27/46/850102746.db2.gz WCWGNVYHMGOTCR-LLVKDONJSA-N 0 1 278.356 0.809 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001038970184 850105051 /nfs/dbraw/zinc/10/50/51/850105051.db2.gz IBGPAUAAZNSYNB-KBPBESRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(=O)N1 ZINC001039345095 850176469 /nfs/dbraw/zinc/17/64/69/850176469.db2.gz TWOPKGNGFHKXIV-FRRDWIJNSA-N 0 1 277.368 0.516 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)N1 ZINC001039461495 850195494 /nfs/dbraw/zinc/19/54/94/850195494.db2.gz TWOPKGNGFHKXIV-AGIUHOORSA-N 0 1 277.368 0.516 20 30 CCEDMN C[C@@H](C(N)=O)N1CC[C@@]2(CCN(C(=O)C#CC3CC3)C2)C1 ZINC001041265702 850416821 /nfs/dbraw/zinc/41/68/21/850416821.db2.gz LOABKKXUFQWTEL-BLLLJJGKSA-N 0 1 289.379 0.198 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C3=COCCO3)C[C@H]21 ZINC001041916364 850527661 /nfs/dbraw/zinc/52/76/61/850527661.db2.gz KITFDTKGHVETCW-CHWSQXEVSA-N 0 1 276.336 0.431 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)CSC)C[C@H]21 ZINC001041921337 850530482 /nfs/dbraw/zinc/53/04/82/850530482.db2.gz VIIQFEPRTNAHAE-VXGBXAGGSA-N 0 1 252.383 0.905 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@@H]21 ZINC001041993387 850553196 /nfs/dbraw/zinc/55/31/96/850553196.db2.gz YYFAXLAYQNMDNF-AAEUAGOBSA-N 0 1 273.340 0.399 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cn(C)nn3)C[C@@H]21 ZINC001042046954 850567264 /nfs/dbraw/zinc/56/72/64/850567264.db2.gz RKQPLCBUUKKMBV-OCCSQVGLSA-N 0 1 287.367 0.375 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3n[nH]nc3C)C[C@H]21 ZINC001042184248 850590160 /nfs/dbraw/zinc/59/01/60/850590160.db2.gz VBJFMQMBCIQCPP-QWHCGFSZSA-N 0 1 287.367 0.673 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H]2CCN(CC#N)[C@@H]2C1 ZINC001042179339 850590539 /nfs/dbraw/zinc/59/05/39/850590539.db2.gz LANLZFBJJVBLJA-WDEREUQCSA-N 0 1 274.328 0.173 20 30 CCEDMN C=CCN1CC[C@H]2CCN(C(=O)c3cnon3)C[C@@H]21 ZINC001042278725 850607284 /nfs/dbraw/zinc/60/72/84/850607284.db2.gz ZRSOGFZNUMXVRJ-JQWIXIFHSA-N 0 1 262.313 0.792 20 30 CCEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3cnon3)C[C@H]21 ZINC001042278727 850607399 /nfs/dbraw/zinc/60/73/99/850607399.db2.gz ZRSOGFZNUMXVRJ-ZYHUDNBSSA-N 0 1 262.313 0.792 20 30 CCEDMN C#CCCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001042981085 850792359 /nfs/dbraw/zinc/79/23/59/850792359.db2.gz VIXYPZRXMCIXCU-CHWSQXEVSA-N 0 1 272.352 0.679 20 30 CCEDMN CCCc1cc(C(=O)N(C)C2CN(CC#N)C2)n[nH]1 ZINC001043502286 850886529 /nfs/dbraw/zinc/88/65/29/850886529.db2.gz SPHYRVUTZWNHLK-UHFFFAOYSA-N 0 1 261.329 0.642 20 30 CCEDMN C[C@H]1C[C@H](NCc2cnon2)CCN1C(=O)C#CC1CC1 ZINC001044593054 851129405 /nfs/dbraw/zinc/12/94/05/851129405.db2.gz MODWAVZNDVXDOJ-WCQYABFASA-N 0 1 288.351 0.952 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)C2=COCCO2)CC1 ZINC001045355685 851241262 /nfs/dbraw/zinc/24/12/62/851241262.db2.gz LWWAAJZLCXNIBO-UHFFFAOYSA-N 0 1 264.325 0.479 20 30 CCEDMN CC#CCN1CCC(C)(NC(=O)c2cnn(CC)n2)CC1 ZINC001045431951 851257524 /nfs/dbraw/zinc/25/75/24/851257524.db2.gz MAUBISSYEWZBNQ-UHFFFAOYSA-N 0 1 289.383 0.906 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCO2)C1 ZINC001046121556 851383754 /nfs/dbraw/zinc/38/37/54/851383754.db2.gz JCHNJHFQTGBFRQ-TZMCWYRMSA-N 0 1 250.342 0.769 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)[C@H]2COCCO2)C1 ZINC001046152145 851398280 /nfs/dbraw/zinc/39/82/80/851398280.db2.gz HUXPPYMXFVQOHQ-DGCLKSJQSA-N 0 1 254.330 0.168 20 30 CCEDMN C[C@]1(NC(=O)[C@H]2CCCc3[nH]ncc32)CCN(CC#N)C1 ZINC001046311394 851463461 /nfs/dbraw/zinc/46/34/61/851463461.db2.gz JGWBOQKIRAINRH-NHYWBVRUSA-N 0 1 287.367 0.934 20 30 CCEDMN C[C@@]1(NC(=O)c2cncc3nc[nH]c32)CCN(CC#N)C1 ZINC001046335393 851470142 /nfs/dbraw/zinc/47/01/42/851470142.db2.gz LDEALZHGJLMZFH-CQSZACIVSA-N 0 1 284.323 0.676 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)c3ncn[nH]3)C2)cn1 ZINC001046431257 851499841 /nfs/dbraw/zinc/49/98/41/851499841.db2.gz DGMYVKFYEXAWKZ-WVSHTKLVSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)c3nc[nH]n3)C2)cn1 ZINC001046431257 851499842 /nfs/dbraw/zinc/49/98/42/851499842.db2.gz DGMYVKFYEXAWKZ-WVSHTKLVSA-N 0 1 297.322 0.692 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001046658625 851577251 /nfs/dbraw/zinc/57/72/51/851577251.db2.gz LYGIFPRYNBHVCV-HNNXBMFYSA-N 0 1 273.336 0.923 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001046829673 851623409 /nfs/dbraw/zinc/62/34/09/851623409.db2.gz MGJKXKSZJWYWPB-INIZCTEOSA-N 0 1 285.347 0.613 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CN(CC)CCO2)C1 ZINC001046846382 851629117 /nfs/dbraw/zinc/62/91/17/851629117.db2.gz USUGQCMDROLQMI-GOEBONIOSA-N 0 1 293.411 0.311 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001046872321 851636888 /nfs/dbraw/zinc/63/68/88/851636888.db2.gz VJYVFYOVAYCPBV-HOMQSWHASA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001046872321 851636896 /nfs/dbraw/zinc/63/68/96/851636896.db2.gz VJYVFYOVAYCPBV-HOMQSWHASA-N 0 1 297.322 0.692 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001096147788 851658763 /nfs/dbraw/zinc/65/87/63/851658763.db2.gz TULARSANXZOQMH-QTVXIADOSA-N 0 1 294.395 0.681 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCC(=O)N1)C2 ZINC001096152124 851665169 /nfs/dbraw/zinc/66/51/69/851665169.db2.gz LWCGKZNKJWODHP-RNJOBUHISA-N 0 1 275.352 0.010 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc[nH]2)C1 ZINC001047301561 851703737 /nfs/dbraw/zinc/70/37/37/851703737.db2.gz SCJJKOLQKDIUKR-STQMWFEESA-N 0 1 263.341 0.708 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccnc(F)c2)C1 ZINC001047320702 851714720 /nfs/dbraw/zinc/71/47/20/851714720.db2.gz WBRXFDPRVSVKIG-STQMWFEESA-N 0 1 293.342 0.914 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001047347640 851731069 /nfs/dbraw/zinc/73/10/69/851731069.db2.gz FQWNPBCJCLVYEF-AVGNSLFASA-N 0 1 296.367 0.019 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccn(CC)n2)C1 ZINC001047388069 851744895 /nfs/dbraw/zinc/74/48/95/851744895.db2.gz AUXJMXDHNCGTRL-KBPBESRZSA-N 0 1 292.383 0.135 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2C=CC=CC=C2)C1 ZINC001047397330 851748991 /nfs/dbraw/zinc/74/89/91/851748991.db2.gz ZXOXZQSYBNGFQJ-HOTGVXAUSA-N 0 1 286.375 0.812 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2onc(C)c2C)C1 ZINC001047421520 851758018 /nfs/dbraw/zinc/75/80/18/851758018.db2.gz KTVSWQWETWATDI-STQMWFEESA-N 0 1 293.367 0.985 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2(C3CC3)CC2)C1 ZINC001047420134 851758793 /nfs/dbraw/zinc/75/87/93/851758793.db2.gz BEVLAFURIVPLLO-KBPBESRZSA-N 0 1 276.380 0.703 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2conc2CC)C1 ZINC001047519615 851799750 /nfs/dbraw/zinc/79/97/50/851799750.db2.gz IYXIHZWSUVYUTI-KBPBESRZSA-N 0 1 293.367 0.930 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2C[C@H]2CC)C1 ZINC001047535338 851805179 /nfs/dbraw/zinc/80/51/79/851805179.db2.gz YEBKZVYSTHMJBF-MQYQWHSLSA-N 0 1 264.369 0.559 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2c[nH]cc2C)C1 ZINC001047625684 851839648 /nfs/dbraw/zinc/83/96/48/851839648.db2.gz KFRLWAJWUCPFTI-KBPBESRZSA-N 0 1 275.352 0.464 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CCO)cn1 ZINC001096379020 851971736 /nfs/dbraw/zinc/97/17/36/851971736.db2.gz DCOPDYCGIMHKRN-ILXRZTDVSA-N 0 1 285.347 0.390 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)Cc3nnc[nH]3)C[C@@H]2C1 ZINC001049164389 852181105 /nfs/dbraw/zinc/18/11/05/852181105.db2.gz XOXCRDDWZIRTGG-PHIMTYICSA-N 0 1 295.774 0.490 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1COCCN1C ZINC001049426811 852268577 /nfs/dbraw/zinc/26/85/77/852268577.db2.gz AHGLMLVJCBMYKU-KFWWJZLASA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1COCCN1C ZINC001049426811 852268591 /nfs/dbraw/zinc/26/85/91/852268591.db2.gz AHGLMLVJCBMYKU-KFWWJZLASA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cncn1C ZINC001049578520 852316551 /nfs/dbraw/zinc/31/65/51/852316551.db2.gz WXOQMMVTAIWNAS-OLZOCXBDSA-N 0 1 272.352 0.732 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#N ZINC001049631728 852323209 /nfs/dbraw/zinc/32/32/09/852323209.db2.gz TVRSPJMDYUSOGK-MNOVXSKESA-N 0 1 274.328 0.316 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1csnn1 ZINC001049628269 852324094 /nfs/dbraw/zinc/32/40/94/852324094.db2.gz WZNNQBQABGKWAZ-NEPJUHHUSA-N 0 1 276.365 0.850 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@H]2[C@H]1CCN2CC#N ZINC001049631730 852325668 /nfs/dbraw/zinc/32/56/68/852325668.db2.gz TVRSPJMDYUSOGK-WDEREUQCSA-N 0 1 274.328 0.316 20 30 CCEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnon1 ZINC001049801643 852378841 /nfs/dbraw/zinc/37/88/41/852378841.db2.gz INNDEVOGULEDKV-RYUDHWBXSA-N 0 1 262.313 0.935 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@@H]3[C@H]2CC[N@@H+]3CCO)cn1 ZINC001049854946 852387190 /nfs/dbraw/zinc/38/71/90/852387190.db2.gz OVZAMJMEXBLKQE-HZPDHXFCSA-N 0 1 299.374 0.734 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCNC1=O)C2 ZINC001097213282 852513856 /nfs/dbraw/zinc/51/38/56/852513856.db2.gz JQDPVKWXMAMIAW-SYQHCUMBSA-N 0 1 289.379 0.257 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001097357083 852528938 /nfs/dbraw/zinc/52/89/38/852528938.db2.gz ITXCKPXNCJCYEP-MCIONIFRSA-N 0 1 285.347 0.354 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cncn1C)C2 ZINC001097452717 852540400 /nfs/dbraw/zinc/54/04/00/852540400.db2.gz IYDOIXXJVFDGTP-UTUOFQBUSA-N 0 1 260.341 0.941 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H]1CCCN1C)Nc1cncc(C#N)n1 ZINC001097728532 852592694 /nfs/dbraw/zinc/59/26/94/852592694.db2.gz CLUYTNKVPCDXCZ-JQWIXIFHSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@H](CNC(=O)[C@@H]1CCCN1C)Nc1ccncc1C#N ZINC001097730681 852595459 /nfs/dbraw/zinc/59/54/59/852595459.db2.gz WYXAVUWYZCWOSK-RISCZKNCSA-N 0 1 287.367 0.386 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001097880076 852681764 /nfs/dbraw/zinc/68/17/64/852681764.db2.gz AOKYYQVNYWEZBY-POQQGIQPSA-N 0 1 280.368 0.291 20 30 CCEDMN C#CCCN1CC2(C1)CN(C(=O)[C@@H]1CCCN1C)CCO2 ZINC001053190381 852705648 /nfs/dbraw/zinc/70/56/48/852705648.db2.gz SPTVSHBROPXNQP-AWEZNQCLSA-N 0 1 291.395 0.017 20 30 CCEDMN C=CCN1CC2(C1)CN(C(=O)[C@H]1CCCN1C)CCO2 ZINC001053189789 852705826 /nfs/dbraw/zinc/70/58/26/852705826.db2.gz ZSSVFJHSOICSGX-CYBMUJFWSA-N 0 1 279.384 0.180 20 30 CCEDMN N#CCN1Cc2ccccc2C[C@H]1CNC(=O)c1cnn[nH]1 ZINC001054046386 852872631 /nfs/dbraw/zinc/87/26/31/852872631.db2.gz KMLOKPXYPSEAER-ZDUSSCGKSA-N 0 1 296.334 0.485 20 30 CCEDMN C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn[n-]1 ZINC001054047329 852875497 /nfs/dbraw/zinc/87/54/97/852875497.db2.gz ZPJKGXHOVXBYGF-AWEZNQCLSA-N 0 1 295.346 0.595 20 30 CCEDMN C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1cnn[n-]1 ZINC001054047329 852875502 /nfs/dbraw/zinc/87/55/02/852875502.db2.gz ZPJKGXHOVXBYGF-AWEZNQCLSA-N 0 1 295.346 0.595 20 30 CCEDMN C#CCN1Cc2ccccc2C[C@H]1CNC(=O)c1cnn[nH]1 ZINC001054047329 852875507 /nfs/dbraw/zinc/87/55/07/852875507.db2.gz ZPJKGXHOVXBYGF-AWEZNQCLSA-N 0 1 295.346 0.595 20 30 CCEDMN N#CCN1CC[C@]2(C1)CCCN(C(=O)c1ccn[nH]1)C2 ZINC001054093046 852882889 /nfs/dbraw/zinc/88/28/89/852882889.db2.gz WPQIQUGMXDDBHK-AWEZNQCLSA-N 0 1 273.340 0.861 20 30 CCEDMN C[C@H]1CN(C(=O)C#CC2CC2)C[C@@H]1NCc1cnns1 ZINC001054655742 852991548 /nfs/dbraw/zinc/99/15/48/852991548.db2.gz JAYXHOMWRNIGIY-GWCFXTLKSA-N 0 1 290.392 0.888 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cn[nH]c(=O)c2)C[C@@H]1C ZINC001054764967 853010278 /nfs/dbraw/zinc/01/02/78/853010278.db2.gz MAZJYFOCASUEKL-GZMMTYOYSA-N 0 1 296.758 0.985 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NCc2cnnn2C)C1 ZINC001054977370 853047456 /nfs/dbraw/zinc/04/74/56/853047456.db2.gz XGLKLRMLRJKSAI-WCQYABFASA-N 0 1 291.399 0.964 20 30 CCEDMN C=CCCC(=O)NCCN(CCO)C(=O)c1cc(C)[nH]n1 ZINC001057099674 853271444 /nfs/dbraw/zinc/27/14/44/853271444.db2.gz JYLVCGAHYSUKCV-UHFFFAOYSA-N 0 1 294.355 0.235 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cc3ccnn3C)[C@@H]2C1 ZINC001050033529 853300106 /nfs/dbraw/zinc/30/01/06/853300106.db2.gz HDCRLEMQDKIPBD-DZGCQCFKSA-N 0 1 286.379 0.519 20 30 CCEDMN N#CCN1C[C@@H]2CCCN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)[C@@H]2C1 ZINC001050068682 853307255 /nfs/dbraw/zinc/30/72/55/853307255.db2.gz DXSAZDGWDMKBCW-KYEXWDHISA-N 0 1 299.378 0.960 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC2(C1)CCN(CC#N)CC2 ZINC001050565779 853398043 /nfs/dbraw/zinc/39/80/43/853398043.db2.gz JJYPEBSKFDGZMI-AWEZNQCLSA-N 0 1 290.411 0.919 20 30 CCEDMN N#Cc1cnccc1N[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001057590548 853434492 /nfs/dbraw/zinc/43/44/92/853434492.db2.gz BEXFVBJOWHEQEU-GFCCVEGCSA-N 0 1 296.334 0.815 20 30 CCEDMN N#Cc1cnccc1N[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001057590549 853434583 /nfs/dbraw/zinc/43/45/83/853434583.db2.gz BEXFVBJOWHEQEU-LBPRGKRZSA-N 0 1 296.334 0.815 20 30 CCEDMN C=CCN1CCOC[C@H]1CNC(=O)[C@@H]1CCCCN1CC ZINC001051256538 853555251 /nfs/dbraw/zinc/55/52/51/853555251.db2.gz XDBXYZVFBZTZIL-CABCVRRESA-N 0 1 295.427 0.864 20 30 CCEDMN Cc1ncoc1CNC[C@@H]1CN(C(=O)[C@@H](C)C#N)CCO1 ZINC001051494399 853596885 /nfs/dbraw/zinc/59/68/85/853596885.db2.gz IIQBKPCPCBBBAE-CMPLNLGQSA-N 0 1 292.339 0.460 20 30 CCEDMN C=C(C)CN1CCN([C@H]2CCN(C(=O)[C@@H](C)OC)C2)CC1 ZINC001051990488 853672187 /nfs/dbraw/zinc/67/21/87/853672187.db2.gz CDQSUEWGSLJHLK-CABCVRRESA-N 0 1 295.427 0.816 20 30 CCEDMN N#Cc1nccnc1NC1CC(CNC(=O)c2cnn[nH]2)C1 ZINC001052144030 853692048 /nfs/dbraw/zinc/69/20/48/853692048.db2.gz CEAQUMADMUICNR-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001052180540 853699367 /nfs/dbraw/zinc/69/93/67/853699367.db2.gz DETFXMYPTYCQCW-QWRGUYRKSA-N 0 1 291.355 0.595 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001052180540 853699370 /nfs/dbraw/zinc/69/93/70/853699370.db2.gz DETFXMYPTYCQCW-QWRGUYRKSA-N 0 1 291.355 0.595 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)Cn2cnnn2)CC1 ZINC001052190117 853701632 /nfs/dbraw/zinc/70/16/32/853701632.db2.gz HZIZBXSYQHQHRX-LLVKDONJSA-N 0 1 298.778 0.396 20 30 CCEDMN Cc1cc(N2CC[C@H](NC(=O)c3ncn[nH]3)C2)c(C#N)cn1 ZINC001058422747 853843252 /nfs/dbraw/zinc/84/32/52/853843252.db2.gz MMTITVCSAKKHNC-NSHDSACASA-N 0 1 297.322 0.389 20 30 CCEDMN Cc1cc(N2CC[C@H](NC(=O)c3nc[nH]n3)C2)c(C#N)cn1 ZINC001058422747 853843259 /nfs/dbraw/zinc/84/32/59/853843259.db2.gz MMTITVCSAKKHNC-NSHDSACASA-N 0 1 297.322 0.389 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C=C(/C)C2CC2)C[C@@H]1n1ccnn1 ZINC001070146831 854029395 /nfs/dbraw/zinc/02/93/95/854029395.db2.gz HRKIFCGCMNRFLE-PVBRJXJSSA-N 0 1 299.378 0.609 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)CC)C[C@@H](C)O2 ZINC001071113820 854124209 /nfs/dbraw/zinc/12/42/09/854124209.db2.gz LLVIPZNSKRZIBT-TZMCWYRMSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ccnn2C)CC[C@@H]1C ZINC001071466817 854210255 /nfs/dbraw/zinc/21/02/55/854210255.db2.gz HQRJUSSOYJTURY-QWHCGFSZSA-N 0 1 274.368 0.565 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cnnn2C)CC[C@@H]1C ZINC001071481749 854216569 /nfs/dbraw/zinc/21/65/69/854216569.db2.gz NQDQGRRUJHKERD-QWRGUYRKSA-N 0 1 263.345 0.584 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2nnc(C)o2)CC[C@H]1C ZINC001071489112 854217321 /nfs/dbraw/zinc/21/73/21/854217321.db2.gz ZVHNGTJGTZBGBG-DGCLKSJQSA-N 0 1 290.367 0.913 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC[C@@H]1C ZINC001071747448 854279251 /nfs/dbraw/zinc/27/92/51/854279251.db2.gz DCSVXHWNWBBNNG-MEBFFEOJSA-N 0 1 262.353 0.481 20 30 CCEDMN C[C@H]1CC[C@@H](NC(=O)C2=NC(=O)N(C)C2)CN1CC#N ZINC001071803017 854297316 /nfs/dbraw/zinc/29/73/16/854297316.db2.gz FQKOZBSHVVAPIP-VHSXEESVSA-N 0 1 277.328 0.232 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cnon2)CC[C@@H]1C ZINC001071914056 854316663 /nfs/dbraw/zinc/31/66/63/854316663.db2.gz LOAUZSMYLXNZMP-UWVGGRQHSA-N 0 1 250.302 0.838 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3nnc(C)o3)C2)C1 ZINC001072699674 854448475 /nfs/dbraw/zinc/44/84/75/854448475.db2.gz DTXYQCLOCDTGDQ-UHFFFAOYSA-N 0 1 274.324 0.088 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3n[nH]cc3C)C2)C1 ZINC001072713942 854451246 /nfs/dbraw/zinc/45/12/46/854451246.db2.gz RRKYJJYAXMOUFC-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3ccnnc3C)C2)C1 ZINC001072898449 854491046 /nfs/dbraw/zinc/49/10/46/854491046.db2.gz AGLOMCXCTCXVTA-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3[nH]c(C)nc3C)C2)C1 ZINC001072992295 854512765 /nfs/dbraw/zinc/51/27/65/854512765.db2.gz UCUGGLIKJJXBFS-UHFFFAOYSA-N 0 1 272.352 0.808 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3conc3COC)C2)C1 ZINC001073120971 854534515 /nfs/dbraw/zinc/53/45/15/854534515.db2.gz DNNWVSWXAJYMNC-UHFFFAOYSA-N 0 1 289.335 0.602 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnccn2)C1 ZINC001073523408 854576971 /nfs/dbraw/zinc/57/69/71/854576971.db2.gz YLUNAPOZOXAGQY-GFCCVEGCSA-N 0 1 276.340 0.483 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C2CCC(O)CC2)C1 ZINC001073587307 854606580 /nfs/dbraw/zinc/60/65/80/854606580.db2.gz MUURIIXKDLVQQF-YMAMQOFZSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2c(C)ncn2C)C1 ZINC001073648746 854624546 /nfs/dbraw/zinc/62/45/46/854624546.db2.gz AUUHNIIOMQHTSI-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C2CC(OC)C2)C1 ZINC001073651740 854624615 /nfs/dbraw/zinc/62/46/15/854624615.db2.gz FFIAZAQNMZAOAI-YMAMQOFZSA-N 0 1 294.395 0.642 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001073778688 854643381 /nfs/dbraw/zinc/64/33/81/854643381.db2.gz GVZZPPFBXULHMX-RBSFLKMASA-N 0 1 294.395 0.784 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2nc(C)c[nH]2)C1 ZINC001073809812 854647793 /nfs/dbraw/zinc/64/77/93/854647793.db2.gz ABBRACNGQVMNED-GFCCVEGCSA-N 0 1 278.356 0.725 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccnc(C)n2)C1 ZINC001073893280 854659686 /nfs/dbraw/zinc/65/96/86/854659686.db2.gz PCUDPOFFZICTBX-CYBMUJFWSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccnc(C)n2)C1 ZINC001073893281 854659896 /nfs/dbraw/zinc/65/98/96/854659896.db2.gz PCUDPOFFZICTBX-ZDUSSCGKSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC001074180968 854688251 /nfs/dbraw/zinc/68/82/51/854688251.db2.gz LBSMMOSLIYGCOR-STQMWFEESA-N 0 1 276.340 0.511 20 30 CCEDMN C#CCN1CCO[C@@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@H]21 ZINC001074193954 854693763 /nfs/dbraw/zinc/69/37/63/854693763.db2.gz FUOKGWGYXFTODL-ZIAGYGMSSA-N 0 1 288.351 0.267 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2COCCO2)[C@H]1C ZINC001074542891 854742770 /nfs/dbraw/zinc/74/27/70/854742770.db2.gz MFDURAFQSBWSNW-SRVKXCTJSA-N 0 1 288.775 0.733 20 30 CCEDMN Cc1nc(N[C@@H](C)CNC(=O)c2cnn[nH]2)ccc1C#N ZINC001098404024 854863430 /nfs/dbraw/zinc/86/34/30/854863430.db2.gz AUZAJBONTGQYNN-QMMMGPOBSA-N 0 1 285.311 0.610 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)Cc2cnoc2)C1 ZINC001098991566 854904207 /nfs/dbraw/zinc/90/42/07/854904207.db2.gz DQRYUDUDCJPVTN-ZFWWWQNUSA-N 0 1 273.336 0.821 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CSC)C[C@H]1C(F)(F)F ZINC001099207196 854922826 /nfs/dbraw/zinc/92/28/26/854922826.db2.gz YOJBIVISLMBUBR-RKDXNWHRSA-N 0 1 280.315 0.962 20 30 CCEDMN C[C@@H](CCNC(=O)c1ncn[nH]1)Nc1ccncc1C#N ZINC001099382109 854930373 /nfs/dbraw/zinc/93/03/73/854930373.db2.gz JCIRWVZKJGORPQ-VIFPVBQESA-N 0 1 285.311 0.114 20 30 CCEDMN C[C@@H](CCNC(=O)c1nc[nH]n1)Nc1ccncc1C#N ZINC001099382109 854930374 /nfs/dbraw/zinc/93/03/74/854930374.db2.gz JCIRWVZKJGORPQ-VIFPVBQESA-N 0 1 285.311 0.114 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCCOC)[C@@H](O)C1 ZINC001099735523 854981639 /nfs/dbraw/zinc/98/16/39/854981639.db2.gz HJSDNKYLMNTJBC-KGLIPLIRSA-N 0 1 282.384 0.378 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCCCC)[C@H](O)C1 ZINC001099754574 854985986 /nfs/dbraw/zinc/98/59/86/854985986.db2.gz HKCDZOUUNAVVCG-QWHCGFSZSA-N 0 1 270.373 0.541 20 30 CCEDMN C[C@H](CCNC(=O)c1cnn[nH]1)Nc1ccncc1C#N ZINC001099777668 854992486 /nfs/dbraw/zinc/99/24/86/854992486.db2.gz SHVFTBUVDKCHFV-SECBINFHSA-N 0 1 285.311 0.114 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(F)=C(C)C)[C@H](O)C1 ZINC001099781555 854995160 /nfs/dbraw/zinc/99/51/60/854995160.db2.gz YAONUJBLVXFVJP-NWDGAFQWSA-N 0 1 268.332 0.825 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccn(C)n2)[C@H](O)C1 ZINC001099855747 855010007 /nfs/dbraw/zinc/01/00/07/855010007.db2.gz MLYVNISAAKTUMJ-ZIAGYGMSSA-N 0 1 292.383 0.090 20 30 CCEDMN Cc1cc(N(C)CCNC(=O)Cc2cnc[nH]2)c(C#N)cn1 ZINC001100354291 855154469 /nfs/dbraw/zinc/15/44/69/855154469.db2.gz VCUSACKUXPIUTI-UHFFFAOYSA-N 0 1 298.350 0.780 20 30 CCEDMN Cc1cc(C#N)nc(N(C)CCNC(=O)Cc2cnc[nH]2)n1 ZINC001100354133 855154626 /nfs/dbraw/zinc/15/46/26/855154626.db2.gz LIPJQLZONOSLOK-UHFFFAOYSA-N 0 1 299.338 0.175 20 30 CCEDMN CCN(CCNC(=O)Cc1cnc[nH]1)c1nccnc1C#N ZINC001100734714 855215722 /nfs/dbraw/zinc/21/57/22/855215722.db2.gz RPMGWEANWLKGND-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CN(C(=O)CC)C[C@]2(C)C1 ZINC001101098895 855262367 /nfs/dbraw/zinc/26/23/67/855262367.db2.gz RASAGLQFDPYOID-DOMZBBRYSA-N 0 1 279.384 0.479 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@@H]2CN(C(=O)CC)C[C@]2(C)C1 ZINC001101102385 855263155 /nfs/dbraw/zinc/26/31/55/855263155.db2.gz DEALSUBNEXYPCN-IOASZLSFSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CN([C@@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001101165269 855270742 /nfs/dbraw/zinc/27/07/42/855270742.db2.gz RAGSZHQJHBSISO-ZOWXZIJZSA-N 0 1 279.384 0.607 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CN(CC(=O)NC)C[C@@]2(C)C1 ZINC001101162854 855272093 /nfs/dbraw/zinc/27/20/93/855272093.db2.gz KSJJCWULRPYONL-DOMZBBRYSA-N 0 1 279.384 0.479 20 30 CCEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001101378999 855287326 /nfs/dbraw/zinc/28/73/26/855287326.db2.gz QBKWIDPYVQIKQJ-WBMJQRKESA-N 0 1 293.411 0.854 20 30 CCEDMN N#Cc1cnccc1N(CCNC(=O)c1cnn[nH]1)C1CC1 ZINC001101467578 855289191 /nfs/dbraw/zinc/28/91/91/855289191.db2.gz JEAYMRGLVBZMKM-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN CN(CCNc1cncc(C#N)n1)C(=O)Cc1ccn[nH]1 ZINC001101535198 855309889 /nfs/dbraw/zinc/30/98/89/855309889.db2.gz WOQZIMUKSIGLOL-UHFFFAOYSA-N 0 1 285.311 0.184 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)C(C)(C)F)[C@H](C)C1 ZINC001101679037 855341876 /nfs/dbraw/zinc/34/18/76/855341876.db2.gz XLVMDZANVRZNCZ-VXGBXAGGSA-N 0 1 299.390 0.721 20 30 CCEDMN CN(CCNc1ncccc1C#N)C(=O)CCc1c[nH]nn1 ZINC001101960308 855399177 /nfs/dbraw/zinc/39/91/77/855399177.db2.gz MTLVAHSPVWHWOF-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN CN(CCNc1ncccc1C#N)C(=O)CCc1cnn[nH]1 ZINC001101960308 855399179 /nfs/dbraw/zinc/39/91/79/855399179.db2.gz MTLVAHSPVWHWOF-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN CN(CCNc1ccc(C#N)nn1)C(=O)CCc1cnc[nH]1 ZINC001102021143 855408045 /nfs/dbraw/zinc/40/80/45/855408045.db2.gz DYRUYUWFTIUCKM-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=C[C@H](O)C(=O)NCCc1nnc(-c2ccccc2)[nH]1 ZINC001138116374 855413596 /nfs/dbraw/zinc/41/35/96/855413596.db2.gz TYDNJHOSVGLJPD-NSHDSACASA-N 0 1 272.308 0.677 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102262932 855422950 /nfs/dbraw/zinc/42/29/50/855422950.db2.gz PWLFKWWHSLQVSQ-FRRDWIJNSA-N 0 1 281.400 0.758 20 30 CCEDMN Cc1cc(NC[C@@H](C)CNC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001104059319 855564927 /nfs/dbraw/zinc/56/49/27/855564927.db2.gz HDWNODJJANJMGS-SECBINFHSA-N 0 1 299.338 0.280 20 30 CCEDMN Cc1cc(NC[C@@H](C)CNC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001104059319 855564931 /nfs/dbraw/zinc/56/49/31/855564931.db2.gz HDWNODJJANJMGS-SECBINFHSA-N 0 1 299.338 0.280 20 30 CCEDMN C#CCCCCC(=O)NC[C@H]1CN(C)CCN1C ZINC000692928626 855594452 /nfs/dbraw/zinc/59/44/52/855594452.db2.gz VKTXXLPHBQSDTC-ZDUSSCGKSA-N 0 1 251.374 0.542 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CNC(=O)c1ccccc1 ZINC001115161226 855647928 /nfs/dbraw/zinc/64/79/28/855647928.db2.gz AUCXVBKBPJRPGC-FOLVSLTJSA-N 0 1 297.358 0.096 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)NCC#Cc1ccccc1 ZINC001117008532 855891705 /nfs/dbraw/zinc/89/17/05/855891705.db2.gz MZQNLWGUOQGISQ-UHFFFAOYSA-N 0 1 296.330 0.502 20 30 CCEDMN Cc1nc([C@@H](C)NS(=O)(=O)CCCCC#N)n[nH]1 ZINC001117110398 855915244 /nfs/dbraw/zinc/91/52/44/855915244.db2.gz KEJPJGCRPYAIPQ-MRVPVSSYSA-N 0 1 271.346 0.787 20 30 CCEDMN C#CC1CCN(C(=O)C(=O)NCc2cc(CC)[nH]n2)CC1 ZINC001118216865 856208056 /nfs/dbraw/zinc/20/80/56/856208056.db2.gz LBPKXIFFCHEYMR-UHFFFAOYSA-N 0 1 288.351 0.460 20 30 CCEDMN N#CCc1cccc(N2CC(N3CC[C@@H](O)C3)C2)n1 ZINC001118305734 856249239 /nfs/dbraw/zinc/24/92/39/856249239.db2.gz ZBFZHDWISFNNPZ-CYBMUJFWSA-N 0 1 258.325 0.403 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)C(=O)N(C)Cc2nnc[nH]2)C1 ZINC001118447116 856300439 /nfs/dbraw/zinc/30/04/39/856300439.db2.gz RMUXHZMBOYRYHG-NSHDSACASA-N 0 1 289.339 0.025 20 30 CCEDMN C#C[C@H](NC[C@H]1CN=C(c2cnn(C)c2)O1)[C@H]1CCCO1 ZINC001119743830 856863182 /nfs/dbraw/zinc/86/31/82/856863182.db2.gz PNROMYGXGNIAHX-MELADBBJSA-N 0 1 288.351 0.336 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+](CCOC)CCC(=O)[O-])C1=O ZINC001120260917 857021665 /nfs/dbraw/zinc/02/16/65/857021665.db2.gz YSNMXGYVVWYRGZ-NSHDSACASA-N 0 1 270.329 0.196 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1COCCO1 ZINC001393034006 912342518 /nfs/dbraw/zinc/34/25/18/912342518.db2.gz VKMRKPFHOYLIQL-NEPJUHHUSA-N 0 1 288.775 0.735 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(C)CCN([C@@H](C)C(=O)N(C)C)CC1 ZINC001393058350 912362873 /nfs/dbraw/zinc/36/28/73/912362873.db2.gz MORMFFDGVFWJCF-NEPJUHHUSA-N 0 1 294.399 0.593 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)CC(N)=O)C(C)(C)C1 ZINC001328081289 914960078 /nfs/dbraw/zinc/96/00/78/914960078.db2.gz KAQOBRNBUQPNKH-RYUDHWBXSA-N 0 1 279.384 0.348 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H]2CN(C)CCO2)C1 ZINC001323910030 912577782 /nfs/dbraw/zinc/57/77/82/912577782.db2.gz ZVMYCIKQXVFRPA-DZGCQCFKSA-N 0 1 295.427 0.814 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](O)CC2)CC1 ZINC001324332100 912785300 /nfs/dbraw/zinc/78/53/00/912785300.db2.gz PZLLQDNFJMODAT-CQSZACIVSA-N 0 1 264.369 0.705 20 30 CCEDMN C#CCN(C(=O)[C@H]1C[C@H](C)CO1)C1CCN(CC#C)CC1 ZINC001324408004 912831226 /nfs/dbraw/zinc/83/12/26/912831226.db2.gz PDCIUDVGBHZILZ-GOEBONIOSA-N 0 1 288.391 0.971 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(C(N)=O)c[nH]1 ZINC001393984400 912901645 /nfs/dbraw/zinc/90/16/45/912901645.db2.gz LZCLGFMPIYEGRA-IUCAKERBSA-N 0 1 298.774 0.963 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001394047151 912946062 /nfs/dbraw/zinc/94/60/62/912946062.db2.gz CVLHPEWROKXQCJ-CABZTGNLSA-N 0 1 291.355 0.200 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCc2ccnc(C)n2)C1 ZINC001324632343 912953743 /nfs/dbraw/zinc/95/37/43/912953743.db2.gz GUCBWXQGUBIZLQ-MRXNPFEDSA-N 0 1 286.379 0.931 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CCN(C)C[C@H]1CC ZINC001324724968 913001774 /nfs/dbraw/zinc/00/17/74/913001774.db2.gz DFAWQWPLBMLLLY-NWDGAFQWSA-N 0 1 255.362 0.923 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CCN(C)C[C@@H]1CC ZINC001324724969 913002209 /nfs/dbraw/zinc/00/22/09/913002209.db2.gz DFAWQWPLBMLLLY-RYUDHWBXSA-N 0 1 255.362 0.923 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CC(C)(C)COC)C1 ZINC001325114016 913222213 /nfs/dbraw/zinc/22/22/13/913222213.db2.gz JVZQMTOSBIIMTH-HNNXBMFYSA-N 0 1 282.384 0.235 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)Cc2cccc(F)c2)C1 ZINC001325158509 913250919 /nfs/dbraw/zinc/25/09/19/913250919.db2.gz AXEKLDLSOJSJSI-INIZCTEOSA-N 0 1 290.338 0.554 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H](OC)C1CCCC1 ZINC001492830723 913323562 /nfs/dbraw/zinc/32/35/62/913323562.db2.gz NMIWDIGEDXMGGE-CYBMUJFWSA-N 0 1 252.358 0.873 20 30 CCEDMN C[C@H](C(N)=O)N1CCC(C2(NC(=O)[C@@H](C)C#N)CC2)CC1 ZINC001394863024 913470746 /nfs/dbraw/zinc/47/07/46/913470746.db2.gz KCSQDSKDKKOZCR-WDEREUQCSA-N 0 1 292.383 0.381 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cnn2c1CCC2 ZINC001480871555 891470945 /nfs/dbraw/zinc/47/09/45/891470945.db2.gz AZPHKQICPDZUAX-UHFFFAOYSA-N 0 1 290.367 0.141 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)NC1(C#N)CCCC1 ZINC001347604345 891578870 /nfs/dbraw/zinc/57/88/70/891578870.db2.gz WVGRMEQSFFJUOB-VXGBXAGGSA-N 0 1 262.357 0.327 20 30 CCEDMN CCOC(=O)[C@H]1c2[nH]cnc2CCN1C(=O)C(C)(C)C#N ZINC001143399211 891683289 /nfs/dbraw/zinc/68/32/89/891683289.db2.gz LGEZZDSFZIGFJR-LLVKDONJSA-N 0 1 290.323 0.948 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001283332526 891744524 /nfs/dbraw/zinc/74/45/24/891744524.db2.gz DMUBOKFOYKHPHK-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)NCC1(CC#N)CC1 ZINC001349799738 891781376 /nfs/dbraw/zinc/78/13/76/891781376.db2.gz RVIXSFXDDLHRKM-NWDGAFQWSA-N 0 1 262.357 0.185 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)Cc2cc[nH]n2)C1 ZINC001325834562 913603518 /nfs/dbraw/zinc/60/35/18/913603518.db2.gz LDDKIBXDUOYUAZ-HNNXBMFYSA-N 0 1 292.383 0.471 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cccn1C ZINC001480961327 891980416 /nfs/dbraw/zinc/98/04/16/891980416.db2.gz OGJLVEJCNRMLKR-UHFFFAOYSA-N 0 1 277.368 0.727 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCNC(=O)C(C)(C)C ZINC001480991326 892026890 /nfs/dbraw/zinc/02/68/90/892026890.db2.gz TVZFVGNEBGKEJQ-UHFFFAOYSA-N 0 1 281.400 0.610 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C(=O)NCC(F)F ZINC001325897299 913631637 /nfs/dbraw/zinc/63/16/37/913631637.db2.gz KIWMAGJTGVQUHS-MNOVXSKESA-N 0 1 299.321 0.066 20 30 CCEDMN COCC#CCN(CCNC(=O)C1CC1)C1CC1 ZINC001481156097 892245311 /nfs/dbraw/zinc/24/53/11/892245311.db2.gz LFPDLPBXMNWDCS-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN(CCNC(=O)c1cnnc(C)c1)C1CC1 ZINC001481166055 892261500 /nfs/dbraw/zinc/26/15/00/892261500.db2.gz IDKCTSJMUQAEEA-UHFFFAOYSA-N 0 1 258.325 0.612 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)CCNCc1cnc(C)o1 ZINC001481225016 892392534 /nfs/dbraw/zinc/39/25/34/892392534.db2.gz DHULXXSOFUFJAF-AWEZNQCLSA-N 0 1 281.356 0.858 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001009204946 892579356 /nfs/dbraw/zinc/57/93/56/892579356.db2.gz RRYAJHPCYKKTER-VXGBXAGGSA-N 0 1 289.383 0.676 20 30 CCEDMN C=CCN1CC(CNC(=O)Cc2nnc(C)o2)C1 ZINC001481622883 892919844 /nfs/dbraw/zinc/91/98/44/892919844.db2.gz GUOXDWASIOGJRP-UHFFFAOYSA-N 0 1 250.302 0.155 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn(CCCOC)c2)C1 ZINC001481653211 892968648 /nfs/dbraw/zinc/96/86/48/892968648.db2.gz HKAHWMRHUGLHCF-UHFFFAOYSA-N 0 1 292.383 0.767 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2nonc2C)C1 ZINC001481662575 892984664 /nfs/dbraw/zinc/98/46/64/892984664.db2.gz BNPUZVAWNNKACH-UHFFFAOYSA-N 0 1 280.328 0.242 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2nccn2CC)C1 ZINC001481701152 893040773 /nfs/dbraw/zinc/04/07/73/893040773.db2.gz WYRWWYHKZNIEFA-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CC[C@@H](C)OC)C1 ZINC001481721262 893092729 /nfs/dbraw/zinc/09/27/29/893092729.db2.gz HDTXMGCVJZPPKA-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)COCc2ncc(C)o2)C1 ZINC001481733039 893103139 /nfs/dbraw/zinc/10/31/39/893103139.db2.gz BXPGWSNPPCYFEC-CYBMUJFWSA-N 0 1 291.351 0.666 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H](C)C#N)NCc1nccn1C ZINC001481796678 893165360 /nfs/dbraw/zinc/16/53/60/893165360.db2.gz GPXNVOGOBFCDBN-WDEREUQCSA-N 0 1 263.345 0.564 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H]1CC1(C)C ZINC001284492951 893579240 /nfs/dbraw/zinc/57/92/40/893579240.db2.gz QUQJGVLOAPHVIM-ZIAGYGMSSA-N 0 1 296.411 0.433 20 30 CCEDMN C#CC[NH2+]C[C@@H]1[C@@H](C)CCCN1C(=O)Cc1nnc[n-]1 ZINC001482500488 894162372 /nfs/dbraw/zinc/16/23/72/894162372.db2.gz VPTRVAJOEDJXCX-NWDGAFQWSA-N 0 1 275.356 0.197 20 30 CCEDMN CC(C)[C@@H](CNC(=O)C#CC1CC1)NCc1nncn1C ZINC001482529932 894194999 /nfs/dbraw/zinc/19/49/99/894194999.db2.gz QHQDNIDGPPSSDP-CYBMUJFWSA-N 0 1 289.383 0.459 20 30 CCEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@H]1CCN(C)C1=O ZINC001505443864 894215502 /nfs/dbraw/zinc/21/55/02/894215502.db2.gz SHBQUTXNOZFFRN-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)Cn2cc(C)cn2)C1 ZINC001271942838 894228782 /nfs/dbraw/zinc/22/87/82/894228782.db2.gz UYSDWVVLEPMKPI-OAHLLOKOSA-N 0 1 292.383 0.321 20 30 CCEDMN CC[C@@H](F)C(=O)N(C)CCN(C)CC#CCOC ZINC001482618865 894418361 /nfs/dbraw/zinc/41/83/61/894418361.db2.gz KOTVJAZUMKIMJP-GFCCVEGCSA-N 0 1 258.337 0.775 20 30 CCEDMN N#CCNC[C@@H]1CCC[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC001482698135 894494362 /nfs/dbraw/zinc/49/43/62/894494362.db2.gz OIWWYWLUABVEIO-RYUDHWBXSA-N 0 1 298.350 0.970 20 30 CCEDMN CC/C=C(/C)C(=O)NC/C=C/CNCC(=O)NCC#N ZINC001482989870 894774932 /nfs/dbraw/zinc/77/49/32/894774932.db2.gz QVULTOXNOUEEMW-VULOCEMHSA-N 0 1 278.356 0.244 20 30 CCEDMN C=C(C)CCC(=O)NC/C=C\CN[C@H]1CCNC1=O ZINC001483014058 894801164 /nfs/dbraw/zinc/80/11/64/894801164.db2.gz JDZCYQZHYRCFCD-MVZIDQBPSA-N 0 1 265.357 0.493 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C(C)(C)S(C)(=O)=O ZINC001483271027 895400822 /nfs/dbraw/zinc/40/08/22/895400822.db2.gz MRFGKUVPXGZODW-VIFPVBQESA-N 0 1 296.820 0.656 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CN(C)Cc1n[nH]c(C2CC2)n1 ZINC001483320681 895447430 /nfs/dbraw/zinc/44/74/30/895447430.db2.gz XGCPIYFPRHVTSS-NXEZZACHSA-N 0 1 290.371 0.778 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CN(C)CCN1CCCC1=O ZINC001483331842 895467223 /nfs/dbraw/zinc/46/72/23/895467223.db2.gz UKUUCFJPCVWCKO-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CN(C)[C@@H]1CCCNC1=O ZINC001483335944 895472203 /nfs/dbraw/zinc/47/22/03/895472203.db2.gz IHQBDASNZNBGOE-CHWSQXEVSA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C(C)(C)c1c[nH]cn1 ZINC001483693018 895869475 /nfs/dbraw/zinc/86/94/75/895869475.db2.gz AKAILDYYXLUMON-LBPRGKRZSA-N 0 1 274.368 0.901 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)/C=C(/C)CC)CC1 ZINC001483732961 895935274 /nfs/dbraw/zinc/93/52/74/895935274.db2.gz MIGYIFKNPRMIFO-KXXVWKPMSA-N 0 1 291.395 0.719 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001483753788 896004419 /nfs/dbraw/zinc/00/44/19/896004419.db2.gz ZRLXREKZDOQVDM-MLGOLLRUSA-N 0 1 291.395 0.717 20 30 CCEDMN CCc1nc([C@@H](C)N2CC(N(C)C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001483857387 896080492 /nfs/dbraw/zinc/08/04/92/896080492.db2.gz VIRFYVMKJDQJJV-NXEZZACHSA-N 0 1 290.371 0.730 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CN(C[C@@H]2CCOC2)C1 ZINC001483857334 896081204 /nfs/dbraw/zinc/08/12/04/896081204.db2.gz URTHLEZBTSFGAO-MNOVXSKESA-N 0 1 251.330 0.325 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)C[C@H]2CC(=O)NC2=O)C1 ZINC001483893966 896109383 /nfs/dbraw/zinc/10/93/83/896109383.db2.gz HPXVECFFZKHJQH-LLVKDONJSA-N 0 1 293.367 0.148 20 30 CCEDMN C=CCCN1CCC(C)(NC(=O)CS(C)(=O)=O)CC1 ZINC001483963028 896134719 /nfs/dbraw/zinc/13/47/19/896134719.db2.gz KTZIXPXLHDELJP-UHFFFAOYSA-N 0 1 288.413 0.578 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2CC(=O)NC ZINC001484017580 896153833 /nfs/dbraw/zinc/15/38/33/896153833.db2.gz RDDTYOMBPDDJLX-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001484045849 896167810 /nfs/dbraw/zinc/16/78/10/896167810.db2.gz XUJWAFQTEGKEPD-RISCZKNCSA-N 0 1 265.357 0.115 20 30 CCEDMN C=CC[N@@H+]1CC[C@](O)(CNC(=O)C2(C)CCC2)C1 ZINC001484229505 896262821 /nfs/dbraw/zinc/26/28/21/896262821.db2.gz BFNUBODKGBREQF-AWEZNQCLSA-N 0 1 252.358 0.916 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@](O)(CNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001484231047 896264099 /nfs/dbraw/zinc/26/40/99/896264099.db2.gz KUKOLAWIVXYNMX-KCQAQPDRSA-N 0 1 282.384 0.398 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@](C)(C=C)CCOC)C1 ZINC001484231888 896264513 /nfs/dbraw/zinc/26/45/13/896264513.db2.gz SKFHJHYNNCIOMU-CVEARBPZSA-N 0 1 294.395 0.401 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C(C)(C)CC)C1 ZINC001484234042 896269317 /nfs/dbraw/zinc/26/93/17/896269317.db2.gz RMQYMZOSYWBTKE-CQSZACIVSA-N 0 1 252.358 0.609 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H](C)c2ccccc2)C1 ZINC001484249539 896284252 /nfs/dbraw/zinc/28/42/52/896284252.db2.gz XRDPARPPRGVNSO-PBHICJAKSA-N 0 1 286.375 0.976 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)C[C@@H](C)OC)C1 ZINC001484265363 896294988 /nfs/dbraw/zinc/29/49/88/896294988.db2.gz ZJCSOHIYLBFRER-QWHCGFSZSA-N 0 1 284.400 0.929 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001484274867 896303055 /nfs/dbraw/zinc/30/30/55/896303055.db2.gz PHLPIMKVEHTSTA-XEZPLFJOSA-N 0 1 294.395 0.541 20 30 CCEDMN C#CC[NH2+]CCN(C)C(=O)c1cccc2nn[n-]c21 ZINC001493558084 896375088 /nfs/dbraw/zinc/37/50/88/896375088.db2.gz XMCNVLVAPDLBSU-UHFFFAOYSA-N 0 1 257.297 0.253 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)c2cnn(C)c2)C1 ZINC001484429466 896400444 /nfs/dbraw/zinc/40/04/44/896400444.db2.gz RWOBRYSREXUROA-OAHLLOKOSA-N 0 1 290.367 0.000 20 30 CCEDMN C=CCNC(=O)CN1CCC2(CN(C(=O)C(C)C)C2)CC1 ZINC001484576631 896478618 /nfs/dbraw/zinc/47/86/18/896478618.db2.gz YAXDMCOYOLFYTJ-UHFFFAOYSA-N 0 1 293.411 0.869 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CN(C)CCO1 ZINC001485053396 896740535 /nfs/dbraw/zinc/74/05/35/896740535.db2.gz ASUNJSJDCSHSLW-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)CCc1cncn1C ZINC001485074895 896757474 /nfs/dbraw/zinc/75/74/74/896757474.db2.gz INJKFLLAKDFRDO-HNNXBMFYSA-N 0 1 288.395 0.909 20 30 CCEDMN C=CCCC(=O)N(C)CC(C)(C)CNCc1nnnn1C ZINC001485246538 896887753 /nfs/dbraw/zinc/88/77/53/896887753.db2.gz CGRLGQULKAHCHD-UHFFFAOYSA-N 0 1 294.403 0.751 20 30 CCEDMN C#CC[N@H+](C)[C@H](C)CNC(=O)C[C@](C)(O)C1CC1 ZINC001485297224 896927287 /nfs/dbraw/zinc/92/72/87/896927287.db2.gz VHTCIRLHGRITQK-RISCZKNCSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C[C@](C)(O)C1CC1 ZINC001485297224 896927298 /nfs/dbraw/zinc/92/72/98/896927298.db2.gz VHTCIRLHGRITQK-RISCZKNCSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)[N@@H+](C)[C@@H]1CCC(=O)NC1=O ZINC001485296844 896925471 /nfs/dbraw/zinc/92/54/71/896925471.db2.gz FEBCVYYRKMQYQU-NWDGAFQWSA-N 0 1 293.367 0.032 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)N(C)[C@@H]1CCC(=O)NC1=O ZINC001485296844 896925486 /nfs/dbraw/zinc/92/54/86/896925486.db2.gz FEBCVYYRKMQYQU-NWDGAFQWSA-N 0 1 293.367 0.032 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@@H]1CCC(=O)NC1 ZINC001485314514 896940845 /nfs/dbraw/zinc/94/08/45/896940845.db2.gz AXNVMPQTRPMXDM-STQMWFEESA-N 0 1 279.384 0.363 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@@]1(F)CCOC1 ZINC001485341690 896968667 /nfs/dbraw/zinc/96/86/67/896968667.db2.gz KRMKXLJEJDFYDJ-TZMCWYRMSA-N 0 1 286.347 0.201 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1csc(=O)[nH]1 ZINC001485342436 896969055 /nfs/dbraw/zinc/96/90/55/896969055.db2.gz TWDSWQMVQNQSCZ-SNVBAGLBSA-N 0 1 297.380 0.549 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@]2(CC)CCNC2=O)C1 ZINC001485508977 897093752 /nfs/dbraw/zinc/09/37/52/897093752.db2.gz GBEBWHHJUMUAMZ-XHDPSFHLSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](CCCC)NC(N)=O)C1 ZINC001485538840 897109059 /nfs/dbraw/zinc/10/90/59/897109059.db2.gz IBRNNBQASZTRFP-AAEUAGOBSA-N 0 1 296.415 0.836 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)[C@H](C)Cc1cnc[nH]1 ZINC001326754703 914138341 /nfs/dbraw/zinc/13/83/41/914138341.db2.gz HSRXHJOBNJBWFQ-SKDRFNHKSA-N 0 1 250.302 0.633 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cnoc1CC ZINC001485776331 897226737 /nfs/dbraw/zinc/22/67/37/897226737.db2.gz JMHPUTAXVCPJRP-UHFFFAOYSA-N 0 1 293.367 0.939 20 30 CCEDMN COCCCCN1CCO[C@@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107821370 897327731 /nfs/dbraw/zinc/32/77/31/897327731.db2.gz NYGSQFJLAAXGGD-HIFRSBDPSA-N 0 1 297.399 0.780 20 30 CCEDMN C#CCN1CCO[C@](C)(CNC(=O)Cc2cc(C)n[nH]2)C1 ZINC001107860722 897380311 /nfs/dbraw/zinc/38/03/11/897380311.db2.gz WADAPQMMLOFTRJ-OAHLLOKOSA-N 0 1 290.367 0.101 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cn(CC)nc2C)C1 ZINC001077760474 897427533 /nfs/dbraw/zinc/42/75/33/897427533.db2.gz HZBSNWUWNHAXEH-ZIAGYGMSSA-N 0 1 290.367 0.010 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001326777404 914159941 /nfs/dbraw/zinc/15/99/41/914159941.db2.gz MWGOSVNAXIQLSX-UWVGGRQHSA-N 0 1 295.343 0.656 20 30 CCEDMN N#Cc1nccc(NC[C@@H]2CCN(C(=O)c3ccn[nH]3)C2)n1 ZINC001060820224 897531899 /nfs/dbraw/zinc/53/18/99/897531899.db2.gz HJFVXKOGGYNQNC-JTQLQIEISA-N 0 1 297.322 0.067 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CNc2ncnc3[nH]cnc32)C1 ZINC001060824675 897534602 /nfs/dbraw/zinc/53/46/02/897534602.db2.gz HOXLEFAYXYMJFK-NXEZZACHSA-N 0 1 299.338 0.725 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cnc[nH]1 ZINC001032438183 897563012 /nfs/dbraw/zinc/56/30/12/897563012.db2.gz KLQIKKNBHWWNRX-STQMWFEESA-N 0 1 258.325 0.261 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)COc1cc(C)on1 ZINC001032456762 897592996 /nfs/dbraw/zinc/59/29/96/897592996.db2.gz VCCSQLXZRPTSST-STQMWFEESA-N 0 1 289.335 0.670 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CN1CCCCC1=O ZINC001032495721 897651910 /nfs/dbraw/zinc/65/19/10/897651910.db2.gz WUBCDHSVPTYSJE-KBPBESRZSA-N 0 1 291.395 0.860 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CN1CCCNC1=O ZINC001032497753 897655597 /nfs/dbraw/zinc/65/55/97/897655597.db2.gz PQJSROXZXHMXJR-STQMWFEESA-N 0 1 292.383 0.263 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001032575656 897766084 /nfs/dbraw/zinc/76/60/84/897766084.db2.gz RCBPWXFKQRZBAB-QSLWVIQJSA-N 0 1 260.337 0.187 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1cnc[nH]1 ZINC001032674130 897923090 /nfs/dbraw/zinc/92/30/90/897923090.db2.gz KFPUSIZIDXHANS-XBFCOCLRSA-N 0 1 272.352 0.507 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3[C@@H](C)COC)nc1 ZINC001032738135 898044059 /nfs/dbraw/zinc/04/40/59/898044059.db2.gz RAMDRKFNVMSUIM-QEJZJMRPSA-N 0 1 299.374 0.997 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3C[C@H](C)OC)nc1 ZINC001032738205 898044739 /nfs/dbraw/zinc/04/47/39/898044739.db2.gz SRJOTDDFVYUGGG-QEJZJMRPSA-N 0 1 299.374 0.997 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3CC)nc1 ZINC001032738048 898046611 /nfs/dbraw/zinc/04/66/11/898046611.db2.gz OSALZSJWOKWTSI-STQMWFEESA-N 0 1 255.321 0.982 20 30 CCEDMN Cc1nc2cccc(C(=O)N[C@@H]3CN(CC#N)C[C@H]3O)c2[nH]1 ZINC001077951090 898101481 /nfs/dbraw/zinc/10/14/81/898101481.db2.gz ZNSZODGRJWIFDU-CHWSQXEVSA-N 0 1 299.334 0.170 20 30 CCEDMN CCOC(=O)CN1CC[C@@]2(CCN(CCCC#N)C2=O)C1 ZINC001272889135 898124963 /nfs/dbraw/zinc/12/49/63/898124963.db2.gz ISOSNOMFCOAAGC-OAHLLOKOSA-N 0 1 293.367 0.778 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CCNC(=O)Cc1nnc[nH]1 ZINC001078595181 898420688 /nfs/dbraw/zinc/42/06/88/898420688.db2.gz ZCGUZNNIERZODA-NSHDSACASA-N 0 1 291.355 0.162 20 30 CCEDMN Cc1nsc(C)c1CN[C@@H](CO)CNC(=O)[C@@H](C)C#N ZINC001485982311 898566073 /nfs/dbraw/zinc/56/60/73/898566073.db2.gz LAHYMQZXPWEPAW-GZMMTYOYSA-N 0 1 296.396 0.486 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccoc1Cl ZINC001485991776 898580926 /nfs/dbraw/zinc/58/09/26/898580926.db2.gz YFQCFMPNRKQTFO-QMMMGPOBSA-N 0 1 256.689 0.247 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1c(F)ccc(C)c1F ZINC001486031170 898617070 /nfs/dbraw/zinc/61/70/70/898617070.db2.gz PBBBCNYEUKIJAH-LLVKDONJSA-N 0 1 296.317 0.977 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1nccc(C)n1 ZINC001486275935 898734502 /nfs/dbraw/zinc/73/45/02/898734502.db2.gz YXAWOZFWZMYUGB-NSHDSACASA-N 0 1 298.774 0.560 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccoc1 ZINC001486323335 898783875 /nfs/dbraw/zinc/78/38/75/898783875.db2.gz CDEUKYBRVFAUOM-LBPRGKRZSA-N 0 1 250.298 0.278 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)/C=C/c1ccc[nH]1 ZINC001486331193 898795784 /nfs/dbraw/zinc/79/57/84/898795784.db2.gz VDQRIBUYDBWKEO-XVJNWHFHSA-N 0 1 289.379 0.802 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@@H](C)COC ZINC001486353526 898809702 /nfs/dbraw/zinc/80/97/02/898809702.db2.gz YVCAXHZHGZAJFC-CHWSQXEVSA-N 0 1 270.373 0.043 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)C1=NC(=O)N(C)C1 ZINC001486667631 898957880 /nfs/dbraw/zinc/95/78/80/898957880.db2.gz YOFNSJLTZDMRHD-VHSXEESVSA-N 0 1 294.355 0.715 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1nnn(C)n1 ZINC001410431041 899404696 /nfs/dbraw/zinc/40/46/96/899404696.db2.gz ZDXROOLFXGWOFL-QMMMGPOBSA-N 0 1 272.740 0.013 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COC[C@H]2CCCO2)C1 ZINC001494738123 899582620 /nfs/dbraw/zinc/58/26/20/899582620.db2.gz JEIZXFQQRSJBHE-QWHCGFSZSA-N 0 1 268.357 0.559 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)C[C@H](C)OC ZINC001410970011 899646533 /nfs/dbraw/zinc/64/65/33/899646533.db2.gz DKDPLGCWZVLPBE-QWRGUYRKSA-N 0 1 278.780 0.573 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)C[C@@H](C)OC ZINC001410970008 899646932 /nfs/dbraw/zinc/64/69/32/899646932.db2.gz DKDPLGCWZVLPBE-MNOVXSKESA-N 0 1 278.780 0.573 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)C1CCOCC1 ZINC001410981968 899649948 /nfs/dbraw/zinc/64/99/48/899649948.db2.gz YIRLPLQJQSYKFI-GFCCVEGCSA-N 0 1 290.791 0.574 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CN(C)C(=O)CCc1nc[nH]n1 ZINC001487742402 900128944 /nfs/dbraw/zinc/12/89/44/900128944.db2.gz FCFOISNOIUSGNC-LLVKDONJSA-N 0 1 293.371 0.667 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001487872280 900150562 /nfs/dbraw/zinc/15/05/62/900150562.db2.gz DGBHYUAISOZZQR-MNOVXSKESA-N 0 1 293.371 0.984 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001487872280 900150568 /nfs/dbraw/zinc/15/05/68/900150568.db2.gz DGBHYUAISOZZQR-MNOVXSKESA-N 0 1 293.371 0.984 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCN(C(=O)c2ccn[nH]2)[C@@H]1C ZINC001488118056 900224614 /nfs/dbraw/zinc/22/46/14/900224614.db2.gz HHGASWXXAUOAIC-MNOVXSKESA-N 0 1 292.339 0.332 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](CNC(=O)c1cnn[nH]1)C(C)(C)C ZINC001488518903 900304588 /nfs/dbraw/zinc/30/45/88/900304588.db2.gz QENXCJSOGKPEKZ-WPRPVWTQSA-N 0 1 292.343 0.225 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C2=COCCC2)C1 ZINC001489155839 900441778 /nfs/dbraw/zinc/44/17/78/900441778.db2.gz NMPDZFCREMRLBV-OAHLLOKOSA-N 0 1 292.379 0.599 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](OC)C(C)C)[C@H]1C ZINC001489289194 900459710 /nfs/dbraw/zinc/45/97/10/900459710.db2.gz WHRCGZUQECZPSB-AGIUHOORSA-N 0 1 252.358 0.870 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCN(C)C1=O ZINC001489327980 900468766 /nfs/dbraw/zinc/46/87/66/900468766.db2.gz OPARWXIBKUPCNR-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN CCN(CCCNC(=O)[C@H]1C[C@H]1C)CC(=O)NCC#N ZINC001490311392 900600183 /nfs/dbraw/zinc/60/01/83/900600183.db2.gz BCGWKYMZOUUEGO-NEPJUHHUSA-N 0 1 280.372 0.110 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)c2n[nH]cc2F)C1 ZINC001490448223 900624956 /nfs/dbraw/zinc/62/49/56/900624956.db2.gz ZDSAJEVSMFVDQP-NSHDSACASA-N 0 1 296.346 0.946 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)COC(C)(C)C)CC1 ZINC001490488250 900640649 /nfs/dbraw/zinc/64/06/49/900640649.db2.gz ZJJGZCNHAATRRV-UHFFFAOYSA-N 0 1 295.427 0.559 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@H]2CCO[C@@H]2C)CC1 ZINC001490506638 900654268 /nfs/dbraw/zinc/65/42/68/900654268.db2.gz GVZDIMBTJZLDST-CABCVRRESA-N 0 1 295.427 0.721 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@H]2CCO[C@@H]2C)CC1 ZINC001490506641 900654554 /nfs/dbraw/zinc/65/45/54/900654554.db2.gz GVZDIMBTJZLDST-HUUCEWRRSA-N 0 1 295.427 0.721 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cnon2)C1 ZINC001490567028 900667042 /nfs/dbraw/zinc/66/70/42/900667042.db2.gz HMCPGJXHNWMRRY-JTQLQIEISA-N 0 1 266.301 0.076 20 30 CCEDMN C=CCC[NH2+]C[C@@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001280582081 900954331 /nfs/dbraw/zinc/95/43/31/900954331.db2.gz UUMIWIJLJNSODO-GFCCVEGCSA-N 0 1 291.351 0.794 20 30 CCEDMN N#CC1(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)CCOCC1 ZINC001412060724 901436232 /nfs/dbraw/zinc/43/62/32/901436232.db2.gz SBCBWVQZCNUMHJ-JTQLQIEISA-N 0 1 290.327 0.226 20 30 CCEDMN CN1CCN(C(=O)CNc2ccc(C#N)cn2)C(C)(C)C1 ZINC001412686373 901945501 /nfs/dbraw/zinc/94/55/01/901945501.db2.gz SWEQERKOLUJSMX-UHFFFAOYSA-N 0 1 287.367 0.918 20 30 CCEDMN C[N@@H+]1C[C@H]2[C@@H](CCN2Cc2cc(=O)n3[n-]cc(C#N)c3n2)C1 ZINC001412992572 902443625 /nfs/dbraw/zinc/44/36/25/902443625.db2.gz KSJYCEMMAWRULZ-GWCFXTLKSA-N 0 1 298.350 0.442 20 30 CCEDMN C#CCC[NH2+][C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001490883295 902906161 /nfs/dbraw/zinc/90/61/61/902906161.db2.gz MVAFBZZUIDXGML-XYPYZODXSA-N 0 1 259.309 0.661 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)Cn1ccc(C)n1 ZINC001049739909 903043882 /nfs/dbraw/zinc/04/38/82/903043882.db2.gz IAKDKPOJUKFOHV-HUUCEWRRSA-N 0 1 286.379 0.890 20 30 CCEDMN C=CCCCC(=O)N[C@H]1C[C@@H](NCc2cn(C)nn2)C1 ZINC001491047227 903308621 /nfs/dbraw/zinc/30/86/21/903308621.db2.gz HDRORTAMIUTTPA-TXEJJXNPSA-N 0 1 277.372 0.908 20 30 CCEDMN CCN(C)C(=O)CN1CCC[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001491104051 903362001 /nfs/dbraw/zinc/36/20/01/903362001.db2.gz YIBIGDQQAHLCBL-STQMWFEESA-N 0 1 294.399 0.453 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@]1(C)CCNC1=O ZINC001491222676 903449191 /nfs/dbraw/zinc/44/91/91/903449191.db2.gz SBSLQNPBICIYPE-SWLSCSKDSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](C)NC(=O)CC ZINC001491460669 903608784 /nfs/dbraw/zinc/60/87/84/903608784.db2.gz JEBOYFNEBOTHNY-NXEZZACHSA-N 0 1 275.780 0.748 20 30 CCEDMN C[C@H]1CN(C)C(=O)CN1C(=O)c1n[nH]c2cc(C#N)ccc21 ZINC001156884927 903764477 /nfs/dbraw/zinc/76/44/77/903764477.db2.gz XOIDHXKOKNNODY-VIFPVBQESA-N 0 1 297.318 0.737 20 30 CCEDMN N#CCSCC(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC001299733404 904080063 /nfs/dbraw/zinc/08/00/63/904080063.db2.gz CHSLXOJNEGXVTE-QMMMGPOBSA-N 0 1 251.315 0.377 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)CS(C)(=O)=O)C[C@H]1C ZINC001281651732 904322331 /nfs/dbraw/zinc/32/23/31/904322331.db2.gz KRUJYQZDSUQYOV-VXGBXAGGSA-N 0 1 288.413 0.576 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H](C)n2cccn2)CC1 ZINC001281798812 904349636 /nfs/dbraw/zinc/34/96/36/904349636.db2.gz JSWMSDKPKFVTNM-ZDUSSCGKSA-N 0 1 292.383 0.573 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)C2CC(C)C2)CC1 ZINC001281799953 904350668 /nfs/dbraw/zinc/35/06/68/904350668.db2.gz RPPSBMLQMXSEJY-UHFFFAOYSA-N 0 1 264.369 0.609 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cnc(C)cn1 ZINC001282343783 904447917 /nfs/dbraw/zinc/44/79/17/904447917.db2.gz MSCJBYIHBSGKFA-LBPRGKRZSA-N 0 1 260.341 0.858 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)N(C)[C@@H](C)C(=O)NC(=O)NC ZINC001282363616 904458638 /nfs/dbraw/zinc/45/86/38/904458638.db2.gz CQUQYCGZSGEOQD-MNOVXSKESA-N 0 1 298.387 0.233 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)Cc1ncc[nH]1 ZINC001282479652 904485719 /nfs/dbraw/zinc/48/57/19/904485719.db2.gz ZBIBJGROJAICHI-GFCCVEGCSA-N 0 1 278.356 0.039 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cc(C)nn1CC ZINC001282466219 904487378 /nfs/dbraw/zinc/48/73/78/904487378.db2.gz BGTVGLMFPIUYGS-LBPRGKRZSA-N 0 1 262.357 0.895 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H](C)CC(N)=O ZINC001282665507 904516183 /nfs/dbraw/zinc/51/61/83/904516183.db2.gz NIUDTEDWPIVXJD-CHWSQXEVSA-N 0 1 279.384 0.492 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)COCC(F)F)C1 ZINC001282727118 904531446 /nfs/dbraw/zinc/53/14/46/904531446.db2.gz ZCFWADQJEVGENS-JTQLQIEISA-N 0 1 274.311 0.728 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCCNC(=O)c1cnn[nH]1 ZINC001283295505 904794195 /nfs/dbraw/zinc/79/41/95/904794195.db2.gz PEHVOXSTQGKWBH-CYBMUJFWSA-N 0 1 279.344 0.643 20 30 CCEDMN CCN(CCCNC(=O)[C@H](C)C#N)C(=O)c1ccn[nH]1 ZINC001283756370 904998779 /nfs/dbraw/zinc/99/87/79/904998779.db2.gz OWIQFHGGLVVHRD-SNVBAGLBSA-N 0 1 277.328 0.538 20 30 CCEDMN C=CCCC(=O)N(CCCNC(=O)c1cnn[nH]1)C1CC1 ZINC001283796877 905019288 /nfs/dbraw/zinc/01/92/88/905019288.db2.gz UKGNWDNLMRKHII-UHFFFAOYSA-N 0 1 291.355 0.882 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C(C)(C)C1CC1 ZINC001283798689 905025754 /nfs/dbraw/zinc/02/57/54/905025754.db2.gz ZODVAGMEVNYLEN-LBPRGKRZSA-N 0 1 252.358 0.513 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H](F)c1ccccc1 ZINC001283812405 905029260 /nfs/dbraw/zinc/02/92/60/905029260.db2.gz HMVFIGHIRGQGNH-KGLIPLIRSA-N 0 1 278.327 0.787 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)Cc1ccc(C)s1 ZINC001283830998 905045973 /nfs/dbraw/zinc/04/59/73/905045973.db2.gz IEYDVYYXAGSDAT-GFCCVEGCSA-N 0 1 280.393 0.689 20 30 CCEDMN N#Cc1ccc(F)c(CNC(=O)N2CCNCC2)c1 ZINC001336962946 921130072 /nfs/dbraw/zinc/13/00/72/921130072.db2.gz FVTDMHMFPDIYGW-UHFFFAOYSA-N 0 1 262.288 0.812 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccncc1C ZINC001284493411 905323829 /nfs/dbraw/zinc/32/38/29/905323829.db2.gz SLEBCQDGDDQVHD-CQSZACIVSA-N 0 1 289.379 0.778 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)Cc1cnc(C)o1 ZINC001284503255 905334078 /nfs/dbraw/zinc/33/40/78/905334078.db2.gz YZBHIZLFROTRBI-CYBMUJFWSA-N 0 1 293.367 0.300 20 30 CCEDMN CC#CCN(C)CCN(C(=O)Cc1nnc[nH]1)C(C)C ZINC001284553536 905371851 /nfs/dbraw/zinc/37/18/51/905371851.db2.gz ORVRUEGWABZRSY-UHFFFAOYSA-N 0 1 277.372 0.539 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N[C@H]2CCC[N@H+](C)C2)cc1 ZINC001337008731 921152042 /nfs/dbraw/zinc/15/20/42/921152042.db2.gz FFDDLHGXOFHEOX-AWEZNQCLSA-N 0 1 285.347 0.817 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N[C@H]2CCCN(C)C2)cc1 ZINC001337008731 921152045 /nfs/dbraw/zinc/15/20/45/921152045.db2.gz FFDDLHGXOFHEOX-AWEZNQCLSA-N 0 1 285.347 0.817 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001285388810 905612551 /nfs/dbraw/zinc/61/25/51/905612551.db2.gz DUMDORVITOJOLG-QWRGUYRKSA-N 0 1 291.355 0.621 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001285388810 905612562 /nfs/dbraw/zinc/61/25/62/905612562.db2.gz DUMDORVITOJOLG-QWRGUYRKSA-N 0 1 291.355 0.621 20 30 CCEDMN C[C@H](CNCc1nnnn1C1CC1)CNC(=O)[C@@H](C)C#N ZINC001378562543 905685546 /nfs/dbraw/zinc/68/55/46/905685546.db2.gz VLUJBYAXRQBLTP-ZJUUUORDSA-N 0 1 291.359 0.010 20 30 CCEDMN C=CCOCC(=O)N1CCc2sc(CN)nc2C1 ZINC001337053767 921170378 /nfs/dbraw/zinc/17/03/78/921170378.db2.gz YVSBHCKMPLUDCL-UHFFFAOYSA-N 0 1 267.354 0.689 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CNC(=O)CCc1c[nH]nn1 ZINC001285726467 905743456 /nfs/dbraw/zinc/74/34/56/905743456.db2.gz CWAAMEMKUWCONF-NSHDSACASA-N 0 1 291.355 0.018 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CNC(=O)CCc1cnn[nH]1 ZINC001285726467 905743466 /nfs/dbraw/zinc/74/34/66/905743466.db2.gz CWAAMEMKUWCONF-NSHDSACASA-N 0 1 291.355 0.018 20 30 CCEDMN C=CCN(CCNC(=O)c1ccc(=O)[nH]c1)CCOC ZINC001332927393 905816607 /nfs/dbraw/zinc/81/66/07/905816607.db2.gz HPXHILHSTFOVLH-UHFFFAOYSA-N 0 1 279.340 0.651 20 30 CCEDMN CN(C(=O)C#CC(C)(C)C)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001286010562 905835935 /nfs/dbraw/zinc/83/59/35/905835935.db2.gz FCPNXGJDMRGYLP-UHFFFAOYSA-N 0 1 288.351 0.742 20 30 CCEDMN C=C(Cl)CN(C)CCN(C)C(=O)CCc1nc[nH]n1 ZINC001379044045 905948242 /nfs/dbraw/zinc/94/82/42/905948242.db2.gz YFYRVQQAFCPFRO-UHFFFAOYSA-N 0 1 285.779 0.880 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CNC(=O)C1CC1 ZINC001379056938 905959130 /nfs/dbraw/zinc/95/91/30/905959130.db2.gz CCTXOMOLTKVICP-SNVBAGLBSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCn1ccnn1 ZINC001379098821 905992139 /nfs/dbraw/zinc/99/21/39/905992139.db2.gz KQCBOAQHKPQKBU-LLVKDONJSA-N 0 1 285.779 0.857 20 30 CCEDMN C=CCCC(=O)N1CCN(C(=O)C2=NC(=O)N(C)C2)CC1 ZINC001292389933 906205003 /nfs/dbraw/zinc/20/50/03/906205003.db2.gz MRGFEJPZDPMDPR-UHFFFAOYSA-N 0 1 292.339 0.376 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCCC(=O)NC ZINC001379492202 906295353 /nfs/dbraw/zinc/29/53/53/906295353.db2.gz NWYMWGFIOFLNPC-JTQLQIEISA-N 0 1 275.780 0.750 20 30 CCEDMN C#CCCCC(=O)NCCCNC(=O)C1=NC(=O)N(C)C1 ZINC001293272578 906454737 /nfs/dbraw/zinc/45/47/37/906454737.db2.gz GXYYMUBQJXZSDM-UHFFFAOYSA-N 0 1 292.339 0.165 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)CN1CCCC1=O)C1CC1 ZINC001379840098 906499147 /nfs/dbraw/zinc/49/91/47/906499147.db2.gz AFJSHDNXEAHMRA-LBPRGKRZSA-N 0 1 299.802 0.846 20 30 CCEDMN Cc1nccnc1CNC[C@@H](NC(=O)[C@H](C)C#N)C1CC1 ZINC001379847302 906505851 /nfs/dbraw/zinc/50/58/51/906505851.db2.gz FOFYNDOCWJSNSE-QMTHXVAHSA-N 0 1 287.367 0.929 20 30 CCEDMN C#CCCCCC(=O)N(C)CCCNC(=O)c1ncn[nH]1 ZINC001294245995 906574276 /nfs/dbraw/zinc/57/42/76/906574276.db2.gz NIPLBICRZWLVJQ-UHFFFAOYSA-N 0 1 291.355 0.577 20 30 CCEDMN C#CCCCCC(=O)N(C)CCCNC(=O)c1nc[nH]n1 ZINC001294245995 906574284 /nfs/dbraw/zinc/57/42/84/906574284.db2.gz NIPLBICRZWLVJQ-UHFFFAOYSA-N 0 1 291.355 0.577 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)C[C@H]2CCNC2=O)c1 ZINC001295829060 906810469 /nfs/dbraw/zinc/81/04/69/906810469.db2.gz XEBGHAKYHARBJG-SECBINFHSA-N 0 1 259.265 0.729 20 30 CCEDMN C=C[C@@H](COC)NC(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001296765604 906959081 /nfs/dbraw/zinc/95/90/81/906959081.db2.gz MQBOVAOFLBKXGQ-QJPTWQEYSA-N 0 1 256.346 0.149 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)c1 ZINC001296795280 906961652 /nfs/dbraw/zinc/96/16/52/906961652.db2.gz LKLZNTHXMDCZFT-LLVKDONJSA-N 0 1 282.307 0.596 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001296914702 906973934 /nfs/dbraw/zinc/97/39/34/906973934.db2.gz RLOYXEMAFMKFND-NSHDSACASA-N 0 1 289.339 0.185 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)N1CC(NC(=O)c2ncn[nH]2)C1 ZINC001297120827 907022765 /nfs/dbraw/zinc/02/27/65/907022765.db2.gz ZNPPFWBMVMBPHU-BDAKNGLRSA-N 0 1 277.328 0.204 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)N1CC(NC(=O)c2nc[nH]n2)C1 ZINC001297120827 907022789 /nfs/dbraw/zinc/02/27/89/907022789.db2.gz ZNPPFWBMVMBPHU-BDAKNGLRSA-N 0 1 277.328 0.204 20 30 CCEDMN C=C(Cl)CN[C@@]1(CO)CCCN(C(=O)CCOC)C1 ZINC001381034151 907048519 /nfs/dbraw/zinc/04/85/19/907048519.db2.gz WWPVVKGDRYJSFQ-ZDUSSCGKSA-N 0 1 290.791 0.719 20 30 CCEDMN CCc1nc([C@H](C)N2CCN(C(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001381081810 907068778 /nfs/dbraw/zinc/06/87/78/907068778.db2.gz MTAVJEMXOXPPSZ-QWRGUYRKSA-N 0 1 290.371 0.732 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1ncn[nH]1)NC(=O)C#CC1CC1 ZINC001298003690 907141370 /nfs/dbraw/zinc/14/13/70/907141370.db2.gz NZZCPRCFOACUIQ-LLVKDONJSA-N 0 1 289.339 0.089 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1nc[nH]n1)NC(=O)C#CC1CC1 ZINC001298003690 907141376 /nfs/dbraw/zinc/14/13/76/907141376.db2.gz NZZCPRCFOACUIQ-LLVKDONJSA-N 0 1 289.339 0.089 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1[C@H]2CN(Cc3cncn3C)C[C@H]21 ZINC001381875735 907440360 /nfs/dbraw/zinc/44/03/60/907440360.db2.gz HFKWQBJKQRWQMQ-DOERSZECSA-N 0 1 287.367 0.374 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001491807710 907612018 /nfs/dbraw/zinc/61/20/18/907612018.db2.gz WKYXSQVYOAPHSL-GFCCVEGCSA-N 0 1 290.367 0.882 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCCC(N)=O)[C@@H]1C ZINC001382303935 907674001 /nfs/dbraw/zinc/67/40/01/907674001.db2.gz IMMZJVUHNFDJGP-MNOVXSKESA-N 0 1 287.791 0.974 20 30 CCEDMN CCn1ncnc1CN[C@@H](C)CCNC(=O)C#CC1CC1 ZINC001492022796 907693011 /nfs/dbraw/zinc/69/30/11/907693011.db2.gz ZNPAVSQOAIXCAR-LBPRGKRZSA-N 0 1 289.383 0.696 20 30 CCEDMN CCc1[nH]ccc1C(=O)NCC[N@@H+](C)CC#CCOC ZINC001492239334 907831670 /nfs/dbraw/zinc/83/16/70/907831670.db2.gz LIMVVQSHTIUPJS-UHFFFAOYSA-N 0 1 277.368 0.889 20 30 CCEDMN CCc1[nH]ccc1C(=O)NCCN(C)CC#CCOC ZINC001492239334 907831677 /nfs/dbraw/zinc/83/16/77/907831677.db2.gz LIMVVQSHTIUPJS-UHFFFAOYSA-N 0 1 277.368 0.889 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](OC)[C@H]1CCOC1 ZINC001492359188 907871308 /nfs/dbraw/zinc/87/13/08/907871308.db2.gz IKYRSFDYSYRUOF-QWHCGFSZSA-N 0 1 268.357 0.109 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCC[C@H](OC)C1 ZINC001492393918 907897589 /nfs/dbraw/zinc/89/75/89/907897589.db2.gz MZVWDGAGCZAAQT-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN C=C(C)C[C@H](NC(=O)Cc1n[nH]c(C2CC2)n1)C(=O)OC ZINC001302429335 908019992 /nfs/dbraw/zinc/01/99/92/908019992.db2.gz NZOQJUDQZBKSOS-JTQLQIEISA-N 0 1 292.339 0.849 20 30 CCEDMN Cc1ccc2[nH]nnc2c1NC(=O)[C@@H](C)n1cnc(C#N)n1 ZINC001305384610 908201930 /nfs/dbraw/zinc/20/19/30/908201930.db2.gz HDKWEOXPSPWYNW-MRVPVSSYSA-N 0 1 296.294 0.929 20 30 CCEDMN C=C(C)CCN(C)CCNC(=O)CS(=O)(=O)CC ZINC001317471591 908316163 /nfs/dbraw/zinc/31/61/63/908316163.db2.gz LSQBJPCOLDPGGG-UHFFFAOYSA-N 0 1 276.402 0.435 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1ccnn1CC ZINC001317475336 908443657 /nfs/dbraw/zinc/44/36/57/908443657.db2.gz UXTDTJDLYYLVCS-UHFFFAOYSA-N 0 1 262.357 0.978 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnn2ccc(C)nc12 ZINC001317491125 908502985 /nfs/dbraw/zinc/50/29/85/908502985.db2.gz QDVSKINFYWTAOR-UHFFFAOYSA-N 0 1 285.351 0.723 20 30 CCEDMN CO[C@H]([C@@H](C)NC(=O)NCC#CCN(C)C)C1CC1 ZINC001312696179 908619281 /nfs/dbraw/zinc/61/92/81/908619281.db2.gz BPOLIAMTSVESAG-DGCLKSJQSA-N 0 1 267.373 0.664 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H]1CC[C@@H](C2CC2)O1 ZINC001313357504 908663593 /nfs/dbraw/zinc/66/35/93/908663593.db2.gz QDXPEMALGOEPKL-KBPBESRZSA-N 0 1 279.384 0.808 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CNC(=O)CCc1cnc[nH]1 ZINC001386320070 908703042 /nfs/dbraw/zinc/70/30/42/908703042.db2.gz BIVBVYKKVSLODN-NXEZZACHSA-N 0 1 277.328 0.123 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)[C@H]2CCCCO2)C1 ZINC001316975153 908711529 /nfs/dbraw/zinc/71/15/29/908711529.db2.gz HRHPKAWAOBKUSG-UONOGXRCSA-N 0 1 282.384 0.949 20 30 CCEDMN C/C=C(\C)C(=O)NCCCN(CC)CC(=O)NCC#N ZINC001316887055 908822175 /nfs/dbraw/zinc/82/21/75/908822175.db2.gz KCTOIHOLZXPUIG-UUILKARUSA-N 0 1 280.372 0.421 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC(N(C)C(=O)C#CC2CC2)CC1 ZINC001316930529 908853211 /nfs/dbraw/zinc/85/32/11/908853211.db2.gz DWZQTYWIOILGDQ-AWEZNQCLSA-N 0 1 291.395 0.587 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@@H](C)NC(C)=O)C1 ZINC001316936966 908855722 /nfs/dbraw/zinc/85/57/22/908855722.db2.gz BNLJZCPIJXEIEU-OCCSQVGLSA-N 0 1 297.399 0.294 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CCCN(CCOCC)C1 ZINC001316942528 908861775 /nfs/dbraw/zinc/86/17/75/908861775.db2.gz BFDRKHYBNXRTJR-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)[C@@H]2CCCCO2)C1 ZINC001316974911 908891248 /nfs/dbraw/zinc/89/12/48/908891248.db2.gz HRHPKAWAOBKUSG-KBPBESRZSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@@H](NCc2nnc(C)[nH]2)C1 ZINC001317107378 908984617 /nfs/dbraw/zinc/98/46/17/908984617.db2.gz JCOKKDTVQHLYMX-PHIMTYICSA-N 0 1 263.345 0.816 20 30 CCEDMN C=C(C)CN1CCC[C@H](CNC(=O)CS(C)(=O)=O)C1 ZINC001317127042 909001654 /nfs/dbraw/zinc/00/16/54/909001654.db2.gz AKDWVYUDFYGUSJ-GFCCVEGCSA-N 0 1 288.413 0.435 20 30 CCEDMN C[C@@H](CNC(=O)c1cn(C)nn1)NCC#Cc1ccccc1 ZINC001317234596 909072546 /nfs/dbraw/zinc/07/25/46/909072546.db2.gz IIZSNWVHJIQBIM-ZDUSSCGKSA-N 0 1 297.362 0.575 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](C)CC(N)=O ZINC001317253227 909095084 /nfs/dbraw/zinc/09/50/84/909095084.db2.gz CLRZHBVZGJLKGK-IONNQARKSA-N 0 1 261.753 0.345 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC[C@H](C)NCc1nnc(C)[nH]1 ZINC001317390542 909170136 /nfs/dbraw/zinc/17/01/36/909170136.db2.gz QJWFQAZUJJTIIV-IINYFYTJSA-N 0 1 295.387 0.425 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccnc2[nH]c(CC)nc21 ZINC001317454705 909229352 /nfs/dbraw/zinc/22/93/52/909229352.db2.gz RCXBSNHWIJHHMG-UHFFFAOYSA-N 0 1 285.351 0.815 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccc(OC)nc1 ZINC001317484861 909255773 /nfs/dbraw/zinc/25/57/73/909255773.db2.gz NAIGZISWFIPDCI-UHFFFAOYSA-N 0 1 261.325 0.775 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)Cc1ccc(C(N)=O)cc1 ZINC001317514941 909279086 /nfs/dbraw/zinc/27/90/86/909279086.db2.gz ZIZLOCMSRFQVIJ-OAHLLOKOSA-N 0 1 299.374 0.542 20 30 CCEDMN C[C@H]1[C@H](NC(=O)C(=O)Nc2ccc(C#N)cc2)CCN1C ZINC001338484089 921483063 /nfs/dbraw/zinc/48/30/63/921483063.db2.gz PWINDACRXIXHSB-GXFFZTMASA-N 0 1 286.335 0.706 20 30 CCEDMN CC#CCN(CCNC(=O)CCc1ccn(C)n1)C1CC1 ZINC001317552777 909326760 /nfs/dbraw/zinc/32/67/60/909326760.db2.gz YZSQBDZJCVWTKQ-UHFFFAOYSA-N 0 1 288.395 0.957 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCNCc1n[nH]c(C2CC2)n1 ZINC001317584995 909381428 /nfs/dbraw/zinc/38/14/28/909381428.db2.gz HMZGWSCKCPLDKO-UHFFFAOYSA-N 0 1 289.383 0.890 20 30 CCEDMN COCC#CCN1CCC[C@H](NC(=O)CN2CCCC2)C1 ZINC001317991071 909559218 /nfs/dbraw/zinc/55/92/18/909559218.db2.gz CXDOWAVSBVMTTO-HNNXBMFYSA-N 0 1 293.411 0.313 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@H]2CO[C@H](C)C2)C1 ZINC001318130321 909634898 /nfs/dbraw/zinc/63/48/98/909634898.db2.gz UXUXYBRJVDHTNL-TZMCWYRMSA-N 0 1 282.384 0.662 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@H]2CO[C@@H](C)C2)C1 ZINC001318130315 909637249 /nfs/dbraw/zinc/63/72/49/909637249.db2.gz UXUXYBRJVDHTNL-GXTWGEPZSA-N 0 1 282.384 0.662 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)Cn2nccc2C)C1 ZINC001318158218 909644603 /nfs/dbraw/zinc/64/46/03/909644603.db2.gz BGZOAYHOSDUUTB-UHFFFAOYSA-N 0 1 262.357 0.816 20 30 CCEDMN CN(C(=O)C#CC1CC1)[C@H]1CCN(CCn2cccn2)C1 ZINC001318403452 909745232 /nfs/dbraw/zinc/74/52/32/909745232.db2.gz SANUADPPSNYCTP-HNNXBMFYSA-N 0 1 286.379 0.829 20 30 CCEDMN C/C=C(/C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001319033112 909962020 /nfs/dbraw/zinc/96/20/20/909962020.db2.gz KZUBQBHFBDTMMP-GTOOSUHASA-N 0 1 263.297 0.595 20 30 CCEDMN C=CCn1c(CC(C)(C)O)nnc1N1CCN(CC)CC1 ZINC001338671105 921543153 /nfs/dbraw/zinc/54/31/53/921543153.db2.gz YSDJDAGLCFDOHD-UHFFFAOYSA-N 0 1 293.415 0.919 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CNC(=O)[C@H]2CCCCN2C)CC1 ZINC001389894857 910138805 /nfs/dbraw/zinc/13/88/05/910138805.db2.gz IGGHUZNNAIEIHC-VXGBXAGGSA-N 0 1 292.383 0.395 20 30 CCEDMN C#CC[N@H+](Cc1cc(=O)n2[n-]ccc2n1)CC1CC1 ZINC001319446703 910154928 /nfs/dbraw/zinc/15/49/28/910154928.db2.gz VFIPBCWOAIYVDM-UHFFFAOYSA-N 0 1 256.309 0.868 20 30 CCEDMN C[C@@H]1CCCN(C(=O)Cc2ncn[nH]2)[C@H]1CNCC#N ZINC001319865878 910325758 /nfs/dbraw/zinc/32/57/58/910325758.db2.gz YMQCBFFFOWKPGG-MNOVXSKESA-N 0 1 276.344 0.087 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H]1CCNC(=O)C1 ZINC001390334927 910356985 /nfs/dbraw/zinc/35/69/85/910356985.db2.gz GAYPQUDHBZXTOE-ZJUUUORDSA-N 0 1 273.764 0.359 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccn(C)c(=O)c1 ZINC001390422187 910409225 /nfs/dbraw/zinc/40/92/25/910409225.db2.gz SPEDSYLBZTXTLV-SNVBAGLBSA-N 0 1 283.759 0.846 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](C)OC[C@@H]1CCCO1 ZINC001320091663 910457572 /nfs/dbraw/zinc/45/75/72/910457572.db2.gz YOXCYHKZPVDQPW-KBPBESRZSA-N 0 1 282.384 0.594 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1CCCS1(=O)=O ZINC001390525816 910493705 /nfs/dbraw/zinc/49/37/05/910493705.db2.gz BTDRUQDMWOACAC-UWVGGRQHSA-N 0 1 294.804 0.410 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H]1CC1(C)C ZINC001320218887 910531911 /nfs/dbraw/zinc/53/19/11/910531911.db2.gz YPCFFHAUJSSPDE-UWVGGRQHSA-N 0 1 260.765 0.852 20 30 CCEDMN CN1CCN(C)[C@H](CNc2cccc(CC#N)n2)C1 ZINC001320365413 910634385 /nfs/dbraw/zinc/63/43/85/910634385.db2.gz ZTFWQASXPMISFJ-CYBMUJFWSA-N 0 1 259.357 0.805 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001320516415 910717153 /nfs/dbraw/zinc/71/71/53/910717153.db2.gz HZNZSVXMZQEVMZ-JTQLQIEISA-N 0 1 295.387 0.305 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NC/C=C\CNCC#N ZINC001321284599 911204646 /nfs/dbraw/zinc/20/46/46/911204646.db2.gz GOZCWGLTJPWSOO-QUCGXOGASA-N 0 1 261.329 0.374 20 30 CCEDMN C=CC[C@H](N[C@@H]1CCN(CCOC)C1)C(=O)OCC ZINC001339093494 921648754 /nfs/dbraw/zinc/64/87/54/921648754.db2.gz HJMFNQZLLDZIJF-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H](C)S(C)(=O)=O ZINC001391698486 911337676 /nfs/dbraw/zinc/33/76/76/911337676.db2.gz COZSGRNCPBSOFM-ZJUUUORDSA-N 0 1 296.820 0.656 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1CCC(=O)N1 ZINC001391858494 911424965 /nfs/dbraw/zinc/42/49/65/911424965.db2.gz YRQGXWMJYYADNJ-GHMZBOCLSA-N 0 1 285.775 0.598 20 30 CCEDMN O=c1[nH]ncc(N=NC2CCCS(=O)(=O)C2)c1Cl ZINC001321693313 911448914 /nfs/dbraw/zinc/44/89/14/911448914.db2.gz GGEPPQFCZZOXMC-UHFFFAOYSA-N 0 1 290.732 0.812 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)CC1 ZINC001321888901 911553266 /nfs/dbraw/zinc/55/32/66/911553266.db2.gz LDLIEWFXMZIVBZ-CYBMUJFWSA-N 0 1 291.395 0.764 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)NCC1(CC#N)CC1 ZINC001322198167 911709305 /nfs/dbraw/zinc/70/93/05/911709305.db2.gz SBNMKQKKKAIBGE-UHFFFAOYSA-N 0 1 275.312 0.144 20 30 CCEDMN CCc1ncoc1C(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001322245024 911726875 /nfs/dbraw/zinc/72/68/75/911726875.db2.gz SBIOMWURCXTEAC-LBPRGKRZSA-N 0 1 293.367 0.937 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cc(CC)n(C)n1 ZINC001322311705 911761539 /nfs/dbraw/zinc/76/15/39/911761539.db2.gz BVXSSWSODDISJM-NSHDSACASA-N 0 1 262.357 0.666 20 30 CCEDMN N#CCNC[C@@H]1CCCC[C@@H]1NC(=O)CCc1nc[nH]n1 ZINC001322329211 911764122 /nfs/dbraw/zinc/76/41/22/911764122.db2.gz GAUHASBSLLDVBW-RYUDHWBXSA-N 0 1 290.371 0.525 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N[C@@H](CNCC#N)C1CC1 ZINC001323112003 912124030 /nfs/dbraw/zinc/12/40/30/912124030.db2.gz FFGMEHQJBYDFBG-UONOGXRCSA-N 0 1 278.400 0.869 20 30 CCEDMN COC[C@H](C)N1CC[C@@H]1CNC(=O)C#CC1CC1 ZINC001323225963 912197996 /nfs/dbraw/zinc/19/79/96/912197996.db2.gz KWDSEAQQZJHMFU-WCQYABFASA-N 0 1 250.342 0.625 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H]1CCN1CCOC(C)C ZINC001323231101 912201776 /nfs/dbraw/zinc/20/17/76/912201776.db2.gz JHTCBYNHXYCQFW-HIFRSBDPSA-N 0 1 284.400 0.929 20 30 CCEDMN C=CCO[C@@H]1CCN(C[C@@H]2CCS(=O)(=O)C2)C1 ZINC001339474424 921733814 /nfs/dbraw/zinc/73/38/14/921733814.db2.gz HWDZLPSCSCUITA-NWDGAFQWSA-N 0 1 259.371 0.698 20 30 CCEDMN C#CCN(CC)c1nnc(-c2nc(C3CC3)n[nH]2)n1C ZINC001339488681 921734909 /nfs/dbraw/zinc/73/49/09/921734909.db2.gz CDPZWDVTVUWZDV-UHFFFAOYSA-N 0 1 271.328 0.937 20 30 CCEDMN C#CCN(CC)c1nnc(-c2nnc(C3CC3)[nH]2)n1C ZINC001339488681 921734918 /nfs/dbraw/zinc/73/49/18/921734918.db2.gz CDPZWDVTVUWZDV-UHFFFAOYSA-N 0 1 271.328 0.937 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)C[C@](C)(O)C2CC2)CC1 ZINC001328520576 915249669 /nfs/dbraw/zinc/24/96/69/915249669.db2.gz UAJNZPGCCXFYSM-HNNXBMFYSA-N 0 1 294.395 0.114 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001328660288 915342355 /nfs/dbraw/zinc/34/23/55/915342355.db2.gz BOOLJJIRUFERMM-JTQLQIEISA-N 0 1 297.380 0.232 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001328750428 915410848 /nfs/dbraw/zinc/41/08/48/915410848.db2.gz SJSDUTLLCCDQDP-AYCBFJCHSA-N 0 1 288.391 0.966 20 30 CCEDMN C#CCN(CC#CC)c1nnc(-c2c[nH]nn2)n1CC=C ZINC001339639122 921784085 /nfs/dbraw/zinc/78/40/85/921784085.db2.gz KLULMAWZXVIDRM-UHFFFAOYSA-N 0 1 281.323 0.712 20 30 CCEDMN Cc1nc(CN(C)[C@@H](C)CNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001329400286 915883395 /nfs/dbraw/zinc/88/33/95/915883395.db2.gz YWTWOIFBGRXIGR-IUCAKERBSA-N 0 1 264.333 0.209 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCCCO1 ZINC001329414915 915893631 /nfs/dbraw/zinc/89/36/31/915893631.db2.gz MNKCQTPUFZNBGM-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cn[nH]c(=O)c1 ZINC001329472255 915945219 /nfs/dbraw/zinc/94/52/19/915945219.db2.gz NHUOOAMDFPJBKD-SNVBAGLBSA-N 0 1 262.313 0.256 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)Cn1nc(C)cc1C ZINC001329488863 915955566 /nfs/dbraw/zinc/95/55/66/915955566.db2.gz PFGINQQYRNQGOV-CYBMUJFWSA-N 0 1 262.357 0.570 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1cccnn1 ZINC001329576438 916030949 /nfs/dbraw/zinc/03/09/49/916030949.db2.gz JYRAYUVKCOHRQU-LBPRGKRZSA-N 0 1 276.340 0.177 20 30 CCEDMN C=CC[C@H]1CC[N@H+](CCc2cn(CC(=O)[O-])nn2)C1 ZINC001329591240 916042276 /nfs/dbraw/zinc/04/22/76/916042276.db2.gz YXBBREPASATRKS-NSHDSACASA-N 0 1 264.329 0.803 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cnc(OCC)cn1 ZINC001329628810 916078260 /nfs/dbraw/zinc/07/82/60/916078260.db2.gz USHKUWKYJGDNMA-GFCCVEGCSA-N 0 1 290.367 0.949 20 30 CCEDMN C#CC[C@@H]1NC(=O)N(Cc2n[nH]c([C@H]3CCCO3)n2)C1=O ZINC001329871786 916244830 /nfs/dbraw/zinc/24/48/30/916244830.db2.gz WSOHTFLUWDXQGQ-DTWKUNHWSA-N 0 1 289.295 0.100 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cnnc(C)c1 ZINC001401487568 916272745 /nfs/dbraw/zinc/27/27/45/916272745.db2.gz HNCLYMIBBNXVRD-LLVKDONJSA-N 0 1 284.747 0.218 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cn(C)nc1C ZINC001401500654 916290393 /nfs/dbraw/zinc/29/03/93/916290393.db2.gz OSQABUMEVSDGFS-JTQLQIEISA-N 0 1 286.763 0.161 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1oc(C)nc1C ZINC001401503757 916290964 /nfs/dbraw/zinc/29/09/64/916290964.db2.gz HFVCIXGPGCUCIY-SNVBAGLBSA-N 0 1 287.747 0.724 20 30 CCEDMN C#CCCS(=O)(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001329942122 916297905 /nfs/dbraw/zinc/29/79/05/916297905.db2.gz WUIOMHYIFPBVFR-VIFPVBQESA-N 0 1 284.341 0.099 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCCC(N)=O)C(C)(C)C1 ZINC001330244468 916501551 /nfs/dbraw/zinc/50/15/51/916501551.db2.gz LXRODTGNDGULAP-GFCCVEGCSA-N 0 1 279.384 0.492 20 30 CCEDMN CC(C)NC(=O)NCCN(C)C[C@H](C)NC(=O)[C@@H](C)C#N ZINC001401729636 916523802 /nfs/dbraw/zinc/52/38/02/916523802.db2.gz BINJPHYISHGHBO-RYUDHWBXSA-N 0 1 297.403 0.290 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC2(C1)CCCN(CC#C)C2 ZINC001330485679 916660465 /nfs/dbraw/zinc/66/04/65/916660465.db2.gz JSFNEULQXCAKLH-AWEZNQCLSA-N 0 1 274.364 0.582 20 30 CCEDMN Cc1nc(CN2CCC[C@H](CNC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001402660975 917160186 /nfs/dbraw/zinc/16/01/86/917160186.db2.gz FWFIMRXYBGFDQE-CMPLNLGQSA-N 0 1 290.371 0.601 20 30 CCEDMN C=C[C@@H](COC)NCc1ccc(S(N)(=O)=O)cc1 ZINC001331257709 917222038 /nfs/dbraw/zinc/22/20/38/917222038.db2.gz OMSQGBVBCIPKRW-NSHDSACASA-N 0 1 270.354 0.625 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@@H](C)NCc1conc1C ZINC001331437876 917332668 /nfs/dbraw/zinc/33/26/68/917332668.db2.gz CXAUXNPSCIUCHG-YPMHNXCESA-N 0 1 293.367 0.958 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H]1CC=CCC1 ZINC001331665943 917494378 /nfs/dbraw/zinc/49/43/78/917494378.db2.gz MACKCHLGBXBJFU-QWHCGFSZSA-N 0 1 250.342 0.433 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](CO)NCc1cccnc1 ZINC001331770459 917592720 /nfs/dbraw/zinc/59/27/20/917592720.db2.gz HEOWGUTVKUFKJO-ZDUSSCGKSA-N 0 1 277.368 0.861 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H](CO)NC[C@@H](F)CC)cn1 ZINC001331825714 917644307 /nfs/dbraw/zinc/64/43/07/917644307.db2.gz QIGGKZSMOIVWRO-GXTWGEPZSA-N 0 1 293.342 0.491 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)NCc1cc(CC)[nH]n1 ZINC001332090203 917856530 /nfs/dbraw/zinc/85/65/30/917856530.db2.gz PJPPIZWEWPQDDY-LLVKDONJSA-N 0 1 264.329 0.546 20 30 CCEDMN C=C(CO)C(=O)N1CC[C@H](c2[nH]ncc2C(=O)OCC)C1 ZINC001332212321 917961399 /nfs/dbraw/zinc/96/13/99/917961399.db2.gz FEUNNNJHFAWCBC-JTQLQIEISA-N 0 1 293.323 0.451 20 30 CCEDMN COCC#CC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC001332455982 918171811 /nfs/dbraw/zinc/17/18/11/918171811.db2.gz SNDZHNBTPMYKAW-NWDGAFQWSA-N 0 1 268.382 0.332 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H](C)C1CC1 ZINC001332622169 918321500 /nfs/dbraw/zinc/32/15/00/918321500.db2.gz XGNGUFOLUKNNJG-DZGCQCFKSA-N 0 1 296.411 0.433 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1[nH]c(C)nc1C ZINC001332663559 918366157 /nfs/dbraw/zinc/36/61/57/918366157.db2.gz NRXVMRZYVLSAKN-CYBMUJFWSA-N 0 1 292.383 0.415 20 30 CCEDMN C=CC[N@H+](C)CCN(C(=O)CCNC(=O)NC)C(C)C ZINC001332698582 918392248 /nfs/dbraw/zinc/39/22/48/918392248.db2.gz IWOJBUBEQBUJOZ-UHFFFAOYSA-N 0 1 284.404 0.660 20 30 CCEDMN COC(=O)[C@H]1C[C@H](O)CN1CC1CCC(C#N)CC1 ZINC001333011422 918600362 /nfs/dbraw/zinc/60/03/62/918600362.db2.gz XCJNFLIRBOWTEV-IFWUJCSASA-N 0 1 266.341 0.925 20 30 CCEDMN N#CCCN1CCN(C[C@H](O)CC2(O)CCC2)CC1 ZINC001333040370 918615390 /nfs/dbraw/zinc/61/53/90/918615390.db2.gz UKURZGILYNQDKL-CYBMUJFWSA-N 0 1 267.373 0.184 20 30 CCEDMN CC[C@H](CNC(=O)[C@H](C)C#N)NCc1snnc1C ZINC001405216442 918673965 /nfs/dbraw/zinc/67/39/65/918673965.db2.gz QTPUFVZVHJIKLV-PSASIEDQSA-N 0 1 281.385 0.991 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)NCc1cc(N)ccn1 ZINC001333404356 918873246 /nfs/dbraw/zinc/87/32/46/918873246.db2.gz NIPZIQBQXNBUSP-LLVKDONJSA-N 0 1 276.340 0.751 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)CCN2CCCC2=O)CC1 ZINC001333447069 918915494 /nfs/dbraw/zinc/91/54/94/918915494.db2.gz HUBZVZLQDIUUKW-UHFFFAOYSA-N 0 1 291.395 0.933 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CNC(=O)C1CC1 ZINC001405799429 918917207 /nfs/dbraw/zinc/91/72/07/918917207.db2.gz NEEFZCDVHFZPDK-SNVBAGLBSA-N 0 1 287.791 0.702 20 30 CCEDMN C[C@H](NC(=O)CCCCC#N)[C@H]1CN(C)CCN1C ZINC001334416498 919569167 /nfs/dbraw/zinc/56/91/67/919569167.db2.gz SBXSJSVHSHELJM-QWHCGFSZSA-N 0 1 266.389 0.821 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)[C@H]1CCN(C)C1=O ZINC001407876310 919936018 /nfs/dbraw/zinc/93/60/18/919936018.db2.gz LFXXUBTYNBBKMR-NEPJUHHUSA-N 0 1 280.372 0.157 20 30 CCEDMN C[C@@H](NC1CCN(CC#N)CC1)c1cc(CO)on1 ZINC001335209261 920023414 /nfs/dbraw/zinc/02/34/14/920023414.db2.gz AECVWJHCEQUZEO-SNVBAGLBSA-N 0 1 264.329 0.805 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001408377106 920171183 /nfs/dbraw/zinc/17/11/83/920171183.db2.gz QOPMFCHRSNVNES-GHMZBOCLSA-N 0 1 289.339 0.077 20 30 CCEDMN Cc1nnc(CNC2CCN(CC#N)CC2)s1 ZINC001335441757 920197686 /nfs/dbraw/zinc/19/76/86/920197686.db2.gz SJKBAKFGHUXUFE-UHFFFAOYSA-N 0 1 251.359 0.924 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC001414721058 920484638 /nfs/dbraw/zinc/48/46/38/920484638.db2.gz GANUAOYWMZEUDB-PWSUYJOCSA-N 0 1 289.339 0.219 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](CNC(=O)CN1CCCC1)C1CC1 ZINC001414855756 920528391 /nfs/dbraw/zinc/52/83/91/920528391.db2.gz JJTQYWFYZASSTJ-AAEUAGOBSA-N 0 1 292.383 0.253 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](CNC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001415292983 920667564 /nfs/dbraw/zinc/66/75/64/920667564.db2.gz WDJVHGLFJNLRDO-MWLCHTKSSA-N 0 1 289.339 0.456 20 30 CCEDMN C#CCNC(=O)CCNCC(=O)Nc1cccc(F)c1 ZINC001336738724 920968761 /nfs/dbraw/zinc/96/87/61/920968761.db2.gz CRNKWNPHNGJKHL-UHFFFAOYSA-N 0 1 277.299 0.493 20 30 CCEDMN C#CCNCC(=O)NCCCOc1ccc(F)cc1 ZINC001340634577 922147401 /nfs/dbraw/zinc/14/74/01/922147401.db2.gz GTVXIWXGVGZOAO-UHFFFAOYSA-N 0 1 264.300 0.934 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCN(c2ncccc2F)C1 ZINC001340879221 922253465 /nfs/dbraw/zinc/25/34/65/922253465.db2.gz CPOAPBXKLZAHMX-NSHDSACASA-N 0 1 276.315 0.138 20 30 CCEDMN CCCNC(=O)CN1CC[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001418674120 922353080 /nfs/dbraw/zinc/35/30/80/922353080.db2.gz YNQCKTGBEVTBTF-NWDGAFQWSA-N 0 1 280.372 0.110 20 30 CCEDMN C=C(Cl)CN(CCNC(=O)Cc1n[nH]c(C)n1)C1CC1 ZINC001418761842 922411036 /nfs/dbraw/zinc/41/10/36/922411036.db2.gz SDHIKJYZOOPDKV-UHFFFAOYSA-N 0 1 297.790 0.989 20 30 CCEDMN N#Cc1cscc1C(=O)NC[C@@H]1COCCN1 ZINC001341465064 922498340 /nfs/dbraw/zinc/49/83/40/922498340.db2.gz ZGUQMSVWJOZQDA-SECBINFHSA-N 0 1 251.311 0.338 20 30 CCEDMN C=CCCCN(CC)C(=O)[C@@]1(COC)CNCCO1 ZINC001341486661 922507677 /nfs/dbraw/zinc/50/76/77/922507677.db2.gz XRICGHBUJGOZHT-AWEZNQCLSA-N 0 1 270.373 0.806 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@@H]1c1ccc(OC)cc1 ZINC001341540619 922542372 /nfs/dbraw/zinc/54/23/72/922542372.db2.gz GUPUOLNIJWHUBT-OAHLLOKOSA-N 0 1 288.347 0.818 20 30 CCEDMN COc1ccc(CNC(=O)CCc2nn[nH]n2)cc1C#N ZINC001419071592 922618169 /nfs/dbraw/zinc/61/81/69/922618169.db2.gz BTIGHOTVPMANAR-UHFFFAOYSA-N 0 1 286.295 0.329 20 30 CCEDMN Cc1cnc(CN[C@H](CNC(=O)[C@H](C)C#N)C2CC2)cn1 ZINC001419245718 922728943 /nfs/dbraw/zinc/72/89/43/922728943.db2.gz OTARTLJWIMOATH-QMTHXVAHSA-N 0 1 287.367 0.929 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC001341946732 922734491 /nfs/dbraw/zinc/73/44/91/922734491.db2.gz GDFBKYWDQCVZDR-IANFPDNMSA-N 0 1 260.293 0.589 20 30 CCEDMN C#CCNCC(=O)NC[C@@](C)(O)c1ccc(F)cc1F ZINC001342141355 922827654 /nfs/dbraw/zinc/82/76/54/922827654.db2.gz CGFZSSNKNGZGIB-CQSZACIVSA-N 0 1 282.290 0.511 20 30 CCEDMN N#C[C@H](C(=O)[C@H]1CCC(=O)O1)C(=O)N1CCCC1 ZINC001342632200 923034102 /nfs/dbraw/zinc/03/41/02/923034102.db2.gz GUTAYFPVSMWPKW-RKDXNWHRSA-N 0 1 250.254 0.023 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)C[C@H]1CCC(=O)NC1 ZINC001342727237 923072117 /nfs/dbraw/zinc/07/21/17/923072117.db2.gz OEBUFTXUZAMOHU-NXEZZACHSA-N 0 1 265.313 0.136 20 30 CCEDMN C=C(C)CNC(=O)CCNC(O)=C1N=CC=CC1=O ZINC001343090974 923196691 /nfs/dbraw/zinc/19/66/91/923196691.db2.gz YIGGCWDXTQYTRV-OUKQBFOZSA-N 0 1 263.297 0.595 20 30 CCEDMN C=CCN(CC=C)c1nnc(-c2c[nH]nn2)n1CCOC ZINC001343135530 923213537 /nfs/dbraw/zinc/21/35/37/923213537.db2.gz YLTGQSPAAJOZQT-UHFFFAOYSA-N 0 1 289.343 0.888 20 30 CCEDMN C#CCNCC(=O)NCc1c(CC)c(CC)n[nH]c1=O ZINC001343622490 923394626 /nfs/dbraw/zinc/39/46/26/923394626.db2.gz CDAAASZVVBCCFT-UHFFFAOYSA-N 0 1 276.340 0.146 20 30 CCEDMN COc1nn(C)cc1C(=O)C(C#N)c1nccn1C ZINC001343705464 923433268 /nfs/dbraw/zinc/43/32/68/923433268.db2.gz RLMQRKXXHQYLIW-MRVPVSSYSA-N 0 1 259.269 0.652 20 30 CCEDMN C#CCCN1CCN(Cc2cn(C(C)C)nn2)CC1 ZINC001343790885 923469187 /nfs/dbraw/zinc/46/91/87/923469187.db2.gz VMFJOIZJNSHLCQ-UHFFFAOYSA-N 0 1 261.373 1.000 20 30 CCEDMN N#C[C@H](C(=O)c1ccc2n[nH]c(=O)n2c1)c1ccncn1 ZINC001344615940 923654721 /nfs/dbraw/zinc/65/47/21/923654721.db2.gz AKRNFCJZZKQUFR-VIFPVBQESA-N 0 1 280.247 0.715 20 30 CCEDMN N#CC(C(=O)c1ccc2n[nH]c(=O)n2c1)c1ccncn1 ZINC001344615940 923654731 /nfs/dbraw/zinc/65/47/31/923654731.db2.gz AKRNFCJZZKQUFR-VIFPVBQESA-N 0 1 280.247 0.715 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CN(C)CCO2)CC1 ZINC001420920132 924023479 /nfs/dbraw/zinc/02/34/79/924023479.db2.gz NOKGCPOUBREAFO-LLVKDONJSA-N 0 1 287.791 0.308 20 30 CCEDMN C#C[C@H](C)N(C)c1nnc(-c2c[nH]nn2)n1CC1CC1 ZINC001345976421 924030290 /nfs/dbraw/zinc/03/02/90/924030290.db2.gz NOUDEXSKXZNKLQ-VIFPVBQESA-N 0 1 271.328 0.931 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CN(CCCN2CCCC2=O)C1 ZINC001421135047 924171176 /nfs/dbraw/zinc/17/11/76/924171176.db2.gz YRCMCVFLWMRLIY-LBPRGKRZSA-N 0 1 292.383 0.207 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@]1(C)CCN(CCn2cncn2)C1 ZINC001421182059 924196766 /nfs/dbraw/zinc/19/67/66/924196766.db2.gz FLAVASANBBGAOG-YPMHNXCESA-N 0 1 276.344 0.018 20 30 CCEDMN C=CCCN(C)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001346766913 924306065 /nfs/dbraw/zinc/30/60/65/924306065.db2.gz MXXYNAWHGQUPPD-STQMWFEESA-N 0 1 268.405 0.838 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)NC[C@H](C)N2CCN(C)CC2)C1 ZINC001347102845 924370658 /nfs/dbraw/zinc/37/06/58/924370658.db2.gz SUOYAXOZPLQXJA-GJZGRUSLSA-N 0 1 292.427 0.677 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)[C@H]2CN3CCN2C[C@H]3C)CC1 ZINC001347229476 924403096 /nfs/dbraw/zinc/40/30/96/924403096.db2.gz KXLNPXSJDOLLPL-KBUPBQIOSA-N 0 1 275.396 0.683 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)NC1(C#N)CCCC1 ZINC001347604343 924492014 /nfs/dbraw/zinc/49/20/14/924492014.db2.gz WVGRMEQSFFJUOB-RYUDHWBXSA-N 0 1 262.357 0.327 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@H](C)N1CCN(C)CC1)C(C)C ZINC001347618076 924494800 /nfs/dbraw/zinc/49/48/00/924494800.db2.gz RUGSTERRBGQEBN-KBPBESRZSA-N 0 1 280.416 0.579 20 30 CCEDMN C[C@@H](O)CNN=Cc1ccc(N2CCN(C)CC2)c(F)c1 ZINC001347634172 924498373 /nfs/dbraw/zinc/49/83/73/924498373.db2.gz HHQDABQTJFUGHB-GFCCVEGCSA-N 0 1 294.374 0.882 20 30 CCEDMN C#CCN(C)c1nnc(C2=NO[C@@H](CO)C2)n1CC1CC1 ZINC001347697262 924514462 /nfs/dbraw/zinc/51/44/62/924514462.db2.gz QNPQPGLGADUNLB-LLVKDONJSA-N 0 1 289.339 0.243 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001348665223 924766363 /nfs/dbraw/zinc/76/63/63/924766363.db2.gz WOBFLXUEFBIHJZ-CHWSQXEVSA-N 0 1 266.389 0.285 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N(C)CC(C)(C)C#N ZINC001348683866 924773849 /nfs/dbraw/zinc/77/38/49/924773849.db2.gz BIFKMBDYTCHMEY-NEPJUHHUSA-N 0 1 264.373 0.383 20 30 CCEDMN C=C(CO)C(=O)N1CCc2nc[nH]c2[C@@H]1c1ccnn1C ZINC001348726692 924788304 /nfs/dbraw/zinc/78/83/04/924788304.db2.gz AHEIPXCRHLYMDI-ZDUSSCGKSA-N 0 1 287.323 0.166 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCCNC(=O)c1ncn[nH]1)OCC ZINC001349144542 924892413 /nfs/dbraw/zinc/89/24/13/924892413.db2.gz YBMBAPCHUMLCBW-JTQLQIEISA-N 0 1 295.343 0.022 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCCNC(=O)c1nc[nH]n1)OCC ZINC001349144542 924892417 /nfs/dbraw/zinc/89/24/17/924892417.db2.gz YBMBAPCHUMLCBW-JTQLQIEISA-N 0 1 295.343 0.022 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)C(=O)N1CCC(CC#N)CC1 ZINC001350211411 925144838 /nfs/dbraw/zinc/14/48/38/925144838.db2.gz BUFBLRXFPLMYRO-UHFFFAOYSA-N 0 1 292.383 0.159 20 30 CCEDMN C#CCN(CC1CC1)[C@H]1CCN(CCC(=O)OC)C1=O ZINC001350364417 925181290 /nfs/dbraw/zinc/18/12/90/925181290.db2.gz LJUYVTVUWOEBKL-ZDUSSCGKSA-N 0 1 278.352 0.496 20 30 CCEDMN C=CCOC[C@H]([NH2+]Cc1cnc(N(C)C)s1)C(=O)[O-] ZINC001350611517 925240372 /nfs/dbraw/zinc/24/03/72/925240372.db2.gz VARHMPKUOCAJEO-JTQLQIEISA-N 0 1 285.369 0.955 20 30 CCEDMN CCN1CCN(C2CN(C(=O)C(C)(C)C#N)C2)CC1 ZINC001350716768 925260907 /nfs/dbraw/zinc/26/09/07/925260907.db2.gz IJIPEVWLNTWRBR-UHFFFAOYSA-N 0 1 264.373 0.384 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1CCNC[C@@H]1C(C)C ZINC001351546914 925434878 /nfs/dbraw/zinc/43/48/78/925434878.db2.gz WETYXRITPOVSJL-GFCCVEGCSA-N 0 1 267.373 0.525 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)NC1(C#N)CCSCC1 ZINC001351700336 925459257 /nfs/dbraw/zinc/45/92/57/925459257.db2.gz REOSRGOBQPJFAP-NWDGAFQWSA-N 0 1 294.424 0.280 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)N[C@@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC001351788270 925473081 /nfs/dbraw/zinc/47/30/81/925473081.db2.gz VQCZOQJPYUSUOJ-MJBXVCDLSA-N 0 1 298.431 0.247 20 30 CCEDMN C=CCN(CCOC)C(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001352015710 925508514 /nfs/dbraw/zinc/50/85/14/925508514.db2.gz VHZHKJRNQOBRTB-QWHCGFSZSA-N 0 1 267.373 0.036 20 30 CCEDMN C=CCN(C(=O)NC[C@@H](C)N1CCN(C)CC1)C1CC1 ZINC001352220185 925545167 /nfs/dbraw/zinc/54/51/67/925545167.db2.gz NSUPUWLIKHDQOS-CYBMUJFWSA-N 0 1 280.416 0.982 20 30 CCEDMN C=CCN(c1nnc(C2=NO[C@@H](CO)C2)n1CC)C1CC1 ZINC001352588539 925601619 /nfs/dbraw/zinc/60/16/19/925601619.db2.gz XARCVHKIXQOLCH-LLVKDONJSA-N 0 1 291.355 0.938 20 30 CCEDMN C#CCNCC(=O)NC1CCN(C(=O)c2ccco2)CC1 ZINC001353194777 925761342 /nfs/dbraw/zinc/76/13/42/925761342.db2.gz RNIQESKFZLWRGO-UHFFFAOYSA-N 0 1 289.335 0.223 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@@](C)(CCF)C(=O)[O-] ZINC001354040353 925993068 /nfs/dbraw/zinc/99/30/68/925993068.db2.gz COUMYCCKXPZWHJ-ZDUSSCGKSA-N 0 1 287.335 0.444 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1[C@H]2Cc3cc(F)ccc3[C@@H]12 ZINC001354319559 926081791 /nfs/dbraw/zinc/08/17/91/926081791.db2.gz UHIUNBBYIQQHIA-NWANDNLSSA-N 0 1 258.296 0.803 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)NC(=O)[C@@H]1CCCCN1C ZINC001354378990 926098449 /nfs/dbraw/zinc/09/84/49/926098449.db2.gz COABLLUQARACTM-JSGCOSHPSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCCCC(=O)NCC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001355267225 926233731 /nfs/dbraw/zinc/23/37/31/926233731.db2.gz ZKNATWOAPJNOAX-LLVKDONJSA-N 0 1 291.355 0.623 20 30 CCEDMN C=C(C)CN(CC)c1nnc(C2=NO[C@@H](CO)C2)n1C ZINC001355583277 926289189 /nfs/dbraw/zinc/28/91/89/926289189.db2.gz NVPZKDJWAGJUOB-SNVBAGLBSA-N 0 1 279.344 0.703 20 30 CCEDMN C=CCn1c(N2CCN(C)C(C)(C)C2)nnc1C1(O)CC1 ZINC001355981087 926332046 /nfs/dbraw/zinc/33/20/46/926332046.db2.gz JIVNVZBLEMHHOP-UHFFFAOYSA-N 0 1 291.399 0.976 20 30 CCEDMN C=CCn1c(C2CC(O)C2)nnc1N1CCN(CC)CC1 ZINC001355989433 926332844 /nfs/dbraw/zinc/33/28/44/926332844.db2.gz GULKKFHSMAZGJA-UHFFFAOYSA-N 0 1 291.399 0.844 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCCN1C(=O)c1ccn[nH]1 ZINC001356284789 926364487 /nfs/dbraw/zinc/36/44/87/926364487.db2.gz JIQIQQHHHVBGTL-NSHDSACASA-N 0 1 292.339 0.333 20 30 CCEDMN C#CCNCC(=O)NC[C@H](O)COc1ccc(F)c(F)c1 ZINC001357250247 926503565 /nfs/dbraw/zinc/50/35/65/926503565.db2.gz QOOJXCYTHKBEJG-JTQLQIEISA-N 0 1 298.289 0.044 20 30 CCEDMN N#Cc1cnnc(N2CC(c3[nH]nc4c3COCC4)C2)c1 ZINC001421314441 926669056 /nfs/dbraw/zinc/66/90/56/926669056.db2.gz GXRSXPRPAMNAHS-UHFFFAOYSA-N 0 1 282.307 0.748 20 30 CCEDMN Cc1cc(CC(=O)NC[C@H](C)NC(=O)C#CC2CC2)[nH]n1 ZINC001358510356 926719135 /nfs/dbraw/zinc/71/91/35/926719135.db2.gz FXGLWQYRSZAPCS-NSHDSACASA-N 0 1 288.351 0.295 20 30 CCEDMN C[C@@H]1CN(Cc2cc(C#N)ccn2)C[C@H]1CS(N)(=O)=O ZINC001414037859 926886476 /nfs/dbraw/zinc/88/64/76/926886476.db2.gz SKKYBMZTNABCSP-PWSUYJOCSA-N 0 1 294.380 0.310 20 30 CCEDMN C[C@H](C#N)OCCN1CCCN(CC(=O)N(C)C)CC1 ZINC001414041718 926890917 /nfs/dbraw/zinc/89/09/17/926890917.db2.gz AIDFNCAVFGHUNR-CYBMUJFWSA-N 0 1 282.388 0.011 20 30 CCEDMN COc1cncc(CNC[C@@H](C)N(C)C(=O)[C@H](C)C#N)n1 ZINC001421873945 926962008 /nfs/dbraw/zinc/96/20/08/926962008.db2.gz QJTGUVWMJOKMOE-GHMZBOCLSA-N 0 1 291.355 0.581 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)CCc1ccc(C#N)cc1 ZINC001361852934 927387701 /nfs/dbraw/zinc/38/77/01/927387701.db2.gz LRXMBZHKSDGQCF-UHFFFAOYSA-N 0 1 298.306 0.607 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001362088094 927601281 /nfs/dbraw/zinc/60/12/81/927601281.db2.gz HLPKQSHUSILKLV-GFCCVEGCSA-N 0 1 298.306 0.594 20 30 CCEDMN N#C[C@@H]1CSCCN1C(=O)CCN1CC[C@@H](F)C1 ZINC001362251246 927780713 /nfs/dbraw/zinc/78/07/13/927780713.db2.gz IQUSJVZNRVIZGV-GHMZBOCLSA-N 0 1 271.361 0.888 20 30 CCEDMN Cc1cc(NC(=O)CCCc2nn[nH]n2)nn1CCC#N ZINC001362299391 927845557 /nfs/dbraw/zinc/84/55/57/927845557.db2.gz IMKWRULXNIJYRL-UHFFFAOYSA-N 0 1 288.315 0.580 20 30 CCEDMN CCN1CCN(C(=O)CNc2ccc(C#N)cn2)C[C@H]1C ZINC001362303400 927849815 /nfs/dbraw/zinc/84/98/15/927849815.db2.gz XUIHYSSOKOGHBJ-GFCCVEGCSA-N 0 1 287.367 0.918 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(C)CCN([C@@H]2CCCNC2=O)CC1 ZINC001423504427 927897845 /nfs/dbraw/zinc/89/78/45/927897845.db2.gz KDLXFUAFDDJKAP-NWDGAFQWSA-N 0 1 292.383 0.395 20 30 CCEDMN CC[N@@H+](CCO)CCNC(=O)c1[n-]c(=O)c(C#N)cc1C ZINC001362378766 927945220 /nfs/dbraw/zinc/94/52/20/927945220.db2.gz VVSLCCUWSOHVEZ-UHFFFAOYSA-N 0 1 292.339 0.011 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)N(C)C(=O)[C@@H](C)C#N ZINC001424328634 928159941 /nfs/dbraw/zinc/15/99/41/928159941.db2.gz GZSFKPMBITWPOW-VHSXEESVSA-N 0 1 277.328 0.075 20 30 CCEDMN Cn1c(CNC(=O)c2cccc(CC#N)c2)n[nH]c1=O ZINC001362610729 928248215 /nfs/dbraw/zinc/24/82/15/928248215.db2.gz JXKDFPLOVPBGKB-UHFFFAOYSA-N 0 1 271.280 0.517 20 30 CCEDMN C[C@H](CNC(=O)c1ncn[nH]1)N(C)Cc1ccccc1C#N ZINC001425042110 928412190 /nfs/dbraw/zinc/41/21/90/928412190.db2.gz OEFMRISEOGSQPE-LLVKDONJSA-N 0 1 298.350 0.927 20 30 CCEDMN C[C@H](CNC(=O)c1nc[nH]n1)N(C)Cc1ccccc1C#N ZINC001425042110 928412201 /nfs/dbraw/zinc/41/22/01/928412201.db2.gz OEFMRISEOGSQPE-LLVKDONJSA-N 0 1 298.350 0.927 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cccc3[nH]c(CO)nc32)C1 ZINC001362955964 928694827 /nfs/dbraw/zinc/69/48/27/928694827.db2.gz IEZCTFOURADSSL-UHFFFAOYSA-N 0 1 286.291 0.156 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H]1CCOC1 ZINC001425981449 928723543 /nfs/dbraw/zinc/72/35/43/928723543.db2.gz LGQZBWLZIKFGNY-QWRGUYRKSA-N 0 1 276.764 0.184 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC001363117284 928889448 /nfs/dbraw/zinc/88/94/48/928889448.db2.gz VISZKOVBTXNUGU-SNVBAGLBSA-N 0 1 288.267 0.187 20 30 CCEDMN Cc1cc(C(=O)N2CC([C@@H](C)NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001427067381 928964617 /nfs/dbraw/zinc/96/46/17/928964617.db2.gz GVWNLYWYLLTKPF-PSASIEDQSA-N 0 1 289.339 0.455 20 30 CCEDMN CS(=O)(=O)C[C@@H]1CC[N@@H+](C[C@H](O)CC2(C#N)CC2)C1 ZINC001363254723 929033855 /nfs/dbraw/zinc/03/38/55/929033855.db2.gz GKRPBJUCLAVZLF-VXGBXAGGSA-N 0 1 286.397 0.408 20 30 CCEDMN CS(=O)(=O)C[C@@H]1CCN(C[C@H](O)CC2(C#N)CC2)C1 ZINC001363254723 929033867 /nfs/dbraw/zinc/03/38/67/929033867.db2.gz GKRPBJUCLAVZLF-VXGBXAGGSA-N 0 1 286.397 0.408 20 30 CCEDMN N#CC1(C(=O)NC[C@@H](O)c2cnc[nH]2)CCSCC1 ZINC001363440889 929235357 /nfs/dbraw/zinc/23/53/57/929235357.db2.gz YJWNERMFTYWWML-SNVBAGLBSA-N 0 1 280.353 0.596 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@@H+]1CCC[C@@H](O)CC1)cc2=O ZINC001363810055 929599620 /nfs/dbraw/zinc/59/96/20/929599620.db2.gz YCLCYGQZLHDDPV-GFCCVEGCSA-N 0 1 287.323 0.653 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC001443594382 929656925 /nfs/dbraw/zinc/65/69/25/929656925.db2.gz CFKZJEICVNLHJR-GFCCVEGCSA-N 0 1 253.390 0.951 20 30 CCEDMN CCc1nc([C@H](C)N2CC(CNC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001373504225 930221720 /nfs/dbraw/zinc/22/17/20/930221720.db2.gz RKVWHICZQLAUKQ-UWVGGRQHSA-N 0 1 290.371 0.636 20 30 CCEDMN COc1cccc(CNC2(CNC(=O)[C@@H](C)C#N)CC2)n1 ZINC001446163333 930357032 /nfs/dbraw/zinc/35/70/32/930357032.db2.gz SHOJOFGAYOERCL-NSHDSACASA-N 0 1 288.351 0.988 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](C)NC(C)=O ZINC001374194940 930441987 /nfs/dbraw/zinc/44/19/87/930441987.db2.gz LERLVRCTGCBRCP-ZJUUUORDSA-N 0 1 275.780 0.700 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CS(=O)(=O)CC ZINC001374850642 930689002 /nfs/dbraw/zinc/68/90/02/930689002.db2.gz WDFVNJRFMRKFCD-SECBINFHSA-N 0 1 282.793 0.268 20 30 CCEDMN CC[C@@H](C)NC(=O)CNC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001375401045 930877475 /nfs/dbraw/zinc/87/74/75/930877475.db2.gz AQYHYTQQRBLFAI-WDEREUQCSA-N 0 1 280.372 0.299 20 30 CCEDMN C[C@H]1C[C@@H]1C(=O)NC[C@@H](CO)NCc1ccc(C#N)cc1 ZINC001448876739 931015480 /nfs/dbraw/zinc/01/54/80/931015480.db2.gz BMZJSOUZXRVAOG-CQDKDKBSSA-N 0 1 287.363 0.781 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cn(C)cn1 ZINC001449106841 931073719 /nfs/dbraw/zinc/07/37/19/931073719.db2.gz DJZHDNMCURGFTO-JTQLQIEISA-N 0 1 286.763 0.195 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CC12CCN(CC(=O)N(C)C)CC2 ZINC001376172022 931079251 /nfs/dbraw/zinc/07/92/51/931079251.db2.gz CGQQCQLAWLBWPR-VXGBXAGGSA-N 0 1 292.383 0.205 20 30 CCEDMN CCN(CCNC(=O)[C@H]1CCCN1C)C(=O)[C@H](C)C#N ZINC001449345428 931129935 /nfs/dbraw/zinc/12/99/35/931129935.db2.gz SXVMJVXHZYPMIE-VXGBXAGGSA-N 0 1 280.372 0.205 20 30 CCEDMN CC(C)c1nc(CNC(=O)[C@@H](C)n2cnc(C#N)n2)n[nH]1 ZINC001450154784 931239523 /nfs/dbraw/zinc/23/95/23/931239523.db2.gz ZMKLXGYCCJTROS-MRVPVSSYSA-N 0 1 288.315 0.269 20 30 CCEDMN CC(C)(CC#N)Cn1cc([N+](=O)[O-])c(-c2nn[nH]n2)n1 ZINC001573893864 950909947 /nfs/dbraw/zinc/90/99/47/950909947.db2.gz ZUHURURUUADCJK-UHFFFAOYSA-N 0 1 276.260 0.911 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000328288416 951124892 /nfs/dbraw/zinc/12/48/92/951124892.db2.gz QUXZXUGPRIXDKF-QWHCGFSZSA-N 0 1 295.383 0.694 20 30 CCEDMN C[C@@H]1CC[C@H](C(=O)[O-])C[N@@H+]1Cn1cccc(C#N)c1=O ZINC001589307577 953754644 /nfs/dbraw/zinc/75/46/44/953754644.db2.gz ACMDSWYOEZRENM-PWSUYJOCSA-N 0 1 275.308 0.863 20 30 CCEDMN C[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cn1cccc(C#N)c1=O ZINC001589307577 953754650 /nfs/dbraw/zinc/75/46/50/953754650.db2.gz ACMDSWYOEZRENM-PWSUYJOCSA-N 0 1 275.308 0.863 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCC[C@]1(C)C(=O)[O-] ZINC001593729190 954305984 /nfs/dbraw/zinc/30/59/84/954305984.db2.gz SRFRHNDMIYQJMG-CYBMUJFWSA-N 0 1 267.329 0.688 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001593729325 954308009 /nfs/dbraw/zinc/30/80/09/954308009.db2.gz WLFSPSNQJAYXNO-LLVKDONJSA-N 0 1 267.329 0.545 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC001589441142 954719196 /nfs/dbraw/zinc/71/91/96/954719196.db2.gz SWTFMSKIHSUJMG-CYBMUJFWSA-N 0 1 289.335 0.969 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)NCc1ccc(C#N)cc1 ZINC001589441142 954719205 /nfs/dbraw/zinc/71/92/05/954719205.db2.gz SWTFMSKIHSUJMG-CYBMUJFWSA-N 0 1 289.335 0.969 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@]1(F)CC[N@H+](C(C)(C)C)C1 ZINC001588399328 958072262 /nfs/dbraw/zinc/07/22/62/958072262.db2.gz JACZJAVFIWFIRD-AWEZNQCLSA-N 0 1 284.331 0.745 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@H]1CC[N@@H+](CC2CC2)C1 ZINC001588410395 958139350 /nfs/dbraw/zinc/13/93/50/958139350.db2.gz UODQRQKTSPARBW-LBPRGKRZSA-N 0 1 279.340 0.200 20 30 CCEDMN C#CCNC(=O)C[NH2+][C@@](C)(C(=O)[O-])c1ccccc1 ZINC001588430047 958249513 /nfs/dbraw/zinc/24/95/13/958249513.db2.gz GTQXGKPNJHSGPL-CQSZACIVSA-N 0 1 260.293 0.325 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC001588430187 958252382 /nfs/dbraw/zinc/25/23/82/958252382.db2.gz JKUHVCVTEIXFNO-ZDUSSCGKSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC001588430187 958252395 /nfs/dbraw/zinc/25/23/95/958252395.db2.gz JKUHVCVTEIXFNO-ZDUSSCGKSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@@H](C)C[C@H](C(=O)[O-])C1 ZINC001588431381 958268857 /nfs/dbraw/zinc/26/88/57/958268857.db2.gz XBTSTSRPBAQYSW-AVGNSLFASA-N 0 1 278.352 0.653 20 30 CCEDMN C#CCSCC(=O)OCC[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC001588433563 958299311 /nfs/dbraw/zinc/29/93/11/958299311.db2.gz SYQKXBPKHRVOPO-SNVBAGLBSA-N 0 1 271.338 0.445 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H](O)P(=O)(OCC)OCC ZINC001573320746 958478603 /nfs/dbraw/zinc/47/86/03/958478603.db2.gz NCJHCAMQPVDTII-LLVKDONJSA-N 0 1 293.256 0.591 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H](O)P(=O)(OCC)OCC ZINC001573320746 958478609 /nfs/dbraw/zinc/47/86/09/958478609.db2.gz NCJHCAMQPVDTII-LLVKDONJSA-N 0 1 293.256 0.591 20 30 CCEDMN C#CC[N@@H+](C)CCCNc1nc(C)cc(C(=O)[O-])n1 ZINC000392787342 972521867 /nfs/dbraw/zinc/52/18/67/972521867.db2.gz CBNXYLSKIPWEOF-UHFFFAOYSA-N 0 1 262.313 0.272 20 30 CCEDMN CCC[N@@H+](CC)CCNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC001573855095 961146969 /nfs/dbraw/zinc/14/69/69/961146969.db2.gz WDCLEDJYYJTXKA-CYBMUJFWSA-N 0 1 269.345 0.839 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]2CN(C(=O)CNC(=O)[O-])CC[C@@H]21 ZINC001573302090 962644347 /nfs/dbraw/zinc/64/43/47/962644347.db2.gz PYGSILNBKRIWOC-RYUDHWBXSA-N 0 1 279.340 0.200 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)CNC(=O)[O-])CC[C@H]21 ZINC001573302091 962644480 /nfs/dbraw/zinc/64/44/80/962644480.db2.gz PYGSILNBKRIWOC-VXGBXAGGSA-N 0 1 279.340 0.200 20 30 CCEDMN C#CCC[N@@H+]1CCCCC[C@@H]1CNC(=O)CNC(=O)[O-] ZINC001573302152 962646642 /nfs/dbraw/zinc/64/66/42/962646642.db2.gz QYEGDPSACZSEKZ-GFCCVEGCSA-N 0 1 281.356 0.638 20 30 CCEDMN C[C@H](CC#N)C(=O)OC[C@@H]1CCC[N@H+]1CC(=O)[O-] ZINC001588988004 962770956 /nfs/dbraw/zinc/77/09/56/962770956.db2.gz PDVBRWTUBQFJJG-ZJUUUORDSA-N 0 1 254.286 0.628 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)[O-] ZINC000299990190 962960730 /nfs/dbraw/zinc/96/07/30/962960730.db2.gz KSDXFSLNQJKRER-NHCYSSNCSA-N 0 1 265.313 0.201 20 30 CCEDMN CC(C)(C#N)CCCC[NH+]1CCN(C(=O)C(=O)[O-])CC1 ZINC000396721937 968229847 /nfs/dbraw/zinc/22/98/47/968229847.db2.gz VJPSFBSQTASMRC-UHFFFAOYSA-N 0 1 281.356 0.935 20 30 CCEDMN COCC#CC[N@@H+]1C[C@@H](c2cnn(C)c2)C[C@H](C(=O)[O-])C1 ZINC001604505196 973433355 /nfs/dbraw/zinc/43/33/55/973433355.db2.gz QNOCSLJBVVIAQN-STQMWFEESA-N 0 1 291.351 0.560 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@@H]1CCC[C@H]1CC(=O)[O-] ZINC000405352008 973842257 /nfs/dbraw/zinc/84/22/57/973842257.db2.gz VMGACVMDNSNANG-QWHCGFSZSA-N 0 1 295.383 0.884 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC001588369238 983312460 /nfs/dbraw/zinc/31/24/60/983312460.db2.gz GUZANWGTAGZJOL-CHWSQXEVSA-N 0 1 295.383 0.979 20 30 CCEDMN C#C[C@H]([NH2+][C@H](C(=O)[O-])C1(OC)CCC1)[C@@H]1CCCO1 ZINC001588377269 983356675 /nfs/dbraw/zinc/35/66/75/983356675.db2.gz KHRHKGSGBGHCGM-SDDRHHMPSA-N 0 1 267.325 0.779 20 30 CCEDMN C#CCOCCC(=O)O[C@@H]1C[N@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001588489818 983529532 /nfs/dbraw/zinc/52/95/32/983529532.db2.gz NAGAACPMOPVVAO-GXFFZTMASA-N 0 1 269.297 0.117 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[C@H]([N@H+]2CC[C@@H](O)C2)C1 ZINC001588613765 983705066 /nfs/dbraw/zinc/70/50/66/983705066.db2.gz CVXKUGYGOKZWJH-NWDGAFQWSA-N 0 1 282.340 0.075 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[N@H+](CC)C[C@H]1C ZINC001588615013 983709486 /nfs/dbraw/zinc/70/94/86/983709486.db2.gz HJZDNLATFIZUJK-LLVKDONJSA-N 0 1 254.330 0.960 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[N@H+](C[C@H](C)O)C[C@@H]1C ZINC001588615379 983711831 /nfs/dbraw/zinc/71/18/31/983711831.db2.gz RWURYXXMMUKLFQ-RYUDHWBXSA-N 0 1 284.356 0.321 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+](C)Cc1cnc(N(C)C)nc1 ZINC001588663469 983794977 /nfs/dbraw/zinc/79/49/77/983794977.db2.gz HGCDAWYHNCHMBL-JTQLQIEISA-N 0 1 250.302 0.614 20 30 CCEDMN C=C[C@H](C(=O)[O-])n1cc(Cn2cc[nH+]c2CC)nn1 ZINC001588671476 983820400 /nfs/dbraw/zinc/82/04/00/983820400.db2.gz AXFFHZOPVYEDHO-SNVBAGLBSA-N 0 1 261.285 0.897 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](CCC(N)=O)C1 ZINC001588729619 983992088 /nfs/dbraw/zinc/99/20/88/983992088.db2.gz LTVNSIAOEQCZCK-WDEREUQCSA-N 0 1 254.330 0.993 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC001588730974 984002941 /nfs/dbraw/zinc/00/29/41/984002941.db2.gz AIWAFMJRRBEKDS-IJLUTSLNSA-N 0 1 255.314 0.896 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCO[C@@H]([C@H]2CCCO2)C1 ZINC001588730974 984002948 /nfs/dbraw/zinc/00/29/48/984002948.db2.gz AIWAFMJRRBEKDS-IJLUTSLNSA-N 0 1 255.314 0.896 20 30 CCEDMN C=CC[C@@H](C(=O)OC)[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588731702 984010969 /nfs/dbraw/zinc/01/09/69/984010969.db2.gz NILJIBWRAMUNQD-ONGXEEELSA-N 0 1 294.311 0.341 20 30 CCEDMN C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588731702 984010980 /nfs/dbraw/zinc/01/09/80/984010980.db2.gz NILJIBWRAMUNQD-ONGXEEELSA-N 0 1 294.311 0.341 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CC[N@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588742286 984031915 /nfs/dbraw/zinc/03/19/15/984031915.db2.gz AFTZPIYCYMTKHZ-SECBINFHSA-N 0 1 276.283 0.673 20 30 CCEDMN C=CCCC[C@@H](NC(=O)C1CN(C)CC[N@@H+](C)C1)C(=O)[O-] ZINC001588789499 984198309 /nfs/dbraw/zinc/19/83/09/984198309.db2.gz CXLWFQXFYYOVDJ-CYBMUJFWSA-N 0 1 297.399 0.406 20 30 CCEDMN C=CCCC[NH+]1CC(CCO)(NC(=O)[C@@H]2C[C@H]2C(=O)[O-])C1 ZINC001588801475 984245377 /nfs/dbraw/zinc/24/53/77/984245377.db2.gz MJNYAERZBUOEQR-VXGBXAGGSA-N 0 1 296.367 0.226 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@H](C)[C@@](C)(C(=O)[O-])C1 ZINC001588844088 984355895 /nfs/dbraw/zinc/35/58/95/984355895.db2.gz BJPCFVAMVOURTN-BHDSKKPTSA-N 0 1 297.355 0.429 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588930980 984600597 /nfs/dbraw/zinc/60/05/97/984600597.db2.gz HQRLGIOPQARHHK-STQMWFEESA-N 0 1 276.336 0.479 20 30 CCEDMN CC#CC[N@@H+]1CCCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588930963 984600782 /nfs/dbraw/zinc/60/07/82/984600782.db2.gz GUAPQZVJXFZPOE-NEPJUHHUSA-N 0 1 264.325 0.265 20 30 CCEDMN CC#CC[N@H+]1CCCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588930963 984600784 /nfs/dbraw/zinc/60/07/84/984600784.db2.gz GUAPQZVJXFZPOE-NEPJUHHUSA-N 0 1 264.325 0.265 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2cc(C(=O)[O-])ccc2O)N1 ZINC001594585288 986476153 /nfs/dbraw/zinc/47/61/53/986476153.db2.gz XWSJHLJKWQOLDZ-SSDOTTSWSA-N 0 1 262.269 0.362 20 30 CCEDMN C[C@H](C(=O)NCC(=O)[O-])[N@H+](C)Cc1ccc(C#N)cc1 ZINC001589398028 986546009 /nfs/dbraw/zinc/54/60/09/986546009.db2.gz ZHYBUPQEKNHJPF-SNVBAGLBSA-N 0 1 275.308 0.579 20 30 CCEDMN C[C@H](C(=O)NCC(=O)[O-])[N@@H+](C)Cc1ccc(C#N)cc1 ZINC001589398028 986546015 /nfs/dbraw/zinc/54/60/15/986546015.db2.gz ZHYBUPQEKNHJPF-SNVBAGLBSA-N 0 1 275.308 0.579 20 30 CCEDMN CC(C)C[N@@H+](CCC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001591331420 992303090 /nfs/dbraw/zinc/30/30/90/992303090.db2.gz JOQICBHBKBWZMT-UHFFFAOYSA-N 0 1 281.356 0.982 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)CC(C)(C)C#N)C[C@@H]1C(=O)[O-] ZINC001598572254 993216394 /nfs/dbraw/zinc/21/63/94/993216394.db2.gz HYGNQURLYJWVAN-DTWKUNHWSA-N 0 1 254.286 0.627 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](CC2(CC#N)CC2)C[C@@H]1C(=O)[O-] ZINC001598818698 996299516 /nfs/dbraw/zinc/29/95/16/996299516.db2.gz BUCJITPWRZWYII-VHSXEESVSA-N 0 1 266.297 0.486 20 30 CCEDMN COC[C@@]1(C)CN(CCC(=O)N(C)CCC#N)CCO1 ZINC000599692849 361789856 /nfs/dbraw/zinc/78/98/56/361789856.db2.gz DTNNFXXAYIFATB-CQSZACIVSA-N 0 1 283.372 0.486 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)NCCN1CCC1 ZINC000337563659 214158145 /nfs/dbraw/zinc/15/81/45/214158145.db2.gz AGFDXJDHSOZTOS-UHFFFAOYSA-N 0 1 289.335 0.768 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC(N3CCOCC3)CC2)[nH]1 ZINC000600105309 361869448 /nfs/dbraw/zinc/86/94/48/361869448.db2.gz KFSCSXXAWNZABN-UHFFFAOYSA-N 0 1 288.351 0.823 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(C(=O)[C@H](C)C#N)C1 ZINC000600322040 361930440 /nfs/dbraw/zinc/93/04/40/361930440.db2.gz DBIXWVNGSWRBMO-OPRDCNLKSA-N 0 1 282.296 0.099 20 30 CCEDMN N#Cc1cnc(C(=O)NCCN2CC[C@@H](O)C2)c(Cl)c1 ZINC000600530946 362005394 /nfs/dbraw/zinc/00/53/94/362005394.db2.gz CNTAJYHIEITPOM-SNVBAGLBSA-N 0 1 294.742 0.403 20 30 CCEDMN CC(C)[C@@H](C#N)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000600666830 362028015 /nfs/dbraw/zinc/02/80/15/362028015.db2.gz GMMGHZKCRDTHMA-SSDOTTSWSA-N 0 1 287.301 0.155 20 30 CCEDMN CCC[C@H](C#N)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000600667388 362028116 /nfs/dbraw/zinc/02/81/16/362028116.db2.gz BXLOBWFORHTDKC-SSDOTTSWSA-N 0 1 287.301 0.299 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2c(Br)cnn2C)N1 ZINC000782269106 581294537 /nfs/dbraw/zinc/29/45/37/581294537.db2.gz UCGFAACDNPVANG-LURJTMIESA-N 0 1 285.149 0.454 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2n[nH]cc2C(F)(F)F)CCO1 ZINC000601039634 362138654 /nfs/dbraw/zinc/13/86/54/362138654.db2.gz FNRGAMACGDLCOO-ZCFIWIBFSA-N 0 1 274.202 0.793 20 30 CCEDMN Cc1cc(C)c(Cn2c(=O)[n-]cc(C#N)c2=O)c(C)[nH+]1 ZINC000601083189 362145996 /nfs/dbraw/zinc/14/59/96/362145996.db2.gz ABOCUQOJMFFHKE-UHFFFAOYSA-N 0 1 270.292 0.777 20 30 CCEDMN CN(Cc1nnc[nH]1)c1cc(C#N)nc(C2CC2)n1 ZINC000601120100 362152999 /nfs/dbraw/zinc/15/29/99/362152999.db2.gz FEYFRLSPJNDRRU-UHFFFAOYSA-N 0 1 255.285 0.980 20 30 CCEDMN CN(C)c1cnc(C(=O)NC[C@@H]2CN(C)CCN2C)cn1 ZINC000329119224 529800744 /nfs/dbraw/zinc/80/07/44/529800744.db2.gz VHPJUSLPKISWBP-LLVKDONJSA-N 0 1 292.387 0.093 20 30 CCEDMN CN1CC[C@H](N2CCC(NC(=O)[C@@H]3CCCO3)CC2)C1=O ZINC000329195032 529805128 /nfs/dbraw/zinc/80/51/28/529805128.db2.gz TYXYZHVPDOMIFI-STQMWFEESA-N 0 1 295.383 0.817 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)C(=O)OC ZINC000601781687 362389143 /nfs/dbraw/zinc/38/91/43/362389143.db2.gz LADZESJNMQPRCQ-KOLCDFICSA-N 0 1 292.339 0.849 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@@H](C)CN1CCOCC1)C(=O)OC ZINC000601787127 362394309 /nfs/dbraw/zinc/39/43/09/362394309.db2.gz JYWMKZFOEBSESH-STQMWFEESA-N 0 1 298.383 0.579 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N[C@@H]1CCCn2nccc21 ZINC000601941817 362431198 /nfs/dbraw/zinc/43/11/98/362431198.db2.gz PUFMKGQCMOMYFT-WDEREUQCSA-N 0 1 291.355 0.708 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)N2C[C@@H]3COC[C@@H]3C2)cc1 ZINC000601973305 362443817 /nfs/dbraw/zinc/44/38/17/362443817.db2.gz JTSUUIYUBJWBSN-HZSPNIEDSA-N 0 1 271.320 0.663 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)[C@@H]1CCC[C@H]1O ZINC000352658111 282356734 /nfs/dbraw/zinc/35/67/34/282356734.db2.gz CYXPKSZXLPUDII-YRGRVCCFSA-N 0 1 265.357 0.640 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H](C(N)=O)c2ccccc2)[nH]1 ZINC000617995362 365865120 /nfs/dbraw/zinc/86/51/20/365865120.db2.gz XUHJBLVIZXTAPI-LLVKDONJSA-N 0 1 298.306 0.062 20 30 CCEDMN CCN1C(=O)[C@H]2CN(CC3(CC#N)CC3)CCN2C1=O ZINC000602782304 362797663 /nfs/dbraw/zinc/79/76/63/362797663.db2.gz WWYYIZXCRWEHJN-LLVKDONJSA-N 0 1 276.340 0.649 20 30 CCEDMN C[C@@H]1CN(C[C@H]2CCc3ncnn32)C[C@H](C)N1CC#N ZINC000602850823 362837596 /nfs/dbraw/zinc/83/75/96/362837596.db2.gz SOCBQXHONMPKMM-FRRDWIJNSA-N 0 1 274.372 0.684 20 30 CCEDMN C[C@@H]1CN(C[C@@H]2CCc3ncnn32)C[C@H](C)N1CC#N ZINC000602850832 362837679 /nfs/dbraw/zinc/83/76/79/362837679.db2.gz SOCBQXHONMPKMM-XQQFMLRXSA-N 0 1 274.372 0.684 20 30 CCEDMN C[C@@H](CC#N)NC[C@@H](O)CN1C(=O)c2ccccc2C1=O ZINC000602862227 362846434 /nfs/dbraw/zinc/84/64/34/362846434.db2.gz JFGIPKKDGJLPCC-WDEREUQCSA-N 0 1 287.319 0.535 20 30 CCEDMN C[C@@H]1CN(CCC(=O)N(C)CCC#N)C[C@@H](C)O1 ZINC000034673922 348151476 /nfs/dbraw/zinc/15/14/76/348151476.db2.gz SIXWBLJVRUZTDU-VXGBXAGGSA-N 0 1 253.346 0.858 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC(C3(O)CC3)CC2)[nH]1 ZINC000602884378 362863318 /nfs/dbraw/zinc/86/33/18/362863318.db2.gz BLGAOZFMRJKVCE-UHFFFAOYSA-N 0 1 289.339 0.482 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@H]2C(F)F)[nH]1 ZINC000602880856 362860488 /nfs/dbraw/zinc/86/04/88/362860488.db2.gz NKXFYZROPOLJHR-ZETCQYMHSA-N 0 1 255.228 0.585 20 30 CCEDMN N#CCSCC(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000042392863 348278175 /nfs/dbraw/zinc/27/81/75/348278175.db2.gz BHTAGYIKNQQSQM-CYBMUJFWSA-N 0 1 297.424 0.956 20 30 CCEDMN Cn1cc(C(=O)NC[C@H]2CCCN2CCCC#N)cn1 ZINC000602940482 362900536 /nfs/dbraw/zinc/90/05/36/362900536.db2.gz GLMCMQHODQURMR-CYBMUJFWSA-N 0 1 275.356 0.918 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](C(=O)NCC2CC2)C1 ZINC000047980999 348394792 /nfs/dbraw/zinc/39/47/92/348394792.db2.gz RREUIOMUNLNYOD-CYBMUJFWSA-N 0 1 279.384 0.527 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(C)=O)CC1 ZINC000050991697 348482550 /nfs/dbraw/zinc/48/25/50/348482550.db2.gz NIFCWOGWYUUPHT-SNVBAGLBSA-N 0 1 253.346 0.278 20 30 CCEDMN C=C(Cl)CNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000077792070 349121717 /nfs/dbraw/zinc/12/17/17/349121717.db2.gz HIDQRMTXECBIAZ-LLVKDONJSA-N 0 1 274.796 0.674 20 30 CCEDMN C[C@H](O)[C@H]1CCCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000089927321 349413139 /nfs/dbraw/zinc/41/31/39/349413139.db2.gz MUSCXROKACJAKJ-KBPBESRZSA-N 0 1 292.383 0.735 20 30 CCEDMN CC[C@H](O)CN1CCN(c2cnc(C#N)cn2)CC1 ZINC000124980749 350112283 /nfs/dbraw/zinc/11/22/83/350112283.db2.gz HYQWQBDPWAKITE-LBPRGKRZSA-N 0 1 261.329 0.241 20 30 CCEDMN N#Cc1ccc(CNCCC(=O)N2CCOCC2)o1 ZINC000135157412 350386671 /nfs/dbraw/zinc/38/66/71/350386671.db2.gz NHEUDBBAQPGAAB-UHFFFAOYSA-N 0 1 263.297 0.490 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H](C(C)C)N1CC[NH+](C)CC1 ZINC000138451172 350440990 /nfs/dbraw/zinc/44/09/90/350440990.db2.gz OGALOJRCXRBRPY-HNNXBMFYSA-N 0 1 297.443 0.967 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000138451172 350440994 /nfs/dbraw/zinc/44/09/94/350440994.db2.gz OGALOJRCXRBRPY-HNNXBMFYSA-N 0 1 297.443 0.967 20 30 CCEDMN CCOCC[C@H](O)CN1CCN([C@H](C#N)C(C)C)CC1 ZINC000618289742 365974128 /nfs/dbraw/zinc/97/41/28/365974128.db2.gz UTZAYTVRPXEPAJ-LSDHHAIUSA-N 0 1 283.416 0.940 20 30 CCEDMN CNC(=O)CCCN(C)CC(=O)Nc1ccc(C#N)cc1 ZINC000154657683 350595986 /nfs/dbraw/zinc/59/59/86/350595986.db2.gz ZMWRJXVLJKZOFH-UHFFFAOYSA-N 0 1 288.351 0.955 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1ccccc1C#N ZINC000168807597 350831591 /nfs/dbraw/zinc/83/15/91/350831591.db2.gz JFCRHCJOTLBTPC-JTQLQIEISA-N 0 1 267.354 0.787 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)Cc1ccc(C#N)cc1 ZINC000168807815 350831872 /nfs/dbraw/zinc/83/18/72/350831872.db2.gz KRWQANXNKOMGDT-NSHDSACASA-N 0 1 281.381 0.928 20 30 CCEDMN C[C@](C#N)(NC(=O)Cn1cc(CNC2CC2)nn1)C1CC1 ZINC000603182331 362987188 /nfs/dbraw/zinc/98/71/88/362987188.db2.gz NJZMRPPQRUOAEQ-CQSZACIVSA-N 0 1 288.355 0.339 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1C[C@@H](O)C[C@H]1CO ZINC000186489791 351498524 /nfs/dbraw/zinc/49/85/24/351498524.db2.gz FMPNEDXZNUNACH-AGIUHOORSA-N 0 1 268.357 0.003 20 30 CCEDMN N#Cc1cc(C(=O)NCCN2CCCOCC2)c[nH]1 ZINC000187656692 351550559 /nfs/dbraw/zinc/55/05/59/351550559.db2.gz BVLCLPJVQICESH-UHFFFAOYSA-N 0 1 262.313 0.338 20 30 CCEDMN C#CCN1CCC(C(=O)NOC[C@H]2CCOC2)CC1 ZINC000188303857 351587079 /nfs/dbraw/zinc/58/70/79/351587079.db2.gz ADTALFPAQZUDFM-LBPRGKRZSA-N 0 1 266.341 0.416 20 30 CCEDMN C=CCOCCCNC(=O)CN1CCN(CC)CC1 ZINC000618523288 366037572 /nfs/dbraw/zinc/03/75/72/366037572.db2.gz BTKYVVSQFRPSEG-UHFFFAOYSA-N 0 1 269.389 0.333 20 30 CCEDMN C[C@@H](CNC(=O)c1ccnc(C#N)c1)N1CCN(C)CC1 ZINC000193253353 351818755 /nfs/dbraw/zinc/81/87/55/351818755.db2.gz AKSGVGLTEBALSL-LBPRGKRZSA-N 0 1 287.367 0.319 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)s2)[C@@H](C)CN1 ZINC000230331701 352111539 /nfs/dbraw/zinc/11/15/39/352111539.db2.gz GKZCVCUSYJOTBN-BDAKNGLRSA-N 0 1 285.394 0.991 20 30 CCEDMN CC[C@H]1CN(C(=O)c2c[nH]c(C#N)c2)CCN1C[C@H](C)O ZINC000245652749 352332938 /nfs/dbraw/zinc/33/29/38/352332938.db2.gz BVAQHJWKHMIJFA-FZMZJTMJSA-N 0 1 290.367 0.804 20 30 CCEDMN N#Cc1ccnc(N2CCN(C(=O)Cc3ccn[nH]3)CC2)c1 ZINC000266509532 352707528 /nfs/dbraw/zinc/70/75/28/352707528.db2.gz BCKMRCJKGBWMPB-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@](C)(C(=O)OC)C1 ZINC000268313248 352824220 /nfs/dbraw/zinc/82/42/20/352824220.db2.gz CIDHHPLAUCHEIB-YGRLFVJLSA-N 0 1 297.355 0.272 20 30 CCEDMN C[C@@H](OCC1CC1)C(=O)NC1(C#N)CCN(C)CC1 ZINC000604446202 363197011 /nfs/dbraw/zinc/19/70/11/363197011.db2.gz HVUXROHGHTYHBI-LLVKDONJSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)CC(C)(CO)CO ZINC000270356438 352924638 /nfs/dbraw/zinc/92/46/38/352924638.db2.gz VPYQRKTWWJRBDB-CYBMUJFWSA-N 0 1 284.400 0.498 20 30 CCEDMN C[C@H]1CN(c2cc(C#N)ccn2)[C@@H](C)CN1CCO ZINC000419006311 234285522 /nfs/dbraw/zinc/28/55/22/234285522.db2.gz PXEFVFAWAXKQTP-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN Cc1cc(C#N)nc(N2C[C@@H](C)N(CCO)C[C@H]2C)n1 ZINC000419009648 234287750 /nfs/dbraw/zinc/28/77/50/234287750.db2.gz XNDPXPMRFGLLPA-VXGBXAGGSA-N 0 1 275.356 0.548 20 30 CCEDMN C=CCNC(=O)CNC(C)(C)C(=O)NCC1CCCC1 ZINC000155212826 188191092 /nfs/dbraw/zinc/19/10/92/188191092.db2.gz NBPCXNPPOCYHIV-UHFFFAOYSA-N 0 1 281.400 0.963 20 30 CCEDMN C[C@H](O)CN1CCN(c2cccc(C#N)n2)[C@H](C)C1 ZINC000277828724 353268646 /nfs/dbraw/zinc/26/86/46/353268646.db2.gz QYZGYVDNGMXUIZ-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](C(=O)OCC)C1 ZINC000155919546 188235778 /nfs/dbraw/zinc/23/57/78/188235778.db2.gz FRMPLQUQIVJIMC-VXGBXAGGSA-N 0 1 266.341 0.399 20 30 CCEDMN CC[C@H](O)CN1CCN(c2nc(C)cc(C#N)n2)CC1 ZINC000281103040 353389887 /nfs/dbraw/zinc/38/98/87/353389887.db2.gz FNZPZIRETKPARI-ZDUSSCGKSA-N 0 1 275.356 0.550 20 30 CCEDMN Cc1cc(C#N)nc(NCCN2CCCOCC2)n1 ZINC000286694063 353604009 /nfs/dbraw/zinc/60/40/09/353604009.db2.gz OCNAOJJXZABLSV-UHFFFAOYSA-N 0 1 261.329 0.791 20 30 CCEDMN COCC(=O)N1CCN(C[C@@H](C)CCC#N)CC1 ZINC000618886987 366113902 /nfs/dbraw/zinc/11/39/02/366113902.db2.gz CCZNDSLZBARIIH-LBPRGKRZSA-N 0 1 253.346 0.717 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](CC(C)(C)C(N)=O)C1 ZINC000295721827 353914614 /nfs/dbraw/zinc/91/46/14/353914614.db2.gz VIXUVTCRUAAXTB-CHWSQXEVSA-N 0 1 293.411 0.738 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)CC(=O)OC)CC1 ZINC000299435738 354016234 /nfs/dbraw/zinc/01/62/34/354016234.db2.gz ALRHCVUVAKXCDM-UHFFFAOYSA-N 0 1 266.341 0.353 20 30 CCEDMN CC(=O)NCCN(C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000308295904 354183413 /nfs/dbraw/zinc/18/34/13/354183413.db2.gz JYUOAVOHMSWWAX-CQSZACIVSA-N 0 1 291.351 0.366 20 30 CCEDMN Cc1cc(NC[C@H]2CN(C)CCN2C)cc(C#N)n1 ZINC000308277920 354183692 /nfs/dbraw/zinc/18/36/92/354183692.db2.gz LRDSIHBTWHVJIU-AWEZNQCLSA-N 0 1 259.357 0.919 20 30 CCEDMN Cn1cccc(N/C([O-])=N/[C@@H]2CCc3[nH+]c[nH]c3C2)c1=O ZINC000329777766 354260369 /nfs/dbraw/zinc/26/03/69/354260369.db2.gz KQNCCAKPQKEIRL-SECBINFHSA-N 0 1 287.323 0.992 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N1CCC[C@H]1CO ZINC000330284820 354316269 /nfs/dbraw/zinc/31/62/69/354316269.db2.gz YALUZPKURCYLJQ-MNOVXSKESA-N 0 1 264.329 0.569 20 30 CCEDMN COc1cc(CN2CCn3c(n[nH]c3=O)C2)ccc1C#N ZINC000332624048 354706566 /nfs/dbraw/zinc/70/65/66/354706566.db2.gz NJEFEXCBWRAJLO-UHFFFAOYSA-N 0 1 285.307 0.880 20 30 CCEDMN CS(=O)(=O)NCCNC1(c2cccc(C#N)c2)CC1 ZINC000271763355 191311561 /nfs/dbraw/zinc/31/15/61/191311561.db2.gz IEMKAPFMGOKDKN-UHFFFAOYSA-N 0 1 279.365 0.686 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCCC[C@H]1CC(N)=O ZINC000339137960 355493732 /nfs/dbraw/zinc/49/37/32/355493732.db2.gz WIGZHGKEFJZLFN-OLZOCXBDSA-N 0 1 294.399 0.724 20 30 CCEDMN CCN(CCO)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000343456677 355771024 /nfs/dbraw/zinc/77/10/24/355771024.db2.gz YMXTXQROKDQYBO-YPMHNXCESA-N 0 1 255.362 0.744 20 30 CCEDMN C[C@H]1[C@H](NS(=O)(=O)N(C)CCC#N)CCCN1C ZINC000343976696 355780868 /nfs/dbraw/zinc/78/08/68/355780868.db2.gz JMPFDVRHFKLUHL-WDEREUQCSA-N 0 1 274.390 0.149 20 30 CCEDMN COCCN(C)CCNCc1nc(C#N)cs1 ZINC000352852508 356096470 /nfs/dbraw/zinc/09/64/70/356096470.db2.gz KKYLPBDBXQWSBO-UHFFFAOYSA-N 0 1 254.359 0.683 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)Cc1noc(C)c1C#N ZINC000354372893 356401158 /nfs/dbraw/zinc/40/11/58/356401158.db2.gz PVQXREORCVAPOI-UHFFFAOYSA-N 0 1 259.269 0.745 20 30 CCEDMN N#Cc1cccc(-c2c[nH]c(CNC(=O)c3nnc[nH]3)n2)c1 ZINC000354806677 356503546 /nfs/dbraw/zinc/50/35/46/356503546.db2.gz COEMLFAGDOOYPV-UHFFFAOYSA-N 0 1 293.290 0.996 20 30 CCEDMN N#Cc1cccc(-c2c[nH]c(CNC(=O)c3nnc[n-]3)[nH+]2)c1 ZINC000354806677 356503549 /nfs/dbraw/zinc/50/35/49/356503549.db2.gz COEMLFAGDOOYPV-UHFFFAOYSA-N 0 1 293.290 0.996 20 30 CCEDMN CN1CCC[C@H](NC(=O)N2CCO[C@H](C#N)C2)C1 ZINC000609282938 363486499 /nfs/dbraw/zinc/48/64/99/363486499.db2.gz GPSJBKVSIIHTTN-WDEREUQCSA-N 0 1 252.318 0.015 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2ccc(C#N)cn2)C1 ZINC000609347571 363492977 /nfs/dbraw/zinc/49/29/77/363492977.db2.gz PAXJQUIDCJKOLN-LBPRGKRZSA-N 0 1 274.324 0.404 20 30 CCEDMN CO[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)[C@@H](C)C1 ZINC000619662357 366315515 /nfs/dbraw/zinc/31/55/15/366315515.db2.gz CNFNZPYLGWKXJS-ONGXEEELSA-N 0 1 277.328 0.745 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)N[C@@H]1CCCN(C)C1 ZINC000619708225 366330831 /nfs/dbraw/zinc/33/08/31/366330831.db2.gz MGKPYSQLTRXKKZ-VXGBXAGGSA-N 0 1 288.417 0.397 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCN(C(C)(C)CO)CC1 ZINC000610481019 363565470 /nfs/dbraw/zinc/56/54/70/363565470.db2.gz DJBDSYSITGDBNL-CYBMUJFWSA-N 0 1 296.415 0.135 20 30 CCEDMN CSCCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610527931 363572501 /nfs/dbraw/zinc/57/25/01/363572501.db2.gz AJUCCAOTQKRLQX-UHFFFAOYSA-N 0 1 253.331 0.588 20 30 CCEDMN Cc1csc(CCNC(=O)N=c2[nH]n(C)cc2C#N)n1 ZINC000610564984 363579782 /nfs/dbraw/zinc/57/97/82/363579782.db2.gz XTXGIPVVTJCKPP-UHFFFAOYSA-N 0 1 290.352 0.843 20 30 CCEDMN C[C@H]1CN(CCO)CCN1Cc1cnc2ccc(C#N)cn12 ZINC000449786947 236052257 /nfs/dbraw/zinc/05/22/57/236052257.db2.gz RIROYTFPKYJTND-ZDUSSCGKSA-N 0 1 299.378 0.704 20 30 CCEDMN CN1CCN(C(=O)CNCc2ccc(C#N)c(F)c2)CC1 ZINC000404161349 357816641 /nfs/dbraw/zinc/81/66/41/357816641.db2.gz QKYNPDKUDCIHBV-UHFFFAOYSA-N 0 1 290.342 0.561 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N(C)Cc1cnc[nH]1 ZINC000573625355 358985915 /nfs/dbraw/zinc/98/59/15/358985915.db2.gz GLKRIPNBJMGFTH-UHFFFAOYSA-N 0 1 271.346 0.322 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N1CC[C@@](F)(C#N)C1 ZINC000589290492 359376531 /nfs/dbraw/zinc/37/65/31/359376531.db2.gz IBWBZFFIOJGFAX-LLVKDONJSA-N 0 1 277.365 0.596 20 30 CCEDMN C[C@@H]1CSC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000588258683 359320078 /nfs/dbraw/zinc/32/00/78/359320078.db2.gz BLAPRMMQTUBDKH-VXNVDRBHSA-N 0 1 265.342 0.587 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCc2cn[nH]c2C1 ZINC000611008676 363648291 /nfs/dbraw/zinc/64/82/91/363648291.db2.gz GQWZUEQYFLUEGD-NSHDSACASA-N 0 1 275.356 0.776 20 30 CCEDMN N#CCN1CCC(N=c2[nH]c(C(N)=O)cs2)CC1 ZINC000590080837 359421443 /nfs/dbraw/zinc/42/14/43/359421443.db2.gz NSPXBBHSRIUVBJ-UHFFFAOYSA-N 0 1 265.342 0.064 20 30 CCEDMN CC[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@H]1CCCO1 ZINC000611127431 363661789 /nfs/dbraw/zinc/66/17/89/363661789.db2.gz DPVOMLQDILNVQY-WDEREUQCSA-N 0 1 277.328 0.793 20 30 CCEDMN CC[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@H](O)C(C)C ZINC000591338186 359579616 /nfs/dbraw/zinc/57/96/16/359579616.db2.gz UPUZRFYGDHSWLE-GHMZBOCLSA-N 0 1 279.344 0.631 20 30 CCEDMN N#Cc1cncc(N2CCN([C@H]3CCC[C@H]3O)CC2)n1 ZINC000591907719 359688940 /nfs/dbraw/zinc/68/89/40/359688940.db2.gz HQMPAXWYHHMXFL-QWHCGFSZSA-N 0 1 273.340 0.384 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@@H](O)COc2ccccc2F)C1 ZINC000592148527 359767553 /nfs/dbraw/zinc/76/75/53/359767553.db2.gz WEDSTUGHIAWVBC-IUODEOHRSA-N 0 1 294.326 0.916 20 30 CCEDMN Cc1noc(CCCN2CC[C@@](O)(CC#N)C2)n1 ZINC000592150405 359769571 /nfs/dbraw/zinc/76/95/71/359769571.db2.gz BSJHRJFHTNQIGN-LBPRGKRZSA-N 0 1 250.302 0.661 20 30 CCEDMN C[C@@H]1[C@H](O)CCN1Cc1cnc2c(C#N)cnn2c1 ZINC000592515084 359868359 /nfs/dbraw/zinc/86/83/59/359868359.db2.gz OOWNRDNLBXXTBG-BXKDBHETSA-N 0 1 257.297 0.556 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cnc(C)cn2)[nH]n1C ZINC000592645652 359907875 /nfs/dbraw/zinc/90/78/75/359907875.db2.gz DKKPSRLANVXNED-UHFFFAOYSA-N 0 1 256.269 0.373 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC000592852436 359956212 /nfs/dbraw/zinc/95/62/12/359956212.db2.gz JPMMJPOZYGSZKS-JTQLQIEISA-N 0 1 288.229 0.919 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N1CC[C@](O)(CC#N)C1 ZINC000592843513 359951108 /nfs/dbraw/zinc/95/11/08/359951108.db2.gz QFTAJQZMVZBKGC-TZMCWYRMSA-N 0 1 265.357 0.738 20 30 CCEDMN N#Cc1cnc(N2CCN(Cc3nnc[nH]3)CC2)c(F)c1 ZINC000593508550 360102038 /nfs/dbraw/zinc/10/20/38/360102038.db2.gz RTXGNNYSZQEAMS-UHFFFAOYSA-N 0 1 287.302 0.533 20 30 CCEDMN CC(C)n1cnc(=NC(=O)c2cc(C#N)cn2C)[nH]1 ZINC000593234232 360054747 /nfs/dbraw/zinc/05/47/47/360054747.db2.gz RQLIACJHIDYBJJ-UHFFFAOYSA-N 0 1 258.285 0.743 20 30 CCEDMN C=CCN1CCC(NC(=O)N2C[C@@H]3C[C@H]2C[S@]3=O)CC1 ZINC000593339888 360069046 /nfs/dbraw/zinc/06/90/46/360069046.db2.gz SIVZWJWSRHOXBP-KQHSUYLTSA-N 0 1 297.424 0.552 20 30 CCEDMN Cc1ocnc1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611388774 363712810 /nfs/dbraw/zinc/71/28/10/363712810.db2.gz FNBNVKHONOVNBR-UHFFFAOYSA-N 0 1 260.257 0.332 20 30 CCEDMN N#Cc1cc([O-])cc(C(=O)N2CC[N@@H+]3C[C@H](O)C[C@H]3C2)c1 ZINC000594394616 360291556 /nfs/dbraw/zinc/29/15/56/360291556.db2.gz YOOFFXFRHBZYDL-GXTWGEPZSA-N 0 1 287.319 0.155 20 30 CCEDMN N#Cc1cc([O-])cc(C(=O)N2CC[N@H+]3C[C@H](O)C[C@H]3C2)c1 ZINC000594394616 360291560 /nfs/dbraw/zinc/29/15/60/360291560.db2.gz YOOFFXFRHBZYDL-GXTWGEPZSA-N 0 1 287.319 0.155 20 30 CCEDMN CC(C)(CO)N1CCN(c2ccc(C#N)c(N)n2)CC1 ZINC000594556847 360331216 /nfs/dbraw/zinc/33/12/16/360331216.db2.gz TXMLWILNKICWTB-UHFFFAOYSA-N 0 1 275.356 0.428 20 30 CCEDMN N#Cc1cnccc1NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000594589512 360335664 /nfs/dbraw/zinc/33/56/64/360335664.db2.gz LSMFGHPHMDBFKR-LLVKDONJSA-N 0 1 270.296 0.957 20 30 CCEDMN N#Cc1cc(NCc2n[nH]c([C@@H]3CCCO3)n2)ccn1 ZINC000594589804 360336810 /nfs/dbraw/zinc/33/68/10/360336810.db2.gz PDUKDBOQTZYWSE-NSHDSACASA-N 0 1 270.296 0.957 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@@H]2CC[C@@H](C[NH3+])C2)o1 ZINC000595109719 360437947 /nfs/dbraw/zinc/43/79/47/360437947.db2.gz JYRZGIFSDDRRAQ-RKDXNWHRSA-N 0 1 269.326 0.557 20 30 CCEDMN COC[C@H](CN1CCN(c2cccc(C#N)n2)CC1)OC ZINC000595434482 360531422 /nfs/dbraw/zinc/53/14/22/360531422.db2.gz BTXBHUKDBKXYCF-AWEZNQCLSA-N 0 1 290.367 0.737 20 30 CCEDMN CCCN1CC[C@H](NS(=O)(=O)N(C)C[C@@H](C)C#N)C1 ZINC000595357492 360506803 /nfs/dbraw/zinc/50/68/03/360506803.db2.gz ZVSHAXPZSSJBHZ-RYUDHWBXSA-N 0 1 288.417 0.397 20 30 CCEDMN CCCN1CC[C@@H](NS(=O)(=O)N(C)CCC#N)C1 ZINC000595356859 360507000 /nfs/dbraw/zinc/50/70/00/360507000.db2.gz VBDQJHTXSFFEGI-LLVKDONJSA-N 0 1 274.390 0.151 20 30 CCEDMN N#Cc1ccncc1N1CCN(C[C@@H]2CNC(=O)C2)CC1 ZINC000595500669 360552873 /nfs/dbraw/zinc/55/28/73/360552873.db2.gz GKXRFFNTZNYFKQ-LBPRGKRZSA-N 0 1 285.351 0.211 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N2CC[C@](C)(C#N)C2)C1 ZINC000595498568 360551354 /nfs/dbraw/zinc/55/13/54/360551354.db2.gz FHDXSIFERRUCKJ-GXTWGEPZSA-N 0 1 279.388 0.177 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@@H]3COC[C@@H]3C2)CCC1 ZINC000595828580 360669727 /nfs/dbraw/zinc/66/97/27/360669727.db2.gz XZUHWCNSTZNQKG-NEPJUHHUSA-N 0 1 263.341 0.517 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC2(COC2)C1 ZINC000595832126 360671566 /nfs/dbraw/zinc/67/15/66/360671566.db2.gz BYZRHTZNYWGQKZ-LBPRGKRZSA-N 0 1 265.357 0.717 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N1CCCc2[nH]ncc2C1 ZINC000596005810 360773179 /nfs/dbraw/zinc/77/31/79/360773179.db2.gz MKTATGQGYPFPEQ-JTQLQIEISA-N 0 1 297.384 0.637 20 30 CCEDMN C[C@H](CNc1c(C#N)cnn1C)N1CCN(C)CC1 ZINC000596103282 360795229 /nfs/dbraw/zinc/79/52/29/360795229.db2.gz CORUFONFFNSGOC-LLVKDONJSA-N 0 1 262.361 0.340 20 30 CCEDMN N#CCC1(CNC(=O)NCCCc2nc[nH]n2)CC1 ZINC000596587125 360925929 /nfs/dbraw/zinc/92/59/29/360925929.db2.gz ILENQNWLZXOMFG-UHFFFAOYSA-N 0 1 262.317 0.730 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000597170889 361022649 /nfs/dbraw/zinc/02/26/49/361022649.db2.gz UTZUNNGRTMPIGQ-JHJVBQTASA-N 0 1 265.357 0.594 20 30 CCEDMN CO[C@@]1(C)C[C@H]1NCc1cnc2c(C#N)cnn2c1 ZINC000597234500 361039176 /nfs/dbraw/zinc/03/91/76/361039176.db2.gz AOWCNYWFZAZDDI-YPMHNXCESA-N 0 1 257.297 0.868 20 30 CCEDMN N#CCCCCCC(=O)NC[C@H]1CN2CCN1CCC2 ZINC000597625808 361166834 /nfs/dbraw/zinc/16/68/34/361166834.db2.gz QTEJFPQGHFUQFW-AWEZNQCLSA-N 0 1 278.400 0.967 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CN3CCN2CCC3)ccn1 ZINC000597625942 361166964 /nfs/dbraw/zinc/16/69/64/361166964.db2.gz RPUUDKHXOCZQPZ-AWEZNQCLSA-N 0 1 285.351 0.073 20 30 CCEDMN CC(C#N)C(=O)N1CCOC[C@@H]1C(=O)OC(C)(C)C ZINC000597834952 361263009 /nfs/dbraw/zinc/26/30/09/361263009.db2.gz RZMFXKRWWPXMIU-VHSXEESVSA-N 0 1 268.313 0.715 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)NCC(C)(C)C#N)[nH]1 ZINC000611621272 363750560 /nfs/dbraw/zinc/75/05/60/363750560.db2.gz HGDIGQJNFPLPTC-UHFFFAOYSA-N 0 1 278.360 0.538 20 30 CCEDMN CCN1CCN(CC(=O)N2CC[C@@](C)(C#N)C2)CC1 ZINC000598409122 361405866 /nfs/dbraw/zinc/40/58/66/361405866.db2.gz OPIJZGURAHMWRA-AWEZNQCLSA-N 0 1 264.373 0.386 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@H]1CO ZINC000598646752 361470850 /nfs/dbraw/zinc/47/08/50/361470850.db2.gz YCIRSKFBFNVBPG-TYNCELHUSA-N 0 1 279.384 0.745 20 30 CCEDMN C[C@H]1CCN(CC(=O)NC2(C#N)CCC2)C[C@@H]1CO ZINC000598647026 361473198 /nfs/dbraw/zinc/47/31/98/361473198.db2.gz MUYPEZAUIWEKAI-NWDGAFQWSA-N 0 1 265.357 0.499 20 30 CCEDMN CCn1cc(CNC[C@H](O)CC(C)(C)C#N)nn1 ZINC000598706552 361491407 /nfs/dbraw/zinc/49/14/07/361491407.db2.gz SVROZSJECNXNMS-LLVKDONJSA-N 0 1 251.334 0.688 20 30 CCEDMN COC(=O)C1(C)CN(C[C@H](O)CC(C)(C)C#N)C1 ZINC000598707266 361492305 /nfs/dbraw/zinc/49/23/05/361492305.db2.gz XVKROWHMMWMTCX-SNVBAGLBSA-N 0 1 254.330 0.782 20 30 CCEDMN COCCN1CCN(C[C@H](O)CC(C)(C)C#N)CC1 ZINC000598591059 361451266 /nfs/dbraw/zinc/45/12/66/361451266.db2.gz YUGLHNUBMZMCTR-CYBMUJFWSA-N 0 1 269.389 0.551 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCN2CCOC[C@H]2C1 ZINC000598605999 361458083 /nfs/dbraw/zinc/45/80/83/361458083.db2.gz YGHWCICCQBYKQC-CHWSQXEVSA-N 0 1 267.373 0.304 20 30 CCEDMN CO[C@H](C(=O)N=c1[nH]n(C)cc1C#N)C1CCCC1 ZINC000598817721 361522325 /nfs/dbraw/zinc/52/23/25/361522325.db2.gz XOQVQSAFZMHURH-NSHDSACASA-N 0 1 262.313 0.857 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccnc(C#N)n2)[C@H](C)C1 ZINC000564479063 291282831 /nfs/dbraw/zinc/28/28/31/291282831.db2.gz KWWMSMSFPDGNGS-MNOVXSKESA-N 0 1 261.329 0.240 20 30 CCEDMN C=C(CC)CNS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599328584 361654156 /nfs/dbraw/zinc/65/41/56/361654156.db2.gz AGPGWTCRIXUATM-UHFFFAOYSA-N 0 1 274.302 0.573 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N2CCC[C@](C)(C#N)C2)C1 ZINC000612121464 363850796 /nfs/dbraw/zinc/85/07/96/363850796.db2.gz KLWCWFKAYVXYBJ-DZGCQCFKSA-N 0 1 293.415 0.567 20 30 CCEDMN COC(=O)C[C@]1(NCc2ccnc(C#N)c2)CCOC1 ZINC000613392722 364235843 /nfs/dbraw/zinc/23/58/43/364235843.db2.gz NXMSNINDKQADGA-CQSZACIVSA-N 0 1 275.308 0.765 20 30 CCEDMN N#CCC(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000613914020 364438947 /nfs/dbraw/zinc/43/89/47/364438947.db2.gz INYZNMIVFZVNBL-GFCCVEGCSA-N 0 1 251.330 0.613 20 30 CCEDMN CN(CC(=O)N1CC[C@@](F)(C#N)C1)[C@H]1CCSC1 ZINC000615664717 365042761 /nfs/dbraw/zinc/04/27/61/365042761.db2.gz PRCVWFRKWIBQTI-CMPLNLGQSA-N 0 1 271.361 0.888 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCCN1CCC(O)CC1 ZINC000615991936 365153023 /nfs/dbraw/zinc/15/30/23/365153023.db2.gz UVNCTXVENKAKLL-AWEZNQCLSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1C[C@@H](C)NC[C@H]1C ZINC000627434549 369000356 /nfs/dbraw/zinc/00/03/56/369000356.db2.gz KTXQOONETPJJOM-VXGBXAGGSA-N 0 1 281.400 0.914 20 30 CCEDMN C=CCCNC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000628058332 369172201 /nfs/dbraw/zinc/17/22/01/369172201.db2.gz HFAOKAGDVZMBTA-UHFFFAOYSA-N 0 1 269.326 0.759 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCN1CC[C@@H](O)C1 ZINC000629175791 369555557 /nfs/dbraw/zinc/55/55/57/369555557.db2.gz QUKGWMWKQDYVQP-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCC[C@@H](CO)NCc1cn(C[C@H]2CCOC2)nn1 ZINC000631153753 370404794 /nfs/dbraw/zinc/40/47/94/370404794.db2.gz IGKCDJYDXSRPLH-OLZOCXBDSA-N 0 1 280.372 0.731 20 30 CCEDMN CC#CCCNC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC000637058574 373905878 /nfs/dbraw/zinc/90/58/78/373905878.db2.gz LQRMEVDWFUAXJH-UHFFFAOYSA-N 0 1 291.355 0.911 20 30 CCEDMN C=CCCn1cc(C(=O)N2CCNC[C@H]2C(C)C)nn1 ZINC000638492533 375085816 /nfs/dbraw/zinc/08/58/16/375085816.db2.gz PSFZWJWWUONONC-ZDUSSCGKSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC[C@H](n2cc(C(C)(C)NC)nn2)C1=O ZINC000641107775 376787629 /nfs/dbraw/zinc/78/76/29/376787629.db2.gz FWJKIMZEBGCLFM-JTQLQIEISA-N 0 1 263.345 0.692 20 30 CCEDMN C=CCN1CC[C@@H](n2cc(C(C)(C)NC)nn2)C1=O ZINC000641107776 376787791 /nfs/dbraw/zinc/78/77/91/376787791.db2.gz FWJKIMZEBGCLFM-SNVBAGLBSA-N 0 1 263.345 0.692 20 30 CCEDMN N#Cc1cc(N2CCC(c3n[nH]c(=O)o3)CC2)ncn1 ZINC000515445918 249134803 /nfs/dbraw/zinc/13/48/03/249134803.db2.gz GDFBQQFAQTYFLF-UHFFFAOYSA-N 0 1 272.268 0.821 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2ccc(C#N)c(N)n2)C1 ZINC000515671839 249142426 /nfs/dbraw/zinc/14/24/26/249142426.db2.gz ZRLVDBGIQATZSB-LLVKDONJSA-N 0 1 289.339 0.076 20 30 CCEDMN C=CCCn1cc(CNCCN2CCO[C@@H](C)C2)nn1 ZINC000657348818 412964835 /nfs/dbraw/zinc/96/48/35/412964835.db2.gz IKYHGBFJWPYGAE-ZDUSSCGKSA-N 0 1 279.388 0.665 20 30 CCEDMN C=CCCn1cc(CNC[C@H]2CN(C)CCN2C)nn1 ZINC000657358419 412969302 /nfs/dbraw/zinc/96/93/02/412969302.db2.gz WGBQSXSESKORMW-AWEZNQCLSA-N 0 1 278.404 0.190 20 30 CCEDMN C=CCCn1cc(CNCC(C)(C)C(=O)NC)nn1 ZINC000657412718 412984457 /nfs/dbraw/zinc/98/44/57/412984457.db2.gz BYYVLWGXESXRLI-UHFFFAOYSA-N 0 1 265.361 0.716 20 30 CCEDMN CC[C@@]1(C)NC(=O)N(NC(=O)c2cc(C#N)oc2C)C1=O ZINC000281178318 195137966 /nfs/dbraw/zinc/13/79/66/195137966.db2.gz DVPHNXRWNBBJCF-CYBMUJFWSA-N 0 1 290.279 0.825 20 30 CCEDMN COC(=O)[C@H](C)CN(C)[C@@H](C)C(=O)N(C)CCC#N ZINC000114063274 533171073 /nfs/dbraw/zinc/17/10/73/533171073.db2.gz NTWPLPISEZFYCW-MNOVXSKESA-N 0 1 269.345 0.488 20 30 CCEDMN C=C(C)CS(=O)(=O)N1C[C@H](OC)C[C@H]1c1nnc[nH]1 ZINC000285109234 388787382 /nfs/dbraw/zinc/78/73/82/388787382.db2.gz QMTQFDPXSKNYGC-ZJUUUORDSA-N 0 1 286.357 0.472 20 30 CCEDMN C=CCN(CC=C)[C@H](CNS(=O)(=O)NC)C(C)C ZINC000286477111 388841820 /nfs/dbraw/zinc/84/18/20/388841820.db2.gz PRVXZEDIDSOKFF-GFCCVEGCSA-N 0 1 275.418 0.739 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](n2cc(C)cn2)C1 ZINC000289885429 388897395 /nfs/dbraw/zinc/89/73/95/388897395.db2.gz MOLCJTFUOQCRNE-OLZOCXBDSA-N 0 1 260.341 0.576 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H](c1ccccc1)N1CC[NH+](C)CC1 ZINC000291248709 388918806 /nfs/dbraw/zinc/91/88/06/388918806.db2.gz LUIRDSCGFNCILV-OAHLLOKOSA-N 0 1 287.363 0.656 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@H](C(=O)OCC)C1 ZINC000048775946 388904061 /nfs/dbraw/zinc/90/40/61/388904061.db2.gz OKGCJRHZGDNXAO-NWDGAFQWSA-N 0 1 268.357 0.952 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000292903866 388967855 /nfs/dbraw/zinc/96/78/55/388967855.db2.gz GSHSBCBLAROCPX-UHFFFAOYSA-N 0 1 271.317 0.537 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2ccccc2O)CC1 ZINC000293527510 388983825 /nfs/dbraw/zinc/98/38/25/388983825.db2.gz PEANZSHCAALJQR-UHFFFAOYSA-N 0 1 287.363 0.654 20 30 CCEDMN COc1ccc(CNCCNS(C)(=O)=O)cc1C#N ZINC000087822787 389012427 /nfs/dbraw/zinc/01/24/27/389012427.db2.gz PJLRMSXWCCPRBD-UHFFFAOYSA-N 0 1 283.353 0.206 20 30 CCEDMN C[N@H+]1CCC[C@H](N2CCN(c3nccnc3C#N)CC2)C1 ZINC000301272827 389049515 /nfs/dbraw/zinc/04/95/15/389049515.db2.gz HZDKVQCQATXSMD-ZDUSSCGKSA-N 0 1 286.383 0.564 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](O)COCC(C)C)C1=O ZINC000337200918 389053486 /nfs/dbraw/zinc/05/34/86/389053486.db2.gz CGJFSLQYAAHSQO-KGLIPLIRSA-N 0 1 284.400 0.739 20 30 CCEDMN C=CCN1CC[C@H](N[C@H](COC)[C@@H]2CCCO2)C1=O ZINC000337219363 389055682 /nfs/dbraw/zinc/05/56/82/389055682.db2.gz BLRBLCYUXFCEGD-XQQFMLRXSA-N 0 1 268.357 0.557 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@H]1C(=O)NCC[C@H]1C ZINC000193585489 389073752 /nfs/dbraw/zinc/07/37/52/389073752.db2.gz HISBVMSGRJRGCP-NQBHXWOUSA-N 0 1 280.372 0.109 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@H]1[C@H](C)O ZINC000106864783 389094117 /nfs/dbraw/zinc/09/41/17/389094117.db2.gz UPLJOZWQOTUZPE-QWRGUYRKSA-N 0 1 269.345 0.234 20 30 CCEDMN C[C@H]1CCC[C@H](CO)N1CC(=O)N(CCC#N)CCC#N ZINC000305429889 389085906 /nfs/dbraw/zinc/08/59/06/389085906.db2.gz DLPQMBXGMITASN-UONOGXRCSA-N 0 1 292.383 0.878 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)Cn1nc(SC)[nH]c1=O ZINC000194575714 389098015 /nfs/dbraw/zinc/09/80/15/389098015.db2.gz DZGKBAPIWIIGDY-MRVPVSSYSA-N 0 1 283.357 0.301 20 30 CCEDMN C=CCOCCN1CC[C@@H](S(=O)(=O)N2CCCC2)C1 ZINC000339021340 389122196 /nfs/dbraw/zinc/12/21/96/389122196.db2.gz JBCZJNBSIMMXMF-CYBMUJFWSA-N 0 1 288.413 0.689 20 30 CCEDMN C[C@@H]1CN(CCOCCC#N)C[C@]2(CCOC2)O1 ZINC000339144805 389126921 /nfs/dbraw/zinc/12/69/21/389126921.db2.gz MTDXRBNOCMOJDX-OLZOCXBDSA-N 0 1 254.330 0.796 20 30 CCEDMN COCC[N@H+]1CC[C@@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000328684419 389131782 /nfs/dbraw/zinc/13/17/82/389131782.db2.gz WVBVGTCHIJXNHG-GFCCVEGCSA-N 0 1 269.345 0.142 20 30 CCEDMN C[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@@H](C)N1C ZINC000339612251 389135691 /nfs/dbraw/zinc/13/56/91/389135691.db2.gz BBQNGWIGPKQBKQ-ZIAGYGMSSA-N 0 1 291.399 0.667 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000339632061 389136873 /nfs/dbraw/zinc/13/68/73/389136873.db2.gz VNCZIMACXXBYAS-BETUJISGSA-N 0 1 266.389 0.773 20 30 CCEDMN O=C(CN1CCC[C@H](CO)CC1)NCC(=O)N1CCCC1 ZINC000328773789 389137328 /nfs/dbraw/zinc/13/73/28/389137328.db2.gz BQZWZVZYQACPMY-ZDUSSCGKSA-N 0 1 297.399 0.660 20 30 CCEDMN CC(=O)N1CC(NC(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC000328788560 389137969 /nfs/dbraw/zinc/13/79/69/389137969.db2.gz PJZUXIQHQMGHNI-QMMMGPOBSA-N 0 1 263.301 0.097 20 30 CCEDMN CC(=O)N1CC(NC(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC000328788560 389137971 /nfs/dbraw/zinc/13/79/71/389137971.db2.gz PJZUXIQHQMGHNI-QMMMGPOBSA-N 0 1 263.301 0.097 20 30 CCEDMN CN(CC(=O)N[C@H]1CCN(C)C1=O)[C@H]1CCSC1 ZINC000328795398 389138947 /nfs/dbraw/zinc/13/89/47/389138947.db2.gz CGLGTPXNZAQGIR-UWVGGRQHSA-N 0 1 271.386 0.611 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC(OCCO)CC1 ZINC000339776965 389139554 /nfs/dbraw/zinc/13/95/54/389139554.db2.gz LJSDHAVGAVPQDH-GFCCVEGCSA-N 0 1 283.372 0.220 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cc(C(C)C)[nH]n1 ZINC000120547071 389142940 /nfs/dbraw/zinc/14/29/40/389142940.db2.gz HXGWBLICSJAPMS-UHFFFAOYSA-N 0 1 264.285 0.672 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NC[C@@]1(O)CCOC1 ZINC000329652333 389182797 /nfs/dbraw/zinc/18/27/97/389182797.db2.gz LBKVLLFKOMHSMD-YPMHNXCESA-N 0 1 272.345 0.063 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+][C@H](C)[C@H]1CCOC1)CC2 ZINC000329711009 389191608 /nfs/dbraw/zinc/19/16/08/389191608.db2.gz NZHSFTDIOHAAGX-ADEWGFFLSA-N 0 1 293.371 0.830 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N[C@H](C)[C@H]1CCOC1)CC2 ZINC000329711009 389191611 /nfs/dbraw/zinc/19/16/11/389191611.db2.gz NZHSFTDIOHAAGX-ADEWGFFLSA-N 0 1 293.371 0.830 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(C(=O)N[C@@H]2CCCOC2)C1 ZINC000329129982 389151232 /nfs/dbraw/zinc/15/12/32/389151232.db2.gz OBZCYCMAGTXVEL-MXWKQRLJSA-N 0 1 295.343 0.660 20 30 CCEDMN C=C[C@H](CO)NC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000358462394 389152029 /nfs/dbraw/zinc/15/20/29/389152029.db2.gz QVPMZMSPUTUTJH-ZIAGYGMSSA-N 0 1 298.431 0.105 20 30 CCEDMN C=C(CC)CN1CCN(c2nccn(C)c2=O)CC1 ZINC000358509101 389153505 /nfs/dbraw/zinc/15/35/05/389153505.db2.gz NCACSZUYJPUOAQ-UHFFFAOYSA-N 0 1 262.357 0.869 20 30 CCEDMN Cc1nn(C)c(C)c1CNC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000329282812 389154190 /nfs/dbraw/zinc/15/41/90/389154190.db2.gz NBCKHRZDECYAMN-QMMMGPOBSA-N 0 1 277.332 0.920 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@H]1CC(=O)N(C)C1 ZINC000329332017 389157577 /nfs/dbraw/zinc/15/75/77/389157577.db2.gz MSSTVCDGLAAGHK-MNOVXSKESA-N 0 1 269.345 0.142 20 30 CCEDMN O=C(CNC(=O)N[C@H]1CCc2c[nH]nc2C1)N1CCCC1 ZINC000329822643 389204222 /nfs/dbraw/zinc/20/42/22/389204222.db2.gz HGSBTPYKZUIQMU-NSHDSACASA-N 0 1 291.355 0.393 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@](C)(O)C1 ZINC000124416091 389161300 /nfs/dbraw/zinc/16/13/00/389161300.db2.gz VBXZCIDGUHBKBG-TZMCWYRMSA-N 0 1 267.373 0.841 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@H](CO)C1 ZINC000124485640 389161450 /nfs/dbraw/zinc/16/14/50/389161450.db2.gz QUCNEVSXFBYFML-QWRGUYRKSA-N 0 1 269.345 0.091 20 30 CCEDMN C[C@H](C[S@](C)=O)[N@@H+](C)CCC(=O)N(C)CCC#N ZINC000126787660 389171967 /nfs/dbraw/zinc/17/19/67/389171967.db2.gz XEJOYDLAOJOPCY-ADLMAVQZSA-N 0 1 273.402 0.447 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@H](C)CN1CCOCC1 ZINC000330572389 389242226 /nfs/dbraw/zinc/24/22/26/389242226.db2.gz NHZIJDBYQGINAN-CHWSQXEVSA-N 0 1 267.373 0.963 20 30 CCEDMN CN(CCC#N)C(=O)CCN1C[C@@H](F)C[C@H]1CO ZINC000330586181 389243949 /nfs/dbraw/zinc/24/39/49/389243949.db2.gz WLMSONQFTCDCIQ-QWRGUYRKSA-N 0 1 257.309 0.153 20 30 CCEDMN C[C@@H]1C[C@H]([NH+]=C([O-])NCCn2cccn2)CCO1 ZINC000330612640 389246536 /nfs/dbraw/zinc/24/65/36/389246536.db2.gz XTPWBCLHLQAXRU-GHMZBOCLSA-N 0 1 252.318 0.954 20 30 CCEDMN C[C@@H]1C[C@H](NC([O-])=[NH+]CCn2cccn2)CCO1 ZINC000330612640 389246537 /nfs/dbraw/zinc/24/65/37/389246537.db2.gz XTPWBCLHLQAXRU-GHMZBOCLSA-N 0 1 252.318 0.954 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](C)[C@H](O)C1 ZINC000330644855 389249240 /nfs/dbraw/zinc/24/92/40/389249240.db2.gz FYRQDLZSLCBUPG-JHJVBQTASA-N 0 1 267.373 0.697 20 30 CCEDMN CCS(=O)(=O)C[C@H](C)NC(=O)N[C@H](C)c1nnc[nH]1 ZINC000330706389 389252496 /nfs/dbraw/zinc/25/24/96/389252496.db2.gz LWEYNEKIOJBNJK-JGVFFNPUSA-N 0 1 289.361 0.193 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)[C@H](C)n2cncn2)[nH]1 ZINC000330970921 389268226 /nfs/dbraw/zinc/26/82/26/389268226.db2.gz BMNFCMGSGYZQMV-VIFPVBQESA-N 0 1 273.300 0.549 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CCN2C(=O)NC[C@@H]2C1 ZINC000329858185 389206936 /nfs/dbraw/zinc/20/69/36/389206936.db2.gz ZWSZDEBWHVURNI-SNVBAGLBSA-N 0 1 278.316 0.046 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+][C@H]1[C@@H]3COC[C@@H]31)CC2 ZINC000329961313 389214538 /nfs/dbraw/zinc/21/45/38/389214538.db2.gz ONYSSBAKLUWBSP-SVDPJWKOSA-N 0 1 277.328 0.050 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N[C@H]1[C@@H]3COC[C@@H]31)CC2 ZINC000329961313 389214542 /nfs/dbraw/zinc/21/45/42/389214542.db2.gz ONYSSBAKLUWBSP-SVDPJWKOSA-N 0 1 277.328 0.050 20 30 CCEDMN CNC(=O)C[C@@H](NCC(=O)NCC#N)c1ccccc1 ZINC000361635655 389220919 /nfs/dbraw/zinc/22/09/19/389220919.db2.gz GALAHCOFAPSALS-GFCCVEGCSA-N 0 1 274.324 0.093 20 30 CCEDMN CO[C@@H]1CCCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000246091950 389223447 /nfs/dbraw/zinc/22/34/47/389223447.db2.gz HRFKFLCAXWCTRW-NWDGAFQWSA-N 0 1 253.346 0.858 20 30 CCEDMN COC[C@@](C)(CCO)NCC(=O)Nc1ccc(C#N)cc1 ZINC000132514286 389224626 /nfs/dbraw/zinc/22/46/26/389224626.db2.gz NSRKLLGDBPVHBQ-OAHLLOKOSA-N 0 1 291.351 0.874 20 30 CCEDMN C[C@@H]1[C@@H](NS(=O)(=O)CC2(C#N)CC2)CCC[N@H+]1C ZINC000361809020 389224814 /nfs/dbraw/zinc/22/48/14/389224814.db2.gz GZPWERZSFMJLNW-MNOVXSKESA-N 0 1 271.386 0.692 20 30 CCEDMN C[C@@H]1[C@@H](NS(=O)(=O)CC2(C#N)CC2)CCCN1C ZINC000361809020 389224816 /nfs/dbraw/zinc/22/48/16/389224816.db2.gz GZPWERZSFMJLNW-MNOVXSKESA-N 0 1 271.386 0.692 20 30 CCEDMN C=CCN1CC[C@H](N2CCC([C@@H](O)C(=O)OC)CC2)C1=O ZINC000330410606 389226024 /nfs/dbraw/zinc/22/60/24/389226024.db2.gz YUUMTWFOXZOGED-QWHCGFSZSA-N 0 1 296.367 0.019 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H]2[C@@H](CCC(=O)N2C2CC2)C1 ZINC000246383265 389227767 /nfs/dbraw/zinc/22/77/67/389227767.db2.gz ZUVPYGYMCDRZTP-GXTWGEPZSA-N 0 1 291.395 0.764 20 30 CCEDMN CC(=O)NC[C@H]1CCCN(C([O-])=[NH+]CCn2ccnc2)C1 ZINC000330473264 389233798 /nfs/dbraw/zinc/23/37/98/389233798.db2.gz GFKLSZBCFBQVMQ-CYBMUJFWSA-N 0 1 293.371 0.645 20 30 CCEDMN C[C@H]1CCCN(CCNS(=O)(=O)N(C)CCC#N)C1 ZINC000330496026 389235583 /nfs/dbraw/zinc/23/55/83/389235583.db2.gz UGVDUUNJTADUOB-LBPRGKRZSA-N 0 1 288.417 0.398 20 30 CCEDMN C[C@H](CCN(C)C)NC(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000330508767 389237009 /nfs/dbraw/zinc/23/70/09/389237009.db2.gz IWQLTWZRZDSQGH-MNOVXSKESA-N 0 1 291.417 0.360 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCC(OCCO)CC1 ZINC000346403271 389328287 /nfs/dbraw/zinc/32/82/87/389328287.db2.gz AEGXHGIHAPZUOU-GFCCVEGCSA-N 0 1 295.383 0.411 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cccc3n[nH]cc32)CCO1 ZINC000264464182 389330553 /nfs/dbraw/zinc/33/05/53/389330553.db2.gz HEHGOKWOPOUYLF-VIFPVBQESA-N 0 1 256.265 0.927 20 30 CCEDMN C=CCNC(=O)CN(C)C(C)(C)CC(=O)OCC ZINC000343891255 389275213 /nfs/dbraw/zinc/27/52/13/389275213.db2.gz YCZUGODNJOHKBM-UHFFFAOYSA-N 0 1 256.346 0.952 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N[C@H](C)C[S@@](C)=O ZINC000331014543 389283437 /nfs/dbraw/zinc/28/34/37/389283437.db2.gz XGCLRKPAINDJPS-IWEMQMMOSA-N 0 1 258.347 0.489 20 30 CCEDMN CCCCCCCCN1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000152949202 389294770 /nfs/dbraw/zinc/29/47/70/389294770.db2.gz DVRKMFKHCQQOJT-ZDUSSCGKSA-N 0 1 281.400 0.990 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CC[C@@]2(CCOC2)C1 ZINC000153629758 389304958 /nfs/dbraw/zinc/30/49/58/389304958.db2.gz CWUOSMOPWRYTMH-OAHLLOKOSA-N 0 1 290.367 0.755 20 30 CCEDMN CCN1CCN(CC(=O)N[C@H](C#N)C2CC2)CC1 ZINC000345462641 389305872 /nfs/dbraw/zinc/30/58/72/389305872.db2.gz RWQPHQKIOWKJLC-GFCCVEGCSA-N 0 1 250.346 0.042 20 30 CCEDMN CCN(CC#N)C(=O)CCSc1nnc(C)[nH]1 ZINC000266788533 389366933 /nfs/dbraw/zinc/36/69/33/389366933.db2.gz XRQROKLXGOCEIW-UHFFFAOYSA-N 0 1 253.331 0.967 20 30 CCEDMN C=CCOCCCN1CCN(C(=O)CCOC)CC1 ZINC000348171545 389367430 /nfs/dbraw/zinc/36/74/30/389367430.db2.gz VHZMEVBMLAIABH-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN N#CCN(CC#N)C(=O)CSc1n[nH]c(=S)s1 ZINC000267050127 389369319 /nfs/dbraw/zinc/36/93/19/389369319.db2.gz VRPDYOVGQHQXDE-UHFFFAOYSA-N 0 1 285.379 0.795 20 30 CCEDMN C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N(C)CC#N ZINC000349466733 389417375 /nfs/dbraw/zinc/41/73/75/389417375.db2.gz OKKRJWBBTXCMAW-VIFPVBQESA-N 0 1 285.307 0.663 20 30 CCEDMN C[C@@H](CCC#N)N1CCN(C(=O)CN(C)C)CC1 ZINC000411167501 389418694 /nfs/dbraw/zinc/41/86/94/389418694.db2.gz XLJZHULXWLHEOE-LBPRGKRZSA-N 0 1 252.362 0.384 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](C(=O)OC)[C@H]1C ZINC000347016700 389345425 /nfs/dbraw/zinc/34/54/25/389345425.db2.gz JXGRZVQLTSTJBV-VWYCJHECSA-N 0 1 297.355 0.270 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCO[C@@H]2CCN(C)C[C@@H]21 ZINC000332675604 389356798 /nfs/dbraw/zinc/35/67/98/389356798.db2.gz CXQCXEVYPQBGCL-UONOGXRCSA-N 0 1 294.399 0.153 20 30 CCEDMN CC(C)N(Cc1cnc2ccc(C#N)cn12)C[C@@H](O)CO ZINC000421410571 389362545 /nfs/dbraw/zinc/36/25/45/389362545.db2.gz PPLCFCNRGIEZJE-CQSZACIVSA-N 0 1 288.351 0.770 20 30 CCEDMN C#CCCN1CCN(CCn2cc([N+](=O)[O-])cn2)CC1 ZINC000272062812 389461890 /nfs/dbraw/zinc/46/18/90/389461890.db2.gz XEDYBEMPUAJNSM-UHFFFAOYSA-N 0 1 277.328 0.432 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(C#N)nc1)N(C)C ZINC000165905826 389440426 /nfs/dbraw/zinc/44/04/26/389440426.db2.gz UDZHPHAVFJTGAT-VIFPVBQESA-N 0 1 268.342 0.182 20 30 CCEDMN Cc1nn(C)c(N2CCN(CC3(C)COC3)CC2)c1C#N ZINC000349887534 389452432 /nfs/dbraw/zinc/45/24/32/389452432.db2.gz ZZSZEGUCNFXHNG-UHFFFAOYSA-N 0 1 289.383 0.759 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](CCC(N)=O)C1 ZINC000170738118 389460125 /nfs/dbraw/zinc/46/01/25/389460125.db2.gz JDGMNACYAKCYQW-NSHDSACASA-N 0 1 253.346 0.266 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2ccc(C#N)cn2)C1 ZINC000413497805 389523397 /nfs/dbraw/zinc/52/33/97/389523397.db2.gz LYXZCXVCYHQOME-LBPRGKRZSA-N 0 1 274.324 0.494 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CCNC(C)(C)C1 ZINC000335019840 389528672 /nfs/dbraw/zinc/52/86/72/389528672.db2.gz TWHOFWRAYWCXMY-UHFFFAOYSA-N 0 1 253.346 0.231 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(Cc2cnn(C)c2)CC1 ZINC000351600787 389628117 /nfs/dbraw/zinc/62/81/17/389628117.db2.gz TWAIKLPJWYHNEG-OAHLLOKOSA-N 0 1 278.400 0.865 20 30 CCEDMN C=CCN1CCC(NC(=O)N[C@@H](C)C[S@](C)=O)CC1 ZINC000182727789 389715008 /nfs/dbraw/zinc/71/50/08/389715008.db2.gz OQKUHOLSSNNNPA-WLRWDXFRSA-N 0 1 287.429 0.703 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000182768075 389715836 /nfs/dbraw/zinc/71/58/36/389715836.db2.gz BYMFFGHZRXFNDF-CYBMUJFWSA-N 0 1 294.399 0.900 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(CC)CCOCCO ZINC000282178891 389658206 /nfs/dbraw/zinc/65/82/06/389658206.db2.gz VQGWQEVXMQHSIE-UHFFFAOYSA-N 0 1 270.373 0.518 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)C(=O)Nc1ccc(C#N)c(F)c1 ZINC000415343660 389675772 /nfs/dbraw/zinc/67/57/72/389675772.db2.gz UBOCRRNQKWNFKT-SECBINFHSA-N 0 1 290.298 0.456 20 30 CCEDMN C=CCC[C@H](O)CN1CC[C@@H](CS(C)(=O)=O)C1 ZINC000429388671 389753944 /nfs/dbraw/zinc/75/39/44/389753944.db2.gz WCLJHEZKQJIFPU-NEPJUHHUSA-N 0 1 261.387 0.680 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCC1(C#N)CCC1 ZINC000416346568 389735507 /nfs/dbraw/zinc/73/55/07/389735507.db2.gz MACCVFGHHULHMV-LBPRGKRZSA-N 0 1 265.357 0.765 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)C1(CO)CCC1 ZINC000429260661 389747808 /nfs/dbraw/zinc/74/78/08/389747808.db2.gz XQUMPJOEDOWNCM-UHFFFAOYSA-N 0 1 278.356 0.489 20 30 CCEDMN CC(C)N1CC[C@H](N(C)S(=O)(=O)[C@@H](C)C#N)C1 ZINC000416497856 389748863 /nfs/dbraw/zinc/74/88/63/389748863.db2.gz UWZSNRMMZWYJOI-QWRGUYRKSA-N 0 1 259.375 0.643 20 30 CCEDMN C=CCCS(=O)(=O)NCCCN1CCCO[C@H](C)C1 ZINC000432292235 389876408 /nfs/dbraw/zinc/87/64/08/389876408.db2.gz SDWYMDZJVYVQQP-CYBMUJFWSA-N 0 1 290.429 0.983 20 30 CCEDMN CN(C)C[C@@H]1CCCN1S(=O)(=O)N(C)CCC#N ZINC000408333059 389843728 /nfs/dbraw/zinc/84/37/28/389843728.db2.gz ZHUVHPUAAKBBJQ-NSHDSACASA-N 0 1 274.390 0.103 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1CC[C@@](C)(O)C1 ZINC000408346150 389844748 /nfs/dbraw/zinc/84/47/48/389844748.db2.gz IMWYIMVNVBVZET-MRVWCRGKSA-N 0 1 267.373 0.886 20 30 CCEDMN C[C@H]1CCN(C)CCN1C(=O)C1(C#N)CCOCC1 ZINC000354007555 389857757 /nfs/dbraw/zinc/85/77/57/389857757.db2.gz QNAPTPMXHNCWPP-LBPRGKRZSA-N 0 1 265.357 0.859 20 30 CCEDMN N#CC1(NC(=O)CN[C@@H](CO)[C@@H]2CCCO2)CCCCC1 ZINC000447913929 389971072 /nfs/dbraw/zinc/97/10/72/389971072.db2.gz JYOHHJGUKHFHTB-STQMWFEESA-N 0 1 295.383 0.459 20 30 CCEDMN C[C@](C#N)(NC(=O)CN(CCO)C1CCOCC1)C1CC1 ZINC000444777097 389918471 /nfs/dbraw/zinc/91/84/71/389918471.db2.gz OGTKZGJYCCBOER-OAHLLOKOSA-N 0 1 295.383 0.268 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N1CC[C@@H](CO)C1 ZINC000446022622 389928335 /nfs/dbraw/zinc/92/83/35/389928335.db2.gz XOJSLIRNKGFADM-SCRDCRAPSA-N 0 1 267.373 0.744 20 30 CCEDMN N#Cc1ncn(CCNC(=O)c2ccc(F)cc2O)n1 ZINC000436666703 389982337 /nfs/dbraw/zinc/98/23/37/389982337.db2.gz VIDRZIGHGTVMLA-UHFFFAOYSA-N 0 1 275.243 0.424 20 30 CCEDMN C[C@H]1NC(=O)N(CCN(C)Cc2cccc(C#N)c2)C1=O ZINC000459300242 390072073 /nfs/dbraw/zinc/07/20/73/390072073.db2.gz VJZLIPAYHPZQHF-LLVKDONJSA-N 0 1 286.335 0.930 20 30 CCEDMN C[C@@H]1NC(=O)N(CCN(C)Cc2ccc(C#N)cc2)C1=O ZINC000459303673 390072120 /nfs/dbraw/zinc/07/21/20/390072120.db2.gz XTUBZHQUCNUQQL-NSHDSACASA-N 0 1 286.335 0.930 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)[C@@H](C)[NH+]1CC(C)C1 ZINC000491386000 390112759 /nfs/dbraw/zinc/11/27/59/390112759.db2.gz UCNQAIFXGWFXCQ-CYBMUJFWSA-N 0 1 251.374 0.398 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCn1cnccc1=O ZINC000491483363 390113949 /nfs/dbraw/zinc/11/39/49/390113949.db2.gz BITZTJNJZIVBGO-CYBMUJFWSA-N 0 1 289.335 0.274 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCn1cnccc1=O ZINC000491483364 390113967 /nfs/dbraw/zinc/11/39/67/390113967.db2.gz BITZTJNJZIVBGO-ZDUSSCGKSA-N 0 1 289.335 0.274 20 30 CCEDMN CN1CC[C@@H](NS(=O)(=O)Cc2ccc(CC#N)cc2)C1 ZINC000578985739 390130998 /nfs/dbraw/zinc/13/09/98/390130998.db2.gz YMVQNIZJVIFHPE-CQSZACIVSA-N 0 1 293.392 0.876 20 30 CCEDMN COCC[N@@H+](C)C[C@H](O)CSc1cc(C#N)ccn1 ZINC000582132511 390264550 /nfs/dbraw/zinc/26/45/50/390264550.db2.gz OTGAVAWIEMTMCI-LBPRGKRZSA-N 0 1 281.381 0.984 20 30 CCEDMN CC1=CCN(CCNC(=O)CNc2ccc(C#N)cn2)CC1 ZINC000547292477 390365401 /nfs/dbraw/zinc/36/54/01/390365401.db2.gz LYIWTEBBLWORQJ-UHFFFAOYSA-N 0 1 299.378 0.555 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)NCc1cc(C)[nH]n1 ZINC000645304818 390406766 /nfs/dbraw/zinc/40/67/66/390406766.db2.gz KMLDFYPTTHJMTQ-SNVBAGLBSA-N 0 1 250.302 0.292 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H](CO)CN2CCCCC2)nn1 ZINC000648899200 390439434 /nfs/dbraw/zinc/43/94/34/390439434.db2.gz AWRAIUYFTVYYCY-GFCCVEGCSA-N 0 1 293.371 0.041 20 30 CCEDMN CCC#C[C@@H](C)N1CCC(S(=O)(=O)NC)CC1 ZINC000645201639 390387229 /nfs/dbraw/zinc/38/72/29/390387229.db2.gz LISAXWBBTDUNMB-LLVKDONJSA-N 0 1 258.387 0.802 20 30 CCEDMN CCOC(=O)[C@@H](Cc1ccncc1)NC(=O)C(C)C#N ZINC000616173872 390391203 /nfs/dbraw/zinc/39/12/03/390391203.db2.gz VBAUYIXXWXFZEF-CMPLNLGQSA-N 0 1 275.308 0.832 20 30 CCEDMN Cc1nc([C@H](C)N2CCN(C(=O)CC#N)CC2)n[nH]1 ZINC000565163001 390500967 /nfs/dbraw/zinc/50/09/67/390500967.db2.gz NDNNHTYMFZMEPK-VIFPVBQESA-N 0 1 262.317 0.232 20 30 CCEDMN C[N@@H+]1CCC[C@H]1CNCc1cnc2c(C#N)cnn2c1 ZINC000556197748 390476236 /nfs/dbraw/zinc/47/62/36/390476236.db2.gz MGJJOKAPPNIKFK-ZDUSSCGKSA-N 0 1 270.340 0.785 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1ccc2[nH]nnc2c1 ZINC000649192538 390519307 /nfs/dbraw/zinc/51/93/07/390519307.db2.gz KLPZHFMKFPQAON-JTQLQIEISA-N 0 1 258.281 0.462 20 30 CCEDMN N#Cc1nccc(N2CCC[C@@H](CN3CCOCC3)C2)n1 ZINC000566484522 390621928 /nfs/dbraw/zinc/62/19/28/390621928.db2.gz OQMGEOUGEDNOFQ-ZDUSSCGKSA-N 0 1 287.367 0.897 20 30 CCEDMN CO[C@@H]1COC[C@H]1NCC(=O)NC1(C#N)CCCCC1 ZINC000566185823 390594039 /nfs/dbraw/zinc/59/40/39/390594039.db2.gz UNWDRIMMDQJZLW-VXGBXAGGSA-N 0 1 281.356 0.332 20 30 CCEDMN N#CCCn1ccc(=NC(=O)N[C@H]2CCc3[nH]cnc3C2)[nH]1 ZINC000567798832 390711248 /nfs/dbraw/zinc/71/12/48/390711248.db2.gz TVXILZUDYUKYKD-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN CC[C@H](CC#N)NC[C@H](O)Cn1cc([N+](=O)[O-])cn1 ZINC000568659823 390714807 /nfs/dbraw/zinc/71/48/07/390714807.db2.gz KOMUHQGDFUCGMA-KOLCDFICSA-N 0 1 267.289 0.434 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN[C@@H](CCO)c1ccco1 ZINC000570720928 390719172 /nfs/dbraw/zinc/71/91/72/390719172.db2.gz POFXINOBYNHGTN-OLZOCXBDSA-N 0 1 281.356 0.499 20 30 CCEDMN CNC(=O)[C@H](C#N)C(=O)[C@H]1C[C@]1(C)Br ZINC000528925656 390783821 /nfs/dbraw/zinc/78/38/21/390783821.db2.gz WBYYLXJYRKXKFR-KCRUCZTKSA-N 0 1 259.103 0.615 20 30 CCEDMN C[C@@]1(O)CCN(CC#CCN2C(=O)c3ccccc3C2=O)C1 ZINC000528398550 390741104 /nfs/dbraw/zinc/74/11/04/390741104.db2.gz UPVPFKJPRRZONL-QGZVFWFLSA-N 0 1 298.342 0.743 20 30 CCEDMN Cc1nn(C)c(N2CCN(C(=O)c3cnc[nH]3)CC2)c1C#N ZINC000530363432 390876279 /nfs/dbraw/zinc/87/62/79/390876279.db2.gz KZOCBIZMYDPOQS-UHFFFAOYSA-N 0 1 299.338 0.286 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cn[nH]c2-c2ccccn2)CCO1 ZINC000578806948 390902953 /nfs/dbraw/zinc/90/29/53/390902953.db2.gz YJOAKISRORIPQV-JTQLQIEISA-N 0 1 283.291 0.836 20 30 CCEDMN C[C@@H](O)CCN1CCN(c2cccc(C#N)n2)CC1 ZINC000450746480 533616415 /nfs/dbraw/zinc/61/64/15/533616415.db2.gz JQILAAOMJUDXQB-GFCCVEGCSA-N 0 1 260.341 0.846 20 30 CCEDMN COc1ccncc1CNC[C@@H](O)CN(C)CCC#N ZINC000576746844 335242636 /nfs/dbraw/zinc/24/26/36/335242636.db2.gz KKHXDLZBMSXNJB-CYBMUJFWSA-N 0 1 278.356 0.386 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](O)[C@@H](C)C1 ZINC000351775810 397461954 /nfs/dbraw/zinc/46/19/54/397461954.db2.gz WKZDGFKCPVCJHC-WOPDTQHZSA-N 0 1 253.346 0.307 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)NC[C@H]2C[C@H]2C)[nH]1 ZINC000359064377 144192631 /nfs/dbraw/zinc/19/26/31/144192631.db2.gz VHJFZKRGGPPVJU-NXEZZACHSA-N 0 1 289.339 0.468 20 30 CCEDMN Cc1ccc(F)cc1C(=O)C(=O)N=c1[nH]n(C)cc1C#N ZINC000359076394 144193099 /nfs/dbraw/zinc/19/30/99/144193099.db2.gz KXBPWLOYTRQXJY-UHFFFAOYSA-N 0 1 286.266 0.983 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)Cc1cn(C)nc1C ZINC000491619899 397560025 /nfs/dbraw/zinc/56/00/25/397560025.db2.gz CNDGAOXBJKIHOS-CQSZACIVSA-N 0 1 275.352 0.912 20 30 CCEDMN COCC#CCN1C[C@H]2C[C@@H](C1)Cn1c(=O)cccc12 ZINC000649781018 397667965 /nfs/dbraw/zinc/66/79/65/397667965.db2.gz BIGDGKPDVGXFGV-UONOGXRCSA-N 0 1 272.348 0.917 20 30 CCEDMN CN1CCN(Cc2cnc3c(C#N)cnn3c2)CC1 ZINC000550156769 286105076 /nfs/dbraw/zinc/10/50/76/286105076.db2.gz ICAOOBDBYCTNLS-UHFFFAOYSA-N 0 1 256.313 0.348 20 30 CCEDMN C=CCN(C(=O)[C@@H](N)COC(C)C)[C@@H](C)COC ZINC000659339603 413436224 /nfs/dbraw/zinc/43/62/24/413436224.db2.gz JUXKNFIAKFUCKN-RYUDHWBXSA-N 0 1 258.362 0.788 20 30 CCEDMN C[N@@H+](CCC(=O)Nc1ccc(C#N)cc1)CC(=O)[O-] ZINC000035304865 172119112 /nfs/dbraw/zinc/11/91/12/172119112.db2.gz HMFOCRFQOJIBBO-UHFFFAOYSA-N 0 1 261.281 0.903 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC000659734403 413833052 /nfs/dbraw/zinc/83/30/52/413833052.db2.gz DGPZCCBDDAQUNG-CYBMUJFWSA-N 0 1 297.399 0.152 20 30 CCEDMN C=CCN(CCc1c[nH]nn1)[C@@H]1CCS(=O)(=O)C1 ZINC000660069977 414088728 /nfs/dbraw/zinc/08/87/28/414088728.db2.gz MWWHFYIZQHSXPA-LLVKDONJSA-N 0 1 270.358 0.022 20 30 CCEDMN C=C(C)COCCNC(=O)N(C)CC1CN(C)C1 ZINC000660906407 414527211 /nfs/dbraw/zinc/52/72/11/414527211.db2.gz GYADRJWDGQFIRM-UHFFFAOYSA-N 0 1 255.362 0.782 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)N(C)CC1CN(C)C1 ZINC000661281377 414590994 /nfs/dbraw/zinc/59/09/94/414590994.db2.gz YHGHZISCNJEBSP-OLZOCXBDSA-N 0 1 267.373 0.923 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC000662919861 414892947 /nfs/dbraw/zinc/89/29/47/414892947.db2.gz ZQNOCLQWKFSKBG-GHMZBOCLSA-N 0 1 271.336 0.309 20 30 CCEDMN C[C@@H]1CCCN(CC(=O)N[C@@](C)(C#N)C2CC2)[C@@H]1CO ZINC000305151735 287346182 /nfs/dbraw/zinc/34/61/82/287346182.db2.gz AIBTVMWLCZGKFW-KYOSRNDESA-N 0 1 279.384 0.888 20 30 CCEDMN N#CC1(NC(=O)CN(CCO)[C@@H]2CCCSC2)CCC1 ZINC000575197697 335079986 /nfs/dbraw/zinc/07/99/86/335079986.db2.gz WMTCLUSOGNNXEL-GFCCVEGCSA-N 0 1 297.424 0.739 20 30 CCEDMN C[C@@H]1COCCN1CCNC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000329026419 227263052 /nfs/dbraw/zinc/26/30/52/227263052.db2.gz OCBQMYHVTZCYRJ-GHMZBOCLSA-N 0 1 293.371 0.587 20 30 CCEDMN C[C@@H]1COCCN1CCNC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000329026419 227263056 /nfs/dbraw/zinc/26/30/56/227263056.db2.gz OCBQMYHVTZCYRJ-GHMZBOCLSA-N 0 1 293.371 0.587 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@@H]1CCO[C@H](C)C1 ZINC000329110354 227304512 /nfs/dbraw/zinc/30/45/12/227304512.db2.gz QGQKLLDIHDULTG-MGPQQGTHSA-N 0 1 298.431 0.693 20 30 CCEDMN O=C(NCCn1ccnc1)N1CCNC(=O)CC1 ZINC000329128642 227315497 /nfs/dbraw/zinc/31/54/97/227315497.db2.gz VNXPNFMLVFSLIN-UHFFFAOYSA-N 0 1 251.290 0.459 20 30 CCEDMN O=C(N[C@H]1CCc2nc[nH]c2C1)C1(CO)COC1 ZINC000330212934 228067506 /nfs/dbraw/zinc/06/75/06/228067506.db2.gz DYLMNEGNRIQVOY-QMMMGPOBSA-N 0 1 251.286 0.232 20 30 CCEDMN C[C@@H]1COCC[C@@H]1NC([O-])=[NH+]CCn1cncn1 ZINC000330247029 228078651 /nfs/dbraw/zinc/07/86/51/228078651.db2.gz LNLCPAMPAZNSDJ-ZJUUUORDSA-N 0 1 253.306 0.207 20 30 CCEDMN C[C@@H]1COCC[C@@H]1NC(=O)NCCn1cncn1 ZINC000330247029 228078652 /nfs/dbraw/zinc/07/86/52/228078652.db2.gz LNLCPAMPAZNSDJ-ZJUUUORDSA-N 0 1 253.306 0.207 20 30 CCEDMN O=C(NCCN1CCC1)N[C@H]1CCCn2ncnc21 ZINC000328712726 545019054 /nfs/dbraw/zinc/01/90/54/545019054.db2.gz NMFZHFRGSFJLCQ-JTQLQIEISA-N 0 1 264.333 0.322 20 30 CCEDMN CC(C)CN1CCN(C(=O)NC[C@H]2COCCO2)CC1 ZINC000328813021 545019084 /nfs/dbraw/zinc/01/90/84/545019084.db2.gz NEXCKPUMUABTFJ-ZDUSSCGKSA-N 0 1 285.388 0.589 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)CN2CCCCC2=O)C1 ZINC000329218866 545021282 /nfs/dbraw/zinc/02/12/82/545021282.db2.gz ALINJENUKYIYTL-GFCCVEGCSA-N 0 1 282.388 0.201 20 30 CCEDMN Cn1cc(C#N)c(NC(=O)N2CCCN(C)CC2)n1 ZINC000611532878 420495268 /nfs/dbraw/zinc/49/52/68/420495268.db2.gz LCURKPDWHLGTSR-UHFFFAOYSA-N 0 1 262.317 0.461 20 30 CCEDMN C=CCNC(=O)c1ccc(NC(=O)CNCCOC)cc1 ZINC000092495718 260270737 /nfs/dbraw/zinc/27/07/37/260270737.db2.gz YGSLFIAXHWHVDA-UHFFFAOYSA-N 0 1 291.351 0.777 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@@H](O)[C@H](C)C1 ZINC000249113953 261246570 /nfs/dbraw/zinc/24/65/70/261246570.db2.gz DANINUZZRDRNSY-CHWSQXEVSA-N 0 1 252.358 0.890 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@]1(C)CCC(=O)NC1 ZINC000490983895 261279194 /nfs/dbraw/zinc/27/91/94/261279194.db2.gz BRFWRNIZDIQSOQ-WFASDCNBSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCC(C(=O)OCCO[C@H](C)C#N)CC1 ZINC000491787488 262051794 /nfs/dbraw/zinc/05/17/94/262051794.db2.gz QPEIZFHYOKBCAM-GFCCVEGCSA-N 0 1 264.325 0.803 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)C(C)(C)C#N)CC2)n[nH]1 ZINC000118681240 262115994 /nfs/dbraw/zinc/11/59/94/262115994.db2.gz WFHLSHPZEMQOKR-UHFFFAOYSA-N 0 1 276.344 0.307 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@@H](C(N)=O)C(C)(C)C ZINC000109331536 262197783 /nfs/dbraw/zinc/19/77/83/262197783.db2.gz BCKPQTMDAPBJDR-JQWIXIFHSA-N 0 1 282.388 0.484 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1C[C@@H](O)C2(CC2)C1 ZINC000364320542 262201271 /nfs/dbraw/zinc/20/12/71/262201271.db2.gz XPSOQZKSPMWVQQ-GHMZBOCLSA-N 0 1 251.330 0.204 20 30 CCEDMN N#CCSCC(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000365558115 262370151 /nfs/dbraw/zinc/37/01/51/262370151.db2.gz PRFCYAKANJVPSV-LBPRGKRZSA-N 0 1 283.397 0.424 20 30 CCEDMN CC#CCCN1CCCC[C@@H]1CNS(C)(=O)=O ZINC000336718954 262383192 /nfs/dbraw/zinc/38/31/92/262383192.db2.gz MOWBLONNWFQMNM-GFCCVEGCSA-N 0 1 258.387 0.804 20 30 CCEDMN CCc1[nH]nc(C(=O)N2CCOC[C@H]2C#N)c1[N+](=O)[O-] ZINC000278438181 263048050 /nfs/dbraw/zinc/04/80/50/263048050.db2.gz FBHABRPRVHERGL-SSDOTTSWSA-N 0 1 279.256 0.245 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000282292015 263075685 /nfs/dbraw/zinc/07/56/85/263075685.db2.gz KXWAZGMDQBVZLF-MWLCHTKSSA-N 0 1 297.384 0.855 20 30 CCEDMN CN(C)c1ccc(C(N)=NOC[C@H]2CNC(=O)O2)cc1 ZINC000282365945 263076079 /nfs/dbraw/zinc/07/60/79/263076079.db2.gz XTSWQQGZYOKSJM-LLVKDONJSA-N 0 1 278.312 0.498 20 30 CCEDMN C[C@H]1CN(C(=O)N[C@@H]2CC[C@H](C#N)C2)[C@@H](C)CN1CCO ZINC000425868693 263087898 /nfs/dbraw/zinc/08/78/98/263087898.db2.gz TWFYVVXQCGOZQE-XDQVBPFNSA-N 0 1 294.399 0.775 20 30 CCEDMN CS[C@@H]1CN(S(=O)(=O)[C@@H](C)C#N)C[C@H]1N(C)C ZINC000416438830 263724678 /nfs/dbraw/zinc/72/46/78/263724678.db2.gz KQHNPQAWFGGHQF-IVZWLZJFSA-N 0 1 277.415 0.206 20 30 CCEDMN CCc1nc([C@@H](C)NC(=O)CSCC#N)n[nH]1 ZINC000330509078 263955829 /nfs/dbraw/zinc/95/58/29/263955829.db2.gz CPMQERUPIQGOPA-SSDOTTSWSA-N 0 1 253.331 0.801 20 30 CCEDMN CN(C)C(=O)NCCNC(=O)CN(C)[C@@H]1CCSC1 ZINC000330697619 264005959 /nfs/dbraw/zinc/00/59/59/264005959.db2.gz DLGZTEAGZIAHMJ-SNVBAGLBSA-N 0 1 288.417 0.016 20 30 CCEDMN CS(=O)(=O)N[C@@H]1CCN(Cc2ccc(CC#N)cc2)C1 ZINC000330819685 264047022 /nfs/dbraw/zinc/04/70/22/264047022.db2.gz FGIIVZHQCWCIGC-CQSZACIVSA-N 0 1 293.392 0.876 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N[C@H]1CCC[C@H]1C#N ZINC000330838366 264051957 /nfs/dbraw/zinc/05/19/57/264051957.db2.gz YAIVUNZKJKPYOF-RYUDHWBXSA-N 0 1 273.402 0.940 20 30 CCEDMN N#CCCCC(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000330883618 264062123 /nfs/dbraw/zinc/06/21/23/264062123.db2.gz DJPXADGMTUNCRF-CYBMUJFWSA-N 0 1 265.357 0.861 20 30 CCEDMN C[C@H](O)[C@@H]1CCN(C([O-])=[NH+]CCn2cccn2)C1 ZINC000330843204 264065965 /nfs/dbraw/zinc/06/59/65/264065965.db2.gz UCYGFLCIUZHFOX-WDEREUQCSA-N 0 1 252.318 0.500 20 30 CCEDMN N#CCCCC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000330910274 264075440 /nfs/dbraw/zinc/07/54/40/264075440.db2.gz YHBHHACGAHUUMZ-ZDUSSCGKSA-N 0 1 265.357 0.861 20 30 CCEDMN C[C@H](CCO)C[NH+]=C([O-])N[C@@H]1CCc2ncnn2C1 ZINC000330936208 264081195 /nfs/dbraw/zinc/08/11/95/264081195.db2.gz QZPGOKUYEUISMY-NXEZZACHSA-N 0 1 267.333 0.115 20 30 CCEDMN C[C@H](CCO)CNC(=O)N[C@@H]1CCc2ncnn2C1 ZINC000330936208 264081197 /nfs/dbraw/zinc/08/11/97/264081197.db2.gz QZPGOKUYEUISMY-NXEZZACHSA-N 0 1 267.333 0.115 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCO[C@@H](c2n[nH]c(C)n2)C1 ZINC000330967419 264091429 /nfs/dbraw/zinc/09/14/29/264091429.db2.gz QXIRBAPYGOLZIL-MFKMUULPSA-N 0 1 280.328 0.340 20 30 CCEDMN C=CCn1cc(CNC2CCN(CC(=O)OC)CC2)nn1 ZINC000425359204 264140363 /nfs/dbraw/zinc/14/03/63/264140363.db2.gz VPQTXSRPTYVZEY-UHFFFAOYSA-N 0 1 293.371 0.191 20 30 CCEDMN C[C@H](CO)N(C)C(=O)NCCN1CC[N@@H+](C)C(C)(C)C1 ZINC000331259908 264183820 /nfs/dbraw/zinc/18/38/20/264183820.db2.gz ABNZHRPWGHNCBV-GFCCVEGCSA-N 0 1 286.420 0.239 20 30 CCEDMN Cc1cnc(C(=O)NC[C@H]2CCCCN2CCO)cn1 ZINC000331342417 264195538 /nfs/dbraw/zinc/19/55/38/264195538.db2.gz TVQAPMFFOXMTCF-GFCCVEGCSA-N 0 1 278.356 0.936 20 30 CCEDMN CCCNC(=O)NC(=O)CN1CC[C@H](C)C[C@@H]1[C@H](C)O ZINC000331294210 264197821 /nfs/dbraw/zinc/19/78/21/264197821.db2.gz RKBLQUWKMOPRML-SDDRHHMPSA-N 0 1 285.388 0.908 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(CC)(CO)CC1 ZINC000128177045 264289015 /nfs/dbraw/zinc/28/90/15/264289015.db2.gz LQIQZDLJJSCTOL-GFCCVEGCSA-N 0 1 297.399 0.871 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC000129589933 264328126 /nfs/dbraw/zinc/32/81/26/264328126.db2.gz KGUQJTAJYLKZDA-RDBSUJKOSA-N 0 1 274.368 0.904 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@H](C)CN2CCOCC2)nn1 ZINC000424909083 264374578 /nfs/dbraw/zinc/37/45/78/264374578.db2.gz RYXDTTUCXZFGRA-GFCCVEGCSA-N 0 1 293.371 0.049 20 30 CCEDMN C=CCCOCCNC(=O)N[C@H](C)c1nnc[nH]1 ZINC000183393676 264563618 /nfs/dbraw/zinc/56/36/18/264563618.db2.gz PORHXTFQSWGCBQ-SECBINFHSA-N 0 1 253.306 0.758 20 30 CCEDMN CNC(=O)[C@H]1CCCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347049861 265123342 /nfs/dbraw/zinc/12/33/42/265123342.db2.gz JQOHTMZYHJMTNV-NSHDSACASA-N 0 1 278.356 0.007 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000356450677 266117026 /nfs/dbraw/zinc/11/70/26/266117026.db2.gz FQROFTPJVLFIOU-CQSZACIVSA-N 0 1 283.416 0.577 20 30 CCEDMN C=C[C@H](CO)NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000356855587 266192351 /nfs/dbraw/zinc/19/23/51/266192351.db2.gz NIXOCZHARGFCID-MRVPVSSYSA-N 0 1 252.226 0.577 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)N1CC(O)(CC#N)C1 ZINC000356878227 266202833 /nfs/dbraw/zinc/20/28/33/266202833.db2.gz GKKLHMIZMFOSTQ-UHFFFAOYSA-N 0 1 279.365 0.910 20 30 CCEDMN N#CCNC(=O)CN(CCO)[C@H]1CCc2ccccc21 ZINC000114467001 266289444 /nfs/dbraw/zinc/28/94/44/266289444.db2.gz MSQIDYKRKLURJZ-AWEZNQCLSA-N 0 1 273.336 0.608 20 30 CCEDMN CCC[C@H]1CN(C(=O)CC#N)CCN1CCOC ZINC000357566630 266326946 /nfs/dbraw/zinc/32/69/46/266326946.db2.gz POUGVWQMJTUTQK-LBPRGKRZSA-N 0 1 253.346 0.859 20 30 CCEDMN C[C@H]1C[C@H](NS(=O)(=O)N(C)CCC#N)CCN1C ZINC000360654693 266731945 /nfs/dbraw/zinc/73/19/45/266731945.db2.gz VQDSHYWZDVYQQD-WDEREUQCSA-N 0 1 274.390 0.149 20 30 CCEDMN C#CCCNC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000369283577 267230717 /nfs/dbraw/zinc/23/07/17/267230717.db2.gz CPELFENIPWGBOO-AWEZNQCLSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCCNC(=O)NC[C@H]1C[N@H+]2CCC[C@@H]2CO1 ZINC000369285608 267232164 /nfs/dbraw/zinc/23/21/64/267232164.db2.gz PZVPFXPEODZLCQ-NEPJUHHUSA-N 0 1 251.330 0.172 20 30 CCEDMN Cn1ncc(C(=O)N2CCN3CCC2CC3)c1C#N ZINC000530295676 268132158 /nfs/dbraw/zinc/13/21/58/268132158.db2.gz HPTSBOGBKVCOOQ-UHFFFAOYSA-N 0 1 259.313 0.212 20 30 CCEDMN CN1C[C@H](NC(=O)N2CCN3CCCC[C@@H]3C2)CC1=O ZINC000330153748 277201459 /nfs/dbraw/zinc/20/14/59/277201459.db2.gz XKJJJFCOSHBGIB-VXGBXAGGSA-N 0 1 280.372 0.301 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](C(=O)OC)[C@@H]1C ZINC000346677423 279224590 /nfs/dbraw/zinc/22/45/90/279224590.db2.gz ZQIHFOZHXIPHME-AGIUHOORSA-N 0 1 295.383 0.878 20 30 CCEDMN CN1CC[C@@H]2CCN(S(=O)(=O)CC3(C#N)CC3)[C@H]2C1 ZINC000329596842 290158197 /nfs/dbraw/zinc/15/81/97/290158197.db2.gz CFOBUHHBDBQNGQ-NEPJUHHUSA-N 0 1 283.397 0.646 20 30 CCEDMN O=C(N[C@@H]1CCc2ncnn2C1)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000329765642 295384652 /nfs/dbraw/zinc/38/46/52/295384652.db2.gz XEOMSSMYWNHGCT-QCNOEVLYSA-N 0 1 291.355 0.210 20 30 CCEDMN C=CCOC[C@H](NC(=O)C[N@H+]1CC[C@H](C)C1)C(=O)OC ZINC000563391934 328060628 /nfs/dbraw/zinc/06/06/28/328060628.db2.gz CSPKCDPDLAMBQP-RYUDHWBXSA-N 0 1 284.356 0.189 20 30 CCEDMN C=CCOC[C@H](NC(=O)CN1CC[C@H](C)C1)C(=O)OC ZINC000563391934 328060630 /nfs/dbraw/zinc/06/06/30/328060630.db2.gz CSPKCDPDLAMBQP-RYUDHWBXSA-N 0 1 284.356 0.189 20 30 CCEDMN CC[C@H](CC#N)NC[C@H](O)COC1CCOCC1 ZINC000571175219 328090870 /nfs/dbraw/zinc/09/08/70/328090870.db2.gz AYMAWROBFFZKSS-NEPJUHHUSA-N 0 1 256.346 0.825 20 30 CCEDMN C=CCNC(=O)CNCc1ccc2c(c1)NC(=O)CO2 ZINC000293527215 328101237 /nfs/dbraw/zinc/10/12/37/328101237.db2.gz DOLRDAJFCPYBHI-UHFFFAOYSA-N 0 1 275.308 0.409 20 30 CCEDMN CC(C)c1cc(C(=O)N2CCN(C(=O)CC#N)CC2)n[nH]1 ZINC000288280680 328252793 /nfs/dbraw/zinc/25/27/93/328252793.db2.gz ZXJYDHNUFJVWEE-UHFFFAOYSA-N 0 1 289.339 0.731 20 30 CCEDMN C[C@@H](C#N)CNC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000535048484 328380683 /nfs/dbraw/zinc/38/06/83/328380683.db2.gz VCKHQRUBAHHWGJ-LBPRGKRZSA-N 0 1 287.367 0.723 20 30 CCEDMN CN1CCN(CCNC(=O)C2(C#N)CCCC2)CC1 ZINC000069644838 326902681 /nfs/dbraw/zinc/90/26/81/326902681.db2.gz WLHNCGUZWMZCFT-UHFFFAOYSA-N 0 1 264.373 0.434 20 30 CCEDMN C=CCN(CCOC)C(=O)C(=O)N(C)Cc1cnc[nH]1 ZINC000569501456 327186464 /nfs/dbraw/zinc/18/64/64/327186464.db2.gz BYVOUYXVBLAPNU-UHFFFAOYSA-N 0 1 280.328 0.029 20 30 CCEDMN C=C(C)CS(=O)(=O)NCCCCN1CCN(C)CC1 ZINC000555849119 327250530 /nfs/dbraw/zinc/25/05/30/327250530.db2.gz SGYMNFYGVCUFKE-UHFFFAOYSA-N 0 1 289.445 0.510 20 30 CCEDMN CC[C@H](CO)N(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000345827349 327257724 /nfs/dbraw/zinc/25/77/24/327257724.db2.gz HIKPYDCEWQPZBS-DGCLKSJQSA-N 0 1 253.346 0.498 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(OC[C@@H]2CCCO2)CC1 ZINC000177454957 329184396 /nfs/dbraw/zinc/18/43/96/329184396.db2.gz QOJXIGOODXBPRC-HIFRSBDPSA-N 0 1 294.395 0.784 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@H](n3ccnn3)C2)C1=O ZINC000583021359 329298636 /nfs/dbraw/zinc/29/86/36/329298636.db2.gz QWBZKCYSJROBEC-QWHCGFSZSA-N 0 1 275.356 0.702 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)N1CCNC[C@H]1C ZINC000583150874 329323137 /nfs/dbraw/zinc/32/31/37/329323137.db2.gz QQNMGMIAQHAOLG-LLVKDONJSA-N 0 1 289.335 0.766 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCCC[C@@H]1CO ZINC000079962858 330072458 /nfs/dbraw/zinc/07/24/58/330072458.db2.gz ALIZLHGPVMBISJ-GFCCVEGCSA-N 0 1 253.346 0.595 20 30 CCEDMN CC(C)(CC#N)CNC(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000422274819 330138437 /nfs/dbraw/zinc/13/84/37/330138437.db2.gz ZGJXUSPCTXCNDN-LLVKDONJSA-N 0 1 292.383 0.395 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@H]2Cc3ccccc3CN2)nn1 ZINC000424203028 330327123 /nfs/dbraw/zinc/32/71/23/330327123.db2.gz BFTDPHVNNSWSII-HNNXBMFYSA-N 0 1 297.362 0.795 20 30 CCEDMN C=CCn1cc(CN[C@@H]2CCC(=O)NC2(C)C)nn1 ZINC000424292082 330335016 /nfs/dbraw/zinc/33/50/16/330335016.db2.gz GBNBMBXSFZUGLI-LLVKDONJSA-N 0 1 263.345 0.611 20 30 CCEDMN N#CCNC(=O)CN(C[C@H]1CCCO1)[C@@H]1CCSC1 ZINC000089952609 330389134 /nfs/dbraw/zinc/38/91/34/330389134.db2.gz BICYFHGARZKWFG-VXGBXAGGSA-N 0 1 283.397 0.613 20 30 CCEDMN CN(CCC#N)C(=O)CCN1C[C@H]2CC[C@@H](C1)O2 ZINC000090906199 330397128 /nfs/dbraw/zinc/39/71/28/330397128.db2.gz XROXPFAJWHKTFJ-TXEJJXNPSA-N 0 1 251.330 0.612 20 30 CCEDMN CN(CCNS(=O)(=O)CC1(CC#N)CC1)C1CC1 ZINC000451110205 331015704 /nfs/dbraw/zinc/01/57/04/331015704.db2.gz DQTBXGLIYYGIJV-UHFFFAOYSA-N 0 1 271.386 0.694 20 30 CCEDMN C=C(C)CCNC(=O)NC[C@H]1CN(C)CCN1C ZINC000454487549 331147279 /nfs/dbraw/zinc/14/72/79/331147279.db2.gz PBFSGTNMZMAYLQ-LBPRGKRZSA-N 0 1 254.378 0.498 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCOCCOC ZINC000490617950 332096269 /nfs/dbraw/zinc/09/62/69/332096269.db2.gz STBNDYYJCMETIJ-CYBMUJFWSA-N 0 1 269.341 0.680 20 30 CCEDMN C#CCN1CCN(C(=O)CN(CC)C[C@H]2CCCO2)CC1 ZINC000490740317 332110983 /nfs/dbraw/zinc/11/09/83/332110983.db2.gz JWOCKJWKPHMNOW-OAHLLOKOSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)COC1CCOCC1 ZINC000490899665 332127453 /nfs/dbraw/zinc/12/74/53/332127453.db2.gz PLBWRUSLKNIQFQ-ZDUSSCGKSA-N 0 1 281.352 0.823 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)NC(C)(C)CC)CC1 ZINC000491070000 332145800 /nfs/dbraw/zinc/14/58/00/332145800.db2.gz ZZTBDYDLJCDEHL-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN(C1CC1)S(=O)(=O)CCN1CCCC1 ZINC000491314702 332188351 /nfs/dbraw/zinc/18/83/51/332188351.db2.gz ICIXOWMTPBECSA-UHFFFAOYSA-N 0 1 256.371 0.510 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@H]1CN(C)CCN1C)C(C)(C)C ZINC000491339132 332192884 /nfs/dbraw/zinc/19/28/84/332192884.db2.gz NUYGKHXBCJDWOD-STQMWFEESA-N 0 1 280.416 0.579 20 30 CCEDMN C#C[C@@H](NC(=O)NC[C@@H]1CN(C)CCN1C)C(C)(C)C ZINC000491339129 332192972 /nfs/dbraw/zinc/19/29/72/332192972.db2.gz NUYGKHXBCJDWOD-CHWSQXEVSA-N 0 1 280.416 0.579 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC([C@@H]2NC(=O)C2(C)C)CC1 ZINC000491387889 332202607 /nfs/dbraw/zinc/20/26/07/332202607.db2.gz ZIELPIBJNKKTHU-AAEUAGOBSA-N 0 1 291.395 0.361 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@H](NC(C)=O)C1CCCC1 ZINC000491451952 332213964 /nfs/dbraw/zinc/21/39/64/332213964.db2.gz ILEUHVOTZQHSGZ-OAHLLOKOSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(O[C@@H]2CCOC2)CC1 ZINC000491483694 332219509 /nfs/dbraw/zinc/21/95/09/332219509.db2.gz DNKAHYWYZSBULX-TZMCWYRMSA-N 0 1 280.368 0.394 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)Cc1ccn(C)n1 ZINC000491617201 332244120 /nfs/dbraw/zinc/24/41/20/332244120.db2.gz BXSWXLOIACNNRQ-ZDUSSCGKSA-N 0 1 261.325 0.603 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000491640406 332260358 /nfs/dbraw/zinc/26/03/58/332260358.db2.gz OLCSGZQJNVKJAZ-QWRGUYRKSA-N 0 1 274.324 0.311 20 30 CCEDMN C=CCN(C(=O)/C=C\CN(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000491640849 332260596 /nfs/dbraw/zinc/26/05/96/332260596.db2.gz JRYJGQWZGCMSIN-FOQNGQEVSA-N 0 1 286.397 0.306 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000491657194 332268550 /nfs/dbraw/zinc/26/85/50/332268550.db2.gz UQMUZGPOBDJTDQ-ZDUSSCGKSA-N 0 1 282.303 0.946 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](O)C2(C)C)CC1 ZINC000491660085 332270618 /nfs/dbraw/zinc/27/06/18/332270618.db2.gz NDMLHRBKTYFWTD-CYBMUJFWSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN(C(=O)C(=O)N1CCN[C@H](C)C1)C1CCCCC1 ZINC000491734623 332315246 /nfs/dbraw/zinc/31/52/46/332315246.db2.gz OZCSWWQDWCIJPB-CYBMUJFWSA-N 0 1 291.395 0.601 20 30 CCEDMN C[C@H](CS(C)(=O)=O)NCc1cnc2ccc(C#N)cn12 ZINC000172340153 335101789 /nfs/dbraw/zinc/10/17/89/335101789.db2.gz FMLFDWMZDLNNHP-SNVBAGLBSA-N 0 1 292.364 0.729 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)NCc1n[nH]c(C2CC2)n1 ZINC000491773624 332339858 /nfs/dbraw/zinc/33/98/58/332339858.db2.gz SGZGFSMKIVHXIZ-JTQLQIEISA-N 0 1 275.312 0.226 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C)Cc1nnc[nH]1 ZINC000174826016 333008223 /nfs/dbraw/zinc/00/82/23/333008223.db2.gz VOUDZFPDKSQPOT-UHFFFAOYSA-N 0 1 251.334 0.661 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H]2CCOC2)CC1 ZINC000177349204 333057657 /nfs/dbraw/zinc/05/76/57/333057657.db2.gz IDFQJTGNKSTROT-CYBMUJFWSA-N 0 1 264.369 0.827 20 30 CCEDMN C=CCCn1cc(C(=O)NCCCc2nc[nH]n2)nn1 ZINC000521059361 333110773 /nfs/dbraw/zinc/11/07/73/333110773.db2.gz OPMBSYVFDIJKQB-UHFFFAOYSA-N 0 1 275.316 0.335 20 30 CCEDMN C#CCNC(=O)NCc1n[nH]c(COc2ccccc2)n1 ZINC000184720715 333131599 /nfs/dbraw/zinc/13/15/99/333131599.db2.gz IIIBCYDUIQCTMU-UHFFFAOYSA-N 0 1 285.307 0.816 20 30 CCEDMN C#CCN(C)C(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000191004553 333213332 /nfs/dbraw/zinc/21/33/32/333213332.db2.gz ZWQPCCCLSNMCSY-UHFFFAOYSA-N 0 1 287.344 0.927 20 30 CCEDMN C#CCN(C)C(=O)c1ccc2c(c1)[nH]c(=S)[nH]c2=O ZINC000191522260 333220982 /nfs/dbraw/zinc/22/09/82/333220982.db2.gz OEONXXBROIJQNX-UHFFFAOYSA-N 0 1 273.317 0.917 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NC[C@@H]1COCCN1 ZINC000529332851 333482791 /nfs/dbraw/zinc/48/27/91/333482791.db2.gz XNMKBGBJMYGNSC-XQQFMLRXSA-N 0 1 283.372 0.255 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000576083202 335150558 /nfs/dbraw/zinc/15/05/58/335150558.db2.gz JGNFBJFJFAGCLI-NEPJUHHUSA-N 0 1 293.371 0.332 20 30 CCEDMN C[C@@H](CO)N(C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000135508987 334132079 /nfs/dbraw/zinc/13/20/79/334132079.db2.gz OANIPBGMEKBEAI-LBPRGKRZSA-N 0 1 267.373 0.984 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2[nH]nc3ccccc32)C1 ZINC000548003211 334134624 /nfs/dbraw/zinc/13/46/24/334134624.db2.gz CSWKYGBTLXMHRA-UHFFFAOYSA-N 0 1 256.265 0.663 20 30 CCEDMN C#CCNC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000079264069 334151032 /nfs/dbraw/zinc/15/10/32/334151032.db2.gz OZBBXYZUWWSWDG-ZDUSSCGKSA-N 0 1 266.389 0.191 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cccc3c[nH]nc32)C1 ZINC000548331148 334151220 /nfs/dbraw/zinc/15/12/20/334151220.db2.gz HCAYVJNWMXOHSW-UHFFFAOYSA-N 0 1 256.265 0.663 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCN(C[C@H](C)O)C[C@H]1C ZINC000249512437 334335291 /nfs/dbraw/zinc/33/52/91/334335291.db2.gz OJAOUQFDNVYXMU-CABCVRRESA-N 0 1 297.443 0.798 20 30 CCEDMN CC[C@@H](CO)N(C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000345826593 335338084 /nfs/dbraw/zinc/33/80/84/335338084.db2.gz GKAWGQFHWIGMAM-AAEUAGOBSA-N 0 1 255.362 0.744 20 30 CCEDMN CC(C)(O)CN1CCN(Cc2ccc(C#N)cn2)CC1 ZINC000578594299 335652170 /nfs/dbraw/zinc/65/21/70/335652170.db2.gz RDEWTHVMUTYRAU-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN C[C@@H]1[C@@H](CO)CCN1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000385965443 336163586 /nfs/dbraw/zinc/16/35/86/336163586.db2.gz ZKGYLWHFFYAANB-JTNHKYCSSA-N 0 1 265.357 0.498 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CC(NC(=O)C2CC2)C1 ZINC000330297513 533846603 /nfs/dbraw/zinc/84/66/03/533846603.db2.gz GXCDMAAZIMEANU-NSHDSACASA-N 0 1 295.383 0.532 20 30 CCEDMN N#Cc1cccc(CNC(=O)CN2CCc3n[nH]nc3C2)c1 ZINC000429315894 534807381 /nfs/dbraw/zinc/80/73/81/534807381.db2.gz HGJRFLITPCWVJN-UHFFFAOYSA-N 0 1 296.334 0.351 20 30 CCEDMN Nc1ncncc1CNC(=O)[C@H]1CCCN1C1CC1 ZINC000330244833 534837949 /nfs/dbraw/zinc/83/79/49/534837949.db2.gz XKGBTOXWRCHVKW-LLVKDONJSA-N 0 1 261.329 0.972 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)C[C@H]1CCCO1 ZINC000246093950 534861833 /nfs/dbraw/zinc/86/18/33/534861833.db2.gz IHNSUDLDMSWBJL-GHMZBOCLSA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCN(C(=O)NCc1n[nH]c(COC)n1)[C@@H](C)COC ZINC000666112424 545112450 /nfs/dbraw/zinc/11/24/50/545112450.db2.gz CGWAJRDIUGVILE-JTQLQIEISA-N 0 1 297.359 0.684 20 30 CCEDMN C=CCN(C(=O)NCc1nnc(COC)[nH]1)[C@@H](C)COC ZINC000666112424 545112451 /nfs/dbraw/zinc/11/24/51/545112451.db2.gz CGWAJRDIUGVILE-JTQLQIEISA-N 0 1 297.359 0.684 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(CC)C[C@@H]2C)C1=O ZINC000352698124 526509240 /nfs/dbraw/zinc/50/92/40/526509240.db2.gz LWRSUZISHVSAJR-STQMWFEESA-N 0 1 251.374 0.799 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC([C@H](C)O)CC1 ZINC000111288306 526606741 /nfs/dbraw/zinc/60/67/41/526606741.db2.gz ANSDOFRAEFJXGH-QWRGUYRKSA-N 0 1 283.372 0.480 20 30 CCEDMN C=CCOCCCC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000352197422 526732926 /nfs/dbraw/zinc/73/29/26/526732926.db2.gz RMLFERMXBGEJDQ-UONOGXRCSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCOCCCNC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000666515766 545124690 /nfs/dbraw/zinc/12/46/90/545124690.db2.gz OEPWTBMNXINIKZ-UHFFFAOYSA-N 0 1 299.415 0.677 20 30 CCEDMN C=CCOCCCNC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000666515463 545124798 /nfs/dbraw/zinc/12/47/98/545124798.db2.gz CWJFPPLRKDCIRP-ZIAGYGMSSA-N 0 1 299.415 0.676 20 30 CCEDMN C=CCCOCCNC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000354610117 527350563 /nfs/dbraw/zinc/35/05/63/527350563.db2.gz JQWCIHDTJKNTEU-KGLIPLIRSA-N 0 1 299.415 0.676 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)N1CCN[C@H](C)C1 ZINC000333563836 527470532 /nfs/dbraw/zinc/47/05/32/527470532.db2.gz LRGKWBZIHGWMHT-UTUOFQBUSA-N 0 1 253.346 0.723 20 30 CCEDMN C=C[C@](C)(O)C(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000668373208 545175646 /nfs/dbraw/zinc/17/56/46/545175646.db2.gz ZSRVWFXJWKIVIH-YPMHNXCESA-N 0 1 256.346 0.150 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000668373206 545175728 /nfs/dbraw/zinc/17/57/28/545175728.db2.gz ZSRVWFXJWKIVIH-DGCLKSJQSA-N 0 1 256.346 0.150 20 30 CCEDMN CCN1CCOC[C@@H]1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329181444 527715935 /nfs/dbraw/zinc/71/59/35/527715935.db2.gz OPUQNRWFVZVAHP-GXFFZTMASA-N 0 1 278.356 0.944 20 30 CCEDMN CCN(CC(=O)N[C@](C)(C#N)C1CC1)C[C@H](C)O ZINC000352222902 527918576 /nfs/dbraw/zinc/91/85/76/527918576.db2.gz SUNCARCCOLICIF-GXFFZTMASA-N 0 1 253.346 0.498 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N(C)CCC(N)=O ZINC000353193455 527934017 /nfs/dbraw/zinc/93/40/17/527934017.db2.gz NQOLGQWOLGOHPZ-MFKMUULPSA-N 0 1 268.361 0.237 20 30 CCEDMN CCN(CCCO)CC(=O)N(CC)C[C@H](C)C#N ZINC000347512603 528467547 /nfs/dbraw/zinc/46/75/47/528467547.db2.gz DQTQYIFZRQIHFW-GFCCVEGCSA-N 0 1 255.362 0.699 20 30 CCEDMN CCN1CCN(CC(=O)NCc2ccc(C#N)cc2)CC1 ZINC000298457491 529138247 /nfs/dbraw/zinc/13/82/47/529138247.db2.gz JWVILAOWTSBLAN-UHFFFAOYSA-N 0 1 286.379 0.812 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000352859452 529349608 /nfs/dbraw/zinc/34/96/08/529349608.db2.gz FQZDTNXIHJYDBJ-GXTWGEPZSA-N 0 1 266.389 0.678 20 30 CCEDMN CCNC(=O)[C@H](C)NCc1cnc2ccc(C#N)cn12 ZINC000347674149 535404444 /nfs/dbraw/zinc/40/44/44/535404444.db2.gz KZNDNASDDRYMPF-JTQLQIEISA-N 0 1 271.324 0.820 20 30 CCEDMN CCN1CCN(C(=O)[C@@H](C)n2cnc(C#N)n2)[C@@H](C)C1 ZINC000341792780 535630130 /nfs/dbraw/zinc/63/01/30/535630130.db2.gz VOHAMLBOEXRXGP-WDEREUQCSA-N 0 1 276.344 0.263 20 30 CCEDMN COCC#CCN1CCC(C(=O)N2CCOCC2)CC1 ZINC000677133472 545358376 /nfs/dbraw/zinc/35/83/76/545358376.db2.gz XQZFFNILXKFFLX-UHFFFAOYSA-N 0 1 280.368 0.207 20 30 CCEDMN COc1cc(C#N)ccc1S(=O)(=O)N[C@H](C)CN(C)C ZINC000344911714 536884946 /nfs/dbraw/zinc/88/49/46/536884946.db2.gz FLKFZRIUIQHYOI-SNVBAGLBSA-N 0 1 297.380 0.795 20 30 CCEDMN COCC#CCN1CCOC2(CCOCC2)CC1 ZINC000681099662 545442799 /nfs/dbraw/zinc/44/27/99/545442799.db2.gz KKIPUBHHWVBORZ-UHFFFAOYSA-N 0 1 253.342 0.908 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2nnc(OC)s2)CC1 ZINC000788616413 581118047 /nfs/dbraw/zinc/11/80/47/581118047.db2.gz MHHVXCQHUZJTCE-UHFFFAOYSA-N 0 1 280.353 0.830 20 30 CCEDMN N#CCCCC[NH2+]Cc1cnc2c(-c3nnn[n-]3)cnn2c1 ZINC000737688371 581134503 /nfs/dbraw/zinc/13/45/03/581134503.db2.gz SFYMPEMGFJCVTB-UHFFFAOYSA-N 0 1 297.326 0.693 20 30 CCEDMN N#CCCCC[NH2+]Cc1cnc2c(-c3nn[n-]n3)cnn2c1 ZINC000737688371 581134504 /nfs/dbraw/zinc/13/45/04/581134504.db2.gz SFYMPEMGFJCVTB-UHFFFAOYSA-N 0 1 297.326 0.693 20 30 CCEDMN N#Cc1ccc(CNC(=O)c2n[nH]cc2[N+](=O)[O-])nc1 ZINC000782021011 581139512 /nfs/dbraw/zinc/13/95/12/581139512.db2.gz ZVMXLIGJPRWQRU-UHFFFAOYSA-N 0 1 272.224 0.515 20 30 CCEDMN COc1cccc(C=NNc2nccn(C)c2=O)n1 ZINC000790167380 581178850 /nfs/dbraw/zinc/17/88/50/581178850.db2.gz REHNXQHSIBRLHJ-UHFFFAOYSA-N 0 1 259.269 0.630 20 30 CCEDMN CCN(C)c1ccc(C=NNC2=NC[C@@H](C)N2)cn1 ZINC000790174630 581179310 /nfs/dbraw/zinc/17/93/10/581179310.db2.gz USNKHJFKKGILTM-SNVBAGLBSA-N 0 1 260.345 0.809 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)NCc2cnc[nH]2)cc1 ZINC000780946726 581251522 /nfs/dbraw/zinc/25/15/22/581251522.db2.gz FWJSJKLQMYDVAE-LBPRGKRZSA-N 0 1 256.265 0.631 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)[C@@H]1CCCN1C ZINC000781348318 581265738 /nfs/dbraw/zinc/26/57/38/581265738.db2.gz GAPHDZNUXPJAOC-NSHDSACASA-N 0 1 254.330 0.658 20 30 CCEDMN COc1nccnc1C=NNc1ccnc(N(C)C)n1 ZINC000793015982 581280539 /nfs/dbraw/zinc/28/05/39/581280539.db2.gz LHKGPMWZGCBJGF-UHFFFAOYSA-N 0 1 273.300 0.787 20 30 CCEDMN N#Cc1cnn(CCOC(=O)c2ccc3cncn3c2)c1N ZINC000793143188 581285327 /nfs/dbraw/zinc/28/53/27/581285327.db2.gz XEADIFYDCFLLGI-UHFFFAOYSA-N 0 1 296.290 0.842 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCCCN(C)C(=O)[O-] ZINC000738462270 581334656 /nfs/dbraw/zinc/33/46/56/581334656.db2.gz XZNNWWDILMGMRW-NSHDSACASA-N 0 1 267.329 0.200 20 30 CCEDMN Cc1nn(-c2ccncc2)cc1C=NOCC(N)=O ZINC000783862136 581365987 /nfs/dbraw/zinc/36/59/87/581365987.db2.gz CFXDPBZQKAEHSD-UHFFFAOYSA-N 0 1 259.269 0.412 20 30 CCEDMN C#CCNC(=O)CON=C(N)CN1[C@@H](C)CCC[C@@H]1C ZINC000794532096 581375073 /nfs/dbraw/zinc/37/50/73/581375073.db2.gz SWIMHGRTAYVCCA-RYUDHWBXSA-N 0 1 280.372 0.497 20 30 CCEDMN N#CCCNC(=O)COC(=O)c1cccc2[nH]ncc21 ZINC000785589326 581413219 /nfs/dbraw/zinc/41/32/19/581413219.db2.gz CNAWUXRFFVPASW-UHFFFAOYSA-N 0 1 272.264 0.750 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1CCCN1C1CC1)[C@H]1CCCO1 ZINC000787534702 581472139 /nfs/dbraw/zinc/47/21/39/581472139.db2.gz SHZPNRADMYVTRI-MELADBBJSA-N 0 1 262.353 0.910 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)OC)cn1 ZINC000765240935 581507090 /nfs/dbraw/zinc/50/70/90/581507090.db2.gz GTUVVTJUULYEIG-ZDUSSCGKSA-N 0 1 298.302 0.300 20 30 CCEDMN CCOC(=O)NCCCC(=O)C(C#N)C(=O)NC(C)C ZINC000752477967 581507173 /nfs/dbraw/zinc/50/71/73/581507173.db2.gz URVQJGQPNQUPGS-JTQLQIEISA-N 0 1 283.328 0.746 20 30 CCEDMN N#Cc1csc(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)c1 ZINC000752625840 581511071 /nfs/dbraw/zinc/51/10/71/581511071.db2.gz IFWCGIFZGGRHCZ-QMMMGPOBSA-N 0 1 290.308 0.347 20 30 CCEDMN C=CC[NH+]1CCC(Nc2nccnc2-c2nnn[n-]2)CC1 ZINC000735429739 581646546 /nfs/dbraw/zinc/64/65/46/581646546.db2.gz WJZJSGSCUYOSFT-UHFFFAOYSA-N 0 1 286.343 0.719 20 30 CCEDMN C=CC[NH+]1CCC(Nc2nccnc2-c2nn[n-]n2)CC1 ZINC000735429739 581646549 /nfs/dbraw/zinc/64/65/49/581646549.db2.gz WJZJSGSCUYOSFT-UHFFFAOYSA-N 0 1 286.343 0.719 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000735416926 581647902 /nfs/dbraw/zinc/64/79/02/581647902.db2.gz UJBAYDLTZNWIKV-UWVGGRQHSA-N 0 1 281.316 0.081 20 30 CCEDMN Cc1cc(CC(=O)OCC(=O)N[C@@](C)(C#N)C2CC2)n[nH]1 ZINC000756700592 581675484 /nfs/dbraw/zinc/67/54/84/581675484.db2.gz LJRXYVNCFFNHAK-AWEZNQCLSA-N 0 1 290.323 0.612 20 30 CCEDMN C[C@@H](C(=O)N(CC#N)CC(=O)[O-])[N@@H+](C)Cc1ccccc1 ZINC000736200384 581714136 /nfs/dbraw/zinc/71/41/36/581714136.db2.gz PQQFLYUAZRJODF-LBPRGKRZSA-N 0 1 289.335 0.944 20 30 CCEDMN COC(=O)NCCCC(=O)C(C#N)C(=O)NC(C)C ZINC000730920537 581746405 /nfs/dbraw/zinc/74/64/05/581746405.db2.gz NHZNZMXUGWQMBD-VIFPVBQESA-N 0 1 269.301 0.356 20 30 CCEDMN CC(C)[N@@H+](CCC(=O)[O-])CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000736466264 581773316 /nfs/dbraw/zinc/77/33/16/581773316.db2.gz UDLQQAAMVQDZEK-AWEZNQCLSA-N 0 1 281.356 0.980 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CN(C)C(=O)C1CC1 ZINC000759326366 581792526 /nfs/dbraw/zinc/79/25/26/581792526.db2.gz UVDPZICRHNPFHJ-CYBMUJFWSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](C(=O)N2CCCC2)C1 ZINC000732412389 581826572 /nfs/dbraw/zinc/82/65/72/581826572.db2.gz MNNAHISKFGVYAJ-CYBMUJFWSA-N 0 1 277.368 0.070 20 30 CCEDMN C=C[C@@H](C)NC(=O)C(=NOCC(=O)OCC)c1ccn[nH]1 ZINC000772353944 581854084 /nfs/dbraw/zinc/85/40/84/581854084.db2.gz GQLNWWLDYLVXHC-SECBINFHSA-N 0 1 294.311 0.384 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2ccc(C#N)cc2)CCN1C ZINC000761071578 581885844 /nfs/dbraw/zinc/88/58/44/581885844.db2.gz QBJROXRBARNXEA-CYBMUJFWSA-N 0 1 286.379 0.810 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)CCN1C ZINC000761074678 581886114 /nfs/dbraw/zinc/88/61/14/581886114.db2.gz IVCUMJQUDLMDPL-BXUZGUMPSA-N 0 1 264.373 0.431 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(C2CCC2)CC1 ZINC000733276810 581896467 /nfs/dbraw/zinc/89/64/67/581896467.db2.gz XKRNZVIWYXGDOV-HNNXBMFYSA-N 0 1 275.396 0.781 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)C[C@@H](C)C(=O)[O-] ZINC000737078800 581897574 /nfs/dbraw/zinc/89/75/74/581897574.db2.gz CISGTBLDEGQRLD-WDEREUQCSA-N 0 1 269.345 0.647 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@H](COC)C2=O)CC1 ZINC000761247720 581899356 /nfs/dbraw/zinc/89/93/56/581899356.db2.gz DQMSJKCQCHLOIT-LLVKDONJSA-N 0 1 267.329 0.204 20 30 CCEDMN C[C@H](O)c1ncc(C=NNC2=NC[C@@H](C)N2)s1 ZINC000773080668 581908454 /nfs/dbraw/zinc/90/84/54/581908454.db2.gz XBUONZZBCQIXEW-RQJHMYQMSA-N 0 1 253.331 0.468 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)Cc1[nH]nc2ccccc21 ZINC000746587055 581919682 /nfs/dbraw/zinc/91/96/82/581919682.db2.gz UWIDIWQAOHJXNL-SNVBAGLBSA-N 0 1 285.303 0.787 20 30 CCEDMN CON=CC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000773727787 581939630 /nfs/dbraw/zinc/93/96/30/581939630.db2.gz NSFRDMCBMZBULF-LLVKDONJSA-N 0 1 257.334 0.092 20 30 CCEDMN CON=CC(=O)NCCc1nnc(-c2ccccc2)[nH]1 ZINC000774098700 581958983 /nfs/dbraw/zinc/95/89/83/581958983.db2.gz BRFLQLVKZWWXIC-UHFFFAOYSA-N 0 1 273.296 0.763 20 30 CCEDMN CC(N=Nc1nccn(C)c1=O)c1csc(N)n1 ZINC000748080212 581961335 /nfs/dbraw/zinc/96/13/35/581961335.db2.gz KXRJNXYLZFEVOH-UHFFFAOYSA-N 0 1 264.314 0.655 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCc2ccc(CO)o2)o1 ZINC000737466965 581962148 /nfs/dbraw/zinc/96/21/48/581962148.db2.gz IXEWHFWTOMLFGH-UHFFFAOYSA-N 0 1 289.255 0.694 20 30 CCEDMN C#CCCN1CCN(c2ccnc(C(=O)N(C)C)c2)CC1 ZINC000774529723 581978672 /nfs/dbraw/zinc/97/86/72/581978672.db2.gz STRKMQYVSNPMOS-UHFFFAOYSA-N 0 1 286.379 0.929 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)nc(N)n1CC(F)(F)C(F)F ZINC000737706757 581997088 /nfs/dbraw/zinc/99/70/88/581997088.db2.gz ZHKQGZJZCXINLE-UHFFFAOYSA-N 0 1 290.184 0.417 20 30 CCEDMN C#C[C@@H](C)NC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000775383882 582021529 /nfs/dbraw/zinc/02/15/29/582021529.db2.gz OSSODWMLOMFMFL-GFCCVEGCSA-N 0 1 272.352 0.585 20 30 CCEDMN C#C[C@@H](C)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000775383839 582021588 /nfs/dbraw/zinc/02/15/88/582021588.db2.gz LQHIYQBCGRXSKY-SECBINFHSA-N 0 1 296.348 0.394 20 30 CCEDMN C[C@@H](CO)NN=Cc1ccc(N2CCOCC2)s1 ZINC000749821122 582023856 /nfs/dbraw/zinc/02/38/56/582023856.db2.gz NMYKONWEVFLKBM-JTQLQIEISA-N 0 1 269.370 0.889 20 30 CCEDMN C#C[C@H](NC[C@@](C)(O)C(=O)OCC)[C@H]1CCCO1 ZINC000775795512 582039517 /nfs/dbraw/zinc/03/95/17/582039517.db2.gz NUJSWLJYWWRTJI-DMDPSCGWSA-N 0 1 255.314 0.071 20 30 CCEDMN C#C[C@H]([NH2+]CCC[N-]C(=O)C(F)(F)F)[C@@H]1CCCO1 ZINC000775799302 582039794 /nfs/dbraw/zinc/03/97/94/582039794.db2.gz KHVZBOXSASNNEQ-UWVGGRQHSA-N 0 1 278.274 0.825 20 30 CCEDMN Cc1[nH+]ccn1CCCC(=O)N(CC#N)CC(=O)[O-] ZINC000738893510 582086722 /nfs/dbraw/zinc/08/67/22/582086722.db2.gz BFJGSTZGVWLVPU-UHFFFAOYSA-N 0 1 264.285 0.409 20 30 CCEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CCNC(=O)CC2)cc1 ZINC000739103977 582102789 /nfs/dbraw/zinc/10/27/89/582102789.db2.gz FBZWIKTZLADIEI-CYBMUJFWSA-N 0 1 273.292 0.506 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935674554 613113433 /nfs/dbraw/zinc/11/34/33/613113433.db2.gz FUZQHNLYGQZGGI-NSHDSACASA-N 0 1 263.341 0.855 20 30 CCEDMN C#CCCCNC(=O)N1CCN(C)CCN(C)CC1 ZINC000893302859 612981389 /nfs/dbraw/zinc/98/13/89/612981389.db2.gz IMPIJNXAHVKKSX-UHFFFAOYSA-N 0 1 266.389 0.289 20 30 CCEDMN C#CCCCS(=O)(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000804993584 612983295 /nfs/dbraw/zinc/98/32/95/612983295.db2.gz QZECBCLUAIKODJ-CYBMUJFWSA-N 0 1 270.398 0.898 20 30 CCEDMN C[C@H](C(=O)[O-])[N@@H+](C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000817772319 597475676 /nfs/dbraw/zinc/47/56/76/597475676.db2.gz UDAMZRVKCQLFCM-ZYHUDNBSSA-N 0 1 278.308 0.703 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)C[N@H+]2CC[C@H](C(=O)[O-])C2)cc1 ZINC000819100621 597530836 /nfs/dbraw/zinc/53/08/36/597530836.db2.gz SWWDWUPGNGEUPK-QWHCGFSZSA-N 0 1 290.319 0.704 20 30 CCEDMN C#CCOCCNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC000897373978 612989150 /nfs/dbraw/zinc/98/91/50/612989150.db2.gz YXNMROKHMSKZON-JTQLQIEISA-N 0 1 261.325 0.914 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000822313879 613001296 /nfs/dbraw/zinc/00/12/96/613001296.db2.gz PMORWFQWWXFSEV-MGPQQGTHSA-N 0 1 282.384 0.640 20 30 CCEDMN C#CCSCC(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000897668622 613009675 /nfs/dbraw/zinc/00/96/75/613009675.db2.gz IPUHDZYVMIYBAU-UHFFFAOYSA-N 0 1 281.337 0.848 20 30 CCEDMN C#CCSCC(=O)N1CC[C@H]2[C@H]1CCCN2CCO ZINC000889743886 613011045 /nfs/dbraw/zinc/01/10/45/613011045.db2.gz RCIKBLVCGZOSEC-QWHCGFSZSA-N 0 1 282.409 0.410 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC000964578808 649920289 /nfs/dbraw/zinc/92/02/89/649920289.db2.gz GFJUEAPNEUQJPH-VHSXEESVSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC000964578808 649920292 /nfs/dbraw/zinc/92/02/92/649920292.db2.gz GFJUEAPNEUQJPH-VHSXEESVSA-N 0 1 290.327 0.075 20 30 CCEDMN N#Cc1ccc([C@@H](O)C[N@@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000819095844 602016642 /nfs/dbraw/zinc/01/66/42/602016642.db2.gz MUEMAEKSRDSEJK-QWHCGFSZSA-N 0 1 276.292 0.377 20 30 CCEDMN N#Cc1ccc([C@@H](O)C[N@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000819095844 602016646 /nfs/dbraw/zinc/01/66/46/602016646.db2.gz MUEMAEKSRDSEJK-QWHCGFSZSA-N 0 1 276.292 0.377 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000819095842 602016667 /nfs/dbraw/zinc/01/66/67/602016667.db2.gz MUEMAEKSRDSEJK-OLZOCXBDSA-N 0 1 276.292 0.377 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000819095842 602016669 /nfs/dbraw/zinc/01/66/69/602016669.db2.gz MUEMAEKSRDSEJK-OLZOCXBDSA-N 0 1 276.292 0.377 20 30 CCEDMN C#CCSCCNC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000842181363 613016705 /nfs/dbraw/zinc/01/67/05/613016705.db2.gz WXBNSLVAIQYVIP-QWHCGFSZSA-N 0 1 299.440 0.449 20 30 CCEDMN C#CC1(NC(=O)N[C@H](C)CN2CCN(C)CC2)CCCC1 ZINC000883348050 612949948 /nfs/dbraw/zinc/94/99/48/612949948.db2.gz GRTFVNRCXJNTBC-CQSZACIVSA-N 0 1 292.427 0.868 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCc1cn[nH]c1C ZINC000875094866 613035549 /nfs/dbraw/zinc/03/55/49/613035549.db2.gz ACFXLTAGWDTKDC-NSHDSACASA-N 0 1 250.302 0.556 20 30 CCEDMN C#CCNC(=S)N1CCC(C)(N2CCOCC2)CC1 ZINC000863225689 612952867 /nfs/dbraw/zinc/95/28/67/612952867.db2.gz XPVYLJGECITLEW-UHFFFAOYSA-N 0 1 281.425 0.681 20 30 CCEDMN C#CCNC(=S)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000843279850 612953535 /nfs/dbraw/zinc/95/35/35/612953535.db2.gz LXMZVCUNVGANPZ-TXEJJXNPSA-N 0 1 269.414 0.535 20 30 CCEDMN C#CC[C@H](CO)NC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000913920279 613054756 /nfs/dbraw/zinc/05/47/56/613054756.db2.gz LEHFHPXRVPIEMB-ZIAGYGMSSA-N 0 1 297.399 0.027 20 30 CCEDMN C#CCC[C@@H](O)CNS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000849390900 613059842 /nfs/dbraw/zinc/05/98/42/613059842.db2.gz CRTPEXKBPQDDSZ-SECBINFHSA-N 0 1 299.308 0.042 20 30 CCEDMN C#CC[C@H](NC(=O)CN(C)C1CCC1)C(=O)OC ZINC000863924177 613067815 /nfs/dbraw/zinc/06/78/15/613067815.db2.gz ULRDVXFCUWHARA-NSHDSACASA-N 0 1 252.314 0.152 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N([C@@H](CC)C(=O)[O-])C1CC1 ZINC000909037813 613073116 /nfs/dbraw/zinc/07/31/16/613073116.db2.gz NOKUHZLKIKOWNS-ZDUSSCGKSA-N 0 1 295.383 0.979 20 30 CCEDMN Cc1nc(-c2nnn[n-]2)c(N=NC2CC[N@H+]3CCC[C@@H]23)o1 ZINC000826323055 608229215 /nfs/dbraw/zinc/22/92/15/608229215.db2.gz NTQKAWYSADRWNW-VIFPVBQESA-N 0 1 288.315 0.799 20 30 CCEDMN Cc1nc(-c2nn[n-]n2)c(N=NC2CC[N@@H+]3CCC[C@@H]23)o1 ZINC000826323055 608229217 /nfs/dbraw/zinc/22/92/17/608229217.db2.gz NTQKAWYSADRWNW-VIFPVBQESA-N 0 1 288.315 0.799 20 30 CCEDMN Cc1nc(-c2nn[n-]n2)c(N=NC2CC[N@H+]3CCC[C@@H]23)o1 ZINC000826323055 608229219 /nfs/dbraw/zinc/22/92/19/608229219.db2.gz NTQKAWYSADRWNW-VIFPVBQESA-N 0 1 288.315 0.799 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC000971808680 613092833 /nfs/dbraw/zinc/09/28/33/613092833.db2.gz LQKIMXGLAUECDE-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN N#Cc1c(-c2nn[n-]n2)nc(N)n1CC[NH+]1CCCCC1 ZINC000826382861 609174801 /nfs/dbraw/zinc/17/48/01/609174801.db2.gz YBGIYAIGJQQPBY-UHFFFAOYSA-N 0 1 287.331 0.003 20 30 CCEDMN C#C[C@@H](NC(=O)NC[C@H]1CCN1CC)C1CCOCC1 ZINC000883727805 613096494 /nfs/dbraw/zinc/09/64/94/613096494.db2.gz CIKVTNZGYOYFTD-ZIAGYGMSSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn(CC)n2)C1 ZINC000971496861 613096575 /nfs/dbraw/zinc/09/65/75/613096575.db2.gz LCPYDIYXQTVFJJ-NSHDSACASA-N 0 1 261.329 0.078 20 30 CCEDMN C#CCN(CCNS(=O)(=O)CCCOC)C(C)(C)C ZINC000932464959 613107756 /nfs/dbraw/zinc/10/77/56/613107756.db2.gz VIYMXPSJKBFUPI-UHFFFAOYSA-N 0 1 290.429 0.676 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](N(C)C(=O)C(N)=O)CC1 ZINC000948732799 613374363 /nfs/dbraw/zinc/37/43/63/613374363.db2.gz DXUOFQTXVRJXPO-JTQLQIEISA-N 0 1 273.764 0.537 20 30 CCEDMN CC(C)CCO[C@H](C)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834494091 614057005 /nfs/dbraw/zinc/05/70/05/614057005.db2.gz KAYUNTDOHBTXLL-WOPDTQHZSA-N 0 1 253.346 0.665 20 30 CCEDMN C#CCC1(O)CCN([C@H](C)C(=O)NCC(C)C)CC1 ZINC000880478866 612974198 /nfs/dbraw/zinc/97/41/98/612974198.db2.gz LZIULVARHDVGKY-CYBMUJFWSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H](C)C1=CC[N@@H+](C)CC1 ZINC000806914643 612980765 /nfs/dbraw/zinc/98/07/65/612980765.db2.gz CBKCOBJPBJCCNB-GFCCVEGCSA-N 0 1 277.368 0.283 20 30 CCEDMN COc1ccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c(OC)c1 ZINC000841011174 617270034 /nfs/dbraw/zinc/27/00/34/617270034.db2.gz LXVGJEULBUEZSY-BXKDBHETSA-N 0 1 290.323 0.937 20 30 CCEDMN C[C@@H](C#N)OCCN1CCc2c(n(C)[nH]c2=O)C1 ZINC000931193492 617297667 /nfs/dbraw/zinc/29/76/67/617297667.db2.gz AKSHFPHJTREOND-VIFPVBQESA-N 0 1 250.302 0.412 20 30 CCEDMN C#CC1(O)CN(C(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000893735007 612956929 /nfs/dbraw/zinc/95/69/29/612956929.db2.gz JJNIZAGTOKTVOD-JTQLQIEISA-N 0 1 260.297 0.177 20 30 CCEDMN C#CC1(O)CN(Cc2ccc(N3CCN(C)CC3)cc2)C1 ZINC000898145030 612961057 /nfs/dbraw/zinc/96/10/57/612961057.db2.gz TVYQNLUDMPCOHV-UHFFFAOYSA-N 0 1 285.391 0.618 20 30 CCEDMN C#CC1CCN([C@H](C)C(=O)NC(=O)NCC=C)CC1 ZINC000830316568 612965146 /nfs/dbraw/zinc/96/51/46/612965146.db2.gz YKASHRYBTQOIKI-LLVKDONJSA-N 0 1 263.341 0.732 20 30 CCEDMN C#CCC1(NC(=O)NC[C@H]2CN(C)CCN2C)CCC1 ZINC000917970568 612969234 /nfs/dbraw/zinc/96/92/34/612969234.db2.gz BPRHGZRHQAZKOZ-ZDUSSCGKSA-N 0 1 278.400 0.477 20 30 CCEDMN C#CCC1(O)CCN([C@H](C)C(=O)NCCC)CC1 ZINC000880479633 612974223 /nfs/dbraw/zinc/97/42/23/612974223.db2.gz YTHFQKXZDXQRTR-GFCCVEGCSA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCC1(O)CCN([C@@H](C)C(=O)NC(=O)NC2CC2)CC1 ZINC000880478375 612974004 /nfs/dbraw/zinc/97/40/04/612974004.db2.gz CHECUNZOUKKURG-NSHDSACASA-N 0 1 293.367 0.213 20 30 CCEDMN C#CCC1(O)CCN([C@@H](C)C(=O)NCC(C)C)CC1 ZINC000880478867 612974220 /nfs/dbraw/zinc/97/42/20/612974220.db2.gz LZIULVARHDVGKY-ZDUSSCGKSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCCc1cc(=O)n(CCCN2CCOCC2)[nH]1 ZINC000899352982 612974470 /nfs/dbraw/zinc/97/44/70/612974470.db2.gz RXASKENBSMMNAS-UHFFFAOYSA-N 0 1 263.341 0.710 20 30 CCEDMN C#CCCCCCN1CCN(C(=O)[C@@H](C)O)CC1 ZINC000878329916 612976930 /nfs/dbraw/zinc/97/69/30/612976930.db2.gz BTDVLTBOYXSUCY-CYBMUJFWSA-N 0 1 252.358 0.705 20 30 CCEDMN C#CCC[N@H+](C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000823844785 612986278 /nfs/dbraw/zinc/98/62/78/612986278.db2.gz ISTSFOHQNRIDFP-UHFFFAOYSA-N 0 1 274.332 0.060 20 30 CCEDMN C#CCC[N@@H+](C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000823844785 612986279 /nfs/dbraw/zinc/98/62/79/612986279.db2.gz ISTSFOHQNRIDFP-UHFFFAOYSA-N 0 1 274.332 0.060 20 30 CCEDMN C#CCC[N@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000823844785 612986281 /nfs/dbraw/zinc/98/62/81/612986281.db2.gz ISTSFOHQNRIDFP-UHFFFAOYSA-N 0 1 274.332 0.060 20 30 CCEDMN C#CCC[N@@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000823844785 612986282 /nfs/dbraw/zinc/98/62/82/612986282.db2.gz ISTSFOHQNRIDFP-UHFFFAOYSA-N 0 1 274.332 0.060 20 30 CCEDMN C#CCCN1CC([C@H](C)NC(=O)c2c[nH]c(C)cc2=O)C1 ZINC000970686252 612997069 /nfs/dbraw/zinc/99/70/69/612997069.db2.gz MRLCCJBHEWUBOY-LBPRGKRZSA-N 0 1 287.363 0.757 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000854330284 612999641 /nfs/dbraw/zinc/99/96/41/612999641.db2.gz HTDGCOLLFKZCEN-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000928083552 613003248 /nfs/dbraw/zinc/00/32/48/613003248.db2.gz UJSFBYGXEYZCPX-FRRDWIJNSA-N 0 1 282.409 0.721 20 30 CCEDMN COc1cccc2c(C(=O)NCC#CCN(C)C)cnn21 ZINC000913524990 617311036 /nfs/dbraw/zinc/31/10/36/617311036.db2.gz GAIFWFGEUUREHG-UHFFFAOYSA-N 0 1 286.335 0.638 20 30 CCEDMN C#CCCN1CCN(c2ccnc(C(=O)NC)c2)CC1 ZINC000892314906 613012289 /nfs/dbraw/zinc/01/22/89/613012289.db2.gz MXDMGFYNWHBNOH-UHFFFAOYSA-N 0 1 272.352 0.587 20 30 CCEDMN C#CCCN1CCN(c2nccc(C)c2-c2nn[nH]n2)CC1 ZINC000823844997 613012315 /nfs/dbraw/zinc/01/23/15/613012315.db2.gz MLKVHPBOTNIFAJ-UHFFFAOYSA-N 0 1 297.366 0.715 20 30 CCEDMN C#CCSCC(=O)N[C@H]1CCCN(CCCO)C1 ZINC000897878759 613014126 /nfs/dbraw/zinc/01/41/26/613014126.db2.gz CRXBQCXEXKOTPU-LBPRGKRZSA-N 0 1 270.398 0.316 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2cnn(C)n2)C1 ZINC000968418722 613023602 /nfs/dbraw/zinc/02/36/02/613023602.db2.gz NBHHBGVHCZEKEG-AAEUAGOBSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2ncn(C)n2)C1 ZINC000965994794 613025397 /nfs/dbraw/zinc/02/53/97/613025397.db2.gz ADDMNGHHDFWDES-NWDGAFQWSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)[C@H]1CCN1C1CCCC1 ZINC000823053697 613028378 /nfs/dbraw/zinc/02/83/78/613028378.db2.gz SXEOEOIICHPXKU-WCQYABFASA-N 0 1 250.342 0.504 20 30 CCEDMN C#CC[C@@H](CO)NCCS(=O)(=O)c1ccc(F)cc1 ZINC000843077028 613030039 /nfs/dbraw/zinc/03/00/39/613030039.db2.gz KKFXSHZCTYZQAP-LBPRGKRZSA-N 0 1 285.340 0.573 20 30 CCEDMN C#CC[C@@H](CO)NCc1ccc(S(C)(=O)=O)s1 ZINC000883125323 613030247 /nfs/dbraw/zinc/03/02/47/613030247.db2.gz FIYMLRHKNUPQQH-VIFPVBQESA-N 0 1 273.379 0.625 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCCCc1cn[nH]c1C ZINC000858276467 613034618 /nfs/dbraw/zinc/03/46/18/613034618.db2.gz XLEXVTRBLBAEPS-ZDUSSCGKSA-N 0 1 278.356 0.988 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000858468610 613036400 /nfs/dbraw/zinc/03/64/00/613036400.db2.gz JTKUFWARDHVKEQ-QWRGUYRKSA-N 0 1 276.340 0.605 20 30 CCEDMN C#CC[C@@H](NS(=O)(=O)Cc1ccccc1F)C(=O)OC ZINC000841540263 613043036 /nfs/dbraw/zinc/04/30/36/613043036.db2.gz KYHHKPWRLJBUDI-GFCCVEGCSA-N 0 1 299.323 0.810 20 30 CCEDMN C#CC[C@@H]1CCN(CC(=O)N(CC)CC(=O)NC(C)C)C1 ZINC000886353649 613046797 /nfs/dbraw/zinc/04/67/97/613046797.db2.gz MVDBCKITISBWHA-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCCOC(=O)N1CCN([C@H]2CCNC(=O)CC2)CC1 ZINC000859183286 613049091 /nfs/dbraw/zinc/04/90/91/613049091.db2.gz QDTKCHNADJWVPQ-CYBMUJFWSA-N 0 1 293.367 0.433 20 30 CCEDMN C#CC[C@H](CO)NC(=O)NC[C@@H]1C[N@@H+](CC(C)C)CCO1 ZINC000913920279 613054755 /nfs/dbraw/zinc/05/47/55/613054755.db2.gz LEHFHPXRVPIEMB-ZIAGYGMSSA-N 0 1 297.399 0.027 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000816673243 613058085 /nfs/dbraw/zinc/05/80/85/613058085.db2.gz UYJMKIMNZQXBJZ-SNVBAGLBSA-N 0 1 285.303 0.758 20 30 CCEDMN C#CC[C@H](COC)NC(=O)CSc1nnc(C)[nH]1 ZINC000863801314 613060973 /nfs/dbraw/zinc/06/09/73/613060973.db2.gz ZVQSGMMKOOREPL-SECBINFHSA-N 0 1 268.342 0.360 20 30 CCEDMN C#CC[C@H](COC)NC(=O)CSc1nc(C)n[nH]1 ZINC000863801314 613060974 /nfs/dbraw/zinc/06/09/74/613060974.db2.gz ZVQSGMMKOOREPL-SECBINFHSA-N 0 1 268.342 0.360 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000799073703 613063593 /nfs/dbraw/zinc/06/35/93/613063593.db2.gz PVDCYRRUIAKKSF-ZDUSSCGKSA-N 0 1 284.319 0.976 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)C(=O)N(C)Cc2cnc[nH]2)C1 ZINC000850683782 613071467 /nfs/dbraw/zinc/07/14/67/613071467.db2.gz XETOFYRFFLHFFT-LBPRGKRZSA-N 0 1 288.351 0.630 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)N[C@H](C)CN2CCN(C)CC2)C1 ZINC000888191280 613073709 /nfs/dbraw/zinc/07/37/09/613073709.db2.gz ICTDBZMNFUGKER-CABCVRRESA-N 0 1 292.427 0.677 20 30 CCEDMN C#C[C@@H](C)N(C)CCn1cnc(Br)n1 ZINC000851990425 613079692 /nfs/dbraw/zinc/07/96/92/613079692.db2.gz SCMOVVXDUBDKAK-MRVPVSSYSA-N 0 1 257.135 0.994 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(=O)N2CCC(C)CC2)C1 ZINC000972497227 613080035 /nfs/dbraw/zinc/08/00/35/613080035.db2.gz GQENCSLXRHRCSD-CQSZACIVSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2cnn(C)c2)C1 ZINC000980476593 613080601 /nfs/dbraw/zinc/08/06/01/613080601.db2.gz UMUDYTIXQMQDAK-CQSZACIVSA-N 0 1 274.368 0.519 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000820429252 613080611 /nfs/dbraw/zinc/08/06/11/613080611.db2.gz WDXHFRMYAZWRJU-OLZOCXBDSA-N 0 1 265.357 0.372 20 30 CCEDMN C#C[C@@H](C)NC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000811998566 613081454 /nfs/dbraw/zinc/08/14/54/613081454.db2.gz SOCHMYVGPPXLQD-KGLIPLIRSA-N 0 1 280.416 0.579 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cn2nccc2C)C1 ZINC000972445624 613082472 /nfs/dbraw/zinc/08/24/72/613082472.db2.gz JQEJOYDMRWJOHB-CYBMUJFWSA-N 0 1 260.341 0.357 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC000972146939 613083461 /nfs/dbraw/zinc/08/34/61/613083461.db2.gz GKSLFLGQPXOQCX-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2Cc3cccnc3C2)C1 ZINC000980270642 613084573 /nfs/dbraw/zinc/08/45/73/613084573.db2.gz QNTNERDLPQZMOQ-LSDHHAIUSA-N 0 1 283.375 0.962 20 30 CCEDMN C#C[C@@H](NC(=O)CN(C)[C@@H]1CCSC1)C1CCOCC1 ZINC000856107435 613092272 /nfs/dbraw/zinc/09/22/72/613092272.db2.gz NRLGBCSSGGMHBD-ZIAGYGMSSA-N 0 1 296.436 0.968 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCn3nccc32)C1 ZINC000971812898 613092891 /nfs/dbraw/zinc/09/28/91/613092891.db2.gz FQOZBXAKIQPTEE-KBPBESRZSA-N 0 1 286.379 0.926 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000971291693 613093833 /nfs/dbraw/zinc/09/38/33/613093833.db2.gz LQSZLXGHRUEAKF-LBPRGKRZSA-N 0 1 256.309 0.666 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn3c(n2)CCCC3)C1 ZINC000971629930 613096200 /nfs/dbraw/zinc/09/62/00/613096200.db2.gz SDSKUHIEIJMQKD-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2conc2COC)C1 ZINC000972710318 613096692 /nfs/dbraw/zinc/09/66/92/613096692.db2.gz KNYNNZSBMOUJFO-NSHDSACASA-N 0 1 277.324 0.601 20 30 CCEDMN C#CCN(C1CC1)S(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914374957 613097912 /nfs/dbraw/zinc/09/79/12/613097912.db2.gz RORZAZIGPVIAMV-AWEZNQCLSA-N 0 1 282.409 0.900 20 30 CCEDMN C#C[C@@H](NC(=O)[C@H]1CCCc2n[nH]nc21)C1CCOCC1 ZINC000856101576 613098503 /nfs/dbraw/zinc/09/85/03/613098503.db2.gz VYNKQXLWWKDGPJ-NWDGAFQWSA-N 0 1 288.351 0.769 20 30 CCEDMN C#C[C@@H](NC(=O)c1cncc2nc[nH]c21)[C@H]1CCCO1 ZINC000897431063 613099320 /nfs/dbraw/zinc/09/93/20/613099320.db2.gz HUCTZUIAGOFDBB-ZYHUDNBSSA-N 0 1 270.292 0.869 20 30 CCEDMN C#CCN(CC#CC)C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000908809239 613099581 /nfs/dbraw/zinc/09/95/81/613099581.db2.gz KKIYGXBDTPQTDY-CYBMUJFWSA-N 0 1 276.336 0.268 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC000847315036 613104590 /nfs/dbraw/zinc/10/45/90/613104590.db2.gz CLPVZCQKSKGDAJ-KGLIPLIRSA-N 0 1 278.400 0.287 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC(C)(F)CC2)C1=O ZINC000864350650 613109567 /nfs/dbraw/zinc/10/95/67/613109567.db2.gz HSXZWOHEQXZNJS-JTQLQIEISA-N 0 1 252.289 0.571 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1cc(C(F)F)[nH]n1 ZINC000880277880 613110930 /nfs/dbraw/zinc/11/09/30/613110930.db2.gz XXGVUBQDXYWDOS-SSDOTTSWSA-N 0 1 255.224 0.822 20 30 CCEDMN C#C[C@@](C)(CC)NC[C@](O)(C(N)=O)c1ccccc1 ZINC000852103946 613113257 /nfs/dbraw/zinc/11/32/57/613113257.db2.gz WQNIXGSRNVIVQV-LSDHHAIUSA-N 0 1 260.337 0.751 20 30 CCEDMN C#CC[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCCC[N@H+]2CC)C1 ZINC000970928457 613117474 /nfs/dbraw/zinc/11/74/74/613117474.db2.gz LDXNTZKMZTZWCW-UKRRQHHQSA-N 0 1 277.412 0.931 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@@H]2C)CC1 ZINC000919966931 613129127 /nfs/dbraw/zinc/12/91/27/613129127.db2.gz YXECAGZWOWHZHM-LBPRGKRZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CCC(C(=O)NCC[S@@](C)(=O)=NC)CC1 ZINC000912796416 613130605 /nfs/dbraw/zinc/13/06/05/613130605.db2.gz YOXGNNRANFIAOO-LJQANCHMSA-N 0 1 285.413 0.175 20 30 CCEDMN C#CCN1CCC(N=c2ncnc3[nH]n(C)cc2-3)CC1 ZINC000905012915 613132376 /nfs/dbraw/zinc/13/23/76/613132376.db2.gz GUKGQEKCTLXDIG-UHFFFAOYSA-N 0 1 270.340 0.246 20 30 CCEDMN C#CCN1CCC(NC(=O)CCN2CCCC2=O)CC1 ZINC000928658711 613132897 /nfs/dbraw/zinc/13/28/97/613132897.db2.gz ZOHCRLKSUIPYNR-UHFFFAOYSA-N 0 1 277.368 0.213 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCC(=O)NCC)CC1 ZINC000928658048 613133089 /nfs/dbraw/zinc/13/30/89/613133089.db2.gz KEMAKELHMMGMFI-UHFFFAOYSA-N 0 1 279.384 0.507 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cnn(CC)c2)CC1 ZINC000928652532 613133289 /nfs/dbraw/zinc/13/32/89/613133289.db2.gz BGSQYZQFDNOVGW-UHFFFAOYSA-N 0 1 274.368 0.659 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H]2CCOC[C@@H]2OC)CC1 ZINC000928650508 613133561 /nfs/dbraw/zinc/13/35/61/613133561.db2.gz CZJGEJQCAWEZLF-ZFWWWQNUSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCSC)CC1 ZINC000916099148 613133696 /nfs/dbraw/zinc/13/36/96/613133696.db2.gz VDVPPJNHSKFHGG-UHFFFAOYSA-N 0 1 255.387 0.746 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCCOCC=C)CC1 ZINC000923278358 613133982 /nfs/dbraw/zinc/13/39/82/613133982.db2.gz RYXBXHFWHPJLJS-UHFFFAOYSA-N 0 1 279.384 0.976 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2c[nH]nc2C)CC1 ZINC000914172046 613134335 /nfs/dbraw/zinc/13/43/35/613134335.db2.gz WFTHUVIAALSBQL-UHFFFAOYSA-N 0 1 275.356 0.615 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2C[C@H](OC)C2)CC1 ZINC000923477758 613135016 /nfs/dbraw/zinc/13/50/16/613135016.db2.gz TXXNCWUMOGEKNO-JOCQHMNTSA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](CCOC)COC)CC1 ZINC000922538475 613135309 /nfs/dbraw/zinc/13/53/09/613135309.db2.gz NOKCQJUCBUNABI-CQSZACIVSA-N 0 1 297.399 0.435 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cnn(C)c2)CC1 ZINC000921777709 613135389 /nfs/dbraw/zinc/13/53/89/613135389.db2.gz LKWHDXKQJJSULY-UHFFFAOYSA-N 0 1 261.329 0.639 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCN(C(C)=O)C2)CC1 ZINC000928658166 613135886 /nfs/dbraw/zinc/13/58/86/613135886.db2.gz CFRHYRUTFMXBQH-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](CC)[C@H](C)O)CC1 ZINC000900666565 613136032 /nfs/dbraw/zinc/13/60/32/613136032.db2.gz HFXDRMYPTWWNNR-AAEUAGOBSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](O)C(C)(C)CC)CC1 ZINC000928648479 613136444 /nfs/dbraw/zinc/13/64/44/613136444.db2.gz LQTITGFOJFIBFY-ZDUSSCGKSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2[C@H](OCC)[C@H]2C)CC1 ZINC000928661571 613136494 /nfs/dbraw/zinc/13/64/94/613136494.db2.gz ZDOWCOVMLCTKQZ-IACUBPJLSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@](C)(O)C2CCC2)CC1 ZINC000928650926 613136860 /nfs/dbraw/zinc/13/68/60/613136860.db2.gz INDBVAMXYPHOFB-OAHLLOKOSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(CO)c(C)o2)CC1 ZINC000928647923 613137236 /nfs/dbraw/zinc/13/72/36/613137236.db2.gz BIZFDPJFTUEVHG-UHFFFAOYSA-N 0 1 276.336 0.908 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c[nH]c(C(=O)OC)c2)CC1 ZINC000928650892 613137276 /nfs/dbraw/zinc/13/72/76/613137276.db2.gz HRFCPQWFBDKDTE-UHFFFAOYSA-N 0 1 289.335 0.629 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nnc3ccccc3n2)CC1 ZINC000928652873 613137985 /nfs/dbraw/zinc/13/79/85/613137985.db2.gz PRFNVRDYFSPPBS-UHFFFAOYSA-N 0 1 295.346 0.852 20 30 CCEDMN C#CCN1CCC(NC(=S)NCCOC)CC1 ZINC000905656451 613137992 /nfs/dbraw/zinc/13/79/92/613137992.db2.gz MAZNUMARCGVHMP-UHFFFAOYSA-N 0 1 255.387 0.195 20 30 CCEDMN C#CCN1CCC(NC(=S)NC[C@@H]2CCCO2)CC1 ZINC000905656043 613138044 /nfs/dbraw/zinc/13/80/44/613138044.db2.gz CHSIVMKBSPQDKF-ZDUSSCGKSA-N 0 1 281.425 0.727 20 30 CCEDMN C#CCN1CCC(Nc2cc(C(N)=O)ncn2)CC1 ZINC000895811952 613138666 /nfs/dbraw/zinc/13/86/66/613138666.db2.gz LIMWTMUWZPETEM-UHFFFAOYSA-N 0 1 259.313 0.085 20 30 CCEDMN C#CCN1CCC(Nc2ncccc2S(N)(=O)=O)CC1 ZINC000895807281 613138962 /nfs/dbraw/zinc/13/89/62/613138962.db2.gz MVWJJGWNBYIRFA-UHFFFAOYSA-N 0 1 294.380 0.239 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@]34C[C@H]3COC4)C2)C1 ZINC000981778844 613142745 /nfs/dbraw/zinc/14/27/45/613142745.db2.gz UAEGXLPARDFQIK-BBRMVZONSA-N 0 1 274.364 0.581 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cn[nH]c3)C2)C1 ZINC000981639429 613144620 /nfs/dbraw/zinc/14/46/20/613144620.db2.gz VMBLANMAWGZMEU-UHFFFAOYSA-N 0 1 258.325 0.581 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cnn(CC)n1 ZINC000968847047 613147761 /nfs/dbraw/zinc/14/77/61/613147761.db2.gz STNZWLPCUAYZCF-CYBMUJFWSA-N 0 1 289.383 0.906 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000923568830 613182521 /nfs/dbraw/zinc/18/25/21/613182521.db2.gz JRHAFMYGBVFOSV-LBPRGKRZSA-N 0 1 260.341 0.474 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCO)CC2(C)C)nc1 ZINC000977523480 613187153 /nfs/dbraw/zinc/18/71/53/613187153.db2.gz JETPTZNPDKEOKI-CQSZACIVSA-N 0 1 287.363 0.495 20 30 CCEDMN C#CCN1CCC[C@H](Nc2cc(C)nc3ncnn32)C1 ZINC000884613306 613193566 /nfs/dbraw/zinc/19/35/66/613193566.db2.gz IZEBNUYPSVRIHL-LBPRGKRZSA-N 0 1 270.340 0.942 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCCN(CC=C)CC1 ZINC000981361380 613195252 /nfs/dbraw/zinc/19/52/52/613195252.db2.gz JBJWGOWKEBFNAP-HNNXBMFYSA-N 0 1 275.396 0.804 20 30 CCEDMN C#Cc1cccc(CNC(=O)CCCc2nn[nH]n2)c1 ZINC000823446412 613199524 /nfs/dbraw/zinc/19/95/24/613199524.db2.gz FFHUXYVCXMENAF-UHFFFAOYSA-N 0 1 269.308 0.820 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000804815691 613200666 /nfs/dbraw/zinc/20/06/66/613200666.db2.gz XYWVKRFVMPZRNY-ONGXEEELSA-N 0 1 261.329 0.388 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC000910000588 613201388 /nfs/dbraw/zinc/20/13/88/613201388.db2.gz ZNYORWQMGDMZNL-DCAQKATOSA-N 0 1 250.298 0.063 20 30 CCEDMN C(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1cnn2cccnc12 ZINC000755816114 613223072 /nfs/dbraw/zinc/22/30/72/613223072.db2.gz YASAMSDBKPMHNZ-TXEJJXNPSA-N 0 1 283.339 0.923 20 30 CCEDMN C(=NNc1ncnc2[nH]cnc21)c1cnc2n1CCC2 ZINC000853292417 613224014 /nfs/dbraw/zinc/22/40/14/613224014.db2.gz DQPCFWHQTYQTRU-UHFFFAOYSA-N 0 1 268.284 0.942 20 30 CCEDMN C(CN1CCCCC1)NN=C1CCO[C@@]12CCOC2 ZINC000901170696 613224258 /nfs/dbraw/zinc/22/42/58/613224258.db2.gz ZFZHDIGFNIPENX-CQSZACIVSA-N 0 1 267.373 0.997 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000940672226 613229691 /nfs/dbraw/zinc/22/96/91/613229691.db2.gz HWOIWUWIQKJSSF-QWHCGFSZSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc3n(n2)CCC3)[C@@H](C)C1 ZINC000942107770 613234723 /nfs/dbraw/zinc/23/47/23/613234723.db2.gz BEAKKOTWCFBOLT-GXTWGEPZSA-N 0 1 286.379 0.903 20 30 CCEDMN C=C(Br)CN1C[C@]2(C)C(=O)NC(=O)[C@@]2(C)C1 ZINC000879157618 613235890 /nfs/dbraw/zinc/23/58/90/613235890.db2.gz KWDBBYINWBKTQS-GHMZBOCLSA-N 0 1 287.157 0.880 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cccn3nnnc23)[C@H](C)C1 ZINC000942084492 613237714 /nfs/dbraw/zinc/23/77/14/613237714.db2.gz UHCPQBBJBZMNHJ-DGCLKSJQSA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn3nnnc3c2)[C@@H](C)C1 ZINC000942556237 613238268 /nfs/dbraw/zinc/23/82/68/613238268.db2.gz XZPAQSWWHBODSQ-WCQYABFASA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cccnn2)C[C@H]1C ZINC000947840305 613238276 /nfs/dbraw/zinc/23/82/76/613238276.db2.gz CXNZKRMSQNHJGT-VXGBXAGGSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnc(C)n2)[C@@H](C)C1 ZINC000944995623 613238638 /nfs/dbraw/zinc/23/86/38/613238638.db2.gz SQXNLTCRGXBPQO-WCQYABFASA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn3nnnc3c2)C[C@H]1C ZINC000947615132 613238844 /nfs/dbraw/zinc/23/88/44/613238844.db2.gz FLHZXVHQNIZSOO-DGCLKSJQSA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnc(OC)n2)[C@H](C)C1 ZINC000942444154 613238991 /nfs/dbraw/zinc/23/89/91/613238991.db2.gz LUARNMMWJQECCM-VXGBXAGGSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)nn2)C(C)(C)C1 ZINC000940724353 613239824 /nfs/dbraw/zinc/23/98/24/613239824.db2.gz GCTFGDPBTXZRLD-GFCCVEGCSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn[nH]c2)C(C)(C)C1 ZINC000940597513 613240453 /nfs/dbraw/zinc/24/04/53/613240453.db2.gz FYCHAJZKOGLRTP-GFCCVEGCSA-N 0 1 260.341 0.873 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cncnc2)C(C)(C)C1 ZINC000940698588 613241079 /nfs/dbraw/zinc/24/10/79/613241079.db2.gz RRYMVIHZJFXHPC-CYBMUJFWSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cncn2C)C(C)(C)C1 ZINC000940871270 613241494 /nfs/dbraw/zinc/24/14/94/613241494.db2.gz YLJCKEGIDIUIHI-CYBMUJFWSA-N 0 1 274.368 0.884 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2coc(COC)n2)[C@H](C)C1 ZINC000943797040 613242706 /nfs/dbraw/zinc/24/27/06/613242706.db2.gz GORRRWOCTILILX-VXGBXAGGSA-N 0 1 291.351 0.894 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nccnc2N)C[C@@H]1C ZINC000947144586 613243812 /nfs/dbraw/zinc/24/38/12/613243812.db2.gz RUHWZXAVELEUNF-WDEREUQCSA-N 0 1 273.340 0.275 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nn(C)cc2C)[C@H](C)C1 ZINC000942046061 613244573 /nfs/dbraw/zinc/24/45/73/613244573.db2.gz QCPATSDTPRVQCY-DGCLKSJQSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965521991 613252498 /nfs/dbraw/zinc/25/24/98/613252498.db2.gz GLUGWUQGTHEWHC-GXTWGEPZSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC000966839033 613252780 /nfs/dbraw/zinc/25/27/80/613252780.db2.gz CNRHYXRSJFAZDF-GXTWGEPZSA-N 0 1 288.395 0.974 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cncnc2)C(C)(C)C1 ZINC000940714802 613261145 /nfs/dbraw/zinc/26/11/45/613261145.db2.gz OHRPZEZFVMILKZ-AWEZNQCLSA-N 0 1 286.379 0.869 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2nonc2C)C(C)(C)C1 ZINC000941137410 613262124 /nfs/dbraw/zinc/26/21/24/613262124.db2.gz ZTRNJRISMGIQSY-ZDUSSCGKSA-N 0 1 290.367 0.770 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2nnc(C)o2)C(C)(C)C1 ZINC000940725495 613262190 /nfs/dbraw/zinc/26/21/90/613262190.db2.gz CFDQDYKCZDJHQF-LBPRGKRZSA-N 0 1 290.367 0.770 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2COCCN2C)C(C)(C)C1 ZINC000940695099 613262713 /nfs/dbraw/zinc/26/27/13/613262713.db2.gz LWNOKVBZWDQAGB-KBPBESRZSA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CCNC2=O)C(C)(C)C1 ZINC000941029381 613263696 /nfs/dbraw/zinc/26/36/96/613263696.db2.gz UQNIBXTYXSIRHV-BLLLJJGKSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)n(C)n2)[C@@H](C)C1 ZINC000942434428 613266912 /nfs/dbraw/zinc/26/69/12/613266912.db2.gz XUBAFRMEVFOMIN-RYUDHWBXSA-N 0 1 290.367 0.502 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)n(C)n2)C[C@@H]1C ZINC000947533992 613267285 /nfs/dbraw/zinc/26/72/85/613267285.db2.gz WZWVYVQQGMOQCE-RYUDHWBXSA-N 0 1 290.367 0.645 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C(C)C)nn2)[C@H](C)C1 ZINC000943800981 613273629 /nfs/dbraw/zinc/27/36/29/613273629.db2.gz XCHSOXBPFHRHAQ-OLZOCXBDSA-N 0 1 289.383 0.932 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C(C)(C)C1 ZINC000941003137 613273937 /nfs/dbraw/zinc/27/39/37/613273937.db2.gz SOJPYXPMUBMMKT-LBPRGKRZSA-N 0 1 290.367 0.589 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc3nccn3c2)[C@@H](C)C1 ZINC000942494862 613274564 /nfs/dbraw/zinc/27/45/64/613274564.db2.gz BROLWZPJSANFTQ-JSGCOSHPSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncnc2)[C@@H](C)C1 ZINC000941974901 613275356 /nfs/dbraw/zinc/27/53/56/613275356.db2.gz HEMQFPSQHLGWCZ-AAEUAGOBSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CC[N@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](C)C1 ZINC000941989960 613278270 /nfs/dbraw/zinc/27/82/70/613278270.db2.gz RRJZRGPLIPYTSF-RYUDHWBXSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(CF)cc2)C1 ZINC000958735586 613286089 /nfs/dbraw/zinc/28/60/89/613286089.db2.gz DGLMPEULOVCHSC-LSDHHAIUSA-N 0 1 290.338 0.812 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(Cl)[nH]2)C1 ZINC000958379835 613286293 /nfs/dbraw/zinc/28/62/93/613286293.db2.gz VLHYMEQWYUEOAZ-GXSJLCMTSA-N 0 1 281.743 0.324 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ncoc2C2CC2)C1 ZINC000958569188 613288058 /nfs/dbraw/zinc/28/80/58/613288058.db2.gz DGQUCMOIOSTXQR-NWDGAFQWSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2oc(CC)cc2C)[C@H](O)C1 ZINC000958590933 613290899 /nfs/dbraw/zinc/29/08/99/613290899.db2.gz CQZJVKOKFVUNDD-TZMCWYRMSA-N 0 1 290.363 0.806 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@@H]1C(=O)NCC1(C)C ZINC000931662901 613293923 /nfs/dbraw/zinc/29/39/23/613293923.db2.gz HVZKWWDECKCBQB-NWDGAFQWSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2CCC=CCC2)C1 ZINC000958677885 613294247 /nfs/dbraw/zinc/29/42/47/613294247.db2.gz WRQWJYQVTJIRHB-GJZGRUSLSA-N 0 1 276.380 0.775 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C3CC3)on2)C1 ZINC000958296297 613294806 /nfs/dbraw/zinc/29/48/06/613294806.db2.gz FNAPIXSEHBGVLP-AAEUAGOBSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc3[nH]ccc3c2)C1 ZINC000958363495 613295077 /nfs/dbraw/zinc/29/50/77/613295077.db2.gz BYWCTPMCFCTOTC-HOCLYGCPSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC000958222219 613295607 /nfs/dbraw/zinc/29/56/07/613295607.db2.gz BEBINGFDIKZLPS-HOCLYGCPSA-N 0 1 299.374 0.615 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)C(C)(C)C1 ZINC000974861935 613298288 /nfs/dbraw/zinc/29/82/88/613298288.db2.gz GOTXCCMCJNYHJK-STQMWFEESA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C(C)(C)C1 ZINC000974972608 613298384 /nfs/dbraw/zinc/29/83/84/613298384.db2.gz KUFUFFKMGXOEJS-QNWHQSFQSA-N 0 1 262.353 0.339 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccncc2CC)C1 ZINC000958190151 613303314 /nfs/dbraw/zinc/30/33/14/613303314.db2.gz BLMSFZQQMYURDN-HIFRSBDPSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccnc(C)c2)C1 ZINC000958493273 613303645 /nfs/dbraw/zinc/30/36/45/613303645.db2.gz FMICYPDBUGZLPN-KGLIPLIRSA-N 0 1 273.336 0.046 20 30 CCEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2ncn(C)n2)C1 ZINC000969599584 613304384 /nfs/dbraw/zinc/30/43/84/613304384.db2.gz OPVPFLHJTJWHHX-JTQLQIEISA-N 0 1 263.345 0.441 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCCNC2=O)CC1 ZINC000981391858 613309702 /nfs/dbraw/zinc/30/97/02/613309702.db2.gz WGVAXLJEGGDIRI-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCNC(=O)C2)CC1 ZINC000980964430 613310685 /nfs/dbraw/zinc/31/06/85/613310685.db2.gz SYDPIIUCQCVACN-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCN(C)C2=O)CC1 ZINC000981778762 613310960 /nfs/dbraw/zinc/31/09/60/613310960.db2.gz IZJRJOFFCBEZQR-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)c1cccnc1 ZINC000962316590 613315244 /nfs/dbraw/zinc/31/52/44/613315244.db2.gz LFYMGDSQYWPEKQ-SPWCGHHHSA-N 0 1 269.348 0.865 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC000961314520 613315773 /nfs/dbraw/zinc/31/57/73/613315773.db2.gz RRTVYXVQMXPNAV-MRLBHPIUSA-N 0 1 274.364 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2c([nH]1)CCC2 ZINC000962276303 613318539 /nfs/dbraw/zinc/31/85/39/613318539.db2.gz ZYFPBKPNCORNDB-JYAVWHMHSA-N 0 1 269.348 0.797 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2c1C[C@@H](C)CC2 ZINC000962432465 613321520 /nfs/dbraw/zinc/32/15/20/613321520.db2.gz GSRHLRFVQZIJOQ-YYWXWVFPSA-N 0 1 298.390 0.759 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nn(C)c2c1CCCC2 ZINC000961841463 613322414 /nfs/dbraw/zinc/32/24/14/613322414.db2.gz SZFZNOLCBHLGSP-NHAGDIPZSA-N 0 1 298.390 0.592 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@@H](C)C(=O)OC(C)(C)C ZINC000839198793 613327748 /nfs/dbraw/zinc/32/77/48/613327748.db2.gz IBYVAFKBKLHFHI-LLVKDONJSA-N 0 1 268.357 0.645 20 30 CCEDMN C#CCNC(=O)C[N@H+]1CCC[C@H](C)[C@H]1CNC(=O)[O-] ZINC000823456552 613329229 /nfs/dbraw/zinc/32/92/29/613329229.db2.gz NOXIPFYMFUEFJI-WDEREUQCSA-N 0 1 267.329 0.104 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCC[C@H](C)[C@H]1CNC(=O)[O-] ZINC000823456552 613329230 /nfs/dbraw/zinc/32/92/30/613329230.db2.gz NOXIPFYMFUEFJI-WDEREUQCSA-N 0 1 267.329 0.104 20 30 CCEDMN C#CCNC(=O)COC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000885266913 613332277 /nfs/dbraw/zinc/33/22/77/613332277.db2.gz AUMVDHBDDUVNBB-RNCFNFMXSA-N 0 1 275.308 0.368 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2nnn(C)n2)C(C)(C)C1 ZINC000974946736 613338784 /nfs/dbraw/zinc/33/87/84/613338784.db2.gz KJRWJFLRBUIKRH-SNVBAGLBSA-N 0 1 278.360 0.226 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)N[C@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC000908891398 613355864 /nfs/dbraw/zinc/35/58/64/613355864.db2.gz XTEHNOFBLJXIBH-CMPLNLGQSA-N 0 1 281.356 0.942 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NC[C@H]1CCN1C ZINC000928550162 613356447 /nfs/dbraw/zinc/35/64/47/613356447.db2.gz CXYDVKAPWKPREY-VXGBXAGGSA-N 0 1 253.346 0.278 20 30 CCEDMN C=C(C)C[C@H](CO)NS(=O)(=O)N=[S@](C)(=O)CC ZINC000882846084 613358484 /nfs/dbraw/zinc/35/84/84/613358484.db2.gz DXXCCVTUJGAFBK-JDNHERCYSA-N 0 1 284.403 0.266 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC000908872193 613358779 /nfs/dbraw/zinc/35/87/79/613358779.db2.gz XFGBVJGFJKHICP-MNOVXSKESA-N 0 1 269.345 0.799 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NC[C@@H]1C[C@@H](O)CN1C ZINC000924990956 613362994 /nfs/dbraw/zinc/36/29/94/613362994.db2.gz LNSKSTKHMSYFSL-UMSGYPCISA-N 0 1 268.357 0.149 20 30 CCEDMN C=C(CO)C(=O)NC[C@H]1CC[N@H+]1Cc1ccccc1 ZINC000856359535 613367261 /nfs/dbraw/zinc/36/72/61/613367261.db2.gz XZQQNDHCCDKSNK-CQSZACIVSA-N 0 1 260.337 0.926 20 30 CCEDMN C=C(CO)C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000856359535 613367262 /nfs/dbraw/zinc/36/72/62/613367262.db2.gz XZQQNDHCCDKSNK-CQSZACIVSA-N 0 1 260.337 0.926 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CN2CCCCC2=O)C1 ZINC000969184273 613384521 /nfs/dbraw/zinc/38/45/21/613384521.db2.gz HMIZBIYBRHCDLW-GFCCVEGCSA-N 0 1 299.802 0.942 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CO[C@H]2CCOC2)C1 ZINC000968647698 613384586 /nfs/dbraw/zinc/38/45/86/613384586.db2.gz HBWGODGKHKMHDJ-NEPJUHHUSA-N 0 1 288.775 0.735 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2cn(C)nn2)C1 ZINC000970884495 613384690 /nfs/dbraw/zinc/38/46/90/613384690.db2.gz WSURTNSPDDNWHM-LLVKDONJSA-N 0 1 297.790 0.691 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC000969556164 613384751 /nfs/dbraw/zinc/38/47/51/613384751.db2.gz SOJVIHYHBIJSLL-VXGBXAGGSA-N 0 1 299.802 0.940 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@]2(CC)CCNC2=O)C1 ZINC000968970042 613385278 /nfs/dbraw/zinc/38/52/78/613385278.db2.gz BNZFDOJTYGLJML-RISCZKNCSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N[C@H]1CCCS(=O)(=O)C1 ZINC000876659571 613388274 /nfs/dbraw/zinc/38/82/74/613388274.db2.gz BBDIBVSQBRSDBK-DTWKUNHWSA-N 0 1 280.777 0.150 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN([C@@H](CC)CO)CC1 ZINC000878142468 613398082 /nfs/dbraw/zinc/39/80/82/613398082.db2.gz ZMMFLSVYDXHOCZ-STQMWFEESA-N 0 1 270.373 0.493 20 30 CCEDMN C=CC[C@H](NC(=O)[C@H]1CNCCO1)c1ccncc1 ZINC000829689872 613418738 /nfs/dbraw/zinc/41/87/38/613418738.db2.gz FASUEABDERYWQU-QWHCGFSZSA-N 0 1 261.325 0.803 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2[C@H]3CN(CC#CC)C[C@H]32)nn1 ZINC000961991110 613431850 /nfs/dbraw/zinc/43/18/50/613431850.db2.gz GAGFEUBOEJYRMI-IMRBUKKESA-N 0 1 285.351 0.147 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)[C@H](CC)C(N)=O)C1 ZINC000972081127 613434503 /nfs/dbraw/zinc/43/45/03/613434503.db2.gz YYIPGOPTDHCVNR-VXGBXAGGSA-N 0 1 281.400 0.995 20 30 CCEDMN C=CC(C)(C)CCCNC(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000885096063 613446597 /nfs/dbraw/zinc/44/65/97/613446597.db2.gz FHDOQNDUWFDAJU-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C=C[C@@H](C)ONC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000862132690 613447559 /nfs/dbraw/zinc/44/75/59/613447559.db2.gz JQXGEUNOQBQGLH-MRVPVSSYSA-N 0 1 285.325 0.689 20 30 CCEDMN C=CCCC[C@@H]1NC(=O)N(C[C@@H]2CCN2C)C1=O ZINC000925197099 613471733 /nfs/dbraw/zinc/47/17/33/613471733.db2.gz QBAVUUKJMGOWCR-QWRGUYRKSA-N 0 1 251.330 0.967 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)c3cnco3)CC2)C1 ZINC000941509424 613482020 /nfs/dbraw/zinc/48/20/20/613482020.db2.gz JBTLWUSLBIFUNV-UHFFFAOYSA-N 0 1 290.367 0.693 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)c3cn[nH]c3)CC2)C1 ZINC000941328019 613482231 /nfs/dbraw/zinc/48/22/31/613482231.db2.gz OHPOYECSCFNMOZ-UHFFFAOYSA-N 0 1 289.383 0.428 20 30 CCEDMN C=C[C@H](CO)NCc1c2c(nn1C)CCSC2 ZINC000883182097 613492707 /nfs/dbraw/zinc/49/27/07/613492707.db2.gz RRUMUJCHSDAGMT-SECBINFHSA-N 0 1 253.371 0.846 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)Nc1ccc2[nH]c(=O)[nH]c2c1 ZINC000852714288 613493193 /nfs/dbraw/zinc/49/31/93/613493193.db2.gz HLFXSUABFWGIMD-RKDXNWHRSA-N 0 1 290.323 0.732 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CC[C@H](c2[nH]ncc2N)C1 ZINC000907416231 613507015 /nfs/dbraw/zinc/50/70/15/613507015.db2.gz GKCJYEIRUANLCM-UFBFGSQYSA-N 0 1 250.302 0.245 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CC(=O)N[C@H](C)C2)CC1 ZINC000949029802 613507793 /nfs/dbraw/zinc/50/77/93/613507793.db2.gz QHTPHIAULSJZIV-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC000948918943 613508547 /nfs/dbraw/zinc/50/85/47/613508547.db2.gz LCDXJUKYVFYGDG-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2C[C@H]2C(=O)N(C)C)CC1 ZINC000950533084 613508788 /nfs/dbraw/zinc/50/87/88/613508788.db2.gz FDQYWFSXRPCWHI-OLZOCXBDSA-N 0 1 279.384 0.431 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cc(C)nn2C)C1 ZINC000969359410 613531984 /nfs/dbraw/zinc/53/19/84/613531984.db2.gz SBSAJOOMUIHWIS-GFCCVEGCSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC000970813302 613536717 /nfs/dbraw/zinc/53/67/17/613536717.db2.gz ILEHDICRDOUUDK-JSGCOSHPSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC000969802437 613538012 /nfs/dbraw/zinc/53/80/12/613538012.db2.gz AMRGAWNMKYLRME-JTQLQIEISA-N 0 1 298.350 0.426 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccnc3ccnn32)C1 ZINC000969832577 613538774 /nfs/dbraw/zinc/53/87/74/613538774.db2.gz HVRHHHCRDZJTKG-LBPRGKRZSA-N 0 1 297.362 0.803 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)Cc3cc[nH]n3)C2)C1 ZINC000981682184 613541746 /nfs/dbraw/zinc/54/17/46/613541746.db2.gz CUDXPJSPTWGFEC-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3cn[nH]c3)C2)C1 ZINC000981639243 613543822 /nfs/dbraw/zinc/54/38/22/613543822.db2.gz RUSKVEDCBUXXAB-UHFFFAOYSA-N 0 1 272.352 0.971 20 30 CCEDMN C=CCCNS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000917233257 613569973 /nfs/dbraw/zinc/56/99/73/613569973.db2.gz DAEPUNIGTVMKKY-LBPRGKRZSA-N 0 1 258.387 0.968 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC000833373901 613579026 /nfs/dbraw/zinc/57/90/26/613579026.db2.gz ILLRPZZIFMUZBC-CHWSQXEVSA-N 0 1 298.383 0.975 20 30 CCEDMN C=CCC[C@@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(=O)OCC ZINC000839786466 613584940 /nfs/dbraw/zinc/58/49/40/613584940.db2.gz DRMCNRCBHDICQR-OPRDCNLKSA-N 0 1 270.285 0.666 20 30 CCEDMN C=CCC[C@@H](NC(=O)[C@@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000909714499 613585060 /nfs/dbraw/zinc/58/50/60/613585060.db2.gz YAYSMQAEYRSKJM-MNOVXSKESA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCC[C@@H](NC(=O)[C@@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000909714499 613585061 /nfs/dbraw/zinc/58/50/61/613585061.db2.gz YAYSMQAEYRSKJM-MNOVXSKESA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCC[C@@H](O)C[N@H+](CCNC(C)=O)[C@@H](C)C(=O)[O-] ZINC000923249131 613585673 /nfs/dbraw/zinc/58/56/73/613585673.db2.gz TUNIUGIDFOTQNW-CMPLNLGQSA-N 0 1 272.345 0.225 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cn(C)nn2)C(C)(C)C1 ZINC000940724421 613585899 /nfs/dbraw/zinc/58/58/99/613585899.db2.gz HRUKQVZEKDCKHM-CYBMUJFWSA-N 0 1 289.383 0.669 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965959238 613591138 /nfs/dbraw/zinc/59/11/38/613591138.db2.gz SIXBLAOWZXOPTJ-RYUDHWBXSA-N 0 1 291.351 0.442 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ncn(C)n2)C[C@@H]1C ZINC000947280518 613607202 /nfs/dbraw/zinc/60/72/02/613607202.db2.gz BRBLTULRIYXQAL-RYUDHWBXSA-N 0 1 275.356 0.421 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)C2=CCCC2)C1 ZINC000957964847 613611846 /nfs/dbraw/zinc/61/18/46/613611846.db2.gz GGAUIAZLMQRSDB-UONOGXRCSA-N 0 1 262.353 0.529 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2occc2Cl)C1 ZINC000958380215 613613668 /nfs/dbraw/zinc/61/36/68/613613668.db2.gz YHELACCCYBBAKF-CMPLNLGQSA-N 0 1 296.754 0.979 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2occc2C)C1 ZINC000957881666 613613915 /nfs/dbraw/zinc/61/39/15/613613915.db2.gz CPNZNOMXGMZZPP-QWHCGFSZSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2coc(C)n2)C1 ZINC000958003802 613613995 /nfs/dbraw/zinc/61/39/95/613613995.db2.gz AETFDPZDJJIBRK-WCQYABFASA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccccc2F)[C@H](O)C1 ZINC000957813190 613615050 /nfs/dbraw/zinc/61/50/50/613615050.db2.gz XXTOTGOXLUDZIS-IUODEOHRSA-N 0 1 290.338 0.872 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccn2C)C1 ZINC000957882829 613618674 /nfs/dbraw/zinc/61/86/74/613618674.db2.gz PZNKDAZHNVFVOF-JSGCOSHPSA-N 0 1 275.352 0.071 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)co2)C1 ZINC000958334958 613618682 /nfs/dbraw/zinc/61/86/82/613618682.db2.gz RNHIPTCYUCDJOJ-STQMWFEESA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2coc(C)c2)C1 ZINC000958013753 613619270 /nfs/dbraw/zinc/61/92/70/613619270.db2.gz BIXMFGULSBVBHQ-KBPBESRZSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974915783 613622464 /nfs/dbraw/zinc/62/24/64/613622464.db2.gz BVFLJRGNVHIVOZ-OLZOCXBDSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cnc[nH]c2=O)C(C)(C)C1 ZINC000974720184 613623927 /nfs/dbraw/zinc/62/39/27/613623927.db2.gz WALBLAWQLFKRON-LBPRGKRZSA-N 0 1 288.351 0.646 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2csnc2C)C1 ZINC000957982112 613625797 /nfs/dbraw/zinc/62/57/97/613625797.db2.gz ICWKIAZLKANEEV-YPMHNXCESA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cocc2C)C1 ZINC000958323624 613625958 /nfs/dbraw/zinc/62/59/58/613625958.db2.gz CRTSDTSWHQQELE-OCCSQVGLSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ncoc2C)C1 ZINC000957905322 613626486 /nfs/dbraw/zinc/62/64/86/613626486.db2.gz GOTHJAYCLHJFRZ-NEPJUHHUSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)no1 ZINC000958217210 613638351 /nfs/dbraw/zinc/63/83/51/613638351.db2.gz UNPLVHGEYFLTDJ-PJXYFTJBSA-N 0 1 259.309 0.666 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2c([nH]1)CCOC2 ZINC000960234547 613639420 /nfs/dbraw/zinc/63/94/20/613639420.db2.gz PKJXSUWGTQUQDM-VIKVFOODSA-N 0 1 299.374 0.771 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2nonc2c1 ZINC000961673202 613640008 /nfs/dbraw/zinc/64/00/08/613640008.db2.gz YAFRYMCLXBGAOZ-JYAVWHMHSA-N 0 1 296.330 0.906 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(C(F)F)n1 ZINC000960105897 613640955 /nfs/dbraw/zinc/64/09/55/613640955.db2.gz VPKMYSLSPYKNFL-IAZYJMLFSA-N 0 1 294.305 0.962 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(OCC)n1 ZINC000960817881 613642240 /nfs/dbraw/zinc/64/22/40/613642240.db2.gz WGAOPPRKKLOIMM-PJXYFTJBSA-N 0 1 289.335 0.757 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2cccnc12 ZINC000961443022 613642422 /nfs/dbraw/zinc/64/24/22/613642422.db2.gz OWTQZJJGUDAFEK-WDNDVIMCSA-N 0 1 295.346 0.413 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(C(N)=O)c1 ZINC000961343798 613642573 /nfs/dbraw/zinc/64/25/73/613642573.db2.gz SRSXVVSZEMRSNW-PJXYFTJBSA-N 0 1 287.319 0.062 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NC[C@@H]1CCC[N@@H+]1CCOC ZINC000908927813 613642723 /nfs/dbraw/zinc/64/27/23/613642723.db2.gz VJFYGAYXSJLKNU-LBPRGKRZSA-N 0 1 299.371 0.379 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nocc1C ZINC000962214554 613643150 /nfs/dbraw/zinc/64/31/50/613643150.db2.gz JISQAXDKZDARJS-PJXYFTJBSA-N 0 1 259.309 0.666 20 30 CCEDMN C=CCN1CC(=O)N(Cc2nc(CSC)n[nH]2)C1=O ZINC000925146559 613652828 /nfs/dbraw/zinc/65/28/28/613652828.db2.gz XYSOUVVBDSXGHM-UHFFFAOYSA-N 0 1 281.341 0.618 20 30 CCEDMN C=CCN1CC(=O)N(Cc2nnc(CSC)[nH]2)C1=O ZINC000925146559 613652829 /nfs/dbraw/zinc/65/28/29/613652829.db2.gz XYSOUVVBDSXGHM-UHFFFAOYSA-N 0 1 281.341 0.618 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC000970965521 613665334 /nfs/dbraw/zinc/66/53/34/613665334.db2.gz APEVBBIAIHWONT-SNVBAGLBSA-N 0 1 288.351 0.417 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](C)n2cncn2)CC1 ZINC000981005848 613690924 /nfs/dbraw/zinc/69/09/24/613690924.db2.gz WWXBKHZAQUTCPZ-GFCCVEGCSA-N 0 1 263.345 0.559 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC000980825612 613692848 /nfs/dbraw/zinc/69/28/48/613692848.db2.gz IYJZWRAOWKEABF-VXGBXAGGSA-N 0 1 266.341 0.516 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CC(=O)N(CCC)C2)CC1 ZINC000982049035 613693877 /nfs/dbraw/zinc/69/38/77/613693877.db2.gz DWGGPAQMCYWWTP-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC000981744582 613694902 /nfs/dbraw/zinc/69/49/02/613694902.db2.gz DFBRDSKCSVRLIM-NEPJUHHUSA-N 0 1 265.357 0.218 20 30 CCEDMN C=CCN1CCN(CN2CC[C@@](C)(C(N)=O)C2)C1=O ZINC000840059147 613720689 /nfs/dbraw/zinc/72/06/89/613720689.db2.gz BWFAPISGGOHORR-CYBMUJFWSA-N 0 1 266.345 0.065 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC000965763117 613732793 /nfs/dbraw/zinc/73/27/93/613732793.db2.gz MIIRRNCUYGEBHQ-DGCLKSJQSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cn(C)nn2)C1 ZINC000965959399 613736090 /nfs/dbraw/zinc/73/60/90/613736090.db2.gz UUQDNUQTVJNISP-GHMZBOCLSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@@H]2CCN(C(C)=O)C2)C1=O ZINC000970917111 613738997 /nfs/dbraw/zinc/73/89/97/613738997.db2.gz WMMCCOBWLPCLTR-CHWSQXEVSA-N 0 1 265.357 0.326 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cn2cccn2)C1 ZINC000965153775 613767834 /nfs/dbraw/zinc/76/78/34/613767834.db2.gz ROZSJYKTRFHLCO-STQMWFEESA-N 0 1 262.357 0.896 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCN2CCOC2=O)C1=O ZINC000799177935 613776714 /nfs/dbraw/zinc/77/67/14/613776714.db2.gz YZTIGBGPPOKKPH-LBPRGKRZSA-N 0 1 281.356 0.547 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2COCCN2CC)C(C)(C)C1 ZINC000974758588 613807901 /nfs/dbraw/zinc/80/79/01/613807901.db2.gz NDOWZNWAYVCQBW-UONOGXRCSA-N 0 1 295.427 0.720 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000972862910 613828013 /nfs/dbraw/zinc/82/80/13/613828013.db2.gz QQAQKKKFNVUMIC-MNOVXSKESA-N 0 1 265.357 0.278 20 30 CCEDMN C=CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)c(OC)c[nH]1 ZINC000961136800 613841029 /nfs/dbraw/zinc/84/10/29/613841029.db2.gz JFAYGNXFLMWUHW-MSRIBSCDSA-N 0 1 289.335 0.230 20 30 CCEDMN C=CCNC(=O)COC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000885267008 613848060 /nfs/dbraw/zinc/84/80/60/613848060.db2.gz BHBQNWLZCJIFEE-RNCFNFMXSA-N 0 1 277.324 0.921 20 30 CCEDMN C=CCNC(=O)COC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC000885879591 613848809 /nfs/dbraw/zinc/84/88/09/613848809.db2.gz ZUSCVPPUKZTSQT-SECBINFHSA-N 0 1 277.324 0.994 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](OCC)C1 ZINC000932977538 613851768 /nfs/dbraw/zinc/85/17/68/613851768.db2.gz UVWDMFQDBVYJIQ-QWRGUYRKSA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCNC(=O)C(C#N)=C(O)[C@@H]1Cc2ccccc2C(=O)O1 ZINC000916921513 613854764 /nfs/dbraw/zinc/85/47/64/613854764.db2.gz UOZDADJTEKWODO-OLZOCXBDSA-N 0 1 298.298 0.779 20 30 CCEDMN C=CCNC(=S)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833376685 613856570 /nfs/dbraw/zinc/85/65/70/613856570.db2.gz OQDXLNVUSXXODA-JTQLQIEISA-N 0 1 271.386 0.528 20 30 CCEDMN C=CCOCC(=O)N(CCC(=O)[O-])C[C@H]1CCC[N@@H+]1CC ZINC000911287019 613879023 /nfs/dbraw/zinc/87/90/23/613879023.db2.gz MYTHPMKKYGPASU-CYBMUJFWSA-N 0 1 298.383 0.977 20 30 CCEDMN C=CCONC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000834931237 613883992 /nfs/dbraw/zinc/88/39/92/613883992.db2.gz CIELNBMYORMQPR-RYUDHWBXSA-N 0 1 271.361 0.903 20 30 CCEDMN CC(C)(C)[N@H+]1CC[C@H]1C(=O)N1CC[C@](O)(CC#N)C1 ZINC000898086589 613938988 /nfs/dbraw/zinc/93/89/88/613938988.db2.gz OXLJTHZAHKDMRS-SMDDNHRTSA-N 0 1 265.357 0.736 20 30 CCEDMN CC(C)(C)N1CC[C@H]1C(=O)N1CC[C@](O)(CC#N)C1 ZINC000898086589 613938991 /nfs/dbraw/zinc/93/89/91/613938991.db2.gz OXLJTHZAHKDMRS-SMDDNHRTSA-N 0 1 265.357 0.736 20 30 CCEDMN CC(C)(C)OC(=O)NC[C@@](C)(O)CNCCC#N ZINC000819269769 613991545 /nfs/dbraw/zinc/99/15/45/613991545.db2.gz OMEHCTSGQQBXQU-LBPRGKRZSA-N 0 1 257.334 0.765 20 30 CCEDMN CC(C)OCCCNC(=O)C(C#N)C(=O)CSCC#N ZINC000916872384 614013026 /nfs/dbraw/zinc/01/30/26/614013026.db2.gz IVRGAWMGRDYLKO-LLVKDONJSA-N 0 1 297.380 0.883 20 30 CCEDMN CC(C)(C)n1cc(C(=O)C(=O)N[C@H]2CNC[C@@H]2C#N)cn1 ZINC000834489190 614059363 /nfs/dbraw/zinc/05/93/63/614059363.db2.gz KMMGKHKVJTUJHO-ONGXEEELSA-N 0 1 289.339 0.049 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC000839719212 614071522 /nfs/dbraw/zinc/07/15/22/614071522.db2.gz OPVTXOXRMRHLFG-IJLUTSLNSA-N 0 1 280.328 0.344 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)CC(C)(C)C#N)CCN1 ZINC000917783302 614164165 /nfs/dbraw/zinc/16/41/65/614164165.db2.gz BMFYEAGUZXYHMW-NSHDSACASA-N 0 1 273.402 0.796 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H](O)c2c[nH]cn2)CC1 ZINC000865091102 614186793 /nfs/dbraw/zinc/18/67/93/614186793.db2.gz RRYPOWHXSPUAPR-STQMWFEESA-N 0 1 291.355 0.135 20 30 CCEDMN CC(=O)Nc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834505498 614199635 /nfs/dbraw/zinc/19/96/35/614199635.db2.gz LRPQQRYUSBPLAQ-YPMHNXCESA-N 0 1 272.308 0.486 20 30 CCEDMN CC(=O)Nc1ccc(C)c(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834499803 614199824 /nfs/dbraw/zinc/19/98/24/614199824.db2.gz MXUXQQKTLHGVAC-RISCZKNCSA-N 0 1 286.335 0.795 20 30 CCEDMN CC(C)[C@H](NC(=O)N[C@@H]1CNC[C@H]1C#N)C(F)(F)F ZINC000841209462 614232848 /nfs/dbraw/zinc/23/28/48/614232848.db2.gz RLJPIRQLOWIIOG-HLTSFMKQSA-N 0 1 278.278 0.984 20 30 CCEDMN CC(C)Cn1nccc1C(=O)NCC#CCN(C)C ZINC000913523597 614244036 /nfs/dbraw/zinc/24/40/36/614244036.db2.gz GIBBIRIWACTMHP-UHFFFAOYSA-N 0 1 262.357 0.834 20 30 CCEDMN CC(C)[C@H](NCC(F)(F)F)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000852828631 614249383 /nfs/dbraw/zinc/24/93/83/614249383.db2.gz ZXMHZFBKFHRZMM-BBBLOLIVSA-N 0 1 292.305 0.391 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000824057126 614285727 /nfs/dbraw/zinc/28/57/27/614285727.db2.gz OWYZOXSGWXPMRV-FZMZJTMJSA-N 0 1 296.371 0.725 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000824057126 614285729 /nfs/dbraw/zinc/28/57/29/614285729.db2.gz OWYZOXSGWXPMRV-FZMZJTMJSA-N 0 1 296.371 0.725 20 30 CCEDMN CC(C)(C#N)CS(=O)(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000913969017 614287896 /nfs/dbraw/zinc/28/78/96/614287896.db2.gz CAXQBADCRLTNTK-SNVBAGLBSA-N 0 1 271.386 0.692 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000913496834 614314716 /nfs/dbraw/zinc/31/47/16/614314716.db2.gz DXVBSDBPHBDKSU-VIFPVBQESA-N 0 1 263.301 0.149 20 30 CCEDMN CC(C)N1CC(N2CCN(C(=O)C#CC3CC3)CC2)C1 ZINC000941400774 614316181 /nfs/dbraw/zinc/31/61/81/614316181.db2.gz DAVACESZLBUOCD-UHFFFAOYSA-N 0 1 275.396 0.637 20 30 CCEDMN CC(C)(C)C(=O)N1CCC[C@@H]1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834516469 614323855 /nfs/dbraw/zinc/32/38/55/614323855.db2.gz ITLZUBYRRRDZOS-IJLUTSLNSA-N 0 1 292.383 0.251 20 30 CCEDMN CCCc1cc(C(=O)N(CC#N)CCC(=O)OC)n[nH]1 ZINC000871340237 614463456 /nfs/dbraw/zinc/46/34/56/614463456.db2.gz XUBUTGKQMIJJDU-UHFFFAOYSA-N 0 1 278.312 0.891 20 30 CCEDMN CCCn1cc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)nn1 ZINC000872359575 614524962 /nfs/dbraw/zinc/52/49/62/614524962.db2.gz JFGBRUNILUCAKE-VXGBXAGGSA-N 0 1 275.360 0.882 20 30 CCEDMN CCCCCCN(C)CC(=O)N[C@@H]1CCCN(O)C1=O ZINC000848138618 614906665 /nfs/dbraw/zinc/90/66/65/614906665.db2.gz OQDZFUGHGCCCBX-GFCCVEGCSA-N 0 1 285.388 0.995 20 30 CCEDMN CCN(CC)C(=O)CCCC(=O)NCC#CCN(C)C ZINC000913518525 614961897 /nfs/dbraw/zinc/96/18/97/614961897.db2.gz SLUZCWRNEHQFOF-UHFFFAOYSA-N 0 1 281.400 0.706 20 30 CCEDMN CCN(CC)c1ncc(C=[NH+]NCC(=O)[O-])s1 ZINC000814961234 615013250 /nfs/dbraw/zinc/01/32/50/615013250.db2.gz ZFYVQQBJRHZAMC-UHFFFAOYSA-N 0 1 256.331 0.997 20 30 CCEDMN CCN(CCC#N)C(=O)Cn1cc(CNC(C)C)nn1 ZINC000905796003 615023310 /nfs/dbraw/zinc/02/33/10/615023310.db2.gz VFBMYTKVQFVONP-UHFFFAOYSA-N 0 1 278.360 0.538 20 30 CCEDMN CCNCc1cn(C[C@@H](O)CC2(C#N)CCC2)nn1 ZINC000887467197 615103064 /nfs/dbraw/zinc/10/30/64/615103064.db2.gz LDVJGDKCZAWKJZ-LBPRGKRZSA-N 0 1 263.345 0.832 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N[C@H](C)C1CN(CC#N)C1 ZINC000970927386 615114989 /nfs/dbraw/zinc/11/49/89/615114989.db2.gz DGCIWGOXCTUOSJ-OCCSQVGLSA-N 0 1 278.400 0.821 20 30 CCEDMN CCOC(=O)[C@@H]1CN(CC#CCOC)CC12COC2 ZINC000830274460 615304708 /nfs/dbraw/zinc/30/47/08/615304708.db2.gz NLHWTKQZSFBPAI-LBPRGKRZSA-N 0 1 267.325 0.148 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)Cc1noc(C)c1C#N ZINC000922160613 615312529 /nfs/dbraw/zinc/31/25/29/615312529.db2.gz WZUZAWLVDOHSTC-JTQLQIEISA-N 0 1 262.313 0.608 20 30 CCEDMN CCOc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834489017 615513313 /nfs/dbraw/zinc/51/33/13/615513313.db2.gz IGGIIUJSNNQOPZ-YPMHNXCESA-N 0 1 259.309 0.927 20 30 CCEDMN CCc1nc(C#N)cc(N2CC(c3nc(C)n[nH]3)C2)n1 ZINC000895328253 615724368 /nfs/dbraw/zinc/72/43/68/615724368.db2.gz LUTVCOGKVGCRMQ-UHFFFAOYSA-N 0 1 269.312 0.941 20 30 CCEDMN CCc1nc(C#N)cc(N2CC(c3n[nH]c(C)n3)C2)n1 ZINC000895328253 615724369 /nfs/dbraw/zinc/72/43/69/615724369.db2.gz LUTVCOGKVGCRMQ-UHFFFAOYSA-N 0 1 269.312 0.941 20 30 CCEDMN CCc1nnc(C)cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834505995 615808532 /nfs/dbraw/zinc/80/85/32/615808532.db2.gz PHVOVYSXQVWFGH-JOYOIKCWSA-N 0 1 259.313 0.189 20 30 CCEDMN CN(C)C1(C(=O)N2CCN(C3CC3)[C@H](C#N)C2)CC1 ZINC000827383197 615840365 /nfs/dbraw/zinc/84/03/65/615840365.db2.gz BUKLWGNRAAQRCT-GFCCVEGCSA-N 0 1 262.357 0.279 20 30 CCEDMN CN(C)CC#CCNC(=O)C1CCN(CC(F)F)CC1 ZINC000913521680 615857610 /nfs/dbraw/zinc/85/76/10/615857610.db2.gz JCWRAERZFVNOMH-UHFFFAOYSA-N 0 1 287.354 0.645 20 30 CCEDMN CN(C)CC#CCNC(=O)COc1ccccc1O ZINC000913514701 615858257 /nfs/dbraw/zinc/85/82/57/615858257.db2.gz ZDXOVHBHHKCWQD-UHFFFAOYSA-N 0 1 262.309 0.452 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@H]1COc2ccccc2O1 ZINC000913523381 615858530 /nfs/dbraw/zinc/85/85/30/615858530.db2.gz YCDLPMUJWTURAG-ZDUSSCGKSA-N 0 1 288.347 0.898 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1cnc(-c2ccccc2)n1 ZINC000913520758 615858930 /nfs/dbraw/zinc/85/89/30/615858930.db2.gz XNCHMMCYUAEKCY-UHFFFAOYSA-N 0 1 297.362 0.626 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cccc(F)n1 ZINC000930872905 615859118 /nfs/dbraw/zinc/85/91/18/615859118.db2.gz BAKMXVOJNSEFFB-UHFFFAOYSA-N 0 1 250.277 0.907 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H](O)Cc1ccccc1 ZINC000913521693 615859496 /nfs/dbraw/zinc/85/94/96/615859496.db2.gz JPZKKOXZWLFEEV-AWEZNQCLSA-N 0 1 260.337 0.271 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1C[C@@]1(C)Br ZINC000913524515 615859835 /nfs/dbraw/zinc/85/98/35/615859835.db2.gz PNCBRKRSWRJWIW-GXSJLCMTSA-N 0 1 273.174 0.841 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC000913517946 615861176 /nfs/dbraw/zinc/86/11/76/615861176.db2.gz XBLZBXROIDIQBJ-UHFFFAOYSA-N 0 1 286.335 0.574 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2[nH]c(=O)[nH]c21 ZINC000913522800 615861341 /nfs/dbraw/zinc/86/13/41/615861341.db2.gz YVZALZZXUHIARK-UHFFFAOYSA-N 0 1 272.308 0.563 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nnc(-c2ccco2)o1 ZINC000913523740 615862482 /nfs/dbraw/zinc/86/24/82/615862482.db2.gz KJSNHHVFGIZCPP-UHFFFAOYSA-N 0 1 274.280 0.624 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)C1CN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000953771482 615899144 /nfs/dbraw/zinc/89/91/44/615899144.db2.gz OQJYXIXBGYKVAQ-UHFFFAOYSA-N 0 1 298.306 0.206 20 30 CCEDMN CN(C)c1cccc(NC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000841183218 616022659 /nfs/dbraw/zinc/02/26/59/616022659.db2.gz MVSXUESBUYMPNF-ZJUUUORDSA-N 0 1 274.328 0.381 20 30 CCEDMN CCn1ccc(CN[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000967974484 616032003 /nfs/dbraw/zinc/03/20/03/616032003.db2.gz HBTKHVRRCOIWLE-DGCLKSJQSA-N 0 1 275.356 0.753 20 30 CCEDMN CCc1[nH]nc(Cl)c1CNCCn1cnc(C#N)n1 ZINC000922123234 616033954 /nfs/dbraw/zinc/03/39/54/616033954.db2.gz MAFNTJOBSINQRD-UHFFFAOYSA-N 0 1 279.735 0.878 20 30 CCEDMN CN(CC(=O)NCCNc1ccc(C#N)cn1)C1CCC1 ZINC000863857683 616047181 /nfs/dbraw/zinc/04/71/81/616047181.db2.gz LSAJEXCGYRKWPQ-UHFFFAOYSA-N 0 1 287.367 0.966 20 30 CCEDMN CN(CC1CN(S(C)(=O)=O)C1)[C@H]1CCC[C@@H]1C#N ZINC000931473913 616067257 /nfs/dbraw/zinc/06/72/57/616067257.db2.gz CMGRVRBSLAHQST-NEPJUHHUSA-N 0 1 271.386 0.502 20 30 CCEDMN CN(CCN(C)S(=O)(=O)c1ccc(C#N)cn1)C1CC1 ZINC000907129131 616084203 /nfs/dbraw/zinc/08/42/03/616084203.db2.gz GMYYDEUXJZWEMB-UHFFFAOYSA-N 0 1 294.380 0.668 20 30 CCEDMN CN(CCN1CC2(C1)CCOCC2)c1ncncc1C#N ZINC000866258446 616085795 /nfs/dbraw/zinc/08/57/95/616085795.db2.gz WRAHKMZKXMCSRF-UHFFFAOYSA-N 0 1 287.367 0.897 20 30 CCEDMN CN(C[C@@H](O)c1cccc(C#N)c1)C[C@H]1COCCO1 ZINC000844372157 616162715 /nfs/dbraw/zinc/16/27/15/616162715.db2.gz VRSHDCJJROMNOZ-LSDHHAIUSA-N 0 1 276.336 0.939 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H](O)c2ccccc2)CC1 ZINC000220000062 616165916 /nfs/dbraw/zinc/16/59/16/616165916.db2.gz BQDCMGUBZZTKBY-CYBMUJFWSA-N 0 1 273.336 0.824 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCCN(CC#N)CC1 ZINC000981458063 616179478 /nfs/dbraw/zinc/17/94/78/616179478.db2.gz WKWPFKQQTNMMKS-CYBMUJFWSA-N 0 1 264.373 0.529 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC000960578730 616180744 /nfs/dbraw/zinc/18/07/44/616180744.db2.gz MGWHPQHXZZIGTO-XQHKEYJVSA-N 0 1 262.357 0.041 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1CN(CC#N)CC1(C)C ZINC000972858022 616194277 /nfs/dbraw/zinc/19/42/77/616194277.db2.gz SCSBETJURBGCJR-VXGBXAGGSA-N 0 1 264.373 0.431 20 30 CCEDMN CN1CCC[C@H]1c1cc(C(=O)N2CCO[C@@H](C#N)C2)[nH]n1 ZINC000896209295 616215161 /nfs/dbraw/zinc/21/51/61/616215161.db2.gz XLRBEPUKBHAVTL-GWCFXTLKSA-N 0 1 289.339 0.541 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N2CCC[C@@H](C#N)C2)C1 ZINC000917960246 616237313 /nfs/dbraw/zinc/23/73/13/616237313.db2.gz OSOBYIWXVTXGMV-STQMWFEESA-N 0 1 279.388 0.177 20 30 CCEDMN CN1CCOC[C@]1(CO)CNCc1cccc(F)c1C#N ZINC000896456439 616250486 /nfs/dbraw/zinc/25/04/86/616250486.db2.gz DJPNNKDZLSUGMZ-OAHLLOKOSA-N 0 1 293.342 0.480 20 30 CCEDMN COC(=O)[C@@H]1CCN(C[C@H](O)CC#N)[C@@H]([C@@H]2CCCO2)C1 ZINC000933184074 616308746 /nfs/dbraw/zinc/30/87/46/616308746.db2.gz JALRJLLRPMGNJK-SYQHCUMBSA-N 0 1 296.367 0.694 20 30 CCEDMN COCCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000965851449 616381779 /nfs/dbraw/zinc/38/17/79/616381779.db2.gz KORPXNMNTXZKKI-RISCZKNCSA-N 0 1 290.367 0.973 20 30 CCEDMN COCCN1CC[C@@H](NS(=O)(=O)CC(C)(C)C#N)C1 ZINC000914407868 616405075 /nfs/dbraw/zinc/40/50/75/616405075.db2.gz ZMSRYQDGBRBTJH-LLVKDONJSA-N 0 1 289.401 0.176 20 30 CCEDMN COCCN1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC000837406035 616412644 /nfs/dbraw/zinc/41/26/44/616412644.db2.gz YJPSULJMSLKOKC-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN CN1CC[C@H]1CNC(=O)Nc1cc(CC#N)ccn1 ZINC000932165162 616428835 /nfs/dbraw/zinc/42/88/35/616428835.db2.gz WCUXEUAUDXXAQF-NSHDSACASA-N 0 1 259.313 0.973 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@@H]1[C@@H]1C[C@@H]1C ZINC000870139343 616496013 /nfs/dbraw/zinc/49/60/13/616496013.db2.gz ULULBWQUZBMKSJ-VSSNEEPJSA-N 0 1 264.325 0.750 20 30 CCEDMN COCC#CCN1CCC2(CC1)C[C@@H](O)CCO2 ZINC000933060428 616527532 /nfs/dbraw/zinc/52/75/32/616527532.db2.gz ULROAQIYYSKCEM-ZDUSSCGKSA-N 0 1 253.342 0.642 20 30 CCEDMN COCC#CCN(C)Cc1ccc(-c2nn[nH]n2)cc1 ZINC000826147271 616527810 /nfs/dbraw/zinc/52/78/10/616527810.db2.gz RLOFMVLMWGPPCL-UHFFFAOYSA-N 0 1 271.324 0.948 20 30 CCEDMN CNC(=O)C1CN(C[C@H](O)CC2(C#N)CCC2)C1 ZINC000886138729 616555491 /nfs/dbraw/zinc/55/54/91/616555491.db2.gz SBELHPQRARBLEG-LLVKDONJSA-N 0 1 251.330 0.109 20 30 CCEDMN CNC(=O)CC[N@@H+](C)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830988093 616579598 /nfs/dbraw/zinc/57/95/98/616579598.db2.gz SKULWTDKLPZNJF-CYBMUJFWSA-N 0 1 275.308 0.752 20 30 CCEDMN COC(=O)[C@H](C)n1cccc1C=NNc1cnnn1C ZINC000814983748 616588273 /nfs/dbraw/zinc/58/82/73/616588273.db2.gz OAWMUDHDADXPGZ-VIFPVBQESA-N 0 1 276.300 0.797 20 30 CCEDMN COCCOc1ccc(C=NNC2=NCCN2)cc1 ZINC000841658726 616590881 /nfs/dbraw/zinc/59/08/81/616590881.db2.gz IJYZCQIKHBCVSF-UHFFFAOYSA-N 0 1 262.313 0.595 20 30 CCEDMN CO[C@@H]1CC[C@H]1N(C)[C@@H](C)C(=O)N(C)CCC#N ZINC000934126089 616715123 /nfs/dbraw/zinc/71/51/23/616715123.db2.gz INUGWPHKRHBTJP-QJPTWQEYSA-N 0 1 253.346 0.856 20 30 CCEDMN CNC(=O)c1cccc(NC(=O)NCC#CCN(C)C)c1 ZINC000929386244 616921312 /nfs/dbraw/zinc/92/13/12/616921312.db2.gz QIZRPESVSJPJHO-UHFFFAOYSA-N 0 1 288.351 0.733 20 30 CCEDMN COCCN(C)c1ccc(C=NN(C)C[C@H](C)O)cn1 ZINC000841649416 616972025 /nfs/dbraw/zinc/97/20/25/616972025.db2.gz HMHXUQAWQSQCHM-LBPRGKRZSA-N 0 1 280.372 0.811 20 30 CCEDMN COCCN(C)c1ccc(C=[NH+][N-]c2ncn[nH]2)cn1 ZINC000807997626 616972684 /nfs/dbraw/zinc/97/26/84/616972684.db2.gz UNAQYGQXRNAWJJ-UHFFFAOYSA-N 0 1 275.316 0.728 20 30 CCEDMN COC(=O)c1coc(C(=O)NCC#CCN(C)C)c1 ZINC000913521444 617010511 /nfs/dbraw/zinc/01/05/11/617010511.db2.gz ZMUVVKHMOOPWRD-UHFFFAOYSA-N 0 1 264.281 0.361 20 30 CCEDMN COC(=O)c1csc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000841145032 617020147 /nfs/dbraw/zinc/02/01/47/617020147.db2.gz HJCBCDNFPNFTNO-RKDXNWHRSA-N 0 1 294.336 0.768 20 30 CCEDMN CON=CC(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000816681981 617103429 /nfs/dbraw/zinc/10/34/29/617103429.db2.gz MAFTZKDUWQJECC-NSHDSACASA-N 0 1 269.345 0.330 20 30 CCEDMN CON=CC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000928083661 617105860 /nfs/dbraw/zinc/10/58/60/617105860.db2.gz AIJSIAWWRPGEPT-NXEZZACHSA-N 0 1 257.359 0.315 20 30 CCEDMN CO[C@H]1COCC[C@@H]1N(C)CC(=O)NC1(C#N)CCC1 ZINC000880431625 617113986 /nfs/dbraw/zinc/11/39/86/617113986.db2.gz CMYFHLCUBBDISE-RYUDHWBXSA-N 0 1 281.356 0.285 20 30 CCEDMN CO[C@@H](C(=O)NCC#CCN(C)C)C1CCCC1 ZINC000913523615 617125272 /nfs/dbraw/zinc/12/52/72/617125272.db2.gz GQVACDCMDBZLJC-CYBMUJFWSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CC[C@H](C)O1 ZINC001026006275 625320144 /nfs/dbraw/zinc/32/01/44/625320144.db2.gz RTKKJQWDLIHRPA-XOBFJNJYSA-N 0 1 262.353 0.624 20 30 CCEDMN C[C@@H]1CN(C[C@@H](C#N)CCC#N)C[C@H](C)N1CCO ZINC000930645201 625398452 /nfs/dbraw/zinc/39/84/52/625398452.db2.gz NMOPRGNVWXRMPF-HZSPNIEDSA-N 0 1 264.373 0.817 20 30 CCEDMN C=CC[C@@H]1CCN1CC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000934469799 622713298 /nfs/dbraw/zinc/71/32/98/622713298.db2.gz HALXDTRWXPUXEE-CQSZACIVSA-N 0 1 291.395 0.718 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2nc3ncccn3n2)C1 ZINC001023658812 623096934 /nfs/dbraw/zinc/09/69/34/623096934.db2.gz VKICECVLDXJRDY-LBPRGKRZSA-N 0 1 298.350 0.199 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@H](CNCc2csnn2)C1 ZINC001026834594 625632241 /nfs/dbraw/zinc/63/22/41/625632241.db2.gz IHHPQNQDJDKUQP-GFCCVEGCSA-N 0 1 290.392 0.890 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1COC(=O)N1 ZINC001024422377 623319507 /nfs/dbraw/zinc/31/95/07/623319507.db2.gz OKIGSJBUVIZKKD-GHMZBOCLSA-N 0 1 267.329 0.252 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1COCCN1CC ZINC001024516763 623347295 /nfs/dbraw/zinc/34/72/95/623347295.db2.gz NCDSXZRDIKMTFV-LSDHHAIUSA-N 0 1 295.427 0.864 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCN(C)C1=O ZINC001024604122 623391879 /nfs/dbraw/zinc/39/18/79/623391879.db2.gz JURQYXXWFAHOTP-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001007462351 623645036 /nfs/dbraw/zinc/64/50/36/623645036.db2.gz WIWTXORFHWDKRY-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CCC[C@H]1[C@H]1CCCCN1C(=O)C(N)=O ZINC001025268029 623681852 /nfs/dbraw/zinc/68/18/52/623681852.db2.gz UVFDAWIRAIOJBO-QWHCGFSZSA-N 0 1 277.368 0.341 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001025384864 623742869 /nfs/dbraw/zinc/74/28/69/623742869.db2.gz KXVGBXXFZWJWTP-ZYHUDNBSSA-N 0 1 290.367 0.887 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ccnc(C(=O)[O-])c1 ZINC000327969389 623818947 /nfs/dbraw/zinc/81/89/47/623818947.db2.gz FRYVJOZTDPLTQT-UHFFFAOYSA-N 0 1 275.308 0.465 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]([C@@H](C)NC(=O)C2=NC(=O)N(C)C2)C1 ZINC001025525645 623822696 /nfs/dbraw/zinc/82/26/96/623822696.db2.gz QZFGPYFJRZIBIJ-NEPJUHHUSA-N 0 1 290.367 0.589 20 30 CCEDMN C[C@H](NC(=O)c1[nH]ncc1F)[C@H]1CCCN(CC#N)C1 ZINC001025521857 623822841 /nfs/dbraw/zinc/82/28/41/623822841.db2.gz DDLLMQQHZFFLBY-UWVGGRQHSA-N 0 1 279.319 0.903 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H]([C@H](C)NC(=O)C2=NC(=O)N(C)C2)C1 ZINC001025525646 623822914 /nfs/dbraw/zinc/82/29/14/623822914.db2.gz QZFGPYFJRZIBIJ-NWDGAFQWSA-N 0 1 290.367 0.589 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]([C@H](C)NC(=O)c2ccncn2)C1 ZINC001025565006 623830099 /nfs/dbraw/zinc/83/00/99/623830099.db2.gz OPCSEYSNXDTLKQ-QWHCGFSZSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@H]1CCC[C@H](C(=O)[O-])C1 ZINC000328231541 623870447 /nfs/dbraw/zinc/87/04/47/623870447.db2.gz DGWXGUXMPLPFCI-STQMWFEESA-N 0 1 280.368 0.949 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC[C@@H](OC)C1 ZINC001025824565 623889934 /nfs/dbraw/zinc/88/99/34/623889934.db2.gz NZLHMGYQRJHPQE-POQQGIQPSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCNC(=O)CN(C)[C@@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC000309822703 623899627 /nfs/dbraw/zinc/89/96/27/623899627.db2.gz CWSSZABSGHMHOP-MRXNPFEDSA-N 0 1 285.391 0.942 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@@H]2CN(CC#N)CC2(C)C)C1 ZINC000975060001 625718212 /nfs/dbraw/zinc/71/82/12/625718212.db2.gz IFSTYMTYTHBREJ-CHWSQXEVSA-N 0 1 278.400 0.678 20 30 CCEDMN C[C@@H](C#N)OCCN1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000801917682 634403207 /nfs/dbraw/zinc/40/32/07/634403207.db2.gz LSCMTBBGKWNJEM-KBPBESRZSA-N 0 1 295.383 0.628 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]2[C@H](CCCN2C(=O)C(N)=O)C1 ZINC001021660780 624804901 /nfs/dbraw/zinc/80/49/01/624804901.db2.gz FZWYGHHUCPXCHF-GHMZBOCLSA-N 0 1 285.775 0.537 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(C(N)=O)o2)C1 ZINC000971902376 625009313 /nfs/dbraw/zinc/00/93/13/625009313.db2.gz XXVZSMYHCADRMN-JTQLQIEISA-N 0 1 275.308 0.158 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2COCCN2C(C)=O)C1 ZINC001009576753 625848505 /nfs/dbraw/zinc/84/85/05/625848505.db2.gz IEEHFWAUHRPOLY-KBPBESRZSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001027461888 625897108 /nfs/dbraw/zinc/89/71/08/625897108.db2.gz RFIWLSNNMYFBET-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H](NC[C@@]1(CO)COCCN1C)c1cc(C#N)ccn1 ZINC000903280169 634550332 /nfs/dbraw/zinc/55/03/32/634550332.db2.gz SVNXUXFMLBXMQH-IUODEOHRSA-N 0 1 290.367 0.297 20 30 CCEDMN C[C@@H]1[C@H](C)NCCN1S(=O)(=O)c1ccsc1C#N ZINC000903497908 634592037 /nfs/dbraw/zinc/59/20/37/634592037.db2.gz ULLQONGUJDERQJ-DTWKUNHWSA-N 0 1 285.394 0.991 20 30 CCEDMN N#Cc1ccc(CNC[C@H](O)C2(O)CCCC2)nc1 ZINC000905263334 634829999 /nfs/dbraw/zinc/82/99/99/634829999.db2.gz UQXVYEFEQSSAHM-ZDUSSCGKSA-N 0 1 261.325 0.709 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3CCCc4[nH]cnc43)[C@@H]2C1 ZINC000978716100 630839565 /nfs/dbraw/zinc/83/95/65/630839565.db2.gz WLOXNLGEGKBELU-LPWJVIDDSA-N 0 1 299.378 0.647 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)C1=COCCO1 ZINC001027739747 630888560 /nfs/dbraw/zinc/88/85/60/630888560.db2.gz ROEHJIVNNKKVBI-LBPRGKRZSA-N 0 1 264.325 0.479 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)[nH]1 ZINC000936627965 630987458 /nfs/dbraw/zinc/98/74/58/630987458.db2.gz UQDLKZPIHOSCDO-STQMWFEESA-N 0 1 298.346 0.557 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@@H](NC(=O)c3ncn[nH]3)C[C@H]2C1 ZINC000980187659 631000325 /nfs/dbraw/zinc/00/03/25/631000325.db2.gz KCRWDHDIDXXTEO-GARJFASQSA-N 0 1 274.328 0.159 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@@H](NC(=O)c3nc[nH]n3)C[C@H]2C1 ZINC000980187659 631000330 /nfs/dbraw/zinc/00/03/30/631000330.db2.gz KCRWDHDIDXXTEO-GARJFASQSA-N 0 1 274.328 0.159 20 30 CCEDMN C[C@H](O)C[N@H+]1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980992054 631090149 /nfs/dbraw/zinc/09/01/49/631090149.db2.gz ZEKMNFQITAUUKP-NSHDSACASA-N 0 1 276.340 0.415 20 30 CCEDMN C[C@H](O)CN1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980992054 631090154 /nfs/dbraw/zinc/09/01/54/631090154.db2.gz ZEKMNFQITAUUKP-NSHDSACASA-N 0 1 276.340 0.415 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CCC[C@@H](NCC#N)C2)n[nH]1 ZINC000981081007 631228512 /nfs/dbraw/zinc/22/85/12/631228512.db2.gz VXRGBFHMNCUBQM-GHMZBOCLSA-N 0 1 276.344 0.196 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@@H]1NC(=O)c1[nH]ncc1F ZINC000968193343 650009489 /nfs/dbraw/zinc/00/94/89/650009489.db2.gz UBCKTCNKOJNOCB-SCZZXKLOSA-N 0 1 265.292 0.513 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@H]1NC(=O)Cc1cnc[nH]1 ZINC000968359917 650012353 /nfs/dbraw/zinc/01/23/53/650012353.db2.gz DNTLSLQZKHHTGB-CMPLNLGQSA-N 0 1 261.329 0.302 20 30 CCEDMN NC(=O)c1ccc(CN[C@@H]2CCCN(O)C2=O)cc1 ZINC000895166563 632001389 /nfs/dbraw/zinc/00/13/89/632001389.db2.gz RVGZMCKUXSLBNA-LLVKDONJSA-N 0 1 263.297 0.255 20 30 CCEDMN C[C@H](Nc1cncc(C#N)n1)[C@H]1C[N@@H+](C)CCN1C ZINC000895249973 632035547 /nfs/dbraw/zinc/03/55/47/632035547.db2.gz YPIDREBKZVWTSG-CMPLNLGQSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@]1(CO)C[C@@H](O)CN1Cc1cc(C#N)cs1 ZINC000895327520 632060699 /nfs/dbraw/zinc/06/06/99/632060699.db2.gz DKPPPCMEFMZYKT-ZYHUDNBSSA-N 0 1 252.339 0.937 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001006890126 650056607 /nfs/dbraw/zinc/05/66/07/650056607.db2.gz HZTDWQWLYOOBKA-ZDUSSCGKSA-N 0 1 262.357 0.729 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)o1)[C@@H]1CN(C)CCN1C ZINC000896538659 632272980 /nfs/dbraw/zinc/27/29/80/632272980.db2.gz FSUZTKNZMUBRDO-PWSUYJOCSA-N 0 1 276.340 0.515 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2CN(C)CCO2)C1 ZINC001007004643 650061958 /nfs/dbraw/zinc/06/19/58/650061958.db2.gz WCQZZXBHNQESGG-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H]2CCNC2=O)C1 ZINC001007489628 650080226 /nfs/dbraw/zinc/08/02/26/650080226.db2.gz ULQVJKDNMQGCRE-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCCNC2=O)C1 ZINC001007531645 650082043 /nfs/dbraw/zinc/08/20/43/650082043.db2.gz JJFXFAKAOMLYHD-RYUDHWBXSA-N 0 1 265.357 0.279 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001007748381 650091555 /nfs/dbraw/zinc/09/15/55/650091555.db2.gz USQOZUFHDIPUQO-SNVBAGLBSA-N 0 1 273.340 0.616 20 30 CCEDMN C[C@@H]1[C@H](NCC#N)CCN1C(=O)c1cccc2nn[nH]c21 ZINC000986309631 632742823 /nfs/dbraw/zinc/74/28/23/632742823.db2.gz FDSSPCKHCMXSNG-MWLCHTKSSA-N 0 1 284.323 0.674 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2nnn(C)n2)[C@@H]1C ZINC000986654007 632786370 /nfs/dbraw/zinc/78/63/70/632786370.db2.gz ANNAKIMRIWIDEG-RKDXNWHRSA-N 0 1 284.751 0.155 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CN2CCCNC2=O)C1 ZINC001007765195 650093308 /nfs/dbraw/zinc/09/33/08/650093308.db2.gz GFWHRDDMEANSFX-LBPRGKRZSA-N 0 1 280.372 0.168 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cc2nnc(C)[nH]2)C1 ZINC000988824498 633117172 /nfs/dbraw/zinc/11/71/72/633117172.db2.gz HIXUNEYWAXABCU-KOLCDFICSA-N 0 1 297.790 0.987 20 30 CCEDMN Cc1cnc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000841011306 633135167 /nfs/dbraw/zinc/13/51/67/633135167.db2.gz HSCMFIPKRNRTAR-JGVFFNPUSA-N 0 1 251.315 0.685 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1nc2c(s1)CCC2 ZINC000841017640 633199739 /nfs/dbraw/zinc/19/97/39/633199739.db2.gz GYTJNWYOMCXUKU-VXNVDRBHSA-N 0 1 277.353 0.865 20 30 CCEDMN Cc1nn(C)c(C=NNc2nccn(C)c2=O)c1Cl ZINC000905450042 634863401 /nfs/dbraw/zinc/86/34/01/634863401.db2.gz PJHYUMDZTSSMTA-UHFFFAOYSA-N 0 1 280.719 0.922 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)[C@@H]2C[NH+](CC)CCO2)CC1 ZINC000989707684 633347778 /nfs/dbraw/zinc/34/77/78/633347778.db2.gz HFXVLZNKCALQMR-HNNXBMFYSA-N 0 1 293.411 0.265 20 30 CCEDMN CCn1cc(CNC2CCN(C(=O)[C@H](C)C#N)CC2)nn1 ZINC000990313353 633509118 /nfs/dbraw/zinc/50/91/18/633509118.db2.gz DAMUDHHYWXKKQF-LLVKDONJSA-N 0 1 290.371 0.538 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001008490910 650116214 /nfs/dbraw/zinc/11/62/14/650116214.db2.gz WHBZNPRPELZNDL-NEPJUHHUSA-N 0 1 287.367 0.934 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001009126271 650137845 /nfs/dbraw/zinc/13/78/45/650137845.db2.gz YQWHTGSZXPBXIP-NWDGAFQWSA-N 0 1 287.367 0.619 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cn(C)c(=O)n2C)C1 ZINC001009650979 650153035 /nfs/dbraw/zinc/15/30/35/650153035.db2.gz XAVFBUCESJYUDW-LLVKDONJSA-N 0 1 278.356 0.104 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001009645543 650153148 /nfs/dbraw/zinc/15/31/48/650153148.db2.gz XBUMXMJURSGSJJ-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001009736229 650157157 /nfs/dbraw/zinc/15/71/57/650157157.db2.gz QMEOOYWIJAFHEF-STQMWFEESA-N 0 1 294.399 0.686 20 30 CCEDMN Cn1cnc(CNC[C@H](O)COc2ccccc2C#N)c1 ZINC000905683227 634896329 /nfs/dbraw/zinc/89/63/29/634896329.db2.gz OJYIZVOOBISQHP-AWEZNQCLSA-N 0 1 286.335 0.821 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@H]3OCCO[C@H]3C)CCC[C@@H]12 ZINC000991120598 635300933 /nfs/dbraw/zinc/30/09/33/635300933.db2.gz RJSXQQULQAXNMQ-FQLMCAECSA-N 0 1 292.379 0.537 20 30 CCEDMN Cc1ccc(C(=O)/C=C/C(=O)N[C@@H](CO)CN(C)C)cc1 ZINC000908200699 635312796 /nfs/dbraw/zinc/31/27/96/635312796.db2.gz MFIJGLXZEBQJRM-MYSGNRETSA-N 0 1 290.363 0.773 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001010420429 650222959 /nfs/dbraw/zinc/22/29/59/650222959.db2.gz YJLIAPGBXDBDJT-UHFFFAOYSA-N 0 1 276.340 0.906 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CCC(O)CC2)C1 ZINC001010766055 650262208 /nfs/dbraw/zinc/26/22/08/650262208.db2.gz MRVZEFXKPOLLOW-OTTFEQOBSA-N 0 1 252.358 0.914 20 30 CCEDMN O=C(C#Cc1ccccc1)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000913496313 636829466 /nfs/dbraw/zinc/82/94/66/636829466.db2.gz XNPGHDDHFVYMFX-GFCCVEGCSA-N 0 1 283.291 0.151 20 30 CCEDMN CO[C@H](Cc1ccccc1)C(=O)NCC#CCN(C)C ZINC000913523108 636832399 /nfs/dbraw/zinc/83/23/99/636832399.db2.gz MIVZBDVFJUGVRA-OAHLLOKOSA-N 0 1 274.364 0.925 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cncn3C)CCC[C@H]12 ZINC000991653081 636969232 /nfs/dbraw/zinc/96/92/32/636969232.db2.gz HFRMFJVEXFRMIJ-ZFWWWQNUSA-N 0 1 272.352 0.780 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(C(=O)[C@@H]2CCCCN2C)CC1 ZINC001011404399 650301419 /nfs/dbraw/zinc/30/14/19/650301419.db2.gz BQAZPQLRCXZXCI-STQMWFEESA-N 0 1 292.383 0.301 20 30 CCEDMN N#CCOc1ccc(CNC(=O)c2cnn[nH]2)cc1 ZINC000170784478 637099688 /nfs/dbraw/zinc/09/96/88/637099688.db2.gz JALKAUIGUKMNPR-UHFFFAOYSA-N 0 1 257.253 0.637 20 30 CCEDMN C[C@H](C#N)CNC(=O)c1nnc2ccccc2c1O ZINC000172381002 637172538 /nfs/dbraw/zinc/17/25/38/637172538.db2.gz KNNOMGXGXOXQEV-MRVPVSSYSA-N 0 1 256.265 0.813 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](NC(C)=O)[C@@H]2C)CC1 ZINC001011817523 650316148 /nfs/dbraw/zinc/31/61/48/650316148.db2.gz GNJYGSQLFXAWPA-SWLSCSKDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3csnn3)CCC[C@H]12 ZINC000992031750 637285172 /nfs/dbraw/zinc/28/51/72/637285172.db2.gz OSUCNLPEWTTXRI-WCQYABFASA-N 0 1 276.365 0.898 20 30 CCEDMN C#CCN1CCN(C(=O)c2n[nH]c(CC)c2[N+](=O)[O-])CC1 ZINC000089782781 637301649 /nfs/dbraw/zinc/30/16/49/637301649.db2.gz PHUUTBFDFISZAU-UHFFFAOYSA-N 0 1 291.311 0.271 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ccncn3)CCC[C@@H]12 ZINC000992323133 637365940 /nfs/dbraw/zinc/36/59/40/637365940.db2.gz XIYOSERCPDECRH-HIFRSBDPSA-N 0 1 270.336 0.837 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cn(CC)nn3)CCC[C@@H]12 ZINC000992394311 637381138 /nfs/dbraw/zinc/38/11/38/637381138.db2.gz PPSDNWSUADBSGP-UKRRQHHQSA-N 0 1 287.367 0.658 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001015202202 637438067 /nfs/dbraw/zinc/43/80/67/637438067.db2.gz SROYFWHRXDRYFG-STQMWFEESA-N 0 1 277.368 0.259 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cc[n+]([O-])cc2)[C@@H]1C ZINC000993005754 637661200 /nfs/dbraw/zinc/66/12/00/637661200.db2.gz RDWOWFFJLGBIOH-ZFWWWQNUSA-N 0 1 287.363 0.926 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)c2cc(C#N)c[nH]2)CCC[N@H+]1CCO ZINC000993166513 637722059 /nfs/dbraw/zinc/72/20/59/637722059.db2.gz CSEOKLOMQQLFKH-JQWIXIFHSA-N 0 1 276.340 0.461 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001015611851 637743673 /nfs/dbraw/zinc/74/36/73/637743673.db2.gz MANBJONWTCZIFI-WCQYABFASA-N 0 1 256.321 0.882 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cn[nH]c(=O)c2)[C@H]1C ZINC000993454521 637809361 /nfs/dbraw/zinc/80/93/61/637809361.db2.gz AFIBZOUFBIJASC-PWSUYJOCSA-N 0 1 274.324 0.398 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cccc(=O)n2C)[C@@H]1C ZINC000993771430 637845533 /nfs/dbraw/zinc/84/55/33/637845533.db2.gz LHXKXKGVLCZTFA-STQMWFEESA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cn2ccc(C)n2)[C@H]1C ZINC000993858560 637850706 /nfs/dbraw/zinc/85/07/06/637850706.db2.gz RPQIXDNUXFUZBM-ZIAGYGMSSA-N 0 1 274.368 0.794 20 30 CCEDMN C#CCC[N@H+]1CCC[C@@H](NC(=O)Cc2cnc[nH]2)[C@@H]1C ZINC000993886727 637852370 /nfs/dbraw/zinc/85/23/70/637852370.db2.gz NWCFHILCADLKMW-GXTWGEPZSA-N 0 1 274.368 0.945 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](NC(=O)c2ncn[n-]2)[C@@H]1C ZINC000994026386 637861271 /nfs/dbraw/zinc/86/12/71/637861271.db2.gz WUNJNVGSFLFBDE-QWRGUYRKSA-N 0 1 261.329 0.411 20 30 CCEDMN N#C[C@H](C(=O)CN1CCCS1(=O)=O)c1ccccn1 ZINC000916881454 637930487 /nfs/dbraw/zinc/93/04/87/637930487.db2.gz TUDMACUVHPVWGD-JTQLQIEISA-N 0 1 279.321 0.293 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3cccnn32)C1 ZINC001015808045 638161872 /nfs/dbraw/zinc/16/18/72/638161872.db2.gz OBUZEQSGBREKNM-NSHDSACASA-N 0 1 271.324 0.719 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)C[C@H]1NCC#N ZINC000939726905 638398508 /nfs/dbraw/zinc/39/85/08/638398508.db2.gz DXIKYXGPXWAEST-NOZJJQNGSA-N 0 1 284.323 0.532 20 30 CCEDMN C#C[C@@H](C)NC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000185291164 638763744 /nfs/dbraw/zinc/76/37/44/638763744.db2.gz FYSQXRHOWBNSKJ-MRVPVSSYSA-N 0 1 287.344 0.974 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2C[C@H]2C(N)=O)CC1(C)C ZINC000996303011 638815071 /nfs/dbraw/zinc/81/50/71/638815071.db2.gz CUGSVABYAMJJMO-OUAUKWLOSA-N 0 1 299.802 0.687 20 30 CCEDMN N#CCNC1CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)CC1 ZINC000997174882 638858199 /nfs/dbraw/zinc/85/81/99/638858199.db2.gz XAULTYVEGNEHOO-NSHDSACASA-N 0 1 287.367 0.619 20 30 CCEDMN C#CCN1CCC[C@H](N(CC)C(=O)c2nnn(C)n2)CC1 ZINC000998087161 638894501 /nfs/dbraw/zinc/89/45/01/638894501.db2.gz FVRBKHIEHSTLRA-LBPRGKRZSA-N 0 1 290.371 0.160 20 30 CCEDMN C#C[C@@H](C)NC(=O)c1ccc2c(c1)[nH]c(=S)[nH]c2=O ZINC000186326036 638894875 /nfs/dbraw/zinc/89/48/75/638894875.db2.gz GGOVMGYQJOHACO-SSDOTTSWSA-N 0 1 273.317 0.963 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CN2CCCC2=O)C1 ZINC000998508490 638923656 /nfs/dbraw/zinc/92/36/56/638923656.db2.gz LQGIBAJZUVYZIZ-LBPRGKRZSA-N 0 1 299.802 0.942 20 30 CCEDMN C#CC[NH2+][C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000998995536 638953040 /nfs/dbraw/zinc/95/30/40/638953040.db2.gz MPENCIBWOGZNEP-LLVKDONJSA-N 0 1 259.309 0.615 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000999166926 638963991 /nfs/dbraw/zinc/96/39/91/638963991.db2.gz YEHCQFLUYAQQMJ-NEPJUHHUSA-N 0 1 287.367 0.934 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C2CC(NCC#N)C2)CC1 ZINC000999876597 639013423 /nfs/dbraw/zinc/01/34/23/639013423.db2.gz OUQRPENLARHCBO-UHFFFAOYSA-N 0 1 288.395 0.434 20 30 CCEDMN C#CCN1CC[C@@H](n2cc(CNC(=O)[C@@H]3C[C@H]3C)nn2)C1 ZINC001000019394 639035263 /nfs/dbraw/zinc/03/52/63/639035263.db2.gz SCGNZSBNKHWCIC-MRVWCRGKSA-N 0 1 287.367 0.430 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC(NC(=O)Cc3nnc[nH]3)C2)C1 ZINC001000200636 639052818 /nfs/dbraw/zinc/05/28/18/639052818.db2.gz WEOSSBDWLLQAOP-UHFFFAOYSA-N 0 1 289.339 0.031 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)Cc3ccn[nH]3)CC[C@@H]2C1 ZINC000946532948 639053583 /nfs/dbraw/zinc/05/35/83/639053583.db2.gz APHCFASWCVHXQR-BETUJISGSA-N 0 1 287.367 0.646 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)C2=COCCO2)CC1 ZINC001000407520 639084881 /nfs/dbraw/zinc/08/48/81/639084881.db2.gz JGIFOXCRRXTOAF-UHFFFAOYSA-N 0 1 276.336 0.646 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCCOC2)CC1 ZINC001000506594 639110255 /nfs/dbraw/zinc/11/02/55/639110255.db2.gz NYRHUKNTXJGPBW-CQSZACIVSA-N 0 1 262.353 0.795 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)C2CN(C(C)=O)C2)CC1 ZINC001000624055 639144237 /nfs/dbraw/zinc/14/42/37/639144237.db2.gz NVXJVJJZXVNNTC-UHFFFAOYSA-N 0 1 289.379 0.236 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCCC[N@H+]2C)CC1 ZINC001000851991 639182037 /nfs/dbraw/zinc/18/20/37/639182037.db2.gz PPSGLBMFGUORRO-OAHLLOKOSA-N 0 1 275.396 0.852 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CCC[C@H](NCC#N)C1 ZINC001000929066 639198721 /nfs/dbraw/zinc/19/87/21/639198721.db2.gz WZCMOBGEPGGUCF-KBPBESRZSA-N 0 1 294.399 0.059 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc3n[nH]nc3c2)CC1 ZINC001001445226 639304394 /nfs/dbraw/zinc/30/43/94/639304394.db2.gz ZMOQDQXLLAIZPU-UHFFFAOYSA-N 0 1 295.346 0.953 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@@H]1CCN(CC=C)C1 ZINC001014768284 650487247 /nfs/dbraw/zinc/48/72/47/650487247.db2.gz AZQSENCAFQKQGU-KGLIPLIRSA-N 0 1 261.369 0.461 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCOC2)CC1 ZINC001002442353 639412238 /nfs/dbraw/zinc/41/22/38/639412238.db2.gz IIUNKNFWMVTTDX-LBPRGKRZSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCc3nnc(C)n3C2)C1 ZINC001016326778 639448598 /nfs/dbraw/zinc/44/85/98/639448598.db2.gz GDMLLILNFRNHDF-STQMWFEESA-N 0 1 289.383 0.525 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2nnc(C)o2)CC1 ZINC001002745411 639451371 /nfs/dbraw/zinc/45/13/71/639451371.db2.gz DVJFFTQXZKUGOK-UHFFFAOYSA-N 0 1 262.313 0.134 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@]23C[C@H]2COC3)CC1 ZINC001002859680 639468988 /nfs/dbraw/zinc/46/89/88/639468988.db2.gz QYPJEYITMRQNDA-WFASDCNBSA-N 0 1 262.353 0.627 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccc3nc[nH]c3n2)C1 ZINC001014893854 650500104 /nfs/dbraw/zinc/50/01/04/650500104.db2.gz LNZZQUDZYQCODM-NSHDSACASA-N 0 1 283.335 0.785 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnc[nH]c2=O)CC1 ZINC001003106904 639507571 /nfs/dbraw/zinc/50/75/71/639507571.db2.gz ABRDXTXASRHEAZ-UHFFFAOYSA-N 0 1 274.324 0.400 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001014919822 650504197 /nfs/dbraw/zinc/50/41/97/650504197.db2.gz JNQIDJVURUKTNZ-DOMZBBRYSA-N 0 1 279.384 0.669 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001003460392 639548642 /nfs/dbraw/zinc/54/86/42/639548642.db2.gz HKSOVIVGZYLZPW-WDNDVIMCSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC001003455088 639549186 /nfs/dbraw/zinc/54/91/86/639549186.db2.gz UTYIEKRVZANOMR-ZDUSSCGKSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CCC(NC(=O)C(C)(C)c2cnn(C)c2)CC1 ZINC001003887866 639646284 /nfs/dbraw/zinc/64/62/84/639646284.db2.gz XJFLJBRKTYZTLH-UHFFFAOYSA-N 0 1 288.395 0.912 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCCCN2C(N)=O)CC1 ZINC001003939723 639654774 /nfs/dbraw/zinc/65/47/74/639654774.db2.gz ADESTOSLJRQXGT-CYBMUJFWSA-N 0 1 292.383 0.133 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(N(C)C)nn2)CC1 ZINC001003993346 639662674 /nfs/dbraw/zinc/66/26/74/639662674.db2.gz YCUFFBUAKWDPBS-UHFFFAOYSA-N 0 1 287.367 0.370 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001015078979 650526194 /nfs/dbraw/zinc/52/61/94/650526194.db2.gz VMXZSXCPXZCVGK-VIFPVBQESA-N 0 1 259.313 0.226 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc[n+]([O-])cc2)CC1 ZINC001005078696 639872422 /nfs/dbraw/zinc/87/24/22/639872422.db2.gz PASPYWZUEHFTJX-UHFFFAOYSA-N 0 1 273.336 0.490 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCOC2)CC1 ZINC001005166585 639885297 /nfs/dbraw/zinc/88/52/97/639885297.db2.gz VMSNHTCAJYTUFQ-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC001005478077 639911250 /nfs/dbraw/zinc/91/12/50/639911250.db2.gz VOQBSLKEJDHICO-CYBMUJFWSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001015202193 650538645 /nfs/dbraw/zinc/53/86/45/650538645.db2.gz SROYFWHRXDRYFG-OLZOCXBDSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(OC)n(C)n2)C1 ZINC001015214529 650539782 /nfs/dbraw/zinc/53/97/82/650539782.db2.gz BDODALOIZSKAEE-NSHDSACASA-N 0 1 276.340 0.256 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001015213775 650539798 /nfs/dbraw/zinc/53/97/98/650539798.db2.gz WGWYVOBEYBLWJB-NSHDSACASA-N 0 1 274.324 0.313 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2c[nH]c(=O)n2C)CC1 ZINC001005817299 639973364 /nfs/dbraw/zinc/97/33/64/639973364.db2.gz BESSRPVZQBAIGA-UHFFFAOYSA-N 0 1 276.340 0.295 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001015370543 650556624 /nfs/dbraw/zinc/55/66/24/650556624.db2.gz JMTSBDNQKBZDIU-NSHDSACASA-N 0 1 263.345 0.217 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCO[C@@H]2C)CC1 ZINC001006205595 640206336 /nfs/dbraw/zinc/20/63/36/640206336.db2.gz RRCQKVJJRPFLFY-TZMCWYRMSA-N 0 1 264.369 0.967 20 30 CCEDMN Cn1cc(C=Nn2c(=O)c3ccccc3[nH]c2=S)nn1 ZINC000755616320 640330029 /nfs/dbraw/zinc/33/00/29/640330029.db2.gz CZZIEZIDCRFASQ-UHFFFAOYSA-N 0 1 286.320 0.696 20 30 CCEDMN Cc1ccc(S(N)(=O)=O)cc1C(=O)NC1=NO[C@@H](C)C1 ZINC000765377940 640381853 /nfs/dbraw/zinc/38/18/53/640381853.db2.gz VUDSQLQPTOAORT-QMMMGPOBSA-N 0 1 297.336 0.495 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001015592894 650586642 /nfs/dbraw/zinc/58/66/42/650586642.db2.gz QJABSQOGXLGBJO-SWLSCSKDSA-N 0 1 279.384 0.669 20 30 CCEDMN C[C@@H](CO)CN1CCN(c2ccc(C#N)nc2)CC1 ZINC000801554181 640658492 /nfs/dbraw/zinc/65/84/92/640658492.db2.gz VPUUBENYYNUUPK-GFCCVEGCSA-N 0 1 260.341 0.704 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@]2(C)CCNC2=O)C1 ZINC001015695958 650610775 /nfs/dbraw/zinc/61/07/75/650610775.db2.gz SAXZBKFWYVTQQR-SMDDNHRTSA-N 0 1 265.357 0.279 20 30 CCEDMN N#Cc1cnn(C(=O)c2cc(O)cc(C#N)c2)c1N ZINC000809625912 640902114 /nfs/dbraw/zinc/90/21/14/640902114.db2.gz CCYPZMQQNGWMEM-UHFFFAOYSA-N 0 1 253.221 0.603 20 30 CCEDMN NS(=O)(=O)c1ccc(CN=Nc2cncnc2)cc1 ZINC000811647796 640984347 /nfs/dbraw/zinc/98/43/47/640984347.db2.gz BSDKLARKDHBLCC-UHFFFAOYSA-N 0 1 277.309 0.570 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001015772641 650627055 /nfs/dbraw/zinc/62/70/55/650627055.db2.gz CIIUNGBIHHFZGA-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)C(=O)N2CCC(C)CC2)C1 ZINC001015802539 650632838 /nfs/dbraw/zinc/63/28/38/650632838.db2.gz GKBYZIZBTZKECA-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)c1[nH]nc2c1CCCC2 ZINC000816754112 641209095 /nfs/dbraw/zinc/20/90/95/641209095.db2.gz XDJGDNUWVRBYHW-JTQLQIEISA-N 0 1 278.312 0.399 20 30 CCEDMN O=C(CCCCc1cn[nH]n1)N[C@@H]1CCCN(O)C1=O ZINC000816754371 641210389 /nfs/dbraw/zinc/21/03/89/641210389.db2.gz CBBGTPNNOQXPJK-SNVBAGLBSA-N 0 1 281.316 0.014 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2c(C)[nH]c(=O)[nH]c2=O)C1 ZINC001015896488 650652465 /nfs/dbraw/zinc/65/24/65/650652465.db2.gz ZNLBRJCNJUYDHO-SNVBAGLBSA-N 0 1 292.339 0.115 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001015948504 650661333 /nfs/dbraw/zinc/66/13/33/650661333.db2.gz XMXZMFVQFGKVLX-SNVBAGLBSA-N 0 1 262.313 0.475 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001015956297 650662572 /nfs/dbraw/zinc/66/25/72/650662572.db2.gz BAKRZWFSURPPCU-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001015985513 650669449 /nfs/dbraw/zinc/66/94/49/650669449.db2.gz XTUDFFBLMMZQIO-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001015989609 650671349 /nfs/dbraw/zinc/67/13/49/650671349.db2.gz UGKMTESSHIWZCL-SECBINFHSA-N 0 1 288.307 0.919 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001016121282 650683638 /nfs/dbraw/zinc/68/36/38/650683638.db2.gz WSHZRZUNDLCHTG-NSHDSACASA-N 0 1 276.340 0.256 20 30 CCEDMN C[C@H]1CC(NC(=O)c2c[nH]c(-c3nn[nH]n3)c2)=NO1 ZINC000824893773 641851473 /nfs/dbraw/zinc/85/14/73/641851473.db2.gz USSHPWQLPHXGJY-YFKPBYRVSA-N 0 1 261.245 0.047 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001016222385 650699603 /nfs/dbraw/zinc/69/96/03/650699603.db2.gz YINHLWPHLOGVHG-OAHLLOKOSA-N 0 1 291.395 0.459 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2nc[nH]c2C(F)(F)F)CCO1 ZINC000826661354 641949402 /nfs/dbraw/zinc/94/94/02/641949402.db2.gz APNDNQYDEYAIQD-ZCFIWIBFSA-N 0 1 274.202 0.793 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(C2CCOCC2)C[C@H]1C ZINC000830696676 642285318 /nfs/dbraw/zinc/28/53/18/642285318.db2.gz JYXRIUUKCIDWKM-ZIAGYGMSSA-N 0 1 294.395 0.736 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)NCCCC#N ZINC000833621291 642541057 /nfs/dbraw/zinc/54/10/57/642541057.db2.gz ACXNCHRPFGTJLC-LLVKDONJSA-N 0 1 282.344 0.481 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCC1CCOCC1 ZINC000834479278 642570653 /nfs/dbraw/zinc/57/06/53/642570653.db2.gz BWQNLJGOZDKEQU-RYUDHWBXSA-N 0 1 251.330 0.421 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnn(-c2cccnc2)c1 ZINC000834480646 642572630 /nfs/dbraw/zinc/57/26/30/642572630.db2.gz UBBFACGQNWASEP-MFKMUULPSA-N 0 1 282.307 0.109 20 30 CCEDMN COc1csc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834479890 642572952 /nfs/dbraw/zinc/57/29/52/642572952.db2.gz JAJPTTGZWNIENW-APPZFPTMSA-N 0 1 251.311 0.598 20 30 CCEDMN Cn1cc(-c2cncc(C(=O)N[C@@H]3CNC[C@H]3C#N)c2)cn1 ZINC000834484692 642575579 /nfs/dbraw/zinc/57/55/79/642575579.db2.gz LVSISHBRVQEBLW-TZMCWYRMSA-N 0 1 296.334 0.323 20 30 CCEDMN C[C@H](Oc1cccnc1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834488601 642578222 /nfs/dbraw/zinc/57/82/22/642578222.db2.gz DWQZSVDGGFHJTF-HOSYDEDBSA-N 0 1 260.297 0.077 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccnc(C2CC2)n1 ZINC000834489121 642579709 /nfs/dbraw/zinc/57/97/09/642579709.db2.gz JSOGCGGVBQJXSU-MWLCHTKSSA-N 0 1 257.297 0.195 20 30 CCEDMN C[C@@H]1CCC[C@H](OCC(=O)N[C@@H]2CNC[C@@H]2C#N)C1 ZINC000834489116 642580024 /nfs/dbraw/zinc/58/00/24/642580024.db2.gz JSFFWSYDIGJUOK-MROQNXINSA-N 0 1 265.357 0.809 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC000834490996 642581018 /nfs/dbraw/zinc/58/10/18/642581018.db2.gz ZFGDMXMVARBGHL-BXKDBHETSA-N 0 1 272.308 0.117 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc(-n2cccn2)n1 ZINC000834490885 642581214 /nfs/dbraw/zinc/58/12/14/642581214.db2.gz XXMMDFFYSMOKEJ-CMPLNLGQSA-N 0 1 282.307 0.109 20 30 CCEDMN Cc1cc2c[nH]nc2c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834493625 642582935 /nfs/dbraw/zinc/58/29/35/642582935.db2.gz FZEGBXWSDLUFMX-JQWIXIFHSA-N 0 1 269.308 0.713 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COCCc1ccccc1 ZINC000834493576 642583992 /nfs/dbraw/zinc/58/39/92/642583992.db2.gz FJZAZFWPMJTTAE-ZIAGYGMSSA-N 0 1 273.336 0.473 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H](c1ccccc1)n1cccn1 ZINC000834494433 642584145 /nfs/dbraw/zinc/58/41/45/642584145.db2.gz MXLXJDWLJJCKSV-KFWWJZLASA-N 0 1 295.346 0.700 20 30 CCEDMN C[C@H](CC(=O)N[C@@H]1CNC[C@@H]1C#N)NC(=O)C1CCCC1 ZINC000834495400 642585187 /nfs/dbraw/zinc/58/51/87/642585187.db2.gz VJBDBLCIYHYEBO-KGYLQXTDSA-N 0 1 292.383 0.299 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1noc2c1CCCC2 ZINC000834494917 642585503 /nfs/dbraw/zinc/58/55/03/642585503.db2.gz QXWMAVPQEGVARP-WCBMZHEXSA-N 0 1 260.297 0.395 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC000834498993 642586758 /nfs/dbraw/zinc/58/67/58/642586758.db2.gz CXDSWTUYKBRKPD-NWDGAFQWSA-N 0 1 282.307 0.313 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1nc(Cl)cs1 ZINC000834504868 642591586 /nfs/dbraw/zinc/59/15/86/642591586.db2.gz GGYXONKPPLEPDD-PHDIDXHHSA-N 0 1 256.718 0.638 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(Cc2ccccc2)n[nH]1 ZINC000834507104 642593329 /nfs/dbraw/zinc/59/33/29/642593329.db2.gz YDFLCDSLAZPXER-WFASDCNBSA-N 0 1 295.346 0.842 20 30 CCEDMN Cc1ccc(OCC(=O)N[C@@H]2CNC[C@H]2C#N)cc1C ZINC000834511056 642595829 /nfs/dbraw/zinc/59/58/29/642595829.db2.gz IEEFTPPIQIVGEX-TZMCWYRMSA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc2c(c(Cl)c1)OCO2 ZINC000834511956 642597530 /nfs/dbraw/zinc/59/75/30/642597530.db2.gz QFEZBROFRLUFSZ-PSASIEDQSA-N 0 1 293.710 0.910 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(Cn2cccn2)o1 ZINC000834521398 642601730 /nfs/dbraw/zinc/60/17/30/642601730.db2.gz HVWCSXMBOYCRHB-CMPLNLGQSA-N 0 1 285.307 0.366 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccc(Cl)nc1 ZINC000841075861 642802161 /nfs/dbraw/zinc/80/21/61/642802161.db2.gz NGFGUFUIRMVWJQ-APPZFPTMSA-N 0 1 265.704 0.968 20 30 CCEDMN Cc1cn2cc(NC(=O)N[C@H]3CNC[C@H]3C#N)ccc2n1 ZINC000841099978 642802824 /nfs/dbraw/zinc/80/28/24/642802824.db2.gz QXQGBPYJUOURFL-PWSUYJOCSA-N 0 1 284.323 0.876 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1cccc(Cl)n1 ZINC000841137329 642804071 /nfs/dbraw/zinc/80/40/71/642804071.db2.gz HONYKVXAEMWBQN-JGVFFNPUSA-N 0 1 265.704 0.968 20 30 CCEDMN N#Cc1cc(F)ccc1NC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000841138799 642804681 /nfs/dbraw/zinc/80/46/81/642804681.db2.gz XYDAYKPAEQWONE-JOYOIKCWSA-N 0 1 273.271 0.930 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccc(OC(F)F)nc1 ZINC000841234411 642808216 /nfs/dbraw/zinc/80/82/16/642808216.db2.gz PWQDEKCWDRDVHU-APPZFPTMSA-N 0 1 297.265 0.916 20 30 CCEDMN C[C@H]1CN(C(=O)NCCNc2ncccc2C#N)CCN1 ZINC000841737816 642834646 /nfs/dbraw/zinc/83/46/46/642834646.db2.gz NEDCDVAKYKUJFA-NSHDSACASA-N 0 1 288.355 0.368 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)CCCN2 ZINC000841849764 642843383 /nfs/dbraw/zinc/84/33/83/642843383.db2.gz KRZPGBWFVOFUNA-GXTWGEPZSA-N 0 1 270.336 0.886 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1nccnc1N ZINC001017422783 650801315 /nfs/dbraw/zinc/80/13/15/650801315.db2.gz XZUOEEHHHVFZBF-TXEJJXNPSA-N 0 1 287.367 0.924 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2n[nH]cc2c1 ZINC000843459646 643063566 /nfs/dbraw/zinc/06/35/66/643063566.db2.gz FWSDNKAXNYXYCZ-ZYHUDNBSSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CSc1ccncc1 ZINC000843460349 643064463 /nfs/dbraw/zinc/06/44/63/643064463.db2.gz OAAKHKPHWJVENP-GXSJLCMTSA-N 0 1 262.338 0.401 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(Cl)cc(F)c1N ZINC000843459839 643064477 /nfs/dbraw/zinc/06/44/77/643064477.db2.gz IZNKQFZQLFIRCL-WKEGUHRASA-N 0 1 282.706 0.903 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1noc2ccccc12 ZINC000843462276 643066211 /nfs/dbraw/zinc/06/62/11/643066211.db2.gz PDQVBBASNUZYHW-JOYOIKCWSA-N 0 1 270.292 0.598 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(F)c(F)c1F ZINC000843463789 643068410 /nfs/dbraw/zinc/06/84/10/643068410.db2.gz XYJPIWMYCHBKCT-MUWHJKNJSA-N 0 1 269.226 0.945 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cn2ccccc2n1 ZINC000844194016 643177414 /nfs/dbraw/zinc/17/74/14/643177414.db2.gz TVIJUSGVQOQSTG-VHSXEESVSA-N 0 1 255.281 0.176 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccccc1Cn1cccn1 ZINC000844195080 643179523 /nfs/dbraw/zinc/17/95/23/643179523.db2.gz PWLQAESOAVPNFH-UKRRQHHQSA-N 0 1 295.346 0.773 20 30 CCEDMN C#C[C@H]1CCCN([C@@H](C)C(=O)NCC(=O)OC)C1 ZINC000847033609 643480858 /nfs/dbraw/zinc/48/08/58/643480858.db2.gz YXYKMAHENNUKEY-QWRGUYRKSA-N 0 1 252.314 0.009 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H]1CCCN(O)C1=O ZINC000848133109 643601445 /nfs/dbraw/zinc/60/14/45/643601445.db2.gz ZRIKJTHBWJXTDD-PSASIEDQSA-N 0 1 266.301 0.085 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H]1CCCN(O)C1=O ZINC000848133113 643602016 /nfs/dbraw/zinc/60/20/16/643602016.db2.gz ZRIKJTHBWJXTDD-WCBMZHEXSA-N 0 1 266.301 0.085 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccc2c(cc[nH]c2=O)c1 ZINC000850756398 643811365 /nfs/dbraw/zinc/81/13/65/643811365.db2.gz QETPNOCEHZXEPK-GXFFZTMASA-N 0 1 297.318 0.761 20 30 CCEDMN C#CCSCCNC(=O)N(C)CC1CN(C)C1 ZINC000852136813 643934695 /nfs/dbraw/zinc/93/46/95/643934695.db2.gz DWQLXMBUCKCDSW-UHFFFAOYSA-N 0 1 255.387 0.556 20 30 CCEDMN C=CCN(CCNC(=O)OC(C)(C)C)C[C@@H](O)CO ZINC000852179243 643939033 /nfs/dbraw/zinc/93/90/33/643939033.db2.gz DBCDBLPUSPDYPX-LLVKDONJSA-N 0 1 274.361 0.352 20 30 CCEDMN C[C@@H]1CCCCN1CC(N)=NOCC(=O)N1CCOCC1 ZINC000111179078 644092773 /nfs/dbraw/zinc/09/27/73/644092773.db2.gz HUUWYSPMZYGUBJ-GFCCVEGCSA-N 0 1 298.387 0.008 20 30 CCEDMN NC(=O)CNN=Cc1ccc(N2CCC(O)CC2)cc1 ZINC000853333412 644099398 /nfs/dbraw/zinc/09/93/98/644099398.db2.gz KWVZDLHYYUJCAD-UHFFFAOYSA-N 0 1 276.340 0.057 20 30 CCEDMN CC(C)Cc1ncc(C(=O)N2CC[C@H](NCC#N)C2)[nH]1 ZINC001018846431 650915637 /nfs/dbraw/zinc/91/56/37/650915637.db2.gz GHWBQMLVXATOIB-NSHDSACASA-N 0 1 275.356 0.936 20 30 CCEDMN C#C[C@@H](NC(=O)C1=NC(=O)N(C)C1)C1CCOCC1 ZINC000856100839 644390499 /nfs/dbraw/zinc/39/04/99/644390499.db2.gz MZDCAJKXCXOBFA-SNVBAGLBSA-N 0 1 263.297 0.284 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2cccc3c[nH]nc32)C1 ZINC001019222285 650948473 /nfs/dbraw/zinc/94/84/73/650948473.db2.gz SVXYBBIOVRLZBB-NSHDSACASA-N 0 1 269.308 0.891 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(C1)CCN(C(=O)c1ccn[nH]1)C2 ZINC001019361392 650965350 /nfs/dbraw/zinc/96/53/50/650965350.db2.gz FSJKPAREDKDBEM-JTQLQIEISA-N 0 1 287.323 0.244 20 30 CCEDMN O=C1CN=C(NN=C2CCCC[C@@H]2N2CCCCC2)N1 ZINC000863175085 645065209 /nfs/dbraw/zinc/06/52/09/645065209.db2.gz QRGXUXGMOOBRHI-LBPRGKRZSA-N 0 1 277.372 0.846 20 30 CCEDMN Cc1ccc(C(=O)NCCN2CC[C@H](O)C2)cc1C#N ZINC000863833109 645132779 /nfs/dbraw/zinc/13/27/79/645132779.db2.gz JLMCYNCFXLKYGU-AWEZNQCLSA-N 0 1 273.336 0.663 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](NCC#N)C2)CC1 ZINC001019813588 651007906 /nfs/dbraw/zinc/00/79/06/651007906.db2.gz HVERDHFCSRDVMY-AWEZNQCLSA-N 0 1 274.368 0.046 20 30 CCEDMN C[C@H](CNC(=O)c1coc(C#N)c1)N1CCN(C)CC1 ZINC000865816551 645423603 /nfs/dbraw/zinc/42/36/03/645423603.db2.gz MWJJEGVKKHCVPQ-LLVKDONJSA-N 0 1 276.340 0.517 20 30 CCEDMN N#Cc1cncc(N2CC(CNC(=O)C(F)(F)F)C2)n1 ZINC000866294448 645515179 /nfs/dbraw/zinc/51/51/79/645515179.db2.gz PQMJNYXHLLBTEB-UHFFFAOYSA-N 0 1 285.229 0.463 20 30 CCEDMN N#C[C@@H](C(=O)NCc1ccccc1)C(=O)[C@H]1CCC(=O)O1 ZINC000870136428 645963201 /nfs/dbraw/zinc/96/32/01/645963201.db2.gz NRBPRHITAILJGH-VXGBXAGGSA-N 0 1 286.287 0.717 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCCOC1CCOCC1 ZINC000870941752 646055364 /nfs/dbraw/zinc/05/53/64/646055364.db2.gz ZLLCAUPSGWXNQQ-AAEUAGOBSA-N 0 1 281.356 0.190 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@@H](NC(=O)[C@H]1CNCCO1)C2 ZINC000871012160 646077234 /nfs/dbraw/zinc/07/72/34/646077234.db2.gz JYYLBKWHQUFMIK-UONOGXRCSA-N 0 1 271.320 0.130 20 30 CCEDMN C[C@](O)(C[C@H](O)CNCc1ccc(C#N)cn1)C1CC1 ZINC000872208345 646220865 /nfs/dbraw/zinc/22/08/65/646220865.db2.gz IRRXNWGGTHUVOH-GJZGRUSLSA-N 0 1 275.352 0.955 20 30 CCEDMN Cn1ccnc(N=NC2CCCN3CCSC[C@H]23)c1=O ZINC000872354695 646250774 /nfs/dbraw/zinc/25/07/74/646250774.db2.gz HNBDDONHQPFSOF-LLVKDONJSA-N 0 1 293.396 0.759 20 30 CCEDMN C[C@@H](C#N)CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872456165 646264135 /nfs/dbraw/zinc/26/41/35/646264135.db2.gz JXOJYHGBMGHGTF-PWJLMRLQSA-N 0 1 281.403 0.877 20 30 CCEDMN O=C(C#CC1CC1)N1CCC[C@@H](C(=O)N2CCNCC2)C1 ZINC000876512488 646616196 /nfs/dbraw/zinc/61/61/96/646616196.db2.gz PTCDTUNCUQETSG-CQSZACIVSA-N 0 1 289.379 0.070 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1csc(C2CC2)n1 ZINC000876801836 646669227 /nfs/dbraw/zinc/66/92/27/646669227.db2.gz JNHLELBHGISZJZ-ONGXEEELSA-N 0 1 276.365 0.791 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccnn1CC1CCC1 ZINC000876801771 646669377 /nfs/dbraw/zinc/66/93/77/646669377.db2.gz INKVDOMQVUPNSM-VXGBXAGGSA-N 0 1 273.340 0.525 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NCCCCO ZINC000876962415 646708541 /nfs/dbraw/zinc/70/85/41/646708541.db2.gz RBGRELDAGYERLK-CQSZACIVSA-N 0 1 273.336 0.634 20 30 CCEDMN N#Cc1cnc(Cl)c(CNC[C@]2(O)CCOC2)c1 ZINC000877118014 646738614 /nfs/dbraw/zinc/73/86/14/646738614.db2.gz IXYFZPSHBZBYIU-GFCCVEGCSA-N 0 1 267.716 0.848 20 30 CCEDMN C[C@H](C#N)OCCN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000878773517 646976958 /nfs/dbraw/zinc/97/69/58/646976958.db2.gz YZSONQCQMIFVCN-FRRDWIJNSA-N 0 1 250.346 0.833 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)CN2CC=C[C@H]2CO)cc1 ZINC000880482998 647207988 /nfs/dbraw/zinc/20/79/88/647207988.db2.gz YFLIDNBCSXAUCV-KBPBESRZSA-N 0 1 274.320 0.531 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCSC[C@H]2CO)CCC1 ZINC000886099153 647901092 /nfs/dbraw/zinc/90/10/92/647901092.db2.gz VTVNMWZRJZUWMF-VXGBXAGGSA-N 0 1 270.398 0.841 20 30 CCEDMN C[C@@H]1CN(C[C@](C)(O)C(F)F)C[C@H](C)N1CC#N ZINC000886267060 647948511 /nfs/dbraw/zinc/94/85/11/647948511.db2.gz FHBZVUQEOZJEBX-UMNHJUIQSA-N 0 1 261.316 0.921 20 30 CCEDMN Cc1cc(CC(=O)NCc2nc(C#N)cs2)[nH]n1 ZINC000887981766 648179139 /nfs/dbraw/zinc/17/91/39/648179139.db2.gz LLOCHZYFEDUJCL-UHFFFAOYSA-N 0 1 261.310 0.905 20 30 CCEDMN C[C@H](O)[C@@H](O)CN1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000929235945 648716605 /nfs/dbraw/zinc/71/66/05/648716605.db2.gz GJYMDDWVKPLSES-BBRMVZONSA-N 0 1 289.379 0.418 20 30 CCEDMN N#CC1(CCCCN2C[C@H](O)C[C@H]2C(N)=O)CCC1 ZINC000931089338 648945259 /nfs/dbraw/zinc/94/52/59/648945259.db2.gz UHMJSJGZVILVAJ-NEPJUHHUSA-N 0 1 265.357 0.771 20 30 CCEDMN Cc1cc2nccc(NC(=O)NCC#CCN(C)C)n2n1 ZINC000932455009 649073025 /nfs/dbraw/zinc/07/30/25/649073025.db2.gz UZFIJCJFRGELHL-UHFFFAOYSA-N 0 1 286.339 0.724 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000188721863 649357363 /nfs/dbraw/zinc/35/73/63/649357363.db2.gz XZIFEZLVAHTWGI-CYBMUJFWSA-N 0 1 282.384 0.330 20 30 CCEDMN CCCCCS(=O)(=O)CC(=O)NC1=NCCS1 ZINC000078461436 649479731 /nfs/dbraw/zinc/47/97/31/649479731.db2.gz XMZHRDJCCKMMLL-UHFFFAOYSA-N 0 1 278.399 0.810 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](c2cc[nH]n2)C1 ZINC000193861659 649563214 /nfs/dbraw/zinc/56/32/14/649563214.db2.gz GFSQQMSTWDWSOB-RYUDHWBXSA-N 0 1 260.341 0.727 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC001007268288 649731819 /nfs/dbraw/zinc/73/18/19/649731819.db2.gz OYCZWUGVVXHQNO-NSHDSACASA-N 0 1 264.329 0.687 20 30 CCEDMN C=CCCOCC(=O)NC1CCN([C@H](CC)C(N)=O)CC1 ZINC001230411543 805600225 /nfs/dbraw/zinc/60/02/25/805600225.db2.gz LUAKEWVDOWOEBK-CYBMUJFWSA-N 0 1 297.399 0.424 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1nc[nH]c(=O)c1CC(=O)OC ZINC001230501792 805606389 /nfs/dbraw/zinc/60/63/89/805606389.db2.gz KBJPJDLFQQMDTB-LURJTMIESA-N 0 1 294.263 0.496 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnc2n[nH]nc2c1 ZINC001266282520 790395012 /nfs/dbraw/zinc/39/50/12/790395012.db2.gz FYIJLRYPPFMMPX-UHFFFAOYSA-N 0 1 272.312 0.038 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC([C@H](C)NC(=O)c2[nH]ncc2F)C1 ZINC001006791823 790404858 /nfs/dbraw/zinc/40/48/58/790404858.db2.gz HAQXGAJFPKWXMI-YUMQZZPRSA-N 0 1 293.302 0.285 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H]2C[C@]23CCOC3)CC1 ZINC001266294907 790443700 /nfs/dbraw/zinc/44/37/00/790443700.db2.gz OUEJIBFEPZOTRS-HOCLYGCPSA-N 0 1 293.411 0.333 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN([C@H]2CCCN(C)C2=O)C1 ZINC001266307698 790480402 /nfs/dbraw/zinc/48/04/02/790480402.db2.gz JCKVBTOWKNJZOT-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)CO[C@@H]2CCOC2)C1 ZINC001266319973 790499495 /nfs/dbraw/zinc/49/94/95/790499495.db2.gz WKPYNENLJAVCQF-ZIAGYGMSSA-N 0 1 298.383 0.185 20 30 CCEDMN CC[N@H+](CC#CCOC)CCNC(=O)Cc1ncc[nH]1 ZINC001266425237 790672189 /nfs/dbraw/zinc/67/21/89/790672189.db2.gz GYOSKAUPQUBDRI-UHFFFAOYSA-N 0 1 278.356 0.040 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)Cc1ncc[nH]1 ZINC001266425237 790672192 /nfs/dbraw/zinc/67/21/92/790672192.db2.gz GYOSKAUPQUBDRI-UHFFFAOYSA-N 0 1 278.356 0.040 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)Cn1cccn1 ZINC001266446597 790729748 /nfs/dbraw/zinc/72/97/48/790729748.db2.gz HSPWTZDQBUDOFU-CQSZACIVSA-N 0 1 290.367 0.114 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)C[C@H]1CCC(=O)NC1 ZINC001266467863 790761366 /nfs/dbraw/zinc/76/13/66/790761366.db2.gz FQSXCVWMSKRILF-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2cncnc2)C1 ZINC001266509759 790826810 /nfs/dbraw/zinc/82/68/10/790826810.db2.gz RKRUYOTWNMOXHO-CYBMUJFWSA-N 0 1 288.351 0.178 20 30 CCEDMN CC#CC[N@@H+](CCC)CCNC(=O)c1cncnc1 ZINC001266558433 790927206 /nfs/dbraw/zinc/92/72/06/790927206.db2.gz MGJVOLXKOOYQKU-UHFFFAOYSA-N 0 1 260.341 0.942 20 30 CCEDMN CCn1cc(CN[C@H]2C[C@H](NC(=O)C#CC3CC3)C2)nn1 ZINC001266591833 790994060 /nfs/dbraw/zinc/99/40/60/790994060.db2.gz YZRGZQJHHRVSRH-JOCQHMNTSA-N 0 1 287.367 0.448 20 30 CCEDMN COCC#CCN(CCNC(=O)c1cnco1)C1CC1 ZINC001266604392 791012385 /nfs/dbraw/zinc/01/23/85/791012385.db2.gz CKPZXOKJRUNDSF-UHFFFAOYSA-N 0 1 277.324 0.519 20 30 CCEDMN CC#CCN1CCC2(CC1)CN(C(=O)COC)C[C@@H](C)O2 ZINC001098549042 791119274 /nfs/dbraw/zinc/11/92/74/791119274.db2.gz NESIVUSQFODMAB-CQSZACIVSA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CNC(=O)C1CC1 ZINC001230843958 805675378 /nfs/dbraw/zinc/67/53/78/805675378.db2.gz CQMSEOZOVHIPEU-GFCCVEGCSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(=O)CC)C1=O ZINC001230977620 805703154 /nfs/dbraw/zinc/70/31/54/805703154.db2.gz NATIVRHTTGMJAZ-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CCN1CCC[C@H](C)O ZINC001231239189 805757318 /nfs/dbraw/zinc/75/73/18/805757318.db2.gz AGIXTYAGZCUXPT-KBPBESRZSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)C[C@@H](C)OC)C2)C1 ZINC001277509257 805782282 /nfs/dbraw/zinc/78/22/82/805782282.db2.gz JOIDQQUEQBGLGT-UKRRQHHQSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001277522498 805807926 /nfs/dbraw/zinc/80/79/26/805807926.db2.gz CYOWIODRTMIIDL-INIZCTEOSA-N 0 1 291.395 0.590 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1[nH]cnc1C ZINC001277542730 805821092 /nfs/dbraw/zinc/82/10/92/805821092.db2.gz WZMKZHAEDDHRQP-UHFFFAOYSA-N 0 1 278.356 0.420 20 30 CCEDMN CCOC(=O)CN(CCC#N)Cc1cnc(C)[nH]1 ZINC001232465774 805943143 /nfs/dbraw/zinc/94/31/43/805943143.db2.gz PIBGFFMCQCDZMV-UHFFFAOYSA-N 0 1 250.302 0.997 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@@H+]2CC[C@H](CO)[C@@H](O)C2)c1 ZINC001232673460 805968046 /nfs/dbraw/zinc/96/80/46/805968046.db2.gz HAJWSJWYWDPTRN-RISCZKNCSA-N 0 1 262.309 0.439 20 30 CCEDMN C[C@@]1(CO)CC[N@@H+](Cc2cc(C#N)ccc2[O-])C[C@@H]1O ZINC001232673733 805967026 /nfs/dbraw/zinc/96/70/26/805967026.db2.gz LYUZSEZUGQGWIV-GJZGRUSLSA-N 0 1 276.336 0.829 20 30 CCEDMN C[C@@H](C(N)=O)N1CCC[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001266749201 791207542 /nfs/dbraw/zinc/20/75/42/791207542.db2.gz FQSYGUHVFSQENC-QWHCGFSZSA-N 0 1 293.411 0.738 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCc1n[nH]c(CO)n1)OCC ZINC001167492092 791313091 /nfs/dbraw/zinc/31/30/91/791313091.db2.gz HYMLHKUTMVUNGL-SECBINFHSA-N 0 1 268.317 0.285 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCc1nnc(CO)[nH]1)OCC ZINC001167492092 791313097 /nfs/dbraw/zinc/31/30/97/791313097.db2.gz HYMLHKUTMVUNGL-SECBINFHSA-N 0 1 268.317 0.285 20 30 CCEDMN C[C@H](CNC(=O)CC(N)=O)NCC#Cc1ccc(F)cc1 ZINC001266930198 791366672 /nfs/dbraw/zinc/36/66/72/791366672.db2.gz PUCDARXOSSUPIZ-LLVKDONJSA-N 0 1 291.326 0.147 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](CNCc2ncnn2C)C1 ZINC001267039726 791472651 /nfs/dbraw/zinc/47/26/51/791472651.db2.gz XBHPAZCKZTWQCK-CYBMUJFWSA-N 0 1 289.383 0.413 20 30 CCEDMN CCO[C@@H](C)C(=O)N1CCC[C@H](N(C)CC#CCOC)C1 ZINC001267102263 791540373 /nfs/dbraw/zinc/54/03/73/791540373.db2.gz ZHHQOIOILHHJEL-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN CC#CC[N@H+](C)[C@H]1CCCN(C(=O)Cc2nnc[n-]2)C1 ZINC001267113539 791554899 /nfs/dbraw/zinc/55/48/99/791554899.db2.gz NJGTVLWPAGLDHK-LBPRGKRZSA-N 0 1 275.356 0.293 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCCN(C(=O)Cc2nnc[n-]2)C1 ZINC001267113539 791554903 /nfs/dbraw/zinc/55/49/03/791554903.db2.gz NJGTVLWPAGLDHK-LBPRGKRZSA-N 0 1 275.356 0.293 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCCc2nn(C)cc21 ZINC001267213876 791683807 /nfs/dbraw/zinc/68/38/07/791683807.db2.gz WZWHNZUFMDJGLN-GFCCVEGCSA-N 0 1 274.368 0.521 20 30 CCEDMN C=CCCN(C)CCNC(=O)[C@H]1CCCS1(=O)=O ZINC001267230733 791708965 /nfs/dbraw/zinc/70/89/65/791708965.db2.gz AJIRIYDTLCKINP-LLVKDONJSA-N 0 1 274.386 0.188 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)c1ccc(C)o1 ZINC001233605580 806092677 /nfs/dbraw/zinc/09/26/77/806092677.db2.gz QGCKZCHXRBVEEI-UHFFFAOYSA-N 0 1 291.351 0.389 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1CCOC ZINC001233914929 806147080 /nfs/dbraw/zinc/14/70/80/806147080.db2.gz IHCBNWKDAVRANS-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)c1ccoc1 ZINC001233940748 806152818 /nfs/dbraw/zinc/15/28/18/806152818.db2.gz HNJWSCNBWDPJNU-GFCCVEGCSA-N 0 1 291.351 0.469 20 30 CCEDMN C[C@@H](CN(C)C(=O)C#CC1CC1)NCc1cnnn1C ZINC001277819902 806154510 /nfs/dbraw/zinc/15/45/10/806154510.db2.gz RVZCIKJOOPIOGT-NSHDSACASA-N 0 1 275.356 0.165 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CCN1CCCF ZINC001234266689 806212179 /nfs/dbraw/zinc/21/21/79/806212179.db2.gz SISNOZUOQIVMHO-OLZOCXBDSA-N 0 1 270.348 0.917 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)CCCOCC ZINC001234318072 806222176 /nfs/dbraw/zinc/22/21/76/806222176.db2.gz BNZCACSBQHHWQR-UHFFFAOYSA-N 0 1 299.415 0.543 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cc(C2CC2)n(C)n1 ZINC001267242622 793232388 /nfs/dbraw/zinc/23/23/88/793232388.db2.gz SCVUZUCXNXZPCZ-UHFFFAOYSA-N 0 1 274.368 0.982 20 30 CCEDMN C=CCN1CC[C@H](N(CC)CCNC(=O)[C@@H]2C[C@H]2C)C1=O ZINC001267247786 793240351 /nfs/dbraw/zinc/24/03/51/793240351.db2.gz VUNTWYYWXUGHEV-MCIONIFRSA-N 0 1 293.411 0.867 20 30 CCEDMN CC#CCN(CC)CCNC(=O)C(C)(C)CNC(C)=O ZINC001267252694 793246575 /nfs/dbraw/zinc/24/65/75/793246575.db2.gz ZPMYSSDIVRAKRN-UHFFFAOYSA-N 0 1 281.400 0.610 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cc2c([nH]c1=O)CCC2 ZINC001267256429 793253458 /nfs/dbraw/zinc/25/34/58/793253458.db2.gz WCZMGWFJSXMIPV-UHFFFAOYSA-N 0 1 287.363 0.961 20 30 CCEDMN C[C@@H](CON)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234530131 806267484 /nfs/dbraw/zinc/26/74/84/806267484.db2.gz IDCDAEINXSMWKV-ZETCQYMHSA-N 0 1 260.253 0.865 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCc1n[nH]c(CC)n1 ZINC001235206221 806385779 /nfs/dbraw/zinc/38/57/79/806385779.db2.gz VXXGWDIJSPQWJD-MRVPVSSYSA-N 0 1 253.306 0.367 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@H](CC)OC ZINC001235386246 806413279 /nfs/dbraw/zinc/41/32/79/806413279.db2.gz KLWUWJJYQXFINQ-STQMWFEESA-N 0 1 299.415 0.540 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)CCC=C ZINC001235436260 806421388 /nfs/dbraw/zinc/42/13/88/806421388.db2.gz JXKGIVSITFCUAD-UHFFFAOYSA-N 0 1 265.357 0.140 20 30 CCEDMN Cn1cc(CN2CC(C(N)=O)C2)c2cc(C#N)ccc21 ZINC001237470247 806672984 /nfs/dbraw/zinc/67/29/84/806672984.db2.gz ARPOXMCYXUAXNM-UHFFFAOYSA-N 0 1 268.320 0.967 20 30 CCEDMN CN1C[C@@H]2CN(Cc3cn4cc(C#N)ccc4n3)C[C@@H]2C1=O ZINC001237614003 806702078 /nfs/dbraw/zinc/70/20/78/806702078.db2.gz MFQIAEUAPHWWGL-OCCSQVGLSA-N 0 1 295.346 0.726 20 30 CCEDMN N#Cc1cc(F)c([N-]S(=O)(=O)CC[NH3+])cc1F ZINC001237617320 806702104 /nfs/dbraw/zinc/70/21/04/806702104.db2.gz XRNHJDSDZBBEQV-UHFFFAOYSA-N 0 1 261.253 0.537 20 30 CCEDMN N#Cc1ccc2nc(CN3CC[C@@H](C(N)=O)C3)cn2c1 ZINC001237613837 806702759 /nfs/dbraw/zinc/70/27/59/806702759.db2.gz JPQGCRDUNUOAOI-LLVKDONJSA-N 0 1 269.308 0.513 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)C(=O)Nc2cccc(C#N)n2)CCN1C ZINC001121059052 798989666 /nfs/dbraw/zinc/98/96/66/798989666.db2.gz XWNPJAWUQZBGQB-MWLCHTKSSA-N 0 1 287.323 0.101 20 30 CCEDMN C[C@H]1[C@H](NC(=O)C(=O)Nc2cccc(C#N)n2)CCN1C ZINC001121059048 798989943 /nfs/dbraw/zinc/98/99/43/798989943.db2.gz XWNPJAWUQZBGQB-GXSJLCMTSA-N 0 1 287.323 0.101 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)C[C@H](O)c1ccc(F)cc1 ZINC001121895574 799086481 /nfs/dbraw/zinc/08/64/81/799086481.db2.gz FFLCIURJHOBAIF-RISCZKNCSA-N 0 1 278.327 0.977 20 30 CCEDMN C#CCNCC(=O)NCCOc1ccc2c(c1)OCO2 ZINC001121903270 799088467 /nfs/dbraw/zinc/08/84/67/799088467.db2.gz OLHOMIOBGDSMQP-UHFFFAOYSA-N 0 1 276.292 0.133 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc2c1CCN2 ZINC001121932131 799098219 /nfs/dbraw/zinc/09/82/19/799098219.db2.gz UVQPVYYVHDKTQP-RNCFNFMXSA-N 0 1 256.309 0.496 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](CCC(=O)OC)C1 ZINC001122123059 799149491 /nfs/dbraw/zinc/14/94/91/799149491.db2.gz MINNAUOFMALCAB-GFCCVEGCSA-N 0 1 266.341 0.401 20 30 CCEDMN C=C(C)Cn1c(-c2c[nH]nn2)nnc1N1CC(C)(OC)C1 ZINC001122402085 799223936 /nfs/dbraw/zinc/22/39/36/799223936.db2.gz LDRKXHYOUFAUKS-UHFFFAOYSA-N 0 1 289.343 0.864 20 30 CCEDMN COc1ccccc1NC(=O)C(C#N)C(=O)c1cn(C)nn1 ZINC001122419836 799230280 /nfs/dbraw/zinc/23/02/80/799230280.db2.gz PKOLYJZNVXJEPC-VIFPVBQESA-N 0 1 299.290 0.785 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@H](F)CC ZINC001122533709 799257732 /nfs/dbraw/zinc/25/77/32/799257732.db2.gz QTOWHQQXTVHZQZ-VHSXEESVSA-N 0 1 258.293 0.986 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC001123249446 799377948 /nfs/dbraw/zinc/37/79/48/799377948.db2.gz YZCSCFSZMDAFOB-AWEZNQCLSA-N 0 1 264.325 0.296 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)COCC)C1 ZINC001149097400 799378173 /nfs/dbraw/zinc/37/81/73/799378173.db2.gz BOUJHKFQVXTJTR-ZDUSSCGKSA-N 0 1 268.357 0.253 20 30 CCEDMN C#CC1(O)CCN(C(=O)N[C@H]2CCCN(C)C2)CC1 ZINC001123490544 799419717 /nfs/dbraw/zinc/41/97/17/799419717.db2.gz YAJYOACRVOELAU-LBPRGKRZSA-N 0 1 265.357 0.250 20 30 CCEDMN C#CC1(O)CCN(C(=O)NCc2cc(CC)[nH]n2)CC1 ZINC001123600175 799439207 /nfs/dbraw/zinc/43/92/07/799439207.db2.gz GUVQRFSKGZSUEF-UHFFFAOYSA-N 0 1 276.340 0.642 20 30 CCEDMN C=C(C)CONC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001123660240 799450633 /nfs/dbraw/zinc/45/06/33/799450633.db2.gz PSZTUQGXTSWHLD-GFCCVEGCSA-N 0 1 270.377 0.429 20 30 CCEDMN C=C(C)COCCNC(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001123664758 799451779 /nfs/dbraw/zinc/45/17/79/799451779.db2.gz AWCGOSSMNUOOPO-OLZOCXBDSA-N 0 1 267.373 0.084 20 30 CCEDMN C[C@@H]1CN2CC[N@H+]1C[C@@H]2C(=O)NCC1(C#N)CCC1 ZINC001123901197 799498721 /nfs/dbraw/zinc/49/87/21/799498721.db2.gz CFGUWBLJHUPWFK-VXGBXAGGSA-N 0 1 262.357 0.185 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)NCC1(C#N)CCC1 ZINC001123901197 799498723 /nfs/dbraw/zinc/49/87/23/799498723.db2.gz CFGUWBLJHUPWFK-VXGBXAGGSA-N 0 1 262.357 0.185 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001123915354 799503591 /nfs/dbraw/zinc/50/35/91/799503591.db2.gz UEXUQGFFYWUEQN-VXGBXAGGSA-N 0 1 293.371 0.962 20 30 CCEDMN O=C(CNC(=O)C1CC1)NCCNCC#Cc1ccccc1 ZINC001124084559 799554770 /nfs/dbraw/zinc/55/47/70/799554770.db2.gz RVYIBSHMRYUENY-UHFFFAOYSA-N 0 1 299.374 0.270 20 30 CCEDMN CO[C@H](C)C(=O)NCCNCc1ccc(C#N)cc1F ZINC001124273087 799585460 /nfs/dbraw/zinc/58/54/60/799585460.db2.gz LCIRBXHMRUFDPM-SNVBAGLBSA-N 0 1 279.315 0.938 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cnoc1C ZINC001124767591 799633300 /nfs/dbraw/zinc/63/33/00/799633300.db2.gz JCPHVWJFJYZZCC-UHFFFAOYSA-N 0 1 253.302 0.391 20 30 CCEDMN C=CCn1cc(CN2CCC3(CC2)COCC(=O)N3)cn1 ZINC001139768369 799839567 /nfs/dbraw/zinc/83/95/67/799839567.db2.gz OIXYVWBTXXGVQZ-UHFFFAOYSA-N 0 1 290.367 0.550 20 30 CCEDMN C=CCn1cc(CN2CC[C@H](O)[C@H](CO)C2)cn1 ZINC001139769107 799840314 /nfs/dbraw/zinc/84/03/14/799840314.db2.gz ZSKGEPNLSUAIDU-STQMWFEESA-N 0 1 251.330 0.244 20 30 CCEDMN C=CCN(C)CCN(CC)C(=O)c1cc(=O)c(OC)c[nH]1 ZINC001139870109 799851562 /nfs/dbraw/zinc/85/15/62/799851562.db2.gz YQGBYIYIIGNZAM-UHFFFAOYSA-N 0 1 293.367 0.963 20 30 CCEDMN CN1CC(C(=O)N2CCCN(c3ccc(C#N)cn3)CC2)C1 ZINC001142594365 800135308 /nfs/dbraw/zinc/13/53/08/800135308.db2.gz JNJGKDWKIBIDRB-UHFFFAOYSA-N 0 1 299.378 0.554 20 30 CCEDMN CCOC(=O)[C@H](Cc1cnc[nH]1)NC(=O)CCC#N ZINC001143206650 800206638 /nfs/dbraw/zinc/20/66/38/800206638.db2.gz PSVBDMHHROSSFW-JTQLQIEISA-N 0 1 264.285 0.304 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001149653818 800288709 /nfs/dbraw/zinc/28/87/09/800288709.db2.gz RBPQFSPFXSSXJC-UONOGXRCSA-N 0 1 282.384 0.234 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001149653818 800288713 /nfs/dbraw/zinc/28/87/13/800288713.db2.gz RBPQFSPFXSSXJC-UONOGXRCSA-N 0 1 282.384 0.234 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H](OC)C(C)C)C1 ZINC001150254067 800326865 /nfs/dbraw/zinc/32/68/65/800326865.db2.gz JYFXEKHRDHGHKL-CABCVRRESA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@@H](CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001150486960 800340097 /nfs/dbraw/zinc/34/00/97/800340097.db2.gz AXKBTRINNHMBES-HOCLYGCPSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC[C@H]1CN(CC=C)CCCO1 ZINC001150810463 800369182 /nfs/dbraw/zinc/36/91/82/800369182.db2.gz DKEKXCKVDRWPAS-KBPBESRZSA-N 0 1 282.384 0.971 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccc2c(c1)NC(=O)CO2 ZINC001151337626 800417673 /nfs/dbraw/zinc/41/76/73/800417673.db2.gz AEHHECLCBJMUIC-UHFFFAOYSA-N 0 1 283.247 0.865 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)Cc1cc(C)[nH]n1 ZINC001152675526 800547160 /nfs/dbraw/zinc/54/71/60/800547160.db2.gz MSYADIPOAXVKMJ-GFCCVEGCSA-N 0 1 262.357 0.720 20 30 CCEDMN C#CC[N@@H+](CC)[C@H](C)CNC(=O)Cc1cc(C)n[nH]1 ZINC001152675526 800547165 /nfs/dbraw/zinc/54/71/65/800547165.db2.gz MSYADIPOAXVKMJ-GFCCVEGCSA-N 0 1 262.357 0.720 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1conc1C ZINC001153135407 800599996 /nfs/dbraw/zinc/59/99/96/800599996.db2.gz SIIYZKWZRZVTTI-NSHDSACASA-N 0 1 267.329 0.780 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCC[C@@H](c2nc[nH]n2)C1 ZINC001153863330 800680420 /nfs/dbraw/zinc/68/04/20/800680420.db2.gz KDCIRCXMXMPHSL-OLZOCXBDSA-N 0 1 287.367 0.608 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2ncccc2C#N)CC1 ZINC001155155494 800921852 /nfs/dbraw/zinc/92/18/52/800921852.db2.gz YYKWEAREAHBOKL-GFCCVEGCSA-N 0 1 274.324 0.670 20 30 CCEDMN N#Cc1ccnc(NCc2nnc3n2CCCNC3)c1F ZINC001155431123 800974618 /nfs/dbraw/zinc/97/46/18/800974618.db2.gz ZTIMBEZOBOHBMF-UHFFFAOYSA-N 0 1 287.302 0.789 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCNCc1cn(C)nn1 ZINC001155447316 800978295 /nfs/dbraw/zinc/97/82/95/800978295.db2.gz XSFAKSVLEILGFS-GFCCVEGCSA-N 0 1 295.387 0.392 20 30 CCEDMN CCCn1ncnc1CNCCCNC(=O)[C@H](C)C#N ZINC001155836729 801062379 /nfs/dbraw/zinc/06/23/79/801062379.db2.gz RCAWLOZIJIRDKA-LLVKDONJSA-N 0 1 278.360 0.444 20 30 CCEDMN CC#CCN(CC)[C@H](C)CNC(=O)C(=O)N1CC[C@H](C)C1 ZINC001156277505 801143315 /nfs/dbraw/zinc/14/33/15/801143315.db2.gz WSHUGDXSBQLRQT-UONOGXRCSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCNC(=O)c1cccnc1-n1c(C)ncc(CN)c1=N ZINC001156830376 801245495 /nfs/dbraw/zinc/24/54/95/801245495.db2.gz GGNFAERSIUBHJU-UHFFFAOYSA-N 0 1 298.350 0.430 20 30 CCEDMN C#CCCCCC(=O)NCc1n[nH]c(CS(C)(=O)=O)n1 ZINC001157026840 801289039 /nfs/dbraw/zinc/28/90/39/801289039.db2.gz BAAZWCXAMHAOAC-UHFFFAOYSA-N 0 1 298.368 0.159 20 30 CCEDMN COc1ccnc(C(N)=Nc2cccc(Cl)[n+]2[O-])n1 ZINC001157628461 801439462 /nfs/dbraw/zinc/43/94/62/801439462.db2.gz MMVALWCOVMHBNK-UHFFFAOYSA-N 0 1 279.687 0.809 20 30 CCEDMN Cn1ncnc1CNCCCNC(=O)C#CC(C)(C)C ZINC001157718692 801463220 /nfs/dbraw/zinc/46/32/20/801463220.db2.gz GKKVXYDYMWTFPT-UHFFFAOYSA-N 0 1 277.372 0.461 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](O)C(C)C ZINC001157897757 801512508 /nfs/dbraw/zinc/51/25/08/801512508.db2.gz KQFVZNPIECJKBX-ZIAGYGMSSA-N 0 1 266.385 0.949 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)[C@H]1Cc2ccccc2CN1 ZINC001157982013 801545239 /nfs/dbraw/zinc/54/52/39/801545239.db2.gz HILYVFICYVFAGZ-UONOGXRCSA-N 0 1 270.336 0.025 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1CCC2(COC2)C1 ZINC001158169168 801581740 /nfs/dbraw/zinc/58/17/40/801581740.db2.gz SFFYCHXUHPIBKD-AWEZNQCLSA-N 0 1 285.347 0.677 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cnn(C)n1 ZINC001158598200 801670592 /nfs/dbraw/zinc/67/05/92/801670592.db2.gz RZBSUGXLEWXIQL-CYBMUJFWSA-N 0 1 289.383 0.765 20 30 CCEDMN Cc1nc(C#N)cnc1N1CC(N(C)[C@H]2CCOC2)C1 ZINC001158736523 801696461 /nfs/dbraw/zinc/69/64/61/801696461.db2.gz ODSZIMWRMCQFPP-LBPRGKRZSA-N 0 1 273.340 0.566 20 30 CCEDMN CCOC(=O)c1c[nH]c2cc(N[C@H](C#N)C(N)=O)ncc21 ZINC001159475702 801814069 /nfs/dbraw/zinc/81/40/69/801814069.db2.gz VDYXRDYUIQPFTN-SNVBAGLBSA-N 0 1 287.279 0.529 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2CCOC2)CC1 ZINC001159488373 801817336 /nfs/dbraw/zinc/81/73/36/801817336.db2.gz NQWZEDPONSKQBK-AWEZNQCLSA-N 0 1 262.353 0.795 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cc2cnn(C)c2)CC1 ZINC001159524525 801832749 /nfs/dbraw/zinc/83/27/49/801832749.db2.gz GDFMDVHEOISDSD-UHFFFAOYSA-N 0 1 286.379 0.734 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CCC(=O)NCC)CC1 ZINC001159550752 801833090 /nfs/dbraw/zinc/83/30/90/801833090.db2.gz YFSKLNYEQGOVAJ-UHFFFAOYSA-N 0 1 291.395 0.674 20 30 CCEDMN C#C[C@@H](CO)NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001159765268 801865759 /nfs/dbraw/zinc/86/57/59/801865759.db2.gz XUIBYQJRKAAGCE-NSHDSACASA-N 0 1 256.265 0.196 20 30 CCEDMN CCC(C)(CC)OC(=O)C[C@H](N)C(=O)N(C)CC#N ZINC001160584036 801971839 /nfs/dbraw/zinc/97/18/39/801971839.db2.gz LSUCGHYMELVNQP-JTQLQIEISA-N 0 1 269.345 0.808 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN2CCc3ccccc3[C@@H]2C1 ZINC001160854950 802033136 /nfs/dbraw/zinc/03/31/36/802033136.db2.gz IIJXXUONHXFQKP-RDJZCZTQSA-N 0 1 283.375 0.779 20 30 CCEDMN C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)N1CCO[C@H](C#N)C1 ZINC001160877831 802036027 /nfs/dbraw/zinc/03/60/27/802036027.db2.gz DISVWWZTXJYHEG-GMTAPVOTSA-N 0 1 269.345 0.268 20 30 CCEDMN N#Cc1cncc(CNc2ncc3c(n2)CNC3)c1 ZINC001160993172 802058149 /nfs/dbraw/zinc/05/81/49/802058149.db2.gz WSIYUKCPYNOVDF-UHFFFAOYSA-N 0 1 252.281 0.959 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC2(CC1)OC(=O)c1ccncc12 ZINC001161010992 802060717 /nfs/dbraw/zinc/06/07/17/802060717.db2.gz POACNXGZDNKDGA-HNNXBMFYSA-N 0 1 299.330 0.420 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1Cc2ccccc2C[C@@H]1C(=O)OC ZINC001161028145 802069339 /nfs/dbraw/zinc/06/93/39/802069339.db2.gz GXHUOPWTOXVTMU-CJNGLKHVSA-N 0 1 286.331 0.464 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C2(C(=O)NC)CC2)CC1 ZINC001161457488 802156447 /nfs/dbraw/zinc/15/64/47/802156447.db2.gz FXGRNYIYUPDHBH-UHFFFAOYSA-N 0 1 289.379 0.284 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cn2cncn2)CC1 ZINC001161829199 802225562 /nfs/dbraw/zinc/22/55/62/802225562.db2.gz OLCQTSYDQDZUIZ-UHFFFAOYSA-N 0 1 273.340 0.050 20 30 CCEDMN C=CCC[C@H](O)CNC1(CC(=O)OCC)COC1 ZINC001252615627 807919802 /nfs/dbraw/zinc/91/98/02/807919802.db2.gz BJCXNOCWIZCOQB-NSHDSACASA-N 0 1 257.330 0.625 20 30 CCEDMN N#CCNCCCNC(=O)c1ccn(-c2ccncc2)n1 ZINC001162317025 802315981 /nfs/dbraw/zinc/31/59/81/802315981.db2.gz SNPONLHRRJXRHP-UHFFFAOYSA-N 0 1 284.323 0.500 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1nccc(C#N)n1 ZINC001162530179 802357951 /nfs/dbraw/zinc/35/79/51/802357951.db2.gz HOHIYLGKHWIHBJ-UHFFFAOYSA-N 0 1 272.268 0.169 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CCCC#CC)C1 ZINC001278800031 808267350 /nfs/dbraw/zinc/26/73/50/808267350.db2.gz KCERCTCQHGWCOL-OAHLLOKOSA-N 0 1 262.353 0.366 20 30 CCEDMN N#Cc1c[nH]nc1NC(=O)CCN1CC[C@@H](F)C1 ZINC001162743853 802411565 /nfs/dbraw/zinc/41/15/65/802411565.db2.gz PSOVJOQGSKGPQD-SECBINFHSA-N 0 1 251.265 0.654 20 30 CCEDMN Cc1nnc(NCc2nnc3n2CCCNC3)c(C#N)c1C ZINC001163011136 802455388 /nfs/dbraw/zinc/45/53/88/802455388.db2.gz CRKGRNNBPLDJCK-UHFFFAOYSA-N 0 1 298.354 0.662 20 30 CCEDMN Cc1nnc(CN)n1-c1ncc([N+](=O)[O-])cc1C#N ZINC001163348861 802542216 /nfs/dbraw/zinc/54/22/16/802542216.db2.gz XLUDAQSCDUYYQX-UHFFFAOYSA-N 0 1 259.229 0.209 20 30 CCEDMN Cn1ccnc1-c1cc(NC(=O)[C@@H]2C[C@@H]2C#N)[nH]n1 ZINC001163422943 802554874 /nfs/dbraw/zinc/55/48/74/802554874.db2.gz KEFAQNQKYJWZNY-HTQZYQBOSA-N 0 1 256.269 0.908 20 30 CCEDMN CCOC(=O)c1cn(-c2ncc(C#N)cc2F)nc1CN ZINC001163525510 802567166 /nfs/dbraw/zinc/56/71/66/802567166.db2.gz VXYCJZUYDMBWGZ-UHFFFAOYSA-N 0 1 289.270 0.913 20 30 CCEDMN C#CCCCCCC(=O)NCCCNCc1cnn(C)n1 ZINC001163969286 802641706 /nfs/dbraw/zinc/64/17/06/802641706.db2.gz FLBCMOWBCANAQZ-UHFFFAOYSA-N 0 1 291.399 0.995 20 30 CCEDMN CC(C)NC(=O)CN(C)CCCNC(=O)[C@@H](C)C#N ZINC001264970871 809682749 /nfs/dbraw/zinc/68/27/49/809682749.db2.gz AUGKWXIFZIEUAZ-NSHDSACASA-N 0 1 268.361 0.109 20 30 CCEDMN COC(=O)c1cnnc(NC(=NC#N)c2ccncc2)c1 ZINC001164502993 802751435 /nfs/dbraw/zinc/75/14/35/802751435.db2.gz VBTCEGRWFNJFAM-UHFFFAOYSA-N 0 1 282.263 0.807 20 30 CCEDMN COCCCN1CCN(c2ncc(C#N)cc2C#N)CC1 ZINC001165097221 802780111 /nfs/dbraw/zinc/78/01/11/802780111.db2.gz IWWPNDMVCKEYIM-UHFFFAOYSA-N 0 1 285.351 0.983 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1nc(C)c(C)cc1C#N ZINC001165440288 802843657 /nfs/dbraw/zinc/84/36/57/802843657.db2.gz ZEGRIPCHWYNMOD-GFCCVEGCSA-N 0 1 274.324 0.521 20 30 CCEDMN C#Cc1cncc(C(=O)NCCCNCc2nnc(C)[nH]2)c1 ZINC001166299040 802899091 /nfs/dbraw/zinc/89/90/91/802899091.db2.gz HKEWMTNWVCPRQO-UHFFFAOYSA-N 0 1 298.350 0.399 20 30 CCEDMN C#Cc1cncc(C(=O)NCCCNCc2ncccn2)c1 ZINC001166299671 802899247 /nfs/dbraw/zinc/89/92/47/802899247.db2.gz XTOWZNALTRERPP-UHFFFAOYSA-N 0 1 295.346 0.763 20 30 CCEDMN C#C[C@@H]1CCCN(c2ncccc2C(=O)N2CCNCC2)C1 ZINC001167006996 803010043 /nfs/dbraw/zinc/01/00/43/803010043.db2.gz WBUQMMXIAKFMAD-CQSZACIVSA-N 0 1 298.390 0.977 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCNC(=O)C(C)(C)C ZINC001272080644 814937095 /nfs/dbraw/zinc/93/70/95/814937095.db2.gz QETARVZNPLGHQQ-UHFFFAOYSA-N 0 1 281.400 0.562 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001270600058 813832688 /nfs/dbraw/zinc/83/26/88/813832688.db2.gz SLSITXLLWGIWOT-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CN(CC=C)C[C@H]1C ZINC001206963603 803318522 /nfs/dbraw/zinc/31/85/22/803318522.db2.gz JHNARLWEYMTUGA-JHJVBQTASA-N 0 1 250.342 0.647 20 30 CCEDMN Cn1cc(CN2CC[C@@](C)(CO)[C@@H](O)C2)cc1C#N ZINC001207103322 803353779 /nfs/dbraw/zinc/35/37/79/803353779.db2.gz UIMSZEFTIHQEHD-KBPBESRZSA-N 0 1 263.341 0.462 20 30 CCEDMN CN(C1CN(Cc2cc(C#N)n(C)c2)C1)[C@@H]1CCOC1 ZINC001207106918 803353928 /nfs/dbraw/zinc/35/39/28/803353928.db2.gz YCKWVNUSRAQIER-CYBMUJFWSA-N 0 1 274.368 0.802 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)CCc1cnc[nH]1 ZINC001207444369 803403152 /nfs/dbraw/zinc/40/31/52/803403152.db2.gz QMQVZCFNZWXJJY-ZYHUDNBSSA-N 0 1 261.329 0.302 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCn2nc(C)cc2C)C1 ZINC001208255294 803500673 /nfs/dbraw/zinc/50/06/73/803500673.db2.gz ZFNBZCYQPANCOP-IUODEOHRSA-N 0 1 288.395 0.960 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1CCC[C@H](NCC#N)C1 ZINC001208264040 803501042 /nfs/dbraw/zinc/50/10/42/803501042.db2.gz HWNHGYXCWBEESU-STQMWFEESA-N 0 1 284.379 0.770 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CSCCC)[C@H](OC)C1 ZINC001211949741 814942095 /nfs/dbraw/zinc/94/20/95/814942095.db2.gz OUVUCMSQQGZKJV-CHWSQXEVSA-N 0 1 284.425 0.968 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)Nc1nn[nH]c1C(=O)NC ZINC001208745840 803545067 /nfs/dbraw/zinc/54/50/67/803545067.db2.gz XDGBKWPVTIRHIK-QMMMGPOBSA-N 0 1 278.316 0.737 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H](C)n2cccn2)C1 ZINC001210098043 803638526 /nfs/dbraw/zinc/63/85/26/803638526.db2.gz FVKWOVFFGLXFOT-MGPQQGTHSA-N 0 1 274.368 0.904 20 30 CCEDMN Cc1nc2ccc(N=C(NO)c3nonc3N)cc2[nH]1 ZINC001213070378 803684148 /nfs/dbraw/zinc/68/41/48/803684148.db2.gz NGJDJOQHCBXPPN-UHFFFAOYSA-N 0 1 273.256 0.894 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001213273638 803687838 /nfs/dbraw/zinc/68/78/38/803687838.db2.gz YFKXHELVYRBXQI-ZIAGYGMSSA-N 0 1 282.384 0.378 20 30 CCEDMN Cn1ncc(N=C2CCS(=O)(=O)CC2)c1C#N ZINC001216565678 803844499 /nfs/dbraw/zinc/84/44/99/803844499.db2.gz VLXKCCQFVZQALR-UHFFFAOYSA-N 0 1 252.299 0.406 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)COC(C)C)[C@H]2C1 ZINC001217451263 803889930 /nfs/dbraw/zinc/88/99/30/803889930.db2.gz XHLKMICHYXBFHR-UONOGXRCSA-N 0 1 280.368 0.346 20 30 CCEDMN C#CCCCC(=O)N1CCO[C@@H]2CN(CCC#C)C[C@@H]21 ZINC001217758992 803912761 /nfs/dbraw/zinc/91/27/61/803912761.db2.gz XFIJRLFYFXHHPQ-LSDHHAIUSA-N 0 1 274.364 0.725 20 30 CCEDMN N#C[C@H]1CNC[C@H]1Oc1ccc(Cl)cc1CNN ZINC001218199380 803936350 /nfs/dbraw/zinc/93/63/50/803936350.db2.gz FDNDITMONLSLQK-JOYOIKCWSA-N 0 1 266.732 0.794 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)[C@@H](N)Cc1cccc(O)c1 ZINC001218656215 804066220 /nfs/dbraw/zinc/06/62/20/804066220.db2.gz QDIPHWKFVWYORX-JTQLQIEISA-N 0 1 271.280 0.495 20 30 CCEDMN N=C(c1ccccc1N)N([O-])C(=O)[C@@H]1CCCC[NH2+]1 ZINC001218966806 804167976 /nfs/dbraw/zinc/16/79/76/804167976.db2.gz XPTVPIXOLABOKL-NSHDSACASA-N 0 1 262.313 0.954 20 30 CCEDMN N=C(c1ccccc1N)N(O)C(=O)C[C@@H]1COCCN1 ZINC001218968400 804169817 /nfs/dbraw/zinc/16/98/17/804169817.db2.gz KXHZTDMKBVTQDF-SECBINFHSA-N 0 1 278.312 0.190 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)COCCC=C)[C@H]2C1 ZINC001219062531 804190177 /nfs/dbraw/zinc/19/01/77/804190177.db2.gz VSZKJBIKMPIVOU-LSDHHAIUSA-N 0 1 292.379 0.514 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN1C[C@@H](O)COC ZINC001276802310 804211426 /nfs/dbraw/zinc/21/14/26/804211426.db2.gz YNCRQQJPQWJWLC-NWDGAFQWSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2ncoc2C)[C@@H](O)C1 ZINC001219286845 804259216 /nfs/dbraw/zinc/25/92/16/804259216.db2.gz LQLYKYITVYVHBT-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CC(F)(F)F)[C@@H](O)C1 ZINC001219304105 804262770 /nfs/dbraw/zinc/26/27/70/804262770.db2.gz GFOVLQZQDKUTBA-ZJUUUORDSA-N 0 1 296.289 0.303 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H](C)OC)[C@@H](O)C1 ZINC001219338576 804263805 /nfs/dbraw/zinc/26/38/05/804263805.db2.gz FWHNAMAQJKFYCO-UTUOFQBUSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H]1CN(CC(=C)C)C[C@@H]1O ZINC001219426450 804306180 /nfs/dbraw/zinc/30/61/80/804306180.db2.gz CRCOUYGXBGBUGU-KGLIPLIRSA-N 0 1 282.384 0.707 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C\c2ccc[nH]2)[C@@H](O)C1 ZINC001219519650 804335488 /nfs/dbraw/zinc/33/54/88/804335488.db2.gz VZIBYJQSMFXCBM-WJDZFGBRSA-N 0 1 273.336 0.213 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCC(F)F ZINC001219562349 804348984 /nfs/dbraw/zinc/34/89/84/804348984.db2.gz XHXZKRZILVZQRM-JTQLQIEISA-N 0 1 253.252 0.809 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(C)(C)C2CC2)[C@@H](O)C1 ZINC001219573529 804355438 /nfs/dbraw/zinc/35/54/38/804355438.db2.gz VRMKNXJYYFPTNZ-KGLIPLIRSA-N 0 1 278.396 0.997 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN([C@H](C)COC)C[C@@H]1O ZINC001219708870 804411607 /nfs/dbraw/zinc/41/16/07/804411607.db2.gz DYHRBVWQKLQSNR-UPJWGTAASA-N 0 1 284.400 0.785 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CCCO)C[C@@H]1O ZINC001219707653 804411827 /nfs/dbraw/zinc/41/18/27/804411827.db2.gz FKQCTPVYGRMTBN-NEPJUHHUSA-N 0 1 270.373 0.132 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2CCN(C(=O)c3cnc[nH]c3=O)[C@@H]2C1 ZINC001075925637 815018734 /nfs/dbraw/zinc/01/87/34/815018734.db2.gz XDNAXTZFWRLZST-WCQYABFASA-N 0 1 286.335 0.352 20 30 CCEDMN N#CN=C(NC(O)=C(N)C(F)(F)F)c1ccncc1 ZINC001219972834 804461848 /nfs/dbraw/zinc/46/18/48/804461848.db2.gz XHIMLYRRPWSNJT-ZETCQYMHSA-N 0 1 271.202 0.315 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001220199018 804534181 /nfs/dbraw/zinc/53/41/81/804534181.db2.gz GOPHEYAAVXOBPF-XQQFMLRXSA-N 0 1 270.373 0.396 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCCOCC)C[C@@H]2O)C1 ZINC001220315030 804570803 /nfs/dbraw/zinc/57/08/03/804570803.db2.gz QDHAGTQLXQHWPC-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@H]1CC[C@H](C(F)(F)F)CN1 ZINC001220392799 804592890 /nfs/dbraw/zinc/59/28/90/804592890.db2.gz MFABLHRANUTCMH-XHNCKOQMSA-N 0 1 266.263 0.580 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H]1CCc2ccc(O)cc2C1 ZINC001220592703 804633183 /nfs/dbraw/zinc/63/31/83/804633183.db2.gz SDNNNJLCHVXDJK-WFASDCNBSA-N 0 1 258.321 0.716 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCn2cccc2)[C@@H](O)C1 ZINC001220603741 804637971 /nfs/dbraw/zinc/63/79/71/804637971.db2.gz PNMRFPCNDZUZIL-KGLIPLIRSA-N 0 1 277.368 0.616 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@H]1CN(c2ccccc2)CCO1 ZINC001220736974 804659129 /nfs/dbraw/zinc/65/91/29/804659129.db2.gz YLGFBRJFXOTCDO-HOCLYGCPSA-N 0 1 287.363 0.359 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC(C)(C)c1ncccc1OC ZINC001221169951 804739351 /nfs/dbraw/zinc/73/93/51/804739351.db2.gz AKCXJEGBKIBSJF-HNNXBMFYSA-N 0 1 275.352 0.835 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H]1CC[C@@H](OC(=O)NC(C)(C)C)C1 ZINC001221364018 804787171 /nfs/dbraw/zinc/78/71/71/804787171.db2.gz CQUGGRHWGCVSOI-HFAKWTLXSA-N 0 1 295.383 0.899 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)C[C@@H](C)OC)CC1 ZINC001222601344 804920764 /nfs/dbraw/zinc/92/07/64/804920764.db2.gz ZLGKOJBJIAZNRQ-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@H]1COC(=O)C1 ZINC001276834129 804929532 /nfs/dbraw/zinc/92/95/32/804929532.db2.gz IIRXAKGHEOJGBV-VXGBXAGGSA-N 0 1 264.325 0.153 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224165921 805013416 /nfs/dbraw/zinc/01/34/16/805013416.db2.gz VMDLIQGCOIIXAR-NEPJUHHUSA-N 0 1 281.400 0.758 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)CCOCCOC)C[C@@H]21 ZINC001224289548 805022625 /nfs/dbraw/zinc/02/26/25/805022625.db2.gz OTZRZLCYXHGZLV-CABCVRRESA-N 0 1 294.395 0.596 20 30 CCEDMN N#CCN[C@@H]1CCCC[C@H]1NC(=O)CCc1nc[nH]n1 ZINC001225607355 805144909 /nfs/dbraw/zinc/14/49/09/805144909.db2.gz QXLCJCZMWIKIQS-GHMZBOCLSA-N 0 1 276.344 0.278 20 30 CCEDMN C[N@@H+]1CCO[C@H](COc2[n-]c(=O)c(F)cc2C#N)C1 ZINC001226008577 805185997 /nfs/dbraw/zinc/18/59/97/805185997.db2.gz JEJPFIVZYVMULZ-VIFPVBQESA-N 0 1 267.260 0.507 20 30 CCEDMN C[N@H+]1CCO[C@H](COc2[n-]c(=O)c(F)cc2C#N)C1 ZINC001226008577 805186000 /nfs/dbraw/zinc/18/60/00/805186000.db2.gz JEJPFIVZYVMULZ-VIFPVBQESA-N 0 1 267.260 0.507 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H](C)COC)CC1 ZINC001226564536 805247850 /nfs/dbraw/zinc/24/78/50/805247850.db2.gz ZEDMSDZXNPBFCB-CYBMUJFWSA-N 0 1 282.384 0.499 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCN(Cc2cnn(C)c2)CC1 ZINC001226617495 805256689 /nfs/dbraw/zinc/25/66/89/805256689.db2.gz HWNKNQVTMXFWGH-NSHDSACASA-N 0 1 275.356 0.660 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC(NC(=O)C#CC(C)C)CC1 ZINC001227265227 805337578 /nfs/dbraw/zinc/33/75/78/805337578.db2.gz FTVVCFIHMMXMPA-ZDUSSCGKSA-N 0 1 279.384 0.490 20 30 CCEDMN C#C[C@H](Oc1[nH]c(=O)nc2[nH]ccc21)C(=O)OCC ZINC001227270733 805339300 /nfs/dbraw/zinc/33/93/00/805339300.db2.gz JQKPMMKUTBBNMA-QMMMGPOBSA-N 0 1 261.237 0.607 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H]2CO[C@@H](C)C2)CC1 ZINC001228064083 805411053 /nfs/dbraw/zinc/41/10/53/805411053.db2.gz GTIUFTXXQFEJBV-KBPBESRZSA-N 0 1 294.395 0.642 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1ncc(C(=O)OC)cn1 ZINC001228773880 805478969 /nfs/dbraw/zinc/47/89/69/805478969.db2.gz RRMUECDSCAPWPS-ZCFIWIBFSA-N 0 1 264.237 0.861 20 30 CCEDMN C#C[C@@H](Oc1nc(C)nc2[nH]cnc21)C(=O)OCC ZINC001229438179 805534676 /nfs/dbraw/zinc/53/46/76/805534676.db2.gz CZBKEFUUWQDAGG-MRVPVSSYSA-N 0 1 260.253 0.605 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](NCc2ccc(C#N)cc2)CN1 ZINC001246099247 807152797 /nfs/dbraw/zinc/15/27/97/807152797.db2.gz SCSCXJDULHHJQU-OLZOCXBDSA-N 0 1 259.309 0.551 20 30 CCEDMN C#CCCCC(=O)N[C@]12CCC[C@H]1N(CC(=O)NC)CC2 ZINC001278444759 807239204 /nfs/dbraw/zinc/23/92/04/807239204.db2.gz FYIABNBDGRDTPV-CJNGLKHVSA-N 0 1 291.395 0.649 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N[C@@]12CCC[C@@H]1N(CC#N)CC2 ZINC001278466551 807386234 /nfs/dbraw/zinc/38/62/34/807386234.db2.gz JNWGSJXDMADULP-DZGCQCFKSA-N 0 1 278.400 0.965 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OC)n(C)n2)[C@H]1C ZINC001088731629 815121156 /nfs/dbraw/zinc/12/11/56/815121156.db2.gz ZDPZHEFLOSHLFM-MNOVXSKESA-N 0 1 278.356 0.807 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCc1ccc2c[nH]nc2c1 ZINC001248648452 807519438 /nfs/dbraw/zinc/51/94/38/807519438.db2.gz XTIRJEBPGRNETQ-UHFFFAOYSA-N 0 1 299.378 0.970 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCn4cncc4C3)[C@@H]2C1 ZINC001075961413 815129130 /nfs/dbraw/zinc/12/91/30/815129130.db2.gz NDILVXRSZTWTIY-IJEWVQPXSA-N 0 1 298.390 0.611 20 30 CCEDMN Cc1nc([C@@H](C)NCCNC(=O)C#CC(C)C)n[nH]1 ZINC001126815761 815131049 /nfs/dbraw/zinc/13/10/49/815131049.db2.gz LDNRHEHWRNHUSE-SNVBAGLBSA-N 0 1 263.345 0.539 20 30 CCEDMN N#CCc1cc(N[C@@H]2COCCC23OCCO3)ccn1 ZINC001168359357 815132595 /nfs/dbraw/zinc/13/25/95/815132595.db2.gz XKGSLQRIUXRWJR-CYBMUJFWSA-N 0 1 275.308 0.513 20 30 CCEDMN C#CCOC[C@H](O)CN[C@H](C)c1nnc2ccccn21 ZINC001251821498 807708833 /nfs/dbraw/zinc/70/88/33/807708833.db2.gz QEGBSWXBEVWBLN-VXGBXAGGSA-N 0 1 274.324 0.391 20 30 CCEDMN COc1nccc(CNCCNC(=O)C#CC(C)C)n1 ZINC001126828151 815142363 /nfs/dbraw/zinc/14/23/63/815142363.db2.gz KZBHVNAEUMHWBV-UHFFFAOYSA-N 0 1 276.340 0.350 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ccc(Br)cn1 ZINC001251881212 807732593 /nfs/dbraw/zinc/73/25/93/807732593.db2.gz PNIBEFUYXFHBMZ-GFCCVEGCSA-N 0 1 299.168 0.944 20 30 CCEDMN C=CCOC[C@H](O)CNc1nc(Cl)nc2[nH]cnc21 ZINC001252454044 807869735 /nfs/dbraw/zinc/86/97/35/807869735.db2.gz KNRSMBLKGGPQJJ-SSDOTTSWSA-N 0 1 283.719 0.933 20 30 CCEDMN C=CCOC[C@H](O)CN1Cc2n[nH]c(COC)c2C1 ZINC001252462595 807875039 /nfs/dbraw/zinc/87/50/39/807875039.db2.gz IDMVXZBTNYLTAU-SNVBAGLBSA-N 0 1 267.329 0.435 20 30 CCEDMN C=CCOC[C@@H](O)CN1Cc2ccnn2C[C@@H](COC)C1 ZINC001252467281 807877055 /nfs/dbraw/zinc/87/70/55/807877055.db2.gz XFHLYHIOVTXJDM-ZFWWWQNUSA-N 0 1 295.383 0.525 20 30 CCEDMN C=CCOC[C@H](O)CN1CCN(C(C)=O)[C@H](C)C1 ZINC001252473801 807886321 /nfs/dbraw/zinc/88/63/21/807886321.db2.gz QFJFERASUMQXEB-DGCLKSJQSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CCOC[C@H](O)CNCC(=O)Nc1ccccc1 ZINC001252488640 807890661 /nfs/dbraw/zinc/89/06/61/807890661.db2.gz RGEXSWBFXNMDMQ-CYBMUJFWSA-N 0 1 264.325 0.778 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cc(OCCOC)ncn1 ZINC001252496631 807895117 /nfs/dbraw/zinc/89/51/17/807895117.db2.gz BJLXEQKTCIPBJE-ZDUSSCGKSA-N 0 1 297.355 0.155 20 30 CCEDMN C=C[C@@](C)(O)CN1Cc2ncn(C)c2[C@H](COC)C1 ZINC001252552233 807908546 /nfs/dbraw/zinc/90/85/46/807908546.db2.gz IIAPTPJEUPSHJA-SMDDNHRTSA-N 0 1 265.357 0.903 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(CC(=O)N2CCCC2)CC1 ZINC001252554512 807909954 /nfs/dbraw/zinc/90/99/54/807909954.db2.gz IAMCFZLXGNUSML-HNNXBMFYSA-N 0 1 281.400 0.163 20 30 CCEDMN C=C[C@](C)(O)CNCc1ccnc(OCCOC)n1 ZINC001252583507 807914211 /nfs/dbraw/zinc/91/42/11/807914211.db2.gz MJHCZPQXJXNTHA-ZDUSSCGKSA-N 0 1 267.329 0.528 20 30 CCEDMN C=C[C@@H](C)NC(=O)CCNC(O)=C1N=CC=CC1=O ZINC001252843202 807977544 /nfs/dbraw/zinc/97/75/44/807977544.db2.gz ONAKFRVWLDJLSZ-MMZVIXLHSA-N 0 1 263.297 0.594 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1NC[C@@H](O)c1ccc(F)cc1 ZINC001252864847 807978519 /nfs/dbraw/zinc/97/85/19/807978519.db2.gz QUMIYPNMTPXTPT-AXTRIDKLSA-N 0 1 268.288 0.685 20 30 CCEDMN C=CCCCCCC[C@@H](O)CN1CCN(CC(N)=O)CC1 ZINC001253241026 808039012 /nfs/dbraw/zinc/03/90/12/808039012.db2.gz RFBUEQZQROYIIZ-OAHLLOKOSA-N 0 1 297.443 0.977 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NCC2(NCC#N)CCOCC2)C1 ZINC001278722480 808066549 /nfs/dbraw/zinc/06/65/49/808066549.db2.gz FGZPFGIOJDYUHI-CYBMUJFWSA-N 0 1 294.399 0.107 20 30 CCEDMN C=C[C@H](O)CN1C[C@@H](OC)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001253586004 808089849 /nfs/dbraw/zinc/08/98/49/808089849.db2.gz FVNKOCHTTPZNPI-SDDRHHMPSA-N 0 1 286.372 0.757 20 30 CCEDMN CC(C)(C)[C@H](O)CN1CCN(c2nccnc2C#N)CC1 ZINC001253729362 808129884 /nfs/dbraw/zinc/12/98/84/808129884.db2.gz ZEHGFHIHVGZPIL-CYBMUJFWSA-N 0 1 289.383 0.877 20 30 CCEDMN CC(C)N(C)CC(=O)Nc1c(C#N)cnc2c(C#N)cnn21 ZINC001142582981 815177337 /nfs/dbraw/zinc/17/73/37/815177337.db2.gz PYXWSFADHFLBQC-UHFFFAOYSA-N 0 1 297.322 0.751 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)OCC(C)C)C1 ZINC001278760242 808170154 /nfs/dbraw/zinc/17/01/54/808170154.db2.gz JYSRCHZBTOCIGB-GDBMZVCRSA-N 0 1 296.411 0.624 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)N2C)[C@H]1C ZINC001088812023 815186358 /nfs/dbraw/zinc/18/63/58/815186358.db2.gz IGWNTFZBYGVASD-FRRDWIJNSA-N 0 1 277.368 0.210 20 30 CCEDMN O=C(C#CC1CC1)NC[C@@]1(O)CCN(Cc2ccccn2)C1 ZINC001278776761 808207361 /nfs/dbraw/zinc/20/73/61/808207361.db2.gz NEMPLODSVMUGOA-KRWDZBQOSA-N 0 1 299.374 0.548 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cccc(C)c2F)C1 ZINC001278781125 808231160 /nfs/dbraw/zinc/23/11/60/808231160.db2.gz CUTVKUFEIQDIRB-INIZCTEOSA-N 0 1 290.338 0.934 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cc3cnccc3o2)C1 ZINC001278790848 808252036 /nfs/dbraw/zinc/25/20/36/808252036.db2.gz LGFYHEXEUQBWPQ-INIZCTEOSA-N 0 1 299.330 0.628 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+]C1C[C@H]2CC(=O)C[C@@H]2C1 ZINC001254928451 808296998 /nfs/dbraw/zinc/29/69/98/808296998.db2.gz MMBSKVAZRNGJTE-PEFMBERDSA-N 0 1 252.314 0.570 20 30 CCEDMN CCCCCN(CCO)C(=O)NCC#CCN(C)C ZINC001256578967 808536100 /nfs/dbraw/zinc/53/61/00/808536100.db2.gz GFLROKXYMBZEPN-UHFFFAOYSA-N 0 1 269.389 0.746 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1c2ccccc2C[C@@H]1O ZINC001256579166 808536486 /nfs/dbraw/zinc/53/64/86/808536486.db2.gz INWHTDKFZZRMNA-LSDHHAIUSA-N 0 1 287.363 0.509 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC2=C(CCC(=O)N2)C1 ZINC001256585590 808537553 /nfs/dbraw/zinc/53/75/53/808537553.db2.gz TWYFQZFPAXEARV-UHFFFAOYSA-N 0 1 290.367 0.131 20 30 CCEDMN CCOC(=O)CCC[C@H](C)[NH2+][C@@H]1C(=O)N([O-])C[C@@H]1C ZINC001258113713 808655047 /nfs/dbraw/zinc/65/50/47/808655047.db2.gz ZPHYGYAVYHQAEZ-NHCYSSNCSA-N 0 1 272.345 0.934 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3ccnc4n[nH]nc43)[C@@H]2C1 ZINC001076022290 815234328 /nfs/dbraw/zinc/23/43/28/815234328.db2.gz CIIMIUUTMCLYQG-CMPLNLGQSA-N 0 1 296.334 0.132 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccnc4n[nH]nc43)[C@@H]2C1 ZINC001076022290 815234330 /nfs/dbraw/zinc/23/43/30/815234330.db2.gz CIIMIUUTMCLYQG-CMPLNLGQSA-N 0 1 296.334 0.132 20 30 CCEDMN C=CCS(=O)(=O)Nc1nc(OC)nc(OC)c1C ZINC001259923728 808805450 /nfs/dbraw/zinc/80/54/50/808805450.db2.gz YGJBIWNEKFQBJY-UHFFFAOYSA-N 0 1 273.314 0.730 20 30 CCEDMN NS(=O)(=O)NCC(F)(F)C(F)(F)C(F)(F)F ZINC001260082120 808848748 /nfs/dbraw/zinc/84/87/48/808848748.db2.gz NZTZPCHLKAQZQE-UHFFFAOYSA-N 0 1 278.149 0.612 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(C(C)(C)C)CC1 ZINC001261255588 808941098 /nfs/dbraw/zinc/94/10/98/808941098.db2.gz BLRCDRPRMQLCCP-GFCCVEGCSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N(CCC)[C@H]1CCN(C)C1 ZINC001261468824 808988124 /nfs/dbraw/zinc/98/81/24/808988124.db2.gz NNTJQNCXGURVEG-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCc4nc[nH]c43)[C@@H]2C1 ZINC001076228905 815262363 /nfs/dbraw/zinc/26/23/63/815262363.db2.gz PBQADGQIWYDDNB-KCQAQPDRSA-N 0 1 298.390 0.996 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N(C)Cc1cnc[nH]1 ZINC001261759329 809080047 /nfs/dbraw/zinc/08/00/47/809080047.db2.gz DDXAKJBGQZZCLG-UHFFFAOYSA-N 0 1 250.302 0.403 20 30 CCEDMN C#CCC1(O)CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CC1 ZINC001262029902 809164180 /nfs/dbraw/zinc/16/41/80/809164180.db2.gz ORXRHNVZUUGZOP-GFCCVEGCSA-N 0 1 287.363 0.891 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC(N3CCN(C)CC3)C2)C1 ZINC001262173116 809219583 /nfs/dbraw/zinc/21/95/83/809219583.db2.gz UGAMKESPDPLOFU-UHFFFAOYSA-N 0 1 263.385 0.801 20 30 CCEDMN CN1CCN(C2CN(C(=O)CCCC#N)C2)CC1 ZINC001262172833 809219853 /nfs/dbraw/zinc/21/98/53/809219853.db2.gz KYGHGQPHCNPGRB-UHFFFAOYSA-N 0 1 250.346 0.138 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001262180936 809226297 /nfs/dbraw/zinc/22/62/97/809226297.db2.gz VLKPRYBRHOOHAH-QWRGUYRKSA-N 0 1 252.314 0.263 20 30 CCEDMN C=CCCCCn1nnnc1N(C)Cc1nnc[nH]1 ZINC001262408483 809304595 /nfs/dbraw/zinc/30/45/95/809304595.db2.gz XKOPSDBOIXMXKS-UHFFFAOYSA-N 0 1 262.321 0.784 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ncccn3)[C@@H]2C1 ZINC001076385282 815286966 /nfs/dbraw/zinc/28/69/66/815286966.db2.gz PMCKUJLMUUAKTE-NWDGAFQWSA-N 0 1 258.325 0.809 20 30 CCEDMN C#CCNCC(=O)N1CCN(C(=O)c2ccc(C)cc2)CC1 ZINC001263065994 809431297 /nfs/dbraw/zinc/43/12/97/809431297.db2.gz XMICSQSBIIXFLO-UHFFFAOYSA-N 0 1 299.374 0.502 20 30 CCEDMN C#CCNCC(=O)N[C@H](Cn1ccnc1)c1ccccc1 ZINC001263183605 809473417 /nfs/dbraw/zinc/47/34/17/809473417.db2.gz XNCZDJVAAFBKCG-OAHLLOKOSA-N 0 1 282.347 0.963 20 30 CCEDMN C#CCNCC(=O)N1CCc2ccnc(N(C)C)c2C1 ZINC001263304407 809506312 /nfs/dbraw/zinc/50/63/12/809506312.db2.gz BBUOOFCDHVJRBF-UHFFFAOYSA-N 0 1 272.352 0.255 20 30 CCEDMN CCn1ccnc1C(C#N)C(=O)CN1CCOCC1 ZINC001263617079 809541005 /nfs/dbraw/zinc/54/10/05/809541005.db2.gz UJRVJTRVQBTLPA-NSHDSACASA-N 0 1 262.313 0.411 20 30 CCEDMN CC(C)C[C@H](C#N)NC(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001263725240 809557966 /nfs/dbraw/zinc/55/79/66/809557966.db2.gz YPKIHUHFZMNZKM-UPJWGTAASA-N 0 1 264.373 0.429 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCCC(N)=O ZINC001263822715 809579555 /nfs/dbraw/zinc/57/95/55/809579555.db2.gz ANXXLPRHCBONSM-NSHDSACASA-N 0 1 253.346 0.361 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1C[C@@H](NCc2cnn(C)n2)C1 ZINC001263832155 809582881 /nfs/dbraw/zinc/58/28/81/809582881.db2.gz KYDAMRIXCZQFDB-BETUJISGSA-N 0 1 289.383 0.459 20 30 CCEDMN Cn1cc(CN[C@H]2C[C@H](CNC(=O)C#CC3CC3)C2)nn1 ZINC001263861857 809592539 /nfs/dbraw/zinc/59/25/39/809592539.db2.gz QCJGBQUIBDYKSI-JOCQHMNTSA-N 0 1 287.367 0.213 20 30 CCEDMN C=CCCC(=O)N1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1CC(N)=O ZINC001264588678 809664627 /nfs/dbraw/zinc/66/46/27/809664627.db2.gz KEVAWAFSRNYCPI-FQUUOJAGSA-N 0 1 291.395 0.607 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc[nH]n1 ZINC001264738488 809676114 /nfs/dbraw/zinc/67/61/14/809676114.db2.gz YLXINDHGSNKGIK-LBPRGKRZSA-N 0 1 260.341 0.969 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCOCC(F)F)C1 ZINC001265283538 809746815 /nfs/dbraw/zinc/74/68/15/809746815.db2.gz GBGNIWQXKZRHBG-SNVBAGLBSA-N 0 1 260.284 0.482 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC001265384553 809770129 /nfs/dbraw/zinc/77/01/29/809770129.db2.gz KGKLSYNFOJJZOZ-ZDUSSCGKSA-N 0 1 291.395 0.316 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H]2CCCN(CC(=O)NC)C2)C1 ZINC001265590817 809809035 /nfs/dbraw/zinc/80/90/35/809809035.db2.gz ICUSQPHXQORYSX-CYBMUJFWSA-N 0 1 293.411 0.917 20 30 CCEDMN COCC(=O)NC[C@H](C)NCC#Cc1ccccc1 ZINC001265746152 809835198 /nfs/dbraw/zinc/83/51/98/809835198.db2.gz FALVCTZDPGDOCL-ZDUSSCGKSA-N 0 1 260.337 0.779 20 30 CCEDMN C[C@H](CNC(=O)CCCCc1cn[nH]n1)NCC#N ZINC001265803863 809856557 /nfs/dbraw/zinc/85/65/57/809856557.db2.gz DCZOBRGLCMZWMU-SNVBAGLBSA-N 0 1 264.333 0.135 20 30 CCEDMN CC(=O)NCC(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC001265883475 809884241 /nfs/dbraw/zinc/88/42/41/809884241.db2.gz KJNQPBIGORFASP-INIZCTEOSA-N 0 1 299.374 0.365 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C1(C)CCC1 ZINC001265904400 809890537 /nfs/dbraw/zinc/89/05/37/809890537.db2.gz DZDPJKSGJMSQGY-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2ccnn2C)C1 ZINC001266204785 809961839 /nfs/dbraw/zinc/96/18/39/809961839.db2.gz GQBYLLVNOWKOPL-CYBMUJFWSA-N 0 1 292.383 0.817 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)Cn2cccn2)C1 ZINC001266201750 809961990 /nfs/dbraw/zinc/96/19/90/809961990.db2.gz ZLQLYFRBGLBOCW-CQSZACIVSA-N 0 1 292.383 0.666 20 30 CCEDMN C[C@H](NC(=O)Cc1cnc[nH]1)C1CCN(CC#N)CC1 ZINC001279155415 809971123 /nfs/dbraw/zinc/97/11/23/809971123.db2.gz ASOXHQOTLLZIHL-NSHDSACASA-N 0 1 275.356 0.692 20 30 CCEDMN COCC(C)(C)C(=O)NCCN1CCC(NCC#N)CC1 ZINC001279438683 809978307 /nfs/dbraw/zinc/97/83/07/809978307.db2.gz KTZKYMHQZJWLBS-UHFFFAOYSA-N 0 1 296.415 0.353 20 30 CCEDMN C=CCCN1CCOC[C@H]1CNC(=O)CCc1cnc[nH]1 ZINC001280408343 810015859 /nfs/dbraw/zinc/01/58/59/810015859.db2.gz BSVZRIVVSODOTP-CQSZACIVSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(C)C(=O)c1[nH]nnc1C ZINC001280522972 810028007 /nfs/dbraw/zinc/02/80/07/810028007.db2.gz DRBCNFBEILKEIL-SECBINFHSA-N 0 1 293.371 0.902 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2ccc(C)n2C)C1 ZINC001076739652 815359191 /nfs/dbraw/zinc/35/91/91/815359191.db2.gz HYAYJRAJNVJYHQ-TZMCWYRMSA-N 0 1 277.368 0.685 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)COc1cccnc1 ZINC001267278529 811085583 /nfs/dbraw/zinc/08/55/83/811085583.db2.gz LXOIRJJAZMLTTH-ZDUSSCGKSA-N 0 1 273.336 0.674 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)C(C)(C)CNC(C)=O)C1 ZINC001267304762 811125614 /nfs/dbraw/zinc/12/56/14/811125614.db2.gz LSEGWDUFMGQHML-CYBMUJFWSA-N 0 1 279.384 0.220 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H]1CCCC(=O)N1C)C1CC1 ZINC001267337141 811173227 /nfs/dbraw/zinc/17/32/27/811173227.db2.gz IQQSUMCSSJXOFO-ZDUSSCGKSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN(CCNC(=O)[C@H]1CCCC(=O)N1C)C1CC1 ZINC001267337140 811173639 /nfs/dbraw/zinc/17/36/39/811173639.db2.gz IQQSUMCSSJXOFO-CYBMUJFWSA-N 0 1 277.368 0.211 20 30 CCEDMN C[C@H](CNc1nccnc1C#N)N(C)C(=O)Cc1ccn[nH]1 ZINC001104499396 811178299 /nfs/dbraw/zinc/17/82/99/811178299.db2.gz GHXVHWMMWUJTOA-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@H](C)CNc2ccc(C#N)nn2)[nH]1 ZINC001104501322 811187535 /nfs/dbraw/zinc/18/75/35/811187535.db2.gz RFJZNAOIEXAXOJ-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@H](C)CNc2cnc(C#N)cn2)[nH]1 ZINC001104501246 811187578 /nfs/dbraw/zinc/18/75/78/811187578.db2.gz PHXOQFDUEMPXCR-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc(C)c2F)C1 ZINC001077040305 815435935 /nfs/dbraw/zinc/43/59/35/815435935.db2.gz NQQWSCOYPSEEGU-ZIAGYGMSSA-N 0 1 290.338 0.932 20 30 CCEDMN C=CCCOCC(=O)NCC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001077066784 815441636 /nfs/dbraw/zinc/44/16/36/815441636.db2.gz XSEBNZDEQJXVEK-JTQLQIEISA-N 0 1 295.343 0.022 20 30 CCEDMN C=C(C)CCC(=O)NCC[C@H]1CCN(CC(N)=O)C1 ZINC001267535681 811414776 /nfs/dbraw/zinc/41/47/76/811414776.db2.gz CVPZUTHPYUPPTM-LBPRGKRZSA-N 0 1 267.373 0.656 20 30 CCEDMN C=CCN1CC[C@H](CCNC(=O)[C@@H](C)S(C)(=O)=O)C1 ZINC001267558334 811441397 /nfs/dbraw/zinc/44/13/97/811441397.db2.gz WTYCZCIQOJIGEJ-NEPJUHHUSA-N 0 1 288.413 0.434 20 30 CCEDMN CC[C@H](F)C(=O)NCC[C@H]1CCN(CC(=O)NCC#N)C1 ZINC001267563368 811449605 /nfs/dbraw/zinc/44/96/05/811449605.db2.gz XHDFOBXVHCVWKJ-RYUDHWBXSA-N 0 1 298.362 0.202 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2cnccc2C)C1 ZINC001077159314 815453656 /nfs/dbraw/zinc/45/36/56/815453656.db2.gz YYIXDTDLAAQPCU-HUUCEWRRSA-N 0 1 289.379 0.670 20 30 CCEDMN C#CCC[N@H+](C)C[C@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001267637239 811596372 /nfs/dbraw/zinc/59/63/72/811596372.db2.gz DHLQILMKQNMBIU-GFCCVEGCSA-N 0 1 290.367 0.841 20 30 CCEDMN C#CCC[N@@H+](C)C[C@H]1CCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001267637239 811596377 /nfs/dbraw/zinc/59/63/77/811596377.db2.gz DHLQILMKQNMBIU-GFCCVEGCSA-N 0 1 290.367 0.841 20 30 CCEDMN C#CCC[N@H+](C)C[C@H]1CCN(C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001267637239 811596380 /nfs/dbraw/zinc/59/63/80/811596380.db2.gz DHLQILMKQNMBIU-GFCCVEGCSA-N 0 1 290.367 0.841 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@@H](C)NC(N)=O)C1 ZINC001267646338 811612500 /nfs/dbraw/zinc/61/25/00/811612500.db2.gz ANCQATBJPRTGFX-SNVBAGLBSA-N 0 1 268.361 0.200 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CN(CCOC[C@@H]2CCCO2)C1 ZINC001267678230 811643817 /nfs/dbraw/zinc/64/38/17/811643817.db2.gz CEUBTHCXCHNRMW-JSGCOSHPSA-N 0 1 295.383 0.390 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC1CN(CCOCC)C1 ZINC001267679875 811644903 /nfs/dbraw/zinc/64/49/03/811644903.db2.gz CRCHQUUHCBMTGM-AWEZNQCLSA-N 0 1 270.373 0.398 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)C2CCC2)C1 ZINC001077212998 815462971 /nfs/dbraw/zinc/46/29/71/815462971.db2.gz DAPCYPNFIZKEJU-MRVWCRGKSA-N 0 1 264.369 0.607 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C2CCC2)C1 ZINC001077212998 815462975 /nfs/dbraw/zinc/46/29/75/815462975.db2.gz DAPCYPNFIZKEJU-MRVWCRGKSA-N 0 1 264.369 0.607 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc3c[nH]ccc-3n2)[C@@H](O)C1 ZINC001083570747 815470538 /nfs/dbraw/zinc/47/05/38/815470538.db2.gz MUZDDGUCDSJFTK-CABCVRRESA-N 0 1 298.346 0.361 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nn1)N(C)C(=O)Cc1c[nH]cn1 ZINC001104825829 811734320 /nfs/dbraw/zinc/73/43/20/811734320.db2.gz OCKSZICEZYORNC-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CCC[C@@H]1CNCc1cnon1 ZINC001272260354 815472868 /nfs/dbraw/zinc/47/28/68/815472868.db2.gz GKQAESPXOLAMEI-TZMCWYRMSA-N 0 1 288.351 0.858 20 30 CCEDMN C[C@@H](CNCC#N)CNC(=O)c1cn[nH]c1-c1ccccn1 ZINC001267989993 811929842 /nfs/dbraw/zinc/92/98/42/811929842.db2.gz PPBPOQWJJIQWEG-NSHDSACASA-N 0 1 298.350 0.951 20 30 CCEDMN N#CCN1CC[C@]2(CCN(C(=O)CCc3nc[nH]n3)C2)C1 ZINC001041181961 811996253 /nfs/dbraw/zinc/99/62/53/811996253.db2.gz PRCLTIZMTAZNFY-AWEZNQCLSA-N 0 1 288.355 0.185 20 30 CCEDMN CNC(=O)CC(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001126161294 812042377 /nfs/dbraw/zinc/04/23/77/812042377.db2.gz GUNIOPQSPXOBIS-UHFFFAOYSA-N 0 1 291.326 0.019 20 30 CCEDMN C=C(C)C[N@@H+]1CCC[C@@H]1CNC(=O)c1ncn(C)n1 ZINC001027866358 812104603 /nfs/dbraw/zinc/10/46/03/812104603.db2.gz YAZFNKBAVZWLJK-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1cnnn1CC ZINC001027871615 812108856 /nfs/dbraw/zinc/10/88/56/812108856.db2.gz ODWJUWDXVFQPQN-LBPRGKRZSA-N 0 1 275.356 0.516 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnn2ncccc12 ZINC001027935316 812150937 /nfs/dbraw/zinc/15/09/37/812150937.db2.gz JGPYGXPPZJYVRH-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001027954746 812165530 /nfs/dbraw/zinc/16/55/30/812165530.db2.gz VUPZUQASOSQCGL-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cn(C)nc1COC ZINC001027978484 812190134 /nfs/dbraw/zinc/19/01/34/812190134.db2.gz NCGHDXRRVOLDAU-LBPRGKRZSA-N 0 1 292.383 0.947 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cncn1C ZINC001028002296 812206635 /nfs/dbraw/zinc/20/66/35/812206635.db2.gz VRHSOIGBIKOYMK-GFCCVEGCSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(OC)nc1 ZINC001028011874 812212877 /nfs/dbraw/zinc/21/28/77/812212877.db2.gz ASVSFIOKRMLDFZ-CYBMUJFWSA-N 0 1 273.336 0.918 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccc3cncn3c2C)[C@@H](O)C1 ZINC001083591304 815516806 /nfs/dbraw/zinc/51/68/06/815516806.db2.gz WTZIQTRGAUUGNS-CABCVRRESA-N 0 1 298.346 0.051 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCc3nc[nH]c3C1)C2 ZINC001098172168 815517438 /nfs/dbraw/zinc/51/74/38/815517438.db2.gz OYKPTYUIYXVUBE-BHTHQVBYSA-N 0 1 298.390 0.869 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccnn3C)[C@@H]2C1 ZINC001075607582 812341362 /nfs/dbraw/zinc/34/13/62/812341362.db2.gz HWFDQGTWHSOJFY-WCQYABFASA-N 0 1 258.325 0.200 20 30 CCEDMN C#CCCCC(=O)NCCNCc1n[nH]c(C(C)C)n1 ZINC001126331547 812344886 /nfs/dbraw/zinc/34/48/86/812344886.db2.gz BERHQRQNJKXGIK-UHFFFAOYSA-N 0 1 277.372 0.937 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2CCCO)nc1 ZINC001038934066 812368237 /nfs/dbraw/zinc/36/82/37/812368237.db2.gz ZXPJLYZOQCVBFU-CYBMUJFWSA-N 0 1 273.336 0.249 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1nc2ncccn2n1 ZINC001028210058 812374529 /nfs/dbraw/zinc/37/45/29/812374529.db2.gz KWPDYWNCHKHCMN-GFCCVEGCSA-N 0 1 298.350 0.342 20 30 CCEDMN CN(CC#N)CCN(C)C(=O)c1n[nH]cc1C(F)(F)F ZINC001268238560 812404976 /nfs/dbraw/zinc/40/49/76/812404976.db2.gz HZGYGGWSJCQRQP-UHFFFAOYSA-N 0 1 289.261 0.956 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cc2n(n1)CCCO2 ZINC001268242238 812408803 /nfs/dbraw/zinc/40/88/03/812408803.db2.gz LYCFKTXXDRMWRN-UHFFFAOYSA-N 0 1 290.367 0.693 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1csc(=O)[nH]1 ZINC001268244569 812410086 /nfs/dbraw/zinc/41/00/86/812410086.db2.gz DVXPKYJPJMBWFA-UHFFFAOYSA-N 0 1 253.327 0.486 20 30 CCEDMN C[C@H]1C[C@H](CN2CC(NC(=O)CSCC#N)C2)CCO1 ZINC001268245254 812410979 /nfs/dbraw/zinc/41/09/79/812410979.db2.gz DRSNJIIQXFKBIM-NWDGAFQWSA-N 0 1 297.424 0.859 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCN[C@@H](C)c1nnnn1C ZINC001268347112 812510097 /nfs/dbraw/zinc/51/00/97/812510097.db2.gz BAFCWJYEUHMVOD-QWRGUYRKSA-N 0 1 280.376 0.722 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)C1CCN(C(C)=O)CC1 ZINC001028306496 812609254 /nfs/dbraw/zinc/60/92/54/812609254.db2.gz IGULZTKHIPHYGH-OAHLLOKOSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001028333487 812623492 /nfs/dbraw/zinc/62/34/92/812623492.db2.gz MGZHLMCZXCMWKR-CHWSQXEVSA-N 0 1 287.367 0.054 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cc(OC)ns1 ZINC001126365381 812676521 /nfs/dbraw/zinc/67/65/21/812676521.db2.gz IYYATODFJKJKSK-ZDUSSCGKSA-N 0 1 299.396 0.685 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001268496022 812706666 /nfs/dbraw/zinc/70/66/66/812706666.db2.gz MGAPEBQWCUIHAP-AAEUAGOBSA-N 0 1 294.399 0.685 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)[C@@H]1CCCCO1 ZINC001268529109 812770661 /nfs/dbraw/zinc/77/06/61/812770661.db2.gz PJRZXEWNNZIAKE-IHVVCDCBSA-N 0 1 295.383 0.120 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001028499544 812779034 /nfs/dbraw/zinc/77/90/34/812779034.db2.gz JAAHMMHHPFMGJQ-LBPRGKRZSA-N 0 1 273.336 0.862 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001028499544 812779037 /nfs/dbraw/zinc/77/90/37/812779037.db2.gz JAAHMMHHPFMGJQ-LBPRGKRZSA-N 0 1 273.336 0.862 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](Nc2cnc(C#N)cn2)C1 ZINC001059025447 812849915 /nfs/dbraw/zinc/84/99/15/812849915.db2.gz NKYSUHDVPWJPPR-VIFPVBQESA-N 0 1 298.310 0.101 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)c2ccnc(F)c2)[C@@H](O)C1 ZINC001083364111 812911463 /nfs/dbraw/zinc/91/14/63/812911463.db2.gz XLTBWLJHNPKAEA-NEPJUHHUSA-N 0 1 277.299 0.019 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccnc(F)c2)[C@@H](O)C1 ZINC001083364111 812911465 /nfs/dbraw/zinc/91/14/65/812911465.db2.gz XLTBWLJHNPKAEA-NEPJUHHUSA-N 0 1 277.299 0.019 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)[C@@H](O)C1 ZINC001083393674 812935095 /nfs/dbraw/zinc/93/50/95/812935095.db2.gz CQDRBTGTXRFQFA-BJJPWKGXSA-N 0 1 262.353 0.217 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CNCc1cnc(C)cn1 ZINC001268643850 812966922 /nfs/dbraw/zinc/96/69/22/812966922.db2.gz DVFFBMJSESPOSB-IUODEOHRSA-N 0 1 292.383 0.706 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)[C@H](O)C(C)C ZINC001268728544 813047023 /nfs/dbraw/zinc/04/70/23/813047023.db2.gz FJHSRJSWWMTPNC-QWHCGFSZSA-N 0 1 270.373 0.090 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)Cc1nnn(C(C)(C)C)n1 ZINC001268731877 813046014 /nfs/dbraw/zinc/04/60/14/813046014.db2.gz RXBKBEVLOSFETK-LLVKDONJSA-N 0 1 292.387 0.040 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnn(CCC)c1 ZINC001268748792 813061199 /nfs/dbraw/zinc/06/11/99/813061199.db2.gz LDYCXHUVHIPCLY-LBPRGKRZSA-N 0 1 262.357 0.976 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)COCc1nc(CC)no1 ZINC001268753032 813066513 /nfs/dbraw/zinc/06/65/13/813066513.db2.gz NBTMJQUUTIUWLS-NSHDSACASA-N 0 1 294.355 0.218 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCCN1C(=O)CCC ZINC001268759871 813071857 /nfs/dbraw/zinc/07/18/57/813071857.db2.gz POTBPAADKPWSJX-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN CCC#CC(=O)N1CC2(C[C@H]2C(=O)NCc2cnc[nH]2)C1 ZINC001268873846 813125661 /nfs/dbraw/zinc/12/56/61/813125661.db2.gz UKRSPIFQDRPLJV-LBPRGKRZSA-N 0 1 286.335 0.288 20 30 CCEDMN C=CCN1CC(CNC(=O)C2(NC(C)=O)CCCC2)C1 ZINC001268888587 813133598 /nfs/dbraw/zinc/13/35/98/813133598.db2.gz VRFDICXVTARDRO-UHFFFAOYSA-N 0 1 279.384 0.669 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)CCS)C2 ZINC001268891191 813134458 /nfs/dbraw/zinc/13/44/58/813134458.db2.gz BADDNPIQOYXTTN-UHFFFAOYSA-N 0 1 268.342 0.274 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CCn1ccccc1=O ZINC001269239685 813284968 /nfs/dbraw/zinc/28/49/68/813284968.db2.gz CZMKVHBKUQLERU-AWEZNQCLSA-N 0 1 287.363 0.452 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)[C@@H]1CCCC[N@@H+]1C ZINC001269273112 813301349 /nfs/dbraw/zinc/30/13/49/813301349.db2.gz RJSGHQZZQMNHSY-GJZGRUSLSA-N 0 1 295.427 0.864 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001269273437 813302237 /nfs/dbraw/zinc/30/22/37/813302237.db2.gz XRWACYBLGIWVQW-LLVKDONJSA-N 0 1 294.355 0.132 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)CNC(=O)CC)C1 ZINC001269313085 813322075 /nfs/dbraw/zinc/32/20/75/813322075.db2.gz JBTUYNLIJNSUCM-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCOCCC(=O)N1Cc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001269322646 813327010 /nfs/dbraw/zinc/32/70/10/813327010.db2.gz SCPFEQJVYCSIJO-UHFFFAOYSA-N 0 1 292.339 0.546 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H](COC)OC)C1 ZINC001269345063 813334287 /nfs/dbraw/zinc/33/42/87/813334287.db2.gz JDLYXTGYCTUFEE-KGLIPLIRSA-N 0 1 282.384 0.594 20 30 CCEDMN CCN(C(=O)CCc1c[nH]nn1)[C@@H]1CCN(CC#N)C1 ZINC001269345664 813335217 /nfs/dbraw/zinc/33/52/17/813335217.db2.gz TXIWGIIKTCLIFU-GFCCVEGCSA-N 0 1 276.344 0.184 20 30 CCEDMN CCN(C(=O)CCc1cnn[nH]1)[C@@H]1CCN(CC#N)C1 ZINC001269345664 813335225 /nfs/dbraw/zinc/33/52/25/813335225.db2.gz TXIWGIIKTCLIFU-GFCCVEGCSA-N 0 1 276.344 0.184 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](CC)NCc1cnnn1C ZINC001269396450 813355608 /nfs/dbraw/zinc/35/56/08/813355608.db2.gz FDFMVBPFTWNSOU-RISCZKNCSA-N 0 1 295.387 0.127 20 30 CCEDMN C#CCCCCC(=O)NCC1(N[C@H](C)C(=O)NC)CC1 ZINC001269401427 813357359 /nfs/dbraw/zinc/35/73/59/813357359.db2.gz CSQMMRQKCYKZKQ-GFCCVEGCSA-N 0 1 279.384 0.553 20 30 CCEDMN C#CCCCC(=O)NCC1(NCC(=O)NCC)CC1 ZINC001269403637 813357555 /nfs/dbraw/zinc/35/75/55/813357555.db2.gz XOFBERXABSXVLD-UHFFFAOYSA-N 0 1 265.357 0.164 20 30 CCEDMN N#CCNC1(CNC(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC001269423210 813365355 /nfs/dbraw/zinc/36/53/55/813365355.db2.gz RDMVEPCMDNCTNZ-UHFFFAOYSA-N 0 1 296.334 0.847 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](CNC(=O)c2[n-]nnc2C)C1 ZINC001028731722 813397085 /nfs/dbraw/zinc/39/70/85/813397085.db2.gz MZPVASDTMSVEOB-LLVKDONJSA-N 0 1 261.329 0.188 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2[n-]nnc2C)C1 ZINC001028731722 813397093 /nfs/dbraw/zinc/39/70/93/813397093.db2.gz MZPVASDTMSVEOB-LLVKDONJSA-N 0 1 261.329 0.188 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(=O)CC)CC1 ZINC001225720602 813472849 /nfs/dbraw/zinc/47/28/49/813472849.db2.gz IJRLEXGMFCVEMX-LLVKDONJSA-N 0 1 267.373 0.668 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(C(=O)[C@H](C)N(C)C)CC2 ZINC001269796917 813510187 /nfs/dbraw/zinc/51/01/87/813510187.db2.gz NAZRDYAAPAQOMI-STQMWFEESA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccnn3CC)[C@@H]2C1 ZINC001075724498 813606126 /nfs/dbraw/zinc/60/61/26/813606126.db2.gz MURAPTPBMNVSOC-GXTWGEPZSA-N 0 1 272.352 0.683 20 30 CCEDMN N#CCN1CCC(CCNC(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001270111275 813639771 /nfs/dbraw/zinc/63/97/71/813639771.db2.gz LGXVMXIOPVTVEX-VXGBXAGGSA-N 0 1 280.328 0.393 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H](C)n2cncn2)CC1 ZINC001270225048 813686613 /nfs/dbraw/zinc/68/66/13/813686613.db2.gz BEOHHKFNIXLSQO-LBPRGKRZSA-N 0 1 275.356 0.443 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)CN2CCCNC2=O)CC1 ZINC001270237936 813691384 /nfs/dbraw/zinc/69/13/84/813691384.db2.gz ZPDZTXIHQBFPQR-UHFFFAOYSA-N 0 1 292.383 0.006 20 30 CCEDMN C=CCOCC(=O)N[C@]1(C)CCN([C@H]2CCCNC2=O)C1 ZINC001270556159 813812295 /nfs/dbraw/zinc/81/22/95/813812295.db2.gz AZNLMEQGMAETMN-SWLSCSKDSA-N 0 1 295.383 0.048 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C1CCC(C(N)=O)CC1 ZINC001038438039 814020900 /nfs/dbraw/zinc/02/09/00/814020900.db2.gz XQSKBENAKKWCGB-JXQTWKCFSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)COCCOC)C1 ZINC001270949480 814095522 /nfs/dbraw/zinc/09/55/22/814095522.db2.gz FVBMXJYDASVCNL-HNNXBMFYSA-N 0 1 282.384 0.501 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001270957861 814100402 /nfs/dbraw/zinc/10/04/02/814100402.db2.gz BPNCVWOWVNZDDY-IMJJTQAJSA-N 0 1 276.380 0.874 20 30 CCEDMN CC#CC(=O)N1CC[C@H]2C[C@]21C(=O)NCc1cnc[nH]1 ZINC001271095823 814149953 /nfs/dbraw/zinc/14/99/53/814149953.db2.gz IBTXLESLQDQASH-IINYFYTJSA-N 0 1 272.308 0.040 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(CC)n2)[C@H]1CC ZINC001087564053 814165746 /nfs/dbraw/zinc/16/57/46/814165746.db2.gz FEJGAUQATWNLSX-WCQYABFASA-N 0 1 275.356 0.514 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCN(C)C2=O)[C@H]1CC ZINC001087612721 814175914 /nfs/dbraw/zinc/17/59/14/814175914.db2.gz FBDZPQUNBITBCU-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCCC(=O)N2)[C@H]1CC ZINC001087721290 814197216 /nfs/dbraw/zinc/19/72/16/814197216.db2.gz JPRFYVWNXFTTIX-BFHYXJOUSA-N 0 1 291.395 0.648 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)[C@H]1CC ZINC001087729764 814199481 /nfs/dbraw/zinc/19/94/81/814199481.db2.gz VYKJQZCNVFNKAX-ZOBORPQBSA-N 0 1 291.395 0.490 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@H]2CC2(C)C)C1 ZINC001271139698 814201037 /nfs/dbraw/zinc/20/10/37/814201037.db2.gz YRZFPGSUQXVLLY-DOMZBBRYSA-N 0 1 264.369 0.609 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnon2)[C@H]1CC ZINC001087768921 814208486 /nfs/dbraw/zinc/20/84/86/814208486.db2.gz AFKWQJTVWWUESC-GXSJLCMTSA-N 0 1 250.302 0.838 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CCN(CC(=O)N(C)C)C[C@H]2C1 ZINC001087911401 814267465 /nfs/dbraw/zinc/26/74/65/814267465.db2.gz UFKITOBCBPHKMB-XQQFMLRXSA-N 0 1 292.383 0.015 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@H]3CC[C@@H](C2)N3C[C@@H](C)O)c1 ZINC001029466421 814277639 /nfs/dbraw/zinc/27/76/39/814277639.db2.gz AOLKHPMBTWPWNI-WQVCFCJDSA-N 0 1 299.374 0.733 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)n1 ZINC001059458275 814318453 /nfs/dbraw/zinc/31/84/53/814318453.db2.gz DVXSMBKSYVDVHN-XYPYZODXSA-N 0 1 297.322 0.753 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001271191692 814328497 /nfs/dbraw/zinc/32/84/97/814328497.db2.gz GSBIGTMYFYEJKK-IIAWOOMASA-N 0 1 278.396 0.855 20 30 CCEDMN C=CCCC(=O)NCC1(O)CN([C@H](C)c2n[nH]c(C)n2)C1 ZINC001271253301 814352003 /nfs/dbraw/zinc/35/20/03/814352003.db2.gz BEQZMCSCENFKQM-SNVBAGLBSA-N 0 1 293.371 0.303 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3c(C)cnn3C)C[C@@H]2C1 ZINC001088072488 814369471 /nfs/dbraw/zinc/36/94/71/814369471.db2.gz HJWZSSWBRGPMMQ-KGLIPLIRSA-N 0 1 286.379 0.756 20 30 CCEDMN CCCN1C[C@@H](NC(=O)CSCC#N)[C@H](OC)C1 ZINC001211891772 814406905 /nfs/dbraw/zinc/40/69/05/814406905.db2.gz SFQRTQJQRVVQRO-GHMZBOCLSA-N 0 1 271.386 0.469 20 30 CCEDMN C#CCN1CC[C@H](OCC2CCN(C(=O)COC)CC2)C1 ZINC001088225648 814449106 /nfs/dbraw/zinc/44/91/06/814449106.db2.gz SEWGJVJMTBJKIZ-HNNXBMFYSA-N 0 1 294.395 0.596 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CN(C)Cc2ccnn2C)C1 ZINC001029679254 814536988 /nfs/dbraw/zinc/53/69/88/814536988.db2.gz YNZIRAIYJAZLNP-CHWSQXEVSA-N 0 1 289.383 0.860 20 30 CCEDMN C=C(C)C(=O)OCCNc1ncc2c(n1)CNCC2 ZINC001168069935 814537750 /nfs/dbraw/zinc/53/77/50/814537750.db2.gz AEBHZUPDFMJTMY-UHFFFAOYSA-N 0 1 262.313 0.654 20 30 CCEDMN C#CCCCCCC(=O)N1C[C@H]2CN(CC(N)=O)C[C@H]2C1 ZINC001271873703 814617895 /nfs/dbraw/zinc/61/78/95/814617895.db2.gz MJZAERWGVQNBQU-OKILXGFUSA-N 0 1 291.395 0.446 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)Cn2cccn2)C1 ZINC001271939819 814636429 /nfs/dbraw/zinc/63/64/29/814636429.db2.gz GHWYWCXCWDEQJZ-CQSZACIVSA-N 0 1 278.356 0.012 20 30 CCEDMN C=CCOCCN1CCC[C@](CO)(NC(=O)[C@H](C)C#N)C1 ZINC001271951514 814648599 /nfs/dbraw/zinc/64/85/99/814648599.db2.gz WSJRIRNRJRQDTB-HIFRSBDPSA-N 0 1 295.383 0.292 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)CCCF)C1 ZINC001271973561 814659378 /nfs/dbraw/zinc/65/93/78/814659378.db2.gz LHTUQRVWLJQVBU-ZDUSSCGKSA-N 0 1 258.337 0.865 20 30 CCEDMN C#CCOCCC(=O)NCCN[C@@H](C)c1cnc(C)cn1 ZINC001127032032 815582323 /nfs/dbraw/zinc/58/23/23/815582323.db2.gz OYWIUQJXMCEBCY-ZDUSSCGKSA-N 0 1 290.367 0.592 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1oc(CC)nc1C ZINC001127032234 815583063 /nfs/dbraw/zinc/58/30/63/815583063.db2.gz WCUSSZNWYYEXRQ-UHFFFAOYSA-N 0 1 293.367 0.791 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)n2cccn2)[C@H]1C ZINC001088476454 814726906 /nfs/dbraw/zinc/72/69/06/814726906.db2.gz PQUHHIAGEFBBQI-UPJWGTAASA-N 0 1 260.341 0.656 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2cc(C)cn2)[C@H]1C ZINC001088485639 814738043 /nfs/dbraw/zinc/73/80/43/814738043.db2.gz VCOMSHRUKUTPFR-KGLIPLIRSA-N 0 1 274.368 0.794 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCOC2)[C@H]1C ZINC001088501416 814748412 /nfs/dbraw/zinc/74/84/12/814748412.db2.gz VMXJTAYSWRZYRT-AGIUHOORSA-N 0 1 250.342 0.625 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cc(C)[nH]n2)[C@H]1C ZINC001088518228 814848218 /nfs/dbraw/zinc/84/82/18/814848218.db2.gz UTZFUYCMQRBYGR-OCCSQVGLSA-N 0 1 274.368 0.863 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cc(C)n[nH]2)[C@H]1C ZINC001088518228 814848220 /nfs/dbraw/zinc/84/82/20/814848220.db2.gz UTZFUYCMQRBYGR-OCCSQVGLSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCCO2)[C@H]1C ZINC001088525969 814853285 /nfs/dbraw/zinc/85/32/85/814853285.db2.gz WGEIWJLKQITYEH-AGIUHOORSA-N 0 1 250.342 0.768 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)OCC)[C@H](OC)C1 ZINC001211913770 814881926 /nfs/dbraw/zinc/88/19/26/814881926.db2.gz AXSAELHAGXRJAS-JHJVBQTASA-N 0 1 270.373 0.803 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccn(C)n2)[C@H]1C ZINC001088561527 814903613 /nfs/dbraw/zinc/90/36/13/814903613.db2.gz WPLQCMGLEFVOLN-OCCSQVGLSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC1CN(CC2CCC2)C1 ZINC001030463514 816048447 /nfs/dbraw/zinc/04/84/47/816048447.db2.gz PDFNUIIOGXWXJS-HNNXBMFYSA-N 0 1 275.396 0.685 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cnco2)C1 ZINC001077474007 816096409 /nfs/dbraw/zinc/09/64/09/816096409.db2.gz UULBMBJODQEDGH-NXEZZACHSA-N 0 1 251.286 0.026 20 30 CCEDMN C#CCCN1CC(NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001030599404 816136449 /nfs/dbraw/zinc/13/64/49/816136449.db2.gz FQUYSEXMOCOCLP-UHFFFAOYSA-N 0 1 258.325 0.336 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2ncn[nH]2)[C@H]1C ZINC001088929185 816177567 /nfs/dbraw/zinc/17/75/67/816177567.db2.gz NKWRXCJGRLKVDF-BDAKNGLRSA-N 0 1 269.736 0.750 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2nc[nH]n2)[C@H]1C ZINC001088929185 816177569 /nfs/dbraw/zinc/17/75/69/816177569.db2.gz NKWRXCJGRLKVDF-BDAKNGLRSA-N 0 1 269.736 0.750 20 30 CCEDMN COc1ccnc(C(=N)Nc2cc(Cl)cc[n+]2[O-])n1 ZINC001168421922 816192846 /nfs/dbraw/zinc/19/28/46/816192846.db2.gz YXAODEBNJIOORN-UHFFFAOYSA-N 0 1 279.687 0.809 20 30 CCEDMN C#CC[NH+]1CCC(C(=O)N[C@H]2CC[N@H+](CCF)[C@@H]2C)CC1 ZINC001089059422 816228328 /nfs/dbraw/zinc/22/83/28/816228328.db2.gz LMIJHSHAHJTSQC-HIFRSBDPSA-N 0 1 295.402 0.880 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)[C@H]1C ZINC001089062323 816229811 /nfs/dbraw/zinc/22/98/11/816229811.db2.gz GGQYUCHRDYFQSL-AGIUHOORSA-N 0 1 277.368 0.067 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccncc1 ZINC001272352467 816353759 /nfs/dbraw/zinc/35/37/59/816353759.db2.gz UZVGMBBJGUSTJN-NSHDSACASA-N 0 1 269.732 0.514 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C(C)(C)F ZINC001272353517 816355783 /nfs/dbraw/zinc/35/57/83/816355783.db2.gz ZLIPLZWSUWZEAK-QMMMGPOBSA-N 0 1 252.717 0.554 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](CCNCC#N)C2)n[nH]1 ZINC001272368283 816367522 /nfs/dbraw/zinc/36/75/22/816367522.db2.gz QOHAMSBZXHVWKN-NSHDSACASA-N 0 1 261.329 0.684 20 30 CCEDMN C#CCN1C(=O)COCC12CN(C[C@H](C)CC)C2 ZINC001272415663 816405376 /nfs/dbraw/zinc/40/53/76/816405376.db2.gz QBTRSXBRIVUVEN-GFCCVEGCSA-N 0 1 250.342 0.579 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)C2=NC(=O)N(C)C2)C1 ZINC001030896086 816406101 /nfs/dbraw/zinc/40/61/01/816406101.db2.gz PLBPQOUBYPWSQE-UHFFFAOYSA-N 0 1 270.720 0.292 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CN2CCCC2=O)c1 ZINC000176399392 816469300 /nfs/dbraw/zinc/46/93/00/816469300.db2.gz PTSROKCCMXXSCQ-UHFFFAOYSA-N 0 1 259.265 0.825 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@H]1CC[N@H+]1CCOCC=C ZINC001234245859 816567127 /nfs/dbraw/zinc/56/71/27/816567127.db2.gz GSEZKKBBBSMKAN-OAHLLOKOSA-N 0 1 294.395 0.762 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@H]1CCN1CCOCC=C ZINC001234245859 816567133 /nfs/dbraw/zinc/56/71/33/816567133.db2.gz GSEZKKBBBSMKAN-OAHLLOKOSA-N 0 1 294.395 0.762 20 30 CCEDMN N#Cc1ccccc1CN1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001031017088 816568360 /nfs/dbraw/zinc/56/83/60/816568360.db2.gz KUTWOIOYBWNTCP-UHFFFAOYSA-N 0 1 295.346 0.824 20 30 CCEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1c[nH]nn1 ZINC001272569842 816590287 /nfs/dbraw/zinc/59/02/87/816590287.db2.gz NXPUJPUGRCCSHB-AWEZNQCLSA-N 0 1 275.356 0.948 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2c(C)cnn2C)C1 ZINC001046552300 816631086 /nfs/dbraw/zinc/63/10/86/816631086.db2.gz AIRWGPBDRLMWNC-CQSZACIVSA-N 0 1 260.341 0.556 20 30 CCEDMN N#Cc1ccc(CN2CC(NC(=O)c3nc[nH]n3)C2)cc1 ZINC001031123470 816668981 /nfs/dbraw/zinc/66/89/81/816668981.db2.gz ULGFUPGGFSKFDB-UHFFFAOYSA-N 0 1 282.307 0.291 20 30 CCEDMN N#Cc1ccc(CN2CC(NC(=O)c3ncn[nH]3)C2)cc1 ZINC001031123470 816668983 /nfs/dbraw/zinc/66/89/83/816668983.db2.gz ULGFUPGGFSKFDB-UHFFFAOYSA-N 0 1 282.307 0.291 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2ncc[nH]2)[C@H](OC)C1 ZINC001212201176 816722529 /nfs/dbraw/zinc/72/25/29/816722529.db2.gz TYYJLRXYDQVGHR-CHWSQXEVSA-N 0 1 290.367 0.181 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2cnc(C)n2C)[C@@H](O)C1 ZINC001083727787 816726874 /nfs/dbraw/zinc/72/68/74/816726874.db2.gz REUXTLNSYVISCF-YPMHNXCESA-N 0 1 278.356 0.080 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](COC)OC ZINC001099220228 816746169 /nfs/dbraw/zinc/74/61/69/816746169.db2.gz JUGAAUVKPCHOTH-UONOGXRCSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](C)OC)C[C@H]1C(F)(F)F ZINC001099222012 816752543 /nfs/dbraw/zinc/75/25/43/816752543.db2.gz YHGVQTSSZRMTMP-IVZWLZJFSA-N 0 1 278.274 0.633 20 30 CCEDMN CC#CCN1CC(NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001031185856 816761046 /nfs/dbraw/zinc/76/10/46/816761046.db2.gz WFIVVDULLXMEID-NSHDSACASA-N 0 1 286.379 0.972 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2nn(CC)nc2C)C1 ZINC001046873727 816942333 /nfs/dbraw/zinc/94/23/33/816942333.db2.gz KNGPKVKYZOMGNQ-AWEZNQCLSA-N 0 1 277.372 0.987 20 30 CCEDMN CCN(CCNc1ccc(C#N)cn1)C(=O)Cc1ncn[nH]1 ZINC001106838207 816945438 /nfs/dbraw/zinc/94/54/38/816945438.db2.gz BNZNQAMMWXGDEE-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001077543687 816953373 /nfs/dbraw/zinc/95/33/73/816953373.db2.gz DQMVLCZIKCEWMH-MBJXGIAVSA-N 0 1 262.353 0.217 20 30 CCEDMN CCc1nc([C@@H](C)NCCNC(=O)CSCC#N)n[nH]1 ZINC001123792418 817068401 /nfs/dbraw/zinc/06/84/01/817068401.db2.gz WSEHVBMVJFHLHW-SECBINFHSA-N 0 1 296.400 0.391 20 30 CCEDMN CO[C@@H]1CN(CCOC(C)C)C[C@H]1NC(=O)C#CC(C)C ZINC001212240951 817168088 /nfs/dbraw/zinc/16/80/88/817168088.db2.gz GNVNGTUSBRJFRK-HUUCEWRRSA-N 0 1 296.411 0.886 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CN(CCCF)C[C@H]1OC ZINC001212257506 817258481 /nfs/dbraw/zinc/25/84/81/817258481.db2.gz DJCVIZJDEPBKNE-JHJVBQTASA-N 0 1 286.347 0.200 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(C)nc2C2CC2)C1 ZINC001031702132 817260834 /nfs/dbraw/zinc/26/08/34/817260834.db2.gz QCZAUGDDOSCYLY-UHFFFAOYSA-N 0 1 286.379 0.982 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC2CC(C)(C)C2)C1 ZINC001077559584 817268070 /nfs/dbraw/zinc/26/80/70/817268070.db2.gz CVMKOLRZIUQVKV-ZIAGYGMSSA-N 0 1 278.396 0.997 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1cnns1 ZINC001124164255 817322800 /nfs/dbraw/zinc/32/28/00/817322800.db2.gz VJAXBAYRMCOTMA-UHFFFAOYSA-N 0 1 287.348 0.929 20 30 CCEDMN C#CCN1CC(CNC(=O)[C@H]2CCc3nc(C)[nH]c3C2)C1 ZINC001031787470 817368405 /nfs/dbraw/zinc/36/84/05/817368405.db2.gz CWDCDKJBXKZJMV-ZDUSSCGKSA-N 0 1 286.379 0.504 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CC(=O)N[C@@H](C)C2)C1 ZINC001031803167 817377873 /nfs/dbraw/zinc/37/78/73/817377873.db2.gz XUMRTXCWVAJLHP-JQWIXIFHSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2CCOC2)C1 ZINC001047331871 817400357 /nfs/dbraw/zinc/40/03/57/817400357.db2.gz LQMIDORWUHZZCF-AVGNSLFASA-N 0 1 268.357 0.103 20 30 CCEDMN C=CCN1C(=O)COCC12CN(C[C@H]1C[C@H]1C)C2 ZINC001272693366 817402235 /nfs/dbraw/zinc/40/22/35/817402235.db2.gz VWVLOKIULPFSSC-VXGBXAGGSA-N 0 1 250.342 0.742 20 30 CCEDMN C=CCCC(=O)NCCNCC(=O)Nc1cc(C)no1 ZINC001124631821 817529804 /nfs/dbraw/zinc/52/98/04/817529804.db2.gz SFDSVVPXVSZQSI-UHFFFAOYSA-N 0 1 280.328 0.594 20 30 CCEDMN C=CCOCC(=O)NCCNCC#Cc1ccccc1 ZINC001124765394 817568220 /nfs/dbraw/zinc/56/82/20/817568220.db2.gz WDTZOGYIORLVOT-UHFFFAOYSA-N 0 1 272.348 0.947 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)C[NH+]2CCC[C@@H]2C)[C@@H](O)C1 ZINC001083778345 817573770 /nfs/dbraw/zinc/57/37/70/817573770.db2.gz JIZISWZBRYLDLY-MJBXVCDLSA-N 0 1 281.400 0.208 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001032075395 817607825 /nfs/dbraw/zinc/60/78/25/817607825.db2.gz KUMADNNOVAXNDD-UHFFFAOYSA-N 0 1 276.340 0.351 20 30 CCEDMN Cc1noc(C)c1CNCCNC(=O)[C@@H](C)C#N ZINC001124888903 817614601 /nfs/dbraw/zinc/61/46/01/817614601.db2.gz MWMVTEHLHMUSPZ-QMMMGPOBSA-N 0 1 250.302 0.657 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCC(=O)Nc1ccc(O)cc1 ZINC001124908362 817632184 /nfs/dbraw/zinc/63/21/84/817632184.db2.gz IZHYCMPAIXEALH-SNVBAGLBSA-N 0 1 290.323 0.196 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2nc3nccc(C)n3n2)C1 ZINC001032169450 817676158 /nfs/dbraw/zinc/67/61/58/817676158.db2.gz KXHNLDAKWKGKFD-UHFFFAOYSA-N 0 1 298.350 0.118 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@]23C[C@H]2CCCC3)C1 ZINC001077643932 817911681 /nfs/dbraw/zinc/91/16/81/817911681.db2.gz LOMYGPDUCIPTLI-IXYNUQLISA-N 0 1 276.380 0.751 20 30 CCEDMN C=CCCCN1CCO[C@](C)(CNC(=O)COC)C1 ZINC001107659928 817920067 /nfs/dbraw/zinc/92/00/67/817920067.db2.gz SSVWUJRWBLQXKC-CQSZACIVSA-N 0 1 270.373 0.806 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H](C)CNc2ccncc2C#N)c1C ZINC001107663884 817923496 /nfs/dbraw/zinc/92/34/96/817923496.db2.gz RANAWEGFTJQJCR-SECBINFHSA-N 0 1 298.350 0.946 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cc[nH]c(=O)c2)C1 ZINC001033001721 818417209 /nfs/dbraw/zinc/41/72/09/818417209.db2.gz OQRAWDNVXVZYJG-CYBMUJFWSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2Cc2cnn(C)c2C)C1=O ZINC001273015642 818422287 /nfs/dbraw/zinc/42/22/87/818422287.db2.gz HQBRSXWLCJXVNK-INIZCTEOSA-N 0 1 286.379 0.929 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)noc2C)[C@H](O)C1 ZINC001090060300 818426473 /nfs/dbraw/zinc/42/64/73/818426473.db2.gz JWHUYQSSSFQARC-NWDGAFQWSA-N 0 1 279.340 0.642 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)CC2OCCCO2)C1 ZINC001033034954 818446125 /nfs/dbraw/zinc/44/61/25/818446125.db2.gz CPSDHFICZKJIIP-GFCCVEGCSA-N 0 1 268.357 0.858 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2Cc2cnc[nH]2)C1=O ZINC001273023268 818454877 /nfs/dbraw/zinc/45/48/77/818454877.db2.gz FZIJQGITCVIQEH-AWEZNQCLSA-N 0 1 258.325 0.610 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C)n2C)[C@@H](O)C1 ZINC001090069384 818458045 /nfs/dbraw/zinc/45/80/45/818458045.db2.gz PTRMPJPVZIXFPB-ZFWWWQNUSA-N 0 1 289.379 0.522 20 30 CCEDMN C#CCN1C(=O)C[C@]2(CCCN(Cc3c[nH]cn3)C2)C1=O ZINC001273024903 818462551 /nfs/dbraw/zinc/46/25/51/818462551.db2.gz XPKNIVPCDZIVFD-HNNXBMFYSA-N 0 1 286.335 0.384 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C)nc2)[C@H](O)C1 ZINC001090074580 818465291 /nfs/dbraw/zinc/46/52/91/818465291.db2.gz IETPCQICSAREAF-ZIAGYGMSSA-N 0 1 275.352 0.741 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccon2)[C@H](O)C1 ZINC001090096567 818505003 /nfs/dbraw/zinc/50/50/03/818505003.db2.gz RWUSCNLACXHLBI-CMPLNLGQSA-N 0 1 265.313 0.416 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccon2)[C@H](O)C1 ZINC001090096385 818505683 /nfs/dbraw/zinc/50/56/83/818505683.db2.gz PIUUGAMJJPJCHY-MWLCHTKSSA-N 0 1 251.286 0.026 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2scnc2C)[C@H](O)C1 ZINC001090096703 818506012 /nfs/dbraw/zinc/50/60/12/818506012.db2.gz UKLJHFBELYFBJY-GHMZBOCLSA-N 0 1 281.381 0.803 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001033120404 818544056 /nfs/dbraw/zinc/54/40/56/818544056.db2.gz QTCDETSANJQKPH-UONOGXRCSA-N 0 1 291.395 0.409 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2C[C@@H]2c2ccccc2)[C@@H](O)C1 ZINC001083839192 818547842 /nfs/dbraw/zinc/54/78/42/818547842.db2.gz KPCHOTIOPKNZRT-TWMKSMIVSA-N 0 1 298.386 0.975 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033124626 818551518 /nfs/dbraw/zinc/55/15/18/818551518.db2.gz OXZLFRDLJFRZAR-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnc3n2CCOC3)C1 ZINC001033163402 818589106 /nfs/dbraw/zinc/58/91/06/818589106.db2.gz KRPJTXHYAKEQRL-GFCCVEGCSA-N 0 1 290.367 0.746 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc(F)c2)[C@H](O)C1 ZINC001090112683 818623190 /nfs/dbraw/zinc/62/31/90/818623190.db2.gz JSAKUQZCDNYSMK-NWDGAFQWSA-N 0 1 279.315 0.572 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001033182911 818632371 /nfs/dbraw/zinc/63/23/71/818632371.db2.gz UDZZTXVTZXYILM-LBPRGKRZSA-N 0 1 290.367 0.719 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001083848470 818645970 /nfs/dbraw/zinc/64/59/70/818645970.db2.gz FDMUKSPDLKYHRQ-CRWXNKLISA-N 0 1 282.384 0.539 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2COCCN2CC)C1 ZINC001033213240 818655009 /nfs/dbraw/zinc/65/50/09/818655009.db2.gz VVZVJHAJBNRPIT-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc3n(n2)CCCO3)C1 ZINC001033249661 818676380 /nfs/dbraw/zinc/67/63/80/818676380.db2.gz BAQYXPVKTYRQQN-LBPRGKRZSA-N 0 1 290.367 0.998 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001033336147 818724228 /nfs/dbraw/zinc/72/42/28/818724228.db2.gz LUUICBSDOPOPKX-RYUDHWBXSA-N 0 1 265.357 0.326 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2c[nH]c(=O)cn2)C1 ZINC001033373338 818744665 /nfs/dbraw/zinc/74/46/65/818744665.db2.gz PSRDWYGBFAVYNY-JTQLQIEISA-N 0 1 262.313 0.102 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCNC(=O)[C@@H]1C[C@@H]1C ZINC001128631648 818752874 /nfs/dbraw/zinc/75/28/74/818752874.db2.gz CWWQOUKIVFJCCI-GXSJLCMTSA-N 0 1 287.791 0.607 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2n[nH]cc2F)C1 ZINC001033396019 818753402 /nfs/dbraw/zinc/75/34/02/818753402.db2.gz LUSIPYNBDDPIGH-SECBINFHSA-N 0 1 252.293 0.881 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2c[nH]c(=O)cc2C)C1 ZINC001033411041 818759705 /nfs/dbraw/zinc/75/97/05/818759705.db2.gz OZICNVMCGQQHBZ-LBPRGKRZSA-N 0 1 273.336 0.875 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cscn2)C1 ZINC001033477549 818790960 /nfs/dbraw/zinc/79/09/60/818790960.db2.gz NTYLLMWDEZSRKL-GFCCVEGCSA-N 0 1 263.366 0.852 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001033489941 818801235 /nfs/dbraw/zinc/80/12/35/818801235.db2.gz CERIGGNUUPXOQR-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001033565182 818828693 /nfs/dbraw/zinc/82/86/93/818828693.db2.gz CVVTZJNGHKNNJO-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cc(C)on2)[C@@H](O)C1 ZINC001090140139 818828854 /nfs/dbraw/zinc/82/88/54/818828854.db2.gz GFHLWMVZVDKEGZ-OLZOCXBDSA-N 0 1 279.340 0.263 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001033603150 818848606 /nfs/dbraw/zinc/84/86/06/818848606.db2.gz JJZWOEBAJNRIIH-KGLIPLIRSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001033629844 818860865 /nfs/dbraw/zinc/86/08/65/818860865.db2.gz QQMKLMDIIAKAKB-QWHCGFSZSA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C2CCN(C(C)=O)CC2)C1 ZINC001033648500 818872571 /nfs/dbraw/zinc/87/25/71/818872571.db2.gz LSHDSSQBSPFPED-HNNXBMFYSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)nc2)C1 ZINC001033708370 818894906 /nfs/dbraw/zinc/89/49/06/818894906.db2.gz YMYWFIVYKOQHJG-LBPRGKRZSA-N 0 1 288.351 0.513 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cnn(C)c2N)C1 ZINC001033807344 818943900 /nfs/dbraw/zinc/94/39/00/818943900.db2.gz LQVCRONIYRTIKX-LBPRGKRZSA-N 0 1 289.383 0.562 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033849154 818966732 /nfs/dbraw/zinc/96/67/32/818966732.db2.gz RTFUBHBDKLZKEA-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001128758293 818970606 /nfs/dbraw/zinc/97/06/06/818970606.db2.gz NOMNHDBMEOBGPV-MJBXVCDLSA-N 0 1 289.383 0.689 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cnc[nH]c2=O)C1 ZINC001033866416 818974330 /nfs/dbraw/zinc/97/43/30/818974330.db2.gz WZEKGRBAOOSCPW-LBPRGKRZSA-N 0 1 288.351 0.742 20 30 CCEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cn[nH]n2)C1 ZINC001033888950 818977105 /nfs/dbraw/zinc/97/71/05/818977105.db2.gz STMVPHTZYKLLIM-NSHDSACASA-N 0 1 263.345 0.917 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033881995 818978092 /nfs/dbraw/zinc/97/80/92/818978092.db2.gz NFGSTEPXNJLRJF-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccn(C)c(=O)c2)C1 ZINC001033881557 818978392 /nfs/dbraw/zinc/97/83/92/818978392.db2.gz SYNVAZVSFWHUCS-AWEZNQCLSA-N 0 1 287.363 0.555 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001033922511 818997310 /nfs/dbraw/zinc/99/73/10/818997310.db2.gz MGJAIYUAPUXVBV-MQYQWHSLSA-N 0 1 264.369 0.988 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033930193 818998056 /nfs/dbraw/zinc/99/80/56/818998056.db2.gz USDBGRCVWAXYFW-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccc(=O)[nH]c2)C1 ZINC001034035499 819037594 /nfs/dbraw/zinc/03/75/94/819037594.db2.gz QCEARYOLGPRSJG-CYBMUJFWSA-N 0 1 273.336 0.957 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)C2=COCCO2)C1 ZINC001034085288 819061893 /nfs/dbraw/zinc/06/18/93/819061893.db2.gz UVMPPDFCIMHPLZ-CYBMUJFWSA-N 0 1 278.352 0.869 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001034244943 819138652 /nfs/dbraw/zinc/13/86/52/819138652.db2.gz SPLWRFKOCNGBAX-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@@H](O)C1 ZINC001090203578 819151240 /nfs/dbraw/zinc/15/12/40/819151240.db2.gz IKJJFQBYWMRVKU-OCCSQVGLSA-N 0 1 286.335 0.075 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCOC2)CC1 ZINC001045378722 819152488 /nfs/dbraw/zinc/15/24/88/819152488.db2.gz IZGPIQWVQOTKOJ-LBPRGKRZSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2c[nH]nc2C)C1 ZINC001034334222 819169454 /nfs/dbraw/zinc/16/94/54/819169454.db2.gz FQMHUJXJTVABKC-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2c[nH]nc2C)C1 ZINC001034334222 819169459 /nfs/dbraw/zinc/16/94/59/819169459.db2.gz FQMHUJXJTVABKC-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001034354200 819173746 /nfs/dbraw/zinc/17/37/46/819173746.db2.gz KTEVEJVLMMHTPU-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)c2cncnc2)[C@H](O)C1 ZINC001090210487 819185761 /nfs/dbraw/zinc/18/57/61/819185761.db2.gz ODTOLHHLOCKIMJ-QWHCGFSZSA-N 0 1 276.340 0.218 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cncnc2)[C@H](O)C1 ZINC001090210487 819185766 /nfs/dbraw/zinc/18/57/66/819185766.db2.gz ODTOLHHLOCKIMJ-QWHCGFSZSA-N 0 1 276.340 0.218 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001034395560 819194138 /nfs/dbraw/zinc/19/41/38/819194138.db2.gz ACLPJWJYFMZCLF-LLVKDONJSA-N 0 1 288.351 0.331 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@H](NC(=O)Cc2nonc2C)C1 ZINC001034468736 819214139 /nfs/dbraw/zinc/21/41/39/819214139.db2.gz QCSNWYZPHFWFDS-ZDUSSCGKSA-N 0 1 290.367 0.915 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)Cc2nonc2C)C1 ZINC001034468736 819214148 /nfs/dbraw/zinc/21/41/48/819214148.db2.gz QCSNWYZPHFWFDS-ZDUSSCGKSA-N 0 1 290.367 0.915 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2cncn2C)C1 ZINC001034491492 819216290 /nfs/dbraw/zinc/21/62/90/819216290.db2.gz VLPSEUYEAOZIIP-ZDUSSCGKSA-N 0 1 274.368 0.567 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nccc(C)n2)[C@H](O)C1 ZINC001090229580 819276511 /nfs/dbraw/zinc/27/65/11/819276511.db2.gz CVWTWPXZCIJLSU-VXGBXAGGSA-N 0 1 276.340 0.136 20 30 CCEDMN C[C@H](CNc1ccc(C#N)cn1)NC(=O)Cc1cnc[nH]1 ZINC001108136378 819281649 /nfs/dbraw/zinc/28/16/49/819281649.db2.gz UYLNOKBLBBNNLR-SNVBAGLBSA-N 0 1 284.323 0.836 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccncc2C)[C@H](O)C1 ZINC001090237567 819287004 /nfs/dbraw/zinc/28/70/04/819287004.db2.gz PGTFVFAASYMWPM-UONOGXRCSA-N 0 1 275.352 0.741 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2cnn(C)c2)C1 ZINC001035280032 819445987 /nfs/dbraw/zinc/44/59/87/819445987.db2.gz VANJHBSFNZOFEA-AWEZNQCLSA-N 0 1 292.383 0.817 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2cn[nH]c2)C1 ZINC001035299174 819451906 /nfs/dbraw/zinc/45/19/06/819451906.db2.gz MUYJKTHIYOBKHS-ZDUSSCGKSA-N 0 1 278.356 0.807 20 30 CCEDMN COc1ccc(C#N)cc1CN1C[C@H](CO)[C@H](CO)C1 ZINC000705394653 819490854 /nfs/dbraw/zinc/49/08/54/819490854.db2.gz ZVFBCTCXYURVJW-OKILXGFUSA-N 0 1 276.336 0.599 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@H](F)CC)[C@@H](n2ccnn2)C1 ZINC001129169578 819491908 /nfs/dbraw/zinc/49/19/08/819491908.db2.gz BQQAIDIOOQNTLH-UPJWGTAASA-N 0 1 295.362 0.944 20 30 CCEDMN C#CCN1CCO[C@H](CNC(=O)c2ccn3cncc3c2)C1 ZINC001035431503 819522052 /nfs/dbraw/zinc/52/20/52/819522052.db2.gz HYAJEWJHVCLGMO-OAHLLOKOSA-N 0 1 298.346 0.398 20 30 CCEDMN C#CC[NH2+]CCNC(=O)c1[n-]ncc1C(F)(F)F ZINC001129181853 819522375 /nfs/dbraw/zinc/52/23/75/819522375.db2.gz QLTPFKNPTKHEPV-UHFFFAOYSA-N 0 1 260.219 0.381 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)CO[C@H]1CCOC1)CC2 ZINC001035706575 819610953 /nfs/dbraw/zinc/61/09/53/819610953.db2.gz MOBSZGSKZPZPAK-AWEZNQCLSA-N 0 1 294.395 0.902 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ncc[nH]1)CC2 ZINC001035703192 819611101 /nfs/dbraw/zinc/61/11/01/819611101.db2.gz FLOXJDMLJQQBFE-UHFFFAOYSA-N 0 1 258.325 0.581 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@@H]1CCNC1=O)CC2 ZINC001035720275 819614970 /nfs/dbraw/zinc/61/49/70/819614970.db2.gz LDLZJDMLPMZGFA-ZDUSSCGKSA-N 0 1 291.395 0.623 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CC[C@H]1C(N)=O)CC2 ZINC001035767466 819620678 /nfs/dbraw/zinc/62/06/78/819620678.db2.gz RBHAYBSCOMVIEW-CHWSQXEVSA-N 0 1 291.395 0.608 20 30 CCEDMN C[C@@H]1CN(C(=O)C#CC2CC2)CC[C@H]1NCc1cnon1 ZINC001036036997 819681770 /nfs/dbraw/zinc/68/17/70/819681770.db2.gz LFCIRDAACUTQJH-BXUZGUMPSA-N 0 1 288.351 0.810 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H]2C[C@H]1CN2c1ncnc2[nH]cnc21 ZINC001062456931 819951582 /nfs/dbraw/zinc/95/15/82/819951582.db2.gz DNYUYGHTMQXLLP-UTLUCORTSA-N 0 1 297.322 0.302 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])CC[C@@H]21 ZINC001036690743 820020272 /nfs/dbraw/zinc/02/02/72/820020272.db2.gz FLBKAZFJPCIVMG-NEPJUHHUSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3[nH]nc(C)c3[O-])CC[C@@H]21 ZINC001036690743 820020283 /nfs/dbraw/zinc/02/02/83/820020283.db2.gz FLBKAZFJPCIVMG-NEPJUHHUSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3[nH]nc(C)c3[O-])CC[C@@H]21 ZINC001036690743 820020292 /nfs/dbraw/zinc/02/02/92/820020292.db2.gz FLBKAZFJPCIVMG-NEPJUHHUSA-N 0 1 288.351 0.593 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@]2(C)CCCOC2)[C@@H](O)C1 ZINC001083895694 820205362 /nfs/dbraw/zinc/20/53/62/820205362.db2.gz BFMYJRLBJTZXPD-VNHYZAJKSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccns2)[C@H](O)C1 ZINC001090303126 820231329 /nfs/dbraw/zinc/23/13/29/820231329.db2.gz JCLDTQJOCPIURK-VHSXEESVSA-N 0 1 267.354 0.494 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2nc(CC)c[nH]2)[C@@H](O)C1 ZINC001090337103 820278438 /nfs/dbraw/zinc/27/84/38/820278438.db2.gz OMLFLHZQBJSJKQ-STQMWFEESA-N 0 1 292.383 0.252 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2nc(CC)c[nH]2)[C@H](O)C1 ZINC001090337102 820278469 /nfs/dbraw/zinc/27/84/69/820278469.db2.gz OMLFLHZQBJSJKQ-QWHCGFSZSA-N 0 1 292.383 0.252 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cncs2)[C@H](O)C1 ZINC001090341991 820282998 /nfs/dbraw/zinc/28/29/98/820282998.db2.gz MTNNMMFFHHSQGZ-NWDGAFQWSA-N 0 1 281.381 0.423 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001079434298 820395801 /nfs/dbraw/zinc/39/58/01/820395801.db2.gz IGTBRPFAEBHTFJ-UTUOFQBUSA-N 0 1 254.330 0.024 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnc(C)[nH]2)C1 ZINC001079492159 820412622 /nfs/dbraw/zinc/41/26/22/820412622.db2.gz LQGQHUWRWNFNAN-ZWNOBZJWSA-N 0 1 260.341 0.792 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3ncccc23)C1 ZINC001079862667 820477986 /nfs/dbraw/zinc/47/79/86/820477986.db2.gz ANYVJPOETWLDGG-TZMCWYRMSA-N 0 1 297.362 0.803 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001080143644 820518178 /nfs/dbraw/zinc/51/81/78/820518178.db2.gz UWJLULSJDSKHOP-TZMCWYRMSA-N 0 1 287.363 0.790 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cn(CCC)nn2)C1 ZINC001080684785 820621153 /nfs/dbraw/zinc/62/11/53/820621153.db2.gz FOXFOLSAKNNQRW-CHWSQXEVSA-N 0 1 289.383 0.762 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnc(C)n2)C1 ZINC001080962452 820665432 /nfs/dbraw/zinc/66/54/32/820665432.db2.gz FJZIGUOESSSNEQ-BXUZGUMPSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CCC2)[C@H](OC)C1 ZINC001081258373 820711823 /nfs/dbraw/zinc/71/18/23/820711823.db2.gz NUAQNMPYGKAWFI-CHWSQXEVSA-N 0 1 250.342 0.625 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnc(C)cn2)[C@H](OC)C1 ZINC001081312094 820736607 /nfs/dbraw/zinc/73/66/07/820736607.db2.gz QHBJBYDKBBWOMT-ZIAGYGMSSA-N 0 1 290.367 0.790 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)n2cccn2)[C@H](OC)C1 ZINC001081390391 820752720 /nfs/dbraw/zinc/75/27/20/820752720.db2.gz YJNPUQLJORLGHP-BFHYXJOUSA-N 0 1 292.383 0.836 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CCOC2)[C@H](OC)C1 ZINC001081420718 820763049 /nfs/dbraw/zinc/76/30/49/820763049.db2.gz DFGYQUYZJQLYRR-YNEHKIRRSA-N 0 1 268.357 0.414 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2nccnc2N)[C@H](OC)C1 ZINC001081459486 820767369 /nfs/dbraw/zinc/76/73/69/820767369.db2.gz BBZCDWVFFPUXDV-GHMZBOCLSA-N 0 1 291.355 0.064 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cccc(=O)[nH]2)[C@H](OC)C1 ZINC001081487617 820774141 /nfs/dbraw/zinc/77/41/41/820774141.db2.gz RIILMTLLEGLISE-CHWSQXEVSA-N 0 1 291.351 0.792 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CC2(C)C)[C@H](OC)C1 ZINC001081550200 820796220 /nfs/dbraw/zinc/79/62/20/820796220.db2.gz JVDLPLMEXYNNQQ-YNEHKIRRSA-N 0 1 264.369 0.871 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cncn2C)[C@H](OC)C1 ZINC001081905366 820860539 /nfs/dbraw/zinc/86/05/39/820860539.db2.gz PSXWUSNEBCEUIZ-DGCLKSJQSA-N 0 1 278.356 0.425 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccncn2)[C@H](OC)C1 ZINC001082255358 820926072 /nfs/dbraw/zinc/92/60/72/820926072.db2.gz IXVZFCRJYWADID-CHWSQXEVSA-N 0 1 276.340 0.482 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3cccn3C)[C@H]2C1 ZINC001083003204 821099888 /nfs/dbraw/zinc/09/98/88/821099888.db2.gz SAZJTWNLVRPJEZ-LSDHHAIUSA-N 0 1 287.363 0.574 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H]3CCCOC3)[C@H]2C1 ZINC001083023239 821112390 /nfs/dbraw/zinc/11/23/90/821112390.db2.gz VABAHFPSFXVXSD-QLFBSQMISA-N 0 1 292.379 0.348 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnnc2C)[C@@H](O)C1 ZINC001090396949 821155014 /nfs/dbraw/zinc/15/50/14/821155014.db2.gz QHFDUTLGRIZZGH-STQMWFEESA-N 0 1 276.340 0.136 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)c2ccccc2)[C@@H](O)C1 ZINC001083962518 821172751 /nfs/dbraw/zinc/17/27/51/821172751.db2.gz HOOZINIFRCGXTR-IMJJTQAJSA-N 0 1 286.375 0.975 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cocn3)[C@@H]2C1 ZINC001084232787 821246835 /nfs/dbraw/zinc/24/68/35/821246835.db2.gz IFLLEJKDFMVUAH-DGCLKSJQSA-N 0 1 259.309 0.844 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H]3COC(=O)C3)[C@@H]2C1 ZINC001084278980 821262785 /nfs/dbraw/zinc/26/27/85/821262785.db2.gz RPOOAASUGGLERF-JHJVBQTASA-N 0 1 278.352 0.658 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCNC3=O)[C@@H]2C1 ZINC001084427670 821285548 /nfs/dbraw/zinc/28/55/48/821285548.db2.gz QAFJXTUQFCAJBB-HZSPNIEDSA-N 0 1 289.379 0.069 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H]3CCCNC3=O)[C@@H]2C1 ZINC001084427670 821285553 /nfs/dbraw/zinc/28/55/53/821285553.db2.gz QAFJXTUQFCAJBB-HZSPNIEDSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(C)C(N)=O)[C@@H]2C1 ZINC001084426185 821286020 /nfs/dbraw/zinc/28/60/20/821286020.db2.gz SDYGMMDMUSOKMA-GHMZBOCLSA-N 0 1 265.357 0.217 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C(C)(C)C(N)=O)[C@@H]2C1 ZINC001084426185 821286029 /nfs/dbraw/zinc/28/60/29/821286029.db2.gz SDYGMMDMUSOKMA-GHMZBOCLSA-N 0 1 265.357 0.217 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C3CC(OC)C3)[C@@H]2C1 ZINC001084435066 821294959 /nfs/dbraw/zinc/29/49/59/821294959.db2.gz HIKKLTOYFNQARJ-XSCHDIRWSA-N 0 1 276.380 0.967 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]2CN(C(=O)COC3CCOCC3)[C@@H]2C1 ZINC001084697282 821354669 /nfs/dbraw/zinc/35/46/69/821354669.db2.gz FBXAQIGDQPWKLX-UKRRQHHQSA-N 0 1 294.395 0.901 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)COC3CCOCC3)[C@@H]2C1 ZINC001084697282 821354678 /nfs/dbraw/zinc/35/46/78/821354678.db2.gz FBXAQIGDQPWKLX-UKRRQHHQSA-N 0 1 294.395 0.901 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001084810347 821398408 /nfs/dbraw/zinc/39/84/08/821398408.db2.gz JLARPSHNFWLJMP-ZIAGYGMSSA-N 0 1 285.347 0.957 20 30 CCEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ncc(C(N)=O)cn1 ZINC001230016235 821401503 /nfs/dbraw/zinc/40/15/03/821401503.db2.gz WAINWWWJAKPRBH-QMMMGPOBSA-N 0 1 263.253 0.564 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H]2CCN(CC(=O)N(C)C)[C@H]2C1 ZINC001084906970 821428209 /nfs/dbraw/zinc/42/82/09/821428209.db2.gz OTTXDDDWAIYMQZ-UPJWGTAASA-N 0 1 292.383 0.157 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3CCNC(=O)C3)C[C@@H]21 ZINC001084919632 821431930 /nfs/dbraw/zinc/43/19/30/821431930.db2.gz DLMOYQUODSANMP-RDBSUJKOSA-N 0 1 289.379 0.069 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)[C@H](O)C(C)C)C[C@@H]21 ZINC001084933985 821432596 /nfs/dbraw/zinc/43/25/96/821432596.db2.gz QHMVOGZINOIKPB-QLFBSQMISA-N 0 1 278.396 0.949 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)C1CCC(N2CCOCC2)CC1 ZINC000820352997 821441459 /nfs/dbraw/zinc/44/14/59/821441459.db2.gz XIISXJBSIORGAD-SLTAFYQDSA-N 0 1 294.395 0.378 20 30 CCEDMN CO[C@H](C)CN1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230814968 821451876 /nfs/dbraw/zinc/45/18/76/821451876.db2.gz JGKUKWHRICQDOK-VXGBXAGGSA-N 0 1 285.413 0.811 20 30 CCEDMN N#CCCN(CC1CC1)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000826709921 821669275 /nfs/dbraw/zinc/66/92/75/821669275.db2.gz ISWNTYUNJRFWIO-CQSZACIVSA-N 0 1 277.368 0.859 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CN(C)CCO1 ZINC001085531058 821772844 /nfs/dbraw/zinc/77/28/44/821772844.db2.gz LSHUAIKQIADBKF-KBPBESRZSA-N 0 1 281.400 0.426 20 30 CCEDMN CN(C[C@@H]1CCN1CCO)C(=O)c1cc(C#N)c[nH]1 ZINC001085535595 821775061 /nfs/dbraw/zinc/77/50/61/821775061.db2.gz MOQFFTZMKPQSLH-NSHDSACASA-N 0 1 262.313 0.025 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)c1c[nH]cc2ncnc1-2 ZINC001085610365 821849171 /nfs/dbraw/zinc/84/91/71/821849171.db2.gz PYJYUIGPLOQORA-SNVBAGLBSA-N 0 1 284.323 0.628 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCCNC1=O ZINC001085653728 821872060 /nfs/dbraw/zinc/87/20/60/821872060.db2.gz YDXJPJDTGFJWGA-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)c1cn[nH]c(=O)c1 ZINC001085656829 821874918 /nfs/dbraw/zinc/87/49/18/821874918.db2.gz ADHHTJIVCJNDEH-NSHDSACASA-N 0 1 262.313 0.515 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001085650063 821880756 /nfs/dbraw/zinc/88/07/56/821880756.db2.gz GVHGJKHGJIJRBC-IJLUTSLNSA-N 0 1 273.340 0.569 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cn(C)c(=O)cn1 ZINC001085729815 821921277 /nfs/dbraw/zinc/92/12/77/821921277.db2.gz HZGNAVRETABPGS-GFCCVEGCSA-N 0 1 290.367 0.503 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnc(C)n1C ZINC001085785550 821952808 /nfs/dbraw/zinc/95/28/08/821952808.db2.gz SOTHVHHXGCUEFT-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CCN1CCCO ZINC001085827326 821968852 /nfs/dbraw/zinc/96/88/52/821968852.db2.gz PEQFSIRVOGOLKU-MCIONIFRSA-N 0 1 282.384 0.493 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccnnc1 ZINC001085839354 821978876 /nfs/dbraw/zinc/97/88/76/821978876.db2.gz IKYNLSXDVOHCNJ-CYBMUJFWSA-N 0 1 258.325 0.646 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cn(CCC)nn1 ZINC001085897883 822007635 /nfs/dbraw/zinc/00/76/35/822007635.db2.gz PWNUOQIPGJLJHW-CYBMUJFWSA-N 0 1 289.383 0.858 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001085914964 822015967 /nfs/dbraw/zinc/01/59/67/822015967.db2.gz OKVKSHYEMSXKNS-OLZOCXBDSA-N 0 1 286.379 0.681 20 30 CCEDMN C[C@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)nc1 ZINC001098404812 822102566 /nfs/dbraw/zinc/10/25/66/822102566.db2.gz MQUNQJWZOFEAOV-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCn2cccc2)[C@H](O)C1 ZINC001100050316 822172467 /nfs/dbraw/zinc/17/24/67/822172467.db2.gz GJPWSRNKXFQVAK-UONOGXRCSA-N 0 1 277.368 0.616 20 30 CCEDMN COc1cc(N=C(C)CC(C)=O)nc(S(C)(=O)=O)n1 ZINC001155890039 822271744 /nfs/dbraw/zinc/27/17/44/822271744.db2.gz BDMIMPILYPRMCI-ALCCZGGFSA-N 0 1 285.325 0.793 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)Cc2ncc[nH]2)C1 ZINC001108304887 822348806 /nfs/dbraw/zinc/34/88/06/822348806.db2.gz PMMLYYSLOFYSNU-HNNXBMFYSA-N 0 1 292.383 0.735 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nn1)NC(=O)[C@H]1CCCN1C ZINC001108311571 822354102 /nfs/dbraw/zinc/35/41/02/822354102.db2.gz HVTZMHJLVJSDQX-CMPLNLGQSA-N 0 1 288.355 0.359 20 30 CCEDMN CCc1nocc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C#N ZINC001114066934 837421143 /nfs/dbraw/zinc/42/11/43/837421143.db2.gz KFMCMOVYKVOJRW-PQFRYHKHSA-N 0 1 288.351 0.943 20 30 CCEDMN Cc1oncc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C#N ZINC001114066515 837420916 /nfs/dbraw/zinc/42/09/16/837420916.db2.gz DHATUWVQCBPWGT-KMLBCRHOSA-N 0 1 274.324 0.689 20 30 CCEDMN Cc1nc(C)c(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)o1 ZINC001114068015 837422293 /nfs/dbraw/zinc/42/22/93/837422293.db2.gz ZUESRXCZGWHYBU-XFOOOJQKSA-N 0 1 288.351 0.998 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@@H]2C(NC(=O)/C(C)=C/C)[C@@H]2C1 ZINC001114131008 837447343 /nfs/dbraw/zinc/44/73/43/837447343.db2.gz VEVSKNZHJVAUKU-VONYUPSYSA-N 0 1 289.379 0.137 20 30 CCEDMN COCC#CCN1C[C@@H]2C(NC(=O)/C=C/c3ccc[nH]3)[C@@H]2C1 ZINC001114184351 837467535 /nfs/dbraw/zinc/46/75/35/837467535.db2.gz NRAZDOBLFYHANQ-LALKRCGJSA-N 0 1 299.374 0.724 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NCC(=O)Nc1nncs1 ZINC001170444646 837494924 /nfs/dbraw/zinc/49/49/24/837494924.db2.gz ZPGORMUUDFHJQL-BDAKNGLRSA-N 0 1 268.342 0.800 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOCC(F)F ZINC001114290953 837498062 /nfs/dbraw/zinc/49/80/62/837498062.db2.gz QEKCPNKFSJEHSQ-IWIIMEHWSA-N 0 1 272.295 0.338 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C=C2CCC2)C[C@@H]1n1ccnn1 ZINC001129732613 837549901 /nfs/dbraw/zinc/54/99/01/837549901.db2.gz CHFCMIXWYPWSCN-CABCVRRESA-N 0 1 299.378 0.753 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1OCCO[C@H]1C ZINC001129803136 837560066 /nfs/dbraw/zinc/56/00/66/837560066.db2.gz YLGJUSJAWUJHNN-UWVGGRQHSA-N 0 1 262.737 0.249 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COc1cc(C)on1 ZINC001129912808 837580221 /nfs/dbraw/zinc/58/02/21/837580221.db2.gz BLUUPZBJMPWRER-UHFFFAOYSA-N 0 1 273.720 0.820 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1cn[nH]c(=O)c1 ZINC001129992366 837608723 /nfs/dbraw/zinc/60/87/23/837608723.db2.gz AGDBNKYLXPNRML-UHFFFAOYSA-N 0 1 297.318 0.573 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)C(F)F)C1 ZINC001130332783 837767545 /nfs/dbraw/zinc/76/75/45/837767545.db2.gz SGGCDAMLLMSEQZ-SECBINFHSA-N 0 1 282.294 0.714 20 30 CCEDMN N#CCc1c[nH]c2cccc(-n3nnnc3CN)c12 ZINC001168924786 836058371 /nfs/dbraw/zinc/05/83/71/836058371.db2.gz UEYAJGGROYJSFP-UHFFFAOYSA-N 0 1 253.269 0.668 20 30 CCEDMN N#Cc1cnc2cc(NC[C@@H]3CNC(=O)CO3)c[nH]c1-2 ZINC001169278197 836184478 /nfs/dbraw/zinc/18/44/78/836184478.db2.gz RXSXTCWMQKKAJC-SNVBAGLBSA-N 0 1 271.280 0.361 20 30 CCEDMN Cc1cc(NC[C@H](C)NC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001108783367 836525935 /nfs/dbraw/zinc/52/59/35/836525935.db2.gz LZCUVNOYOWQYGP-VIFPVBQESA-N 0 1 285.311 0.032 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1C[C@H]2CC[C@@H]1N2CCn1cncn1 ZINC001109258011 836650759 /nfs/dbraw/zinc/65/07/59/836650759.db2.gz XCTPSNVUIIMAGO-ILXRZTDVSA-N 0 1 299.378 0.413 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cnc[nH]1)C2 ZINC001109384584 836668664 /nfs/dbraw/zinc/66/86/64/836668664.db2.gz CPQPUQOULTVLPP-AGIUHOORSA-N 0 1 273.340 0.587 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCCCOC)C2 ZINC001109947179 836748580 /nfs/dbraw/zinc/74/85/80/836748580.db2.gz RRNNUJUQZUPMSL-RDBSUJKOSA-N 0 1 282.384 0.947 20 30 CCEDMN N#Cc1cnc(NC[C@H](NC(=O)c2cnn[nH]2)C2CC2)cn1 ZINC001110012256 836762508 /nfs/dbraw/zinc/76/25/08/836762508.db2.gz FDVSMBPLMCVXNQ-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cscn2)[C@H](O)C1 ZINC001100141040 836790086 /nfs/dbraw/zinc/79/00/86/836790086.db2.gz JRKSPLVVUSJPQS-CHWSQXEVSA-N 0 1 295.408 0.813 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CC(=O)NCC)CC1 ZINC001112777966 836911134 /nfs/dbraw/zinc/91/11/34/836911134.db2.gz UXIRGEIVCTXXQW-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(CCCOC)CC1 ZINC001112804948 836918761 /nfs/dbraw/zinc/91/87/61/836918761.db2.gz XQHLGCUGABXHLS-CQSZACIVSA-N 0 1 270.373 0.494 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC[C@H]2CCCO2)CC1 ZINC001112846112 836937340 /nfs/dbraw/zinc/93/73/40/836937340.db2.gz GBTGQXHZKGUCBB-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CCNC(=O)COC)CC1 ZINC001112921235 836968634 /nfs/dbraw/zinc/96/86/34/836968634.db2.gz RARBUSAGOXMKFD-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN N=C(Nc1ncnc2c1CCNC2)c1nccs1 ZINC001157492241 837059812 /nfs/dbraw/zinc/05/98/12/837059812.db2.gz LHYCTOAPDPKLMY-UHFFFAOYSA-N 0 1 260.326 0.616 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@]2(C)CCNC2=O)CC1 ZINC001113341111 837093306 /nfs/dbraw/zinc/09/33/06/837093306.db2.gz KUIRAMFPRMSJLM-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN Cc1cc(N[C@@H](C)[C@H](C)NC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001113356449 837096664 /nfs/dbraw/zinc/09/66/64/837096664.db2.gz HOYTVNBCFYXDPY-UWVGGRQHSA-N 0 1 299.338 0.421 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)N(C)c1ccncc1C#N ZINC001113898413 837359218 /nfs/dbraw/zinc/35/92/18/837359218.db2.gz UAZXOSLDWMEOPS-NSHDSACASA-N 0 1 298.350 0.860 20 30 CCEDMN N#CCNCCNC(=O)c1c[nH]c2ccccc2c1=O ZINC001130736869 837906932 /nfs/dbraw/zinc/90/69/32/837906932.db2.gz QUNBGLUWDKCCON-UHFFFAOYSA-N 0 1 270.292 0.371 20 30 CCEDMN Cc1ccc2[nH]nc(C(=O)NCCNCC#N)c2c1 ZINC001130786648 837925597 /nfs/dbraw/zinc/92/55/97/837925597.db2.gz MIJMYLFUXVJEJF-UHFFFAOYSA-N 0 1 257.297 0.714 20 30 CCEDMN CC#CC(=O)Nc1ccc(O)c(S(N)(=O)=O)c1 ZINC001137940792 837942948 /nfs/dbraw/zinc/94/29/48/837942948.db2.gz LSUVEIMERKVEHN-UHFFFAOYSA-N 0 1 254.267 0.001 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)CNC(C)=O)C[C@@H](C)O2 ZINC001131620537 838158190 /nfs/dbraw/zinc/15/81/90/838158190.db2.gz HVIDBLLNIGLQGS-IUODEOHRSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)CCOC)C[C@H](C)O2 ZINC001131620992 838160353 /nfs/dbraw/zinc/16/03/53/838160353.db2.gz NMGDPQVNVSYOQK-DZGCQCFKSA-N 0 1 282.384 0.901 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001131641040 838163554 /nfs/dbraw/zinc/16/35/54/838163554.db2.gz GMUBLVAGOBPODU-SDDRHHMPSA-N 0 1 288.775 0.496 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)COCC)C[C@@H](C)O2 ZINC001131640047 838163611 /nfs/dbraw/zinc/16/36/11/838163611.db2.gz XUCNDHMWSSXGLQ-UKRRQHHQSA-N 0 1 280.368 0.348 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCCNCc1cnnn1C ZINC001131867309 838254789 /nfs/dbraw/zinc/25/47/89/838254789.db2.gz OCOQCHAXFRUYJD-CQSZACIVSA-N 0 1 295.387 0.250 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)COCCOC)CC[C@H]1C ZINC001131931637 838267573 /nfs/dbraw/zinc/26/75/73/838267573.db2.gz VLGQGWWHEIFMMY-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCn2ccnn2)CC[C@H]1C ZINC001131992516 838291728 /nfs/dbraw/zinc/29/17/28/838291728.db2.gz MLROCKBPFFOGFG-OLZOCXBDSA-N 0 1 275.356 0.271 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C2(C(=O)NC)CC2)CC[C@H]1C ZINC001132354459 838365086 /nfs/dbraw/zinc/36/50/86/838365086.db2.gz NNDAEEKDTUWIIO-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001132391706 838383013 /nfs/dbraw/zinc/38/30/13/838383013.db2.gz OPOXDNPQBZCVJR-UHFFFAOYSA-N 0 1 251.334 0.531 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)Nc2cccc(C#N)n2)C1 ZINC001185244128 844373755 /nfs/dbraw/zinc/37/37/55/844373755.db2.gz LCMFDYWOMIMZEI-NSHDSACASA-N 0 1 259.313 0.138 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)Nc2ccc(O)c(C#N)c2)C1 ZINC001185255603 844378185 /nfs/dbraw/zinc/37/81/85/844378185.db2.gz OZOCFLYXLQJGIW-GFCCVEGCSA-N 0 1 274.324 0.448 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C[C@H](C)NCc1cn(C)nn1 ZINC001134346129 838956967 /nfs/dbraw/zinc/95/69/67/838956967.db2.gz ZOSNLXNMBNVCGW-QWHCGFSZSA-N 0 1 291.399 0.847 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)N[C@@H]2CCc3c2cccc3C#N)C1 ZINC001185266874 844389495 /nfs/dbraw/zinc/38/94/95/844389495.db2.gz IHIKBXCUJHMDHO-HZPDHXFCSA-N 0 1 298.390 0.908 20 30 CCEDMN CC#CC[NH2+]CCNC(=O)c1n[n-]c2ccccc2c1=O ZINC001134594514 839014358 /nfs/dbraw/zinc/01/43/58/839014358.db2.gz MEQBXMHIWLHRIJ-UHFFFAOYSA-N 0 1 284.319 0.678 20 30 CCEDMN C=CCn1cc(C(=O)NCCNCC(=C)Cl)nn1 ZINC001134980301 839134127 /nfs/dbraw/zinc/13/41/27/839134127.db2.gz CYSMKBFNOOVXQC-UHFFFAOYSA-N 0 1 269.736 0.536 20 30 CCEDMN N#C[C@@H](Nc1cc(Cl)nc2c1CCNC2)C(N)=O ZINC001159475623 839257827 /nfs/dbraw/zinc/25/78/27/839257827.db2.gz SQNDUAAPCNNDNT-MRVPVSSYSA-N 0 1 265.704 0.170 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1ccncn1 ZINC001135542797 839288064 /nfs/dbraw/zinc/28/80/64/839288064.db2.gz UEHOHVPAQZTZEI-UHFFFAOYSA-N 0 1 281.319 0.868 20 30 CCEDMN C=CCNC(=O)c1nc[nH]c(=O)c1Br ZINC001135653935 839342703 /nfs/dbraw/zinc/34/27/03/839342703.db2.gz QGEXPHHOJIEDRP-UHFFFAOYSA-N 0 1 258.075 0.861 20 30 CCEDMN CC[C@@](N)(CO)Nc1nccc(/C=N/O)c1C(OC)OC ZINC001170856497 839435793 /nfs/dbraw/zinc/43/57/93/839435793.db2.gz CQDWOADNYOAVNA-HFVKVNHUSA-N 0 1 298.343 0.650 20 30 CCEDMN CC[C@@](N)(CO)Nc1ccc(C#N)cc1[N+](=O)[O-] ZINC001170864719 839458505 /nfs/dbraw/zinc/45/85/05/839458505.db2.gz WAEZVQCFTWVMSY-NSHDSACASA-N 0 1 250.258 0.936 20 30 CCEDMN CC[C@@](N)(CO)Nc1ccc(C#N)cc1C(=O)OC ZINC001170863700 839458698 /nfs/dbraw/zinc/45/86/98/839458698.db2.gz CZCOCAORGVKHGJ-ZDUSSCGKSA-N 0 1 263.297 0.814 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N[C@H](C)C[C@H](C)NCC#N ZINC001135976803 839489510 /nfs/dbraw/zinc/48/95/10/839489510.db2.gz NVIWOTXPIDMTRL-NWDGAFQWSA-N 0 1 254.378 0.725 20 30 CCEDMN C=CCNC(=O)C[N@H+](C)CCCN(C)C(=O)c1ncc[nH]1 ZINC001273507452 844451800 /nfs/dbraw/zinc/45/18/00/844451800.db2.gz AUFSXBKMZNVUEQ-UHFFFAOYSA-N 0 1 293.371 0.106 20 30 CCEDMN C=CCNC(=O)CN(C)CCCN(C)C(=O)c1ncc[nH]1 ZINC001273507452 844451803 /nfs/dbraw/zinc/45/18/03/844451803.db2.gz AUFSXBKMZNVUEQ-UHFFFAOYSA-N 0 1 293.371 0.106 20 30 CCEDMN COC(=O)CNC(=O)CNc1c[nH]c2c(C#N)cnc-2c1 ZINC001170949366 839597232 /nfs/dbraw/zinc/59/72/32/839597232.db2.gz BWTXUAPGKZQBRP-UHFFFAOYSA-N 0 1 287.279 0.136 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2conc2C)[C@H](O)C1 ZINC001090536107 839624145 /nfs/dbraw/zinc/62/41/45/839624145.db2.gz AGCJWKNJHNJJOZ-VXGBXAGGSA-N 0 1 265.313 0.334 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2conc2C)[C@H](O)C1 ZINC001090539655 839627046 /nfs/dbraw/zinc/62/70/46/839627046.db2.gz ZOLBALMCISOOEI-CHWSQXEVSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2occc2C)[C@H](O)C1 ZINC001090547342 839629902 /nfs/dbraw/zinc/62/99/02/839629902.db2.gz ARAKSMVHPJKZEA-CHWSQXEVSA-N 0 1 278.352 0.868 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccn(C)c2C)[C@H](O)C1 ZINC001090558382 839641790 /nfs/dbraw/zinc/64/17/90/839641790.db2.gz DHVXBOWREJMOAH-HUUCEWRRSA-N 0 1 289.379 0.522 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2conc2C2CC2)[C@H](O)C1 ZINC001090567253 839647817 /nfs/dbraw/zinc/64/78/17/839647817.db2.gz UHWHHZIYNMWGFH-QWHCGFSZSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2[nH]c(C)nc2C)[C@@H](O)C1 ZINC001090578800 839653955 /nfs/dbraw/zinc/65/39/55/839653955.db2.gz YSPMYPXOJLQOQK-NEPJUHHUSA-N 0 1 278.356 0.378 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C)CCOCC2)[C@H](O)C1 ZINC001090649472 839702538 /nfs/dbraw/zinc/70/25/38/839702538.db2.gz DWEULQXDFWDRLK-UONOGXRCSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(C)nc2C)[C@H](O)C1 ZINC001090654067 839705358 /nfs/dbraw/zinc/70/53/58/839705358.db2.gz FFJQIRQGDMFWFW-ZIAGYGMSSA-N 0 1 290.367 0.444 20 30 CCEDMN N#C[C@@H](NC(=O)Cc1n[nH]c(C2CCOCC2)n1)C1CC1 ZINC001136697452 839716118 /nfs/dbraw/zinc/71/61/18/839716118.db2.gz RTZJPNSSNAQCKW-LLVKDONJSA-N 0 1 289.339 0.660 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(c2ncnc3[nH]cnc32)C[C@H]1C ZINC001090687869 839736234 /nfs/dbraw/zinc/73/62/34/839736234.db2.gz PAPONGILNWMTTM-OPRDCNLKSA-N 0 1 299.338 0.453 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2conc2COC)[C@H](O)C1 ZINC001090720095 839754503 /nfs/dbraw/zinc/75/45/03/839754503.db2.gz UBEJATZVNQABEM-WCQYABFASA-N 0 1 295.339 0.172 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cn(CC)cn2)[C@H](O)C1 ZINC001090731889 839763435 /nfs/dbraw/zinc/76/34/35/839763435.db2.gz XNPVBEYINUJUTR-WCQYABFASA-N 0 1 278.356 0.254 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(CC)cn2)[C@H](O)C1 ZINC001090731889 839763443 /nfs/dbraw/zinc/76/34/43/839763443.db2.gz XNPVBEYINUJUTR-WCQYABFASA-N 0 1 278.356 0.254 20 30 CCEDMN N#CCNC(=O)CN1CC[C@@H](O)[C@H](C2CCCC2)C1 ZINC001171035515 839764891 /nfs/dbraw/zinc/76/48/91/839764891.db2.gz FKFNUOMHKVTULH-QWHCGFSZSA-N 0 1 265.357 0.499 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2[nH]cnc2C)[C@H](O)C1 ZINC001090849813 839853772 /nfs/dbraw/zinc/85/37/72/839853772.db2.gz ONNHQWOGLVRDOO-WDEREUQCSA-N 0 1 264.329 0.069 20 30 CCEDMN C#CC(=O)Nc1cccc2c(=O)cc(-c3nn[n-]n3)oc12 ZINC001143908243 839891191 /nfs/dbraw/zinc/89/11/91/839891191.db2.gz GYWMMSBKHKEPSR-UHFFFAOYSA-N 0 1 281.231 0.545 20 30 CCEDMN COc1cccc(C[C@H](N)C(=O)Nc2nnccc2C#N)c1 ZINC001144933283 840195557 /nfs/dbraw/zinc/19/55/57/840195557.db2.gz KYJMXPOVBYBHTI-ZDUSSCGKSA-N 0 1 297.318 0.865 20 30 CCEDMN N=C(Nc1ncc2c(n1)CNCC2)c1ccc(C(N)=O)cc1 ZINC001171252959 840207906 /nfs/dbraw/zinc/20/79/06/840207906.db2.gz AKHSEZFZOVMTSW-UHFFFAOYSA-N 0 1 296.334 0.258 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)C3CC3)C2)C1 ZINC001146867235 840387019 /nfs/dbraw/zinc/38/70/19/840387019.db2.gz GUKBYFFSZKXQCP-UHFFFAOYSA-N 0 1 262.353 0.581 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN(CC(=O)N(C)C)C2 ZINC001147165123 840508296 /nfs/dbraw/zinc/50/82/96/840508296.db2.gz BGPZESGUHZBPSC-UHFFFAOYSA-N 0 1 279.384 0.575 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CC[C@@H](C)OC)C2)C1 ZINC001147392266 840576849 /nfs/dbraw/zinc/57/68/49/840576849.db2.gz LIMNKVCFOUZBMS-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@H](C)N1CCCC1=O ZINC001147605775 840632487 /nfs/dbraw/zinc/63/24/87/840632487.db2.gz DCIHCXQIQVDIHU-NSHDSACASA-N 0 1 287.791 0.846 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CN(C(=O)c3ccncn3)C[C@]2(C)C1 ZINC001092111066 840989756 /nfs/dbraw/zinc/98/97/56/840989756.db2.gz AKXSBARCCPVMEH-CJNGLKHVSA-N 0 1 284.363 0.894 20 30 CCEDMN C#CCN1C[C@@H]2CN(C(=O)c3c[nH]c(C)cc3=O)C[C@]2(C)C1 ZINC001092164592 840996951 /nfs/dbraw/zinc/99/69/51/840996951.db2.gz RYGYUFYDDBYQOG-DYVFJYSZSA-N 0 1 299.374 0.710 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](CNCc2ncnn2C)[C@H](C)C1 ZINC001093678528 841425638 /nfs/dbraw/zinc/42/56/38/841425638.db2.gz LLAYMJFAILFUEM-QJPTWQEYSA-N 0 1 290.371 0.159 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2(C)CC2)[C@@H](O)C1 ZINC001099932087 841521920 /nfs/dbraw/zinc/52/19/20/841521920.db2.gz ZYEVXCBVAVWHGR-OLZOCXBDSA-N 0 1 264.369 0.751 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)nn1 ZINC001094220415 841559392 /nfs/dbraw/zinc/55/93/92/841559392.db2.gz YKJMJNQRVUMGEL-GHMZBOCLSA-N 0 1 297.322 0.403 20 30 CCEDMN N#Cc1cncc(NCCNC(=O)CCc2cnc[nH]2)n1 ZINC001094224904 841571134 /nfs/dbraw/zinc/57/11/34/841571134.db2.gz HBKDYDHGMXYZSH-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN O=C(C#CC1CC1)NCCCNc1ncnc2[nH]cnc21 ZINC001094399805 841627091 /nfs/dbraw/zinc/62/70/91/841627091.db2.gz FPVNNHGBBWWXFD-UHFFFAOYSA-N 0 1 284.323 0.636 20 30 CCEDMN C#CC[C@@H](COC)NS(=O)(=O)c1ccccc1O ZINC000716332290 841647880 /nfs/dbraw/zinc/64/78/80/841647880.db2.gz FWEGDRNNKHDMCN-JTQLQIEISA-N 0 1 269.322 0.709 20 30 CCEDMN Cc1nc(NCCCNC(=O)c2ncn[nH]2)ccc1C#N ZINC001094533253 841658953 /nfs/dbraw/zinc/65/89/53/841658953.db2.gz PPEUOBUUZMHHGS-UHFFFAOYSA-N 0 1 285.311 0.612 20 30 CCEDMN Cc1nc(NCCCNC(=O)c2nc[nH]n2)ccc1C#N ZINC001094533253 841658958 /nfs/dbraw/zinc/65/89/58/841658958.db2.gz PPEUOBUUZMHHGS-UHFFFAOYSA-N 0 1 285.311 0.612 20 30 CCEDMN COc1cccc2c1C[C@H](N[C@@H]1C(=O)N(O)C[C@@H]1C)CO2 ZINC001173164772 842004425 /nfs/dbraw/zinc/00/44/25/842004425.db2.gz PYDNTHQAYXJRBA-BHDSKKPTSA-N 0 1 292.335 0.824 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccccn2)[C@H](O)C1 ZINC001099941902 842040953 /nfs/dbraw/zinc/04/09/53/842040953.db2.gz ITZWHSWYOJOVNZ-LSDHHAIUSA-N 0 1 289.379 0.752 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCCO1)C2 ZINC001095200693 842091830 /nfs/dbraw/zinc/09/18/30/842091830.db2.gz OBCVCQSZJWIRKL-RFGFWPKPSA-N 0 1 262.353 0.910 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnn(C)c1)C2 ZINC001095223379 842107646 /nfs/dbraw/zinc/10/76/46/842107646.db2.gz FTBWQEBWMLBXOO-RDBSUJKOSA-N 0 1 274.368 0.870 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nccnc1N)C2 ZINC001095250549 842133400 /nfs/dbraw/zinc/13/34/00/842133400.db2.gz ISLBUCBWISORGI-VWYCJHECSA-N 0 1 273.340 0.580 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOC ZINC001110184646 842240287 /nfs/dbraw/zinc/24/02/87/842240287.db2.gz QVMRAPDRDKAXED-CRWXNKLISA-N 0 1 282.384 0.945 20 30 CCEDMN N#Cc1cnn2c1ncc(C#N)c2Nc1ncc[nH]1 ZINC001176082045 842312407 /nfs/dbraw/zinc/31/24/07/842312407.db2.gz QJERIXZMKWGSPI-UHFFFAOYSA-N 0 1 250.225 0.939 20 30 CCEDMN C=CCNC(=O)CNCc1cnc(N2CCOCC2)s1 ZINC001178321775 842822700 /nfs/dbraw/zinc/82/27/00/842822700.db2.gz MBLGJCZQAUCIPR-UHFFFAOYSA-N 0 1 296.396 0.372 20 30 CCEDMN C=CCN1CCN(C(=O)[C@H]2CCCCN2C)CC1 ZINC001178695995 842903636 /nfs/dbraw/zinc/90/36/36/842903636.db2.gz OPYGWFMMZPQSGF-CYBMUJFWSA-N 0 1 251.374 0.801 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H](Cc2cnc[nH]2)C(=O)OC)C1 ZINC001179030053 842960726 /nfs/dbraw/zinc/96/07/26/842960726.db2.gz XZGIATZQUNGGRQ-LLVKDONJSA-N 0 1 277.324 0.966 20 30 CCEDMN CCOC(=O)[C@H](Cc1cnc[nH]1)NC(=O)[C@@H](C)C#N ZINC001179902645 843086600 /nfs/dbraw/zinc/08/66/00/843086600.db2.gz PJHIAVVMAPUPDC-WPRPVWTQSA-N 0 1 264.285 0.160 20 30 CCEDMN COC(=O)[C@@H](Cc1cccnc1)NC(=O)C(C)C#N ZINC001179903093 843087271 /nfs/dbraw/zinc/08/72/71/843087271.db2.gz YDXKDEPYMDFGQR-GXSJLCMTSA-N 0 1 261.281 0.442 20 30 CCEDMN CC(C)N1CCN(CC(=O)N2C[C@@H](F)C[C@H]2C#N)CC1 ZINC001180346687 843148553 /nfs/dbraw/zinc/14/85/53/843148553.db2.gz DJIHZUNFSXWNBF-STQMWFEESA-N 0 1 282.363 0.475 20 30 CCEDMN C=CCSCC(=O)N[C@@H](CO)Cc1cnc[nH]1 ZINC001180561139 843209443 /nfs/dbraw/zinc/20/94/43/843209443.db2.gz VMIOXTBYEFNSJC-SNVBAGLBSA-N 0 1 255.343 0.349 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCOCC1)N1CCCC1 ZINC001180583817 843217226 /nfs/dbraw/zinc/21/72/26/843217226.db2.gz APZAFKGLKDGUHT-LLVKDONJSA-N 0 1 251.330 0.660 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2C(=O)[C@@H](C)C#N)n[nH]1 ZINC001181164826 843424245 /nfs/dbraw/zinc/42/42/45/843424245.db2.gz WVAACVYQSBUBJX-YIZRAAEISA-N 0 1 263.301 0.161 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)n1cccn1 ZINC001115086468 843777037 /nfs/dbraw/zinc/77/70/37/843777037.db2.gz URBMPRNWULZRAK-NYTXWWLZSA-N 0 1 286.379 0.904 20 30 CCEDMN C[C@H](O)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)CSCC#N)C2 ZINC001110301063 843824242 /nfs/dbraw/zinc/82/42/42/843824242.db2.gz YMKWIGFVYOLOTK-QCNOEVLYSA-N 0 1 283.397 0.345 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN(C)C(C)=O)C2 ZINC001110308905 843832549 /nfs/dbraw/zinc/83/25/49/843832549.db2.gz VEJXFCNQGSZXRM-MCIONIFRSA-N 0 1 277.368 0.210 20 30 CCEDMN CC(C)[N@H+]1CC[C@H]1C(=O)N1CCN(CCC#N)CC1 ZINC001182848327 843927806 /nfs/dbraw/zinc/92/78/06/843927806.db2.gz GSCAKJPPHQIUOT-ZDUSSCGKSA-N 0 1 264.373 0.527 20 30 CCEDMN CC(C)N1CC[C@H]1C(=O)N1CCN(CCC#N)CC1 ZINC001182848327 843927810 /nfs/dbraw/zinc/92/78/10/843927810.db2.gz GSCAKJPPHQIUOT-ZDUSSCGKSA-N 0 1 264.373 0.527 20 30 CCEDMN CCOC(=O)[C@@H]1c2[nH]cnc2CCN1C(=O)C#CCOC ZINC001187128604 844656062 /nfs/dbraw/zinc/65/60/62/844656062.db2.gz USGGWPXKZOMPOG-ZDUSSCGKSA-N 0 1 291.307 0.048 20 30 CCEDMN N#CCCN1CCN(C(=O)c2[nH]ncc2Cl)CC1 ZINC001187838072 844777291 /nfs/dbraw/zinc/77/72/91/844777291.db2.gz XULGQPPHYGSPEL-UHFFFAOYSA-N 0 1 267.720 0.735 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)CC)C1 ZINC001188563839 844906798 /nfs/dbraw/zinc/90/67/98/844906798.db2.gz CKLUZOOSNHVOAR-VXGBXAGGSA-N 0 1 267.373 0.620 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C(C)(C)F)C1 ZINC001188619572 844934791 /nfs/dbraw/zinc/93/47/91/844934791.db2.gz WBQNLVNGNSYHME-NEPJUHHUSA-N 0 1 297.374 0.405 20 30 CCEDMN C=C[C@@H]1C[C@@]1(NC(=O)c1ncn[nH]1)C(=O)OCC ZINC001188700060 844955645 /nfs/dbraw/zinc/95/56/45/844955645.db2.gz YTKUJFTWWVQTLP-HQJQHLMTSA-N 0 1 250.258 0.042 20 30 CCEDMN C=C[C@@H]1C[C@@]1(NC(=O)c1nc[nH]n1)C(=O)OCC ZINC001188700060 844955652 /nfs/dbraw/zinc/95/56/52/844955652.db2.gz YTKUJFTWWVQTLP-HQJQHLMTSA-N 0 1 250.258 0.042 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](CCC)OC)[C@@H]2C1 ZINC001188767420 844972780 /nfs/dbraw/zinc/97/27/80/844972780.db2.gz AYZQFVTUAJKUSY-MJBXVCDLSA-N 0 1 264.369 0.967 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)no2)C1 ZINC001188844300 844988031 /nfs/dbraw/zinc/98/80/31/844988031.db2.gz KEXMDOLXGTYTAV-CYBMUJFWSA-N 0 1 291.351 0.779 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cccn2C)C1 ZINC001188894233 844992704 /nfs/dbraw/zinc/99/27/04/844992704.db2.gz AYOXFMXPSRZWQV-CQSZACIVSA-N 0 1 289.379 0.821 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cn2cc(C)cn2)C1 ZINC001189143265 845063220 /nfs/dbraw/zinc/06/32/20/845063220.db2.gz XSQFZVQIFKNMKP-AWEZNQCLSA-N 0 1 274.368 0.748 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](CC)NC(C)=O)[C@@H]2C1 ZINC001189194544 845073253 /nfs/dbraw/zinc/07/32/53/845073253.db2.gz GZOLZFDIZLGKNS-MELADBBJSA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)CCC)C1 ZINC001189169423 845079013 /nfs/dbraw/zinc/07/90/13/845079013.db2.gz IKIVLUWDXIMWSY-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COC(C)C)C1 ZINC001189224825 845081336 /nfs/dbraw/zinc/08/13/36/845081336.db2.gz XYNDFUOCRGXTRB-CYBMUJFWSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)[C@@H](CC(C)C)NC(C)=O ZINC001273577894 845097490 /nfs/dbraw/zinc/09/74/90/845097490.db2.gz HZTYUOFHHTYTCU-OAHLLOKOSA-N 0 1 295.427 0.951 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCOC2)C1 ZINC001189302269 845099917 /nfs/dbraw/zinc/09/99/17/845099917.db2.gz WFSUSQNQRXGQLH-GJZGRUSLSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001189310737 845105206 /nfs/dbraw/zinc/10/52/06/845105206.db2.gz QNADNAZGVHVRSV-WDEREUQCSA-N 0 1 253.346 0.359 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCOCC)C1 ZINC001189345309 845116108 /nfs/dbraw/zinc/11/61/08/845116108.db2.gz ORSJVRPEXOKFIK-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)/C(C)=C\C)C1 ZINC001189594402 845170366 /nfs/dbraw/zinc/17/03/66/845170366.db2.gz RHVCVQBNYMSSMA-OBFMZJQYSA-N 0 1 291.395 0.623 20 30 CCEDMN Cc1nc(C#N)cnc1NS(=O)(=O)CCCF ZINC001189870321 845237312 /nfs/dbraw/zinc/23/73/12/845237312.db2.gz RYZXBXDXERFJQL-UHFFFAOYSA-N 0 1 258.278 0.758 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)Cc2n[nH]c(C)n2)C1 ZINC001189927284 845272837 /nfs/dbraw/zinc/27/28/37/845272837.db2.gz XBYYRFBXQSKYJC-ZDUSSCGKSA-N 0 1 289.383 0.949 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](N(C)[C@H]2CCCNC2=O)C1 ZINC001190047863 845316062 /nfs/dbraw/zinc/31/60/62/845316062.db2.gz XQKMLRLBLWANDQ-KGLIPLIRSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CC[N@H+](C)[C@H]1CCN(C(=O)C[C@@H](C)NC(N)=O)C1 ZINC001190155821 845351273 /nfs/dbraw/zinc/35/12/73/845351273.db2.gz OUBGEUAVSRKLFM-MNOVXSKESA-N 0 1 268.361 0.152 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001190452184 845406327 /nfs/dbraw/zinc/40/63/27/845406327.db2.gz CSOVQWFBMVFFFG-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001190614402 845436423 /nfs/dbraw/zinc/43/64/23/845436423.db2.gz YMVJIEOYBCJUMN-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1cc(C#N)ccc1F ZINC001190608225 845443452 /nfs/dbraw/zinc/44/34/52/845443452.db2.gz MMUOKIUMHYAGLH-UHFFFAOYSA-N 0 1 271.317 0.537 20 30 CCEDMN N#Cc1cc(NS(=O)(=O)c2ccncc2)ccn1 ZINC001190854564 845523602 /nfs/dbraw/zinc/52/36/02/845523602.db2.gz SMJZORBQOWDATA-UHFFFAOYSA-N 0 1 260.278 0.571 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001190897987 845539206 /nfs/dbraw/zinc/53/92/06/845539206.db2.gz AJFQWSQJBFIRNE-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(CC)CC)C1 ZINC001191195946 845605737 /nfs/dbraw/zinc/60/57/37/845605737.db2.gz YDWLICNJPNLNSD-CHWSQXEVSA-N 0 1 252.358 0.607 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cn[nH]c2)C1 ZINC001191216984 845609434 /nfs/dbraw/zinc/60/94/34/845609434.db2.gz HLTWORWTJNPOBO-VXGBXAGGSA-N 0 1 264.329 0.151 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCC=C)C[C@H]1O ZINC001191724482 845716804 /nfs/dbraw/zinc/71/68/04/845716804.db2.gz HFGRMWHTGVCUNF-CHWSQXEVSA-N 0 1 268.357 0.317 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CC2=CCCCC2)C[C@H]1O ZINC001191853094 845740606 /nfs/dbraw/zinc/74/06/06/845740606.db2.gz AAVXEDGAZPVDQU-MRVWCRGKSA-N 0 1 277.368 0.808 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)C(F)=C(C)C)C1 ZINC001192231085 845808223 /nfs/dbraw/zinc/80/82/23/845808223.db2.gz ZPKRZTFILLKUHB-GHMZBOCLSA-N 0 1 256.321 0.987 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001192307108 845818369 /nfs/dbraw/zinc/81/83/69/845818369.db2.gz XQJISGCANFCWDL-FMKPAKJESA-N 0 1 296.411 0.665 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2coc(CC)n2)C1 ZINC001192328703 845820445 /nfs/dbraw/zinc/82/04/45/845820445.db2.gz KVEPDBOEOMQRBX-DGCLKSJQSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2coc(CC)n2)C1 ZINC001192328703 845820449 /nfs/dbraw/zinc/82/04/49/845820449.db2.gz KVEPDBOEOMQRBX-DGCLKSJQSA-N 0 1 293.367 0.978 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CC23CC3)C1 ZINC001192531266 845873861 /nfs/dbraw/zinc/87/38/61/845873861.db2.gz BHWQRJCEVCAJMW-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)OCCOC)C1 ZINC001192632343 845890316 /nfs/dbraw/zinc/89/03/16/845890316.db2.gz OYJXPJIZSNRUIX-QWHCGFSZSA-N 0 1 268.357 0.204 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H](CO)Cc2cnc[nH]2)o1 ZINC001192692143 845893552 /nfs/dbraw/zinc/89/35/52/845893552.db2.gz SSQSFPSMGMEWJZ-SECBINFHSA-N 0 1 260.253 0.208 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(C[C@@H]2CCCCO2)C[C@H]1O ZINC001192761394 845903129 /nfs/dbraw/zinc/90/31/29/845903129.db2.gz HKUSWYGIIZNTLE-RRFJBIMHSA-N 0 1 294.395 0.376 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC(=O)N(C)C)C1 ZINC001192757816 845909019 /nfs/dbraw/zinc/90/90/19/845909019.db2.gz DPKMEUCHHYDJEO-LBPRGKRZSA-N 0 1 265.357 0.021 20 30 CCEDMN CC/C=C\CCN1C[C@@H](O)[C@H](NC(=O)C#CC2CC2)C1 ZINC001192822310 845916366 /nfs/dbraw/zinc/91/63/66/845916366.db2.gz YFGLPEDCPFPLSF-LPUOJRKPSA-N 0 1 276.380 0.917 20 30 CCEDMN N#CCS(=O)(=O)Nc1cncc(N2CCOCC2)c1 ZINC001192952033 845934413 /nfs/dbraw/zinc/93/44/13/845934413.db2.gz VUWABSIHYKJEGC-UHFFFAOYSA-N 0 1 282.325 0.183 20 30 CCEDMN CNC(=O)c1ccc(NS(=O)(=O)CC#N)cc1 ZINC001192928487 845938203 /nfs/dbraw/zinc/93/82/03/845938203.db2.gz CGHKAIGZVWJRLY-UHFFFAOYSA-N 0 1 253.283 0.311 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc2nsnc2c1 ZINC001192929062 845938534 /nfs/dbraw/zinc/93/85/34/845938534.db2.gz NXDODWIRAIUOOB-UHFFFAOYSA-N 0 1 254.296 0.957 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccnn1Br ZINC001193112403 845981417 /nfs/dbraw/zinc/98/14/17/845981417.db2.gz SSRHCINHAFKZQK-RXMQYKEDSA-N 0 1 279.119 0.695 20 30 CCEDMN CCN(C1CCN(C)CC1)S(=O)(=O)[C@@H](C)C#N ZINC001193126437 845989348 /nfs/dbraw/zinc/98/93/48/845989348.db2.gz ABSDQURMSYRJKS-JTQLQIEISA-N 0 1 259.375 0.644 20 30 CCEDMN CNC(=O)c1ccc(F)c(NS(=O)(=O)[C@@H](C)C#N)c1 ZINC001193151796 846006372 /nfs/dbraw/zinc/00/63/72/846006372.db2.gz UFKMEPYOLZMWBN-ZETCQYMHSA-N 0 1 285.300 0.839 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N[C@H]1CCN(CC(C)(C)C)C1 ZINC001193178535 846024784 /nfs/dbraw/zinc/02/47/84/846024784.db2.gz KKFCENOUSOMGLT-QWRGUYRKSA-N 0 1 273.402 0.938 20 30 CCEDMN Cn1ncc(NS(=O)(=O)CC2CCC2)c1C#N ZINC001193478669 846089165 /nfs/dbraw/zinc/08/91/65/846089165.db2.gz GKKOXFXCHGNNRS-UHFFFAOYSA-N 0 1 254.315 0.834 20 30 CCEDMN N#Cc1cc(C(=O)N2CC(N3CC(F)C3)C2)ccc1O ZINC001193494685 846093501 /nfs/dbraw/zinc/09/35/01/846093501.db2.gz NGWOXLLGAIKVQG-UHFFFAOYSA-N 0 1 275.283 0.742 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN(C(N)=O)CC2)ccc1O ZINC001193503877 846099245 /nfs/dbraw/zinc/09/92/45/846099245.db2.gz HZMNMNLHAXUJGW-UHFFFAOYSA-N 0 1 274.280 0.100 20 30 CCEDMN C[C@H]1C(=O)NCCN1C(=O)c1ccc(O)c(C#N)c1 ZINC001193504543 846101826 /nfs/dbraw/zinc/10/18/26/846101826.db2.gz TYHLBEBZZLDOAD-QMMMGPOBSA-N 0 1 259.265 0.224 20 30 CCEDMN N#Cc1cc(C(=O)NC2(CO)CCOCC2)ccc1O ZINC001193512643 846103193 /nfs/dbraw/zinc/10/31/93/846103193.db2.gz QUYDAJFRTOVCGS-UHFFFAOYSA-N 0 1 276.292 0.535 20 30 CCEDMN CC(=O)N1C[C@@H]2C[C@]2(NC(=O)c2ccc(O)c(C#N)c2)C1 ZINC001193512409 846103449 /nfs/dbraw/zinc/10/34/49/846103449.db2.gz NJRLXEGCDVFIJW-WFASDCNBSA-N 0 1 285.303 0.614 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ncccc1C(N)=O ZINC001193617882 846125415 /nfs/dbraw/zinc/12/54/15/846125415.db2.gz OSHSUTAYPXKKOG-NSHDSACASA-N 0 1 288.351 0.561 20 30 CCEDMN Cn1ccc(CNC(=O)c2cccc(C#N)c2O)cc1=O ZINC001193658133 846140383 /nfs/dbraw/zinc/14/03/83/846140383.db2.gz UZKJVVXKWIOQNK-UHFFFAOYSA-N 0 1 283.287 0.893 20 30 CCEDMN C=CCN(C)CC[N@H+](CC)[C@H](C)C(=O)NCC(=O)OC ZINC001193914168 846181435 /nfs/dbraw/zinc/18/14/35/846181435.db2.gz QNXAWITZBOELBM-GFCCVEGCSA-N 0 1 285.388 0.104 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)OCC=C)C1 ZINC001194396866 846286936 /nfs/dbraw/zinc/28/69/36/846286936.db2.gz KQDTYCLDNMOYTP-STQMWFEESA-N 0 1 250.342 0.743 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H](N(C)[C@H]2CCNC2=O)C1 ZINC001194382434 846283825 /nfs/dbraw/zinc/28/38/25/846283825.db2.gz ZMFHEWYNTXNRKN-STQMWFEESA-N 0 1 295.383 0.000 20 30 CCEDMN C#CC[N@@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C1CC1 ZINC001194436218 846301987 /nfs/dbraw/zinc/30/19/87/846301987.db2.gz SAYXKRPKQKLFTF-UHFFFAOYSA-N 0 1 267.292 0.904 20 30 CCEDMN C#CC[N@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C1CC1 ZINC001194436218 846302001 /nfs/dbraw/zinc/30/20/01/846302001.db2.gz SAYXKRPKQKLFTF-UHFFFAOYSA-N 0 1 267.292 0.904 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COC[C@@H]2CCCO2)C1 ZINC001194522977 846316641 /nfs/dbraw/zinc/31/66/41/846316641.db2.gz NYJBFWASOLJLRR-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001194523479 846316873 /nfs/dbraw/zinc/31/68/73/846316873.db2.gz WIWRDPGYBGWIHB-CHWSQXEVSA-N 0 1 279.384 0.300 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)C(CC)CC)C1 ZINC001194580378 846329645 /nfs/dbraw/zinc/32/96/45/846329645.db2.gz BUBKDQQXCRVJFP-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2(C)CCCC2)C1 ZINC001195058917 846447309 /nfs/dbraw/zinc/44/73/09/846447309.db2.gz UDBQDOVSNFWKDJ-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)NC(N)=O)CC1 ZINC001195625288 846587500 /nfs/dbraw/zinc/58/75/00/846587500.db2.gz IEUIHGOJFFJFMT-NSHDSACASA-N 0 1 268.361 0.154 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2cncnc2)c(O)c1 ZINC001195763867 846613404 /nfs/dbraw/zinc/61/34/04/846613404.db2.gz DNJDZDOQIBCDTH-UHFFFAOYSA-N 0 1 254.249 0.984 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)CCC)C1 ZINC001195770998 846615949 /nfs/dbraw/zinc/61/59/49/846615949.db2.gz PPQUMYBVYPQTSZ-CHWSQXEVSA-N 0 1 266.385 0.997 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](CO)[C@@H](O)C2)c(O)c1 ZINC001195740460 846619208 /nfs/dbraw/zinc/61/92/08/846619208.db2.gz GCWIEPKCLLTEHQ-GWCFXTLKSA-N 0 1 276.292 0.079 20 30 CCEDMN C=CCN1CCCN(C(=O)CNC(=O)N2CCCC2)CC1 ZINC001195792153 846624583 /nfs/dbraw/zinc/62/45/83/846624583.db2.gz PSJNWZILCIIHMJ-UHFFFAOYSA-N 0 1 294.399 0.512 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCCN(CC=C)CC1 ZINC001195792700 846624749 /nfs/dbraw/zinc/62/47/49/846624749.db2.gz YJQQCFSAJNJDBM-CYBMUJFWSA-N 0 1 250.342 0.745 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@H]2O)CCC1 ZINC001195896920 846639907 /nfs/dbraw/zinc/63/99/07/846639907.db2.gz GGELABZNJCNTOT-JHJVBQTASA-N 0 1 282.384 0.275 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@H](C)OC)C[C@H]2O)CCC1 ZINC001195897324 846640669 /nfs/dbraw/zinc/64/06/69/846640669.db2.gz ZTXSVPKCTDEGBI-BFHYXJOUSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2conc2CC)C1 ZINC001195940393 846644098 /nfs/dbraw/zinc/64/40/98/846644098.db2.gz UWXAVJAHUFVESD-ZIAGYGMSSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(CCCO)C[C@H]2O)CC1 ZINC001195924638 846649494 /nfs/dbraw/zinc/64/94/94/846649494.db2.gz XOUBXCZDBSGPQW-CHWSQXEVSA-N 0 1 282.384 0.277 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@](C)(C=C)CC)C1 ZINC001195924549 846650176 /nfs/dbraw/zinc/65/01/76/846650176.db2.gz WUJQJVYXNZIQLL-NFAWXSAZSA-N 0 1 264.369 0.773 20 30 CCEDMN C=C(C)[C@H](CC(=O)N1CCCN(CCO)CC1)OCC ZINC001196025642 846671398 /nfs/dbraw/zinc/67/13/98/846671398.db2.gz RFCFQSOVXMRQIE-AWEZNQCLSA-N 0 1 284.400 0.884 20 30 CCEDMN C=CCN1CCCN(C(=O)COCc2nccn2C)CC1 ZINC001196251152 846712158 /nfs/dbraw/zinc/71/21/58/846712158.db2.gz IIMPYRHZZVMVQS-UHFFFAOYSA-N 0 1 292.383 0.657 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C(C)C)C1 ZINC001196560629 846776314 /nfs/dbraw/zinc/77/63/14/846776314.db2.gz UPEFGMIRKNSMJQ-MGPQQGTHSA-N 0 1 284.400 0.642 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001196876204 846806065 /nfs/dbraw/zinc/80/60/65/846806065.db2.gz NGWCOOJQVOIYGI-NWANDNLSSA-N 0 1 290.363 0.643 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H](COC)OC)CC1 ZINC001197118219 846846152 /nfs/dbraw/zinc/84/61/52/846846152.db2.gz GYNOSIUWLOLONE-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCn2cccc2)C1 ZINC001197189341 846854026 /nfs/dbraw/zinc/85/40/26/846854026.db2.gz PNMRFPCNDZUZIL-ZIAGYGMSSA-N 0 1 277.368 0.616 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](CCCC)NC(N)=O)CC1 ZINC001198364458 847095220 /nfs/dbraw/zinc/09/52/20/847095220.db2.gz VFNIDVYYZIXWOT-CYBMUJFWSA-N 0 1 296.415 0.934 20 30 CCEDMN C#CCOC[C@@H](O)CNc1ccnc(NC(C)=O)c1 ZINC001251826305 847158749 /nfs/dbraw/zinc/15/87/49/847158749.db2.gz LUQFKDMTHCIFHW-LBPRGKRZSA-N 0 1 263.297 0.463 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)C ZINC001115153726 847240492 /nfs/dbraw/zinc/24/04/92/847240492.db2.gz AHWGHDSNPFWSJG-NDBYEHHHSA-N 0 1 291.395 0.217 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ncccc1N1CCOCC1 ZINC001199275907 847291404 /nfs/dbraw/zinc/29/14/04/847291404.db2.gz HSHJDOZAQUUHMR-UHFFFAOYSA-N 0 1 298.306 0.765 20 30 CCEDMN C=CCNC(=S)N1CCN(CCC(C)(C)O)CC1 ZINC001200292515 847598787 /nfs/dbraw/zinc/59/87/87/847598787.db2.gz IOEGQBNHDDZKAF-UHFFFAOYSA-N 0 1 271.430 0.826 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)c1ccc(C)o1 ZINC001273832915 847630413 /nfs/dbraw/zinc/63/04/13/847630413.db2.gz LZDZOUXBOKYJBF-PLNGDYQASA-N 0 1 289.335 0.213 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC(NCc2ccon2)CC1 ZINC001200532458 847645741 /nfs/dbraw/zinc/64/57/41/847645741.db2.gz RGPUFQKVASCTML-LBPRGKRZSA-N 0 1 291.351 0.794 20 30 CCEDMN NC(=O)c1ccc(C(N)=NC(=O)c2[nH]nc3c2CCC3)cc1 ZINC001201248151 847749809 /nfs/dbraw/zinc/74/98/09/847749809.db2.gz QUHKCPCEVHRQKL-UHFFFAOYSA-N 0 1 297.318 0.543 20 30 CCEDMN C[C@@H](C#N)C(=O)NC/C=C/CNCC(=O)N(C)C1CC1 ZINC001273909903 847819366 /nfs/dbraw/zinc/81/93/66/847819366.db2.gz LEYPINPQHAIDIF-UFFNRZRYSA-N 0 1 278.356 0.029 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cn2ccccc2n1 ZINC001252482395 847983370 /nfs/dbraw/zinc/98/33/70/847983370.db2.gz LJBZOBOPMNTGSN-ZDUSSCGKSA-N 0 1 261.325 0.987 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1c[nH]c2ccccc2c1=O ZINC000717709879 848286473 /nfs/dbraw/zinc/28/64/73/848286473.db2.gz IKBXLNWJPNTKOV-SNVBAGLBSA-N 0 1 270.288 0.642 20 30 CCEDMN CC(C)CNC(=O)CNC/C=C/CNC(=O)C#CC1CC1 ZINC001274018106 848305763 /nfs/dbraw/zinc/30/57/63/848305763.db2.gz XMDWGKGWMVCSDS-ONEGZZNKSA-N 0 1 291.395 0.434 20 30 CCEDMN COC(CN1CC[C@]2(CCN(CCC#N)C2)C1=O)OC ZINC001274030721 848309680 /nfs/dbraw/zinc/30/96/80/848309680.db2.gz VPIPNEIKZGNBKY-AWEZNQCLSA-N 0 1 281.356 0.443 20 30 CCEDMN CNC(=O)CN1CCC2(CCN(CCCC#N)C2=O)CC1 ZINC001274075396 848343688 /nfs/dbraw/zinc/34/36/88/848343688.db2.gz NQAVREZKCPLWGT-UHFFFAOYSA-N 0 1 292.383 0.351 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](C)[C@@H](NCc2cnnn2C)C1 ZINC001274199989 848372739 /nfs/dbraw/zinc/37/27/39/848372739.db2.gz WOKVYKMCWDTTIB-OCCSQVGLSA-N 0 1 289.383 0.411 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)nn1)C2 ZINC001095380569 848380947 /nfs/dbraw/zinc/38/09/47/848380947.db2.gz NHEDCUPOIJQKHN-MDZLAQPJSA-N 0 1 275.356 0.726 20 30 CCEDMN Cc1nnc(SCCC(=O)NC2=NO[C@H](C)C2)[nH]1 ZINC000777435552 848417699 /nfs/dbraw/zinc/41/76/99/848417699.db2.gz ITLRNZASWUPAGC-ZCFIWIBFSA-N 0 1 269.330 0.834 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2Cc2nnc[nH]2)C1=O ZINC001274354311 848456008 /nfs/dbraw/zinc/45/60/08/848456008.db2.gz GNAIHMQFFACEBM-CYBMUJFWSA-N 0 1 261.329 0.558 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)[C@@H]1C[C@H]1CC ZINC001274379952 848464219 /nfs/dbraw/zinc/46/42/19/848464219.db2.gz XOCQHXONJIKRCC-NTQVKLLNSA-N 0 1 277.368 0.044 20 30 CCEDMN C=CCCC(=O)N1CCN(C2CN(CCCOC)C2)CC1 ZINC001274468368 848484960 /nfs/dbraw/zinc/48/49/60/848484960.db2.gz UFDRPRDQIFAWPD-UHFFFAOYSA-N 0 1 295.427 0.818 20 30 CCEDMN C=CCC1(C(=O)NC/C=C\CNCC(N)=O)CCCC1 ZINC001274641265 848529377 /nfs/dbraw/zinc/52/93/77/848529377.db2.gz KIAWPKWOFLJTIP-WAYWQWQTSA-N 0 1 279.384 0.870 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CNCc1ccn(CC)n1 ZINC001274733611 848554865 /nfs/dbraw/zinc/55/48/65/848554865.db2.gz ZXBAANOPGHLBGM-IUODEOHRSA-N 0 1 294.399 0.824 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)C=C(C)C)CC1 ZINC001274891338 848585877 /nfs/dbraw/zinc/58/58/77/848585877.db2.gz CCTZNIRJIKIUGQ-UHFFFAOYSA-N 0 1 250.342 0.529 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H]2CCC[C@@H]3C[C@@H]32)CC1 ZINC001274916183 848588598 /nfs/dbraw/zinc/58/85/98/848588598.db2.gz BYZJUNOCQXABAA-KFWWJZLASA-N 0 1 290.407 0.999 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H](C)NC(=O)c1ccoc1 ZINC001275567820 848763522 /nfs/dbraw/zinc/76/35/22/848763522.db2.gz XDJBYXDONBRFMC-NWDGAFQWSA-N 0 1 291.351 0.468 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H](CC(=C)C)NC(C)=O ZINC001275665843 848786836 /nfs/dbraw/zinc/78/68/36/848786836.db2.gz ONJBOJRSDGSIAV-OCCSQVGLSA-N 0 1 279.384 0.527 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1nc2nccc(C)n2n1 ZINC001275714483 848799114 /nfs/dbraw/zinc/79/91/14/848799114.db2.gz WQQIUPNWZNMECF-JTQLQIEISA-N 0 1 286.339 0.116 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)C[C@@H]1COC(=O)C1 ZINC001275810694 848832283 /nfs/dbraw/zinc/83/22/83/848832283.db2.gz LRJRSRLZIFZYOA-STQMWFEESA-N 0 1 296.367 0.026 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ncccc1NC(C)=O ZINC001275846340 848844437 /nfs/dbraw/zinc/84/44/37/848844437.db2.gz YEXFUTNCONMVBR-LLVKDONJSA-N 0 1 288.351 0.723 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccc2c(c1)nnn2C ZINC001275858001 848849030 /nfs/dbraw/zinc/84/90/30/848849030.db2.gz FYJUKYCPWPWRBB-NSHDSACASA-N 0 1 285.351 0.652 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCc1cn(C)nn1 ZINC001276020766 848892320 /nfs/dbraw/zinc/89/23/20/848892320.db2.gz DOATWEJSTFECCR-GFCCVEGCSA-N 0 1 277.372 0.208 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn[nH]c(=O)c1)C2 ZINC001095578232 848992400 /nfs/dbraw/zinc/99/24/00/848992400.db2.gz WTTRPMDOLPQTBM-AGIUHOORSA-N 0 1 286.335 0.541 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn[nH]c(=O)c1)C2 ZINC001095581558 848992801 /nfs/dbraw/zinc/99/28/01/848992801.db2.gz PILAOVZYUULKNK-WOPDTQHZSA-N 0 1 272.308 0.151 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCCC(=O)N1)C2 ZINC001095730341 849092520 /nfs/dbraw/zinc/09/25/20/849092520.db2.gz FEMXDKQWGOAVRU-CRWXNKLISA-N 0 1 291.395 0.953 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)(C)COC ZINC001114611464 849314054 /nfs/dbraw/zinc/31/40/54/849314054.db2.gz WZSLXHMRBIQZSI-IMRBUKKESA-N 0 1 264.369 0.729 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CCF)CC1 ZINC001114613793 849318386 /nfs/dbraw/zinc/31/83/86/849318386.db2.gz RDSHRQDGHOGFHB-WDNDVIMCSA-N 0 1 294.370 0.822 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C(F)(F)F ZINC001114702710 849371425 /nfs/dbraw/zinc/37/14/25/849371425.db2.gz GWUWMEUQVLPKDA-AXTSPUMRSA-N 0 1 260.259 0.864 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N3CCC3)C[C@H]21 ZINC001114752607 849386893 /nfs/dbraw/zinc/38/68/93/849386893.db2.gz HWLAJGCHJHVXJC-VIKVFOODSA-N 0 1 291.395 0.621 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN([C@@H](CC)C(N)=O)C[C@H]21 ZINC001114760085 849388306 /nfs/dbraw/zinc/38/83/06/849388306.db2.gz TVXOZBUCDMBABF-KZVDOYCCSA-N 0 1 279.384 0.653 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCOCC)C1 ZINC001276358689 849445274 /nfs/dbraw/zinc/44/52/74/849445274.db2.gz DNCODSMCPFQRLB-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC12CC2 ZINC001114884864 849569394 /nfs/dbraw/zinc/56/93/94/849569394.db2.gz DAHLVWDLNMSAQF-RQJABVFESA-N 0 1 274.364 0.483 20 30 CCEDMN CO[C@@]1(CNCc2cccc(C#N)c2)CCS(=O)(=O)C1 ZINC000721219245 849644601 /nfs/dbraw/zinc/64/46/01/849644601.db2.gz OETAKUFXWHXJMY-CQSZACIVSA-N 0 1 294.376 0.852 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001038027255 849648177 /nfs/dbraw/zinc/64/81/77/849648177.db2.gz LGIQMLCFWWZYCH-ZDUSSCGKSA-N 0 1 261.325 0.700 20 30 CCEDMN C=CCCC(=O)N(C)CCCN(C)C(=O)Cc1ncn[nH]1 ZINC001066986913 849696056 /nfs/dbraw/zinc/69/60/56/849696056.db2.gz XPRUAABHZLNCRR-UHFFFAOYSA-N 0 1 293.371 0.620 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CCN1CCOC ZINC001038796364 850019920 /nfs/dbraw/zinc/01/99/20/850019920.db2.gz FVRIIUITINITDZ-RDBSUJKOSA-N 0 1 282.384 0.805 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnc2c(cnn2C)c1 ZINC001038856495 850049355 /nfs/dbraw/zinc/04/93/55/850049355.db2.gz HAMCQXVAYIIWJM-AWEZNQCLSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)c1cnccc1N(C)C ZINC001038921361 850082852 /nfs/dbraw/zinc/08/28/52/850082852.db2.gz JGJICUPCLHXWPB-GFCCVEGCSA-N 0 1 272.352 0.585 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(C(N)=O)cc1 ZINC001039019029 850120893 /nfs/dbraw/zinc/12/08/93/850120893.db2.gz SRPNZMPSSCXCEL-AWEZNQCLSA-N 0 1 285.347 0.613 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cncc(C(N)=O)c1 ZINC001039035301 850133008 /nfs/dbraw/zinc/13/30/08/850133008.db2.gz ZSGZDJYUTIIXTK-ZDUSSCGKSA-N 0 1 288.351 0.561 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(=O)NC1 ZINC001039398088 850184079 /nfs/dbraw/zinc/18/40/79/850184079.db2.gz KYWXVFAWJROMJS-MJBXVCDLSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(=O)n1C ZINC001039416936 850188245 /nfs/dbraw/zinc/18/82/45/850188245.db2.gz OLWJRYLRJXZSME-NEPJUHHUSA-N 0 1 288.351 0.438 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CC[C@]2(CCN(CC#N)C2)C1 ZINC001041137995 850380268 /nfs/dbraw/zinc/38/02/68/850380268.db2.gz ABBSAOUXIXEQHL-HIFRSBDPSA-N 0 1 276.384 0.529 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnn(C)c3)C[C@@H]21 ZINC001041925590 850529801 /nfs/dbraw/zinc/52/98/01/850529801.db2.gz WVNVDWWGPSRRNS-OCCSQVGLSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cocn3)C[C@@H]21 ZINC001041972104 850545296 /nfs/dbraw/zinc/54/52/96/850545296.db2.gz JMMOQLLNIMKWDZ-AAEUAGOBSA-N 0 1 259.309 0.844 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cncnc3)C[C@@H]21 ZINC001042024638 850560253 /nfs/dbraw/zinc/56/02/53/850560253.db2.gz ZAKNNOXTIOOFLN-OCCSQVGLSA-N 0 1 270.336 0.646 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc(=O)n(C)o3)C[C@@H]21 ZINC001042033155 850561701 /nfs/dbraw/zinc/56/17/01/850561701.db2.gz RDQRTDSITRYGKR-RYUDHWBXSA-N 0 1 289.335 0.148 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnn4c3CCC4)C[C@H]21 ZINC001042084740 850575202 /nfs/dbraw/zinc/57/52/02/850575202.db2.gz VSBXNECVCHCVPS-CZUORRHYSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cncn3C)C[C@@H]21 ZINC001042139793 850584629 /nfs/dbraw/zinc/58/46/29/850584629.db2.gz ZJDVQNDKUZWLAE-JSGCOSHPSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnc(C)n3C)C[C@@H]21 ZINC001042196044 850592555 /nfs/dbraw/zinc/59/25/55/850592555.db2.gz GICJITKRYSYDRM-ZFWWWQNUSA-N 0 1 286.379 0.898 20 30 CCEDMN C[C@@H]1CN(c2ncccc2C#N)C[C@H]1NC(=O)c1cnn[nH]1 ZINC001042643293 850720275 /nfs/dbraw/zinc/72/02/75/850720275.db2.gz VXRQMIWYUCLTSM-BXKDBHETSA-N 0 1 297.322 0.326 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cccc3[nH]cnc32)C1 ZINC001044080529 851005634 /nfs/dbraw/zinc/00/56/34/851005634.db2.gz QTZBQVMAIORMDW-UHFFFAOYSA-N 0 1 268.320 0.952 20 30 CCEDMN C=CCN1CCC[C@@H](NC[C@@H](O)C(=O)OC(C)(C)C)C1=O ZINC001253706035 851046809 /nfs/dbraw/zinc/04/68/09/851046809.db2.gz HNLHCNZDGKRAEK-VXGBXAGGSA-N 0 1 298.383 0.456 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)Cc2[nH]cnc2C)C1 ZINC001044233506 851048987 /nfs/dbraw/zinc/04/89/87/851048987.db2.gz AZKKZEXUYYLAKJ-UHFFFAOYSA-N 0 1 262.357 0.979 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001044240018 851050039 /nfs/dbraw/zinc/05/00/39/851050039.db2.gz IIKFNMJTCHUZHD-AWEZNQCLSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccc(=O)n1C)C2 ZINC001095990350 851138097 /nfs/dbraw/zinc/13/80/97/851138097.db2.gz OIYFZYUXGIMGFZ-AGIUHOORSA-N 0 1 287.363 0.906 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H]1CNCc1cnns1 ZINC001044960448 851195648 /nfs/dbraw/zinc/19/56/48/851195648.db2.gz DCKRVJNAPNEEBE-ZJUUUORDSA-N 0 1 279.369 0.778 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cc(C(N)=O)co2)CC1 ZINC001045551507 851277674 /nfs/dbraw/zinc/27/76/74/851277674.db2.gz MYWLRFIAZWHXDL-UHFFFAOYSA-N 0 1 289.335 0.596 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2nccnc2N)C1 ZINC001046206845 851421456 /nfs/dbraw/zinc/42/14/56/851421456.db2.gz JWFIAYRJLWVKIE-ZDUSSCGKSA-N 0 1 261.329 0.439 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046225312 851430003 /nfs/dbraw/zinc/43/00/03/851430003.db2.gz ZMLZEXJECVXGQV-CQSZACIVSA-N 0 1 256.309 0.714 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCCO2)C1 ZINC001046235585 851431682 /nfs/dbraw/zinc/43/16/82/851431682.db2.gz ICPRRTHRQDMWHE-TZMCWYRMSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)C2=CCOCC2)C1 ZINC001046263064 851444616 /nfs/dbraw/zinc/44/46/16/851444616.db2.gz BGAFDSCRMLVGAC-HNNXBMFYSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001046397353 851486162 /nfs/dbraw/zinc/48/61/62/851486162.db2.gz SXVIUKFYKAVKTJ-ZDUSSCGKSA-N 0 1 260.297 0.010 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C2CC(OC)C2)C1 ZINC001046405023 851491939 /nfs/dbraw/zinc/49/19/39/851491939.db2.gz MAEMDRDMDABHRB-YIZWMMSDSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001046426629 851497459 /nfs/dbraw/zinc/49/74/59/851497459.db2.gz QVICXBQMWBXOGX-INIZCTEOSA-N 0 1 285.347 0.613 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccnc3c2nnn3C)C1 ZINC001046579419 851557091 /nfs/dbraw/zinc/55/70/91/851557091.db2.gz LXNGIGJTELMONS-OAHLLOKOSA-N 0 1 298.350 0.191 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2n[nH]cc2F)C1 ZINC001046600615 851563201 /nfs/dbraw/zinc/56/32/01/851563201.db2.gz QVARTVLGGBSURR-GFCCVEGCSA-N 0 1 252.293 0.929 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2nc(C)c[nH]2)C1 ZINC001046692982 851582546 /nfs/dbraw/zinc/58/25/46/851582546.db2.gz KORMAUBEIPOIDQ-AWEZNQCLSA-N 0 1 260.341 0.936 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)c2cnon2)C1 ZINC001046716214 851591896 /nfs/dbraw/zinc/59/18/96/851591896.db2.gz CXXFDSDLGUSFLG-GFCCVEGCSA-N 0 1 250.302 0.840 20 30 CCEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cnon2)C1 ZINC001046716214 851591907 /nfs/dbraw/zinc/59/19/07/851591907.db2.gz CXXFDSDLGUSFLG-GFCCVEGCSA-N 0 1 250.302 0.840 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC001046759159 851607176 /nfs/dbraw/zinc/60/71/76/851607176.db2.gz VQODAUSWWTVKRD-WBMJQRKESA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2[nH]cnc2CC)C1 ZINC001046802484 851616310 /nfs/dbraw/zinc/61/63/10/851616310.db2.gz GRZBNGMJPVABEK-AWEZNQCLSA-N 0 1 260.341 0.800 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2[nH]cnc2C)C1 ZINC001046876763 851638852 /nfs/dbraw/zinc/63/88/52/851638852.db2.gz MGABQTGBWKPDMC-CQSZACIVSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)o2)C1 ZINC001047292316 851701158 /nfs/dbraw/zinc/70/11/58/851701158.db2.gz GSFXEAVACSOGCA-STQMWFEESA-N 0 1 276.336 0.728 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)o2)C1 ZINC001047292316 851701168 /nfs/dbraw/zinc/70/11/68/851701168.db2.gz GSFXEAVACSOGCA-STQMWFEESA-N 0 1 276.336 0.728 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CC)[nH]n2)C1 ZINC001047309426 851710364 /nfs/dbraw/zinc/71/03/64/851710364.db2.gz CRHYJGCHGAOHOS-KBPBESRZSA-N 0 1 290.367 0.113 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccn[nH]2)C1 ZINC001047332157 851722629 /nfs/dbraw/zinc/72/26/29/851722629.db2.gz PPQANUJTVWIIGY-STQMWFEESA-N 0 1 278.356 0.032 20 30 CCEDMN C=C(C)C[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccn[nH]2)C1 ZINC001047332157 851722630 /nfs/dbraw/zinc/72/26/30/851722630.db2.gz PPQANUJTVWIIGY-STQMWFEESA-N 0 1 278.356 0.032 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cc[nH]n2)C1 ZINC001047332157 851722632 /nfs/dbraw/zinc/72/26/32/851722632.db2.gz PPQANUJTVWIIGY-STQMWFEESA-N 0 1 278.356 0.032 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@H]2CCCCO2)C1 ZINC001047346101 851731477 /nfs/dbraw/zinc/73/14/77/851731477.db2.gz AVDZTSDCLQATOL-ILXRZTDVSA-N 0 1 294.395 0.472 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cccc3c[nH]nc32)C1 ZINC001047479646 851780965 /nfs/dbraw/zinc/78/09/65/851780965.db2.gz WELWOTXSRREASP-KBPBESRZSA-N 0 1 298.346 0.313 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)co2)C1 ZINC001047494192 851786699 /nfs/dbraw/zinc/78/66/99/851786699.db2.gz APUKGRWLTJHNIT-STQMWFEESA-N 0 1 276.336 0.728 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccco2)C1 ZINC001047572244 851819937 /nfs/dbraw/zinc/81/99/37/851819937.db2.gz KPKNOTLQXOOHGN-KBPBESRZSA-N 0 1 276.336 0.349 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCc3nc[nH]c3C1)C2 ZINC001096296945 851908073 /nfs/dbraw/zinc/90/80/73/851908073.db2.gz OYKPTYUIYXVUBE-VZAMPYOESA-N 0 1 298.390 0.869 20 30 CCEDMN C[C@@H]1CN(C(=O)C#CC2CC2)C[C@@H]1CNCc1cnon1 ZINC001048253246 851922590 /nfs/dbraw/zinc/92/25/90/851922590.db2.gz OCYNFGXOZYRCAU-YPMHNXCESA-N 0 1 288.351 0.667 20 30 CCEDMN C=CCCC(=O)N1C[C@H](O)[C@@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC001048326743 851938515 /nfs/dbraw/zinc/93/85/15/851938515.db2.gz BFVIGGIVMJKSTP-RYUDHWBXSA-N 0 1 292.339 0.020 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)Cn3cncn3)C[C@@H]2C1 ZINC001049202639 852191579 /nfs/dbraw/zinc/19/15/79/852191579.db2.gz WOITZWDESVXAGJ-TXEJJXNPSA-N 0 1 295.774 0.421 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1COCCO1 ZINC001049346425 852237187 /nfs/dbraw/zinc/23/71/87/852237187.db2.gz LRDYHLMGVICEHW-ZNMIVQPWSA-N 0 1 292.379 0.490 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)Cn1cc(C)cn1 ZINC001049360958 852241033 /nfs/dbraw/zinc/24/10/33/852241033.db2.gz QFLRFQLRKKEENC-HUUCEWRRSA-N 0 1 286.379 0.890 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cncnc1 ZINC001049438079 852276219 /nfs/dbraw/zinc/27/62/19/852276219.db2.gz VVRLCZBVXRQKNN-UONOGXRCSA-N 0 1 270.336 0.789 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049455713 852285458 /nfs/dbraw/zinc/28/54/58/852285458.db2.gz FTGUBXYDMWFLHG-RYUDHWBXSA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@]12C[C@H]1COC2 ZINC001049487633 852295627 /nfs/dbraw/zinc/29/56/27/852295627.db2.gz VWRURRJXGLLTMM-NHIYQJMISA-N 0 1 274.364 0.721 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049628195 852325366 /nfs/dbraw/zinc/32/53/66/852325366.db2.gz BATCFDOWEDHYIR-NEPJUHHUSA-N 0 1 273.340 0.425 20 30 CCEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049787756 852373976 /nfs/dbraw/zinc/37/39/76/852373976.db2.gz KUWXHIOPXHQIII-KBPBESRZSA-N 0 1 287.367 0.517 20 30 CCEDMN N#Cc1ccc(N[C@@H](CNC(=O)c2ncn[nH]2)C2CC2)nn1 ZINC001096705073 852427570 /nfs/dbraw/zinc/42/75/70/852427570.db2.gz ICDRSSREDVNDII-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1ccc(N[C@@H](CNC(=O)c2nc[nH]n2)C2CC2)nn1 ZINC001096705073 852427580 /nfs/dbraw/zinc/42/75/80/852427580.db2.gz ICDRSSREDVNDII-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CCO)c1 ZINC001096925120 852468601 /nfs/dbraw/zinc/46/86/01/852468601.db2.gz SLJRZRKXKPDGEI-WZRBSPASSA-N 0 1 274.324 0.214 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001097096077 852498436 /nfs/dbraw/zinc/49/84/36/852498436.db2.gz IBRJDXCUACEDJY-ZSAUSMIDSA-N 0 1 294.395 0.681 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCC(=O)NC1)C2 ZINC001097400585 852532828 /nfs/dbraw/zinc/53/28/28/852532828.db2.gz OLZAETWCGXEZFW-LOWDOPEQSA-N 0 1 277.368 0.420 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)C1CCC1)C2 ZINC001111453128 852552366 /nfs/dbraw/zinc/55/23/66/852552366.db2.gz OZZUPLIRJVGQDP-MCIONIFRSA-N 0 1 289.379 0.257 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H]1CCCN1C)Nc1ccc(C#N)cn1 ZINC001097728969 852592913 /nfs/dbraw/zinc/59/29/13/852592913.db2.gz GQWFVBNFTUJNAC-AAEUAGOBSA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@@H](CNC(=O)[C@H]1CCCN1C)Nc1nccnc1C#N ZINC001097729400 852593223 /nfs/dbraw/zinc/59/32/23/852593223.db2.gz JOLYRBQSLHITOQ-CMPLNLGQSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@H](CNC(=O)[C@@H]1CCCN1C)Nc1nccnc1C#N ZINC001097729402 852593450 /nfs/dbraw/zinc/59/34/50/852593450.db2.gz JOLYRBQSLHITOQ-PWSUYJOCSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@H](CNC(=O)[C@H]1CCCN1C)Nc1ccc(C#N)cn1 ZINC001097728978 852594119 /nfs/dbraw/zinc/59/41/19/852594119.db2.gz GQWFVBNFTUJNAC-DGCLKSJQSA-N 0 1 287.367 0.964 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)Nc1ncnc2[nH]cnc21 ZINC001097822185 852629445 /nfs/dbraw/zinc/62/94/45/852629445.db2.gz BFDMYGRNOHMIMD-JTQLQIEISA-N 0 1 286.339 0.929 20 30 CCEDMN C#CCN1CC2(C1)CN(C(=O)c1cc(CC)[nH]n1)CCO2 ZINC001053161820 852697438 /nfs/dbraw/zinc/69/74/38/852697438.db2.gz APIVEZXFKJUJGS-UHFFFAOYSA-N 0 1 288.351 0.132 20 30 CCEDMN C=CCCN1CC2(C1)CN(C(=O)[C@@H]1CC1[N+](=O)[O-])CCO2 ZINC001053253344 852720587 /nfs/dbraw/zinc/72/05/87/852720587.db2.gz GTKRUCNLIAFWSR-VXGBXAGGSA-N 0 1 295.339 0.141 20 30 CCEDMN C=C(C)CN1CC2(C1)CN(C(=O)[C@@H]1CC1[N+](=O)[O-])CCO2 ZINC001053253401 852720732 /nfs/dbraw/zinc/72/07/32/852720732.db2.gz ISZBJYQDONXXCU-VXGBXAGGSA-N 0 1 295.339 0.141 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CCOC1)O2 ZINC001053582074 852765772 /nfs/dbraw/zinc/76/57/72/852765772.db2.gz ZDSMMXUFZDNXLH-KBPBESRZSA-N 0 1 294.395 0.949 20 30 CCEDMN CNC(=O)CN1CC[C@@]2(C1)CCCN(C(=O)[C@H](C)C#N)C2 ZINC001054101957 852884721 /nfs/dbraw/zinc/88/47/21/852884721.db2.gz IQTGLESHTWJOHJ-IUODEOHRSA-N 0 1 292.383 0.207 20 30 CCEDMN COC(=O)CC[C@H](N[C@H]1CC[C@H](C#N)C1)C(N)=O ZINC001254647879 852899627 /nfs/dbraw/zinc/89/96/27/852899627.db2.gz ITVHZFQFBUUWJX-GUBZILKMSA-N 0 1 253.302 0.075 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2nnn(C)c2C)C[C@H]1C ZINC001054523006 852967163 /nfs/dbraw/zinc/96/71/63/852967163.db2.gz ILVBSXMMCHSBJF-LDYMZIIASA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ncn(C)n2)C[C@H]1C ZINC001054614880 852982422 /nfs/dbraw/zinc/98/24/22/852982422.db2.gz BDEZUWLBNDATMN-SCZZXKLOSA-N 0 1 283.763 0.618 20 30 CCEDMN C[C@@H](CNC(=O)c1ncn[nH]1)Nc1ncccc1C#N ZINC001097995222 853082961 /nfs/dbraw/zinc/08/29/61/853082961.db2.gz KRPWZYPCGBAIFR-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C[C@@H](CNC(=O)c1nc[nH]n1)Nc1ncccc1C#N ZINC001097995222 853082969 /nfs/dbraw/zinc/08/29/69/853082969.db2.gz KRPWZYPCGBAIFR-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCN1CCC(NC(C)=O)CC1 ZINC001055592141 853088971 /nfs/dbraw/zinc/08/89/71/853088971.db2.gz CQLSPTQPKISEJS-LBPRGKRZSA-N 0 1 297.399 0.294 20 30 CCEDMN CC[C@@H](CC#N)NCCOCCOCCOCCO ZINC001255156369 853124809 /nfs/dbraw/zinc/12/48/09/853124809.db2.gz NLAUXZYWPHEZBD-ZDUSSCGKSA-N 0 1 274.361 0.310 20 30 CCEDMN N#Cc1nccnc1N1CCCN(C(=O)c2ccn[nH]2)CC1 ZINC001057092068 853269630 /nfs/dbraw/zinc/26/96/30/853269630.db2.gz VNHPSEOVXZQDAO-UHFFFAOYSA-N 0 1 297.322 0.424 20 30 CCEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3cn[nH]n3)[C@@H]2C1 ZINC001050083868 853311428 /nfs/dbraw/zinc/31/14/28/853311428.db2.gz URKTWSGODCHOMW-CMPLNLGQSA-N 0 1 261.329 0.527 20 30 CCEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3nc[nH]n3)[C@@H]2C1 ZINC001050167241 853327817 /nfs/dbraw/zinc/32/78/17/853327817.db2.gz DOHCQYLZXMNTJC-WDEREUQCSA-N 0 1 261.329 0.527 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(Nc2ncnc3[nH]cnc32)CC1 ZINC001057296530 853338975 /nfs/dbraw/zinc/33/89/75/853338975.db2.gz LLOICUBUWDSNBK-VIFPVBQESA-N 0 1 299.338 0.915 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(C)n1)C2 ZINC001098032719 853451939 /nfs/dbraw/zinc/45/19/39/853451939.db2.gz JSZGFRYCYSRMAY-WZRBSPASSA-N 0 1 273.340 0.174 20 30 CCEDMN C#CCN1CCOC[C@@H]1CNC(=O)[C@@H]1CCCCN1CC ZINC001051255549 853555196 /nfs/dbraw/zinc/55/51/96/853555196.db2.gz RTISWFGLEVQWOT-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN Cc1nocc1CNC[C@@H]1CN(C(=O)[C@H](C)C#N)CCO1 ZINC001051493816 853594941 /nfs/dbraw/zinc/59/49/41/853594941.db2.gz AHYYLAKXUOELFP-ZWNOBZJWSA-N 0 1 292.339 0.460 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCO[C@@H](CNCc2ccccn2)C1 ZINC001051495465 853596341 /nfs/dbraw/zinc/59/63/41/853596341.db2.gz VBMUBDWARCCOTG-OCCSQVGLSA-N 0 1 288.351 0.558 20 30 CCEDMN Cc1ncoc1CNC[C@H]1CN(C(=O)[C@@H](C)C#N)CCO1 ZINC001051494401 853597115 /nfs/dbraw/zinc/59/71/15/853597115.db2.gz IIQBKPCPCBBBAE-JQWIXIFHSA-N 0 1 292.339 0.460 20 30 CCEDMN N#Cc1ccc(NC2CC(CNC(=O)c3cnn[nH]3)C2)cn1 ZINC001052144015 853692248 /nfs/dbraw/zinc/69/22/48/853692248.db2.gz BVWUVIYWWKVTBX-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H]2CCNC2=O)CC1 ZINC001052622283 853770820 /nfs/dbraw/zinc/77/08/20/853770820.db2.gz QKQATLAWIRMHOL-NEPJUHHUSA-N 0 1 299.802 0.846 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N([C@@H](C)C(=O)[O-])C1CC1 ZINC000383442698 853858391 /nfs/dbraw/zinc/85/83/91/853858391.db2.gz YQSZTCKOQBQPNL-NSHDSACASA-N 0 1 281.356 0.589 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)C1CC(Nc2cnc(C#N)cn2)C1 ZINC001069708528 853972096 /nfs/dbraw/zinc/97/20/96/853972096.db2.gz HHGHSFWQOJKZSC-UHFFFAOYSA-N 0 1 297.322 0.786 20 30 CCEDMN CCN1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)[C@@H](n2ccnn2)C1 ZINC001069911727 853998639 /nfs/dbraw/zinc/99/86/39/853998639.db2.gz MOHYFIFKOQONKS-OLZOCXBDSA-N 0 1 299.338 0.153 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ccoc2)C[C@@H]1n1ccnn1 ZINC001070139122 854030392 /nfs/dbraw/zinc/03/03/92/854030392.db2.gz ZHEUOGDWTOCUGN-OLZOCXBDSA-N 0 1 285.307 0.160 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2(C)CCC2)C[C@@H]1n1ccnn1 ZINC001070254084 854039118 /nfs/dbraw/zinc/03/91/18/854039118.db2.gz YQIOEFRUDFXUEJ-OLZOCXBDSA-N 0 1 287.367 0.443 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001070531784 854072651 /nfs/dbraw/zinc/07/26/51/854072651.db2.gz KJGIRRBBUPXMRS-SNVBAGLBSA-N 0 1 277.328 0.492 20 30 CCEDMN C=CC[N@@H+]1CC[C@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@@H](C)O2 ZINC001071138992 854126297 /nfs/dbraw/zinc/12/62/97/854126297.db2.gz NLMIZVCLYWUQOB-DOMZBBRYSA-N 0 1 290.367 0.901 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)c1cc[nH]n1)C[C@@H](C)O2 ZINC001071138992 854126325 /nfs/dbraw/zinc/12/63/25/854126325.db2.gz NLMIZVCLYWUQOB-DOMZBBRYSA-N 0 1 290.367 0.901 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)c1cc[nH]c1)C[C@@H](C)O2 ZINC001071168704 854131901 /nfs/dbraw/zinc/13/19/01/854131901.db2.gz GJNULFNZKWWIMB-CZUORRHYSA-N 0 1 287.363 0.953 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2nccnc2N)CC[C@H]1C ZINC001071396119 854175110 /nfs/dbraw/zinc/17/51/10/854175110.db2.gz HSPSNOAFIIOMFE-MNOVXSKESA-N 0 1 275.356 0.828 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2ccnn2C)CC[C@H]1C ZINC001071466800 854209180 /nfs/dbraw/zinc/20/91/80/854209180.db2.gz HMKJYJZLCIGUQU-KGLIPLIRSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@H]1C ZINC001071497162 854221528 /nfs/dbraw/zinc/22/15/28/854221528.db2.gz CYCHKADUXZEUMG-ZYHUDNBSSA-N 0 1 275.356 0.258 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C ZINC001071652371 854257664 /nfs/dbraw/zinc/25/76/64/854257664.db2.gz TUQCAMKZMODECA-ZYHUDNBSSA-N 0 1 276.340 0.953 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1C ZINC001071652626 854259178 /nfs/dbraw/zinc/25/91/78/854259178.db2.gz XGKQUYLFHMWSBF-AAEUAGOBSA-N 0 1 288.351 0.646 20 30 CCEDMN C#CCC[N@@H+]1C[C@H](NC(=O)c2[nH]nnc2C)CC[C@@H]1C ZINC001071728567 854272407 /nfs/dbraw/zinc/27/24/07/854272407.db2.gz OSUBIAVQCQVSTG-CMPLNLGQSA-N 0 1 275.356 0.719 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC[C@H]1C ZINC001071738508 854276020 /nfs/dbraw/zinc/27/60/20/854276020.db2.gz DGVFASKETQSLMV-SEBNEYGDSA-N 0 1 276.380 0.871 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2nnn(C)n2)CC[C@@H]1C ZINC001071748457 854279530 /nfs/dbraw/zinc/27/95/30/854279530.db2.gz OIBWUTRABOPILB-QWRGUYRKSA-N 0 1 278.360 0.369 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@H]1C ZINC001071776595 854287789 /nfs/dbraw/zinc/28/77/89/854287789.db2.gz WDCPKVMBVILBAN-NEPJUHHUSA-N 0 1 288.351 0.376 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2nccc2C)CC[C@H]1C ZINC001071865994 854310700 /nfs/dbraw/zinc/31/07/00/854310700.db2.gz PNDGZNBITBFWRA-TZMCWYRMSA-N 0 1 274.368 0.794 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cnn(C)n2)CC[C@H]1C ZINC001071890399 854313455 /nfs/dbraw/zinc/31/34/55/854313455.db2.gz LJZGQXHYVWJRRT-GHMZBOCLSA-N 0 1 263.345 0.584 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2nc[nH]n2)CC[C@@H]1C ZINC001071977064 854329843 /nfs/dbraw/zinc/32/98/43/854329843.db2.gz MFYWCBWTFZJIHD-NWDGAFQWSA-N 0 1 275.356 0.340 20 30 CCEDMN C=CCCC(=O)N[C@H]1CN(C(=O)Cc2c[nH]cn2)C[C@H]1C ZINC001072799933 854463835 /nfs/dbraw/zinc/46/38/35/854463835.db2.gz ZVABZOVRIAESEZ-YPMHNXCESA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3(CF)CCOCC3)C2)C1 ZINC001072983430 854509072 /nfs/dbraw/zinc/50/90/72/854509072.db2.gz WFLRYCLYGRVWKQ-UHFFFAOYSA-N 0 1 294.370 0.920 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCO[C@@H](C)C3)C2)C1 ZINC001073083547 854526969 /nfs/dbraw/zinc/52/69/69/854526969.db2.gz DSECTVJRWFLVQF-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C2=CCOCC2)C1 ZINC001073580838 854602050 /nfs/dbraw/zinc/60/20/50/854602050.db2.gz MDEHZZXMTBPZNU-OAHLLOKOSA-N 0 1 292.379 0.564 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnn(CC)n2)C1 ZINC001073597819 854608014 /nfs/dbraw/zinc/60/80/14/854608014.db2.gz JDQCFVJDOCOOLA-GFCCVEGCSA-N 0 1 293.371 0.305 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2cc[nH]c2)C1 ZINC001073618011 854616730 /nfs/dbraw/zinc/61/67/30/854616730.db2.gz RJFVKSYXEKPQQX-AWEZNQCLSA-N 0 1 275.352 0.859 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001073642353 854623268 /nfs/dbraw/zinc/62/32/68/854623268.db2.gz LCKYBRFOSNNLEL-IHRRRGAJSA-N 0 1 298.383 0.183 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C2CC(OC)C2)C1 ZINC001073651739 854624583 /nfs/dbraw/zinc/62/45/83/854624583.db2.gz FFIAZAQNMZAOAI-NRXISQOPSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2c[nH]nc2C)C1 ZINC001073719549 854634920 /nfs/dbraw/zinc/63/49/20/854634920.db2.gz KGXLUSQWDIADMB-LBPRGKRZSA-N 0 1 278.356 0.725 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001073732451 854635461 /nfs/dbraw/zinc/63/54/61/854635461.db2.gz PAFVEMNNQYUHIY-STQMWFEESA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cn(CC)nn2)C1 ZINC001073837016 854652972 /nfs/dbraw/zinc/65/29/72/854652972.db2.gz KZBQAEMCMYFNTC-GFCCVEGCSA-N 0 1 293.371 0.305 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)[C@H](C)C1 ZINC001074106269 854670464 /nfs/dbraw/zinc/67/04/64/854670464.db2.gz CQVLLVVIVWBAMD-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)[C@H](C)C1 ZINC001074106269 854670465 /nfs/dbraw/zinc/67/04/65/854670465.db2.gz CQVLLVVIVWBAMD-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)CN1CCO[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC001074167855 854685052 /nfs/dbraw/zinc/68/50/52/854685052.db2.gz XJUDINORCIMMIX-UONOGXRCSA-N 0 1 290.367 0.901 20 30 CCEDMN C#CCN1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001074185164 854689767 /nfs/dbraw/zinc/68/97/67/854689767.db2.gz XTXGFIKYHXTDJS-ZIAGYGMSSA-N 0 1 288.351 0.267 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@H]21 ZINC001074199306 854693954 /nfs/dbraw/zinc/69/39/54/854693954.db2.gz AEFWKKVGZWPMDK-ZIAGYGMSSA-N 0 1 290.367 0.440 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@H]21 ZINC001074194204 854694003 /nfs/dbraw/zinc/69/40/03/854694003.db2.gz GXTVBPDJZSPUCR-KGLIPLIRSA-N 0 1 290.367 0.819 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)c3[nH]nnc3C)C[C@H]21 ZINC001074311699 854710214 /nfs/dbraw/zinc/71/02/14/854710214.db2.gz ATFDYVNEHRYOJN-NEPJUHHUSA-N 0 1 291.355 0.214 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H]2CCC(=O)N2)[C@H]1C ZINC001074964866 854802085 /nfs/dbraw/zinc/80/20/85/854802085.db2.gz WSTVELPYBSANAQ-SRVKXCTJSA-N 0 1 299.802 0.987 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1cncn1)C2 ZINC001098341271 854858642 /nfs/dbraw/zinc/85/86/42/854858642.db2.gz PJUNFWOIHGZGMW-UPJWGTAASA-N 0 1 273.340 0.023 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnccn2)C1 ZINC001098707021 854876830 /nfs/dbraw/zinc/87/68/30/854876830.db2.gz VCTVDPDPSYLJGO-WFASDCNBSA-N 0 1 270.336 0.694 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CCCC(N)=O ZINC001099364108 854930219 /nfs/dbraw/zinc/93/02/19/854930219.db2.gz GWLANVLMQUHBHD-CYBMUJFWSA-N 0 1 293.411 0.882 20 30 CCEDMN COCC#CCN1C[C@@H](NC(C)=O)CC2(CCOCC2)C1 ZINC001099559751 854939104 /nfs/dbraw/zinc/93/91/04/854939104.db2.gz HWPZLIMKILPGAX-HNNXBMFYSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2ccoc2)[C@@H](O)C1 ZINC001099659183 854959354 /nfs/dbraw/zinc/95/93/54/854959354.db2.gz FMSMKHJOQIUDQB-KBPBESRZSA-N 0 1 294.351 0.647 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCOCC)[C@@H](O)C1 ZINC001099701649 854970746 /nfs/dbraw/zinc/97/07/46/854970746.db2.gz HZGLLYOIKZDMOP-KBPBESRZSA-N 0 1 282.384 0.378 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C(/C)C2CC2)[C@H](O)C1 ZINC001099710049 854973418 /nfs/dbraw/zinc/97/34/18/854973418.db2.gz RTVSBPMIDQNTOQ-IMKYVTMTSA-N 0 1 276.380 0.917 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(CCOC)CC2)[C@H](O)C1 ZINC001099874515 855015663 /nfs/dbraw/zinc/01/56/63/855015663.db2.gz MKVDYMKXGUUWAF-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCC(C)(F)F)[C@H](O)C1 ZINC001099896601 855021098 /nfs/dbraw/zinc/02/10/98/855021098.db2.gz LSHJTEHFRQDOHU-VXGBXAGGSA-N 0 1 288.338 0.997 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(COC)CCC2)[C@@H](O)C1 ZINC001099925726 855034111 /nfs/dbraw/zinc/03/41/11/855034111.db2.gz JENCYEVNYCQHIQ-KBPBESRZSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](NC(=O)C2(CC)CC2)[C@H](O)C1 ZINC001099997497 855065690 /nfs/dbraw/zinc/06/56/90/855065690.db2.gz YAMBWNCHZPDZGY-UONOGXRCSA-N 0 1 296.411 0.931 20 30 CCEDMN COc1cc(CNC2CC(CNC(=O)[C@@H](C)C#N)C2)on1 ZINC001100196730 855116116 /nfs/dbraw/zinc/11/61/16/855116116.db2.gz RJZWHXITBCPUTB-WHXUTIOJSA-N 0 1 292.339 0.827 20 30 CCEDMN Cc1ccc(C#N)c(N(C)CCNC(=O)Cc2cnc[nH]2)n1 ZINC001100354384 855154523 /nfs/dbraw/zinc/15/45/23/855154523.db2.gz ZOWQUEIYBTUIDK-UHFFFAOYSA-N 0 1 298.350 0.780 20 30 CCEDMN CN(CCNC(=O)CCc1cnc[nH]1)c1ccc(C#N)cn1 ZINC001100380458 855158652 /nfs/dbraw/zinc/15/86/52/855158652.db2.gz MYLXQMZUVHJVRU-UHFFFAOYSA-N 0 1 298.350 0.862 20 30 CCEDMN CCN(CCNC(=O)Cc1nnc[nH]1)c1ccc(C#N)cn1 ZINC001100611706 855196948 /nfs/dbraw/zinc/19/69/48/855196948.db2.gz NAJRZHJNWBYCAK-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CCN(CCNC(=O)c1cnn[nH]1)c1cc(C)ncc1C#N ZINC001100762742 855219604 /nfs/dbraw/zinc/21/96/04/855219604.db2.gz MFKYDHNZIQTQJY-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN CCN(CCNC(=O)c1cnn[nH]1)c1ccncc1C#N ZINC001100762846 855219653 /nfs/dbraw/zinc/21/96/53/855219653.db2.gz SOHQQVOQQRTOQX-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CN(C(=O)C3CC3)C[C@]2(C)C1 ZINC001101102311 855262139 /nfs/dbraw/zinc/26/21/39/855262139.db2.gz ZSHPWRQIZRAXMC-CJNGLKHVSA-N 0 1 291.395 0.479 20 30 CCEDMN CN(CCNc1ccc(C#N)cn1)C(=O)c1ccn[nH]1 ZINC001101509810 855302257 /nfs/dbraw/zinc/30/22/57/855302257.db2.gz LIIWLXNNHURUDG-UHFFFAOYSA-N 0 1 270.296 0.860 20 30 CCEDMN Cc1cc(C(=O)N(C)CCNc2nc(C)cc(C#N)n2)n[nH]1 ZINC001101522735 855305803 /nfs/dbraw/zinc/30/58/03/855305803.db2.gz SPDVYELOARCAEU-UHFFFAOYSA-N 0 1 299.338 0.872 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCNc1ncnc2[nH]cnc21 ZINC001101593644 855321881 /nfs/dbraw/zinc/32/18/81/855321881.db2.gz DESQTPITGIXSBZ-UHFFFAOYSA-N 0 1 286.339 0.834 20 30 CCEDMN CN(CCNc1cnc(C#N)cn1)C(=O)CCc1cnc[nH]1 ZINC001102021372 855408184 /nfs/dbraw/zinc/40/81/84/855408184.db2.gz KFCVFQHYMLKDJJ-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CCC[C@]2(NC(=O)C2CC2)C1 ZINC001111554689 855578330 /nfs/dbraw/zinc/57/83/30/855578330.db2.gz AXMOMKGZKHZCSN-BBRMVZONSA-N 0 1 291.395 0.669 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1oncc1C ZINC001115063719 855638520 /nfs/dbraw/zinc/63/85/20/855638520.db2.gz URWMYIHJTAXJKU-ITGUQSILSA-N 0 1 289.335 0.293 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cc(Cl)cn1 ZINC001115288487 855658735 /nfs/dbraw/zinc/65/87/35/855658735.db2.gz SOEWJJFYZNDINL-IMRBUKKESA-N 0 1 292.770 0.606 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cc(Cl)cn1 ZINC001115288487 855658738 /nfs/dbraw/zinc/65/87/38/855658738.db2.gz SOEWJJFYZNDINL-IMRBUKKESA-N 0 1 292.770 0.606 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1[C@H]2CN(CC#CC)C[C@H]21 ZINC001115330559 855662955 /nfs/dbraw/zinc/66/29/55/855662955.db2.gz PCPVUSWCFYZYBD-NHAGDIPZSA-N 0 1 262.353 0.649 20 30 CCEDMN N#CCNC1(CNC(=O)[C@@H]2CC2[N+](=O)[O-])CCCCC1 ZINC001115529573 855678186 /nfs/dbraw/zinc/67/81/86/855678186.db2.gz ZVBHUAZGDGYJBZ-GHMZBOCLSA-N 0 1 280.328 0.584 20 30 CCEDMN CC(=Cc1cn(C)nn1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC001116857068 855849624 /nfs/dbraw/zinc/84/96/24/855849624.db2.gz FVJVDJAOERJOMV-QPJJXVBHSA-N 0 1 256.269 0.639 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cc(C)n[nH]2)CC1 ZINC001116941951 855872857 /nfs/dbraw/zinc/87/28/57/855872857.db2.gz AUOIUWZMHFMCSG-UHFFFAOYSA-N 0 1 260.341 0.680 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cc(C)[nH]n2)CC1 ZINC001116941951 855872862 /nfs/dbraw/zinc/87/28/62/855872862.db2.gz AUOIUWZMHFMCSG-UHFFFAOYSA-N 0 1 260.341 0.680 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@](O)(C3CC3)C2)CCC1 ZINC001117299792 855940118 /nfs/dbraw/zinc/94/01/18/855940118.db2.gz XHMMJNZKXJIFCN-CQSZACIVSA-N 0 1 263.341 0.396 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C[C@H]2CCCC(=O)N2)CC1 ZINC001117504677 856001888 /nfs/dbraw/zinc/00/18/88/856001888.db2.gz YHASUUMORXXOLC-LLVKDONJSA-N 0 1 278.356 0.149 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@H]1C)C1CC1 ZINC001117589610 856020805 /nfs/dbraw/zinc/02/08/05/856020805.db2.gz BRTIHJSLRPAOAX-BXUZGUMPSA-N 0 1 291.395 0.762 20 30 CCEDMN C#CC1CCN(C(=O)C(=O)NCc2cc(C)[nH]n2)CC1 ZINC001118217543 856208388 /nfs/dbraw/zinc/20/83/88/856208388.db2.gz WWKBMIHMDLURDW-UHFFFAOYSA-N 0 1 274.324 0.206 20 30 CCEDMN Cn1cnc(CCCN[C@H](C(N)=O)c2ccc(C#N)cc2)n1 ZINC001118258834 856227542 /nfs/dbraw/zinc/22/75/42/856227542.db2.gz PMJOWOFOBKFKLB-AWEZNQCLSA-N 0 1 298.350 0.436 20 30 CCEDMN CCN(C(=O)C(=O)N1CC[C@@](F)(C#N)C1)[C@H]1CCN(C)C1 ZINC001118468414 856305040 /nfs/dbraw/zinc/30/50/40/856305040.db2.gz ROZVMYCQIJVTRF-SMDDNHRTSA-N 0 1 296.346 0.003 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N(C)Cc1nnc[nH]1 ZINC001118686799 856392577 /nfs/dbraw/zinc/39/25/77/856392577.db2.gz LDSXKMTVIXSVMK-SNVBAGLBSA-N 0 1 265.317 0.234 20 30 CCEDMN CCN(C(=O)C(=O)N[C@@H]1CC[C@H](C#N)C1)[C@@H]1CCN(C)C1 ZINC001118723128 856412387 /nfs/dbraw/zinc/41/23/87/856412387.db2.gz ZCHRSOHQVMEFNC-YNEHKIRRSA-N 0 1 292.383 0.347 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCN1CC=CC1 ZINC001119627353 856790506 /nfs/dbraw/zinc/79/05/06/856790506.db2.gz NXRBFWKAHFROGY-STQMWFEESA-N 0 1 250.342 0.956 20 30 CCEDMN C=CC[C@H]1NC(=O)N(CCN2CCCOCC2)C1=O ZINC001119699425 856842839 /nfs/dbraw/zinc/84/28/39/856842839.db2.gz PXYYQJZKSBSHEX-LLVKDONJSA-N 0 1 267.329 0.205 20 30 CCEDMN C=CCCCN(CC)C(=O)C(=O)N1CCN[C@H](C)C1 ZINC001120272736 857024954 /nfs/dbraw/zinc/02/49/54/857024954.db2.gz JERILVCQUQZQML-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001323264815 912231711 /nfs/dbraw/zinc/23/17/11/912231711.db2.gz XQARZLGHBKOLKR-NSHDSACASA-N 0 1 294.355 0.430 20 30 CCEDMN Cc1oncc1CNC1(CNC(=O)CSCC#N)CC1 ZINC001323394235 912315454 /nfs/dbraw/zinc/31/54/54/912315454.db2.gz QYSNVAZTFGEUHF-UHFFFAOYSA-N 0 1 294.380 0.978 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@](C)(CNCc2cnon2)C1 ZINC001393143637 912418951 /nfs/dbraw/zinc/41/89/51/912418951.db2.gz LSZDGBOPJVAYLW-GWCFXTLKSA-N 0 1 277.328 0.557 20 30 CCEDMN C#CCNC(=O)CN(CCNC(C)=O)C1CCCCCC1 ZINC001323705240 912485797 /nfs/dbraw/zinc/48/57/97/912485797.db2.gz PKQOEZCKWZKDQS-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCC[C@](C)(CO)C1 ZINC001324333064 912783012 /nfs/dbraw/zinc/78/30/12/912783012.db2.gz CDHXVJUFBCBZBB-RISCZKNCSA-N 0 1 283.372 0.481 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@](C)(CO)C1 ZINC001324333064 912783023 /nfs/dbraw/zinc/78/30/23/912783023.db2.gz CDHXVJUFBCBZBB-RISCZKNCSA-N 0 1 283.372 0.481 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)CCc2cnn(C)n2)C1 ZINC001324647172 912960864 /nfs/dbraw/zinc/96/08/64/912960864.db2.gz MEEDOQBSPHQHNJ-HNNXBMFYSA-N 0 1 289.383 0.352 20 30 CCEDMN C[C@]1(CNC(=O)Cc2nnc[nH]2)CCCN(CC#N)C1 ZINC001324971252 913123567 /nfs/dbraw/zinc/12/35/67/913123567.db2.gz GVTCRDWZLLLHHW-CYBMUJFWSA-N 0 1 276.344 0.089 20 30 CCEDMN Cn1c(CC#N)nn(CN2CCN(C3CC3)CC2)c1=S ZINC001325013237 913146804 /nfs/dbraw/zinc/14/68/04/913146804.db2.gz ZJGIPWVMHSJZGL-UHFFFAOYSA-N 0 1 292.412 0.755 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ncn(C)n1 ZINC001394483351 913171165 /nfs/dbraw/zinc/17/11/65/913171165.db2.gz ZDALWVDZEWPLFD-VIFPVBQESA-N 0 1 271.752 0.618 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2cnc(C)s2)C1 ZINC001325068916 913183221 /nfs/dbraw/zinc/18/32/21/913183221.db2.gz DWAIKSKOGASJLY-AWEZNQCLSA-N 0 1 293.392 0.641 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C#CC(C)C)C1 ZINC001325082676 913199495 /nfs/dbraw/zinc/19/94/95/913199495.db2.gz PBXSNLCPVLFTFF-HNNXBMFYSA-N 0 1 262.353 0.222 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2[nH]c(C)cc2C)C1 ZINC001325110891 913219339 /nfs/dbraw/zinc/21/93/39/913219339.db2.gz OYQHHSKCJTWFHU-MRXNPFEDSA-N 0 1 289.379 0.821 20 30 CCEDMN CC[C@H](C)CN1CC(O)(CNC(=O)[C@H](C)C#N)C1 ZINC001325188675 913268218 /nfs/dbraw/zinc/26/82/18/913268218.db2.gz DAQFQJCYTOEVBD-WDEREUQCSA-N 0 1 253.346 0.355 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](CO)NCc1ccc(C#N)s1 ZINC001394579429 913285977 /nfs/dbraw/zinc/28/59/77/913285977.db2.gz OAIBBTAOQRTTFQ-ZJUUUORDSA-N 0 1 292.364 0.346 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@@H]1CCCOC1 ZINC001394734318 913398572 /nfs/dbraw/zinc/39/85/72/913398572.db2.gz XIXRLCQUBSVBOE-NEPJUHHUSA-N 0 1 290.791 0.574 20 30 CCEDMN Cc1ncc(CNC[C@H](O)CN(C)C(=O)[C@@H](C)C#N)o1 ZINC001394734769 913398638 /nfs/dbraw/zinc/39/86/38/913398638.db2.gz YRAGQCYRRXXMRV-ONGXEEELSA-N 0 1 280.328 0.052 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(C2CCN([C@@H](C)C(N)=O)CC2)CC1 ZINC001394863021 913469441 /nfs/dbraw/zinc/46/94/41/913469441.db2.gz KCSQDSKDKKOZCR-QWRGUYRKSA-N 0 1 292.383 0.381 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2cncs2)CC1 ZINC001266280983 891417283 /nfs/dbraw/zinc/41/72/83/891417283.db2.gz ANGIGWVKTIORMZ-UHFFFAOYSA-N 0 1 292.408 0.514 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001282724332 891477642 /nfs/dbraw/zinc/47/76/42/891477642.db2.gz GBRMDRRYXKFFGD-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(CN(C)C)on1 ZINC001480882433 891538344 /nfs/dbraw/zinc/53/83/44/891538344.db2.gz KJWGXWZNQQAINO-UHFFFAOYSA-N 0 1 264.329 0.031 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CCc1ccncc1 ZINC001480883521 891550165 /nfs/dbraw/zinc/55/01/65/891550165.db2.gz HJUWOQZCEPIMIE-UHFFFAOYSA-N 0 1 289.379 0.712 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)[C@H]2CN3CCN2C[C@H]3C)C1 ZINC001347303702 891559818 /nfs/dbraw/zinc/55/98/18/891559818.db2.gz ABJMUZORPMHYMP-MGPQQGTHSA-N 0 1 261.369 0.247 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCc2nccn2C1 ZINC001480885421 891562054 /nfs/dbraw/zinc/56/20/54/891562054.db2.gz WHWTZLSERDICAR-GFCCVEGCSA-N 0 1 260.341 0.127 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN(C)CCn2cncn2)cc1 ZINC001480900297 891716680 /nfs/dbraw/zinc/71/66/80/891716680.db2.gz NMLJWPWPQYUUDQ-UHFFFAOYSA-N 0 1 297.362 0.621 20 30 CCEDMN CN(CCNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Cc1ccc(C#N)cc1 ZINC001480904162 891729421 /nfs/dbraw/zinc/72/94/21/891729421.db2.gz XKWXBJOQCDNYAP-ZSHCYNCHSA-N 0 1 299.374 0.999 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)[C@@H](C)C#N)C1 ZINC001325818293 913588386 /nfs/dbraw/zinc/58/83/86/913588386.db2.gz ILAQKYBAQWBFGI-GXTWGEPZSA-N 0 1 265.357 0.665 20 30 CCEDMN C#CCN(C)CCNC(=O)CCCOC1CCOCC1 ZINC001480934261 891882567 /nfs/dbraw/zinc/88/25/67/891882567.db2.gz DNHWFXQSPSGYRM-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccc(-n2ccnn2)cc1 ZINC001480936164 891895504 /nfs/dbraw/zinc/89/55/04/891895504.db2.gz SSVSGERFZISWIX-UHFFFAOYSA-N 0 1 297.362 0.952 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ncccc1OC ZINC001480939029 891902044 /nfs/dbraw/zinc/90/20/44/891902044.db2.gz IAISMISQUHVYPL-UHFFFAOYSA-N 0 1 261.325 0.775 20 30 CCEDMN C=CCCCN(C)CCNC(=O)[C@H]1CCCS1(=O)=O ZINC001480950762 891932745 /nfs/dbraw/zinc/93/27/45/891932745.db2.gz VYIRUKGHPYJSPY-GFCCVEGCSA-N 0 1 288.413 0.578 20 30 CCEDMN CCOC1CC(C(=O)NCCN(CC)CC#CCOC)C1 ZINC001480973603 892000301 /nfs/dbraw/zinc/00/03/01/892000301.db2.gz VRLBARKONYPEEH-UHFFFAOYSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CNC(=O)CC(C)(C)C ZINC001481070689 892130477 /nfs/dbraw/zinc/13/04/77/892130477.db2.gz IDMNABMGLUEHHL-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN(CC(=O)NC)C1 ZINC001481113033 892178409 /nfs/dbraw/zinc/17/84/09/892178409.db2.gz PBBOAIOURUKZNY-GFCCVEGCSA-N 0 1 281.400 0.773 20 30 CCEDMN CC#CCN(CCNC(=O)C[C@H]1CCC(=O)NC1)C1CC1 ZINC001481172866 892271380 /nfs/dbraw/zinc/27/13/80/892271380.db2.gz KDEJBTGWCOXQFI-CYBMUJFWSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN(CCNC(=O)[C@H]1CCCC(=O)N1)C1CC1 ZINC001481173485 892272331 /nfs/dbraw/zinc/27/23/31/892272331.db2.gz GKJIXHWQASGFMG-CYBMUJFWSA-N 0 1 277.368 0.259 20 30 CCEDMN CN(CCNCC#Cc1ccccc1)C(=O)c1cnnn1C ZINC001481225820 892399569 /nfs/dbraw/zinc/39/95/69/892399569.db2.gz VEKOOTQZKYARKD-UHFFFAOYSA-N 0 1 297.362 0.528 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCNCc1ncccc1C ZINC001481228668 892400590 /nfs/dbraw/zinc/40/05/90/892400590.db2.gz HOGHUFANUJJZSA-CQSZACIVSA-N 0 1 289.379 0.976 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@]2(NC(=O)CC)CCC[C@@H]12 ZINC001284029420 892576990 /nfs/dbraw/zinc/57/69/90/892576990.db2.gz LBHDWWOSRDXTSV-WOSRLPQWSA-N 0 1 291.395 0.648 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](CCNC(=O)c2[n-]nnc2C)C1 ZINC001481442949 892667533 /nfs/dbraw/zinc/66/75/33/892667533.db2.gz NHMDMLXCHMOKOU-LLVKDONJSA-N 0 1 261.329 0.188 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CCNC(=O)c2[n-]nnc2C)C1 ZINC001481442949 892667540 /nfs/dbraw/zinc/66/75/40/892667540.db2.gz NHMDMLXCHMOKOU-LLVKDONJSA-N 0 1 261.329 0.188 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CCCN(CCO)C2)CCOCC1 ZINC001481529626 892775991 /nfs/dbraw/zinc/77/59/91/892775991.db2.gz MTGJBVGKBCRYJT-CQSZACIVSA-N 0 1 296.411 0.932 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001481580998 892861026 /nfs/dbraw/zinc/86/10/26/892861026.db2.gz KLKLRLFVITVOGT-JTQLQIEISA-N 0 1 278.356 0.894 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCOC2CCOCC2)C1 ZINC001481695184 893030766 /nfs/dbraw/zinc/03/07/66/893030766.db2.gz XGBFHLMSTHXGKL-AWEZNQCLSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCNC(=O)CNCc1ccc(CNC(=O)C2CC2)cc1 ZINC001482028040 893316490 /nfs/dbraw/zinc/31/64/90/893316490.db2.gz YLPPOQRVWWCSCR-UHFFFAOYSA-N 0 1 299.374 0.552 20 30 CCEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)CC(N)=O)C1 ZINC001482186048 893478048 /nfs/dbraw/zinc/47/80/48/893478048.db2.gz XNXHTFBSIBRGQW-VXGBXAGGSA-N 0 1 283.372 0.034 20 30 CCEDMN CC#CCN1CCO[C@@H](CNC(=O)CCc2cnc[nH]2)C1 ZINC001482190432 893482367 /nfs/dbraw/zinc/48/23/67/893482367.db2.gz ZDLWLCNUIIKQHF-AWEZNQCLSA-N 0 1 290.367 0.183 20 30 CCEDMN COc1nccc(CNC[C@H](C)N(C)C(=O)[C@H](C)C#N)n1 ZINC001482267056 893713665 /nfs/dbraw/zinc/71/36/65/893713665.db2.gz QMQWNWLWOOEHNR-MNOVXSKESA-N 0 1 291.355 0.581 20 30 CCEDMN CC[C@H](CNC(=O)C#CC1CC1)NC(=O)[C@H]1CCCN1C ZINC001285055260 893804907 /nfs/dbraw/zinc/80/49/07/893804907.db2.gz HJGUKCDOHDYNOD-ZIAGYGMSSA-N 0 1 291.395 0.505 20 30 CCEDMN CC(C)C[C@@H](CNCC#N)NC(=O)c1[nH]ncc1F ZINC001482394980 893952192 /nfs/dbraw/zinc/95/21/92/893952192.db2.gz ZNEFFYZRNOYRTJ-VIFPVBQESA-N 0 1 267.308 0.806 20 30 CCEDMN C#CC[NH2+]C[C@H]1[C@@H](C)CCCN1C(=O)Cc1nnc[n-]1 ZINC001482500489 894162202 /nfs/dbraw/zinc/16/22/02/894162202.db2.gz VPTRVAJOEDJXCX-RYUDHWBXSA-N 0 1 275.356 0.197 20 30 CCEDMN C#CC[N@H+](C)CCN(C)C(=O)CC(=O)NCC(F)(F)F ZINC001482637970 894438933 /nfs/dbraw/zinc/43/89/33/894438933.db2.gz IEQQPDXXKZLBAM-UHFFFAOYSA-N 0 1 293.289 0.078 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CC(=O)NCC(F)(F)F ZINC001482637970 894438940 /nfs/dbraw/zinc/43/89/40/894438940.db2.gz IEQQPDXXKZLBAM-UHFFFAOYSA-N 0 1 293.289 0.078 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001482642630 894442372 /nfs/dbraw/zinc/44/23/72/894442372.db2.gz NQJQYFFIOGLKMU-ZDUSSCGKSA-N 0 1 288.395 0.928 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccn(CC)n1 ZINC001482775173 894592506 /nfs/dbraw/zinc/59/25/06/894592506.db2.gz PBOBYZVATOVXOW-JTQLQIEISA-N 0 1 286.763 0.336 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)CCNCc2cnon2)cn1 ZINC001482889942 894675080 /nfs/dbraw/zinc/67/50/80/894675080.db2.gz DYXDDMORKBQTOH-LLVKDONJSA-N 0 1 299.334 0.744 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)c1cccnc1 ZINC001482974700 894741550 /nfs/dbraw/zinc/74/15/50/894741550.db2.gz NWRIUZQTDCAAEY-ARJAWSKDSA-N 0 1 288.351 0.259 20 30 CCEDMN C[C@H](C#N)C(=O)NC/C=C\CNCC(=O)N1CCCCC1 ZINC001482991075 894780612 /nfs/dbraw/zinc/78/06/12/894780612.db2.gz LZSAZQWTRKRJKE-DHCBQETCSA-N 0 1 292.383 0.421 20 30 CCEDMN Cc1ncoc1CNC[C@H](C)NC(=O)[C@@H](C)C#N ZINC001483215987 895121998 /nfs/dbraw/zinc/12/19/98/895121998.db2.gz XRMVHTGENZGWDT-IUCAKERBSA-N 0 1 250.302 0.737 20 30 CCEDMN C[C@@H](CN(C)[C@@H]1CCCNC1=O)NC(=O)C#CC(C)(C)C ZINC001483335293 895472425 /nfs/dbraw/zinc/47/24/25/895472425.db2.gz AQRQTNIXLYTLKY-QWHCGFSZSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCn2cncc2C1 ZINC001483355583 895492127 /nfs/dbraw/zinc/49/21/27/895492127.db2.gz IFKUJALYVFCWAP-OLZOCXBDSA-N 0 1 274.368 0.515 20 30 CCEDMN C=CCCN(C)C[C@H](C)NC(=O)CC1CS(=O)(=O)C1 ZINC001483355801 895495729 /nfs/dbraw/zinc/49/57/29/895495729.db2.gz HGYLSPAGDRCVFW-NSHDSACASA-N 0 1 288.413 0.434 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1ccnc(C)n1 ZINC001483419141 895553430 /nfs/dbraw/zinc/55/34/30/895553430.db2.gz FWUWSXBHWIFVLL-GFCCVEGCSA-N 0 1 290.367 0.485 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001483425922 895559054 /nfs/dbraw/zinc/55/90/54/895559054.db2.gz KNQNBTQNBIRQLS-WFASDCNBSA-N 0 1 279.384 0.505 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)Cc1ccn(C)n1 ZINC001483650275 895796612 /nfs/dbraw/zinc/79/66/12/895796612.db2.gz SCYGUTAXQAYZLV-CYBMUJFWSA-N 0 1 262.357 0.729 20 30 CCEDMN C=CCN1CC[C@H](NC2(CNC(C)=O)CC2)C1=O ZINC001483711650 895891861 /nfs/dbraw/zinc/89/18/61/895891861.db2.gz VKJJQCPOYIULHT-NSHDSACASA-N 0 1 251.330 0.032 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@@H]2C[C@H]2C(C)C)CC1 ZINC001483780434 896051676 /nfs/dbraw/zinc/05/16/76/896051676.db2.gz RUQZZQSRTBQPEK-QWHCGFSZSA-N 0 1 293.411 0.819 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CC[C@]2(CCN(C(=O)C3CC3)C2)C1 ZINC001483837924 896073992 /nfs/dbraw/zinc/07/39/92/896073992.db2.gz SKUDGSRPHKOESR-INIZCTEOSA-N 0 1 291.395 0.623 20 30 CCEDMN C=CCNC(=O)CN1CC[C@]2(CCN(C(=O)C3CC3)C2)C1 ZINC001483837924 896074005 /nfs/dbraw/zinc/07/40/05/896074005.db2.gz SKUDGSRPHKOESR-INIZCTEOSA-N 0 1 291.395 0.623 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CN(C[C@@H]2CCNC(=O)CC2)C1 ZINC001483856562 896080812 /nfs/dbraw/zinc/08/08/12/896080812.db2.gz OPHQOPNKOUGMOU-RYUDHWBXSA-N 0 1 292.383 0.205 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001484045847 896169272 /nfs/dbraw/zinc/16/92/72/896169272.db2.gz XUJWAFQTEGKEPD-FZMZJTMJSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)/C=C\C(C)(C)C)C1 ZINC001484207124 896240014 /nfs/dbraw/zinc/24/00/14/896240014.db2.gz FJDTWJVTMJPIMU-IDTUSYRASA-N 0 1 264.369 0.775 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)CCCC)C1 ZINC001484214704 896249013 /nfs/dbraw/zinc/24/90/13/896249013.db2.gz AKDJLRHIKYBRIV-DZGCQCFKSA-N 0 1 266.385 0.999 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001484304053 896334733 /nfs/dbraw/zinc/33/47/33/896334733.db2.gz SCYLLLGHBPNENM-HIFRSBDPSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1cn(C)cn1 ZINC001484480281 896432298 /nfs/dbraw/zinc/43/22/98/896432298.db2.gz BDPCDSXEOLNVOC-UHFFFAOYSA-N 0 1 286.763 0.197 20 30 CCEDMN C[C@@H](CCNC(=O)CSCC#N)NCc1ncnn1C ZINC001484988891 896695667 /nfs/dbraw/zinc/69/56/67/896695667.db2.gz MUVYMINVFGVTJY-JTQLQIEISA-N 0 1 296.400 0.056 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](CC)OC ZINC001485051291 896738022 /nfs/dbraw/zinc/73/80/22/896738022.db2.gz MDJPQMAWMVFKJY-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@@H](C)CNC(=O)C#CC(C)(C)C ZINC001485299895 896929341 /nfs/dbraw/zinc/92/93/41/896929341.db2.gz MLHKJUSRELQRER-RYUDHWBXSA-N 0 1 281.400 0.736 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001485353909 896983128 /nfs/dbraw/zinc/98/31/28/896983128.db2.gz KQZLBJLOVNWJCK-TUVASFSCSA-N 0 1 294.395 0.640 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1scnc1COC ZINC001485361082 896986249 /nfs/dbraw/zinc/98/62/49/896986249.db2.gz YCGZKROQTXXCMV-SNVBAGLBSA-N 0 1 281.381 0.973 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CCC(=O)NC1CCCC1 ZINC001485366194 896994418 /nfs/dbraw/zinc/99/44/18/896994418.db2.gz RYTZTHZPDOPQAH-CYBMUJFWSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)Cn2ccccc2=O)C1 ZINC001485536945 897112018 /nfs/dbraw/zinc/11/20/18/897112018.db2.gz YSUKTXPWMIBQEI-LBPRGKRZSA-N 0 1 275.352 0.471 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@]1(C)CN(CC2CC2)CCO1 ZINC001107821208 897324474 /nfs/dbraw/zinc/32/44/74/897324474.db2.gz KZAYQYQXLFIFLA-FZMZJTMJSA-N 0 1 265.357 0.763 20 30 CCEDMN C#CCN1CCO[C@@](C)(CNC(=O)Cc2cc(C)n[nH]2)C1 ZINC001107860721 897377501 /nfs/dbraw/zinc/37/75/01/897377501.db2.gz WADAPQMMLOFTRJ-HNNXBMFYSA-N 0 1 290.367 0.101 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1nnc(C)o1 ZINC001032388598 897409931 /nfs/dbraw/zinc/40/99/31/897409931.db2.gz WFIXVKFITDRHOZ-RYUDHWBXSA-N 0 1 274.324 0.229 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC1(F)F ZINC001032390122 897413197 /nfs/dbraw/zinc/41/31/97/897413197.db2.gz CVVKSVUFCLZXNX-DCAQKATOSA-N 0 1 254.280 0.950 20 30 CCEDMN C[C@H](CNc1ccc(C#N)cn1)NC(=O)Cc1nnc[nH]1 ZINC001107920931 897501395 /nfs/dbraw/zinc/50/13/95/897501395.db2.gz MSIJICLWROQYNM-SECBINFHSA-N 0 1 285.311 0.231 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1c[nH]nc1C ZINC001272754573 897527718 /nfs/dbraw/zinc/52/77/18/897527718.db2.gz JRLHVGOXYPQYNM-ZIAGYGMSSA-N 0 1 272.352 0.917 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cnc(C)o1 ZINC001032443709 897581182 /nfs/dbraw/zinc/58/11/82/897581182.db2.gz UBXVFUSWLZDLJJ-STQMWFEESA-N 0 1 273.336 0.834 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)NC(=O)CC ZINC001127979525 897651269 /nfs/dbraw/zinc/65/12/69/897651269.db2.gz YAASJGAERNVPJE-SECBINFHSA-N 0 1 261.753 0.359 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)CCC(=O)NC1 ZINC001032579916 897768737 /nfs/dbraw/zinc/76/87/37/897768737.db2.gz FEEAXRFLDKUMDR-HEHGZKQESA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cc1ncccc1OC ZINC001032588920 897784997 /nfs/dbraw/zinc/78/49/97/897784997.db2.gz HLWMVDMBBBCWHW-KBPBESRZSA-N 0 1 299.374 0.941 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ncccc1OC ZINC001032588920 897785006 /nfs/dbraw/zinc/78/50/06/897785006.db2.gz HLWMVDMBBBCWHW-KBPBESRZSA-N 0 1 299.374 0.941 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(N)=O)[nH]1 ZINC001032632147 897850325 /nfs/dbraw/zinc/85/03/25/897850325.db2.gz MKTFFTGAGPBTGM-QWRGUYRKSA-N 0 1 288.351 0.588 20 30 CCEDMN C=CCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1c[nH]nn1 ZINC001032636445 897861723 /nfs/dbraw/zinc/86/17/23/897861723.db2.gz HHIIEBXEHGWVCD-RYUDHWBXSA-N 0 1 261.329 0.208 20 30 CCEDMN C=CCCCN1CCOC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001272869583 898096003 /nfs/dbraw/zinc/09/60/03/898096003.db2.gz UIWHMZRWWOKJQD-NSHDSACASA-N 0 1 279.344 0.202 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCC=C)C[C@H]2O)nc1 ZINC001078031289 898191853 /nfs/dbraw/zinc/19/18/53/898191853.db2.gz MHGUJCAHJSENKD-HUUCEWRRSA-N 0 1 285.347 0.414 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C)nc2C)C1 ZINC001078221208 898301575 /nfs/dbraw/zinc/30/15/75/898301575.db2.gz MTDHQGKXGGMEDS-HUUCEWRRSA-N 0 1 287.363 0.497 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)CC1=CCCCC1 ZINC001485982154 898568102 /nfs/dbraw/zinc/56/81/02/898568102.db2.gz JRUPLDNQTRGETD-OAHLLOKOSA-N 0 1 294.395 0.593 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](CO)NCc1cccnc1 ZINC001485991399 898578902 /nfs/dbraw/zinc/57/89/02/898578902.db2.gz QKQTVDPNYZCEPH-AWEZNQCLSA-N 0 1 275.352 0.452 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(C)c(C)cn1 ZINC001486020796 898592071 /nfs/dbraw/zinc/59/20/71/898592071.db2.gz YEHWQSXXUFLDRW-LBPRGKRZSA-N 0 1 261.325 0.012 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H]1CCCCC1(F)F ZINC001486019934 898593611 /nfs/dbraw/zinc/59/36/11/898593611.db2.gz RQOMXISYVJMIQN-VXGBXAGGSA-N 0 1 288.338 0.902 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cnn(CC(C)C)c1 ZINC001486046991 898610608 /nfs/dbraw/zinc/61/06/08/898610608.db2.gz CFDRNJPWZMHDAY-AWEZNQCLSA-N 0 1 292.383 0.243 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001486026472 898614224 /nfs/dbraw/zinc/61/42/24/898614224.db2.gz GKOGBVWKDCZABA-HIFRSBDPSA-N 0 1 290.338 0.555 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CCn1cc(Cl)cn1 ZINC001486064385 898633412 /nfs/dbraw/zinc/63/34/12/898633412.db2.gz ZUKMBMHHOSFFRW-GFCCVEGCSA-N 0 1 298.774 0.017 20 30 CCEDMN COCC#CCN1CC[C@]2(NC(=O)[C@@H](C)OC)CCC[C@H]12 ZINC001486137269 898682785 /nfs/dbraw/zinc/68/27/85/898682785.db2.gz BYNAEHYTODNDMM-IJEWVQPXSA-N 0 1 294.395 0.784 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)CCc3cnc[nH]3)CCC[C@H]12 ZINC001486149872 898686891 /nfs/dbraw/zinc/68/68/91/898686891.db2.gz UZAFFCMINKHQNI-ZFWWWQNUSA-N 0 1 287.367 0.979 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1n[nH]cc1C ZINC001486275059 898758461 /nfs/dbraw/zinc/75/84/61/898758461.db2.gz SSBSZPDCNBNVPV-SNVBAGLBSA-N 0 1 286.763 0.493 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C(C)(C)COC ZINC001486342829 898819322 /nfs/dbraw/zinc/81/93/22/898819322.db2.gz IJPPKNADKAKMGY-ZDUSSCGKSA-N 0 1 284.400 0.433 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cncc(CC)c1 ZINC001486345079 898824371 /nfs/dbraw/zinc/82/43/71/898824371.db2.gz ZMULWVMTTZIQEX-OAHLLOKOSA-N 0 1 289.379 0.642 20 30 CCEDMN C=C(Cl)CNCCOCCN(C)C(=O)c1ncc[nH]1 ZINC001486496088 898905780 /nfs/dbraw/zinc/90/57/80/898905780.db2.gz KNZLTQFKGKZLEA-UHFFFAOYSA-N 0 1 286.763 0.840 20 30 CCEDMN C=C[C@@H](COC)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC001327035031 914325670 /nfs/dbraw/zinc/32/56/70/914325670.db2.gz GRVWAQPKHYXPOC-QMMMGPOBSA-N 0 1 287.297 0.469 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CC(NCc2cn(C)nn2)C1 ZINC001411236471 899750422 /nfs/dbraw/zinc/75/04/22/899750422.db2.gz NMXYOYODDTUTMZ-GRZMOONWSA-N 0 1 276.344 0.054 20 30 CCEDMN C=CCCC(=O)N(C)[C@@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001487640415 900091485 /nfs/dbraw/zinc/09/14/85/900091485.db2.gz MYXJNXWPALHWHL-LBPRGKRZSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCCC(=O)N(C)C[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001487886006 900143271 /nfs/dbraw/zinc/14/32/71/900143271.db2.gz PFLFQWBWWOWZNZ-SNVBAGLBSA-N 0 1 279.344 0.738 20 30 CCEDMN C=CCCCC(=O)N(C)C[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001487886006 900143279 /nfs/dbraw/zinc/14/32/79/900143279.db2.gz PFLFQWBWWOWZNZ-SNVBAGLBSA-N 0 1 279.344 0.738 20 30 CCEDMN C=CCN(C(=O)C(=O)N1CC[C@H](N2CC=CC2)C1)C1CC1 ZINC001327214442 914424354 /nfs/dbraw/zinc/42/43/54/914424354.db2.gz CKRVHDTXSRWGJM-AWEZNQCLSA-N 0 1 289.379 0.636 20 30 CCEDMN CC#CCCCC(=O)N(C)C[C@@H]1CCN1C[C@H](O)COC ZINC001488650354 900329700 /nfs/dbraw/zinc/32/97/00/900329700.db2.gz GIWWVMSIEOXSCO-GJZGRUSLSA-N 0 1 296.411 0.720 20 30 CCEDMN CC#CC[NH2+][C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001488823339 900387758 /nfs/dbraw/zinc/38/77/58/900387758.db2.gz VGSJWKKWCGUHAZ-HAQNSBGRSA-N 0 1 273.336 0.909 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2cc[nH]c2C)C1 ZINC001489155489 900443188 /nfs/dbraw/zinc/44/31/88/900443188.db2.gz KJZPEULGIMYEFC-AWEZNQCLSA-N 0 1 289.379 0.855 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cnccn2)[C@H]1C ZINC001489242739 900451799 /nfs/dbraw/zinc/45/17/99/900451799.db2.gz QGLMOSDCWGMMHL-OLZOCXBDSA-N 0 1 290.367 0.872 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1(C(=O)NC)CCC1 ZINC001493154397 900530929 /nfs/dbraw/zinc/53/09/29/900530929.db2.gz BUCHBBSQBPPRBF-CYBMUJFWSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCCCC(=O)NCCN1CCN(CCCO)CC1 ZINC001490499684 900643137 /nfs/dbraw/zinc/64/31/37/900643137.db2.gz DYYCNYFHUGHITJ-UHFFFAOYSA-N 0 1 295.427 0.296 20 30 CCEDMN C=CCCC(=O)NC[C@@H]([NH2+]Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001490639877 900671484 /nfs/dbraw/zinc/67/14/84/900671484.db2.gz BWKQVPXOYRZERP-LLVKDONJSA-N 0 1 293.371 0.059 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)CCn1cccn1 ZINC001275506963 901103599 /nfs/dbraw/zinc/10/35/99/901103599.db2.gz SLEBEWXEJHGJEK-CQSZACIVSA-N 0 1 292.383 0.360 20 30 CCEDMN COC1CCN(CCNC(=O)c2ccc(C#N)n2C)CC1 ZINC001411847358 901285020 /nfs/dbraw/zinc/28/50/20/901285020.db2.gz JBMGEIXBONCJMI-UHFFFAOYSA-N 0 1 290.367 0.737 20 30 CCEDMN N#Cc1ccncc1C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC001412483550 901758575 /nfs/dbraw/zinc/75/85/75/901758575.db2.gz CXBFLDVUACIMGI-UHFFFAOYSA-N 0 1 283.295 0.486 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1(CCO)CN(CCC(C)C)C1 ZINC001276044895 901788914 /nfs/dbraw/zinc/78/89/14/901788914.db2.gz WZPFKDHSZGFINP-OAHLLOKOSA-N 0 1 298.427 0.913 20 30 CCEDMN N#Cc1cccc(C(=O)N2C[C@H]3C[C@@]3(C(N)=O)C2)c1O ZINC001276215955 901973723 /nfs/dbraw/zinc/97/37/23/901973723.db2.gz SUMAYAQATAQRFC-YMTOWFKASA-N 0 1 271.276 0.211 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCN(CCCN2CCCC2=O)C1 ZINC001398287265 914602737 /nfs/dbraw/zinc/60/27/37/914602737.db2.gz IGIXWAHSUUBDSP-STQMWFEESA-N 0 1 292.383 0.349 20 30 CCEDMN Cc1nc(C(=O)N2CC[C@H](c3nn[nH]n3)C2)ccc1C#N ZINC001413473703 902979270 /nfs/dbraw/zinc/97/92/70/902979270.db2.gz XBIQJMBDSOIRIQ-JTQLQIEISA-N 0 1 283.295 0.405 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CCOC[C@H]1C#N ZINC001156884610 903764492 /nfs/dbraw/zinc/76/44/92/903764492.db2.gz OOGVNAIJCIVQQQ-SNVBAGLBSA-N 0 1 281.275 0.799 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2[nH]cnc2C)CC1 ZINC001281825789 903982324 /nfs/dbraw/zinc/98/23/24/903982324.db2.gz XYQHOXOKFOXZGZ-UHFFFAOYSA-N 0 1 290.367 0.298 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N2CCN(CCO)CC2)C1 ZINC001280677804 904135647 /nfs/dbraw/zinc/13/56/47/904135647.db2.gz JTEQRBIHAAKODP-AWEZNQCLSA-N 0 1 281.400 0.163 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@H](CNC(=O)COC)O2 ZINC001280919483 904172064 /nfs/dbraw/zinc/17/20/64/904172064.db2.gz LSLAJAMTKZHIQV-GFCCVEGCSA-N 0 1 268.357 0.559 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)NCc1ncnn1C ZINC001281708153 904334850 /nfs/dbraw/zinc/33/48/50/904334850.db2.gz XOHBTEUCLMGQSR-LBPRGKRZSA-N 0 1 277.372 0.603 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)CCOC ZINC001282346472 904452956 /nfs/dbraw/zinc/45/29/56/904452956.db2.gz NIUXVJAYXATDLL-LBPRGKRZSA-N 0 1 256.346 0.109 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H](OCC)C1CCOCC1 ZINC001282432950 904473071 /nfs/dbraw/zinc/47/30/71/904473071.db2.gz KGACABBOYYDADW-HIFRSBDPSA-N 0 1 296.411 0.888 20 30 CCEDMN COCC#CCN1CCCCC[C@H]1CNC(=O)COC ZINC001282655975 904517217 /nfs/dbraw/zinc/51/72/17/904517217.db2.gz OWCGCVYDZROARL-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CO[C@H]2CCOC2)C(C)(C)C1 ZINC001282801192 904540549 /nfs/dbraw/zinc/54/05/49/904540549.db2.gz SHWUHJLYKSTIGP-QWHCGFSZSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CC[C@H]1CCCN(CCS(=O)(=O)CCC(N)=O)C1 ZINC001327865816 914823366 /nfs/dbraw/zinc/82/33/66/914823366.db2.gz SOMCIUFFMSCFFY-LBPRGKRZSA-N 0 1 286.397 0.012 20 30 CCEDMN C=C(Br)CNC[C@H](C)NC(=O)c1cnon1 ZINC001406545537 919338629 /nfs/dbraw/zinc/33/86/29/919338629.db2.gz USANDTKMRGEFBJ-ZETCQYMHSA-N 0 1 289.133 0.686 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCCNCc1ccn(C)n1 ZINC001283144767 904708855 /nfs/dbraw/zinc/70/88/55/904708855.db2.gz BHWHSKJAGPGBLK-VXGBXAGGSA-N 0 1 277.372 0.954 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@H](C)NCc1ccn(C)n1 ZINC001283609221 904925585 /nfs/dbraw/zinc/92/55/85/904925585.db2.gz ZWZNJMGFSWQJQO-ZDUSSCGKSA-N 0 1 292.383 0.397 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C(C)(C)[C@@H]1CCCCO1 ZINC001283760666 905000504 /nfs/dbraw/zinc/00/05/04/905000504.db2.gz XQBYMGVCNSCHAM-KGLIPLIRSA-N 0 1 296.411 0.672 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ncccc1OCCC ZINC001283802355 905025366 /nfs/dbraw/zinc/02/53/66/905025366.db2.gz BDGNBEJIJPGHRC-LBPRGKRZSA-N 0 1 291.351 0.184 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1c[nH]cc1-c1ccccc1 ZINC001283827152 905040336 /nfs/dbraw/zinc/04/03/36/905040336.db2.gz IFSQRTKNVBKHID-AWEZNQCLSA-N 0 1 297.358 0.995 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CN1CCOCC1 ZINC001377793497 905160510 /nfs/dbraw/zinc/16/05/10/905160510.db2.gz XVTHZXHACBPEKR-RYUDHWBXSA-N 0 1 289.807 0.554 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C[C@@H]1C=CCC1 ZINC001284481365 905314294 /nfs/dbraw/zinc/31/42/94/905314294.db2.gz GKUXVPJKTGVWDR-KGLIPLIRSA-N 0 1 264.369 0.727 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@H](CCC)OC ZINC001284504764 905338468 /nfs/dbraw/zinc/33/84/68/905338468.db2.gz HRFHSWBRIDHYLD-KBPBESRZSA-N 0 1 284.400 0.576 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)CCCF ZINC001284508098 905345606 /nfs/dbraw/zinc/34/56/06/905345606.db2.gz BQBZBCAGVSTVPS-LBPRGKRZSA-N 0 1 258.337 0.511 20 30 CCEDMN CC(C)N(CCN(C)CC#N)C(=O)CCc1c[nH]nn1 ZINC001284543291 905366502 /nfs/dbraw/zinc/36/65/02/905366502.db2.gz AIPQWPQLVJRVRV-UHFFFAOYSA-N 0 1 278.360 0.430 20 30 CCEDMN CC(C)N(CCN(C)CC#N)C(=O)CCc1cnn[nH]1 ZINC001284543291 905366518 /nfs/dbraw/zinc/36/65/18/905366518.db2.gz AIPQWPQLVJRVRV-UHFFFAOYSA-N 0 1 278.360 0.430 20 30 CCEDMN C#CCN(C)CCN(C(=O)CCCn1cncn1)C(C)C ZINC001284554291 905370490 /nfs/dbraw/zinc/37/04/90/905370490.db2.gz ZZSUEKMJZUIYCP-UHFFFAOYSA-N 0 1 291.399 0.860 20 30 CCEDMN C=CCCCC(=O)NCCN(C)C(=O)c1[nH]nnc1C ZINC001284576557 905381858 /nfs/dbraw/zinc/38/18/58/905381858.db2.gz PXVADVLUSXWDDZ-UHFFFAOYSA-N 0 1 279.344 0.658 20 30 CCEDMN CN(CCNC(=O)c1[nH]ncc1F)C(=O)C#CC(C)(C)C ZINC001284584009 905385896 /nfs/dbraw/zinc/38/58/96/905385896.db2.gz VKVNXWDFWOJDPE-UHFFFAOYSA-N 0 1 294.330 0.787 20 30 CCEDMN C=CCN(CCNC(=O)[C@H]1C[C@H](C)CO1)CCOC ZINC001284657486 905412786 /nfs/dbraw/zinc/41/27/86/905412786.db2.gz JNSNJELLGWRHSY-QWHCGFSZSA-N 0 1 270.373 0.662 20 30 CCEDMN COCC#CCN1CC=C(CNC(=O)COC)CC1 ZINC001284877409 905451968 /nfs/dbraw/zinc/45/19/68/905451968.db2.gz ASCGMJZRQAGCHZ-UHFFFAOYSA-N 0 1 266.341 0.031 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CC(NC(=O)C#CC2CC2)C1 ZINC001284904228 905480616 /nfs/dbraw/zinc/48/06/16/905480616.db2.gz WXEMHXAOURWOJB-CQSZACIVSA-N 0 1 289.379 0.211 20 30 CCEDMN CC(C)n1ncnc1CNC[C@H](C)CNC(=O)[C@H](C)C#N ZINC001378549898 905675272 /nfs/dbraw/zinc/67/52/72/905675272.db2.gz HDHHXMAMKFRMQE-NWDGAFQWSA-N 0 1 292.387 0.861 20 30 CCEDMN N#Cc1cc(NC(=O)C2(O)CNC2)ccc1C1CC1 ZINC001337077378 921179132 /nfs/dbraw/zinc/17/91/32/921179132.db2.gz BPDBIVLZUSYFJI-UHFFFAOYSA-N 0 1 257.293 0.708 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(C(F)F)nc1 ZINC001332928366 905819579 /nfs/dbraw/zinc/81/95/79/905819579.db2.gz HOJIZWQBAJYWMP-WPRPVWTQSA-N 0 1 266.251 0.861 20 30 CCEDMN CO[C@H](CN1CCN(CC#N)CC1)[C@H]1CCOC1 ZINC001333319200 905923830 /nfs/dbraw/zinc/92/38/30/905923830.db2.gz CNUKBWUYAUMAMV-QWHCGFSZSA-N 0 1 253.346 0.179 20 30 CCEDMN Cn1cc(C(=O)C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cn1 ZINC001287395209 905913736 /nfs/dbraw/zinc/91/37/36/905913736.db2.gz SLZKPKAJUSHFHO-UHFFFAOYSA-N 0 1 294.274 0.989 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)[C@@H]1C ZINC001287883550 905985117 /nfs/dbraw/zinc/98/51/17/905985117.db2.gz XGGPUCIZWOOPER-WDEREUQCSA-N 0 1 291.355 0.880 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H](NC(=O)c2cnn[nH]2)C[C@H]1C ZINC001287931673 905992721 /nfs/dbraw/zinc/99/27/21/905992721.db2.gz YJKJKSMZACVVAW-GHMZBOCLSA-N 0 1 289.339 0.183 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](C)NCC(=O)Nc1ccon1 ZINC001379112203 906000622 /nfs/dbraw/zinc/00/06/22/906000622.db2.gz XQHUXXJQWRSJBZ-NXEZZACHSA-N 0 1 293.327 0.209 20 30 CCEDMN O=C(C#CC1CC1)NCC1=CCN(C(=O)c2ccn[nH]2)CC1 ZINC001288395853 906029495 /nfs/dbraw/zinc/02/94/95/906029495.db2.gz RYRZCGRYFBPZIK-UHFFFAOYSA-N 0 1 298.346 0.712 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001379240142 906084244 /nfs/dbraw/zinc/08/42/44/906084244.db2.gz CLBCURPTRJRYFF-QCNOEVLYSA-N 0 1 272.776 0.852 20 30 CCEDMN C#CCCCCNC(=O)C(=O)NCc1cc(N)ccn1 ZINC001337419719 921246823 /nfs/dbraw/zinc/24/68/23/921246823.db2.gz DVGFVMDAHQUPIH-UHFFFAOYSA-N 0 1 274.324 0.200 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001295098063 906674925 /nfs/dbraw/zinc/67/49/25/906674925.db2.gz ULKOVIDLPMEWDK-AVGNSLFASA-N 0 1 279.384 0.810 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1CCNC(=O)C1 ZINC001380269901 906706212 /nfs/dbraw/zinc/70/62/12/906706212.db2.gz KYEKNYMSOYHDDR-VXGBXAGGSA-N 0 1 299.802 0.846 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)NC(=O)[C@H]1CCCN1C ZINC001295470208 906740762 /nfs/dbraw/zinc/74/07/62/906740762.db2.gz FBACIWCKYICUCI-QWHCGFSZSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1ccc(CN(C)C)nc1 ZINC001296955986 906975962 /nfs/dbraw/zinc/97/59/62/906975962.db2.gz PEVJSBCMTWWNEP-CQSZACIVSA-N 0 1 275.352 0.647 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN(CC(=O)NC2CC2)CC1 ZINC001380927523 906989523 /nfs/dbraw/zinc/98/95/23/906989523.db2.gz RIEBPOWDKPYICV-VXGBXAGGSA-N 0 1 292.383 0.395 20 30 CCEDMN C#CCCCCC(=O)N1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001297250063 907044856 /nfs/dbraw/zinc/04/48/56/907044856.db2.gz VYPOUUBVWFVQFE-UHFFFAOYSA-N 0 1 289.339 0.187 20 30 CCEDMN C#CCCCCC(=O)N1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001297250063 907044864 /nfs/dbraw/zinc/04/48/64/907044864.db2.gz VYPOUUBVWFVQFE-UHFFFAOYSA-N 0 1 289.339 0.187 20 30 CCEDMN CCc1nc(CNC(=O)c2cccc(C#N)n2)n[nH]1 ZINC001298439030 907241381 /nfs/dbraw/zinc/24/13/81/907241381.db2.gz UCRZLBBHOROMSF-UHFFFAOYSA-N 0 1 256.269 0.564 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)NC(=O)C1=NC(=O)N(C)C1 ZINC001298699253 907302703 /nfs/dbraw/zinc/30/27/03/907302703.db2.gz QYNSUNWYTPEBKU-SECBINFHSA-N 0 1 280.328 0.326 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](COC)OC ZINC001491578021 907418080 /nfs/dbraw/zinc/41/80/80/907418080.db2.gz VNGKPKAXGKOQOP-UWVGGRQHSA-N 0 1 264.753 0.495 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)Cc3c[nH]cn3)[C@@H]2C1 ZINC001381905519 907453633 /nfs/dbraw/zinc/45/36/33/907453633.db2.gz NDZVJKSZKPEURU-XYYAHUGASA-N 0 1 294.786 0.999 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1ncc[nH]1 ZINC001491625849 907463216 /nfs/dbraw/zinc/46/32/16/907463216.db2.gz WJPPMQDFCFUJJV-VIFPVBQESA-N 0 1 256.737 0.799 20 30 CCEDMN C[C@@H](CNC(=O)Cc1nc[nH]n1)NCc1ccccc1C#N ZINC001491634864 907471853 /nfs/dbraw/zinc/47/18/53/907471853.db2.gz JCTGTQLQSCSTAZ-NSHDSACASA-N 0 1 298.350 0.513 20 30 CCEDMN COc1nccc(CN[C@H](C)CN(C)C(=O)[C@H](C)C#N)n1 ZINC001382059219 907523455 /nfs/dbraw/zinc/52/34/55/907523455.db2.gz RGCUVPDHUFOLSL-GHMZBOCLSA-N 0 1 291.355 0.581 20 30 CCEDMN C=CCCC(=O)N(C)[C@H]1CCN(C(=O)c2[nH]nnc2C)C1 ZINC001491996377 907668875 /nfs/dbraw/zinc/66/88/75/907668875.db2.gz VPVBEYADSSFQDT-NSHDSACASA-N 0 1 291.355 0.752 20 30 CCEDMN C#Cc1ccc(N2C[C@H](C)N(CCO)C[C@@H]2C)nc1 ZINC001338029206 921344889 /nfs/dbraw/zinc/34/48/89/921344889.db2.gz ZMFULODPQZDDCM-STQMWFEESA-N 0 1 259.353 0.954 20 30 CCEDMN C#CCOCCC(=O)NCCCN(C)C(=O)c1ccn[nH]1 ZINC001492357396 907865889 /nfs/dbraw/zinc/86/58/89/907865889.db2.gz XOTGFDOMIWXQFQ-UHFFFAOYSA-N 0 1 292.339 0.028 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccc(-n2cccn2)nc1 ZINC001492365397 907874764 /nfs/dbraw/zinc/87/47/64/907874764.db2.gz ZAVTYPNCCVXLPO-UHFFFAOYSA-N 0 1 297.362 0.952 20 30 CCEDMN CC(C)OC[C@@H](O)CNCC1(C#N)CCOCC1 ZINC001336721910 907966948 /nfs/dbraw/zinc/96/69/48/907966948.db2.gz LVSHBQWZBNCLOE-LBPRGKRZSA-N 0 1 256.346 0.682 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)NC[C@H]2CCCN2C)cc1 ZINC001338144527 921379822 /nfs/dbraw/zinc/37/98/22/921379822.db2.gz XSFBFZSQZNVQHK-CQSZACIVSA-N 0 1 285.347 0.817 20 30 CCEDMN C=CCCC[C@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])C(=O)OC ZINC001303685596 908108589 /nfs/dbraw/zinc/10/85/89/908108589.db2.gz DKBPJSJESKFXIR-QMMMGPOBSA-N 0 1 296.283 0.946 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CC(=O)N([C@H](C)CCC)C1 ZINC001317472102 908340772 /nfs/dbraw/zinc/34/07/72/908340772.db2.gz VJTVZUGNXQPGMM-ZIAGYGMSSA-N 0 1 293.411 0.705 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1c(C)noc1C ZINC001317472748 908345587 /nfs/dbraw/zinc/34/55/87/908345587.db2.gz CEIXEKFTMKJQQR-UHFFFAOYSA-N 0 1 293.367 0.993 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001385469318 908430508 /nfs/dbraw/zinc/43/05/08/908430508.db2.gz NZHZBWKRXZZUAE-ZJUUUORDSA-N 0 1 275.312 0.290 20 30 CCEDMN CCN1CCN(CC(C)(C)NC(=O)[C@@H]2C[C@@H]2C#N)CC1 ZINC001417557519 921415450 /nfs/dbraw/zinc/41/54/50/921415450.db2.gz DMJLRPRWVKCHBI-CHWSQXEVSA-N 0 1 278.400 0.678 20 30 CCEDMN C[C@H]1CC[C@@H](CNC(=O)NCC#CCN(C)C)O1 ZINC001312829816 908635583 /nfs/dbraw/zinc/63/55/83/908635583.db2.gz KUAJYEIJGOYTMI-RYUDHWBXSA-N 0 1 253.346 0.418 20 30 CCEDMN C[C@@H]1CC[C@H](CNC(=O)NCC#CCN(C)C)O1 ZINC001312829817 908635595 /nfs/dbraw/zinc/63/55/95/908635595.db2.gz KUAJYEIJGOYTMI-VXGBXAGGSA-N 0 1 253.346 0.418 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@@H]2OCC[C@@H]2C1 ZINC001313439342 908667212 /nfs/dbraw/zinc/66/72/12/908667212.db2.gz KBGRPJWTKXTVGU-OLZOCXBDSA-N 0 1 265.357 0.372 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)C[C@H](C)COC)CC1 ZINC001316971900 908705973 /nfs/dbraw/zinc/70/59/73/908705973.db2.gz IFFWSZBBCNCLQQ-HNNXBMFYSA-N 0 1 295.427 0.416 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001316804310 908778656 /nfs/dbraw/zinc/77/86/56/908778656.db2.gz FOIXRKSJVPUGAY-NSHDSACASA-N 0 1 291.355 0.576 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H]1CC[C@H](C)C1 ZINC001316853953 908809319 /nfs/dbraw/zinc/80/93/19/908809319.db2.gz IZHKQQLCPANROA-UONOGXRCSA-N 0 1 293.411 0.610 20 30 CCEDMN CCN(CC#N)CCCNC(=O)CCc1nc[nH]n1 ZINC001316886826 908817686 /nfs/dbraw/zinc/81/76/86/908817686.db2.gz IVLOWXQXRDNWOE-UHFFFAOYSA-N 0 1 264.333 0.089 20 30 CCEDMN CCN(CCCNC(=O)[C@@H](C)C#N)CC(=O)NC1CC1 ZINC001316886527 908820860 /nfs/dbraw/zinc/82/08/60/908820860.db2.gz FWPRHTUHZLIZSB-NSHDSACASA-N 0 1 280.372 0.253 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)C[C@H](C)NC(N)=O)CC1 ZINC001316930265 908852508 /nfs/dbraw/zinc/85/25/08/908852508.db2.gz CEOFENMDIZQDFD-LBPRGKRZSA-N 0 1 294.399 0.379 20 30 CCEDMN C=CCOCCN1CCN(CCNC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001316961776 908867638 /nfs/dbraw/zinc/86/76/38/908867638.db2.gz DYECHTUKTRAGOD-GJZGRUSLSA-N 0 1 295.427 0.579 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCn2cc(Cl)cn2)C1 ZINC001316995713 908908482 /nfs/dbraw/zinc/90/84/82/908908482.db2.gz ROEHNTOPDRKHCB-GFCCVEGCSA-N 0 1 280.759 0.750 20 30 CCEDMN N#CCN1CCC2(C[C@@H]2NC(=O)CCc2c[nH]nn2)CC1 ZINC001317003260 908910368 /nfs/dbraw/zinc/91/03/68/908910368.db2.gz ZYFYKTCSKQOHJH-LBPRGKRZSA-N 0 1 288.355 0.232 20 30 CCEDMN N#CCN1CCC2(C[C@@H]2NC(=O)CCc2cnn[nH]2)CC1 ZINC001317003260 908910377 /nfs/dbraw/zinc/91/03/77/908910377.db2.gz ZYFYKTCSKQOHJH-LBPRGKRZSA-N 0 1 288.355 0.232 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)NC(C)=O ZINC001317042843 908934819 /nfs/dbraw/zinc/93/48/19/908934819.db2.gz LEGWHWPPASHYAZ-FPMFFAJLSA-N 0 1 277.368 0.210 20 30 CCEDMN C=CCC[C@@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)C(N)=O ZINC001317049623 908938366 /nfs/dbraw/zinc/93/83/66/908938366.db2.gz SSZVRTIPULTSSX-UTUOFQBUSA-N 0 1 265.357 0.502 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)CO[C@H]1CCOC1 ZINC001317170605 909026270 /nfs/dbraw/zinc/02/62/70/909026270.db2.gz DWTXAWHNGZJNLN-KGLIPLIRSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)n1cncn1 ZINC001317170294 909028331 /nfs/dbraw/zinc/02/83/31/909028331.db2.gz XKFJBMSAMMJBAZ-CHWSQXEVSA-N 0 1 277.372 0.996 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)Cn1nccc1C ZINC001317179494 909033225 /nfs/dbraw/zinc/03/32/25/909033225.db2.gz UDBXSWWVIBCGHG-CQSZACIVSA-N 0 1 274.368 0.795 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H]1CCNC1=O ZINC001317188335 909037191 /nfs/dbraw/zinc/03/71/91/909037191.db2.gz JCEWFWLQBRXDKM-NWDGAFQWSA-N 0 1 299.802 0.846 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCc2ncncc2C1 ZINC001317448547 909221699 /nfs/dbraw/zinc/22/16/99/909221699.db2.gz OZCVSJISJLLURQ-CYBMUJFWSA-N 0 1 286.379 0.653 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccc2nncn2c1 ZINC001317490128 909262113 /nfs/dbraw/zinc/26/21/13/909262113.db2.gz VUDUQAMHOWHURA-UHFFFAOYSA-N 0 1 271.324 0.414 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCCNC(=O)C1CC1 ZINC001317502409 909273205 /nfs/dbraw/zinc/27/32/05/909273205.db2.gz QEISFRUQKPBBTB-AWEZNQCLSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCc1ccon1 ZINC001317501126 909275196 /nfs/dbraw/zinc/27/51/96/909275196.db2.gz JQDOGNQAITWFRU-CYBMUJFWSA-N 0 1 261.325 0.821 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN([C@H]2CCNC2=O)C1 ZINC001317521723 909292924 /nfs/dbraw/zinc/29/29/24/909292924.db2.gz HBLPPKUMLBLOAJ-RYUDHWBXSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN(CCNC(=O)[C@H](OC)[C@H]1CCOC1)C1CC1 ZINC001317553951 909324008 /nfs/dbraw/zinc/32/40/08/909324008.db2.gz FKOBTBHNTRTBEM-GXTWGEPZSA-N 0 1 280.368 0.252 20 30 CCEDMN CC[C@@H](F)C(=O)NCCN(CC#CCOC)C1CC1 ZINC001317554902 909328613 /nfs/dbraw/zinc/32/86/13/909328613.db2.gz LBUNQMPHBMCYCW-CYBMUJFWSA-N 0 1 270.348 0.965 20 30 CCEDMN Cc1ncoc1CNC[C@H](O)CN(C)CCC#N ZINC001338568222 921513078 /nfs/dbraw/zinc/51/30/78/921513078.db2.gz WCDPFSOUKVNKKD-NSHDSACASA-N 0 1 252.318 0.279 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)COC(C)C)C1 ZINC001318456588 909766479 /nfs/dbraw/zinc/76/64/79/909766479.db2.gz CBISHEIVYSAHAM-CYBMUJFWSA-N 0 1 252.358 0.967 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CNC(=O)C2CC2)C1 ZINC001318389374 909731202 /nfs/dbraw/zinc/73/12/02/909731202.db2.gz POMYAHDEFIKSDH-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H](C)OCCOCC)C1 ZINC001318444930 909760524 /nfs/dbraw/zinc/76/05/24/909760524.db2.gz HKSLIAPIMCHNDX-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN Cc1ccc(C#N)c(N(C)CCNC(=O)c2ncn[nH]2)n1 ZINC001417677201 921522843 /nfs/dbraw/zinc/52/28/43/921522843.db2.gz JMTUWZQEYPVLTC-UHFFFAOYSA-N 0 1 285.311 0.246 20 30 CCEDMN Cc1ccc(C#N)c(N(C)CCNC(=O)c2nc[nH]n2)n1 ZINC001417677201 921522857 /nfs/dbraw/zinc/52/28/57/921522857.db2.gz JMTUWZQEYPVLTC-UHFFFAOYSA-N 0 1 285.311 0.246 20 30 CCEDMN C#CCN(CC1CC1)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC001319545726 910195322 /nfs/dbraw/zinc/19/53/22/910195322.db2.gz QDVLZJWYGHMJFB-CQSZACIVSA-N 0 1 292.427 0.677 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](NC(C)=O)C1CCCC1 ZINC001320018770 910419678 /nfs/dbraw/zinc/41/96/78/910419678.db2.gz QJIOWHPWHDSKDC-HNNXBMFYSA-N 0 1 293.411 0.705 20 30 CCEDMN CCn1ncc(CN[C@H]2C[C@@H](CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001417761306 921598912 /nfs/dbraw/zinc/59/89/12/921598912.db2.gz KNYYPGYEKKVIPP-SDDRHHMPSA-N 0 1 290.371 0.442 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)CNC(=O)CC ZINC001320694321 910808148 /nfs/dbraw/zinc/80/81/48/910808148.db2.gz IGWPUALKYFHMIJ-UHFFFAOYSA-N 0 1 275.780 0.703 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)/C(C)=C/C ZINC001321014365 911015426 /nfs/dbraw/zinc/01/54/26/911015426.db2.gz RKRNAHDHNZVBSU-BZNMCICSSA-N 0 1 265.357 0.517 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)Cn1ccccc1=O ZINC001321387750 911271217 /nfs/dbraw/zinc/27/12/17/911271217.db2.gz HTXQCSYMLWYYEW-ARJAWSKDSA-N 0 1 295.770 0.863 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@H](C)C#N)NC(=O)CN1CCCC1 ZINC001391678188 911320217 /nfs/dbraw/zinc/32/02/17/911320217.db2.gz UADVGEXEXITVPL-OLZOCXBDSA-N 0 1 294.399 0.499 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cc(C)no1 ZINC001321687006 911445583 /nfs/dbraw/zinc/44/55/83/911445583.db2.gz TXUKRUOJDXGUKR-UHFFFAOYSA-N 0 1 284.297 0.440 20 30 CCEDMN C=CCOCC[N@H+](C)C[C@H](C)NC(=O)c1cc(C)n[nH]1 ZINC001322118729 911665427 /nfs/dbraw/zinc/66/54/27/911665427.db2.gz AGOBEORQMZFGIM-LBPRGKRZSA-N 0 1 280.372 0.971 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnc2[nH]c(=O)[nH]c2c1 ZINC001322193627 911704753 /nfs/dbraw/zinc/70/47/53/911704753.db2.gz MDKSXBFZSRELPI-VIFPVBQESA-N 0 1 287.323 0.347 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCCN(C(C)=O)C1 ZINC001322198013 911708149 /nfs/dbraw/zinc/70/81/49/911708149.db2.gz ZBPLGJXNDWBMLX-OCCSQVGLSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCc1ccc(=O)[nH]c1 ZINC001322231149 911716429 /nfs/dbraw/zinc/71/64/29/911716429.db2.gz QXNMJUUDUWKGAS-GFCCVEGCSA-N 0 1 275.352 0.790 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccccc1O ZINC001392349630 911746080 /nfs/dbraw/zinc/74/60/80/911746080.db2.gz MGAYCWJJBKDUJN-SNVBAGLBSA-N 0 1 284.743 0.825 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N[C@H]1CCN(CCOC)C1 ZINC001322549457 911855953 /nfs/dbraw/zinc/85/59/53/911855953.db2.gz CJVKBWALAJVKDQ-OLZOCXBDSA-N 0 1 297.399 0.294 20 30 CCEDMN CCc1cc(CNC(=O)C(=O)N2CC[C@](F)(C#N)C2)n[nH]1 ZINC001322741535 911932063 /nfs/dbraw/zinc/93/20/63/911932063.db2.gz HQMOWVVIQGYUAE-ZDUSSCGKSA-N 0 1 293.302 0.052 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N[C@H](CNCC#N)C1CC1 ZINC001323112002 912123381 /nfs/dbraw/zinc/12/33/81/912123381.db2.gz FFGMEHQJBYDFBG-KGLIPLIRSA-N 0 1 278.400 0.869 20 30 CCEDMN N#CCC[C@H](C#N)CNC[C@@H]1CC[C@H](C(N)=O)O1 ZINC001327975529 914901110 /nfs/dbraw/zinc/90/11/10/914901110.db2.gz KGWHTAUBWNCYSB-OUAUKWLOSA-N 0 1 250.302 0.052 20 30 CCEDMN CC1(NCC#N)CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC001327981211 914904193 /nfs/dbraw/zinc/90/41/93/914904193.db2.gz VOOBPBHCKIDZTF-UHFFFAOYSA-N 0 1 276.344 0.232 20 30 CCEDMN Cc1cc(CN[C@@H](C)CNC(=O)c2cc(C#N)c[nH]2)ncn1 ZINC001398898113 914932310 /nfs/dbraw/zinc/93/23/10/914932310.db2.gz XJGQVTLTEMVZBA-NSHDSACASA-N 0 1 298.350 0.893 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@@H]1CC[N@H+](CCOC)C1)C(=O)[O-] ZINC001339491891 921738744 /nfs/dbraw/zinc/73/87/44/921738744.db2.gz DYWXWGUNCBSBOU-MNOVXSKESA-N 0 1 285.344 0.036 20 30 CCEDMN C#CC1CCN(c2nnc(-c3c[nH]nn3)n2C)CC1 ZINC001339531867 921752916 /nfs/dbraw/zinc/75/29/16/921752916.db2.gz WMDMEPWMPNSAPZ-UHFFFAOYSA-N 0 1 257.301 0.450 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC001328543091 915264004 /nfs/dbraw/zinc/26/40/04/915264004.db2.gz ULYFOEWCRJFXQC-FICVDOATSA-N 0 1 290.407 0.999 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H]2C[C@@H](C)CO2)CC1 ZINC001328542701 915264133 /nfs/dbraw/zinc/26/41/33/915264133.db2.gz SAYBTNARIKMGSG-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)C[C@@H]1CCCN1C(C)=O ZINC001328702750 915363448 /nfs/dbraw/zinc/36/34/48/915363448.db2.gz JVZNMKWDNUEJQZ-AAEUAGOBSA-N 0 1 267.373 0.620 20 30 CCEDMN CCC(=O)NC[C@H]1CCN(CC(=O)N(CC)CCC#N)C1 ZINC001399900146 915428615 /nfs/dbraw/zinc/42/86/15/915428615.db2.gz MQWWKLVBIFRIFH-CYBMUJFWSA-N 0 1 294.399 0.597 20 30 CCEDMN C#CC1CCN(C(=O)C[N@@H+]2CCC[C@H](C(=O)[O-])C2)CC1 ZINC001339602968 921774125 /nfs/dbraw/zinc/77/41/25/921774125.db2.gz QNLFIRTULKUACI-ZDUSSCGKSA-N 0 1 278.352 0.655 20 30 CCEDMN C=CCCCC(=O)N[C@@H](C)[C@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001329106313 915674937 /nfs/dbraw/zinc/67/49/37/915674937.db2.gz CKYHEYAGNDTPMC-QWRGUYRKSA-N 0 1 295.387 0.448 20 30 CCEDMN O=C(NCCNC[C@H](O)C(F)(F)F)C1N=CC=CC1=O ZINC001329140060 915700129 /nfs/dbraw/zinc/70/01/29/915700129.db2.gz DMBBZFHWWZJDMF-HHHOFRBGSA-N 0 1 293.245 0.026 20 30 CCEDMN N#CC1(CC(=O)NCCCc2nc[nH]n2)CCOCC1 ZINC001329277364 915786443 /nfs/dbraw/zinc/78/64/43/915786443.db2.gz UCNMIRQDRYVCCP-UHFFFAOYSA-N 0 1 277.328 0.564 20 30 CCEDMN N#CCCC[C@@H]([NH3+])C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001329288537 915794613 /nfs/dbraw/zinc/79/46/13/915794613.db2.gz RITYQZUOXQRPNF-VHSXEESVSA-N 0 1 293.327 0.502 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H](C)N(C)Cc1n[nH]c(C)n1 ZINC001329441545 915918965 /nfs/dbraw/zinc/91/89/65/915918965.db2.gz OAAOUBWILLQELC-WDEREUQCSA-N 0 1 293.371 0.088 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)Cn1cc(C2CC2)nn1 ZINC001329458852 915932171 /nfs/dbraw/zinc/93/21/71/915932171.db2.gz FHVFMLZKWWJWOH-NSHDSACASA-N 0 1 275.356 0.225 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC001329458422 915933007 /nfs/dbraw/zinc/93/30/07/915933007.db2.gz SNXLWOGTCXQIKD-NEPJUHHUSA-N 0 1 291.355 0.592 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@H](OC)C(C)C ZINC001329530322 915990543 /nfs/dbraw/zinc/99/05/43/915990543.db2.gz GRCTXJBZSIIBKM-UONOGXRCSA-N 0 1 284.400 0.744 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccccc1-n1ccnn1 ZINC001329628433 916077989 /nfs/dbraw/zinc/07/79/89/916077989.db2.gz QXFDLESSHKAOAA-ZDUSSCGKSA-N 0 1 297.362 0.951 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccccc1-n1ccnn1 ZINC001329628431 916079088 /nfs/dbraw/zinc/07/90/88/916079088.db2.gz QXFDLESSHKAOAA-CYBMUJFWSA-N 0 1 297.362 0.951 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)C(=O)N1CCC[C@@](C)(C#N)C1 ZINC001329674471 916115005 /nfs/dbraw/zinc/11/50/05/916115005.db2.gz BORHQMYBNUOGPO-HNNXBMFYSA-N 0 1 292.383 0.159 20 30 CCEDMN C=CCN(C(=O)C(=O)N(C)Cc1cnc[nH]1)[C@H](C)COC ZINC001329750521 916164495 /nfs/dbraw/zinc/16/44/95/916164495.db2.gz RRRNMGHFMKZEQI-LLVKDONJSA-N 0 1 294.355 0.418 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)C(=O)NCc2cn[nH]c2C)CC1 ZINC001330064535 916391691 /nfs/dbraw/zinc/39/16/91/916391691.db2.gz PZAFQZDYVZTIMQ-AULYBMBSSA-N 0 1 288.351 0.643 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)CNC(C)=O)C1CC1 ZINC001401830878 916616192 /nfs/dbraw/zinc/61/61/92/916616192.db2.gz ZKGIIDYKGFUNIH-NSHDSACASA-N 0 1 273.764 0.359 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CNCc1nnc2n1CCC2 ZINC001331118561 917103462 /nfs/dbraw/zinc/10/34/62/917103462.db2.gz CGEYRMRKGQQYKY-JTQLQIEISA-N 0 1 263.345 0.615 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2cncnc2)CCO1 ZINC001402597432 917118627 /nfs/dbraw/zinc/11/86/27/917118627.db2.gz OPMHPQJPUOMSSI-LBPRGKRZSA-N 0 1 296.758 0.660 20 30 CCEDMN Cc1cncc(CN[C@H](CO)CNC(=O)C#CC(C)C)c1 ZINC001331708076 917535402 /nfs/dbraw/zinc/53/54/02/917535402.db2.gz QIKDSTKKJDBTRM-HNNXBMFYSA-N 0 1 289.379 0.616 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)COCc1ccc(C)cc1 ZINC001331724907 917549888 /nfs/dbraw/zinc/54/98/88/917549888.db2.gz MGRCMYRKZYEEKG-HNNXBMFYSA-N 0 1 290.363 0.212 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc2ccccc2nc1C ZINC001331725923 917551300 /nfs/dbraw/zinc/55/13/00/917551300.db2.gz QQCQVLRJMCHFRY-CQSZACIVSA-N 0 1 297.358 0.857 20 30 CCEDMN C#CCN(CC1CC1)C(=O)NC[C@@H]1COCCN1 ZINC001331730032 917556236 /nfs/dbraw/zinc/55/62/36/917556236.db2.gz IFSNEIRNRXJCJZ-GFCCVEGCSA-N 0 1 251.330 0.030 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1CCC=CCCC1 ZINC001331740132 917562243 /nfs/dbraw/zinc/56/22/43/917562243.db2.gz OASVXYYPGBGYNW-KBPBESRZSA-N 0 1 264.369 0.823 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(F)cc2ccoc21 ZINC001331740661 917566479 /nfs/dbraw/zinc/56/64/79/917566479.db2.gz ROTNYTYESPBIKV-GFCCVEGCSA-N 0 1 290.294 0.885 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CC(C)(C)COC ZINC001331760193 917581056 /nfs/dbraw/zinc/58/10/56/917581056.db2.gz ZFOWNVSZQVMXCS-LBPRGKRZSA-N 0 1 270.373 0.139 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](C)Cc1ccco1 ZINC001331804193 917623455 /nfs/dbraw/zinc/62/34/55/917623455.db2.gz LKIKYQMCVKOCPB-NEPJUHHUSA-N 0 1 264.325 0.158 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(Cl)no1 ZINC001331827474 917644569 /nfs/dbraw/zinc/64/45/69/917644569.db2.gz YYWPQWMYSDFVQK-MRVPVSSYSA-N 0 1 271.704 0.032 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H](CO)NC[C@H](F)CC)cn1 ZINC001331825718 917644681 /nfs/dbraw/zinc/64/46/81/917644681.db2.gz QIGGKZSMOIVWRO-OCCSQVGLSA-N 0 1 293.342 0.491 20 30 CCEDMN C=C[C@@H](COC)NC(=O)c1cccc2nc(CO)[nH]c21 ZINC001332106736 917865375 /nfs/dbraw/zinc/86/53/75/917865375.db2.gz JJGXGTDFCIVITR-VIFPVBQESA-N 0 1 275.308 0.986 20 30 CCEDMN Cn1nc2ccccc2c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC001332179559 917937665 /nfs/dbraw/zinc/93/76/65/917937665.db2.gz NZUMAPWHUYGOHY-JOYOIKCWSA-N 0 1 269.308 0.415 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CNC(=O)NC)C1 ZINC001403789667 918010547 /nfs/dbraw/zinc/01/05/47/918010547.db2.gz TYCNQJKIPKIBHB-NXEZZACHSA-N 0 1 288.779 0.247 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H](O)CNCc1cnoc1C ZINC001332503192 918226847 /nfs/dbraw/zinc/22/68/47/918226847.db2.gz FEBHESLACXYWOG-AWEZNQCLSA-N 0 1 293.367 0.695 20 30 CCEDMN C=CC[C@H](NC(=O)NC[C@@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC001332595604 918297901 /nfs/dbraw/zinc/29/79/01/918297901.db2.gz DSDUQUDDHYIWHX-JQWIXIFHSA-N 0 1 281.356 0.799 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])cn2)CC1 ZINC001332653795 918358361 /nfs/dbraw/zinc/35/83/61/918358361.db2.gz QOOHUNPOUICDDV-UHFFFAOYSA-N 0 1 287.319 0.561 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1(CCOC)CCC1 ZINC001332679133 918374733 /nfs/dbraw/zinc/37/47/33/918374733.db2.gz VGVITYXYGVVDKX-CQSZACIVSA-N 0 1 296.411 0.578 20 30 CCEDMN C=CC[N@@H+](CC)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001492933605 918409781 /nfs/dbraw/zinc/40/97/81/918409781.db2.gz HRTUTXXEZNVKKL-UHFFFAOYSA-N 0 1 252.318 0.661 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CN(Cc2n[nH]c(C3CC3)n2)C1 ZINC001404753911 918452682 /nfs/dbraw/zinc/45/26/82/918452682.db2.gz ZUIZEUMKIOUHMN-QMMMGPOBSA-N 0 1 274.328 0.142 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001332831945 918464714 /nfs/dbraw/zinc/46/47/14/918464714.db2.gz CGSADGVQBAVLGP-SECBINFHSA-N 0 1 265.317 0.200 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)[C@H](COC)OC)CC1 ZINC001333401221 918868406 /nfs/dbraw/zinc/86/84/06/918868406.db2.gz RIZDYSHEYLTMJU-AWEZNQCLSA-N 0 1 280.368 0.419 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H](COC)OC)CC1 ZINC001333401221 918868419 /nfs/dbraw/zinc/86/84/19/918868419.db2.gz RIZDYSHEYLTMJU-AWEZNQCLSA-N 0 1 280.368 0.419 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cn(C)nn1 ZINC001405818583 918931562 /nfs/dbraw/zinc/93/15/62/918931562.db2.gz XATYYAFZYHSPRP-SECBINFHSA-N 0 1 271.752 0.618 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)C(=O)N(C)Cc2nnc[nH]2)C1 ZINC001333471716 918934268 /nfs/dbraw/zinc/93/42/68/918934268.db2.gz XJONJJQAFDVGNF-NSHDSACASA-N 0 1 291.355 0.578 20 30 CCEDMN C=CCn1nnnc1N1CCN([C@@H](C)CC)CC1 ZINC001333660315 919079285 /nfs/dbraw/zinc/07/92/85/919079285.db2.gz WQVHBORTEUOBCO-NSHDSACASA-N 0 1 250.350 0.780 20 30 CCEDMN C#CCCCNC(=O)NCc1n[nH]c(COC)n1 ZINC001333735557 919128656 /nfs/dbraw/zinc/12/86/56/919128656.db2.gz AVCGPBBWDGIZHD-UHFFFAOYSA-N 0 1 251.290 0.164 20 30 CCEDMN C#CCCCNC(=O)NCc1nnc(COC)[nH]1 ZINC001333735557 919128668 /nfs/dbraw/zinc/12/86/68/919128668.db2.gz AVCGPBBWDGIZHD-UHFFFAOYSA-N 0 1 251.290 0.164 20 30 CCEDMN CCN(CCNC(=O)C#CC1CC1)[C@H]1CCN(C)C1=O ZINC001492950033 919152942 /nfs/dbraw/zinc/15/29/42/919152942.db2.gz GRVNYFXVSHSCSA-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCC[C@H](C)[NH2+]Cc1nc(CS(C)(=O)=O)n[n-]1 ZINC001333827484 919191898 /nfs/dbraw/zinc/19/18/98/919191898.db2.gz UEKWXRFGSZSGJY-VIFPVBQESA-N 0 1 272.374 0.794 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)n[nH]1 ZINC001406487603 919302130 /nfs/dbraw/zinc/30/21/30/919302130.db2.gz BCQZJSKMNDZMFT-KOLCDFICSA-N 0 1 289.339 0.551 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H]1CCNC(=O)CC1 ZINC001406511968 919307092 /nfs/dbraw/zinc/30/70/92/919307092.db2.gz DOJBAXINWRDGDK-WDEREUQCSA-N 0 1 287.791 0.750 20 30 CCEDMN CC#CCn1nnnc1N1CCN(C(C)(C)COC)CC1 ZINC001334443520 919586207 /nfs/dbraw/zinc/58/62/07/919586207.db2.gz SFIPJOYQTOQHEP-UHFFFAOYSA-N 0 1 292.387 0.243 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCN(C2CCC2)CC1 ZINC001334453716 919596672 /nfs/dbraw/zinc/59/66/72/919596672.db2.gz JCNZQHNIALNTKQ-UHFFFAOYSA-N 0 1 262.361 0.924 20 30 CCEDMN C=CCCCC(=O)N1CC([C@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001335099012 919955063 /nfs/dbraw/zinc/95/50/63/919955063.db2.gz KXYWYYKUVCLAFQ-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCCC(=O)N1CC([C@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001335099012 919955072 /nfs/dbraw/zinc/95/50/72/919955072.db2.gz KXYWYYKUVCLAFQ-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C[C@@H](NC[C@@H](O)CN(C)CCC#N)c1cnccn1 ZINC001335353986 920140620 /nfs/dbraw/zinc/14/06/20/920140620.db2.gz WBPNTCLDIDVHDN-VXGBXAGGSA-N 0 1 263.345 0.334 20 30 CCEDMN C[C@H](NC[C@@H](O)CN(C)CCC#N)c1cnccn1 ZINC001335470632 920217172 /nfs/dbraw/zinc/21/71/72/920217172.db2.gz WBPNTCLDIDVHDN-NWDGAFQWSA-N 0 1 263.345 0.334 20 30 CCEDMN C#CCSCCNC(=O)N1CCNC[C@H]1CCOC ZINC001335497550 920237960 /nfs/dbraw/zinc/23/79/60/920237960.db2.gz LNQXLEYSGFTZQT-GFCCVEGCSA-N 0 1 285.413 0.373 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCNC[C@@H]1CCOC ZINC001335567971 920281286 /nfs/dbraw/zinc/28/12/86/920281286.db2.gz ICOPQLRZHNAMOI-IHRRRGAJSA-N 0 1 297.399 0.597 20 30 CCEDMN CCc1cc(NC(=O)NCC#CCN(C)C)no1 ZINC001335862073 920483827 /nfs/dbraw/zinc/48/38/27/920483827.db2.gz VQSWFUWXZFPHJF-UHFFFAOYSA-N 0 1 250.302 0.924 20 30 CCEDMN C#Cc1cccc(CNC(=O)CN2CCC(CO)CC2)c1 ZINC001335949806 920521678 /nfs/dbraw/zinc/52/16/78/920521678.db2.gz RLPRXTIJRVMWTR-UHFFFAOYSA-N 0 1 286.375 0.988 20 30 CCEDMN CC#CCNCCS(=O)(=O)CC(=O)OC(C)(C)C ZINC001335968821 920536006 /nfs/dbraw/zinc/53/60/06/920536006.db2.gz KPTWIVRTKYQMNX-UHFFFAOYSA-N 0 1 275.370 0.356 20 30 CCEDMN N#CC1(C[C@@H](O)CN[C@H](CO)c2ccccn2)CC1 ZINC001336000159 920555275 /nfs/dbraw/zinc/55/52/75/920555275.db2.gz KOISMGRMQXWUJQ-DGCLKSJQSA-N 0 1 261.325 0.759 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@@H]2CN3CCC2CC3)n1 ZINC001336083037 920602169 /nfs/dbraw/zinc/60/21/69/920602169.db2.gz XRVKOBQGCDNZGN-CYBMUJFWSA-N 0 1 256.309 0.777 20 30 CCEDMN C=CCCCN(CC)C(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC001336137062 920630066 /nfs/dbraw/zinc/63/00/66/920630066.db2.gz XYVCPWJXXFDDHH-VXGBXAGGSA-N 0 1 285.363 0.699 20 30 CCEDMN C#Cc1ccc(N2CCN(C[C@@H](C)O)C[C@@H]2C)nc1 ZINC001336607220 920870920 /nfs/dbraw/zinc/87/09/20/920870920.db2.gz IIRBEBRMRHFMRM-QWHCGFSZSA-N 0 1 259.353 0.954 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCCCN1CCn1cncn1 ZINC001418149700 921859832 /nfs/dbraw/zinc/85/98/32/921859832.db2.gz HNRIIXLCBVQHJM-STQMWFEESA-N 0 1 290.371 0.408 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1COCCN1CC ZINC001418243961 921956392 /nfs/dbraw/zinc/95/63/92/921956392.db2.gz AUUHDWHMQVDYJO-NEPJUHHUSA-N 0 1 289.807 0.554 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)NCc1cn[nH]c1C ZINC001340616050 922140917 /nfs/dbraw/zinc/14/09/17/922140917.db2.gz IBBJRMHYDNUJMY-UHFFFAOYSA-N 0 1 280.328 0.043 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)COCC(F)(F)F ZINC001340650772 922158596 /nfs/dbraw/zinc/15/85/96/922158596.db2.gz XICSXZAFCSKBKY-QMMMGPOBSA-N 0 1 252.236 0.293 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@H](c2ccc(C#N)cc2)C1 ZINC001341351997 922436875 /nfs/dbraw/zinc/43/68/75/922436875.db2.gz LTHZPENIUNMHBV-HNNXBMFYSA-N 0 1 283.331 0.681 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)Cc1csc(CN)n1)C(=O)OC ZINC001341508472 922523198 /nfs/dbraw/zinc/52/31/98/922523198.db2.gz LWSXTKIHQSMFMI-SNVBAGLBSA-N 0 1 297.380 0.768 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1c2ccccc2CN1C ZINC001341530830 922537131 /nfs/dbraw/zinc/53/71/31/922537131.db2.gz YLNKKVHAXXDTFW-CQSZACIVSA-N 0 1 257.337 0.512 20 30 CCEDMN Cc1cc(C)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c(C)n1 ZINC001341747955 922655516 /nfs/dbraw/zinc/65/55/16/922655516.db2.gz KJWGJPLZCUNUOM-VXGBXAGGSA-N 0 1 258.325 0.848 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CO[C@@H]1CCOC1 ZINC001341784492 922672656 /nfs/dbraw/zinc/67/26/56/922672656.db2.gz FJHKXRNCTYERIM-NXEZZACHSA-N 0 1 254.286 0.025 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CCC[C@H]1OC ZINC001342019803 922766328 /nfs/dbraw/zinc/76/63/28/922766328.db2.gz HUCSSHQDOCQYBX-QJPTWQEYSA-N 0 1 282.340 0.663 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001342047957 922780704 /nfs/dbraw/zinc/78/07/04/922780704.db2.gz FNEOAVKJGKIKME-KYVBTAMFSA-N 0 1 278.308 0.025 20 30 CCEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCOCC1 ZINC001342071515 922790058 /nfs/dbraw/zinc/79/00/58/922790058.db2.gz LJVWLSKINHQBFX-UHFFFAOYSA-N 0 1 274.328 0.999 20 30 CCEDMN C#CCNCC(=O)NC1(c2ccc3c(c2)OCCO3)CC1 ZINC001342157775 922837510 /nfs/dbraw/zinc/83/75/10/922837510.db2.gz XDNJRACYEDIVJE-UHFFFAOYSA-N 0 1 286.331 0.786 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1CCC2(CCOCC2)CO1 ZINC001342356726 922928518 /nfs/dbraw/zinc/92/85/18/922928518.db2.gz HEESAIWSHPZKOA-CYBMUJFWSA-N 0 1 280.368 0.301 20 30 CCEDMN C#CCNCC(=O)NCCc1ccnn1-c1ccccc1 ZINC001342536257 922992620 /nfs/dbraw/zinc/99/26/20/922992620.db2.gz PFHHWJIZLUVGSU-UHFFFAOYSA-N 0 1 282.347 0.754 20 30 CCEDMN N#CC(C(=O)CCCN1C(=O)CCC1=O)c1ccncn1 ZINC001342659139 923040739 /nfs/dbraw/zinc/04/07/39/923040739.db2.gz PSWHPNFSTXSNED-SNVBAGLBSA-N 0 1 286.291 0.582 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C)N1CCc2ccccc21 ZINC001343059898 923189862 /nfs/dbraw/zinc/18/98/62/923189862.db2.gz UFVYQBAEMNFTIM-ZDUSSCGKSA-N 0 1 271.364 0.777 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1)C1CC1 ZINC001343102938 923200265 /nfs/dbraw/zinc/20/02/65/923200265.db2.gz DJNRJOITRUHMTC-VXGBXAGGSA-N 0 1 291.395 0.905 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC(=O)NCC(C)C)CC1 ZINC001343102030 923201080 /nfs/dbraw/zinc/20/10/80/923201080.db2.gz ZUKQTNHHCDUYJI-UHFFFAOYSA-N 0 1 294.399 0.155 20 30 CCEDMN C=CCCn1cc(C(=O)N2CCNC[C@@H]2CCOC)nn1 ZINC001343107061 923204964 /nfs/dbraw/zinc/20/49/64/923204964.db2.gz ZLIXGMUQWRZPJE-LBPRGKRZSA-N 0 1 293.371 0.305 20 30 CCEDMN N#CC1(COC(=O)CCc2nc[nH]n2)CCOCC1 ZINC001343330938 923272963 /nfs/dbraw/zinc/27/29/63/923272963.db2.gz JNCNAPLBDVBICF-UHFFFAOYSA-N 0 1 264.285 0.601 20 30 CCEDMN N#CC(C(=O)CCCCC(N)=O)C(=O)NC1CC1 ZINC001343386529 923293759 /nfs/dbraw/zinc/29/37/59/923293759.db2.gz KGCIHIIUQIPRTK-SECBINFHSA-N 0 1 251.286 0.020 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc2c[nH]ccc-2n1 ZINC001343468241 923331562 /nfs/dbraw/zinc/33/15/62/923331562.db2.gz SIJPZOBVDKQLPV-JOYOIKCWSA-N 0 1 255.281 0.404 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC(C)C)[C@H]1CCOC1 ZINC001343489669 923346556 /nfs/dbraw/zinc/34/65/56/923346556.db2.gz GCCCAHXRJWOHRT-NHCYSSNCSA-N 0 1 268.313 0.271 20 30 CCEDMN C=C(C)Cn1c(CO)nnc1N1CCN(C)C(C)(C)C1 ZINC001343523901 923361940 /nfs/dbraw/zinc/36/19/40/923361940.db2.gz ZBPMTYDXWOVKQC-UHFFFAOYSA-N 0 1 279.388 0.877 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C[C@@H]1CCCOC1 ZINC001420223794 923431138 /nfs/dbraw/zinc/43/11/38/923431138.db2.gz YWQBGXOGNZYJHU-NWDGAFQWSA-N 0 1 290.791 0.622 20 30 CCEDMN C[C@H](CNCC(=O)Nc1ccccc1)NC(=O)[C@@H](C)C#N ZINC001420274434 923485942 /nfs/dbraw/zinc/48/59/42/923485942.db2.gz XSUULFOOVSBVAH-NWDGAFQWSA-N 0 1 288.351 0.879 20 30 CCEDMN Cc1ccc(CNC(=O)[C@H]2CNCCO2)cc1C#N ZINC001344899886 923726377 /nfs/dbraw/zinc/72/63/77/923726377.db2.gz MKAPOECECXUNEA-CYBMUJFWSA-N 0 1 259.309 0.471 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](Cc2nccs2)C1 ZINC001345491254 923905403 /nfs/dbraw/zinc/90/54/03/923905403.db2.gz SFAJXBDRIICYDT-LLVKDONJSA-N 0 1 263.366 0.757 20 30 CCEDMN CCCCCNC(=O)C(C#N)C(=O)C[C@H]1CCC(=O)NC1 ZINC001346198927 924106221 /nfs/dbraw/zinc/10/62/21/924106221.db2.gz HRAZICFOSMMQIQ-VXGBXAGGSA-N 0 1 293.367 0.918 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1CN2CCN1C[C@H]2C)C(C)(C)C ZINC001347067336 924363384 /nfs/dbraw/zinc/36/33/84/924363384.db2.gz UISYLTKERVURFL-AGIUHOORSA-N 0 1 263.385 0.539 20 30 CCEDMN C=CC[N@H+](CCc1nnn(C(C)(C)C)n1)CC(=O)[O-] ZINC001347091432 924368871 /nfs/dbraw/zinc/36/88/71/924368871.db2.gz PKYJHPWUWLETMV-UHFFFAOYSA-N 0 1 267.333 0.543 20 30 CCEDMN C#CCC(C)(C)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001347234766 924404284 /nfs/dbraw/zinc/40/42/84/924404284.db2.gz PVUSXQPFZQSDKK-NSHDSACASA-N 0 1 276.340 0.233 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)Nc1ccc(C#N)cn1 ZINC001347658210 924505649 /nfs/dbraw/zinc/50/56/49/924505649.db2.gz ORDDZPVNSXBPLM-UHFFFAOYSA-N 0 1 284.279 0.240 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001348853457 924828250 /nfs/dbraw/zinc/82/82/50/924828250.db2.gz NCLTWFOSBGBKPZ-BFHYXJOUSA-N 0 1 280.416 0.722 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCNC(=O)Cc1nnc[nH]1 ZINC001348992065 924860882 /nfs/dbraw/zinc/86/08/82/924860882.db2.gz QVEVJIXCYJSBPR-UHFFFAOYSA-N 0 1 279.344 0.182 20 30 CCEDMN C=C1CC(C)(C(=O)NCCNC(=O)CCc2cnc[nH]2)C1 ZINC001349203172 924908257 /nfs/dbraw/zinc/90/82/57/924908257.db2.gz YEVTWBHXGXZCQZ-UHFFFAOYSA-N 0 1 290.367 0.931 20 30 CCEDMN C=CCCOCCNC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001351093177 925358280 /nfs/dbraw/zinc/35/82/80/925358280.db2.gz ZORQQLJNGQQAGI-KBPBESRZSA-N 0 1 298.431 0.513 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCCNC(=O)[C@H]1CCCN1C ZINC001351804967 925475930 /nfs/dbraw/zinc/47/59/30/925475930.db2.gz SUKFGJRMCKXIMY-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCN(CCOC)C(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001352015711 925509122 /nfs/dbraw/zinc/50/91/22/925509122.db2.gz VHZHKJRNQOBRTB-STQMWFEESA-N 0 1 267.373 0.036 20 30 CCEDMN C=C(C)COCCn1nnnc1N(C)Cc1nnc[nH]1 ZINC001352392444 925570565 /nfs/dbraw/zinc/57/05/65/925570565.db2.gz DQFZGROOHBHFKB-UHFFFAOYSA-N 0 1 278.320 0.020 20 30 CCEDMN C=CCCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001352669390 925617503 /nfs/dbraw/zinc/61/75/03/925617503.db2.gz IDAXPMIZVRBMCR-NSHDSACASA-N 0 1 264.329 0.539 20 30 CCEDMN COc1cc(C)cnc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC001352839354 925656916 /nfs/dbraw/zinc/65/69/16/925656916.db2.gz MYLYTXPCCSVDDB-NXEZZACHSA-N 0 1 260.297 0.240 20 30 CCEDMN COc1cc(C)cnc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC001352839357 925657340 /nfs/dbraw/zinc/65/73/40/925657340.db2.gz MYLYTXPCCSVDDB-ZJUUUORDSA-N 0 1 260.297 0.240 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)c1ccc2c(c1)CC(=O)N2C ZINC001353288753 925786273 /nfs/dbraw/zinc/78/62/73/925786273.db2.gz AKEVJOKMEQTCNF-LLVKDONJSA-N 0 1 285.347 0.606 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@H](OCc2ccccn2)C1 ZINC001353820599 925939105 /nfs/dbraw/zinc/93/91/05/925939105.db2.gz HXHBPPVQGIDNKX-CQSZACIVSA-N 0 1 273.336 0.422 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)NC(=O)[C@H]1CCCCN1C ZINC001354358389 926092408 /nfs/dbraw/zinc/09/24/08/926092408.db2.gz MWEGAFXPVGLWKA-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCCOCC(=O)NC[C@H](C)NC(=O)[C@H]1CCCN1C ZINC001354495523 926118458 /nfs/dbraw/zinc/11/84/58/926118458.db2.gz RWILUSVLIVXZCJ-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN Cn1ccnc1[C@H](C#N)C(=O)[C@@H]1CCCN(C2CC2)C1=O ZINC001356568036 926402471 /nfs/dbraw/zinc/40/24/71/926402471.db2.gz YPAMNBCINBUYCO-NWDGAFQWSA-N 0 1 286.335 0.997 20 30 CCEDMN C=CCCCC(=O)NCC1CN(C(=O)c2ccn[nH]2)C1 ZINC001356830509 926449196 /nfs/dbraw/zinc/44/91/96/926449196.db2.gz YPWDIDNDTUCRGF-UHFFFAOYSA-N 0 1 276.340 0.954 20 30 CCEDMN C#CCNCC(=O)N[C@H](COC)Cc1ccccc1 ZINC001357291826 926507845 /nfs/dbraw/zinc/50/78/45/926507845.db2.gz PZJRHVSKYWZSPK-AWEZNQCLSA-N 0 1 260.337 0.583 20 30 CCEDMN C#CCNCC(=O)NC[C@](C)(C(=O)OC)c1ccccc1 ZINC001357600469 926566700 /nfs/dbraw/zinc/56/67/00/926566700.db2.gz BJEYKWFZVJNAGP-INIZCTEOSA-N 0 1 288.347 0.456 20 30 CCEDMN CC(C)C[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCCN(O)C1=O ZINC001358264465 926664441 /nfs/dbraw/zinc/66/44/41/926664441.db2.gz HFEGLQHADJRJSA-RYUDHWBXSA-N 0 1 283.372 0.603 20 30 CCEDMN C=CCC(CC=C)C(=O)NC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001358313625 926670091 /nfs/dbraw/zinc/67/00/91/926670091.db2.gz SKLOEGNBOJDZGD-SNVBAGLBSA-N 0 1 291.355 0.808 20 30 CCEDMN C=CCC(CC=C)C(=O)NC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001358313625 926670094 /nfs/dbraw/zinc/67/00/94/926670094.db2.gz SKLOEGNBOJDZGD-SNVBAGLBSA-N 0 1 291.355 0.808 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)NC(=O)[C@@H]1CCCCN1C ZINC001358524438 926730553 /nfs/dbraw/zinc/73/05/53/926730553.db2.gz COZACIKZHCTTMH-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN COCCN1CCC[C@H](Nc2cnc(C#N)c(C#N)n2)C1 ZINC001413897693 926746593 /nfs/dbraw/zinc/74/65/93/926746593.db2.gz VYPVPDSDHOJFKC-NSHDSACASA-N 0 1 286.339 0.743 20 30 CCEDMN CCn1cc(CNC[C@H](C)CNC(=O)[C@@H](C)C#N)nn1 ZINC001421677796 926849598 /nfs/dbraw/zinc/84/95/98/926849598.db2.gz QFMRRWFSACXAHY-QWRGUYRKSA-N 0 1 278.360 0.300 20 30 CCEDMN CO[C@H]1CC[C@@H]1[N@@H+](C)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001414056229 926905638 /nfs/dbraw/zinc/90/56/38/926905638.db2.gz BEYRVMMFUHWIAY-RYUDHWBXSA-N 0 1 287.323 0.916 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@H+]1C[C@@H]3[C@H](O)CC[C@@H]3C1)cc2=O ZINC001422232656 927163672 /nfs/dbraw/zinc/16/36/72/927163672.db2.gz GNEFRCVUDNAJPZ-JIMOISOXSA-N 0 1 299.334 0.509 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1[nH]cnc1C ZINC001422391761 927260471 /nfs/dbraw/zinc/26/04/71/927260471.db2.gz MBXMAGSKTKNEEF-JTQLQIEISA-N 0 1 286.763 0.080 20 30 CCEDMN CC[NH+]1CCN(C(=O)c2[n-]c(=O)c(C#N)cc2C)CC1 ZINC001361875896 927412019 /nfs/dbraw/zinc/41/20/19/927412019.db2.gz BDGTWIYIZGTGKC-UHFFFAOYSA-N 0 1 274.324 0.745 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cn2c(n1)COCC2 ZINC001422660747 927442656 /nfs/dbraw/zinc/44/26/56/927442656.db2.gz QIZGOAPDAMEDOW-JTQLQIEISA-N 0 1 298.774 0.874 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CCn2cccn2)CC1(C)C ZINC001422799903 927518110 /nfs/dbraw/zinc/51/81/10/927518110.db2.gz MYSHFFBIIUOPJA-CHWSQXEVSA-N 0 1 289.383 0.869 20 30 CCEDMN C[C@@H](O)CCCN1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001423085830 927668116 /nfs/dbraw/zinc/66/81/16/927668116.db2.gz MZXBGLAYCGCUQW-YPMHNXCESA-N 0 1 290.367 0.851 20 30 CCEDMN N#Cc1ccc(CN[C@@H](CO)CNC(=O)c2ccc[nH]2)cc1 ZINC001423178093 927712083 /nfs/dbraw/zinc/71/20/83/927712083.db2.gz HHWXXUDLNLWEPO-CQSZACIVSA-N 0 1 298.346 0.767 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cncnc1C ZINC001423425497 927850427 /nfs/dbraw/zinc/85/04/27/927850427.db2.gz AJKVBPNCLSCQKH-NSHDSACASA-N 0 1 298.774 0.560 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cncnc1C ZINC001423425495 927851549 /nfs/dbraw/zinc/85/15/49/927851549.db2.gz AJKVBPNCLSCQKH-LLVKDONJSA-N 0 1 298.774 0.560 20 30 CCEDMN CNC(=O)CN1CCC[C@@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001423688353 927956076 /nfs/dbraw/zinc/95/60/76/927956076.db2.gz VERRZGJWVLXRFA-FZMZJTMJSA-N 0 1 280.372 0.110 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NC[C@@H]1CC[N@@H+](C)C1 ZINC001424186502 928120950 /nfs/dbraw/zinc/12/09/50/928120950.db2.gz KVZOATHOYZMDDF-QWRGUYRKSA-N 0 1 274.390 0.006 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NC[C@@H]1CC[N@@H+](C)C1 ZINC001424186499 928121163 /nfs/dbraw/zinc/12/11/63/928121163.db2.gz KVZOATHOYZMDDF-MNOVXSKESA-N 0 1 274.390 0.006 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)NCc1ccc(C#N)s1 ZINC001362569768 928189678 /nfs/dbraw/zinc/18/96/78/928189678.db2.gz RZKZQTHPJGGSCR-GWCFXTLKSA-N 0 1 290.392 0.624 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCNC(=O)NC ZINC001424757100 928280461 /nfs/dbraw/zinc/28/04/61/928280461.db2.gz IQXVISFOZIUTHN-ZJUUUORDSA-N 0 1 290.795 0.541 20 30 CCEDMN N#CCc1ccc(CNC(=O)CCc2nn[nH]n2)cc1 ZINC001362832247 928548221 /nfs/dbraw/zinc/54/82/21/928548221.db2.gz AOPYNWZATPDAFI-UHFFFAOYSA-N 0 1 270.296 0.515 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](C)S(C)(=O)=O ZINC001425607705 928606247 /nfs/dbraw/zinc/60/62/47/928606247.db2.gz WGMRZCVHFFYGBJ-UWVGGRQHSA-N 0 1 296.820 0.609 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](CO)NCc1cccc(C#N)c1 ZINC001425716263 928638318 /nfs/dbraw/zinc/63/83/18/928638318.db2.gz VXGDGLCUFSDARH-RISCZKNCSA-N 0 1 286.335 0.285 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cnn(C)c1 ZINC001425974536 928720983 /nfs/dbraw/zinc/72/09/83/928720983.db2.gz ACTLBWLHUAULBU-NSHDSACASA-N 0 1 286.763 0.195 20 30 CCEDMN N#Cc1c(F)cc(C(=O)NCc2n[nH]c(CO)n2)cc1F ZINC001363004893 928752620 /nfs/dbraw/zinc/75/26/20/928752620.db2.gz VNVODCCMJQBRJE-UHFFFAOYSA-N 0 1 293.233 0.377 20 30 CCEDMN N#Cc1c(F)cc(C(=O)NCc2nnc(CO)[nH]2)cc1F ZINC001363004893 928752628 /nfs/dbraw/zinc/75/26/28/928752628.db2.gz VNVODCCMJQBRJE-UHFFFAOYSA-N 0 1 293.233 0.377 20 30 CCEDMN Cc1[nH]nc(CNC(=O)[C@H](C)n2cnc(C#N)n2)c1C ZINC001363312449 929104393 /nfs/dbraw/zinc/10/43/93/929104393.db2.gz QTCCGODNLARXIZ-VIFPVBQESA-N 0 1 273.300 0.367 20 30 CCEDMN N#Cc1c(N)sc2c1CCN(C[C@H]1COCCO1)C2 ZINC001363323642 929113632 /nfs/dbraw/zinc/11/36/32/929113632.db2.gz CAMHBPXJOXFXOB-VIFPVBQESA-N 0 1 279.365 0.975 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCN(C(=O)[C@@H]2CCCCN2C)C1 ZINC001430117942 929560462 /nfs/dbraw/zinc/56/04/62/929560462.db2.gz BERZPWWGPWNMJN-AGIUHOORSA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](O)CNCc1c(F)cccc1F ZINC001445149819 930051644 /nfs/dbraw/zinc/05/16/44/930051644.db2.gz HFZHMIBEYOQTNU-VHSXEESVSA-N 0 1 297.305 0.691 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001445234467 930070500 /nfs/dbraw/zinc/07/05/00/930070500.db2.gz BUNQAKJXBNFZIA-GRYCIOLGSA-N 0 1 272.776 0.852 20 30 CCEDMN COc1ccnc(CNC[C@H](C)NC(=O)[C@H](C)C#N)c1 ZINC001445532753 930161333 /nfs/dbraw/zinc/16/13/33/930161333.db2.gz RSWHNZIETAUDFQ-MNOVXSKESA-N 0 1 276.340 0.844 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CC(=O)N(C)C1)C1CC1 ZINC001445958817 930294082 /nfs/dbraw/zinc/29/40/82/930294082.db2.gz VVRBGGNFAQJJGS-VXGBXAGGSA-N 0 1 299.802 0.702 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CCC(=O)NC1)C1CC1 ZINC001445955899 930295774 /nfs/dbraw/zinc/29/57/74/930295774.db2.gz LFYPHCCDYMLLLK-VXGBXAGGSA-N 0 1 299.802 0.750 20 30 CCEDMN Cc1cnc(CNC[C@@H](C)N(C)C(=O)[C@H](C)C#N)cn1 ZINC001374206225 930456281 /nfs/dbraw/zinc/45/62/81/930456281.db2.gz MJBFRVOTIJSAKY-ZYHUDNBSSA-N 0 1 275.356 0.881 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cnn(C)c2N)CCC1 ZINC001447196838 930586396 /nfs/dbraw/zinc/58/63/96/930586396.db2.gz UEQRWXKOIBEUJY-UHFFFAOYSA-N 0 1 297.790 0.997 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1c[nH]nc1C ZINC001374634160 930601973 /nfs/dbraw/zinc/60/19/73/930601973.db2.gz FRLWBWOFVQLOFI-SECBINFHSA-N 0 1 272.736 0.151 20 30 CCEDMN N#Cc1ccc(N2C[C@H](C(=O)Nc3c[nH]nn3)CC2=O)cc1 ZINC001447930167 930758624 /nfs/dbraw/zinc/75/86/24/930758624.db2.gz XNLIQJNQOPKDDU-SNVBAGLBSA-N 0 1 296.290 0.668 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CO[C@@H]2CCOC2)CC1 ZINC001375423310 930883416 /nfs/dbraw/zinc/88/34/16/930883416.db2.gz ZRIZVDFHTCXTBS-LLVKDONJSA-N 0 1 288.775 0.783 20 30 CCEDMN Cc1nc(CN2C[C@@H]3[C@@H](CNC(=O)[C@@H](C)C#N)[C@@H]3C2)n[nH]1 ZINC001448428199 930887495 /nfs/dbraw/zinc/88/74/95/930887495.db2.gz XRCAIAGFHIWHJW-PDEGPIFNSA-N 0 1 288.355 0.067 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCCN1C(=O)Cc1ccn[nH]1 ZINC001375474527 930892163 /nfs/dbraw/zinc/89/21/63/930892163.db2.gz QNXNNTBVVRFUDK-ZYHUDNBSSA-N 0 1 289.339 0.219 20 30 CCEDMN Cc1nnc([C@@H](C)NC[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001448500120 930903924 /nfs/dbraw/zinc/90/39/24/930903924.db2.gz PXKADNQWMLCNQI-CNVPUSNMSA-N 0 1 290.371 0.818 20 30 CCEDMN CC[C@@H](F)C(=O)NC[C@@H](CO)NCc1cccc(C#N)c1 ZINC001448876797 931015810 /nfs/dbraw/zinc/01/58/10/931015810.db2.gz BRUVUTKVHOCCSP-UONOGXRCSA-N 0 1 293.342 0.873 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCNC(N)=O)C1 ZINC001448998278 931047703 /nfs/dbraw/zinc/04/77/03/931047703.db2.gz AUVWZZGDHRNJEE-NXEZZACHSA-N 0 1 288.779 0.376 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CN(C(=O)c2cccc3[nH]cnc32)C1 ZINC001450495682 931300363 /nfs/dbraw/zinc/30/03/63/931300363.db2.gz MOBUZMPGNKTCRO-VIFPVBQESA-N 0 1 297.318 0.663 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CNC(=O)C2CC2)C1 ZINC001376897830 931319218 /nfs/dbraw/zinc/31/92/18/931319218.db2.gz YAYFDCIDOCMVPG-NSHDSACASA-N 0 1 285.775 0.456 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2n[nH]c3ncnn23)c(F)c1 ZINC001450634614 931320507 /nfs/dbraw/zinc/32/05/07/931320507.db2.gz BSSCGOJYEWRHMB-UHFFFAOYSA-N 0 1 271.215 0.715 20 30 CCEDMN C#Cc1ccc(C(=O)Nc2n[nH]c3ncnn23)nc1 ZINC001450645853 931322129 /nfs/dbraw/zinc/32/21/29/931322129.db2.gz YNWQFTNTVFLLLT-UHFFFAOYSA-N 0 1 253.225 0.081 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c[nH]c(-c2nn[nH]n2)c1 ZINC001573282582 946695608 /nfs/dbraw/zinc/69/56/08/946695608.db2.gz KSGINKSMMLBPMJ-LLVKDONJSA-N 0 1 299.338 0.022 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NCCCCC(=O)[O-] ZINC000328177281 971452222 /nfs/dbraw/zinc/45/22/22/971452222.db2.gz MFYOZAVFCGZJGZ-UHFFFAOYSA-N 0 1 269.345 0.496 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC001589729841 950485357 /nfs/dbraw/zinc/48/53/57/950485357.db2.gz CLUCJTGLFIOXSG-ZDUSSCGKSA-N 0 1 267.329 0.688 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC001589729841 950485366 /nfs/dbraw/zinc/48/53/66/950485366.db2.gz CLUCJTGLFIOXSG-ZDUSSCGKSA-N 0 1 267.329 0.688 20 30 CCEDMN C[C@H]1CCN(c2cnc(C#N)c(-c3nn[nH]n3)n2)C[C@@H]1F ZINC001576214261 950667357 /nfs/dbraw/zinc/66/73/57/950667357.db2.gz NZXKOGNMISOABL-YUMQZZPRSA-N 0 1 288.290 0.713 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@H]1CCCC[C@H]1C(=O)[O-] ZINC000381354175 971756785 /nfs/dbraw/zinc/75/67/85/971756785.db2.gz FOEVXLGLZXQNDT-QWHCGFSZSA-N 0 1 280.368 0.949 20 30 CCEDMN C[C@@H]1C[C@H](C(=O)[O-])C[N@@H+](CC(=O)NCCC#N)C1 ZINC000399060601 951630194 /nfs/dbraw/zinc/63/01/94/951630194.db2.gz IXCOWTUGGROYMW-ZJUUUORDSA-N 0 1 253.302 0.059 20 30 CCEDMN N#CC1(C[C@@H](O)C[N@H+]2CCC[C@H]2C(=O)[O-])CCOCC1 ZINC001594604342 956161834 /nfs/dbraw/zinc/16/18/34/956161834.db2.gz RPDVJDYNIZDMMA-NEPJUHHUSA-N 0 1 282.340 0.607 20 30 CCEDMN N#CCCCC(=O)OC[C@H]1CCC[N@@H+]1CC(=O)[O-] ZINC001594606274 956180115 /nfs/dbraw/zinc/18/01/15/956180115.db2.gz REPRNPDOPLLTIT-SNVBAGLBSA-N 0 1 254.286 0.772 20 30 CCEDMN C[C@@]1(C(=O)[O-])CCC[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC000079487644 957758260 /nfs/dbraw/zinc/75/82/60/957758260.db2.gz HLLJTSACZRCKEQ-AWEZNQCLSA-N 0 1 292.339 0.581 20 30 CCEDMN C[C@@]1(C(=O)[O-])CCC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000079487644 957758265 /nfs/dbraw/zinc/75/82/65/957758265.db2.gz HLLJTSACZRCKEQ-AWEZNQCLSA-N 0 1 292.339 0.581 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C2(C(=O)[O-])CC=CC2)CC1 ZINC001588390776 958013139 /nfs/dbraw/zinc/01/31/39/958013139.db2.gz IDQKIANNZJVYRX-UHFFFAOYSA-N 0 1 276.336 0.575 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@H](c1ccco1)[NH+](C)C ZINC001588408523 958111943 /nfs/dbraw/zinc/11/19/43/958111943.db2.gz QSVCLRDUTDBGRE-LLVKDONJSA-N 0 1 293.323 0.612 20 30 CCEDMN C=CCCn1cc(C[N@H+]2CC[C@@H](C(=O)[O-])C2)nn1 ZINC001588460687 958478222 /nfs/dbraw/zinc/47/82/22/958478222.db2.gz RYYHXMBYYZCPRE-SNVBAGLBSA-N 0 1 250.302 0.761 20 30 CCEDMN C=CCCn1cc(C[N@@H+]2CC[C@@H](C(=O)[O-])C2)nn1 ZINC001588460687 958478236 /nfs/dbraw/zinc/47/82/36/958478236.db2.gz RYYHXMBYYZCPRE-SNVBAGLBSA-N 0 1 250.302 0.761 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CC[C@@](C)(C(=O)[O-])C2)C1=O ZINC001588464262 958490173 /nfs/dbraw/zinc/49/01/73/958490173.db2.gz LDZWSXBPNHNXLG-ZWNOBZJWSA-N 0 1 252.314 0.570 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+](CCC(=O)[O-])C2CCOCC2)C1=O ZINC001588464228 958490310 /nfs/dbraw/zinc/49/03/10/958490310.db2.gz ILBZZVGRLXFWJO-CYBMUJFWSA-N 0 1 296.367 0.729 20 30 CCEDMN C[N@H+]1CC[C@@H]2CN(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@@H]21 ZINC001574030175 962004057 /nfs/dbraw/zinc/00/40/57/962004057.db2.gz NMDBUJSDWAZVRK-NRUUGDAUSA-N 0 1 265.313 0.153 20 30 CCEDMN C=C(Cl)C[N@@H+](C)C[C@@H]1CCN(C(=O)CNC(=O)[O-])C1 ZINC001573338454 962875108 /nfs/dbraw/zinc/87/51/08/962875108.db2.gz BKFKNYGZTOUFOP-JTQLQIEISA-N 0 1 289.763 0.787 20 30 CCEDMN C=C(Cl)C[N@H+]1CCC2(CN(C(=O)CNC(=O)[O-])C2)C1 ZINC001573339009 962885512 /nfs/dbraw/zinc/88/55/12/962885512.db2.gz MPJKXPRDIONBMH-UHFFFAOYSA-N 0 1 287.747 0.541 20 30 CCEDMN C=C(Cl)C[N@@H+]1CCC2(CN(C(=O)CNC(=O)[O-])C2)C1 ZINC001573339009 962885526 /nfs/dbraw/zinc/88/55/26/962885526.db2.gz MPJKXPRDIONBMH-UHFFFAOYSA-N 0 1 287.747 0.541 20 30 CCEDMN C=CCOCC[N@H+](C)C[C@H]1CCCN1C(=O)CNC(=O)[O-] ZINC001573368656 963084075 /nfs/dbraw/zinc/08/40/75/963084075.db2.gz JCCXQKMLAQIDFJ-GFCCVEGCSA-N 0 1 299.371 0.379 20 30 CCEDMN C#CCCC[N@@H+]1C[C@@H](C(=O)[O-])CC[C@@H]1C(=O)OC ZINC001588446449 964073807 /nfs/dbraw/zinc/07/38/07/964073807.db2.gz MDLYIMXIYSPOFM-WDEREUQCSA-N 0 1 253.298 0.738 20 30 CCEDMN N#Cc1ccc(C[N@H+]2C[C@H](O)C[C@H](C(=O)[O-])C2)cc1F ZINC000404069161 973702587 /nfs/dbraw/zinc/70/25/87/973702587.db2.gz HCZSRENTRXXXRY-NWDGAFQWSA-N 0 1 278.283 0.965 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])[C@H](C)C(=O)N(C)CCC#N ZINC001603666703 974038756 /nfs/dbraw/zinc/03/87/56/974038756.db2.gz OUBLOCBXNDTFHS-SNVBAGLBSA-N 0 1 255.318 0.544 20 30 CCEDMN CCC[N@@H+](CC(=O)[O-])[C@H](C)C(=O)N(C)CCC#N ZINC001603666703 974038762 /nfs/dbraw/zinc/03/87/62/974038762.db2.gz OUBLOCBXNDTFHS-SNVBAGLBSA-N 0 1 255.318 0.544 20 30 CCEDMN CC(C)OC[C@H](C(=O)[O-])[N@@H+](C)CCC(=O)N(C)CCC#N ZINC001591710666 975587928 /nfs/dbraw/zinc/58/79/28/975587928.db2.gz GJIVWRFKLCGPEN-GFCCVEGCSA-N 0 1 299.371 0.559 20 30 CCEDMN C#C[C@H]1CCC[N@@H+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])C1 ZINC001588382739 983373948 /nfs/dbraw/zinc/37/39/48/983373948.db2.gz GXXDNEUTMPEDHM-QWHCGFSZSA-N 0 1 280.368 0.947 20 30 CCEDMN C#C[C@H]1CCC[N@H+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])C1 ZINC001588382739 983373953 /nfs/dbraw/zinc/37/39/53/983373953.db2.gz GXXDNEUTMPEDHM-QWHCGFSZSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])n2C)CC1 ZINC001588453553 983476126 /nfs/dbraw/zinc/47/61/26/983476126.db2.gz BNOGKDMFGMQIIA-UHFFFAOYSA-N 0 1 289.335 0.504 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N2C[C@@H](C)[C@H](C(=O)[O-])C2)C1 ZINC001588475259 983507682 /nfs/dbraw/zinc/50/76/82/983507682.db2.gz BAJJZEYAFAVYMR-JHJVBQTASA-N 0 1 293.367 0.446 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N2C[C@@H](C)[C@H](C(=O)[O-])C2)C1 ZINC001588475259 983507685 /nfs/dbraw/zinc/50/76/85/983507685.db2.gz BAJJZEYAFAVYMR-JHJVBQTASA-N 0 1 293.367 0.446 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)C1 ZINC001588477159 983514706 /nfs/dbraw/zinc/51/47/06/983514706.db2.gz VQQGOQRHJSAHBQ-FRRDWIJNSA-N 0 1 293.367 0.637 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N[C@H]2CC[C@@H](C(=O)[O-])C2)C1 ZINC001588477159 983514708 /nfs/dbraw/zinc/51/47/08/983514708.db2.gz VQQGOQRHJSAHBQ-FRRDWIJNSA-N 0 1 293.367 0.637 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1C[C@H]2CCCC[C@@]2(C(=O)[O-])C1 ZINC001588479498 983520161 /nfs/dbraw/zinc/52/01/61/983520161.db2.gz QAQZAKDOZMYTNR-LALPHHSUSA-N 0 1 278.352 0.701 20 30 CCEDMN C=C(C)C[N@@H+](CC)CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001588563170 983644634 /nfs/dbraw/zinc/64/46/34/983644634.db2.gz NDOWKGVRTUITBR-QWRGUYRKSA-N 0 1 254.330 0.721 20 30 CCEDMN C=C[C@@H](C(=O)OC)[N@@H+]1CCC[C@@](O)(C2(C(=O)[O-])CCC2)C1 ZINC001588672175 983824052 /nfs/dbraw/zinc/82/40/52/983824052.db2.gz PDQFMOLMYZYPTO-NHYWBVRUSA-N 0 1 297.351 0.796 20 30 CCEDMN C=C[C@@H](C(=O)OC)[N@H+]1CCC[C@@](O)(C2(C(=O)[O-])CCC2)C1 ZINC001588672175 983824056 /nfs/dbraw/zinc/82/40/56/983824056.db2.gz PDQFMOLMYZYPTO-NHYWBVRUSA-N 0 1 297.351 0.796 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC(OC)(OC)[C@@H](O)C1 ZINC001588729171 983987586 /nfs/dbraw/zinc/98/75/86/983987586.db2.gz HLUBUVLRLYSMBE-UWVGGRQHSA-N 0 1 259.302 0.071 20 30 CCEDMN C=CCC[N@@H+]1CCCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588807695 984260670 /nfs/dbraw/zinc/26/06/70/984260670.db2.gz SILVCYRJZVEPBO-RYUDHWBXSA-N 0 1 266.341 0.818 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001588825386 984299819 /nfs/dbraw/zinc/29/98/19/984299819.db2.gz NLVNMWCDFKDWGG-RISCZKNCSA-N 0 1 282.340 0.097 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2C[C@H](C)[C@@](C)(C(=O)[O-])C2)C1=O ZINC001588838138 984335752 /nfs/dbraw/zinc/33/57/52/984335752.db2.gz CITGYZNEFABOHO-WDMOLILDSA-N 0 1 266.341 0.816 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)C1=O ZINC001588838835 984341844 /nfs/dbraw/zinc/34/18/44/984341844.db2.gz LDCRJBDEJGPVNK-SLEUVZQESA-N 0 1 294.351 0.196 20 30 CCEDMN C=C[C@H](CO)[NH2+][C@@H]1CCN(c2sccc2C(=O)[O-])C1=O ZINC001588919183 984569874 /nfs/dbraw/zinc/56/98/74/984569874.db2.gz AIUSLZXZXWVUHX-PSASIEDQSA-N 0 1 296.348 0.688 20 30 CCEDMN CCC#C[C@H](C)[N@H+]1C[C@H](C(=O)[O-])[C@H](C(=O)OC)C1 ZINC001594690832 987083688 /nfs/dbraw/zinc/08/36/88/987083688.db2.gz CWJHYHOPMHPISP-GARJFASQSA-N 0 1 253.298 0.594 20 30 CCEDMN C[C@@H]1C[N@@H+](C[C@@H](O)CC2(C#N)CC2)C[C@H]1C(=O)[O-] ZINC001599865680 989251006 /nfs/dbraw/zinc/25/10/06/989251006.db2.gz IXUGGUNVNAFGAW-OUAUKWLOSA-N 0 1 252.314 0.694 20 30 CCEDMN C[N@H+](CC(=O)NC1(C#N)CCC1)C1(C(=O)[O-])CCCC1 ZINC001598386675 991609821 /nfs/dbraw/zinc/60/98/21/991609821.db2.gz INQBKEDNURNWQA-UHFFFAOYSA-N 0 1 279.340 0.878 20 30 CCEDMN C[N@@H+](CC(=O)NC1(C#N)CCC1)C1(C(=O)[O-])CCCC1 ZINC001598386675 991609823 /nfs/dbraw/zinc/60/98/23/991609823.db2.gz INQBKEDNURNWQA-UHFFFAOYSA-N 0 1 279.340 0.878 20 30 CCEDMN C[N@H+]1CC[C@@H]2CN(c3ccc(C(=O)[O-])nc3C#N)C[C@@H]21 ZINC001598625554 994085662 /nfs/dbraw/zinc/08/56/62/994085662.db2.gz JSGYUQNKNNHKRE-RNCFNFMXSA-N 0 1 272.308 0.792 20 30 CCEDMN CO[C@@]1(C(=O)[O-])CC[N@@H+](C[C@H](O)CC2(C#N)CC2)C1 ZINC001599078521 996937652 /nfs/dbraw/zinc/93/76/52/996937652.db2.gz RHGSGVQXOSZVBG-MFKMUULPSA-N 0 1 268.313 0.217 20 30 CCEDMN COCC#CCN1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC001599137719 998071641 /nfs/dbraw/zinc/07/16/41/998071641.db2.gz AQVPPBHMYFOHNR-GFCCVEGCSA-N 0 1 254.330 0.117 20 30 CCEDMN C[C@H]1[C@H](NC(=O)N2CCC(C#N)(C(=O)[O-])CC2)CC[N@H+]1C ZINC001594190514 998740385 /nfs/dbraw/zinc/74/03/85/998740385.db2.gz IOJYSCWBOZGWDI-WDEREUQCSA-N 0 1 294.355 0.479 20 30 CCEDMN C#CCCN1CCN(c2ncccc2C(=O)NC)CC1 ZINC000091480412 349463959 /nfs/dbraw/zinc/46/39/59/349463959.db2.gz WZICOFCLMNTOCG-UHFFFAOYSA-N 0 1 272.352 0.587 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](C)[C@H](O)C1 ZINC000093332247 349538282 /nfs/dbraw/zinc/53/82/82/349538282.db2.gz SCJLZRZFKTVCFD-VXGBXAGGSA-N 0 1 253.346 0.451 20 30 CCEDMN N#Cc1ccc(OCC(=O)NCCN2CC[C@@H](O)C2)cc1 ZINC000600528954 362003388 /nfs/dbraw/zinc/00/33/88/362003388.db2.gz UJKLSRJLVCOEFO-CYBMUJFWSA-N 0 1 289.335 0.120 20 30 CCEDMN N#Cc1cccc([C@@H]2C[C@H]2C(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000600536521 362006753 /nfs/dbraw/zinc/00/67/53/362006753.db2.gz YJSWYVXUKQRNCJ-OWCLPIDISA-N 0 1 299.374 0.845 20 30 CCEDMN N#Cc1cc(C(=O)NCCN2CC[C@H](O)C2)ccc1F ZINC000600807959 362063974 /nfs/dbraw/zinc/06/39/74/362063974.db2.gz GCPDYNRNYNYDPM-LBPRGKRZSA-N 0 1 277.299 0.494 20 30 CCEDMN N#Cc1cccc(C(=O)NCCN2CC[C@H](O)C2)c1 ZINC000600808173 362065390 /nfs/dbraw/zinc/06/53/90/362065390.db2.gz LGFCFGOPYJGSSH-ZDUSSCGKSA-N 0 1 259.309 0.355 20 30 CCEDMN N#Cc1cc(F)c(C(=O)NCCN2CC[C@H](O)C2)c(F)c1 ZINC000600808115 362066200 /nfs/dbraw/zinc/06/62/00/362066200.db2.gz ILDJSVVDXUFRII-JTQLQIEISA-N 0 1 295.289 0.633 20 30 CCEDMN CC[C@H](C)N1CCN(C(=O)c2cnn(C)c2C#N)CC1 ZINC000456520199 529699968 /nfs/dbraw/zinc/69/99/68/529699968.db2.gz AXUKKXNFRDZNKP-NSHDSACASA-N 0 1 275.356 0.848 20 30 CCEDMN C=CCCSCCNS(=O)(=O)C[C@H]1CNCCO1 ZINC000601343566 362235560 /nfs/dbraw/zinc/23/55/60/362235560.db2.gz QAQABDRWJSNZRP-LLVKDONJSA-N 0 1 294.442 0.204 20 30 CCEDMN CC#CCCN1CCN(c2ncccc2C(N)=O)CC1 ZINC000156603611 136386318 /nfs/dbraw/zinc/38/63/18/136386318.db2.gz HSUBJYSMWCXNGS-UHFFFAOYSA-N 0 1 272.352 0.716 20 30 CCEDMN C[N@H+]1CCC[C@H]1CNC(=O)C(=O)Nc1ccc(C#N)cc1 ZINC000118104825 349897998 /nfs/dbraw/zinc/89/79/98/349897998.db2.gz WBZWQHCVXDPMFR-ZDUSSCGKSA-N 0 1 286.335 0.707 20 30 CCEDMN CN1CCC[C@H]1CNC(=O)C(=O)Nc1ccc(C#N)cc1 ZINC000118104825 349898001 /nfs/dbraw/zinc/89/80/01/349898001.db2.gz WBZWQHCVXDPMFR-ZDUSSCGKSA-N 0 1 286.335 0.707 20 30 CCEDMN CC1(NS(=O)(=O)c2c(F)cc(C#N)cc2F)COC1 ZINC000601504833 362293468 /nfs/dbraw/zinc/29/34/68/362293468.db2.gz UFNFQMGCIXORDK-UHFFFAOYSA-N 0 1 288.275 0.904 20 30 CCEDMN CN(CC(=O)NC1(CO)CCOCC1)[C@@H]1CCSC1 ZINC000329593409 282335345 /nfs/dbraw/zinc/33/53/45/282335345.db2.gz UQSHULVKQBMWOA-LLVKDONJSA-N 0 1 288.413 0.922 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@H]1CCCc2n[nH]nc21)C(=O)OC ZINC000601781686 362389607 /nfs/dbraw/zinc/38/96/07/362389607.db2.gz LADZESJNMQPRCQ-GXSJLCMTSA-N 0 1 292.339 0.849 20 30 CCEDMN N#Cc1c(F)cccc1CNC[C@]1(OCCO)CCOC1 ZINC000602028514 362464342 /nfs/dbraw/zinc/46/43/42/362464342.db2.gz OFOMLURGXBXMRE-OAHLLOKOSA-N 0 1 294.326 0.955 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CCCc1nn[nH]n1 ZINC000602068953 362471821 /nfs/dbraw/zinc/47/18/21/362471821.db2.gz CDPMCOQVLWVFHY-VIFPVBQESA-N 0 1 250.306 0.531 20 30 CCEDMN CN(CCc1ccccc1)CC(=O)N[C@@H](C#N)CO ZINC000602160266 362534420 /nfs/dbraw/zinc/53/44/20/362534420.db2.gz YFWLJDYYOGUKSX-ZDUSSCGKSA-N 0 1 261.325 0.162 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CC(C#N)C1 ZINC000602162088 362535824 /nfs/dbraw/zinc/53/58/24/362535824.db2.gz VOCVARAQBNMDIM-UHFFFAOYSA-N 0 1 251.330 0.327 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)[C@@H]2CCN2C2CCCC2)C1 ZINC000602166923 362538745 /nfs/dbraw/zinc/53/87/45/362538745.db2.gz JFPIUTNRTNEKDE-ZFWWWQNUSA-N 0 1 277.368 0.880 20 30 CCEDMN N#Cc1cccc2c1CN(C(=O)CCCc1nn[nH]n1)C2 ZINC000602177431 362544193 /nfs/dbraw/zinc/54/41/93/362544193.db2.gz POHOBEDJJBTEIB-UHFFFAOYSA-N 0 1 282.307 0.936 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc2nc[nH]c21 ZINC000960013268 649848573 /nfs/dbraw/zinc/84/85/73/649848573.db2.gz NDFOJBINVFJBBN-IAZYJMLFSA-N 0 1 282.307 0.141 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cnn(CC3CC3)c2)[nH]n1C ZINC000602351097 362595312 /nfs/dbraw/zinc/59/53/12/362595312.db2.gz DSRUNTMDMPYBCS-UHFFFAOYSA-N 0 1 284.323 0.881 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(CC)C(=O)C2)CC1 ZINC000273280619 192098209 /nfs/dbraw/zinc/09/82/09/192098209.db2.gz JHQYMVYHLHFSLW-UHFFFAOYSA-N 0 1 277.368 0.022 20 30 CCEDMN N#Cc1cccc(CN2CCn3c(=O)[nH]nc3C2)n1 ZINC000602616501 362715181 /nfs/dbraw/zinc/71/51/81/362715181.db2.gz LJRKQLULHWBSPO-UHFFFAOYSA-N 0 1 256.269 0.266 20 30 CCEDMN C[C@H]1COCC[C@@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000617891605 365818419 /nfs/dbraw/zinc/81/84/19/365818419.db2.gz UEXIVXKYBHCRTM-WPRPVWTQSA-N 0 1 263.301 0.260 20 30 CCEDMN Cn1nc2c(cc1=O)CN(CC1(CC#N)CC1)CC2 ZINC000602783315 362798948 /nfs/dbraw/zinc/79/89/48/362798948.db2.gz KTOZOVHUAZXAMW-UHFFFAOYSA-N 0 1 258.325 0.832 20 30 CCEDMN CCOC(=O)COCCN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602848674 362835923 /nfs/dbraw/zinc/83/59/23/362835923.db2.gz HSCXIEBWVWXDKC-STQMWFEESA-N 0 1 283.372 0.484 20 30 CCEDMN C[C@@H]1CN(CCN2CCOCC2)C[C@H](C)N1CC#N ZINC000602856478 362843270 /nfs/dbraw/zinc/84/32/70/362843270.db2.gz MJJUYROIFLAMFV-OKILXGFUSA-N 0 1 266.389 0.237 20 30 CCEDMN C[C@H]1CS(=O)(=O)C[C@H]1NCc1cc(C#N)n(C)c1 ZINC000342459600 137095315 /nfs/dbraw/zinc/09/53/15/137095315.db2.gz UWVMEUADLAXWAW-JOYOIKCWSA-N 0 1 267.354 0.419 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[N@@H+](C)C(C)(C)C2)[n-]1 ZINC000602881919 362861746 /nfs/dbraw/zinc/86/17/46/362861746.db2.gz MLFORNFSBXUYCC-UHFFFAOYSA-N 0 1 276.344 0.272 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC[C@@H]2CCCOC2)[nH]1 ZINC000602886639 362866419 /nfs/dbraw/zinc/86/64/19/362866419.db2.gz KWTGWWZWNYFMMA-JTQLQIEISA-N 0 1 277.328 0.652 20 30 CCEDMN CC[C@@H]1[C@@H](CO)CCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000602880235 362860404 /nfs/dbraw/zinc/86/04/04/362860404.db2.gz JSHROVSOKFMRPH-MWLCHTKSSA-N 0 1 277.328 0.338 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CC23CCOCC3)[nH]1 ZINC000602879934 362860438 /nfs/dbraw/zinc/86/04/38/362860438.db2.gz HMXVZRGXBDGBDH-SNVBAGLBSA-N 0 1 275.312 0.404 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(OC)CC1 ZINC000043610444 348311980 /nfs/dbraw/zinc/31/19/80/348311980.db2.gz XPNAXUIGNZBPHW-JTQLQIEISA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(CNC(C)=O)CC1 ZINC000047772744 348387291 /nfs/dbraw/zinc/38/72/91/348387291.db2.gz OGGUBYUCLAZEHN-NSHDSACASA-N 0 1 267.373 0.525 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(CNC(C)=O)CC1 ZINC000052849501 348515542 /nfs/dbraw/zinc/51/55/42/348515542.db2.gz NUAQVHJHZRTITL-UHFFFAOYSA-N 0 1 294.399 0.597 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)CCSc1nnc(C)[nH]1 ZINC000273461792 192160980 /nfs/dbraw/zinc/16/09/80/192160980.db2.gz IHBZQNSCGRGEJE-VIFPVBQESA-N 0 1 270.358 0.649 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2ncccc2C#N)CC1 ZINC000078494247 349140841 /nfs/dbraw/zinc/14/08/41/349140841.db2.gz PNFYBQFITZZEKC-UHFFFAOYSA-N 0 1 273.340 0.163 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC(=O)OC)C2CC2)CC1 ZINC000079714459 349188533 /nfs/dbraw/zinc/18/85/33/349188533.db2.gz JATYHYZLMRBWIF-UHFFFAOYSA-N 0 1 278.352 0.496 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@H](C#N)CC(C)C)CC1 ZINC000081325384 349258777 /nfs/dbraw/zinc/25/87/77/349258777.db2.gz YYZXIYZWUFXMEY-CYBMUJFWSA-N 0 1 266.389 0.678 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1cc(C#N)cn1C ZINC000081751885 349281945 /nfs/dbraw/zinc/28/19/45/349281945.db2.gz XNLVMOQFORTVKB-GFCCVEGCSA-N 0 1 289.383 0.262 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)C#Cc2ccc3c(c2)OCO3)n1 ZINC000271273917 191042871 /nfs/dbraw/zinc/04/28/71/191042871.db2.gz MYSYMKWNUBTNFV-UHFFFAOYSA-N 0 1 298.302 0.552 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2ncc(C#N)cc2F)CC1 ZINC000413052204 233321748 /nfs/dbraw/zinc/32/17/48/233321748.db2.gz YHQAOCQLECBXPT-UHFFFAOYSA-N 0 1 291.330 0.303 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)C(C)(C)CO ZINC000134845725 350377937 /nfs/dbraw/zinc/37/79/37/350377937.db2.gz IZDQJPPPADXBCQ-ZDUSSCGKSA-N 0 1 253.346 0.498 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCCCC[C@@H]1CO ZINC000156980796 350637397 /nfs/dbraw/zinc/63/73/97/350637397.db2.gz GSRCDRVNUXVGDR-CQSZACIVSA-N 0 1 292.383 0.879 20 30 CCEDMN CNCc1cn(CC(=O)N(CCC#N)c2ccccc2)nn1 ZINC000603166245 362980460 /nfs/dbraw/zinc/98/04/60/362980460.db2.gz NHTISZMXMGIVMN-UHFFFAOYSA-N 0 1 298.350 0.944 20 30 CCEDMN CCNCc1cn(CC(=O)Nc2sccc2C#N)nn1 ZINC000603171427 362982037 /nfs/dbraw/zinc/98/20/37/362982037.db2.gz AERIUWUHWVVYTA-UHFFFAOYSA-N 0 1 290.352 0.959 20 30 CCEDMN CNC(C)(C)c1cn(CC(=O)N[C@](C)(C#N)C(C)C)nn1 ZINC000603173439 362983508 /nfs/dbraw/zinc/98/35/08/362983508.db2.gz WFQQBKAYNCITDB-CQSZACIVSA-N 0 1 292.387 0.787 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN(C[C@@H]3CCOC3)CC2)c[nH]1 ZINC000181329904 351312568 /nfs/dbraw/zinc/31/25/68/351312568.db2.gz JDWFNYMMNJGIJD-LBPRGKRZSA-N 0 1 288.351 0.681 20 30 CCEDMN CCN(C)CCNS(=O)(=O)c1ccc(C#N)cc1OC ZINC000185501781 351447480 /nfs/dbraw/zinc/44/74/80/351447480.db2.gz UGJHWXRCEPRWGR-UHFFFAOYSA-N 0 1 297.380 0.797 20 30 CCEDMN C#CCN(CC#C)C(=O)c1n[nH]c(CC)c1[N+](=O)[O-] ZINC000185774048 351457390 /nfs/dbraw/zinc/45/73/90/351457390.db2.gz VEIJXDWZGMNQMZ-UHFFFAOYSA-N 0 1 260.253 0.589 20 30 CCEDMN CC1(C)CNCCN1S(=O)(=O)c1ccc(C#N)s1 ZINC000230369974 352113978 /nfs/dbraw/zinc/11/39/78/352113978.db2.gz XZSJEZZQHCYYLO-UHFFFAOYSA-N 0 1 285.394 0.992 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCCO[C@H](C)C1 ZINC000245407008 352319902 /nfs/dbraw/zinc/31/99/02/352319902.db2.gz ZFZBUYHPLHBBJF-VXGBXAGGSA-N 0 1 253.346 0.858 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](C)[C@H](OC)C1 ZINC000252020668 352513085 /nfs/dbraw/zinc/51/30/85/352513085.db2.gz YRUIPOGBRUWYAP-GRYCIOLGSA-N 0 1 283.372 0.744 20 30 CCEDMN C[C@H](C#N)CN(C)C[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000252657811 352521766 /nfs/dbraw/zinc/52/17/66/352521766.db2.gz JERDAWDUDIQVIC-VXGBXAGGSA-N 0 1 273.402 0.749 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2cccc3c2OCO3)[nH]1 ZINC000354874367 137597664 /nfs/dbraw/zinc/59/76/64/137597664.db2.gz FEDOZMOPIPBKHO-UHFFFAOYSA-N 0 1 270.248 0.695 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1 ZINC000262106281 352578921 /nfs/dbraw/zinc/57/89/21/352578921.db2.gz ICGYBBVNCYBTIJ-GXFFZTMASA-N 0 1 252.314 0.475 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](N3CC[C@H](CO)C3)C2=O)cc1 ZINC000266951618 352735312 /nfs/dbraw/zinc/73/53/12/352735312.db2.gz UIUBORRETIXDQO-DZGCQCFKSA-N 0 1 285.347 0.978 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1ccc(C#N)nc1 ZINC000418994896 234282358 /nfs/dbraw/zinc/28/23/58/234282358.db2.gz CEPFZDCVDQGWSQ-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCN(C)[C@@H](C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000275128669 353187358 /nfs/dbraw/zinc/18/73/58/353187358.db2.gz BKUZQKBRZVSCQT-QWRGUYRKSA-N 0 1 253.346 0.217 20 30 CCEDMN CC[C@H]1CN(C(=O)c2ccc(C#N)[nH]2)CCN1C[C@@H](C)O ZINC000157074005 188295533 /nfs/dbraw/zinc/29/55/33/188295533.db2.gz GUTWBMCJYLUTOR-YPMHNXCESA-N 0 1 290.367 0.804 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCC[C@@H]2C(=O)NC)CC1 ZINC000299136751 353994227 /nfs/dbraw/zinc/99/42/27/353994227.db2.gz HFEWTQZVGAKMLK-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC(=O)N(CC)CC)CC1 ZINC000299169933 353996540 /nfs/dbraw/zinc/99/65/40/353996540.db2.gz WFVREFVUUVQYPB-UHFFFAOYSA-N 0 1 293.411 0.658 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)s2)[C@H](C)CN1 ZINC000300707196 354067895 /nfs/dbraw/zinc/06/78/95/354067895.db2.gz GKZCVCUSYJOTBN-RKDXNWHRSA-N 0 1 285.394 0.991 20 30 CCEDMN CCc1nc(C#N)cc(NC[C@@H]2CN(C)CCN2C)n1 ZINC000308183105 354183217 /nfs/dbraw/zinc/18/32/17/354183217.db2.gz XBIDPRQVTPNTIP-GFCCVEGCSA-N 0 1 274.372 0.568 20 30 CCEDMN C[C@@H]1[C@H](C)[S@](=O)CCN1C(=O)NCCN(C)C1CC1 ZINC000329648632 354258788 /nfs/dbraw/zinc/25/87/88/354258788.db2.gz BQXWRPIFWLESLW-RMDKCXRXSA-N 0 1 287.429 0.836 20 30 CCEDMN COC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)C(C)C#N ZINC000331374000 354556125 /nfs/dbraw/zinc/55/61/25/354556125.db2.gz HGWWZCNXIVODEG-YTWAJWBKSA-N 0 1 250.298 0.946 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000293484782 198653566 /nfs/dbraw/zinc/65/35/66/198653566.db2.gz QUOKDINOVOOJFT-UHFFFAOYSA-N 0 1 285.344 0.879 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@](C)(O)CC2)[nH]1 ZINC000619320244 366235579 /nfs/dbraw/zinc/23/55/79/366235579.db2.gz YOMGHCKTZSIATM-CYBMUJFWSA-N 0 1 277.328 0.482 20 30 CCEDMN CCNC(=O)NC(=O)CNC1(c2cccc(C#N)c2)CC1 ZINC000271780001 191322246 /nfs/dbraw/zinc/32/22/46/191322246.db2.gz LKSYXTCUBTVOCF-UHFFFAOYSA-N 0 1 286.335 0.983 20 30 CCEDMN C[C@@H](O)CCN1CCN(c2ccc(C#N)cn2)CC1 ZINC000336804803 355180750 /nfs/dbraw/zinc/18/07/50/355180750.db2.gz LJTVCNURORRGCC-GFCCVEGCSA-N 0 1 260.341 0.846 20 30 CCEDMN CCc1nc(NC(=O)[C@H](C)n2cnc(C#N)n2)n[nH]1 ZINC000337069623 355261245 /nfs/dbraw/zinc/26/12/45/355261245.db2.gz WIFHDVQXSIAQQS-LURJTMIESA-N 0 1 260.261 0.030 20 30 CCEDMN CCc1n[nH]c(NC(=O)[C@H](C)n2cnc(C#N)n2)n1 ZINC000337069623 355261249 /nfs/dbraw/zinc/26/12/49/355261249.db2.gz WIFHDVQXSIAQQS-LURJTMIESA-N 0 1 260.261 0.030 20 30 CCEDMN C[C@H](C#N)C[N@@H+](C)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000338235738 355311913 /nfs/dbraw/zinc/31/19/13/355311913.db2.gz KHWBPGCNYLNDIP-MNOVXSKESA-N 0 1 259.375 0.359 20 30 CCEDMN C[C@H](C#N)CN(C)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000338235738 355311917 /nfs/dbraw/zinc/31/19/17/355311917.db2.gz KHWBPGCNYLNDIP-MNOVXSKESA-N 0 1 259.375 0.359 20 30 CCEDMN C[C@H](NCc1cnc2ccc(C#N)cn12)C(=O)N(C)C ZINC000342849937 355759877 /nfs/dbraw/zinc/75/98/77/355759877.db2.gz VUXJHGOKWSPYMQ-JTQLQIEISA-N 0 1 271.324 0.772 20 30 CCEDMN C=CCOCCCC(=O)Nc1nc(SCCO)n[nH]1 ZINC000343845909 355778747 /nfs/dbraw/zinc/77/87/47/355778747.db2.gz BEGKUZFETMWWCR-UHFFFAOYSA-N 0 1 286.357 0.810 20 30 CCEDMN CN(CCOc1cccc(C#N)c1)[C@H]1COC[C@H]1O ZINC000352802035 356084043 /nfs/dbraw/zinc/08/40/43/356084043.db2.gz VFSIFCOKCRQSBD-UONOGXRCSA-N 0 1 262.309 0.629 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2cccc(C#N)c2)C[C@@H]1CO ZINC000362062718 138044453 /nfs/dbraw/zinc/04/44/53/138044453.db2.gz FITHOBKSQGXMBO-IUODEOHRSA-N 0 1 287.363 0.735 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000684005882 545602728 /nfs/dbraw/zinc/60/27/28/545602728.db2.gz DHZFIMQMUXOQDB-QWHCGFSZSA-N 0 1 288.413 0.423 20 30 CCEDMN CCCN1CCN(C(=O)Cc2noc(C)c2C#N)CC1 ZINC000354483771 356422387 /nfs/dbraw/zinc/42/23/87/356422387.db2.gz ZRUDAAPBAZMYRJ-UHFFFAOYSA-N 0 1 276.340 0.951 20 30 CCEDMN C[C@@H](C(=O)N[C@H]1CCCc2cn[nH]c21)n1cnc(C#N)n1 ZINC000619614477 366300389 /nfs/dbraw/zinc/30/03/89/366300389.db2.gz HOBONVOBBJNHIY-WPRPVWTQSA-N 0 1 285.311 0.628 20 30 CCEDMN C[C@H](C(=O)Nc1cc(N(C)C)ccn1)n1cnc(C#N)n1 ZINC000619615694 366301714 /nfs/dbraw/zinc/30/17/14/366301714.db2.gz IMVQWIMKONPKQE-SECBINFHSA-N 0 1 285.311 0.810 20 30 CCEDMN CC[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CCCO1 ZINC000619626370 366302639 /nfs/dbraw/zinc/30/26/39/366302639.db2.gz QNJOVVVMDGQZLD-NSHDSACASA-N 0 1 277.328 0.746 20 30 CCEDMN CC(C)(CO)N1CCN(c2ccc(C#N)cn2)CC1 ZINC000609839732 363520175 /nfs/dbraw/zinc/52/01/75/363520175.db2.gz HDQIIBOUVJJHDE-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN CC(C)(CO)N1CCN(c2ncccc2C#N)CC1 ZINC000609839760 363520560 /nfs/dbraw/zinc/52/05/60/363520560.db2.gz NGIWPZAYQOHROT-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2ccnc(C#N)c2)[C@H](C)C1 ZINC000619721737 366341587 /nfs/dbraw/zinc/34/15/87/366341587.db2.gz KLWQFJIEZBHJFD-CHWSQXEVSA-N 0 1 274.368 0.840 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)NCc1cc(C)[nH]n1 ZINC000619710339 366330753 /nfs/dbraw/zinc/33/07/53/366330753.db2.gz RBSJDQPSKROMEL-SECBINFHSA-N 0 1 285.373 0.534 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCN(CCO)C(C)(C)C1 ZINC000619722143 366342826 /nfs/dbraw/zinc/34/28/26/366342826.db2.gz MJTZMXPLELAVIU-NSHDSACASA-N 0 1 256.346 0.103 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC[C@H]2CN3CCN2CCC3)c1 ZINC000619874122 366368361 /nfs/dbraw/zinc/36/83/61/366368361.db2.gz YVKYLZNMRICITE-LBPRGKRZSA-N 0 1 273.340 0.006 20 30 CCEDMN N#Cc1ccc(NCC(=O)NCc2c[nH]nn2)cc1 ZINC000610486843 363566695 /nfs/dbraw/zinc/56/66/95/363566695.db2.gz KBDLINDFPXBTDJ-UHFFFAOYSA-N 0 1 256.269 0.405 20 30 CCEDMN COC(=O)[C@@H](CC(F)(F)F)NC(=O)C(C)C#N ZINC000361335821 357045744 /nfs/dbraw/zinc/04/57/44/357045744.db2.gz MUUGFBWRIYKMHW-NTSWFWBYSA-N 0 1 252.192 0.756 20 30 CCEDMN N#Cc1cnccc1N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000364325050 357109110 /nfs/dbraw/zinc/10/91/10/357109110.db2.gz MHOAWVPVKKBLKK-LSDHHAIUSA-N 0 1 272.352 0.989 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCCn2ccnc2)[nH]1 ZINC000610527933 363572583 /nfs/dbraw/zinc/57/25/83/363572583.db2.gz AOFDWHMAWVBQDR-UHFFFAOYSA-N 0 1 273.300 0.122 20 30 CCEDMN C[C@H](CNC(=O)N=c1[nH]n(C)cc1C#N)Cn1cccn1 ZINC000610527961 363572864 /nfs/dbraw/zinc/57/28/64/363572864.db2.gz CDIKPSVNAGIDAO-SNVBAGLBSA-N 0 1 287.327 0.368 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H](O)C2CCCCC2)[nH]1 ZINC000610562348 363576435 /nfs/dbraw/zinc/57/64/35/363576435.db2.gz PIRQXXUHJSLTLQ-GFCCVEGCSA-N 0 1 291.355 0.776 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCCCC1)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000367830198 357281450 /nfs/dbraw/zinc/28/14/50/357281450.db2.gz ARAVPXFDBGZDGV-IGQOVBAYSA-N 0 1 291.395 0.888 20 30 CCEDMN CCC[C@@](C)(CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610584929 363582201 /nfs/dbraw/zinc/58/22/01/363582201.db2.gz NEUWSJHEMBHABM-LBPRGKRZSA-N 0 1 265.317 0.386 20 30 CCEDMN N#Cc1cccnc1N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000376057921 357428848 /nfs/dbraw/zinc/42/88/48/357428848.db2.gz RSAOJOIHDJVHOL-ZIAGYGMSSA-N 0 1 272.352 0.989 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(C)C[C@@H]1CC ZINC000655783811 412659520 /nfs/dbraw/zinc/65/95/20/412659520.db2.gz KZEBMLQIDNUJBI-LBPRGKRZSA-N 0 1 255.362 0.925 20 30 CCEDMN CC[C@H](C#N)C(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000398963173 357780465 /nfs/dbraw/zinc/78/04/65/357780465.db2.gz QGXCJUREAIDBEP-VXGBXAGGSA-N 0 1 253.346 0.763 20 30 CCEDMN CC[C@@H](C#N)C(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000398963171 357780627 /nfs/dbraw/zinc/78/06/27/357780627.db2.gz QGXCJUREAIDBEP-NWDGAFQWSA-N 0 1 253.346 0.763 20 30 CCEDMN C[C@@H]1CN(c2cccnc2C#N)C[C@H](C)N1CCO ZINC000450797384 236112700 /nfs/dbraw/zinc/11/27/00/236112700.db2.gz SJEHPWNNERUTBO-TXEJJXNPSA-N 0 1 260.341 0.845 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCCO[C@H]2CCOC2)[nH]1 ZINC000610698507 363600260 /nfs/dbraw/zinc/60/02/60/363600260.db2.gz MNSBWCGCSAMEDE-NSHDSACASA-N 0 1 293.327 0.031 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NCCN1CCCOCC1 ZINC000458846921 358278371 /nfs/dbraw/zinc/27/83/71/358278371.db2.gz PVAZSBGRUALVJU-ZDUSSCGKSA-N 0 1 285.388 0.193 20 30 CCEDMN N#Cc1cccnc1N1CCN(CC[C@@H]2CCNC2=O)CC1 ZINC000569788661 358792651 /nfs/dbraw/zinc/79/26/51/358792651.db2.gz RKRXRWUZGDSURB-ZDUSSCGKSA-N 0 1 299.378 0.601 20 30 CCEDMN N#Cc1cnccc1NCCN1C[C@H]2CC[C@@H](C1)O2 ZINC000571016648 358904306 /nfs/dbraw/zinc/90/43/06/358904306.db2.gz GLELLWJNPOORRB-BETUJISGSA-N 0 1 258.325 0.650 20 30 CCEDMN O[C@@H](CNCC#Cc1cccc(F)c1)CN1CCOCC1 ZINC000573914678 359010657 /nfs/dbraw/zinc/01/06/57/359010657.db2.gz NFZFHWOEWQEQDX-INIZCTEOSA-N 0 1 292.354 0.460 20 30 CCEDMN CN1CCN(c2cccc(C(=O)NCC3(C#N)CC3)n2)CC1 ZINC000584567908 359173040 /nfs/dbraw/zinc/17/30/40/359173040.db2.gz NNKMKEXCHNPWEH-UHFFFAOYSA-N 0 1 299.378 0.867 20 30 CCEDMN C[C@H](O)C[N@H+]1CCN(c2cc(C#N)ccn2)C[C@H]1C ZINC000585706781 359265487 /nfs/dbraw/zinc/26/54/87/359265487.db2.gz GFUAMBVUMORPOQ-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@H](O)CN1CCN(c2cc(C#N)ccn2)C[C@H]1C ZINC000585706781 359265491 /nfs/dbraw/zinc/26/54/91/359265491.db2.gz GFUAMBVUMORPOQ-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN CC(C)[C@@H](CO)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000588259652 359320093 /nfs/dbraw/zinc/32/00/93/359320093.db2.gz BTRBHKAYEGIGAL-SNVBAGLBSA-N 0 1 265.317 0.100 20 30 CCEDMN CCc1nnc(CNC(=O)N=c2[nH]n(C)cc2C#N)s1 ZINC000589332487 359379221 /nfs/dbraw/zinc/37/92/21/359379221.db2.gz HREREIJHYAHILN-UHFFFAOYSA-N 0 1 291.340 0.449 20 30 CCEDMN CC(=O)NC[C@H]1CN(C[C@H](C)CCC#N)CCO1 ZINC000589820437 359402958 /nfs/dbraw/zinc/40/29/58/359402958.db2.gz OLMNQSDYMPQSAR-YPMHNXCESA-N 0 1 253.346 0.763 20 30 CCEDMN N#Cc1ccsc1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000590355271 359442957 /nfs/dbraw/zinc/44/29/57/359442957.db2.gz OSKUYCUJTUSLMF-GFCCVEGCSA-N 0 1 290.392 0.739 20 30 CCEDMN C[C@@H]1CN(Cc2ccnc(C#N)c2)C[C@H](C)N1CCO ZINC000590758914 359517165 /nfs/dbraw/zinc/51/71/65/359517165.db2.gz GKTFYYGWWKOVGY-BETUJISGSA-N 0 1 274.368 0.840 20 30 CCEDMN CO[C@@H](CNC(=O)N=c1[nH]n(C)cc1C#N)CC(C)C ZINC000591338122 359579399 /nfs/dbraw/zinc/57/93/99/359579399.db2.gz JTMWHWRZGMSZHT-LLVKDONJSA-N 0 1 279.344 0.896 20 30 CCEDMN N#Cc1csc(CNC[C@]2(CCO)CCOC2)n1 ZINC000352837572 219671908 /nfs/dbraw/zinc/67/19/08/219671908.db2.gz OCURAJBQUHLHPM-LBPRGKRZSA-N 0 1 267.354 0.893 20 30 CCEDMN C[C@H]1CN(CCN2CC[C@@](O)(CC#N)C2)CCO1 ZINC000592152757 359770777 /nfs/dbraw/zinc/77/07/77/359770777.db2.gz CQIHDXXQCMPMKA-STQMWFEESA-N 0 1 253.346 0.058 20 30 CCEDMN COC(=O)CC1(CN2CC[C@](O)(CC#N)C2)CC1 ZINC000592154873 359772252 /nfs/dbraw/zinc/77/22/52/359772252.db2.gz VJHBYZPCAIPAPO-CYBMUJFWSA-N 0 1 252.314 0.680 20 30 CCEDMN COC(=O)[C@H]1C[C@H](O)CN(Cc2cccc(C#N)c2)C1 ZINC000592196895 359786391 /nfs/dbraw/zinc/78/63/91/359786391.db2.gz ZQDZEWKRNKXDNP-KBPBESRZSA-N 0 1 274.320 0.914 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1C[C@@H](O)CC[C@@H]1C ZINC000592201931 359791863 /nfs/dbraw/zinc/79/18/63/359791863.db2.gz JICVFYLWJHIKDV-AVGNSLFASA-N 0 1 267.373 0.840 20 30 CCEDMN CO[C@H](CS(=O)(=O)Nc1ccc(C#N)cn1)C(C)C ZINC000592381691 359829280 /nfs/dbraw/zinc/82/92/80/359829280.db2.gz YEWDDICTHOFSMU-LLVKDONJSA-N 0 1 283.353 0.788 20 30 CCEDMN Cn1cc(CCCN2CCN(CCC#N)CC2)cn1 ZINC000611175282 363671903 /nfs/dbraw/zinc/67/19/03/363671903.db2.gz CPVJQBDXJQVJGJ-UHFFFAOYSA-N 0 1 261.373 0.884 20 30 CCEDMN CCOCCO[C@@H](C)C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592647455 359907893 /nfs/dbraw/zinc/90/78/93/359907893.db2.gz WQXZYAQGDBUZFA-JTQLQIEISA-N 0 1 280.328 0.402 20 30 CCEDMN COCCn1ccc(C(=O)N=c2[nH]n(C)c(C)c2C#N)n1 ZINC000592649128 359908931 /nfs/dbraw/zinc/90/89/31/359908931.db2.gz IYVCYCIOYVEHOL-UHFFFAOYSA-N 0 1 288.311 0.117 20 30 CCEDMN CN(CC(=O)N1CC[C@](O)(CC#N)C1)[C@H]1CCSC1 ZINC000592847896 359953708 /nfs/dbraw/zinc/95/37/08/359953708.db2.gz RKBDHEQSUMDDOV-WCQYABFASA-N 0 1 283.397 0.301 20 30 CCEDMN CCS(=O)(=O)NCCNCc1ccc(C#N)s1 ZINC000176956708 189017043 /nfs/dbraw/zinc/01/70/43/189017043.db2.gz ZKNHHAYWDNXBFD-UHFFFAOYSA-N 0 1 273.383 0.649 20 30 CCEDMN C[C@@H]1CN(C(=O)CCCCC#N)[C@H](C)CN1CCO ZINC000594370249 360284752 /nfs/dbraw/zinc/28/47/52/360284752.db2.gz UEOMAEIAQVCUAB-CHWSQXEVSA-N 0 1 267.373 0.984 20 30 CCEDMN Cc1cc(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)ncc1C#N ZINC000594385654 360288698 /nfs/dbraw/zinc/28/86/98/360288698.db2.gz IFCJYKMEMPKZHK-QWHCGFSZSA-N 0 1 286.335 0.153 20 30 CCEDMN N#Cc1c(F)cccc1C(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000594391768 360290394 /nfs/dbraw/zinc/29/03/94/360290394.db2.gz DULOIBNPFRXWSG-WDEREUQCSA-N 0 1 289.310 0.588 20 30 CCEDMN CCN(C(=O)C(=O)N(C)C[C@@H](C)C#N)[C@@H]1CCN(C)C1 ZINC000595205357 360450590 /nfs/dbraw/zinc/45/05/90/360450590.db2.gz ZYBFEGICOIDWJJ-NWDGAFQWSA-N 0 1 280.372 0.157 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC2(C#N)CCCCC2)CCN1 ZINC000595278573 360475485 /nfs/dbraw/zinc/47/54/85/360475485.db2.gz PHYSYHXHRSBYBX-GFCCVEGCSA-N 0 1 292.383 0.397 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1 ZINC000595282768 360479044 /nfs/dbraw/zinc/47/90/44/360479044.db2.gz DOVOTHOSBLHCKW-RYUDHWBXSA-N 0 1 299.440 0.621 20 30 CCEDMN C=C1CCN([C@@H]2CCN(CCC(=O)OC)C2=O)CC1 ZINC000595311067 360493058 /nfs/dbraw/zinc/49/30/58/360493058.db2.gz IBOUZAIJENWXMM-GFCCVEGCSA-N 0 1 266.341 0.802 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)CCCCC#N)CCN1 ZINC000595410060 360524318 /nfs/dbraw/zinc/52/43/18/360524318.db2.gz ACKLJIVUVSPHIF-LBPRGKRZSA-N 0 1 273.402 0.940 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCC[C@@H]1[C@H](C)O ZINC000595769765 360647516 /nfs/dbraw/zinc/64/75/16/360647516.db2.gz LQMQMGBCPCRQGW-SCRDCRAPSA-N 0 1 267.373 0.886 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@@H](C)O[C@@H](C)C1 ZINC000595780694 360652604 /nfs/dbraw/zinc/65/26/04/360652604.db2.gz KXISMEOMUVRSQK-GRYCIOLGSA-N 0 1 283.372 0.886 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@H](C)[C@H]1O ZINC000595747603 360637047 /nfs/dbraw/zinc/63/70/47/360637047.db2.gz AIIPMZDQCWINOJ-DRABBMOASA-N 0 1 279.384 0.744 20 30 CCEDMN C=C[C@H](CO)N[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000595864080 360684342 /nfs/dbraw/zinc/68/43/42/360684342.db2.gz PDQYDASWTLWCMW-TZMCWYRMSA-N 0 1 271.320 0.800 20 30 CCEDMN CCc1nc(C#N)cc(N2CCN(C(C)(C)CO)CC2)n1 ZINC000596128440 360806200 /nfs/dbraw/zinc/80/62/00/360806200.db2.gz HXZNRJHKUNBNBP-UHFFFAOYSA-N 0 1 289.383 0.804 20 30 CCEDMN CC(C)(CC#N)CNC(=O)NCCCc1nc[nH]n1 ZINC000596623754 360931099 /nfs/dbraw/zinc/93/10/99/360931099.db2.gz FJBUPFHAMXHMTH-UHFFFAOYSA-N 0 1 264.333 0.976 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccnn2C(F)F)CC1 ZINC000597167364 361021828 /nfs/dbraw/zinc/02/18/28/361021828.db2.gz WERCOGFDZKHKHZ-UHFFFAOYSA-N 0 1 283.282 0.996 20 30 CCEDMN N#CCCCC(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000597627358 361167094 /nfs/dbraw/zinc/16/70/94/361167094.db2.gz YUMBARFOIWZYFF-GFCCVEGCSA-N 0 1 250.346 0.186 20 30 CCEDMN CCN(C(=O)CN(C)c1ncnc2[nH]cnc21)[C@@H](C)C#N ZINC000598353847 361394848 /nfs/dbraw/zinc/39/48/48/361394848.db2.gz UEUSJWOGPCSWNC-VIFPVBQESA-N 0 1 287.327 0.550 20 30 CCEDMN CN(CC(=O)N1CC[C@@](C)(C#N)C1)c1ncnc2[nH]cnc21 ZINC000598413567 361407427 /nfs/dbraw/zinc/40/74/27/361407427.db2.gz UCDHMAWTPVNPSC-AWEZNQCLSA-N 0 1 299.338 0.551 20 30 CCEDMN CNC(=O)[C@H]1CCCN1C[C@H](O)CC(C)(C)C#N ZINC000598587095 361449036 /nfs/dbraw/zinc/44/90/36/361449036.db2.gz NWMZSGMMCMDLHY-GHMZBOCLSA-N 0 1 253.346 0.498 20 30 CCEDMN CCNC(=O)CN(CC)C[C@@H](O)CC(C)(C)C#N ZINC000598585879 361449171 /nfs/dbraw/zinc/44/91/71/361449171.db2.gz BTKYBWZODBUDRE-NSHDSACASA-N 0 1 255.362 0.745 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCN(c2cnccn2)CC1 ZINC000598587948 361450115 /nfs/dbraw/zinc/45/01/15/361450115.db2.gz XNIMWGLOMYBMHW-CYBMUJFWSA-N 0 1 289.383 0.899 20 30 CCEDMN CNC(=O)[C@@]1(COC)CCCN1C[C@@H](O)CC(C)(C)C#N ZINC000598623820 361465026 /nfs/dbraw/zinc/46/50/26/361465026.db2.gz CNLXHUDSJVTJPA-WFASDCNBSA-N 0 1 297.399 0.514 20 30 CCEDMN COC[C@]1(C(N)=O)CCCN1C[C@@H](O)CC(C)(C)C#N ZINC000598626026 361465457 /nfs/dbraw/zinc/46/54/57/361465457.db2.gz WZSMHBSMHNMGBE-FZMZJTMJSA-N 0 1 283.372 0.253 20 30 CCEDMN CCC(C#N)(CC)C(=O)NCCN1CCN(C)CC1 ZINC000598988133 361545575 /nfs/dbraw/zinc/54/55/75/361545575.db2.gz XBGQWPJOKJGZLJ-UHFFFAOYSA-N 0 1 266.389 0.680 20 30 CCEDMN CCN(CCC#N)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599139750 361586829 /nfs/dbraw/zinc/58/68/29/361586829.db2.gz SNRSSPMTHLKGMX-UHFFFAOYSA-N 0 1 296.352 0.829 20 30 CCEDMN CC#CCCNS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599274009 361628550 /nfs/dbraw/zinc/62/85/50/361628550.db2.gz LQPANSUKTLEFBW-UHFFFAOYSA-N 0 1 272.282 0.153 20 30 CCEDMN C=CCCNS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599339405 361660401 /nfs/dbraw/zinc/66/04/01/361660401.db2.gz NGCIUCKHZIBPBZ-UHFFFAOYSA-N 0 1 260.271 0.316 20 30 CCEDMN CCOC(=O)C[C@@H](O)CN1CCC(C)(C#N)CC1 ZINC000599367029 361670635 /nfs/dbraw/zinc/67/06/35/361670635.db2.gz TXYYJPKBLHYGAD-LLVKDONJSA-N 0 1 254.330 0.926 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccnc3ccnn32)CC1 ZINC000599381046 361676650 /nfs/dbraw/zinc/67/66/50/361676650.db2.gz VKGJLQKMHKHRKA-UHFFFAOYSA-N 0 1 284.323 0.447 20 30 CCEDMN CC#CCCNC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000613320973 364213642 /nfs/dbraw/zinc/21/36/42/364213642.db2.gz QVMQZCAZZFLQAJ-UHFFFAOYSA-N 0 1 282.321 0.183 20 30 CCEDMN C=CCCCCCNS(=O)(=O)C[C@@H]1CNCCO1 ZINC000631949407 370781371 /nfs/dbraw/zinc/78/13/71/370781371.db2.gz FXIXVHFBSWIGDR-LBPRGKRZSA-N 0 1 276.402 0.641 20 30 CCEDMN CC(C)C[C@@H](CO)N(C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000614182394 364523328 /nfs/dbraw/zinc/52/33/28/364523328.db2.gz OZRPWSALBMZDJQ-NSHDSACASA-N 0 1 279.344 0.584 20 30 CCEDMN CC[C@]1(O)CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000614688605 364698225 /nfs/dbraw/zinc/69/82/25/364698225.db2.gz SBCZAGMTSSUNAS-LBPRGKRZSA-N 0 1 263.301 0.092 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CC[C@@](F)(C#N)C1 ZINC000615825909 365097790 /nfs/dbraw/zinc/09/77/90/365097790.db2.gz OPQOETGPBZCLBY-WCQYABFASA-N 0 1 269.320 0.419 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000616099873 365177432 /nfs/dbraw/zinc/17/74/32/365177432.db2.gz DVVZSSZIFJQLEO-WDEREUQCSA-N 0 1 263.341 0.958 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC(CO)CC3)cnc12 ZINC000574159527 334997097 /nfs/dbraw/zinc/99/70/97/334997097.db2.gz ZNNQFSJSDSGNNQ-UHFFFAOYSA-N 0 1 271.324 0.805 20 30 CCEDMN C[C@@H](O)CN(C)CC#CCN1C(=O)c2ccccc2C1=O ZINC000625190040 368093821 /nfs/dbraw/zinc/09/38/21/368093821.db2.gz SUGSFYRXHYCUCN-GFCCVEGCSA-N 0 1 286.331 0.599 20 30 CCEDMN C=C(CNC(=O)NC[C@@H]1COCCN1)c1ccccc1 ZINC000627035617 368749018 /nfs/dbraw/zinc/74/90/18/368749018.db2.gz HGTZOCZZWATRGB-CQSZACIVSA-N 0 1 275.352 0.987 20 30 CCEDMN C=CCCN(C)CC(=O)NCC(=O)N1CCCC1 ZINC000276467016 193343526 /nfs/dbraw/zinc/34/35/26/193343526.db2.gz RGIHTYHICGVVTR-UHFFFAOYSA-N 0 1 253.346 0.233 20 30 CCEDMN C=CCCn1cc(CNCC[C@H](O)C(F)F)nn1 ZINC000631215168 370424369 /nfs/dbraw/zinc/42/43/69/370424369.db2.gz LWEVAGQMBBNQQF-JTQLQIEISA-N 0 1 260.288 0.960 20 30 CCEDMN C=CCCn1cc(Cn2ccc(CNCCOC)n2)nn1 ZINC000656452606 412801095 /nfs/dbraw/zinc/80/10/95/412801095.db2.gz KSKDVHROCHQFNT-UHFFFAOYSA-N 0 1 290.371 0.835 20 30 CCEDMN C=CCN(CC=C)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000637376653 374122430 /nfs/dbraw/zinc/12/24/30/374122430.db2.gz SYLZIRYZBFITFX-ZDUSSCGKSA-N 0 1 294.399 0.710 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H](OC)[C@@H](C)CC)CC1 ZINC000637880956 374444615 /nfs/dbraw/zinc/44/46/15/374444615.db2.gz YBUCGVYVODHQBO-GJZGRUSLSA-N 0 1 297.443 0.967 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639823283 376033824 /nfs/dbraw/zinc/03/38/24/376033824.db2.gz AGAHCZBAHZKARQ-ZJUUUORDSA-N 0 1 279.344 0.352 20 30 CCEDMN C=C[C@H](CO)NC(=O)Nc1cc(CN(C)C)ccn1 ZINC000640576810 376431066 /nfs/dbraw/zinc/43/10/66/376431066.db2.gz HKIDWABVHPZPKJ-LLVKDONJSA-N 0 1 264.329 0.812 20 30 CCEDMN C=C(C)[C@H](CO)n1cc(CN2CCN(C)CC2)nn1 ZINC000641084944 376756035 /nfs/dbraw/zinc/75/60/35/376756035.db2.gz WQBXHSNWRLBPQR-ZDUSSCGKSA-N 0 1 265.361 0.135 20 30 CCEDMN CC#CCNCc1cn([C@@H](C)c2nnc(C)[nH]2)nn1 ZINC000641178749 376850637 /nfs/dbraw/zinc/85/06/37/376850637.db2.gz AZVWDLAEKFMGJW-VIFPVBQESA-N 0 1 259.317 0.427 20 30 CCEDMN C=CCC[C@H](O)Cn1cc([C@@H](N)[C@H]2CCCO2)nn1 ZINC000641212654 376892839 /nfs/dbraw/zinc/89/28/39/376892839.db2.gz OCSMDWWEBXOFEY-CYZMBNFOSA-N 0 1 266.345 0.784 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@H]1CCN(C)C1=O ZINC000641464344 377146630 /nfs/dbraw/zinc/14/66/30/377146630.db2.gz DTWNSLXAXNUVMK-MRVPVSSYSA-N 0 1 268.342 0.740 20 30 CCEDMN CC#CC[C@@H](CO)NCc1ccc(C(=O)N(C)C)[nH]1 ZINC000641768766 377453535 /nfs/dbraw/zinc/45/35/35/377453535.db2.gz HJYRXDXJEOUTDV-LBPRGKRZSA-N 0 1 263.341 0.580 20 30 CCEDMN COc1ccc(CN2CCC[C@@](O)(CO)C2)cc1C#N ZINC000313691172 249002038 /nfs/dbraw/zinc/00/20/38/249002038.db2.gz VKGHZKZAQSBYIH-HNNXBMFYSA-N 0 1 276.336 0.886 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CC[C@@]2(CNC(=O)C2)C1 ZINC000278819283 194168956 /nfs/dbraw/zinc/16/89/56/194168956.db2.gz HIUXCJIAMSMBST-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCCn1cc(CNCCCN2CCOCC2)nn1 ZINC000657286021 412952687 /nfs/dbraw/zinc/95/26/87/412952687.db2.gz LTUSCXOMGZZJIV-UHFFFAOYSA-N 0 1 279.388 0.666 20 30 CCEDMN Cc1cc(CNC[C@@H]2CS(=O)(=O)CCO2)ccc1C#N ZINC000572447730 291879735 /nfs/dbraw/zinc/87/97/35/291879735.db2.gz AINDANZXSJFPTD-CQSZACIVSA-N 0 1 294.376 0.770 20 30 CCEDMN COCCOC[C@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347052985 533132895 /nfs/dbraw/zinc/13/28/95/533132895.db2.gz LTRPBKKVITVPSM-ZDUSSCGKSA-N 0 1 295.383 0.534 20 30 CCEDMN C#CC[NH+]1CCC(C(=O)N[C@H](CCC)c2nn[n-]n2)CC1 ZINC000267702007 533147647 /nfs/dbraw/zinc/14/76/47/533147647.db2.gz QFZCTWTUPKLAQK-GFCCVEGCSA-N 0 1 290.371 0.502 20 30 CCEDMN C=CCCn1cc(CNCC[C@]2(O)CCCOC2)nn1 ZINC000657503177 413010701 /nfs/dbraw/zinc/01/07/01/413010701.db2.gz DAUUXKYCRABNLI-CQSZACIVSA-N 0 1 280.372 0.875 20 30 CCEDMN C#CCN(C)C(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000282745678 388757035 /nfs/dbraw/zinc/75/70/35/388757035.db2.gz ZPRHHEZISDMKRA-UHFFFAOYSA-N 0 1 288.351 0.944 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)NCc1cn[nH]c1C ZINC000283717048 388769623 /nfs/dbraw/zinc/76/96/23/388769623.db2.gz ATRGLSGXMPSIKM-UHFFFAOYSA-N 0 1 280.328 0.043 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000284303179 388775794 /nfs/dbraw/zinc/77/57/94/388775794.db2.gz OSPVVJTYTQICCN-QWRGUYRKSA-N 0 1 267.329 0.869 20 30 CCEDMN C=CCNC(=O)CN1C[C@H](OC)C[C@H]1c1n[nH]c(C)n1 ZINC000284566340 388778705 /nfs/dbraw/zinc/77/87/05/388778705.db2.gz IWDJAJQYAJSXLN-MNOVXSKESA-N 0 1 279.344 0.177 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@](C)(C(N)=O)C(C)C ZINC000289267221 388867890 /nfs/dbraw/zinc/86/78/90/388867890.db2.gz DPENNUMOBBGSMT-AWEZNQCLSA-N 0 1 267.373 0.677 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC(CC(N)=O)CC1 ZINC000048025653 388885940 /nfs/dbraw/zinc/88/59/40/388885940.db2.gz RCFHMLCFEFBBTB-NSHDSACASA-N 0 1 280.372 0.334 20 30 CCEDMN CN(C(=O)C1(C#N)CCOCC1)[C@H]1CCCN(C)C1 ZINC000053246029 388929576 /nfs/dbraw/zinc/92/95/76/388929576.db2.gz KNZOIXSHCPPIFW-LBPRGKRZSA-N 0 1 265.357 0.859 20 30 CCEDMN C=CCOCCN(CCC)[C@@H]1CC(=O)N(C)C1=O ZINC000294182208 388992066 /nfs/dbraw/zinc/99/20/66/388992066.db2.gz QNTQYGZBEJKDRV-LLVKDONJSA-N 0 1 254.330 0.658 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(NC(N)=O)CC1 ZINC000079465442 388997361 /nfs/dbraw/zinc/99/73/61/388997361.db2.gz ZUWFIOXAVTWBRN-GFCCVEGCSA-N 0 1 296.415 0.932 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)[C@H](CO)C1 ZINC000190865782 389001298 /nfs/dbraw/zinc/00/12/98/389001298.db2.gz GQEVCJJGBAEEBK-MBNYWOFBSA-N 0 1 267.373 0.601 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1C[C@@H](C)[C@H](CO)C1 ZINC000190865782 389001300 /nfs/dbraw/zinc/00/13/00/389001300.db2.gz GQEVCJJGBAEEBK-MBNYWOFBSA-N 0 1 267.373 0.601 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](C(=O)OC)[C@@H](C)C1 ZINC000191864371 389019379 /nfs/dbraw/zinc/01/93/79/389019379.db2.gz MOSVSRVMHFBTIW-SRVKXCTJSA-N 0 1 268.357 0.808 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CCC(=O)OC)C(C)C ZINC000355372363 389067231 /nfs/dbraw/zinc/06/72/31/389067231.db2.gz UBJWTPLTXZOEKB-UHFFFAOYSA-N 0 1 285.344 0.272 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](C(=O)OC)C1 ZINC000097989635 389069532 /nfs/dbraw/zinc/06/95/32/389069532.db2.gz VUCULUIVIDWZKU-QWRGUYRKSA-N 0 1 252.314 0.009 20 30 CCEDMN N#Cc1cccnc1N1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC000120831026 389145116 /nfs/dbraw/zinc/14/51/16/389145116.db2.gz MOMDCJGICQIXHW-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000358291914 389147349 /nfs/dbraw/zinc/14/73/49/389147349.db2.gz USXAYMYWUXXUIX-ZJUUUORDSA-N 0 1 264.329 0.503 20 30 CCEDMN C=CCOCCN1CCC(S(=O)(=O)NC)CC1 ZINC000338998246 389120628 /nfs/dbraw/zinc/12/06/28/389120628.db2.gz ZBKZLMYYDQJDJT-UHFFFAOYSA-N 0 1 262.375 0.203 20 30 CCEDMN CC(C)OCCN1CCN(CCOCCC#N)CC1 ZINC000339010683 389121340 /nfs/dbraw/zinc/12/13/40/389121340.db2.gz SGHZXWRAMOOTHV-UHFFFAOYSA-N 0 1 269.389 0.959 20 30 CCEDMN CN1CCC[C@H](NC(=O)[C@H]2CCc3[nH]nnc3C2)C1=O ZINC000328992076 389148762 /nfs/dbraw/zinc/14/87/62/389148762.db2.gz VPOOIYJGHAYEAP-WPRPVWTQSA-N 0 1 277.328 0.487 20 30 CCEDMN CN1CCC[C@H](NC(=O)[C@H]2CCc3nn[nH]c3C2)C1=O ZINC000328992076 389148766 /nfs/dbraw/zinc/14/87/66/389148766.db2.gz VPOOIYJGHAYEAP-WPRPVWTQSA-N 0 1 277.328 0.487 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000339335248 389130542 /nfs/dbraw/zinc/13/05/42/389130542.db2.gz HXVHQEBJDYMEEG-UONOGXRCSA-N 0 1 283.416 0.720 20 30 CCEDMN CC1(C)SC[C@@H]1NC([O-])=[NH+][C@@H]1CCc2ncnn2C1 ZINC000328724316 389134434 /nfs/dbraw/zinc/13/44/34/389134434.db2.gz YLQBJKFMYFQDLW-BDAKNGLRSA-N 0 1 281.385 0.991 20 30 CCEDMN CC1(C)SC[C@@H]1NC(=O)N[C@@H]1CCc2ncnn2C1 ZINC000328724316 389134438 /nfs/dbraw/zinc/13/44/38/389134438.db2.gz YLQBJKFMYFQDLW-BDAKNGLRSA-N 0 1 281.385 0.991 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCC(=O)N2CCCC2)C[C@H](C)N1C ZINC000328878014 389142639 /nfs/dbraw/zinc/14/26/39/389142639.db2.gz UMAKYYZDQWOHBN-BETUJISGSA-N 0 1 296.415 0.590 20 30 CCEDMN C[C@H](C(=O)NC[C@H]1CCCO1)N1CCn2c(n[nH]c2=O)C1 ZINC000329633289 389179620 /nfs/dbraw/zinc/17/96/20/389179620.db2.gz WOVBFMCZZLSFOF-NXEZZACHSA-N 0 1 295.343 0.323 20 30 CCEDMN CCC(=O)N1CC[C@H](NC(=O)N2CCn3cncc3C2)C1 ZINC000329665985 389185206 /nfs/dbraw/zinc/18/52/06/389185206.db2.gz QCZAJMGIBSXWGV-NSHDSACASA-N 0 1 291.355 0.624 20 30 CCEDMN COCCNC(=O)C(C#N)c1ccc([N+](=O)[O-])cn1 ZINC000359906163 389185871 /nfs/dbraw/zinc/18/58/71/389185871.db2.gz FJHZEOHLRQLDMI-SECBINFHSA-N 0 1 264.241 0.360 20 30 CCEDMN CCN1CCN(CC(=O)N(C)[C@@H](C)CC#N)CC1 ZINC000360120538 389191435 /nfs/dbraw/zinc/19/14/35/389191435.db2.gz XAAPDCYUGJSWAN-LBPRGKRZSA-N 0 1 252.362 0.384 20 30 CCEDMN [O-]C(N[C@@H]1CCc2ncnn2C1)=[NH+]CC[C@@H]1CCOC1 ZINC000329767401 389197886 /nfs/dbraw/zinc/19/78/86/389197886.db2.gz ZMJMPGPSBYMDMZ-GHMZBOCLSA-N 0 1 279.344 0.523 20 30 CCEDMN O=C(NCC[C@@H]1CCOC1)N[C@@H]1CCc2ncnn2C1 ZINC000329767401 389197889 /nfs/dbraw/zinc/19/78/89/389197889.db2.gz ZMJMPGPSBYMDMZ-GHMZBOCLSA-N 0 1 279.344 0.523 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N[C@]2(C)CCCOC2)C1 ZINC000329153721 389151320 /nfs/dbraw/zinc/15/13/20/389151320.db2.gz UIPMNMHGKAGQNN-TZMCWYRMSA-N 0 1 284.404 0.305 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NC[C@@H]2CCCOC2)[C@H](C)C1 ZINC000329239331 389152854 /nfs/dbraw/zinc/15/28/54/389152854.db2.gz LXBVHFZLAZVLKZ-RDBSUJKOSA-N 0 1 299.415 0.714 20 30 CCEDMN CN(C[C@@H]1CCCN1C)S(=O)(=O)c1cccnc1C#N ZINC000234345095 389163788 /nfs/dbraw/zinc/16/37/88/389163788.db2.gz OKYNRQVJLPAJOS-NSHDSACASA-N 0 1 294.380 0.668 20 30 CCEDMN O=C(N[C@@H]1CCc2c[nH]nc2C1)N[C@H]1CCCOC1 ZINC000329467287 389165774 /nfs/dbraw/zinc/16/57/74/389165774.db2.gz WNMWDBMJELVODG-MNOVXSKESA-N 0 1 264.329 0.950 20 30 CCEDMN O=C(NC1CC1)N[C@H]1CCN(C(=O)c2cnc[nH]2)C1 ZINC000329495677 389167161 /nfs/dbraw/zinc/16/71/61/389167161.db2.gz FRWWTIYWZHMZGF-VIFPVBQESA-N 0 1 263.301 0.290 20 30 CCEDMN C=CCCOCCN1C(=O)N[C@H](Cc2cnc[nH]2)C1=O ZINC000359170805 389168346 /nfs/dbraw/zinc/16/83/46/389168346.db2.gz BDQCSAURKUOATO-LLVKDONJSA-N 0 1 278.312 0.465 20 30 CCEDMN Cn1cc(CNC(=O)N2CCn3cncc3C2)cn1 ZINC000329541784 389169780 /nfs/dbraw/zinc/16/97/80/389169780.db2.gz DPLNINAAYBSHIG-UHFFFAOYSA-N 0 1 260.301 0.546 20 30 CCEDMN CNC(=O)NC(=O)CN1CCCC[C@H]1CC(N)=O ZINC000330588151 389243830 /nfs/dbraw/zinc/24/38/30/389243830.db2.gz OIKNCVSJSKHMME-QMMMGPOBSA-N 0 1 256.306 0.426 20 30 CCEDMN C[C@@H]1CCN(C([O-])=[NH+]CCCn2ccnc2)C[C@@H]1O ZINC000330645196 389249094 /nfs/dbraw/zinc/24/90/94/389249094.db2.gz YPYGKNMWCFBMJN-NEPJUHHUSA-N 0 1 266.345 0.890 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(CCOc2cccc(C#N)c2)C1 ZINC000342354075 389213740 /nfs/dbraw/zinc/21/37/40/389213740.db2.gz LIRJFRLMSYHMLX-CYBMUJFWSA-N 0 1 288.351 0.940 20 30 CCEDMN CN(Cc1nccs1)C(=O)NC[C@@H]1C[N@@H+](C)CCN1C ZINC000329963809 389214424 /nfs/dbraw/zinc/21/44/24/389214424.db2.gz SUGIGPLYZPSISG-LLVKDONJSA-N 0 1 297.428 0.735 20 30 CCEDMN CN(Cc1nccs1)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000329963809 389214425 /nfs/dbraw/zinc/21/44/25/389214425.db2.gz SUGIGPLYZPSISG-LLVKDONJSA-N 0 1 297.428 0.735 20 30 CCEDMN CNC(=O)C[C@H](NCC(=O)NCC#N)c1ccccc1 ZINC000361635657 389220825 /nfs/dbraw/zinc/22/08/25/389220825.db2.gz GALAHCOFAPSALS-LBPRGKRZSA-N 0 1 274.324 0.093 20 30 CCEDMN CC(C)N(C)CCNC(=O)N1CC[C@@H](S(C)(=O)=O)C1 ZINC000330417672 389226832 /nfs/dbraw/zinc/22/68/32/389226832.db2.gz OPXZLZNKLPQQPR-LLVKDONJSA-N 0 1 291.417 0.360 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)NC[C@H]1CCCCO1 ZINC000330493705 389235525 /nfs/dbraw/zinc/23/55/25/389235525.db2.gz RKMPJOFXBGUURS-SECBINFHSA-N 0 1 253.306 0.720 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)C[C@@H]1CCCO1 ZINC000330511623 389236755 /nfs/dbraw/zinc/23/67/55/389236755.db2.gz BLWGPDNNHUSEPX-QMMMGPOBSA-N 0 1 273.314 0.122 20 30 CCEDMN C[C@H]1CCC[C@@H](CO)N1CC(=O)NC1(C#N)CCC1 ZINC000346982983 389342951 /nfs/dbraw/zinc/34/29/51/389342951.db2.gz YRSWLFZPUJESCN-RYUDHWBXSA-N 0 1 265.357 0.784 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCC[C@H](CO)C1 ZINC000345816479 389315768 /nfs/dbraw/zinc/31/57/68/389315768.db2.gz VZEQPMXZIGQPOQ-NEPJUHHUSA-N 0 1 265.357 0.642 20 30 CCEDMN CCNS(=O)(=O)[C@@H]1CCN(CCC(C)(C)C#N)C1 ZINC000345925251 389318088 /nfs/dbraw/zinc/31/80/88/389318088.db2.gz IISMOSLRZIXKIH-LLVKDONJSA-N 0 1 273.402 0.940 20 30 CCEDMN CCNS(=O)(=O)[C@H]1CCN(CCC(C)(C)C#N)C1 ZINC000345925253 389318213 /nfs/dbraw/zinc/31/82/13/389318213.db2.gz IISMOSLRZIXKIH-NSHDSACASA-N 0 1 273.402 0.940 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)[C@H]2CCSC2)CC1 ZINC000155872431 389321013 /nfs/dbraw/zinc/32/10/13/389321013.db2.gz XKRZXVAETANOKM-ZDUSSCGKSA-N 0 1 281.425 0.201 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@H](OCCOCC)C1 ZINC000157608483 389332612 /nfs/dbraw/zinc/33/26/12/389332612.db2.gz HEHZVMWEYWBCPN-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](C(=O)OC)[C@H]1C ZINC000346677431 389334376 /nfs/dbraw/zinc/33/43/76/389334376.db2.gz ZQIHFOZHXIPHME-JHJVBQTASA-N 0 1 295.383 0.878 20 30 CCEDMN N#Cc1cccnc1NCCCN1CCC[C@H]1C(N)=O ZINC000264587748 389337444 /nfs/dbraw/zinc/33/74/44/389337444.db2.gz KXIAKASTMIJODO-LBPRGKRZSA-N 0 1 273.340 0.705 20 30 CCEDMN C[S@](=O)CCC[NH+]=C([O-])NC[C@@H]1CCc2nccn2C1 ZINC000330998531 389278012 /nfs/dbraw/zinc/27/80/12/389278012.db2.gz VKHLOWUMGAWMLO-YBTHPKLGSA-N 0 1 298.412 0.718 20 30 CCEDMN C[S@](=O)CCCNC([O-])=[NH+]C[C@@H]1CCc2nccn2C1 ZINC000330998531 389278014 /nfs/dbraw/zinc/27/80/14/389278014.db2.gz VKHLOWUMGAWMLO-YBTHPKLGSA-N 0 1 298.412 0.718 20 30 CCEDMN CCc1[nH]nc(C(=O)N2CCO[C@H](C#N)C2)c1[N+](=O)[O-] ZINC000264644893 389341129 /nfs/dbraw/zinc/34/11/29/389341129.db2.gz YRDGHCKAAHJPKU-SSDOTTSWSA-N 0 1 279.256 0.245 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N2CCC(F)(C#N)CC2)C1 ZINC000345030987 389287370 /nfs/dbraw/zinc/28/73/70/389287370.db2.gz UESOQCMCXPNXLJ-GFCCVEGCSA-N 0 1 298.362 0.744 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)N2CCO[C@H](C#N)C2)n[nH]1 ZINC000408368314 389342365 /nfs/dbraw/zinc/34/23/65/389342365.db2.gz GDXIDQQYQAJAIY-BXKDBHETSA-N 0 1 277.328 0.583 20 30 CCEDMN C=CCCCN(C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000347020683 389345414 /nfs/dbraw/zinc/34/54/14/389345414.db2.gz VHBMIZCVVLVNCK-CYBMUJFWSA-N 0 1 268.405 0.840 20 30 CCEDMN C#CCCCNC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000373110545 389463577 /nfs/dbraw/zinc/46/35/77/389463577.db2.gz DHJFOHWESOBVEM-KBPBESRZSA-N 0 1 279.384 0.640 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](c2nccn2C)C1 ZINC000373108701 389464527 /nfs/dbraw/zinc/46/45/27/389464527.db2.gz DICSWGHBZALFFX-STQMWFEESA-N 0 1 274.368 0.737 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H](O)C[C@H]1COC ZINC000411927118 389465851 /nfs/dbraw/zinc/46/58/51/389465851.db2.gz XPJWHLUQBBURMS-STQMWFEESA-N 0 1 270.373 0.493 20 30 CCEDMN CC(C)c1[nH]nc(C(=O)N(CC#N)CC#N)c1[N+](=O)[O-] ZINC000269482761 389421172 /nfs/dbraw/zinc/42/11/72/389421172.db2.gz WHUZSCVGEUYUTG-UHFFFAOYSA-N 0 1 276.256 0.931 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1C[C@H](C)NC[C@@H]1C ZINC000335055691 389534689 /nfs/dbraw/zinc/53/46/89/389534689.db2.gz UTTCNXHQNYYZAL-QWRGUYRKSA-N 0 1 253.346 0.230 20 30 CCEDMN C=C(CC)CNC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000413614821 389542286 /nfs/dbraw/zinc/54/22/86/389542286.db2.gz QUQRJMUPLPILNP-GFCCVEGCSA-N 0 1 283.372 0.699 20 30 CCEDMN N#Cc1cc(F)c(S(=O)(=O)N[C@@H]2CCOC2)c(F)c1 ZINC000178820409 389624107 /nfs/dbraw/zinc/62/41/07/389624107.db2.gz YMHJWKJLPDZEMA-MRVPVSSYSA-N 0 1 288.275 0.904 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@H](CNC(C)=O)C2)C1=O ZINC000281886445 389631645 /nfs/dbraw/zinc/63/16/45/389631645.db2.gz DMUPVGHDQURHKV-UONOGXRCSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CCCC[C@@H]1COC(=O)N[C@@H]1CCOC1 ZINC000375805621 389715452 /nfs/dbraw/zinc/71/54/52/389715452.db2.gz MWZBXNCFYMJPEQ-CHWSQXEVSA-N 0 1 266.341 0.989 20 30 CCEDMN C=CCn1c(C)nnc1N1CCN(CCOC)[C@@H](C)C1 ZINC000282272237 389660800 /nfs/dbraw/zinc/66/08/00/389660800.db2.gz MFURWHROHUAVSF-LBPRGKRZSA-N 0 1 279.388 0.929 20 30 CCEDMN CN(C)C(=O)[C@@H]1CC[C@@H](CNCc2ccc(C#N)o2)O1 ZINC000182038575 389698585 /nfs/dbraw/zinc/69/85/85/389698585.db2.gz RRRLLNCQYVWHSH-STQMWFEESA-N 0 1 277.324 0.877 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC(CCO)CC1 ZINC000400950594 389798537 /nfs/dbraw/zinc/79/85/37/389798537.db2.gz WLEKIDGOOUINCG-UHFFFAOYSA-N 0 1 292.383 0.737 20 30 CCEDMN Cc1ccc2c(=O)c(C(=O)NCC#CCO)c[n-]c2[nH+]1 ZINC000352181489 389766765 /nfs/dbraw/zinc/76/67/65/389766765.db2.gz DHJQZYOBASTDRG-UHFFFAOYSA-N 0 1 271.276 0.369 20 30 CCEDMN CC(C)(O)CN1CCN(c2ccncc2C#N)CC1 ZINC000398977078 389787825 /nfs/dbraw/zinc/78/78/25/389787825.db2.gz DIEVJLDRLOAPHJ-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1CCc2n[nH]nc2C1 ZINC000429308177 389750303 /nfs/dbraw/zinc/75/03/03/389750303.db2.gz KHYDENPMPKYSAQ-YGRLFVJLSA-N 0 1 290.371 0.606 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NCCN1CCC[C@H](C)C1 ZINC000352412585 389807186 /nfs/dbraw/zinc/80/71/86/389807186.db2.gz GWXDCRLTJKUYLA-WDEREUQCSA-N 0 1 259.375 0.550 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000352439186 389811064 /nfs/dbraw/zinc/81/10/64/389811064.db2.gz OPWHVPUXSLQLQF-GOEBONIOSA-N 0 1 297.443 0.967 20 30 CCEDMN COCC[N@H+]1CC[C@H](NC(=O)c2ccc(C#N)c([O-])c2)C1 ZINC000442640803 389851872 /nfs/dbraw/zinc/85/18/72/389851872.db2.gz BNVSXAGSOWPGJQ-ZDUSSCGKSA-N 0 1 289.335 0.714 20 30 CCEDMN COC[C@H](N[C@@H](C)C(=O)NC1(C#N)CCC1)[C@@H]1CCCO1 ZINC000432651055 389886373 /nfs/dbraw/zinc/88/63/73/389886373.db2.gz GHRUCSPZFVOHIL-AVGNSLFASA-N 0 1 295.383 0.721 20 30 CCEDMN COC(=O)CN(CC#N)Cc1n[nH]c(C(C)(C)C)n1 ZINC000432810987 389889702 /nfs/dbraw/zinc/88/97/02/389889702.db2.gz BPCQTIYUYALQRR-UHFFFAOYSA-N 0 1 265.317 0.601 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)NC1(c2nn[nH]n2)CC1 ZINC000435890386 389965218 /nfs/dbraw/zinc/96/52/18/389965218.db2.gz SKJVFPKOOBJNAT-UHFFFAOYSA-N 0 1 258.241 0.392 20 30 CCEDMN N#CCCCNC(=O)NCCN1CCCOCC1 ZINC000446645358 389938162 /nfs/dbraw/zinc/93/81/62/389938162.db2.gz CBBOIZNTDVJPRN-UHFFFAOYSA-N 0 1 254.334 0.312 20 30 CCEDMN CC#CCC[N@@H+]1C[C@H](CO)C[C@@H](OCCOC)C1 ZINC000447396873 389953860 /nfs/dbraw/zinc/95/38/60/389953860.db2.gz XJNKZAAKUWFSBA-ZIAGYGMSSA-N 0 1 255.358 0.746 20 30 CCEDMN CN(C[C@H]1CCCN1C)S(=O)(=O)c1ccc(C#N)o1 ZINC000420745882 390028577 /nfs/dbraw/zinc/02/85/77/390028577.db2.gz ZRQGCXARASOJFB-SNVBAGLBSA-N 0 1 283.353 0.866 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@]1(COC)CCOC1 ZINC000491374760 390112550 /nfs/dbraw/zinc/11/25/50/390112550.db2.gz SPKRCYZYKGVBLK-ZFWWWQNUSA-N 0 1 281.352 0.680 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1cccc(CC)c1 ZINC000491689153 390116046 /nfs/dbraw/zinc/11/60/46/390116046.db2.gz GUMYOEKXBBHSMG-ZIAGYGMSSA-N 0 1 263.337 0.572 20 30 CCEDMN CC[C@H]1CN(Cc2cnc3c(C#N)cnn3c2)C[C@@H]1O ZINC000579110471 390142683 /nfs/dbraw/zinc/14/26/83/390142683.db2.gz VBQMGDSGZIQCSJ-AAEUAGOBSA-N 0 1 271.324 0.804 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@](O)(CC)C1 ZINC000495242478 390228832 /nfs/dbraw/zinc/22/88/32/390228832.db2.gz IOCPIWSHOGNLPJ-GWCFXTLKSA-N 0 1 269.345 0.234 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@@](O)(CC)C1 ZINC000495242490 390228965 /nfs/dbraw/zinc/22/89/65/390228965.db2.gz IOCPIWSHOGNLPJ-MFKMUULPSA-N 0 1 269.345 0.234 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H](CO)c1sccc1C ZINC000494673791 390192159 /nfs/dbraw/zinc/19/21/59/390192159.db2.gz RTAGSEFEBGKANH-JTQLQIEISA-N 0 1 297.380 0.691 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](CN2CCOC2=O)C1 ZINC000496075859 390294817 /nfs/dbraw/zinc/29/48/17/390294817.db2.gz JEXXKCJMTPBZCM-NWDGAFQWSA-N 0 1 281.356 0.451 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC([N@H+]2CCOC[C@@H]2C)CC1 ZINC000582219625 390270284 /nfs/dbraw/zinc/27/02/84/390270284.db2.gz NHDKLHNWMSIWSN-UONOGXRCSA-N 0 1 295.427 0.862 20 30 CCEDMN C=CCn1cc(C(=O)N2CCNC[C@H]2CCC)nn1 ZINC000648108371 390288202 /nfs/dbraw/zinc/28/82/02/390288202.db2.gz MIKKUVBYBZWGTF-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN Cc1nnc([C@H](C)NS(=O)(=O)c2ccc(C#N)o2)o1 ZINC000554586678 390434737 /nfs/dbraw/zinc/43/47/37/390434737.db2.gz PWGPROBGLFBXJZ-LURJTMIESA-N 0 1 282.281 0.882 20 30 CCEDMN C=CCC[C@@H](CO)Nc1nc(N)nc2c1CCCC2 ZINC000645255211 390396939 /nfs/dbraw/zinc/39/69/39/390396939.db2.gz VGWSJEDHUIDLPR-JTQLQIEISA-N 0 1 262.357 0.929 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)CN1CCC(C)CC1 ZINC000649185692 390514568 /nfs/dbraw/zinc/51/45/68/390514568.db2.gz JQPOFWFTCCHYCZ-CYBMUJFWSA-N 0 1 252.358 0.609 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1csc(=NC2CC2)[nH]1 ZINC000649185165 390514722 /nfs/dbraw/zinc/51/47/22/390514722.db2.gz ZADLLNMMNGFIHD-SNVBAGLBSA-N 0 1 279.365 0.643 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCN(CCOC(C)C)CC1 ZINC000649334363 390590303 /nfs/dbraw/zinc/59/03/03/390590303.db2.gz UFUUYEJHODNITF-CQSZACIVSA-N 0 1 256.390 0.966 20 30 CCEDMN N#Cc1cccc(OCCN[C@]2(C(N)=O)CCOC2)c1 ZINC000521266491 390601516 /nfs/dbraw/zinc/60/15/16/390601516.db2.gz CIKAADRJOIVFKG-CQSZACIVSA-N 0 1 275.308 0.171 20 30 CCEDMN CCC[C@H](C#N)NC(=O)CN1CCN(CC)CC1 ZINC000525178168 390659994 /nfs/dbraw/zinc/65/99/94/390659994.db2.gz QYCHMGRZXZTMMM-GFCCVEGCSA-N 0 1 252.362 0.432 20 30 CCEDMN CC[C@H](C(=O)NC1(C#N)CCN(C)CC1)N1CCCC1=O ZINC000570661708 390718987 /nfs/dbraw/zinc/71/89/87/390718987.db2.gz FFYOHAOVCQWAPD-GFCCVEGCSA-N 0 1 292.383 0.492 20 30 CCEDMN Cn1cc(N2CCN(C[C@H](O)CC3(C#N)CC3)CC2)cn1 ZINC000528395159 390740421 /nfs/dbraw/zinc/74/04/21/390740421.db2.gz MFUWYFLZDKSKRR-CQSZACIVSA-N 0 1 289.383 0.597 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCOC3(CCOCC3)C2)CC1 ZINC000528408206 390741315 /nfs/dbraw/zinc/74/13/15/390741315.db2.gz SBOWWJGXEIGEJK-CYBMUJFWSA-N 0 1 280.368 0.923 20 30 CCEDMN CO[C@@H]1COC[C@H]1N[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000578766371 390901344 /nfs/dbraw/zinc/90/13/44/390901344.db2.gz PBHNXGLRSNVHJT-HKUMRIAESA-N 0 1 283.372 0.433 20 30 CCEDMN CN1CCN(C[C@H](O)COc2cccc(C#N)c2)CC1 ZINC000034673886 397375495 /nfs/dbraw/zinc/37/54/95/397375495.db2.gz VZSBNMCDPXLDMS-AWEZNQCLSA-N 0 1 275.352 0.545 20 30 CCEDMN CN1CCN(C[C@@H](O)COc2cccc(C#N)c2)CC1 ZINC000034673887 397375718 /nfs/dbraw/zinc/37/57/18/397375718.db2.gz VZSBNMCDPXLDMS-CQSZACIVSA-N 0 1 275.352 0.545 20 30 CCEDMN N#Cc1ccc(C2(C(=O)NC3(c4nn[nH]n4)CC3)CC2)cc1 ZINC000357075145 144057142 /nfs/dbraw/zinc/05/71/42/144057142.db2.gz KBRRXQRWOLWEEU-UHFFFAOYSA-N 0 1 294.318 0.908 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cc(C#N)ncn2)[C@H](C)C1 ZINC000519737932 397568999 /nfs/dbraw/zinc/56/89/99/397568999.db2.gz YTVPPWCNDOHFTD-GHMZBOCLSA-N 0 1 261.329 0.240 20 30 CCEDMN N#CCNC(=O)CN1CCCC2(CCOCC2)C1 ZINC000408222308 397522465 /nfs/dbraw/zinc/52/24/65/397522465.db2.gz BNBIYFOOROXJOR-UHFFFAOYSA-N 0 1 251.330 0.519 20 30 CCEDMN C=CCNC(=O)COC(=O)[C@H](CC)N(CC)CC ZINC000741033518 581728511 /nfs/dbraw/zinc/72/85/11/581728511.db2.gz RONFLLBKDGZRRX-NSHDSACASA-N 0 1 256.346 0.952 20 30 CCEDMN C[C@@H]1CCCN(CC(=O)NC2(C#N)CCC2)[C@H]1CO ZINC000346976905 533679279 /nfs/dbraw/zinc/67/92/79/533679279.db2.gz RTIPUECGOMTQSV-NEPJUHHUSA-N 0 1 265.357 0.642 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H](OC)C2CCC2)CC1 ZINC000659810784 413881261 /nfs/dbraw/zinc/88/12/61/413881261.db2.gz OIMXRFFTWYGOJV-HNNXBMFYSA-N 0 1 295.427 0.721 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C)Cc1n[nH]c(=O)o1 ZINC000660852485 414507527 /nfs/dbraw/zinc/50/75/27/414507527.db2.gz VSMRPFDEVZTRTO-UHFFFAOYSA-N 0 1 268.317 0.632 20 30 CCEDMN C=CCCC1(CNC(=O)CNC(=O)CN)CCCC1 ZINC000662620773 414733937 /nfs/dbraw/zinc/73/39/37/414733937.db2.gz QRFVFHADFYDQSM-UHFFFAOYSA-N 0 1 267.373 0.704 20 30 CCEDMN COCc1nc(CNC(=O)NCC#Cc2ccccc2)n[nH]1 ZINC000663549160 415084712 /nfs/dbraw/zinc/08/47/12/415084712.db2.gz ZGEITRKBGSJYPT-UHFFFAOYSA-N 0 1 299.334 0.802 20 30 CCEDMN COCc1nnc(CNC(=O)NCC#Cc2ccccc2)[nH]1 ZINC000663549160 415084717 /nfs/dbraw/zinc/08/47/17/415084717.db2.gz ZGEITRKBGSJYPT-UHFFFAOYSA-N 0 1 299.334 0.802 20 30 CCEDMN C[C@@H](C#N)C(=O)NCc1cccnc1N1CCN(C)CC1 ZINC000347036490 226023054 /nfs/dbraw/zinc/02/30/54/226023054.db2.gz MCXLSUVWFSZFGM-LBPRGKRZSA-N 0 1 287.367 0.609 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)N[C@@H]1CCCc2cn[nH]c21 ZINC000330088455 228036903 /nfs/dbraw/zinc/03/69/03/228036903.db2.gz URSVVXXKPBHYQH-GHMZBOCLSA-N 0 1 280.328 0.706 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2(CC#N)CC2)C[C@@H]1C ZINC000332101414 228148711 /nfs/dbraw/zinc/14/87/11/228148711.db2.gz BIOYJFLKRFRFNH-STQMWFEESA-N 0 1 294.399 0.777 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N1CC[C@@H](N2CC=CC2)C1 ZINC000332415537 228158626 /nfs/dbraw/zinc/15/86/26/228158626.db2.gz QDUPZPOZVWUICS-CHWSQXEVSA-N 0 1 298.412 0.269 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N1CC[C@@H](N2CC=CC2)C1 ZINC000332402195 260005174 /nfs/dbraw/zinc/00/51/74/260005174.db2.gz KQJPEKKYJRJDCE-QWHCGFSZSA-N 0 1 298.412 0.411 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@H](O)[C@H](C)C1 ZINC000186872074 260016155 /nfs/dbraw/zinc/01/61/55/260016155.db2.gz ZAAPAVZQMSMAPC-UPJWGTAASA-N 0 1 267.373 0.697 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](O)[C@H](C)C1 ZINC000186872074 260016157 /nfs/dbraw/zinc/01/61/57/260016157.db2.gz ZAAPAVZQMSMAPC-UPJWGTAASA-N 0 1 267.373 0.697 20 30 CCEDMN COc1ccnc(N2CCN(C(=O)CC#N)CC2)c1 ZINC000153763901 261082664 /nfs/dbraw/zinc/08/26/64/261082664.db2.gz DSQVCRWCGSVCRM-UHFFFAOYSA-N 0 1 260.297 0.652 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](CC)[C@H](O)C2)CC1 ZINC000490892678 261239399 /nfs/dbraw/zinc/23/93/99/261239399.db2.gz NYESQTLVOFIRKO-TZMCWYRMSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](OC)C(C)(C)C1 ZINC000491788378 262054426 /nfs/dbraw/zinc/05/44/26/262054426.db2.gz XDBKTYNEFHMTJR-NEPJUHHUSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@]2(C1)c1ccccc1NC2=O ZINC000364436771 262239500 /nfs/dbraw/zinc/23/95/00/262239500.db2.gz ZCEVOIWKBKLCFF-SJKOYZFVSA-N 0 1 297.358 0.720 20 30 CCEDMN O=C(NCCn1ncnn1)[C@H]1CCCN1C1CC1 ZINC000330207140 262385231 /nfs/dbraw/zinc/38/52/31/262385231.db2.gz ADNDBNQTTPNVGY-SNVBAGLBSA-N 0 1 250.306 0.256 20 30 CCEDMN CCN(CCOCCO)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000337438154 262451722 /nfs/dbraw/zinc/45/17/22/262451722.db2.gz KRYDKNRURUUUEX-DZGCQCFKSA-N 0 1 299.415 0.760 20 30 CCEDMN COCCN1CCCN(C(=O)CCCC#N)CC1 ZINC000332064421 262663294 /nfs/dbraw/zinc/66/32/94/262663294.db2.gz ZVRJFJFPPWCYFO-UHFFFAOYSA-N 0 1 253.346 0.861 20 30 CCEDMN CN1CC=C(CNS(=O)(=O)CCCC#N)CC1 ZINC000272060392 263001759 /nfs/dbraw/zinc/00/17/59/263001759.db2.gz AJPOKAQKHRYAKQ-UHFFFAOYSA-N 0 1 257.359 0.471 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@@H](C)c1cnn(C)c1 ZINC000273369940 263016179 /nfs/dbraw/zinc/01/61/79/263016179.db2.gz KUDZJJBLJSDIRB-NSHDSACASA-N 0 1 263.345 0.833 20 30 CCEDMN CN1CCN(c2cc(CNC(=O)CC#N)ccn2)CC1 ZINC000274652844 263028807 /nfs/dbraw/zinc/02/88/07/263028807.db2.gz CWJODEDSTDWGPM-UHFFFAOYSA-N 0 1 273.340 0.363 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)NCc1cn[nH]c1C ZINC000340537256 263190544 /nfs/dbraw/zinc/19/05/44/263190544.db2.gz LMZIEXLYDTVQKY-UHFFFAOYSA-N 0 1 250.302 0.369 20 30 CCEDMN Cc1[nH]nc(C(=O)N(C)C[C@H](C)C#N)c1[N+](=O)[O-] ZINC000299521198 263244827 /nfs/dbraw/zinc/24/48/27/263244827.db2.gz JFRRMFMYOZKURD-ZCFIWIBFSA-N 0 1 251.246 0.858 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N[C@@H]1CCCN(C)[C@@H]1C ZINC000342005639 263376447 /nfs/dbraw/zinc/37/64/47/263376447.db2.gz OJFIVKLHXHVRNV-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H]1CN(C)CCN1C ZINC000342035843 263385682 /nfs/dbraw/zinc/38/56/82/263385682.db2.gz FGISZCFVMZLQDA-CYBMUJFWSA-N 0 1 251.374 0.542 20 30 CCEDMN O=C(N[C@H]1CCS(=O)(=O)C1)c1ccc2cncn2c1 ZINC000328794600 263872641 /nfs/dbraw/zinc/87/26/41/263872641.db2.gz BMXWXXVDDJRSFD-JTQLQIEISA-N 0 1 279.321 0.826 20 30 CCEDMN C=CCNC(=O)CNC(=O)CN1[C@H](C)CC[C@@H]1C ZINC000330697751 264005428 /nfs/dbraw/zinc/00/54/28/264005428.db2.gz KWFKVUOCRDUFSY-PHIMTYICSA-N 0 1 253.346 0.278 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N[C@H](C(N)=O)C(C)(C)C)C1 ZINC000330710269 264021085 /nfs/dbraw/zinc/02/10/85/264021085.db2.gz TUONICZTQJCAAT-GHMZBOCLSA-N 0 1 299.419 0.686 20 30 CCEDMN C[C@H](NC(=O)NCCCC(=O)N(C)C)c1nnc[nH]1 ZINC000330724246 264025852 /nfs/dbraw/zinc/02/58/52/264025852.db2.gz YVFTVJIWBOBRMB-QMMMGPOBSA-N 0 1 268.321 0.238 20 30 CCEDMN C[C@@H](CN1CCCCC1)NS(=O)(=O)N(C)CCC#N ZINC000330823722 264047938 /nfs/dbraw/zinc/04/79/38/264047938.db2.gz PQUSOTLLECKZPM-LBPRGKRZSA-N 0 1 288.417 0.541 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@H](C)O)[C@H](CC)C1 ZINC000330889992 264064085 /nfs/dbraw/zinc/06/40/85/264064085.db2.gz BOKLRQCXGGJVIR-GUTXKFCHSA-N 0 1 284.400 0.617 20 30 CCEDMN C[C@H](O)[C@H]1CCN(C([O-])=[NH+]CCn2cccn2)C1 ZINC000330843202 264065566 /nfs/dbraw/zinc/06/55/66/264065566.db2.gz UCYGFLCIUZHFOX-QWRGUYRKSA-N 0 1 252.318 0.500 20 30 CCEDMN C[C@H](Cn1cccn1)[NH+]=C([O-])N1CC[C@H](CCO)C1 ZINC000330934980 264079932 /nfs/dbraw/zinc/07/99/32/264079932.db2.gz LBBBSURJPPWISW-VXGBXAGGSA-N 0 1 266.345 0.890 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000330948829 264085900 /nfs/dbraw/zinc/08/59/00/264085900.db2.gz JAZWLCYAUSSONO-JSGCOSHPSA-N 0 1 269.389 0.066 20 30 CCEDMN C[C@H](Cn1cccn1)[NH+]=C([O-])N(C)CC[C@H](C)O ZINC000331126121 264132226 /nfs/dbraw/zinc/13/22/26/264132226.db2.gz DGXQJOJBWHNCTM-MNOVXSKESA-N 0 1 254.334 0.888 20 30 CCEDMN CC[C@]1(CO)CCCN(C(=O)NCCn2cncn2)C1 ZINC000331149135 264138552 /nfs/dbraw/zinc/13/85/52/264138552.db2.gz BJGDJIUIRZFPEW-ZDUSSCGKSA-N 0 1 281.360 0.677 20 30 CCEDMN CCCNC(=O)NC(=O)CN1CC[C@H](OC)C[C@H]1C ZINC000331271457 264168970 /nfs/dbraw/zinc/16/89/70/264168970.db2.gz NIQUBDUPUWCDAE-MNOVXSKESA-N 0 1 271.361 0.926 20 30 CCEDMN O=C(NC[C@H]1CCCCN1CCO)c1cnccn1 ZINC000331330514 264192142 /nfs/dbraw/zinc/19/21/42/264192142.db2.gz OLTNYPSKYITLBJ-LLVKDONJSA-N 0 1 264.329 0.628 20 30 CCEDMN C=CCNC(=O)CN[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000180031298 264390460 /nfs/dbraw/zinc/39/04/60/264390460.db2.gz FPLBBHVMPYDHIG-QWRGUYRKSA-N 0 1 274.386 0.234 20 30 CCEDMN CCC[C@@H]1CN(C(=O)CC#N)CCN1CCOC ZINC000357566629 266325947 /nfs/dbraw/zinc/32/59/47/266325947.db2.gz POUGVWQMJTUTQK-GFCCVEGCSA-N 0 1 253.346 0.859 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@@]1(N(C)C)CCSC1 ZINC000357558770 266325307 /nfs/dbraw/zinc/32/53/07/266325307.db2.gz CRWSFGJBSNLVLA-NSHDSACASA-N 0 1 278.443 0.919 20 30 CCEDMN CC(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)N(C)CC#N ZINC000362698247 267036987 /nfs/dbraw/zinc/03/69/87/267036987.db2.gz NUBSLYUCCJGIMV-LBPRGKRZSA-N 0 1 291.355 0.465 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H]1C[C@H](O)c1cnn(C)c1 ZINC000369232753 267228024 /nfs/dbraw/zinc/22/80/24/267228024.db2.gz ZHMYGZSXEILIDX-KGLIPLIRSA-N 0 1 292.383 0.610 20 30 CCEDMN C#CCCCCNC(=O)NC[C@H]1C[N@H+]2CCC[C@@H]2CO1 ZINC000371138047 267354810 /nfs/dbraw/zinc/35/48/10/267354810.db2.gz DLCIAZZODFAHEQ-KGLIPLIRSA-N 0 1 279.384 0.952 20 30 CCEDMN N#Cc1c(F)cccc1C(=O)NC1(c2nn[nH]n2)CC1 ZINC000519799387 268162425 /nfs/dbraw/zinc/16/24/25/268162425.db2.gz XYIBEJZOVZPNAD-UHFFFAOYSA-N 0 1 272.243 0.630 20 30 CCEDMN CCN1CCN([C@@H]2CCN(c3nccnc3C#N)C2)CC1 ZINC000329172007 276199202 /nfs/dbraw/zinc/19/92/02/276199202.db2.gz KVLKAMJFZVZOMJ-CYBMUJFWSA-N 0 1 286.383 0.564 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2ncccc2C#N)C1 ZINC000413492386 277099400 /nfs/dbraw/zinc/09/94/00/277099400.db2.gz CPJLQXBGAOQRKU-GFCCVEGCSA-N 0 1 274.324 0.494 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nc4ccccn4c3C#N)C[C@@H]21 ZINC000329433195 277125333 /nfs/dbraw/zinc/12/53/33/277125333.db2.gz ICUGLEYHHQQOLO-QWHCGFSZSA-N 0 1 283.335 0.725 20 30 CCEDMN COC[C@H](NCC(=O)NC1(C#N)CCC1)[C@H]1CCCO1 ZINC000347082829 277262072 /nfs/dbraw/zinc/26/20/72/277262072.db2.gz KLQGVDADYVLVON-NWDGAFQWSA-N 0 1 281.356 0.332 20 30 CCEDMN N#Cc1nccnc1N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000285509731 295003261 /nfs/dbraw/zinc/00/32/61/295003261.db2.gz FVUZPDJFDPUVRO-QMMMGPOBSA-N 0 1 272.268 0.409 20 30 CCEDMN N#CCCOCC[N@@H+]1CCC[C@H](N2CCNC2=O)C1 ZINC000518799618 328009534 /nfs/dbraw/zinc/00/95/34/328009534.db2.gz LPUQFSLUYKJQNN-LBPRGKRZSA-N 0 1 266.345 0.406 20 30 CCEDMN C=CCCCCCNC(=O)C(=O)N=c1ncn(C)[nH]1 ZINC000571295956 328100362 /nfs/dbraw/zinc/10/03/62/328100362.db2.gz FHHMJZDWTPIWNL-UHFFFAOYSA-N 0 1 265.317 0.038 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H](CO)[C@H]1C ZINC000412083297 328103006 /nfs/dbraw/zinc/10/30/06/328103006.db2.gz PTGNHWUQCSMPMG-MNOVXSKESA-N 0 1 269.345 0.091 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000285953147 328248307 /nfs/dbraw/zinc/24/83/07/328248307.db2.gz COGILFMFSHSAFY-LDYMZIIASA-N 0 1 250.306 0.701 20 30 CCEDMN N#CC(C(=O)NC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cn1 ZINC000290992985 328261658 /nfs/dbraw/zinc/26/16/58/328261658.db2.gz RZCRWCWBTKDYIS-QWRGUYRKSA-N 0 1 290.279 0.892 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC(=O)OCC)C2CC2)CC1 ZINC000122534636 328396438 /nfs/dbraw/zinc/39/64/38/328396438.db2.gz BAPBMILBDFSLCG-UHFFFAOYSA-N 0 1 292.379 0.886 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@@H]1C(=O)NCC[C@H]1C ZINC000193602235 328524461 /nfs/dbraw/zinc/52/44/61/328524461.db2.gz UXJAPPPBDZKYAY-YPMHNXCESA-N 0 1 267.373 0.525 20 30 CCEDMN N#CCC(=O)N1CCN(C[C@@H]2CCCOC2)CC1 ZINC000519557018 328689509 /nfs/dbraw/zinc/68/95/09/328689509.db2.gz RVCQPNMVLUFDEB-LBPRGKRZSA-N 0 1 251.330 0.471 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@](CO)(CCC)C1 ZINC000377553322 329018685 /nfs/dbraw/zinc/01/86/85/329018685.db2.gz HLHXPUWSANGZST-AWEZNQCLSA-N 0 1 283.372 0.483 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@](CO)(CCC)C1 ZINC000377553324 329018878 /nfs/dbraw/zinc/01/88/78/329018878.db2.gz HLHXPUWSANGZST-CQSZACIVSA-N 0 1 283.372 0.483 20 30 CCEDMN CO[C@@H]1COC[C@H]1NCC(=O)N[C@](C)(C#N)C(C)C ZINC000583083072 329311714 /nfs/dbraw/zinc/31/17/14/329311714.db2.gz GIGLFLRWKUMFNR-NQBHXWOUSA-N 0 1 269.345 0.044 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)NCC1(CC#N)CC1 ZINC000418830532 329594418 /nfs/dbraw/zinc/59/44/18/329594418.db2.gz OORKVRDSALLJLD-GFCCVEGCSA-N 0 1 292.383 0.397 20 30 CCEDMN N#CCSCC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000048483316 329626578 /nfs/dbraw/zinc/62/65/78/329626578.db2.gz YLXIVVHZJFEROY-UHFFFAOYSA-N 0 1 297.424 0.814 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1 ZINC000422990006 330163067 /nfs/dbraw/zinc/16/30/67/330163067.db2.gz BIQMOQMRLOKQQE-RYUDHWBXSA-N 0 1 265.357 0.396 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CCNC[C@@H]1C ZINC000423587671 330213291 /nfs/dbraw/zinc/21/32/91/330213291.db2.gz ABZOQDLZHHVJCS-NSHDSACASA-N 0 1 253.346 0.231 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)N1CCC(C#N)CC1 ZINC000423727100 330214804 /nfs/dbraw/zinc/21/48/04/330214804.db2.gz UYCXWNGGYFQUDN-ZDUSSCGKSA-N 0 1 292.383 0.349 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(CC(=O)OC)CC1 ZINC000429672770 330551936 /nfs/dbraw/zinc/55/19/36/330551936.db2.gz FPGYALBCYJBKIQ-LBPRGKRZSA-N 0 1 256.346 0.104 20 30 CCEDMN CC[C@H]1[C@@H](CO)CCN1CC(=O)NC1(C#N)CCC1 ZINC000451248106 331021780 /nfs/dbraw/zinc/02/17/80/331021780.db2.gz APHXFOHUMHRVKF-NEPJUHHUSA-N 0 1 265.357 0.642 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)CC(C)(C)CO ZINC000451250079 331021944 /nfs/dbraw/zinc/02/19/44/331021944.db2.gz RKRNERHOBWTFDE-GFCCVEGCSA-N 0 1 269.389 0.945 20 30 CCEDMN C=C(C)CCNC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000454490787 331147251 /nfs/dbraw/zinc/14/72/51/331147251.db2.gz XFLPHRQQDBDMEW-LBPRGKRZSA-N 0 1 282.388 0.592 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@@](O)(CO)C1 ZINC000459647013 331292096 /nfs/dbraw/zinc/29/20/96/331292096.db2.gz JKBRVUZAMBPWSN-ZFWWWQNUSA-N 0 1 284.400 0.619 20 30 CCEDMN CO[C@@H]1COC[C@@H]1N[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000459829305 331302372 /nfs/dbraw/zinc/30/23/72/331302372.db2.gz PBHNXGLRSNVHJT-GFQSEFKGSA-N 0 1 283.372 0.433 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N1CCCN(CC)CC1 ZINC000459935099 331303543 /nfs/dbraw/zinc/30/35/43/331303543.db2.gz SIJSUBXEULUNQX-UHFFFAOYSA-N 0 1 253.346 0.185 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCCCNC(N)=O ZINC000490615707 332095237 /nfs/dbraw/zinc/09/52/37/332095237.db2.gz HGNQHTNGUGDCOP-LBPRGKRZSA-N 0 1 281.356 0.466 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCSC[C@H]2CCO)CC1 ZINC000490802870 332116842 /nfs/dbraw/zinc/11/68/42/332116842.db2.gz IBIRKJUFXVFFFO-CQSZACIVSA-N 0 1 296.436 0.658 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(CCO)CC2)CC1 ZINC000490892903 332125714 /nfs/dbraw/zinc/12/57/14/332125714.db2.gz PGBJDAYXKWFAST-UHFFFAOYSA-N 0 1 278.396 0.953 20 30 CCEDMN C#CCN1CCN(C(=O)CN[C@@H](C)c2cccnc2)CC1 ZINC000490933626 332130382 /nfs/dbraw/zinc/13/03/82/332130382.db2.gz FJHHGYDPRHBKSV-AWEZNQCLSA-N 0 1 286.379 0.510 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H](C)C(=O)NCC(C)C)CC1 ZINC000491103050 332150027 /nfs/dbraw/zinc/15/00/27/332150027.db2.gz NZPDMKIRUPZBNH-ZDUSSCGKSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)N[C@@H](C)C(C)C)CC1 ZINC000491104159 332150404 /nfs/dbraw/zinc/15/04/04/332150404.db2.gz RSZNQYCIWQCEAX-ZDUSSCGKSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCc2ccccc2)CC1 ZINC000491208913 332166047 /nfs/dbraw/zinc/16/60/47/332166047.db2.gz AQAISZXJPRWJOP-UHFFFAOYSA-N 0 1 299.418 0.986 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1ccc2cncn2c1 ZINC000491338939 332193004 /nfs/dbraw/zinc/19/30/04/332193004.db2.gz MQJKNEILXKTEST-LBPRGKRZSA-N 0 1 255.277 0.809 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[NH2+]C[C@H]1CCC ZINC000491381017 332200912 /nfs/dbraw/zinc/20/09/12/332200912.db2.gz CEXRMHDQZVLNQV-CQSZACIVSA-N 0 1 280.416 0.725 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@H](C)C2)CC1 ZINC000491655492 332267647 /nfs/dbraw/zinc/26/76/47/332267647.db2.gz GQBRZFBDGATKLI-GFCCVEGCSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCNC(=O)N1CCN(C(=O)c2cc(C)[nH]n2)C[C@H]1C ZINC000491675916 332281585 /nfs/dbraw/zinc/28/15/85/332281585.db2.gz VKQJTOSVXHKHRZ-LLVKDONJSA-N 0 1 289.339 0.207 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)Cc1ccnn1C ZINC000491679610 332283559 /nfs/dbraw/zinc/28/35/59/332283559.db2.gz XORRXZHVACQZBJ-ZDUSSCGKSA-N 0 1 261.325 0.603 20 30 CCEDMN C#CCn1ccc(CN(CC)CC2(O)CCOCC2)n1 ZINC000491702319 332296465 /nfs/dbraw/zinc/29/64/65/332296465.db2.gz RDYPTLIFJPTCBK-UHFFFAOYSA-N 0 1 277.368 0.880 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1c(OC)cccc1OC ZINC000491741237 332319970 /nfs/dbraw/zinc/31/99/70/332319970.db2.gz VPDOMDPCJKLJMI-NWDGAFQWSA-N 0 1 295.335 0.027 20 30 CCEDMN C#CCN(C)CCOc1ccc(S(N)(=O)=O)cc1F ZINC000491767231 332334971 /nfs/dbraw/zinc/33/49/71/332334971.db2.gz FXWAYJUIDUELRH-UHFFFAOYSA-N 0 1 286.328 0.417 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1ccc(F)cc1 ZINC000491773590 332339549 /nfs/dbraw/zinc/33/95/49/332339549.db2.gz VJJQJBCHZITNMW-RYUDHWBXSA-N 0 1 253.273 0.149 20 30 CCEDMN C=CCn1cc(CNC2CCN(C(=O)OC)CC2)nn1 ZINC000496853564 332562278 /nfs/dbraw/zinc/56/22/78/332562278.db2.gz LLNPIYKIGOEWTF-UHFFFAOYSA-N 0 1 279.344 0.785 20 30 CCEDMN CC[C@H](CC#N)NC[C@@H](O)Cn1cc([N+](=O)[O-])cn1 ZINC000568659824 334835532 /nfs/dbraw/zinc/83/55/32/334835532.db2.gz KOMUHQGDFUCGMA-MWLCHTKSSA-N 0 1 267.289 0.434 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCCN1CCC1 ZINC000521855478 333193545 /nfs/dbraw/zinc/19/35/45/333193545.db2.gz GNVQFAUCOQCTCS-STQMWFEESA-N 0 1 267.373 0.973 20 30 CCEDMN CCN1CCN(CC(=O)N(CCC#N)CCC#N)CC1 ZINC000070923163 333839131 /nfs/dbraw/zinc/83/91/31/333839131.db2.gz YKOZDDXASNLTQY-UHFFFAOYSA-N 0 1 277.372 0.280 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000134468856 334091165 /nfs/dbraw/zinc/09/11/65/334091165.db2.gz FVZHRKASBKLYBU-VIFPVBQESA-N 0 1 276.296 0.355 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCC[C@@H](CC(N)=O)C1)C1CC1 ZINC000245409194 334190466 /nfs/dbraw/zinc/19/04/66/334190466.db2.gz KYECZVXVUFGWKL-NHYWBVRUSA-N 0 1 292.383 0.382 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C[C@@H](C)O)[C@H](C)CO ZINC000248922109 334321863 /nfs/dbraw/zinc/32/18/63/334321863.db2.gz LAOOKPACUPGTQI-CHWSQXEVSA-N 0 1 272.389 0.475 20 30 CCEDMN C[C@H](C#N)CNC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000248443208 334302107 /nfs/dbraw/zinc/30/21/07/334302107.db2.gz LIRYMFVMRSPLEO-AGIUHOORSA-N 0 1 282.388 0.945 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCN(C[C@@H](C)O)C[C@H]1C ZINC000249512439 334335332 /nfs/dbraw/zinc/33/53/32/334335332.db2.gz OJAOUQFDNVYXMU-HUUCEWRRSA-N 0 1 297.443 0.798 20 30 CCEDMN CO[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)CC[C@H]1C ZINC000251875348 334371162 /nfs/dbraw/zinc/37/11/62/334371162.db2.gz PGXUNXZHDNIQSK-ZIAGYGMSSA-N 0 1 292.383 0.999 20 30 CCEDMN Cn1ccc(-c2cc(C(=O)N3CCOC[C@@H]3C#N)n[nH]2)c1 ZINC000553493141 334388308 /nfs/dbraw/zinc/38/83/08/334388308.db2.gz SMMCMGXWLDBVBG-NSHDSACASA-N 0 1 285.307 0.780 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)NCCCc1nc[nH]n1 ZINC000576655246 335231636 /nfs/dbraw/zinc/23/16/36/335231636.db2.gz QXJCRXNINFCWEI-UHFFFAOYSA-N 0 1 258.285 0.377 20 30 CCEDMN Cc1cc(C)cc(OC[C@@H](O)CNCC#CCO)c1 ZINC000577730541 335393629 /nfs/dbraw/zinc/39/36/29/335393629.db2.gz RNPBNTPYRZDVTH-AWEZNQCLSA-N 0 1 263.337 0.628 20 30 CCEDMN CC(C)C(=O)NCCNCc1cnc2c(C#N)cnn2c1 ZINC000578029442 335468231 /nfs/dbraw/zinc/46/82/31/335468231.db2.gz ATQXIHKPHLHCRE-UHFFFAOYSA-N 0 1 286.339 0.463 20 30 CCEDMN N#CCNC(=O)CN[C@@H](CCCO)c1ccccc1 ZINC000179750420 335514439 /nfs/dbraw/zinc/51/44/39/335514439.db2.gz ZQEQHOIWEXDOAC-ZDUSSCGKSA-N 0 1 261.325 0.730 20 30 CCEDMN C[C@H](NCC(=O)NCC#N)c1ccc2n[nH]cc2c1 ZINC000353321213 533821082 /nfs/dbraw/zinc/82/10/82/533821082.db2.gz AYCOSMLFRHEVOM-VIFPVBQESA-N 0 1 257.297 0.853 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCCc1cnccn1 ZINC000329179721 533847766 /nfs/dbraw/zinc/84/77/66/533847766.db2.gz DCPULMXJLWIYLS-LBPRGKRZSA-N 0 1 278.356 0.944 20 30 CCEDMN N#CC1(NC(=O)CN(CCCCO)C2CC2)CCC1 ZINC000347054021 534569640 /nfs/dbraw/zinc/56/96/40/534569640.db2.gz MGKHKMPLLZDTAY-UHFFFAOYSA-N 0 1 265.357 0.786 20 30 CCEDMN N#CCNC(=O)CN1CCSC2(CCC2)CC1 ZINC000334636990 534674867 /nfs/dbraw/zinc/67/48/67/534674867.db2.gz OMEFMJLQRXMHMO-UHFFFAOYSA-N 0 1 253.371 0.988 20 30 CCEDMN CNC(=O)Cc1noc(CN2CCN(C3CCC3)CC2)n1 ZINC000330268907 526399051 /nfs/dbraw/zinc/39/90/51/526399051.db2.gz RMEODWUSPOXQDS-UHFFFAOYSA-N 0 1 293.371 0.869 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@@H]1CCCN(C)[C@H]1C ZINC000347765945 526478459 /nfs/dbraw/zinc/47/84/59/526478459.db2.gz DELUQOJIQIXQIA-NWDGAFQWSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC[C@H](C)F)CC1 ZINC000352097255 526536345 /nfs/dbraw/zinc/53/63/45/526536345.db2.gz QAHMQFPVAHHFON-LBPRGKRZSA-N 0 1 257.353 0.654 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2cccc3c2oc(=O)n3C)[nH]1 ZINC000339958211 527147732 /nfs/dbraw/zinc/14/77/32/527147732.db2.gz YNCKBUJBGHSPEY-UHFFFAOYSA-N 0 1 297.274 0.411 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@H](C(N)=O)C(C)(C)C ZINC000109331414 527615501 /nfs/dbraw/zinc/61/55/01/527615501.db2.gz FXHUMZPEBAJHLZ-LBPRGKRZSA-N 0 1 267.373 0.677 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000668435873 545177418 /nfs/dbraw/zinc/17/74/18/545177418.db2.gz QRUKKSOWDJYEAO-KBPBESRZSA-N 0 1 297.399 0.294 20 30 CCEDMN CCN(CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H](C)O ZINC000352222904 527917731 /nfs/dbraw/zinc/91/77/31/527917731.db2.gz SUNCARCCOLICIF-ZWNOBZJWSA-N 0 1 253.346 0.498 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000669216478 545202626 /nfs/dbraw/zinc/20/26/26/545202626.db2.gz LTJRFFKEZJNGCP-JSGCOSHPSA-N 0 1 268.357 0.104 20 30 CCEDMN CCN(CCOC)CC(=O)N(CCC#N)CCC#N ZINC000353439808 528563112 /nfs/dbraw/zinc/56/31/12/528563112.db2.gz IYBJAYHNUIBFEA-UHFFFAOYSA-N 0 1 266.345 0.611 20 30 CCEDMN CCN(CCOC)CC(=O)N(CC)C[C@@H](C)C#N ZINC000353460401 528563449 /nfs/dbraw/zinc/56/34/49/528563449.db2.gz BIHTXBZDKGTXTD-LBPRGKRZSA-N 0 1 255.362 0.963 20 30 CCEDMN CCN(CCOC)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000353455137 528563855 /nfs/dbraw/zinc/56/38/55/528563855.db2.gz PFLNWOLGEMOTMW-CYBMUJFWSA-N 0 1 253.346 0.763 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000673010956 545277997 /nfs/dbraw/zinc/27/79/97/545277997.db2.gz NUGZZZGOOJFJMG-UHFFFAOYSA-N 0 1 285.388 0.287 20 30 CCEDMN CC#CCCNC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000681542554 545455665 /nfs/dbraw/zinc/45/56/65/545455665.db2.gz OCDMAAMRIVTNDN-UONOGXRCSA-N 0 1 279.384 0.640 20 30 CCEDMN Cc1n[nH]c(=S)n(N=Cc2ccc(F)nc2C)c1=O ZINC000782132447 581140268 /nfs/dbraw/zinc/14/02/68/581140268.db2.gz CNJMKDDJJCWMSP-UHFFFAOYSA-N 0 1 279.300 0.960 20 30 CCEDMN CC(=N[N-]c1[nH+]ccn1C)c1cccc(S(N)(=O)=O)c1 ZINC000790300484 581183278 /nfs/dbraw/zinc/18/32/78/581183278.db2.gz UKZXSNKJIQCHKM-UHFFFAOYSA-N 0 1 293.352 0.904 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cc(Br)[nH]n2)CCO1 ZINC000791356014 581216877 /nfs/dbraw/zinc/21/68/77/581216877.db2.gz GHKFGGFLGLOUBM-LURJTMIESA-N 0 1 285.101 0.537 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)[C@H]1CCCN1C ZINC000781348317 581265752 /nfs/dbraw/zinc/26/57/52/581265752.db2.gz GAPHDZNUXPJAOC-LLVKDONJSA-N 0 1 254.330 0.658 20 30 CCEDMN CC[C@@H](N[NH+]=Cc1cnc(N2CCOCC2)s1)C(=O)[O-] ZINC000793078057 581283726 /nfs/dbraw/zinc/28/37/26/581283726.db2.gz LDVLLBLKRFDNQF-SNVBAGLBSA-N 0 1 298.368 0.766 20 30 CCEDMN CNC(=S)NN=C1CCN(CCCC(=O)OC)CC1 ZINC000727058275 581299871 /nfs/dbraw/zinc/29/98/71/581299871.db2.gz PKPLDCGVMOSUJJ-UHFFFAOYSA-N 0 1 286.401 0.485 20 30 CCEDMN C#CCOC(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000728371575 581360348 /nfs/dbraw/zinc/36/03/48/581360348.db2.gz SQBKONMIMNJMQB-SECBINFHSA-N 0 1 271.276 0.858 20 30 CCEDMN C#CCOCCNC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000784538776 581387304 /nfs/dbraw/zinc/38/73/04/581387304.db2.gz GBZFHYTXTFICSV-NSHDSACASA-N 0 1 262.313 0.736 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@@H]1CCCc2nn(C)cc21 ZINC000794815251 581388781 /nfs/dbraw/zinc/38/87/81/581388781.db2.gz GSGNAZKFGROUES-GFCCVEGCSA-N 0 1 283.397 0.988 20 30 CCEDMN CNC(=O)C(C#N)C(=O)C1CCC(OCCOC)CC1 ZINC000796642513 581446927 /nfs/dbraw/zinc/44/69/27/581446927.db2.gz FBVBCQDYQUYLRT-HTAVTVPLSA-N 0 1 282.340 0.663 20 30 CCEDMN C#C[C@H](NC(=O)[C@H]1CCCN1C1CC1)[C@@H]1CCCO1 ZINC000787534704 581472145 /nfs/dbraw/zinc/47/21/45/581472145.db2.gz SHZPNRADMYVTRI-MJBXVCDLSA-N 0 1 262.353 0.910 20 30 CCEDMN N#CCCNC(=O)CN(CCO)[C@H]1CCc2ccccc21 ZINC000733995107 581537996 /nfs/dbraw/zinc/53/79/96/581537996.db2.gz APRKKTNGXPKJJQ-HNNXBMFYSA-N 0 1 287.363 0.998 20 30 CCEDMN N#CCNC(=O)COC(=O)c1ccc2c(C=O)[nH]nc2c1 ZINC000766178201 581556111 /nfs/dbraw/zinc/55/61/11/581556111.db2.gz SEBRBSVSGSPYOG-UHFFFAOYSA-N 0 1 286.247 0.172 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](c2nc(C3CC3)n[nH]2)C1 ZINC000766256652 581559593 /nfs/dbraw/zinc/55/95/93/581559593.db2.gz LWKLMZGEIGYAKT-LBPRGKRZSA-N 0 1 287.367 0.611 20 30 CCEDMN COCCn1cc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)cn1 ZINC000753938962 581565852 /nfs/dbraw/zinc/56/58/52/581565852.db2.gz NHKHQNPLECYTRP-CHWSQXEVSA-N 0 1 290.371 0.723 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@@H+]1CC[C@H](CNC(=O)[O-])C1)C1CC1 ZINC000738595909 581575622 /nfs/dbraw/zinc/57/56/22/581575622.db2.gz BZVNNSNBHCJGQI-YGRLFVJLSA-N 0 1 294.355 0.384 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+]1CC[C@H](CNC(=O)[O-])C1)C1CC1 ZINC000738595909 581575624 /nfs/dbraw/zinc/57/56/24/581575624.db2.gz BZVNNSNBHCJGQI-YGRLFVJLSA-N 0 1 294.355 0.384 20 30 CCEDMN C#CCNC(=O)COc1ccccc1-c1nnc[nH]1 ZINC000754779176 581609511 /nfs/dbraw/zinc/60/95/11/581609511.db2.gz PDVAOCKHDFKUQR-UHFFFAOYSA-N 0 1 256.265 0.600 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H](C)NC(=O)CC ZINC000729808890 581615607 /nfs/dbraw/zinc/61/56/07/581615607.db2.gz GKMCCUFGADPERR-RYUDHWBXSA-N 0 1 266.341 0.542 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000767808163 581631334 /nfs/dbraw/zinc/63/13/34/581631334.db2.gz OQUVOZCWKJYKNC-SNVBAGLBSA-N 0 1 298.302 0.766 20 30 CCEDMN C#CCNC(=O)COC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000767806080 581631424 /nfs/dbraw/zinc/63/14/24/581631424.db2.gz GVFOXHXGWYQLLT-UHFFFAOYSA-N 0 1 284.275 0.378 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC(c3nn[nH]n3)CC2)nc1 ZINC000735390325 581642251 /nfs/dbraw/zinc/64/22/51/581642251.db2.gz XLUPVVPSLNAUIU-UHFFFAOYSA-N 0 1 282.307 0.596 20 30 CCEDMN COc1cc(C=NN2CN=NC2=O)cc([N+](=O)[O-])c1O ZINC000770615163 581649972 /nfs/dbraw/zinc/64/99/72/581649972.db2.gz HWKHKLXEANYBAW-UHFFFAOYSA-N 0 1 279.212 0.488 20 30 CCEDMN Cc1cc(CC(=O)OCC(=O)N(C)C(C)(C)C#N)n[nH]1 ZINC000756702895 581675633 /nfs/dbraw/zinc/67/56/33/581675633.db2.gz ZNOJDJFNLSIMEC-UHFFFAOYSA-N 0 1 278.312 0.564 20 30 CCEDMN C#CCOc1ccc(CN2C[C@H](O)C[C@H](O)C2)cc1 ZINC000769564177 581696495 /nfs/dbraw/zinc/69/64/95/581696495.db2.gz LRVLITFEJSPKSE-OKILXGFUSA-N 0 1 261.321 0.626 20 30 CCEDMN CC[C@@H]1CC[C@@H](C(=O)C(C#N)C(=O)NCCCOC)O1 ZINC000757304685 581700937 /nfs/dbraw/zinc/70/09/37/581700937.db2.gz MATDCIKQNANZDW-UTUOFQBUSA-N 0 1 282.340 0.806 20 30 CCEDMN CN1CCCC(=NN[C@@H]2CCS(=O)(=O)C2)CC1 ZINC000758083247 581721090 /nfs/dbraw/zinc/72/10/90/581721090.db2.gz KKPHPHQGHPQBSA-LLVKDONJSA-N 0 1 259.375 0.235 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2nc(C(C)(C)C)no2)N1 ZINC000758125345 581722494 /nfs/dbraw/zinc/72/24/94/581722494.db2.gz CVRSBTNXDVZQLX-ZETCQYMHSA-N 0 1 250.306 0.638 20 30 CCEDMN N#CCNC(=O)COC(=O)Cc1[nH]nc2ccccc21 ZINC000731245946 581763581 /nfs/dbraw/zinc/76/35/81/581763581.db2.gz ODAHUPWVTXRMBZ-UHFFFAOYSA-N 0 1 272.264 0.288 20 30 CCEDMN CCC1(CO)CCN(CC(=O)NCCC#N)CC1 ZINC000764486805 581770347 /nfs/dbraw/zinc/77/03/47/581770347.db2.gz VSEXHJGTNBPQFM-UHFFFAOYSA-N 0 1 253.346 0.501 20 30 CCEDMN C=CCN1CCC(NC(=O)NCC[S@@](C)=O)CC1 ZINC000736659292 581812716 /nfs/dbraw/zinc/81/27/16/581812716.db2.gz NRADTMLQABOIIS-GOSISDBHSA-N 0 1 273.402 0.315 20 30 CCEDMN C#CCNC(=O)C1CCN(C(=O)[C@H]2CCCCN2C)CC1 ZINC000732279876 581815808 /nfs/dbraw/zinc/81/58/08/581815808.db2.gz RJKVTPWIPHRWFC-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H](C(=O)Nc1cc(C#N)ccc1O)N1C(=O)CCC1=O ZINC000759720356 581817893 /nfs/dbraw/zinc/81/78/93/581817893.db2.gz AOUUUFZJNCXSRR-QMMMGPOBSA-N 0 1 287.275 0.740 20 30 CCEDMN CN(CCCNC(=O)C1CCC1)CC(=O)NCCC#N ZINC000759997441 581835404 /nfs/dbraw/zinc/83/54/04/581835404.db2.gz ABCICCLXKFVCGJ-UHFFFAOYSA-N 0 1 280.372 0.254 20 30 CCEDMN CN1CCC[C@H](NC(=O)N2CCN(C3CC3)[C@H](C#N)C2)C1 ZINC000732701165 581842437 /nfs/dbraw/zinc/84/24/37/581842437.db2.gz LDJAHWXKCWGSRQ-GXTWGEPZSA-N 0 1 291.399 0.462 20 30 CCEDMN CC[C@@]([NH2+]CC(=O)NCC#N)(C(=O)[O-])c1ccccc1 ZINC000736915494 581867848 /nfs/dbraw/zinc/86/78/48/581867848.db2.gz DTTMNFXHTUVFOE-AWEZNQCLSA-N 0 1 275.308 0.606 20 30 CCEDMN C[C@H]1CN(CC(=O)N(CCC#N)CCC#N)CCN1C ZINC000761070721 581885815 /nfs/dbraw/zinc/88/58/15/581885815.db2.gz ORMOTZFLLKZSBM-ZDUSSCGKSA-N 0 1 277.372 0.278 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@H](C(F)F)C2)CC1 ZINC000761111623 581888994 /nfs/dbraw/zinc/88/89/94/581888994.db2.gz ZDVMWBYQWWUKJR-LBPRGKRZSA-N 0 1 285.338 0.351 20 30 CCEDMN C#C[C@@H](NC(=O)c1[nH]nc2c1CCC2)[C@@H]1CCCO1 ZINC000772884147 581895742 /nfs/dbraw/zinc/89/57/42/581895742.db2.gz JPISZLGLSHTFFJ-PWSUYJOCSA-N 0 1 259.309 0.809 20 30 CCEDMN C#CCCNC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000733337694 581901793 /nfs/dbraw/zinc/90/17/93/581901793.db2.gz RMWIBJQRQYBOFK-BETUJISGSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCSCCNC(=O)CN1CCN(CC)CC1 ZINC000746289203 581907756 /nfs/dbraw/zinc/90/77/56/581907756.db2.gz RUJUQMUAVPKVQP-UHFFFAOYSA-N 0 1 269.414 0.107 20 30 CCEDMN Cn1cncc1CN=Nc1ccccc1S(N)(=O)=O ZINC000773094932 581908182 /nfs/dbraw/zinc/90/81/82/581908182.db2.gz MMYYITVCQKLANY-UHFFFAOYSA-N 0 1 279.325 0.514 20 30 CCEDMN C[C@@H](O)c1ncc(C=NNC2=NC[C@H](C)N2)s1 ZINC000773080666 581908470 /nfs/dbraw/zinc/90/84/70/581908470.db2.gz XBUONZZBCQIXEW-NKWVEPMBSA-N 0 1 253.331 0.468 20 30 CCEDMN CON=CC(=O)NCc1nc2ccc(F)cc2[nH]1 ZINC000774012623 581952878 /nfs/dbraw/zinc/95/28/78/581952878.db2.gz DJXBUPFVRPUOGG-UHFFFAOYSA-N 0 1 250.233 0.950 20 30 CCEDMN Cn1ccnc(NN=Cc2cnc(N3CCCC3)nc2)c1=O ZINC000748079784 581961348 /nfs/dbraw/zinc/96/13/48/581961348.db2.gz JHRMPQITRKJUDW-UHFFFAOYSA-N 0 1 299.338 0.617 20 30 CCEDMN N#Cc1cccc(CC(=O)N2CCO[C@@H](c3nn[nH]n3)C2)c1 ZINC000749385426 582006655 /nfs/dbraw/zinc/00/66/55/582006655.db2.gz KNFHRLYLCYKHEG-GFCCVEGCSA-N 0 1 298.306 0.214 20 30 CCEDMN C#C[C@H](C)NC(=O)C(F)(F)C(F)(F)C(=O)N[C@@H](C)C#C ZINC000775375931 582022040 /nfs/dbraw/zinc/02/20/40/582022040.db2.gz XUMVLRWQTUPQJO-YUMQZZPRSA-N 0 1 292.232 0.533 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCN(C(=O)[O-])[C@H](C)C1 ZINC000738460368 582053012 /nfs/dbraw/zinc/05/30/12/582053012.db2.gz JZHQWVQQZQPSCH-NEPJUHHUSA-N 0 1 279.340 0.295 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCN(C(=O)[O-])[C@@H](C)C1 ZINC000738460371 582053197 /nfs/dbraw/zinc/05/31/97/582053197.db2.gz JZHQWVQQZQPSCH-RYUDHWBXSA-N 0 1 279.340 0.295 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)c1n[nH]c(CC)n1)[C@H]1CCCO1 ZINC000776226348 582061598 /nfs/dbraw/zinc/06/15/98/582061598.db2.gz GVTLBFOWJRADKA-OUAUKWLOSA-N 0 1 291.355 0.908 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@]12CCOC2 ZINC000764764468 582068202 /nfs/dbraw/zinc/06/82/02/582068202.db2.gz ZAEABGQHPYDMJP-XUJVJEKNSA-N 0 1 294.351 0.665 20 30 CCEDMN N#CCCCS(=O)(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000751254641 582073805 /nfs/dbraw/zinc/07/38/05/582073805.db2.gz CJIHWAZUXOMGFW-UHFFFAOYSA-N 0 1 283.357 0.618 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](Cc2ccncc2)C1 ZINC000764998506 582081376 /nfs/dbraw/zinc/08/13/76/582081376.db2.gz DZNAWYZTYMUTMM-AWEZNQCLSA-N 0 1 257.337 0.695 20 30 CCEDMN CCS(=O)(CC)=NS(=O)(=O)NC[C@@H](C)C#N ZINC000866894411 615356894 /nfs/dbraw/zinc/35/68/94/615356894.db2.gz CPUCOMIEKLDAJA-QMMMGPOBSA-N 0 1 267.376 0.488 20 30 CCEDMN CCS[C@H](C(=O)N[C@H]1CNC[C@@H]1C#N)C(C)C ZINC000834494510 615362987 /nfs/dbraw/zinc/36/29/87/615362987.db2.gz NQFIBUSUWRZUCU-DCAQKATOSA-N 0 1 255.387 0.992 20 30 CCEDMN C#CCCCNC(=O)NCc1nc(CSC)n[nH]1 ZINC000884179399 612982018 /nfs/dbraw/zinc/98/20/18/612982018.db2.gz NEVCCQAPLIAGLS-UHFFFAOYSA-N 0 1 267.358 0.880 20 30 CCEDMN C#CCCCNC(=O)NCc1nnc(CSC)[nH]1 ZINC000884179399 612982019 /nfs/dbraw/zinc/98/20/19/612982019.db2.gz NEVCCQAPLIAGLS-UHFFFAOYSA-N 0 1 267.358 0.880 20 30 CCEDMN C#CCOCCN1CCOC[C@H]1C[C@@H]1CCOC1 ZINC000933663649 612983802 /nfs/dbraw/zinc/98/38/02/612983802.db2.gz RJNDQPUSEMWPDG-UONOGXRCSA-N 0 1 253.342 0.764 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@H]1CCCN1CCOC ZINC000804984700 612984819 /nfs/dbraw/zinc/98/48/19/612984819.db2.gz GXFZEWJLDSCCKW-CYBMUJFWSA-N 0 1 288.413 0.430 20 30 CCEDMN C#CCOCCNC(=O)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000826898939 612986603 /nfs/dbraw/zinc/98/66/03/612986603.db2.gz XVJRWMMDALZBSR-OKILXGFUSA-N 0 1 297.399 0.387 20 30 CCEDMN C[C@@H]1C[N@H+](C2CC2)C[C@H]1NC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820246402 597655891 /nfs/dbraw/zinc/65/58/91/597655891.db2.gz WZGZMKVOWNYFPD-UDZFHETQSA-N 0 1 279.340 0.590 20 30 CCEDMN C#CCOc1ccc(C(C)=NNC2=NCCN2)cc1 ZINC000841659658 613005643 /nfs/dbraw/zinc/00/56/43/613005643.db2.gz SBCXSTRDLXXSBZ-UHFFFAOYSA-N 0 1 256.309 0.971 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnccn2)CC1 ZINC000980645771 613006190 /nfs/dbraw/zinc/00/61/90/613006190.db2.gz QSGGQRFZVMMQFK-UHFFFAOYSA-N 0 1 258.325 0.648 20 30 CCEDMN C#CCSCC(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000897161267 613009870 /nfs/dbraw/zinc/00/98/70/613009870.db2.gz CEJGNZHMASGAGD-VIFPVBQESA-N 0 1 265.342 0.272 20 30 CCEDMN C#CC1(F)CN(C(=O)CCCCc2cn[nH]n2)C1 ZINC000881939486 612946498 /nfs/dbraw/zinc/94/64/98/612946498.db2.gz JZEAHVCERLJREV-UHFFFAOYSA-N 0 1 250.277 0.701 20 30 CCEDMN C#CCCN1CCN(Cc2c(C)nnn2CC)CC1 ZINC000828519044 613011542 /nfs/dbraw/zinc/01/15/42/613011542.db2.gz BTPYHKOGANUGMI-UHFFFAOYSA-N 0 1 261.373 0.747 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](C(=O)NC(C)C)C1 ZINC000852699033 612947912 /nfs/dbraw/zinc/94/79/12/612947912.db2.gz XPIILAIAQWUZKH-OLZOCXBDSA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCSCCNC(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000911424997 613015490 /nfs/dbraw/zinc/01/54/90/613015490.db2.gz VCUXXMLYMOIGRU-NSHDSACASA-N 0 1 284.381 0.266 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](NC(=O)c2ncn[nH]2)CC1 ZINC000965081695 649928264 /nfs/dbraw/zinc/92/82/64/649928264.db2.gz DYLICGNHAQTFMK-UWVGGRQHSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](NC(=O)c2nc[nH]n2)CC1 ZINC000965081695 649928265 /nfs/dbraw/zinc/92/82/65/649928265.db2.gz DYLICGNHAQTFMK-UWVGGRQHSA-N 0 1 290.327 0.075 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000091131937 601921347 /nfs/dbraw/zinc/92/13/47/601921347.db2.gz APRQDMGYAKNAOY-ZJUUUORDSA-N 0 1 270.354 0.016 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@@H+]2CCO[C@H](CC(=O)[O-])C2)cc1 ZINC000833071768 602018895 /nfs/dbraw/zinc/01/88/95/602018895.db2.gz IJEQFVCGPMVUSA-ZIAGYGMSSA-N 0 1 290.319 0.767 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@H+]2CCO[C@H](CC(=O)[O-])C2)cc1 ZINC000833071768 602018897 /nfs/dbraw/zinc/01/88/97/602018897.db2.gz IJEQFVCGPMVUSA-ZIAGYGMSSA-N 0 1 290.319 0.767 20 30 CCEDMN C[C@H]1CCC[N@H+](CC(=O)NCCC#N)[C@H]1CNC(=O)[O-] ZINC000825923908 603729842 /nfs/dbraw/zinc/72/98/42/603729842.db2.gz AGXFCPSFMRYJDZ-QWRGUYRKSA-N 0 1 282.344 0.384 20 30 CCEDMN C[C@H]1CCC[N@@H+](CC(=O)NCCC#N)[C@H]1CNC(=O)[O-] ZINC000825923908 603729843 /nfs/dbraw/zinc/72/98/43/603729843.db2.gz AGXFCPSFMRYJDZ-QWRGUYRKSA-N 0 1 282.344 0.384 20 30 CCEDMN C[C@@H]1CCN(CCO)C[C@@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000965886795 649939196 /nfs/dbraw/zinc/93/91/96/649939196.db2.gz OCGZLNPTLWBTOO-MFKMUULPSA-N 0 1 276.340 0.319 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@H+]2CC[C@@H](NC(=O)[O-])C2)cc1 ZINC000831123037 604380244 /nfs/dbraw/zinc/38/02/44/604380244.db2.gz ZJQZGPBOMQYCTF-CHWSQXEVSA-N 0 1 275.308 0.934 20 30 CCEDMN C#CC[C@@H](NC(=O)CN(CC)C1CC1)C(=O)OC ZINC000837949731 613039677 /nfs/dbraw/zinc/03/96/77/613039677.db2.gz FCPSCMKDMJNYCW-LLVKDONJSA-N 0 1 252.314 0.152 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000798440153 613062097 /nfs/dbraw/zinc/06/20/97/613062097.db2.gz KAUBGQZUVPMCDY-MFKMUULPSA-N 0 1 278.356 0.723 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000854078140 613083222 /nfs/dbraw/zinc/08/32/22/613083222.db2.gz HZTDYSBSAMKDDE-NEPJUHHUSA-N 0 1 281.356 0.144 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(CC)n[nH]2)C1 ZINC000971098025 613093958 /nfs/dbraw/zinc/09/39/58/613093958.db2.gz HYABQSAKKGMMMY-LBPRGKRZSA-N 0 1 260.341 0.752 20 30 CCEDMN CS(C)(=O)=NS(=O)(=O)NCC1(C#N)CCCC1 ZINC000867457917 617197558 /nfs/dbraw/zinc/19/75/58/617197558.db2.gz SXDRUCBZEFJSFX-UHFFFAOYSA-N 0 1 279.387 0.632 20 30 CCEDMN C#CC1CCN(CC(=O)NC(=O)NC(C)(C)C)CC1 ZINC000830302051 612964380 /nfs/dbraw/zinc/96/43/80/612964380.db2.gz ZBQZBKBYFSNFPZ-UHFFFAOYSA-N 0 1 265.357 0.956 20 30 CCEDMN C#CC1CCN(CC(=O)NC(=O)NCC(C)C)CC1 ZINC000830302017 612964400 /nfs/dbraw/zinc/96/44/00/612964400.db2.gz YCRLMVRIAIBFNI-UHFFFAOYSA-N 0 1 265.357 0.813 20 30 CCEDMN COc1cc([C@@H](C)NC[C@H](O)CC#N)ccc1OCCO ZINC000930207396 617216556 /nfs/dbraw/zinc/21/65/56/617216556.db2.gz MIIFDOVXPNEBIL-DGCLKSJQSA-N 0 1 294.351 0.991 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC000806917222 612980641 /nfs/dbraw/zinc/98/06/41/612980641.db2.gz ZMNVIOARWUDESG-WCQYABFASA-N 0 1 277.368 0.115 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1[N+](=O)[O-] ZINC000834510837 617232890 /nfs/dbraw/zinc/23/28/90/617232890.db2.gz FUWHRWDTHIXCIC-VHSXEESVSA-N 0 1 290.279 0.445 20 30 CCEDMN COc1ccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000841002529 617269781 /nfs/dbraw/zinc/26/97/81/617269781.db2.gz YJCWIKMFSGMJNV-BXKDBHETSA-N 0 1 260.297 0.928 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](NC(=O)c2ncn[nH]2)CC1 ZINC000965081697 617289602 /nfs/dbraw/zinc/28/96/02/617289602.db2.gz DYLICGNHAQTFMK-VHSXEESVSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](NC(=O)c2nc[nH]n2)CC1 ZINC000965081697 617289603 /nfs/dbraw/zinc/28/96/03/617289603.db2.gz DYLICGNHAQTFMK-VHSXEESVSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC000825972433 617300553 /nfs/dbraw/zinc/30/05/53/617300553.db2.gz RBIVDWLNMVEJOS-GXFFZTMASA-N 0 1 267.329 0.544 20 30 CCEDMN C#CC(=O)N(C)CCN1CCN(Cc2ccccc2)CC1 ZINC000804344784 612945426 /nfs/dbraw/zinc/94/54/26/612945426.db2.gz DZRPDPMKBCSJPJ-UHFFFAOYSA-N 0 1 285.391 0.896 20 30 CCEDMN C#CCNC(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000853012842 612946487 /nfs/dbraw/zinc/94/64/87/612946487.db2.gz INEHLARYJXWOCT-ZDUSSCGKSA-N 0 1 265.357 0.516 20 30 CCEDMN C#CC1(O)CN(C(=O)N[C@H]2C[C@@H](C)[N@H+](C3CC3)C2)C1 ZINC000893538568 612956879 /nfs/dbraw/zinc/95/68/79/612956879.db2.gz YYFRVLOXWQAFOS-MNOVXSKESA-N 0 1 263.341 0.001 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)Cn1nccn1 ZINC000960273259 612971105 /nfs/dbraw/zinc/97/11/05/612971105.db2.gz QOYPNBUKSLHDIS-GDBMZVCRSA-N 0 1 295.346 0.283 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1cnnn1C ZINC000960266169 612971353 /nfs/dbraw/zinc/97/13/53/612971353.db2.gz ZQCDVMMKJAMXIW-UKRRQHHQSA-N 0 1 295.346 0.434 20 30 CCEDMN C#CCC1(O)CCN([C@@H](C)C(=O)NC(=O)NCC=C)CC1 ZINC000880478555 612974139 /nfs/dbraw/zinc/97/41/39/612974139.db2.gz HIFLCPSFGWIWKT-LBPRGKRZSA-N 0 1 293.367 0.237 20 30 CCEDMN C#CCCCCCCN1CCN(C(=O)CO)CC1 ZINC000877504490 612976510 /nfs/dbraw/zinc/97/65/10/612976510.db2.gz MSQBAQUXDLLEDG-UHFFFAOYSA-N 0 1 252.358 0.707 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000806428154 612980859 /nfs/dbraw/zinc/98/08/59/612980859.db2.gz QWBYMYYEJPOBEK-NSHDSACASA-N 0 1 274.324 0.433 20 30 CCEDMN C#CCCCNC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000828992218 612982658 /nfs/dbraw/zinc/98/26/58/612982658.db2.gz XMPYTDHSUAFXQC-UHFFFAOYSA-N 0 1 282.321 0.183 20 30 CCEDMN C#CCOCCN1CCN(CCc2ccncc2)CC1 ZINC000931341472 612983523 /nfs/dbraw/zinc/98/35/23/612983523.db2.gz YOAHHMVIFWQXHI-UHFFFAOYSA-N 0 1 273.380 0.892 20 30 CCEDMN C#CCOCCN1C[C@@H](C)O[C@@]2(CCCOC2)C1 ZINC000852003067 612984823 /nfs/dbraw/zinc/98/48/23/612984823.db2.gz HWMCVDYPBNLWEW-KGLIPLIRSA-N 0 1 253.342 0.906 20 30 CCEDMN C#CCOCCNC(=O)C(C)(C)CN1CCOCC1 ZINC000821881648 612985376 /nfs/dbraw/zinc/98/53/76/612985376.db2.gz NFSSXLCFUIPHKC-UHFFFAOYSA-N 0 1 268.357 0.111 20 30 CCEDMN C#CCOCCNC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000838547494 612987479 /nfs/dbraw/zinc/98/74/79/612987479.db2.gz DUEHGTXYPVMCAP-UHFFFAOYSA-N 0 1 279.344 0.551 20 30 CCEDMN C#CCOCCNC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000921935351 612987907 /nfs/dbraw/zinc/98/79/07/612987907.db2.gz XLGQUMYSFYQDQL-STQMWFEESA-N 0 1 297.424 0.515 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccncn2)CC1 ZINC000982271765 613006365 /nfs/dbraw/zinc/00/63/65/613006365.db2.gz YPIPUKYDVIXFEG-UHFFFAOYSA-N 0 1 258.325 0.648 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2coc(COC)n2)CC1 ZINC000983131764 613006683 /nfs/dbraw/zinc/00/66/83/613006683.db2.gz ADVAEAJOPOWVFE-UHFFFAOYSA-N 0 1 291.351 0.992 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2nn(C)cc2C)CC1 ZINC000981138417 613007025 /nfs/dbraw/zinc/00/70/25/613007025.db2.gz RBDPXGQFIVDKOI-UHFFFAOYSA-N 0 1 274.368 0.900 20 30 CCEDMN C#CCOc1ccccc1CNC[C@@H](O)CS(C)(=O)=O ZINC000922826611 613007945 /nfs/dbraw/zinc/00/79/45/613007945.db2.gz DJEATYGFGOJBCA-CYBMUJFWSA-N 0 1 297.376 0.194 20 30 CCEDMN C#CCCN1CCN(Cc2cnc(C(=O)OC)cn2)CC1 ZINC000859212039 613011997 /nfs/dbraw/zinc/01/19/97/613011997.db2.gz CCXOEIZJNPUPCC-UHFFFAOYSA-N 0 1 288.351 0.404 20 30 CCEDMN C#CCC[NH+]1CCN([C@H](C(=O)[O-])c2cccnc2)CC1 ZINC000833362265 613012057 /nfs/dbraw/zinc/01/20/57/613012057.db2.gz ISQRIMABGHLAHS-AWEZNQCLSA-N 0 1 273.336 0.848 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2cncnc2)C1 ZINC000965936133 613015959 /nfs/dbraw/zinc/01/59/59/613015959.db2.gz MXSXOMVFHFTRHD-TZMCWYRMSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965915683 613016002 /nfs/dbraw/zinc/01/60/02/613016002.db2.gz DQJLSKVXHXCPOD-ZYHUDNBSSA-N 0 1 290.367 0.887 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)CCSc1nnc(C)[nH]1 ZINC000863800331 613032440 /nfs/dbraw/zinc/03/24/40/613032440.db2.gz COOYJQQLALKPRX-JTQLQIEISA-N 0 1 282.369 0.750 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000875928881 613034324 /nfs/dbraw/zinc/03/43/24/613034324.db2.gz XGBLLACEVNYKPV-MJBXVCDLSA-N 0 1 297.399 0.121 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000875925524 613036314 /nfs/dbraw/zinc/03/63/14/613036314.db2.gz SEXKGPJCFUUBTN-ZJUUUORDSA-N 0 1 279.344 0.766 20 30 CCEDMN C#CC[C@@H](NC(=O)c1[nH]nc2c1C[C@H](C)CC2)C(=O)OC ZINC000897956325 613042577 /nfs/dbraw/zinc/04/25/77/613042577.db2.gz AOLZJJPOPKHWMI-BXKDBHETSA-N 0 1 289.335 0.829 20 30 CCEDMN C#CC[C@@H]1NC(=O)N([C@H]2CCCN3CCSC[C@@H]23)C1=O ZINC000929012769 613048696 /nfs/dbraw/zinc/04/86/96/613048696.db2.gz ORQVTFMEFAKHMH-SRVKXCTJSA-N 0 1 293.392 0.510 20 30 CCEDMN C#CCCOC(=O)NCCCN1CCC[C@@H]1C(=O)N(C)C ZINC000858933178 613049862 /nfs/dbraw/zinc/04/98/62/613049862.db2.gz QCGDXTUUPRWXHP-CYBMUJFWSA-N 0 1 295.383 0.679 20 30 CCEDMN C#CC[C@H](CCOC)NCc1cn(C[C@@H]2CCCO2)nn1 ZINC000865646602 613052638 /nfs/dbraw/zinc/05/26/38/613052638.db2.gz WUSUFCVKRISKRF-HIFRSBDPSA-N 0 1 292.383 0.975 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000838755123 613061684 /nfs/dbraw/zinc/06/16/84/613061684.db2.gz VNMRYVHPQBTPBH-JTQLQIEISA-N 0 1 293.371 0.676 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000799074713 613063291 /nfs/dbraw/zinc/06/32/91/613063291.db2.gz NHYYJHWIJJXTAS-NSHDSACASA-N 0 1 287.323 0.314 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CCC[N@@H+]1CCC)C(=O)[O-] ZINC000910048243 613068821 /nfs/dbraw/zinc/06/88/21/613068821.db2.gz HJGAEJHPOUZXFJ-QWRGUYRKSA-N 0 1 252.314 0.454 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC000825979449 613072329 /nfs/dbraw/zinc/07/23/29/613072329.db2.gz SJWFJIDIQBHKML-GJZGRUSLSA-N 0 1 292.427 0.677 20 30 CCEDMN C#CC[C@H]1CCN(CCNS(=O)(=O)c2cnn(C)c2)C1 ZINC000886358538 613074420 /nfs/dbraw/zinc/07/44/20/613074420.db2.gz WOCLDKHKBXSXTL-LBPRGKRZSA-N 0 1 296.396 0.044 20 30 CCEDMN C#CC[C@H]1NC(=O)N(Cc2n[nH]c(C3CC3)n2)C1=O ZINC000927722613 613075865 /nfs/dbraw/zinc/07/58/65/613075865.db2.gz SZIVTGKRNIWALO-MRVPVSSYSA-N 0 1 259.269 0.126 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cc(C(=O)[O-])ccn1 ZINC000911405150 613078011 /nfs/dbraw/zinc/07/80/11/613078011.db2.gz RXUZMJIRSJLIDR-UHFFFAOYSA-N 0 1 275.308 0.465 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC000972697616 613079668 /nfs/dbraw/zinc/07/96/68/613079668.db2.gz PTFIBLXKAKRTHL-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2ccn(C)n2)C1 ZINC000971622409 613080554 /nfs/dbraw/zinc/08/05/54/613080554.db2.gz SXGYINKMBHFJFD-CQSZACIVSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COc2cccnc2)C1 ZINC000972556330 613080659 /nfs/dbraw/zinc/08/06/59/613080659.db2.gz WWGUPDYLOCLKAZ-CYBMUJFWSA-N 0 1 273.336 0.626 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2ccnc(OC)c2)C1 ZINC000972339076 613081913 /nfs/dbraw/zinc/08/19/13/613081913.db2.gz KOCGZKNHYKWTLO-CQSZACIVSA-N 0 1 287.363 0.799 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCOC2)C1 ZINC000971177417 613082641 /nfs/dbraw/zinc/08/26/41/613082641.db2.gz PFIZKBVKYSIRJV-CHWSQXEVSA-N 0 1 250.342 0.579 20 30 CCEDMN C#C[C@@H](CC)NC(=O)C(C)(C)CN1CCOCC1 ZINC000822406989 613082642 /nfs/dbraw/zinc/08/26/42/613082642.db2.gz KZWPJFGZWFRFDG-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC000971539050 613084225 /nfs/dbraw/zinc/08/42/25/613084225.db2.gz JDLOQPXIHLMRDP-OLZOCXBDSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2[nH]cnc2CC)C1 ZINC000980282540 613084748 /nfs/dbraw/zinc/08/47/48/613084748.db2.gz HZQLVSXRWAXFSS-LLVKDONJSA-N 0 1 260.341 0.752 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c[nH]nc2CC)C1 ZINC000971844756 613084921 /nfs/dbraw/zinc/08/49/21/613084921.db2.gz QUDZXTFPULOTCB-LLVKDONJSA-N 0 1 260.341 0.752 20 30 CCEDMN C#C[C@@H](CO)NC(=O)Nc1cc(CN(C)C)ccn1 ZINC000880602101 613087404 /nfs/dbraw/zinc/08/74/04/613087404.db2.gz PQDMIFVHMQCTTF-NSHDSACASA-N 0 1 262.313 0.259 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn3nccc3nc2C)C1 ZINC000972642022 613087450 /nfs/dbraw/zinc/08/74/50/613087450.db2.gz ODQHEFCGXAMIMV-CYBMUJFWSA-N 0 1 297.362 0.817 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC000980265191 613090252 /nfs/dbraw/zinc/09/02/52/613090252.db2.gz SLFSWCVNAGTYQG-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cncnc2)C1 ZINC000971428532 613091098 /nfs/dbraw/zinc/09/10/98/613091098.db2.gz ZARCIUCQMAZSLH-ZDUSSCGKSA-N 0 1 258.325 0.185 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cn2ccccc2=O)C1 ZINC000980362523 613091104 /nfs/dbraw/zinc/09/11/04/613091104.db2.gz NJLUYNZJCUNGFM-ZDUSSCGKSA-N 0 1 273.336 0.014 20 30 CCEDMN C#C[C@@H](NC(=O)N(C)Cc1cnc[nH]1)[C@H]1CCCO1 ZINC000852259885 613093302 /nfs/dbraw/zinc/09/33/02/613093302.db2.gz NGXWLJBMIVPEIP-VXGBXAGGSA-N 0 1 262.313 0.732 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn3c(n2)COCC3)C1 ZINC000971787064 613096441 /nfs/dbraw/zinc/09/64/41/613096441.db2.gz FIDJEBFUFJLWOA-LBPRGKRZSA-N 0 1 288.351 0.193 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(CC=C)nn2)C1 ZINC000972500188 613096444 /nfs/dbraw/zinc/09/64/44/613096444.db2.gz IDCGEBPUXMTRGE-LBPRGKRZSA-N 0 1 273.340 0.244 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn3cc(C)cnc23)C1 ZINC000972454407 613096770 /nfs/dbraw/zinc/09/67/70/613096770.db2.gz SXQFLTCGLYPQPY-ZDUSSCGKSA-N 0 1 297.362 0.817 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1ccoc1 ZINC000922022288 613097975 /nfs/dbraw/zinc/09/79/75/613097975.db2.gz KJQZBXPOOVSYFB-UHFFFAOYSA-N 0 1 282.365 0.998 20 30 CCEDMN C#C[C@@H](NC(=O)[C@@H]1CCCN1C)C1CCOCC1 ZINC000856109272 613098009 /nfs/dbraw/zinc/09/80/09/613098009.db2.gz PBXQZAWYOOTGBC-OLZOCXBDSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)CCOCC ZINC000922024357 613098166 /nfs/dbraw/zinc/09/81/66/613098166.db2.gz PRZKRWSAGFIIFQ-UHFFFAOYSA-N 0 1 288.413 0.382 20 30 CCEDMN C#C[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)[C@@H]1CCCO1 ZINC000822074456 613098391 /nfs/dbraw/zinc/09/83/91/613098391.db2.gz XHPMCEMKOMKMQP-UZWSLXQKSA-N 0 1 259.309 0.810 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@H]1CCCN1C ZINC000855493352 613104641 /nfs/dbraw/zinc/10/46/41/613104641.db2.gz AFAIDOUVJVBUKG-GFCCVEGCSA-N 0 1 280.368 0.884 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)N[C@@H](C)CN2CCN(C)CC2)C1 ZINC000847309979 613104956 /nfs/dbraw/zinc/10/49/56/613104956.db2.gz GZYOYIIRGLRBQO-LSDHHAIUSA-N 0 1 292.427 0.677 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)NC[C@H]2CN(C)CCN2C)CC1 ZINC000872889142 613108471 /nfs/dbraw/zinc/10/84/71/613108471.db2.gz WKLUMDDIJAOEDP-KKUMJFAQSA-N 0 1 292.427 0.723 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)[C@@]2(C)C[C@H](O)CN2C)CC1 ZINC000913815202 613108662 /nfs/dbraw/zinc/10/86/62/613108662.db2.gz AHBDEQLJTJMGLC-PWNZVWSESA-N 0 1 264.369 0.750 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC[C@@H](CF)C2)C1=O ZINC000887298757 613109589 /nfs/dbraw/zinc/10/95/89/613109589.db2.gz HPLFOFDDLGDJNA-WDEREUQCSA-N 0 1 252.289 0.429 20 30 CCEDMN C#C[C@@](C)(CC)NC(=O)CN1CCN(CC)CC1 ZINC000854697663 613112416 /nfs/dbraw/zinc/11/24/16/613112416.db2.gz ISBLLFBYWRQQPP-AWEZNQCLSA-N 0 1 251.374 0.542 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NCc1n[nH]c(COC)n1 ZINC000820666489 613124170 /nfs/dbraw/zinc/12/41/70/613124170.db2.gz KJWDGQQIPRVPNK-SECBINFHSA-N 0 1 265.317 0.552 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NCc1nnc(COC)[nH]1 ZINC000820666489 613124171 /nfs/dbraw/zinc/12/41/71/613124171.db2.gz KJWDGQQIPRVPNK-SECBINFHSA-N 0 1 265.317 0.552 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CC[C@@H]2OC)CC1 ZINC000889353944 613128624 /nfs/dbraw/zinc/12/86/24/613128624.db2.gz WSXKYBFAUQFHRB-KBPBESRZSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H]2COCCO2)CC1 ZINC000855678104 613128711 /nfs/dbraw/zinc/12/87/11/613128711.db2.gz FENHIQFMCNFXKJ-CQSZACIVSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2nn(CC)nc2C)CC1 ZINC000837525330 613132112 /nfs/dbraw/zinc/13/21/12/613132112.db2.gz TWFGBIMHLNYSAF-UHFFFAOYSA-N 0 1 290.367 0.995 20 30 CCEDMN C#CCN1CCC(Nc2ccnc(C(=O)NC)c2)CC1 ZINC000895805016 613132516 /nfs/dbraw/zinc/13/25/16/613132516.db2.gz YVDXBYWEFGLLTA-UHFFFAOYSA-N 0 1 272.352 0.373 20 30 CCEDMN C#CCN1CCC(NC(=O)CC(OCC)OCC)CC1 ZINC000928653102 613132923 /nfs/dbraw/zinc/13/29/23/613132923.db2.gz ZBSOCRAZHFUYNJ-UHFFFAOYSA-N 0 1 282.384 0.989 20 30 CCEDMN C#CCN1CCC(NC(=O)CC2(C)COC2)CC1 ZINC000830169167 613133026 /nfs/dbraw/zinc/13/30/26/613133026.db2.gz SQOGABXFGWJLQP-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)N2CC[C@H](COC)C2)CC1 ZINC000921437816 613133466 /nfs/dbraw/zinc/13/34/66/613133466.db2.gz HNVQMHHGNZNHIQ-ZDUSSCGKSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCn2cccn2)CC1 ZINC000918865745 613133751 /nfs/dbraw/zinc/13/37/51/613133751.db2.gz MXALAHJCMGCISF-UHFFFAOYSA-N 0 1 275.356 0.280 20 30 CCEDMN C#CCN1CCC(NC(=O)NO[C@@H](C)C=C)CC1 ZINC000923086560 613134564 /nfs/dbraw/zinc/13/45/64/613134564.db2.gz MKXNJOWRYUDDFB-NSHDSACASA-N 0 1 251.330 0.889 20 30 CCEDMN C#CCN1CCC(NC(=O)NOC[C@H]2CCOC2)CC1 ZINC000922150062 613134604 /nfs/dbraw/zinc/13/46/04/613134604.db2.gz QQXSCUSVDAIDDF-LBPRGKRZSA-N 0 1 281.356 0.351 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2nc3ccccn3n2)CC1 ZINC000930756813 613135370 /nfs/dbraw/zinc/13/53/70/613135370.db2.gz DVMJSCJKSJHDQK-UHFFFAOYSA-N 0 1 298.350 0.948 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](O)c2ccccc2)CC1 ZINC000928655593 613135792 /nfs/dbraw/zinc/13/57/92/613135792.db2.gz ZVMWFOIFLUMAKJ-HNNXBMFYSA-N 0 1 272.348 0.934 20 30 CCEDMN C#CCN1CCC(NC(=O)O[C@@H]2CCOC2)CC1 ZINC000921437386 613135838 /nfs/dbraw/zinc/13/58/38/613135838.db2.gz WJMZFCKNLMSOLE-GFCCVEGCSA-N 0 1 252.314 0.599 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN[C@H](C)C1)[C@@H]1CCCO1 ZINC000841879753 613136234 /nfs/dbraw/zinc/13/62/34/613136234.db2.gz RJOFYHGGHXTSHM-WOPDTQHZSA-N 0 1 251.330 0.171 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@H]1CCC)[C@@H]1CCCO1 ZINC000841880518 613136300 /nfs/dbraw/zinc/13/63/00/613136300.db2.gz BRTUVYBXNNGAMA-RDBSUJKOSA-N 0 1 279.384 0.951 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@@H]1C(C)C)[C@@H]1CCCO1 ZINC000861618775 613136374 /nfs/dbraw/zinc/13/63/74/613136374.db2.gz UJGLBYZKPLSNBJ-MJBXVCDLSA-N 0 1 279.384 0.807 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c[nH]c(C#N)c2)CC1 ZINC000902940398 613137115 /nfs/dbraw/zinc/13/71/15/613137115.db2.gz OQXVXDHGZCEFQV-UHFFFAOYSA-N 0 1 256.309 0.714 20 30 CCEDMN C#CCN1CCC(c2nc(-c3cnn(C)c3N)no2)CC1 ZINC000924165450 613138952 /nfs/dbraw/zinc/13/89/52/613138952.db2.gz LSEPBRNUCUIDEJ-UHFFFAOYSA-N 0 1 286.339 0.865 20 30 CCEDMN C#C[C@H](NC(=O)NCCCc1nc[nH]n1)[C@H]1CCCO1 ZINC000854003031 613139224 /nfs/dbraw/zinc/13/92/24/613139224.db2.gz FLCUEAVWEYRCHX-WDEREUQCSA-N 0 1 277.328 0.217 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CC[C@@H](O)C1)c1ccccc1 ZINC000875721864 613139307 /nfs/dbraw/zinc/13/93/07/613139307.db2.gz JYPVXJLTVMNJNH-CABCVRRESA-N 0 1 287.363 0.727 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3C[C@@]34CCOC4)C2)C1 ZINC000982107102 613143466 /nfs/dbraw/zinc/14/34/66/613143466.db2.gz FGFLXYLQBPSEDF-RHSMWYFYSA-N 0 1 288.391 0.971 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H](C)CN1CCOCC1)C1CCOCC1 ZINC000856106613 613145534 /nfs/dbraw/zinc/14/55/34/613145534.db2.gz WONSHPALZBWXNI-ZFWWWQNUSA-N 0 1 294.395 0.499 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cn(C)nn1 ZINC000968842998 613147510 /nfs/dbraw/zinc/14/75/10/613147510.db2.gz HIOCOLTYJAVGNN-GFCCVEGCSA-N 0 1 275.356 0.423 20 30 CCEDMN C#C[C@H]1CCCN(CC2(O)CCS(=O)(=O)CC2)C1 ZINC000847024792 613158858 /nfs/dbraw/zinc/15/88/58/613158858.db2.gz LFMOOCQHIUKZAG-LBPRGKRZSA-N 0 1 271.382 0.271 20 30 CCEDMN C#C[C@H]1CCCN(CCn2nc3ccccn3c2=O)C1 ZINC000847026443 613158929 /nfs/dbraw/zinc/15/89/29/613158929.db2.gz ZFIIMWJFWCPDTR-ZDUSSCGKSA-N 0 1 270.336 0.841 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)NCc1cc(CC)[nH]n1 ZINC000838485498 613160898 /nfs/dbraw/zinc/16/08/98/613160898.db2.gz RELROSNNZUKYLK-LBPRGKRZSA-N 0 1 262.313 0.516 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)[C@@H]1CCN1C(C)(C)C ZINC000897962695 613161713 /nfs/dbraw/zinc/16/17/13/613161713.db2.gz LZCJNVKPZWBKQC-RYUDHWBXSA-N 0 1 250.342 0.720 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C2\SCC(=O)N2C)C1 ZINC000923556421 613165151 /nfs/dbraw/zinc/16/51/51/613165151.db2.gz GSBWQHVSLBXCGV-ZEVOCZHWSA-N 0 1 293.392 0.247 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC3(CN(C)C3)C2)cn1 ZINC000834525963 613167897 /nfs/dbraw/zinc/16/78/97/613167897.db2.gz FKBMIEGRLCACOS-UHFFFAOYSA-N 0 1 255.321 0.841 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@@H](N3CCC3)C2)nc1 ZINC000912917971 613171459 /nfs/dbraw/zinc/17/14/59/613171459.db2.gz RGQPYWVKVNZAKU-CYBMUJFWSA-N 0 1 255.321 0.983 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCCCN2CCO)cn1 ZINC000833560077 613179298 /nfs/dbraw/zinc/17/92/98/613179298.db2.gz ZGJABDJSNQZJDV-HNNXBMFYSA-N 0 1 287.363 0.640 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)c2n[nH]c(C)n2)cn1 ZINC000804812876 613183347 /nfs/dbraw/zinc/18/33/47/613183347.db2.gz BSILNQHZIBVGFR-QMMMGPOBSA-N 0 1 255.281 0.980 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](CO)CN2CCCCC2)nc1 ZINC000840457640 613188505 /nfs/dbraw/zinc/18/85/05/613188505.db2.gz RPMNGEMGLHOKRM-AWEZNQCLSA-N 0 1 287.363 0.640 20 30 CCEDMN C#CCN1CCC[C@H](N[C@H](C)c2cc(CO)on2)C1 ZINC000926865862 613193600 /nfs/dbraw/zinc/19/36/00/613193600.db2.gz IMRNHTCDIZHPPS-NEPJUHHUSA-N 0 1 263.341 0.915 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CC(F)F)C(=O)[O-] ZINC000833364018 613200943 /nfs/dbraw/zinc/20/09/43/613200943.db2.gz CTBASEZISQXHSF-IUCAKERBSA-N 0 1 274.267 0.309 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CC(F)F)C(=O)[O-] ZINC000833364018 613200945 /nfs/dbraw/zinc/20/09/45/613200945.db2.gz CTBASEZISQXHSF-IUCAKERBSA-N 0 1 274.267 0.309 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)COCC(F)F ZINC000860529625 613203416 /nfs/dbraw/zinc/20/34/16/613203416.db2.gz JQFFAMKZYIQSIQ-JTQLQIEISA-N 0 1 261.268 0.909 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCc1cnn(C)n1 ZINC000835139030 613203490 /nfs/dbraw/zinc/20/34/90/613203490.db2.gz BUIYULIEWAWDOW-ZDUSSCGKSA-N 0 1 276.340 0.389 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2nnc(C)o2)C(C)(C)C1 ZINC000940725494 613228236 /nfs/dbraw/zinc/22/82/36/613228236.db2.gz CFDQDYKCZDJHQF-GFCCVEGCSA-N 0 1 290.367 0.770 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000940626288 613230019 /nfs/dbraw/zinc/23/00/19/613230019.db2.gz DBYWZHYLMXEFAD-VXGBXAGGSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc[n+]([O-])cc2)C(C)(C)C1 ZINC000940554405 613234676 /nfs/dbraw/zinc/23/46/76/613234676.db2.gz QTQDVSPTOWNCME-CQSZACIVSA-N 0 1 287.363 0.784 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cccn3nnnc23)C[C@@H]1C ZINC000947346522 613237614 /nfs/dbraw/zinc/23/76/14/613237614.db2.gz AEYOMXOLFZHLMO-NWDGAFQWSA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn[nH]c(=O)c2)C(C)(C)C1 ZINC000940818360 613241870 /nfs/dbraw/zinc/24/18/70/613241870.db2.gz URORIYYDRIZMOO-GFCCVEGCSA-N 0 1 288.351 0.646 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncccn2)C[C@@H]1C ZINC000947845918 613243857 /nfs/dbraw/zinc/24/38/57/613243857.db2.gz SAELZAQYSWOXBL-NWDGAFQWSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC000965890343 613246796 /nfs/dbraw/zinc/24/67/96/613246796.db2.gz FYQOMVILVPSFHI-FZMZJTMJSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000965890343 613246798 /nfs/dbraw/zinc/24/67/98/613246798.db2.gz FYQOMVILVPSFHI-FZMZJTMJSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC000967918845 613255278 /nfs/dbraw/zinc/25/52/78/613255278.db2.gz JFYLADBIVIUXDJ-VHSXEESVSA-N 0 1 279.365 0.922 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C[C@@H]2CCNC2=O)CC1 ZINC000948734103 613257970 /nfs/dbraw/zinc/25/79/70/613257970.db2.gz OIUZTSUIHHOSMX-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC000950336024 613260513 /nfs/dbraw/zinc/26/05/13/613260513.db2.gz VVVAPGNALBOLQF-HNNXBMFYSA-N 0 1 279.384 0.766 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)[C@H]2CCC[NH+]2C)C(C)(C)C1 ZINC000940621190 613263597 /nfs/dbraw/zinc/26/35/97/613263597.db2.gz PILJFKMVCWNETL-KGLIPLIRSA-N 0 1 277.412 0.931 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000940912312 613263702 /nfs/dbraw/zinc/26/37/02/613263702.db2.gz ONAOWNGVOVWLER-BLLLJJGKSA-N 0 1 291.395 0.363 20 30 CCEDMN C/C(=C\c1ccncc1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000848419310 613267079 /nfs/dbraw/zinc/26/70/79/613267079.db2.gz SSIABDBTZATCSQ-SRUFYXDBSA-N 0 1 256.309 0.713 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc(C)n2)[C@@H](C)C1 ZINC000944995621 613272349 /nfs/dbraw/zinc/27/23/49/613272349.db2.gz SQXNLTCRGXBPQO-AAEUAGOBSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnns2)C[C@@H]1C ZINC000947095146 613275774 /nfs/dbraw/zinc/27/57/74/613275774.db2.gz QGRMUSKYUQOGLT-UWVGGRQHSA-N 0 1 264.354 0.754 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3c2CCC3)[C@H](C)C1 ZINC000942106047 613275898 /nfs/dbraw/zinc/27/58/98/613275898.db2.gz LJVUONFXGMGVGV-OCCSQVGLSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2coc(OC)n2)[C@@H](C)C1 ZINC000942531914 613276591 /nfs/dbraw/zinc/27/65/91/613276591.db2.gz CHIRKSMVFXRFLB-QWRGUYRKSA-N 0 1 277.324 0.757 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncnc3[nH]ccc32)[C@H](C)C1 ZINC000942288171 613277119 /nfs/dbraw/zinc/27/71/19/613277119.db2.gz PISMDRJUDFRRPU-YPMHNXCESA-N 0 1 297.362 0.983 20 30 CCEDMN C#CC[N@H+]1CCc2ccccc2[C@H]1CNC(=O)c1ncn[n-]1 ZINC000948970159 613280183 /nfs/dbraw/zinc/28/01/83/613280183.db2.gz SZAURRNXJIRFDD-CQSZACIVSA-N 0 1 295.346 0.767 20 30 CCEDMN C#CCN1CCc2ccccc2[C@H]1CNC(=O)c1nnc[nH]1 ZINC000948970159 613280185 /nfs/dbraw/zinc/28/01/85/613280185.db2.gz SZAURRNXJIRFDD-CQSZACIVSA-N 0 1 295.346 0.767 20 30 CCEDMN C#CCN1CCc2ccccc2[C@H]1CNC(=O)c1ncn[nH]1 ZINC000948970159 613280186 /nfs/dbraw/zinc/28/01/86/613280186.db2.gz SZAURRNXJIRFDD-CQSZACIVSA-N 0 1 295.346 0.767 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ccon2)C(C)(C)C1 ZINC000972863299 613281055 /nfs/dbraw/zinc/28/10/55/613281055.db2.gz CLNVMUSKVZYSSJ-GFCCVEGCSA-N 0 1 261.325 0.677 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CCCN2C(C)=O)C(C)(C)C1 ZINC000977500277 613281974 /nfs/dbraw/zinc/28/19/74/613281974.db2.gz IXFPRQQLSHCXRT-UONOGXRCSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccnc(C)c2)C1 ZINC000958493274 613286851 /nfs/dbraw/zinc/28/68/51/613286851.db2.gz FMICYPDBUGZLPN-UONOGXRCSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c[nH]c3ccccc23)[C@H](O)C1 ZINC000958232654 613288257 /nfs/dbraw/zinc/28/82/57/613288257.db2.gz VJXOIDHEVWSYRG-MLGOLLRUSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c[nH]cc2C2CC2)[C@H](O)C1 ZINC000958550604 613288642 /nfs/dbraw/zinc/28/86/42/613288642.db2.gz CTYUHLISYOJQHY-IUODEOHRSA-N 0 1 287.363 0.548 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc(F)c2C)[C@H](O)C1 ZINC000958072764 613289597 /nfs/dbraw/zinc/28/95/97/613289597.db2.gz FXTKFWZWUZJYCA-IUODEOHRSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cnoc2C(C)C)[C@H](O)C1 ZINC000958559697 613290103 /nfs/dbraw/zinc/29/01/03/613290103.db2.gz RVUILACRSVHCHM-DGCLKSJQSA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ncccc2C)[C@H](O)C1 ZINC000957943630 613290591 /nfs/dbraw/zinc/29/05/91/613290591.db2.gz KDGJLXQBFWIQHL-CHWSQXEVSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cocc2C)[C@H](O)C1 ZINC000958313203 613290630 /nfs/dbraw/zinc/29/06/30/613290630.db2.gz LGSBWMQKZVSBAX-DGCLKSJQSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc3ccccc3[nH]2)C1 ZINC000958653236 613294654 /nfs/dbraw/zinc/29/46/54/613294654.db2.gz ILDDZPLEQGNJMS-BBRMVZONSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccnc2C)C1 ZINC000958364101 613295281 /nfs/dbraw/zinc/29/52/81/613295281.db2.gz HBHUNDCOLOEDCS-JSGCOSHPSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cnc(CC)s2)C1 ZINC000958731207 613295427 /nfs/dbraw/zinc/29/54/27/613295427.db2.gz BKLDLWRGBRXYBC-QWRGUYRKSA-N 0 1 293.392 0.361 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccsc2C)C1 ZINC000958020729 613295511 /nfs/dbraw/zinc/29/55/11/613295511.db2.gz TUYNVVVWSOZCCF-AAEUAGOBSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cncc(C)c2)C1 ZINC000957957009 613295563 /nfs/dbraw/zinc/29/55/63/613295563.db2.gz IVLLHYDYCVTKFD-KBPBESRZSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cocc2C)C1 ZINC000958313202 613296379 /nfs/dbraw/zinc/29/63/79/613296379.db2.gz LGSBWMQKZVSBAX-AAEUAGOBSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN2CCCCC2=O)C(C)(C)C1 ZINC000974796678 613297361 /nfs/dbraw/zinc/29/73/61/613297361.db2.gz YUBSTQCADKCBTA-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2ccc(=O)n(C)c2)C(C)(C)C1 ZINC000974772610 613300063 /nfs/dbraw/zinc/30/00/63/613300063.db2.gz IJTPCIAHLMHVLB-ZDUSSCGKSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cn[nH]n3)CC[C@@H]2C1 ZINC000946657164 613305928 /nfs/dbraw/zinc/30/59/28/613305928.db2.gz CKRNMBVHYYFNNK-TXEJJXNPSA-N 0 1 273.340 0.222 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC000981778761 613309835 /nfs/dbraw/zinc/30/98/35/613309835.db2.gz IZJRJOFFCBEZQR-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CC)ccn1 ZINC000960052615 613318127 /nfs/dbraw/zinc/31/81/27/613318127.db2.gz BFMWEQXXEAOPGR-NHAGDIPZSA-N 0 1 269.348 0.937 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(Cl)[nH]1 ZINC000961704836 613319351 /nfs/dbraw/zinc/31/93/51/613319351.db2.gz WGLVXCOBQKOIJE-GDGBQDQQSA-N 0 1 263.728 0.961 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c2c1C[C@H](C)CC2 ZINC000962178284 613321932 /nfs/dbraw/zinc/32/19/32/613321932.db2.gz JNMBXEZACJAUQN-PBOSXPJTSA-N 0 1 298.390 0.828 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc(C)oc1C ZINC000962134701 613321978 /nfs/dbraw/zinc/32/19/78/613321978.db2.gz VZIAPBXGQCSZJZ-PJXYFTJBSA-N 0 1 259.309 0.585 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1noc2c1COCC2 ZINC000962658590 613322328 /nfs/dbraw/zinc/32/23/28/613322328.db2.gz ZBAKXQXOLJQLOF-IWIIMEHWSA-N 0 1 287.319 0.041 20 30 CCEDMN C#CCNC(=O)CCN(CC(=O)OC)C1CCCCC1 ZINC000852415134 613323786 /nfs/dbraw/zinc/32/37/86/613323786.db2.gz ZYRWZNOQTRMAIS-UHFFFAOYSA-N 0 1 280.368 0.934 20 30 CCEDMN C#CCNC(=O)CCN1CCCCC[C@@H]1C(=O)OC ZINC000815087599 613324569 /nfs/dbraw/zinc/32/45/69/613324569.db2.gz WUUWGOHIDVEEAL-GFCCVEGCSA-N 0 1 266.341 0.544 20 30 CCEDMN C#CCNC(=O)CCN1CCOC[C@H]1C[C@H]1CCCO1 ZINC000933686432 613324816 /nfs/dbraw/zinc/32/48/16/613324816.db2.gz ZCZJXDZBJLSAEM-ZIAGYGMSSA-N 0 1 280.368 0.396 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)N[C@@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC000908891401 613355928 /nfs/dbraw/zinc/35/59/28/613355928.db2.gz XTEHNOFBLJXIBH-ZYHUDNBSSA-N 0 1 281.356 0.942 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)OCCc1c[nH]nn1 ZINC000850858680 613356692 /nfs/dbraw/zinc/35/66/92/613356692.db2.gz DSKGTQRLSAFYLM-LLVKDONJSA-N 0 1 266.301 0.361 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)OCCc1cnn[nH]1 ZINC000850858680 613356693 /nfs/dbraw/zinc/35/66/93/613356693.db2.gz DSKGTQRLSAFYLM-LLVKDONJSA-N 0 1 266.301 0.361 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CCc1c[nH]nn1)C(=O)OCC ZINC000881755027 613358633 /nfs/dbraw/zinc/35/86/33/613358633.db2.gz CJYQQVIDRDSBAP-NSHDSACASA-N 0 1 280.328 0.751 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CCc1cnn[nH]1)C(=O)OCC ZINC000881755027 613358634 /nfs/dbraw/zinc/35/86/34/613358634.db2.gz CJYQQVIDRDSBAP-NSHDSACASA-N 0 1 280.328 0.751 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCc1n[nH]c(C)n1 ZINC000894584669 613361897 /nfs/dbraw/zinc/36/18/97/613361897.db2.gz KKCHEQUKBLEKHI-PWSUYJOCSA-N 0 1 279.344 0.894 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)[C@@]1(C)C[C@H](O)CN1C ZINC000907949740 613361934 /nfs/dbraw/zinc/36/19/34/613361934.db2.gz ZFYJRAYEPHCWFS-UKTARXLSSA-N 0 1 282.384 0.539 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000906776809 613363075 /nfs/dbraw/zinc/36/30/75/613363075.db2.gz FGORMNLKYJZAKE-LJISPDSOSA-N 0 1 295.427 0.718 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913744774 613365960 /nfs/dbraw/zinc/36/59/60/613365960.db2.gz CYVINZPIGKZTHE-UHFFFAOYSA-N 0 1 264.333 0.024 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC000969466199 613385402 /nfs/dbraw/zinc/38/54/02/613385402.db2.gz SJBAIOLMQGMJMJ-VXGBXAGGSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(CCS(C)(=O)=O)C1CC1 ZINC000876891762 613387231 /nfs/dbraw/zinc/38/72/31/613387231.db2.gz FRORYUAVYDLUOC-SNVBAGLBSA-N 0 1 294.804 0.492 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)[C@@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000910268401 613388560 /nfs/dbraw/zinc/38/85/60/613388560.db2.gz YEBOMFOYEYKTLC-ZJUUUORDSA-N 0 1 290.747 0.419 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)[C@@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000910268401 613388561 /nfs/dbraw/zinc/38/85/61/613388561.db2.gz YEBOMFOYEYKTLC-ZJUUUORDSA-N 0 1 290.747 0.419 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N(C)C[C@@H]1CCN(C(C)=O)C1 ZINC000878301193 613396562 /nfs/dbraw/zinc/39/65/62/613396562.db2.gz LWCDQIMPEVIJQT-STQMWFEESA-N 0 1 268.357 0.904 20 30 CCEDMN COc1cnc(F)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000848419221 617332046 /nfs/dbraw/zinc/33/20/46/617332046.db2.gz RMVFWSMYEJFUMH-GMSGAONNSA-N 0 1 264.260 0.071 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)C1CCC(N2CCOCC2)CC1 ZINC000907363144 613403689 /nfs/dbraw/zinc/40/36/89/613403689.db2.gz MXELRBHFWZZHHE-SLTAFYQDSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(N(C)C(=O)c2ccn[nH]2)C1 ZINC000953784246 613429029 /nfs/dbraw/zinc/42/90/29/613429029.db2.gz JIXMAXLMNBNUKE-UHFFFAOYSA-N 0 1 276.340 0.905 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000845629564 613444830 /nfs/dbraw/zinc/44/48/30/613444830.db2.gz KCFGRBCSXXMCFZ-OLZOCXBDSA-N 0 1 283.372 0.856 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCCNC[C@H]1C(=O)OC ZINC000884286332 613444924 /nfs/dbraw/zinc/44/49/24/613444924.db2.gz BQUADYMSHZFDGX-NSHDSACASA-N 0 1 268.357 0.952 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CC[C@@](C)(NC(=O)C(F)(F)F)C1 ZINC000830796220 613450764 /nfs/dbraw/zinc/45/07/64/613450764.db2.gz YAUQXZZUVVWBPT-GMSGAONNSA-N 0 1 280.246 0.203 20 30 CCEDMN C=CCC(C)(C)CNC(=O)NCc1n[nH]c(=O)n1C ZINC000889092519 613452915 /nfs/dbraw/zinc/45/29/15/613452915.db2.gz HWCCYHZJVHEZPZ-UHFFFAOYSA-N 0 1 267.333 0.922 20 30 CCEDMN C=CCC1(O)CCN([C@@H]2CCN(OC)C2=O)CC1 ZINC000931751461 613460815 /nfs/dbraw/zinc/46/08/15/613460815.db2.gz YKLQNOXARNDBEW-LLVKDONJSA-N 0 1 254.330 0.552 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)C(=O)N1CCNC[C@@H]1C ZINC000884856009 613463744 /nfs/dbraw/zinc/46/37/44/613463744.db2.gz XMACOLXLOHFSJI-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCCCCCNC(=O)C(=O)N1CCNC[C@H]1COC ZINC000911324794 613465419 /nfs/dbraw/zinc/46/54/19/613465419.db2.gz LKLFUPLHNUJZBT-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCCC[C@@H]([NH3+])C(=O)[N-]S(=O)(=O)CC(F)(F)F ZINC000901027362 613470050 /nfs/dbraw/zinc/47/00/50/613470050.db2.gz BFDRPXRQBGBVOA-SSDOTTSWSA-N 0 1 288.291 0.678 20 30 CCEDMN C=CCCC[C@H]([NH3+])C(=O)[N-]S(=O)(=O)CC(F)(F)F ZINC000901027364 613472949 /nfs/dbraw/zinc/47/29/49/613472949.db2.gz BFDRPXRQBGBVOA-ZETCQYMHSA-N 0 1 288.291 0.678 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(=O)OC ZINC000928323634 613473615 /nfs/dbraw/zinc/47/36/15/613473615.db2.gz PQVMSFYFJKZCCF-KXUCPTDWSA-N 0 1 270.285 0.666 20 30 CCEDMN C=CCC[NH+]1CC(N(CC)C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000950766580 613481656 /nfs/dbraw/zinc/48/16/56/613481656.db2.gz NHWSBTHJPKHXEY-UHFFFAOYSA-N 0 1 293.327 0.005 20 30 CCEDMN C=C[C@H](CO)NCc1ccccc1OCC(=O)OC ZINC000922264442 613492633 /nfs/dbraw/zinc/49/26/33/613492633.db2.gz DMCMZKMJWIOPLO-GFCCVEGCSA-N 0 1 265.309 0.875 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)NCc1n[nH]c(=O)n1C ZINC000889574533 613500821 /nfs/dbraw/zinc/50/08/21/613500821.db2.gz KBRVYCUJCPETGE-VIFPVBQESA-N 0 1 265.317 0.771 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCC#CCN(C)C ZINC000913520898 613505748 /nfs/dbraw/zinc/50/57/48/613505748.db2.gz CIUDSDHGLMYFMH-CQSZACIVSA-N 0 1 252.358 0.896 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC000949655417 613508498 /nfs/dbraw/zinc/50/84/98/613508498.db2.gz LPUZCSUDSPQSLS-RYUDHWBXSA-N 0 1 265.357 0.218 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)[C@H]3CCOC3)C2)C1 ZINC000972290906 613513739 /nfs/dbraw/zinc/51/37/39/613513739.db2.gz IVDYVGQUOKTBFT-HOCLYGCPSA-N 0 1 294.395 0.902 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC000969795938 613530453 /nfs/dbraw/zinc/53/04/53/613530453.db2.gz KEALWVUCCOBBQK-CHWSQXEVSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccnn2CC)C1 ZINC000969550546 613539241 /nfs/dbraw/zinc/53/92/41/613539241.db2.gz DNRORDJRFZREJA-LBPRGKRZSA-N 0 1 274.368 0.976 20 30 CCEDMN CC#CCN1CCCN(C(=O)c2[nH]nnc2C)CC1 ZINC000981733842 613552887 /nfs/dbraw/zinc/55/28/87/613552887.db2.gz OTTDSZULKHOFJF-UHFFFAOYSA-N 0 1 261.329 0.284 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)CN2CCOCC2)C1 ZINC000965921998 613574482 /nfs/dbraw/zinc/57/44/82/613574482.db2.gz RYHIPLIKKIRXDH-HUUCEWRRSA-N 0 1 293.411 0.169 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC000968076650 613574741 /nfs/dbraw/zinc/57/47/41/613574741.db2.gz DOOOYVXTYCQGAQ-DGCLKSJQSA-N 0 1 288.351 0.233 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cnnn2C)C(C)(C)C1 ZINC000940719022 613587008 /nfs/dbraw/zinc/58/70/08/613587008.db2.gz UXJDIKYZLDFQLT-CYBMUJFWSA-N 0 1 289.383 0.669 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897931142 613587799 /nfs/dbraw/zinc/58/77/99/613587799.db2.gz NVORYXBGLQZZGI-OLZOCXBDSA-N 0 1 268.357 0.150 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965952313 613590970 /nfs/dbraw/zinc/59/09/70/613590970.db2.gz KWEQWGHHPZCDIT-WFASDCNBSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC000833373448 613591218 /nfs/dbraw/zinc/59/12/18/613591218.db2.gz PQFSNNYHWKHJGJ-GRYCIOLGSA-N 0 1 285.340 0.652 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC000833373448 613591221 /nfs/dbraw/zinc/59/12/21/613591221.db2.gz PQFSNNYHWKHJGJ-GRYCIOLGSA-N 0 1 285.340 0.652 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC000968475688 613593927 /nfs/dbraw/zinc/59/39/27/613593927.db2.gz HZHGNDDSDXJBMO-QWHCGFSZSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C[C@H]2CCNC2=O)C(C)(C)C1 ZINC000974667454 613608499 /nfs/dbraw/zinc/60/84/99/613608499.db2.gz WFGQNEOPRSHQOM-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2ccnn2C)C(C)(C)C1 ZINC000974527624 613609290 /nfs/dbraw/zinc/60/92/90/613609290.db2.gz ZFMKQTYPODKWPJ-CQSZACIVSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CCCNC2=O)C(C)(C)C1 ZINC000974688246 613610121 /nfs/dbraw/zinc/61/01/21/613610121.db2.gz UJTNWUKXRVPVFJ-QWHCGFSZSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cncc(F)c2)C1 ZINC000957970036 613613837 /nfs/dbraw/zinc/61/38/37/613613837.db2.gz FLLACUDSLNJGHX-GXTWGEPZSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)[C@H](O)C1 ZINC000958338792 613614686 /nfs/dbraw/zinc/61/46/86/613614686.db2.gz HVPNRZDQXOGDPV-GZBLMMOJSA-N 0 1 276.380 0.465 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccn(C)c2)[C@H](O)C1 ZINC000957979680 613615703 /nfs/dbraw/zinc/61/57/03/613615703.db2.gz PIJDBBUMVJEJSI-ZIAGYGMSSA-N 0 1 275.352 0.071 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2occc2CC)[C@H](O)C1 ZINC000958370444 613616314 /nfs/dbraw/zinc/61/63/14/613616314.db2.gz PZOHULYDQSZNDG-ZIAGYGMSSA-N 0 1 290.363 0.888 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)[nH]c2C)C1 ZINC000958442757 613618712 /nfs/dbraw/zinc/61/87/12/613618712.db2.gz DOEGDBFGIPZTOT-ZFWWWQNUSA-N 0 1 289.379 0.677 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(CC)n[nH]2)C1 ZINC000957893350 613618822 /nfs/dbraw/zinc/61/88/22/613618822.db2.gz BDUASZWCFDTIOW-FZMZJTMJSA-N 0 1 290.367 0.018 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccns2)C1 ZINC000958109787 613619409 /nfs/dbraw/zinc/61/94/09/613619409.db2.gz AWRIATZZBKVCIZ-QWRGUYRKSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)C2CC3(CC3)C2)C1 ZINC000958398657 613624715 /nfs/dbraw/zinc/62/47/15/613624715.db2.gz GBAPFSPQKSTWOI-KGLIPLIRSA-N 0 1 276.380 0.609 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(CC)on2)C1 ZINC000958602272 613625089 /nfs/dbraw/zinc/62/50/89/613625089.db2.gz DRVZECYBAZASIS-RISCZKNCSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)co2)C1 ZINC000958334956 613625254 /nfs/dbraw/zinc/62/52/54/613625254.db2.gz RNHIPTCYUCDJOJ-OLZOCXBDSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cc(C)on1 ZINC000958423450 613633927 /nfs/dbraw/zinc/63/39/27/613633927.db2.gz MNCGRXIISBHOLN-NHAGDIPZSA-N 0 1 273.336 0.595 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccco1 ZINC000962119585 613634124 /nfs/dbraw/zinc/63/41/24/613634124.db2.gz LYRKPHPCMGHBKI-NHAGDIPZSA-N 0 1 258.321 0.892 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc(C2CC2)cn1 ZINC000960629757 613635169 /nfs/dbraw/zinc/63/51/69/613635169.db2.gz KXIHMHPJKZTTCW-QLPKVWCKSA-N 0 1 298.390 0.830 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCCC(=O)N1 ZINC000961767198 613637281 /nfs/dbraw/zinc/63/72/81/613637281.db2.gz XAXBOCBRBPMMGS-COMQUAJESA-N 0 1 289.379 0.115 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]nc1CC ZINC000960727813 613638364 /nfs/dbraw/zinc/63/83/64/613638364.db2.gz IDTGWDDIFGJXQY-IMRBUKKESA-N 0 1 272.352 0.655 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C2CC2)n[nH]1 ZINC000961853731 613639389 /nfs/dbraw/zinc/63/93/89/613639389.db2.gz DRWKJQUYHPPGID-JYAVWHMHSA-N 0 1 284.363 0.970 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(OC)nn1 ZINC000962188488 613640607 /nfs/dbraw/zinc/64/06/07/613640607.db2.gz DRYZWCGCGQPIOG-YABSGUDNSA-N 0 1 286.335 0.169 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(COC)n1 ZINC000962166844 613642291 /nfs/dbraw/zinc/64/22/91/613642291.db2.gz BTUACPJQSSMEFX-YABSGUDNSA-N 0 1 289.335 0.504 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C(F)F)c1 ZINC000962146743 613642359 /nfs/dbraw/zinc/64/23/59/613642359.db2.gz IQLDSNFLNWHRHN-GDNZZTSVSA-N 0 1 294.305 0.962 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)[C@H]1CCC[N@@H+]1C(C)C ZINC000909516646 613643295 /nfs/dbraw/zinc/64/32/95/613643295.db2.gz LTSFLYOTXWOFMK-LLVKDONJSA-N 0 1 254.330 0.958 20 30 CCEDMN C=CCN(CCOC)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000897551583 613649664 /nfs/dbraw/zinc/64/96/64/613649664.db2.gz CHQYAHHRXFXIEQ-ZDUSSCGKSA-N 0 1 268.357 0.368 20 30 CCEDMN CC(=NNC(=N)N)c1ccc(-c2nn[nH]n2)c(F)c1 ZINC000823943170 613653097 /nfs/dbraw/zinc/65/30/97/613653097.db2.gz OTMBNGKLERJNQW-UHFFFAOYSA-N 0 1 262.252 0.213 20 30 CCEDMN CC(=NNC(=N)N)c1cc2c(cc1[N+](=O)[O-])OCO2 ZINC000814796684 613653248 /nfs/dbraw/zinc/65/32/48/613653248.db2.gz LLZZROLGVZKUSR-UHFFFAOYSA-N 0 1 265.229 0.531 20 30 CCEDMN CC(=NNc1cnnn1C)c1ccc2c(c1)OCC(=O)N2 ZINC000814984165 613657741 /nfs/dbraw/zinc/65/77/41/613657741.db2.gz WAYMOTHJAFHBEG-UHFFFAOYSA-N 0 1 286.295 0.982 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C2=CCOCC2)C1 ZINC000969547169 613661543 /nfs/dbraw/zinc/66/15/43/613661543.db2.gz ZQESGSBPKYQWRR-LLVKDONJSA-N 0 1 250.342 0.956 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000970883389 613664280 /nfs/dbraw/zinc/66/42/80/613664280.db2.gz MPXAKCSBUWOLBG-SECBINFHSA-N 0 1 276.340 0.350 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(OC)nn2)C1 ZINC000970695004 613665500 /nfs/dbraw/zinc/66/55/00/613665500.db2.gz XXPQZJJIZYNWFR-SNVBAGLBSA-N 0 1 276.340 0.721 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2nccn3ccnc23)C1 ZINC000970444435 613667606 /nfs/dbraw/zinc/66/76/06/613667606.db2.gz HPSYVWNXWXUJGY-LLVKDONJSA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2csnn2)C1 ZINC000970221895 613667685 /nfs/dbraw/zinc/66/76/85/613667685.db2.gz AYJZNBMTFJGOFP-MRVPVSSYSA-N 0 1 252.343 0.774 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C2=COCCO2)C1 ZINC000969233057 613668202 /nfs/dbraw/zinc/66/82/02/613668202.db2.gz JKQDPOGSSMVLTE-JTQLQIEISA-N 0 1 252.314 0.497 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC000970705027 613668652 /nfs/dbraw/zinc/66/86/52/613668652.db2.gz KYGLNRWFFCUZSO-QWHCGFSZSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(C)n(C)n2)C1 ZINC000969361104 613670515 /nfs/dbraw/zinc/67/05/15/613670515.db2.gz FZFREUIQRCKOOU-NSHDSACASA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cccn(C)c2=O)C1 ZINC000970754192 613672158 /nfs/dbraw/zinc/67/21/58/613672158.db2.gz QXSKSHBYGXVETP-NSHDSACASA-N 0 1 275.352 0.621 20 30 CCEDMN C=CCN1CCCN(C(=O)CN2CCCC2=O)CC1 ZINC000980684999 613688893 /nfs/dbraw/zinc/68/88/93/613688893.db2.gz KWQRZQKKICAFMT-UHFFFAOYSA-N 0 1 265.357 0.329 20 30 CCEDMN C=CCN1CCCN(C(=O)c2nc(C)c(C)[nH]c2=O)CC1 ZINC000981425611 613699636 /nfs/dbraw/zinc/69/96/36/613699636.db2.gz NIEZPGLQJHXBTO-UHFFFAOYSA-N 0 1 290.367 0.721 20 30 CCEDMN C=CCN1CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)CC1 ZINC000957104996 613713243 /nfs/dbraw/zinc/71/32/43/613713243.db2.gz OUNNLTZISWBXIA-LBPRGKRZSA-N 0 1 274.368 0.845 20 30 CCEDMN C=CCN1CCN(CN2CC[C@@H]3OCC[C@@H]3C2)C1=O ZINC000842625971 613720964 /nfs/dbraw/zinc/72/09/64/613720964.db2.gz MNZSGHVCFFWGRA-OLZOCXBDSA-N 0 1 265.357 0.978 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cnn(CC)n2)C1 ZINC000965996848 613731438 /nfs/dbraw/zinc/73/14/38/613731438.db2.gz UQACRZXQSLQOFR-YPMHNXCESA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@@H]2CCN(C(=O)C3CC3)C2)C1=O ZINC000970932312 613739013 /nfs/dbraw/zinc/73/90/13/613739013.db2.gz OVPUGGVCMCIYFH-ZIAGYGMSSA-N 0 1 291.395 0.716 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cn2nccn2)C1 ZINC000966385416 613768028 /nfs/dbraw/zinc/76/80/28/613768028.db2.gz JCESEIIHSBTZGE-RYUDHWBXSA-N 0 1 263.345 0.291 20 30 CCEDMN C=CC[NH+]1CC[C@H](NC(=O)[C@H]2C[N@@H+](C)CCO2)C(C)(C)C1 ZINC000940649627 613785292 /nfs/dbraw/zinc/78/52/92/613785292.db2.gz PRAJMABHUUFLDW-KGLIPLIRSA-N 0 1 295.427 0.720 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)CN2CCCNC2=O)C(C)(C)C1 ZINC000974765115 613806036 /nfs/dbraw/zinc/80/60/36/613806036.db2.gz AKOIQUACZPWKIM-GFCCVEGCSA-N 0 1 294.399 0.414 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C(C)(C)C1 ZINC000975005555 613828331 /nfs/dbraw/zinc/82/83/31/613828331.db2.gz VCNXOSQDMINTJC-NHYWBVRUSA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCNC(=O)C[N@H+](C)CC(C)(C)CNC(=O)[O-] ZINC000823506795 613844913 /nfs/dbraw/zinc/84/49/13/613844913.db2.gz MYENYKZTSXJVHG-UHFFFAOYSA-N 0 1 257.334 0.514 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CCC[C@H](C2OCCO2)C1 ZINC000110853938 613845248 /nfs/dbraw/zinc/84/52/48/613845248.db2.gz JUMOQHJTIKXUBT-NSHDSACASA-N 0 1 254.330 0.374 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](C2OCCO2)C1 ZINC000110853938 613845250 /nfs/dbraw/zinc/84/52/50/613845250.db2.gz JUMOQHJTIKXUBT-NSHDSACASA-N 0 1 254.330 0.374 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823506934 613851133 /nfs/dbraw/zinc/85/11/33/613851133.db2.gz PIZFAUWLUUNHMV-ZJUUUORDSA-N 0 1 298.343 0.071 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823506934 613851134 /nfs/dbraw/zinc/85/11/34/613851134.db2.gz PIZFAUWLUUNHMV-ZJUUUORDSA-N 0 1 298.343 0.071 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC000825687600 613851604 /nfs/dbraw/zinc/85/16/04/613851604.db2.gz QOMSMVXKQVXKKN-TVQRCGJNSA-N 0 1 283.328 0.183 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](CF)C1 ZINC000880294878 613851802 /nfs/dbraw/zinc/85/18/02/613851802.db2.gz FOIHPVKPQRZMCM-UWVGGRQHSA-N 0 1 257.309 0.678 20 30 CCEDMN C=CCOCCNC(=O)NCc1nc(CSC)n[nH]1 ZINC000884261089 613880859 /nfs/dbraw/zinc/88/08/59/613880859.db2.gz CGURHDSKLAFAOH-UHFFFAOYSA-N 0 1 285.373 0.670 20 30 CCEDMN C=CCOCCNC(=O)NCc1nnc(CSC)[nH]1 ZINC000884261089 613880860 /nfs/dbraw/zinc/88/08/60/613880860.db2.gz CGURHDSKLAFAOH-UHFFFAOYSA-N 0 1 285.373 0.670 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H]1CC[N@@H+](C)[C@@H]1CC)C(=O)[O-] ZINC000909770014 613881884 /nfs/dbraw/zinc/88/18/84/613881884.db2.gz DKSQUNIVWZPZEQ-QJPTWQEYSA-N 0 1 284.356 0.489 20 30 CCEDMN C=CCOc1cccc(CNC[C@H](O)CS(C)(=O)=O)c1 ZINC000922827168 613884749 /nfs/dbraw/zinc/88/47/49/613884749.db2.gz OOZGMUMGEKPOOQ-ZDUSSCGKSA-N 0 1 299.392 0.747 20 30 CCEDMN CC(C)(C)N1CC[C@@H]1C(=O)NCCn1cnc(C#N)n1 ZINC000897744794 613931635 /nfs/dbraw/zinc/93/16/35/613931635.db2.gz YLSNIZCYDCBTGM-SNVBAGLBSA-N 0 1 276.344 0.139 20 30 CCEDMN CC(C)OC[C@H](O)CON=C(N)CN1CCCCCC1 ZINC000133676294 614037490 /nfs/dbraw/zinc/03/74/90/614037490.db2.gz JIEJMIAVPSOQNK-ZDUSSCGKSA-N 0 1 287.404 0.937 20 30 CCEDMN CC(=O)c1c(C)[nH]c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1C ZINC000834505082 614245009 /nfs/dbraw/zinc/24/50/09/614245009.db2.gz HSRSWCSCIOSQLM-WDEREUQCSA-N 0 1 274.324 0.676 20 30 CCEDMN CC(=O)c1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1O ZINC000834498621 614252622 /nfs/dbraw/zinc/25/26/22/614252622.db2.gz AVEXSDFAAVISOH-CMPLNLGQSA-N 0 1 273.292 0.436 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@H](C2OCCO2)C1 ZINC000932009466 614285876 /nfs/dbraw/zinc/28/58/76/614285876.db2.gz ZLYVLQXRDVHVMR-WFASDCNBSA-N 0 1 295.383 0.736 20 30 CCEDMN CC(C)[C@]1(CO)CCCN(CC(=O)NCCC#N)C1 ZINC000815235515 614294441 /nfs/dbraw/zinc/29/44/41/614294441.db2.gz WCDDFMAXZKRMLJ-CQSZACIVSA-N 0 1 267.373 0.747 20 30 CCEDMN CC(C)c1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)nn1C ZINC000834480913 614303437 /nfs/dbraw/zinc/30/34/37/614303437.db2.gz XWGZFPFGIFAEPV-MWLCHTKSSA-N 0 1 261.329 0.385 20 30 CCEDMN CC(C)c1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc(=O)[nH]1 ZINC000834485607 614303642 /nfs/dbraw/zinc/30/36/42/614303642.db2.gz ZEWPRMMYCIIANT-CMPLNLGQSA-N 0 1 274.324 0.752 20 30 CCEDMN CC(C)[N@H+]1CCC[C@@H]1C(=O)N1CCO[C@@H](C#N)C1 ZINC000932149608 614340351 /nfs/dbraw/zinc/34/03/51/614340351.db2.gz QMKQLZTYZIWTLQ-NWDGAFQWSA-N 0 1 251.330 0.610 20 30 CCEDMN CCCCn1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1C ZINC000834480081 614494284 /nfs/dbraw/zinc/49/42/84/614494284.db2.gz KWBOYTPIDGDVHY-WCQYABFASA-N 0 1 275.356 0.833 20 30 CCEDMN CCC(=O)N1CCCC[C@H]1C(=O)NCC#CCN(C)C ZINC000913516751 614542046 /nfs/dbraw/zinc/54/20/46/614542046.db2.gz DMLPBABZFBBCDA-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN CCC[N@@H+](CC(=O)NCC(=O)[O-])Cc1ccc(C#N)cc1 ZINC000820317109 614558641 /nfs/dbraw/zinc/55/86/41/614558641.db2.gz CSHFYUZFLXPCFI-UHFFFAOYSA-N 0 1 289.335 0.971 20 30 CCEDMN CCCN1CCO[C@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC000972298434 614695679 /nfs/dbraw/zinc/69/56/79/614695679.db2.gz SFCNCXMWWWLRMQ-TZMCWYRMSA-N 0 1 265.357 0.859 20 30 CCEDMN CC1(C)OCC(CO)(NCCOCCC#N)CO1 ZINC000815102791 614812155 /nfs/dbraw/zinc/81/21/55/614812155.db2.gz LLLNCGVCLBDYPG-UHFFFAOYSA-N 0 1 258.318 0.020 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](O)CC(C)(C)C1 ZINC000879618946 615022123 /nfs/dbraw/zinc/02/21/23/615022123.db2.gz IKDCKTXMDOMVNM-GFCCVEGCSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC000829641783 615064642 /nfs/dbraw/zinc/06/46/42/615064642.db2.gz AGMTZIGMZNRFLF-RISCZKNCSA-N 0 1 281.356 0.791 20 30 CCEDMN CC[N@H+]1CCC[C@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820348039 615142493 /nfs/dbraw/zinc/14/24/93/615142493.db2.gz HSSXNLVNVCAAOU-GWCFXTLKSA-N 0 1 267.329 0.591 20 30 CCEDMN CCOC(=O)c1ccc(C=NNC2=NC[C@@H](C)N2)[nH]1 ZINC000872364901 615181039 /nfs/dbraw/zinc/18/10/39/615181039.db2.gz WIWJLGQFWKOFPB-MRVPVSSYSA-N 0 1 263.301 0.463 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1CC[C@@](O)(CC#N)C1 ZINC000890059245 615247335 /nfs/dbraw/zinc/24/73/35/615247335.db2.gz GAZFHLXXMVSCMQ-YPMHNXCESA-N 0 1 266.345 0.141 20 30 CCEDMN CCOC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)C(C)C#N ZINC000924879399 615361450 /nfs/dbraw/zinc/36/14/50/615361450.db2.gz QKMKESAVMGLVIY-DCAQKATOSA-N 0 1 268.313 0.763 20 30 CCEDMN CC[C@@H](C)[N@@H+](C)CCNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820266129 615455094 /nfs/dbraw/zinc/45/50/94/615455094.db2.gz AOWRXFPXFCEBQV-ZWNOBZJWSA-N 0 1 269.345 0.837 20 30 CCEDMN CCOc1ccccc1OCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000843460544 615518303 /nfs/dbraw/zinc/51/83/03/615518303.db2.gz HTQLLHRIXRAPSF-NWDGAFQWSA-N 0 1 289.335 0.692 20 30 CCEDMN CCOc1ccccc1OCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000843460547 615518332 /nfs/dbraw/zinc/51/83/32/615518332.db2.gz HTQLLHRIXRAPSF-RYUDHWBXSA-N 0 1 289.335 0.692 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCN(C)CCN(C)CC1 ZINC000888980820 615599698 /nfs/dbraw/zinc/59/96/98/615599698.db2.gz QVYKBOYFEDLNBF-GFCCVEGCSA-N 0 1 252.362 0.242 20 30 CCEDMN CCc1cc(CNS(=O)(=O)c2cncc(C#N)c2)n[nH]1 ZINC000810048616 615650865 /nfs/dbraw/zinc/65/08/65/615650865.db2.gz AFXRVOXXBQWMST-UHFFFAOYSA-N 0 1 291.336 0.717 20 30 CCEDMN CC[C@H](C)[C@H](NC(=O)OC)C(=O)NCC#CCN(C)C ZINC000913523432 615694852 /nfs/dbraw/zinc/69/48/52/615694852.db2.gz ZQYKVDWJWCPIPS-RYUDHWBXSA-N 0 1 283.372 0.438 20 30 CCEDMN CCc1nc2c(nccc2C(=O)NCC#CCN(C)C)[nH]1 ZINC000827972314 615758346 /nfs/dbraw/zinc/75/83/46/615758346.db2.gz YXTNRFYGKRZZRV-UHFFFAOYSA-N 0 1 285.351 0.815 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C/CN(C)CC(F)(F)F ZINC000913522280 615856939 /nfs/dbraw/zinc/85/69/39/615856939.db2.gz CIIAPAHFQYBESR-VOTSOKGWSA-N 0 1 291.317 0.718 20 30 CCEDMN CN(C)CC#CCNC(=O)CCC(C)(C)[N+](=O)[O-] ZINC000913522894 615857693 /nfs/dbraw/zinc/85/76/93/615857693.db2.gz DRMRSKAWCOMQJE-UHFFFAOYSA-N 0 1 255.318 0.503 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@H]1C(=O)Nc2ccccc21 ZINC000913517996 615858292 /nfs/dbraw/zinc/85/82/92/615858292.db2.gz ZBLZPUBKDZPEOH-CYBMUJFWSA-N 0 1 285.347 0.794 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1ccc(C(F)(F)F)n1 ZINC000913515319 615858947 /nfs/dbraw/zinc/85/89/47/615858947.db2.gz RLUQURVWDNSFEF-UHFFFAOYSA-N 0 1 288.273 0.583 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1Cc2ccccc2O1 ZINC000913515734 615859658 /nfs/dbraw/zinc/85/96/58/615859658.db2.gz LAYCQRJSNUVCCI-AWEZNQCLSA-N 0 1 258.321 0.671 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CSCCS1 ZINC000913519510 615859782 /nfs/dbraw/zinc/85/97/82/615859782.db2.gz CRHPJTDAPDLKAM-JTQLQIEISA-N 0 1 258.412 0.516 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CN(C)C(=O)c2ccccc21 ZINC000913521597 615859831 /nfs/dbraw/zinc/85/98/31/615859831.db2.gz FYBPTHKKDZCVTQ-OAHLLOKOSA-N 0 1 299.374 0.537 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1Cc2ccccc2CO1 ZINC000913523913 615860232 /nfs/dbraw/zinc/86/02/32/615860232.db2.gz RGJGNMIIXFLSIG-OAHLLOKOSA-N 0 1 272.348 0.809 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncc2ccccn21 ZINC000913524992 615861629 /nfs/dbraw/zinc/86/16/29/615861629.db2.gz GEJKAXGLDILGJZ-UHFFFAOYSA-N 0 1 256.309 0.629 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(-c2ccccc2)c1N ZINC000913524347 615861940 /nfs/dbraw/zinc/86/19/40/615861940.db2.gz JLAAPFWTZHAUES-UHFFFAOYSA-N 0 1 297.362 0.749 20 30 CCEDMN CN(C)CC(=O)N1CCC[C@H](Nc2ccc(C#N)cn2)C1 ZINC000928722756 615863081 /nfs/dbraw/zinc/86/30/81/615863081.db2.gz LHHOYFJJMGFFOA-ZDUSSCGKSA-N 0 1 287.367 0.918 20 30 CCEDMN CCn1c2cc(C(=O)N[C@@H]3CNC[C@H]3C#N)ccc2[nH]c1=O ZINC000848417800 615924271 /nfs/dbraw/zinc/92/42/71/615924271.db2.gz FOVKDPZZZUFCSA-ZYHUDNBSSA-N 0 1 299.334 0.603 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CC[C@@H]2[C@@H]1CCCN2CCO ZINC000889756777 615942095 /nfs/dbraw/zinc/94/20/95/615942095.db2.gz QFTMKSSFWNUDNL-VNHYZAJKSA-N 0 1 279.384 0.984 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)N1CCN(CC#N)CC1 ZINC000949900068 615956417 /nfs/dbraw/zinc/95/64/17/615956417.db2.gz MVFAEPVKWIZHTH-UHFFFAOYSA-N 0 1 276.340 0.623 20 30 CCEDMN CN(C)C(=O)CNCc1cc(C#N)ccc1N(C)C ZINC000865402793 615971149 /nfs/dbraw/zinc/97/11/49/615971149.db2.gz DZYDMOZJMHQULG-UHFFFAOYSA-N 0 1 260.341 0.802 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1cc(C2CC2)no1 ZINC000905464008 616067820 /nfs/dbraw/zinc/06/78/20/616067820.db2.gz CBXWHRGTULFLLR-GFCCVEGCSA-N 0 1 278.356 0.848 20 30 CCEDMN C[N@H+](CCC(=O)[O-])[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000818457269 616069232 /nfs/dbraw/zinc/06/92/32/616069232.db2.gz FZHDSTGEHJKIKV-LBPRGKRZSA-N 0 1 261.281 0.491 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000818457269 616069233 /nfs/dbraw/zinc/06/92/33/616069233.db2.gz FZHDSTGEHJKIKV-LBPRGKRZSA-N 0 1 261.281 0.491 20 30 CCEDMN CN(CCc1nnnn1C)Cc1cc(C#N)cs1 ZINC000933771095 616127329 /nfs/dbraw/zinc/12/73/29/616127329.db2.gz VTDFCVHYUIPVNT-UHFFFAOYSA-N 0 1 262.342 0.818 20 30 CCEDMN CN1CC=C(CNS(=O)(=O)CC(C)(C)C#N)CC1 ZINC000914332867 616165400 /nfs/dbraw/zinc/16/54/00/616165400.db2.gz JUBRDTQFSGQJEE-UHFFFAOYSA-N 0 1 271.386 0.717 20 30 CCEDMN CN1CCC(C#N)(NC(=O)/C=C\[C@@H]2CCCO2)CC1 ZINC000819250092 616166046 /nfs/dbraw/zinc/16/60/46/616166046.db2.gz AAYFFWKVSTWNEE-RXNFCKPNSA-N 0 1 263.341 0.826 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H]1CCN1C(=O)C#CC1CC1 ZINC000949140332 616193472 /nfs/dbraw/zinc/19/34/72/616193472.db2.gz ZONLGACTYZNYOG-ZIAGYGMSSA-N 0 1 289.379 0.211 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@@H]1CCCN(CC#N)C1 ZINC000896628915 616210972 /nfs/dbraw/zinc/21/09/72/616210972.db2.gz CFYHFCOCJHRSDI-STQMWFEESA-N 0 1 264.373 0.432 20 30 CCEDMN CN1CCN(CC#Cc2ccc(F)cc2)C[C@@H]1CO ZINC000931403050 616239270 /nfs/dbraw/zinc/23/92/70/616239270.db2.gz IKCONBPGBODNGS-OAHLLOKOSA-N 0 1 262.328 0.786 20 30 CCEDMN CN1CC[C@@H]([N@@H+](C)C[C@@H](O)CC2(C#N)CCC2)C1=O ZINC000886017279 616272771 /nfs/dbraw/zinc/27/27/71/616272771.db2.gz WRGPSBJJSVYCHH-NWDGAFQWSA-N 0 1 265.357 0.594 20 30 CCEDMN CN(C[C@@H](O)CC1(C#N)CCC1)[C@@H]1CCN(C)C1=O ZINC000886017279 616272772 /nfs/dbraw/zinc/27/27/72/616272772.db2.gz WRGPSBJJSVYCHH-NWDGAFQWSA-N 0 1 265.357 0.594 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCc1ccc(C#N)c(F)c1 ZINC000909390530 616321056 /nfs/dbraw/zinc/32/10/56/616321056.db2.gz HXSIXCKAGJJXOY-WFASDCNBSA-N 0 1 291.326 0.769 20 30 CCEDMN C[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820620729 616343033 /nfs/dbraw/zinc/34/30/33/616343033.db2.gz RGYSHXKMMOIQEB-ZOWXZIJZSA-N 0 1 293.367 0.934 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCC1CCC(C#N)CC1 ZINC000907994278 616345058 /nfs/dbraw/zinc/34/50/58/616345058.db2.gz ZBQQJQVARLXZBN-NSOJWWLLSA-N 0 1 279.384 0.888 20 30 CCEDMN CN1C[C@H]2CC[C@@H](C1)N2CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000931398003 616370303 /nfs/dbraw/zinc/37/03/03/616370303.db2.gz YOEUOPGTTHAPQH-GUTXKFCHSA-N 0 1 276.384 0.573 20 30 CCEDMN CN1CCc2ccc(NC(=O)N[C@H]3CNC[C@@H]3C#N)cc21 ZINC000841172775 616461343 /nfs/dbraw/zinc/46/13/43/616461343.db2.gz CYEBOBNKVOURKG-AAEUAGOBSA-N 0 1 285.351 0.912 20 30 CCEDMN COCCN1[C@H](C)CN(c2cncc(C#N)n2)C[C@@H]1C ZINC000826633776 616481283 /nfs/dbraw/zinc/48/12/83/616481283.db2.gz URDDBTBPKKQLJK-TXEJJXNPSA-N 0 1 275.356 0.894 20 30 CCEDMN COCCNC(=O)[C@H](C#N)C(=O)C(C)=Cc1ccncc1 ZINC000847624980 616495379 /nfs/dbraw/zinc/49/53/79/616495379.db2.gz ZIJXFLRAYQWHHB-PRWOLLLXSA-N 0 1 287.319 0.956 20 30 CCEDMN CNC(=O)C1(NCc2ccc(C#N)cc2)CCOCC1 ZINC000887081371 616550301 /nfs/dbraw/zinc/55/03/01/616550301.db2.gz RJJOCAOIDZIYJJ-UHFFFAOYSA-N 0 1 273.336 0.943 20 30 CCEDMN COCCOc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834491080 616591005 /nfs/dbraw/zinc/59/10/05/616591005.db2.gz ZUCVGPVTFIVVIO-JSGCOSHPSA-N 0 1 289.335 0.553 20 30 CCEDMN COC[C@H](C)[C@@H](C)C(=O)C(C#N)C(=O)NC1CC1 ZINC000813060041 616635623 /nfs/dbraw/zinc/63/56/23/616635623.db2.gz OFYZLSBKBHFTGD-IQJOONFLSA-N 0 1 252.314 0.892 20 30 CCEDMN COC(=O)[C@]1(C)CCCN(CC(=O)NCCC#N)C1 ZINC000839666734 616758492 /nfs/dbraw/zinc/75/84/92/616758492.db2.gz NEVPXNFJFDDWQZ-CYBMUJFWSA-N 0 1 267.329 0.291 20 30 CCEDMN COCC1(CC(=O)C(C#N)C(=O)NC2CC2)CC1 ZINC000842685227 616762072 /nfs/dbraw/zinc/76/20/72/616762072.db2.gz RUUPYXRMXDRZRD-JTQLQIEISA-N 0 1 250.298 0.791 20 30 CCEDMN COC[C@@H](C)N1CCCN(C(=O)C#CC2CC2)CC1 ZINC000981126081 616796922 /nfs/dbraw/zinc/79/69/22/616796922.db2.gz OEEKKFTVSOULJB-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN COC(=O)c1[nH]c(C)c(C(=O)NCC#CCN(C)C)c1C ZINC000913519581 616807137 /nfs/dbraw/zinc/80/71/37/616807137.db2.gz GFFNRBLATMVRJM-UHFFFAOYSA-N 0 1 291.351 0.713 20 30 CCEDMN CNC(=O)[C@@]1(C(F)(F)F)CCN(C[C@H](O)CC#N)C1 ZINC000930824065 616833006 /nfs/dbraw/zinc/83/30/06/616833006.db2.gz CAHTXTMKIKYWGO-PSASIEDQSA-N 0 1 279.262 0.261 20 30 CCEDMN COCCC1(C(=O)N[C@H]2CNC[C@H]2C#N)CCCC1 ZINC000834479470 616840586 /nfs/dbraw/zinc/84/05/86/616840586.db2.gz DSVMSZNGWQTNCB-NEPJUHHUSA-N 0 1 265.357 0.811 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC1(COC)CC1 ZINC000842681228 616901457 /nfs/dbraw/zinc/90/14/57/616901457.db2.gz ISJXVJBWCFTZHZ-LLVKDONJSA-N 0 1 282.340 0.665 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1O[C@@H](C)C[C@H]1C ZINC000800385114 616902383 /nfs/dbraw/zinc/90/23/83/616902383.db2.gz RWYQRKZYXOFLLX-LSCVPOLPSA-N 0 1 282.340 0.661 20 30 CCEDMN COCc1cncc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000852828376 616915064 /nfs/dbraw/zinc/91/50/64/616915064.db2.gz WXDDLEULGDRQHV-NEPJUHHUSA-N 0 1 260.297 0.069 20 30 CCEDMN COC(=O)c1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834490623 616925484 /nfs/dbraw/zinc/92/54/84/616925484.db2.gz WHRYGAYEEHFGCK-VXGBXAGGSA-N 0 1 273.292 0.315 20 30 CCEDMN COC(=O)c1coc(/C=C/C(=O)NCC#CCN(C)C)c1 ZINC000913525065 617006477 /nfs/dbraw/zinc/00/64/77/617006477.db2.gz JAECFMDRAQKLNO-VOTSOKGWSA-N 0 1 290.319 0.761 20 30 CCEDMN COCCN(Cc1ccc(C#N)cc1)C[C@H](O)[C@@H](C)O ZINC000929395056 617026964 /nfs/dbraw/zinc/02/69/64/617026964.db2.gz LTILWJZOCQRDAJ-DOMZBBRYSA-N 0 1 278.352 0.748 20 30 CCEDMN CNc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1[N+](=O)[O-] ZINC000834504948 617063931 /nfs/dbraw/zinc/06/39/31/617063931.db2.gz GWMCPWSCUIFDFK-KOLCDFICSA-N 0 1 289.295 0.478 20 30 CCEDMN CO[C@H]1CCC[C@H](C(=O)NCC#CCN(C)C)C1 ZINC000913522809 617098331 /nfs/dbraw/zinc/09/83/31/617098331.db2.gz ZKGMYRXLAGDAHN-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN CON=CC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000928083662 617106088 /nfs/dbraw/zinc/10/60/88/617106088.db2.gz AIJSIAWWRPGEPT-UWVGGRQHSA-N 0 1 257.359 0.315 20 30 CCEDMN COc1c(C(=O)NCC#CCN(C)C)cccc1[N+](=O)[O-] ZINC000913521917 617143130 /nfs/dbraw/zinc/14/31/30/617143130.db2.gz SLEJYGSUAQPTCE-UHFFFAOYSA-N 0 1 291.307 0.898 20 30 CCEDMN COc1cc(C(=O)NCC#CCN(C)C)sn1 ZINC000913522991 617160150 /nfs/dbraw/zinc/16/01/50/617160150.db2.gz IEPMVLFTEJBWQM-UHFFFAOYSA-N 0 1 253.327 0.447 20 30 CCEDMN COc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)ccc1C#N ZINC000852827450 617167309 /nfs/dbraw/zinc/16/73/09/617167309.db2.gz JFLAEJZBVKTTFC-RYUDHWBXSA-N 0 1 270.292 0.408 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@H](C)C1 ZINC001026124664 625337978 /nfs/dbraw/zinc/33/79/78/625337978.db2.gz BUACYFDGTJVTGH-ZSAUSMIDSA-N 0 1 276.380 0.871 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)c3ccn[nH]3)C[C@@H]12 ZINC001026207884 625356697 /nfs/dbraw/zinc/35/66/97/625356697.db2.gz ZPLQCGVKQLQSQR-GMTAPVOTSA-N 0 1 259.313 0.373 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)CCc3nc[nH]n3)C[C@H]12 ZINC001026233065 625365528 /nfs/dbraw/zinc/36/55/28/625365528.db2.gz OMEXJJNAHURHTH-GRYCIOLGSA-N 0 1 288.355 0.087 20 30 CCEDMN Cc1cc(NC(=O)NC[C@H]2CCN2C)nn1CCC#N ZINC000930634542 625394203 /nfs/dbraw/zinc/39/42/03/625394203.db2.gz TYBANPJIHUZCRR-LLVKDONJSA-N 0 1 276.344 0.931 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@H]2CC[C@@H](NCC#N)[C@@H]2C1 ZINC001026328671 625399281 /nfs/dbraw/zinc/39/92/81/625399281.db2.gz PZJKEHDRWWIGFZ-HBNTYKKESA-N 0 1 274.328 0.077 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001008171962 625416396 /nfs/dbraw/zinc/41/63/96/625416396.db2.gz UKACELZOVZCQAC-CYBMUJFWSA-N 0 1 298.350 0.342 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001008171962 625416399 /nfs/dbraw/zinc/41/63/99/625416399.db2.gz UKACELZOVZCQAC-CYBMUJFWSA-N 0 1 298.350 0.342 20 30 CCEDMN C[C@H]1C[C@@H](NC(=O)C2=NC(=O)N(C)C2)CCN1CC#N ZINC000947690145 625483232 /nfs/dbraw/zinc/48/32/32/625483232.db2.gz QWQOFMIBGCNUPC-UWVGGRQHSA-N 0 1 277.328 0.232 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CNCc2csnn2)C1 ZINC001026732134 625572797 /nfs/dbraw/zinc/57/27/97/625572797.db2.gz GXGAXRSWALZEJA-NXEZZACHSA-N 0 1 279.369 0.636 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CNCc2ncccn2)C1 ZINC001026732848 625579578 /nfs/dbraw/zinc/57/95/78/625579578.db2.gz OGOXVYVHUKMKMW-NWDGAFQWSA-N 0 1 273.340 0.574 20 30 CCEDMN C#CCOCCC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000161369923 622770136 /nfs/dbraw/zinc/77/01/36/622770136.db2.gz ZWWKENOMIPZQAW-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001022946557 622863004 /nfs/dbraw/zinc/86/30/04/622863004.db2.gz XBSWOEGVAJAOMN-GARJFASQSA-N 0 1 273.340 0.275 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2cnns2)C1 ZINC001023252987 622967396 /nfs/dbraw/zinc/96/73/96/622967396.db2.gz NBGDSGNNQNIGSI-SNVBAGLBSA-N 0 1 264.354 0.613 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001023402708 623024715 /nfs/dbraw/zinc/02/47/15/623024715.db2.gz RHZRMAWEGPRGSN-KBPBESRZSA-N 0 1 291.395 0.316 20 30 CCEDMN N#CCN1CCC[C@@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001023428114 623029604 /nfs/dbraw/zinc/02/96/04/623029604.db2.gz YVGGOIPNHRPDEL-YNEHKIRRSA-N 0 1 287.367 0.865 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@H]2CCCN(CCF)C2)nn1 ZINC001023637054 623089251 /nfs/dbraw/zinc/08/92/51/623089251.db2.gz BZSMDSNPIBBOLR-GFCCVEGCSA-N 0 1 295.362 0.875 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2cn(C(C)C)nn2)C1 ZINC001023676947 623101413 /nfs/dbraw/zinc/10/14/13/623101413.db2.gz YECJFGYYUPVQTO-ZDUSSCGKSA-N 0 1 289.383 0.934 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001024498281 623338606 /nfs/dbraw/zinc/33/86/06/623338606.db2.gz VJEFRGQEILVXSF-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001024497631 623339348 /nfs/dbraw/zinc/33/93/48/623339348.db2.gz NOEHFCCVTAWPEN-CHWSQXEVSA-N 0 1 279.384 0.812 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001024495144 623340041 /nfs/dbraw/zinc/34/00/41/623340041.db2.gz FRALZRCUIJGWSS-CYBMUJFWSA-N 0 1 288.351 0.790 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cnon1 ZINC001024722975 623425691 /nfs/dbraw/zinc/42/56/91/623425691.db2.gz KHYHZCLHAGRVOD-SNVBAGLBSA-N 0 1 250.302 0.840 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]([C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001025384863 623742926 /nfs/dbraw/zinc/74/29/26/623742926.db2.gz KXVGBXXFZWJWTP-PWSUYJOCSA-N 0 1 290.367 0.887 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@@](C)(C(=O)[O-])C1CC1 ZINC000328226162 623870395 /nfs/dbraw/zinc/87/03/95/623870395.db2.gz BMDSKTKUXLHXCJ-CQSZACIVSA-N 0 1 281.356 0.494 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC ZINC000328257850 623873239 /nfs/dbraw/zinc/87/32/39/623873239.db2.gz KRLKKAYYHNURFS-RYUDHWBXSA-N 0 1 283.372 0.740 20 30 CCEDMN C#CCCCCNC(=O)NCCN1CCCOCC1 ZINC000187301609 624499236 /nfs/dbraw/zinc/49/92/36/624499236.db2.gz VPJRNMWQJYCCNO-UHFFFAOYSA-N 0 1 267.373 0.811 20 30 CCEDMN C[C@H](C#N)OCCN1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000801917683 634402894 /nfs/dbraw/zinc/40/28/94/634402894.db2.gz LSCMTBBGKWNJEM-KGLIPLIRSA-N 0 1 295.383 0.628 20 30 CCEDMN O=C(NCC#C[C@@H]1CCCCO1)[C@H]1CCCc2n[nH]nc21 ZINC000891115844 624728701 /nfs/dbraw/zinc/72/87/01/624728701.db2.gz HZSCLJYEBYFLHD-RYUDHWBXSA-N 0 1 288.351 0.913 20 30 CCEDMN Cc1cc(N)nc(N2CCN(c3ncccc3C#N)CC2)n1 ZINC000891621662 624893086 /nfs/dbraw/zinc/89/30/86/624893086.db2.gz SDFNIVQGSJBCHH-UHFFFAOYSA-N 0 1 295.350 0.961 20 30 CCEDMN N#CCc1ccc(OC[C@@H](O)CN2CC[C@@H]2CO)cc1 ZINC000934019042 625004669 /nfs/dbraw/zinc/00/46/69/625004669.db2.gz LQZONXNSJPQTTP-KGLIPLIRSA-N 0 1 276.336 0.559 20 30 CCEDMN C[C@@H](C(N)=O)N(C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027402005 625870250 /nfs/dbraw/zinc/87/02/50/625870250.db2.gz KPKVTTGIKLJASX-AAEUAGOBSA-N 0 1 277.368 0.196 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)c2n[nH]nc2C)C1 ZINC001027518245 625933220 /nfs/dbraw/zinc/93/32/20/625933220.db2.gz RIEKUWSIBPQMIM-LLVKDONJSA-N 0 1 261.329 0.283 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)cn2)[C@@H](C)CN1 ZINC000903489636 634587352 /nfs/dbraw/zinc/58/73/52/634587352.db2.gz CUALDWWXLRHJIE-ZJUUUORDSA-N 0 1 280.353 0.324 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1cnn(C2CCC2)c1 ZINC000904558414 634754289 /nfs/dbraw/zinc/75/42/89/634754289.db2.gz PJBKSVLAWPCWAD-SKDRFNHKSA-N 0 1 274.328 0.841 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001027730404 630879701 /nfs/dbraw/zinc/87/97/01/630879701.db2.gz PFQDTLQACOZADL-SNVBAGLBSA-N 0 1 260.297 0.010 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cn(C)cn1 ZINC001027822010 630947646 /nfs/dbraw/zinc/94/76/46/630947646.db2.gz WDMHODMXEATSOM-LBPRGKRZSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001027832658 630959644 /nfs/dbraw/zinc/95/96/44/630959644.db2.gz INGMUTROPDGYQE-NSHDSACASA-N 0 1 276.340 0.641 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2nccnc2N)C1 ZINC001010392763 631380236 /nfs/dbraw/zinc/38/02/36/631380236.db2.gz KFALGMBXXBRLMW-SNVBAGLBSA-N 0 1 261.329 0.439 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nccnc2N)C1 ZINC001010392763 631380245 /nfs/dbraw/zinc/38/02/45/631380245.db2.gz KFALGMBXXBRLMW-SNVBAGLBSA-N 0 1 261.329 0.439 20 30 CCEDMN Cn1ccc(CN[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC000968442054 650015300 /nfs/dbraw/zinc/01/53/00/650015300.db2.gz MNDOZEUHXMQTAD-CYBMUJFWSA-N 0 1 298.350 0.624 20 30 CCEDMN C[C@@]1(CO)C[C@@H](O)CN1Cc1ccc(C#N)s1 ZINC000878984311 631696090 /nfs/dbraw/zinc/69/60/90/631696090.db2.gz RZBMAIOPIYCEKD-SKDRFNHKSA-N 0 1 252.339 0.937 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)nn1)[C@H]1CN(C)CCN1C ZINC000895246355 632033981 /nfs/dbraw/zinc/03/39/81/632033981.db2.gz NGDGHENRWIQCAG-ZYHUDNBSSA-N 0 1 260.345 0.394 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CCCN(C)C2)cnn1CCC#N ZINC000895835093 632163124 /nfs/dbraw/zinc/16/31/24/632163124.db2.gz YWSAMQFJGXZOOW-LBPRGKRZSA-N 0 1 275.356 0.929 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(C)nn2C)C1 ZINC001006853167 650055696 /nfs/dbraw/zinc/05/56/96/650055696.db2.gz LEGMTIUFUCZRHU-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C[C@@H](NC(=O)c1cccc(C#N)c1)[C@@H]1CN(C)CCN1C ZINC000896582901 632294857 /nfs/dbraw/zinc/29/48/57/632294857.db2.gz WOXOGXAKRBAPFS-DOMZBBRYSA-N 0 1 286.379 0.922 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897307670 632476317 /nfs/dbraw/zinc/47/63/17/632476317.db2.gz UZYHKUTYXPWSNM-DGCLKSJQSA-N 0 1 268.357 0.008 20 30 CCEDMN CN(CC#N)C1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC000985479568 632511894 /nfs/dbraw/zinc/51/18/94/632511894.db2.gz ADPILZFEMUBWIH-CHWSQXEVSA-N 0 1 287.367 0.960 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001007490240 650080605 /nfs/dbraw/zinc/08/06/05/650080605.db2.gz AICGVIRHOAAAFQ-ZDUSSCGKSA-N 0 1 289.383 0.922 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCn2ccnn2)[C@H]1C ZINC000986219490 632730301 /nfs/dbraw/zinc/73/03/01/632730301.db2.gz QHYVUTBDSCOSIT-NWDGAFQWSA-N 0 1 297.790 1.000 20 30 CCEDMN C[C@@H]1[C@@H](NCC#N)CCN1C(=O)CCc1cnc[nH]1 ZINC000986358357 632746172 /nfs/dbraw/zinc/74/61/72/632746172.db2.gz ZJROOXASHPCBQA-PWSUYJOCSA-N 0 1 261.329 0.445 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(OC)n(C)n2)C1 ZINC001007889801 650096164 /nfs/dbraw/zinc/09/61/64/650096164.db2.gz YRGQNBUVWCLQSY-LBPRGKRZSA-N 0 1 290.367 0.646 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001007904817 650096747 /nfs/dbraw/zinc/09/67/47/650096747.db2.gz WLODFQVDWBYHJA-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCn2cnnn2)[C@H]1C ZINC000987247385 632847343 /nfs/dbraw/zinc/84/73/43/632847343.db2.gz GFUOBHUDZDGGHP-WDEREUQCSA-N 0 1 298.778 0.395 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CN2CCCC2=O)C1 ZINC000987981619 632937305 /nfs/dbraw/zinc/93/73/05/632937305.db2.gz HYICXEJLQXZXDT-VXGBXAGGSA-N 0 1 299.802 0.940 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2c[nH]nc2C)C1 ZINC001008122928 650102714 /nfs/dbraw/zinc/10/27/14/650102714.db2.gz NVMARUSGLNPDRH-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CN2CN=NC2=O)C1 ZINC000988664750 633074903 /nfs/dbraw/zinc/07/49/03/633074903.db2.gz YSTOTMUKBNYXAJ-VHSXEESVSA-N 0 1 299.762 0.315 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000898009502 633266746 /nfs/dbraw/zinc/26/67/46/633266746.db2.gz RJAFHYRUEMRUHO-UHTWSYAYSA-N 0 1 263.341 0.516 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCCCN(C)C2=O)CC1 ZINC000989469607 633313807 /nfs/dbraw/zinc/31/38/07/633313807.db2.gz QNYRYKPFUBOEMR-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCCN2C(N)=O)CC1 ZINC000989795556 633356295 /nfs/dbraw/zinc/35/62/95/633356295.db2.gz REWIPTISGRNHPI-LBPRGKRZSA-N 0 1 280.372 0.250 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(N[C@H](C)c2nncn2C)CC1 ZINC000990313490 633509176 /nfs/dbraw/zinc/50/91/76/633509176.db2.gz FIBZVPOGGXEVOQ-GHMZBOCLSA-N 0 1 290.371 0.616 20 30 CCEDMN N#Cc1c2ccccc2[nH]c1C(=O)NCCN1CC[C@@H](O)C1 ZINC000899145787 633740657 /nfs/dbraw/zinc/74/06/57/633740657.db2.gz PSZOOCLQKSVELQ-LLVKDONJSA-N 0 1 298.346 0.836 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnon2)C1 ZINC001008928943 650133089 /nfs/dbraw/zinc/13/30/89/650133089.db2.gz QESHCGYSERNBIC-JTQLQIEISA-N 0 1 250.302 0.840 20 30 CCEDMN N#CCN(CC#N)C(=O)C1CCC(N2CCOCC2)CC1 ZINC000900463280 634025963 /nfs/dbraw/zinc/02/59/63/634025963.db2.gz BDLMUTQVIRKRML-UHFFFAOYSA-N 0 1 290.367 0.753 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCN(CC#N)CC1 ZINC000900777662 634085935 /nfs/dbraw/zinc/08/59/35/634085935.db2.gz UCGVEDFZNIHISC-FZMZJTMJSA-N 0 1 287.367 0.743 20 30 CCEDMN COc1cn(C)nc1C(C)=NNC1=NC[C@H](C)N1 ZINC000901215718 634209087 /nfs/dbraw/zinc/20/90/87/634209087.db2.gz LZZAWTPHHVADBO-ZETCQYMHSA-N 0 1 250.306 0.090 20 30 CCEDMN Cc1nc(Br)c(C=NNC[C@H](C)O)[nH]1 ZINC000901247516 634214680 /nfs/dbraw/zinc/21/46/80/634214680.db2.gz HHFBUGLKTZCVBB-YFKPBYRVSA-N 0 1 261.123 0.785 20 30 CCEDMN c1ccc2c(c1)O[C@@]1(CCOC1)CC2=NNC1=NCCN1 ZINC000901252879 634215153 /nfs/dbraw/zinc/21/51/53/634215153.db2.gz QOONZUNMHIYPFS-HNNXBMFYSA-N 0 1 286.335 0.881 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cc3n(n2)CCCC3=O)=NO1 ZINC000907158701 635084679 /nfs/dbraw/zinc/08/46/79/635084679.db2.gz DRWVAEBSKSJWPE-ZETCQYMHSA-N 0 1 262.269 0.712 20 30 CCEDMN N#CCCNC(=O)C1CCC(N2CCOCC2)CC1 ZINC000907802822 635204346 /nfs/dbraw/zinc/20/43/46/635204346.db2.gz AFMRWIAXOBEHMF-UHFFFAOYSA-N 0 1 265.357 0.907 20 30 CCEDMN C#CCSCC(=O)Nc1n[nH]c2cc(C)n(C)c(=O)c21 ZINC000910650320 635817924 /nfs/dbraw/zinc/81/79/24/635817924.db2.gz KZSRWIPNEDDXQK-UHFFFAOYSA-N 0 1 290.348 0.875 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(C)ncn2)C1 ZINC001010636742 650248141 /nfs/dbraw/zinc/24/81/41/650248141.db2.gz OSNKBYNGWMUNBX-GFCCVEGCSA-N 0 1 258.325 0.612 20 30 CCEDMN Cc1csc(=O)n1CCC(=O)NCC#CCN(C)C ZINC000913515088 636833879 /nfs/dbraw/zinc/83/38/79/636833879.db2.gz IDISJAMVDDPWMD-UHFFFAOYSA-N 0 1 281.381 0.290 20 30 CCEDMN Cc1cccc(C)c1NC(=O)C(=O)NCC#CCN(C)C ZINC000913519956 636834381 /nfs/dbraw/zinc/83/43/81/636834381.db2.gz WSDCVVYXJGFDCS-UHFFFAOYSA-N 0 1 287.363 0.923 20 30 CCEDMN Cc1cc(C(=O)NCC#CCN(C)C)ccc1-n1cncn1 ZINC000913519290 636834766 /nfs/dbraw/zinc/83/47/66/636834766.db2.gz UURJJFRDLUVNIN-UHFFFAOYSA-N 0 1 297.362 0.871 20 30 CCEDMN C[C@@H]1CC(=O)CC[C@H]1C(=O)NCC#CCN(C)C ZINC000913520514 636835867 /nfs/dbraw/zinc/83/58/67/636835867.db2.gz SAYLVTBRPANQRL-DGCLKSJQSA-N 0 1 250.342 0.673 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC(C2OCCO2)CC1 ZINC000171239285 637119837 /nfs/dbraw/zinc/11/98/37/637119837.db2.gz OBCVZXDAEPCTPP-GFCCVEGCSA-N 0 1 295.383 0.832 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)c3[nH]ncc3F)CCC[C@H]12 ZINC000992149622 637322600 /nfs/dbraw/zinc/32/26/00/637322600.db2.gz GAZVHVZUMOMVMB-GWCFXTLKSA-N 0 1 277.303 0.799 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cn2cc(C)cn2)[C@H]1C ZINC000993077305 637686067 /nfs/dbraw/zinc/68/60/67/637686067.db2.gz HQLFFACYKTXTQG-ZIAGYGMSSA-N 0 1 274.368 0.794 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cn2cc(C)cn2)[C@@H]1C ZINC000993077301 637686577 /nfs/dbraw/zinc/68/65/77/637686577.db2.gz HQLFFACYKTXTQG-KBPBESRZSA-N 0 1 274.368 0.794 20 30 CCEDMN NS(=O)(=O)c1ccc(N=NC2CN3CCC2CC3)cc1 ZINC000915047652 637723970 /nfs/dbraw/zinc/72/39/70/637723970.db2.gz ZLTDLQQUYOWRJM-UHFFFAOYSA-N 0 1 294.380 0.828 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2nonc2C)[C@H]1C ZINC000994118151 637868934 /nfs/dbraw/zinc/86/89/34/637868934.db2.gz APJPEAMCQVHMFM-VXGBXAGGSA-N 0 1 276.340 0.523 20 30 CCEDMN Cc1cc(O)cc2c1C(=O)C(=NN1CCOCC1)O2 ZINC000915939012 637881328 /nfs/dbraw/zinc/88/13/28/637881328.db2.gz GWYILADDIAQJJL-UHFFFAOYSA-N 0 1 262.265 0.921 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)CN2CCCC2)CCCN1CC#N ZINC000994407462 637934515 /nfs/dbraw/zinc/93/45/15/637934515.db2.gz MBLSNJHBGPZJOQ-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@@H]1C ZINC000994431432 637938421 /nfs/dbraw/zinc/93/84/21/637938421.db2.gz HSZFNGXMCVFIQC-JQWIXIFHSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@@H]1C ZINC000994431432 637938424 /nfs/dbraw/zinc/93/84/24/637938424.db2.gz HSZFNGXMCVFIQC-JQWIXIFHSA-N 0 1 288.351 0.330 20 30 CCEDMN NC(CN1CCCCCC1)=NOCC(=O)NCC1CC1 ZINC000154330789 637960346 /nfs/dbraw/zinc/96/03/46/637960346.db2.gz UPWAUAFETIGKRI-UHFFFAOYSA-N 0 1 282.388 0.677 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC000938670083 638248233 /nfs/dbraw/zinc/24/82/33/638248233.db2.gz BNLFRRAHVFGPEE-JTQLQIEISA-N 0 1 290.298 0.293 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCN(CC#N)C[C@@H]2C)[nH]n1 ZINC000941943459 638587077 /nfs/dbraw/zinc/58/70/77/638587077.db2.gz PMOIFXDFLHMTKI-GXFFZTMASA-N 0 1 275.356 0.611 20 30 CCEDMN C#CCCCNS(=O)(=O)c1scnc1C(=O)OC ZINC000183669705 638596660 /nfs/dbraw/zinc/59/66/60/638596660.db2.gz CJZOWCLERDLSLJ-UHFFFAOYSA-N 0 1 288.350 0.621 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cn(CCOC)nn2)C1 ZINC001014390610 650447771 /nfs/dbraw/zinc/44/77/71/650447771.db2.gz ZHSRAPYQPGATSG-GFCCVEGCSA-N 0 1 293.371 0.305 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H]1CCN(CCO)C1 ZINC001014467838 650453896 /nfs/dbraw/zinc/45/38/96/650453896.db2.gz HQELCAKUDZVMRV-XQQFMLRXSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC(NC(=O)c2ncn[nH]2)C1 ZINC000997816229 638884308 /nfs/dbraw/zinc/88/43/08/638884308.db2.gz SMRKRXLSYRYAEY-CYBMUJFWSA-N 0 1 277.328 0.348 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC(NC(=O)c2nc[nH]n2)C1 ZINC000997816229 638884309 /nfs/dbraw/zinc/88/43/09/638884309.db2.gz SMRKRXLSYRYAEY-CYBMUJFWSA-N 0 1 277.328 0.348 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC000999300336 638973288 /nfs/dbraw/zinc/97/32/88/638973288.db2.gz XPEGAOYQEFOOII-NEPJUHHUSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC000999947167 639024189 /nfs/dbraw/zinc/02/41/89/639024189.db2.gz HZNFHLWZEHATTH-NWDGAFQWSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CNC(=O)N2)C1 ZINC001000035697 639036638 /nfs/dbraw/zinc/03/66/38/639036638.db2.gz GYRGLZJZDBBCJR-NXEZZACHSA-N 0 1 286.763 0.001 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC(NC(=O)Cc2nnc[nH]2)C1 ZINC001000187286 639050436 /nfs/dbraw/zinc/05/04/36/639050436.db2.gz YGWQFHXXHSNTMA-UHFFFAOYSA-N 0 1 291.355 0.277 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC(NC(=O)Cc2nnc[nH]2)C1 ZINC001000197173 639051273 /nfs/dbraw/zinc/05/12/73/639051273.db2.gz AEUYHUPMXCFHMC-AWEZNQCLSA-N 0 1 291.355 0.277 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001000639951 639145812 /nfs/dbraw/zinc/14/58/12/639145812.db2.gz XYXBYVHZBROPFW-RYUDHWBXSA-N 0 1 287.367 0.619 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001000733430 639157734 /nfs/dbraw/zinc/15/77/34/639157734.db2.gz QLTKFXALVZSIDV-QWRGUYRKSA-N 0 1 285.775 0.598 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CCC[C@H](NCC#N)C1 ZINC001000718802 639160182 /nfs/dbraw/zinc/16/01/82/639160182.db2.gz MMTICWFLNVQQGU-LBPRGKRZSA-N 0 1 287.367 0.865 20 30 CCEDMN C=C(Cl)CN1CC=C(CNC(=O)c2cnn[nH]2)CC1 ZINC001000892319 639185339 /nfs/dbraw/zinc/18/53/39/639185339.db2.gz WYPCTYVDCMUWPV-UHFFFAOYSA-N 0 1 281.747 0.919 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2[nH]nnc2C)CC1 ZINC001001030967 639220521 /nfs/dbraw/zinc/22/05/21/639220521.db2.gz KCIRAPIATDTWCF-UHFFFAOYSA-N 0 1 273.340 0.498 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccn3nnnc3c2)CC1 ZINC001001035364 639220565 /nfs/dbraw/zinc/22/05/65/639220565.db2.gz HOUHOYXPYLAQSR-UHFFFAOYSA-N 0 1 296.334 0.119 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(CNC(=O)[C@@H]2CCCN2C)C1 ZINC001001217997 639256151 /nfs/dbraw/zinc/25/61/51/639256151.db2.gz IMOGACRGCQJUMK-ZDUSSCGKSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001014748524 650484809 /nfs/dbraw/zinc/48/48/09/650484809.db2.gz AEVNMRGUXSREOS-CYBMUJFWSA-N 0 1 262.357 0.648 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCc3nncn3C2)CC1 ZINC001001464533 639301812 /nfs/dbraw/zinc/30/18/12/639301812.db2.gz WCUZFQDCPARLGB-AWEZNQCLSA-N 0 1 299.378 0.222 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(CC)n(C)n2)CC1 ZINC001001499681 639309174 /nfs/dbraw/zinc/30/91/74/639309174.db2.gz FITPJZBQDLDQKX-UHFFFAOYSA-N 0 1 286.379 0.978 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2cnn(C)c2)CC1 ZINC001002445062 639413061 /nfs/dbraw/zinc/41/30/61/639413061.db2.gz SLULLPWCARHDOS-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc[nH]c2=O)CC1 ZINC001003115861 639508190 /nfs/dbraw/zinc/50/81/90/639508190.db2.gz GAESDMXESCAJLK-UHFFFAOYSA-N 0 1 260.297 0.010 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@]2(F)CCOC2)CC1 ZINC001003496894 639553156 /nfs/dbraw/zinc/55/31/56/639553156.db2.gz SPBPWFXPKQRMAL-AWEZNQCLSA-N 0 1 268.332 0.719 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc3c(c2)nnn3C)C1 ZINC001014947800 650506091 /nfs/dbraw/zinc/50/60/91/650506091.db2.gz PUBTXVIBKVYDNH-GFCCVEGCSA-N 0 1 285.351 0.958 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001015015728 650514694 /nfs/dbraw/zinc/51/46/94/650514694.db2.gz UMQPETSEXBLCEG-UONOGXRCSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H]2CCCCC(=O)N2)CC1 ZINC001004051481 639669526 /nfs/dbraw/zinc/66/95/26/639669526.db2.gz ZOLHRNSBCKNGOV-CQSZACIVSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2c(C)csc2=O)CC1 ZINC001004127066 639682418 /nfs/dbraw/zinc/68/24/18/639682418.db2.gz MYFHPAYYTMIMIW-UHFFFAOYSA-N 0 1 293.392 0.432 20 30 CCEDMN Cc1ccc(CNCc2cn(C)c(=O)n(C)c2=O)cc1C#N ZINC000922759313 639781610 /nfs/dbraw/zinc/78/16/10/639781610.db2.gz MOWPINKPEJONQQ-UHFFFAOYSA-N 0 1 298.346 0.554 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(C)c2CC)C1 ZINC001015080421 650526097 /nfs/dbraw/zinc/52/60/97/650526097.db2.gz HBCIDGOWSCQEPD-NSHDSACASA-N 0 1 262.357 0.973 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001014740785 639892684 /nfs/dbraw/zinc/89/26/84/639892684.db2.gz ACDCGEXMAXGHGN-SNVBAGLBSA-N 0 1 272.312 0.343 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CC(=O)N(CC)C2)CC1 ZINC001005474347 639911137 /nfs/dbraw/zinc/91/11/37/639911137.db2.gz BSYPVPKTNMJLAE-ZDUSSCGKSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC001005522293 639915535 /nfs/dbraw/zinc/91/55/35/639915535.db2.gz RCCRPBWPAAUPDM-ZFWWWQNUSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2COCCN2CC)CC1 ZINC001005632758 639945900 /nfs/dbraw/zinc/94/59/00/639945900.db2.gz QYDIDAMYMWHVCV-OAHLLOKOSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccnc(OC)n2)CC1 ZINC001005695044 639953010 /nfs/dbraw/zinc/95/30/10/639953010.db2.gz OIVQUTOFLULYOQ-UHFFFAOYSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2C[C@H](C)CO2)CC1 ZINC001005727245 639956059 /nfs/dbraw/zinc/95/60/59/639956059.db2.gz BJJKYQLCAOCZFI-JSGCOSHPSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC001005820378 639977827 /nfs/dbraw/zinc/97/78/27/639977827.db2.gz GHUSFBTVPVESIL-KBPBESRZSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc3n(n2)CCCO3)C1 ZINC001015235189 650542092 /nfs/dbraw/zinc/54/20/92/650542092.db2.gz YRXZLTCKPRXHOP-GFCCVEGCSA-N 0 1 288.351 0.493 20 30 CCEDMN N#Cc1ccccc1OC[C@H](O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000923223192 640056007 /nfs/dbraw/zinc/05/60/07/640056007.db2.gz VOXSHYQSGAAKHT-OLZOCXBDSA-N 0 1 290.319 0.847 20 30 CCEDMN N#CC(C(=O)COCc1ccccn1)C(=O)NC1CC1 ZINC000805856506 640437024 /nfs/dbraw/zinc/43/70/24/640437024.db2.gz WVWSGUPTWLQLLX-GFCCVEGCSA-N 0 1 273.292 0.586 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)NC2(c3nnc[nH]3)CCC2)cc1 ZINC000797758821 640466530 /nfs/dbraw/zinc/46/65/30/640466530.db2.gz SWAGROKMKQWHIP-GFCCVEGCSA-N 0 1 297.318 0.905 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccoc2CC(N)=O)C1 ZINC001015618382 650593980 /nfs/dbraw/zinc/59/39/80/650593980.db2.gz RTVYZXZSPHNTEQ-LLVKDONJSA-N 0 1 291.351 0.688 20 30 CCEDMN C[C@H](C#N)OCC[N@@H+](C)CCN1CCOC(C)(C)C1 ZINC000801951444 640680660 /nfs/dbraw/zinc/68/06/60/640680660.db2.gz FQUIGEMSJANSIO-CYBMUJFWSA-N 0 1 269.389 0.958 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccnc(OC)c2)C1 ZINC001015709965 650613089 /nfs/dbraw/zinc/61/30/89/650613089.db2.gz DNYVGDMMTRGNIX-AWEZNQCLSA-N 0 1 287.363 0.847 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001015722245 650616603 /nfs/dbraw/zinc/61/66/03/650616603.db2.gz XKVLUAAVPNFEJN-MGPQQGTHSA-N 0 1 286.379 0.737 20 30 CCEDMN Cc1nn(-c2ccncc2)cc1C=NNC[C@H](C)O ZINC000814965931 641086849 /nfs/dbraw/zinc/08/68/49/641086849.db2.gz FYBSZEZDWGCHSG-JTQLQIEISA-N 0 1 259.313 0.880 20 30 CCEDMN Cn1ncc(CNC2CCN(c3ccc(C#N)cn3)CC2)n1 ZINC000815122420 641092262 /nfs/dbraw/zinc/09/22/62/641092262.db2.gz VESKGBNLQRFUAJ-UHFFFAOYSA-N 0 1 297.366 0.840 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3n[nH]c(=O)n3c2)C1 ZINC001015836389 650637912 /nfs/dbraw/zinc/63/79/12/650637912.db2.gz NPLHNFKLWJXPTO-NSHDSACASA-N 0 1 287.323 0.425 20 30 CCEDMN N#CC1CN(C(=O)CSc2n[nH]c(=S)s2)C1 ZINC000817546605 641260858 /nfs/dbraw/zinc/26/08/58/641260858.db2.gz LFECZEIUOXFNBM-UHFFFAOYSA-N 0 1 272.380 0.901 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001015946245 650661186 /nfs/dbraw/zinc/66/11/86/650661186.db2.gz WIQJZLMBXRMIGK-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN O=C(N[C@H]1CCN(O)C1=O)c1[nH]nc2c1CCCCC2 ZINC000820179657 641480260 /nfs/dbraw/zinc/48/02/60/641480260.db2.gz NEPIKPVHOGXEAB-JTQLQIEISA-N 0 1 278.312 0.399 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CCOC)[C@H](CCC)C1 ZINC000827588607 642021542 /nfs/dbraw/zinc/02/15/42/642021542.db2.gz JKKUPLZZNWNRMT-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N[C@@H]1CCN(CC#N)C1 ZINC001016339325 650719636 /nfs/dbraw/zinc/71/96/36/650719636.db2.gz PAZJDLCPIBTBPR-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2cnn(CCN(C)C)c2)NO1 ZINC000867062718 642171488 /nfs/dbraw/zinc/17/14/88/642171488.db2.gz HLHRITYLNBKVMK-SECBINFHSA-N 0 1 265.317 0.297 20 30 CCEDMN C#CC[C@H]1CCC[N@H+](CCCOC(=O)NC(N)=O)C1 ZINC000829804848 642193714 /nfs/dbraw/zinc/19/37/14/642193714.db2.gz LMSPUOSAYSJEAW-NSHDSACASA-N 0 1 267.329 0.917 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001016445149 650733141 /nfs/dbraw/zinc/73/31/41/650733141.db2.gz CHWQUZWSYIUOMK-LBPRGKRZSA-N 0 1 288.351 0.561 20 30 CCEDMN Cc1cnc(S(C)(=O)=O)nc1C(=O)NC1=NO[C@H](C)C1 ZINC000831398537 642358694 /nfs/dbraw/zinc/35/86/94/642358694.db2.gz CMVJZZZLTGNJHI-SSDOTTSWSA-N 0 1 298.324 0.041 20 30 CCEDMN C[C@@H]1CC[C@H](CCC(=O)N[C@H]2CNC[C@@H]2C#N)O1 ZINC000834479601 642571296 /nfs/dbraw/zinc/57/12/96/642571296.db2.gz GNDQVPPOXVTBAH-KXNHARMFSA-N 0 1 251.330 0.562 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCC1CCOCC1 ZINC000834479279 642571678 /nfs/dbraw/zinc/57/16/78/642571678.db2.gz BWQNLJGOZDKEQU-VXGBXAGGSA-N 0 1 251.330 0.421 20 30 CCEDMN Cc1c(CC(=O)N[C@@H]2CNC[C@H]2C#N)cccc1[N+](=O)[O-] ZINC000834480504 642572732 /nfs/dbraw/zinc/57/27/32/642572732.db2.gz QYGMUDQRAKBEPD-VXGBXAGGSA-N 0 1 288.307 0.674 20 30 CCEDMN Cc1onc(-c2ccccn2)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834480619 642572870 /nfs/dbraw/zinc/57/28/70/642572870.db2.gz SYQITXFCHZZJBM-JQWIXIFHSA-N 0 1 297.318 0.886 20 30 CCEDMN Cc1c(CC(=O)N[C@H]2CNC[C@@H]2C#N)cccc1[N+](=O)[O-] ZINC000834480503 642572912 /nfs/dbraw/zinc/57/29/12/642572912.db2.gz QYGMUDQRAKBEPD-RYUDHWBXSA-N 0 1 288.307 0.674 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000834479947 642573663 /nfs/dbraw/zinc/57/36/63/642573663.db2.gz JSSRPTUQMGHFGK-CBAPKCEASA-N 0 1 275.268 0.018 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1scnc1C1CC1 ZINC000834483881 642574451 /nfs/dbraw/zinc/57/44/51/642574451.db2.gz AUPJZIIEBUJWLS-IUCAKERBSA-N 0 1 262.338 0.862 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CSCc1ccccn1 ZINC000834488833 642578006 /nfs/dbraw/zinc/57/80/06/642578006.db2.gz HBMKGLWXWAHJSR-JQWIXIFHSA-N 0 1 276.365 0.543 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc(-n2cccn2)c1 ZINC000834488556 642578051 /nfs/dbraw/zinc/57/80/51/642578051.db2.gz DMXBWGBERRSIGV-GXTWGEPZSA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnn2ccccc12 ZINC000834485586 642578094 /nfs/dbraw/zinc/57/80/94/642578094.db2.gz YWQRYEVKNJKUHC-ONGXEEELSA-N 0 1 255.281 0.176 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COC1CCCCC1 ZINC000834489303 642579533 /nfs/dbraw/zinc/57/95/33/642579533.db2.gz LPFZIHXDLMAPAG-JQWIXIFHSA-N 0 1 251.330 0.563 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(-n2cccn2)ccn1 ZINC000834490339 642581636 /nfs/dbraw/zinc/58/16/36/642581636.db2.gz UFCXXHGQIIAAQG-ZWNOBZJWSA-N 0 1 282.307 0.109 20 30 CCEDMN Cn1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(-c2ccncc2)n1 ZINC000834493233 642582795 /nfs/dbraw/zinc/58/27/95/642582795.db2.gz BYXBBYBFQDRWGX-DGCLKSJQSA-N 0 1 296.334 0.323 20 30 CCEDMN Cc1cccc(-n2cc(C(=O)N[C@H]3CNC[C@H]3C#N)nn2)c1 ZINC000834495804 642587754 /nfs/dbraw/zinc/58/77/54/642587754.db2.gz ZUPREKWYZDKOIL-YPMHNXCESA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccnc2ccncc21 ZINC000834505248 642592027 /nfs/dbraw/zinc/59/20/27/642592027.db2.gz JLPCTVDGGWVZBX-TVQRCGJNSA-N 0 1 267.292 0.471 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc(NC(=O)C2CC2)c1 ZINC000834505759 642592183 /nfs/dbraw/zinc/59/21/83/642592183.db2.gz NQHYADJJLWADLH-OCCSQVGLSA-N 0 1 298.346 0.876 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccc2c(c1)OCCO2 ZINC000834506747 642593205 /nfs/dbraw/zinc/59/32/05/642593205.db2.gz VMYAZQHFLXQEJB-VXGBXAGGSA-N 0 1 287.319 0.228 20 30 CCEDMN Cc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1[N+](=O)[O-] ZINC000834506616 642593313 /nfs/dbraw/zinc/59/33/13/642593313.db2.gz UNIKSZJBHQQYGH-MNOVXSKESA-N 0 1 274.280 0.745 20 30 CCEDMN C[C@H](NC(=O)c1ccccc1)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834505936 642593455 /nfs/dbraw/zinc/59/34/55/642593455.db2.gz PBVWCWYMCUZPGA-DRZSPHRISA-N 0 1 286.335 0.033 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1 ZINC000834506635 642594160 /nfs/dbraw/zinc/59/41/60/642594160.db2.gz UOKXPTXXDRVGSC-KOLCDFICSA-N 0 1 260.253 0.436 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnn(Cc2ccccc2)c1 ZINC000834510856 642595536 /nfs/dbraw/zinc/59/55/36/642595536.db2.gz FZPPQTOXSOBLQK-HIFRSBDPSA-N 0 1 295.346 0.773 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1COc2ccccc2C1 ZINC000834511908 642596720 /nfs/dbraw/zinc/59/67/20/642596720.db2.gz PQTHIYMSUZJQAT-UPJWGTAASA-N 0 1 271.320 0.465 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@H]2C#N)[nH]c2c1C(=O)CCC2 ZINC000834511868 642597131 /nfs/dbraw/zinc/59/71/31/642597131.db2.gz PCXQLAKDSQPSLF-KOLCDFICSA-N 0 1 286.335 0.684 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCc1ccccc1F ZINC000834511788 642597733 /nfs/dbraw/zinc/59/77/33/642597733.db2.gz NZKAEYUHXCPIFF-DGCLKSJQSA-N 0 1 261.300 0.986 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCc1ccccc1O ZINC000834512604 642598204 /nfs/dbraw/zinc/59/82/04/642598204.db2.gz ZEVQGAKPZUQCOW-NWDGAFQWSA-N 0 1 259.309 0.553 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCc1ccccc1O ZINC000834512606 642599376 /nfs/dbraw/zinc/59/93/76/642599376.db2.gz ZEVQGAKPZUQCOW-VXGBXAGGSA-N 0 1 259.309 0.553 20 30 CCEDMN Cc1cc(C)n(CCC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000834517631 642600295 /nfs/dbraw/zinc/60/02/95/642600295.db2.gz XVVNVVOCZUYHMP-RYUDHWBXSA-N 0 1 261.329 0.118 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1Cc2ccccc2S1 ZINC000834517686 642601318 /nfs/dbraw/zinc/60/13/18/642601318.db2.gz YEWCAXYVNJQNIE-NQBHXWOUSA-N 0 1 273.361 0.931 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccccc1-n1cccn1 ZINC000834521554 642602143 /nfs/dbraw/zinc/60/21/43/642602143.db2.gz MWOVJAJQAYPIOS-DGCLKSJQSA-N 0 1 281.319 0.714 20 30 CCEDMN N#Cc1cc(NC(=O)N[C@H]2CNC[C@@H]2C#N)ccc1F ZINC000841104467 642803571 /nfs/dbraw/zinc/80/35/71/642803571.db2.gz RRLBYVWKCQHMQH-CABZTGNLSA-N 0 1 273.271 0.930 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1cnn(-c2ccccc2)n1 ZINC000841167412 642805896 /nfs/dbraw/zinc/80/58/96/642805896.db2.gz HMSPQATUYIQQMB-ZYHUDNBSSA-N 0 1 297.322 0.500 20 30 CCEDMN Cc1cc(C)nc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000841189743 642807214 /nfs/dbraw/zinc/80/72/14/642807214.db2.gz JTLJPDYJJKKDAS-WDEREUQCSA-N 0 1 259.313 0.932 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccc(OC(F)F)nc1 ZINC000841234414 642807946 /nfs/dbraw/zinc/80/79/46/642807946.db2.gz PWQDEKCWDRDVHU-VXNVDRBHSA-N 0 1 297.265 0.916 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)N[C@@H]1C[NH2+]C[C@@H]1C#N ZINC000843459228 643063000 /nfs/dbraw/zinc/06/30/00/643063000.db2.gz COPPDXLLANCKTN-VHSXEESVSA-N 0 1 261.329 0.683 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(F)c(F)cc1F ZINC000843459080 643063127 /nfs/dbraw/zinc/06/31/27/643063127.db2.gz CHFDBOYZSWTKDE-KBUNVGBDSA-N 0 1 269.226 0.945 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000843459627 643063142 /nfs/dbraw/zinc/06/31/42/643063142.db2.gz HEWKWLJGPQYUJV-CBAPKCEASA-N 0 1 275.268 0.018 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)N[C@H]1C[NH2+]C[C@H]1C#N ZINC000843459230 643063872 /nfs/dbraw/zinc/06/38/72/643063872.db2.gz COPPDXLLANCKTN-ZJUUUORDSA-N 0 1 261.329 0.683 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@@H]1CCCC[C@H]1O ZINC000843460382 643064652 /nfs/dbraw/zinc/06/46/52/643064652.db2.gz OGMNZYGQSZNPFN-WHOHXGKFSA-N 0 1 251.330 0.155 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc(NC(N)=O)c1 ZINC000843460351 643065030 /nfs/dbraw/zinc/06/50/30/643065030.db2.gz HJGQFXVEAKLEGE-GXSJLCMTSA-N 0 1 273.296 0.019 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1CCCc2n[nH]cc21 ZINC000843461716 643066824 /nfs/dbraw/zinc/06/68/24/643066824.db2.gz WIUDJTPBVOVZEO-PTRXPTGYSA-N 0 1 259.313 0.057 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCNC1=O ZINC001017612021 650813246 /nfs/dbraw/zinc/81/32/46/650813246.db2.gz DRMIPCSHLWKFMP-MCIONIFRSA-N 0 1 289.379 0.211 20 30 CCEDMN N#Cc1ccc(OCC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000846677877 643441934 /nfs/dbraw/zinc/44/19/34/643441934.db2.gz PUSOSAMNRCVBHC-DGCLKSJQSA-N 0 1 270.292 0.165 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc2c1OCCCO2 ZINC000846679283 643443136 /nfs/dbraw/zinc/44/31/36/643443136.db2.gz ZTTVAVXXFUQWSZ-PWSUYJOCSA-N 0 1 287.319 0.689 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001018045644 650841045 /nfs/dbraw/zinc/84/10/45/650841045.db2.gz MUGTZBVZUXTUIV-AEGPPILISA-N 0 1 286.379 0.897 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)C(F)(F)C(F)F ZINC000848137064 643607776 /nfs/dbraw/zinc/60/77/76/643607776.db2.gz XVHRVFKGEOOLPE-BYPYZUCNSA-N 0 1 258.171 0.383 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(=O)[nH]n2)C1 ZINC001018458402 650866625 /nfs/dbraw/zinc/86/66/25/650866625.db2.gz NFMWQZWGXXBVAD-VIFPVBQESA-N 0 1 282.731 0.739 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC001018560032 650876968 /nfs/dbraw/zinc/87/69/68/650876968.db2.gz VJPJRIXJCPJULB-JTQLQIEISA-N 0 1 254.721 0.966 20 30 CCEDMN CC(C)C#CC(=O)NC1CCN([C@H]2CCN(C)C2=O)CC1 ZINC000854456872 644205704 /nfs/dbraw/zinc/20/57/04/644205704.db2.gz XSPASGAWNQBYEH-AWEZNQCLSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CC[NH2+][C@H]1CCN(C(=O)c2cnc3ccccc3c2[O-])C1 ZINC001019082199 650936910 /nfs/dbraw/zinc/93/69/10/650936910.db2.gz RDIQHZSFTZZJKJ-LBPRGKRZSA-N 0 1 295.342 0.965 20 30 CCEDMN Cc1c[nH+]cn1CC(=O)[N-]S(=O)(=O)CC1(C#N)CCC1 ZINC000860672491 644820542 /nfs/dbraw/zinc/82/05/42/644820542.db2.gz WFMYRVSUEJLMBP-UHFFFAOYSA-N 0 1 296.352 0.331 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001019263037 650953858 /nfs/dbraw/zinc/95/38/58/650953858.db2.gz YIXWWBVLUUAEQJ-WDEREUQCSA-N 0 1 285.775 0.408 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001019363944 650966538 /nfs/dbraw/zinc/96/65/38/650966538.db2.gz KIDKDWJFXLAVNG-ZETCQYMHSA-N 0 1 269.255 0.675 20 30 CCEDMN Cn1ccc(CN[C@H]2C[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC001020088094 651037027 /nfs/dbraw/zinc/03/70/27/651037027.db2.gz AIKNYJIQOUFPFT-JOCQHMNTSA-N 0 1 298.350 0.670 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H](CO)CN2CCCCC2)co1 ZINC000868243450 645735951 /nfs/dbraw/zinc/73/59/51/645735951.db2.gz KCPBKIRWUPBZIX-LBPRGKRZSA-N 0 1 277.324 0.728 20 30 CCEDMN C[C@@H](C#N)OCCN(C)[C@H]1CCCCN(C)C1=O ZINC000872265854 646233068 /nfs/dbraw/zinc/23/30/68/646233068.db2.gz MSYGTIWQOGGCQI-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@H](C(=O)NCCNc1ncccc1C#N)N(C)C ZINC000874186044 646430741 /nfs/dbraw/zinc/43/07/41/646430741.db2.gz WGPSNDCPEFMXRT-SNVBAGLBSA-N 0 1 261.329 0.431 20 30 CCEDMN C[C@H]1CN(C(=O)[C@H]2NCCc3cc(C#N)ccc32)CCO1 ZINC000876570376 646626323 /nfs/dbraw/zinc/62/63/23/646626323.db2.gz BFAHXVZEAUFQFF-NHYWBVRUSA-N 0 1 285.347 0.992 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NCc1cc[nH]n1 ZINC000876606169 646632054 /nfs/dbraw/zinc/63/20/54/646632054.db2.gz WPMPOVBUDXRDPY-CQSZACIVSA-N 0 1 281.319 0.785 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NCC1(CO)CC1 ZINC000876656127 646638603 /nfs/dbraw/zinc/63/86/03/646638603.db2.gz FNGCEZSXDYBJKS-AWEZNQCLSA-N 0 1 285.347 0.634 20 30 CCEDMN Cc1nc2sccn2c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000876801941 646669477 /nfs/dbraw/zinc/66/94/77/646669477.db2.gz KRRUQDXZDJUDDE-IUCAKERBSA-N 0 1 275.337 0.546 20 30 CCEDMN Cc1cn2cc(CC(=O)N[C@@H]3CNC[C@@H]3C#N)nc2s1 ZINC000876802762 646670632 /nfs/dbraw/zinc/67/06/32/646670632.db2.gz RSARHQWONBBJNI-GXSJLCMTSA-N 0 1 289.364 0.475 20 30 CCEDMN C[C@]1(C(=O)N[C@@H]2CNC[C@H]2C#N)COc2ccccc2O1 ZINC000876803910 646671500 /nfs/dbraw/zinc/67/15/00/646671500.db2.gz ZDLCOVVTWKZRQX-UEKVPHQBSA-N 0 1 287.319 0.444 20 30 CCEDMN N#Cc1c[nH]c2cc(C(=O)NC[C@H]3COCCN3)ccc12 ZINC000877038571 646719045 /nfs/dbraw/zinc/71/90/45/646719045.db2.gz SPZCHPSDVWOCQS-LBPRGKRZSA-N 0 1 284.319 0.758 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N[C@H](CO)CN1CCCC1 ZINC000879991026 647139856 /nfs/dbraw/zinc/13/98/56/647139856.db2.gz AGCPSRXSDYOVOK-NSHDSACASA-N 0 1 277.324 0.646 20 30 CCEDMN C[C@H](NS(=O)(=O)c1cccc(F)c1C#N)c1nnc[nH]1 ZINC000128996947 647311153 /nfs/dbraw/zinc/31/11/53/647311153.db2.gz JIVQPQNDXXLXKD-ZETCQYMHSA-N 0 1 295.299 0.855 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN(CC(C)(C)O)[C@H](C)C2)n1 ZINC000882233728 647385259 /nfs/dbraw/zinc/38/52/59/647385259.db2.gz QYFCLZBSFLODMD-LLVKDONJSA-N 0 1 289.383 0.938 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CC(F)(F)C(F)F ZINC000884249215 647679910 /nfs/dbraw/zinc/67/99/10/647679910.db2.gz GMTJPZPIXQHQIJ-PHDIDXHHSA-N 0 1 253.199 0.505 20 30 CCEDMN N#Cc1c2ccccc2[nH]c1C(=O)NC[C@@H]1COCCN1 ZINC000884436692 647736545 /nfs/dbraw/zinc/73/65/45/647736545.db2.gz LTMAODDOCQKLSJ-SNVBAGLBSA-N 0 1 284.319 0.758 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN([C@@H]3CCOC3)CC2)CCC1 ZINC000886086788 647898840 /nfs/dbraw/zinc/89/88/40/647898840.db2.gz MHZNHZCUGOTAHD-CABCVRRESA-N 0 1 293.411 0.838 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCO[C@]3(CCOC3)C2)CCC1 ZINC000886138034 647906712 /nfs/dbraw/zinc/90/67/12/647906712.db2.gz AFQJHVUYCXUSBY-DZGCQCFKSA-N 0 1 280.368 0.923 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC001006413912 647990418 /nfs/dbraw/zinc/99/04/18/647990418.db2.gz UHHOHSFCCKURFY-UHFFFAOYSA-N 0 1 288.351 0.283 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@@]2(CO)CCC[C@@H]12 ZINC000886623828 647992978 /nfs/dbraw/zinc/99/29/78/647992978.db2.gz PLISGGUVUREHBZ-DGCLKSJQSA-N 0 1 251.330 0.253 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)[C@H]2CCCc3[nH]ncc32)CCO1 ZINC000887679088 648140043 /nfs/dbraw/zinc/14/00/43/648140043.db2.gz QKLMLNVYMJULSV-HZMBPMFUSA-N 0 1 274.324 0.971 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCc1nc(C#N)cs1 ZINC000887980530 648178927 /nfs/dbraw/zinc/17/89/27/648178927.db2.gz OFFFMNJTILMDIF-SNVBAGLBSA-N 0 1 294.380 0.599 20 30 CCEDMN COc1cncnc1NC(=O)NCC#CCN(C)C ZINC000931441072 648989082 /nfs/dbraw/zinc/98/90/82/648989082.db2.gz BPZSOIVKBIWWDF-UHFFFAOYSA-N 0 1 263.301 0.172 20 30 CCEDMN N#Cc1ccsc1NC(=O)NCCN1CC[C@@H](O)C1 ZINC000931833926 649030936 /nfs/dbraw/zinc/03/09/36/649030936.db2.gz GKYYLKYXHZYYNZ-SNVBAGLBSA-N 0 1 280.353 0.808 20 30 CCEDMN COc1cnc(F)c(NC(=O)NCC#CCN(C)C)c1 ZINC000932034152 649044308 /nfs/dbraw/zinc/04/43/08/649044308.db2.gz KQZQLPLSKXWFGG-UHFFFAOYSA-N 0 1 280.303 0.916 20 30 CCEDMN COc1ncc(NC(=O)N(C)CC2CN(C)C2)cc1C#N ZINC000932518173 649078640 /nfs/dbraw/zinc/07/86/40/649078640.db2.gz UXOCVUQUVNRFEO-UHFFFAOYSA-N 0 1 289.339 0.987 20 30 CCEDMN C#C[C@H]1CCCCN1CCCS(=O)(=O)N(C)C ZINC000934510605 649176660 /nfs/dbraw/zinc/17/66/60/649176660.db2.gz AXVCQFNAXGSCSH-LBPRGKRZSA-N 0 1 258.387 0.756 20 30 CCEDMN N#CCN1CCN(C(=O)c2cccc3[nH]cnc32)CC1 ZINC000952376949 649597787 /nfs/dbraw/zinc/59/77/87/649597787.db2.gz DWMAVWYWMUPXHH-UHFFFAOYSA-N 0 1 269.308 0.844 20 30 CCEDMN Cc1cc(C(=O)N(C)C2CN(C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC000953816428 649631952 /nfs/dbraw/zinc/63/19/52/649631952.db2.gz MDBZHQBYHMPPFR-MRVPVSSYSA-N 0 1 275.312 0.161 20 30 CCEDMN C#C[C@@H](C)NC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000248571372 649734948 /nfs/dbraw/zinc/73/49/48/649734948.db2.gz SDYQOTZKXLFOPI-CHWSQXEVSA-N 0 1 267.373 0.664 20 30 CCEDMN N#Cc1cccc(CN2C[C@H](O)[C@@H](CNC(=O)C3CC3)C2)c1 ZINC000957785322 649759196 /nfs/dbraw/zinc/75/91/96/649759196.db2.gz VWJGURNAFRZCPN-HOTGVXAUSA-N 0 1 299.374 0.877 20 30 CCEDMN Cc1ccc(C#N)c(N2C[C@@H]3OCCN(C)[C@H]3C2)n1 ZINC000408049922 719379183 /nfs/dbraw/zinc/37/91/83/719379183.db2.gz GKWNXAKNPVVHAD-STQMWFEESA-N 0 1 258.325 0.781 20 30 CCEDMN Cc1ccc(C#N)c(N2C[C@H]3OCCN(C)[C@H]3C2)n1 ZINC000161852004 719379285 /nfs/dbraw/zinc/37/92/85/719379285.db2.gz GKWNXAKNPVVHAD-QWHCGFSZSA-N 0 1 258.325 0.781 20 30 CCEDMN CC#CCN1CCC(NC(=O)CNC(=O)C2CCC2)CC1 ZINC001230349330 805594975 /nfs/dbraw/zinc/59/49/75/805594975.db2.gz UKNASPAZGDOSEX-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCCN1CC[NH+](CCCNC(=O)CC(C)(C)O)CC1 ZINC001266262845 790351578 /nfs/dbraw/zinc/35/15/78/790351578.db2.gz PMJGSKJQZHNHLL-UHFFFAOYSA-N 0 1 295.427 0.295 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001266269391 790369669 /nfs/dbraw/zinc/36/96/69/790369669.db2.gz HKOCJVPKMYRKFS-FICVDOATSA-N 0 1 293.411 0.189 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@H](OC)C(C)C)CC1 ZINC001266271207 790372412 /nfs/dbraw/zinc/37/24/12/790372412.db2.gz ISAMLYQMQZXHLZ-OAHLLOKOSA-N 0 1 295.427 0.415 20 30 CCEDMN C=CCN1CCN(CCNC(=O)CC[C@@H](C)OC)CC1 ZINC001266283371 790398439 /nfs/dbraw/zinc/39/84/39/790398439.db2.gz OEAUBGJNGBAYPE-CQSZACIVSA-N 0 1 283.416 0.721 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1OCC[C@H]1CC ZINC001266285246 790404656 /nfs/dbraw/zinc/40/46/56/790404656.db2.gz ROHPZGJCMXFZIF-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)C2(CCF)CC2)CC1 ZINC001266290320 790427234 /nfs/dbraw/zinc/42/72/34/790427234.db2.gz VJDDEJHKLGKFDL-UHFFFAOYSA-N 0 1 295.402 0.883 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C[C@H]2CCOC2)CC1 ZINC001266292771 790434736 /nfs/dbraw/zinc/43/47/36/790434736.db2.gz UIJAECSEUVVATH-CQSZACIVSA-N 0 1 281.400 0.333 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H](C)Cc1c(C)nn(C)c1C ZINC001266360004 790587135 /nfs/dbraw/zinc/58/71/35/790587135.db2.gz NSEPSGBUEOYMBH-GFCCVEGCSA-N 0 1 290.411 0.897 20 30 CCEDMN C#CCCCN1CCN(C(=O)OC(C)(C)C)[C@H](C(N)=O)C1 ZINC001209265735 790594512 /nfs/dbraw/zinc/59/45/12/790594512.db2.gz GUCPCUHQSUNADB-LBPRGKRZSA-N 0 1 295.383 0.806 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CSCC#N ZINC001266378321 790613412 /nfs/dbraw/zinc/61/34/12/790613412.db2.gz XUNQEDWHMAJEDI-UHFFFAOYSA-N 0 1 253.371 0.705 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H]3OCC[C@H]3C)[C@H]2C1 ZINC001083197426 790682221 /nfs/dbraw/zinc/68/22/21/790682221.db2.gz OWVYWWLSGRMEBF-BARDWOONSA-N 0 1 292.379 0.346 20 30 CCEDMN Cc1nc(CN2CCC[C@H]2CNC(=O)C#CC2CC2)n[nH]1 ZINC001266461385 790757178 /nfs/dbraw/zinc/75/71/78/790757178.db2.gz OJBHKQNXPUZCCJ-ZDUSSCGKSA-N 0 1 287.367 0.607 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001266468742 790762259 /nfs/dbraw/zinc/76/22/59/790762259.db2.gz XEEFSYMUUZOSFP-DOMZBBRYSA-N 0 1 279.384 0.669 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)CN1CCCCC1=O ZINC001266471415 790767644 /nfs/dbraw/zinc/76/76/44/790767644.db2.gz OHPPVRKJROBBIV-CQSZACIVSA-N 0 1 291.395 0.603 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](CNC(=O)CC2CC2)C1 ZINC001266497235 790791143 /nfs/dbraw/zinc/79/11/43/790791143.db2.gz CPJXVLMIUZTCQS-TZMCWYRMSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1CCC2(CC1)CN(C(=O)COC)C[C@H](C)O2 ZINC001098549041 791119442 /nfs/dbraw/zinc/11/94/42/791119442.db2.gz NESIVUSQFODMAB-AWEZNQCLSA-N 0 1 294.395 0.738 20 30 CCEDMN COc1cc(CN[C@H]2C[C@@H](NC(=O)C#CC3CC3)C2)on1 ZINC001266683447 791133664 /nfs/dbraw/zinc/13/36/64/791133664.db2.gz OPESRZNTBWVTFG-TXEJJXNPSA-N 0 1 289.335 0.833 20 30 CCEDMN C[C@@H](O)CCCN1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815911 805671093 /nfs/dbraw/zinc/67/10/93/805671093.db2.gz VCIFDLFIYOQGHU-CHWSQXEVSA-N 0 1 299.440 0.937 20 30 CCEDMN C[C@H](O)CN1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815656 805671582 /nfs/dbraw/zinc/67/15/82/805671582.db2.gz QYSSATKTCNPTFK-WDEREUQCSA-N 0 1 271.386 0.157 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](C)OCC ZINC001230855720 805678477 /nfs/dbraw/zinc/67/84/77/805678477.db2.gz RGYGURXSHJNWSY-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CCOC ZINC001231045676 805715913 /nfs/dbraw/zinc/71/59/13/805715913.db2.gz VSCROPICJYHEQG-GFCCVEGCSA-N 0 1 285.388 0.152 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCOC1 ZINC001231060525 805719059 /nfs/dbraw/zinc/71/90/59/805719059.db2.gz GFPPDDBNEPQRNG-KGLIPLIRSA-N 0 1 282.384 0.758 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@H](C)OC ZINC001231149152 805737423 /nfs/dbraw/zinc/73/74/23/805737423.db2.gz KCMXESCOGUGDQF-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@@H+](C)CCCNC(=O)CC1CC1 ZINC001231153123 805742009 /nfs/dbraw/zinc/74/20/09/805742009.db2.gz FRWNNVCHOJEMMT-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)CC1CC1 ZINC001231153123 805742016 /nfs/dbraw/zinc/74/20/16/805742016.db2.gz FRWNNVCHOJEMMT-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CCC ZINC001231231056 805755798 /nfs/dbraw/zinc/75/57/98/805755798.db2.gz LBOWSQQXRRPONC-GFCCVEGCSA-N 0 1 269.389 0.915 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H]1CCN1CCc1cnn(C)c1 ZINC001231293952 805770967 /nfs/dbraw/zinc/77/09/67/805770967.db2.gz SVRUNMPKKPMLEZ-TZMCWYRMSA-N 0 1 289.383 0.655 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H]1CCN1CCc1ccnn1C ZINC001231293127 805772245 /nfs/dbraw/zinc/77/22/45/805772245.db2.gz JUPIFUMWQGVANZ-OCCSQVGLSA-N 0 1 289.383 0.655 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)C[C@H](C)OC)C2)C1 ZINC001277509255 805782045 /nfs/dbraw/zinc/78/20/45/805782045.db2.gz JOIDQQUEQBGLGT-DZGCQCFKSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)Cc1ccon1 ZINC001231395547 805789328 /nfs/dbraw/zinc/78/93/28/805789328.db2.gz KZEGUSOENITMMA-AWEZNQCLSA-N 0 1 293.367 0.952 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)NC(C)=O)C1 ZINC001277549097 805828938 /nfs/dbraw/zinc/82/89/38/805828938.db2.gz QEWBAJBACINDBR-NSHDSACASA-N 0 1 267.373 0.620 20 30 CCEDMN N#C[C@H]1CN(Cc2nc3ccccn3n2)CCC1=O ZINC001233070463 806016310 /nfs/dbraw/zinc/01/63/10/806016310.db2.gz OUOIQLWTKPNLKP-JTQLQIEISA-N 0 1 255.281 0.644 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)CCc1nc(C)no1 ZINC001266848872 791286685 /nfs/dbraw/zinc/28/66/85/791286685.db2.gz HJTSVBOUGDFSTG-ZDUSSCGKSA-N 0 1 290.367 0.915 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H]([C@H](C)NC(=O)CCC)C1 ZINC001266893797 791324875 /nfs/dbraw/zinc/32/48/75/791324875.db2.gz WTHJBIKYPLKPSR-UONOGXRCSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OC)[C@H](C)CC ZINC001266902273 791331216 /nfs/dbraw/zinc/33/12/16/791331216.db2.gz QSUOPJSLDCFTJJ-PEBLQZBPSA-N 0 1 264.369 0.727 20 30 CCEDMN C#C[C@H](CO)NC[C@@](C)(O)C(F)(F)C(F)(F)F ZINC000724023303 791377120 /nfs/dbraw/zinc/37/71/20/791377120.db2.gz MUJMWOKWAVAUCM-RNFRBKRXSA-N 0 1 261.190 0.519 20 30 CCEDMN CNC(=O)C1CCN(Cc2ccc(C#N)nc2)CC1 ZINC001140540561 791505065 /nfs/dbraw/zinc/50/50/65/791505065.db2.gz ATJGKXZCVPTKRR-UHFFFAOYSA-N 0 1 258.325 0.911 20 30 CCEDMN C=CCCC(=O)NCC[C@@H](C)NCc1cn(C)nn1 ZINC001267120948 791563984 /nfs/dbraw/zinc/56/39/84/791563984.db2.gz JDWXRONHTGOORC-LLVKDONJSA-N 0 1 265.361 0.766 20 30 CCEDMN CC[C@@H](C)OCC(=O)NCCN(C)CC#CCOC ZINC001267192087 791651143 /nfs/dbraw/zinc/65/11/43/791651143.db2.gz GVHLTRVYEZXRAC-CYBMUJFWSA-N 0 1 270.373 0.499 20 30 CCEDMN C#CCN(C)CCNC(=O)CCc1cc(OC)no1 ZINC001267197260 791660276 /nfs/dbraw/zinc/66/02/76/791660276.db2.gz IFDVYYOOZWQBNV-UHFFFAOYSA-N 0 1 265.313 0.297 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCO[C@@H]3CN(CCC)C[C@@H]32)cn1 ZINC001083252417 791665351 /nfs/dbraw/zinc/66/53/51/791665351.db2.gz LZOWKWBVSPGKQN-JKSUJKDBSA-N 0 1 299.374 0.998 20 30 CCEDMN C=CCN(C)CCNC(=O)c1cc(=O)c(OC)co1 ZINC001267206873 791671475 /nfs/dbraw/zinc/67/14/75/791671475.db2.gz SROBZMZSWGDEFC-UHFFFAOYSA-N 0 1 266.297 0.496 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001038245096 791675436 /nfs/dbraw/zinc/67/54/36/791675436.db2.gz FYXJYEUDVMSRBR-WDEREUQCSA-N 0 1 273.340 0.544 20 30 CCEDMN CCc1noc(C)c1C(=O)NCCN(C)CC#CCOC ZINC001267230315 791707916 /nfs/dbraw/zinc/70/79/16/791707916.db2.gz MMFDZUDWLBRBEI-UHFFFAOYSA-N 0 1 293.367 0.857 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001213379852 791710213 /nfs/dbraw/zinc/71/02/13/791710213.db2.gz FWPQTHMWFNGTSK-BZPMIXESSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CC(=O)NCC ZINC001233820145 806127267 /nfs/dbraw/zinc/12/72/67/806127267.db2.gz VVKWYWLIRICDGI-LLVKDONJSA-N 0 1 253.346 0.231 20 30 CCEDMN C=CCNC(=O)C[N@H+](C)CCCNC(=O)CCCC ZINC001234036264 806172208 /nfs/dbraw/zinc/17/22/08/806172208.db2.gz XZTNMESOFCNPOR-UHFFFAOYSA-N 0 1 269.389 0.917 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)CCCC ZINC001234036264 806172211 /nfs/dbraw/zinc/17/22/11/806172211.db2.gz XZTNMESOFCNPOR-UHFFFAOYSA-N 0 1 269.389 0.917 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CCCC ZINC001234052442 806174066 /nfs/dbraw/zinc/17/40/66/806174066.db2.gz MAISEXSUDDCWTE-CYBMUJFWSA-N 0 1 281.400 0.753 20 30 CCEDMN C=CCN1CC[C@H](N(CC)CCNC(=O)C(F)F)C1=O ZINC001267235353 793217508 /nfs/dbraw/zinc/21/75/08/793217508.db2.gz ZJMSGTCDPOGRLF-JTQLQIEISA-N 0 1 289.326 0.477 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CNC(=O)c1ccoc1 ZINC001234478807 806259186 /nfs/dbraw/zinc/25/91/86/806259186.db2.gz RZMRHUJASLBMSZ-ZDUSSCGKSA-N 0 1 291.351 0.728 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@@H](C)OC ZINC001234622398 806289193 /nfs/dbraw/zinc/28/91/93/806289193.db2.gz JAVRIGFZCZSDJA-VXGBXAGGSA-N 0 1 285.388 0.150 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1nccc(C(=O)OC)n1 ZINC001234673140 806296902 /nfs/dbraw/zinc/29/69/02/806296902.db2.gz VPKGPRFKZBXXJL-ZCFIWIBFSA-N 0 1 264.237 0.861 20 30 CCEDMN CN1[C@@H]2C[C@@H](Oc3nc(F)nc4nc[nH]c43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001234689917 806298301 /nfs/dbraw/zinc/29/83/01/806298301.db2.gz KAXDXYZWZSDTJC-WQDSJKFHSA-N 0 1 291.286 0.483 20 30 CCEDMN N#Cc1ccc(CN2CCN[C@@]3(CC[NH2+]C3)C2)c([O-])c1 ZINC001235064381 806365390 /nfs/dbraw/zinc/36/53/90/806365390.db2.gz XLDDAOWLTXEOHV-OAHLLOKOSA-N 0 1 272.352 0.401 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cc1cnn(C)c1C ZINC001235169751 806379900 /nfs/dbraw/zinc/37/99/00/806379900.db2.gz CFOVPVAZHHZEHT-HNNXBMFYSA-N 0 1 288.395 0.827 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H]1CCC(=O)NC1 ZINC001235247812 806393309 /nfs/dbraw/zinc/39/33/09/806393309.db2.gz ZMGPWVLILDIAFX-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN N#CCCN1CC2(C1)CN(C(=O)[C@H]1CC3CCN1CC3)C2 ZINC001277936612 806405160 /nfs/dbraw/zinc/40/51/60/806405160.db2.gz NAYMNMHKHBNQFI-CQSZACIVSA-N 0 1 288.395 0.529 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cc1cn(C)nc1C ZINC001235420125 806422464 /nfs/dbraw/zinc/42/24/64/806422464.db2.gz BTUZANJHDJUDKV-HNNXBMFYSA-N 0 1 288.395 0.827 20 30 CCEDMN CC[C@H](C)c1nc([C@@H](C)NC(=O)NCC#CCO)n[nH]1 ZINC001235580358 806461664 /nfs/dbraw/zinc/46/16/64/806461664.db2.gz FJEKLUZBSAKQSP-VHSXEESVSA-N 0 1 279.344 0.674 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H](C)[C@H]2CCC(=O)N2)CC1 ZINC001278079511 806652376 /nfs/dbraw/zinc/65/23/76/806652376.db2.gz JMQZMUNEHMDEGC-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN C#Cc1ccc(CNC(=O)N2CCNC[C@@H]2COC)cc1 ZINC001120622720 798890962 /nfs/dbraw/zinc/89/09/62/798890962.db2.gz BFOYNPOLCNKDRR-OAHLLOKOSA-N 0 1 287.363 0.798 20 30 CCEDMN CCN(CC(=O)NCC1(C#N)CCOCC1)C1CC1 ZINC001120749091 798928499 /nfs/dbraw/zinc/92/84/99/798928499.db2.gz YMWHFIBAJMDMBN-UHFFFAOYSA-N 0 1 265.357 0.907 20 30 CCEDMN C#CCNCC(=O)NC[C@H](CCO)c1ccccc1 ZINC001121880047 799080816 /nfs/dbraw/zinc/08/08/16/799080816.db2.gz DTOYEHZYDNGFAL-AWEZNQCLSA-N 0 1 260.337 0.492 20 30 CCEDMN CN(Cc1cc(Cl)cc(C#N)c1)C[C@H](O)CO ZINC001237749567 806715186 /nfs/dbraw/zinc/71/51/86/806715186.db2.gz WIUVWZGHNVUNPV-LBPRGKRZSA-N 0 1 254.717 0.997 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1cnn(-c2ccccc2)n1 ZINC001121925501 799095719 /nfs/dbraw/zinc/09/57/19/799095719.db2.gz WJJCYMNNOCBZJW-UHFFFAOYSA-N 0 1 283.335 0.449 20 30 CCEDMN C#CCNCC(=O)N(Cc1ccncc1)C[C@H]1CCCO1 ZINC001121944620 799104286 /nfs/dbraw/zinc/10/42/86/799104286.db2.gz BTBKFOZGHRBERM-OAHLLOKOSA-N 0 1 287.363 0.812 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](c2ccn(C)n2)C1 ZINC001122166895 799159102 /nfs/dbraw/zinc/15/91/02/799159102.db2.gz PJBMCYDRFBJDGS-LBPRGKRZSA-N 0 1 260.341 0.349 20 30 CCEDMN C#CCNCC(=O)NCC[C@@H]1CC[C@@H](C(F)(F)F)O1 ZINC001122187375 799165311 /nfs/dbraw/zinc/16/53/11/799165311.db2.gz IGBKCQTVVXIXPH-UWVGGRQHSA-N 0 1 278.274 0.825 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1[C@@H]2CCCCCC[C@@H]21 ZINC001278155913 806727126 /nfs/dbraw/zinc/72/71/26/806727126.db2.gz UFFAUGPHBNODNP-BYNSBNAKSA-N 0 1 278.396 0.903 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1c[nH]cc1C1CC1 ZINC001278179942 806742916 /nfs/dbraw/zinc/74/29/16/806742916.db2.gz XAEXOLCAXZMCHG-GFCCVEGCSA-N 0 1 275.352 0.596 20 30 CCEDMN C=C(Br)CNCCNC(=O)CN(C)C(C)=O ZINC001123806313 799478479 /nfs/dbraw/zinc/47/84/79/799478479.db2.gz XPENKKQNOIPIAY-UHFFFAOYSA-N 0 1 292.177 0.079 20 30 CCEDMN N#Cc1cccc2c(CN3CC(C(N)=O)C3)c[nH]c21 ZINC001139706500 799833024 /nfs/dbraw/zinc/83/30/24/799833024.db2.gz WSEYWMKNQBDEAX-UHFFFAOYSA-N 0 1 254.293 0.957 20 30 CCEDMN C=CCn1cc(CN2CCC3(CNC(=O)N3)CC2)cn1 ZINC001139770915 799839319 /nfs/dbraw/zinc/83/93/19/799839319.db2.gz HARKUWFKNYATQY-UHFFFAOYSA-N 0 1 275.356 0.717 20 30 CCEDMN N#C[C@@H]1CN(Cc2cn3c(n2)COCC3)CCC1=O ZINC001141535344 800018505 /nfs/dbraw/zinc/01/85/05/800018505.db2.gz YZDYVXXJQYRFBJ-SNVBAGLBSA-N 0 1 260.297 0.328 20 30 CCEDMN O=C(C#CC1CC1)NCCCCN1CCOCC1 ZINC001141742430 800032421 /nfs/dbraw/zinc/03/24/21/800032421.db2.gz APDIDSWOQCTXCI-UHFFFAOYSA-N 0 1 250.342 0.628 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCCN(C)C(C)=O ZINC001149260316 800241079 /nfs/dbraw/zinc/24/10/79/800241079.db2.gz SBPYZGRHRVUKHC-UHFFFAOYSA-N 0 1 275.780 0.703 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C#CC2CC2)C1 ZINC001149686170 800289905 /nfs/dbraw/zinc/28/99/05/800289905.db2.gz BGDJTVNEXBDOCX-CQSZACIVSA-N 0 1 262.353 0.793 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001149763596 800297492 /nfs/dbraw/zinc/29/74/92/800297492.db2.gz LBIVDOZJUVUCOB-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)Cc2cnoc2)C1 ZINC001150186198 800322109 /nfs/dbraw/zinc/32/21/09/800322109.db2.gz NKHDJFQPXMVGMI-CQSZACIVSA-N 0 1 291.351 0.448 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1cn(C)cn1 ZINC001152749947 800550688 /nfs/dbraw/zinc/55/06/88/800550688.db2.gz PIQJRYHPPWDMDN-CYBMUJFWSA-N 0 1 292.383 0.510 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccc2ncnn2c1 ZINC001152851040 800561594 /nfs/dbraw/zinc/56/15/94/800561594.db2.gz UTPOGSHYRUHPCU-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1ccc(OC)nn1 ZINC001153081187 800593367 /nfs/dbraw/zinc/59/33/67/800593367.db2.gz NJAAUERCJFFWEP-UHFFFAOYSA-N 0 1 294.355 0.284 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1cnoc1C ZINC001153086454 800595241 /nfs/dbraw/zinc/59/52/41/800595241.db2.gz YKGGVVHBIFUXFK-UHFFFAOYSA-N 0 1 267.329 0.782 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1ccccn1 ZINC001153134203 800600216 /nfs/dbraw/zinc/60/02/16/800600216.db2.gz ASLKVBDRPGITTJ-GFCCVEGCSA-N 0 1 263.341 0.879 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)c1cn(C)ccc1=O ZINC001154272768 800749852 /nfs/dbraw/zinc/74/98/52/800749852.db2.gz PYPWNLFNZHGWCW-GFCCVEGCSA-N 0 1 275.352 0.459 20 30 CCEDMN Cn1nncc1NC(=O)c1cc(=O)c2cc(C#N)ccc2[nH]1 ZINC001154553057 800799381 /nfs/dbraw/zinc/79/93/81/800799381.db2.gz MFWLRHNCAJZNKY-UHFFFAOYSA-N 0 1 294.274 0.781 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001154876329 800860166 /nfs/dbraw/zinc/86/01/66/800860166.db2.gz NFYMBFPBSSZXFO-MNOVXSKESA-N 0 1 290.371 0.575 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2cc(CC#N)ccn2)CC1 ZINC001155159005 800921121 /nfs/dbraw/zinc/92/11/21/800921121.db2.gz HFDLOHDRKKZJKU-AWEZNQCLSA-N 0 1 288.351 0.864 20 30 CCEDMN CC(C)n1nnnc1CNCCCNC(=O)[C@@H](C)C#N ZINC001155827839 801060473 /nfs/dbraw/zinc/06/04/73/801060473.db2.gz HLYOVOQJSVAWBK-JTQLQIEISA-N 0 1 279.348 0.010 20 30 CCEDMN COC(=O)c1cc(N=C(NC#N)c2ccncc2)ncn1 ZINC001156322842 801149815 /nfs/dbraw/zinc/14/98/15/801149815.db2.gz KGAHERWDHYURKS-UHFFFAOYSA-N 0 1 282.263 0.807 20 30 CCEDMN N#Cc1cc(C(=O)NCCCNCc2ccon2)c[nH]1 ZINC001156841736 801248133 /nfs/dbraw/zinc/24/81/33/801248133.db2.gz PVIYAMKKTUBSIS-UHFFFAOYSA-N 0 1 273.296 0.784 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)CN1CCCC1 ZINC001156928121 801265166 /nfs/dbraw/zinc/26/51/66/801265166.db2.gz ZTSBQSDSWXIUBU-GFCCVEGCSA-N 0 1 252.362 0.432 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1COCCO1 ZINC001157567597 801422660 /nfs/dbraw/zinc/42/26/60/801422660.db2.gz FBHDULAGYXSTHZ-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN Cc1nnc(CNCCCNC(=O)C#CC2CC2)s1 ZINC001157682777 801455041 /nfs/dbraw/zinc/45/50/41/801455041.db2.gz POGLARZWXRGTEB-UHFFFAOYSA-N 0 1 278.381 0.856 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ncc[nH]1 ZINC001157914130 801519515 /nfs/dbraw/zinc/51/95/15/801519515.db2.gz XELHDEKOSWOZCJ-GFCCVEGCSA-N 0 1 260.341 0.969 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cc1ccn(C)n1 ZINC001157925485 801524153 /nfs/dbraw/zinc/52/41/53/801524153.db2.gz NXVCKPBUOIMZRI-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1CCc2n[nH]cc2C1 ZINC001157989726 801547413 /nfs/dbraw/zinc/54/74/13/801547413.db2.gz RDXQSCWAFVLRRP-AWEZNQCLSA-N 0 1 295.346 0.736 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN2C(=O)c3ccccc3[C@H]2C1 ZINC001158319921 801621054 /nfs/dbraw/zinc/62/10/54/801621054.db2.gz PTWFALRENVFZDJ-CJNGLKHVSA-N 0 1 283.331 0.376 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](CC)NC(C)=O ZINC001158508350 801660180 /nfs/dbraw/zinc/66/01/80/801660180.db2.gz UXWOUXFKJJNFSW-HUUCEWRRSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cc2cncnc2)CC1 ZINC001159950876 801893938 /nfs/dbraw/zinc/89/39/38/801893938.db2.gz DEQGWIJWZKTULT-UHFFFAOYSA-N 0 1 284.363 0.791 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CO[C@H]2CCOC2)CC1 ZINC001160143020 801910901 /nfs/dbraw/zinc/91/09/01/801910901.db2.gz YRQGONMGHCYRLQ-HNNXBMFYSA-N 0 1 292.379 0.564 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@H](C(=O)N2CCCCC2)C1 ZINC001160451622 801942698 /nfs/dbraw/zinc/94/26/98/801942698.db2.gz SAYANGPMMLWYKB-BBRMVZONSA-N 0 1 291.395 0.588 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2ccn(C)c(=O)c2)CC1 ZINC001160515210 801958397 /nfs/dbraw/zinc/95/83/97/801958397.db2.gz UHNKTVDGFHCPRH-UHFFFAOYSA-N 0 1 299.374 0.771 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC2(C1)CN(c1ccccc1)C2=O ZINC001160573362 801972476 /nfs/dbraw/zinc/97/24/76/801972476.db2.gz KPLBHQQUEHSSBH-HNNXBMFYSA-N 0 1 283.331 0.212 20 30 CCEDMN N#CCN1CC=C(CCNC(=O)CCc2c[nH]nn2)CC1 ZINC001161248607 802117218 /nfs/dbraw/zinc/11/72/18/802117218.db2.gz DHYUZCFPLGMMKV-UHFFFAOYSA-N 0 1 288.355 0.399 20 30 CCEDMN N#CCN1CC=C(CCNC(=O)CCc2cnn[nH]2)CC1 ZINC001161248607 802117222 /nfs/dbraw/zinc/11/72/22/802117222.db2.gz DHYUZCFPLGMMKV-UHFFFAOYSA-N 0 1 288.355 0.399 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1nccnc1CC#N ZINC001162529710 802361262 /nfs/dbraw/zinc/36/12/62/802361262.db2.gz DIAYFNPBBKBTIY-UHFFFAOYSA-N 0 1 286.295 0.364 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)NCCCNCC#N ZINC001162821335 802425524 /nfs/dbraw/zinc/42/55/24/802425524.db2.gz YDACGVQCIKCDBJ-MFKMUULPSA-N 0 1 275.356 0.695 20 30 CCEDMN N#Cc1cncnc1NCc1noc2c1CNCC2 ZINC001163126183 802487193 /nfs/dbraw/zinc/48/71/93/802487193.db2.gz NWBGQVSSURVNFW-UHFFFAOYSA-N 0 1 256.269 0.016 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2cnn(C)n2)cc1 ZINC001163728962 802599393 /nfs/dbraw/zinc/59/93/93/802599393.db2.gz IULAILIVUQPKCD-UHFFFAOYSA-N 0 1 297.362 0.706 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001163860513 802626529 /nfs/dbraw/zinc/62/65/29/802626529.db2.gz HGLOPOGIPMLIQI-NSHDSACASA-N 0 1 294.403 0.969 20 30 CCEDMN CCCCCCCN1CC[C@H]1CN(C)C(=O)C(N)=O ZINC001264371498 809644978 /nfs/dbraw/zinc/64/49/78/809644978.db2.gz WUDWSPISGNBHDQ-LBPRGKRZSA-N 0 1 269.389 0.975 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN(C)CC(=O)N(C)C1CC1 ZINC001264970943 809682938 /nfs/dbraw/zinc/68/29/38/809682938.db2.gz CYZICKMQIKWZHZ-NSHDSACASA-N 0 1 280.372 0.205 20 30 CCEDMN C=C(C)CN(C)CCCNC(=O)[C@H](C)S(C)(=O)=O ZINC001265002467 809690435 /nfs/dbraw/zinc/69/04/35/809690435.db2.gz HNJUUPLGPWMUCI-NSHDSACASA-N 0 1 276.402 0.434 20 30 CCEDMN N#Cc1cc(F)c(Cl)nc1N1CCNC(=O)C12CNC2 ZINC001165298017 802818124 /nfs/dbraw/zinc/81/81/24/802818124.db2.gz OSHOTMKLNBJCPC-UHFFFAOYSA-N 0 1 295.705 0.024 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1ccc(C)c(C#N)n1 ZINC001165439682 802844146 /nfs/dbraw/zinc/84/41/46/802844146.db2.gz UEFDRCGNEZRHGC-NSHDSACASA-N 0 1 260.297 0.213 20 30 CCEDMN C=CCCCC(=O)NCCCNCc1cn(C)nn1 ZINC001165542850 802852485 /nfs/dbraw/zinc/85/24/85/802852485.db2.gz OLCHWHWMGNCCAU-UHFFFAOYSA-N 0 1 265.361 0.767 20 30 CCEDMN COCc1nn(-c2nc(Cl)ncc2C#N)c2c1CNC2 ZINC001165632123 802857865 /nfs/dbraw/zinc/85/78/65/802857865.db2.gz NNALGIIYYZVAJB-UHFFFAOYSA-N 0 1 290.714 0.937 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCCC1(CNCC#N)CC1 ZINC001165764706 802863931 /nfs/dbraw/zinc/86/39/31/802863931.db2.gz SVMSOAKQWRQDHN-CYBMUJFWSA-N 0 1 278.400 0.870 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001276439443 803064320 /nfs/dbraw/zinc/06/43/20/803064320.db2.gz DFKKOFQBPWODNH-YPMHNXCESA-N 0 1 277.368 0.115 20 30 CCEDMN C#CC[NH2+]CC1CCN(C(=O)c2ncccc2[O-])CC1 ZINC001205057198 803116506 /nfs/dbraw/zinc/11/65/06/803116506.db2.gz WIEALLDFJNUCEY-UHFFFAOYSA-N 0 1 273.336 0.862 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CC[C@H](C)OC)C1 ZINC001206894463 803300790 /nfs/dbraw/zinc/30/07/90/803300790.db2.gz KASPBEINIOKBHV-FRRDWIJNSA-N 0 1 252.358 0.871 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](C)[C@H](NC(=O)COCCOC)C1 ZINC001206677697 803278584 /nfs/dbraw/zinc/27/85/84/803278584.db2.gz YDIVPNOXMODANV-CHWSQXEVSA-N 0 1 270.373 0.662 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN([C@@H](C)C(=O)NC)C[C@H]1C ZINC001206913574 803307618 /nfs/dbraw/zinc/30/76/18/803307618.db2.gz QIZDXFMOOWRCRG-FRRDWIJNSA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2ccncn2)C1 ZINC001207200416 803366689 /nfs/dbraw/zinc/36/66/89/803366689.db2.gz FEEUIVPLTPHGGX-TZMCWYRMSA-N 0 1 272.352 0.479 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1CCC[C@@H](NCC#N)C1 ZINC001208264038 803500922 /nfs/dbraw/zinc/50/09/22/803500922.db2.gz HWNHGYXCWBEESU-OLZOCXBDSA-N 0 1 284.379 0.770 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN([C@H](C)C(=O)NC)C[C@H]1C ZINC001208374809 803510835 /nfs/dbraw/zinc/51/08/35/803510835.db2.gz XVTFDFHSLIRCFB-MGPQQGTHSA-N 0 1 293.411 0.751 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001208810086 803547965 /nfs/dbraw/zinc/54/79/65/803547965.db2.gz YBAIYSYFEAFYME-KWCYVHTRSA-N 0 1 279.384 0.361 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001208810094 803548447 /nfs/dbraw/zinc/54/84/47/803548447.db2.gz YBAIYSYFEAFYME-MRVWCRGKSA-N 0 1 279.384 0.361 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCCOC)C1 ZINC001209099454 803573933 /nfs/dbraw/zinc/57/39/33/803573933.db2.gz VFLKGQWEEGRRPP-JHJVBQTASA-N 0 1 270.373 0.660 20 30 CCEDMN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCC[C@H](CC#N)C2)O1 ZINC001209276051 803586251 /nfs/dbraw/zinc/58/62/51/803586251.db2.gz PUSFPZLDJNUOGB-YIYPIFLZSA-N 0 1 298.383 0.485 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c(C)coc2C)[C@@H](O)C1 ZINC001083440141 814949762 /nfs/dbraw/zinc/94/97/62/814949762.db2.gz CCRDVCCJZAIVHK-OLZOCXBDSA-N 0 1 276.336 0.695 20 30 CCEDMN Cn1cncc1Nc1c(C#N)cnc2c(C#N)cnn21 ZINC001211246637 803673427 /nfs/dbraw/zinc/67/34/27/803673427.db2.gz IKNNVRPYVPOUFU-UHFFFAOYSA-N 0 1 264.252 0.950 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](CC)OC)[C@H](OC)C1 ZINC001211994992 814969908 /nfs/dbraw/zinc/96/99/08/814969908.db2.gz QYJKWYCJZNNKPB-JHJVBQTASA-N 0 1 270.373 0.803 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1nnco1 ZINC001218500429 803965721 /nfs/dbraw/zinc/96/57/21/803965721.db2.gz BFIUWYUOWANBAX-JTQLQIEISA-N 0 1 257.253 0.450 20 30 CCEDMN C=CCCCCCN1C[C@H]2OCCN(C(=O)C(N)=O)[C@H]2C1 ZINC001218550737 804002288 /nfs/dbraw/zinc/00/22/88/804002288.db2.gz LPZSMMKDXNRPFB-QWHCGFSZSA-N 0 1 295.383 0.130 20 30 CCEDMN CO[C@@H]1CN(CCCOC(C)C)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212045035 814985021 /nfs/dbraw/zinc/98/50/21/814985021.db2.gz FKDVUIOBSMBQHP-BFHYXJOUSA-N 0 1 297.399 0.777 20 30 CCEDMN CCCCOCCN1C[C@@H](NC(=O)[C@@H](C)C#N)[C@H](OC)C1 ZINC001212045883 814985088 /nfs/dbraw/zinc/98/50/88/814985088.db2.gz ZYEINNQBESRIHV-BFHYXJOUSA-N 0 1 297.399 0.778 20 30 CCEDMN N=C(c1ccccc1N)N([O-])C(=O)[C@@]12CC[C@@H](N1)[C@H]([NH3+])C2 ZINC001218966184 804168204 /nfs/dbraw/zinc/16/82/04/804168204.db2.gz RCDVPHUKLWVYRQ-GYSYKLTISA-N 0 1 289.339 0.034 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CC2CC2)[C@@H](O)C1 ZINC001219089457 804193576 /nfs/dbraw/zinc/19/35/76/804193576.db2.gz GRMIITKRTPMPEL-OLZOCXBDSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2CCCCC2)[C@@H](O)C1 ZINC001219110523 804205226 /nfs/dbraw/zinc/20/52/26/804205226.db2.gz RLCLJSMBDPKWTG-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001219324433 804270477 /nfs/dbraw/zinc/27/04/77/804270477.db2.gz PVQDIYYPQOBPSO-XQQFMLRXSA-N 0 1 288.363 0.489 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H](C)CCC)[C@@H](O)C1 ZINC001219391557 804296420 /nfs/dbraw/zinc/29/64/20/804296420.db2.gz AUEKYBLNXHUUDK-MCIONIFRSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)c2ccn(C)c2)[C@@H](O)C1 ZINC001219458737 804320113 /nfs/dbraw/zinc/32/01/13/804320113.db2.gz IFXIAFMBCDXSTG-KGLIPLIRSA-N 0 1 293.367 0.003 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOCC(C)C)C[C@@H]1O ZINC001219539572 804341205 /nfs/dbraw/zinc/34/12/05/804341205.db2.gz QZTYFYUUVCXOOO-CABCVRRESA-N 0 1 296.411 0.624 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)CCCC)[C@@H](O)C1 ZINC001219562945 804350747 /nfs/dbraw/zinc/35/07/47/804350747.db2.gz WLEOLZUOUOVJFN-MJBXVCDLSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(C)(C)CC)[C@@H](O)C1 ZINC001219693732 804407030 /nfs/dbraw/zinc/40/70/30/804407030.db2.gz QUPPOJHLROYGNX-OLZOCXBDSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2cccnc2)[C@@H](O)C1 ZINC001219956666 804458315 /nfs/dbraw/zinc/45/83/15/804458315.db2.gz ITUWBRMEYCNBLF-CABCVRRESA-N 0 1 287.363 0.199 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccc(-c2ncon2)cc1 ZINC001220005001 804468534 /nfs/dbraw/zinc/46/85/34/804468534.db2.gz MWNNVIBZFFQECJ-AWEZNQCLSA-N 0 1 270.292 0.703 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@@H]1O ZINC001220149208 804514098 /nfs/dbraw/zinc/51/40/98/804514098.db2.gz CMOWBXOGKDUTSP-ZNMIVQPWSA-N 0 1 296.411 0.766 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001220149385 804514569 /nfs/dbraw/zinc/51/45/69/804514569.db2.gz KFQMBKXVEQPGIU-CABCVRRESA-N 0 1 296.411 0.768 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCCC(=O)Nc1ccc(F)cc1 ZINC001220268256 804554684 /nfs/dbraw/zinc/55/46/84/804554684.db2.gz BALYTPIOJVTTAQ-AWEZNQCLSA-N 0 1 277.299 0.621 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CCC=C)CC2)[C@@H](O)C1 ZINC001220290397 804564360 /nfs/dbraw/zinc/56/43/60/804564360.db2.gz XYWHNBBUUIQAEY-KGLIPLIRSA-N 0 1 276.380 0.917 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@@H](N)CC(=O)OC(C)(CC)CC ZINC001220393038 804594152 /nfs/dbraw/zinc/59/41/52/804594152.db2.gz NUJIHWYSNYMYBD-QWRGUYRKSA-N 0 1 286.372 0.489 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@@H]2CCCCO2)[C@@H](O)C1 ZINC001220446522 804605768 /nfs/dbraw/zinc/60/57/68/804605768.db2.gz XNEUOCLKEQPPIG-ZNMIVQPWSA-N 0 1 294.395 0.520 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)[C@@H](N)c1ccccc1 ZINC001220499381 804616447 /nfs/dbraw/zinc/61/64/47/804616447.db2.gz XGQMEPHAAKXGBK-QWRGUYRKSA-N 0 1 261.281 0.258 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnc(C)nc1C ZINC001272137904 815027230 /nfs/dbraw/zinc/02/72/30/815027230.db2.gz NYMGIWFVAGLWPE-UHFFFAOYSA-N 0 1 260.341 0.730 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CC)CCOCC2)[C@@H](O)C1 ZINC001220640731 804642022 /nfs/dbraw/zinc/64/20/22/804642022.db2.gz VYBOMFJEPQXANU-KGLIPLIRSA-N 0 1 294.395 0.378 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H](C)n2cccn2)[C@@H](O)C1 ZINC001220705588 804651813 /nfs/dbraw/zinc/65/18/13/804651813.db2.gz MDHPODABFCMSQZ-MCIONIFRSA-N 0 1 292.383 0.572 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COc2ccccc2)[C@@H](O)C1 ZINC001220845907 804679800 /nfs/dbraw/zinc/67/98/00/804679800.db2.gz JGLGGYVDKXYMQO-CABCVRRESA-N 0 1 290.363 0.803 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COc2ccccc2)[C@@H](O)C1 ZINC001220846044 804680184 /nfs/dbraw/zinc/68/01/84/804680184.db2.gz QGMYIXZZBSJWLW-CABCVRRESA-N 0 1 288.347 0.250 20 30 CCEDMN CC[C@H](CC#N)NC(=O)CNC(=O)[C@@H](N)COC(C)(C)C ZINC001220898259 804696160 /nfs/dbraw/zinc/69/61/60/804696160.db2.gz DBIXMJPRULMYKY-MNOVXSKESA-N 0 1 298.387 0.053 20 30 CCEDMN C[C@H](O)CN1CC[C@@H]2CN(C(=O)CSCC#N)[C@@H]2C1 ZINC001221291495 804766910 /nfs/dbraw/zinc/76/69/10/804766910.db2.gz JAAOZBDXIXGJCC-QJPTWQEYSA-N 0 1 283.397 0.157 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CNC(=O)CC)[C@@H]2C1 ZINC001221444621 804819560 /nfs/dbraw/zinc/81/95/60/804819560.db2.gz GRIQRHUYIFHCNX-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1nn(CC)nc1C ZINC001272185279 815039970 /nfs/dbraw/zinc/03/99/70/815039970.db2.gz KAJOKOROPYDIBP-UHFFFAOYSA-N 0 1 277.372 0.634 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)C(C)(C)F)CC1 ZINC001222252927 804886262 /nfs/dbraw/zinc/88/62/62/804886262.db2.gz RNVCRKFGMFKSLE-UHFFFAOYSA-N 0 1 297.374 0.312 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)COCCC)C[C@@H]21 ZINC001222387535 804896967 /nfs/dbraw/zinc/89/69/67/804896967.db2.gz BEOJVOYSXNCZLP-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)[C@H](C)CC)CC1 ZINC001222404644 804898406 /nfs/dbraw/zinc/89/84/06/804898406.db2.gz KJSSPNRQGIBPAY-CYBMUJFWSA-N 0 1 293.411 0.610 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CCN(Cc2cnn(C)c2)CC1 ZINC001222636804 804921733 /nfs/dbraw/zinc/92/17/33/804921733.db2.gz QGBYFKUMLLYZRO-GFCCVEGCSA-N 0 1 289.383 0.908 20 30 CCEDMN Cc1nc(CN2CCC(CNC(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001222659431 804924115 /nfs/dbraw/zinc/92/41/15/804924115.db2.gz XPGNAXXCVSINDM-JTQLQIEISA-N 0 1 290.371 0.601 20 30 CCEDMN C=CC(C)(C)CC(=O)NCC1CCN(CC(N)=O)CC1 ZINC001223332917 804965584 /nfs/dbraw/zinc/96/55/84/804965584.db2.gz DPTJKNCVQBOGJR-UHFFFAOYSA-N 0 1 281.400 0.902 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](CC)NC(C)=O)C[C@@H]21 ZINC001223548271 804978952 /nfs/dbraw/zinc/97/89/52/804978952.db2.gz BEBHRYBEBFVWNT-KFWWJZLASA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCCCCCN1CC[C@@H]1CNC(=O)c1nnn(C)n1 ZINC001276900919 804981385 /nfs/dbraw/zinc/98/13/85/804981385.db2.gz SUPYWAPKVWXEKQ-GFCCVEGCSA-N 0 1 292.387 0.761 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)[C@H](C)Cc1cnc[nH]1 ZINC001276940556 805002451 /nfs/dbraw/zinc/00/24/51/805002451.db2.gz KSNLENGHKRNPKL-OCCSQVGLSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H](CC(=C)C)NC(C)=O ZINC001276942668 805006504 /nfs/dbraw/zinc/00/65/04/805006504.db2.gz ZKLKBZKICLKNRE-HUUCEWRRSA-N 0 1 291.395 0.671 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C(C)=C\C)[C@H](OC)C1 ZINC001212104610 815047362 /nfs/dbraw/zinc/04/73/62/815047362.db2.gz SHXKSPSTOWZFMJ-NGPFNDBQSA-N 0 1 282.384 0.971 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001278381247 807053672 /nfs/dbraw/zinc/05/36/72/807053672.db2.gz ISQJUSPPHDCNSY-CABCVRRESA-N 0 1 264.369 0.751 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](NCc2cnnn2C)C[C@H]1C ZINC001278371188 807043401 /nfs/dbraw/zinc/04/34/01/807043401.db2.gz OALYYMQEWYBERZ-OLZOCXBDSA-N 0 1 289.383 0.554 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001278381170 807053895 /nfs/dbraw/zinc/05/38/95/807053895.db2.gz HRQSNVUKOONSAX-FZMZJTMJSA-N 0 1 264.325 0.153 20 30 CCEDMN Cc1oncc1CNC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001277019446 805107364 /nfs/dbraw/zinc/10/73/64/805107364.db2.gz AGGGJPLXUKTYLR-SECBINFHSA-N 0 1 262.313 0.881 20 30 CCEDMN C=CCCOCC(=O)NCC1CCN(CC(=O)NC)CC1 ZINC001225611341 805144026 /nfs/dbraw/zinc/14/40/26/805144026.db2.gz PKWWPDNSOCEXHD-UHFFFAOYSA-N 0 1 297.399 0.153 20 30 CCEDMN C#C[C@@H](Oc1nccc(O)c1[N+](=O)[O-])C(=O)OCC ZINC001225667636 805149252 /nfs/dbraw/zinc/14/92/52/805149252.db2.gz UVKYYGVPPCMMGT-MRVPVSSYSA-N 0 1 266.209 0.639 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C(F)F)CC1 ZINC001225729434 805153673 /nfs/dbraw/zinc/15/36/73/805153673.db2.gz FOCQRLPMKBIFMH-UHFFFAOYSA-N 0 1 260.284 0.482 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)[C@H]1C ZINC001088671580 815054025 /nfs/dbraw/zinc/05/40/25/815054025.db2.gz NEONFJWNSWQTOZ-MCIONIFRSA-N 0 1 291.395 0.457 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H]1Cc2cccc(O)c2C1 ZINC001226262943 805212641 /nfs/dbraw/zinc/21/26/41/805212641.db2.gz RYOMBLPZCVTAGQ-ZDUSSCGKSA-N 0 1 287.363 0.724 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1Cc2cccc(O)c2C1 ZINC001226262942 805212715 /nfs/dbraw/zinc/21/27/15/805212715.db2.gz RYOMBLPZCVTAGQ-CYBMUJFWSA-N 0 1 287.363 0.724 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCCNCc1cn(C)nn1 ZINC001277147357 805266814 /nfs/dbraw/zinc/26/68/14/805266814.db2.gz DBZGNJXRQPGYFX-GHMZBOCLSA-N 0 1 278.360 0.349 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1[nH]c(=O)nc2[nH]ccc21 ZINC001227272975 805339147 /nfs/dbraw/zinc/33/91/47/805339147.db2.gz HAZRXZNDNILJLN-QMMMGPOBSA-N 0 1 275.264 0.997 20 30 CCEDMN Cc1nnc(=S)[nH]c1O[C@@H]1CCN(CC#N)C1 ZINC001227597279 805369580 /nfs/dbraw/zinc/36/95/80/805369580.db2.gz AUDYKNLMYAHOBQ-MRVPVSSYSA-N 0 1 251.315 0.445 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1[nH]c(=O)nc2c1COC2 ZINC001227690753 805379208 /nfs/dbraw/zinc/37/92/08/805379208.db2.gz OJDGHMTYBLIHSO-RXMQYKEDSA-N 0 1 264.237 0.811 20 30 CCEDMN C#CCN1CCC(NC(=O)COCc2cc(C)no2)CC1 ZINC001227961320 805404028 /nfs/dbraw/zinc/40/40/28/805404028.db2.gz RZWGUBOTINDQAO-UHFFFAOYSA-N 0 1 291.351 0.713 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCCCNC(N)=O)CC1 ZINC001228181638 805421225 /nfs/dbraw/zinc/42/12/25/805421225.db2.gz YJHWYRMRXOIULH-UHFFFAOYSA-N 0 1 294.399 0.429 20 30 CCEDMN N#CCN1CC[C@@H](Oc2nc(Cl)nc3nc[nH]c32)C1 ZINC001228509968 805452242 /nfs/dbraw/zinc/45/22/42/805452242.db2.gz RUGHIYAUPBRXGV-SSDOTTSWSA-N 0 1 278.703 0.983 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)NC(N)=O ZINC001277344565 805492685 /nfs/dbraw/zinc/49/26/85/805492685.db2.gz AJGSQNWGZVIQLR-XQQFMLRXSA-N 0 1 292.383 0.132 20 30 CCEDMN N#CC1(NC(=O)[C@@]23C[C@@H]2CCN3Cc2c[nH]nn2)CCC1 ZINC001277378079 805523356 /nfs/dbraw/zinc/52/33/56/805523356.db2.gz AFKPEADXFSJLLO-IINYFYTJSA-N 0 1 286.339 0.332 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2cn(C)nc2C)CC1 ZINC001229462707 805537413 /nfs/dbraw/zinc/53/74/13/805537413.db2.gz RIVPUNUIYWAQPY-UHFFFAOYSA-N 0 1 288.395 0.875 20 30 CCEDMN C=C1O[C@@H](CC)C(=O)C1Oc1[nH]c(=O)ncc1C(=O)OC ZINC001229870547 805562018 /nfs/dbraw/zinc/56/20/18/805562018.db2.gz KENKMBNPBXVHCC-QMMMGPOBSA-N 0 1 294.263 0.957 20 30 CCEDMN N#CCC1CN(C(=O)[C@@]23C[C@@H]2CCN3Cc2cnc[nH]2)C1 ZINC001278350742 807009574 /nfs/dbraw/zinc/00/95/74/807009574.db2.gz DTDAGLWNJWNBHV-SWLSCSKDSA-N 0 1 285.351 0.746 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](NCc2cc(C#N)cs2)CN1 ZINC001246502049 807268065 /nfs/dbraw/zinc/26/80/65/807268065.db2.gz FQNQFFOLPHTFBG-KOLCDFICSA-N 0 1 265.338 0.613 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](N2CCC(CC#N)CC2)CN1 ZINC001246800556 807387894 /nfs/dbraw/zinc/38/78/94/807387894.db2.gz FIDZJAATJCFOJZ-RYUDHWBXSA-N 0 1 251.330 0.516 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCn2ccnn2)[C@H]1C ZINC001278504659 807511783 /nfs/dbraw/zinc/51/17/83/807511783.db2.gz FNUQXXATRHGJCI-OLZOCXBDSA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCn2ccnn2)[C@@H]1C ZINC001278504661 807512441 /nfs/dbraw/zinc/51/24/41/807512441.db2.gz FNUQXXATRHGJCI-QWHCGFSZSA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)C2(C(=O)NC)CC2)[C@H]1C ZINC001278521692 807562845 /nfs/dbraw/zinc/56/28/45/807562845.db2.gz PPHHUYQZNCBLGL-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN CCc1nc([C@@H](C)NCCNC(=O)C#CC(C)C)n[nH]1 ZINC001126815716 815131348 /nfs/dbraw/zinc/13/13/48/815131348.db2.gz JRYIRETWSIPOGQ-LLVKDONJSA-N 0 1 277.372 0.793 20 30 CCEDMN N#Cc1ccccc1CNC[C@H](O)CN1CCOCC1 ZINC001251035952 807651186 /nfs/dbraw/zinc/65/11/86/807651186.db2.gz UDPPECCWJRGRNJ-HNNXBMFYSA-N 0 1 275.352 0.341 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@](C)(O)c1ccccc1 ZINC001251707684 807695281 /nfs/dbraw/zinc/69/52/81/807695281.db2.gz CPJUYHVVIVJSQP-MRXNPFEDSA-N 0 1 289.379 0.758 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC(CCO)CC1 ZINC001251707612 807695333 /nfs/dbraw/zinc/69/53/33/807695333.db2.gz AIIXNSDHEIBSRW-UHFFFAOYSA-N 0 1 267.373 0.355 20 30 CCEDMN C[C@H]1[C@@H](O)CCCN1C(=O)NCC#CCN(C)C ZINC001251708665 807697150 /nfs/dbraw/zinc/69/71/50/807697150.db2.gz WHUUYFVAIHGEOJ-RYUDHWBXSA-N 0 1 253.346 0.106 20 30 CCEDMN C#CCOC[C@@H](O)CN(CCC(=O)OC)Cc1ccco1 ZINC001251847433 807718959 /nfs/dbraw/zinc/71/89/59/807718959.db2.gz FUQSAHMOXSFTHC-ZDUSSCGKSA-N 0 1 295.335 0.655 20 30 CCEDMN C#CCOC[C@H](O)CNCc1noc2ccccc12 ZINC001251893862 807739044 /nfs/dbraw/zinc/73/90/44/807739044.db2.gz LPZRYSGCELZANA-LLVKDONJSA-N 0 1 260.293 0.928 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@@H](CC(=O)OC)c1ccccn1 ZINC001251896632 807740152 /nfs/dbraw/zinc/74/01/52/807740152.db2.gz KWZUDYURKOIGRS-JSGCOSHPSA-N 0 1 292.335 0.286 20 30 CCEDMN C#CCCCC(=O)NC[C@@]1(C)CCCN([C@@H](C)C(N)=O)C1 ZINC001278659311 807807533 /nfs/dbraw/zinc/80/75/33/807807533.db2.gz SXBYRAMBYVUMCT-XJKSGUPXSA-N 0 1 293.411 0.882 20 30 CCEDMN C=CCOC[C@H](O)CN1CCN(CCC#N)CC1 ZINC001252467144 807876831 /nfs/dbraw/zinc/87/68/31/807876831.db2.gz WPHFXFMDMLJWSY-CYBMUJFWSA-N 0 1 253.346 0.081 20 30 CCEDMN C=CCOC[C@H](O)CN1CCN2CC(F)(F)C[C@H]2C1 ZINC001252470334 807880730 /nfs/dbraw/zinc/88/07/30/807880730.db2.gz JXSXDXQMQWSRCA-NWDGAFQWSA-N 0 1 276.327 0.575 20 30 CCEDMN C=CCOC[C@H](O)CN1CCS[C@H](C(=O)OCC)C1 ZINC001252474147 807885141 /nfs/dbraw/zinc/88/51/41/807885141.db2.gz VLHUQQBOKRVLEH-NEPJUHHUSA-N 0 1 289.397 0.530 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@H](CO)c1ccccc1OC ZINC001252496778 807894766 /nfs/dbraw/zinc/89/47/66/807894766.db2.gz DWPVHMXYYSQUTM-GXTWGEPZSA-N 0 1 281.352 0.882 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3cccnc23)[C@H]1C ZINC001088787279 815156839 /nfs/dbraw/zinc/15/68/39/815156839.db2.gz ALZXFAOIAYIPSB-YPMHNXCESA-N 0 1 283.335 0.555 20 30 CCEDMN C=C[C@](C)(O)CN1Cc2ncn(C)c2[C@@H](COC)C1 ZINC001252552232 807908558 /nfs/dbraw/zinc/90/85/58/807908558.db2.gz IIAPTPJEUPSHJA-RISCZKNCSA-N 0 1 265.357 0.903 20 30 CCEDMN N#C[C@@]1(NC(=O)CCc2nc[nH]n2)CCSC1 ZINC001252628130 807922758 /nfs/dbraw/zinc/92/27/58/807922758.db2.gz DSHHCABUPODKBO-JTQLQIEISA-N 0 1 251.315 0.253 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cncn3C)[C@@H]2C1 ZINC001075996949 815161255 /nfs/dbraw/zinc/16/12/55/815161255.db2.gz HWCCOIMJYVZFPI-WCQYABFASA-N 0 1 260.341 0.752 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn3nnnc3c2)[C@H]1C ZINC001088791588 815162825 /nfs/dbraw/zinc/16/28/25/815162825.db2.gz QLULBCBHKVMAGV-PWSUYJOCSA-N 0 1 286.339 0.503 20 30 CCEDMN C#CCN(C)C[C@H](O)COc1ccc(CCO)cc1 ZINC001253143614 808011301 /nfs/dbraw/zinc/01/13/01/808011301.db2.gz PQYDZMNVXAVOKP-AWEZNQCLSA-N 0 1 263.337 0.526 20 30 CCEDMN C=C[C@H](O)CN1C[C@H](NC(=O)OC(C)(C)C)C[C@H](O)C1 ZINC001253568260 808082074 /nfs/dbraw/zinc/08/20/74/808082074.db2.gz ZFXJFRWDJDMMMP-WOPDTQHZSA-N 0 1 286.372 0.493 20 30 CCEDMN C=C[C@H](O)C[N@H+]1CCC[C@@H](c2nnc(C(=O)OCC)[n-]2)C1 ZINC001253588418 808093380 /nfs/dbraw/zinc/09/33/80/808093380.db2.gz VKUSJJBWWVFFPJ-MNOVXSKESA-N 0 1 294.355 0.708 20 30 CCEDMN C=C[C@H](O)C[N@@H+]1CCC[C@@H](c2nnc(C(=O)OCC)[n-]2)C1 ZINC001253588418 808093388 /nfs/dbraw/zinc/09/33/88/808093388.db2.gz VKUSJJBWWVFFPJ-MNOVXSKESA-N 0 1 294.355 0.708 20 30 CCEDMN C=C[C@H](O)C[N@H+]1CCC[C@@H](c2nc(C(=O)OCC)n[n-]2)C1 ZINC001253588418 808093396 /nfs/dbraw/zinc/09/33/96/808093396.db2.gz VKUSJJBWWVFFPJ-MNOVXSKESA-N 0 1 294.355 0.708 20 30 CCEDMN C=C[C@H](O)C[N@@H+]1CCC[C@@H](c2nc(C(=O)OCC)n[n-]2)C1 ZINC001253588418 808093404 /nfs/dbraw/zinc/09/34/04/808093404.db2.gz VKUSJJBWWVFFPJ-MNOVXSKESA-N 0 1 294.355 0.708 20 30 CCEDMN CC[C@H](C)[C@H](NC[C@H](O)C(=O)OC(C)(C)C)C(=O)NO ZINC001253713949 808126892 /nfs/dbraw/zinc/12/68/92/808126892.db2.gz NSTGVAITOUDGJW-GUBZILKMSA-N 0 1 290.360 0.199 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c(C)nc[nH]c2=O)[C@H]1C ZINC001088808471 815177147 /nfs/dbraw/zinc/17/71/47/815177147.db2.gz IUYSZEVMEQESOW-MNOVXSKESA-N 0 1 274.324 0.316 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2cnccc2C)C1 ZINC001278771774 808199656 /nfs/dbraw/zinc/19/96/56/808199656.db2.gz SSUICDHJUXHAPL-INIZCTEOSA-N 0 1 287.363 0.580 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC001255361346 808349636 /nfs/dbraw/zinc/34/96/36/808349636.db2.gz VEVAQFSLCLFWQY-QWHCGFSZSA-N 0 1 299.415 0.674 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc2ccccn2c1 ZINC001278878109 808418833 /nfs/dbraw/zinc/41/88/33/808418833.db2.gz ISMUHHDKCVIPQN-MRXNPFEDSA-N 0 1 299.374 0.937 20 30 CCEDMN CC[C@]1(CO)CCCN(C(=O)NCC#CCN(C)C)C1 ZINC001256585996 808537399 /nfs/dbraw/zinc/53/73/99/808537399.db2.gz ZJEGXMAGIMFRGY-HNNXBMFYSA-N 0 1 281.400 0.746 20 30 CCEDMN CC[C@@]1(CO)CCCN(C(=O)NCC#CCN(C)C)C1 ZINC001256585998 808538218 /nfs/dbraw/zinc/53/82/18/808538218.db2.gz ZJEGXMAGIMFRGY-OAHLLOKOSA-N 0 1 281.400 0.746 20 30 CCEDMN C=CC[N@H+](CCNC(=O)c1[nH]nc(C)c1[O-])CCOC ZINC001279041538 808635558 /nfs/dbraw/zinc/63/55/58/808635558.db2.gz XPSUIIHGGJLJND-UHFFFAOYSA-N 0 1 282.344 0.288 20 30 CCEDMN Cc1noc(C)c1S(=O)(=O)Nc1ccnc(C#N)c1 ZINC001258511209 808691971 /nfs/dbraw/zinc/69/19/71/808691971.db2.gz LTKYYKSQWOFNER-UHFFFAOYSA-N 0 1 278.293 0.781 20 30 CCEDMN N#CC1(NS(=O)(=O)c2nc3nc(Cl)ccn3n2)CC1 ZINC001260177816 808855018 /nfs/dbraw/zinc/85/50/18/808855018.db2.gz MWHVQUZVTHMHTQ-UHFFFAOYSA-N 0 1 298.715 0.112 20 30 CCEDMN N#Cc1ccc(NC(=O)C(=O)NCc2c[nH]nn2)cc1F ZINC001261202959 808925664 /nfs/dbraw/zinc/92/56/64/808925664.db2.gz JHPVBEJEIFIPLK-UHFFFAOYSA-N 0 1 288.242 0.070 20 30 CCEDMN C=CCCN1CCN(C(=O)Cc2nnc(C)[nH]2)CC1 ZINC001261281845 808945536 /nfs/dbraw/zinc/94/55/36/808945536.db2.gz MFPLUBBLKOGSDP-UHFFFAOYSA-N 0 1 263.345 0.376 20 30 CCEDMN CC#CC(=O)N1CCc2nc[nH]c2[C@@H]1c1ccnn1C ZINC001261878161 809118218 /nfs/dbraw/zinc/11/82/18/809118218.db2.gz FHYJZNGDGVVBFW-AWEZNQCLSA-N 0 1 269.308 0.641 20 30 CCEDMN COC(=O)c1ccc(C(=O)NCC#CCN(C)C)o1 ZINC001262185495 809231513 /nfs/dbraw/zinc/23/15/13/809231513.db2.gz QPGLISSLHLQOMD-UHFFFAOYSA-N 0 1 264.281 0.361 20 30 CCEDMN O=C(CCc1nc[nH]n1)NCC#C[C@H]1CCCCO1 ZINC001262351335 809287825 /nfs/dbraw/zinc/28/78/25/809287825.db2.gz ZLHCUZXWLKXCGY-LLVKDONJSA-N 0 1 262.313 0.426 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ncccn3)[C@@H]2C1 ZINC001076385199 815286752 /nfs/dbraw/zinc/28/67/52/815286752.db2.gz LSVICPZIXDDIBK-QWHCGFSZSA-N 0 1 270.336 0.646 20 30 CCEDMN C#CCNCC(=O)N[C@]1(C(=O)OC)C[C@@H](OCC)C1(C)C ZINC001263126019 809452968 /nfs/dbraw/zinc/45/29/68/809452968.db2.gz ZCIFWVQKYJVXEC-ABAIWWIYSA-N 0 1 296.367 0.072 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](CCC(=O)OCC)C1 ZINC001263148674 809460652 /nfs/dbraw/zinc/46/06/52/809460652.db2.gz JKZJFMFCNMABCO-ZDUSSCGKSA-N 0 1 280.368 0.791 20 30 CCEDMN CCn1ccnc1C(C#N)C(=O)c1cc(=O)n(C)cn1 ZINC001263616570 809541604 /nfs/dbraw/zinc/54/16/04/809541604.db2.gz ZNBIURLLFNMAAX-SECBINFHSA-N 0 1 271.280 0.487 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC001263621345 809542849 /nfs/dbraw/zinc/54/28/49/809542849.db2.gz ZHVZALYWBMJFNT-LBPRGKRZSA-N 0 1 264.325 0.248 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cn(CC)cn3)[C@@H]2C1 ZINC001076466648 815299772 /nfs/dbraw/zinc/29/97/72/815299772.db2.gz HUTUKCIMLRODRS-GXTWGEPZSA-N 0 1 272.352 0.683 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cn(CC)cn3)[C@@H]2C1 ZINC001076466648 815299777 /nfs/dbraw/zinc/29/97/77/815299777.db2.gz HUTUKCIMLRODRS-GXTWGEPZSA-N 0 1 272.352 0.683 20 30 CCEDMN C=CCC1(O)CCN(C(=O)[C@@H]2CN3CCN2C[C@@H]3C)CC1 ZINC001263925756 809607802 /nfs/dbraw/zinc/60/78/02/809607802.db2.gz RYRLKGKFLUMROG-KBPBESRZSA-N 0 1 293.411 0.304 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](CC)N2CCCC2=O)[C@H]1C ZINC001264174069 809636036 /nfs/dbraw/zinc/63/60/36/809636036.db2.gz QJYXGMGSRCLTEP-RDBSUJKOSA-N 0 1 291.395 0.600 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N(C)C[C@H]1CCN1CC#N ZINC001264375184 809646925 /nfs/dbraw/zinc/64/69/25/809646925.db2.gz VYFOIMBZTRFOPQ-WCQYABFASA-N 0 1 275.356 0.645 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C2CC(C)C2)C1 ZINC001264629666 809666575 /nfs/dbraw/zinc/66/65/75/809666575.db2.gz BFXIGJKKQYTCMR-NRXISQOPSA-N 0 1 278.396 0.951 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)c1n[nH]cc1C ZINC001264663238 809669090 /nfs/dbraw/zinc/66/90/90/809669090.db2.gz DEXPJDBAQCGBMP-UHFFFAOYSA-N 0 1 292.383 0.762 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCCN(C)[C@@H]1CCNC1=O ZINC001264990207 809688840 /nfs/dbraw/zinc/68/88/40/809688840.db2.gz IBOIWELZAUZAJR-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@@H]1CC12CC2 ZINC001265041361 809698955 /nfs/dbraw/zinc/69/89/55/809698955.db2.gz WLFDWVYIAFVHKF-OLZOCXBDSA-N 0 1 293.411 0.915 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)C[C@](C)(O)C=C)CC1 ZINC001265258748 809733134 /nfs/dbraw/zinc/73/31/34/809733134.db2.gz PGYTYIWMUZVBJC-MRXNPFEDSA-N 0 1 293.411 0.071 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H]2CCO[C@H]2C=C)CC1 ZINC001265264708 809734314 /nfs/dbraw/zinc/73/43/14/809734314.db2.gz ZYGGGCJGOPIDDU-CABCVRRESA-N 0 1 293.411 0.497 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1C[C@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC001265476576 809788161 /nfs/dbraw/zinc/78/81/61/809788161.db2.gz IRDNDZBFMYLUAW-JOCQHMNTSA-N 0 1 299.378 0.832 20 30 CCEDMN N#CCN1CCC[C@@H](CNC(=O)CN2CCCC2)C1 ZINC001265596647 809810539 /nfs/dbraw/zinc/81/05/39/809810539.db2.gz HNFTZZVQKVEYOS-ZDUSSCGKSA-N 0 1 264.373 0.434 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1[C@H]2CN(C/C=C\Cl)C[C@H]21 ZINC001265712886 809831098 /nfs/dbraw/zinc/83/10/98/809831098.db2.gz UBOWEWMIWYWYRS-CYPUBJNHSA-N 0 1 253.733 0.945 20 30 CCEDMN CC1(CC(=O)NCCN2CCC(NCC#N)CC2)CC1 ZINC001279439471 809978802 /nfs/dbraw/zinc/97/88/02/809978802.db2.gz XPWROZDFRWYMQA-UHFFFAOYSA-N 0 1 278.400 0.870 20 30 CCEDMN CCO[C@@H](CC)C(=O)NCCN1CCC(NCC#N)CC1 ZINC001279423730 809978932 /nfs/dbraw/zinc/97/89/32/809978932.db2.gz BDUQSVNCDZONFI-AWEZNQCLSA-N 0 1 296.415 0.495 20 30 CCEDMN CCOCCN1CCC[C@](CO)(NC(=O)[C@H](C)C#N)C1 ZINC001279471932 809983172 /nfs/dbraw/zinc/98/31/72/809983172.db2.gz UIXYZBUVRBYIJV-OCCSQVGLSA-N 0 1 283.372 0.126 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)COCCOCC)[C@@H]2C1 ZINC001279630740 809990650 /nfs/dbraw/zinc/99/06/50/809990650.db2.gz ITJIFPDQGLOSTQ-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCNC(=O)CN1CCC2(CN(C(=O)C3CC3)C2)CC1 ZINC001280381298 810013750 /nfs/dbraw/zinc/01/37/50/810013750.db2.gz KHSYLCKJRXJEIZ-UHFFFAOYSA-N 0 1 291.395 0.623 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2oc(C)nc2C)C1 ZINC001076835865 815389131 /nfs/dbraw/zinc/38/91/31/815389131.db2.gz OPZHMPRRJAEILO-VXGBXAGGSA-N 0 1 279.340 0.642 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2oc(C)nc2C)C1 ZINC001076835865 815389135 /nfs/dbraw/zinc/38/91/35/815389135.db2.gz OPZHMPRRJAEILO-VXGBXAGGSA-N 0 1 279.340 0.642 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2coc(CC)n2)C1 ZINC001076912527 815413333 /nfs/dbraw/zinc/41/33/33/815413333.db2.gz ZNZQKVFFHZLXFX-DGCLKSJQSA-N 0 1 293.367 0.978 20 30 CCEDMN CC#CCCCC(=O)NC[C@H]1CCCN1[C@H](C)C(=O)NC ZINC001267273589 811078804 /nfs/dbraw/zinc/07/88/04/811078804.db2.gz XPCFZFJIQPRBTA-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCCC(=O)N(C)C ZINC001267282741 811093737 /nfs/dbraw/zinc/09/37/37/811093737.db2.gz XTXRAHZWCREQLX-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C=CCOCCN1CCC[C@H]1CNC(=O)c1nonc1C ZINC001267283582 811095897 /nfs/dbraw/zinc/09/58/97/811095897.db2.gz NXQWLKRQYKSGNW-LBPRGKRZSA-N 0 1 294.355 0.775 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2csnn2)C1 ZINC001267299275 811118788 /nfs/dbraw/zinc/11/87/88/811118788.db2.gz WUBWUSBBUXYWOR-NSHDSACASA-N 0 1 294.380 0.240 20 30 CCEDMN CSc1ncc(C#N)c(-n2c(C)ncc(CN)c2=N)n1 ZINC001167672194 811120294 /nfs/dbraw/zinc/12/02/94/811120294.db2.gz YPHFPJRHDYGHOG-UHFFFAOYSA-N 0 1 287.352 0.502 20 30 CCEDMN Cc1nc(Cl)c(C#N)c(-n2c(C)ncc(CN)c2=N)n1 ZINC001167672301 811121495 /nfs/dbraw/zinc/12/14/95/811121495.db2.gz JWRJEEPDCPRYIG-UHFFFAOYSA-N 0 1 289.730 0.742 20 30 CCEDMN C#CC[N@@H+](CCC)CCNC(=O)[C@@H](CC)NC(C)=O ZINC001267317679 811146479 /nfs/dbraw/zinc/14/64/79/811146479.db2.gz IHTNSTMEGYPSCI-CYBMUJFWSA-N 0 1 267.373 0.363 20 30 CCEDMN COCC#CCN(CCNC(=O)c1cnns1)C1CC1 ZINC001267322419 811155223 /nfs/dbraw/zinc/15/52/23/811155223.db2.gz ZUVVDNPDPQLJDZ-UHFFFAOYSA-N 0 1 294.380 0.382 20 30 CCEDMN C=C(Br)CNCCN(C)C(=O)[C@H]1CCOC1 ZINC001267353060 811199497 /nfs/dbraw/zinc/19/94/97/811199497.db2.gz LYNYLWZLVVECMZ-JTQLQIEISA-N 0 1 291.189 0.980 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(C)ncn1 ZINC001125761472 811280827 /nfs/dbraw/zinc/28/08/27/811280827.db2.gz KWAUQNWXIAIQJD-UHFFFAOYSA-N 0 1 254.721 0.857 20 30 CCEDMN N#Cc1cccnc1N1CC[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001058562166 811321007 /nfs/dbraw/zinc/32/10/07/811321007.db2.gz DRIZKQYSLBCFPB-GFCCVEGCSA-N 0 1 296.334 0.614 20 30 CCEDMN N#Cc1cnc(N2CC[C@H](NC(=O)Cc3cnc[nH]3)C2)cn1 ZINC001058562590 811321383 /nfs/dbraw/zinc/32/13/83/811321383.db2.gz NSEWCWGATWKDHR-JTQLQIEISA-N 0 1 297.322 0.009 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@H]1CCCNC1=O)c1ccccc1 ZINC001267520914 811399820 /nfs/dbraw/zinc/39/98/20/811399820.db2.gz OOEDOODZJFKLQE-GJZGRUSLSA-N 0 1 299.374 0.593 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)[C@@H]2C[C@H]2C)CC1 ZINC001267574756 811467394 /nfs/dbraw/zinc/46/73/94/811467394.db2.gz SBQCAWIJYYMLON-HUUCEWRRSA-N 0 1 277.412 0.742 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cc[n+]([O-])cc3)[C@@H]2C1 ZINC001075517383 811511948 /nfs/dbraw/zinc/51/19/48/811511948.db2.gz ZUIXMBROUSAPRX-UONOGXRCSA-N 0 1 271.320 0.100 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc[n+]([O-])cc3)[C@@H]2C1 ZINC001075517383 811511954 /nfs/dbraw/zinc/51/19/54/811511954.db2.gz ZUIXMBROUSAPRX-UONOGXRCSA-N 0 1 271.320 0.100 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@H]2CCCO2)C1 ZINC001267620602 811578004 /nfs/dbraw/zinc/57/80/04/811578004.db2.gz BWHKUWWEKLGUNT-UONOGXRCSA-N 0 1 282.384 0.949 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)CCCC(=O)NC)C1 ZINC001267624677 811584509 /nfs/dbraw/zinc/58/45/09/811584509.db2.gz KRCBYEWRGMULNN-ZDUSSCGKSA-N 0 1 279.384 0.507 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C[C@H]2C=CCCC2)C1 ZINC001077216618 815462942 /nfs/dbraw/zinc/46/29/42/815462942.db2.gz JUGQGFDEFVYDMC-RRFJBIMHSA-N 0 1 276.380 0.917 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H]2C=CCCC2)C1 ZINC001077216618 815462946 /nfs/dbraw/zinc/46/29/46/815462946.db2.gz JUGQGFDEFVYDMC-RRFJBIMHSA-N 0 1 276.380 0.917 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](CC(=C)C)NC(C)=O)C1 ZINC001267731035 811699996 /nfs/dbraw/zinc/69/99/96/811699996.db2.gz LBMXKDLZOSXKMB-KBPBESRZSA-N 0 1 279.384 0.834 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C)Cc2cnn(C)c2)C1 ZINC001267732856 811701386 /nfs/dbraw/zinc/70/13/86/811701386.db2.gz RIDPEJVTEOBHCH-JSGCOSHPSA-N 0 1 276.384 0.975 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCc2nccn21 ZINC001038355843 811702862 /nfs/dbraw/zinc/70/28/62/811702862.db2.gz FBYLTWRIKGRUEL-KGLIPLIRSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)C(F)F)C2)nn1 ZINC001105128210 811853561 /nfs/dbraw/zinc/85/35/61/811853561.db2.gz VEVKBXFIVKMLKK-UHFFFAOYSA-N 0 1 283.282 0.039 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CCCC2)[C@@H](O)C1 ZINC001083301203 811895740 /nfs/dbraw/zinc/89/57/40/811895740.db2.gz ANEYHOFKLHOAOB-OLZOCXBDSA-N 0 1 250.342 0.361 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001077372612 815487697 /nfs/dbraw/zinc/48/76/97/815487697.db2.gz NIJXCIMEEGKKBD-MGPQQGTHSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](CNCc2ncnn2C)C1 ZINC001026993512 811951799 /nfs/dbraw/zinc/95/17/99/811951799.db2.gz FGDABAPOPHJESH-GFCCVEGCSA-N 0 1 291.399 0.965 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CC1OCCCO1 ZINC001126225208 812090771 /nfs/dbraw/zinc/09/07/71/812090771.db2.gz TXDNCKCGZFWTDI-UHFFFAOYSA-N 0 1 262.737 0.598 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(CCC)C1 ZINC001038758476 812052844 /nfs/dbraw/zinc/05/28/44/812052844.db2.gz XROYOAFGQBGIAS-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1cnc(Cl)n1C ZINC001038511683 815498769 /nfs/dbraw/zinc/49/87/69/815498769.db2.gz QBXOPOJUWKFJOO-JTQLQIEISA-N 0 1 280.759 0.901 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnc(Cl)n1C ZINC001038511683 815498770 /nfs/dbraw/zinc/49/87/70/815498770.db2.gz QBXOPOJUWKFJOO-JTQLQIEISA-N 0 1 280.759 0.901 20 30 CCEDMN COCCOCCOCCN1CCC(C#N)CC1 ZINC000125811171 812113165 /nfs/dbraw/zinc/11/31/65/812113165.db2.gz CIWAZMGXAISHRG-UHFFFAOYSA-N 0 1 256.346 0.902 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1nc(C)c(C)[nH]c1=O ZINC001027964686 812171382 /nfs/dbraw/zinc/17/13/82/812171382.db2.gz MTRLAAVLTWTJIO-GFCCVEGCSA-N 0 1 288.351 0.626 20 30 CCEDMN C#CCOCCC(=O)N(C)[C@H](C)CNCc1cc(C)no1 ZINC001268063608 812175513 /nfs/dbraw/zinc/17/55/13/812175513.db2.gz OCOFVWOGEMIXJH-CYBMUJFWSA-N 0 1 293.367 0.959 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001027974242 812183658 /nfs/dbraw/zinc/18/36/58/812183658.db2.gz FFEBVIZHNOFWFA-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001027974243 812184001 /nfs/dbraw/zinc/18/40/01/812184001.db2.gz FFEBVIZHNOFWFA-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCn2ccnc2C1 ZINC001027979693 812190100 /nfs/dbraw/zinc/19/01/00/812190100.db2.gz HILARGJEVBSJKN-UONOGXRCSA-N 0 1 286.379 0.659 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccnc(OC)n1 ZINC001028019995 812219393 /nfs/dbraw/zinc/21/93/93/812219393.db2.gz KINAWLBOBPXHGK-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccnc(OC)n1 ZINC001028019995 812219396 /nfs/dbraw/zinc/21/93/96/812219396.db2.gz KINAWLBOBPXHGK-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc2nnnn2c1 ZINC001028026683 812222976 /nfs/dbraw/zinc/22/29/76/812222976.db2.gz OQDGTLRGXYGIFI-LBPRGKRZSA-N 0 1 286.339 0.505 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCN(C)C1=O ZINC001028085200 812262209 /nfs/dbraw/zinc/26/22/09/812262209.db2.gz GBARHEIDBJKRSD-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001028090753 812269576 /nfs/dbraw/zinc/26/95/76/812269576.db2.gz DUIMGBROLADRSF-LLVKDONJSA-N 0 1 274.324 0.318 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc2ncn(C)c2n1 ZINC001028136610 812304187 /nfs/dbraw/zinc/30/41/87/812304187.db2.gz TUZXFUFBEDWTES-LBPRGKRZSA-N 0 1 297.362 0.796 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1nccn2ccnc12 ZINC001028143563 812310526 /nfs/dbraw/zinc/31/05/26/812310526.db2.gz JTKLKWOVBNEFPV-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(CCC)C1 ZINC001028173875 812331861 /nfs/dbraw/zinc/33/18/61/812331861.db2.gz TZKLKBLLAVSTKT-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCc2n[nH]nc2C1 ZINC001038916785 812341856 /nfs/dbraw/zinc/34/18/56/812341856.db2.gz IODLHKFUPHWDNR-VXGBXAGGSA-N 0 1 287.367 0.123 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccncn1 ZINC001028213810 812395019 /nfs/dbraw/zinc/39/50/19/812395019.db2.gz MFSNDILTLVBOOO-LBPRGKRZSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COc2cc(C)on2)C1 ZINC001207637966 812404316 /nfs/dbraw/zinc/40/43/16/812404316.db2.gz VRDIZFWXYAHHJT-ZYHUDNBSSA-N 0 1 277.324 0.432 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnc2[nH]c(C)nc2c1 ZINC001268239003 812406766 /nfs/dbraw/zinc/40/67/66/812406766.db2.gz OFZBCSIZSQHARV-UHFFFAOYSA-N 0 1 285.351 0.903 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cncc(C#C)c1 ZINC001268248727 812413946 /nfs/dbraw/zinc/41/39/46/812413946.db2.gz IISKXMYPIDKFHL-UHFFFAOYSA-N 0 1 255.321 0.700 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001028245864 812483885 /nfs/dbraw/zinc/48/38/85/812483885.db2.gz LEEPBYQOADVLEX-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cnn(CC)c3)[C@@H]2C1 ZINC001075623495 812513470 /nfs/dbraw/zinc/51/34/70/812513470.db2.gz SXSJGKAMVGUSSX-GXTWGEPZSA-N 0 1 272.352 0.683 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnn(CC)c3)[C@@H]2C1 ZINC001075623495 812513475 /nfs/dbraw/zinc/51/34/75/812513475.db2.gz SXSJGKAMVGUSSX-GXTWGEPZSA-N 0 1 272.352 0.683 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001028337587 812628600 /nfs/dbraw/zinc/62/86/00/812628600.db2.gz OQYXMCFTCNTGRZ-ZIAGYGMSSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1nn(CC)nc1C ZINC001028352683 812639329 /nfs/dbraw/zinc/63/93/29/812639329.db2.gz KBMZYZBBXRETCQ-LBPRGKRZSA-N 0 1 277.372 0.987 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cncc(F)c1 ZINC001126366488 812688377 /nfs/dbraw/zinc/68/83/77/812688377.db2.gz WSKMKTZLASVZCY-AWEZNQCLSA-N 0 1 281.331 0.754 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2n[nH]c(C)c2C)C1 ZINC001028452639 812721489 /nfs/dbraw/zinc/72/14/89/812721489.db2.gz MUXUWIOMNBREKR-LBPRGKRZSA-N 0 1 260.341 0.711 20 30 CCEDMN Cc1ccc(C(=O)NC/C=C\CNCC(=O)NCC#N)o1 ZINC001268508578 812737697 /nfs/dbraw/zinc/73/76/97/812737697.db2.gz GUQYMAVHAPVPAU-IHWYPQMZSA-N 0 1 290.323 0.103 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)Cc1nnc(C)o1 ZINC001268533144 812777426 /nfs/dbraw/zinc/77/74/26/812777426.db2.gz NHBZKEHJJUHQJW-ONEGZZNKSA-N 0 1 284.747 0.935 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cc(C)ns1 ZINC001126378206 812814551 /nfs/dbraw/zinc/81/45/51/812814551.db2.gz JEJFEGJYFMIPHV-ZDUSSCGKSA-N 0 1 283.397 0.984 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)Cn1ccnc1 ZINC001268633239 812957490 /nfs/dbraw/zinc/95/74/90/812957490.db2.gz KWACMPSFZSKNFX-NSCUHMNNSA-N 0 1 268.748 0.898 20 30 CCEDMN Cc1ncoc1CNC[C@H](C)NC(=O)CSCC#N ZINC001268678972 813000654 /nfs/dbraw/zinc/00/06/54/813000654.db2.gz UXWNFYZVCQPRSS-VIFPVBQESA-N 0 1 282.369 0.834 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@]1(C)CCNC1=O ZINC001268702351 813019843 /nfs/dbraw/zinc/01/98/43/813019843.db2.gz DQXCIDNFGQMBKE-CABZTGNLSA-N 0 1 273.764 0.359 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)Cc1ccc(OC)cn1 ZINC001268742599 813055630 /nfs/dbraw/zinc/05/56/30/813055630.db2.gz JUPYVOWBUJEABL-GFCCVEGCSA-N 0 1 275.352 0.702 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)C(C)(C)CNC(C)=O ZINC001268751523 813063133 /nfs/dbraw/zinc/06/31/33/813063133.db2.gz SQAGAGYJLSYLHO-GFCCVEGCSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccc(-n2cnnc2)cc1 ZINC001268749781 813066275 /nfs/dbraw/zinc/06/62/75/813066275.db2.gz DWVWOTOJZDERKC-CYBMUJFWSA-N 0 1 297.362 0.951 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2cnn(C)c2Cl)C1 ZINC001028636509 813072924 /nfs/dbraw/zinc/07/29/24/813072924.db2.gz PLRINWMBZBQGBH-JTQLQIEISA-N 0 1 280.759 0.758 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H](NC(C)=O)C2CCCC2)C1 ZINC001268849837 813112650 /nfs/dbraw/zinc/11/26/50/813112650.db2.gz JXBPMCQOKVWKGE-OAHLLOKOSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2C[C@H]1CCOC1 ZINC001268929470 813147749 /nfs/dbraw/zinc/14/77/49/813147749.db2.gz AWMFMUBZRNJAII-RDBSUJKOSA-N 0 1 280.368 0.511 20 30 CCEDMN CCC#CC(=O)N1CC2(C1)CCN(Cc1cn[nH]c1)C2 ZINC001268951204 813155774 /nfs/dbraw/zinc/15/57/74/813155774.db2.gz HTYCODGNYULYFX-UHFFFAOYSA-N 0 1 272.352 0.857 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](O)COC ZINC001268960305 813161342 /nfs/dbraw/zinc/16/13/42/813161342.db2.gz LZPIRHNVDBHFFO-AJNGGQMLSA-N 0 1 296.411 0.881 20 30 CCEDMN COCCOCCN1CC[C@H](NC(=O)C#CC(C)C)C1 ZINC001269023443 813179777 /nfs/dbraw/zinc/17/97/77/813179777.db2.gz SVDFBAVZAHGCCR-AWEZNQCLSA-N 0 1 282.384 0.499 20 30 CCEDMN N#CC1(C(=O)N2CC3(C[C@H]3C(=O)NCc3cnc[nH]3)C2)CC1 ZINC001269020901 813180524 /nfs/dbraw/zinc/18/05/24/813180524.db2.gz VAERQSMLJZMRIA-NSHDSACASA-N 0 1 299.334 0.178 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCn2cccn2)C1 ZINC001269092121 813211053 /nfs/dbraw/zinc/21/10/53/813211053.db2.gz NAYZAWFHHPKCIL-AWEZNQCLSA-N 0 1 274.368 0.877 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)c3ccn[nH]3)C2)C1=O ZINC001269131401 813230197 /nfs/dbraw/zinc/23/01/97/813230197.db2.gz AIGKUKWMQSKFFK-AWEZNQCLSA-N 0 1 272.308 0.108 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN1Cc1n[nH]c(C)n1 ZINC001269206259 813265374 /nfs/dbraw/zinc/26/53/74/813265374.db2.gz UCMGVUVGURYCJM-LLVKDONJSA-N 0 1 263.345 0.770 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)CNC(=O)CC ZINC001269209676 813269669 /nfs/dbraw/zinc/26/96/69/813269669.db2.gz CVMZNKSDZLBWGS-NSHDSACASA-N 0 1 253.346 0.279 20 30 CCEDMN COCCN1CC[C@H](N(C)C(=O)CSCC#N)C1 ZINC001269218425 813273211 /nfs/dbraw/zinc/27/32/11/813273211.db2.gz YKINGYOVAAFQFX-NSHDSACASA-N 0 1 271.386 0.422 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@@H]1CCCC(=O)N1 ZINC001269261433 813294744 /nfs/dbraw/zinc/29/47/44/813294744.db2.gz RMWKBUOGPCKEAK-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)[C@@H](OC)c1cnn(C)c1 ZINC001269266871 813297344 /nfs/dbraw/zinc/29/73/44/813297344.db2.gz LPGAHWMDQCLIBK-KGLIPLIRSA-N 0 1 292.383 0.874 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C(C)(C)NC(C)=O)C1 ZINC001269279706 813303791 /nfs/dbraw/zinc/30/37/91/813303791.db2.gz CBOMYIGTRUBDKZ-ZDUSSCGKSA-N 0 1 279.384 0.457 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)c2ccc3cncn3c2)C1 ZINC001028692904 813316796 /nfs/dbraw/zinc/31/67/96/813316796.db2.gz CQHXVEULPUZORC-LBPRGKRZSA-N 0 1 283.335 0.910 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(CC)C(=O)CO[C@@H]2CCOC2)C1 ZINC001269325093 813327331 /nfs/dbraw/zinc/32/73/31/813327331.db2.gz UXXVROBDGFUXRQ-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCN1CCCC1=O ZINC001269344719 813333807 /nfs/dbraw/zinc/33/38/07/813333807.db2.gz WZPKDUBAHNWGRH-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCNC(=O)NC(C)(C)C(=O)NC[C@@H]1CC[N@@H+]1C(C)C ZINC001269349879 813334567 /nfs/dbraw/zinc/33/45/67/813334567.db2.gz DSDLVTQBKORRHX-LBPRGKRZSA-N 0 1 296.415 0.849 20 30 CCEDMN C=CCNC(=O)NC(C)(C)C(=O)NC[C@@H]1CCN1C(C)C ZINC001269349879 813334570 /nfs/dbraw/zinc/33/45/70/813334570.db2.gz DSDLVTQBKORRHX-LBPRGKRZSA-N 0 1 296.415 0.849 20 30 CCEDMN N#CCNCC[C@@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC001269712408 813478281 /nfs/dbraw/zinc/47/82/81/813478281.db2.gz PMSPPKFQKYZLHP-LBPRGKRZSA-N 0 1 275.356 0.694 20 30 CCEDMN C=C(C)CN1CC[C@@]2(CCN(C(=O)[C@H](C)N(C)C)C2)C1=O ZINC001269796660 813509425 /nfs/dbraw/zinc/50/94/25/813509425.db2.gz IKOJIINKWLPMHJ-BBRMVZONSA-N 0 1 293.411 0.964 20 30 CCEDMN CNC(=O)CN1CCC(CCNC(=O)C#CC2CC2)CC1 ZINC001270112169 813640143 /nfs/dbraw/zinc/64/01/43/813640143.db2.gz WNQQBCWODQAKRT-UHFFFAOYSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](OC)C3CC3)[C@@H]2C1 ZINC001075745454 813697411 /nfs/dbraw/zinc/69/74/11/813697411.db2.gz HYQPUGKTRQQFME-BFHYXJOUSA-N 0 1 262.353 0.577 20 30 CCEDMN C#CCCCC(=O)N[C@@]1(C)CCN([C@@H]2CCN(C)C2=O)C1 ZINC001270575617 813822930 /nfs/dbraw/zinc/82/29/30/813822930.db2.gz FOFLRGPHXOUGTO-CJNGLKHVSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001149312079 813884272 /nfs/dbraw/zinc/88/42/72/813884272.db2.gz GVGXZQRVEVXPMH-LLVKDONJSA-N 0 1 273.764 0.313 20 30 CCEDMN CC#CC(=O)N1CC2(C1)CCN(Cc1cc(C#N)n(C)c1)C2 ZINC001270717104 813904539 /nfs/dbraw/zinc/90/45/39/813904539.db2.gz UXLWLFAEOKSIJW-UHFFFAOYSA-N 0 1 296.374 0.954 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc[n+]([O-])cc2)[C@H]1CC ZINC001087492677 813955796 /nfs/dbraw/zinc/95/57/96/813955796.db2.gz LQBPWRGHDNHNDE-LSDHHAIUSA-N 0 1 287.363 0.926 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2=COCCO2)[C@H]1CC ZINC001087493936 813964293 /nfs/dbraw/zinc/96/42/93/813964293.db2.gz SOVPKXDULYGMIH-QWHCGFSZSA-N 0 1 278.352 0.867 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccnc(OC)c1 ZINC001038433483 813988654 /nfs/dbraw/zinc/98/86/54/813988654.db2.gz CHTULQGNPYLMJR-ZDUSSCGKSA-N 0 1 273.336 0.918 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)n2cncn2)[C@H]1CC ZINC001087547037 814133226 /nfs/dbraw/zinc/13/32/26/814133226.db2.gz RBRJLGZGESHLOZ-FRRDWIJNSA-N 0 1 275.356 0.441 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnn(C)c2N)[C@H]1CC ZINC001087551272 814143977 /nfs/dbraw/zinc/14/39/77/814143977.db2.gz UGYLLCGRWYPPQU-QWHCGFSZSA-N 0 1 289.383 0.608 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccn(C)c2=O)[C@H]1CC ZINC001087800984 814217115 /nfs/dbraw/zinc/21/71/15/814217115.db2.gz RQJDTJCQEOAYRA-UONOGXRCSA-N 0 1 287.363 0.601 20 30 CCEDMN C#C[C@@H](Oc1[nH]c(=S)nnc1C)C(=O)OCC ZINC001227599855 814432499 /nfs/dbraw/zinc/43/24/99/814432499.db2.gz AXKCINRTZQFGEN-SSDOTTSWSA-N 0 1 253.283 0.412 20 30 CCEDMN C#CCN1CC[C@H](OCC2CCN(C(C)=O)CC2)C1 ZINC001088225595 814447588 /nfs/dbraw/zinc/44/75/88/814447588.db2.gz RAFHCOUXXRXUDF-HNNXBMFYSA-N 0 1 264.369 0.969 20 30 CCEDMN Cc1nc(CN(C)C[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001029676423 814534332 /nfs/dbraw/zinc/53/43/32/814534332.db2.gz AQCQVUNAKODUDS-CMPLNLGQSA-N 0 1 290.371 0.553 20 30 CCEDMN N#CCCCC(=O)N1Cc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001271773879 814572564 /nfs/dbraw/zinc/57/25/64/814572564.db2.gz UCUKECUTWFHQSR-UHFFFAOYSA-N 0 1 299.334 0.958 20 30 CCEDMN Cc1csc(NC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000841008335 814589033 /nfs/dbraw/zinc/58/90/33/814589033.db2.gz XPVQJRGFKASYNV-SFYZADRCSA-N 0 1 251.315 0.685 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1C[C@H]2CN(CC(=O)NC)C[C@H]2C1 ZINC001271873345 814618245 /nfs/dbraw/zinc/61/82/45/814618245.db2.gz FTLKKJMJVGBORM-MCIONIFRSA-N 0 1 293.411 0.725 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1nc(C)n[nH]1 ZINC001271916457 814629773 /nfs/dbraw/zinc/62/97/73/814629773.db2.gz GGGWYXBACKWWHN-GHMZBOCLSA-N 0 1 289.339 0.505 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)COC(C)(C)C)C1 ZINC001271956730 814651524 /nfs/dbraw/zinc/65/15/24/814651524.db2.gz FODRADHLMCQOHU-INIZCTEOSA-N 0 1 296.411 0.768 20 30 CCEDMN Cc1cc(N[C@H]2C[C@@H](NC(=O)c3ncn[nH]3)C2)c(C#N)cn1 ZINC001059692386 814720152 /nfs/dbraw/zinc/72/01/52/814720152.db2.gz ODRDXNRCVXSCBK-PHIMTYICSA-N 0 1 297.322 0.175 20 30 CCEDMN Cc1cc(N[C@H]2C[C@@H](NC(=O)c3nc[nH]n3)C2)c(C#N)cn1 ZINC001059692386 814720154 /nfs/dbraw/zinc/72/01/54/814720154.db2.gz ODRDXNRCVXSCBK-PHIMTYICSA-N 0 1 297.322 0.175 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnn(C)c2C)[C@H]1C ZINC001088469203 814721622 /nfs/dbraw/zinc/72/16/22/814721622.db2.gz XLXGQJILWVZJDN-OCCSQVGLSA-N 0 1 274.368 0.944 20 30 CCEDMN Cn1cc(C(=O)NCCNCc2ccccc2C#N)nn1 ZINC001126650743 814760994 /nfs/dbraw/zinc/76/09/94/814760994.db2.gz SDKMPFBVBJZBPH-UHFFFAOYSA-N 0 1 284.323 0.206 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)C[C@H]2COC(=O)C2)[C@H]1C ZINC001088523191 814851901 /nfs/dbraw/zinc/85/19/01/814851901.db2.gz UWQONOTXNKVPQJ-UTUOFQBUSA-N 0 1 266.341 0.705 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cc(C)no1 ZINC001127040169 815589815 /nfs/dbraw/zinc/58/98/15/815589815.db2.gz ZTYYBABITIOMEA-NSHDSACASA-N 0 1 265.313 0.227 20 30 CCEDMN N#C[C@H](C(=O)NC1CC1)C(=O)[C@@H]1Cc2ccccc2C(=O)O1 ZINC000129409313 815614274 /nfs/dbraw/zinc/61/42/74/815614274.db2.gz HQUPIHHUMHSFTH-STQMWFEESA-N 0 1 298.298 0.756 20 30 CCEDMN C=CCN1CCC(N2C(=O)NC(C)(C)C2=O)CC1 ZINC000348909013 815637071 /nfs/dbraw/zinc/63/70/71/815637071.db2.gz HYAUFSCTQSGENE-UHFFFAOYSA-N 0 1 251.330 0.967 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccnn1CCOC ZINC001038388411 815650342 /nfs/dbraw/zinc/65/03/42/815650342.db2.gz HACKFKPMLBXUQH-CYBMUJFWSA-N 0 1 290.367 0.357 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)[C@H]1C ZINC001088840958 815870073 /nfs/dbraw/zinc/87/00/73/815870073.db2.gz FIGRSDFSXWUUIN-XUJVJEKNSA-N 0 1 277.368 0.257 20 30 CCEDMN C#CCN1CC(NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001030457700 816046146 /nfs/dbraw/zinc/04/61/46/816046146.db2.gz IINATYIEFTUQBR-UHFFFAOYSA-N 0 1 266.732 0.673 20 30 CCEDMN N#Cc1cccc(CN2CC(NC(=O)c3cnn[nH]3)C2)c1 ZINC001030597950 816136685 /nfs/dbraw/zinc/13/66/85/816136685.db2.gz FHLNEJVZMDVPDU-UHFFFAOYSA-N 0 1 282.307 0.291 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCCN(C)C2=O)[C@H]1C ZINC001088976184 816200385 /nfs/dbraw/zinc/20/03/85/816200385.db2.gz KJLQEJNFPDBIOR-MCIONIFRSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCN1CC(NC(=O)c2ccc3cncn3c2)C1 ZINC001030682608 816215042 /nfs/dbraw/zinc/21/50/42/816215042.db2.gz DDMOVTUCVAWMFF-UHFFFAOYSA-N 0 1 256.309 0.934 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2ccc3[nH]nnc3c2)CCN1CC#N ZINC001089033176 816218861 /nfs/dbraw/zinc/21/88/61/816218861.db2.gz DREBSCULIMFAQJ-KOLCDFICSA-N 0 1 284.323 0.674 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CN(CC)CCO2)[C@H]1C ZINC001089050207 816225979 /nfs/dbraw/zinc/22/59/79/816225979.db2.gz WWAWNEFVUKOADD-QLFBSQMISA-N 0 1 293.411 0.309 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)cn2)[C@H]1C ZINC001089072857 816234982 /nfs/dbraw/zinc/23/49/82/816234982.db2.gz ZFTYFCRWQLSGGT-PWSUYJOCSA-N 0 1 288.351 0.559 20 30 CCEDMN C=CCCN1CC(NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001030861276 816361677 /nfs/dbraw/zinc/36/16/77/816361677.db2.gz PPHGCHBXBRUKIM-LLVKDONJSA-N 0 1 275.356 0.601 20 30 CCEDMN C=CCN1C(=O)COCC12CN(CC1CCC1)C2 ZINC001272515918 816540779 /nfs/dbraw/zinc/54/07/79/816540779.db2.gz ZINXHEXGKQLAKC-UHFFFAOYSA-N 0 1 250.342 0.886 20 30 CCEDMN C=CCN1CC(NC(=O)CN2CCC(C)CC2)C1 ZINC001031137169 816689496 /nfs/dbraw/zinc/68/94/96/816689496.db2.gz ZUEJHWHYFXQYJT-UHFFFAOYSA-N 0 1 251.374 0.705 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ncccc2F)[C@@H](O)C1 ZINC001083728702 816751560 /nfs/dbraw/zinc/75/15/60/816751560.db2.gz LSCQDMSLTNWXKU-KGLIPLIRSA-N 0 1 293.342 0.501 20 30 CCEDMN C[C@H](CCNc1ccncc1C#N)NC(=O)c1ncn[nH]1 ZINC001106413014 816762996 /nfs/dbraw/zinc/76/29/96/816762996.db2.gz VFCOVPXAMOKHIC-SECBINFHSA-N 0 1 285.311 0.114 20 30 CCEDMN C[C@H](CCNc1ccncc1C#N)NC(=O)c1nc[nH]n1 ZINC001106413014 816763001 /nfs/dbraw/zinc/76/30/01/816763001.db2.gz VFCOVPXAMOKHIC-SECBINFHSA-N 0 1 285.311 0.114 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc[nH]c2C2CC2)[C@@H](O)C1 ZINC001083733065 816825629 /nfs/dbraw/zinc/82/56/29/816825629.db2.gz XUYWTXXVSIIDEK-KGLIPLIRSA-N 0 1 287.363 0.690 20 30 CCEDMN CCN(CCNc1cnc(C#N)cn1)C(=O)Cc1ccn[nH]1 ZINC001106712110 816860661 /nfs/dbraw/zinc/86/06/61/816860661.db2.gz DDPNUXINNUDMIG-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C[C@H](C[C@H](C)Nc1ccncc1C#N)NC(=O)c1ncn[nH]1 ZINC001089374361 817028888 /nfs/dbraw/zinc/02/88/88/817028888.db2.gz IPBPJOSBSORQFK-VHSXEESVSA-N 0 1 299.338 0.502 20 30 CCEDMN C[C@H](C[C@H](C)Nc1ccncc1C#N)NC(=O)c1nc[nH]n1 ZINC001089374361 817028894 /nfs/dbraw/zinc/02/88/94/817028894.db2.gz IPBPJOSBSORQFK-VHSXEESVSA-N 0 1 299.338 0.502 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2c(C)noc2C)C1 ZINC001031542001 817120064 /nfs/dbraw/zinc/12/00/64/817120064.db2.gz MOUIICWUXHVHHC-UHFFFAOYSA-N 0 1 261.325 0.976 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc(C)nn2C)C1 ZINC001031567823 817147894 /nfs/dbraw/zinc/14/78/94/817147894.db2.gz FRICGQKJDPRVSH-UHFFFAOYSA-N 0 1 262.357 0.966 20 30 CCEDMN CCNCc1cn([C@@H]2CCN(C(=O)C#CC3CC3)C2)nn1 ZINC001089583917 817213022 /nfs/dbraw/zinc/21/30/22/817213022.db2.gz HNBLPXREVAYXGQ-CQSZACIVSA-N 0 1 287.367 0.574 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2C[C@@H](C)O)cc1 ZINC001038589511 817323280 /nfs/dbraw/zinc/32/32/80/817323280.db2.gz QGAAWHCJWSITJX-DOMZBBRYSA-N 0 1 272.348 0.853 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn(C)nc2CC)C1 ZINC001031753622 817339697 /nfs/dbraw/zinc/33/96/97/817339697.db2.gz UCGMFNIEBCQUNY-UHFFFAOYSA-N 0 1 262.357 0.830 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccc3nc[nH]c3n2)C1 ZINC001031763694 817347937 /nfs/dbraw/zinc/34/79/37/817347937.db2.gz HWHUBWKGPMVWNP-UHFFFAOYSA-N 0 1 283.335 0.643 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCCn3nccc32)C1 ZINC001031779887 817361308 /nfs/dbraw/zinc/36/13/08/817361308.db2.gz CACNKCWCJLFKIZ-ZDUSSCGKSA-N 0 1 274.368 0.995 20 30 CCEDMN C=CCN1CC(CNC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001031801493 817377337 /nfs/dbraw/zinc/37/73/37/817377337.db2.gz BJABHPWZJSLAAT-UHFFFAOYSA-N 0 1 279.384 0.512 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccnnc2C)C1 ZINC001031816369 817391995 /nfs/dbraw/zinc/39/19/95/817391995.db2.gz BNEFASAWGXKECI-UHFFFAOYSA-N 0 1 258.325 0.470 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CC(=O)N[C@H](CC)C2)C1 ZINC001031871316 817434171 /nfs/dbraw/zinc/43/41/71/817434171.db2.gz WAVPNHVOOAWSDX-QWHCGFSZSA-N 0 1 279.384 0.525 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccnc3c2nnn3C)C1 ZINC001031928711 817472266 /nfs/dbraw/zinc/47/22/66/817472266.db2.gz WBVHYJAWLJWTQK-UHFFFAOYSA-N 0 1 298.350 0.048 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnoc2CC)C1 ZINC001032018567 817552034 /nfs/dbraw/zinc/55/20/34/817552034.db2.gz LYVOPNIEDFYXIX-UHFFFAOYSA-N 0 1 261.325 0.922 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ncccc2F)C1 ZINC001032072102 817604036 /nfs/dbraw/zinc/60/40/36/817604036.db2.gz ANVFFHMJMXQIEX-UHFFFAOYSA-N 0 1 261.300 0.906 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN[C@@H](C)C(=O)Nc1nccs1 ZINC001124896591 817622656 /nfs/dbraw/zinc/62/26/56/817622656.db2.gz OPFBQVNQMXZZKR-BDAKNGLRSA-N 0 1 295.368 0.336 20 30 CCEDMN C#CCN1CCC2(CCN(Cc3ccnn3C)CC2)C1=O ZINC001272736504 817623521 /nfs/dbraw/zinc/62/35/21/817623521.db2.gz YDQPYWXPHGTLBW-UHFFFAOYSA-N 0 1 286.379 0.868 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001032160106 817671342 /nfs/dbraw/zinc/67/13/42/817671342.db2.gz ITMHWUTYBCWENW-GFCCVEGCSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2csc(COC)n2)C1 ZINC001032222027 817727661 /nfs/dbraw/zinc/72/76/61/817727661.db2.gz DOQFTMUDAYNXLG-UHFFFAOYSA-N 0 1 293.392 0.974 20 30 CCEDMN C=CCN1CC(CNC(=O)C2CCC(NC(C)=O)CC2)C1 ZINC001032225577 817729167 /nfs/dbraw/zinc/72/91/67/817729167.db2.gz UYZLAUOCCNUZNY-UHFFFAOYSA-N 0 1 293.411 0.915 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(=O)[nH]n1 ZINC001032264566 817766025 /nfs/dbraw/zinc/76/60/25/817766025.db2.gz KJOYMBFCLGDAIS-QWRGUYRKSA-N 0 1 272.308 0.104 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COCCO1 ZINC001032290204 817786722 /nfs/dbraw/zinc/78/67/22/817786722.db2.gz KSWWCLYWVNOSLG-AVGNSLFASA-N 0 1 266.341 0.263 20 30 CCEDMN N#CCN1CC[C@@]2(CNC(=O)Cc3cnc[nH]3)CCC[C@@H]12 ZINC001107560280 817880590 /nfs/dbraw/zinc/88/05/90/817880590.db2.gz FNBDWORIHRDMGI-UKRRQHHQSA-N 0 1 287.367 0.837 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nn1)NC(=O)[C@@H]1CCCN1C ZINC001107644735 817911455 /nfs/dbraw/zinc/91/14/55/817911455.db2.gz HVTZMHJLVJSDQX-PWSUYJOCSA-N 0 1 288.355 0.359 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCNC(=O)C1 ZINC001032353290 817966072 /nfs/dbraw/zinc/96/60/72/817966072.db2.gz SLECGYHZNRDFLE-AVGNSLFASA-N 0 1 277.368 0.374 20 30 CCEDMN N#Cc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3CCCO)c[nH]1 ZINC001032364526 818006781 /nfs/dbraw/zinc/00/67/81/818006781.db2.gz FUMJHNWOLCZQRC-STQMWFEESA-N 0 1 274.324 0.167 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCNC(=O)Cc1cnc[nH]1 ZINC001078751833 818042507 /nfs/dbraw/zinc/04/25/07/818042507.db2.gz FHTIHLBWCUIHSC-NSHDSACASA-N 0 1 278.356 0.929 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]cnc1C ZINC001032820471 818067438 /nfs/dbraw/zinc/06/74/38/818067438.db2.gz ZHFDCDAEAOMSFZ-RYUDHWBXSA-N 0 1 258.325 0.640 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(F)F)[C@@H](O)C1 ZINC001089998778 818244748 /nfs/dbraw/zinc/24/47/48/818244748.db2.gz AAVNLTQWIMLPEW-ZJUUUORDSA-N 0 1 260.284 0.216 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc[n+]([O-])cc2)C1 ZINC001032886334 818336697 /nfs/dbraw/zinc/33/66/97/818336697.db2.gz SQPKFTJZHATANF-CYBMUJFWSA-N 0 1 261.325 0.652 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(Cl)c[nH]2)[C@@H](O)C1 ZINC001090057449 818399907 /nfs/dbraw/zinc/39/99/07/818399907.db2.gz LQHVTWMZXHRTPH-YPMHNXCESA-N 0 1 295.770 0.856 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCOC2)C1 ZINC001032979483 818402442 /nfs/dbraw/zinc/40/24/42/818402442.db2.gz DMPRZUKFLJBQKO-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CC2OCCCO2)C1 ZINC001033036005 818446321 /nfs/dbraw/zinc/44/63/21/818446321.db2.gz LLKUZDVTKXFZNL-GFCCVEGCSA-N 0 1 266.341 0.305 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033036574 818450744 /nfs/dbraw/zinc/45/07/44/818450744.db2.gz RIHRRPGVZPEERY-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033036574 818450745 /nfs/dbraw/zinc/45/07/45/818450745.db2.gz RIHRRPGVZPEERY-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cncnc2)C1 ZINC001033040190 818452459 /nfs/dbraw/zinc/45/24/59/818452459.db2.gz AEEVDOQVEURWAW-ZDUSSCGKSA-N 0 1 258.325 0.185 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(C)c2C)[C@H](O)C1 ZINC001090081047 818476056 /nfs/dbraw/zinc/47/60/56/818476056.db2.gz ZYPCXBIOWAKACF-CHWSQXEVSA-N 0 1 278.356 0.080 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)[C@H](C)c2ccc(C)o2)[C@@H](O)C1 ZINC001083842047 818569577 /nfs/dbraw/zinc/56/95/77/818569577.db2.gz ULQNCCTVKLZVGW-MCIONIFRSA-N 0 1 290.363 0.876 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)c2ccc(C)o2)[C@@H](O)C1 ZINC001083842047 818569581 /nfs/dbraw/zinc/56/95/81/818569581.db2.gz ULQNCCTVKLZVGW-MCIONIFRSA-N 0 1 290.363 0.876 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)n[nH]2)[C@@H](O)C1 ZINC001090106229 818590412 /nfs/dbraw/zinc/59/04/12/818590412.db2.gz ZVYXCMCHCNKZNO-JQWIXIFHSA-N 0 1 264.329 0.069 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033202160 818647514 /nfs/dbraw/zinc/64/75/14/818647514.db2.gz FNSWMYWAQPFMRV-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)o2)C1 ZINC001033242961 818672488 /nfs/dbraw/zinc/67/24/88/818672488.db2.gz LLSDLZJYQNPBLZ-LLVKDONJSA-N 0 1 289.335 0.548 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccnc3n[nH]nc32)C1 ZINC001033252187 818674240 /nfs/dbraw/zinc/67/42/40/818674240.db2.gz BZXWWXKCDGXTFB-SNVBAGLBSA-N 0 1 286.339 0.685 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001033248612 818676578 /nfs/dbraw/zinc/67/65/78/818676578.db2.gz SFIOPELDSUWESH-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](CNC(=O)c3ncn[nH]3)C2)cn1 ZINC001061634278 818701837 /nfs/dbraw/zinc/70/18/37/818701837.db2.gz CRWAHWVRHQMEIC-SNVBAGLBSA-N 0 1 297.322 0.328 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](CNC(=O)c3nc[nH]n3)C2)cn1 ZINC001061634278 818701845 /nfs/dbraw/zinc/70/18/45/818701845.db2.gz CRWAHWVRHQMEIC-SNVBAGLBSA-N 0 1 297.322 0.328 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](CNC(=O)c3ncn[nH]3)C2)nc1 ZINC001061635474 818703789 /nfs/dbraw/zinc/70/37/89/818703789.db2.gz VIGPBQRPGJZFLE-NSHDSACASA-N 0 1 297.322 0.328 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](CNC(=O)c3nc[nH]n3)C2)nc1 ZINC001061635474 818703793 /nfs/dbraw/zinc/70/37/93/818703793.db2.gz VIGPBQRPGJZFLE-NSHDSACASA-N 0 1 297.322 0.328 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001033325739 818710997 /nfs/dbraw/zinc/71/09/97/818710997.db2.gz ZFNMNXBYXDDVDN-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cscn2)[C@H](O)C1 ZINC001090135933 818720002 /nfs/dbraw/zinc/72/00/02/818720002.db2.gz ZCTUGQPKMWTOLV-CMPLNLGQSA-N 0 1 281.381 0.884 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033336029 818725624 /nfs/dbraw/zinc/72/56/24/818725624.db2.gz KKQQMBLRTCVLKM-NSHDSACASA-N 0 1 276.340 0.295 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2ccnc3c2nnn3C)C1 ZINC001033361622 818734070 /nfs/dbraw/zinc/73/40/70/818734070.db2.gz GMUGXJACVZFXAZ-NSHDSACASA-N 0 1 298.350 0.143 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@]2(C)CCNC2=O)C1 ZINC001033421108 818771201 /nfs/dbraw/zinc/77/12/01/818771201.db2.gz LRQUKNFYORLMBE-SMDDNHRTSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2OCC[C@H]2C)C1 ZINC001033437733 818776331 /nfs/dbraw/zinc/77/63/31/818776331.db2.gz JROIDJJRTWQYSX-RDBSUJKOSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001033463405 818788290 /nfs/dbraw/zinc/78/82/90/818788290.db2.gz NHGUNXUVSVDZLV-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C(=O)N2CCC(C)CC2)C1 ZINC001033478805 818791615 /nfs/dbraw/zinc/79/16/15/818791615.db2.gz FVOFMQHNKCMIFH-AWEZNQCLSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cn2nccc2C)C1 ZINC001033481026 818794267 /nfs/dbraw/zinc/79/42/67/818794267.db2.gz VCTSYKLSLSFYEZ-AWEZNQCLSA-N 0 1 274.368 0.748 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2cc(COC)on2)C1 ZINC001033485095 818794769 /nfs/dbraw/zinc/79/47/69/818794769.db2.gz HZTVXDWLAWISAX-LBPRGKRZSA-N 0 1 291.351 0.991 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001033584144 818845433 /nfs/dbraw/zinc/84/54/33/818845433.db2.gz QYLFZERTSCDPMD-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC001033623411 818857755 /nfs/dbraw/zinc/85/77/55/818857755.db2.gz RZJMMDYJZRLMDS-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cn2c(C)csc2=O)C1 ZINC001033650703 818872739 /nfs/dbraw/zinc/87/27/39/818872739.db2.gz UMCIYJUEYHUOMH-GFCCVEGCSA-N 0 1 293.392 0.384 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(C(N)=O)ccn2)C1 ZINC001033714930 818899330 /nfs/dbraw/zinc/89/93/30/818899330.db2.gz XRVOMTXACJPMJG-GFCCVEGCSA-N 0 1 288.351 0.513 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2COCCO2)C1 ZINC001033762996 818920973 /nfs/dbraw/zinc/92/09/73/818920973.db2.gz CODOVXYAULQMHT-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033803286 818948426 /nfs/dbraw/zinc/94/84/26/818948426.db2.gz BZZVODRAWLDSKI-CQSZACIVSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2COC(=O)N2)C1 ZINC001033815484 818955764 /nfs/dbraw/zinc/95/57/64/818955764.db2.gz JIMIDLIDHXMQCU-RYUDHWBXSA-N 0 1 279.340 0.041 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033837681 818963861 /nfs/dbraw/zinc/96/38/61/818963861.db2.gz NMHWFZDGYBMSAT-MGPQQGTHSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033837681 818963868 /nfs/dbraw/zinc/96/38/68/818963868.db2.gz NMHWFZDGYBMSAT-MGPQQGTHSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2ccc3nn[n-]c3n2)C1 ZINC001033847482 818965872 /nfs/dbraw/zinc/96/58/72/818965872.db2.gz JFCOBXIQWPMNKJ-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001033847482 818965879 /nfs/dbraw/zinc/96/58/79/818965879.db2.gz JFCOBXIQWPMNKJ-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033863059 818972863 /nfs/dbraw/zinc/97/28/63/818972863.db2.gz SCMXAMMWRZXQPM-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033881734 818979396 /nfs/dbraw/zinc/97/93/96/818979396.db2.gz USTYIFGTFKFMFK-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001033910323 818991002 /nfs/dbraw/zinc/99/10/02/818991002.db2.gz FZVKBWIVIFETIG-RDGWLKQMSA-N 0 1 288.391 0.966 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033920819 818997062 /nfs/dbraw/zinc/99/70/62/818997062.db2.gz WLFRXUJUZAHULV-LLVKDONJSA-N 0 1 276.340 0.295 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033921448 818997297 /nfs/dbraw/zinc/99/72/97/818997297.db2.gz FLVLRZCOLWJIJE-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033934529 819007935 /nfs/dbraw/zinc/00/79/35/819007935.db2.gz LFULWJBWBILUNJ-QWHCGFSZSA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2=CCCC2)[C@@H](O)C1 ZINC001090171528 819010528 /nfs/dbraw/zinc/01/05/28/819010528.db2.gz PQNZNMDTLDIMQF-OLZOCXBDSA-N 0 1 250.342 0.834 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CC2CC2)C[C@@H]1n1ccnn1 ZINC001128829937 819053480 /nfs/dbraw/zinc/05/34/80/819053480.db2.gz LTPONUMIDONTIB-RTXFEEFZSA-N 0 1 288.355 0.189 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001034159169 819095515 /nfs/dbraw/zinc/09/55/15/819095515.db2.gz KBIDNOPUMBTWDC-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@@H]2CCNC2=O)C1 ZINC001034239951 819136909 /nfs/dbraw/zinc/13/69/09/819136909.db2.gz FHYWJJGMKMNAFN-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001034244405 819138583 /nfs/dbraw/zinc/13/85/83/819138583.db2.gz APMMEWCQZVOVKR-HNNXBMFYSA-N 0 1 288.395 0.875 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cccnc2)[C@H](O)C1 ZINC001090198265 819138891 /nfs/dbraw/zinc/13/88/91/819138891.db2.gz WAJGDOPTMWKYSA-UONOGXRCSA-N 0 1 275.352 0.362 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2COCCN2CC)C1 ZINC001034279600 819152249 /nfs/dbraw/zinc/15/22/49/819152249.db2.gz USRXTTAJKWUUQA-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OC)no2)[C@@H](O)C1 ZINC001090207007 819164890 /nfs/dbraw/zinc/16/48/90/819164890.db2.gz SFIGSKPYKCDSPJ-UWVGGRQHSA-N 0 1 281.312 0.034 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001034358699 819176230 /nfs/dbraw/zinc/17/62/30/819176230.db2.gz TZZPRBUTFPYTKM-MQYQWHSLSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001034392147 819188420 /nfs/dbraw/zinc/18/84/20/819188420.db2.gz XFCNOAZXHFNHJQ-KGLIPLIRSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090214206 819199986 /nfs/dbraw/zinc/19/99/86/819199986.db2.gz VJSLLKYHCKHKOC-WCQYABFASA-N 0 1 291.351 0.528 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090214206 819199987 /nfs/dbraw/zinc/19/99/87/819199987.db2.gz VJSLLKYHCKHKOC-WCQYABFASA-N 0 1 291.351 0.528 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CN(CC)CCO2)C1 ZINC001034543364 819239479 /nfs/dbraw/zinc/23/94/79/819239479.db2.gz WYIXHHQDILEQJI-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN C[C@H](CNc1ccncc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001108136088 819281510 /nfs/dbraw/zinc/28/15/10/819281510.db2.gz MHMVRTQRPCSKRS-SNVBAGLBSA-N 0 1 284.323 0.258 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@H]2CCC(=O)N2)C1 ZINC001035317041 819466879 /nfs/dbraw/zinc/46/68/79/819466879.db2.gz OSVZWBQRUUZJFX-CHWSQXEVSA-N 0 1 295.383 0.048 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001035480055 819533610 /nfs/dbraw/zinc/53/36/10/819533610.db2.gz VKOYPWWQCZMMBV-KBXIAJHMSA-N 0 1 294.395 0.662 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@@H]1OCC)CC2 ZINC001035655888 819592916 /nfs/dbraw/zinc/59/29/16/819592916.db2.gz KXNPTKUHTYHXHY-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCNC1=O)CC2 ZINC001035727001 819614561 /nfs/dbraw/zinc/61/45/61/819614561.db2.gz LRTRDVDYBOBRHL-CYBMUJFWSA-N 0 1 289.379 0.070 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)C(C)(C)C(N)=O)CC2 ZINC001035725713 819614758 /nfs/dbraw/zinc/61/47/58/819614758.db2.gz BCXLWSTYSDGYFF-UHFFFAOYSA-N 0 1 279.384 0.608 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1cnoc1)CC2 ZINC001035773668 819627333 /nfs/dbraw/zinc/62/73/33/819627333.db2.gz DPFXIHFTVBAYPM-UHFFFAOYSA-N 0 1 273.336 0.775 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](NCC#N)[C@@H](C)C2)n[nH]1 ZINC001035947294 819659552 /nfs/dbraw/zinc/65/95/52/819659552.db2.gz LXNCYWRZNSNCLE-ONGXEEELSA-N 0 1 261.329 0.682 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2c[nH]nn2)CC[C@@H]1NCC#N ZINC001036185309 819700893 /nfs/dbraw/zinc/70/08/93/819700893.db2.gz BRTBIYFPCSYCOI-JQWIXIFHSA-N 0 1 276.344 0.087 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnn[nH]2)CC[C@@H]1NCC#N ZINC001036185309 819700897 /nfs/dbraw/zinc/70/08/97/819700897.db2.gz BRTBIYFPCSYCOI-JQWIXIFHSA-N 0 1 276.344 0.087 20 30 CCEDMN C#CCCCS(=O)(=O)NC1CCN(CC)CC1 ZINC000710261434 819822795 /nfs/dbraw/zinc/82/27/95/819822795.db2.gz PWJSJCCWYCNSIT-UHFFFAOYSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCCS(=O)(=O)N1CCCC[C@@H]1CN(C)C ZINC000710998453 819870590 /nfs/dbraw/zinc/87/05/90/819870590.db2.gz WFFAJLYGSSEQBS-GFCCVEGCSA-N 0 1 258.387 0.756 20 30 CCEDMN N#Cc1nccc(N2C[C@@H]3C[C@H]2CN3C(=O)c2ccn[nH]2)n1 ZINC001062450845 819948752 /nfs/dbraw/zinc/94/87/52/819948752.db2.gz REDKQVPLWMLUAV-UWVGGRQHSA-N 0 1 295.306 0.175 20 30 CCEDMN CCCCCCCN1CCO[C@@](C)(CNC(=O)C(N)=O)C1 ZINC001108174972 820029430 /nfs/dbraw/zinc/02/94/30/820029430.db2.gz MPOMMPHEGKGFTB-HNNXBMFYSA-N 0 1 299.415 0.649 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@H]1CCN(c2ccnc(C#N)n2)C1 ZINC001062890763 820120085 /nfs/dbraw/zinc/12/00/85/820120085.db2.gz RRPBFKZCPPHNIH-JTQLQIEISA-N 0 1 297.322 0.422 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2c[nH]c(C)cc2=O)[C@@H](O)C1 ZINC001083913811 820243042 /nfs/dbraw/zinc/24/30/42/820243042.db2.gz GHYHKXZGRDZBSS-OCCSQVGLSA-N 0 1 291.351 0.034 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(F)CCOCC2)[C@@H](O)C1 ZINC001090315388 820248717 /nfs/dbraw/zinc/24/87/17/820248717.db2.gz ISRFTSLUWTVNRV-NEPJUHHUSA-N 0 1 286.347 0.243 20 30 CCEDMN CC(=O)NCCCCCN1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001079151931 820311275 /nfs/dbraw/zinc/31/12/75/820311275.db2.gz OJYGPISWOLECOK-ZDUSSCGKSA-N 0 1 294.399 0.597 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC001079464956 820402123 /nfs/dbraw/zinc/40/21/23/820402123.db2.gz VODOHIAFYIRONL-BXUZGUMPSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC001079556130 820424268 /nfs/dbraw/zinc/42/42/68/820424268.db2.gz XBRMBURIRDKPOB-BXUZGUMPSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001079572128 820427944 /nfs/dbraw/zinc/42/79/44/820427944.db2.gz MMXDURZEKMLWLJ-GRYCIOLGSA-N 0 1 264.325 0.009 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001079796734 820469609 /nfs/dbraw/zinc/46/96/09/820469609.db2.gz MRLCZKLXCLJHNM-HZSPNIEDSA-N 0 1 291.395 0.313 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2CC(OC)C2)C1 ZINC001079919492 820487904 /nfs/dbraw/zinc/48/79/04/820487904.db2.gz IJYJNMUWKDCVHU-IKWCTNDRSA-N 0 1 250.342 0.481 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccnn2CCOC)C1 ZINC001079929153 820490236 /nfs/dbraw/zinc/49/02/36/820490236.db2.gz PAAWDLSIFMYZTC-CHWSQXEVSA-N 0 1 292.383 0.766 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001080048994 820506235 /nfs/dbraw/zinc/50/62/35/820506235.db2.gz ZULIVVJHIVUHAU-DGCLKSJQSA-N 0 1 297.362 0.983 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccncn2)C1 ZINC001080643925 820607416 /nfs/dbraw/zinc/60/74/16/820607416.db2.gz RSBDEWXYPSDDLL-DGCLKSJQSA-N 0 1 258.325 0.550 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001080721530 820630993 /nfs/dbraw/zinc/63/09/93/820630993.db2.gz VOHNQHRPMQQSPR-ZYHUDNBSSA-N 0 1 276.340 0.721 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC001080852559 820645689 /nfs/dbraw/zinc/64/56/89/820645689.db2.gz JULXQFKYRYPWIC-BXUZGUMPSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccccc2O)[C@H](OC)C1 ZINC001081381512 820739282 /nfs/dbraw/zinc/73/92/82/820739282.db2.gz TUVMDBFMKYXIAM-UKRRQHHQSA-N 0 1 288.347 0.845 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc(C)n2)[C@H](OC)C1 ZINC001081381168 820739870 /nfs/dbraw/zinc/73/98/70/820739870.db2.gz FPPJDXADUPUQFQ-HUUCEWRRSA-N 0 1 287.363 0.842 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnc(C)[nH]2)[C@H](OC)C1 ZINC001081448395 820775287 /nfs/dbraw/zinc/77/52/87/820775287.db2.gz ADRRCBKHEPAFIT-CHWSQXEVSA-N 0 1 276.340 0.170 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(CCOCC2CC2)C[C@H]1O ZINC001099725848 820782580 /nfs/dbraw/zinc/78/25/80/820782580.db2.gz ZQJGROOAORZFBQ-LSDHHAIUSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2cnoc2)[C@H](OC)C1 ZINC001082054073 820890167 /nfs/dbraw/zinc/89/01/67/820890167.db2.gz OZRIHGDXVQBWJB-CHWSQXEVSA-N 0 1 277.324 0.056 20 30 CCEDMN CO[C@@H]1CN(CC#N)C[C@H]1NC(=O)CN1CCC(C)(C)C1 ZINC001082137938 820904441 /nfs/dbraw/zinc/90/44/41/820904441.db2.gz IDCBSDURIAFNSA-CHWSQXEVSA-N 0 1 294.399 0.057 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CCCCN2C)C[C@H]1NCC#N ZINC001082595661 821005683 /nfs/dbraw/zinc/00/56/83/821005683.db2.gz XHBWRFNITIPQDV-UPJWGTAASA-N 0 1 264.373 0.431 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc(OC)c2)[C@@H](O)C1 ZINC001090377351 821016547 /nfs/dbraw/zinc/01/65/47/821016547.db2.gz JGUWRFPDCVLAIB-STQMWFEESA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]nc2CCC)[C@@H](O)C1 ZINC001090380700 821035361 /nfs/dbraw/zinc/03/53/61/821035361.db2.gz GCXVQPUIZMHYDF-KBPBESRZSA-N 0 1 292.383 0.713 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]nc2CC)[C@@H](O)C1 ZINC001090379808 821037424 /nfs/dbraw/zinc/03/74/24/821037424.db2.gz AOJSPMCTHPFFPZ-STQMWFEESA-N 0 1 278.356 0.323 20 30 CCEDMN C#CCC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCO3)[C@H]2C1 ZINC001082992071 821095510 /nfs/dbraw/zinc/09/55/10/821095510.db2.gz MCSLUGHBONLHBP-BFHYXJOUSA-N 0 1 278.352 0.100 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H]3CCCO3)[C@H]2C1 ZINC001082992071 821095518 /nfs/dbraw/zinc/09/55/18/821095518.db2.gz MCSLUGHBONLHBP-BFHYXJOUSA-N 0 1 278.352 0.100 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)Cc3ccoc3)[C@H]2C1 ZINC001083059859 821130632 /nfs/dbraw/zinc/13/06/32/821130632.db2.gz ZJQSDVYRPLPCPP-LSDHHAIUSA-N 0 1 288.347 0.757 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H]3CCC3(F)F)[C@H]2C1 ZINC001083115914 821138216 /nfs/dbraw/zinc/13/82/16/821138216.db2.gz MVWFHZGUTSRBOP-RWMBFGLXSA-N 0 1 298.333 0.967 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)c2ccc(C)nc2C)[C@@H](O)C1 ZINC001084084260 821194866 /nfs/dbraw/zinc/19/48/66/821194866.db2.gz MTDHQGKXGGMEDS-CABCVRRESA-N 0 1 287.363 0.497 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C)nc2C)[C@@H](O)C1 ZINC001084084260 821194875 /nfs/dbraw/zinc/19/48/75/821194875.db2.gz MTDHQGKXGGMEDS-CABCVRRESA-N 0 1 287.363 0.497 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cn3cc(C)cn3)[C@@H]2C1 ZINC001084216286 821240699 /nfs/dbraw/zinc/24/06/99/821240699.db2.gz CHVVVUTZKZPAMJ-HUUCEWRRSA-N 0 1 286.379 0.748 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@H](C)n3cncn3)[C@@H]2C1 ZINC001084292046 821253434 /nfs/dbraw/zinc/25/34/34/821253434.db2.gz SQUBDSCYVVRGQN-BFHYXJOUSA-N 0 1 289.383 0.948 20 30 CCEDMN N#Cc1ccc(C(=O)N2C[C@H]3CCN(CCO)C[C@H]32)[nH]1 ZINC001084283751 821262805 /nfs/dbraw/zinc/26/28/05/821262805.db2.gz LSXHUYRMIMTNAI-ZWNOBZJWSA-N 0 1 274.324 0.025 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H]3CC(=O)N(C)C3)[C@@H]2C1 ZINC001084385512 821275333 /nfs/dbraw/zinc/27/53/33/821275333.db2.gz JANRIALWEQIKPI-HZSPNIEDSA-N 0 1 289.379 0.021 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCCCC(=O)N3)[C@@H]2C1 ZINC001084654409 821339661 /nfs/dbraw/zinc/33/96/61/821339661.db2.gz QOZMCNAACPBLTA-MGPQQGTHSA-N 0 1 291.395 0.764 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@H]3OCCO[C@H]3C)[C@@H]2C1 ZINC001084419441 821283351 /nfs/dbraw/zinc/28/33/51/821283351.db2.gz RWNVILKBNLRVNZ-YJNKXOJESA-N 0 1 294.395 0.899 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3cnn(C)c3C)[C@@H]2C1 ZINC001084396386 821287253 /nfs/dbraw/zinc/28/72/53/821287253.db2.gz BDINWPUETDHIQC-UKRRQHHQSA-N 0 1 288.395 0.990 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1ccon1 ZINC001119554187 821367948 /nfs/dbraw/zinc/36/79/48/821367948.db2.gz AANXCYNVRSGXHC-UHFFFAOYSA-N 0 1 270.270 0.132 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2ccn3cncc3c2)[C@@H](O)C1 ZINC001090399971 821450108 /nfs/dbraw/zinc/45/01/08/821450108.db2.gz QNHRDQOTKLSFIF-KGLIPLIRSA-N 0 1 299.334 0.023 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccnn2C)[C@H](O)C1 ZINC001099861074 821454153 /nfs/dbraw/zinc/45/41/53/821454153.db2.gz MOGZJIDNLZCBDQ-UONOGXRCSA-N 0 1 292.383 0.090 20 30 CCEDMN C#CC(C)(C)C(=O)N1CCc2c(n[nH]c2C(=O)N(C)C)C1 ZINC001273252251 821456900 /nfs/dbraw/zinc/45/69/00/821456900.db2.gz QVLITONMQMJMQT-UHFFFAOYSA-N 0 1 288.351 0.656 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@]3(C)CCOC3)C[C@@H]21 ZINC001085064119 821475996 /nfs/dbraw/zinc/47/59/96/821475996.db2.gz YYMHAAZCJCIASQ-IJEWVQPXSA-N 0 1 276.380 0.969 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)Nc1ncccc1C#N ZINC001098306414 821662090 /nfs/dbraw/zinc/66/20/90/821662090.db2.gz FPDDTHLEEVQGPB-SNVBAGLBSA-N 0 1 284.323 0.836 20 30 CCEDMN C#CCN1CC[C@]2(CC[N@@H+](Cc3ncccc3[O-])C2)C1=O ZINC001273324675 821677573 /nfs/dbraw/zinc/67/75/73/821677573.db2.gz ARHHBMCQYPNWSB-INIZCTEOSA-N 0 1 285.347 0.845 20 30 CCEDMN C#CCN1CC[C@]2(CC[N@H+](Cc3ncccc3[O-])C2)C1=O ZINC001273324675 821677578 /nfs/dbraw/zinc/67/75/78/821677578.db2.gz ARHHBMCQYPNWSB-INIZCTEOSA-N 0 1 285.347 0.845 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@]12C[C@H]1COC2 ZINC001085609226 821847689 /nfs/dbraw/zinc/84/76/89/821847689.db2.gz MESNRRVYRGKJPJ-GUTXKFCHSA-N 0 1 262.353 0.579 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCNC1=O ZINC001085653726 821872286 /nfs/dbraw/zinc/87/22/86/821872286.db2.gz YDXJPJDTGFJWGA-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)c1cnc[nH]c1=O ZINC001085661980 821879164 /nfs/dbraw/zinc/87/91/64/821879164.db2.gz NFJZTMFSCLNFMS-JTQLQIEISA-N 0 1 262.313 0.515 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(OCC)n[nH]1 ZINC001085666119 821884537 /nfs/dbraw/zinc/88/45/37/821884537.db2.gz YWJDLEOZQKGLGL-LBPRGKRZSA-N 0 1 290.367 0.978 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccnc2n[nH]nc21 ZINC001085715886 821916608 /nfs/dbraw/zinc/91/66/08/821916608.db2.gz XEBAGWANYRVIOM-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1coc(C(N)=O)c1 ZINC001085741615 821926341 /nfs/dbraw/zinc/92/63/41/821926341.db2.gz VCNHAADSKSJXQZ-GFCCVEGCSA-N 0 1 289.335 0.548 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnc(C)n1C ZINC001085785551 821952379 /nfs/dbraw/zinc/95/23/79/821952379.db2.gz SOTHVHHXGCUEFT-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1c[nH]c(=O)cn1 ZINC001085788314 821953629 /nfs/dbraw/zinc/95/36/29/821953629.db2.gz IDZRDFOFVRMITC-LLVKDONJSA-N 0 1 276.340 0.492 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(C(N)=O)[nH]1 ZINC001085820446 821966701 /nfs/dbraw/zinc/96/67/01/821966701.db2.gz AGZSOXJOTVWNFB-LLVKDONJSA-N 0 1 288.351 0.283 20 30 CCEDMN Cc1cc(CC(=O)NCCN(C)c2ccnc(C#N)n2)[nH]n1 ZINC001100023676 822083303 /nfs/dbraw/zinc/08/33/03/822083303.db2.gz SDVRKLVMNCMCCL-UHFFFAOYSA-N 0 1 299.338 0.175 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001086347423 822219867 /nfs/dbraw/zinc/21/98/67/822219867.db2.gz FDSQFMKOHSVJPP-XYPYZODXSA-N 0 1 259.309 0.518 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCC(=O)NCCC)[C@H](O)C1 ZINC001100077996 822238773 /nfs/dbraw/zinc/23/87/73/822238773.db2.gz BTODFMTVHXZRRT-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN CCCOCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001113990002 837396863 /nfs/dbraw/zinc/39/68/63/837396863.db2.gz HLLCBKFVMFNPLH-NHAGDIPZSA-N 0 1 280.368 0.109 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)OCC1CC1 ZINC001113992252 837398372 /nfs/dbraw/zinc/39/83/72/837398372.db2.gz DDAWQFJQOSOVNP-SCUASFONSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1[C@H]2CN([C@H](CC)C(N)=O)C[C@H]21 ZINC001114162239 837457591 /nfs/dbraw/zinc/45/75/91/837457591.db2.gz RTSUJGBTZGZPAM-COMQUAJESA-N 0 1 291.395 0.490 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114194856 837470468 /nfs/dbraw/zinc/47/04/68/837470468.db2.gz WTQZSYXPEXYGSP-BFJAYTPKSA-N 0 1 292.379 0.010 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1[C@H]2CN([C@H](CC)C(N)=O)C[C@H]21 ZINC001114201086 837470837 /nfs/dbraw/zinc/47/08/37/837470837.db2.gz JCNOZLMRVSSCAA-CZXHOFHRSA-N 0 1 277.368 0.100 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)CSC)C[C@@H]1n1ccnn1 ZINC001129547626 837526699 /nfs/dbraw/zinc/52/66/99/837526699.db2.gz INLROPQRYUUMKR-NEPJUHHUSA-N 0 1 293.396 0.006 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1cc(OC)no1 ZINC001157874643 837527510 /nfs/dbraw/zinc/52/75/10/837527510.db2.gz VZRJAAUXHPNYMX-NSHDSACASA-N 0 1 295.339 0.317 20 30 CCEDMN N#Cc1nc(N)c(NC(=O)C23CCCN2CCC3)nc1C#N ZINC001183556535 844035447 /nfs/dbraw/zinc/03/54/47/844035447.db2.gz WKVHCERDBUTSGB-UHFFFAOYSA-N 0 1 297.322 0.369 20 30 CCEDMN CC[N@H+]1CC[C@@H](NC(=O)CC#Cc2ccccc2)[C@H](O)C1 ZINC001100122658 835970452 /nfs/dbraw/zinc/97/04/52/835970452.db2.gz VCYPXEQZBUUYDG-HZPDHXFCSA-N 0 1 286.375 1.000 20 30 CCEDMN C[C@H]([NH2+]Cc1cc(=O)n2[n-]cc(C#N)c2n1)c1cn[nH]c1 ZINC001169065259 836121599 /nfs/dbraw/zinc/12/15/99/836121599.db2.gz NBOJWXHJFJRDDL-QMMMGPOBSA-N 0 1 283.295 0.880 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](CNCC#N)[C@@H](C)C2)n[nH]1 ZINC001183985138 844130926 /nfs/dbraw/zinc/13/09/26/844130926.db2.gz GCUCVPBUDZUAMD-CMPLNLGQSA-N 0 1 275.356 0.930 20 30 CCEDMN N#Cc1cnc2cc(NC[C@H]3CNC(=O)CO3)c[nH]c1-2 ZINC001169278195 836184485 /nfs/dbraw/zinc/18/44/85/836184485.db2.gz RXSXTCWMQKKAJC-JTQLQIEISA-N 0 1 271.280 0.361 20 30 CCEDMN C=CCN1CCN([C@@H]2CC[C@@H](C(=O)OCC)OC2)CC1 ZINC001169391649 836261904 /nfs/dbraw/zinc/26/19/04/836261904.db2.gz ISDODOBSBBTNJZ-KGLIPLIRSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N2C[C@@H](NC(C)=O)CC2=O)C1 ZINC001108490879 836287708 /nfs/dbraw/zinc/28/77/08/836287708.db2.gz CVNBSKPHOMWDEI-YPMHNXCESA-N 0 1 279.384 0.762 20 30 CCEDMN CCOC(=O)C1(Nc2ccnc(C#N)c2)CCN(C)CC1 ZINC001169492201 836322109 /nfs/dbraw/zinc/32/21/09/836322109.db2.gz QYNKUBMWVIXHPK-UHFFFAOYSA-N 0 1 288.351 0.815 20 30 CCEDMN C=CC[C@@H](Nc1nc2[nH]cnc2c(OC)n1)C(=O)OC ZINC001169837049 836456233 /nfs/dbraw/zinc/45/62/33/836456233.db2.gz VRBQLCWXQJVFCX-SSDOTTSWSA-N 0 1 277.284 0.891 20 30 CCEDMN COC(=O)n1ncc(C#N)c1[N-]c1ncc2c(n1)C[NH2+]CC2 ZINC001169962755 836490808 /nfs/dbraw/zinc/49/08/08/836490808.db2.gz XOCYYORMJIUNRD-UHFFFAOYSA-N 0 1 299.294 0.549 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCn1cncn1 ZINC001109089202 836616908 /nfs/dbraw/zinc/61/69/08/836616908.db2.gz ZGKFOMUKHRFKDP-RNJOBUHISA-N 0 1 288.355 0.159 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)CCC)C2 ZINC001110346981 844183720 /nfs/dbraw/zinc/18/37/20/844183720.db2.gz WPMSDZQCVJXCDJ-UPJWGTAASA-N 0 1 279.384 0.810 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cc(C)nn2C)[C@@H](O)C1 ZINC001090427995 836724637 /nfs/dbraw/zinc/72/46/37/836724637.db2.gz QZUZVVACRFCWQR-KGLIPLIRSA-N 0 1 292.383 0.008 20 30 CCEDMN CCc1nc(C#N)c(NC[C@H]2COCCN2)nc1Cl ZINC001170035001 836753813 /nfs/dbraw/zinc/75/38/13/836753813.db2.gz HYXVSCRPBINJSB-QMMMGPOBSA-N 0 1 281.747 0.964 20 30 CCEDMN N#Cc1c[nH]c2ncc(NC[C@@H]3COCCN3)cc12 ZINC001170042507 836770345 /nfs/dbraw/zinc/77/03/45/836770345.db2.gz AFQBCMBBQHARBN-LLVKDONJSA-N 0 1 257.297 0.835 20 30 CCEDMN C=CCOCCN1CCN(C(=O)c2cnc(C)[nH]2)CC1 ZINC001112698936 836867990 /nfs/dbraw/zinc/86/79/90/836867990.db2.gz NBFUIGWQDFUNOH-UHFFFAOYSA-N 0 1 278.356 0.679 20 30 CCEDMN C=CCOCC(=O)N1CCN(CC[C@@H]2CCOC2)CC1 ZINC001112708722 836875527 /nfs/dbraw/zinc/87/55/27/836875527.db2.gz UJNCSYZSFFMBET-CQSZACIVSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CCOCC(=O)N1CCN(C[C@H]2C[C@]23CCOC3)CC1 ZINC001112710452 836881503 /nfs/dbraw/zinc/88/15/03/836881503.db2.gz AXCYITJZNBLTPP-ZBFHGGJFSA-N 0 1 294.395 0.760 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@@H](O)C1 ZINC001090442925 836889383 /nfs/dbraw/zinc/88/93/83/836889383.db2.gz URXAAZKZQQBDPQ-PWSUYJOCSA-N 0 1 267.304 0.505 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(C[C@H]2CCCOC2)CC1 ZINC001112846062 836937312 /nfs/dbraw/zinc/93/73/12/836937312.db2.gz DQDOXFKTXVXJRC-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC[C@H]2CCOC2)CC1 ZINC001112846431 836938528 /nfs/dbraw/zinc/93/85/28/836938528.db2.gz OUBFYUKJXGPWCN-GJZGRUSLSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CCCOC)CC1 ZINC001112843975 836940671 /nfs/dbraw/zinc/94/06/71/836940671.db2.gz PREWSICLXYOZMG-UHFFFAOYSA-N 0 1 268.357 0.207 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C[C@H](CC)OC)CC1 ZINC001112844092 836940889 /nfs/dbraw/zinc/94/08/89/836940889.db2.gz VURYWBDCATUQIP-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C[C@H](NC(=O)Cc1nnc[nH]1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113150113 837035813 /nfs/dbraw/zinc/03/58/13/837035813.db2.gz HKILPPQLRDJXMT-UWVGGRQHSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@]2(COC)CCOC2)CC1 ZINC001113164591 837042949 /nfs/dbraw/zinc/04/29/49/837042949.db2.gz SUVGSFLEDOGGSH-HNNXBMFYSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@]2(C)CCNC2=O)CC1 ZINC001113341112 837093049 /nfs/dbraw/zinc/09/30/49/837093049.db2.gz KUIRAMFPRMSJLM-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC001113351103 837102684 /nfs/dbraw/zinc/10/26/84/837102684.db2.gz MCGIGUIYJCGDFP-XQQFMLRXSA-N 0 1 279.384 0.607 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C(C)C)cn2)[C@H](O)C1 ZINC001090451008 837105974 /nfs/dbraw/zinc/10/59/74/837105974.db2.gz DNJQMDFPJFODBF-GXTWGEPZSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CCCCN1CCN(C(=O)Cn2ccnc2)CC1 ZINC001113726141 837207545 /nfs/dbraw/zinc/20/75/45/837207545.db2.gz ZEGOVVVDKXXUCW-UHFFFAOYSA-N 0 1 262.357 0.994 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cncc(C)c2)[C@@H](O)C1 ZINC001090460636 837212902 /nfs/dbraw/zinc/21/29/02/837212902.db2.gz RYYGHEWLXCKACP-GJZGRUSLSA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cncc(C)c2)[C@@H](O)C1 ZINC001090460635 837213054 /nfs/dbraw/zinc/21/30/54/837213054.db2.gz RYYGHEWLXCKACP-CABCVRRESA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CCC1 ZINC001113766750 837233850 /nfs/dbraw/zinc/23/38/50/837233850.db2.gz JQCICSVMYRHZRZ-SCUASFONSA-N 0 1 291.395 0.524 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccco1 ZINC001113853205 837337662 /nfs/dbraw/zinc/33/76/62/837337662.db2.gz XXQHERXDHXNZIA-NHAGDIPZSA-N 0 1 258.321 0.892 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCOCC ZINC001113927100 837372892 /nfs/dbraw/zinc/37/28/92/837372892.db2.gz LECRFXVTLAMZOW-NHAGDIPZSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)nn(C)c2C)[C@H](O)C1 ZINC001090472191 837376262 /nfs/dbraw/zinc/37/62/62/837376262.db2.gz KDKYWFAISQMKRF-CHWSQXEVSA-N 0 1 292.383 0.388 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCCN(C(N)=O)C1 ZINC001131008562 837966572 /nfs/dbraw/zinc/96/65/72/837966572.db2.gz UMWICYRSIUMDIU-SNVBAGLBSA-N 0 1 288.779 0.235 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1ncc2cccnc21 ZINC001131496913 838111448 /nfs/dbraw/zinc/11/14/48/838111448.db2.gz FRAVJAILGHFTQT-UHFFFAOYSA-N 0 1 293.758 0.890 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)NCCNCC#N ZINC001131513961 838123508 /nfs/dbraw/zinc/12/35/08/838123508.db2.gz OPNCWHJLWKJJIZ-JOYOIKCWSA-N 0 1 261.329 0.305 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)CCCOC)C[C@H](C)O2 ZINC001131634134 838161707 /nfs/dbraw/zinc/16/17/07/838161707.db2.gz VARRASVPWLVWNL-GOEBONIOSA-N 0 1 294.395 0.738 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CC(=O)N[C@H](CC)C1 ZINC001131681323 838172797 /nfs/dbraw/zinc/17/27/97/838172797.db2.gz ZQJMDTMICCLGSY-GHMZBOCLSA-N 0 1 287.791 0.750 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CNC(C)=O)CC[C@H]1C ZINC001131764055 838216292 /nfs/dbraw/zinc/21/62/92/838216292.db2.gz BPRNWLKXBGBMLX-YPMHNXCESA-N 0 1 265.357 0.115 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@@H]1CCCS1(=O)=O ZINC001131869743 838250586 /nfs/dbraw/zinc/25/05/86/838250586.db2.gz IHOLYLXYKOVPEU-JTQLQIEISA-N 0 1 294.804 0.412 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCCNCc1cnnn1C ZINC001131867308 838254631 /nfs/dbraw/zinc/25/46/31/838254631.db2.gz OCOQCHAXFRUYJD-AWEZNQCLSA-N 0 1 295.387 0.250 20 30 CCEDMN C=CCN1C[C@H](NC(=O)COCCOC)CC[C@@H]1C ZINC001131909485 838265003 /nfs/dbraw/zinc/26/50/03/838265003.db2.gz YMDIAKSRKMJXGC-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC(=O)NCC)CC[C@@H]1C ZINC001131980375 838282576 /nfs/dbraw/zinc/28/25/76/838282576.db2.gz MAOVRVOKIXXUJS-NWDGAFQWSA-N 0 1 265.357 0.115 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)C(N)=O)CC[C@H]1C ZINC001132123636 838322314 /nfs/dbraw/zinc/32/23/14/838322314.db2.gz GVDCPQKUONQJGG-VXGBXAGGSA-N 0 1 279.384 0.490 20 30 CCEDMN COCC#CC[N@H+]1C[C@H](NC(=O)c2ncn[n-]2)CC[C@@H]1C ZINC001132368608 838375303 /nfs/dbraw/zinc/37/53/03/838375303.db2.gz OSFBOBLKRJPSTG-NWDGAFQWSA-N 0 1 291.355 0.037 20 30 CCEDMN C[C@H]1CCN(C(=O)c2ccn[nH]2)C[C@@H]1CNCC#N ZINC001132655549 838459046 /nfs/dbraw/zinc/45/90/46/838459046.db2.gz PFQTXDVUWPDNMU-QWRGUYRKSA-N 0 1 261.329 0.621 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)[C@@H](O)C1 ZINC001090508380 838663642 /nfs/dbraw/zinc/66/36/42/838663642.db2.gz VWQFFSHXXUELIY-ZSLBOAEBSA-N 0 1 264.369 0.770 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)COC)C1 ZINC001189363068 845127371 /nfs/dbraw/zinc/12/73/71/845127371.db2.gz KWFDSWGNTYTXIG-STQMWFEESA-N 0 1 252.358 0.825 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1snnc1C ZINC001134053520 838839006 /nfs/dbraw/zinc/83/90/06/838839006.db2.gz XQHVGQSRMRCZSL-MNOVXSKESA-N 0 1 296.396 0.643 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)N[C@@H](C#N)c2ccccc2)C1 ZINC001185246088 844375525 /nfs/dbraw/zinc/37/55/25/844375525.db2.gz ZKNIXNDCCSJHKQ-UONOGXRCSA-N 0 1 272.352 0.613 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)N2CCc3cc(C#N)ccc3C2)C1 ZINC001185245755 844376088 /nfs/dbraw/zinc/37/60/88/844376088.db2.gz RPHDSQZPCOAHPR-INIZCTEOSA-N 0 1 298.390 0.689 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)C#CC1CC1)NCc1cn(C)nn1 ZINC001134318982 838945319 /nfs/dbraw/zinc/94/53/19/838945319.db2.gz WOIZZFDTLWFPES-NEPJUHHUSA-N 0 1 289.383 0.601 20 30 CCEDMN Cc1ccnn1CC(=O)NCCNCC#Cc1ccccc1 ZINC001134513426 838991356 /nfs/dbraw/zinc/99/13/56/838991356.db2.gz RBGIXEAFFOZIBO-UHFFFAOYSA-N 0 1 296.374 0.949 20 30 CCEDMN C[C@H](NC(=O)[C@@H]1CN(C)CCN1C)c1cccc(C#N)c1 ZINC001185262830 844388298 /nfs/dbraw/zinc/38/82/98/844388298.db2.gz QLDFYWBYCFAZMF-WFASDCNBSA-N 0 1 286.379 0.981 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COC[C@@H]1CCOC1 ZINC001134640270 839032947 /nfs/dbraw/zinc/03/29/47/839032947.db2.gz DNBOERIYXONXIZ-LLVKDONJSA-N 0 1 276.764 0.498 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCCNCC(=C)Cl ZINC001134811982 839078308 /nfs/dbraw/zinc/07/83/08/839078308.db2.gz DMHDUKDWAFRYBD-GFCCVEGCSA-N 0 1 287.791 0.916 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cncc(OC)n1 ZINC001134836710 839094288 /nfs/dbraw/zinc/09/42/88/839094288.db2.gz MCTMLPRORHSAEW-UHFFFAOYSA-N 0 1 270.720 0.557 20 30 CCEDMN Cn1ncc(C(=O)NCCNCc2ccccc2C#N)n1 ZINC001135283029 839197918 /nfs/dbraw/zinc/19/79/18/839197918.db2.gz GOFVQNJARCVEFU-UHFFFAOYSA-N 0 1 284.323 0.206 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2conc2CC)[C@@H](O)C1 ZINC001090556006 839640043 /nfs/dbraw/zinc/64/00/43/839640043.db2.gz RNWIUICQRSPWPA-OLZOCXBDSA-N 0 1 279.340 0.588 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccn(C)c2CC)[C@H](O)C1 ZINC001090576455 839653826 /nfs/dbraw/zinc/65/38/26/839653826.db2.gz MIXPVGQZHORFKR-UKRRQHHQSA-N 0 1 291.395 0.939 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc(C)c2)[C@H](O)C1 ZINC001090655647 839707974 /nfs/dbraw/zinc/70/79/74/839707974.db2.gz UBLLABUBXQBHHT-ZIAGYGMSSA-N 0 1 275.352 0.741 20 30 CCEDMN N#C[C@H](NC(=O)Cc1n[nH]c(C2CCOCC2)n1)C1CC1 ZINC001136697453 839717625 /nfs/dbraw/zinc/71/76/25/839717625.db2.gz RTZJPNSSNAQCKW-NSHDSACASA-N 0 1 289.339 0.660 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2conc2COC)[C@@H](O)C1 ZINC001090720093 839753601 /nfs/dbraw/zinc/75/36/01/839753601.db2.gz UBEJATZVNQABEM-AAEUAGOBSA-N 0 1 295.339 0.172 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)on2)[C@@H](O)C1 ZINC001090739054 839764509 /nfs/dbraw/zinc/76/45/09/839764509.db2.gz DVLXDWGPWFKAKN-AAEUAGOBSA-N 0 1 279.340 0.588 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(Cl)no2)[C@H](O)C1 ZINC001090745079 839772235 /nfs/dbraw/zinc/77/22/35/839772235.db2.gz ZCFDDOFVLRBVBO-RKDXNWHRSA-N 0 1 285.731 0.679 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2c(C)noc2C)[C@@H](O)C1 ZINC001090798924 839819225 /nfs/dbraw/zinc/81/92/25/839819225.db2.gz PUVFMQAGEPGTRM-KBPBESRZSA-N 0 1 293.367 0.571 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2c[nH]cc2C)[C@H](O)C1 ZINC001090808867 839827744 /nfs/dbraw/zinc/82/77/44/839827744.db2.gz PDJFXQSYYOZYMN-ZIAGYGMSSA-N 0 1 275.352 0.511 20 30 CCEDMN COC(=O)C[C@@H](C)CC(=O)Nc1nc[nH]c1C#N ZINC001144371550 840023008 /nfs/dbraw/zinc/02/30/08/840023008.db2.gz CXKUXSCSTAYLAJ-ZETCQYMHSA-N 0 1 250.258 0.809 20 30 CCEDMN N=C(Nc1ccc(=S)[nH]n1)c1ccc(C(N)=O)cc1 ZINC001171252349 840200728 /nfs/dbraw/zinc/20/07/28/840200728.db2.gz BIKAJUBETPDFPG-UHFFFAOYSA-N 0 1 273.321 0.901 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1nonc1C ZINC001146251963 840274969 /nfs/dbraw/zinc/27/49/69/840274969.db2.gz ULJCZAOEAGFYQI-UHFFFAOYSA-N 0 1 258.709 0.379 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001146840333 840397694 /nfs/dbraw/zinc/39/76/94/840397694.db2.gz UWTZHFNCDXAQDS-SNVBAGLBSA-N 0 1 278.312 0.927 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001146896745 840407525 /nfs/dbraw/zinc/40/75/25/840407525.db2.gz WQWUVCCVYZLDFD-LLVKDONJSA-N 0 1 287.791 0.703 20 30 CCEDMN C=CC[N@@H+]1CCC2(CN(C(=O)CN(C)C(C)=O)C2)C1 ZINC001147035235 840450089 /nfs/dbraw/zinc/45/00/89/840450089.db2.gz WGLXGPWTWYWUAM-UHFFFAOYSA-N 0 1 265.357 0.185 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CC[N@@H+](CCn1cncn1)C2 ZINC001147187805 840513838 /nfs/dbraw/zinc/51/38/38/840513838.db2.gz RFDVFOMMUSLRIS-UHFFFAOYSA-N 0 1 289.383 0.779 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@H]1CCN(C)C1=O)C2 ZINC001147188273 840513935 /nfs/dbraw/zinc/51/39/35/840513935.db2.gz WMFOINUHCXQFIC-ZDUSSCGKSA-N 0 1 291.395 0.718 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@H]1CCN(C)C1=O)C2 ZINC001147188273 840513945 /nfs/dbraw/zinc/51/39/45/840513945.db2.gz WMFOINUHCXQFIC-ZDUSSCGKSA-N 0 1 291.395 0.718 20 30 CCEDMN C#CCCCC(=O)N1CC2(C1)CCN([C@@H]1CCNC1=O)C2 ZINC001147427857 840580955 /nfs/dbraw/zinc/58/09/55/840580955.db2.gz AIDNQTMOJLIIIO-CYBMUJFWSA-N 0 1 289.379 0.213 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@](C)(O)C=C)C2)C1 ZINC001147439303 840598067 /nfs/dbraw/zinc/59/80/67/840598067.db2.gz BBDHKRWCYOYSFR-CQSZACIVSA-N 0 1 262.353 0.481 20 30 CCEDMN CC(C)C#CC(=O)N1CC2(C1)CCN([C@@H]1CCNC1=O)C2 ZINC001147505938 840605367 /nfs/dbraw/zinc/60/53/67/840605367.db2.gz BBPQRPFSNDJWEJ-CYBMUJFWSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(C(=O)c2[nH]nnc2C)C1 ZINC001147573055 840621587 /nfs/dbraw/zinc/62/15/87/840621587.db2.gz LDKVCFXOPJDOQS-JTQLQIEISA-N 0 1 293.327 0.630 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cc(C)no2)nc1 ZINC001148365231 840794728 /nfs/dbraw/zinc/79/47/28/840794728.db2.gz LXBVJRGEQKDXML-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)c3csnn3)C[C@]2(C)C1 ZINC001091889150 840969379 /nfs/dbraw/zinc/96/93/79/840969379.db2.gz AZIHKNWYVDMUKQ-MFKMUULPSA-N 0 1 276.365 0.565 20 30 CCEDMN C=C(Cl)C[N@@H+]1C[C@@H]2CN(C(=O)C(N)=O)C[C@]2(C)C1 ZINC001091967948 840975838 /nfs/dbraw/zinc/97/58/38/840975838.db2.gz BSZUPVMLZCTAOK-SKDRFNHKSA-N 0 1 271.748 0.005 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C(N)=O)C[C@]2(C)C1 ZINC001091967948 840975850 /nfs/dbraw/zinc/97/58/50/840975850.db2.gz BSZUPVMLZCTAOK-SKDRFNHKSA-N 0 1 271.748 0.005 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H]2CCC(=O)N2)[C@H](C)C1 ZINC001092508216 841028471 /nfs/dbraw/zinc/02/84/71/841028471.db2.gz UKVBNKCGBQKRGZ-YUSALJHKSA-N 0 1 299.802 0.702 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)c3cn(C)ccc3=O)CC[C@H]21 ZINC001036768269 841186537 /nfs/dbraw/zinc/18/65/37/841186537.db2.gz CTKRROVAAMFEAL-UKRRQHHQSA-N 0 1 299.374 0.555 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cccc(-n2cnnn2)c1 ZINC001186944223 844619620 /nfs/dbraw/zinc/61/96/20/844619620.db2.gz FJGVRIDZCYUPAZ-UHFFFAOYSA-N 0 1 280.251 0.509 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](CNCc2cnsn2)[C@H](C)C1 ZINC001093678881 841426440 /nfs/dbraw/zinc/42/64/40/841426440.db2.gz NKJCHZNVOPQPHY-HBNTYKKESA-N 0 1 293.396 0.882 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2(C)CC2)[C@H](O)C1 ZINC001099932086 841521414 /nfs/dbraw/zinc/52/14/14/841521414.db2.gz ZYEVXCBVAVWHGR-CHWSQXEVSA-N 0 1 264.369 0.751 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2nnc(C)[nH]2)cn1 ZINC001171594562 841739762 /nfs/dbraw/zinc/73/97/62/841739762.db2.gz HPJVBLGCQONOKQ-UHFFFAOYSA-N 0 1 298.350 0.399 20 30 CCEDMN C=CCOC(=O)N1CCN([C@@H]2CCN(CC)C(=O)C2)CC1 ZINC001172051238 841828750 /nfs/dbraw/zinc/82/87/50/841828750.db2.gz IUMAMXLFHGWBLT-CYBMUJFWSA-N 0 1 295.383 0.938 20 30 CCEDMN CCN1CC[C@@H](NC(=NO)c2ccc(OC)cn2)C1 ZINC001173355739 842055180 /nfs/dbraw/zinc/05/51/80/842055180.db2.gz VDXJISQRBPZRIC-SNVBAGLBSA-N 0 1 264.329 0.910 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCOC1)C2 ZINC001095225611 842108924 /nfs/dbraw/zinc/10/89/24/842108924.db2.gz AMWALHPPYGSKSX-CRWXNKLISA-N 0 1 262.353 0.768 20 30 CCEDMN C[C@H](CCCCNCC#N)NC(=O)Cc1cnc[nH]1 ZINC001175479734 842252576 /nfs/dbraw/zinc/25/25/76/842252576.db2.gz SXXMQWGZRQESAL-LLVKDONJSA-N 0 1 263.345 0.740 20 30 CCEDMN C=C(C)CNC(=O)[C@@H](Cc1cnc[nH]1)NC(C)=O ZINC001176379943 842359420 /nfs/dbraw/zinc/35/94/20/842359420.db2.gz MCZRRUMGHIFIJV-LLVKDONJSA-N 0 1 250.302 0.149 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001177183754 842521487 /nfs/dbraw/zinc/52/14/87/842521487.db2.gz FWXOPONJJAZNQD-KCJUWKMLSA-N 0 1 276.296 0.086 20 30 CCEDMN CN(C[C@@H](O)CO)C(=O)C(C#N)Cc1cccs1 ZINC001177908360 842705689 /nfs/dbraw/zinc/70/56/89/842705689.db2.gz KIJUFJRIYRXJDW-VHSXEESVSA-N 0 1 268.338 0.242 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC001177910294 842706233 /nfs/dbraw/zinc/70/62/33/842706233.db2.gz YNHRORHBOQZJJF-KOLCDFICSA-N 0 1 293.348 0.143 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC001177917694 842707088 /nfs/dbraw/zinc/70/70/88/842707088.db2.gz WHQABQDWQKHXIB-NXEZZACHSA-N 0 1 298.389 0.734 20 30 CCEDMN C=CC(=O)NCCNC(=O)C(C#N)Cc1cccs1 ZINC001177915947 842708196 /nfs/dbraw/zinc/70/81/96/842708196.db2.gz QXPLURAGZOUCLV-JTQLQIEISA-N 0 1 277.349 0.849 20 30 CCEDMN N#Cc1csc(CNC(=O)c2[nH]ncc2F)n1 ZINC001179378226 842996420 /nfs/dbraw/zinc/99/64/20/842996420.db2.gz UKTCVYSATFQOQT-UHFFFAOYSA-N 0 1 251.246 0.807 20 30 CCEDMN N#CCNCCCCCCNC(=O)c1nc[nH]n1 ZINC001179850958 843061039 /nfs/dbraw/zinc/06/10/39/843061039.db2.gz PCGNTBGGCAIMLD-UHFFFAOYSA-N 0 1 250.306 0.208 20 30 CCEDMN N#CCNCCCCCCNC(=O)c1ncn[nH]1 ZINC001179850958 843061047 /nfs/dbraw/zinc/06/10/47/843061047.db2.gz PCGNTBGGCAIMLD-UHFFFAOYSA-N 0 1 250.306 0.208 20 30 CCEDMN COC(=O)[C@@H](Cc1cccnc1)NC(=O)C(C)C#N ZINC001179903094 843086376 /nfs/dbraw/zinc/08/63/76/843086376.db2.gz YDXKDEPYMDFGQR-MWLCHTKSSA-N 0 1 261.281 0.442 20 30 CCEDMN CC(C)N1CCN(CC(=O)NCCCS)CC1 ZINC001180351224 843150926 /nfs/dbraw/zinc/15/09/26/843150926.db2.gz SVDMUVJTQPJVGH-UHFFFAOYSA-N 0 1 259.419 0.449 20 30 CCEDMN CC(C)N1CCN(CC(=O)Nc2nnccc2C#N)CC1 ZINC001180343451 843146975 /nfs/dbraw/zinc/14/69/75/843146975.db2.gz YROULHQVRQYRJN-UHFFFAOYSA-N 0 1 288.355 0.313 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N1CCNC[C@@H]1C#N ZINC001181998845 843669545 /nfs/dbraw/zinc/66/95/45/843669545.db2.gz ZIWIWKHRDFOSLC-NWDGAFQWSA-N 0 1 250.346 0.185 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@H](NCc2ccns2)C1 ZINC001182504870 843847563 /nfs/dbraw/zinc/84/75/63/843847563.db2.gz MSQYZDISUMVEEB-NWDGAFQWSA-N 0 1 293.392 0.872 20 30 CCEDMN N#Cc1cccc2[nH]cc(CC(=O)NCc3nn[nH]n3)c21 ZINC001182583653 843865525 /nfs/dbraw/zinc/86/55/25/843865525.db2.gz RQBLAPGWIVFHMG-UHFFFAOYSA-N 0 1 281.279 0.412 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)CNC(=O)c1ccc(O)cc1 ZINC001182967705 843942609 /nfs/dbraw/zinc/94/26/09/843942609.db2.gz JGTYRSDMPJASNI-UHFFFAOYSA-N 0 1 285.263 0.355 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCC(=O)NC)[C@@H]2C1 ZINC001187631499 844741012 /nfs/dbraw/zinc/74/10/12/844741012.db2.gz PDUNTOZGGXAZNV-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CC[C@@H](NCc2ccn(C)n2)C1 ZINC001188309860 844863229 /nfs/dbraw/zinc/86/32/29/844863229.db2.gz JYMBEIRPNMIGHP-GXTWGEPZSA-N 0 1 292.383 0.702 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C(C)(C)F)C1 ZINC001188617680 844920548 /nfs/dbraw/zinc/92/05/48/844920548.db2.gz IGZNQHOSRVHJOU-RYUDHWBXSA-N 0 1 299.390 0.958 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C2CCC2)C1 ZINC001188580022 844925128 /nfs/dbraw/zinc/92/51/28/844925128.db2.gz MDIRGQUHRRVXHI-JSGCOSHPSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C2=COCCO2)C1 ZINC001188715670 844959830 /nfs/dbraw/zinc/95/98/30/844959830.db2.gz AYNPKTKNYKMMEU-GFCCVEGCSA-N 0 1 264.325 0.431 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCn2cccn2)C1 ZINC001188906613 844996406 /nfs/dbraw/zinc/99/64/06/844996406.db2.gz PPWPJHSKNQSGQF-CQSZACIVSA-N 0 1 274.368 0.829 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnsn2)C1 ZINC001189059710 845028814 /nfs/dbraw/zinc/02/88/14/845028814.db2.gz SRXNDIUICHAYEY-SNVBAGLBSA-N 0 1 264.354 0.708 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)OC)C1 ZINC001189114895 845039489 /nfs/dbraw/zinc/03/94/89/845039489.db2.gz LFUCCZGISBPNIU-OLZOCXBDSA-N 0 1 268.357 0.204 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(=O)NC)C1 ZINC001189318782 845106829 /nfs/dbraw/zinc/10/68/29/845106829.db2.gz PFFLOWFFYXVYNI-RYUDHWBXSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](N(C)[C@@H]2CCCNC2=O)C1 ZINC001189381206 845119679 /nfs/dbraw/zinc/11/96/79/845119679.db2.gz VMJYGLYATBQOQB-QWHCGFSZSA-N 0 1 295.383 0.000 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ocnc2C)C1 ZINC001189539087 845168772 /nfs/dbraw/zinc/16/87/72/845168772.db2.gz WQMZZDXOJLTUBK-ZDUSSCGKSA-N 0 1 291.351 0.779 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCn3cncn3)[C@@H]2C1 ZINC001189653325 845189901 /nfs/dbraw/zinc/18/99/01/845189901.db2.gz VISNRBVYUAWXGH-UONOGXRCSA-N 0 1 287.367 0.224 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(=O)n(C)o2)C1 ZINC001189858705 845226560 /nfs/dbraw/zinc/22/65/60/845226560.db2.gz VGMIYNWCXUDPMR-LLVKDONJSA-N 0 1 277.324 0.148 20 30 CCEDMN O=C1C=C2CN(S(=O)(=O)CCCF)CCC2S1 ZINC001189880456 845249256 /nfs/dbraw/zinc/24/92/56/845249256.db2.gz HYYUCPPIRDGQFJ-SECBINFHSA-N 0 1 279.358 0.950 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@H]2CCN(C)C2=O)C1 ZINC001189926724 845272889 /nfs/dbraw/zinc/27/28/89/845272889.db2.gz RCKANJBPGNXSRP-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN CC(C)(C#N)NS(=O)(=O)c1ncc(F)cc1F ZINC001190192493 845349754 /nfs/dbraw/zinc/34/97/54/845349754.db2.gz PKFJLCQXTVDXIQ-UHFFFAOYSA-N 0 1 261.253 0.940 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)OCCOCC)[C@@H]2C1 ZINC001190323704 845387685 /nfs/dbraw/zinc/38/76/85/845387685.db2.gz CGJNMWSCFSHVLT-QLFBSQMISA-N 0 1 294.395 0.594 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@]2(CC)CCNC2=O)C1 ZINC001190765046 845492449 /nfs/dbraw/zinc/49/24/49/845492449.db2.gz FWTOZVOXYOYBRY-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001190829493 845512903 /nfs/dbraw/zinc/51/29/03/845512903.db2.gz NZQCZNHXYSLSMQ-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnc[nH]c2=O)C1 ZINC001190836808 845516745 /nfs/dbraw/zinc/51/67/45/845516745.db2.gz CNUCDQDVYDEYFI-LLVKDONJSA-N 0 1 274.324 0.352 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1ccn2cncc2c1 ZINC001151897195 845536062 /nfs/dbraw/zinc/53/60/62/845536062.db2.gz OTMFTPRJBJVIOI-GFCCVEGCSA-N 0 1 255.281 0.272 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001191092145 845593418 /nfs/dbraw/zinc/59/34/18/845593418.db2.gz RWPDSAUXKKOSTJ-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ncc(OC)cn2)C1 ZINC001191266985 845620716 /nfs/dbraw/zinc/62/07/16/845620716.db2.gz PWJVZUUISGTKRT-GFCCVEGCSA-N 0 1 288.351 0.655 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc3nnnn3c2)C1 ZINC001191309862 845627573 /nfs/dbraw/zinc/62/75/73/845627573.db2.gz BFVULLFRPYGDRF-CYBMUJFWSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2(COC)CCC2)C1 ZINC001191382667 845636397 /nfs/dbraw/zinc/63/63/97/845636397.db2.gz GDSMEASEKAWICZ-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)c2cc[nH]c2C)C1 ZINC001192078985 845780365 /nfs/dbraw/zinc/78/03/65/845780365.db2.gz RRMTZYFBVRWRBR-ZIAGYGMSSA-N 0 1 293.367 0.301 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[N@@H+](CCCOCC)C[C@H]1O ZINC001192518355 845863071 /nfs/dbraw/zinc/86/30/71/845863071.db2.gz RJDHJVFHOFJOTI-ZIAGYGMSSA-N 0 1 282.384 0.378 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)CC)C1 ZINC001192517333 845863862 /nfs/dbraw/zinc/86/38/62/845863862.db2.gz DHYAQRSPRCEUCC-MGPQQGTHSA-N 0 1 284.400 0.787 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)OCCOC)C1 ZINC001192656748 845888277 /nfs/dbraw/zinc/88/82/77/845888277.db2.gz VEWDBKWPPLWPBR-KGLIPLIRSA-N 0 1 282.384 0.594 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCCC)C1 ZINC001192640358 845889670 /nfs/dbraw/zinc/88/96/70/845889670.db2.gz WLEOLZUOUOVJFN-MGPQQGTHSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCn1cc(C(=O)N2CC[C@@H](N(C)CC#CC)C2)nn1 ZINC001192735994 845897183 /nfs/dbraw/zinc/89/71/83/845897183.db2.gz QICUAVZJGQBQCE-CYBMUJFWSA-N 0 1 287.367 0.634 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC(=O)N(C)C)C1 ZINC001192757815 845909615 /nfs/dbraw/zinc/90/96/15/845909615.db2.gz DPKMEUCHHYDJEO-GFCCVEGCSA-N 0 1 265.357 0.021 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCNC(=O)C2CCC2)C1 ZINC001192800979 845911699 /nfs/dbraw/zinc/91/16/99/845911699.db2.gz KOAHZGDEOHWBTK-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C(C)(C)CNC(C)=O)C1 ZINC001192809023 845913032 /nfs/dbraw/zinc/91/30/32/845913032.db2.gz NNEMPNUBZLCCAP-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN COCCCCCN1C[C@@H](O)[C@H](NC(=O)C#CC2CC2)C1 ZINC001192826251 845916146 /nfs/dbraw/zinc/91/61/46/845916146.db2.gz DYHZWDFFGKUULO-HUUCEWRRSA-N 0 1 294.395 0.378 20 30 CCEDMN COC(=O)Cc1ccc(F)c(NS(=O)(=O)CC#N)c1 ZINC001192985369 845944856 /nfs/dbraw/zinc/94/48/56/845944856.db2.gz BNCXKTSUCQNMKG-UHFFFAOYSA-N 0 1 286.284 0.806 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccnn1-c1ccncc1 ZINC001193112366 845981288 /nfs/dbraw/zinc/98/12/88/845981288.db2.gz RTYLOOILDKZKJQ-VIFPVBQESA-N 0 1 277.309 0.921 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2ccc(O)c(O)c2Cl)c1N ZINC001193218577 846023328 /nfs/dbraw/zinc/02/33/28/846023328.db2.gz BLGROPUHBLKZMQ-UHFFFAOYSA-N 0 1 293.670 0.672 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N[C@H]1CCN(CC2CC2)C1 ZINC001193179157 846026243 /nfs/dbraw/zinc/02/62/43/846026243.db2.gz ZKMBWZLEWBFXAD-ONGXEEELSA-N 0 1 257.359 0.302 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001193303706 846049262 /nfs/dbraw/zinc/04/92/62/846049262.db2.gz ADXSDYATGONLAH-VXGBXAGGSA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CC(=O)NCC2CC2)C1 ZINC001193359153 846061315 /nfs/dbraw/zinc/06/13/15/846061315.db2.gz WHCQBJQNRUTXGJ-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN CCN=c1ncc(N)cn1C(=O)c1ccc(O)c(C#N)c1 ZINC001193499433 846094077 /nfs/dbraw/zinc/09/40/77/846094077.db2.gz RILFZFXHMCVTBA-UHFFFAOYSA-N 0 1 283.291 0.652 20 30 CCEDMN COC(=O)[C@@H]1C[C@H]1CNC(=O)c1ccc(O)c(C#N)c1 ZINC001193514162 846103304 /nfs/dbraw/zinc/10/33/04/846103304.db2.gz CCUJCQPFFBWKLI-WDEREUQCSA-N 0 1 274.276 0.803 20 30 CCEDMN Cn1ccc(CNC(=O)c2ccc(O)c(C#N)c2)cc1=O ZINC001193515806 846103551 /nfs/dbraw/zinc/10/35/51/846103551.db2.gz STVXSRQBVFUAOU-UHFFFAOYSA-N 0 1 283.287 0.893 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCC(=O)N(C)C)C1 ZINC001193524418 846105265 /nfs/dbraw/zinc/10/52/65/846105265.db2.gz XHAYHWSVXZMRFP-ZDUSSCGKSA-N 0 1 279.384 0.411 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001193580469 846111575 /nfs/dbraw/zinc/11/15/75/846111575.db2.gz SZKNHLQNNJYBPK-LSDHHAIUSA-N 0 1 293.411 0.703 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2[nH]cnc2C)C1 ZINC001193696065 846145704 /nfs/dbraw/zinc/14/57/04/846145704.db2.gz PVVPVPYYSNLCIJ-ZDUSSCGKSA-N 0 1 274.368 0.817 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001194382429 846282830 /nfs/dbraw/zinc/28/28/30/846282830.db2.gz ZMFHEWYNTXNRKN-CHWSQXEVSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)N2CCOCC2)C1 ZINC001194543059 846320181 /nfs/dbraw/zinc/32/01/81/846320181.db2.gz BVGRKUUTEQFRRH-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@H]2COCCO2)CC1 ZINC001194882769 846411011 /nfs/dbraw/zinc/41/10/11/846411011.db2.gz HAURXGJNXHBTBW-CQSZACIVSA-N 0 1 298.383 0.139 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(CCF)CC2)C1 ZINC001194923450 846420070 /nfs/dbraw/zinc/42/00/70/846420070.db2.gz FLAAUCOIJAOWFU-VXGBXAGGSA-N 0 1 268.332 0.311 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1O ZINC001195301599 846497470 /nfs/dbraw/zinc/49/74/70/846497470.db2.gz MKFCITKMEQKGPN-BFHYXJOUSA-N 0 1 282.384 0.112 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@H]1O ZINC001195312323 846501867 /nfs/dbraw/zinc/50/18/67/846501867.db2.gz MGJJFLKCORQDGR-YNEHKIRRSA-N 0 1 284.400 0.521 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CCCNC(=O)C2)c(O)c1 ZINC001195768677 846614871 /nfs/dbraw/zinc/61/48/71/846614871.db2.gz PLPZWXSWJZGDLJ-SNVBAGLBSA-N 0 1 273.292 0.662 20 30 CCEDMN C#CCOCCC(=O)N1CCC[N@H+](CCOCC)CC1 ZINC001195798602 846628155 /nfs/dbraw/zinc/62/81/55/846628155.db2.gz JRSLZJLEOHTFPM-UHFFFAOYSA-N 0 1 282.384 0.597 20 30 CCEDMN C#CCOCCC(=O)N1CCCN(CCOCC)CC1 ZINC001195798602 846628164 /nfs/dbraw/zinc/62/81/64/846628164.db2.gz JRSLZJLEOHTFPM-UHFFFAOYSA-N 0 1 282.384 0.597 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCCN(CCOC(C)C)CC1 ZINC001195806653 846630874 /nfs/dbraw/zinc/63/08/74/846630874.db2.gz PQGBXXHXFPOSAR-HNNXBMFYSA-N 0 1 296.411 0.984 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2ocnc2CC)C1 ZINC001196045178 846678942 /nfs/dbraw/zinc/67/89/42/846678942.db2.gz IHAPVWIYRZUIDG-CHWSQXEVSA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2cc(C)on2)C1 ZINC001196123973 846691882 /nfs/dbraw/zinc/69/18/82/846691882.db2.gz XKUCSGQFSDDDGL-ZIAGYGMSSA-N 0 1 293.367 0.653 20 30 CCEDMN C=CCN1CCCN(C(=O)CCCCNC(N)=O)CC1 ZINC001196437637 846747498 /nfs/dbraw/zinc/74/74/98/846747498.db2.gz BNZJBNIKYZQLFF-UHFFFAOYSA-N 0 1 282.388 0.545 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](CC)NC(C)=O)CC1 ZINC001197227225 846885116 /nfs/dbraw/zinc/88/51/16/846885116.db2.gz MFBVSQMUGGCJNU-CYBMUJFWSA-N 0 1 267.373 0.621 20 30 CCEDMN C#CCCN1CCCN(C(=O)C2(C(=O)NC)CC2)CC1 ZINC001197441060 846900780 /nfs/dbraw/zinc/90/07/80/846900780.db2.gz XMTFWBYFRIBULU-UHFFFAOYSA-N 0 1 277.368 0.070 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2ncccn2)CC1 ZINC001197519149 846926222 /nfs/dbraw/zinc/92/62/22/846926222.db2.gz GMZSPIZXSIRVKZ-UHFFFAOYSA-N 0 1 290.367 0.827 20 30 CCEDMN C#CCOC[C@H](O)CNc1ccncc1C(F)(F)F ZINC001251819253 847124980 /nfs/dbraw/zinc/12/49/80/847124980.db2.gz LFMPSGXDWFMQEK-SECBINFHSA-N 0 1 274.242 0.945 20 30 CCEDMN Cc1nc2ncccn2c1C(=O)Nc1nc[nH]c1C#N ZINC001198717961 847159072 /nfs/dbraw/zinc/15/90/72/847159072.db2.gz XHKUYAADGNJESY-UHFFFAOYSA-N 0 1 267.252 0.885 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1O ZINC001199318176 847298175 /nfs/dbraw/zinc/29/81/75/847298175.db2.gz NXUHYFAVJBKYOR-BFHYXJOUSA-N 0 1 282.384 0.705 20 30 CCEDMN C#CCOC[C@H](O)CNCC(=O)Cc1ccccc1 ZINC001251891350 847345993 /nfs/dbraw/zinc/34/59/93/847345993.db2.gz QUCRTRUHBYKGTE-OAHLLOKOSA-N 0 1 261.321 0.399 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@H]2O)CCCC1 ZINC001199567264 847356807 /nfs/dbraw/zinc/35/68/07/847356807.db2.gz OIZXQDQASAAVSI-MGPQQGTHSA-N 0 1 296.411 0.665 20 30 CCEDMN COCc1[nH]nc2c1CN(C(=O)c1cnc(C#N)cn1)C2 ZINC001199664447 847388763 /nfs/dbraw/zinc/38/87/63/847388763.db2.gz FPPMMQGAICMYCX-UHFFFAOYSA-N 0 1 284.279 0.374 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnc(C#N)cn1 ZINC001199669810 847393279 /nfs/dbraw/zinc/39/32/79/847393279.db2.gz USYUBBMOFOMKMR-LBPRGKRZSA-N 0 1 271.324 0.728 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)[C@H](C)OC)CCCO1 ZINC001199977302 847492361 /nfs/dbraw/zinc/49/23/61/847492361.db2.gz MNAXYANWIKZUKX-NWDGAFQWSA-N 0 1 290.791 0.981 20 30 CCEDMN N#Cc1csc(CN[C@@H](CO)[C@H]2CCOC2)n1 ZINC001201761070 847811718 /nfs/dbraw/zinc/81/17/18/847811718.db2.gz LPJJZICFAKNQBI-WPRPVWTQSA-N 0 1 253.327 0.502 20 30 CCEDMN C#Cc1nccnc1NS(=O)(=O)CCCC(=O)OC ZINC001201903505 847831013 /nfs/dbraw/zinc/83/10/13/847831013.db2.gz BSBUNYOOQYQVQF-UHFFFAOYSA-N 0 1 283.309 0.153 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)c1cnccc1C#N)C2 ZINC001273943281 847891653 /nfs/dbraw/zinc/89/16/53/847891653.db2.gz YRVKRCPMKWTJFC-PWSUYJOCSA-N 0 1 256.309 0.729 20 30 CCEDMN C=C[C@@](C)(O)CNCc1ccc(S(C)(=O)=O)cn1 ZINC001252569667 848011135 /nfs/dbraw/zinc/01/11/35/848011135.db2.gz VBHQWPPPUAKHGN-GFCCVEGCSA-N 0 1 270.354 0.512 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001114348837 848022774 /nfs/dbraw/zinc/02/27/74/848022774.db2.gz QYCMCIYKNQPIPD-IWIIMEHWSA-N 0 1 291.355 0.413 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001114348837 848022780 /nfs/dbraw/zinc/02/27/80/848022780.db2.gz QYCMCIYKNQPIPD-IWIIMEHWSA-N 0 1 291.355 0.413 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COc1cc(C)on1 ZINC001114429331 848061082 /nfs/dbraw/zinc/06/10/82/848061082.db2.gz XOTHWCCXZPFXSV-JYAVWHMHSA-N 0 1 289.335 0.432 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c(Br)cnn1C ZINC000717674020 848281704 /nfs/dbraw/zinc/28/17/04/848281704.db2.gz WDVKOVGMUQRVQO-UHFFFAOYSA-N 0 1 299.172 0.477 20 30 CCEDMN O=[N+]([O-])c1ccc([C@@H](O)CNCC#CCO)cc1 ZINC000717533614 848275722 /nfs/dbraw/zinc/27/57/22/848275722.db2.gz XAOBOTDKAAVFOC-LBPRGKRZSA-N 0 1 250.254 0.214 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC/C=C/CNCc1ccnn1C ZINC001274017065 848304197 /nfs/dbraw/zinc/30/41/97/848304197.db2.gz QMWVMXCMHGQSCC-GFUIURDCSA-N 0 1 290.367 0.220 20 30 CCEDMN COCCCN1C[C@]2(CCN(CCCC#N)C2)OCC1=O ZINC001274040989 848316800 /nfs/dbraw/zinc/31/68/00/848316800.db2.gz YJCUZFKCACEYBP-OAHLLOKOSA-N 0 1 295.383 0.630 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H](C)OC)C(C)(C)C1 ZINC001274416138 848474677 /nfs/dbraw/zinc/47/46/77/848474677.db2.gz LQOIKWMGXAJMSP-NWDGAFQWSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CC2(CN(Cc3cn[nH]c3CC)C2)OCC1=O ZINC001274381297 848465956 /nfs/dbraw/zinc/46/59/56/848465956.db2.gz OROBDZCGOGQQRT-UHFFFAOYSA-N 0 1 288.351 0.019 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2Cc2c[nH]ccc2=O)C1=O ZINC001274451018 848480851 /nfs/dbraw/zinc/48/08/51/848480851.db2.gz YPKMNDIXLCUXHJ-MRXNPFEDSA-N 0 1 285.347 0.575 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(N)nc1 ZINC001274545255 848500079 /nfs/dbraw/zinc/50/00/79/848500079.db2.gz XHNSHUHLCDTVLA-ZIAGYGMSSA-N 0 1 284.363 0.862 20 30 CCEDMN CN1CC[C@]2(CCN(Cc3[nH]ccc3C#N)C2)C1=O ZINC001274854998 848576984 /nfs/dbraw/zinc/57/69/84/848576984.db2.gz JITYVJMXKSSSHQ-CQSZACIVSA-N 0 1 258.325 0.941 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)COCC(F)F ZINC001275128499 848645044 /nfs/dbraw/zinc/64/50/44/848645044.db2.gz HMKOMKPIPJFMLA-NSHDSACASA-N 0 1 274.311 0.824 20 30 CCEDMN Cn1cc(CN2CCC23CN(Cc2c[nH]nn2)C3)cc1C#N ZINC001275229925 848673473 /nfs/dbraw/zinc/67/34/73/848673473.db2.gz WLXKJFICLBYFEI-UHFFFAOYSA-N 0 1 297.366 0.475 20 30 CCEDMN C=CCCN(C)C[C@H](C)NC(=O)CCS(C)(=O)=O ZINC001275592250 848770304 /nfs/dbraw/zinc/77/03/04/848770304.db2.gz HNUIRACFFFAMRG-NSHDSACASA-N 0 1 276.402 0.434 20 30 CCEDMN N#CCNC[C@H]1CCCC[C@@H]1NC(=O)c1[nH]ncc1F ZINC001275793984 848826100 /nfs/dbraw/zinc/82/61/00/848826100.db2.gz RAPDVIFONITYRV-KOLCDFICSA-N 0 1 279.319 0.951 20 30 CCEDMN C#CCCCN1C[C@@H]2CN(C(=O)NC(C)C)C[C@H](C1)O2 ZINC001275978156 848881280 /nfs/dbraw/zinc/88/12/80/848881280.db2.gz KTTPQFIKBKZTES-OKILXGFUSA-N 0 1 279.384 0.903 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H]1CC12CCOCC2 ZINC001276021340 848893449 /nfs/dbraw/zinc/89/34/49/848893449.db2.gz OBSMPUSLMLNHPP-QWHCGFSZSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001095555565 848988240 /nfs/dbraw/zinc/98/82/40/848988240.db2.gz SQMBNGZMBWUUNN-UGUYLWEFSA-N 0 1 287.407 0.992 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)CNC(=O)C1)C2 ZINC001095805499 849151528 /nfs/dbraw/zinc/15/15/28/849151528.db2.gz NHUSEHCYKWSICP-YXMPFFBPSA-N 0 1 277.368 0.420 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OC)C1CCC1 ZINC001114638894 849343521 /nfs/dbraw/zinc/34/35/21/849343521.db2.gz NABDEMSYPGIHMD-YJNKXOJESA-N 0 1 276.380 0.871 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NC)C[C@H]21 ZINC001114680723 849360563 /nfs/dbraw/zinc/36/05/63/849360563.db2.gz LHTKZADYFPPODY-NDBYEHHHSA-N 0 1 293.411 0.770 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@@H](CC)C(N)=O)C[C@H]21 ZINC001114687255 849363114 /nfs/dbraw/zinc/36/31/14/849363114.db2.gz ZSSBXRKUOLNYAD-WHOHXGKFSA-N 0 1 279.384 0.509 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cc(C)on1 ZINC001114822194 849406124 /nfs/dbraw/zinc/40/61/24/849406124.db2.gz UBRYYRMHZPQHLQ-NHAGDIPZSA-N 0 1 273.336 0.595 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCOCC)C1 ZINC001276358690 849445627 /nfs/dbraw/zinc/44/56/27/849445627.db2.gz DNCODSMCPFQRLB-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN C=C[C@H](O)CN1CC[C@@H](N2CCOCC2)[C@@H](F)C1 ZINC001253590923 849576520 /nfs/dbraw/zinc/57/65/20/849576520.db2.gz YUTVVIVXGVWKJG-RWMBFGLXSA-N 0 1 258.337 0.278 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1Nc1nccnc1C#N ZINC001067029041 849713944 /nfs/dbraw/zinc/71/39/44/849713944.db2.gz HTOWBBAOEFAWIR-SKDRFNHKSA-N 0 1 297.322 0.644 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CCN2CCCO)c[nH]1 ZINC001038168259 849805098 /nfs/dbraw/zinc/80/50/98/849805098.db2.gz KYEAJMJENBLSJK-GFCCVEGCSA-N 0 1 262.313 0.073 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001038363095 849862621 /nfs/dbraw/zinc/86/26/21/849862621.db2.gz HSABQBHLSVFKQI-XQQFMLRXSA-N 0 1 266.341 0.004 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnc2n1CCC2 ZINC001038357041 849862744 /nfs/dbraw/zinc/86/27/44/849862744.db2.gz GBMFPVKMIBIKLK-LBPRGKRZSA-N 0 1 272.352 0.657 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001038411269 849882454 /nfs/dbraw/zinc/88/24/54/849882454.db2.gz SKPMZDUUNMZVQX-KGLIPLIRSA-N 0 1 263.385 0.685 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cccc2ncnn21 ZINC001038470739 849915637 /nfs/dbraw/zinc/91/56/37/849915637.db2.gz NPPRBSJNEVNMRR-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1ccc2cncn2c1 ZINC001038520894 849928829 /nfs/dbraw/zinc/92/88/29/849928829.db2.gz SBPSWLBQURUDHX-ZDUSSCGKSA-N 0 1 269.308 0.662 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001038545126 849937918 /nfs/dbraw/zinc/93/79/18/849937918.db2.gz ZYJWXJKBNARIEU-LJISPDSOSA-N 0 1 294.395 0.805 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001038560916 849942135 /nfs/dbraw/zinc/94/21/35/849942135.db2.gz DTZIDUTTXWEYMI-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001038560916 849942142 /nfs/dbraw/zinc/94/21/42/849942142.db2.gz DTZIDUTTXWEYMI-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(C)[nH]c1=O ZINC001038741971 850002110 /nfs/dbraw/zinc/00/21/10/850002110.db2.gz KCDGFNTTWQXHIL-GFCCVEGCSA-N 0 1 273.336 0.923 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1nc2ncccn2n1 ZINC001038829114 850034495 /nfs/dbraw/zinc/03/44/95/850034495.db2.gz JNULYECUPLGTPE-NSHDSACASA-N 0 1 286.339 0.505 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001038970185 850106176 /nfs/dbraw/zinc/10/61/76/850106176.db2.gz IBGPAUAAZNSYNB-KGLIPLIRSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCCN1C(N)=O ZINC001039080224 850148387 /nfs/dbraw/zinc/14/83/87/850148387.db2.gz XMKYGDROOXJWIQ-NEPJUHHUSA-N 0 1 280.372 0.296 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)COC ZINC001039318818 850172264 /nfs/dbraw/zinc/17/22/64/850172264.db2.gz BIUPHBXJMXVQRT-OLZOCXBDSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(=O)n(C)c1 ZINC001039399787 850184027 /nfs/dbraw/zinc/18/40/27/850184027.db2.gz UXVWBHAURAKUMY-CABCVRRESA-N 0 1 299.374 0.697 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccnc1 ZINC001039476813 850197358 /nfs/dbraw/zinc/19/73/58/850197358.db2.gz PDQPOKLAVSZODE-KGLIPLIRSA-N 0 1 272.352 0.582 20 30 CCEDMN Cc1cc(C(=O)N2C[C@H]3CCC[C@@H](C2)N3CC#N)n[nH]1 ZINC001039520712 850207573 /nfs/dbraw/zinc/20/75/73/850207573.db2.gz ALNUULAXOOKZBK-TXEJJXNPSA-N 0 1 273.340 0.921 20 30 CCEDMN NC(=O)CN1CCC2(CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001040696863 850314689 /nfs/dbraw/zinc/31/46/89/850314689.db2.gz JDVARMDNXBUBLY-UHFFFAOYSA-N 0 1 289.379 0.200 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)[C@H](C)n3cncn3)C2)C1 ZINC001041225337 850405885 /nfs/dbraw/zinc/40/58/85/850405885.db2.gz VOJRJXOCSLKZDB-DZGCQCFKSA-N 0 1 287.367 0.397 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)C[C@H]3CCNC3=O)C2)C1 ZINC001041353636 850433820 /nfs/dbraw/zinc/43/38/20/850433820.db2.gz OYDPKQAIIBOQNC-CZUORRHYSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C3CCOCC3)C[C@@H]21 ZINC001041892510 850523126 /nfs/dbraw/zinc/52/31/26/850523126.db2.gz XTCCCXHVVJHARG-HIFRSBDPSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cn3cc(C)cn3)C[C@@H]21 ZINC001041963703 850541838 /nfs/dbraw/zinc/54/18/38/850541838.db2.gz GGGKVYPODFSBBZ-GJZGRUSLSA-N 0 1 286.379 0.748 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cn3cc(C)cn3)C[C@@H]21 ZINC001041963701 850543071 /nfs/dbraw/zinc/54/30/71/850543071.db2.gz GGGKVYPODFSBBZ-CABCVRRESA-N 0 1 286.379 0.748 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cocn3)C[C@@H]21 ZINC001041972107 850544701 /nfs/dbraw/zinc/54/47/01/850544701.db2.gz JMMOQLLNIMKWDZ-YPMHNXCESA-N 0 1 259.309 0.844 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3c[nH]c(C)n3)C[C@@H]21 ZINC001041978455 850547300 /nfs/dbraw/zinc/54/73/00/850547300.db2.gz LHUGFHRZBPFBRT-OCCSQVGLSA-N 0 1 272.352 0.888 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3ncn(C)n3)C[C@H]21 ZINC001042049871 850568575 /nfs/dbraw/zinc/56/85/75/850568575.db2.gz LNACRCJODCKQOD-CHWSQXEVSA-N 0 1 287.367 0.375 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)CCc3c[nH]nn3)C[C@@H]21 ZINC001042239106 850599950 /nfs/dbraw/zinc/59/99/50/850599950.db2.gz DCBCHTPJQOJPPE-YPMHNXCESA-N 0 1 288.355 0.184 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)CCc3cnn[nH]3)C[C@@H]21 ZINC001042239106 850599956 /nfs/dbraw/zinc/59/99/56/850599956.db2.gz DCBCHTPJQOJPPE-YPMHNXCESA-N 0 1 288.355 0.184 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccncn3)C[C@@H]21 ZINC001042274839 850607274 /nfs/dbraw/zinc/60/72/74/850607274.db2.gz NGIRLHCNIQTWGR-JSGCOSHPSA-N 0 1 270.336 0.646 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3nonc3C)C[C@@H]21 ZINC001042381226 850622103 /nfs/dbraw/zinc/62/21/03/850622103.db2.gz YOGMALXNJVFABU-NEPJUHHUSA-N 0 1 274.324 0.548 20 30 CCEDMN C=C(C)CN1CC(N(C)C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001042704937 850741098 /nfs/dbraw/zinc/74/10/98/850741098.db2.gz CKOWQMGJRNENRR-GHMZBOCLSA-N 0 1 253.302 0.370 20 30 CCEDMN C#CCCN1CC(N(C)C(=O)c2cccc3nn[nH]c32)C1 ZINC001042773975 850757983 /nfs/dbraw/zinc/75/79/83/850757983.db2.gz ONSKRIJMSVJZNO-UHFFFAOYSA-N 0 1 283.335 0.737 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001044042179 850991023 /nfs/dbraw/zinc/99/10/23/850991023.db2.gz SFYSFEXGICQGEA-LBPRGKRZSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCCN1CC(N(C)C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001044155277 851025893 /nfs/dbraw/zinc/02/58/93/851025893.db2.gz CZVKROMDAIHOOG-LBPRGKRZSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCCN1CC(N(C)C(=O)c2cnccc2N(C)C)C1 ZINC001044194834 851040169 /nfs/dbraw/zinc/04/01/69/851040169.db2.gz NINABEKNEZGJKM-UHFFFAOYSA-N 0 1 286.379 0.927 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1C[C@H]1C(N)=O)C2 ZINC001096023955 851248669 /nfs/dbraw/zinc/24/86/69/851248669.db2.gz PJAOOLYPZIHKAG-GCHJQGSQSA-N 0 1 263.341 0.015 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2nn(C)cc2C)CC1 ZINC001045437097 851258020 /nfs/dbraw/zinc/25/80/20/851258020.db2.gz DESSHSNFUPKBLG-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cc(OC)ncn2)CC1 ZINC001045442313 851258731 /nfs/dbraw/zinc/25/87/31/851258731.db2.gz RPPZDEQZGGDKRC-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCNC(=O)CC2)CC1 ZINC001045515000 851269007 /nfs/dbraw/zinc/26/90/07/851269007.db2.gz DTEBSUDWOMFRQY-CYBMUJFWSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cn(CCC)nn2)CC1 ZINC001045603718 851286338 /nfs/dbraw/zinc/28/63/38/851286338.db2.gz RVDWOVOQEDIFHX-UHFFFAOYSA-N 0 1 289.383 0.906 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cccnn2)CC1 ZINC001045597040 851286396 /nfs/dbraw/zinc/28/63/96/851286396.db2.gz GXYDPFFVABVLMO-UHFFFAOYSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N2CCN(C(=O)[C@H](CC)OC)CC2)C1 ZINC001046009866 851349272 /nfs/dbraw/zinc/34/92/72/851349272.db2.gz FBSULMWXDCYNSV-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1c[nH]cn1)C2 ZINC001096072961 851377776 /nfs/dbraw/zinc/37/77/76/851377776.db2.gz PTEUIORLLUREDV-AGIUHOORSA-N 0 1 260.341 0.860 20 30 CCEDMN C[C@@]1(NC(=O)[C@@H]2CCCc3[nH]ncc32)CCN(CC#N)C1 ZINC001046311391 851463275 /nfs/dbraw/zinc/46/32/75/851463275.db2.gz JGWBOQKIRAINRH-IAQYHMDHSA-N 0 1 287.367 0.934 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(OC)ncn2)C1 ZINC001046320239 851463501 /nfs/dbraw/zinc/46/35/01/851463501.db2.gz QVJCWVVMKYRPAF-CQSZACIVSA-N 0 1 276.340 0.865 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2nnn(C(C)(C)C)n2)C1 ZINC001046329940 851468006 /nfs/dbraw/zinc/46/80/06/851468006.db2.gz DFOYKBJQYOJZAM-AWEZNQCLSA-N 0 1 292.387 0.808 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cccn3nnnc23)C1 ZINC001046355986 851475349 /nfs/dbraw/zinc/47/53/49/851475349.db2.gz REBWZXBNGUPCEV-HNNXBMFYSA-N 0 1 298.350 0.342 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc3nnnn3c2)C1 ZINC001046480424 851521358 /nfs/dbraw/zinc/52/13/58/851521358.db2.gz BRXVUJJBRLJIFE-HNNXBMFYSA-N 0 1 298.350 0.342 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001046610277 851564966 /nfs/dbraw/zinc/56/49/66/851564966.db2.gz LYUFIIQLRYNLBX-HNNXBMFYSA-N 0 1 273.336 0.923 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001046806032 851618363 /nfs/dbraw/zinc/61/83/63/851618363.db2.gz NXPVMVVXDGEIHZ-GDBMZVCRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnn(C)c2OC)C1 ZINC001046807710 851618573 /nfs/dbraw/zinc/61/85/73/851618573.db2.gz IZBWNXLAIJDSOF-HNNXBMFYSA-N 0 1 290.367 0.646 20 30 CCEDMN CC#CC[N@H+]1CCC(F)(F)[C@H](CNC(=O)c2cnn[n-]2)C1 ZINC001046951715 851649035 /nfs/dbraw/zinc/64/90/35/851649035.db2.gz SQQBKQCYMJKCCH-SNVBAGLBSA-N 0 1 297.309 0.515 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001047353710 851732550 /nfs/dbraw/zinc/73/25/50/851732550.db2.gz JJXPDTUOQXAMOG-KBPBESRZSA-N 0 1 288.351 0.580 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cncs2)C1 ZINC001047418990 851756023 /nfs/dbraw/zinc/75/60/23/851756023.db2.gz CNALCCVQFOCBKL-RYUDHWBXSA-N 0 1 281.381 0.375 20 30 CCEDMN C=C1CCC(C(=O)N(C)[C@H]2CN(CCCO)C[C@@H]2O)CC1 ZINC001047513701 851796048 /nfs/dbraw/zinc/79/60/48/851796048.db2.gz KQNPYCWANXYVSN-GJZGRUSLSA-N 0 1 296.411 0.619 20 30 CCEDMN C=CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cn(CC)cn2)C1 ZINC001047599587 851830759 /nfs/dbraw/zinc/83/07/59/851830759.db2.gz AVKWOWFMMIBSIV-KBPBESRZSA-N 0 1 292.383 0.596 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2c(C)n[nH]c2C)C1 ZINC001047624802 851837724 /nfs/dbraw/zinc/83/77/24/851837724.db2.gz PWSYERBFYMWXFV-KBPBESRZSA-N 0 1 292.383 0.259 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2nnn(C)c2C)CC[C@H]1C ZINC001071404305 851906717 /nfs/dbraw/zinc/90/67/17/851906717.db2.gz LYQSVQBQTDTNER-PWSUYJOCSA-N 0 1 277.372 0.892 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCc3[nH]cnc3C1)C2 ZINC001096297290 851908057 /nfs/dbraw/zinc/90/80/57/851908057.db2.gz ZRTBHVWJSOAKPY-JLUCKKNBSA-N 0 1 299.378 0.760 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc[n+]([O-])cc1 ZINC001049311253 852224543 /nfs/dbraw/zinc/22/45/43/852224543.db2.gz JBCJILGSARKDDJ-HUUCEWRRSA-N 0 1 285.347 0.632 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccn[nH]1 ZINC001049347864 852238148 /nfs/dbraw/zinc/23/81/48/852238148.db2.gz WBHZAEBOPPMRHP-STQMWFEESA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc[nH]n1 ZINC001049347864 852238177 /nfs/dbraw/zinc/23/81/77/852238177.db2.gz WBHZAEBOPPMRHP-STQMWFEESA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccn[nH]1 ZINC001049347864 852238187 /nfs/dbraw/zinc/23/81/87/852238187.db2.gz WBHZAEBOPPMRHP-STQMWFEESA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1ccon1 ZINC001049368799 852243713 /nfs/dbraw/zinc/24/37/13/852243713.db2.gz BRUPRHSQIGUIMB-KBPBESRZSA-N 0 1 273.336 0.916 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccnn1C ZINC001049374607 852247790 /nfs/dbraw/zinc/24/77/90/852247790.db2.gz SGXBKLVOYKLQCE-STQMWFEESA-N 0 1 272.352 0.732 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1nnn(C)c1C ZINC001049393459 852259436 /nfs/dbraw/zinc/25/94/36/852259436.db2.gz DMLUEBCFWRAGJI-QWHCGFSZSA-N 0 1 287.367 0.436 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)n1cncn1 ZINC001049426818 852269947 /nfs/dbraw/zinc/26/99/47/852269947.db2.gz AHPNTUQFFFITFY-HZSPNIEDSA-N 0 1 287.367 0.538 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)n1cncn1 ZINC001049426818 852269956 /nfs/dbraw/zinc/26/99/56/852269956.db2.gz AHPNTUQFFFITFY-HZSPNIEDSA-N 0 1 287.367 0.538 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049455715 852284276 /nfs/dbraw/zinc/28/42/76/852284276.db2.gz FTGUBXYDMWFLHG-VXGBXAGGSA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnco1 ZINC001049622687 852321290 /nfs/dbraw/zinc/32/12/90/852321290.db2.gz YZLYWUCXZGAGPG-NEPJUHHUSA-N 0 1 259.309 0.987 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1n[nH]cc1F ZINC001049703346 852356675 /nfs/dbraw/zinc/35/66/75/852356675.db2.gz JYBAALMBQXNMEB-VXGBXAGGSA-N 0 1 276.315 0.861 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1nonc1C ZINC001049928986 852397015 /nfs/dbraw/zinc/39/70/15/852397015.db2.gz XVDLVBONHYDBDD-NEPJUHHUSA-N 0 1 274.324 0.690 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(=O)[nH]n1)C2 ZINC001096766732 852441604 /nfs/dbraw/zinc/44/16/04/852441604.db2.gz GEFPJBZSSKLFHU-RTXFEEFZSA-N 0 1 286.335 0.541 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCCO1)C2 ZINC001096832875 852454846 /nfs/dbraw/zinc/45/48/46/852454846.db2.gz OBCVCQSZJWIRKL-SYQHCUMBSA-N 0 1 262.353 0.910 20 30 CCEDMN N#Cc1cncc(N[C@H](CNC(=O)c2cnn[nH]2)C2CC2)n1 ZINC001096854258 852457587 /nfs/dbraw/zinc/45/75/87/852457587.db2.gz VNWKXQHABOLNBE-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccn(C)n1)C2 ZINC001097058855 852496443 /nfs/dbraw/zinc/49/64/43/852496443.db2.gz WAWNEEIWKZAZHT-MCIONIFRSA-N 0 1 274.368 0.870 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCCC(=O)N1)C2 ZINC001097495779 852541868 /nfs/dbraw/zinc/54/18/68/852541868.db2.gz FEMXDKQWGOAVRU-DGAVXFQQSA-N 0 1 291.395 0.953 20 30 CCEDMN C=C(C)CN1CC2(C1)CC[C@H](CNC(=O)c1cnn[nH]1)O2 ZINC001053620843 852770499 /nfs/dbraw/zinc/77/04/99/852770499.db2.gz VNCYXIOHQAEYDI-LLVKDONJSA-N 0 1 291.355 0.344 20 30 CCEDMN C=C(C)CN1CC2(C1)CC[C@@H](CNC(=O)c1cnn[nH]1)O2 ZINC001053620844 852770655 /nfs/dbraw/zinc/77/06/55/852770655.db2.gz VNCYXIOHQAEYDI-NSHDSACASA-N 0 1 291.355 0.344 20 30 CCEDMN CC#CCN1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCCN1C)CO2 ZINC001053755929 852806586 /nfs/dbraw/zinc/80/65/86/852806586.db2.gz LXAJWQZNMNSNEQ-KGLIPLIRSA-N 0 1 291.395 0.063 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnc[nH]2)C[C@@H]1NCC#N ZINC001054722120 853003025 /nfs/dbraw/zinc/00/30/25/853003025.db2.gz PPZGVKXFUXMPNC-PWSUYJOCSA-N 0 1 261.329 0.302 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccc3cncn3c2)C[C@@H]1NCC#N ZINC001054905355 853035149 /nfs/dbraw/zinc/03/51/49/853035149.db2.gz DITFADHCMOWFFL-RISCZKNCSA-N 0 1 283.335 0.908 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H](C)CNC(=O)c2ncn[nH]2)n1 ZINC001097995063 853075008 /nfs/dbraw/zinc/07/50/08/853075008.db2.gz FURPACQMQLAVPS-MRVPVSSYSA-N 0 1 286.299 0.005 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H](C)CNC(=O)c2nc[nH]n2)n1 ZINC001097995063 853075016 /nfs/dbraw/zinc/07/50/16/853075016.db2.gz FURPACQMQLAVPS-MRVPVSSYSA-N 0 1 286.299 0.005 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H](C)CNC(=O)c2ncn[nH]2)n1 ZINC001097995455 853082980 /nfs/dbraw/zinc/08/29/80/853082980.db2.gz SVYYUEAVPHESNO-SECBINFHSA-N 0 1 285.311 0.610 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H](C)CNC(=O)c2nc[nH]n2)n1 ZINC001097995455 853082988 /nfs/dbraw/zinc/08/29/88/853082988.db2.gz SVYYUEAVPHESNO-SECBINFHSA-N 0 1 285.311 0.610 20 30 CCEDMN CC(C)C(=O)NCCN1CCC(NC(=O)[C@H](C)C#N)CC1 ZINC001055520946 853085445 /nfs/dbraw/zinc/08/54/45/853085445.db2.gz DWFKZEAXKHMAQD-GFCCVEGCSA-N 0 1 294.399 0.499 20 30 CCEDMN N#Cc1cncc(N[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C2)n1 ZINC001056596900 853176674 /nfs/dbraw/zinc/17/66/74/853176674.db2.gz JJPKSYDGWQOUNZ-LLVKDONJSA-N 0 1 297.322 0.327 20 30 CCEDMN CN(c1cncc(C#N)n1)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001056870080 853237402 /nfs/dbraw/zinc/23/74/02/853237402.db2.gz XBRQRSXJFPCLMD-NSHDSACASA-N 0 1 297.322 0.422 20 30 CCEDMN CN(c1ccc(C#N)nn1)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001056868431 853237499 /nfs/dbraw/zinc/23/74/99/853237499.db2.gz DGLGYXKLRZOHGZ-NSHDSACASA-N 0 1 297.322 0.422 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H]3COC(=O)C3)[C@@H]2C1 ZINC001050021103 853294619 /nfs/dbraw/zinc/29/46/19/853294619.db2.gz RGMZNAUDBKTGES-MELADBBJSA-N 0 1 290.363 0.496 20 30 CCEDMN C=C(C)CCN1CCOC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001050996585 853501870 /nfs/dbraw/zinc/50/18/70/853501870.db2.gz TUWJYRZSSXHNTA-LLVKDONJSA-N 0 1 279.344 0.202 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)C3CC3)C2)CC1 ZINC001051962913 853664108 /nfs/dbraw/zinc/66/41/08/853664108.db2.gz LSSUYKUNCUFNGN-OAHLLOKOSA-N 0 1 275.396 0.638 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cn(C)ccc2=O)CC1 ZINC001052550768 853765906 /nfs/dbraw/zinc/76/59/06/853765906.db2.gz VPVNEBZUCCIKJY-CYBMUJFWSA-N 0 1 287.363 0.603 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cn(-c3cccnc3)nn2)=NO1 ZINC000827497637 853794386 /nfs/dbraw/zinc/79/43/86/853794386.db2.gz YEPGIKGOEPUEAG-MRVPVSSYSA-N 0 1 272.268 0.514 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001058314900 853822735 /nfs/dbraw/zinc/82/27/35/853822735.db2.gz TYFULWHZWKSWGF-ZIAGYGMSSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001058314900 853822739 /nfs/dbraw/zinc/82/27/39/853822739.db2.gz TYFULWHZWKSWGF-ZIAGYGMSSA-N 0 1 299.378 0.742 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnon1)C2 ZINC001098071805 853908328 /nfs/dbraw/zinc/90/83/28/853908328.db2.gz LYDISKMQXIUSPK-FOGDFJRCSA-N 0 1 260.297 0.428 20 30 CCEDMN C=CCN1CCCN(C(=O)Cn2ccc(C)n2)CC1 ZINC000072252557 854034549 /nfs/dbraw/zinc/03/45/49/854034549.db2.gz XXRJTJQIGVUQPO-UHFFFAOYSA-N 0 1 262.357 0.912 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2(CF)CC2)C[C@@H]1n1ccnn1 ZINC001070272615 854038470 /nfs/dbraw/zinc/03/84/70/854038470.db2.gz VRIDLBBOJOSNPS-NEPJUHHUSA-N 0 1 291.330 0.003 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001070460474 854061625 /nfs/dbraw/zinc/06/16/25/854061625.db2.gz NZHZBWKRXZZUAE-NXEZZACHSA-N 0 1 275.312 0.290 20 30 CCEDMN CC#CCN1C[C@@H](F)C[C@@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070726046 854090311 /nfs/dbraw/zinc/09/03/11/854090311.db2.gz FMUCVWXFZSLAME-WDEREUQCSA-N 0 1 279.319 0.549 20 30 CCEDMN CC#CCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070726046 854090316 /nfs/dbraw/zinc/09/03/16/854090316.db2.gz FMUCVWXFZSLAME-WDEREUQCSA-N 0 1 279.319 0.549 20 30 CCEDMN CC#CCN1CC[C@]2(C1)CN(C(=O)C(F)F)C[C@@H](C)O2 ZINC001071115652 854123458 /nfs/dbraw/zinc/12/34/58/854123458.db2.gz BLLGBODRCCMGCJ-RISCZKNCSA-N 0 1 286.322 0.967 20 30 CCEDMN CC#CCN1CC[C@@]2(C1)CN(C(=O)C(F)F)C[C@H](C)O2 ZINC001071115653 854123806 /nfs/dbraw/zinc/12/38/06/854123806.db2.gz BLLGBODRCCMGCJ-SMDDNHRTSA-N 0 1 286.322 0.967 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)c1cc[nH]c1)C[C@H](C)O2 ZINC001071168705 854132366 /nfs/dbraw/zinc/13/23/66/854132366.db2.gz GJNULFNZKWWIMB-XJKSGUPXSA-N 0 1 287.363 0.953 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2=CCOCC2)CC[C@H]1C ZINC001071455361 854204634 /nfs/dbraw/zinc/20/46/34/854204634.db2.gz ZJTMPTLVOIPTCF-OCCSQVGLSA-N 0 1 262.353 0.935 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cc(=O)n(C)o2)CC[C@@H]1C ZINC001071463321 854208429 /nfs/dbraw/zinc/20/84/29/854208429.db2.gz JVQREDMOIHGDCQ-QWRGUYRKSA-N 0 1 279.340 0.747 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C ZINC001071651792 854258271 /nfs/dbraw/zinc/25/82/71/854258271.db2.gz OFBJKXFRHZRCGT-DGCLKSJQSA-N 0 1 288.351 0.790 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001071777245 854287855 /nfs/dbraw/zinc/28/78/55/854287855.db2.gz FGIIASDWBZUDCD-MWLCHTKSSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)CC[C@@H]1C ZINC001071825578 854301558 /nfs/dbraw/zinc/30/15/58/854301558.db2.gz ZCJJSRFXHVAXOG-WDEREUQCSA-N 0 1 290.367 0.882 20 30 CCEDMN C[C@@H]1CC[C@@H](NC(=O)CN2CCCC2)CN1CC#N ZINC001072082347 854346586 /nfs/dbraw/zinc/34/65/86/854346586.db2.gz YOXPUMAJHVDNTL-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(CC)n[nH]3)C2)C1 ZINC001072491243 854398107 /nfs/dbraw/zinc/39/81/07/854398107.db2.gz NHKFEXNFKXXJSH-UHFFFAOYSA-N 0 1 272.352 0.753 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cn3cc(C)cn3)C2)C1 ZINC001072520767 854405769 /nfs/dbraw/zinc/40/57/69/854405769.db2.gz UPNRQVALKBQPIS-UHFFFAOYSA-N 0 1 272.352 0.359 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cnnn3CC)C2)C1 ZINC001072708099 854449779 /nfs/dbraw/zinc/44/97/79/854449779.db2.gz HNEZXSKDZFDNAI-UHFFFAOYSA-N 0 1 287.367 0.469 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCOC[C@H]3C)C2)C1 ZINC001072959738 854501513 /nfs/dbraw/zinc/50/15/13/854501513.db2.gz GYVUMWMPARHCLC-KGLIPLIRSA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3nccn4ccnc34)C2)C1 ZINC001072991920 854511445 /nfs/dbraw/zinc/51/14/45/854511445.db2.gz GWFVYVOXVILEKY-UHFFFAOYSA-N 0 1 295.346 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc4ncccn4n3)C2)C1 ZINC001073068821 854522953 /nfs/dbraw/zinc/52/29/53/854522953.db2.gz HMGBASFRKNECFO-UHFFFAOYSA-N 0 1 295.346 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3coc(COC)n3)C2)C1 ZINC001073089993 854528515 /nfs/dbraw/zinc/52/85/15/854528515.db2.gz YYJAEZVYZBNFPW-UHFFFAOYSA-N 0 1 289.335 0.602 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3C[C@]34CCOC4)C2)C1 ZINC001073111209 854531792 /nfs/dbraw/zinc/53/17/92/854531792.db2.gz WBEVKMMITYOQBT-CJNGLKHVSA-N 0 1 274.364 0.581 20 30 CCEDMN CC(C)(C(=O)N1CC2(C1)CCN(CC#N)C2)c1c[nH]cn1 ZINC001073128190 854536115 /nfs/dbraw/zinc/53/61/15/854536115.db2.gz VBEPUMXFCWJGQW-UHFFFAOYSA-N 0 1 287.367 0.745 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H]2CCCOC2)C1 ZINC001073548432 854587884 /nfs/dbraw/zinc/58/78/84/854587884.db2.gz LASGXPFMEQIJAR-KBPBESRZSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H]2CCCOC2)C1 ZINC001073548434 854588241 /nfs/dbraw/zinc/58/82/41/854588241.db2.gz LASGXPFMEQIJAR-UONOGXRCSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2nnn(C)c2C)C1 ZINC001073561955 854594611 /nfs/dbraw/zinc/59/46/11/854594611.db2.gz GSDDPKNRNQAXBQ-GFCCVEGCSA-N 0 1 293.371 0.130 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001073726455 854635859 /nfs/dbraw/zinc/63/58/59/854635859.db2.gz MOJWYLXBQCADBH-MJBXVCDLSA-N 0 1 264.369 0.873 20 30 CCEDMN C=C(C)CN1CCCO[C@@H](CNC(=O)c2ncccn2)C1 ZINC001073823970 854649372 /nfs/dbraw/zinc/64/93/72/854649372.db2.gz MCOXDRJGHYIDLS-ZDUSSCGKSA-N 0 1 290.367 0.873 20 30 CCEDMN C=C(Cl)CN1CCCO[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001073824765 854649947 /nfs/dbraw/zinc/64/99/47/854649947.db2.gz XGCVBCUCLRZZNR-JTQLQIEISA-N 0 1 299.762 0.378 20 30 CCEDMN C=C(Cl)CN1CCCO[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001073824765 854649950 /nfs/dbraw/zinc/64/99/50/854649950.db2.gz XGCVBCUCLRZZNR-JTQLQIEISA-N 0 1 299.762 0.378 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2nonc2C)C1 ZINC001073908458 854661005 /nfs/dbraw/zinc/66/10/05/854661005.db2.gz MDHIRWZFDDOASW-LLVKDONJSA-N 0 1 280.328 0.385 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccn[nH]2)C1 ZINC001098736753 854881052 /nfs/dbraw/zinc/88/10/52/854881052.db2.gz NQEGOJIJLHJOBK-FZMZJTMJSA-N 0 1 258.325 0.627 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H](C)Nc1ncnc2[nH]cnc21 ZINC001098947989 854899229 /nfs/dbraw/zinc/89/92/29/854899229.db2.gz VLOMKRPWUPSKCT-IUCAKERBSA-N 0 1 287.327 0.819 20 30 CCEDMN C[C@@H](CCNC(=O)c1cnn[nH]1)Nc1nccnc1C#N ZINC001099777041 854991979 /nfs/dbraw/zinc/99/19/79/854991979.db2.gz CUANZQKYMNUSLF-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)CC(C)(C)O)[C@@H](O)C1 ZINC001099809260 854999662 /nfs/dbraw/zinc/99/96/62/854999662.db2.gz FFZOMCRGTVBDFU-RYUDHWBXSA-N 0 1 270.373 0.275 20 30 CCEDMN CCn1ncc(CNC2CC(CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001100191437 855115185 /nfs/dbraw/zinc/11/51/85/855115185.db2.gz KNYYPGYEKKVIPP-UNXYVOJBSA-N 0 1 290.371 0.442 20 30 CCEDMN Cc1nnc(CNC2CC(CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001100196825 855116084 /nfs/dbraw/zinc/11/60/84/855116084.db2.gz VFYXXCLXWKXBNL-MFAVDMRSSA-N 0 1 276.344 0.257 20 30 CCEDMN CCN(CCNC(=O)Cc1cnc[nH]1)c1cncc(C#N)n1 ZINC001100735494 855216095 /nfs/dbraw/zinc/21/60/95/855216095.db2.gz JKNZURJSEKMHRZ-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CN(CC(=O)NCC)C[C@H]1C ZINC001101805332 855369934 /nfs/dbraw/zinc/36/99/34/855369934.db2.gz NKVLTVFJJGUTJO-CHWSQXEVSA-N 0 1 281.400 0.773 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCNc1ccc(C#N)cn1 ZINC001101885743 855386200 /nfs/dbraw/zinc/38/62/00/855386200.db2.gz NYNWTEOWPGHYMI-UHFFFAOYSA-N 0 1 285.311 0.564 20 30 CCEDMN CN(CCNc1ccc(C#N)cn1)C(=O)CCc1c[nH]nn1 ZINC001101960831 855398806 /nfs/dbraw/zinc/39/88/06/855398806.db2.gz ZFAFPCRVOCCZET-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN CN(CCNc1ccc(C#N)cn1)C(=O)CCc1cnn[nH]1 ZINC001101960831 855398812 /nfs/dbraw/zinc/39/88/12/855398812.db2.gz ZFAFPCRVOCCZET-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN Cc1cc(C#N)nc(NCCN(C)C(=O)Cc2c[nH]cn2)n1 ZINC001101975628 855402872 /nfs/dbraw/zinc/40/28/72/855402872.db2.gz KQDBBXQPODGCPV-UHFFFAOYSA-N 0 1 299.338 0.493 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001102701770 855465134 /nfs/dbraw/zinc/46/51/34/855465134.db2.gz HZXVLDNYFWRJMK-UWVGGRQHSA-N 0 1 299.338 0.550 20 30 CCEDMN CC[C@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)nc1 ZINC001103170384 855501791 /nfs/dbraw/zinc/50/17/91/855501791.db2.gz GPRDFYINIYKNMI-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN CC[C@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)nc1 ZINC001103170384 855501795 /nfs/dbraw/zinc/50/17/95/855501795.db2.gz GPRDFYINIYKNMI-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@H](C)CNC(=O)c2ncn[nH]2)n1 ZINC001104059174 855565254 /nfs/dbraw/zinc/56/52/54/855565254.db2.gz BZSJIJHUFXKKTN-VIFPVBQESA-N 0 1 299.338 0.858 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@H](C)CNC(=O)c2nc[nH]n2)n1 ZINC001104059174 855565256 /nfs/dbraw/zinc/56/52/56/855565256.db2.gz BZSJIJHUFXKKTN-VIFPVBQESA-N 0 1 299.338 0.858 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CC)N1CCCC1=O ZINC001115261311 855656304 /nfs/dbraw/zinc/65/63/04/855656304.db2.gz OKRBIDBKGIMZIW-SFDCQRBFSA-N 0 1 289.379 0.067 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)OCCOCC ZINC001115288888 855658515 /nfs/dbraw/zinc/65/85/15/855658515.db2.gz ZXORSNSPCQNVRI-IGQOVBAYSA-N 0 1 280.368 0.108 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCN(CCCCO)CC1 ZINC001116345978 855750727 /nfs/dbraw/zinc/75/07/27/855750727.db2.gz JVIGJWVHLNCJDS-AWEZNQCLSA-N 0 1 296.415 0.137 20 30 CCEDMN C#CCNC(=O)C1CCN(C(=O)c2cnc(C)[nH]2)CC1 ZINC001116957283 855878217 /nfs/dbraw/zinc/87/82/17/855878217.db2.gz XDUANKAZAKPGRF-UHFFFAOYSA-N 0 1 274.324 0.320 20 30 CCEDMN Cc1nc([C@H](C)NS(=O)(=O)CCCCC#N)n[nH]1 ZINC001117110399 855915614 /nfs/dbraw/zinc/91/56/14/855915614.db2.gz KEJPJGCRPYAIPQ-QMMMGPOBSA-N 0 1 271.346 0.787 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)C(=O)NCc2cc(C)[nH]n2)C1 ZINC001117302422 855941011 /nfs/dbraw/zinc/94/10/11/855941011.db2.gz MARANINACMRCAA-LBPRGKRZSA-N 0 1 292.339 0.138 20 30 CCEDMN C#CCNC(=O)CCN(CC(=O)OC)CC(C)C ZINC001117745658 856068341 /nfs/dbraw/zinc/06/83/41/856068341.db2.gz ILMWLLXGNRVYOJ-UHFFFAOYSA-N 0 1 254.330 0.257 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC001117978032 856125282 /nfs/dbraw/zinc/12/52/82/856125282.db2.gz FOXMSTXXVFNATA-YNEHKIRRSA-N 0 1 285.388 0.284 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC[C@](C)(C#N)C2)C[C@H](C)N1 ZINC001118059623 856149146 /nfs/dbraw/zinc/14/91/46/856149146.db2.gz PYAQXRCKHFOTHD-TYNCELHUSA-N 0 1 292.383 0.347 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@H]1CCC[N@@H+](C)C1 ZINC001118097290 856160384 /nfs/dbraw/zinc/16/03/84/856160384.db2.gz JCMYQTRYUIUNME-NSHDSACASA-N 0 1 253.346 0.279 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@H]1CCCN(C)C1 ZINC001118097290 856160387 /nfs/dbraw/zinc/16/03/87/856160387.db2.gz JCMYQTRYUIUNME-NSHDSACASA-N 0 1 253.346 0.279 20 30 CCEDMN CCCN1CCCC[C@@H]1C(=O)N[C@@H]1CCCN(O)C1=O ZINC001118317719 856257367 /nfs/dbraw/zinc/25/73/67/856257367.db2.gz YVUHOGQBUODCPQ-VXGBXAGGSA-N 0 1 283.372 0.747 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCCc3nn[nH]c32)CC1 ZINC001118645928 856377502 /nfs/dbraw/zinc/37/75/02/856377502.db2.gz LMXJVSDAPALUOJ-JTQLQIEISA-N 0 1 288.355 0.329 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCCc3n[nH]nc32)CC1 ZINC001118645928 856377519 /nfs/dbraw/zinc/37/75/19/856377519.db2.gz LMXJVSDAPALUOJ-JTQLQIEISA-N 0 1 288.355 0.329 20 30 CCEDMN C=CCO[C@@H]1CC[N@@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC001119035803 856535923 /nfs/dbraw/zinc/53/59/23/856535923.db2.gz BYHNGZVKDMHOSG-LLVKDONJSA-N 0 1 265.313 0.895 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC001119035803 856535928 /nfs/dbraw/zinc/53/59/28/856535928.db2.gz BYHNGZVKDMHOSG-LLVKDONJSA-N 0 1 265.313 0.895 20 30 CCEDMN C=CCNC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001119281553 856610976 /nfs/dbraw/zinc/61/09/76/856610976.db2.gz VZHTWBCGGJEIQP-UHFFFAOYSA-N 0 1 265.317 0.684 20 30 CCEDMN C#CCN1CCC[C@H](NCc2ccc(S(C)(=O)=O)o2)C1 ZINC001119436238 856683840 /nfs/dbraw/zinc/68/38/40/856683840.db2.gz XREYASDTSFTTHQ-LBPRGKRZSA-N 0 1 296.392 0.870 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCC[N@@H+](CC(=O)[O-])CC1 ZINC001119586619 856758073 /nfs/dbraw/zinc/75/80/73/856758073.db2.gz MIQZKOHYQUDBQJ-GFCCVEGCSA-N 0 1 284.356 0.587 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)C(=O)N[C@@H]1CC[N@H+](C2CC2)C1 ZINC001119681752 856834223 /nfs/dbraw/zinc/83/42/23/856834223.db2.gz WIZBMXHTSYKAFN-CHWSQXEVSA-N 0 1 289.379 0.354 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC001119681752 856834234 /nfs/dbraw/zinc/83/42/34/856834234.db2.gz WIZBMXHTSYKAFN-CHWSQXEVSA-N 0 1 289.379 0.354 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1ncn[nH]1)Nc1ncccc1C#N ZINC001120067121 856974918 /nfs/dbraw/zinc/97/49/18/856974918.db2.gz BOANKEQVIFKKNM-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)COCc1ccnn1C ZINC001323262957 912232118 /nfs/dbraw/zinc/23/21/18/912232118.db2.gz PKEOJHGAIOKZHF-CYBMUJFWSA-N 0 1 292.383 0.703 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C#CC(C)(C)C)CC1 ZINC001323437197 912342957 /nfs/dbraw/zinc/34/29/57/912342957.db2.gz DSMPSGNSUFYABL-UHFFFAOYSA-N 0 1 289.379 0.024 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)[C@H](C)C(C)C)CC1 ZINC001323556139 912412177 /nfs/dbraw/zinc/41/21/77/912412177.db2.gz UFFMIXFHCLBHFG-OLZOCXBDSA-N 0 1 293.411 0.655 20 30 CCEDMN CNC(=O)CNC1(CNC(=O)CC#Cc2ccccc2)CC1 ZINC001323617010 912451066 /nfs/dbraw/zinc/45/10/66/912451066.db2.gz QNAKYVBIAGPLHH-UHFFFAOYSA-N 0 1 299.374 0.413 20 30 CCEDMN C#CCNC(=O)CN1CCC2(CCN(C(=O)CC)C2)CC1 ZINC001323817164 912530526 /nfs/dbraw/zinc/53/05/26/912530526.db2.gz YGLRORJCPNVKFL-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN CC(C)[C@@]1(CO)CCN(CC(=O)NCCC#N)C1 ZINC001323879389 912561627 /nfs/dbraw/zinc/56/16/27/912561627.db2.gz MGSXNEBUINITNN-ZDUSSCGKSA-N 0 1 253.346 0.357 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)CC(=O)NCC1CC1 ZINC001393611555 912676093 /nfs/dbraw/zinc/67/60/93/912676093.db2.gz BODXCWDHZYKQSW-GFCCVEGCSA-N 0 1 294.399 0.453 20 30 CCEDMN C#CCN(CC1CC1)[C@@H](C)C(=O)NC(=O)NCC ZINC001324381034 912811074 /nfs/dbraw/zinc/81/10/74/912811074.db2.gz BKHJJYXFHRWAPD-JTQLQIEISA-N 0 1 251.330 0.566 20 30 CCEDMN C[C@@H](NC(=O)NC1CCN(CC#N)CC1)c1nnc[nH]1 ZINC001325038765 913162455 /nfs/dbraw/zinc/16/24/55/913162455.db2.gz NHEHHKBAKGUBTI-SECBINFHSA-N 0 1 277.332 0.153 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)/C=C\c2ccco2)C1 ZINC001325052860 913171049 /nfs/dbraw/zinc/17/10/49/913171049.db2.gz BHKBSRKSAAGQNH-MWWKQMNYSA-N 0 1 288.347 0.869 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2sccc2F)C1 ZINC001325084444 913198463 /nfs/dbraw/zinc/19/84/63/913198463.db2.gz XGZAHNJUJQGQAY-CYBMUJFWSA-N 0 1 282.340 0.687 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)CO[C@H](C)CC)C1 ZINC001325087709 913204317 /nfs/dbraw/zinc/20/43/17/913204317.db2.gz WLLXJXZRRPCBSV-HIFRSBDPSA-N 0 1 282.384 0.378 20 30 CCEDMN C=CC[N@@H+]1CC[C@](O)(CNC(=O)CCc2cnc[nH]2)C1 ZINC001325101507 913212910 /nfs/dbraw/zinc/21/29/10/913212910.db2.gz HAFZBQIZROZGBJ-AWEZNQCLSA-N 0 1 278.356 0.081 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)COCC2CCCC2)C1 ZINC001325103126 913213460 /nfs/dbraw/zinc/21/34/60/913213460.db2.gz XXUYZGLXKGUJLS-MRXNPFEDSA-N 0 1 294.395 0.379 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001325121910 913226916 /nfs/dbraw/zinc/22/69/16/913226916.db2.gz ARBKUBUMARVPGC-AEFFLSMTSA-N 0 1 298.386 0.903 20 30 CCEDMN C[C@@H]1C[C@H]1C(=O)NC[C@H](CO)NCc1ccc(C#N)s1 ZINC001394617617 913316907 /nfs/dbraw/zinc/31/69/07/913316907.db2.gz QCVNTLURDAOVAJ-GIPNMCIBSA-N 0 1 293.392 0.842 20 30 CCEDMN C=CC[C@H]1CCN1C(=O)C1CN([C@H]2CCOC2)C1 ZINC000890550017 891417681 /nfs/dbraw/zinc/41/76/81/891417681.db2.gz RXQIKTADDUFJAB-STQMWFEESA-N 0 1 250.342 0.884 20 30 CCEDMN C#CCN(CCNC(=O)[C@H]1CCC[C@H](C(N)=O)C1)C1CC1 ZINC001493422250 891497502 /nfs/dbraw/zinc/49/75/02/891497502.db2.gz ATSNOXXGVNHDBE-STQMWFEESA-N 0 1 291.395 0.492 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)[C@@H](CC)OC)C1 ZINC001325820381 913592012 /nfs/dbraw/zinc/59/20/12/913592012.db2.gz RRPQPZQWPQSSDY-OCCSQVGLSA-N 0 1 270.373 0.541 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC001325822109 913589172 /nfs/dbraw/zinc/58/91/72/913589172.db2.gz ICRXFUATYSEIMW-NSHDSACASA-N 0 1 265.357 0.422 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccn(C)c1C ZINC001480908843 891744554 /nfs/dbraw/zinc/74/45/54/891744554.db2.gz CHBXXIHPHLFGFQ-UHFFFAOYSA-N 0 1 277.368 0.645 20 30 CCEDMN COCC#CCN(C)CCOCCNC(=O)c1ccon1 ZINC001283358805 891752988 /nfs/dbraw/zinc/75/29/88/891752988.db2.gz DYCIJXBIQQZJIQ-UHFFFAOYSA-N 0 1 295.339 0.003 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)NCC1(CC#N)CC1 ZINC001349799748 891781595 /nfs/dbraw/zinc/78/15/95/891781595.db2.gz RVIXSFXDDLHRKM-RYUDHWBXSA-N 0 1 262.357 0.185 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001480996493 892031902 /nfs/dbraw/zinc/03/19/02/892031902.db2.gz YXFOYEBHRJQIJD-GFCCVEGCSA-N 0 1 289.383 0.686 20 30 CCEDMN Cc1ncc(CNC[C@@H](O)CN(C)CCC#N)o1 ZINC001325881804 913623275 /nfs/dbraw/zinc/62/32/75/913623275.db2.gz YMNJMPANXUUDMB-LLVKDONJSA-N 0 1 252.318 0.279 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)[C@H]1CCCOC1 ZINC001481132316 892215270 /nfs/dbraw/zinc/21/52/70/892215270.db2.gz KIPZWNNLZDTQAX-HNNXBMFYSA-N 0 1 296.411 0.891 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN(CC#CCOC)C1CC1 ZINC001481165797 892258874 /nfs/dbraw/zinc/25/88/74/892258874.db2.gz DDSHQVIJATXEHW-INIZCTEOSA-N 0 1 294.395 0.544 20 30 CCEDMN CCc1cc(CNC(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)n[nH]1 ZINC001325964509 913663461 /nfs/dbraw/zinc/66/34/61/913663461.db2.gz OGGBOCSOWKOJSV-GXSJLCMTSA-N 0 1 289.339 0.397 20 30 CCEDMN C=CCC[C@@H](O)C[N@@H+]1CC[C@@](COC)(C(=O)[O-])C1 ZINC001354123940 892562168 /nfs/dbraw/zinc/56/21/68/892562168.db2.gz OSQXYHCEJHXZCR-DGCLKSJQSA-N 0 1 257.330 0.737 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001009204944 892579480 /nfs/dbraw/zinc/57/94/80/892579480.db2.gz RRYAJHPCYKKTER-NWDGAFQWSA-N 0 1 289.383 0.676 20 30 CCEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C[C@@H](C)NC(N)=O)C1 ZINC001481399646 892613268 /nfs/dbraw/zinc/61/32/68/892613268.db2.gz MRNIREHTZRDLFK-CHWSQXEVSA-N 0 1 294.399 0.379 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC001325991246 913681028 /nfs/dbraw/zinc/68/10/28/913681028.db2.gz USQFNGKAHZKUFO-HZSPNIEDSA-N 0 1 299.415 0.938 20 30 CCEDMN Cc1ccnc(CNC[C@@H](C)CNC(=O)[C@@H](C)C#N)n1 ZINC001421672719 892707311 /nfs/dbraw/zinc/70/73/11/892707311.db2.gz FLPGPABGEFFTGF-MNOVXSKESA-N 0 1 275.356 0.787 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@H]1CCN(C(=O)CCOC)C1 ZINC001481475593 892718028 /nfs/dbraw/zinc/71/80/28/892718028.db2.gz WMTZEKHAMHPKNV-CYBMUJFWSA-N 0 1 297.399 0.105 20 30 CCEDMN C=CCN(C)C[C@@H]1CCN(C(=O)[C@H](C)S(C)(=O)=O)C1 ZINC001481491341 892744825 /nfs/dbraw/zinc/74/48/25/892744825.db2.gz NRSKRKWVLGIWSL-RYUDHWBXSA-N 0 1 288.413 0.386 20 30 CCEDMN CCc1nc([C@@H](C)N2CC(NC(=O)C#CC3CC3)C2)n[nH]1 ZINC001481558294 892831001 /nfs/dbraw/zinc/83/10/01/892831001.db2.gz OSYFFTPCCRZYAZ-SNVBAGLBSA-N 0 1 287.367 0.642 20 30 CCEDMN C=CCCOCC(=O)NC1CN([C@H](C)c2n[nH]c(C)n2)C1 ZINC001481607146 892894318 /nfs/dbraw/zinc/89/43/18/892894318.db2.gz HSXIZIADHIQCAY-SNVBAGLBSA-N 0 1 293.371 0.567 20 30 CCEDMN C=CCN1CC(CNC(=O)CCCC(=O)NC(C)C)C1 ZINC001481655229 892973694 /nfs/dbraw/zinc/97/36/94/892973694.db2.gz TULXSOYYAZKMFP-UHFFFAOYSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)COC(C)C)C1 ZINC001481685990 892998655 /nfs/dbraw/zinc/99/86/55/892998655.db2.gz QLOMQWHEGAOBKC-ZDUSSCGKSA-N 0 1 270.373 0.805 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001481700514 893034539 /nfs/dbraw/zinc/03/45/39/893034539.db2.gz NMYPGXKUAQNPKI-OCCSQVGLSA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCc2nccn2C)C1 ZINC001481736715 893105887 /nfs/dbraw/zinc/10/58/87/893105887.db2.gz UUOKLHFQITXSIZ-ZDUSSCGKSA-N 0 1 274.368 0.519 20 30 CCEDMN CCN(C(=O)[C@H](C)C#N)[C@@H]1CCN(CCOCCO)C1 ZINC001481762009 893126206 /nfs/dbraw/zinc/12/62/06/893126206.db2.gz QDAWIISQJLPZRI-CHWSQXEVSA-N 0 1 283.372 0.078 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CNCc2nncn2C)CCCC1 ZINC001481891495 893249422 /nfs/dbraw/zinc/24/94/22/893249422.db2.gz HCCRVWLSQXTGTM-NSHDSACASA-N 0 1 290.371 0.493 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)Cc1ccccc1 ZINC001284501622 893583669 /nfs/dbraw/zinc/58/36/69/893583669.db2.gz GQVSACBLXWDCFR-OAHLLOKOSA-N 0 1 274.364 0.613 20 30 CCEDMN N#CCC[C@@H](C#N)CNC[C@@H](O)C1CCOCC1 ZINC001326230696 913831421 /nfs/dbraw/zinc/83/14/21/913831421.db2.gz DMGFYQHAWQKTBZ-WCQYABFASA-N 0 1 251.330 0.807 20 30 CCEDMN CC(C)[C@@H](CNC(=O)CN1CCCC1)NCC#N ZINC001482570059 894254942 /nfs/dbraw/zinc/25/49/42/894254942.db2.gz JWMIOMAFWZRGFN-GFCCVEGCSA-N 0 1 252.362 0.336 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1ccncc1 ZINC001482576169 894268433 /nfs/dbraw/zinc/26/84/33/894268433.db2.gz ORQIEMAMAVBJMN-UHFFFAOYSA-N 0 1 275.352 0.735 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cc(C)ncn1 ZINC001482590367 894327577 /nfs/dbraw/zinc/32/75/77/894327577.db2.gz QWASTLFJPFJPEX-UHFFFAOYSA-N 0 1 290.367 0.439 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H]1CCCN1C(C)=O ZINC001482653341 894445898 /nfs/dbraw/zinc/44/58/98/894445898.db2.gz ODZHBOLFQWFHOX-CQSZACIVSA-N 0 1 279.384 0.411 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cccc(-n2ccnn2)c1 ZINC001482653066 894449091 /nfs/dbraw/zinc/44/90/91/894449091.db2.gz DHNNPFXGKKJXHI-UHFFFAOYSA-N 0 1 297.362 0.904 20 30 CCEDMN CNC(=O)CNC/C=C\CNC(=O)C#CC(C)(C)C ZINC001483007977 894799897 /nfs/dbraw/zinc/79/98/97/894799897.db2.gz PRQOYRXWEODRQE-WAYWQWQTSA-N 0 1 265.357 0.044 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)Cc1nc[nH]n1 ZINC001483081486 894886928 /nfs/dbraw/zinc/88/69/28/894886928.db2.gz ZMYRNHVIZLLABQ-NSCUHMNNSA-N 0 1 269.736 0.362 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@]1(C)CCNC1=O ZINC001483165167 895049689 /nfs/dbraw/zinc/04/96/89/895049689.db2.gz DQXCIDNFGQMBKE-BXKDBHETSA-N 0 1 273.764 0.359 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H](C)CNCc1cc(C)no1 ZINC001483226617 895140975 /nfs/dbraw/zinc/14/09/75/895140975.db2.gz CCHLMNPECAYPID-NWDGAFQWSA-N 0 1 279.340 0.616 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1nc(OC)cc(OC)n1 ZINC001483354730 895492283 /nfs/dbraw/zinc/49/22/83/895492283.db2.gz ZKPUFNRTUCNRLG-SNVBAGLBSA-N 0 1 292.339 0.177 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCNCc1nncs1 ZINC001483455891 895579958 /nfs/dbraw/zinc/57/99/58/895579958.db2.gz HEVFHVQZWIQFLV-VIFPVBQESA-N 0 1 267.358 0.636 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)C1CN(C(C)=O)C1)C1CC1 ZINC001483556426 895646711 /nfs/dbraw/zinc/64/67/11/895646711.db2.gz DPXSLNVAWBZFBJ-CYBMUJFWSA-N 0 1 299.802 0.702 20 30 CCEDMN N#CCC[C@H](C#N)CN[C@@H](CO)[C@H]1CCCOC1 ZINC001326519499 913999535 /nfs/dbraw/zinc/99/95/35/913999535.db2.gz PXJASKLJEJNLQL-AGIUHOORSA-N 0 1 251.330 0.807 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001483672254 895831459 /nfs/dbraw/zinc/83/14/59/895831459.db2.gz RFQSCSLETLJRCN-OLZOCXBDSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCC2(C)C)[C@@H](O)C1 ZINC001083710702 895965177 /nfs/dbraw/zinc/96/51/77/895965177.db2.gz KLEVZEBGUCWJQW-XQQFMLRXSA-N 0 1 264.369 0.607 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2Cc3cccc(C#N)c3C2)CCN1 ZINC001326565339 914023383 /nfs/dbraw/zinc/02/33/83/914023383.db2.gz ZENAVKHBFDQBOR-LLVKDONJSA-N 0 1 298.346 0.221 20 30 CCEDMN C=C(Cl)CN1C[C@@H](NC(=O)c2[nH]ncc2F)[C@@H](O)C1 ZINC001083758465 896032564 /nfs/dbraw/zinc/03/25/64/896032564.db2.gz DORQIWLLNTYRON-BDAKNGLRSA-N 0 1 288.710 0.076 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]2CC[C@@H](C1)N2CC(=O)NC ZINC001484015776 896152806 /nfs/dbraw/zinc/15/28/06/896152806.db2.gz NNLWRKIQKGYTPL-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)Cc2ncc[nH]2)C1 ZINC001484063948 896181903 /nfs/dbraw/zinc/18/19/03/896181903.db2.gz VXALUJOHAANDJQ-CQSZACIVSA-N 0 1 260.341 0.556 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](O)(CNC(=O)c2ccoc2)C1 ZINC001484204180 896233669 /nfs/dbraw/zinc/23/36/69/896233669.db2.gz QUJIYEJLKACNEY-CQSZACIVSA-N 0 1 262.309 0.470 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2ccoc2)C1 ZINC001484204180 896233687 /nfs/dbraw/zinc/23/36/87/896233687.db2.gz QUJIYEJLKACNEY-CQSZACIVSA-N 0 1 262.309 0.470 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)/C=C/C(C)(C)C)C1 ZINC001484207126 896237353 /nfs/dbraw/zinc/23/73/53/896237353.db2.gz FJDTWJVTMJPIMU-LFAOLKIESA-N 0 1 264.369 0.775 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001484233269 896269588 /nfs/dbraw/zinc/26/95/88/896269588.db2.gz ANDXUYRWQSVMOD-RDJZCZTQSA-N 0 1 284.359 0.513 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)c2cnccn2)C1 ZINC001484426858 896396105 /nfs/dbraw/zinc/39/61/05/896396105.db2.gz WHPHDYUMABLKSE-HNNXBMFYSA-N 0 1 288.351 0.057 20 30 CCEDMN C[C@H](NCC#N)c1ccc(CNC(=O)Cc2nnc[nH]2)cc1 ZINC001484563955 896469609 /nfs/dbraw/zinc/46/96/09/896469609.db2.gz YHODRAHYYOELBW-NSHDSACASA-N 0 1 298.350 0.838 20 30 CCEDMN C#CC[NH2+]C[C@@H]1CN(C(=O)c2cccc3nn[n-]c32)CCO1 ZINC001484677372 896534677 /nfs/dbraw/zinc/53/46/77/896534677.db2.gz DQBHPBXAYRPQLG-LLVKDONJSA-N 0 1 299.334 0.022 20 30 CCEDMN COCC#CC[N@@H+](C)CCCN(C)C(=O)c1ccnn1C ZINC001484734374 896573706 /nfs/dbraw/zinc/57/37/06/896573706.db2.gz BAJPGRAMGOOWEB-UHFFFAOYSA-N 0 1 292.383 0.464 20 30 CCEDMN CC#CCCNC(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC001326663899 914087912 /nfs/dbraw/zinc/08/79/12/914087912.db2.gz WRZXRDDAEQNCJA-LLVKDONJSA-N 0 1 274.324 0.433 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](CC)NC(C)=O ZINC001485069398 896746741 /nfs/dbraw/zinc/74/67/41/896746741.db2.gz MJMGWHCKDNOPLE-LSDHHAIUSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)Cc1nonc1C ZINC001485072027 896757342 /nfs/dbraw/zinc/75/73/42/896757342.db2.gz BDZCTTYARQEUAT-CYBMUJFWSA-N 0 1 290.367 0.867 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)C1(C(=O)NC)CC1 ZINC001485198541 896845989 /nfs/dbraw/zinc/84/59/89/896845989.db2.gz KKEGQNIZPMTBEC-VHSXEESVSA-N 0 1 287.791 0.748 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C1(COCC)CC1 ZINC001485319380 896946119 /nfs/dbraw/zinc/94/61/19/896946119.db2.gz HMNMSZLPYSQTID-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](C)[C@@H]1CCC(=O)NC1=O ZINC001485296850 896926056 /nfs/dbraw/zinc/92/60/56/896926056.db2.gz FEBCVYYRKMQYQU-VXGBXAGGSA-N 0 1 293.367 0.032 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)N(C)[C@@H]1CCC(=O)NC1=O ZINC001485296850 896926067 /nfs/dbraw/zinc/92/60/67/896926067.db2.gz FEBCVYYRKMQYQU-VXGBXAGGSA-N 0 1 293.367 0.032 20 30 CCEDMN C=CC[N@H+](C)[C@@H](C)CNC(=O)c1cnc2[nH]c(=O)[nH]c2c1 ZINC001485323722 896960123 /nfs/dbraw/zinc/96/01/23/896960123.db2.gz VOEOEBRHSFBQEG-VIFPVBQESA-N 0 1 289.339 0.900 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)N(C)[C@@H](CC)C(N)=O ZINC001485339908 896966665 /nfs/dbraw/zinc/96/66/65/896966665.db2.gz MHJIPGLPKGSEAF-OLZOCXBDSA-N 0 1 281.400 0.880 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001485500470 897088356 /nfs/dbraw/zinc/08/83/56/897088356.db2.gz ISYZKSALJQBEJJ-MNOVXSKESA-N 0 1 266.341 0.562 20 30 CCEDMN C=CC[N@H+]1C[C@@H](NC(=O)CCn2ccnn2)C(C)(C)C1 ZINC001485567863 897119154 /nfs/dbraw/zinc/11/91/54/897119154.db2.gz PYXWDXGYZGABHK-GFCCVEGCSA-N 0 1 277.372 0.681 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H](CC)NC(C)=O)C(C)(C)C1 ZINC001485575810 897133959 /nfs/dbraw/zinc/13/39/59/897133959.db2.gz TWFQGZAEQXEBRF-OLZOCXBDSA-N 0 1 281.400 0.914 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@]1(C)CN(CC2CC2)CCO1 ZINC001107821209 897324748 /nfs/dbraw/zinc/32/47/48/897324748.db2.gz KZAYQYQXLFIFLA-RISCZKNCSA-N 0 1 265.357 0.763 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccnn1C ZINC001032377383 897359032 /nfs/dbraw/zinc/35/90/32/897359032.db2.gz WXTPDECOYZOGQN-KBPBESRZSA-N 0 1 274.368 0.824 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccsc2C)C1 ZINC001077785550 897494845 /nfs/dbraw/zinc/49/48/45/897494845.db2.gz FHRMEVKIRDVPNP-ZIAGYGMSSA-N 0 1 292.404 0.784 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]cc2ncnc1-2 ZINC001032413733 897515699 /nfs/dbraw/zinc/51/56/99/897515699.db2.gz ZFAPWYCYADNFIB-RYUDHWBXSA-N 0 1 295.346 0.880 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@]1(C)CN(CC(C)C)CCO1 ZINC001107941299 897535012 /nfs/dbraw/zinc/53/50/12/897535012.db2.gz BRPYBFWXSRRBFT-ZBFHGGJFSA-N 0 1 296.411 0.888 20 30 CCEDMN CCNCc1nnc2n1CC[C@@H](NC(=O)[C@@H](C)C#N)CC2 ZINC001127963818 897579329 /nfs/dbraw/zinc/57/93/29/897579329.db2.gz AAWBKTREUZWOCV-QWRGUYRKSA-N 0 1 290.371 0.368 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCN(C)C1=O ZINC001032463484 897604705 /nfs/dbraw/zinc/60/47/05/897604705.db2.gz VAMGOMKDLQVRGP-RDBSUJKOSA-N 0 1 291.395 0.716 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2nccn2C1 ZINC001032484618 897636482 /nfs/dbraw/zinc/63/64/82/897636482.db2.gz LQSIOJZYZSRNKU-KKUMJFAQSA-N 0 1 298.390 0.754 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2CN3C[C@@H](C)OC)c1 ZINC001032617117 897832554 /nfs/dbraw/zinc/83/25/54/897832554.db2.gz MDTZVSLFKTVZPV-KCXAZCMYSA-N 0 1 299.374 0.997 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCO[C@H]2C(=C)C)C1 ZINC001077822046 897864379 /nfs/dbraw/zinc/86/43/79/897864379.db2.gz VSFNFPPGERVZPA-YJNKXOJESA-N 0 1 292.379 0.152 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCO[C@H]2C(=C)C)C1 ZINC001077822046 897864383 /nfs/dbraw/zinc/86/43/83/897864383.db2.gz VSFNFPPGERVZPA-YJNKXOJESA-N 0 1 292.379 0.152 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2ccco2)C1 ZINC001077873999 898000925 /nfs/dbraw/zinc/00/09/25/898000925.db2.gz KPIGVADTXAWPGI-CHWSQXEVSA-N 0 1 264.325 0.560 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)C(C)(C)C(N)=O)C1 ZINC001108007096 898105243 /nfs/dbraw/zinc/10/52/43/898105243.db2.gz MCLGQUSKGHZTHR-OAHLLOKOSA-N 0 1 297.399 0.281 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3ncc[nH]3)C2)C1=O ZINC001272918131 898167468 /nfs/dbraw/zinc/16/74/68/898167468.db2.gz DPLGMHZAECDCIJ-CQSZACIVSA-N 0 1 258.325 0.467 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](CO)N[C@@H](C)c1n[nH]c(C)n1 ZINC001486000229 898563462 /nfs/dbraw/zinc/56/34/62/898563462.db2.gz NODQRCPZRYBRCM-CMPLNLGQSA-N 0 1 295.387 0.597 20 30 CCEDMN Cc1ncccc1CN[C@H](CO)CNC(=O)[C@H](C)C#N ZINC001485981654 898565468 /nfs/dbraw/zinc/56/54/68/898565468.db2.gz FCRBNMQMBFNLQN-MFKMUULPSA-N 0 1 276.340 0.116 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC[C@H](CO)NCc2cccnc2)c1 ZINC001485984825 898570804 /nfs/dbraw/zinc/57/08/04/898570804.db2.gz SOAFWDBWJLEWEE-CYBMUJFWSA-N 0 1 299.334 0.162 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@]12CCC[C@H]1OCC2 ZINC001486015431 898590422 /nfs/dbraw/zinc/59/04/22/898590422.db2.gz VUUSTALCNMMEPA-GUTXKFCHSA-N 0 1 280.368 0.036 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(Cl)cn1C ZINC001486016107 898590474 /nfs/dbraw/zinc/59/04/74/898590474.db2.gz YRMWCGJUNCLUMI-LLVKDONJSA-N 0 1 283.759 0.382 20 30 CCEDMN COCC#CCN1CC[C@]2(NC(=O)COC)CCC[C@H]12 ZINC001486135120 898679902 /nfs/dbraw/zinc/67/99/02/898679902.db2.gz JBFZCADEUNYZST-DZGCQCFKSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1CCCN(CC#C)[C@@H]1C ZINC001486174255 898696008 /nfs/dbraw/zinc/69/60/08/898696008.db2.gz SSAQYEIIDOPRPJ-KGLIPLIRSA-N 0 1 262.353 0.629 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C=C(C)C ZINC001486326023 898790866 /nfs/dbraw/zinc/79/08/66/898790866.db2.gz QURAVIKRBFEPNQ-ZDUSSCGKSA-N 0 1 252.358 0.727 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1(COC)CCCC1 ZINC001486337600 898803821 /nfs/dbraw/zinc/80/38/21/898803821.db2.gz VUPNTJBWXRZCGR-AWEZNQCLSA-N 0 1 296.411 0.578 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)ccc2F)[C@@H](O)C1 ZINC001083811371 898878025 /nfs/dbraw/zinc/87/80/25/898878025.db2.gz LQDUMSYATURROR-CABCVRRESA-N 0 1 290.338 0.932 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](C)C1CCN(CC(N)=O)CC1 ZINC001486446133 898878469 /nfs/dbraw/zinc/87/84/69/898878469.db2.gz ZFAIPWDZXILRBZ-NHYWBVRUSA-N 0 1 297.399 0.016 20 30 CCEDMN C#CCCCC(=O)N(C)C1CC(NCc2nnc(C)[nH]2)C1 ZINC001486460640 898881541 /nfs/dbraw/zinc/88/15/41/898881541.db2.gz CHHHYUBFDCNHPO-UHFFFAOYSA-N 0 1 289.383 0.996 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001324028394 899564333 /nfs/dbraw/zinc/56/43/33/899564333.db2.gz PJWCQXVGEALPKJ-ZDUSSCGKSA-N 0 1 298.431 0.513 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H]2CCN(C3CC3)C2=O)CC1 ZINC001327167829 914401790 /nfs/dbraw/zinc/40/17/90/914401790.db2.gz NUKYNEHDTFKPCU-CQSZACIVSA-N 0 1 289.379 0.211 20 30 CCEDMN COC(=O)c1cc(NS(=O)(=O)[C@@H](C)C#N)cnc1OC ZINC001193110745 900034318 /nfs/dbraw/zinc/03/43/18/900034318.db2.gz BSATWBTWAPXEGH-ZETCQYMHSA-N 0 1 299.308 0.531 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCN2CCCC2=O)C1 ZINC001194071782 900044105 /nfs/dbraw/zinc/04/41/05/900044105.db2.gz JNHJVRJUUGLRFJ-CQSZACIVSA-N 0 1 291.395 0.555 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C[NH+](C)CCCCCC)C1 ZINC001197000340 900059490 /nfs/dbraw/zinc/05/94/90/900059490.db2.gz DWSXPSZVPYAJPW-HUUCEWRRSA-N 0 1 297.443 0.846 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H](C)NC(=O)[C@@H]1CCCN1C ZINC001487760337 900122347 /nfs/dbraw/zinc/12/23/47/900122347.db2.gz UWLTYQOWRMWQQY-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)COC[C@H]1CCCO1 ZINC001488701269 900364934 /nfs/dbraw/zinc/36/49/34/900364934.db2.gz AQUISPKRVUVDMH-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001489142254 900438909 /nfs/dbraw/zinc/43/89/09/900438909.db2.gz BRQGBZNRHDZGFE-ZJUUUORDSA-N 0 1 292.343 0.369 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001489142254 900438913 /nfs/dbraw/zinc/43/89/13/900438913.db2.gz BRQGBZNRHDZGFE-ZJUUUORDSA-N 0 1 292.343 0.369 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C2CC(OC)C2)C1 ZINC001489161897 900444935 /nfs/dbraw/zinc/44/49/35/900444935.db2.gz ZMLZXVUZNCGCED-SLTAFYQDSA-N 0 1 294.395 0.330 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCN(C)Cc1n[nH]c(C)n1 ZINC001490188170 900564173 /nfs/dbraw/zinc/56/41/73/900564173.db2.gz VKKNAZMGTFMMNR-CQSZACIVSA-N 0 1 295.387 0.378 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H](C)C(C)C ZINC001490253819 900580984 /nfs/dbraw/zinc/58/09/84/900580984.db2.gz ISDHSJCEVGVRJS-ZDUSSCGKSA-N 0 1 281.400 0.466 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@H](C)OC)CC1 ZINC001490484979 900637006 /nfs/dbraw/zinc/63/70/06/900637006.db2.gz NJTOFHSPJNMPEM-ZDUSSCGKSA-N 0 1 269.389 0.331 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2cc(C)co2)CC1 ZINC001490499756 900642922 /nfs/dbraw/zinc/64/29/22/900642922.db2.gz FSCIEATWJUDCCA-UHFFFAOYSA-N 0 1 289.379 0.959 20 30 CCEDMN C#CCCCCC(=O)N1CCC[C@H](CN(C)CC(N)=O)C1 ZINC001490754600 900721565 /nfs/dbraw/zinc/72/15/65/900721565.db2.gz GEOHZYYGBARBTD-CQSZACIVSA-N 0 1 293.411 0.836 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001490772629 900723586 /nfs/dbraw/zinc/72/35/86/900723586.db2.gz PLGQQYDILLXHRF-NSHDSACASA-N 0 1 291.355 0.433 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1CC(=O)N(CC(C)C)C1 ZINC001275566198 901132918 /nfs/dbraw/zinc/13/29/18/901132918.db2.gz MUCUYMHZCDENEV-KBPBESRZSA-N 0 1 293.411 0.561 20 30 CCEDMN C[C@H](Nc1cnc(C#N)c(C#N)n1)c1n[nH]c(=O)n1C ZINC001412888879 902333689 /nfs/dbraw/zinc/33/36/89/902333689.db2.gz CUOWKAZCPCTMPS-LURJTMIESA-N 0 1 270.256 0.227 20 30 CCEDMN COC[C@@H]1CCC[N@H+]1Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001413044671 902522707 /nfs/dbraw/zinc/52/27/07/902522707.db2.gz HTMGFYOZCGHEQL-LBPRGKRZSA-N 0 1 287.323 0.917 20 30 CCEDMN Cc1nc(C(=O)N2CC[C@@H](c3nn[nH]n3)C2)ccc1C#N ZINC001413473729 902978814 /nfs/dbraw/zinc/97/88/14/902978814.db2.gz XBIQJMBDSOIRIQ-SNVBAGLBSA-N 0 1 283.295 0.405 20 30 CCEDMN COc1ncc(NC(=O)NCc2c[nH]nn2)cc1C#N ZINC001413648338 903140478 /nfs/dbraw/zinc/14/04/78/903140478.db2.gz FPVVRHQQNMZEFP-UHFFFAOYSA-N 0 1 273.256 0.402 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H](CCC)NC(N)=O ZINC001491232913 903456529 /nfs/dbraw/zinc/45/65/29/903456529.db2.gz BGAHAANFFBQWMS-QWHCGFSZSA-N 0 1 294.399 0.427 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1ccc(F)c(F)c1 ZINC001331773429 904015753 /nfs/dbraw/zinc/01/57/53/904015753.db2.gz FLJNZTXTZMHDSQ-NSHDSACASA-N 0 1 282.290 0.207 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN[C@H]1CCn2ccnc21 ZINC001331969757 904036637 /nfs/dbraw/zinc/03/66/37/904036637.db2.gz FLEVOMAYMWVQRI-NEPJUHHUSA-N 0 1 263.345 0.124 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)[C@@H]1C ZINC001281129500 904230679 /nfs/dbraw/zinc/23/06/79/904230679.db2.gz GFBZCOZAUIASSL-WDEREUQCSA-N 0 1 289.339 0.327 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)[C@@H]1C ZINC001281129500 904230691 /nfs/dbraw/zinc/23/06/91/904230691.db2.gz GFBZCOZAUIASSL-WDEREUQCSA-N 0 1 289.339 0.327 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN(CC(=O)N(C)C)[C@H](C)C1 ZINC001281657675 904323362 /nfs/dbraw/zinc/32/33/62/904323362.db2.gz DWJVXUXGZMUUCU-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cn(C)nc1C ZINC001281929101 904373606 /nfs/dbraw/zinc/37/36/06/904373606.db2.gz ONIOSJZFPJMNBE-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCOCCC(=O)NC1CC(CNCc2cnon2)C1 ZINC001282002870 904386489 /nfs/dbraw/zinc/38/64/89/904386489.db2.gz RTBGCDILFFSXJN-UHFFFAOYSA-N 0 1 292.339 0.094 20 30 CCEDMN C[C@@H](NCC#N)[C@H](C)NC(=O)c1cncc2nc[nH]c21 ZINC001282129219 904419993 /nfs/dbraw/zinc/41/99/93/904419993.db2.gz QMHBIKZPZZQNPS-BDAKNGLRSA-N 0 1 272.312 0.578 20 30 CCEDMN C[C@@H](NCc1cnnn1C)[C@@H](C)NC(=O)C#CC(C)(C)C ZINC001282129313 904421073 /nfs/dbraw/zinc/42/10/73/904421073.db2.gz QWNHPIQPRAIGAA-VXGBXAGGSA-N 0 1 291.399 0.847 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ncccc1OC ZINC001282485684 904491458 /nfs/dbraw/zinc/49/14/58/904491458.db2.gz LSDSBOZBWQMVRU-LLVKDONJSA-N 0 1 261.325 0.774 20 30 CCEDMN C=CCCC(=O)N1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001282855817 904552754 /nfs/dbraw/zinc/55/27/54/904552754.db2.gz IMJRZDPVXFOBMS-GHMZBOCLSA-N 0 1 281.312 0.289 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](C)Oc1ccccc1F ZINC001283760635 905003149 /nfs/dbraw/zinc/00/31/49/905003149.db2.gz XBYJJCRVOXPHIL-NEPJUHHUSA-N 0 1 294.326 0.293 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H]1CCC1(F)F ZINC001283770974 905005701 /nfs/dbraw/zinc/00/57/01/905005701.db2.gz GQMDSRVMPZDPHD-UWVGGRQHSA-N 0 1 260.284 0.122 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H](C)Cc1ccco1 ZINC001283812319 905027407 /nfs/dbraw/zinc/02/74/07/905027407.db2.gz GNZNGVUREKUPKI-CHWSQXEVSA-N 0 1 278.352 0.548 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1c[nH]cc1-c1ccccc1 ZINC001283827154 905040023 /nfs/dbraw/zinc/04/00/23/905040023.db2.gz IFSQRTKNVBKHID-CQSZACIVSA-N 0 1 297.358 0.995 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1ccc(F)cc1 ZINC001283826265 905041209 /nfs/dbraw/zinc/04/12/09/905041209.db2.gz UQTRIPUWHXFJAG-CYBMUJFWSA-N 0 1 264.300 0.068 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001284097246 905147568 /nfs/dbraw/zinc/14/75/68/905147568.db2.gz WOZAQUUERKDKBE-RBZYPMLTSA-N 0 1 291.355 0.784 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(C(=O)[C@@H]3CC3[N+](=O)[O-])C[C@H]21 ZINC001284151879 905158608 /nfs/dbraw/zinc/15/86/08/905158608.db2.gz CGIWQWRPTNUUBU-HMUNZLOLSA-N 0 1 293.323 0.191 20 30 CCEDMN C=CCCCC(=O)N[C@@H](C)CNC(=O)Cc1n[nH]c(C)n1 ZINC001284236806 905215394 /nfs/dbraw/zinc/21/53/94/905215394.db2.gz GMEOCAPZXRGLEM-JTQLQIEISA-N 0 1 293.371 0.633 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@H]1C=CCC1 ZINC001284481367 905314650 /nfs/dbraw/zinc/31/46/50/905314650.db2.gz GKUXVPJKTGVWDR-UONOGXRCSA-N 0 1 264.369 0.727 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccc(C)c(C)n1 ZINC001284504595 905339097 /nfs/dbraw/zinc/33/90/97/905339097.db2.gz GQKKCOQBJXORQQ-AWEZNQCLSA-N 0 1 289.379 0.696 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1c(C)onc1CC ZINC001284518491 905353777 /nfs/dbraw/zinc/35/37/77/905353777.db2.gz CNRQAATZBHHFHA-LBPRGKRZSA-N 0 1 293.367 0.543 20 30 CCEDMN CCN(CCNC(=O)c1ncn[nH]1)C(=O)C#CC(C)C ZINC001284638255 905405247 /nfs/dbraw/zinc/40/52/47/905405247.db2.gz LUHSCQZCCPTRLR-UHFFFAOYSA-N 0 1 277.328 0.042 20 30 CCEDMN CCN(CCNC(=O)c1nc[nH]n1)C(=O)C#CC(C)C ZINC001284638255 905405254 /nfs/dbraw/zinc/40/52/54/905405254.db2.gz LUHSCQZCCPTRLR-UHFFFAOYSA-N 0 1 277.328 0.042 20 30 CCEDMN C#CCN1CC=C(CNC(=O)Cc2c(C)n[nH]c2C)CC1 ZINC001284985076 905519257 /nfs/dbraw/zinc/51/92/57/905519257.db2.gz BHTXBFWZCCDVAG-UHFFFAOYSA-N 0 1 286.379 0.951 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH]cn1 ZINC001285656464 905706889 /nfs/dbraw/zinc/70/68/89/905706889.db2.gz ZCSFBROZHQFQKE-GFCCVEGCSA-N 0 1 290.367 0.719 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CS(C)(=O)=O ZINC001378754923 905797418 /nfs/dbraw/zinc/79/74/18/905797418.db2.gz MLTOIBSPJYBXRL-SECBINFHSA-N 0 1 282.793 0.220 20 30 CCEDMN C#CCn1ccc(CN(CC)c2nccnc2CN)n1 ZINC001337147201 921200218 /nfs/dbraw/zinc/20/02/18/921200218.db2.gz ZUTPIIAUFMDJOS-UHFFFAOYSA-N 0 1 270.340 0.792 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1CCNC(=O)C1 ZINC001379105323 905999522 /nfs/dbraw/zinc/99/95/22/905999522.db2.gz SXRVRSWNMOPSOD-QWRGUYRKSA-N 0 1 287.791 0.702 20 30 CCEDMN C[C@H](CN(C)C(=O)[C@@H](C)C#N)NCC(=O)Nc1ccon1 ZINC001379112227 906002696 /nfs/dbraw/zinc/00/26/96/906002696.db2.gz XQHUXXJQWRSJBZ-VHSXEESVSA-N 0 1 293.327 0.209 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](C)NCC(=O)Nc1ccon1 ZINC001379112231 906006338 /nfs/dbraw/zinc/00/63/38/906006338.db2.gz XQHUXXJQWRSJBZ-ZJUUUORDSA-N 0 1 293.327 0.209 20 30 CCEDMN COCCOCCC(=O)NC1(C#N)CCN(C)CC1 ZINC001337149952 921201600 /nfs/dbraw/zinc/20/16/00/921201600.db2.gz VPGVVLSOGJDHQD-UHFFFAOYSA-N 0 1 269.345 0.144 20 30 CCEDMN CC(C)C(=O)NC[C@H](CO)NCc1ccc(C#N)c(F)c1 ZINC001379352017 906177382 /nfs/dbraw/zinc/17/73/82/906177382.db2.gz QTZOLVCNEPQVAZ-CYBMUJFWSA-N 0 1 293.342 0.920 20 30 CCEDMN N#Cc1ccc(CN[C@@H](CO)CNC(=O)c2cc[nH]c2)cc1 ZINC001379399907 906212717 /nfs/dbraw/zinc/21/27/17/906212717.db2.gz OKPBKQJDKDJCSL-OAHLLOKOSA-N 0 1 298.346 0.767 20 30 CCEDMN N#Cc1ccc(CN[C@H](CO)CNC(=O)CCCF)cc1 ZINC001379460178 906254782 /nfs/dbraw/zinc/25/47/82/906254782.db2.gz FHEFDKQKMPLOLL-AWEZNQCLSA-N 0 1 293.342 0.875 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCCNC(=O)c1ncn[nH]1 ZINC001293352438 906462986 /nfs/dbraw/zinc/46/29/86/906462986.db2.gz NJYYLESQLGRMEA-UHFFFAOYSA-N 0 1 279.344 0.643 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCCNC(=O)c1nc[nH]n1 ZINC001293352438 906462992 /nfs/dbraw/zinc/46/29/92/906462992.db2.gz NJYYLESQLGRMEA-UHFFFAOYSA-N 0 1 279.344 0.643 20 30 CCEDMN C[C@H](O)CCCN1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001379961407 906558003 /nfs/dbraw/zinc/55/80/03/906558003.db2.gz GHEBEYNCDBVRFY-FZMZJTMJSA-N 0 1 290.367 0.851 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N(C)CCCNC(=O)c1cnn[nH]1 ZINC001294179366 906565249 /nfs/dbraw/zinc/56/52/49/906565249.db2.gz WTDGCDLGBAONHP-NSHDSACASA-N 0 1 293.371 0.985 20 30 CCEDMN C=CCCCC(=O)NC[C@@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001294925673 906655393 /nfs/dbraw/zinc/65/53/93/906655393.db2.gz TXQQKLZUVMEKLU-LLVKDONJSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCCC(=O)NC[C@@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001294925673 906655407 /nfs/dbraw/zinc/65/54/07/906655407.db2.gz TXQQKLZUVMEKLU-LLVKDONJSA-N 0 1 291.355 0.786 20 30 CCEDMN C[C@H](CCNC(=O)C#CC1CC1)NC(=O)CN1CCCC1 ZINC001295849561 906814888 /nfs/dbraw/zinc/81/48/88/906814888.db2.gz CYNIJRJGUOZNFL-CYBMUJFWSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCCC(=O)NC1CN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001297013562 906995247 /nfs/dbraw/zinc/99/52/47/906995247.db2.gz DCFGNYYNOZVFAH-UHFFFAOYSA-N 0 1 299.334 0.865 20 30 CCEDMN C#C[C@@H]1CCCC[N@@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001588382694 983373700 /nfs/dbraw/zinc/37/37/00/983373700.db2.gz SXFDLEXRJBQEKF-LLVKDONJSA-N 0 1 252.314 0.407 20 30 CCEDMN C=CCCC(=O)N1CC(CNC(=O)[C@H]2CCCN2C)C1 ZINC001297246697 907044718 /nfs/dbraw/zinc/04/47/18/907044718.db2.gz BZYTWDYSIPGEFJ-CYBMUJFWSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(C)COCCn1nnnc1N1CCN(C)C(C)(C)C1 ZINC001335881799 907112931 /nfs/dbraw/zinc/11/29/31/907112931.db2.gz PLFJLEPIQZNPAY-UHFFFAOYSA-N 0 1 294.403 0.796 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCCN1CCC(O)CC1 ZINC001298012723 907141536 /nfs/dbraw/zinc/14/15/36/907141536.db2.gz BJRNFORQEVCFQD-HNNXBMFYSA-N 0 1 284.400 0.788 20 30 CCEDMN N#CC1CN(C(=O)c2ccc(Cc3nc[nH]n3)cc2)C1 ZINC001298606704 907287082 /nfs/dbraw/zinc/28/70/82/907287082.db2.gz HGSOYRIFOQRSDG-UHFFFAOYSA-N 0 1 267.292 0.991 20 30 CCEDMN COCCN(CC#N)C(=O)c1cc(F)cc2nn[nH]c21 ZINC001298927875 907353270 /nfs/dbraw/zinc/35/32/70/907353270.db2.gz HMBDBBCXEKUBSL-UHFFFAOYSA-N 0 1 277.259 0.709 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNC(=O)[C@H]1CCCN1C ZINC001491683598 907497577 /nfs/dbraw/zinc/49/75/77/907497577.db2.gz LRRUQOXCXPXGPY-IUODEOHRSA-N 0 1 297.399 0.030 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCCN(C(=O)C(C)C)C1 ZINC001491908915 907631994 /nfs/dbraw/zinc/63/19/94/907631994.db2.gz JTNCFQSYAAIGAZ-ZIAGYGMSSA-N 0 1 293.411 0.703 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCCN(C(=O)[C@@H](C)COC)C1 ZINC001491915239 907637016 /nfs/dbraw/zinc/63/70/16/907637016.db2.gz ALZFZVNMGUWBQF-LSDHHAIUSA-N 0 1 296.411 0.842 20 30 CCEDMN COCC#CCN(C)[C@H]1CCCN(C(=O)c2cnco2)C1 ZINC001491942531 907647889 /nfs/dbraw/zinc/64/78/89/907647889.db2.gz FBHNNZULFVDUGK-ZDUSSCGKSA-N 0 1 291.351 0.861 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](NC(=O)Cc2nnc[nH]2)C[C@H]1C ZINC001338053903 921353274 /nfs/dbraw/zinc/35/32/74/921353274.db2.gz XGLOBPXJXKMMPA-MNOVXSKESA-N 0 1 291.355 0.419 20 30 CCEDMN CC#CC[N@@H+](C)CCNC(=O)c1cnc2n1CCC2 ZINC001492292787 907856832 /nfs/dbraw/zinc/85/68/32/907856832.db2.gz KCCSJLRGTGTKPL-UHFFFAOYSA-N 0 1 260.341 0.514 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnc2n1CCC2 ZINC001492292787 907856844 /nfs/dbraw/zinc/85/68/44/907856844.db2.gz KCCSJLRGTGTKPL-UHFFFAOYSA-N 0 1 260.341 0.514 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCn1nc(C)cc1C ZINC001492363320 907873822 /nfs/dbraw/zinc/87/38/22/907873822.db2.gz JQADCZMLXSKFPB-UHFFFAOYSA-N 0 1 276.384 0.961 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](C)N(C)CC(F)(F)F ZINC001492385217 907890774 /nfs/dbraw/zinc/89/07/74/907890774.db2.gz WAAYENOSCSZDFU-NSHDSACASA-N 0 1 293.333 0.940 20 30 CCEDMN CN(CCNC(=O)c1ncn[nH]1)Cc1ccc(C#N)s1 ZINC001492461120 907931033 /nfs/dbraw/zinc/93/10/33/907931033.db2.gz WJQBAABBFMVCPH-UHFFFAOYSA-N 0 1 290.352 0.600 20 30 CCEDMN C=C(Br)CN(C)CCNC(=O)c1ncn[nH]1 ZINC001492461202 907931690 /nfs/dbraw/zinc/93/16/90/907931690.db2.gz ZONRJUVZQKFZAR-UHFFFAOYSA-N 0 1 288.149 0.375 20 30 CCEDMN C=C(Br)CN(C)CCNC(=O)c1nc[nH]n1 ZINC001492461202 907931704 /nfs/dbraw/zinc/93/17/04/907931704.db2.gz ZONRJUVZQKFZAR-UHFFFAOYSA-N 0 1 288.149 0.375 20 30 CCEDMN C#CCC1(O)CCN(C(=O)CCN2CC[C@H](F)C2)CC1 ZINC001303027495 908056778 /nfs/dbraw/zinc/05/67/78/908056778.db2.gz PWSUWEQVNALPBR-ZDUSSCGKSA-N 0 1 282.359 0.797 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@@H]1C[C@H]1C ZINC001316842176 908190303 /nfs/dbraw/zinc/19/03/03/908190303.db2.gz FYFDPFVWSRYZEA-JHJVBQTASA-N 0 1 281.400 0.771 20 30 CCEDMN C#CCOCCN(C)C(=O)c1cc(F)cc2nn[nH]c21 ZINC001306015007 908232219 /nfs/dbraw/zinc/23/22/19/908232219.db2.gz MIXCMHMVPKDQBU-UHFFFAOYSA-N 0 1 276.271 0.819 20 30 CCEDMN C=C(C)CS(=O)(=O)NCC(F)(F)C(=O)OC ZINC001309924577 908481702 /nfs/dbraw/zinc/48/17/02/908481702.db2.gz QURCVSYQKNSHLD-UHFFFAOYSA-N 0 1 257.258 0.290 20 30 CCEDMN CC#CCN(CC)CCNC(=O)Cc1cn(C)nc1C ZINC001317479252 908485272 /nfs/dbraw/zinc/48/52/72/908485272.db2.gz DTIJLQQXCSXPNZ-UHFFFAOYSA-N 0 1 276.384 0.732 20 30 CCEDMN CC#CCN(CCO)[C@H]1CCCN(C(=O)[C@H](CC)OC)C1 ZINC001316745228 908661892 /nfs/dbraw/zinc/66/18/92/908661892.db2.gz VXFKJAAHEIGWTN-GJZGRUSLSA-N 0 1 296.411 0.720 20 30 CCEDMN CC#CC[N@H+](CCO)[C@H]1CCCN(C(=O)[C@H](CC)OC)C1 ZINC001316745228 908661888 /nfs/dbraw/zinc/66/18/88/908661888.db2.gz VXFKJAAHEIGWTN-GJZGRUSLSA-N 0 1 296.411 0.720 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@H]1CC[C@@H](C2CC2)O1 ZINC001313357505 908662298 /nfs/dbraw/zinc/66/22/98/908662298.db2.gz QDXPEMALGOEPKL-KGLIPLIRSA-N 0 1 279.384 0.808 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H](O)c1ccc(F)cc1 ZINC001313438838 908665997 /nfs/dbraw/zinc/66/59/97/908665997.db2.gz BZRCXTKQROTHLE-CQSZACIVSA-N 0 1 293.342 0.723 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)C(C)(F)F)CC1 ZINC001316966594 908700727 /nfs/dbraw/zinc/70/07/27/908700727.db2.gz RXYMTMCMGNHQAR-UHFFFAOYSA-N 0 1 273.327 0.399 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CO[C@H]2CCOC2)[C@H]1CC ZINC001316733050 908759779 /nfs/dbraw/zinc/75/97/79/908759779.db2.gz CSSNTUOOHDNYTR-MELADBBJSA-N 0 1 280.368 0.394 20 30 CCEDMN C#CCCN(CCCNC(=O)C1CS(=O)(=O)C1)C1CC1 ZINC001316916730 908841886 /nfs/dbraw/zinc/84/18/86/908841886.db2.gz PNLVMGVKVLJJHT-UHFFFAOYSA-N 0 1 298.408 0.025 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCCN1CCN(CCO)CC1 ZINC001316960188 908866275 /nfs/dbraw/zinc/86/62/75/908866275.db2.gz JDBXRULSVXUMFK-UHFFFAOYSA-N 0 1 297.443 0.705 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCCC(=O)N(C)C)C1 ZINC001316954576 908868193 /nfs/dbraw/zinc/86/81/93/908868193.db2.gz NARXPYWNYXWXBW-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C=CCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2[C@H](C)C(N)=O ZINC001317097418 908975754 /nfs/dbraw/zinc/97/57/54/908975754.db2.gz DLRJMUAVXOJFNQ-ODWCKFRGSA-N 0 1 279.384 0.938 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1C[C@@H](NCc2ccn(C)n2)C1 ZINC001317108662 908985558 /nfs/dbraw/zinc/98/55/58/908985558.db2.gz DHBPYMNLKPWDPX-FPMFFAJLSA-N 0 1 290.367 0.195 20 30 CCEDMN N#CC1(CNC[C@@H](O)Cn2cccn2)CCOCC1 ZINC001338436240 921465454 /nfs/dbraw/zinc/46/54/54/921465454.db2.gz KDYLGMGRKRTRCF-GFCCVEGCSA-N 0 1 264.329 0.154 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCCC(N)=O ZINC001317289830 909118959 /nfs/dbraw/zinc/11/89/59/909118959.db2.gz PLUAFIHTICEAMN-VIFPVBQESA-N 0 1 261.753 0.489 20 30 CCEDMN CC#CC[NH2+]C[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001317318701 909140650 /nfs/dbraw/zinc/14/06/50/909140650.db2.gz SQJYVLZZGFVXEO-LBPRGKRZSA-N 0 1 273.336 0.862 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@H](CNCc2cnon2)C1 ZINC001317316006 909141003 /nfs/dbraw/zinc/14/10/03/909141003.db2.gz CRMHXKHNQZXDOF-RISCZKNCSA-N 0 1 294.355 0.335 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@]1(C)CCOC1 ZINC001317465532 909245365 /nfs/dbraw/zinc/24/53/65/909245365.db2.gz BTNGDXLPTAFEOP-AWEZNQCLSA-N 0 1 268.357 0.111 20 30 CCEDMN C=CCCN(CC)CCNC(=O)[C@H]1CCCS1(=O)=O ZINC001317489671 909263432 /nfs/dbraw/zinc/26/34/32/909263432.db2.gz BROZTFARKWQDKN-GFCCVEGCSA-N 0 1 288.413 0.578 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)Cc1cscn1 ZINC001317508862 909281331 /nfs/dbraw/zinc/28/13/31/909281331.db2.gz GCSNFYQRTHKDIT-LBPRGKRZSA-N 0 1 263.366 0.899 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@@H]1CO[C@H](C)C1)C1CC1 ZINC001317553276 909325391 /nfs/dbraw/zinc/32/53/91/909325391.db2.gz IJXJEBWORDTJSN-KGLIPLIRSA-N 0 1 294.395 0.642 20 30 CCEDMN Cc1ncoc1CNCCN(C)C(=O)C#CC1CC1 ZINC001317585047 909383716 /nfs/dbraw/zinc/38/37/16/909383716.db2.gz NFQSPDXTTZEYLK-UHFFFAOYSA-N 0 1 261.325 0.944 20 30 CCEDMN N#CCC[C@@H](C#N)CNCCN1C[C@H]2CC[C@@H](C1)O2 ZINC001338504975 921492223 /nfs/dbraw/zinc/49/22/23/921492223.db2.gz NBPSPNUXPIXSNA-MELADBBJSA-N 0 1 262.357 0.883 20 30 CCEDMN CCCN(C(=O)CCc1nc[nH]n1)[C@@H]1CCN(CC#N)C1 ZINC001317753054 909480474 /nfs/dbraw/zinc/48/04/74/909480474.db2.gz BPXJCJMCQZDDRV-GFCCVEGCSA-N 0 1 290.371 0.574 20 30 CCEDMN C#CCCN1CC(NC(=O)[C@@H](C)Cc2cnc[nH]2)C1 ZINC001318069148 909607863 /nfs/dbraw/zinc/60/78/63/909607863.db2.gz DMHFMAGBQVCTDJ-NSHDSACASA-N 0 1 260.341 0.412 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCCNC(=O)C2CC2)CC1 ZINC001318333165 909711043 /nfs/dbraw/zinc/71/10/43/909711043.db2.gz NGVNEDLOCFNTLO-UHFFFAOYSA-N 0 1 292.383 0.397 20 30 CCEDMN Cc1cc(CNCCNC(=O)C2N=CC=CC2=O)[nH]n1 ZINC001318566788 909820288 /nfs/dbraw/zinc/82/02/88/909820288.db2.gz QCMHDTJBGGWZJW-SEYXRHQNSA-N 0 1 275.312 0.334 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)Cc2cc[nH]n2)C1 ZINC001319296924 910080694 /nfs/dbraw/zinc/08/06/94/910080694.db2.gz IBPBLMIYNFMMDD-AWEZNQCLSA-N 0 1 292.383 0.735 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H]1CCNC(=O)C1 ZINC001390334920 910357615 /nfs/dbraw/zinc/35/76/15/910357615.db2.gz GAYPQUDHBZXTOE-UWVGGRQHSA-N 0 1 273.764 0.359 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C[C@@H]1CCCO1 ZINC001319977534 910386972 /nfs/dbraw/zinc/38/69/72/910386972.db2.gz IHYXKLMJURZJSU-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C(C)(C)CC(=O)OC ZINC001320038983 910426060 /nfs/dbraw/zinc/42/60/60/910426060.db2.gz YLNUCMBIHNDJMG-UHFFFAOYSA-N 0 1 285.344 0.272 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1n[nH]cc1F ZINC001320043390 910433176 /nfs/dbraw/zinc/43/31/76/910433176.db2.gz VIXKQVRGSKUCRM-UHFFFAOYSA-N 0 1 282.319 0.202 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@H](OCC=C)C1 ZINC001320160529 910488712 /nfs/dbraw/zinc/48/87/12/910488712.db2.gz POFWAHROFFULAY-NEPJUHHUSA-N 0 1 281.356 0.664 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)CS(=O)(=O)CCC ZINC001390534682 910488853 /nfs/dbraw/zinc/48/88/53/910488853.db2.gz RDPWFSSDKDSUOH-SNVBAGLBSA-N 0 1 296.820 0.658 20 30 CCEDMN C=CCCC(=O)NC[C@H](O)CNCc1c(C)noc1C ZINC001320199232 910511675 /nfs/dbraw/zinc/51/16/75/910511675.db2.gz ATULQDZFDIEGPT-GFCCVEGCSA-N 0 1 281.356 0.824 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@H]1CC1(F)F ZINC001320213189 910525132 /nfs/dbraw/zinc/52/51/32/910525132.db2.gz FPSCIPAYEQYVCA-HTQZYQBOSA-N 0 1 268.691 0.461 20 30 CCEDMN C#CCCCC(=O)NC[C@H](O)CNCc1ocnc1C ZINC001320216442 910528537 /nfs/dbraw/zinc/52/85/37/910528537.db2.gz YKPIFBLNLXZWJI-GFCCVEGCSA-N 0 1 279.340 0.353 20 30 CCEDMN Cc1nocc1CNC/C=C/CNC(=O)[C@@H](C)C#N ZINC001320997420 910997806 /nfs/dbraw/zinc/99/78/06/910997806.db2.gz IXEGTUVRXLNBGO-FSIBCCDJSA-N 0 1 262.313 0.905 20 30 CCEDMN Cn1cc(CNC/C=C/CNC(=O)c2c[nH]c(C#N)c2)cn1 ZINC001321031483 911029590 /nfs/dbraw/zinc/02/95/90/911029590.db2.gz ZMIUDRMVDWYTMK-NSCUHMNNSA-N 0 1 298.350 0.696 20 30 CCEDMN CC1(C)CC(C(=O)NC/C=C\CNCC(=O)NCC#N)C1 ZINC001321044871 911041654 /nfs/dbraw/zinc/04/16/54/911041654.db2.gz NFJRKFVOEUGMEM-ARJAWSKDSA-N 0 1 292.383 0.324 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)CCNC(N)=O ZINC001321390084 911275825 /nfs/dbraw/zinc/27/58/25/911275825.db2.gz VLLQUFPHLYKLBV-IHWYPQMZSA-N 0 1 274.752 0.059 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCC(=O)N1C ZINC001322222096 911712392 /nfs/dbraw/zinc/71/23/92/911712392.db2.gz CHXGPFGDLNWNBH-NEPJUHHUSA-N 0 1 265.357 0.067 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1coc(CC)n1 ZINC001392361018 911755055 /nfs/dbraw/zinc/75/50/55/911755055.db2.gz FHTZKTDRMBQLBC-VIFPVBQESA-N 0 1 287.747 0.670 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc(C2CC2)on1 ZINC001392387224 911776078 /nfs/dbraw/zinc/77/60/78/911776078.db2.gz IGMAVMFTPBMIIA-JTQLQIEISA-N 0 1 299.758 0.985 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CCc1cncnc1 ZINC001392392655 911781075 /nfs/dbraw/zinc/78/10/75/911781075.db2.gz RNFRBQDPZWSTOK-LBPRGKRZSA-N 0 1 298.774 0.228 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1c[nH]c(C)cc1=O ZINC001392426213 911798893 /nfs/dbraw/zinc/79/88/93/911798893.db2.gz OFHPSSHKTJBAEC-SNVBAGLBSA-N 0 1 299.758 0.116 20 30 CCEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)NCC(=O)NCC#N ZINC001322789335 911954307 /nfs/dbraw/zinc/95/43/07/911954307.db2.gz KCXQPLJMDYYNTH-UHFFFAOYSA-N 0 1 289.217 0.107 20 30 CCEDMN C[C@H]1CCN(CC(=O)N[C@@H](CNCC#N)C2CC2)C1 ZINC001323064786 912097980 /nfs/dbraw/zinc/09/79/80/912097980.db2.gz RDRKPXZLNWLOKG-AAEUAGOBSA-N 0 1 264.373 0.336 20 30 CCEDMN C=CCCCN1CC[C@H]1CNC(=O)c1nccnc1N ZINC001323199166 912175276 /nfs/dbraw/zinc/17/52/76/912175276.db2.gz NWEBVPRNVNFNNH-NSHDSACASA-N 0 1 275.356 0.829 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H]1CCN1C[C@H]1CCCCO1 ZINC001323227980 912199816 /nfs/dbraw/zinc/19/98/16/912199816.db2.gz VAMRFPBVSCTTGZ-LSDHHAIUSA-N 0 1 294.395 0.786 20 30 CCEDMN C[C@H](CNC(=O)Cn1cncn1)NCc1ccccc1C#N ZINC001399116764 915051779 /nfs/dbraw/zinc/05/17/79/915051779.db2.gz RPVVQXWAGYPXKM-GFCCVEGCSA-N 0 1 298.350 0.444 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)CCNC(=O)NC)C[C@H]1C ZINC001328239314 915052141 /nfs/dbraw/zinc/05/21/41/915052141.db2.gz JOYAGTNSZRYAOA-OLZOCXBDSA-N 0 1 294.399 0.298 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cc(CC)n[nH]2)CC1 ZINC001328516942 915244392 /nfs/dbraw/zinc/24/43/92/915244392.db2.gz URTFBFNDARPSSF-UHFFFAOYSA-N 0 1 292.383 0.715 20 30 CCEDMN C=C[C@H](COC)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001328666800 915347101 /nfs/dbraw/zinc/34/71/01/915347101.db2.gz PKJBWCXNWFLCLU-RKDXNWHRSA-N 0 1 250.302 0.542 20 30 CCEDMN C#CCOCCC(=O)N1CCC[C@H]1CN(C)CC#C ZINC001328735565 915398295 /nfs/dbraw/zinc/39/82/95/915398295.db2.gz DGTRKJCMKBPNPD-AWEZNQCLSA-N 0 1 262.353 0.582 20 30 CCEDMN C=CCn1c(C[C@H](C)O)nnc1N1CCN(C)C(C)(C)C1 ZINC001339594986 921771183 /nfs/dbraw/zinc/77/11/83/921771183.db2.gz QEWRXWIVGUBYHU-LBPRGKRZSA-N 0 1 293.415 0.918 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CCN1CCCC1=O ZINC001328798765 915440394 /nfs/dbraw/zinc/44/03/94/915440394.db2.gz UEKQLEKOOQMQJG-AWEZNQCLSA-N 0 1 291.395 0.555 20 30 CCEDMN COCC#CC[NH2+][C@@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001329014826 915592745 /nfs/dbraw/zinc/59/27/45/915592745.db2.gz MZULIZZRJBYBCB-RYUDHWBXSA-N 0 1 291.351 0.533 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCC[C@@H](C#N)C1 ZINC001339638659 921783759 /nfs/dbraw/zinc/78/37/59/921783759.db2.gz AKDUZJANXDMYBY-JTQLQIEISA-N 0 1 284.327 0.989 20 30 CCEDMN C#CCOCCNC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001329036853 915613076 /nfs/dbraw/zinc/61/30/76/915613076.db2.gz BOYYQKYJYDZNQH-SNVBAGLBSA-N 0 1 293.327 0.105 20 30 CCEDMN COCC#CC(=O)N[C@@H](Cc1nc[nH]n1)c1ccccc1 ZINC001329262374 915778525 /nfs/dbraw/zinc/77/85/25/915778525.db2.gz ISJJWIBOOVRBPH-ZDUSSCGKSA-N 0 1 284.319 0.855 20 30 CCEDMN CCO[C@@H](CC)C(=O)NC[C@H](C)N(C)CC#CCOC ZINC001329393346 915872895 /nfs/dbraw/zinc/87/28/95/915872895.db2.gz NZFGDPXFJCZBSD-KBPBESRZSA-N 0 1 284.400 0.888 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnc(N(C)C)cn1 ZINC001329441012 915918581 /nfs/dbraw/zinc/91/85/81/915918581.db2.gz LPJZINZVHRMVIT-LLVKDONJSA-N 0 1 275.356 0.226 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H](NC(C)=O)C1CCCC1 ZINC001329489326 915962395 /nfs/dbraw/zinc/96/23/95/915962395.db2.gz SFKADGRCYSXVNV-WFASDCNBSA-N 0 1 293.411 0.751 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@@H](OC)C(C)C ZINC001329530320 915990719 /nfs/dbraw/zinc/99/07/19/915990719.db2.gz GRCTXJBZSIIBKM-KGLIPLIRSA-N 0 1 284.400 0.744 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CN1Cc2ccccc2C1=O ZINC001329593260 916043901 /nfs/dbraw/zinc/04/39/01/916043901.db2.gz ZDRKDOHIACIBPK-ZDUSSCGKSA-N 0 1 299.374 0.712 20 30 CCEDMN C=C1C[C@H]2CC[C@@H](C1)N2CCS(=O)(=O)CCC(N)=O ZINC001329926548 916283360 /nfs/dbraw/zinc/28/33/60/916283360.db2.gz VKMYIECJMOEIPM-TXEJJXNPSA-N 0 1 286.397 0.460 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CC1OCCCO1 ZINC001401621218 916401061 /nfs/dbraw/zinc/40/10/61/916401061.db2.gz UFNXYLXOBNEWNJ-SNVBAGLBSA-N 0 1 276.764 0.986 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@]2(C1)CN(C(C)C)CCO2 ZINC001330162361 916444621 /nfs/dbraw/zinc/44/46/21/916444621.db2.gz VIVSMQFRRLCVEF-MRXNPFEDSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCn2cccn2)C(C)(C)C1 ZINC001330171966 916452603 /nfs/dbraw/zinc/45/26/03/916452603.db2.gz YVERANCKPPFDFC-CYBMUJFWSA-N 0 1 274.368 0.733 20 30 CCEDMN Cc1nc(COC(=O)C2(C#N)CCOCC2)n[nH]1 ZINC001330201767 916472196 /nfs/dbraw/zinc/47/21/96/916472196.db2.gz INTIRCPJXMBKLE-UHFFFAOYSA-N 0 1 250.258 0.477 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2ccncn2)C(C)(C)C1 ZINC001330204831 916476910 /nfs/dbraw/zinc/47/69/10/916476910.db2.gz WPHSPCKTZSCYGK-AWEZNQCLSA-N 0 1 286.379 0.869 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1COCCO1)C1CC1 ZINC001401831566 916620301 /nfs/dbraw/zinc/62/03/01/916620301.db2.gz OVMIWPULIVUVOP-RYUDHWBXSA-N 0 1 288.775 0.639 20 30 CCEDMN CCC[C@@H](C)NC(=O)CNC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001401942738 916723102 /nfs/dbraw/zinc/72/31/02/916723102.db2.gz VJBUAUNKCUAKMB-VXGBXAGGSA-N 0 1 294.399 0.689 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC001401948139 916727094 /nfs/dbraw/zinc/72/70/94/916727094.db2.gz BJCUPQIWBUPGFP-NSHDSACASA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CCCC(=O)N1)C1CC1 ZINC001402309518 916947546 /nfs/dbraw/zinc/94/75/46/916947546.db2.gz HBMDOXYWXGZBRU-NEPJUHHUSA-N 0 1 299.802 0.892 20 30 CCEDMN CCN(C)C(=O)CN1CCC[C@@H](NC(=O)[C@@H](C)C#N)CC1 ZINC001402610329 917124808 /nfs/dbraw/zinc/12/48/08/917124808.db2.gz VCTOLNAHOLXSGJ-QWHCGFSZSA-N 0 1 294.399 0.595 20 30 CCEDMN CCNC(=O)CN1CCC[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001402677519 917168644 /nfs/dbraw/zinc/16/86/44/917168644.db2.gz YGONUMLEFXYUFC-NEPJUHHUSA-N 0 1 280.372 0.110 20 30 CCEDMN CCNC(=O)CN1CCC[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001402677549 917170777 /nfs/dbraw/zinc/17/07/77/917170777.db2.gz YGONUMLEFXYUFC-VXGBXAGGSA-N 0 1 280.372 0.110 20 30 CCEDMN CN(C(=O)CCc1c[nH]nn1)[C@H](CNCC#N)C1CC1 ZINC001331323765 917265861 /nfs/dbraw/zinc/26/58/61/917265861.db2.gz HLMIDVJMMYWPEY-GFCCVEGCSA-N 0 1 276.344 0.087 20 30 CCEDMN CN(C(=O)CCc1cnn[nH]1)[C@H](CNCC#N)C1CC1 ZINC001331323765 917265871 /nfs/dbraw/zinc/26/58/71/917265871.db2.gz HLMIDVJMMYWPEY-GFCCVEGCSA-N 0 1 276.344 0.087 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)C(C)(C)NC(C)=O ZINC001403080519 917462449 /nfs/dbraw/zinc/46/24/49/917462449.db2.gz ZNZRDUKEAKMNOV-SECBINFHSA-N 0 1 275.780 0.748 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(C)c(F)c1 ZINC001331741672 917566114 /nfs/dbraw/zinc/56/61/14/917566114.db2.gz WWLRTVHBZIZECZ-CYBMUJFWSA-N 0 1 278.327 0.838 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(C)c(C)n1 ZINC001331760736 917581292 /nfs/dbraw/zinc/58/12/92/917581292.db2.gz WEQGUNBOVVFTGY-ZDUSSCGKSA-N 0 1 275.352 0.402 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cncc(CC)c1 ZINC001331760967 917582663 /nfs/dbraw/zinc/58/26/63/917582663.db2.gz XQIRTLCCWZQIQO-AWEZNQCLSA-N 0 1 275.352 0.348 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCCc1ccc(F)cc1 ZINC001331789132 917610352 /nfs/dbraw/zinc/61/03/52/917610352.db2.gz GWCFJBVVXHFEEY-OAHLLOKOSA-N 0 1 292.354 0.848 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cnn(CC)c1C1CC1 ZINC001331824761 917640866 /nfs/dbraw/zinc/64/08/66/917640866.db2.gz KMLAPMJQDPFYQN-LBPRGKRZSA-N 0 1 290.367 0.094 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H](CO)NC[C@H](F)CC)cn1 ZINC001331825720 917643438 /nfs/dbraw/zinc/64/34/38/917643438.db2.gz QIGGKZSMOIVWRO-TZMCWYRMSA-N 0 1 293.342 0.491 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(Cl)no1 ZINC001331827475 917644605 /nfs/dbraw/zinc/64/46/05/917644605.db2.gz YYWPQWMYSDFVQK-QMMMGPOBSA-N 0 1 271.704 0.032 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cncc2ccccc21 ZINC001331839647 917653226 /nfs/dbraw/zinc/65/32/26/917653226.db2.gz FDCBYXIUVOCIIM-ZDUSSCGKSA-N 0 1 283.331 0.548 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1ccc(=O)[nH]n1 ZINC001403520550 917767245 /nfs/dbraw/zinc/76/72/45/917767245.db2.gz FNWZLXMJCQNWLC-VIFPVBQESA-N 0 1 284.747 0.985 20 30 CCEDMN C=CCOCC(=O)N[C@@]12CCC[C@@H]1N(CC(=O)NC)CC2 ZINC001332083413 917848342 /nfs/dbraw/zinc/84/83/42/917848342.db2.gz RLMDGGYMQHNJMV-SWLSCSKDSA-N 0 1 295.383 0.048 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001332083834 917850552 /nfs/dbraw/zinc/85/05/52/917850552.db2.gz XKMDPKGFOACWKB-UHFFFAOYSA-N 0 1 265.317 0.222 20 30 CCEDMN Cc1[nH]n(CCS(C)(=O)=O)c(=O)c1CCC#N ZINC001332222618 917968802 /nfs/dbraw/zinc/96/88/02/917968802.db2.gz MDMBAPFXKMFNEV-VIFPVBQESA-N 0 1 257.315 0.169 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](O)CN(C)C/C=C\Cl ZINC001403899850 918101437 /nfs/dbraw/zinc/10/14/37/918101437.db2.gz DBZDBUNUJNSPPF-KWKBKKAHSA-N 0 1 273.764 0.650 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC(c2nc[nH]n2)CC1 ZINC001332369960 918096879 /nfs/dbraw/zinc/09/68/79/918096879.db2.gz FUENEWPUUUAXHA-CYBMUJFWSA-N 0 1 264.329 0.838 20 30 CCEDMN C=CC[C@H](NC(=O)C[N@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC001332613103 918309407 /nfs/dbraw/zinc/30/94/07/918309407.db2.gz BXARYUXJZCCPKV-UWVGGRQHSA-N 0 1 272.370 0.569 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cnccc1C ZINC001332617439 918313767 /nfs/dbraw/zinc/31/37/67/918313767.db2.gz FEGQCPHPUNZLLM-ZDUSSCGKSA-N 0 1 275.352 0.388 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H]1CCCC12CC2 ZINC001332647746 918349513 /nfs/dbraw/zinc/34/95/13/918349513.db2.gz NXNYCVMOJGFTEK-KBPBESRZSA-N 0 1 278.396 0.951 20 30 CCEDMN C#Cc1cncc(C(=O)NCCN(CC=C)CCOC)c1 ZINC001332923537 918532558 /nfs/dbraw/zinc/53/25/58/918532558.db2.gz UQGKAAOKKBWTKX-UHFFFAOYSA-N 0 1 287.363 0.927 20 30 CCEDMN C#Cc1ccc(NC(=O)CC[N@H+](CC)CC(N)=O)cc1 ZINC001333014869 918599916 /nfs/dbraw/zinc/59/99/16/918599916.db2.gz UNUYULLXEVQDSI-UHFFFAOYSA-N 0 1 273.336 0.804 20 30 CCEDMN C#Cc1ccc(NC(=O)CCN(CC)CC(N)=O)cc1 ZINC001333014869 918599923 /nfs/dbraw/zinc/59/99/23/918599923.db2.gz UNUYULLXEVQDSI-UHFFFAOYSA-N 0 1 273.336 0.804 20 30 CCEDMN N#CC[C@@H](O)CN1CC[C@@H](C(F)(F)F)[C@@H](CO)C1 ZINC001333032256 918610645 /nfs/dbraw/zinc/61/06/45/918610645.db2.gz QWJMTONLZWTMKN-OPRDCNLKSA-N 0 1 266.263 0.754 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC001333156190 918695044 /nfs/dbraw/zinc/69/50/44/918695044.db2.gz IIPULPNYUKVRLV-ZDUSSCGKSA-N 0 1 288.351 0.603 20 30 CCEDMN COc1ccc(CNC[C@@H](C)N(C)C(=O)[C@H](C)C#N)nn1 ZINC001405803152 918916145 /nfs/dbraw/zinc/91/61/45/918916145.db2.gz ZTKZYPSWPUBLQH-GHMZBOCLSA-N 0 1 291.355 0.581 20 30 CCEDMN C=C(CO)C(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC001333642350 919064290 /nfs/dbraw/zinc/06/42/90/919064290.db2.gz BIMFYOGAZIRFAG-MNOVXSKESA-N 0 1 256.371 0.231 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1nonc1C ZINC001406547857 919340645 /nfs/dbraw/zinc/34/06/45/919340645.db2.gz ZONSEOHIZACEOA-QMMMGPOBSA-N 0 1 272.736 0.767 20 30 CCEDMN COc1ncc(NC(=O)NCCN2CC=CC2)cc1C#N ZINC001334512063 919633044 /nfs/dbraw/zinc/63/30/44/919633044.db2.gz ULGKBIMHXFZJEN-UHFFFAOYSA-N 0 1 287.323 0.955 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@H]1CCCc2cn[nH]c21)C(C)C ZINC001334645675 919689824 /nfs/dbraw/zinc/68/98/24/919689824.db2.gz XXJVXDOPZDAAQK-LBPRGKRZSA-N 0 1 288.351 0.774 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H](C)[C@H](C)COC ZINC001492966358 919853506 /nfs/dbraw/zinc/85/35/06/919853506.db2.gz SZSATALTLIFWDG-CHWSQXEVSA-N 0 1 254.374 0.976 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CCN(O)C2=O)ccc2cncn21 ZINC001335286764 920090543 /nfs/dbraw/zinc/09/05/43/920090543.db2.gz AZDOSEYTIDTBTF-LLVKDONJSA-N 0 1 274.280 0.363 20 30 CCEDMN N#Cc1ncn(CCNCc2cnc(C3CC3)o2)n1 ZINC001335315144 920117161 /nfs/dbraw/zinc/11/71/61/920117161.db2.gz VZUQNGAATRMJPI-UHFFFAOYSA-N 0 1 258.285 0.805 20 30 CCEDMN C[C@H](CCS(C)(=O)=O)NC[C@H](C#N)CCC#N ZINC001335459087 920208506 /nfs/dbraw/zinc/20/85/06/920208506.db2.gz TWEKITXYPYTXDV-MNOVXSKESA-N 0 1 257.359 0.843 20 30 CCEDMN C#CCN1CCC(Nc2nccc(C(=O)OC)n2)CC1 ZINC001336727791 920956081 /nfs/dbraw/zinc/95/60/81/920956081.db2.gz XYANLNAGECWRFA-UHFFFAOYSA-N 0 1 274.324 0.773 20 30 CCEDMN C[C@@H]1CN(CCNC[C@H](C#N)CCC#N)CCO1 ZINC001336763920 920987479 /nfs/dbraw/zinc/98/74/79/920987479.db2.gz VIEVZVSPXWFJPN-OLZOCXBDSA-N 0 1 250.346 0.740 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](NC(=O)[C@H](C)S(C)(=O)=O)C1 ZINC001418095895 921829941 /nfs/dbraw/zinc/82/99/41/921829941.db2.gz DSNLOBUXVHTOQM-LPEHRKFASA-N 0 1 294.804 0.409 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCO[C@H](CC)C1 ZINC001340493628 922099303 /nfs/dbraw/zinc/09/93/03/922099303.db2.gz WWAGVDFUGDIIRD-SNVBAGLBSA-N 0 1 289.343 0.864 20 30 CCEDMN C[C@@]1(C#N)CCN(C(=O)[C@H](N)Cc2ccccn2)C1 ZINC001340662767 922157885 /nfs/dbraw/zinc/15/78/85/922157885.db2.gz XZTODVYADGZFPR-OCCSQVGLSA-N 0 1 258.325 0.714 20 30 CCEDMN C#CCNCC(=O)NCC(=O)OCc1ccccc1Cl ZINC001340695995 922171294 /nfs/dbraw/zinc/17/12/94/922171294.db2.gz OVWZPVIPNCSZIP-UHFFFAOYSA-N 0 1 294.738 0.722 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001340906371 922266616 /nfs/dbraw/zinc/26/66/16/922266616.db2.gz BKIBTPPEKVGERJ-RVMXOQNASA-N 0 1 256.309 0.413 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](OCc2ccncc2)C1 ZINC001341081919 922338329 /nfs/dbraw/zinc/33/83/29/922338329.db2.gz WEKOJLNBJRWQLL-AWEZNQCLSA-N 0 1 273.336 0.422 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC(c3nn[nH]n3)CC2)cn1 ZINC001418999260 922557660 /nfs/dbraw/zinc/55/76/60/922557660.db2.gz XMKKTSRJHCBVPA-UHFFFAOYSA-N 0 1 283.295 0.486 20 30 CCEDMN C#CCNCC(=O)NCc1nc(C(=O)OCC)cs1 ZINC001341599702 922573771 /nfs/dbraw/zinc/57/37/71/922573771.db2.gz MVXKRGNXCSTADD-UHFFFAOYSA-N 0 1 281.337 0.159 20 30 CCEDMN C#CCNCC(=O)NCCN1CCCc2ccccc21 ZINC001341659848 922611587 /nfs/dbraw/zinc/61/15/87/922611587.db2.gz TVCSBWGXZXBSLM-UHFFFAOYSA-N 0 1 271.364 0.778 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)[C@H](O)Cc1ccccc1 ZINC001341791204 922674518 /nfs/dbraw/zinc/67/45/18/922674518.db2.gz GCDKPHTZIMQLDO-TZMCWYRMSA-N 0 1 260.337 0.318 20 30 CCEDMN CNC(=O)[C@@H](C#N)C(=O)c1cc(=O)[nH]c(C2CC2)c1 ZINC001341913448 922720673 /nfs/dbraw/zinc/72/06/73/922720673.db2.gz BNKOEFRGIJBMMJ-VIFPVBQESA-N 0 1 259.265 0.733 20 30 CCEDMN CC(=O)N1CC[C@H](CN(C)CC(=O)NC2(C#N)CCC2)C1 ZINC001342006133 922758960 /nfs/dbraw/zinc/75/89/60/922758960.db2.gz YWBUXWRACFBGCW-CYBMUJFWSA-N 0 1 292.383 0.349 20 30 CCEDMN C=CCn1c(C(N)=O)nnc1N1CCN(CC2CC2)CC1 ZINC001342070762 922789998 /nfs/dbraw/zinc/78/99/98/922789998.db2.gz GQBBHIPMNDVKRZ-UHFFFAOYSA-N 0 1 290.371 0.095 20 30 CCEDMN N#CC1(C(=O)NCc2c[nH]nn2)CCSCC1 ZINC001342311570 922907696 /nfs/dbraw/zinc/90/76/96/922907696.db2.gz YSSWAHPEBBZTHP-UHFFFAOYSA-N 0 1 251.315 0.458 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)C1(C#N)CCSCC1 ZINC001342423263 922954354 /nfs/dbraw/zinc/95/43/54/922954354.db2.gz JDSHOFAMQUYWFW-UHFFFAOYSA-N 0 1 265.342 0.800 20 30 CCEDMN N#CC(C(=O)C[C@H]1CCNC1=O)c1cccc(F)n1 ZINC001342653250 923040371 /nfs/dbraw/zinc/04/03/71/923040371.db2.gz RUGMXGRHOYEAAD-RKDXNWHRSA-N 0 1 261.256 0.923 20 30 CCEDMN N#C[C@@H](C(=O)C[C@@H]1CCCC(=O)N1)c1ccncn1 ZINC001342693710 923056726 /nfs/dbraw/zinc/05/67/26/923056726.db2.gz NVMHHUNFOPYKBA-VHSXEESVSA-N 0 1 258.281 0.712 20 30 CCEDMN C[C@H](C(=O)C(C#N)C(=O)NC1CC1)[C@H]1CCCO1 ZINC001342723354 923069210 /nfs/dbraw/zinc/06/92/10/923069210.db2.gz XJKLDEZFULAWFK-INTQDDNPSA-N 0 1 250.298 0.789 20 30 CCEDMN C[C@@H]1CC(=O)CC[C@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC001343364337 923286053 /nfs/dbraw/zinc/28/60/53/923286053.db2.gz AUBXOYVBMWLOQK-FXAINCCUSA-N 0 1 262.309 0.979 20 30 CCEDMN N#C[C@@H]1C[NH2+]C[C@@H]1NC(=O)c1ccc(Cl)cc1[O-] ZINC001343469313 923330438 /nfs/dbraw/zinc/33/04/38/923330438.db2.gz WWPNQRTYOXZVOZ-XCBNKYQSSA-N 0 1 265.700 0.887 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@H](C2CCOCC2)C1 ZINC001343576895 923378256 /nfs/dbraw/zinc/37/82/56/923378256.db2.gz MSUJVMMTRSONCI-CYBMUJFWSA-N 0 1 250.342 0.484 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CCc1cn[nH]c1 ZINC001420193565 923397321 /nfs/dbraw/zinc/39/73/21/923397321.db2.gz UFVVVXCYVCEIKQ-LLVKDONJSA-N 0 1 286.763 0.162 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)CC2(C#N)CC2)C1 ZINC001343917278 923501962 /nfs/dbraw/zinc/50/19/62/923501962.db2.gz STPWEUOIVVKLQZ-NSHDSACASA-N 0 1 250.346 0.042 20 30 CCEDMN Cc1cnc(CNC[C@H](NC(=O)[C@H](C)C#N)C2CC2)cn1 ZINC001420715761 923893319 /nfs/dbraw/zinc/89/33/19/923893319.db2.gz GRLHQGBLLHJHQP-YGRLFVJLSA-N 0 1 287.367 0.929 20 30 CCEDMN C#Cc1cccc(NC(=O)[C@H]2CN3CCN2C[C@H]3C)c1 ZINC001345560306 923920299 /nfs/dbraw/zinc/92/02/99/923920299.db2.gz HALQVGZMMTXIQR-IUODEOHRSA-N 0 1 269.348 0.995 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H](C)NC(N)=O)CC1 ZINC001420942513 924045634 /nfs/dbraw/zinc/04/56/34/924045634.db2.gz IIIPRGJHPPMACN-SECBINFHSA-N 0 1 288.779 0.424 20 30 CCEDMN C=CCN(C(=O)[C@H]1CN2CCN1C[C@H]2C)C(C)C ZINC001346409572 924192130 /nfs/dbraw/zinc/19/21/30/924192130.db2.gz FOAZQJISHTXUNW-CHWSQXEVSA-N 0 1 251.374 0.798 20 30 CCEDMN C=C(C)CN(C)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001346604777 924275187 /nfs/dbraw/zinc/27/51/87/924275187.db2.gz BSYNMTDPAMGWIJ-OLZOCXBDSA-N 0 1 268.405 0.838 20 30 CCEDMN CCc1nn(Cc2nnc[nH]2)c(=O)c(C#N)c1CC ZINC001346815931 924315683 /nfs/dbraw/zinc/31/56/83/924315683.db2.gz WMIVZQJUNABZER-UHFFFAOYSA-N 0 1 258.285 0.406 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1Cc2cccnc2C1 ZINC001347143624 924382340 /nfs/dbraw/zinc/38/23/40/924382340.db2.gz SGMWQKVEKVRUBW-ZDUSSCGKSA-N 0 1 257.337 0.478 20 30 CCEDMN O=C(C#Cc1cccs1)N1CC[C@H](c2nn[nH]n2)C1 ZINC001347197353 924395859 /nfs/dbraw/zinc/39/58/59/924395859.db2.gz KRDZTILMDZLZPZ-VIFPVBQESA-N 0 1 273.321 0.629 20 30 CCEDMN N#CC1(NC(=O)[C@@H]2CCCc3n[nH]nc32)CCOCC1 ZINC001347488827 924460775 /nfs/dbraw/zinc/46/07/75/924460775.db2.gz BDVPIIHPZPBZSM-SECBINFHSA-N 0 1 275.312 0.414 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)NC1(C#N)CCCCC1 ZINC001347538000 924474942 /nfs/dbraw/zinc/47/49/42/924474942.db2.gz MWMAZOKKFYMSJM-OLZOCXBDSA-N 0 1 276.384 0.717 20 30 CCEDMN C=CCC1(O)CN(C(=O)Cc2cc(=O)n(CC(C)C)[nH]2)C1 ZINC001348337520 924656459 /nfs/dbraw/zinc/65/64/59/924656459.db2.gz IMDOWOFIGLDVFL-UHFFFAOYSA-N 0 1 293.367 0.524 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNC(=O)CCc1nc[nH]n1 ZINC001348735373 924790345 /nfs/dbraw/zinc/79/03/45/924790345.db2.gz VNQGOQQTESDBPQ-WDEREUQCSA-N 0 1 293.371 0.428 20 30 CCEDMN C[C@H](C#N)OCCn1cc([C@H](N)[C@H]2CCCO2)nn1 ZINC001349749907 925041379 /nfs/dbraw/zinc/04/13/79/925041379.db2.gz DUQILIMBJISDOD-JLLWLGSASA-N 0 1 265.317 0.386 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)Cc2ncccn2)CC1 ZINC001350245968 925155965 /nfs/dbraw/zinc/15/59/65/925155965.db2.gz PLMVSWREERKOMO-UHFFFAOYSA-N 0 1 272.352 0.780 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCNC(=O)c2ncn[nH]2)C1 ZINC001350361082 925181321 /nfs/dbraw/zinc/18/13/21/925181321.db2.gz RLOHPZRKRQKSGK-UHFFFAOYSA-N 0 1 277.328 0.397 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCNC(=O)c2nc[nH]n2)C1 ZINC001350361082 925181324 /nfs/dbraw/zinc/18/13/24/925181324.db2.gz RLOHPZRKRQKSGK-UHFFFAOYSA-N 0 1 277.328 0.397 20 30 CCEDMN C=CCC1(O)CN(C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001350583421 925230871 /nfs/dbraw/zinc/23/08/71/925230871.db2.gz MFGCAGGOUGAGKV-JTQLQIEISA-N 0 1 261.325 0.664 20 30 CCEDMN C#CC[N@H+]1C[C@@H](C(=O)[O-])C2(CC(C(=O)OC)C2)C1 ZINC001350637568 925245645 /nfs/dbraw/zinc/24/56/45/925245645.db2.gz YEQKFNAKXVUOGI-QUNCOHTASA-N 0 1 251.282 0.205 20 30 CCEDMN C#CCCCC(=O)NC1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001350828389 925290579 /nfs/dbraw/zinc/29/05/79/925290579.db2.gz FWLZQOYSUQKKOT-UHFFFAOYSA-N 0 1 288.351 0.934 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)NCC#Cc1ccccc1 ZINC001350850457 925296654 /nfs/dbraw/zinc/29/66/54/925296654.db2.gz NCRLBWMYFLCCTO-ZBFHGGJFSA-N 0 1 283.375 0.543 20 30 CCEDMN C#CCC1(O)CCN(C[C@@H]2CN(C)C(=O)O2)CC1 ZINC001352951331 925682250 /nfs/dbraw/zinc/68/22/50/925682250.db2.gz VZMQGOGDZOXODG-NSHDSACASA-N 0 1 252.314 0.287 20 30 CCEDMN CCN1CCN(C2CN(C(=O)CCCCC#N)C2)CC1 ZINC001353088775 925727629 /nfs/dbraw/zinc/72/76/29/925727629.db2.gz YQKQJDHTYSSLFK-UHFFFAOYSA-N 0 1 278.400 0.919 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)CNC(=O)[C@H]1CCCN1C ZINC001354620304 926141048 /nfs/dbraw/zinc/14/10/48/926141048.db2.gz RNMHEVYGCKEHNI-VXGBXAGGSA-N 0 1 281.400 0.914 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)NC(=O)c1[nH]ncc1F ZINC001354649749 926146488 /nfs/dbraw/zinc/14/64/88/926146488.db2.gz HRMWJMSBULHBQE-SNVBAGLBSA-N 0 1 294.330 0.977 20 30 CCEDMN CN(CCNC(=O)c1[nH]ncc1F)C(=O)C#CC1CC1 ZINC001355886097 926322640 /nfs/dbraw/zinc/32/26/40/926322640.db2.gz PZUVQLJRFDNIRG-UHFFFAOYSA-N 0 1 278.287 0.150 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)NC(=O)[C@H]1CCCCN1C ZINC001358524437 926728300 /nfs/dbraw/zinc/72/83/00/926728300.db2.gz COZACIKZHCTTMH-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN O=C(C#CC1CC1)NC[C@H]1CCN1C(=O)CN1CCCC1 ZINC001358604724 926761941 /nfs/dbraw/zinc/76/19/41/926761941.db2.gz BVINLZFCEZCODO-CQSZACIVSA-N 0 1 289.379 0.213 20 30 CCEDMN C=CCCC(=O)NC1(CNC(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001358661270 926779997 /nfs/dbraw/zinc/77/99/97/926779997.db2.gz KKSDNJTUNZKLTR-NXEZZACHSA-N 0 1 281.312 0.383 20 30 CCEDMN Cn1ncnc1C1(O)CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC001414041030 926895142 /nfs/dbraw/zinc/89/51/42/926895142.db2.gz VAJQPMQFZRMSTJ-RYUDHWBXSA-N 0 1 275.356 0.791 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCN(C)[C@@H](C)C(=O)N(C)C ZINC001421841292 926939932 /nfs/dbraw/zinc/93/99/32/926939932.db2.gz OTVXZMURVIQADL-RYUDHWBXSA-N 0 1 282.388 0.403 20 30 CCEDMN COc1cncc(CNC[C@@H](C)N(C)C(=O)[C@@H](C)C#N)n1 ZINC001421873949 926960389 /nfs/dbraw/zinc/96/03/89/926960389.db2.gz QJTGUVWMJOKMOE-WDEREUQCSA-N 0 1 291.355 0.581 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCN(C)CCNC(=O)C1CC1 ZINC001422153226 927103725 /nfs/dbraw/zinc/10/37/25/927103725.db2.gz RZNTUPVUBSZUQS-LLVKDONJSA-N 0 1 280.372 0.062 20 30 CCEDMN N#CCOc1cccc(CNC(=O)CCc2nn[nH]n2)c1 ZINC001361965512 927483551 /nfs/dbraw/zinc/48/35/51/927483551.db2.gz ZQZBUXZUPFBREC-UHFFFAOYSA-N 0 1 286.295 0.351 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC001362062405 927572816 /nfs/dbraw/zinc/57/28/16/927572816.db2.gz BSSMIBINPULOLB-NSHDSACASA-N 0 1 289.383 0.561 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)NC[C@H]1CC[N@H+](C)C1 ZINC001362131475 927646889 /nfs/dbraw/zinc/64/68/89/927646889.db2.gz ATSIJQFGKJUFFN-SNVBAGLBSA-N 0 1 274.324 0.649 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](CO)NCc1ccccc1F ZINC001423194626 927728914 /nfs/dbraw/zinc/72/89/14/927728914.db2.gz VSCKYWHYFGTBSQ-PWSUYJOCSA-N 0 1 279.315 0.552 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC001362315307 927867410 /nfs/dbraw/zinc/86/74/10/927867410.db2.gz WPRLMOGAVXYFAX-VIFPVBQESA-N 0 1 285.311 0.430 20 30 CCEDMN Cc1c(C(=O)NC2=NO[C@@H](C)C2)nnn1-c1cnn(C)c1 ZINC001362429517 928009183 /nfs/dbraw/zinc/00/91/83/928009183.db2.gz QWRVGASGUCWBBE-ZETCQYMHSA-N 0 1 289.299 0.161 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)CCCN(C)C(C)=O ZINC001424259826 928137072 /nfs/dbraw/zinc/13/70/72/928137072.db2.gz BQLUFAUWVDYPBL-UHFFFAOYSA-N 0 1 282.388 0.549 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NCc2cnc[nH]2)c(F)c1 ZINC001424425242 928181144 /nfs/dbraw/zinc/18/11/44/928181144.db2.gz OKWCURQFMKAUTM-UHFFFAOYSA-N 0 1 280.284 0.899 20 30 CCEDMN Cc1nnc(CNCC2CC(NC(=O)[C@H](C)C#N)C2)s1 ZINC001424714729 928261390 /nfs/dbraw/zinc/26/13/90/928261390.db2.gz FBUDSPBOBIBRAZ-MFAVDMRSSA-N 0 1 293.396 0.991 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCNC(=O)NC ZINC001424757098 928278251 /nfs/dbraw/zinc/27/82/51/928278251.db2.gz IQXVISFOZIUTHN-VHSXEESVSA-N 0 1 290.795 0.541 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NCCN1CCC(O)CC1 ZINC001362852616 928573680 /nfs/dbraw/zinc/57/36/80/928573680.db2.gz OJGFXVBWCNERDJ-UHFFFAOYSA-N 0 1 288.351 0.448 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CN1CCOCC1 ZINC001425566858 928596467 /nfs/dbraw/zinc/59/64/67/928596467.db2.gz NWNRHFMJQDUVNM-GFCCVEGCSA-N 0 1 289.807 0.508 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCc1cncc(C#N)c1 ZINC001362944462 928681251 /nfs/dbraw/zinc/68/12/51/928681251.db2.gz HXYPOKBPVKMEEP-OCCSQVGLSA-N 0 1 274.324 0.025 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@@H]1CCOC1 ZINC001425981445 928723888 /nfs/dbraw/zinc/72/38/88/928723888.db2.gz LGQZBWLZIKFGNY-MNOVXSKESA-N 0 1 276.764 0.184 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@H+]1C[C@@H]3COC[C@@H]3C1)cc2=O ZINC001363298659 929093346 /nfs/dbraw/zinc/09/33/46/929093346.db2.gz QIHIGZOKYBUAQK-PHIMTYICSA-N 0 1 285.307 0.385 20 30 CCEDMN N#CCc1cccc(C(=O)NCc2nc(O)cc(=O)[nH]2)c1 ZINC001363321048 929108837 /nfs/dbraw/zinc/10/88/37/929108837.db2.gz JIAFISZOLPUOLX-UHFFFAOYSA-N 0 1 284.275 0.884 20 30 CCEDMN CN(CCNC(=O)c1cc(C#N)c[nH]1)C(=O)c1ccn[nH]1 ZINC001429215088 929413410 /nfs/dbraw/zinc/41/34/10/929413410.db2.gz CTOMDLSPBYKPCI-UHFFFAOYSA-N 0 1 286.295 0.111 20 30 CCEDMN COCc1nc(CNc2cnc(C#N)c(C#N)n2)n[nH]1 ZINC001363744656 929540668 /nfs/dbraw/zinc/54/06/68/929540668.db2.gz MTYCRIYTWUZYSS-UHFFFAOYSA-N 0 1 270.256 0.097 20 30 CCEDMN COCc1nnc(CNc2cnc(C#N)c(C#N)n2)[nH]1 ZINC001363744656 929540682 /nfs/dbraw/zinc/54/06/82/929540682.db2.gz MTYCRIYTWUZYSS-UHFFFAOYSA-N 0 1 270.256 0.097 20 30 CCEDMN CO[C@@H]1CC[N@@H+](Cc2cc(=O)n3[n-]cc(C#N)c3n2)C1 ZINC001363787904 929574564 /nfs/dbraw/zinc/57/45/64/929574564.db2.gz OLQZVSTWKBSDNA-LLVKDONJSA-N 0 1 273.296 0.527 20 30 CCEDMN Cn1c(CNC(=O)N2CCC[C@@](C)(C#N)C2)n[nH]c1=O ZINC001372216401 929767757 /nfs/dbraw/zinc/76/77/57/929767757.db2.gz ATNCCEWFPVNQEC-LBPRGKRZSA-N 0 1 278.316 0.356 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCN(CC(=O)N(C)C2CC2)C1 ZINC001372594817 929888899 /nfs/dbraw/zinc/88/88/99/929888899.db2.gz MWWMGMXDMOCBHG-RYUDHWBXSA-N 0 1 292.383 0.205 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)C[C@@H](C)NC(=O)c1cc(C#N)c[nH]1 ZINC001445689666 930212775 /nfs/dbraw/zinc/21/27/75/930212775.db2.gz OVHOAEVGZYYFBW-SKDRFNHKSA-N 0 1 291.355 0.200 20 30 CCEDMN CC(C)c1nc(CN2CC[C@@H](NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001373624502 930275946 /nfs/dbraw/zinc/27/59/46/930275946.db2.gz SQLBRIQHJGRBIV-WDEREUQCSA-N 0 1 290.371 0.778 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1nnn(C)n1 ZINC001374270392 930474655 /nfs/dbraw/zinc/47/46/55/930474655.db2.gz GKVWMEPVWJURDH-QMMMGPOBSA-N 0 1 272.740 0.013 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](O)CNCc1ccccc1C#N ZINC001374587081 930575374 /nfs/dbraw/zinc/57/53/74/930575374.db2.gz BGDUUAZGUWGLLC-SMDDNHRTSA-N 0 1 286.335 0.285 20 30 CCEDMN CN(CC(=O)NCC(C)(C)C#N)[C@@H]1CCC[C@H]1O ZINC001447566351 930661761 /nfs/dbraw/zinc/66/17/61/930661761.db2.gz BHBYOGFFTROWFA-GHMZBOCLSA-N 0 1 253.346 0.498 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(=O)[nH]n1 ZINC001374865035 930696059 /nfs/dbraw/zinc/69/60/59/930696059.db2.gz ZXNMAUTXLPONQQ-QMMMGPOBSA-N 0 1 270.720 0.643 20 30 CCEDMN N#Cc1cccc(CN[C@H](CO)CNC(=O)c2ccc[nH]2)c1 ZINC001448801097 930988269 /nfs/dbraw/zinc/98/82/69/930988269.db2.gz BGTJSHYXCNDQMP-AWEZNQCLSA-N 0 1 298.346 0.767 20 30 CCEDMN CC(C)c1nc(CNC(=O)CNc2ccc(C#N)cn2)n[nH]1 ZINC001450152968 931239411 /nfs/dbraw/zinc/23/94/11/931239411.db2.gz TYOKFIHZMGFQMW-UHFFFAOYSA-N 0 1 299.338 0.923 20 30 CCEDMN C#C[C@H](CO)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC001450336165 931274311 /nfs/dbraw/zinc/27/43/11/931274311.db2.gz WOTSSZKNRLMNPM-SECBINFHSA-N 0 1 256.265 0.196 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](NC(C)=O)CC1 ZINC001376870467 931308991 /nfs/dbraw/zinc/30/89/91/931308991.db2.gz RNWXXMZNPLUSBU-AWEZNQCLSA-N 0 1 294.399 0.739 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1C[C@H](C(=O)[O-])C[C@H](C)C1 ZINC001602723090 971393084 /nfs/dbraw/zinc/39/30/84/971393084.db2.gz LBMPXTBEFDPQDP-GARJFASQSA-N 0 1 297.355 0.429 20 30 CCEDMN N#CC1(C[C@@H](O)C[N@H+]2CCC[C@H]2C(=O)[O-])CCC1 ZINC001594603625 956157800 /nfs/dbraw/zinc/15/78/00/956157800.db2.gz HMCWDDLYGOKFMQ-MNOVXSKESA-N 0 1 252.314 0.980 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@H](C)C[N@H+]1CCC[C@H](C)C1 ZINC001588407646 958100864 /nfs/dbraw/zinc/10/08/64/958100864.db2.gz SOIGULKCLJTUJI-QWHCGFSZSA-N 0 1 295.383 0.836 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H](C(=O)[O-])[C@H]1C ZINC000092924280 958192578 /nfs/dbraw/zinc/19/25/78/958192578.db2.gz DRAFIJRGAKYRDE-NEPJUHHUSA-N 0 1 266.341 0.982 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H]1CCC2(CCOCC2)CO1 ZINC001588423750 958206462 /nfs/dbraw/zinc/20/64/62/958206462.db2.gz DPYCUDAZUKXURA-CYBMUJFWSA-N 0 1 281.352 0.982 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H]1CCC2(CCOCC2)CO1 ZINC001588423750 958206477 /nfs/dbraw/zinc/20/64/77/958206477.db2.gz DPYCUDAZUKXURA-CYBMUJFWSA-N 0 1 281.352 0.982 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@](COC)(C(=O)[O-])C1 ZINC001588430632 958260691 /nfs/dbraw/zinc/26/06/91/958260691.db2.gz OJDPZFRLZDHNAR-SWLSCSKDSA-N 0 1 294.351 0.034 20 30 CCEDMN C#CC[N@@H+](CCCNC(=O)C(F)(F)F)CC(=O)[O-] ZINC001588432420 958282525 /nfs/dbraw/zinc/28/25/25/958282525.db2.gz RWRILBAKEAZTBK-UHFFFAOYSA-N 0 1 266.219 0.075 20 30 CCEDMN C#CC[N@H+](CCCNC(=O)C(F)(F)F)CC(=O)[O-] ZINC001588432420 958282533 /nfs/dbraw/zinc/28/25/33/958282533.db2.gz RWRILBAKEAZTBK-UHFFFAOYSA-N 0 1 266.219 0.075 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001588457111 958444758 /nfs/dbraw/zinc/44/47/58/958444758.db2.gz KRMSSOUVFXPAGU-WCQYABFASA-N 0 1 273.329 0.116 20 30 CCEDMN C=CCN1CC[C@H]([N@H+](CCC(=O)[O-])C2CCOCC2)C1=O ZINC001588464229 958489720 /nfs/dbraw/zinc/48/97/20/958489720.db2.gz ILBZZVGRLXFWJO-ZDUSSCGKSA-N 0 1 296.367 0.729 20 30 CCEDMN Cn1ncc(C(=O)[O-])c1C[NH2+]CC1(C#N)CCOCC1 ZINC001574473420 961625337 /nfs/dbraw/zinc/62/53/37/961625337.db2.gz QBRQJANMRIEIKY-UHFFFAOYSA-N 0 1 278.312 0.528 20 30 CCEDMN C[N@H+]1C[C@@H]2CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@]2(C)C1 ZINC001574031914 962036316 /nfs/dbraw/zinc/03/63/16/962036316.db2.gz MUZBICHIXXWKCE-HONMWMINSA-N 0 1 279.340 0.401 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@H]2CN(C(=O)[C@H]3C[C@H]3C(=O)[O-])C[C@H]2C1 ZINC001573344252 962915209 /nfs/dbraw/zinc/91/52/09/962915209.db2.gz QDBLNIRFUZUWHZ-WHOHXGKFSA-N 0 1 298.770 0.850 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCCN(CC(=O)N(C)C)CC1 ZINC001573351732 962981400 /nfs/dbraw/zinc/98/14/00/962981400.db2.gz XMJHTVWTNNIVSK-LBPRGKRZSA-N 0 1 283.372 0.112 20 30 CCEDMN C[C@H]1C[N@@H+](CC(=O)NCCC#N)CC[C@H]1C(=O)[O-] ZINC000310769298 963431689 /nfs/dbraw/zinc/43/16/89/963431689.db2.gz SRWXQWWITXHEKE-VHSXEESVSA-N 0 1 253.302 0.059 20 30 CCEDMN C=C[C@H](C(=O)OC)[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001588450533 964096872 /nfs/dbraw/zinc/09/68/72/964096872.db2.gz POPNJSKFKNEMSE-HBNTYKKESA-N 0 1 253.298 0.757 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC001609696226 970455167 /nfs/dbraw/zinc/45/51/67/970455167.db2.gz CYCABMYUBMVHFX-VHSXEESVSA-N 0 1 265.313 0.344 20 30 CCEDMN C#C[C@@H]1CCC[N@@H+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])C1 ZINC001588382738 983374451 /nfs/dbraw/zinc/37/44/51/983374451.db2.gz GXXDNEUTMPEDHM-OLZOCXBDSA-N 0 1 280.368 0.947 20 30 CCEDMN C#C[C@@H]1CCC[N@H+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])C1 ZINC001588382738 983374459 /nfs/dbraw/zinc/37/44/59/983374459.db2.gz GXXDNEUTMPEDHM-OLZOCXBDSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CC[C@H]1CC[N@H+](CCc2cn(CC(=O)[O-])nn2)C1 ZINC001588434276 983469478 /nfs/dbraw/zinc/46/94/78/983469478.db2.gz IQPUBKVLMQHSML-NSHDSACASA-N 0 1 262.313 0.250 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[C@H]2C[N@H+](C)C[C@@H]21 ZINC001588613653 983704751 /nfs/dbraw/zinc/70/47/51/983704751.db2.gz BCRLTYSWVVIIEQ-QWRGUYRKSA-N 0 1 252.314 0.570 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(=O)[O-] ZINC001596299380 983723741 /nfs/dbraw/zinc/72/37/41/983723741.db2.gz FHDUMXGXQHTCGJ-SCRDCRAPSA-N 0 1 293.367 0.934 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CC[C@@](CO)(C(F)(F)F)C1 ZINC001588662461 983789185 /nfs/dbraw/zinc/78/91/85/983789185.db2.gz AATZGZOGJKWALU-IONNQARKSA-N 0 1 253.220 0.872 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](n2ccnn2)CC1 ZINC001588662957 983792709 /nfs/dbraw/zinc/79/27/09/983792709.db2.gz IWXMOHXSUFEEQT-QWRGUYRKSA-N 0 1 250.302 0.944 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1C[C@H](C(=O)OCC)C2(COC2)C1 ZINC001588663157 983793646 /nfs/dbraw/zinc/79/36/46/983793646.db2.gz CFUXFOMCGWEIRJ-NXEZZACHSA-N 0 1 269.297 0.137 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1C[C@@H](C(=O)OC)[C@H](C2CC2)C1 ZINC001588669760 983814110 /nfs/dbraw/zinc/81/41/10/983814110.db2.gz OSJKFQGQMJTXPY-HBNTYKKESA-N 0 1 253.298 0.757 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1C[C@@H]2CCC[C@]2(C(=O)OC)C1 ZINC001588670118 983818612 /nfs/dbraw/zinc/81/86/12/983818612.db2.gz WMJLFNKENIKRQY-CWSCBRNRSA-N 0 1 253.298 0.901 20 30 CCEDMN C=CC[N@@H+](CCC)CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001588826533 984304322 /nfs/dbraw/zinc/30/43/22/984304322.db2.gz ITYYPMCBQLMUGD-MNOVXSKESA-N 0 1 254.330 0.721 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2CC[C@@H](C(=O)[O-])[C@@H]2C)C1=O ZINC001588838400 984337623 /nfs/dbraw/zinc/33/76/23/984337623.db2.gz FCDOWELGEGYYPI-WDEREUQCSA-N 0 1 267.329 0.663 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2C[C@H]3COCC[C@@]3(C(=O)[O-])C2)C1=O ZINC001588838838 984341976 /nfs/dbraw/zinc/34/19/76/984341976.db2.gz LDCRJBDEJGPVNK-YWPYICTPSA-N 0 1 294.351 0.196 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1[NH2+]C1CCN(CC(=O)[O-])CC1 ZINC001588919496 984572847 /nfs/dbraw/zinc/57/28/47/984572847.db2.gz BJWWLHKPLJIRPV-NEPJUHHUSA-N 0 1 254.330 0.469 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001588931113 984601269 /nfs/dbraw/zinc/60/12/69/984601269.db2.gz KYONJGQCKAAJLI-NSHDSACASA-N 0 1 252.314 0.407 20 30 CCEDMN CN(CC(=O)[O-])C(=O)CC[N@H+]1CCC[C@@H](CC#N)C1 ZINC001598405246 991716760 /nfs/dbraw/zinc/71/67/60/991716760.db2.gz SYADYEPDIRQHSE-NSHDSACASA-N 0 1 267.329 0.545 20 30 CCEDMN COc1nsc(C[N@@H+]2CC[C@@](O)(CC#N)C2)c1C(=O)[O-] ZINC001599740481 991844128 /nfs/dbraw/zinc/84/41/28/991844128.db2.gz UPAHBFKCQUGQKT-LBPRGKRZSA-N 0 1 297.336 0.700 20 30 CCEDMN COc1nsc(C[N@H+]2CC[C@@](O)(CC#N)C2)c1C(=O)[O-] ZINC001599740481 991844134 /nfs/dbraw/zinc/84/41/34/991844134.db2.gz UPAHBFKCQUGQKT-LBPRGKRZSA-N 0 1 297.336 0.700 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])[N@@H+](CCO[C@@H](C)C#N)C1 ZINC001598777415 995944129 /nfs/dbraw/zinc/94/41/29/995944129.db2.gz NMBBUJYCPCLLKJ-HBNTYKKESA-N 0 1 284.312 0.253 20 30 CCEDMN C[C@]1(C(=O)[O-])CC[N@@H+](C[C@@H](O)CC2(C#N)CC2)C1 ZINC001593795870 996513390 /nfs/dbraw/zinc/51/33/90/996513390.db2.gz ZTUHUNOUURYXGV-JQWIXIFHSA-N 0 1 252.314 0.838 20 30 CCEDMN C=CCCC[C@@H](C)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000091593188 349469473 /nfs/dbraw/zinc/46/94/73/349469473.db2.gz VIXCNJOWJOEJGB-VXGBXAGGSA-N 0 1 265.357 0.374 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@@H]2CC[C@@H](O)[C@@H]21 ZINC000599681960 361783318 /nfs/dbraw/zinc/78/33/18/361783318.db2.gz RYJRXDPYBKGHGO-CTHBEMJXSA-N 0 1 279.384 0.886 20 30 CCEDMN C=CCN(C(=O)CCc1nc[nH]n1)[C@@H](C)COC ZINC000617580428 365687130 /nfs/dbraw/zinc/68/71/30/365687130.db2.gz XIFMUBFWAQZXDO-JTQLQIEISA-N 0 1 252.318 0.787 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)Cc1ccc(C#N)nc1 ZINC000600100276 361867964 /nfs/dbraw/zinc/86/79/64/361867964.db2.gz OWJKWIQIKOJDJZ-UHFFFAOYSA-N 0 1 258.325 0.516 20 30 CCEDMN CCN(CCNS(=O)(=O)CCCC#N)C1CC1 ZINC000111337065 349771353 /nfs/dbraw/zinc/77/13/53/349771353.db2.gz NNGLZYWWCCIGQA-UHFFFAOYSA-N 0 1 259.375 0.694 20 30 CCEDMN C[N@@H+](CC(=O)Nc1nc(C#N)c(C#N)[n-]1)C1CCC1 ZINC000600815745 362068386 /nfs/dbraw/zinc/06/83/86/362068386.db2.gz DQMPJVRKVSMBPU-UHFFFAOYSA-N 0 1 258.285 0.576 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCC2(O)CCC2)CC1 ZINC000601043031 362140944 /nfs/dbraw/zinc/14/09/44/362140944.db2.gz QXISZQAQPVEVSJ-UHFFFAOYSA-N 0 1 265.357 0.786 20 30 CCEDMN CO[C@H](CS(=O)(=O)Nc1ccc(C#N)cn1)C1CC1 ZINC000573490535 334941893 /nfs/dbraw/zinc/94/18/93/334941893.db2.gz NYTMWNKRHRBYSQ-LLVKDONJSA-N 0 1 281.337 0.542 20 30 CCEDMN CN(C)C1(CNS(=O)(=O)CC2(CC#N)CC2)CC1 ZINC000601450467 362278099 /nfs/dbraw/zinc/27/80/99/362278099.db2.gz ZEAAYLJRODIXKU-UHFFFAOYSA-N 0 1 271.386 0.694 20 30 CCEDMN N#Cc1c(F)cccc1CNC[C@@H](O)Cn1ccnn1 ZINC000602018798 362459856 /nfs/dbraw/zinc/45/98/56/362459856.db2.gz CGBXTJHXYUGFPU-LLVKDONJSA-N 0 1 275.287 0.440 20 30 CCEDMN C[C@H](C(=O)N1CCN(c2ncccc2C#N)CC1)N(C)C ZINC000602048709 362468461 /nfs/dbraw/zinc/46/84/61/362468461.db2.gz ZPFASXXSVSXVKE-GFCCVEGCSA-N 0 1 287.367 0.552 20 30 CCEDMN CCN(CC#N)C(=O)C(C)(C)CN1CCOCC1 ZINC000602079625 362475475 /nfs/dbraw/zinc/47/54/75/362475475.db2.gz FBUYJVBURKXJMQ-UHFFFAOYSA-N 0 1 253.346 0.717 20 30 CCEDMN CN1C(=O)CC[C@H]1C(=O)NCCN1CC=CCC1 ZINC000329028055 530042684 /nfs/dbraw/zinc/04/26/84/530042684.db2.gz WDRDYFRIYLNIDE-NSHDSACASA-N 0 1 251.330 0.826 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CC[C@@](O)(CC#N)C1 ZINC000602165850 362537282 /nfs/dbraw/zinc/53/72/82/362537282.db2.gz FIBAELKQEYCJEV-HNNXBMFYSA-N 0 1 295.383 0.222 20 30 CCEDMN COCc1nc2n(n1)C[C@H](NCc1cccc(C#N)n1)CC2 ZINC000602567965 362684572 /nfs/dbraw/zinc/68/45/72/362684572.db2.gz HHGIUAMIXGVJKH-CYBMUJFWSA-N 0 1 298.350 0.796 20 30 CCEDMN CN(C(=O)C[C@@H]1COCCN1)[C@@H]1CCC[C@H]1C#N ZINC000602603423 362707491 /nfs/dbraw/zinc/70/74/91/362707491.db2.gz RWEGPHZQKMKEMP-QJPTWQEYSA-N 0 1 251.330 0.516 20 30 CCEDMN CN(C(=O)C[C@@H]1COCCN1)[C@H]1CCC[C@@H]1C#N ZINC000602603427 362707336 /nfs/dbraw/zinc/70/73/36/362707336.db2.gz RWEGPHZQKMKEMP-UTUOFQBUSA-N 0 1 251.330 0.516 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](O)CN(CC2(CC#N)CC2)C1 ZINC000602847635 362834928 /nfs/dbraw/zinc/83/49/28/362834928.db2.gz AAGYBYZENKUPHU-GHMZBOCLSA-N 0 1 252.314 0.536 20 30 CCEDMN C[C@@H]1CN(CCc2ccnn2C)C[C@@H](C)N1CC#N ZINC000602850044 362837139 /nfs/dbraw/zinc/83/71/39/362837139.db2.gz OGAHSFNFHXLTQE-CHWSQXEVSA-N 0 1 261.373 0.881 20 30 CCEDMN CC(C)COC[C@H](O)CN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602856572 362844143 /nfs/dbraw/zinc/84/41/43/362844143.db2.gz KXIDHNRAVRUKSA-QLFBSQMISA-N 0 1 283.416 0.938 20 30 CCEDMN CCOC(=O)CCN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602861832 362847490 /nfs/dbraw/zinc/84/74/90/362847490.db2.gz MAZZNGPBXNBVOZ-TXEJJXNPSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@H]1CN(CCC(=O)N(C)CCC#N)C[C@H](C)O1 ZINC000034673921 348151526 /nfs/dbraw/zinc/15/15/26/348151526.db2.gz SIXWBLJVRUZTDU-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@]1(C)CO ZINC000602888353 362867325 /nfs/dbraw/zinc/86/73/25/362867325.db2.gz SSTJWNGLYSRDPG-RNCFNFMXSA-N 0 1 277.328 0.196 20 30 CCEDMN COC[C@](C)(CO)NCC(=O)NC1(C#N)CCCCC1 ZINC000602932954 362894178 /nfs/dbraw/zinc/89/41/78/362894178.db2.gz AMIBTFROMKMGSP-ZDUSSCGKSA-N 0 1 283.372 0.316 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@@](O)(CC)C1 ZINC000602982074 362920558 /nfs/dbraw/zinc/92/05/58/362920558.db2.gz MZJNCKAFUCVCKK-RISCZKNCSA-N 0 1 283.372 0.624 20 30 CCEDMN C[C@H](CO)N1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000347250043 137327655 /nfs/dbraw/zinc/32/76/55/137327655.db2.gz YWNGVOLYAJGKRQ-LLVKDONJSA-N 0 1 276.340 0.415 20 30 CCEDMN COc1cc(C#N)ccc1CN1[C@H](CO)CC[C@H]1CO ZINC000347298322 137329750 /nfs/dbraw/zinc/32/97/50/137329750.db2.gz XHNDSULLEJNMCA-KBPBESRZSA-N 0 1 276.336 0.884 20 30 CCEDMN N#Cc1ccc(NC(=O)CCN2CCNC(=O)CC2)cc1 ZINC000059894437 348639849 /nfs/dbraw/zinc/63/98/49/348639849.db2.gz QPBBUCBVNCGBKE-UHFFFAOYSA-N 0 1 286.335 0.709 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(N(C)C(C)=O)CC1 ZINC000068576938 348816937 /nfs/dbraw/zinc/81/69/37/348816937.db2.gz PPUFLWGOTOOUKK-NSHDSACASA-N 0 1 267.373 0.620 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC(CO)CC1 ZINC000076992472 349096641 /nfs/dbraw/zinc/09/66/41/349096641.db2.gz CMISRIHQNQPDEL-GFCCVEGCSA-N 0 1 267.373 0.699 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2ncccc2C#N)CC1 ZINC000078524375 349141871 /nfs/dbraw/zinc/14/18/71/349141871.db2.gz ZZSQSFTUQYPABE-ZDUSSCGKSA-N 0 1 260.341 0.846 20 30 CCEDMN CC(C)(CO)N1CCN(c2ccc(C#N)nc2)CC1 ZINC000081017238 349240501 /nfs/dbraw/zinc/24/05/01/349240501.db2.gz HOVPBNAJHVGXKX-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCC1CCN(CC#N)CC1 ZINC000081733840 349281462 /nfs/dbraw/zinc/28/14/62/349281462.db2.gz WOMBSQKSEWKDJI-AWEZNQCLSA-N 0 1 278.400 0.822 20 30 CCEDMN CCOCC[NH+]1CCN(CCC(=O)N(C)CCC#N)CC1 ZINC000131507548 350277284 /nfs/dbraw/zinc/27/72/84/350277284.db2.gz LOPJTKKJXDYBOF-UHFFFAOYSA-N 0 1 296.415 0.403 20 30 CCEDMN C=CCC[C@@H](O)CN(CC(=O)OC)C1CCOCC1 ZINC000132523013 350310339 /nfs/dbraw/zinc/31/03/39/350310339.db2.gz FSXDDPJNGIRQCU-CYBMUJFWSA-N 0 1 271.357 0.968 20 30 CCEDMN N#CC1(C(=O)NCCCCN2CCOCC2)CCC1 ZINC000134613514 350370922 /nfs/dbraw/zinc/37/09/22/350370922.db2.gz HXXLLRDZWPHBCA-UHFFFAOYSA-N 0 1 265.357 0.909 20 30 CCEDMN CCN(CC(=O)N1C[C@@H](C)N(CC#N)[C@H](C)C1)C1CC1 ZINC000603096663 362962283 /nfs/dbraw/zinc/96/22/83/362962283.db2.gz PNVATDIVFROPRZ-CHWSQXEVSA-N 0 1 278.400 0.915 20 30 CCEDMN CCOCC[C@H](O)CN1CCN(CCC#N)CC1 ZINC000618289710 365973989 /nfs/dbraw/zinc/97/39/89/365973989.db2.gz LAMMGUDXSNWTSV-ZDUSSCGKSA-N 0 1 255.362 0.305 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCN[C@@H]2CCOC2)cc1 ZINC000166377561 350798756 /nfs/dbraw/zinc/79/87/56/350798756.db2.gz JRGSTAWYXFPHNQ-GFCCVEGCSA-N 0 1 280.349 0.710 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000168809145 350831814 /nfs/dbraw/zinc/83/18/14/350831814.db2.gz HUPZIWJJYRUSFR-VIFPVBQESA-N 0 1 285.344 0.926 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](CCC(N)=O)C1 ZINC000170735524 350855371 /nfs/dbraw/zinc/85/53/71/350855371.db2.gz FFGJEJSMRJBWQC-VXGBXAGGSA-N 0 1 267.373 0.655 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@H](CCC(N)=O)C1 ZINC000170735524 350855372 /nfs/dbraw/zinc/85/53/72/350855372.db2.gz FFGJEJSMRJBWQC-VXGBXAGGSA-N 0 1 267.373 0.655 20 30 CCEDMN CNCc1cn(CC(=O)NC2(C#N)CCCCC2)nn1 ZINC000603178737 362985720 /nfs/dbraw/zinc/98/57/20/362985720.db2.gz XSIGHRHLYYKOEE-UHFFFAOYSA-N 0 1 276.344 0.340 20 30 CCEDMN N#Cc1ccc(NC(=O)CS(=O)(=O)c2ncc[nH]2)cc1 ZINC000172880850 351014729 /nfs/dbraw/zinc/01/47/29/351014729.db2.gz HWFTXJYRFWUBNI-UHFFFAOYSA-N 0 1 290.304 0.694 20 30 CCEDMN CCNCc1cn(CC(=O)N(CC)C[C@@H](C)C#N)nn1 ZINC000603210480 363001884 /nfs/dbraw/zinc/00/18/84/363001884.db2.gz ZKJYQNLYPXJNLO-NSHDSACASA-N 0 1 278.360 0.396 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)Cn1cc([C@@H](C)NC)nn1 ZINC000603209115 363000487 /nfs/dbraw/zinc/00/04/87/363000487.db2.gz OEDQNNKFAGGQPZ-WDEREUQCSA-N 0 1 278.360 0.567 20 30 CCEDMN C[C@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)nc2)CCN1C ZINC000229274968 352089804 /nfs/dbraw/zinc/08/98/04/352089804.db2.gz KTWCTBNOUJHMIQ-WDEREUQCSA-N 0 1 294.380 0.714 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)c1ccc(C#N)s1 ZINC000230344233 352112505 /nfs/dbraw/zinc/11/25/05/352112505.db2.gz KUFQRBIDMFVYAC-MRVPVSSYSA-N 0 1 273.383 0.848 20 30 CCEDMN COc1cc(CNCC(=O)NC2CC2)ccc1C#N ZINC000234903403 352177641 /nfs/dbraw/zinc/17/76/41/352177641.db2.gz XJJWGNUUFUPWLO-UHFFFAOYSA-N 0 1 259.309 0.935 20 30 CCEDMN C[C@H](NCc1cc(C#N)n(C)c1)C(=O)N1CCCC1 ZINC000232315866 352143493 /nfs/dbraw/zinc/14/34/93/352143493.db2.gz SIXOTGXDAGTMFH-NSHDSACASA-N 0 1 260.341 0.997 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)C[C@@H](C)C(=O)OC ZINC000264994426 352613069 /nfs/dbraw/zinc/61/30/69/352613069.db2.gz RSNLZTFROWRCFA-NXEZZACHSA-N 0 1 285.344 0.128 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC2(C[C@@H]2C(=O)OC)CC1 ZINC000265028975 352614980 /nfs/dbraw/zinc/61/49/80/352614980.db2.gz ICYKTJZWHZBAQN-VXGBXAGGSA-N 0 1 278.352 0.399 20 30 CCEDMN C[C@H](NCC(=O)N1CCNC1=O)c1ccc(C#N)cc1 ZINC000271607169 191236882 /nfs/dbraw/zinc/23/68/82/191236882.db2.gz AJQWNMPLJCRGKA-JTQLQIEISA-N 0 1 272.308 0.761 20 30 CCEDMN CC[C@@H]1CN(CC(=O)NCc2ccc(C#N)cc2)C[C@H]1O ZINC000270972918 353009579 /nfs/dbraw/zinc/00/95/79/353009579.db2.gz WJESUAFIILJKGL-HUUCEWRRSA-N 0 1 287.363 0.877 20 30 CCEDMN Cc1cc(C#N)nc(N2C[C@@H](C)N(CCO)C[C@@H]2C)n1 ZINC000419009628 234286869 /nfs/dbraw/zinc/28/68/69/234286869.db2.gz XNDPXPMRFGLLPA-NEPJUHHUSA-N 0 1 275.356 0.548 20 30 CCEDMN C[C@H](NC(=O)C(=O)N1CCNCC1)c1ccc(C#N)cc1 ZINC000419272355 234356972 /nfs/dbraw/zinc/35/69/72/234356972.db2.gz AZDRPOKMBBSFBQ-NSHDSACASA-N 0 1 286.335 0.167 20 30 CCEDMN COC(=O)c1cn(CCNCc2ccc(C#N)o2)nn1 ZINC000284065994 353547268 /nfs/dbraw/zinc/54/72/68/353547268.db2.gz QFFKKNWSXXYCNM-UHFFFAOYSA-N 0 1 275.268 0.319 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)c2cc(C#N)ccn2)n[nH]1 ZINC000286503903 353591123 /nfs/dbraw/zinc/59/11/23/353591123.db2.gz WRUCQDZOMMDEHY-SSDOTTSWSA-N 0 1 256.269 0.871 20 30 CCEDMN C[C@H](CNS(=O)(=O)c1ccc(C#N)o1)N(C)C1CC1 ZINC000286806013 353611894 /nfs/dbraw/zinc/61/18/94/353611894.db2.gz HWRJAIVVQKCOGI-SECBINFHSA-N 0 1 283.353 0.912 20 30 CCEDMN C[C@](O)(CNS(=O)(=O)c1ccc(C#N)o1)C(F)(F)F ZINC000287946900 353677158 /nfs/dbraw/zinc/67/71/58/353677158.db2.gz WGFZEAMRDXGWCA-QMMMGPOBSA-N 0 1 298.242 0.743 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000294087687 353867495 /nfs/dbraw/zinc/86/74/95/353867495.db2.gz XHWDYKFHAXRUSC-UHFFFAOYSA-N 0 1 292.295 0.380 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1c[n-]c(=O)c([N+](=O)[O-])c1 ZINC000294087687 353867496 /nfs/dbraw/zinc/86/74/96/353867496.db2.gz XHWDYKFHAXRUSC-UHFFFAOYSA-N 0 1 292.295 0.380 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2C(=O)OC)CC1 ZINC000299442841 354016400 /nfs/dbraw/zinc/01/64/00/354016400.db2.gz HPCPZBPXMGNDQF-ZDUSSCGKSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCn3nccc32)CC1 ZINC000299677201 354037907 /nfs/dbraw/zinc/03/79/07/354037907.db2.gz IRQATQFWPGRSMG-UHFFFAOYSA-N 0 1 272.352 0.965 20 30 CCEDMN C[C@H](O)[C@H]1CCCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000305209523 354139298 /nfs/dbraw/zinc/13/92/98/354139298.db2.gz ZJDWTMQFQMOMSP-HUBLWGQQSA-N 0 1 279.384 0.888 20 30 CCEDMN N#CCCN(CCC#N)S(=O)(=O)c1ncc[nH]1 ZINC000310213723 354187944 /nfs/dbraw/zinc/18/79/44/354187944.db2.gz DSWULOYGBVXAPQ-UHFFFAOYSA-N 0 1 253.287 0.228 20 30 CCEDMN CN1CC[C@H]2OCCN(C(=O)c3cnccc3C#N)[C@@H]2C1 ZINC000332206777 354629280 /nfs/dbraw/zinc/62/92/80/354629280.db2.gz HPSUIVIVWXMQHC-ZIAGYGMSSA-N 0 1 286.335 0.498 20 30 CCEDMN CN(CCOc1cccc(C#N)c1)[C@@H]1CCNC1=O ZINC000336800492 355174586 /nfs/dbraw/zinc/17/45/86/355174586.db2.gz MKAYVQMTPIADLW-CYBMUJFWSA-N 0 1 259.309 0.757 20 30 CCEDMN N#Cc1cc(NCC(=O)N2CCOCC2)nc2ccccc12 ZINC000336858686 355218431 /nfs/dbraw/zinc/21/84/31/355218431.db2.gz AMTOCUSPBLUYCR-UHFFFAOYSA-N 0 1 296.330 0.799 20 30 CCEDMN C[C@@H](C#N)CN(C[C@H]1CCN(S(C)(=O)=O)C1)C1CC1 ZINC000338289808 355313043 /nfs/dbraw/zinc/31/30/43/355313043.db2.gz VGEBIGLDAZWUSN-NWDGAFQWSA-N 0 1 285.413 0.892 20 30 CCEDMN C[C@@H]1OCC[C@@]12CN(CCOCCC#N)CCO2 ZINC000339141944 355493897 /nfs/dbraw/zinc/49/38/97/355493897.db2.gz VQAAMTPQEFLUCK-QWHCGFSZSA-N 0 1 254.330 0.796 20 30 CCEDMN Cc1cc(NCCCN2CCC[C@H]2C(N)=O)c(C#N)cn1 ZINC000425229903 235275350 /nfs/dbraw/zinc/27/53/50/235275350.db2.gz QWOKBAMTNWCKRT-AWEZNQCLSA-N 0 1 287.367 0.435 20 30 CCEDMN CCN(CCO)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000343456676 355770732 /nfs/dbraw/zinc/77/07/32/355770732.db2.gz YMXTXQROKDQYBO-WCQYABFASA-N 0 1 255.362 0.744 20 30 CCEDMN C[C@H]1C[C@H](CO)CCN1CC(=O)N(CCC#N)CCC#N ZINC000344147463 355785814 /nfs/dbraw/zinc/78/58/14/355785814.db2.gz DFQPGZZFFOXTIY-UONOGXRCSA-N 0 1 292.383 0.735 20 30 CCEDMN N#Cc1csc(CNCCc2nnc3n2CCC3)n1 ZINC000352823516 356091244 /nfs/dbraw/zinc/09/12/44/356091244.db2.gz NRHTZHRPRBXMOM-UHFFFAOYSA-N 0 1 274.353 0.885 20 30 CCEDMN COCCN1CC[C@@H](NCc2nc(C#N)cs2)C1 ZINC000352853705 356096905 /nfs/dbraw/zinc/09/69/05/356096905.db2.gz NMNXSTHBWHTIMO-SNVBAGLBSA-N 0 1 266.370 0.825 20 30 CCEDMN CN1CCN(CCNC(=O)c2ccc(C#N)s2)CC1 ZINC000362893780 138079730 /nfs/dbraw/zinc/07/97/30/138079730.db2.gz ONXSYBVXIWBSRI-UHFFFAOYSA-N 0 1 278.381 0.597 20 30 CCEDMN CCC[C@H](NC(=O)Cc1noc(C)c1C#N)c1nn[nH]n1 ZINC000354556388 356437562 /nfs/dbraw/zinc/43/75/62/356437562.db2.gz UOKBDJAJNZGRPW-VIFPVBQESA-N 0 1 289.299 0.568 20 30 CCEDMN CC1=CCN(CCNC(=O)[C@@H](C)n2cnc(C#N)n2)CC1 ZINC000619615672 366299907 /nfs/dbraw/zinc/29/99/07/366299907.db2.gz RQGOJXDSSGRTNK-GFCCVEGCSA-N 0 1 288.355 0.479 20 30 CCEDMN C[C@@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)NC(N)=O ZINC000610343822 363552294 /nfs/dbraw/zinc/55/22/94/363552294.db2.gz SVZLCYFONRZSMM-DTWKUNHWSA-N 0 1 260.297 0.792 20 30 CCEDMN N#Cc1cccc(N2CCC[C@@H](C(=O)N3CCNCC3)C2)n1 ZINC000515525698 283207769 /nfs/dbraw/zinc/20/77/69/283207769.db2.gz YIQBPLKSBNEGPI-CYBMUJFWSA-N 0 1 299.378 0.601 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCN(C(C)(C)CO)CC1 ZINC000610481026 363564972 /nfs/dbraw/zinc/56/49/72/363564972.db2.gz DJBDSYSITGDBNL-ZDUSSCGKSA-N 0 1 296.415 0.135 20 30 CCEDMN COC(=O)C[C@]1(NCc2cc(C#N)n(C)c2)CCOC1 ZINC000359703286 356873937 /nfs/dbraw/zinc/87/39/37/356873937.db2.gz AYUYMANDVKNWMQ-CQSZACIVSA-N 0 1 277.324 0.709 20 30 CCEDMN CC(C)OC(=O)CCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610563175 363578242 /nfs/dbraw/zinc/57/82/42/363578242.db2.gz VBOVXODBRUNDPP-UHFFFAOYSA-N 0 1 279.300 0.177 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CCOC[C@@H](C2CCC2)C1 ZINC000367833040 357282568 /nfs/dbraw/zinc/28/25/68/357282568.db2.gz QOHJZLCSGMITDB-ZDUSSCGKSA-N 0 1 295.383 0.747 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCOC[C@@H](C2CCC2)C1 ZINC000367833040 357282572 /nfs/dbraw/zinc/28/25/72/357282572.db2.gz QOHJZLCSGMITDB-ZDUSSCGKSA-N 0 1 295.383 0.747 20 30 CCEDMN COC1CCN(CCNC(=O)c2ccc(C#N)nc2)CC1 ZINC000610571582 363580422 /nfs/dbraw/zinc/58/04/22/363580422.db2.gz BTNZBKBXACIPNT-UHFFFAOYSA-N 0 1 288.351 0.794 20 30 CCEDMN COC1CCN(CCNC(=O)CSCC#N)CC1 ZINC000610571699 363580882 /nfs/dbraw/zinc/58/08/82/363580882.db2.gz XOJJFBLTGKWIPR-UHFFFAOYSA-N 0 1 271.386 0.470 20 30 CCEDMN N#Cc1cccnc1N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000376057919 357429728 /nfs/dbraw/zinc/42/97/28/357429728.db2.gz RSAOJOIHDJVHOL-UONOGXRCSA-N 0 1 272.352 0.989 20 30 CCEDMN N#Cc1ccccc1OCCN1C[C@H](O)C[C@H](O)C1 ZINC000610604648 363583759 /nfs/dbraw/zinc/58/37/59/363583759.db2.gz YVSKNQFFMZOHFE-BETUJISGSA-N 0 1 262.309 0.365 20 30 CCEDMN CC[C@@H](C#N)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000394797205 357758785 /nfs/dbraw/zinc/75/87/85/357758785.db2.gz LXBLXGOXMHWKHO-NWDGAFQWSA-N 0 1 250.346 0.042 20 30 CCEDMN CS(=O)(=O)N1CC[C@@H](NCc2ccc(C#N)s2)C1 ZINC000400237631 357793087 /nfs/dbraw/zinc/79/30/87/357793087.db2.gz VMIRSAWYETURFX-SECBINFHSA-N 0 1 285.394 0.743 20 30 CCEDMN N#Cc1ccnc(N2CCN3C[C@H](O)C[C@H]3C2)c1[N+](=O)[O-] ZINC000405251815 357823789 /nfs/dbraw/zinc/82/37/89/357823789.db2.gz DEAYYVLRTNLOFL-WDEREUQCSA-N 0 1 289.295 0.117 20 30 CCEDMN Cc1cc(N2CCN(CCO)C[C@H]2C)cc(C#N)n1 ZINC000450959452 236125930 /nfs/dbraw/zinc/12/59/30/236125930.db2.gz YKIKUVXMCGNFHK-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN C#CCN1CCC(C(=O)OCCN2CCOC[C@@H]2C)CC1 ZINC000491147559 358291668 /nfs/dbraw/zinc/29/16/68/358291668.db2.gz SAERPIQVOVXRRL-AWEZNQCLSA-N 0 1 294.395 0.596 20 30 CCEDMN CC#CCCNC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000544580768 358549728 /nfs/dbraw/zinc/54/97/28/358549728.db2.gz CKASUEXTIPDRGH-UHFFFAOYSA-N 0 1 286.379 0.977 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1[C@@H]2CC[C@H]1CCN(C)C2 ZINC000569669043 358782899 /nfs/dbraw/zinc/78/28/99/358782899.db2.gz YIKQKIZAWVXIRP-UONOGXRCSA-N 0 1 278.400 0.917 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN[C@H](CO)c1cccc(F)c1 ZINC000572039207 358926990 /nfs/dbraw/zinc/92/69/90/358926990.db2.gz NXFGAUCXMJDXFU-LSDHHAIUSA-N 0 1 295.358 0.655 20 30 CCEDMN CN1CCN(c2cc(CNCCC#N)ccn2)CC1 ZINC000572713836 358929646 /nfs/dbraw/zinc/92/96/46/358929646.db2.gz HOYJCHSXRSKGQI-UHFFFAOYSA-N 0 1 259.357 0.837 20 30 CCEDMN N#Cc1ccccc1OCCN1CC[C@@H](CO)[C@@H](O)C1 ZINC000573991495 359019200 /nfs/dbraw/zinc/01/92/00/359019200.db2.gz WFRCJAPWRFIDOO-KBPBESRZSA-N 0 1 276.336 0.612 20 30 CCEDMN CCOCC(C)(C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000589001899 359355127 /nfs/dbraw/zinc/35/51/27/359355127.db2.gz FBFOLKDQFXHFCQ-UHFFFAOYSA-N 0 1 279.344 0.898 20 30 CCEDMN CCn1cnnc1CCNCc1nc(C#N)cs1 ZINC000589313581 359378057 /nfs/dbraw/zinc/37/80/57/359378057.db2.gz CDVUCBNJMIJHIH-UHFFFAOYSA-N 0 1 262.342 0.958 20 30 CCEDMN CCC[C@H](O)CC(=O)NC1(C#N)CCN(C)CC1 ZINC000611004535 363646511 /nfs/dbraw/zinc/64/65/11/363646511.db2.gz DGVWGOYFWQCDPP-NSHDSACASA-N 0 1 253.346 0.642 20 30 CCEDMN Cc1nnc(CN2CCN([C@H]3CC[C@@H](C#N)C3)CC2)[nH]1 ZINC000590167169 359428026 /nfs/dbraw/zinc/42/80/26/359428026.db2.gz ZOSVDWSAUASGTE-OLZOCXBDSA-N 0 1 274.372 0.923 20 30 CCEDMN N#CC1(CC(=O)N2CCN([C@@H]3CCC[C@@H]3O)CC2)CC1 ZINC000590223611 359431813 /nfs/dbraw/zinc/43/18/13/359431813.db2.gz WELVMESAZLXCBZ-OLZOCXBDSA-N 0 1 277.368 0.738 20 30 CCEDMN CC(C)(CO)N1CCN(C(=O)CCCC#N)CC1 ZINC000589844684 359406045 /nfs/dbraw/zinc/40/60/45/359406045.db2.gz QIILUIYBCHLONO-UHFFFAOYSA-N 0 1 253.346 0.595 20 30 CCEDMN N#CCc1ccc(C(=O)NC[C@@H]2CN3CCN2CCC3)cc1 ZINC000590354909 359442271 /nfs/dbraw/zinc/44/22/71/359442271.db2.gz BHSVRQHKOIRPFR-MRXNPFEDSA-N 0 1 298.390 0.872 20 30 CCEDMN C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N1CC(C#N)C1 ZINC000590486115 359475443 /nfs/dbraw/zinc/47/54/43/359475443.db2.gz BSYGAZVCAKGHNL-VIFPVBQESA-N 0 1 297.318 0.663 20 30 CCEDMN N#Cc1cccnc1N1CCN(CCc2c[nH]nn2)CC1 ZINC000590603391 359496950 /nfs/dbraw/zinc/49/69/50/359496950.db2.gz VMFUSTLFVFXKNY-UHFFFAOYSA-N 0 1 283.339 0.436 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000590737007 359513219 /nfs/dbraw/zinc/51/32/19/359513219.db2.gz IEFGWMLYTQTOTP-BDAKNGLRSA-N 0 1 267.285 0.682 20 30 CCEDMN CN1C[C@@H]2CCN(S(=O)(=O)CC3(CC#N)CC3)[C@@H]2C1 ZINC000590757797 359517780 /nfs/dbraw/zinc/51/77/80/359517780.db2.gz AOUGLEJSBWBBDS-NWDGAFQWSA-N 0 1 283.397 0.646 20 30 CCEDMN C[C@@H](CCC#N)N(C)CCN1CCCS1(=O)=O ZINC000591015562 359547267 /nfs/dbraw/zinc/54/72/67/359547267.db2.gz OKHREFXLZUHXSF-NSHDSACASA-N 0 1 259.375 0.646 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC000591053813 359549425 /nfs/dbraw/zinc/54/94/25/359549425.db2.gz RZDNANIAWZXSKW-NXEZZACHSA-N 0 1 250.302 0.630 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CC[C@@H](c2nnc(C)[nH]2)C1 ZINC000591053813 359549428 /nfs/dbraw/zinc/54/94/28/359549428.db2.gz RZDNANIAWZXSKW-NXEZZACHSA-N 0 1 250.302 0.630 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H]2CCCC[C@@H]2O)[nH]1 ZINC000590819621 359527629 /nfs/dbraw/zinc/52/76/29/359527629.db2.gz OOMDWWGGPIOWAX-KOLCDFICSA-N 0 1 277.328 0.386 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CCN(C(C)=O)C[C@@H]1C ZINC000297055820 200073440 /nfs/dbraw/zinc/07/34/40/200073440.db2.gz XSMFYVYNMXJMBC-ZDUSSCGKSA-N 0 1 294.399 0.204 20 30 CCEDMN CN1CCC[C@H](NC(=O)N2CC[C@](O)(CC#N)C2)C1 ZINC000591926458 359703410 /nfs/dbraw/zinc/70/34/10/359703410.db2.gz YMTDGJYGAKHZNP-WCQYABFASA-N 0 1 266.345 0.141 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000591990102 359720962 /nfs/dbraw/zinc/72/09/62/359720962.db2.gz SPEZUYQIABIHDJ-SMDDNHRTSA-N 0 1 289.339 0.847 20 30 CCEDMN N#Cc1cnccc1CN[C@H](CO)[C@H]1CCCOC1 ZINC000592124429 359759518 /nfs/dbraw/zinc/75/95/18/359759518.db2.gz COFYKCPGMBQWFG-GXTWGEPZSA-N 0 1 261.325 0.830 20 30 CCEDMN CC(C)OC[C@H](O)CN1CCN(CCC#N)CC1 ZINC000611173897 363670594 /nfs/dbraw/zinc/67/05/94/363670594.db2.gz PWXUJJNEECOZIR-CYBMUJFWSA-N 0 1 255.362 0.304 20 30 CCEDMN CCN(C)C(=O)CCN1CCN(CCC#N)CC1 ZINC000611173764 363671401 /nfs/dbraw/zinc/67/14/01/363671401.db2.gz JNVIHBDTFHUUNC-UHFFFAOYSA-N 0 1 252.362 0.386 20 30 CCEDMN C[C@H](CO)CN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000611235876 363690210 /nfs/dbraw/zinc/69/02/10/363690210.db2.gz IHENVPVESSFPHO-RYUDHWBXSA-N 0 1 275.356 0.487 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592646468 359908176 /nfs/dbraw/zinc/90/81/76/359908176.db2.gz OWIMEHGLWXOPBC-SNVBAGLBSA-N 0 1 262.313 0.942 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H](C)n2cccn2)[nH]n1C ZINC000592648319 359909584 /nfs/dbraw/zinc/90/95/84/359909584.db2.gz XWGQYNWYEAQJML-VIFPVBQESA-N 0 1 258.285 0.418 20 30 CCEDMN CCCc1cc(C(=O)N2CC[C@](O)(CC#N)C2)n[nH]1 ZINC000592838493 359949280 /nfs/dbraw/zinc/94/92/80/359949280.db2.gz KPCWRVANDHZRSN-CYBMUJFWSA-N 0 1 262.313 0.853 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC[C@@](O)(CC#N)C1 ZINC000592843828 359951047 /nfs/dbraw/zinc/95/10/47/359951047.db2.gz HBRMPOBHXHBHFM-AAEUAGOBSA-N 0 1 251.330 0.348 20 30 CCEDMN Cc1nnc(SCC(=O)N2CC[C@](O)(CC#N)C2)[nH]1 ZINC000592843345 359951124 /nfs/dbraw/zinc/95/11/24/359951124.db2.gz CWXOAUJCRINBBF-LLVKDONJSA-N 0 1 281.341 0.082 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CC[C@](O)(CC#N)C2)n1 ZINC000592843345 359951129 /nfs/dbraw/zinc/95/11/29/359951129.db2.gz CWXOAUJCRINBBF-LLVKDONJSA-N 0 1 281.341 0.082 20 30 CCEDMN C[C@H](CO)C1CCN(CC(=O)NC2(C#N)CCC2)CC1 ZINC000593085041 360030744 /nfs/dbraw/zinc/03/07/44/360030744.db2.gz UELRSNXPJPWRKJ-GFCCVEGCSA-N 0 1 279.384 0.889 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CC[C@H](N2CCN(CC)CC2)C1 ZINC000593456952 360092326 /nfs/dbraw/zinc/09/23/26/360092326.db2.gz KIQHUOMIAAWWAX-KBPBESRZSA-N 0 1 278.400 0.775 20 30 CCEDMN N#Cc1ccc(N2CC(N3CC[C@@H](O)C3)C2)cc1[N+](=O)[O-] ZINC000593502881 360099952 /nfs/dbraw/zinc/09/99/52/360099952.db2.gz DMPUIMOHVRUCNW-CYBMUJFWSA-N 0 1 288.307 0.722 20 30 CCEDMN Cc1nc(N2CCN(Cc3nnc[nH]3)CC2)ccc1C#N ZINC000593508847 360102055 /nfs/dbraw/zinc/10/20/55/360102055.db2.gz WKWOOBTWCOOGPY-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN C[C@H](O)CN[C@@H]1CC(=O)N(c2ccc(C#N)cc2)C1=O ZINC000593921164 360216179 /nfs/dbraw/zinc/21/61/79/360216179.db2.gz PZINIUBBUYAAAK-JOYOIKCWSA-N 0 1 273.292 0.161 20 30 CCEDMN C=CC[C@H](CO)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594768091 360379493 /nfs/dbraw/zinc/37/94/93/360379493.db2.gz JBTPDXCXFIIRJT-MRVPVSSYSA-N 0 1 287.297 0.205 20 30 CCEDMN CC(C)(CO)[C@@H](NCC(=O)NCC#N)c1cccnc1 ZINC000595501562 360552954 /nfs/dbraw/zinc/55/29/54/360552954.db2.gz SWVSFSXXISEPDZ-ZDUSSCGKSA-N 0 1 276.340 0.371 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCC[C@H](N2CCOCC2)CC1 ZINC000595473188 360545455 /nfs/dbraw/zinc/54/54/55/360545455.db2.gz UOFFOIXDGQNRFP-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)NC(=O)Nc1ccccc1F ZINC000595863947 360683313 /nfs/dbraw/zinc/68/33/13/360683313.db2.gz LDVCLXYKXGQSAO-NXEZZACHSA-N 0 1 295.314 0.999 20 30 CCEDMN C=C[C@H](CO)N[C@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O ZINC000595863821 360683764 /nfs/dbraw/zinc/68/37/64/360683764.db2.gz JTAWKWKPLFVPSD-MFKMUULPSA-N 0 1 291.307 0.837 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@@H](C)[C@](C)(CO)C1 ZINC000595880773 360690188 /nfs/dbraw/zinc/69/01/88/360690188.db2.gz ZCRZKZZHACKHRP-SUNKGSAMSA-N 0 1 283.372 0.337 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@]1(COC)C(=O)OC ZINC000595805439 360660993 /nfs/dbraw/zinc/66/09/93/360660993.db2.gz RAPGDOIQHAHMKH-JSGCOSHPSA-N 0 1 271.357 0.968 20 30 CCEDMN N#CCCCCNC(=O)NCCCc1nc[nH]n1 ZINC000596265036 360858351 /nfs/dbraw/zinc/85/83/51/360858351.db2.gz GSHPLIOLAADMSX-UHFFFAOYSA-N 0 1 250.306 0.730 20 30 CCEDMN C[C@@H](CC#N)N(C)C(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597143201 361015055 /nfs/dbraw/zinc/01/50/55/361015055.db2.gz FKCFQEMKIMODLO-QMMMGPOBSA-N 0 1 297.336 0.414 20 30 CCEDMN CN1CC2(C1)CCN(C(=O)c1ccc(C#N)n1C)C2 ZINC000597568074 361141188 /nfs/dbraw/zinc/14/11/88/361141188.db2.gz JBPVGVFIEVRBRH-UHFFFAOYSA-N 0 1 258.325 0.674 20 30 CCEDMN N#Cc1cscc1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000597626364 361167071 /nfs/dbraw/zinc/16/70/71/361167071.db2.gz SJFFTQSHUMEMCA-GFCCVEGCSA-N 0 1 290.392 0.739 20 30 CCEDMN C[C@H](CC#N)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000597627709 361167170 /nfs/dbraw/zinc/16/71/70/361167170.db2.gz WDYLZFXUZVYVFC-VXGBXAGGSA-N 0 1 250.346 0.042 20 30 CCEDMN COCc1nc(CNC(=O)c2cc(C#N)cs2)n[nH]1 ZINC000598051242 361324841 /nfs/dbraw/zinc/32/48/41/361324841.db2.gz SCBGINMHJZICCZ-UHFFFAOYSA-N 0 1 277.309 0.814 20 30 CCEDMN COCc1nnc(CNC(=O)c2cc(C#N)cs2)[nH]1 ZINC000598051242 361324845 /nfs/dbraw/zinc/32/48/45/361324845.db2.gz SCBGINMHJZICCZ-UHFFFAOYSA-N 0 1 277.309 0.814 20 30 CCEDMN CN(CC(=O)N1CC(CC#N)C1)c1ncnc2[nH]cnc21 ZINC000598037251 361320862 /nfs/dbraw/zinc/32/08/62/361320862.db2.gz ZKSKSURMPGBTLO-UHFFFAOYSA-N 0 1 285.311 0.161 20 30 CCEDMN CNC(=O)[C@H]1CCCN1C[C@@H](O)CC(C)(C)C#N ZINC000598587098 361448767 /nfs/dbraw/zinc/44/87/67/361448767.db2.gz NWMZSGMMCMDLHY-WDEREUQCSA-N 0 1 253.346 0.498 20 30 CCEDMN Cc1nc([C@@H]2CN(C[C@@H](O)CC(C)(C)C#N)CCO2)n[nH]1 ZINC000598591991 361452549 /nfs/dbraw/zinc/45/25/49/361452549.db2.gz DICMPCVVOSKNHL-RYUDHWBXSA-N 0 1 293.371 0.787 20 30 CCEDMN N#Cc1csc(CNCCN2CC[C@@H](O)C2)n1 ZINC000599008100 361552806 /nfs/dbraw/zinc/55/28/06/361552806.db2.gz HEQSAHSYAOMSMV-SNVBAGLBSA-N 0 1 252.343 0.171 20 30 CCEDMN C=CC[C@H](C)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599234260 361617767 /nfs/dbraw/zinc/61/77/67/361617767.db2.gz GTZPEKBPTLFPCC-ZETCQYMHSA-N 0 1 274.298 0.704 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)NCc1cnc[nH]1 ZINC000599356278 361665142 /nfs/dbraw/zinc/66/51/42/361665142.db2.gz KUEPDXKXOFXEJD-VIFPVBQESA-N 0 1 271.346 0.226 20 30 CCEDMN COC(=O)CN(C)C(=O)CCN1CCC[C@](C)(C#N)C1 ZINC000612166169 363858463 /nfs/dbraw/zinc/85/84/63/363858463.db2.gz PZASKCRBCKJJAY-CQSZACIVSA-N 0 1 281.356 0.634 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC(C)(C)C(C)(C)O)[nH]1 ZINC000612573597 363962046 /nfs/dbraw/zinc/96/20/46/363962046.db2.gz SIZOOJZYGGRWOJ-UHFFFAOYSA-N 0 1 265.317 0.385 20 30 CCEDMN C=CCCCCCNS(=O)(=O)C[C@H]1CNCCO1 ZINC000631949406 370780739 /nfs/dbraw/zinc/78/07/39/370780739.db2.gz FXIXVHFBSWIGDR-GFCCVEGCSA-N 0 1 276.402 0.641 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000614475346 364621428 /nfs/dbraw/zinc/62/14/28/364621428.db2.gz MXNAZKWONKZJIN-GFCCVEGCSA-N 0 1 267.285 0.418 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000614503004 364632795 /nfs/dbraw/zinc/63/27/95/364632795.db2.gz JVLMMCXNVNXRDV-LBPRGKRZSA-N 0 1 251.374 0.705 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000614504665 364634275 /nfs/dbraw/zinc/63/42/75/364634275.db2.gz XURMNZBIZDXKIZ-GOEBONIOSA-N 0 1 295.427 0.721 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H]2CCC(C)(C)O2)[nH]1 ZINC000614688616 364698881 /nfs/dbraw/zinc/69/88/81/364698881.db2.gz UYHFZDSJJMUNBI-SNVBAGLBSA-N 0 1 277.328 0.793 20 30 CCEDMN COC[C@@H]1CCCN1CC(=O)NC1(C#N)CCC1 ZINC000615227843 364890191 /nfs/dbraw/zinc/89/01/91/364890191.db2.gz XWIMWEVZQKQKFE-NSHDSACASA-N 0 1 251.330 0.660 20 30 CCEDMN C=C[C@@H](O)C(=O)NC(C)(C)CN1CCN(CC)CC1 ZINC000616123488 365184340 /nfs/dbraw/zinc/18/43/40/365184340.db2.gz HARCNVMMYZCALW-GFCCVEGCSA-N 0 1 269.389 0.066 20 30 CCEDMN C[C@H]1CSCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000616990000 365509309 /nfs/dbraw/zinc/50/93/09/365509309.db2.gz PVHPFDUGROIZTQ-SECBINFHSA-N 0 1 279.369 0.930 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC000623600694 367601705 /nfs/dbraw/zinc/60/17/05/367601705.db2.gz YCTHTCOTPQIOGS-KGLIPLIRSA-N 0 1 280.416 0.840 20 30 CCEDMN CN(Cc1ccc(C#N)c(F)c1)[C@@H]1COC[C@H]1O ZINC000330599737 203020107 /nfs/dbraw/zinc/02/01/07/203020107.db2.gz FKLMWRZOWLMKIK-CHWSQXEVSA-N 0 1 250.273 0.889 20 30 CCEDMN C[C@@H]1CN(C(=O)CNc2ccc(C#N)cn2)C[C@H](C)N1C ZINC000330780324 203226894 /nfs/dbraw/zinc/22/68/94/203226894.db2.gz DKQHLOQRIHRXGO-TXEJJXNPSA-N 0 1 287.367 0.338 20 30 CCEDMN C=CCC1(C(=O)NCCN2CC[C@@H](O)C2)CCOCC1 ZINC000629168583 369543192 /nfs/dbraw/zinc/54/31/92/369543192.db2.gz YUOSNJMLRNKKEK-CYBMUJFWSA-N 0 1 282.384 0.542 20 30 CCEDMN C[C@H]1CCn2ncc(C(=O)N=c3[nH]n(C)cc3C#N)c2C1 ZINC000574401641 335016502 /nfs/dbraw/zinc/01/65/02/335016502.db2.gz NJKCFULTOKUERP-VIFPVBQESA-N 0 1 284.323 0.745 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H](CCC)OC)CC1 ZINC000637880639 374445499 /nfs/dbraw/zinc/44/54/99/374445499.db2.gz STKSWPXRYAWEFT-AWEZNQCLSA-N 0 1 283.416 0.721 20 30 CCEDMN C=CCN(C(=O)c1cnc(CN)nc1)[C@@H](C)COC ZINC000638406674 375005471 /nfs/dbraw/zinc/00/54/71/375005471.db2.gz JXWAKDNQEXKCCC-JTQLQIEISA-N 0 1 264.329 0.598 20 30 CCEDMN C=CCn1cc(CNC[C@H](OC)[C@H]2CCOC2)nn1 ZINC000639276538 375690882 /nfs/dbraw/zinc/69/08/82/375690882.db2.gz ZJQXYITXTAXWTJ-AAEUAGOBSA-N 0 1 266.345 0.605 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(CCc2cnccn2)CC1 ZINC000640099126 376235515 /nfs/dbraw/zinc/23/55/15/376235515.db2.gz LSXULMVHLAKFLZ-MRXNPFEDSA-N 0 1 290.411 0.964 20 30 CCEDMN CC#CCNCc1cn(Cc2n[nH]c(C(C)(C)C)n2)nn1 ZINC000641171985 376841296 /nfs/dbraw/zinc/84/12/96/376841296.db2.gz XYKFAHZCXUFLKH-UHFFFAOYSA-N 0 1 287.371 0.855 20 30 CCEDMN CC#CC[C@H](CO)NCc1ccnn1CCCOC ZINC000641768036 377453655 /nfs/dbraw/zinc/45/36/55/377453655.db2.gz UVEMKPUSKXKPKU-CYBMUJFWSA-N 0 1 265.357 0.784 20 30 CCEDMN CC1(C(N)=O)CN(CCC(=O)Nc2sccc2C#N)C1 ZINC000516567412 249169488 /nfs/dbraw/zinc/16/94/88/249169488.db2.gz DLCOBTAJGWWQAV-UHFFFAOYSA-N 0 1 292.364 0.756 20 30 CCEDMN N#Cc1ccc(Cl)cc1NC(=O)CNC(CO)CO ZINC000517244729 249211237 /nfs/dbraw/zinc/21/12/37/249211237.db2.gz MKOIYYHMXKVYFM-UHFFFAOYSA-N 0 1 283.715 0.093 20 30 CCEDMN N#Cc1cccc(NC(=O)NC[C@@H]2COCCN2)c1 ZINC000236402324 284956604 /nfs/dbraw/zinc/95/66/04/284956604.db2.gz UTGWYKATJLMQGL-GFCCVEGCSA-N 0 1 260.297 0.668 20 30 CCEDMN COc1cc(C#N)ccc1OCCn1nnnc1CN ZINC000571557132 291822246 /nfs/dbraw/zinc/82/22/46/291822246.db2.gz DJGHJRQLVUKCHE-UHFFFAOYSA-N 0 1 274.284 0.091 20 30 CCEDMN C=CCCn1cc(CN[C@H]2CCc3c(nnn3C)C2)nn1 ZINC000657505163 413014531 /nfs/dbraw/zinc/01/45/31/413014531.db2.gz OZNUDIFBJZTNAL-NSHDSACASA-N 0 1 287.371 0.630 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](C(=O)N2CCCC2)C1 ZINC000286739467 388844477 /nfs/dbraw/zinc/84/44/77/388844477.db2.gz CBXNZOVIWUSSTJ-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000287400302 388851661 /nfs/dbraw/zinc/85/16/61/388851661.db2.gz CMMXDWJSRFGJGK-NSHDSACASA-N 0 1 276.340 0.986 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC000289058180 388867278 /nfs/dbraw/zinc/86/72/78/388867278.db2.gz NFECIXYZPWLUOP-DGCLKSJQSA-N 0 1 279.384 0.620 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](OCCOC)C2)CC1 ZINC000187991681 388907795 /nfs/dbraw/zinc/90/77/95/388907795.db2.gz JCRYVXNJCMKIFQ-HNNXBMFYSA-N 0 1 294.395 0.596 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC[C@@H](CO)C1 ZINC000049774026 388924202 /nfs/dbraw/zinc/92/42/02/388924202.db2.gz PFHLTZHAQCNPGR-CYBMUJFWSA-N 0 1 278.356 0.347 20 30 CCEDMN C=CCNC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000069916453 388952357 /nfs/dbraw/zinc/95/23/57/388952357.db2.gz QLPKUFOCBLJJPI-CYBMUJFWSA-N 0 1 267.373 0.926 20 30 CCEDMN C#CCNC(=O)CCN(CCOC)Cc1ccco1 ZINC000293607906 388985605 /nfs/dbraw/zinc/98/56/05/388985605.db2.gz VKJTULQWAVUBTQ-UHFFFAOYSA-N 0 1 264.325 0.868 20 30 CCEDMN N#Cc1cc(S(=O)(=O)NCCc2nc[nH]n2)ccc1F ZINC000080624093 388998441 /nfs/dbraw/zinc/99/84/41/388998441.db2.gz BNFPQSMBOALKDR-UHFFFAOYSA-N 0 1 295.299 0.336 20 30 CCEDMN CCN1CCC(NS(=O)(=O)N(C)C[C@@H](C)C#N)CC1 ZINC000336925365 389033013 /nfs/dbraw/zinc/03/30/13/389033013.db2.gz UVOMDXCCMBSBIG-NSHDSACASA-N 0 1 288.417 0.397 20 30 CCEDMN N#Cc1ccnc(C(=O)N[C@@H]2CCCN(CCCO)C2)c1 ZINC000295378252 389005074 /nfs/dbraw/zinc/00/50/74/389005074.db2.gz GSYXCXOYTHSNDH-CYBMUJFWSA-N 0 1 288.351 0.530 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N1CCN2CCC1CC2 ZINC000337152055 389047986 /nfs/dbraw/zinc/04/79/86/389047986.db2.gz KMAIXSSAEINEAJ-UHFFFAOYSA-N 0 1 286.401 0.247 20 30 CCEDMN Cc1nn(C)c(N2CCN(CCC[C@@H](C)O)CC2)c1C#N ZINC000355279454 389051043 /nfs/dbraw/zinc/05/10/43/389051043.db2.gz LMNICFYJZPGCCC-GFCCVEGCSA-N 0 1 291.399 0.883 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@H](OC)[C@@H](OC)C2)C1=O ZINC000337228312 389057200 /nfs/dbraw/zinc/05/72/00/389057200.db2.gz GYQOCPHXAXKRKE-SRVKXCTJSA-N 0 1 254.330 0.119 20 30 CCEDMN COC(=O)[C@]1(C)CN(CC(=O)NC2(C#N)CCC2)C[C@@H]1C ZINC000337439327 389061283 /nfs/dbraw/zinc/06/12/83/389061283.db2.gz JAXZUBFDLJTKIO-SMDDNHRTSA-N 0 1 293.367 0.680 20 30 CCEDMN Cc1ccc(N2CCN(C(=O)CN(C)C)CC2)nc1C#N ZINC000302649976 389075922 /nfs/dbraw/zinc/07/59/22/389075922.db2.gz MVRNJYYCVQFUCS-UHFFFAOYSA-N 0 1 287.367 0.472 20 30 CCEDMN N#CCNC(=O)CN(CCO)[C@H]1CCCc2ccccc21 ZINC000304129476 389082459 /nfs/dbraw/zinc/08/24/59/389082459.db2.gz VOEHAMSHFFCXRG-HNNXBMFYSA-N 0 1 287.363 0.998 20 30 CCEDMN C[C@H]1CCC[C@@H](CO)N1CC(=O)N(CCC#N)CCC#N ZINC000305429885 389085805 /nfs/dbraw/zinc/08/58/05/389085805.db2.gz DLPQMBXGMITASN-KBPBESRZSA-N 0 1 292.383 0.878 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](C)[C@@H]1CO ZINC000305262433 389085936 /nfs/dbraw/zinc/08/59/36/389085936.db2.gz SQBJRXWJIHQBQH-STQMWFEESA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](C)[C@H]1CO ZINC000305262430 389086327 /nfs/dbraw/zinc/08/63/27/389086327.db2.gz SQBJRXWJIHQBQH-QWHCGFSZSA-N 0 1 267.373 0.841 20 30 CCEDMN C[C@@H]1OCC[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000094177399 389039303 /nfs/dbraw/zinc/03/93/03/389039303.db2.gz DQHUEBYNYBUEAS-WDEREUQCSA-N 0 1 251.330 0.516 20 30 CCEDMN N#C[C@@]1(NC(=O)c2n[nH]c3ccccc32)CCOC1 ZINC000358437532 389150168 /nfs/dbraw/zinc/15/01/68/389150168.db2.gz FAMDVCDGTNZFJW-ZDUSSCGKSA-N 0 1 256.265 0.975 20 30 CCEDMN O=C(CN1CCOC[C@@H]1c1nnc[nH]1)NC(=O)NC1CC1 ZINC000328903245 389144285 /nfs/dbraw/zinc/14/42/85/389144285.db2.gz HPRDTCFPRXKQFI-SECBINFHSA-N 0 1 294.315 0.211 20 30 CCEDMN Cn1nccc1C1C[C@H]2CC[C@@H](C1)N2CC(=O)NCC#N ZINC000338590227 389113322 /nfs/dbraw/zinc/11/33/22/389113322.db2.gz FFUJGPUUALQILQ-YHWZYXNKSA-N 0 1 287.367 0.770 20 30 CCEDMN C=CCOCCN1CCN(Cc2cnn(C)c2)CC1 ZINC000338978797 389120682 /nfs/dbraw/zinc/12/06/82/389120682.db2.gz WFSHVBDWYZLTMS-UHFFFAOYSA-N 0 1 264.373 0.740 20 30 CCEDMN COC(=O)[C@]1(C)CCCN1CCC(=O)N(C)CCC#N ZINC000186347928 262313886 /nfs/dbraw/zinc/31/38/86/262313886.db2.gz IBOPYYWRFNTLKV-AWEZNQCLSA-N 0 1 281.356 0.776 20 30 CCEDMN CS(=O)(=O)NC[C@@H]1CCCN(CCOCCC#N)C1 ZINC000339025794 389122654 /nfs/dbraw/zinc/12/26/54/389122654.db2.gz FBGIGSWQKWIFKP-LBPRGKRZSA-N 0 1 289.401 0.178 20 30 CCEDMN C=CCOCCN(C)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000339209873 389128407 /nfs/dbraw/zinc/12/84/07/389128407.db2.gz GYCOTAVRHKCYAM-NSHDSACASA-N 0 1 262.375 0.155 20 30 CCEDMN C=C(C)[C@H](CC(=O)NC[C@@H]1CN(C)CCN1C)OCC ZINC000339372238 389131575 /nfs/dbraw/zinc/13/15/75/389131575.db2.gz XUGIOFJQJGMHEJ-KGLIPLIRSA-N 0 1 283.416 0.720 20 30 CCEDMN O=C(NCCn1cccn1)[C@@H]1CCc2[nH]nnc2C1 ZINC000328747050 389135246 /nfs/dbraw/zinc/13/52/46/389135246.db2.gz AVNMJCNETLABCJ-SECBINFHSA-N 0 1 260.301 0.763 20 30 CCEDMN O=C(NCCn1cccn1)[C@@H]1CCc2nn[nH]c2C1 ZINC000328747050 389135248 /nfs/dbraw/zinc/13/52/48/389135248.db2.gz AVNMJCNETLABCJ-SECBINFHSA-N 0 1 260.301 0.763 20 30 CCEDMN Cc1nnc(SCC(=O)NCC(C)(C)C#N)[nH]1 ZINC000359653963 389180347 /nfs/dbraw/zinc/18/03/47/389180347.db2.gz WWHADAQVLAWQDA-UHFFFAOYSA-N 0 1 253.331 0.871 20 30 CCEDMN Cc1n[nH]c(SCC(=O)NCC(C)(C)C#N)n1 ZINC000359653963 389180349 /nfs/dbraw/zinc/18/03/49/389180349.db2.gz WWHADAQVLAWQDA-UHFFFAOYSA-N 0 1 253.331 0.871 20 30 CCEDMN Cc1cccc2c1[nH]cc(C(=O)NCC(=O)NCC#N)c2=O ZINC000359971520 389187394 /nfs/dbraw/zinc/18/73/94/389187394.db2.gz UFEKAIIWMZFBAH-UHFFFAOYSA-N 0 1 298.302 0.206 20 30 CCEDMN Cc1cc(C(=O)NC[C@H]2CN(C)CCN2C)cnn1 ZINC000329852846 389206309 /nfs/dbraw/zinc/20/63/09/389206309.db2.gz VBXAPBPQCDSKQD-LBPRGKRZSA-N 0 1 263.345 0.335 20 30 CCEDMN C[C@H](NC(=O)Nc1ncn(C(C)(C)C)n1)c1nnc[nH]1 ZINC000329758840 389197496 /nfs/dbraw/zinc/19/74/96/389197496.db2.gz ODNIKAQIKCIWRA-ZETCQYMHSA-N 0 1 278.320 0.660 20 30 CCEDMN C=CCOC[C@@H](NC(=O)CN1CCC(C)CC1)C(=O)OC ZINC000360726533 389202533 /nfs/dbraw/zinc/20/25/33/389202533.db2.gz RDIVGLBCXAWDPN-CYBMUJFWSA-N 0 1 298.383 0.579 20 30 CCEDMN CC(C)N(CCN(C)C)S(=O)(=O)N(C)CCC#N ZINC000123412358 389155917 /nfs/dbraw/zinc/15/59/17/389155917.db2.gz UKZHNWROQMBNNQ-UHFFFAOYSA-N 0 1 276.406 0.349 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCC[C@H](Cc2nccn2C)C1 ZINC000123808354 389158077 /nfs/dbraw/zinc/15/80/77/389158077.db2.gz QKTUTEQMKWWGQA-CYBMUJFWSA-N 0 1 276.384 0.977 20 30 CCEDMN COCC[N@H+]1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC000329338758 389158126 /nfs/dbraw/zinc/15/81/26/389158126.db2.gz YTQUDGDLKXVOEC-UWVGGRQHSA-N 0 1 255.318 0.783 20 30 CCEDMN COCCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC000329338758 389158128 /nfs/dbraw/zinc/15/81/28/389158128.db2.gz YTQUDGDLKXVOEC-UWVGGRQHSA-N 0 1 255.318 0.783 20 30 CCEDMN C=CCCOCCNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000125390142 389165130 /nfs/dbraw/zinc/16/51/30/389165130.db2.gz HNZPVDVJPXXJFR-CQSZACIVSA-N 0 1 298.431 0.514 20 30 CCEDMN Cc1ccc(NC(=O)NC[C@H]2CN(C)CCN2C)nc1 ZINC000329592244 389173264 /nfs/dbraw/zinc/17/32/64/389173264.db2.gz ZDVNYJQHIMWLJH-LBPRGKRZSA-N 0 1 277.372 0.962 20 30 CCEDMN CN1CC[C@H]2CCN(C(=O)C(=O)NCCCCC#N)[C@H]2C1 ZINC000343312484 389264065 /nfs/dbraw/zinc/26/40/65/389264065.db2.gz RVKJPMMYZPFSKL-STQMWFEESA-N 0 1 292.383 0.349 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CCC[C@](C)(O)CC2)C1 ZINC000329934790 389212168 /nfs/dbraw/zinc/21/21/68/389212168.db2.gz JZOVPLXOHDBLCG-HIFRSBDPSA-N 0 1 298.431 0.383 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](C)[C@H](n2ccnc2)C1 ZINC000245588152 389212929 /nfs/dbraw/zinc/21/29/29/389212929.db2.gz KGUQJTAJYLKZDA-MELADBBJSA-N 0 1 274.368 0.904 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@H]1CCCN(C)[C@H]1C ZINC000342499114 389220180 /nfs/dbraw/zinc/22/01/80/389220180.db2.gz CMQSJZSVXCYTPK-RYUDHWBXSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@H](OCCO)C2)C1=O ZINC000330377391 389222355 /nfs/dbraw/zinc/22/23/55/389222355.db2.gz FGSAEQYBSOSMIW-OLZOCXBDSA-N 0 1 268.357 0.247 20 30 CCEDMN CC(C)[N@@H+](C)CC/N=C(/[O-])c1cnc2n(c1=O)CCS2 ZINC000330452784 389230962 /nfs/dbraw/zinc/23/09/62/389230962.db2.gz ZSNURPCRBQSBDL-UHFFFAOYSA-N 0 1 296.396 0.994 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N1CCO[C@@H](C#N)C1 ZINC000247371120 389239308 /nfs/dbraw/zinc/23/93/08/389239308.db2.gz USVYWFJJDMCYDG-RYUDHWBXSA-N 0 1 251.330 0.612 20 30 CCEDMN COC(=O)[C@@H](C)CN(C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000345778818 389314909 /nfs/dbraw/zinc/31/49/09/389314909.db2.gz GGPOVLKEQVKKDW-WDEREUQCSA-N 0 1 281.356 0.678 20 30 CCEDMN C[C@H](C#N)C(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC000345813447 389315944 /nfs/dbraw/zinc/31/59/44/389315944.db2.gz JLSHAMSBSGKSLG-LLVKDONJSA-N 0 1 273.340 0.932 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCC[C@@H](CO)C1 ZINC000345816480 389316032 /nfs/dbraw/zinc/31/60/32/389316032.db2.gz VZEQPMXZIGQPOQ-NWDGAFQWSA-N 0 1 265.357 0.642 20 30 CCEDMN CNC(=O)CCCN(C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000155207209 389317917 /nfs/dbraw/zinc/31/79/17/389317917.db2.gz CXFBKACFTQTECK-AWEZNQCLSA-N 0 1 282.388 0.499 20 30 CCEDMN N#CCNC(=O)CN(Cc1ccccc1)[C@@H](CO)C1CC1 ZINC000155939611 389322506 /nfs/dbraw/zinc/32/25/06/389322506.db2.gz PXYMSODDJUTLPV-HNNXBMFYSA-N 0 1 287.363 0.899 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC000346188413 389323852 /nfs/dbraw/zinc/32/38/52/389323852.db2.gz DRRIEGNNLCUOMJ-NWDGAFQWSA-N 0 1 279.384 0.762 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@@](C)(O)C1 ZINC000346421498 389328629 /nfs/dbraw/zinc/32/86/29/389328629.db2.gz AMWGDFXHIHKOSL-CMPLNLGQSA-N 0 1 251.330 0.394 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCC[C@@](C)(O)C1 ZINC000346516086 389330827 /nfs/dbraw/zinc/33/08/27/389330827.db2.gz CAPNDUXSKJBGCA-WCQYABFASA-N 0 1 265.357 0.784 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC000346527125 389330966 /nfs/dbraw/zinc/33/09/66/389330966.db2.gz KEROONPKBKNTEF-JSGCOSHPSA-N 0 1 291.395 0.786 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](O)[C@H](C)C1 ZINC000346576007 389332160 /nfs/dbraw/zinc/33/21/60/389332160.db2.gz QLDWUAMWRCEOJN-IJLUTSLNSA-N 0 1 265.357 0.640 20 30 CCEDMN N#CCCCNC(=O)NCCCCN1CCOCC1 ZINC000343869055 389273709 /nfs/dbraw/zinc/27/37/09/389273709.db2.gz KXRUOLNRYVBWDQ-UHFFFAOYSA-N 0 1 268.361 0.702 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000343915304 389276208 /nfs/dbraw/zinc/27/62/08/389276208.db2.gz NUZFKFTVQYVWSL-ZBFHGGJFSA-N 0 1 297.443 0.967 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(c2nnc[nH]2)CC1 ZINC000365918825 389289190 /nfs/dbraw/zinc/28/91/90/389289190.db2.gz LLBMNSCIJIUXNB-SNVBAGLBSA-N 0 1 261.329 0.122 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)C[C@H]1CCC[C@H]1O ZINC000346971915 389342603 /nfs/dbraw/zinc/34/26/03/389342603.db2.gz BYMMZOQLSZGTPT-VXGBXAGGSA-N 0 1 265.357 0.642 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H](C)c1cnn(C)c1 ZINC000348321692 389373405 /nfs/dbraw/zinc/37/34/05/389373405.db2.gz WHCXBVIMWRERHW-VIFPVBQESA-N 0 1 265.317 0.083 20 30 CCEDMN CN(CC(=O)N1CCSC[C@H]1C#N)[C@@H]1CCSC1 ZINC000334223337 389461884 /nfs/dbraw/zinc/46/18/84/389461884.db2.gz ZMISWOBNNIXSGH-GHMZBOCLSA-N 0 1 285.438 0.891 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H](O)C2(CC2)C1 ZINC000372362126 389449633 /nfs/dbraw/zinc/44/96/33/389449633.db2.gz WOGIFRPWQYRROJ-GFCCVEGCSA-N 0 1 252.358 0.868 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@@H](O)C(C)(C)C1 ZINC000275427809 389510371 /nfs/dbraw/zinc/51/03/71/389510371.db2.gz IQSUKOLWTWOOIA-GHMZBOCLSA-N 0 1 283.372 0.480 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(C(=O)NCCCC#N)C1 ZINC000413448727 389512265 /nfs/dbraw/zinc/51/22/65/389512265.db2.gz WTEUQAHYKHBUBO-NSHDSACASA-N 0 1 282.344 0.036 20 30 CCEDMN C=CCCn1cc(C(=O)N(C)C[C@H](C)c2nn[nH]n2)nn1 ZINC000424780525 389632734 /nfs/dbraw/zinc/63/27/34/389632734.db2.gz MZVIVKDTZTUUFU-VIFPVBQESA-N 0 1 290.331 0.243 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000413892008 389576192 /nfs/dbraw/zinc/57/61/92/389576192.db2.gz IDGIRKFYZWFTTB-NSHDSACASA-N 0 1 268.357 0.762 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2nccn(C)c2=O)CC1 ZINC000351472780 389584894 /nfs/dbraw/zinc/58/48/94/389584894.db2.gz QEQXBIZZYJZQOV-ZDUSSCGKSA-N 0 1 292.383 0.229 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCC[C@H](OC)CC1 ZINC000374694416 389600961 /nfs/dbraw/zinc/60/09/61/389600961.db2.gz OVSLJIQLVCKYNZ-RYUDHWBXSA-N 0 1 283.372 0.888 20 30 CCEDMN C=C[C@H]1CCCCN1Cc1cc(=O)n(C)c(=O)n1C ZINC000336606058 389660749 /nfs/dbraw/zinc/66/07/49/389660749.db2.gz MEDOBLIQUMVFPE-NSHDSACASA-N 0 1 263.341 0.625 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)N1CCNC[C@H]1C ZINC000415350043 389676492 /nfs/dbraw/zinc/67/64/92/389676492.db2.gz YIJAIGSAYQSJLE-LLVKDONJSA-N 0 1 286.335 0.626 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@H](C#N)C(C)(C)C)CC1 ZINC000427486731 389681201 /nfs/dbraw/zinc/68/12/01/389681201.db2.gz LAKPKBDUYOAHOS-LBPRGKRZSA-N 0 1 266.389 0.678 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000181664679 389690452 /nfs/dbraw/zinc/69/04/52/389690452.db2.gz FIFGBBRFPFOOFN-SECBINFHSA-N 0 1 262.313 0.248 20 30 CCEDMN CC1(C)CN(C(=O)C(=O)Nc2cccc(C#N)c2)CCN1 ZINC000415822789 389705694 /nfs/dbraw/zinc/70/56/94/389705694.db2.gz NHQQKYPJYOHQEG-UHFFFAOYSA-N 0 1 286.335 0.707 20 30 CCEDMN C[C@H]1[C@@H](CO)CCN1CC(=O)N(CCC#N)CCC#N ZINC000395214772 389776704 /nfs/dbraw/zinc/77/67/04/389776704.db2.gz WFCMLLIVZQHPEA-QWHCGFSZSA-N 0 1 278.356 0.345 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NC[C@@H]1CCCCN1C1CC1 ZINC000416466994 389746322 /nfs/dbraw/zinc/74/63/22/389746322.db2.gz ADOXNJZKLRGFCW-JQWIXIFHSA-N 0 1 271.386 0.835 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)Cc1nnc(NC)s1 ZINC000352433611 389810237 /nfs/dbraw/zinc/81/02/37/389810237.db2.gz WUORMRWCIDUQTA-MRVPVSSYSA-N 0 1 267.358 0.552 20 30 CCEDMN C=C[C@@H](CO)NCc1cnc(-c2cnn(C)c2)nc1 ZINC000352455388 389813735 /nfs/dbraw/zinc/81/37/35/389813735.db2.gz YLCTZKTVRCATKE-LBPRGKRZSA-N 0 1 259.313 0.514 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000352472555 389816373 /nfs/dbraw/zinc/81/63/73/389816373.db2.gz GVMWIVUIQXMPEN-VHSXEESVSA-N 0 1 267.333 0.743 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000419066881 389886896 /nfs/dbraw/zinc/88/68/96/389886896.db2.gz RHYCSPRPIHMHCU-PHIMTYICSA-N 0 1 253.346 0.278 20 30 CCEDMN Cc1nn(C)c(N2CCN(C[C@H](O)C(C)C)CC2)c1C#N ZINC000432801780 389889201 /nfs/dbraw/zinc/88/92/01/389889201.db2.gz BVAIFHGIZARUCS-AWEZNQCLSA-N 0 1 291.399 0.739 20 30 CCEDMN C=C(C)COCCNC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000419493677 389892958 /nfs/dbraw/zinc/89/29/58/389892958.db2.gz NBLWZQRFSCGRNM-KGLIPLIRSA-N 0 1 299.415 0.676 20 30 CCEDMN C=CCC(CC=C)C(=O)N1C[C@@H](O)[C@@H]([N@@H+](C)CC(=O)[O-])C1 ZINC000435700067 389961230 /nfs/dbraw/zinc/96/12/30/389961230.db2.gz DDPWDFXGGMQBBS-QWHCGFSZSA-N 0 1 296.367 0.343 20 30 CCEDMN N#Cc1cccc(NC(=O)CN[C@H](CO)[C@H]2CCCO2)c1 ZINC000447906800 389969896 /nfs/dbraw/zinc/96/98/96/389969896.db2.gz PLVKLPYYPZZNTN-ZIAGYGMSSA-N 0 1 289.335 0.626 20 30 CCEDMN N#C[C@]1(NC(=O)c2c(O)cccc2F)CCOC1 ZINC000436924357 389987331 /nfs/dbraw/zinc/98/73/31/389987331.db2.gz GFOCIGLMNNSTLK-GFCCVEGCSA-N 0 1 250.229 0.944 20 30 CCEDMN CC[C@H]1[C@H](CO)CCN1CC(=O)NC1(C#N)CCC1 ZINC000451248110 390001178 /nfs/dbraw/zinc/00/11/78/390001178.db2.gz APHXFOHUMHRVKF-RYUDHWBXSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@@H](CC#N)NC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000438814704 390010480 /nfs/dbraw/zinc/01/04/80/390010480.db2.gz QSAFANFLCQQZJP-JTQLQIEISA-N 0 1 298.306 0.046 20 30 CCEDMN CC[C@H]1CN(C)CCCN1S(=O)(=O)N(C)CCC#N ZINC000439219114 390016842 /nfs/dbraw/zinc/01/68/42/390016842.db2.gz XWYMAVAIYAKZTC-LBPRGKRZSA-N 0 1 288.417 0.493 20 30 CCEDMN CN(C)CCNS(=O)(=O)Cc1ccc(C#N)cc1F ZINC000440052735 390030710 /nfs/dbraw/zinc/03/07/10/390030710.db2.gz PEGAPAWAXHVQBG-UHFFFAOYSA-N 0 1 285.344 0.678 20 30 CCEDMN CC(=O)N[C@@H](C)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000488700448 390095400 /nfs/dbraw/zinc/09/54/00/390095400.db2.gz JTYWFGXKCNHCAH-QMMMGPOBSA-N 0 1 277.328 0.395 20 30 CCEDMN C#CCN(CC)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000490628929 390106621 /nfs/dbraw/zinc/10/66/21/390106621.db2.gz IXPLIYWTFXELHM-ZDUSSCGKSA-N 0 1 266.389 0.287 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)/C=C\c2cccc(C#N)c2)C1 ZINC000491800057 390116761 /nfs/dbraw/zinc/11/67/61/390116761.db2.gz YNOPSGHNMSCTGR-WLMCBFPDSA-N 0 1 298.390 0.933 20 30 CCEDMN C[C@H]1CN(Cc2cnc3c(C#N)cnn3c2)CC[C@H]1O ZINC000579008469 390135528 /nfs/dbraw/zinc/13/55/28/390135528.db2.gz XVBJWTLHZGUKCJ-GXFFZTMASA-N 0 1 271.324 0.804 20 30 CCEDMN N#Cc1cccc(CNC[C@@H]2CS(=O)(=O)CCO2)c1 ZINC000535785315 390153627 /nfs/dbraw/zinc/15/36/27/390153627.db2.gz AKGWPWAXURTMQN-CYBMUJFWSA-N 0 1 280.349 0.461 20 30 CCEDMN CC[C@@H](C)NC(=O)CN(C)CCCc1[nH]nc(N)c1C#N ZINC000536341609 390160522 /nfs/dbraw/zinc/16/05/22/390160522.db2.gz RAOXWIUBTCYYAN-SNVBAGLBSA-N 0 1 292.387 0.473 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1ccc(C#N)cc1F)c1nnc[nH]1 ZINC000537556634 390178501 /nfs/dbraw/zinc/17/85/01/390178501.db2.gz XOCCEFQLCNVDFW-SSDOTTSWSA-N 0 1 295.299 0.855 20 30 CCEDMN CC1(C)CCN(CC(=O)N2CCOC[C@@H]2C#N)C1 ZINC000579819662 390210363 /nfs/dbraw/zinc/21/03/63/390210363.db2.gz RSHCUZJIUZVTLT-NSHDSACASA-N 0 1 251.330 0.469 20 30 CCEDMN CC[C@@]1(O)CCN(CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000495194398 390223605 /nfs/dbraw/zinc/22/36/05/390223605.db2.gz NZATVJYVXFNQER-ZIAGYGMSSA-N 0 1 267.373 0.888 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000648280929 390352266 /nfs/dbraw/zinc/35/22/66/390352266.db2.gz BOMQPQVBSBLUMQ-BARDWOONSA-N 0 1 294.395 0.635 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000645375538 390417438 /nfs/dbraw/zinc/41/74/38/390417438.db2.gz NJTAQIVDYZHKAS-NEPJUHHUSA-N 0 1 276.340 0.861 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)nn1 ZINC000648835128 390418587 /nfs/dbraw/zinc/41/85/87/390418587.db2.gz DRARIYCXPNNHOM-SECBINFHSA-N 0 1 272.312 0.475 20 30 CCEDMN CC#CC[C@@H](CO)Nc1nc(N)nc2c1CCCC2 ZINC000645407470 390422083 /nfs/dbraw/zinc/42/20/83/390422083.db2.gz XOQHWCMQRUKYAI-JTQLQIEISA-N 0 1 260.341 0.376 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CN2CCCCC2=O)CC1 ZINC000518817799 390495128 /nfs/dbraw/zinc/49/51/28/390495128.db2.gz HPYNWKYPCCZSQT-UHFFFAOYSA-N 0 1 278.356 0.103 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC000649316959 390574245 /nfs/dbraw/zinc/57/42/45/390574245.db2.gz HIZFZPDYRBPMSM-OAHLLOKOSA-N 0 1 289.379 0.845 20 30 CCEDMN N#CCC(=O)N1CCN([C@@H]2CCCC[C@H]2O)CC1 ZINC000568175700 390712465 /nfs/dbraw/zinc/71/24/65/390712465.db2.gz FTAYAWOHSMJKLX-VXGBXAGGSA-N 0 1 251.330 0.348 20 30 CCEDMN C=CCn1c([C@H]2CCOC2)n[n-]c1=[NH+]c1cnn(C)c1 ZINC000567001425 390665001 /nfs/dbraw/zinc/66/50/01/390665001.db2.gz DNCNRVPZONOYEF-JTQLQIEISA-N 0 1 274.328 0.867 20 30 CCEDMN C[C@H]1CN(CCCNc2cc(C#N)ncn2)C[C@H](C)O1 ZINC000527134996 390694001 /nfs/dbraw/zinc/69/40/01/390694001.db2.gz SNVWQRIJOZXNBD-RYUDHWBXSA-N 0 1 275.356 0.681 20 30 CCEDMN COC(=O)[C@H](C)CN(C)Cc1cnc2c(C#N)cnn2c1 ZINC000572037814 390765327 /nfs/dbraw/zinc/76/53/27/390765327.db2.gz KBRVBRONAWOCSZ-SNVBAGLBSA-N 0 1 287.323 0.842 20 30 CCEDMN CNC(=O)[C@H](C#N)C(=O)[C@@H]1C[C@]1(C)Br ZINC000528925655 390783983 /nfs/dbraw/zinc/78/39/83/390783983.db2.gz WBYYLXJYRKXKFR-JHEQGTHGSA-N 0 1 259.103 0.615 20 30 CCEDMN CCCN1CCCN(C[C@H](O)CC2(C#N)CC2)CC1=O ZINC000528478157 390748664 /nfs/dbraw/zinc/74/86/64/390748664.db2.gz XUVHKHRCTCGULK-CYBMUJFWSA-N 0 1 279.384 0.985 20 30 CCEDMN N#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)Cc1cnc[nH]1 ZINC000960312016 649853274 /nfs/dbraw/zinc/85/32/74/649853274.db2.gz DYZBUPVGTAQCMD-GDBMZVCRSA-N 0 1 295.346 0.848 20 30 CCEDMN Cc1nc([C@@H](C)NS(=O)(=O)N(C)C[C@@H](C)C#N)n[nH]1 ZINC000352538713 397465608 /nfs/dbraw/zinc/46/56/08/397465608.db2.gz NUENDBCCNPDHQI-JGVFFNPUSA-N 0 1 286.361 0.100 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H](O)C[C@H]1COC ZINC000649741602 397663104 /nfs/dbraw/zinc/66/31/04/397663104.db2.gz XLEXAGMRJOXOQU-QWHCGFSZSA-N 0 1 268.357 0.269 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(CCOC)CC2(C)C)C1=O ZINC000649851063 397674694 /nfs/dbraw/zinc/67/46/94/397674694.db2.gz KDSPDYASOOGZGN-CQSZACIVSA-N 0 1 295.427 0.816 20 30 CCEDMN N#Cc1ccc(NC(=O)CN2CCn3c(=O)[nH]nc3C2)cc1 ZINC000548118287 286007099 /nfs/dbraw/zinc/00/70/99/286007099.db2.gz DFMDYBFNBAOOBR-UHFFFAOYSA-N 0 1 298.306 0.310 20 30 CCEDMN CO[C@H]1CCN(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)C1 ZINC000330281817 286046870 /nfs/dbraw/zinc/04/68/70/286046870.db2.gz UYKUHXYQTUCTKX-MNOVXSKESA-N 0 1 264.329 0.833 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H](CO)CN2CCCCC2)nc1 ZINC000552146774 286155275 /nfs/dbraw/zinc/15/52/75/286155275.db2.gz CXOUBQJCWKJJBO-ZDUSSCGKSA-N 0 1 288.351 0.530 20 30 CCEDMN CNC(=O)NC(=O)CCN1CCC(F)(C#N)CC1 ZINC000352458910 411766036 /nfs/dbraw/zinc/76/60/36/411766036.db2.gz QMYQQNAMXVEABR-UHFFFAOYSA-N 0 1 256.281 0.160 20 30 CCEDMN C=CCCn1cc(CN2CCN(C[C@@H](C)O)C[C@H]2C)nn1 ZINC000653623775 412326122 /nfs/dbraw/zinc/32/61/22/412326122.db2.gz NNPBXOWNJSWJIQ-ZIAGYGMSSA-N 0 1 293.415 0.741 20 30 CCEDMN OCC(CO)NCC#Cc1ccc(OC(F)(F)F)cc1 ZINC000653734363 412340795 /nfs/dbraw/zinc/34/07/95/412340795.db2.gz ORYDUMRFTXDZHT-UHFFFAOYSA-N 0 1 289.253 0.880 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCCOc1ccccc1F ZINC000662166858 414695334 /nfs/dbraw/zinc/69/53/34/414695334.db2.gz JMMVTHBFECCADW-GFCCVEGCSA-N 0 1 296.342 0.847 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCO[C@H]1CCCC[C@H]1C ZINC000662166637 414695359 /nfs/dbraw/zinc/69/53/59/414695359.db2.gz AUURTJNZNZPCRX-MCIONIFRSA-N 0 1 284.400 0.834 20 30 CCEDMN C=C[C@H](CO)NCc1ccc(S(=O)(=O)NC)cc1 ZINC000662169290 414695549 /nfs/dbraw/zinc/69/55/49/414695549.db2.gz IVOHJKZQYMJSRN-LLVKDONJSA-N 0 1 270.354 0.231 20 30 CCEDMN C=CCN(C(=O)N[C@@H]1CCN(CCOC)C1)[C@@H](C)COC ZINC000664185517 415269189 /nfs/dbraw/zinc/26/91/89/415269189.db2.gz YTPAUEGRRKVDNB-UONOGXRCSA-N 0 1 299.415 0.940 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)Nc1nccnc1C#N ZINC000078543564 177352276 /nfs/dbraw/zinc/35/22/76/177352276.db2.gz HWJDOWQEWCDQDN-NSHDSACASA-N 0 1 260.345 0.396 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)CC(C)(C)O ZINC000078727894 177377455 /nfs/dbraw/zinc/37/74/55/177377455.db2.gz IRGLLZLVPUIFHJ-ZDUSSCGKSA-N 0 1 253.346 0.498 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)CNCc2cccnn2)cc1 ZINC000236517671 269750121 /nfs/dbraw/zinc/75/01/21/269750121.db2.gz KFDCKFXUGFBXEE-AWEZNQCLSA-N 0 1 284.319 0.878 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCCCC#N)[C@@H](C)C1 ZINC000355087137 227385807 /nfs/dbraw/zinc/38/58/07/227385807.db2.gz SBXJDKSLLBSWNP-RYUDHWBXSA-N 0 1 268.361 0.387 20 30 CCEDMN C[C@]1(O)CCCN(CC(=O)NC2(C#N)CCC2)CC1 ZINC000347110776 226028865 /nfs/dbraw/zinc/02/88/65/226028865.db2.gz XQHSDPFZAQXRRN-ZDUSSCGKSA-N 0 1 265.357 0.786 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@H]1CO ZINC000347256692 226102351 /nfs/dbraw/zinc/10/23/51/226102351.db2.gz WQAZIKLEUKEAHF-MNOVXSKESA-N 0 1 251.330 0.109 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000330040327 228025171 /nfs/dbraw/zinc/02/51/71/228025171.db2.gz CJKXCTWZFBUCII-WYUUTHIRSA-N 0 1 254.330 0.556 20 30 CCEDMN Cn1nccc1[NH+]=C([O-])N[C@@H]1CCn2ccnc2C1 ZINC000330259945 228084043 /nfs/dbraw/zinc/08/40/43/228084043.db2.gz SKDRRDVAAJDRAR-SECBINFHSA-N 0 1 260.301 0.958 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@@H]1C[C@H](O)C1(C)C ZINC000330269091 228086019 /nfs/dbraw/zinc/08/60/19/228086019.db2.gz JCILKAFMGWJMNY-UPJWGTAASA-N 0 1 298.431 0.285 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)NC1(c2nnc[nH]2)CCC1 ZINC000352058664 228178245 /nfs/dbraw/zinc/17/82/45/228178245.db2.gz FBLPQARZABXLRX-VIFPVBQESA-N 0 1 298.372 0.110 20 30 CCEDMN COC[C@@H]1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000352235806 228204125 /nfs/dbraw/zinc/20/41/25/228204125.db2.gz ZJCWSVVLDOTBIN-RISCZKNCSA-N 0 1 265.357 0.763 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NC[C@@H]2CCCC[C@H]2O)C1 ZINC000329574140 545023632 /nfs/dbraw/zinc/02/36/32/545023632.db2.gz NORIRQLDQDHQOP-BFHYXJOUSA-N 0 1 298.431 0.287 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N1C[C@H]3CC[C@@H](C1)O3)CC2 ZINC000329797313 545026536 /nfs/dbraw/zinc/02/65/36/545026536.db2.gz FKQNKYHRXVDTSH-UTUOFQBUSA-N 0 1 291.355 0.679 20 30 CCEDMN CN1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C(C)(C)C1 ZINC000408052634 260198633 /nfs/dbraw/zinc/19/86/33/260198633.db2.gz CGSLIJSAABCWHY-HNNXBMFYSA-N 0 1 278.400 0.821 20 30 CCEDMN CC[C@H]1CN(C)CCN1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000517873417 260312956 /nfs/dbraw/zinc/31/29/56/260312956.db2.gz QYBOZGITZQPYDJ-DZGCQCFKSA-N 0 1 278.400 0.821 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCC[C@@H](C(N)=O)C1 ZINC000490717937 261192397 /nfs/dbraw/zinc/19/23/97/261192397.db2.gz GFGWZAWBCWEYKC-MGPQQGTHSA-N 0 1 292.379 0.919 20 30 CCEDMN C#CCNC(=O)N1CCN(CCOC)[C@@H](CCC)C1 ZINC000490871718 261232934 /nfs/dbraw/zinc/23/29/34/261232934.db2.gz CCOBVUGDFLBFIV-ZDUSSCGKSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCN(CC#C)C(=O)c1cnc(CCOCCOC)[nH]1 ZINC000491210044 261371430 /nfs/dbraw/zinc/37/14/30/261371430.db2.gz PGTWAAUXETUWSG-UHFFFAOYSA-N 0 1 289.335 0.324 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2cn(C)nc2OC)CC1 ZINC000491253432 261390861 /nfs/dbraw/zinc/39/08/61/261390861.db2.gz NSFOAIIHDFKLNI-UHFFFAOYSA-N 0 1 276.340 0.712 20 30 CCEDMN CN1CC[C@@H]2CCN(S(=O)(=O)CCCC#N)[C@H]2C1 ZINC000364854548 262262967 /nfs/dbraw/zinc/26/29/67/262262967.db2.gz ONNOBRWLQIHZDQ-NEPJUHHUSA-N 0 1 271.386 0.646 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(C(=O)N(C)C)CC2)C1=O ZINC000337136659 262425363 /nfs/dbraw/zinc/42/53/63/262425363.db2.gz ORFGKXZFCBNSID-ZDUSSCGKSA-N 0 1 279.384 0.574 20 30 CCEDMN CCN(CC(=O)N[C@](C)(C#N)C(C)C)[C@H](C)CO ZINC000339627831 262657083 /nfs/dbraw/zinc/65/70/83/262657083.db2.gz LICXRDROUBSQJY-DGCLKSJQSA-N 0 1 255.362 0.744 20 30 CCEDMN CN(CC(=O)N1CC(C)(C#N)C1)c1ncnc2[nH]cnc21 ZINC000280547284 263062721 /nfs/dbraw/zinc/06/27/21/263062721.db2.gz TZLYAFXTQJZJJR-UHFFFAOYSA-N 0 1 285.311 0.161 20 30 CCEDMN C=CCN1CC[C@H]([N@H+](C)CCC(=O)N(C)CCC#N)C1=O ZINC000281453799 263069249 /nfs/dbraw/zinc/06/92/49/263069249.db2.gz UWRJQLADNIKGQN-ZDUSSCGKSA-N 0 1 292.383 0.467 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCC(=O)N(C)CCC#N)C1=O ZINC000281453799 263069250 /nfs/dbraw/zinc/06/92/50/263069250.db2.gz UWRJQLADNIKGQN-ZDUSSCGKSA-N 0 1 292.383 0.467 20 30 CCEDMN C=CCC(F)(F)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000293576616 263143588 /nfs/dbraw/zinc/14/35/88/263143588.db2.gz DRWNEQVWDRSSKU-SNVBAGLBSA-N 0 1 261.316 0.560 20 30 CCEDMN C[C@@H](C#N)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC000341092808 263321657 /nfs/dbraw/zinc/32/16/57/263321657.db2.gz NSHYZVWGIPMAMR-LURJTMIESA-N 0 1 257.253 0.760 20 30 CCEDMN CCNC(=O)C[C@H]1CCCCN1CC(=O)NCC#N ZINC000342010261 263376968 /nfs/dbraw/zinc/37/69/68/263376968.db2.gz CPOXARGVTDACDQ-LLVKDONJSA-N 0 1 266.345 0.007 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N(C)C1CCOCC1)CC2 ZINC000328783135 263871197 /nfs/dbraw/zinc/87/11/97/263871197.db2.gz HTNORYRHMXAUEF-LLVKDONJSA-N 0 1 293.371 0.926 20 30 CCEDMN C=CCN(CC1CCCCC1)C(=O)CNC(=O)CN ZINC000124878656 263920767 /nfs/dbraw/zinc/92/07/67/263920767.db2.gz GXCHASOEWOLCPG-UHFFFAOYSA-N 0 1 267.373 0.656 20 30 CCEDMN CCCCNC(=O)NC(=O)CN1CCN(C)C[C@@H]1C ZINC000330676336 264014502 /nfs/dbraw/zinc/01/45/02/264014502.db2.gz UEGPFIORWWPJLG-NSHDSACASA-N 0 1 270.377 0.453 20 30 CCEDMN CN(C)[C@H]1CCCN(C(=O)NCCCS(C)(=O)=O)C1 ZINC000330701124 264017794 /nfs/dbraw/zinc/01/77/94/264017794.db2.gz HFSGVBJHMFHNSC-NSHDSACASA-N 0 1 291.417 0.361 20 30 CCEDMN CCOC(=O)CC1CCN([C@H](C)C(=O)NC(=O)NC)CC1 ZINC000330751007 264029182 /nfs/dbraw/zinc/02/91/82/264029182.db2.gz IAKHBFGYOHPCAX-SNVBAGLBSA-N 0 1 299.371 0.700 20 30 CCEDMN C[C@@H](O)C1CCN(C(=O)NCCn2cncn2)CC1 ZINC000330870833 264059255 /nfs/dbraw/zinc/05/92/55/264059255.db2.gz BRYHLYYFBZYJSR-SNVBAGLBSA-N 0 1 267.333 0.285 20 30 CCEDMN CC(C)N(C)CCNC(=O)N[C@@H]1CCCS(=O)(=O)C1 ZINC000330854997 264069191 /nfs/dbraw/zinc/06/91/91/264069191.db2.gz PCTZTBFSDDWUAF-LLVKDONJSA-N 0 1 291.417 0.407 20 30 CCEDMN N#CCCCC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000330910273 264075132 /nfs/dbraw/zinc/07/51/32/264075132.db2.gz YHBHHACGAHUUMZ-CYBMUJFWSA-N 0 1 265.357 0.861 20 30 CCEDMN CC(C)(O)CCNC(=O)c1cccn2c(O)nnc12 ZINC000331135513 264134424 /nfs/dbraw/zinc/13/44/24/264134424.db2.gz UXVKUFKJLKOFAX-UHFFFAOYSA-N 0 1 264.285 0.901 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@@H](C)CCN1CCN(C)CC1 ZINC000331224727 264149611 /nfs/dbraw/zinc/14/96/11/264149611.db2.gz DVFGGXSJLYSDPV-ZDUSSCGKSA-N 0 1 289.445 0.508 20 30 CCEDMN CC(=O)N1CCN(CCC(=O)N(C)CCC#N)[C@@H](C)C1 ZINC000357001092 266228685 /nfs/dbraw/zinc/22/86/85/266228685.db2.gz MEHKVKTUNKZBQA-LBPRGKRZSA-N 0 1 280.372 0.301 20 30 CCEDMN N#CCCCS(=O)(=O)NC[C@H]1CN2CCC[C@H]2CO1 ZINC000362773165 267044565 /nfs/dbraw/zinc/04/45/65/267044565.db2.gz BAFBGGQFQBMASP-RYUDHWBXSA-N 0 1 287.385 0.073 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCOC[C@@H](C2CCC2)C1 ZINC000368345760 267165361 /nfs/dbraw/zinc/16/53/61/267165361.db2.gz AAWSYEKDMGRWCU-OCCSQVGLSA-N 0 1 264.369 0.873 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(OCCOC)CC1 ZINC000116885396 267186368 /nfs/dbraw/zinc/18/63/68/267186368.db2.gz ZFRCDSLAMBCGMF-UHFFFAOYSA-N 0 1 297.399 0.876 20 30 CCEDMN CN1CCC[C@@H](NCc2cnc3ccc(C#N)cn23)C1=O ZINC000342781963 273879267 /nfs/dbraw/zinc/87/92/67/273879267.db2.gz RJTHVTLRVRHLSJ-CYBMUJFWSA-N 0 1 283.335 0.916 20 30 CCEDMN CN1C[C@H](NC(=O)N2CCN(C3CCC3)CC2)CCC1=O ZINC000329855487 277201455 /nfs/dbraw/zinc/20/14/55/277201455.db2.gz UCHYBVBLRHOBEB-GFCCVEGCSA-N 0 1 294.399 0.691 20 30 CCEDMN Cc1cc(NC(=O)N[C@H]2CCc3nc[nH]c3C2)nn1C ZINC000329803200 280292007 /nfs/dbraw/zinc/29/20/07/280292007.db2.gz ZNQPBPYUZDBMSN-VIFPVBQESA-N 0 1 274.328 0.757 20 30 CCEDMN CC[C@@H](CO)N(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000345827350 327257628 /nfs/dbraw/zinc/25/76/28/327257628.db2.gz HIKPYDCEWQPZBS-WCQYABFASA-N 0 1 253.346 0.498 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@H](CO)CC(C)C ZINC000185319154 329273085 /nfs/dbraw/zinc/27/30/85/329273085.db2.gz JSKUXSUJJQETFN-NSHDSACASA-N 0 1 271.361 0.337 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC000397083577 329325292 /nfs/dbraw/zinc/32/52/92/329325292.db2.gz RMEBGMJVEUPMSN-UWVGGRQHSA-N 0 1 283.328 0.183 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)CC[C@H](C)O ZINC000229437182 329353664 /nfs/dbraw/zinc/35/36/64/329353664.db2.gz KPEWGHWXURSEEY-AAEUAGOBSA-N 0 1 255.362 0.744 20 30 CCEDMN C=CCNC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000069916455 329854728 /nfs/dbraw/zinc/85/47/28/329854728.db2.gz QLPKUFOCBLJJPI-ZDUSSCGKSA-N 0 1 267.373 0.926 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1cn(C)nc1C ZINC000272805828 330094530 /nfs/dbraw/zinc/09/45/30/330094530.db2.gz FQVNPKPYKWDRJW-UHFFFAOYSA-N 0 1 257.359 0.419 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)N(C)C[C@H](C)C#N ZINC000423738606 330214800 /nfs/dbraw/zinc/21/48/00/330214800.db2.gz HJEKRGIKQIQECW-NEPJUHHUSA-N 0 1 280.372 0.205 20 30 CCEDMN C=CCCn1cc(C(=O)N2C[C@H](C)N[C@@H](C)C2)nn1 ZINC000424075092 330220801 /nfs/dbraw/zinc/22/08/01/330220801.db2.gz IRJXDJCCAQIXFS-QWRGUYRKSA-N 0 1 263.345 0.677 20 30 CCEDMN Cc1nc([C@@H]2CN(C(=O)[C@@]3(C#N)C[C@H]3C)CCO2)n[nH]1 ZINC000424087109 330223869 /nfs/dbraw/zinc/22/38/69/330223869.db2.gz HLBHVOGOGZOPEJ-DFAYQTQMSA-N 0 1 275.312 0.563 20 30 CCEDMN C#CCNC(=O)N1CCN(C2CCOCC2)CC1 ZINC000083019874 330356006 /nfs/dbraw/zinc/35/60/06/330356006.db2.gz XJHPXYCASYYOIS-UHFFFAOYSA-N 0 1 251.330 0.126 20 30 CCEDMN C=CCNC(=O)[C@H](C)N[C@@H](COC)[C@H]1CCCO1 ZINC000432653870 330602922 /nfs/dbraw/zinc/60/29/22/330602922.db2.gz OMQFIFOHBPNLKQ-SDDRHHMPSA-N 0 1 256.346 0.461 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)N(C)C)C1 ZINC000452024807 331050873 /nfs/dbraw/zinc/05/08/73/331050873.db2.gz WNZOLUUSGFCPBG-LBPRGKRZSA-N 0 1 255.362 0.925 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)CSc1nnc(C)[nH]1 ZINC000456763007 331201048 /nfs/dbraw/zinc/20/10/48/331201048.db2.gz CZCNKRVHNYFDLF-SECBINFHSA-N 0 1 270.358 0.649 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)CSc1nc(C)n[nH]1 ZINC000456763007 331201049 /nfs/dbraw/zinc/20/10/49/331201049.db2.gz CZCNKRVHNYFDLF-SECBINFHSA-N 0 1 270.358 0.649 20 30 CCEDMN COCCN(CC#N)C(=O)CN1CCC(C)CC1 ZINC000457209435 331216117 /nfs/dbraw/zinc/21/61/17/331216117.db2.gz ZBUFBCKQGBYRPH-UHFFFAOYSA-N 0 1 253.346 0.717 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](OC)C2)CC1 ZINC000490763218 332113999 /nfs/dbraw/zinc/11/39/99/332113999.db2.gz OQSROQZXPBVTTK-ZDUSSCGKSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCc1nc(C)no1 ZINC000490872059 332122838 /nfs/dbraw/zinc/12/28/38/332122838.db2.gz DPPLCQJATQXBKU-LBPRGKRZSA-N 0 1 277.324 0.951 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](C(C)(C)C)[C@H](O)C1 ZINC000490951339 332132597 /nfs/dbraw/zinc/13/25/97/332132597.db2.gz WWFALGPMXNNCAL-YNEHKIRRSA-N 0 1 266.385 0.853 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@]3(CCOC3)C2)CC1 ZINC000490976594 332135337 /nfs/dbraw/zinc/13/53/37/332135337.db2.gz YZUKNGHTLDLXKB-MRXNPFEDSA-N 0 1 292.379 0.350 20 30 CCEDMN C#C[C@@H](NC(=O)CN1CCN(CC)CC1)C(C)C ZINC000491023470 332140235 /nfs/dbraw/zinc/14/02/35/332140235.db2.gz XRRDESSBHDPJNO-CYBMUJFWSA-N 0 1 251.374 0.398 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCSCC(=O)OC ZINC000491071345 332146378 /nfs/dbraw/zinc/14/63/78/332146378.db2.gz KAQWGODXMRVGMJ-LBPRGKRZSA-N 0 1 299.392 0.924 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)N[C@H](C)C(C)C)CC1 ZINC000491104158 332150317 /nfs/dbraw/zinc/15/03/17/332150317.db2.gz RSZNQYCIWQCEAX-CYBMUJFWSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000491122599 332152982 /nfs/dbraw/zinc/15/29/82/332152982.db2.gz WWQSAYVTOFOPBA-TZMCWYRMSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H](C)C(=O)N[C@H](C)CC)CC1 ZINC000491202961 332165227 /nfs/dbraw/zinc/16/52/27/332165227.db2.gz RKZRRAPQGKRLAF-OLZOCXBDSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@@H](c3cccnc3)C2)C1=O ZINC000491664468 332273300 /nfs/dbraw/zinc/27/33/00/332273300.db2.gz SZAIVFLBXDHFDT-KGLIPLIRSA-N 0 1 283.331 0.632 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000491747596 332324903 /nfs/dbraw/zinc/32/49/03/332324903.db2.gz RYQAEDUAQHMAKP-UHFFFAOYSA-N 0 1 298.346 0.608 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000491747596 332324904 /nfs/dbraw/zinc/32/49/04/332324904.db2.gz RYQAEDUAQHMAKP-UHFFFAOYSA-N 0 1 298.346 0.608 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1ccc(Cl)cc1 ZINC000491802935 332356910 /nfs/dbraw/zinc/35/69/10/332356910.db2.gz HLCKITBEMCGVON-NEPJUHHUSA-N 0 1 269.728 0.663 20 30 CCEDMN C#C[C@H](CC)NC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000491822108 332371194 /nfs/dbraw/zinc/37/11/94/332371194.db2.gz ZOZHQABVFCBTIP-LLVKDONJSA-N 0 1 297.318 0.156 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](c3nc[nH]n3)C2)nc1N ZINC000575762354 335113264 /nfs/dbraw/zinc/11/32/64/335113264.db2.gz QYVKUGFLKAJBRF-VIFPVBQESA-N 0 1 255.285 0.647 20 30 CCEDMN C[C@@H]1C[N@H+](C[C@@H](O)CNCC2(C#N)CCC2)C[C@H](C)O1 ZINC000517126500 333093200 /nfs/dbraw/zinc/09/32/00/333093200.db2.gz GQKHWJNRDSXZIA-MJBXVCDLSA-N 0 1 281.400 0.740 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CNCC2(C#N)CCC2)C[C@H](C)O1 ZINC000517126500 333093201 /nfs/dbraw/zinc/09/32/01/333093201.db2.gz GQKHWJNRDSXZIA-MJBXVCDLSA-N 0 1 281.400 0.740 20 30 CCEDMN C[C@@H](C#N)CNC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000522015874 333230373 /nfs/dbraw/zinc/23/03/73/333230373.db2.gz YFCGCUNDFYRXAX-QMMMGPOBSA-N 0 1 273.300 0.065 20 30 CCEDMN C#CCNC(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000193370479 333248920 /nfs/dbraw/zinc/24/89/20/333248920.db2.gz ZGLSBYHODRGDFK-UHFFFAOYSA-N 0 1 272.352 0.978 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@@H](C)[C@@H]1CO ZINC000227178991 333277718 /nfs/dbraw/zinc/27/77/18/333277718.db2.gz FKCJDOSQUDMYFF-MBNYWOFBSA-N 0 1 267.373 0.744 20 30 CCEDMN C[C@]1(O)CCCN(CC(=O)N(CCC#N)CCC#N)CC1 ZINC000230379925 333316702 /nfs/dbraw/zinc/31/67/02/333316702.db2.gz GBRXSKWKHQBITD-HNNXBMFYSA-N 0 1 292.383 0.879 20 30 CCEDMN CC[C@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@H]1O ZINC000341007106 335165449 /nfs/dbraw/zinc/16/54/49/335165449.db2.gz FGHGFHZFODJEMR-QWHCGFSZSA-N 0 1 278.356 0.345 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H]2CCCO2)CC1 ZINC000076968011 334092278 /nfs/dbraw/zinc/09/22/78/334092278.db2.gz XSLXHCHIQJADKW-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C[C@@H](C)O)[C@H](C)CO ZINC000248966936 334323278 /nfs/dbraw/zinc/32/32/78/334323278.db2.gz RYOSSZFZRHGJSP-JHJVBQTASA-N 0 1 285.388 0.058 20 30 CCEDMN CN(C)CCNS(=O)(=O)Cc1ccc(CC#N)cc1 ZINC000564905370 334527202 /nfs/dbraw/zinc/52/72/02/334527202.db2.gz RHXLMHZFTMWJNW-UHFFFAOYSA-N 0 1 281.381 0.734 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CC[C@@H]2CCC[NH2+]C2)o1 ZINC000577296573 335336901 /nfs/dbraw/zinc/33/69/01/335336901.db2.gz GMSVPSZEDWTFDQ-JTQLQIEISA-N 0 1 283.353 0.819 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CC[C@H](CO)[C@H](O)C1 ZINC000577418900 335350761 /nfs/dbraw/zinc/35/07/61/335350761.db2.gz MEXXHRRAATVOOO-BFHYXJOUSA-N 0 1 282.384 0.251 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H](COC)c1ccccc1 ZINC000181683579 335778395 /nfs/dbraw/zinc/77/83/95/335778395.db2.gz HYGOOUZEWNJPMU-ZDUSSCGKSA-N 0 1 291.351 0.976 20 30 CCEDMN CCN1CC[C@H]2OCCN(C(=O)[C@@]3(C#N)C[C@H]3C)[C@H]2C1 ZINC000581985034 336184587 /nfs/dbraw/zinc/18/45/87/336184587.db2.gz UBTUEDISHRZVDO-QVHKTLOISA-N 0 1 277.368 0.858 20 30 CCEDMN C=CCNC(=O)CN1CCN([C@H](C)CCOC)CC1 ZINC000447371907 545096439 /nfs/dbraw/zinc/09/64/39/545096439.db2.gz HJBQLKAQHFNWSS-CYBMUJFWSA-N 0 1 269.389 0.331 20 30 CCEDMN Cc1nnc(SCC(=O)N2CCC(C#N)CC2)[nH]1 ZINC000345004146 534525307 /nfs/dbraw/zinc/52/53/07/534525307.db2.gz PYZXCGUYDFJSOG-UHFFFAOYSA-N 0 1 265.342 0.967 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CCC(C#N)CC2)n1 ZINC000345004146 534525311 /nfs/dbraw/zinc/52/53/11/534525311.db2.gz PYZXCGUYDFJSOG-UHFFFAOYSA-N 0 1 265.342 0.967 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@@H]1CCCOC1 ZINC000352220033 526585119 /nfs/dbraw/zinc/58/51/19/526585119.db2.gz GMZXSUNPTCFMRS-SNVBAGLBSA-N 0 1 255.318 0.109 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@H]1CN2CCC[C@H]2CO1 ZINC000367188260 526683060 /nfs/dbraw/zinc/68/30/60/526683060.db2.gz RUZNXEIWEXZTKN-RYUDHWBXSA-N 0 1 274.386 0.345 20 30 CCEDMN C=CCOCC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000353539347 526694790 /nfs/dbraw/zinc/69/47/90/526694790.db2.gz ZWTGMSOMWYKAQG-LBPRGKRZSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CCOCCCN1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000347970067 526755874 /nfs/dbraw/zinc/75/58/74/526755874.db2.gz VPAIWRKZZPKDIS-AWEZNQCLSA-N 0 1 295.427 0.864 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCn2cccn2)CC1 ZINC000109969330 526915739 /nfs/dbraw/zinc/91/57/39/526915739.db2.gz KDBXJFFNDLMEMD-UHFFFAOYSA-N 0 1 274.368 0.687 20 30 CCEDMN C=CC[C@H](CO)CNS(=O)(=O)CCN(CC)CC ZINC000352241225 527186982 /nfs/dbraw/zinc/18/69/82/527186982.db2.gz IZDNBCAIUAVJEQ-LBPRGKRZSA-N 0 1 278.418 0.432 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN1CCCOCC1 ZINC000356014733 527632751 /nfs/dbraw/zinc/63/27/51/527632751.db2.gz VZGIZSPDCZSFEH-ZDUSSCGKSA-N 0 1 256.346 0.152 20 30 CCEDMN CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)N[C@@H]1CC[S@](=O)C1 ZINC000330037152 527891989 /nfs/dbraw/zinc/89/19/89/527891989.db2.gz YXDROVFPFKGREO-ZUWLOFHESA-N 0 1 299.440 0.980 20 30 CCEDMN C=C[C@@H](O)C(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000668970928 545196333 /nfs/dbraw/zinc/19/63/33/545196333.db2.gz UAYKSGFHWYKKOV-GFCCVEGCSA-N 0 1 277.324 0.333 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000673011028 545278102 /nfs/dbraw/zinc/27/81/02/545278102.db2.gz SLPHGFXFBKJCQF-STQMWFEESA-N 0 1 285.388 0.286 20 30 CCEDMN CC[C@@H](C#N)NC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000344804965 529269544 /nfs/dbraw/zinc/26/95/44/529269544.db2.gz NPXFSKXXCYCPSO-LBPRGKRZSA-N 0 1 287.367 0.865 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000352246464 529351720 /nfs/dbraw/zinc/35/17/20/529351720.db2.gz PYGSBPAXPPCRGG-WCQYABFASA-N 0 1 253.346 0.763 20 30 CCEDMN COCC#CCN1CCC[C@H](N2CCCC2=O)C1 ZINC000677816899 545373080 /nfs/dbraw/zinc/37/30/80/545373080.db2.gz DEUNMSNGJIGSGS-ZDUSSCGKSA-N 0 1 250.342 0.723 20 30 CCEDMN C=CCOc1cc(OC)ccc1C(=O)NCc1nn[nH]n1 ZINC000681045329 545440712 /nfs/dbraw/zinc/44/07/12/545440712.db2.gz QBIZYHBNAJGKNM-UHFFFAOYSA-N 0 1 289.295 0.703 20 30 CCEDMN C[C@H]1CN=C(NN=C(CO)c2ccc(F)cc2F)N1 ZINC000779793270 581205717 /nfs/dbraw/zinc/20/57/17/581205717.db2.gz ZKLFFWCWYZSWQT-ZETCQYMHSA-N 0 1 268.267 0.599 20 30 CCEDMN CN(CC(=O)NCCC#N)C[C@@H]1CCCC[C@H]1O ZINC000778245070 581147331 /nfs/dbraw/zinc/14/73/31/581147331.db2.gz IZDDYCLOBABJMD-NWDGAFQWSA-N 0 1 253.346 0.499 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cn(C)nc2C(C)(C)C)N1 ZINC000779794263 581205630 /nfs/dbraw/zinc/20/56/30/581205630.db2.gz FQQKIBPIXWQMSE-VIFPVBQESA-N 0 1 262.361 0.989 20 30 CCEDMN C#CCNC(=O)CN1CCN(C)[C@H](Cc2ccccc2)C1 ZINC000792944938 581276891 /nfs/dbraw/zinc/27/68/91/581276891.db2.gz YXRAYFAPFADFPV-MRXNPFEDSA-N 0 1 285.391 0.595 20 30 CCEDMN C#CCNC(=O)CN[C@@H](C)c1ccc([S@@](C)=O)cc1 ZINC000782507218 581306116 /nfs/dbraw/zinc/30/61/16/581306116.db2.gz ZBTVTWAUCXAZRS-JEOXALJRSA-N 0 1 278.377 0.824 20 30 CCEDMN C#CCNC(=O)CN[C@H](C)c1ccc([S@](C)=O)cc1 ZINC000782507222 581306198 /nfs/dbraw/zinc/30/61/98/581306198.db2.gz ZBTVTWAUCXAZRS-WYRIXSBYSA-N 0 1 278.377 0.824 20 30 CCEDMN C#CCNC(=O)C[N@@H+](C1CC1)C1CCN(C(=O)[O-])CC1 ZINC000738461240 581333838 /nfs/dbraw/zinc/33/38/38/581333838.db2.gz PPSDMRZLMLPXBM-UHFFFAOYSA-N 0 1 279.340 0.343 20 30 CCEDMN N=C(N)NN=C1CCOc2c1cc(F)cc2[N+](=O)[O-] ZINC000783824352 581365474 /nfs/dbraw/zinc/36/54/74/581365474.db2.gz QYDNLXOFBRGRQI-UHFFFAOYSA-N 0 1 267.220 0.704 20 30 CCEDMN O=c1nc(N=NC2CCN3CCC[C@H]23)nc2[nH][nH]cc1-2 ZINC000765574517 581525945 /nfs/dbraw/zinc/52/59/45/581525945.db2.gz ANRLFVNNOGJHPK-SECBINFHSA-N 0 1 273.300 0.068 20 30 CCEDMN C#CCC(C)(C)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000767077210 581595289 /nfs/dbraw/zinc/59/52/89/581595289.db2.gz BGFHSRYTICXHGV-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCN1CCCC1=O ZINC000729812667 581616334 /nfs/dbraw/zinc/61/63/34/581616334.db2.gz ZQNMAGWRUAUSLQ-ZDUSSCGKSA-N 0 1 278.352 0.640 20 30 CCEDMN CC(C)CN(CCC#N)CCCN1C(=O)CNC1=O ZINC000739915930 581634505 /nfs/dbraw/zinc/63/45/05/581634505.db2.gz UMLIXSIGEBDNDD-UHFFFAOYSA-N 0 1 266.345 0.800 20 30 CCEDMN CCCC[C@H](ON=C(C)C)C(=O)NCc1nn[nH]n1 ZINC000768078346 581644115 /nfs/dbraw/zinc/64/41/15/581644115.db2.gz RIYNTDRULFOQJE-VIFPVBQESA-N 0 1 268.321 0.787 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](CCC)c1nn[nH]n1 ZINC000755958927 581652437 /nfs/dbraw/zinc/65/24/37/581652437.db2.gz XDONSFCZWLFIDR-VIFPVBQESA-N 0 1 251.290 0.197 20 30 CCEDMN C#CC[NH+]1CCC[C@H]1C(=O)NC[C@@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000730281698 581690994 /nfs/dbraw/zinc/69/09/94/581690994.db2.gz SOATZUNWFOAFEW-ZNMIVQPWSA-N 0 1 291.395 0.063 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)[N-]O[C@H]1CCCCO1 ZINC000730284460 581692450 /nfs/dbraw/zinc/69/24/50/581692450.db2.gz PYWHTDATMTZEDA-RYUDHWBXSA-N 0 1 252.314 0.658 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)[N-]O[C@H]1CCCCO1 ZINC000730284460 581692452 /nfs/dbraw/zinc/69/24/52/581692452.db2.gz PYWHTDATMTZEDA-RYUDHWBXSA-N 0 1 252.314 0.658 20 30 CCEDMN C=CC[N@@H+](CCCC(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC000736108603 581698456 /nfs/dbraw/zinc/69/84/56/581698456.db2.gz IYPMRMLKGJGCKA-JTQLQIEISA-N 0 1 261.343 0.526 20 30 CCEDMN C=CC[N@H+](CCCC(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC000736108603 581698460 /nfs/dbraw/zinc/69/84/60/581698460.db2.gz IYPMRMLKGJGCKA-JTQLQIEISA-N 0 1 261.343 0.526 20 30 CCEDMN N#CCCNC(=O)COC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000758131878 581722580 /nfs/dbraw/zinc/72/25/80/581722580.db2.gz JADWVXHMRXNCEP-SECBINFHSA-N 0 1 276.296 0.403 20 30 CCEDMN CC[C@@H](C(=O)OCC(=O)NCC#N)N(CC)CC ZINC000741033129 581728571 /nfs/dbraw/zinc/72/85/71/581728571.db2.gz NYNAJAOSFNVEGA-JTQLQIEISA-N 0 1 255.318 0.290 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+](C)C(C)(C)C(=O)[O-] ZINC000736349743 581748291 /nfs/dbraw/zinc/74/82/91/581748291.db2.gz NYALEZDYZXEWCL-ZDUSSCGKSA-N 0 1 269.345 0.836 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](C)C(C)(C)C(=O)[O-] ZINC000736349743 581748294 /nfs/dbraw/zinc/74/82/94/581748294.db2.gz NYALEZDYZXEWCL-ZDUSSCGKSA-N 0 1 269.345 0.836 20 30 CCEDMN COc1nc(C(=O)OCc2ccnc(C#N)c2)cc(=O)[nH]1 ZINC000770521017 581750234 /nfs/dbraw/zinc/75/02/34/581750234.db2.gz LDKCDBLATCVUKC-UHFFFAOYSA-N 0 1 286.247 0.814 20 30 CCEDMN C#CCCCCNC(=O)CN1CCN(CC)CC1 ZINC000758636101 581760770 /nfs/dbraw/zinc/76/07/70/581760770.db2.gz BVNZOXNDNWKGPS-UHFFFAOYSA-N 0 1 251.374 0.544 20 30 CCEDMN CC(=NNC(=N)N)c1ccc(S(C)(=O)=O)c(F)c1 ZINC000741759942 581761212 /nfs/dbraw/zinc/76/12/12/581761212.db2.gz OWJFWBQBVCJGRG-UHFFFAOYSA-N 0 1 272.305 0.436 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)Cn2cnc([N+](=O)[O-])n2)c1 ZINC000759716597 581817291 /nfs/dbraw/zinc/81/72/91/581817291.db2.gz AVMGNPUGLDVPCZ-UHFFFAOYSA-N 0 1 288.223 0.402 20 30 CCEDMN N#C[C@H](C(=O)CCc1nc[nH]n1)C(=O)NCc1ccccc1 ZINC000759834996 581826025 /nfs/dbraw/zinc/82/60/25/581826025.db2.gz QWFQLUMICQQNDP-GFCCVEGCSA-N 0 1 297.318 0.763 20 30 CCEDMN COCCOC[C@H]1CCN(CC(=O)NCCC#N)C1 ZINC000743859671 581827976 /nfs/dbraw/zinc/82/79/76/581827976.db2.gz ZFLPFLWHSMVLQR-LBPRGKRZSA-N 0 1 269.345 0.001 20 30 CCEDMN CCN(C(=O)NCCNC(O)=C1N=CC=CC1=O)C(C)C ZINC000732465855 581828865 /nfs/dbraw/zinc/82/88/65/581828865.db2.gz IXFPPRQPMUOZQJ-OUKQBFOZSA-N 0 1 294.355 0.953 20 30 CCEDMN N#Cc1cnc(N2CCN(CCCCO)CC2)cn1 ZINC000772079653 581842092 /nfs/dbraw/zinc/84/20/92/581842092.db2.gz BABOTFOIOXDWJR-UHFFFAOYSA-N 0 1 261.329 0.243 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)N2CCC2)CC1 ZINC000772115984 581843425 /nfs/dbraw/zinc/84/34/25/581843425.db2.gz LKOGJGPRHWXCCB-UHFFFAOYSA-N 0 1 264.325 0.107 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](CC)C(N)=O)CC1 ZINC000772109827 581843772 /nfs/dbraw/zinc/84/37/72/581843772.db2.gz MXLRABMVOWQHHI-NSHDSACASA-N 0 1 252.314 0.139 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)[C@@H](CC)N(CC)CC ZINC000745095945 581865833 /nfs/dbraw/zinc/86/58/33/581865833.db2.gz SCYVNGWRMIWFMN-NWDGAFQWSA-N 0 1 268.357 0.788 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000737013197 581885673 /nfs/dbraw/zinc/88/56/73/581885673.db2.gz BGKZPBKMVQBCCU-ZDUSSCGKSA-N 0 1 267.329 0.591 20 30 CCEDMN CCC[N@@H+](CC(=O)[O-])CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000737013197 581885675 /nfs/dbraw/zinc/88/56/75/581885675.db2.gz BGKZPBKMVQBCCU-ZDUSSCGKSA-N 0 1 267.329 0.591 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCN(C)[C@@H](C)C1 ZINC000761078578 581886912 /nfs/dbraw/zinc/88/69/12/581886912.db2.gz RPYKAWGRACFQRY-STQMWFEESA-N 0 1 266.389 0.630 20 30 CCEDMN CCn1cc(CN=Nc2ccccc2S(N)(=O)=O)cn1 ZINC000773120991 581909724 /nfs/dbraw/zinc/90/97/24/581909724.db2.gz ODLLSZNYORTWTC-UHFFFAOYSA-N 0 1 293.352 0.996 20 30 CCEDMN C#C[C@H](NC(=O)NCc1cc(CC)[nH]n1)[C@H]1CCCO1 ZINC000773312462 581921256 /nfs/dbraw/zinc/92/12/56/581921256.db2.gz TXMORFSSMHKHMI-QWHCGFSZSA-N 0 1 276.340 0.952 20 30 CCEDMN COC(=O)N[C@@H](C)C(=O)Nc1cc(C#N)ccc1O ZINC000762077271 581930213 /nfs/dbraw/zinc/93/02/13/581930213.db2.gz QOEZWVYTJGRXQA-ZETCQYMHSA-N 0 1 263.253 0.947 20 30 CCEDMN C[N@H+](CC(=O)NCc1ccc(C#N)cc1)C(C)(C)C(=O)[O-] ZINC000737393369 581951579 /nfs/dbraw/zinc/95/15/79/581951579.db2.gz LRSPBVCFIXZUJC-UHFFFAOYSA-N 0 1 289.335 0.969 20 30 CCEDMN C[N@@H+](CC(=O)NCc1ccc(C#N)cc1)C(C)(C)C(=O)[O-] ZINC000737393369 581951581 /nfs/dbraw/zinc/95/15/81/581951581.db2.gz LRSPBVCFIXZUJC-UHFFFAOYSA-N 0 1 289.335 0.969 20 30 CCEDMN COC(=O)NCCC(=O)Nc1cc(C#N)ccc1O ZINC000748002753 581959490 /nfs/dbraw/zinc/95/94/90/581959490.db2.gz OEVMSEIRMGMIKI-UHFFFAOYSA-N 0 1 263.253 0.948 20 30 CCEDMN C[C@H](NS(C)(=O)=O)C(=O)Nc1cc(C#N)ccc1O ZINC000748409986 581974018 /nfs/dbraw/zinc/97/40/18/581974018.db2.gz BNQKNDHSVDBESB-ZETCQYMHSA-N 0 1 283.309 0.140 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCNc1ncccc1C#N ZINC000748550650 581979644 /nfs/dbraw/zinc/97/96/44/581979644.db2.gz KUJCADGVQPENLJ-LBPRGKRZSA-N 0 1 273.340 0.576 20 30 CCEDMN N#Cc1nnc2ccccc2c1-n1cnc(-c2nn[nH]n2)n1 ZINC000737731264 581998163 /nfs/dbraw/zinc/99/81/63/581998163.db2.gz QELDYSDTPRQSJM-UHFFFAOYSA-N 0 1 290.250 0.262 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1CCCCN1C)[C@@H]1CCCO1 ZINC000775328183 582018510 /nfs/dbraw/zinc/01/85/10/582018510.db2.gz ZKBZTGKPQQOOQE-AVGNSLFASA-N 0 1 250.342 0.768 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NCC(C)C)[C@@H]1CCCO1 ZINC000775789170 582038360 /nfs/dbraw/zinc/03/83/60/582038360.db2.gz BFWIBYDVIXKHFH-AGIUHOORSA-N 0 1 252.358 0.918 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NCCCOCC)[C@@H]1CCCO1 ZINC000775795055 582038678 /nfs/dbraw/zinc/03/86/78/582038678.db2.gz LGBYQQIVYXHAKP-RDBSUJKOSA-N 0 1 282.384 0.688 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@](C)(CCC)NC(=O)[O-])CC1 ZINC000738457620 582052597 /nfs/dbraw/zinc/05/25/97/582052597.db2.gz RIDTWOJIJJNSLZ-HNNXBMFYSA-N 0 1 295.383 0.980 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN(CC2CC2)CC1)[C@H]1CCCO1 ZINC000776225068 582061258 /nfs/dbraw/zinc/06/12/58/582061258.db2.gz OWVKYJDBFYAIDG-HUUCEWRRSA-N 0 1 291.395 0.904 20 30 CCEDMN C[NH+]1CCN(N=Cc2cc([O-])ccc2[N+](=O)[O-])CC1 ZINC000751127607 582070792 /nfs/dbraw/zinc/07/07/92/582070792.db2.gz PMKSVXKMQDDEHI-UHFFFAOYSA-N 0 1 264.285 0.882 20 30 CCEDMN C=C(Br)CNC(=O)NCCCc1nc[nH]n1 ZINC000853502501 613237002 /nfs/dbraw/zinc/23/70/02/613237002.db2.gz BDCXTTKAPTZSLF-UHFFFAOYSA-N 0 1 288.149 0.945 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@@H]1CCCCN1CCO ZINC000831804285 612984405 /nfs/dbraw/zinc/98/44/05/612984405.db2.gz DIMDJNBHOAZPAZ-ZDUSSCGKSA-N 0 1 288.413 0.166 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N[C@H]1CC[N@H+](C2CC2)C1 ZINC000819821620 597637711 /nfs/dbraw/zinc/63/77/11/597637711.db2.gz IUPYZVRFBNRSAM-ZANVPECISA-N 0 1 265.313 0.344 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N[C@@H]1CC[N@@H+](CC2CC2)C1 ZINC000819821444 597644958 /nfs/dbraw/zinc/64/49/58/597644958.db2.gz GTLDVQXKGYKDAD-BXUZGUMPSA-N 0 1 279.340 0.591 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)OC[C@H]1CCC[N@H+]1CC(=O)[O-] ZINC000821277336 598069901 /nfs/dbraw/zinc/06/99/01/598069901.db2.gz CVOXJBZMIMVATE-LLVKDONJSA-N 0 1 291.307 0.603 20 30 CCEDMN N#CCN1CCC([NH2+]Cc2ccc(-c3nn[n-]n3)o2)CC1 ZINC000822811289 599434759 /nfs/dbraw/zinc/43/47/59/599434759.db2.gz UNWMFBKRZJIQFA-UHFFFAOYSA-N 0 1 287.327 0.537 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@@H](C)N(CC)[C@@H](C)C1 ZINC000880611085 613000622 /nfs/dbraw/zinc/00/06/22/613000622.db2.gz IWGOTUMNJNXDMF-FRRDWIJNSA-N 0 1 252.358 0.966 20 30 CCEDMN C#CC1(F)CN(C(=O)NCc2n[nH]c(C(C)(C)C)n2)C1 ZINC000883740505 612947685 /nfs/dbraw/zinc/94/76/85/612947685.db2.gz HGIMDYDITWHFQD-UHFFFAOYSA-N 0 1 279.319 0.969 20 30 CCEDMN C#CCSCC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000896220425 613013630 /nfs/dbraw/zinc/01/36/30/613013630.db2.gz DSDKSIMBQVSHOY-GFCCVEGCSA-N 0 1 269.414 0.105 20 30 CCEDMN C#CCSCC(=O)Nc1[nH]cnc1C(=O)OC ZINC000890437485 613014252 /nfs/dbraw/zinc/01/42/52/613014252.db2.gz FUAYZVSUTHLAOJ-UHFFFAOYSA-N 0 1 253.283 0.501 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@H+]2CC[C@H](C(=O)[O-])C2)cc1 ZINC000819095753 601958783 /nfs/dbraw/zinc/95/87/83/601958783.db2.gz KIYWZTLPULEEKP-QWHCGFSZSA-N 0 1 260.293 0.998 20 30 CCEDMN C[C@@H](Nc1ccnc(C#N)c1)[C@@H]1CN(C)CC[N@@H+]1C ZINC000912336188 617179512 /nfs/dbraw/zinc/17/95/12/617179512.db2.gz PJZQXLCJIHBWCA-RISCZKNCSA-N 0 1 259.357 0.421 20 30 CCEDMN C[C@@H](NC(=O)C(C)(C)C#N)[C@H]1CN(C)CCN1C ZINC000896569226 617182767 /nfs/dbraw/zinc/18/27/67/617182767.db2.gz FNICJYFCCVFZKD-GHMZBOCLSA-N 0 1 252.362 0.287 20 30 CCEDMN C[C@H](NC(=O)[O-])[C@H]1CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000825251775 604251870 /nfs/dbraw/zinc/25/18/70/604251870.db2.gz AVXPKPIOQJOAGS-QWRGUYRKSA-N 0 1 282.344 0.384 20 30 CCEDMN C=C(C)C[N@@H+](CC)CCc1cn(CC(=O)[O-])nn1 ZINC000833369235 604858638 /nfs/dbraw/zinc/85/86/38/604858638.db2.gz LMSGRUOTBGTZDB-UHFFFAOYSA-N 0 1 252.318 0.803 20 30 CCEDMN C#CCNC(=S)NN=c1cc(C)[nH]c(COCC)n1 ZINC000905640983 612953742 /nfs/dbraw/zinc/95/37/42/612953742.db2.gz YJDMLGBXUPRNSW-UHFFFAOYSA-N 0 1 279.369 0.746 20 30 CCEDMN C[C@@H](NC(=O)CCCC#N)[C@@H]1CN(C)CCN1C ZINC000896537815 617190662 /nfs/dbraw/zinc/19/06/62/617190662.db2.gz BWZINTFTCNTNMV-NEPJUHHUSA-N 0 1 252.362 0.431 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)NCCCc2nc[nH]n2)C1 ZINC000923128052 613045619 /nfs/dbraw/zinc/04/56/19/613045619.db2.gz RODFBHXOBYGPGM-LLVKDONJSA-N 0 1 261.329 0.792 20 30 CCEDMN N#Cc1ccc(NCCC[NH+]2CCN(C(=O)[O-])CC2)nn1 ZINC000833973686 605499947 /nfs/dbraw/zinc/49/99/47/605499947.db2.gz BNMGNVLUKQSUOJ-UHFFFAOYSA-N 0 1 290.327 0.446 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCc2ccn(C)c2)o1 ZINC000822422855 606624013 /nfs/dbraw/zinc/62/40/13/606624013.db2.gz DVACPEZVOVXBKY-UHFFFAOYSA-N 0 1 272.272 0.948 20 30 CCEDMN C#CCN(C(=O)CSc1nnc(C)[nH]1)C1CSC1 ZINC000912367074 613066098 /nfs/dbraw/zinc/06/60/98/613066098.db2.gz QBKPZJBCKWAZSF-UHFFFAOYSA-N 0 1 282.394 0.782 20 30 CCEDMN C#CCN(C(=O)CSc1nc(C)n[nH]1)C1CSC1 ZINC000912367074 613066100 /nfs/dbraw/zinc/06/61/00/613066100.db2.gz QBKPZJBCKWAZSF-UHFFFAOYSA-N 0 1 282.394 0.782 20 30 CCEDMN C#CC[C@H](NS(=O)(=O)C[C@@H](OC)C(C)C)C(=O)OC ZINC000827683490 613071101 /nfs/dbraw/zinc/07/11/01/613071101.db2.gz INJJISUKIZZLEO-WDEREUQCSA-N 0 1 291.369 0.142 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2ccnn2C)C1 ZINC000971428774 613090714 /nfs/dbraw/zinc/09/07/14/613090714.db2.gz DIAAKHNPUHXNIB-ZDUSSCGKSA-N 0 1 260.341 0.129 20 30 CCEDMN C#CCN(C1CC1)S(=O)(=O)CCCN1CCN(C)CC1 ZINC000867109279 613097882 /nfs/dbraw/zinc/09/78/82/613097882.db2.gz IZSISHFIZLYQIG-UHFFFAOYSA-N 0 1 299.440 0.051 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c(C)nn(C)c2F)C1 ZINC000980288226 613093669 /nfs/dbraw/zinc/09/36/69/613093669.db2.gz AFNDQLDQMMMNHQ-NSHDSACASA-N 0 1 278.331 0.647 20 30 CCEDMN Cc1c(Cl)cnc(-n2cnc(-c3nn[nH]n3)n2)c1C#N ZINC000826237429 609524001 /nfs/dbraw/zinc/52/40/01/609524001.db2.gz ZFSXLRLUILCCMN-UHFFFAOYSA-N 0 1 287.674 0.676 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(C)[C@H](C)CS(=O)(=O)CC ZINC000876663097 613389019 /nfs/dbraw/zinc/38/90/19/613389019.db2.gz WOYLKJWVHRMBEO-ZJUUUORDSA-N 0 1 296.820 0.738 20 30 CCEDMN CC(C)(C)SCCn1c(=O)[nH]cc(C#N)c1=O ZINC000842616138 614021029 /nfs/dbraw/zinc/02/10/29/614021029.db2.gz PLDNDASIURSYBQ-UHFFFAOYSA-N 0 1 253.327 0.940 20 30 CCEDMN CC(C)CCSCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834495398 614058787 /nfs/dbraw/zinc/05/87/87/614058787.db2.gz VGFJTSIKRDHAOJ-QWRGUYRKSA-N 0 1 255.387 0.993 20 30 CCEDMN C#CCCCCN1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000804172097 612977250 /nfs/dbraw/zinc/97/72/50/612977250.db2.gz PLNMMLPFGVMYMT-NSHDSACASA-N 0 1 260.297 0.676 20 30 CCEDMN C#CCCCCCCN1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000876800801 612976535 /nfs/dbraw/zinc/97/65/35/612976535.db2.gz VXBFNMCQCYQFGL-ZDUSSCGKSA-N 0 1 277.368 0.213 20 30 CCEDMN CC(C)N1C(=O)CC[C@H]1C(=O)NCC#CCN(C)C ZINC000913524994 614306161 /nfs/dbraw/zinc/30/61/61/614306161.db2.gz GHVNXVPQZDXBBH-LBPRGKRZSA-N 0 1 265.357 0.067 20 30 CCEDMN CC(C)C#CC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000832678079 614325787 /nfs/dbraw/zinc/32/57/87/614325787.db2.gz OKRSVSYVJSFOIV-ZDUSSCGKSA-N 0 1 266.385 0.949 20 30 CCEDMN COc1ccc(CC(=O)N[C@H]2CNC[C@@H]2C#N)cc1F ZINC000843462563 617242201 /nfs/dbraw/zinc/24/22/01/617242201.db2.gz RDHMNLRTSDTPGF-JQWIXIFHSA-N 0 1 277.299 0.605 20 30 CCEDMN COc1ccc(F)cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834516835 617265159 /nfs/dbraw/zinc/26/51/59/617265159.db2.gz MLGOFZIFKBYDOV-LDYMZIIASA-N 0 1 263.272 0.676 20 30 CCEDMN COc1ccc(O)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834499386 617272465 /nfs/dbraw/zinc/27/24/65/617272465.db2.gz HYSDNUBTFWHYOC-LDYMZIIASA-N 0 1 261.281 0.242 20 30 CCEDMN COc1cccc(C(=O)NCC#CCN(C)C)c1OC ZINC000913514201 617291107 /nfs/dbraw/zinc/29/11/07/617291107.db2.gz ILEMWAWYHWPSEN-UHFFFAOYSA-N 0 1 276.336 0.999 20 30 CCEDMN COc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1O ZINC000834506711 617293585 /nfs/dbraw/zinc/29/35/85/617293585.db2.gz VJWGSRRVCKAJKG-SCZZXKLOSA-N 0 1 261.281 0.242 20 30 CCEDMN COc1cccc(CN[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC000967941987 617300522 /nfs/dbraw/zinc/30/05/22/617300522.db2.gz BZCNCJYPTSZEFW-WCQYABFASA-N 0 1 288.351 0.940 20 30 CCEDMN COc1cccc(O)c1C=Nn1c(=O)c(C)n[nH]c1=S ZINC000812489448 617304976 /nfs/dbraw/zinc/30/49/76/617304976.db2.gz REBZABQHIZZPNJ-UHFFFAOYSA-N 0 1 292.320 0.832 20 30 CCEDMN C#CC(=O)N1CCC(CC)(N2CCOCC2)CC1 ZINC000863492481 612945417 /nfs/dbraw/zinc/94/54/17/612945417.db2.gz YNXFKVLJJLDNPK-UHFFFAOYSA-N 0 1 250.342 0.723 20 30 CCEDMN C#CC1(O)CN(C(=O)N[C@@H]2C[C@@H](C)[N@H+](C3CC3)C2)C1 ZINC000893538567 612956449 /nfs/dbraw/zinc/95/64/49/612956449.db2.gz YYFRVLOXWQAFOS-GHMZBOCLSA-N 0 1 263.341 0.001 20 30 CCEDMN C#CC1CCN(CC(=O)N(CCC#N)CCC#N)CC1 ZINC000830321511 612964609 /nfs/dbraw/zinc/96/46/09/612964609.db2.gz OOJMKFWQWAYUKU-UHFFFAOYSA-N 0 1 272.352 0.988 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)Cc1nc[nH]n1 ZINC000960323621 612971236 /nfs/dbraw/zinc/97/12/36/612971236.db2.gz ISKUCIFSRWSESV-CZUORRHYSA-N 0 1 295.346 0.352 20 30 CCEDMN C#CCC1(O)CCN(C[C@@H](O)C(F)(F)F)CC1 ZINC000880481266 612973558 /nfs/dbraw/zinc/97/35/58/612973558.db2.gz QCBGDURIZLEZKH-SECBINFHSA-N 0 1 251.248 0.760 20 30 CCEDMN C#CCC1(O)CCN(c2ccncc2C(N)=O)CC1 ZINC000882969976 612974465 /nfs/dbraw/zinc/97/44/65/612974465.db2.gz LMTTVCAOSOHVEF-UHFFFAOYSA-N 0 1 259.309 0.535 20 30 CCEDMN C#CCCCNC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000806876963 612981537 /nfs/dbraw/zinc/98/15/37/612981537.db2.gz JODUKAZHDGWKGV-QWHCGFSZSA-N 0 1 267.373 0.496 20 30 CCEDMN C#CCOCCN1CCCN(C(=O)OCC)CC1 ZINC000851740860 612982748 /nfs/dbraw/zinc/98/27/48/612982748.db2.gz ACWVBNAPXDPKDT-UHFFFAOYSA-N 0 1 254.330 0.800 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(CC)C[C@H]1CC ZINC000838547528 612986285 /nfs/dbraw/zinc/98/62/85/612986285.db2.gz GADBQBMKQILGAD-CYBMUJFWSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCCN(CCOC)Cc1c(CO)[nH]cc(OC)c1=O ZINC000902316180 612989312 /nfs/dbraw/zinc/98/93/12/612989312.db2.gz FIGOVEYNJFRHLG-UHFFFAOYSA-N 0 1 294.351 0.348 20 30 CCEDMN C#CCONC(=O)c1cccc(CN2CCN(C)CC2)c1 ZINC000855607175 612993414 /nfs/dbraw/zinc/99/34/14/612993414.db2.gz XWSCYZIBUNOTHH-UHFFFAOYSA-N 0 1 287.363 0.729 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000819967538 612994588 /nfs/dbraw/zinc/99/45/88/612994588.db2.gz KZVHGKQJOMTYAF-UWVGGRQHSA-N 0 1 279.296 0.520 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000819967540 612994603 /nfs/dbraw/zinc/99/46/03/612994603.db2.gz KZVHGKQJOMTYAF-VHSXEESVSA-N 0 1 279.296 0.520 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000825940562 612994801 /nfs/dbraw/zinc/99/48/01/612994801.db2.gz BAGZQNQTKRDQEN-AWEZNQCLSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCCN1CCC(NC(=O)c2cc(=O)cc[nH]2)CC1 ZINC000933355395 612999024 /nfs/dbraw/zinc/99/90/24/612999024.db2.gz QQMSOGMBQUECGB-UHFFFAOYSA-N 0 1 273.336 0.592 20 30 CCEDMN C#CCCN1CCC(NS(=O)(=O)c2ccns2)CC1 ZINC000886408478 612999387 /nfs/dbraw/zinc/99/93/87/612999387.db2.gz JDTMCJJBVSMDNK-UHFFFAOYSA-N 0 1 299.421 0.909 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc3n(n2)CCO3)CC1 ZINC000981342556 613005723 /nfs/dbraw/zinc/00/57/23/613005723.db2.gz AZHBFHRYKVUHQK-UHFFFAOYSA-N 0 1 288.351 0.447 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2n[nH]cc2F)CC1 ZINC000981899735 613006671 /nfs/dbraw/zinc/00/66/71/613006671.db2.gz HTTOGNNUWMYEHJ-UHFFFAOYSA-N 0 1 264.304 0.720 20 30 CCEDMN C#CCOc1cccc(NC(=O)C(=O)N2CCNCC2)c1 ZINC000841146991 613007205 /nfs/dbraw/zinc/00/72/05/613007205.db2.gz WXTOZBKBDMVYTQ-UHFFFAOYSA-N 0 1 287.319 0.069 20 30 CCEDMN C#CCCN1CCO[C@]2(CCN(C(=O)c3ccn[nH]3)C2)C1 ZINC000972274430 613013385 /nfs/dbraw/zinc/01/33/85/613013385.db2.gz FFXZILWSTNITSG-OAHLLOKOSA-N 0 1 288.351 0.350 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2nonc2C)C1 ZINC000968699445 613024049 /nfs/dbraw/zinc/02/40/49/613024049.db2.gz XENOKFFZRHEBSP-JQWIXIFHSA-N 0 1 276.340 0.842 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2cnn(C)n2)C1 ZINC000968418724 613024799 /nfs/dbraw/zinc/02/47/99/613024799.db2.gz NBHHBGVHCZEKEG-WCQYABFASA-N 0 1 275.356 0.279 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)CN1CCC(C)CC1 ZINC000863800436 613032701 /nfs/dbraw/zinc/03/27/01/613032701.db2.gz GFYSNFGGRJMEFA-ZDUSSCGKSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000875660765 613035561 /nfs/dbraw/zinc/03/55/61/613035561.db2.gz GJCWIZFGDBHZTI-JTQLQIEISA-N 0 1 293.371 0.940 20 30 CCEDMN C#CC[C@@H](COC)NCc1cnc2c(C#N)cnn2c1 ZINC000862548939 613038054 /nfs/dbraw/zinc/03/80/54/613038054.db2.gz RFIIAJTXRQVWBU-ZDUSSCGKSA-N 0 1 269.308 0.729 20 30 CCEDMN C#CCCOC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000859100990 613049233 /nfs/dbraw/zinc/04/92/33/613049233.db2.gz MRDUDBIPKIDJNM-QWHCGFSZSA-N 0 1 266.341 0.677 20 30 CCEDMN C#CCCOC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000859100988 613049241 /nfs/dbraw/zinc/04/92/41/613049241.db2.gz MRDUDBIPKIDJNM-CHWSQXEVSA-N 0 1 266.341 0.677 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000899152168 613049551 /nfs/dbraw/zinc/04/95/51/613049551.db2.gz QHJJURGGUFUZGY-KGLIPLIRSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000899152170 613049565 /nfs/dbraw/zinc/04/95/65/613049565.db2.gz QHJJURGGUFUZGY-ZIAGYGMSSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000858468383 613051916 /nfs/dbraw/zinc/05/19/16/613051916.db2.gz GAAIVGRGAXDBEW-NEPJUHHUSA-N 0 1 290.367 0.995 20 30 CCEDMN C#CC[C@H](CO)NCc1cccc(C(=O)OCC)n1 ZINC000895489706 613058778 /nfs/dbraw/zinc/05/87/78/613058778.db2.gz HBGWULMOSMWPBV-GFCCVEGCSA-N 0 1 262.309 0.732 20 30 CCEDMN C#CC[C@H](CO)NCc1cnnn1Cc1ccccc1 ZINC000883125094 613058930 /nfs/dbraw/zinc/05/89/30/613058930.db2.gz YQAMNIVFQXWJDV-CQSZACIVSA-N 0 1 270.336 0.800 20 30 CCEDMN COc1ccccc1CC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834506845 617314214 /nfs/dbraw/zinc/31/42/14/617314214.db2.gz WLUADJDVQTZMLE-NWDGAFQWSA-N 0 1 259.309 0.465 20 30 CCEDMN C#CCn1cc(CNC(=O)C(C)(C)c2cnc[nH]2)cn1 ZINC000834614819 613076469 /nfs/dbraw/zinc/07/64/69/613076469.db2.gz SLSYAKMLYDNJAD-UHFFFAOYSA-N 0 1 271.324 0.833 20 30 CCEDMN C#CCn1cc(CNC(=O)c2ccc(CN(C)C)nc2)cn1 ZINC000834614988 613077844 /nfs/dbraw/zinc/07/78/44/613077844.db2.gz XYJCMEYROIJSGF-UHFFFAOYSA-N 0 1 297.362 0.903 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cnn(CC)c2)C1 ZINC000972705014 613082078 /nfs/dbraw/zinc/08/20/78/613082078.db2.gz XYDZRQMUDOMDJN-CQSZACIVSA-N 0 1 274.368 0.611 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CC23CCOCC3)C1 ZINC000980565486 613082191 /nfs/dbraw/zinc/08/21/91/613082191.db2.gz ZMKWOJWASCLKAQ-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC000972188223 613084260 /nfs/dbraw/zinc/08/42/60/613084260.db2.gz DEOPVLMKPSLOLI-NEPJUHHUSA-N 0 1 287.367 0.391 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(Cl)n(C)n2)C1 ZINC000971903310 613085705 /nfs/dbraw/zinc/08/57/05/613085705.db2.gz JVIAZOGJANSYDV-SNVBAGLBSA-N 0 1 280.759 0.853 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(OC)nc2)C1 ZINC000971894743 613086021 /nfs/dbraw/zinc/08/60/21/613086021.db2.gz CIYSFMKWPCEFFA-CYBMUJFWSA-N 0 1 273.336 0.870 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cccc(C(N)=O)c2)C1 ZINC000971804556 613086706 /nfs/dbraw/zinc/08/67/06/613086706.db2.gz HHVGZUVTOLZBCZ-CQSZACIVSA-N 0 1 285.347 0.565 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cc(C)n[nH]2)C1 ZINC000971320181 613090513 /nfs/dbraw/zinc/09/05/13/613090513.db2.gz BRKQIQVMEFKXAY-ZDUSSCGKSA-N 0 1 260.341 0.427 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC000971538449 613092127 /nfs/dbraw/zinc/09/21/27/613092127.db2.gz CMMBEXYQXHUZRW-UONOGXRCSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c(C)nnn2CC)C1 ZINC000971666903 613093494 /nfs/dbraw/zinc/09/34/94/613093494.db2.gz DXECLNJZOUDENE-LBPRGKRZSA-N 0 1 275.356 0.386 20 30 CCEDMN C#C[C@@H](NC(=O)[C@H](C)CN1CCOCC1)C1CCOCC1 ZINC000856106612 613098401 /nfs/dbraw/zinc/09/84/01/613098401.db2.gz WONSHPALZBWXNI-UKRRQHHQSA-N 0 1 294.395 0.499 20 30 CCEDMN C#CCN(CC#C)C(=O)c1cc([C@@H]2CCCN2C)n[nH]1 ZINC000897175132 613098854 /nfs/dbraw/zinc/09/88/54/613098854.db2.gz NODHMRLVTKHXRK-AWEZNQCLSA-N 0 1 270.336 0.885 20 30 CCEDMN C#CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC000970338154 613116789 /nfs/dbraw/zinc/11/67/89/613116789.db2.gz JCPWMCPLZNJCSZ-ZYHUDNBSSA-N 0 1 287.367 0.294 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000854073794 613120105 /nfs/dbraw/zinc/12/01/05/613120105.db2.gz BYVMJPJJWKPUJX-GFCCVEGCSA-N 0 1 267.373 0.496 20 30 CCEDMN C#CCN1CC([C@H](C)NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC000970338151 613123109 /nfs/dbraw/zinc/12/31/09/613123109.db2.gz JCPWMCPLZNJCSZ-CMPLNLGQSA-N 0 1 287.367 0.294 20 30 CCEDMN C#CC[NH+]1CCC(C(=O)N2CC[C@@H]([N@H+](C)CCF)C2)CC1 ZINC000980477676 613128987 /nfs/dbraw/zinc/12/89/87/613128987.db2.gz IRXPLFPUHIVWBM-OAHLLOKOSA-N 0 1 295.402 0.834 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H]3CCC[C@]3(CO)C2)CC1 ZINC000863224376 613129575 /nfs/dbraw/zinc/12/95/75/613129575.db2.gz SIHQWNDIOFZFJK-DOTOQJQBSA-N 0 1 290.407 0.953 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@H]2CN(CC)C(=O)O2)CC1 ZINC000848720482 613131920 /nfs/dbraw/zinc/13/19/20/613131920.db2.gz YIKZYLSLKLRGMS-CYBMUJFWSA-N 0 1 294.351 0.716 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C(\C)COC)CC1 ZINC000928649840 613132447 /nfs/dbraw/zinc/13/24/47/613132447.db2.gz SPVFVNDGIFBYRT-ZRDIBKRKSA-N 0 1 250.342 0.793 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(C(=O)NC)CCC2)CC1 ZINC000928658449 613132514 /nfs/dbraw/zinc/13/25/14/613132514.db2.gz SRBQTLHSXWSCEJ-UHFFFAOYSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC(NC(=O)CCC(=O)N(CC)CC)CC1 ZINC000928656299 613132880 /nfs/dbraw/zinc/13/28/80/613132880.db2.gz QZFOJJHAHNLXAP-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCCC(=O)OC)CC1 ZINC000928652900 613133033 /nfs/dbraw/zinc/13/30/33/613133033.db2.gz QSZCYWOBKCBYPK-UHFFFAOYSA-N 0 1 280.368 0.934 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H]2CCCC(=O)N2)CC1 ZINC000928651570 613133462 /nfs/dbraw/zinc/13/34/62/613133462.db2.gz WIMSYUUUOVWLRA-ZDUSSCGKSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ccc(F)cn2)CC1 ZINC000928653666 613133481 /nfs/dbraw/zinc/13/34/81/613133481.db2.gz VTQUHMKFJPWFLC-UHFFFAOYSA-N 0 1 275.327 0.977 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ncccc2F)CC1 ZINC000928653305 613133511 /nfs/dbraw/zinc/13/35/11/613133511.db2.gz HTIVXSBEWYBMJS-UHFFFAOYSA-N 0 1 275.327 0.977 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H](OC)C2CC2)CC1 ZINC000922630230 613134429 /nfs/dbraw/zinc/13/44/29/613134429.db2.gz STPZOGJXDKBETP-AWEZNQCLSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2C[C@H](C)OC2=O)CC1 ZINC000896379025 613135018 /nfs/dbraw/zinc/13/50/18/613135018.db2.gz BZZOBKYUKXKNGX-CMPLNLGQSA-N 0 1 279.340 0.087 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)[C@H](O)CC)CC1 ZINC000928647943 613136233 /nfs/dbraw/zinc/13/62/33/613136233.db2.gz BQBAYUFQJRHPQI-DGCLKSJQSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)OC[C@H]2CCCO2)CC1 ZINC000928656442 613136258 /nfs/dbraw/zinc/13/62/58/613136258.db2.gz ABYVFYDQUVIJPQ-DZGCQCFKSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@]2(COC)CCOC2)CC1 ZINC000928651081 613136344 /nfs/dbraw/zinc/13/63/44/613136344.db2.gz MADXHBRHWMOFEX-OAHLLOKOSA-N 0 1 280.368 0.253 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1)C(C)C ZINC000838595365 613136428 /nfs/dbraw/zinc/13/64/28/613136428.db2.gz DIUCNWFELDNCNR-ZNMIVQPWSA-N 0 1 293.411 0.885 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCSC2)CC1 ZINC000928656571 613136837 /nfs/dbraw/zinc/13/68/37/613136837.db2.gz ZETCFEXBZDHETL-NSHDSACASA-N 0 1 252.383 0.953 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCN(C(=O)CC)C2)CC1 ZINC000928655219 613136867 /nfs/dbraw/zinc/13/68/67/613136867.db2.gz SBZKFBKVAWFXEL-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc(Cl)cn2)CC1 ZINC000900665800 613137703 /nfs/dbraw/zinc/13/77/03/613137703.db2.gz AYESMQGZLSMKKJ-UHFFFAOYSA-N 0 1 278.743 0.957 20 30 CCEDMN C#CCN1CCC(Nc2ccccc2S(N)(=O)=O)CC1 ZINC000895812596 613138723 /nfs/dbraw/zinc/13/87/23/613138723.db2.gz SUXIELMJBUEYEF-UHFFFAOYSA-N 0 1 293.392 0.844 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@H]1CC1(F)F)CCO2 ZINC000949090166 613139856 /nfs/dbraw/zinc/13/98/56/613139856.db2.gz YNAOPASXMQRNRG-GFCCVEGCSA-N 0 1 298.333 0.968 20 30 CCEDMN C#C[C@H](NC(=O)NCc1n[nH]c(COC)n1)C(C)C ZINC000854068951 613141689 /nfs/dbraw/zinc/14/16/89/613141689.db2.gz OFKAZIZCHJMWOU-VIFPVBQESA-N 0 1 265.317 0.408 20 30 CCEDMN C#C[C@H](NC(=O)NCc1nnc(COC)[nH]1)C(C)C ZINC000854068951 613141690 /nfs/dbraw/zinc/14/16/90/613141690.db2.gz OFKAZIZCHJMWOU-VIFPVBQESA-N 0 1 265.317 0.408 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3C[C@H]3C(=O)OC)C2)C1 ZINC000981666223 613142886 /nfs/dbraw/zinc/14/28/86/613142886.db2.gz MORCJOKDDAENMU-CHWSQXEVSA-N 0 1 290.363 0.353 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnc[nH]c3=O)C2)C1 ZINC000981835320 613144686 /nfs/dbraw/zinc/14/46/86/613144686.db2.gz CLHXPFLAPAIBDN-UHFFFAOYSA-N 0 1 286.335 0.353 20 30 CCEDMN C#C[C@H](NC(=O)c1cc(S(N)(=O)=O)ccc1O)C(C)C ZINC000847118400 613148680 /nfs/dbraw/zinc/14/86/80/613148680.db2.gz VVHGPLKHQKTVOP-NSHDSACASA-N 0 1 296.348 0.427 20 30 CCEDMN C#C[C@H](NC[C@H]1CN(C2CC2)C(=O)O1)[C@@H]1CCCO1 ZINC000844322112 613150999 /nfs/dbraw/zinc/15/09/99/613150999.db2.gz KBQNBOJLVNXQRT-AVGNSLFASA-N 0 1 264.325 0.740 20 30 CCEDMN C#C[C@H](NS(=O)(=O)c1ccccc1O)[C@@H]1CCCO1 ZINC000849388657 613152234 /nfs/dbraw/zinc/15/22/34/613152234.db2.gz WDKJQAFNEIIJRG-JQWIXIFHSA-N 0 1 281.333 0.851 20 30 CCEDMN C#CCN1CCCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC000981380440 613154300 /nfs/dbraw/zinc/15/43/00/613154300.db2.gz HYLJASKZCJNWKY-CHWSQXEVSA-N 0 1 272.352 0.681 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)NCc2n[nH]c(=O)n2C)C1 ZINC000889572206 613157434 /nfs/dbraw/zinc/15/74/34/613157434.db2.gz NNDFRLVTCBMLEK-VIFPVBQESA-N 0 1 263.301 0.076 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)N[C@H]2CCN(CCOC)C2)C1 ZINC000847322602 613157823 /nfs/dbraw/zinc/15/78/23/613157823.db2.gz YHOKPWSSDSBJPA-KBPBESRZSA-N 0 1 279.384 0.762 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N2CCO[C@H](C)C2)C1 ZINC000847032609 613158750 /nfs/dbraw/zinc/15/87/50/613158750.db2.gz XQWIUAQKPRTFEC-OLZOCXBDSA-N 0 1 250.342 0.579 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000854916832 613161658 /nfs/dbraw/zinc/16/16/58/613161658.db2.gz JCDAKAOITLXQJH-MNOVXSKESA-N 0 1 259.309 0.375 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)[C@H]1CCC[N@@H+]1C(C)C ZINC000822480079 613161810 /nfs/dbraw/zinc/16/18/10/613161810.db2.gz VRUOMZWGZIANMD-QWHCGFSZSA-N 0 1 250.342 0.720 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1cc([C@@H]2CCCN2C)n[nH]1 ZINC000897963128 613162276 /nfs/dbraw/zinc/16/22/76/613162276.db2.gz UGRARNWPAIAXEG-FZMZJTMJSA-N 0 1 288.351 0.651 20 30 CCEDMN C#C[C@](C)(CC)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000854694309 613164065 /nfs/dbraw/zinc/16/40/65/613164065.db2.gz MJRXGPHIDRTJSC-CYBMUJFWSA-N 0 1 296.348 0.571 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C\c2ccncn2)C1 ZINC000923564508 613165027 /nfs/dbraw/zinc/16/50/27/613165027.db2.gz ZKNPPQDPJVDWLL-GEXIGZQTSA-N 0 1 270.336 0.704 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](N=c2nn[n-]n2Cc2ccccc2)C1 ZINC000895200636 613165232 /nfs/dbraw/zinc/16/52/32/613165232.db2.gz HWJHTMZVFWIKCM-OAHLLOKOSA-N 0 1 296.378 0.653 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](N=c2nn[n-]n2Cc2ccccc2)C1 ZINC000895200636 613165234 /nfs/dbraw/zinc/16/52/34/613165234.db2.gz HWJHTMZVFWIKCM-OAHLLOKOSA-N 0 1 296.378 0.653 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(C(=O)CN(C)C)CC2)cc1 ZINC000895629070 613169719 /nfs/dbraw/zinc/16/97/19/613169719.db2.gz LKAFGFYIECNRRH-UHFFFAOYSA-N 0 1 299.374 0.514 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H](NC(C)=O)C(C)C ZINC000860530411 613177210 /nfs/dbraw/zinc/17/72/10/613177210.db2.gz VZBITBHIZDHQMF-ZIAGYGMSSA-N 0 1 280.368 0.788 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CN(CCO)CC2(C)C)nc1 ZINC000977523478 613191909 /nfs/dbraw/zinc/19/19/09/613191909.db2.gz JETPTZNPDKEOKI-AWEZNQCLSA-N 0 1 287.363 0.495 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC(C)(C(=O)[O-])C1 ZINC000910058385 613194187 /nfs/dbraw/zinc/19/41/87/613194187.db2.gz SZTNTEDXIAYWNP-JTQLQIEISA-N 0 1 250.298 0.017 20 30 CCEDMN C#Cc1ccc(NC(=O)CCN2CCC[C@@H]2C(N)=O)cc1 ZINC000930650620 613194971 /nfs/dbraw/zinc/19/49/71/613194971.db2.gz PPIUVNFUFSJANZ-CQSZACIVSA-N 0 1 285.347 0.946 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCc1cc(N(C)C)ccn1 ZINC000927694528 613199890 /nfs/dbraw/zinc/19/98/90/613199890.db2.gz GSSBUMNARPCQJF-HNNXBMFYSA-N 0 1 286.379 0.861 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000837926383 613200123 /nfs/dbraw/zinc/20/01/23/613200123.db2.gz QBRGDXAPQGRTAK-NSHDSACASA-N 0 1 289.383 0.816 20 30 CCEDMN C#Cc1cccc(CNC(=O)NC[C@H]2COCCN2)c1 ZINC000861637799 613200684 /nfs/dbraw/zinc/20/06/84/613200684.db2.gz PWDASNZSBPHBDB-AWEZNQCLSA-N 0 1 273.336 0.456 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2CC[C@@H](F)[C@H](N)C2)c1 ZINC000850864451 613201894 /nfs/dbraw/zinc/20/18/94/613201894.db2.gz DYIXEQIQCQKKNV-CHWSQXEVSA-N 0 1 289.310 0.504 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H](COC)OC ZINC000850825956 613203507 /nfs/dbraw/zinc/20/35/07/613203507.db2.gz HTXJUQJWAUXIEC-NWDGAFQWSA-N 0 1 255.314 0.289 20 30 CCEDMN C#CCN1CCN(C(=O)[C@H]2C[C@@H](C)Cc3c[nH]nc32)CC1 ZINC000857852419 613207568 /nfs/dbraw/zinc/20/75/68/613207568.db2.gz QRSYLXLJHSXTMF-JSGCOSHPSA-N 0 1 286.379 0.853 20 30 CCEDMN C#CCN1CCN(C(=O)[C@H]2C[C@H](C)Cc3c[nH]nc32)CC1 ZINC000857852420 613207834 /nfs/dbraw/zinc/20/78/34/613207834.db2.gz QRSYLXLJHSXTMF-OCCSQVGLSA-N 0 1 286.379 0.853 20 30 CCEDMN C#CCN1CCO[C@]2(CCN(C(=O)[C@H]3CCCN3C)C2)C1 ZINC000972295103 613212835 /nfs/dbraw/zinc/21/28/35/613212835.db2.gz QQZSMFMQWINTJF-GDBMZVCRSA-N 0 1 291.395 0.017 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)CN2CCCCC2=O)C1 ZINC000967151144 613213980 /nfs/dbraw/zinc/21/39/80/613213980.db2.gz AOVHMVIPYLEMET-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cn2cncn2)C(C)(C)C1 ZINC000941204307 613229186 /nfs/dbraw/zinc/22/91/86/613229186.db2.gz IBOOTXRNYRYNBS-GFCCVEGCSA-N 0 1 275.356 0.128 20 30 CCEDMN C=C(Br)CNC[C@H](O)C1(O)CCOCC1 ZINC000905065671 613238744 /nfs/dbraw/zinc/23/87/44/613238744.db2.gz QNIVAJSHOCVKOJ-VIFPVBQESA-N 0 1 280.162 0.387 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn(C)n2)[C@H](C)C1 ZINC000944916659 613238868 /nfs/dbraw/zinc/23/88/68/613238868.db2.gz AMKOGXNRXMRQFX-VXGBXAGGSA-N 0 1 260.341 0.494 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3ncccc23)C[C@H]1C ZINC000947400519 613241805 /nfs/dbraw/zinc/24/18/05/613241805.db2.gz YGNVLXRCAIYGND-CHWSQXEVSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3ncccc23)[C@@H](C)C1 ZINC000942134215 613242230 /nfs/dbraw/zinc/24/22/30/613242230.db2.gz KDKIYCAWAPRVRJ-GXTWGEPZSA-N 0 1 297.362 0.803 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H]1CNC(=O)c1ncn[nH]1 ZINC000951573786 613243613 /nfs/dbraw/zinc/24/36/13/613243613.db2.gz MOXBWGQFRJILTO-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H]1CNC(=O)c1nc[nH]n1 ZINC000951573786 613243615 /nfs/dbraw/zinc/24/36/15/613243615.db2.gz MOXBWGQFRJILTO-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CC[C@H]2C(N)=O)C1 ZINC000951133842 613245063 /nfs/dbraw/zinc/24/50/63/613245063.db2.gz MMEIIINFHNPGFF-ZIAGYGMSSA-N 0 1 293.411 0.997 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC000951165737 613245225 /nfs/dbraw/zinc/24/52/25/613245225.db2.gz HVCMBYMAISUQNY-ZDUSSCGKSA-N 0 1 294.399 0.509 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC000965963172 613247164 /nfs/dbraw/zinc/24/71/64/613247164.db2.gz GXPUFWXGMWDFIX-JSGCOSHPSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000965940185 613255339 /nfs/dbraw/zinc/25/53/39/613255339.db2.gz CRQRNSYJXKVTNN-NWDGAFQWSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000965940185 613255341 /nfs/dbraw/zinc/25/53/41/613255341.db2.gz CRQRNSYJXKVTNN-NWDGAFQWSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCNC2=O)C(C)(C)C1 ZINC000940799502 613259724 /nfs/dbraw/zinc/25/97/24/613259724.db2.gz OZRLDKBVEJRSMR-OLZOCXBDSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)n2cncn2)C(C)(C)C1 ZINC000940692657 613262401 /nfs/dbraw/zinc/26/24/01/613262401.db2.gz CVHREKALPLAFPU-OLZOCXBDSA-N 0 1 289.383 0.689 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c(C)nn(C)c2F)[C@@H](C)C1 ZINC000944489955 613263994 /nfs/dbraw/zinc/26/39/94/613263994.db2.gz CBXHJVUPMXZABK-JQWIXIFHSA-N 0 1 292.358 0.941 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccncn2)[C@@H](C)C1 ZINC000943716526 613272880 /nfs/dbraw/zinc/27/28/80/613272880.db2.gz FECIWTWWLUFCFS-RYUDHWBXSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccc(C)n2)[C@H](C)C1 ZINC000942008322 613278141 /nfs/dbraw/zinc/27/81/41/613278141.db2.gz HIEFBYWDSGZQDN-YPMHNXCESA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cnn(CC)c2)C(C)(C)C1 ZINC000977478318 613281313 /nfs/dbraw/zinc/28/13/13/613281313.db2.gz SXRUEKCXXNDUEO-CQSZACIVSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C(C)(C)C1 ZINC000974972609 613281969 /nfs/dbraw/zinc/28/19/69/613281969.db2.gz KUFUFFKMGXOEJS-XQHKEYJVSA-N 0 1 262.353 0.339 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(C)nsc2C)C1 ZINC000958736992 613285848 /nfs/dbraw/zinc/28/58/48/613285848.db2.gz WHYWTXNMVWKROV-NWDGAFQWSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(CC)o2)C1 ZINC000958187421 613285976 /nfs/dbraw/zinc/28/59/76/613285976.db2.gz QCCWZSZJRJLETK-WCQYABFASA-N 0 1 276.336 0.498 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(Cl)c[nH]2)C1 ZINC000957869225 613286018 /nfs/dbraw/zinc/28/60/18/613286018.db2.gz LTMCVJBKLDLOJZ-JOYOIKCWSA-N 0 1 281.743 0.324 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C(F)F)o2)[C@H](O)C1 ZINC000958635212 613289053 /nfs/dbraw/zinc/28/90/53/613289053.db2.gz FYFDVNKQHAFLMX-NXEZZACHSA-N 0 1 298.289 0.873 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccn2CC=C)[C@H](O)C1 ZINC000958138064 613289655 /nfs/dbraw/zinc/28/96/55/613289655.db2.gz CGCIZUUNZIHJHJ-UKRRQHHQSA-N 0 1 287.363 0.330 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc(C)c2)[C@H](O)C1 ZINC000957830999 613289675 /nfs/dbraw/zinc/28/96/75/613289675.db2.gz SQSBEEMCZWNMAA-HUUCEWRRSA-N 0 1 272.348 0.651 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC(C(=O)NOC)CC1 ZINC000879921013 613292673 /nfs/dbraw/zinc/29/26/73/613292673.db2.gz KCSDUOPTBQKFNS-UHFFFAOYSA-N 0 1 297.399 0.801 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2=CCCCCC2)C1 ZINC000958005042 613294250 /nfs/dbraw/zinc/29/42/50/613294250.db2.gz JNGAUFPHDFQOTR-GJZGRUSLSA-N 0 1 276.380 0.919 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)cc(C)c2)C1 ZINC000958625560 613294842 /nfs/dbraw/zinc/29/48/42/613294842.db2.gz KVCMZCZHIRLXHH-HOTGVXAUSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ccn(C)n2)C(C)(C)C1 ZINC000974580349 613297089 /nfs/dbraw/zinc/29/70/89/613297089.db2.gz SJEXRSQCDIBKKJ-ZDUSSCGKSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cccn(C)c2=O)C(C)(C)C1 ZINC000977486486 613300824 /nfs/dbraw/zinc/30/08/24/613300824.db2.gz NNQKSETXTMRDDY-ZDUSSCGKSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)[nH]c2C)C1 ZINC000958438707 613302296 /nfs/dbraw/zinc/30/22/96/613302296.db2.gz LWMJFOUTTWSRTJ-OCCSQVGLSA-N 0 1 275.352 0.287 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc(C)c2F)C1 ZINC000958076787 613303461 /nfs/dbraw/zinc/30/34/61/613303461.db2.gz KXOLMHGNQSPHEF-OCCSQVGLSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2oc(CC)nc2C)C1 ZINC000958571104 613304424 /nfs/dbraw/zinc/30/44/24/613304424.db2.gz RKASKBPPWLYHDH-NEPJUHHUSA-N 0 1 291.351 0.201 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC000981756984 613311006 /nfs/dbraw/zinc/31/10/06/613311006.db2.gz LLJVKPQASPKVTR-STQMWFEESA-N 0 1 279.384 0.608 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1CCOCC1 ZINC000958435267 613313912 /nfs/dbraw/zinc/31/39/12/613313912.db2.gz HTYVYWWCGBSLQO-NHAGDIPZSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1c(C)noc1Cl ZINC000962035608 613314189 /nfs/dbraw/zinc/31/41/89/613314189.db2.gz UKAWWVDOJKICSF-PJXYFTJBSA-N 0 1 293.754 0.859 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC000961036553 613316221 /nfs/dbraw/zinc/31/62/21/613316221.db2.gz RJZWJUFMCYMVFA-ZVDSWSACSA-N 0 1 288.391 0.729 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C2CC2)no1 ZINC000961917881 613318092 /nfs/dbraw/zinc/31/80/92/613318092.db2.gz ASDHUOOGCAJXTF-YABSGUDNSA-N 0 1 271.320 0.845 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C#C)nc1 ZINC000962338749 613318722 /nfs/dbraw/zinc/31/87/22/613318722.db2.gz DYVXGQAORUYNJF-FICVDOATSA-N 0 1 265.316 0.356 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(OCC)nc1 ZINC000960154882 613319384 /nfs/dbraw/zinc/31/93/84/613319384.db2.gz FNMZKVYOOPEFLT-NHAGDIPZSA-N 0 1 285.347 0.774 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2n[nH]cc21 ZINC000961422083 613319613 /nfs/dbraw/zinc/31/96/13/613319613.db2.gz BCOKEKOIWFVUIL-NHAGDIPZSA-N 0 1 280.331 0.856 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2nccn21 ZINC000961056829 613320251 /nfs/dbraw/zinc/32/02/51/613320251.db2.gz FTRYTBVIHBCKAU-JYAVWHMHSA-N 0 1 280.331 0.628 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2cccnc12 ZINC000961435644 613321176 /nfs/dbraw/zinc/32/11/76/613321176.db2.gz YLHKSRRXIWSBCR-ITGUQSILSA-N 0 1 281.319 0.023 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c(C)c1C ZINC000958427853 613321710 /nfs/dbraw/zinc/32/17/10/613321710.db2.gz PDWJBUPVJPLVRA-PJXYFTJBSA-N 0 1 258.325 0.320 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c2c1CCCCC2 ZINC000962580904 613321735 /nfs/dbraw/zinc/32/17/35/613321735.db2.gz WHAQFBUXRCKLMX-NHAGDIPZSA-N 0 1 298.390 0.972 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1snnc1C(C)C ZINC000962133850 613322511 /nfs/dbraw/zinc/32/25/11/613322511.db2.gz WUUVQLYUTIVRLU-IAZYJMLFSA-N 0 1 290.392 0.955 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@H](C)C(=O)OC(C)(C)C ZINC000839198794 613327856 /nfs/dbraw/zinc/32/78/56/613327856.db2.gz IBYVAFKBKLHFHI-NSHDSACASA-N 0 1 268.357 0.645 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCC2CC2)CC1 ZINC000878050188 613329540 /nfs/dbraw/zinc/32/95/40/613329540.db2.gz RZWOHQMBWRLBIJ-UHFFFAOYSA-N 0 1 263.385 0.544 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](Cc2ccccc2)[C@H](O)C1 ZINC000934442903 613330502 /nfs/dbraw/zinc/33/05/02/613330502.db2.gz GAUVCWNLEOPTIG-HUUCEWRRSA-N 0 1 272.348 0.271 20 30 CCEDMN C#CCNC(=O)COC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC000885878167 613333450 /nfs/dbraw/zinc/33/34/50/613333450.db2.gz GREQRRXXKLRRNQ-VIFPVBQESA-N 0 1 275.308 0.441 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ncn(C)n2)C(C)(C)C1 ZINC000974555245 613338770 /nfs/dbraw/zinc/33/87/70/613338770.db2.gz ZLSSONLGFONPJF-LLVKDONJSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)ccc1=O ZINC000960981056 613345909 /nfs/dbraw/zinc/34/59/09/613345909.db2.gz MCDVIXOQHVLCMM-JYAVWHMHSA-N 0 1 287.363 0.621 20 30 CCEDMN C=C(C)CO[N-]C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000846538527 613352512 /nfs/dbraw/zinc/35/25/12/613352512.db2.gz JLXOKDSCMYKWKV-UHFFFAOYSA-N 0 1 290.367 0.828 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@@H]1CCN1C1CCOCC1 ZINC000921909058 613354127 /nfs/dbraw/zinc/35/41/27/613354127.db2.gz TYZIFPZBZPDLCT-ZDUSSCGKSA-N 0 1 288.413 0.735 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000883347542 613357581 /nfs/dbraw/zinc/35/75/81/613357581.db2.gz UYIFDCUDMJXWHG-UONOGXRCSA-N 0 1 298.431 0.249 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)N[C@H]1CCC[N@H+](C)C1 ZINC000883177802 613357825 /nfs/dbraw/zinc/35/78/25/613357825.db2.gz IXYWNGGSIJDCLA-NWDGAFQWSA-N 0 1 255.362 0.707 20 30 CCEDMN C=C(Cl)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncn[nH]1 ZINC000962074312 613383383 /nfs/dbraw/zinc/38/33/83/613383383.db2.gz LVRDHHOUITYFQK-BRPSZJMVSA-N 0 1 267.720 0.217 20 30 CCEDMN C=C(Cl)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc[nH]n1 ZINC000962074312 613383384 /nfs/dbraw/zinc/38/33/84/613383384.db2.gz LVRDHHOUITYFQK-BRPSZJMVSA-N 0 1 267.720 0.217 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CN2C(=O)CCC2=O)C1 ZINC000970673875 613384678 /nfs/dbraw/zinc/38/46/78/613384678.db2.gz OOCVOTFKJYFVLQ-SNVBAGLBSA-N 0 1 299.758 0.078 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2COCCO2)C1 ZINC000967283583 613385356 /nfs/dbraw/zinc/38/53/56/613385356.db2.gz FJSBUCNIPJOBBY-GHMZBOCLSA-N 0 1 274.748 0.345 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2coc(C(N)=O)c2)C1 ZINC000969451252 613386143 /nfs/dbraw/zinc/38/61/43/613386143.db2.gz MTCIPDBALTZWTL-SNVBAGLBSA-N 0 1 297.742 0.935 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H](N(C)[C@H]3CCNC3=O)C2)C1 ZINC000972275341 613394212 /nfs/dbraw/zinc/39/42/12/613394212.db2.gz NBRFDEFBHSLDTR-STQMWFEESA-N 0 1 291.395 0.764 20 30 CCEDMN C=CC[C@H](C)N1CCN(C(=O)C(OC)OC)CC1 ZINC000930954436 613413033 /nfs/dbraw/zinc/41/30/33/613413033.db2.gz LEOLSDPNXKVULS-NSHDSACASA-N 0 1 256.346 0.714 20 30 CCEDMN C=C1CCC(C(=O)Nc2nn[nH]c2C(=O)NC)CC1 ZINC000891455528 613413500 /nfs/dbraw/zinc/41/35/00/613413500.db2.gz CPTHEVHUIOJACD-UHFFFAOYSA-N 0 1 263.301 0.849 20 30 CCEDMN C=CC[C@H](NCc1nc(CSC)n[nH]1)C(=O)OC ZINC000905835601 613419704 /nfs/dbraw/zinc/41/97/04/613419704.db2.gz ACXWUPZKJGPOEX-QMMMGPOBSA-N 0 1 270.358 0.875 20 30 CCEDMN C=CC[C@H](NCc1nnc(CSC)[nH]1)C(=O)OC ZINC000905835601 613419705 /nfs/dbraw/zinc/41/97/05/613419705.db2.gz ACXWUPZKJGPOEX-QMMMGPOBSA-N 0 1 270.358 0.875 20 30 CCEDMN C=CC[C@H]1CCN1C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000890563368 613422239 /nfs/dbraw/zinc/42/22/39/613422239.db2.gz SSNFLKMQIFJYTG-JTQLQIEISA-N 0 1 286.339 0.966 20 30 CCEDMN C=CCn1cc(CN[C@H]2CC[C@H](C(=O)OC)C2)nn1 ZINC000886628626 613436047 /nfs/dbraw/zinc/43/60/47/613436047.db2.gz XNBRZTXHEBSQHU-QWRGUYRKSA-N 0 1 264.329 0.895 20 30 CCEDMN C=CC1(S(=O)(=O)[N-]C(=O)[C@@H]([NH3+])CCC(C)C)CC1 ZINC000901059636 613450809 /nfs/dbraw/zinc/45/08/09/613450809.db2.gz RWOKFJLOIFZLIH-JTQLQIEISA-N 0 1 274.386 0.915 20 30 CCEDMN C=CCCC(=O)[C@@H]1CCCN1C(=O)Cc1ncn[nH]1 ZINC000908669275 613463488 /nfs/dbraw/zinc/46/34/88/613463488.db2.gz OIMNHLPNHLDWSX-JTQLQIEISA-N 0 1 262.313 0.874 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000884855998 613463769 /nfs/dbraw/zinc/46/37/69/613463769.db2.gz XBECIVXSEFOKPE-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)C(=O)N1CCNC[C@H]1C ZINC000884856008 613463996 /nfs/dbraw/zinc/46/39/96/613463996.db2.gz XMACOLXLOHFSJI-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCCCC(=O)N1CCCNC[C@H]1C(=O)OC ZINC000844197788 613464438 /nfs/dbraw/zinc/46/44/38/613464438.db2.gz WPNIHWYRJXWGNW-NSHDSACASA-N 0 1 254.330 0.706 20 30 CCEDMN C=CCCCCCNC(=O)[C@@]1(COC)CNCCO1 ZINC000876952062 613465888 /nfs/dbraw/zinc/46/58/88/613465888.db2.gz KRGVTDFTTHGALY-AWEZNQCLSA-N 0 1 270.373 0.854 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCC(=O)N1CCNCC1 ZINC000911702933 613489578 /nfs/dbraw/zinc/48/95/78/613489578.db2.gz SFFRGEMBCGUNJB-LLVKDONJSA-N 0 1 253.346 0.137 20 30 CCEDMN C=C[C@H](OC(=O)CCc1nc[nH]n1)C(=O)OCC ZINC000842978971 613500170 /nfs/dbraw/zinc/50/01/70/613500170.db2.gz MMWWJOXATHXTMM-QMMMGPOBSA-N 0 1 253.258 0.398 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@H]2CCC(=O)NC2)CC1 ZINC000948723437 613504614 /nfs/dbraw/zinc/50/46/14/613504614.db2.gz PPIZQLCDAFYUGO-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCNC(=O)CC2)CC1 ZINC000949488378 613505883 /nfs/dbraw/zinc/50/58/83/613505883.db2.gz ALQQNZCVSGQIBH-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCCCN2C(N)=O)CC1 ZINC000957283455 613506284 /nfs/dbraw/zinc/50/62/84/613506284.db2.gz ANMVEUVFFNMECN-ZDUSSCGKSA-N 0 1 294.399 0.640 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2c(C)cnn2C)C1 ZINC000970296178 613531432 /nfs/dbraw/zinc/53/14/32/613531432.db2.gz PQNJAFKPOZQFEC-GFCCVEGCSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000970311855 613532249 /nfs/dbraw/zinc/53/22/49/613532249.db2.gz FCQZEAWFPWRVJQ-SNVBAGLBSA-N 0 1 288.351 0.564 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccnc3n[nH]nc32)C1 ZINC000970124799 613533820 /nfs/dbraw/zinc/53/38/20/613533820.db2.gz NDVLIOXNBKXTGH-SNVBAGLBSA-N 0 1 298.350 0.426 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC000970217454 613536894 /nfs/dbraw/zinc/53/68/94/613536894.db2.gz OTOQYMKMCARPPL-QWHCGFSZSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965917643 613573636 /nfs/dbraw/zinc/57/36/36/613573636.db2.gz YGOMLQUUWVTHBN-PWSUYJOCSA-N 0 1 290.367 0.887 20 30 CCEDMN C=CCC[C@H](CO)NCc1cn(C[C@@H]2CCCO2)nn1 ZINC000865593048 613589395 /nfs/dbraw/zinc/58/93/95/613589395.db2.gz GQMIUNJOYJDWCK-OCCSQVGLSA-N 0 1 280.372 0.874 20 30 CCEDMN C=CCC[C@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(=O)OCC ZINC000839786465 613590202 /nfs/dbraw/zinc/59/02/02/613590202.db2.gz DRMCNRCBHDICQR-KXUCPTDWSA-N 0 1 270.285 0.666 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ncc[nH]2)C1 ZINC000968475689 613590786 /nfs/dbraw/zinc/59/07/86/613590786.db2.gz HZHGNDDSDXJBMO-STQMWFEESA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC000833373450 613591034 /nfs/dbraw/zinc/59/10/34/613591034.db2.gz PQFSNNYHWKHJGJ-SRVKXCTJSA-N 0 1 285.340 0.652 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC000833373450 613591035 /nfs/dbraw/zinc/59/10/35/613591035.db2.gz PQFSNNYHWKHJGJ-SRVKXCTJSA-N 0 1 285.340 0.652 20 30 CCEDMN C=CCC[C@H](O)CN1CCO[C@@H](C[N@H+](C)CC(=O)[O-])C1 ZINC000923242034 613591108 /nfs/dbraw/zinc/59/11/08/613591108.db2.gz SEGWJOTZCDWYHX-STQMWFEESA-N 0 1 286.372 0.031 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CCN(CCC(=O)[O-])C[C@@H]1C ZINC000833373610 613591176 /nfs/dbraw/zinc/59/11/76/613591176.db2.gz UKBALTZDGODTAC-STQMWFEESA-N 0 1 270.373 0.794 20 30 CCEDMN C=CCC[C@H](O)CN1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833373610 613591179 /nfs/dbraw/zinc/59/11/79/613591179.db2.gz UKBALTZDGODTAC-STQMWFEESA-N 0 1 270.373 0.794 20 30 CCEDMN C=CCC[C@H](O)CN1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833373610 613591181 /nfs/dbraw/zinc/59/11/81/613591181.db2.gz UKBALTZDGODTAC-STQMWFEESA-N 0 1 270.373 0.794 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cn2cncn2)C1 ZINC000968620054 613594007 /nfs/dbraw/zinc/59/40/07/613594007.db2.gz QZUJIWXXNIHSIK-QWHCGFSZSA-N 0 1 275.356 0.128 20 30 CCEDMN C=CCN(C(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1)C(C)C ZINC000817930317 613602113 /nfs/dbraw/zinc/60/21/13/613602113.db2.gz ANZQXKSOMHIDAG-VXGBXAGGSA-N 0 1 267.373 0.618 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NCc1cc(N(C)C)ccn1 ZINC000931613704 613608398 /nfs/dbraw/zinc/60/83/98/613608398.db2.gz VWKVJSATSSYCFV-UHFFFAOYSA-N 0 1 276.340 0.408 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(Cl)no2)C1 ZINC000958610909 613613073 /nfs/dbraw/zinc/61/30/73/613613073.db2.gz WQDLXLRWHKMLMQ-VHSXEESVSA-N 0 1 297.742 0.374 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccoc2Cl)C1 ZINC000958010964 613614089 /nfs/dbraw/zinc/61/40/89/613614089.db2.gz QTVSMCDIWXAJBV-CMPLNLGQSA-N 0 1 296.754 0.979 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(Cl)no2)C1 ZINC000958610908 613618767 /nfs/dbraw/zinc/61/87/67/613618767.db2.gz WQDLXLRWHKMLMQ-UWVGGRQHSA-N 0 1 297.742 0.374 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccsn2)C1 ZINC000958174665 613619250 /nfs/dbraw/zinc/61/92/50/613619250.db2.gz GMYJRAQLMMDWMN-JQWIXIFHSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cn[nH]c(=O)c2)C(C)(C)C1 ZINC000974702528 613624122 /nfs/dbraw/zinc/62/41/22/613624122.db2.gz ZJEWNMQXXSGAFY-LBPRGKRZSA-N 0 1 288.351 0.646 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)C2C=CC=CC=C2)C1 ZINC000958106924 613625281 /nfs/dbraw/zinc/62/52/81/613625281.db2.gz ZYUUWRDPIVWRCB-CVEARBPZSA-N 0 1 286.375 0.717 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cnc(C)s2)C1 ZINC000957918891 613625999 /nfs/dbraw/zinc/62/59/99/613625999.db2.gz OIRDVPKBTYSFDJ-NEPJUHHUSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000978049330 613631946 /nfs/dbraw/zinc/63/19/46/613631946.db2.gz NAOZWSWXYZITBS-XYYAHUGASA-N 0 1 285.347 0.718 20 30 CCEDMN CC#CC[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000978049330 613631952 /nfs/dbraw/zinc/63/19/52/613631952.db2.gz NAOZWSWXYZITBS-XYYAHUGASA-N 0 1 285.347 0.718 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1OCC[C@H]1C ZINC000961812279 613637609 /nfs/dbraw/zinc/63/76/09/613637609.db2.gz FJQIDTGYRZZFRZ-DGTMBMJNSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCN1C(C)=O ZINC000962906960 613637790 /nfs/dbraw/zinc/63/77/90/613637790.db2.gz NXBFNYJBLRKDGM-BARDWOONSA-N 0 1 289.379 0.067 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnn1C ZINC000958374799 613641229 /nfs/dbraw/zinc/64/12/29/613641229.db2.gz OEQXGYAVBGZUHZ-PJXYFTJBSA-N 0 1 258.325 0.103 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(CC2CC2)nn1 ZINC000962154188 613641302 /nfs/dbraw/zinc/64/13/02/613641302.db2.gz IKRIVJIPHDWHFG-NHAGDIPZSA-N 0 1 299.378 0.371 20 30 CCEDMN C=CCN(CC(=O)NC(=O)N[C@@H](C)COC)C(C)C ZINC000917071024 613641819 /nfs/dbraw/zinc/64/18/19/613641819.db2.gz MKJJWZVHUGZYET-NSHDSACASA-N 0 1 271.361 0.744 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncc(OC)cn1 ZINC000960974167 613642804 /nfs/dbraw/zinc/64/28/04/613642804.db2.gz JKLIUUIQBHVASC-ITGUQSILSA-N 0 1 286.335 0.169 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nccc(C)n1 ZINC000958731807 613643011 /nfs/dbraw/zinc/64/30/11/613643011.db2.gz BCQFMCVTFMHLFR-ITGUQSILSA-N 0 1 270.336 0.468 20 30 CCEDMN C=CC[N@@H+](CC(=O)[O-])C[C@@H](O)COc1ccccc1 ZINC000846264297 613643651 /nfs/dbraw/zinc/64/36/51/613643651.db2.gz AKLQOGAAZUIQBJ-GFCCVEGCSA-N 0 1 265.309 0.999 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000823501399 613646546 /nfs/dbraw/zinc/64/65/46/613646546.db2.gz WTMOMQIRVAPPSG-GFCCVEGCSA-N 0 1 281.356 0.919 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000111254865 613647312 /nfs/dbraw/zinc/64/73/12/613647312.db2.gz LHJYTZGDXYELIV-RYUDHWBXSA-N 0 1 266.341 0.840 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000817681060 613648604 /nfs/dbraw/zinc/64/86/04/613648604.db2.gz KONQJWIIHRLILD-NWDGAFQWSA-N 0 1 266.341 0.982 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC000969787257 613662676 /nfs/dbraw/zinc/66/26/76/613662676.db2.gz CFRVLNLHELDBPH-NEPJUHHUSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(C)n(C)n2)C1 ZINC000969361103 613663851 /nfs/dbraw/zinc/66/38/51/613663851.db2.gz FZFREUIQRCKOOU-LLVKDONJSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cn(CC)nn2)C1 ZINC000970658753 613666514 /nfs/dbraw/zinc/66/65/14/613666514.db2.gz GNUZSLIAUBDCHL-SNVBAGLBSA-N 0 1 263.345 0.534 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC000970717268 613668708 /nfs/dbraw/zinc/66/87/08/613668708.db2.gz APXVZTUJTMWZLK-AAEUAGOBSA-N 0 1 294.399 0.542 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC000970020678 613668962 /nfs/dbraw/zinc/66/89/62/613668962.db2.gz LXCKGZMYTOAOAF-WDEREUQCSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC000970965520 613671019 /nfs/dbraw/zinc/67/10/19/613671019.db2.gz APEVBBIAIHWONT-JTQLQIEISA-N 0 1 288.351 0.417 20 30 CCEDMN C=CCN1CCCN(C(=O)CN2CCOCC2)CC1 ZINC000980999474 613689491 /nfs/dbraw/zinc/68/94/91/613689491.db2.gz LMTSZMWZIHYKSB-UHFFFAOYSA-N 0 1 267.373 0.039 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCN(C)C2=O)CC1 ZINC000981780470 613694313 /nfs/dbraw/zinc/69/43/13/613694313.db2.gz XMLLURQISPQYBD-LBPRGKRZSA-N 0 1 265.357 0.185 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC000968360827 613768131 /nfs/dbraw/zinc/76/81/31/613768131.db2.gz NROZDUOZEUPFTC-AAEUAGOBSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965957147 613773172 /nfs/dbraw/zinc/77/31/72/613773172.db2.gz BFCMKKYVHWMDCD-WDEREUQCSA-N 0 1 279.340 0.605 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H]2C[C@H]3COC[C@H]3O2)C1=O ZINC000930310520 613777259 /nfs/dbraw/zinc/77/72/59/613777259.db2.gz HGQALZWRGLXCTG-XDQVBPFNSA-N 0 1 280.368 0.509 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(CCCCO)CC2)C1=O ZINC000799140230 613778693 /nfs/dbraw/zinc/77/86/93/613778693.db2.gz COKUHJOYJQWIHJ-AWEZNQCLSA-N 0 1 281.400 0.163 20 30 CCEDMN C=CCN1CC[C@H](N[C@H](C(N)=O)[C@@H](C)CC)C1=O ZINC000887055988 613802425 /nfs/dbraw/zinc/80/24/25/613802425.db2.gz VMTJWIBUMIJNGQ-DCAQKATOSA-N 0 1 253.346 0.263 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)O2)C(C)(C)C1 ZINC000975031002 613808405 /nfs/dbraw/zinc/80/84/05/613808405.db2.gz PIYJERWXOOOTMS-QJPTWQEYSA-N 0 1 295.383 0.032 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C(C)(C)C1 ZINC000977627308 613808904 /nfs/dbraw/zinc/80/89/04/613808904.db2.gz YXUAOPPZNISJDN-GFCCVEGCSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974761385 613827354 /nfs/dbraw/zinc/82/73/54/613827354.db2.gz CHHIUDPXXHNVKR-NEPJUHHUSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCNC(=O)CNC(=O)NCc1cc(N(C)C)ccn1 ZINC000924661553 613846338 /nfs/dbraw/zinc/84/63/38/613846338.db2.gz CBQVHVQOLNPBMY-UHFFFAOYSA-N 0 1 291.355 0.249 20 30 CCEDMN C=CCNC(=O)CN[C@@]1(CO)CCc2c1cccc2OC ZINC000880379391 613847132 /nfs/dbraw/zinc/84/71/32/613847132.db2.gz AKEQWXMDUMJOCT-MRXNPFEDSA-N 0 1 290.363 0.721 20 30 CCEDMN C=CCOCC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833376184 613879081 /nfs/dbraw/zinc/87/90/81/613879081.db2.gz VZPOEJJTNDHPGU-NSHDSACASA-N 0 1 270.329 0.196 20 30 CCEDMN C=CCOC[C@@H](NC(=O)CCCn1cc[nH+]c1C)C(=O)[O-] ZINC000909771889 613881570 /nfs/dbraw/zinc/88/15/70/613881570.db2.gz STJFILXOAKBZPR-GFCCVEGCSA-N 0 1 295.339 0.744 20 30 CCEDMN C=CCOc1cccc([N-]S(=O)(=O)C2C[NH2+]C2)c1 ZINC000923635597 613884759 /nfs/dbraw/zinc/88/47/59/613884759.db2.gz OETNXRXRCFUABO-UHFFFAOYSA-N 0 1 268.338 0.965 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@H](O)c1ccc(F)cn1 ZINC000819221126 613885662 /nfs/dbraw/zinc/88/56/62/613885662.db2.gz BKGGNPBDDJJMPH-LBPRGKRZSA-N 0 1 288.344 0.445 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1cn(CC)nc1C ZINC000893503609 613885770 /nfs/dbraw/zinc/88/57/70/613885770.db2.gz COPPZQKXGUFHHL-UHFFFAOYSA-N 0 1 271.386 0.902 20 30 CCEDMN CC(C)(C)N1CCC[C@H](C(=O)N[C@H]2CNC[C@H]2C#N)C1=O ZINC000884249061 613926127 /nfs/dbraw/zinc/92/61/27/613926127.db2.gz CGMZKVROCNEXKS-UTUOFQBUSA-N 0 1 292.383 0.251 20 30 CCEDMN CC(C)(C)n1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cn1 ZINC000834489207 614059991 /nfs/dbraw/zinc/05/99/91/614059991.db2.gz KSWOZMWZFTZTFJ-GXSJLCMTSA-N 0 1 261.329 0.479 20 30 CCEDMN CC(C)(NC[C@H](O)CC#N)C(=O)NCC1CCCC1 ZINC000930149988 614170216 /nfs/dbraw/zinc/17/02/16/614170216.db2.gz AIPUPTWZXYHFIB-GFCCVEGCSA-N 0 1 267.373 0.936 20 30 CCEDMN CC(C)[C@@]1(CO)CCCN(CC(=O)NCCC#N)C1 ZINC000815235509 614186826 /nfs/dbraw/zinc/18/68/26/614186826.db2.gz WCDDFMAXZKRMLJ-AWEZNQCLSA-N 0 1 267.373 0.747 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C[C@H](O)C2(O)CCCC2)CC1 ZINC000930116278 614187132 /nfs/dbraw/zinc/18/71/32/614187132.db2.gz VFGYHGCFHRYJLZ-GJZGRUSLSA-N 0 1 295.427 0.818 20 30 CCEDMN CC(=O)Nc1cccc(CC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834485269 614204300 /nfs/dbraw/zinc/20/43/00/614204300.db2.gz UMDZJNUQCMIKLT-TZMCWYRMSA-N 0 1 286.335 0.415 20 30 CCEDMN CC(=O)Nc1cccnc1C(=O)N=C1C[C@@H](C)ON1 ZINC000867063245 614207821 /nfs/dbraw/zinc/20/78/21/614207821.db2.gz RULUJSZZICDONA-SSDOTTSWSA-N 0 1 262.269 0.892 20 30 CCEDMN CC(C)Cc1ncc(C(=O)N2CC[C@@H](NCC#N)C2)[nH]1 ZINC000968666875 614232795 /nfs/dbraw/zinc/23/27/95/614232795.db2.gz GHWBQMLVXATOIB-LLVKDONJSA-N 0 1 275.356 0.936 20 30 CCEDMN CC(C)Cn1cc(NC(=O)N[C@H]2CNC[C@H]2C#N)cn1 ZINC000841129296 614238701 /nfs/dbraw/zinc/23/87/01/614238701.db2.gz FUGMGKIPWIZODQ-PWSUYJOCSA-N 0 1 276.344 0.772 20 30 CCEDMN CC(=O)c1ccccc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000843462743 614258655 /nfs/dbraw/zinc/25/86/55/614258655.db2.gz SGJCBMMTJMQVBD-ZWNOBZJWSA-N 0 1 257.293 0.731 20 30 CCEDMN CC1CCN(CC(=O)N2CCO[C@](C)(C#N)C2)CC1 ZINC000887678567 614432272 /nfs/dbraw/zinc/43/22/72/614432272.db2.gz FOKNXGDLQBXGPV-CQSZACIVSA-N 0 1 265.357 0.859 20 30 CCEDMN CCC(C#N)(CC)C(=O)NC[C@@H]1C[C@@H](O)CN1C ZINC000924995052 614470428 /nfs/dbraw/zinc/47/04/28/614470428.db2.gz CKXAMHJMEJXTMW-WDEREUQCSA-N 0 1 253.346 0.498 20 30 CCEDMN CCC(=O)N1CCCC[C@@H]1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834488844 614535194 /nfs/dbraw/zinc/53/51/94/614535194.db2.gz HCEHARRURINAIH-GRYCIOLGSA-N 0 1 278.356 0.005 20 30 CCEDMN CCCNC(=O)CON=C(N)CN1CCCC[C@H]1C ZINC000111179281 614857380 /nfs/dbraw/zinc/85/73/80/614857380.db2.gz PJKGRYASXGQBHM-LLVKDONJSA-N 0 1 270.377 0.676 20 30 CCEDMN CCC[C@@H](C(=O)Nc1cc(C#N)ccc1O)S(N)(=O)=O ZINC000831272673 614974062 /nfs/dbraw/zinc/97/40/62/614974062.db2.gz ZMEJMKRYEXGHKJ-NSHDSACASA-N 0 1 297.336 0.660 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC000818202884 615022139 /nfs/dbraw/zinc/02/21/39/615022139.db2.gz WTHSKFHFGJJPQB-JTQLQIEISA-N 0 1 253.302 0.155 20 30 CCEDMN CCN(CCNS(=O)(=O)CC(C)(C)C#N)C1CC1 ZINC000914042908 615051502 /nfs/dbraw/zinc/05/15/02/615051502.db2.gz JSHGUAXPMFWVJD-UHFFFAOYSA-N 0 1 273.402 0.940 20 30 CCEDMN CC[N@H+]1CCCC[C@H]1C(=O)N1CC[C@@H](NCC#N)C1 ZINC000970795307 615113738 /nfs/dbraw/zinc/11/37/38/615113738.db2.gz CHIVIZNQZYDTPQ-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN CC[N@H+]1CCC[C@@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820348041 615129274 /nfs/dbraw/zinc/12/92/74/615129274.db2.gz HSSXNLVNVCAAOU-MFKMUULPSA-N 0 1 267.329 0.591 20 30 CCEDMN CC[N@@H+]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@H]1C ZINC000820353948 615153236 /nfs/dbraw/zinc/15/32/36/615153236.db2.gz IWRNCYBRTDUGSL-MFKMUULPSA-N 0 1 267.329 0.544 20 30 CCEDMN CC[N@H+]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@H]1C ZINC000820353948 615153237 /nfs/dbraw/zinc/15/32/37/615153237.db2.gz IWRNCYBRTDUGSL-MFKMUULPSA-N 0 1 267.329 0.544 20 30 CCEDMN CCN1CCO[C@]2(CCN(C(=O)c3cc(C#N)c[nH]3)C2)C1 ZINC000972321328 615192316 /nfs/dbraw/zinc/19/23/16/615192316.db2.gz VHSQSIPQKIRQKL-OAHLLOKOSA-N 0 1 288.351 0.823 20 30 CCEDMN CCOC(=O)N1C[C@@H](CO)[C@H](NCc2ccc(C#N)o2)C1 ZINC000898356249 615238208 /nfs/dbraw/zinc/23/82/08/615238208.db2.gz MPQLRLAKBRFRBS-GXFFZTMASA-N 0 1 293.323 0.690 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1CCN(C2CC2)[C@H](C#N)C1 ZINC000883894334 615245699 /nfs/dbraw/zinc/24/56/99/615245699.db2.gz BZJVCWQVFUMYMV-ZIAGYGMSSA-N 0 1 291.399 0.462 20 30 CCEDMN CCOCCN1CCN(C(=O)NCC#CCO)C[C@H]1CC ZINC000923781534 615252629 /nfs/dbraw/zinc/25/26/29/615252629.db2.gz ZOWYDNKVMHTDMI-CQSZACIVSA-N 0 1 297.399 0.124 20 30 CCEDMN CCN1C[C@@H](C)N(C(=O)NCC#CCO)C[C@@H]1C ZINC000923774818 615337802 /nfs/dbraw/zinc/33/78/02/615337802.db2.gz CGFHPMVQOAZISW-NWDGAFQWSA-N 0 1 253.346 0.106 20 30 CCEDMN CCO[C@@H](C(=O)NCC#CCN(C)C)[C@@H]1CCOC1 ZINC000913525148 615388955 /nfs/dbraw/zinc/38/89/55/615388955.db2.gz MBRVWXKXBRMRJK-CHWSQXEVSA-N 0 1 268.357 0.109 20 30 CCEDMN CCOc1ncccc1C(=O)NCC#CCN(C)C ZINC000913516635 615526067 /nfs/dbraw/zinc/52/60/67/615526067.db2.gz YYYBJGNBKUSKEB-UHFFFAOYSA-N 0 1 261.325 0.775 20 30 CCEDMN CCc1ccc(C#CC(=O)NCc2nn[nH]n2)cc1 ZINC000815558081 615660486 /nfs/dbraw/zinc/66/04/86/615660486.db2.gz PADWSBXGCQJZQT-UHFFFAOYSA-N 0 1 255.281 0.430 20 30 CCEDMN CCn1nc(C)c(CNC[C@@H](O)CC(C)(C)C#N)n1 ZINC000857238259 615664230 /nfs/dbraw/zinc/66/42/30/615664230.db2.gz JEOWLRITJCQBJK-NSHDSACASA-N 0 1 265.361 0.997 20 30 CCEDMN CC[C@H](CNS(C)(=O)=O)N[C@@H](C)c1cc(C#N)ccn1 ZINC000903007355 615727258 /nfs/dbraw/zinc/72/72/58/615727258.db2.gz XXCOJYFMJWZQHB-CMPLNLGQSA-N 0 1 296.396 0.932 20 30 CCEDMN CCc1nc(COC(=O)Cc2ccc(C#N)nc2)n[nH]1 ZINC000860250409 615748723 /nfs/dbraw/zinc/74/87/23/615748723.db2.gz ZPRQXNLXNCMXNN-UHFFFAOYSA-N 0 1 271.280 0.920 20 30 CCEDMN CCc1noc(CCCC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000834490608 615836605 /nfs/dbraw/zinc/83/66/05/615836605.db2.gz WBJBKVXTFRVDAU-VHSXEESVSA-N 0 1 277.328 0.182 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@@H](O)c1cccc(F)c1 ZINC000913520598 615858282 /nfs/dbraw/zinc/85/82/82/615858282.db2.gz UPWSKCMLCVJKQX-CQSZACIVSA-N 0 1 278.327 0.930 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccnn1C1CC1 ZINC000934536418 615859035 /nfs/dbraw/zinc/85/90/35/615859035.db2.gz FEMAHVFHXYIQOE-UHFFFAOYSA-N 0 1 261.329 0.905 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c[nH]nc1[C@H]1CCOC1 ZINC000913521323 615860262 /nfs/dbraw/zinc/86/02/62/615860262.db2.gz UBJXGLZTHNDHQH-NSHDSACASA-N 0 1 276.340 0.208 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(C(N)=O)cs1 ZINC000913517715 615860645 /nfs/dbraw/zinc/86/06/45/615860645.db2.gz MZUOYTVEABKACM-UHFFFAOYSA-N 0 1 265.338 0.142 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccnc1N(C)C ZINC000913517040 615861138 /nfs/dbraw/zinc/86/11/38/615861138.db2.gz PZHYYZYRHKTJCP-UHFFFAOYSA-N 0 1 260.341 0.442 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC000961616398 615956597 /nfs/dbraw/zinc/95/65/97/615956597.db2.gz LQLYIPRPVOLIQW-ITGUQSILSA-N 0 1 288.351 0.525 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)N1CC[C@@H](NCC#N)C1 ZINC000969742334 615956621 /nfs/dbraw/zinc/95/66/21/615956621.db2.gz VVCCHMYQLGDTOF-GFCCVEGCSA-N 0 1 276.340 0.669 20 30 CCEDMN CN(C)c1cccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000841183210 616022591 /nfs/dbraw/zinc/02/25/91/616022591.db2.gz MVSXUESBUYMPNF-NXEZZACHSA-N 0 1 274.328 0.381 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CC[C@@H](NCC#N)C1 ZINC000970498828 616030746 /nfs/dbraw/zinc/03/07/46/616030746.db2.gz IEPWUZQXZOTSLL-LLVKDONJSA-N 0 1 273.340 0.475 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC000972684121 616040470 /nfs/dbraw/zinc/04/04/70/616040470.db2.gz LWOOBNHPIZDIML-NWDGAFQWSA-N 0 1 287.367 0.571 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000972684120 616041329 /nfs/dbraw/zinc/04/13/29/616041329.db2.gz LWOOBNHPIZDIML-NEPJUHHUSA-N 0 1 287.367 0.571 20 30 CCEDMN CN(CC(=O)NCCNc1ncccc1C#N)C1CCC1 ZINC000863861049 616047106 /nfs/dbraw/zinc/04/71/06/616047106.db2.gz RXDRIFZLUSIFRL-UHFFFAOYSA-N 0 1 287.367 0.966 20 30 CCEDMN CN(Cc1cncc(C#N)c1)C[C@H](O)CN1CCOCC1 ZINC000929814390 616093651 /nfs/dbraw/zinc/09/36/51/616093651.db2.gz UMTWQJINAOEJPR-HNNXBMFYSA-N 0 1 290.367 0.078 20 30 CCEDMN CN([C@@H]1CCN(C(=O)C#CC2CC2)C1)[C@@H]1CCCNC1=O ZINC000971510015 616114446 /nfs/dbraw/zinc/11/44/46/616114446.db2.gz ZVXVOKPXHQKVDQ-ZIAGYGMSSA-N 0 1 289.379 0.211 20 30 CCEDMN CN1C(=O)CN(N=C2CCCN3CCCC[C@@H]23)C1=O ZINC000848406173 616136312 /nfs/dbraw/zinc/13/63/12/616136312.db2.gz AEOMSOXHTZFXFP-NSHDSACASA-N 0 1 264.329 0.885 20 30 CCEDMN CN(C[C@H](O)CC#N)C[C@H](O)c1cccc(C#N)c1 ZINC000930846225 616149097 /nfs/dbraw/zinc/14/90/97/616149097.db2.gz XXMGGBBRUJBLDS-KGLIPLIRSA-N 0 1 259.309 0.798 20 30 CCEDMN CN(C(=O)[C@]1(C#N)CCCOC1)[C@H]1CCCN(C)C1 ZINC000866068278 616206053 /nfs/dbraw/zinc/20/60/53/616206053.db2.gz MSZBRDSFOBYVDV-JSGCOSHPSA-N 0 1 265.357 0.859 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1C[C@@]2(C)CN(CC#N)C[C@@]2(C)C1 ZINC000982235657 616210562 /nfs/dbraw/zinc/21/05/62/616210562.db2.gz ZRHJFWRYTZPBKL-CWRNSKLLSA-N 0 1 290.411 0.775 20 30 CCEDMN CN1CCN(Cc2cn(Cc3cncc(C#N)c3)nn2)CC1 ZINC000905837989 616245652 /nfs/dbraw/zinc/24/56/52/616245652.db2.gz OWLKAEAALXJSLL-UHFFFAOYSA-N 0 1 297.366 0.340 20 30 CCEDMN CN1CCN(N=C2CCCc3nc(N)ccc32)CC1 ZINC000834913839 616246280 /nfs/dbraw/zinc/24/62/80/616246280.db2.gz GWRAZNONGHQKOJ-UHFFFAOYSA-N 0 1 259.357 0.952 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)Nc1cc(C#N)ccc1O ZINC000901224863 616347187 /nfs/dbraw/zinc/34/71/87/616347187.db2.gz DZBZKKMGAMSYFF-IINYFYTJSA-N 0 1 275.308 0.657 20 30 CCEDMN COCCN1CC[C@H](NC(=O)Nc2ccc(C#N)cn2)C1 ZINC000889225124 616417798 /nfs/dbraw/zinc/41/77/98/616417798.db2.gz HTURTLVTXUHLBS-LBPRGKRZSA-N 0 1 289.339 0.795 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCC1(CC#N)CC1 ZINC000907935563 616473325 /nfs/dbraw/zinc/47/33/25/616473325.db2.gz LEDXUEYOHZJOJP-PWSUYJOCSA-N 0 1 251.330 0.252 20 30 CCEDMN COCCN1[C@H](C)CN(c2c(C#N)cnn2C)C[C@@H]1C ZINC000853708465 616481442 /nfs/dbraw/zinc/48/14/42/616481442.db2.gz QQRXRMQRIROFQC-TXEJJXNPSA-N 0 1 277.372 0.837 20 30 CCEDMN COCC#CCN1CCNC(=O)CC12CCOCC2 ZINC000872283916 616528199 /nfs/dbraw/zinc/52/81/99/616528199.db2.gz KIKXLIQCORNNNY-UHFFFAOYSA-N 0 1 266.341 0.007 20 30 CCEDMN COC(=O)[C@H]1CC[N@H+](CC2(C#N)CC2)[C@H](C(=O)[O-])C1 ZINC000833706041 616663959 /nfs/dbraw/zinc/66/39/59/616663959.db2.gz FGZSSHKXZPGWSP-UWVGGRQHSA-N 0 1 266.297 0.628 20 30 CCEDMN COC(=O)[C@H]1CC[N@@H+](CC2(C#N)CC2)[C@H](C(=O)[O-])C1 ZINC000833706041 616663963 /nfs/dbraw/zinc/66/39/63/616663963.db2.gz FGZSSHKXZPGWSP-UWVGGRQHSA-N 0 1 266.297 0.628 20 30 CCEDMN COC(=O)C[C@H](C)CC(=O)NCC#CCN(C)C ZINC000913522628 616683898 /nfs/dbraw/zinc/68/38/98/616683898.db2.gz RBRHIYQZBHMCOP-LLVKDONJSA-N 0 1 254.330 0.257 20 30 CCEDMN COCCn1c(=O)cc(O)nc1SCCOCCC#N ZINC000901653761 616714027 /nfs/dbraw/zinc/71/40/27/616714027.db2.gz NMOYURAGGMTPKD-UHFFFAOYSA-N 0 1 299.352 0.618 20 30 CCEDMN CNC(=O)OC[C@@H]1CCCCN1C[C@H](O)CC#N ZINC000930494985 616774072 /nfs/dbraw/zinc/77/40/72/616774072.db2.gz WKLROWBTDSHQLK-WDEREUQCSA-N 0 1 255.318 0.471 20 30 CCEDMN COC[C@H]1CNCCN1C(=O)Nc1snc(C)c1C#N ZINC000904469884 616789595 /nfs/dbraw/zinc/78/95/95/616789595.db2.gz CJZZTSGGFMIWKY-SECBINFHSA-N 0 1 295.368 0.775 20 30 CCEDMN COC(=O)c1ccc(C(=O)NCC#CCN(C)C)cc1F ZINC000913519739 616881866 /nfs/dbraw/zinc/88/18/66/616881866.db2.gz MHDYYYPIBQDWQF-UHFFFAOYSA-N 0 1 292.310 0.907 20 30 CCEDMN COC(=O)c1ccc(CC(=O)NCC#CCN(C)C)cc1 ZINC000913523060 616893846 /nfs/dbraw/zinc/89/38/46/616893846.db2.gz KSHOTOPWGYSATK-UHFFFAOYSA-N 0 1 288.347 0.697 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@@H]1C(F)F ZINC000802037362 616902134 /nfs/dbraw/zinc/90/21/34/616902134.db2.gz DGEBFBFUIITBIL-XHNCKOQMSA-N 0 1 274.267 0.749 20 30 CCEDMN COCc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834511979 616902575 /nfs/dbraw/zinc/90/25/75/616902575.db2.gz QJHRWPZDGYJVRG-STQMWFEESA-N 0 1 259.309 0.674 20 30 CCEDMN COCc1csc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000852827717 616917558 /nfs/dbraw/zinc/91/75/58/616917558.db2.gz ODZKIXQDVWYCGS-UWVGGRQHSA-N 0 1 265.338 0.736 20 30 CCEDMN COCc1nc(C)c(C(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000834485050 616932209 /nfs/dbraw/zinc/93/22/09/616932209.db2.gz RBXLAYJPCXSINF-IUCAKERBSA-N 0 1 280.353 0.439 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC1CCCC1)[C@H]1CCOC1 ZINC000850768088 617006772 /nfs/dbraw/zinc/00/67/72/617006772.db2.gz LXIBJUVHHBNRAY-JKOKRWQUSA-N 0 1 294.351 0.806 20 30 CCEDMN CON(C)C(=O)CCN1CCN([C@@H](C#N)C(C)C)CC1 ZINC000808741128 617008312 /nfs/dbraw/zinc/00/83/12/617008312.db2.gz ALCKXGFPOKUCKF-ZDUSSCGKSA-N 0 1 282.388 0.562 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)[C@@H]1CCCN1C(C)C ZINC000934714579 617010792 /nfs/dbraw/zinc/01/07/92/617010792.db2.gz RVFINUBQLPKASW-WCQYABFASA-N 0 1 253.346 0.904 20 30 CCEDMN COC(=O)c1nc(C(=O)N=C2C[C@@H](C)ON2)cs1 ZINC000907159720 617023731 /nfs/dbraw/zinc/02/37/31/617023731.db2.gz PHLUIZBDVIFNQN-RXMQYKEDSA-N 0 1 269.282 0.782 20 30 CCEDMN CNS(=O)(=O)c1cccc([C@H](C)NC[C@H](O)CC#N)c1 ZINC000809578419 617040455 /nfs/dbraw/zinc/04/04/55/617040455.db2.gz MKOSYRBSKOBCNW-CMPLNLGQSA-N 0 1 297.380 0.520 20 30 CCEDMN CNc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1[N+](=O)[O-] ZINC000834504952 617063683 /nfs/dbraw/zinc/06/36/83/617063683.db2.gz GWMCPWSCUIFDFK-ONGXEEELSA-N 0 1 289.295 0.478 20 30 CCEDMN CON=CC(=O)N[C@@H](Cc1nc[nH]n1)c1ccccc1 ZINC000809780003 617105571 /nfs/dbraw/zinc/10/55/71/617105571.db2.gz HRWWCWLMXDVXKK-NSHDSACASA-N 0 1 273.296 0.837 20 30 CCEDMN COc1cc(C(=O)NC[C@H]2COCCN2)ccc1C#N ZINC000877039757 617162608 /nfs/dbraw/zinc/16/26/08/617162608.db2.gz PGRSXRZRMFGOCZ-LBPRGKRZSA-N 0 1 275.308 0.285 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CNCc2ccon2)C1 ZINC001026732225 625573744 /nfs/dbraw/zinc/57/37/44/625573744.db2.gz HYXHKJJUZDATQI-GHMZBOCLSA-N 0 1 262.313 0.772 20 30 CCEDMN CCc1nnc(CNC[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)o1 ZINC001026732027 625576670 /nfs/dbraw/zinc/57/66/70/625576670.db2.gz FUGMOFXIAKESEU-MNOVXSKESA-N 0 1 291.355 0.730 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001008537013 625576987 /nfs/dbraw/zinc/57/69/87/625576987.db2.gz CLJJRPMBXVRQMC-SNVBAGLBSA-N 0 1 276.340 0.494 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CNCc2ncccn2)C1 ZINC001026732851 625579715 /nfs/dbraw/zinc/57/97/15/625579715.db2.gz OGOXVYVHUKMKMW-VXGBXAGGSA-N 0 1 273.340 0.574 20 30 CCEDMN Cc1ccnc(CNC[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001026733509 625580595 /nfs/dbraw/zinc/58/05/95/625580595.db2.gz VZHMPIJBWNVWSP-WCQYABFASA-N 0 1 287.367 0.883 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001008549317 625590183 /nfs/dbraw/zinc/59/01/83/625590183.db2.gz FTWWQTDFESTBOR-FRRDWIJNSA-N 0 1 279.384 0.655 20 30 CCEDMN N#Cc1ccccc1CN[C@H]1C[C@@H](NC(=O)c2cnon2)C1 ZINC001022871219 622821645 /nfs/dbraw/zinc/82/16/45/622821645.db2.gz JMMACWNOPQJGSU-BETUJISGSA-N 0 1 297.318 0.992 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CC[C@@H](N(C)CC#N)C1 ZINC000972516227 622922333 /nfs/dbraw/zinc/92/23/33/622922333.db2.gz KEADQMLXENAFSZ-WCQYABFASA-N 0 1 275.356 0.645 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2cn(CCC)nn2)C1 ZINC001023675036 623103354 /nfs/dbraw/zinc/10/33/54/623103354.db2.gz VFLGWHPMJWVQPB-ZDUSSCGKSA-N 0 1 289.383 0.763 20 30 CCEDMN Cc1noc(CNC[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001026833880 625630597 /nfs/dbraw/zinc/63/05/97/625630597.db2.gz BKUZBMPPXGKVOI-CYBMUJFWSA-N 0 1 288.351 0.730 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001024467079 623331924 /nfs/dbraw/zinc/33/19/24/623331924.db2.gz BYPLLAHTRWXHQE-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CN(C)C(=O)N1 ZINC001024595623 623387285 /nfs/dbraw/zinc/38/72/85/623387285.db2.gz KOSDOAXRRRDKMS-NEPJUHHUSA-N 0 1 280.372 0.167 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]([C@H](C)NC(=O)c2cnnn2C)C1 ZINC001025406989 623763003 /nfs/dbraw/zinc/76/30/03/623763003.db2.gz DQUMZHIXYXVNKQ-QWHCGFSZSA-N 0 1 289.383 0.669 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@@H]1OCC ZINC001025695016 623872346 /nfs/dbraw/zinc/87/23/46/623872346.db2.gz GCHKUWVDSLAWEC-DGTMBMJNSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@@H]1OCC ZINC001025695016 623872350 /nfs/dbraw/zinc/87/23/50/623872350.db2.gz GCHKUWVDSLAWEC-DGTMBMJNSA-N 0 1 262.353 0.481 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCCN(C[C@@H](C)O)C2)nn1 ZINC001008795726 625718272 /nfs/dbraw/zinc/71/82/72/625718272.db2.gz RMDVXVISQONRDV-VXGBXAGGSA-N 0 1 293.371 0.039 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)N[C@H]1C[C@H](NCC#N)C1 ZINC001020406623 624469337 /nfs/dbraw/zinc/46/93/37/624469337.db2.gz ZTEWFFHLUNULHI-RMIALFOJSA-N 0 1 287.367 0.836 20 30 CCEDMN C[C@@H](NC(=O)c1[nH]nc2c1CCC2)C1CN(CC#N)C1 ZINC000970033852 624576377 /nfs/dbraw/zinc/57/63/77/624576377.db2.gz CQGWDAHUPFNSKY-SECBINFHSA-N 0 1 273.340 0.472 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@H](NC(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001020693165 624578276 /nfs/dbraw/zinc/57/82/76/624578276.db2.gz HRWIZMIVLSHYOE-XYPYZODXSA-N 0 1 286.335 0.543 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000970402981 624683717 /nfs/dbraw/zinc/68/37/17/624683717.db2.gz VLJRMHWUBFQYTL-CYBMUJFWSA-N 0 1 296.334 0.799 20 30 CCEDMN N#CCN1CC[C@H]2[C@@H](CCCN2C(=O)Cc2ccn[nH]2)C1 ZINC001021549868 624768084 /nfs/dbraw/zinc/76/80/84/624768084.db2.gz CMJPXBPQCYECRV-JSGCOSHPSA-N 0 1 287.367 0.789 20 30 CCEDMN C=C(Cl)CN1CC[C@H]2[C@H](CCCN2C(=O)C(N)=O)C1 ZINC001021660781 624804977 /nfs/dbraw/zinc/80/49/77/624804977.db2.gz FZWYGHHUCPXCHF-MNOVXSKESA-N 0 1 285.775 0.537 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001009241499 625783124 /nfs/dbraw/zinc/78/31/24/625783124.db2.gz ADHTXRQGPFMNBB-LBPRGKRZSA-N 0 1 275.352 0.766 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001027335756 625836901 /nfs/dbraw/zinc/83/69/01/625836901.db2.gz JQTRNDABVFFUAF-ZJUUUORDSA-N 0 1 292.343 0.093 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001027335756 625836906 /nfs/dbraw/zinc/83/69/06/625836906.db2.gz JQTRNDABVFFUAF-ZJUUUORDSA-N 0 1 292.343 0.093 20 30 CCEDMN C[C@H](NCCN1CCNC1=O)c1cc(C#N)ccn1 ZINC000903092903 634534958 /nfs/dbraw/zinc/53/49/58/634534958.db2.gz FKJUSZRNJXQIBI-JTQLQIEISA-N 0 1 259.313 0.629 20 30 CCEDMN N#Cc1ccc(CNC[C@H](O)C2(O)CCOCC2)c(F)c1 ZINC000905093909 634801193 /nfs/dbraw/zinc/80/11/93/634801193.db2.gz NVRMIJJQFBSJQO-AWEZNQCLSA-N 0 1 294.326 0.689 20 30 CCEDMN COc1cccc2c1OCCC2=NNC1=NCCN1 ZINC000841658748 630856393 /nfs/dbraw/zinc/85/63/93/630856393.db2.gz ISWZEQSJDPCYFU-UHFFFAOYSA-N 0 1 260.297 0.731 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1COCCO1 ZINC001027764787 630902243 /nfs/dbraw/zinc/90/22/43/630902243.db2.gz IXBDIVBCJLJSLU-STQMWFEESA-N 0 1 266.341 0.006 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnsn1 ZINC001027770961 630904123 /nfs/dbraw/zinc/90/41/23/630904123.db2.gz GFJSKGCSIRMKJQ-VIFPVBQESA-N 0 1 252.343 0.918 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@H](NC(=O)Cc3nnc[nH]3)C[C@@H]2C1 ZINC000980208942 631003324 /nfs/dbraw/zinc/00/33/24/631003324.db2.gz JPXLAAIRBLPAJD-TUAOUCFPSA-N 0 1 288.355 0.087 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@H](NC(=O)CN3CCCC3)C[C@H]2C1 ZINC000980236878 631004376 /nfs/dbraw/zinc/00/43/76/631004376.db2.gz FWQAFPXMBYIZNZ-KKUMJFAQSA-N 0 1 290.411 0.822 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CCC[C@H](NCC#N)C2)n[nH]1 ZINC000981081012 631227680 /nfs/dbraw/zinc/22/76/80/631227680.db2.gz VXRGBFHMNCUBQM-QWRGUYRKSA-N 0 1 276.344 0.196 20 30 CCEDMN CN1CC(C(=O)N[C@H]2CCC[C@H](NCC#N)C2)=NC1=O ZINC000981318744 631267651 /nfs/dbraw/zinc/26/76/51/631267651.db2.gz ISWARRIKCHOVKN-UWVGGRQHSA-N 0 1 277.328 0.280 20 30 CCEDMN N#CC1(CNC[C@H](O)C[C@]2(O)CCOC2)CCCCC1 ZINC000905387847 634852980 /nfs/dbraw/zinc/85/29/80/634852980.db2.gz ZAQKBCZEYCWGNY-UKRRQHHQSA-N 0 1 282.384 0.952 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](NCc2ccn(C)n2)C1 ZINC000967945916 650005918 /nfs/dbraw/zinc/00/59/18/650005918.db2.gz ZCCYEYGLLUZLNX-CMPLNLGQSA-N 0 1 261.329 0.270 20 30 CCEDMN C[C@@H](NC(=O)CC1(C#N)CC1)[C@H]1CN(C)CCN1C ZINC000896539498 632272939 /nfs/dbraw/zinc/27/29/39/632272939.db2.gz PORYZNZZYWLWCU-VXGBXAGGSA-N 0 1 264.373 0.431 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N[C@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC000896540498 632274015 /nfs/dbraw/zinc/27/40/15/632274015.db2.gz XFOGOQZKUIIRPT-RISCZKNCSA-N 0 1 289.383 0.559 20 30 CCEDMN C[C@H](NC(=O)c1cc(C#N)ccn1)[C@@H]1CN(C)CCN1C ZINC000896540637 632274048 /nfs/dbraw/zinc/27/40/48/632274048.db2.gz GKZHCPIRCSSLCW-FZMZJTMJSA-N 0 1 287.367 0.317 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001007114575 650065181 /nfs/dbraw/zinc/06/51/81/650065181.db2.gz UHZNVVIAFCXLHU-QWHCGFSZSA-N 0 1 278.352 0.544 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)Cc2n[nH]c(C)n2)C1 ZINC001007308686 650073292 /nfs/dbraw/zinc/07/32/92/650073292.db2.gz PJHHBJXDGHKNIP-NSHDSACASA-N 0 1 297.790 0.989 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC001007212323 650070623 /nfs/dbraw/zinc/07/06/23/650070623.db2.gz ACRHFUQEOBVRJL-NSHDSACASA-N 0 1 279.340 0.749 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2nn(C)cc2C)C1 ZINC001007316340 650074175 /nfs/dbraw/zinc/07/41/75/650074175.db2.gz PFKUXVZSTGCWBH-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCNC2=O)CC1 ZINC000985576679 632566406 /nfs/dbraw/zinc/56/64/06/632566406.db2.gz LICMLOJUXXWGGD-LBPRGKRZSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cn2cnnn2)[C@H]1C ZINC000985940573 632681087 /nfs/dbraw/zinc/68/10/87/632681087.db2.gz FUAMVJKBPFDQHH-VHSXEESVSA-N 0 1 284.751 0.005 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnn(C)c2N)[C@H]1C ZINC000986180265 632726130 /nfs/dbraw/zinc/72/61/30/632726130.db2.gz PBYDGYLUKCIRHO-ONGXEEELSA-N 0 1 297.790 0.947 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2nnc(C)[nH]2)[C@H]1C ZINC000986251748 632734793 /nfs/dbraw/zinc/73/47/93/632734793.db2.gz OEPIAPHSJJSJMI-ONGXEEELSA-N 0 1 297.790 0.987 20 30 CCEDMN C[C@H]1[C@H](NCc2cnnn2C)CCN1C(=O)C#CC1CC1 ZINC000986277161 632738129 /nfs/dbraw/zinc/73/81/29/632738129.db2.gz ISFPYZCVTUYQBR-SMDDNHRTSA-N 0 1 287.367 0.308 20 30 CCEDMN C[C@@H]1[C@@H](NCC#N)CCN1C(=O)c1cccc2nn[nH]c21 ZINC000986309629 632743145 /nfs/dbraw/zinc/74/31/45/632743145.db2.gz FDSSPCKHCMXSNG-KOLCDFICSA-N 0 1 284.323 0.674 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCn2cnnn2)[C@@H]1C ZINC000987247383 632847687 /nfs/dbraw/zinc/84/76/87/632847687.db2.gz GFUOBHUDZDGGHP-MNOVXSKESA-N 0 1 298.778 0.395 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CC(N)=O)C1 ZINC000988426137 633018817 /nfs/dbraw/zinc/01/88/17/633018817.db2.gz AYSLCNNFCDEDEN-RKDXNWHRSA-N 0 1 259.737 0.193 20 30 CCEDMN N#Cc1csc(CN[C@@H]2CCCNC(=O)C2)n1 ZINC000897629171 633219484 /nfs/dbraw/zinc/21/94/84/633219484.db2.gz HDUOBGIYHYPSPB-MRVPVSSYSA-N 0 1 250.327 0.773 20 30 CCEDMN N#CCC1(CNC(=O)C2CN([C@H]3CCOC3)C2)CC1 ZINC000897633936 633220917 /nfs/dbraw/zinc/22/09/17/633220917.db2.gz BMEYUSZGLJEVPT-LBPRGKRZSA-N 0 1 263.341 0.517 20 30 CCEDMN Cc1cc(NN=Cc2cnc3n2CCOC3)ncn1 ZINC000905447785 634862890 /nfs/dbraw/zinc/86/28/90/634862890.db2.gz FYPQYVTVBPTZDU-UHFFFAOYSA-N 0 1 258.285 0.958 20 30 CCEDMN CC#CCN1CCCN(C(=O)Cc2cncn2C)CC1 ZINC000989486749 633316796 /nfs/dbraw/zinc/31/67/96/633316796.db2.gz UILPTIHRIHLDPG-UHFFFAOYSA-N 0 1 274.368 0.520 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCCN(C[C@H](C)O)CC2)nc1 ZINC000989512536 633322271 /nfs/dbraw/zinc/32/22/71/633322271.db2.gz NPOHDHWNFRTZHL-ZDUSSCGKSA-N 0 1 287.363 0.592 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cn2cncn2)C1 ZINC000989752241 633351666 /nfs/dbraw/zinc/35/16/66/633351666.db2.gz LOSZDZZJPVOMQC-GHMZBOCLSA-N 0 1 283.763 0.610 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](NCC#N)C[C@@H]2C)CC1 ZINC000989828695 633364716 /nfs/dbraw/zinc/36/47/16/633364716.db2.gz HTCAGMCQPPXWEF-DZGCQCFKSA-N 0 1 288.395 0.434 20 30 CCEDMN N#Cc1ccc(CCNC(=O)C2CN([C@H]3CCOC3)C2)cc1 ZINC000898580379 633626623 /nfs/dbraw/zinc/62/66/23/633626623.db2.gz JNGACLWBPQVRDV-INIZCTEOSA-N 0 1 299.374 0.938 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2CCCN(CCO)C2)C1 ZINC001008464320 650115911 /nfs/dbraw/zinc/11/59/11/650115911.db2.gz MPQUUDYUPMBTAO-LBPRGKRZSA-N 0 1 252.358 0.916 20 30 CCEDMN N#Cc1ccc(N2CCN(C(=O)Cc3c[nH]cn3)CC2)nc1 ZINC000900317595 633983820 /nfs/dbraw/zinc/98/38/20/633983820.db2.gz CXXXHMUHAQXMCF-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC001009012511 650135282 /nfs/dbraw/zinc/13/52/82/650135282.db2.gz CFKJFULHYCKINB-LBPRGKRZSA-N 0 1 275.356 0.516 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cn(CC)nn2)C1 ZINC001009012507 650135415 /nfs/dbraw/zinc/13/54/15/650135415.db2.gz CFKJFULHYCKINB-GFCCVEGCSA-N 0 1 275.356 0.516 20 30 CCEDMN Cn1cnc(S(=O)(=O)N[C@@H](CC#N)C(F)(F)F)c1 ZINC000901773331 634275460 /nfs/dbraw/zinc/27/54/60/634275460.db2.gz OELOKMVRRSXCIO-LURJTMIESA-N 0 1 282.247 0.543 20 30 CCEDMN C[C@@H](CNC(=O)c1ccsc1C#N)N1CCN(C)CC1 ZINC000906683819 635021287 /nfs/dbraw/zinc/02/12/87/635021287.db2.gz RFHNZMUMSGQTJK-NSHDSACASA-N 0 1 292.408 0.985 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnsn2)C1 ZINC001010315609 650199730 /nfs/dbraw/zinc/19/97/30/650199730.db2.gz BETVGOSQGKQZBY-VIFPVBQESA-N 0 1 252.343 0.918 20 30 CCEDMN C[C@@H]1CCc2nc(C(=O)NCC#CCN(C)C)cn2C1 ZINC000913524490 636833455 /nfs/dbraw/zinc/83/34/55/636833455.db2.gz OITUINJVBIFSEU-GFCCVEGCSA-N 0 1 274.368 0.760 20 30 CCEDMN Cc1noc2ncc(C(=O)NCC#CCN(C)C)cc12 ZINC000913516651 636834615 /nfs/dbraw/zinc/83/46/15/636834615.db2.gz ZJKGMWUGSWKMIZ-UHFFFAOYSA-N 0 1 272.308 0.826 20 30 CCEDMN Cc1c(C(=O)NCC#CCN(C)C)cnn1-c1ccccn1 ZINC000913516946 636835189 /nfs/dbraw/zinc/83/51/89/636835189.db2.gz MKCDMIKYLRKADW-UHFFFAOYSA-N 0 1 297.362 0.871 20 30 CCEDMN Cc1ccc(CC(=O)NCC#CCN(C)C)cc1O ZINC000913520511 636835799 /nfs/dbraw/zinc/83/57/99/636835799.db2.gz RZMDTQXDJJCOQL-UHFFFAOYSA-N 0 1 260.337 0.924 20 30 CCEDMN C#CCOCCC(=O)OC[C@H]1CN(CC(C)C)CCO1 ZINC000166504112 636928104 /nfs/dbraw/zinc/92/81/04/636928104.db2.gz JILCVFVIPHHGTF-CQSZACIVSA-N 0 1 283.368 0.926 20 30 CCEDMN C#CC[N@H+]1CC[C@]2(NC(=O)Cn3c[nH+]cc3C)CCC[C@H]12 ZINC000991864126 637104213 /nfs/dbraw/zinc/10/42/13/637104213.db2.gz UOXINTLXGBAKQK-GOEBONIOSA-N 0 1 286.379 0.938 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3c[nH]c(=O)cn3)CCC[C@H]12 ZINC000992149590 637320348 /nfs/dbraw/zinc/32/03/48/637320348.db2.gz UNDIGHPLDBGEGF-SWLSCSKDSA-N 0 1 286.335 0.130 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(NC(=O)c3ncn[nH]3)CCC[C@@H]12 ZINC000992326397 637364963 /nfs/dbraw/zinc/36/49/63/637364963.db2.gz GJDWRRFPWBFTJA-ZWNOBZJWSA-N 0 1 259.313 0.165 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)CN3CCCC3)C[C@H]21 ZINC000992909512 637636193 /nfs/dbraw/zinc/63/61/93/637636193.db2.gz RJVNIBGJDWCJIJ-RBSFLKMASA-N 0 1 290.411 0.822 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC001015604638 637735523 /nfs/dbraw/zinc/73/55/23/637735523.db2.gz VFXVHMXDIJZSRZ-STQMWFEESA-N 0 1 279.384 0.762 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)Cc2nnc(C)[nH]2)[C@@H]1C ZINC000993322561 637783049 /nfs/dbraw/zinc/78/30/49/637783049.db2.gz DZWMQNGTIMRTAV-JQWIXIFHSA-N 0 1 277.372 0.811 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(=O)n(C)c2)[C@H]1C ZINC000993542989 637816511 /nfs/dbraw/zinc/81/65/11/637816511.db2.gz POTHPNMBSGIIEZ-OCCSQVGLSA-N 0 1 287.363 0.601 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cc2cn(CC)nn2)[C@H]1C ZINC000993611588 637820210 /nfs/dbraw/zinc/82/02/10/637820210.db2.gz AELTWRCXYXWRSZ-TZMCWYRMSA-N 0 1 291.399 0.996 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2COCCN2C)C1 ZINC001007156201 637840840 /nfs/dbraw/zinc/84/08/40/637840840.db2.gz NEDHNQFOKGTBQV-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2c[nH]cn2)[C@@H]1C ZINC000993885040 637851535 /nfs/dbraw/zinc/85/15/35/637851535.db2.gz HVDMUSSQZYSGKY-WCQYABFASA-N 0 1 260.341 0.555 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2nonc2C)[C@@H]1C ZINC000994118149 637869393 /nfs/dbraw/zinc/86/93/93/637869393.db2.gz APJPEAMCQVHMFM-NWDGAFQWSA-N 0 1 276.340 0.523 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)Cc2nnc[nH]2)[C@H]1C ZINC000994174453 637874263 /nfs/dbraw/zinc/87/42/63/637874263.db2.gz HKPCTLABVQEQRV-MNOVXSKESA-N 0 1 263.345 0.502 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2nc[nH]n2)[C@@H]1C ZINC000994206070 637875994 /nfs/dbraw/zinc/87/59/94/637875994.db2.gz QBKSMJPZSBGLLK-RYUDHWBXSA-N 0 1 275.356 0.340 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(CCO)[C@@H]2C)nc1 ZINC000994237415 637878367 /nfs/dbraw/zinc/87/83/67/637878367.db2.gz FXLOFNLGSUPMLX-TZMCWYRMSA-N 0 1 287.363 0.638 20 30 CCEDMN O=S1(=O)C=C(NNc2ccc(-n3cccn3)nn2)CC1 ZINC000915971582 637881170 /nfs/dbraw/zinc/88/11/70/637881170.db2.gz CPAARQGTVPRZSH-UHFFFAOYSA-N 0 1 292.324 0.249 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](NC(C)=O)C[C@@H]2C)CC1 ZINC001012851629 650387285 /nfs/dbraw/zinc/38/72/85/650387285.db2.gz JJVCZPSUAIFITB-SWLSCSKDSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC001014354632 650441349 /nfs/dbraw/zinc/44/13/49/650441349.db2.gz HZHYPQKCCNVUQC-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN CCc1cc(C(=O)N2C[C@H](NCC#N)C(C)(C)C2)n[nH]1 ZINC000995489826 638767988 /nfs/dbraw/zinc/76/79/88/638767988.db2.gz LLNVRWHLPCQZLP-LBPRGKRZSA-N 0 1 275.356 0.936 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000944810766 638782265 /nfs/dbraw/zinc/78/22/65/638782265.db2.gz KSTOGQQZELEUNY-JQWIXIFHSA-N 0 1 298.350 0.922 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H]2C[C@H]2C(N)=O)CC1(C)C ZINC000996303013 638814958 /nfs/dbraw/zinc/81/49/58/638814958.db2.gz CUGSVABYAMJJMO-VWYCJHECSA-N 0 1 299.802 0.687 20 30 CCEDMN C[C@@H](NC(=O)[C@H]1CCCN1C)C1CCN(CC#N)CC1 ZINC000996981540 638846642 /nfs/dbraw/zinc/84/66/42/638846642.db2.gz WKHBLHOVOISBMB-TZMCWYRMSA-N 0 1 278.400 0.821 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H](C)c2ccnn2C)C1 ZINC001014458809 650453848 /nfs/dbraw/zinc/45/38/48/650453848.db2.gz JEPKHRDKWHINMG-QWHCGFSZSA-N 0 1 274.368 0.737 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(=O)NC)nc2)C1 ZINC001016010670 638877380 /nfs/dbraw/zinc/87/73/80/638877380.db2.gz CZQPBVKUZMVCJK-LBPRGKRZSA-N 0 1 288.351 0.431 20 30 CCEDMN C#CC[NH2+][C@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC000998995537 638952932 /nfs/dbraw/zinc/95/29/32/638952932.db2.gz MPENCIBWOGZNEP-NSHDSACASA-N 0 1 259.309 0.615 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC000999059537 638960567 /nfs/dbraw/zinc/96/05/67/638960567.db2.gz QWVDRZGKZSYBNI-VHSXEESVSA-N 0 1 287.747 0.428 20 30 CCEDMN CC1(C)CC[N@@H+](CC(=O)N2CCC[C@@H](NCC#N)C2)C1 ZINC001000183356 639049538 /nfs/dbraw/zinc/04/95/38/639049538.db2.gz IYJZEKMDVIIRPZ-CYBMUJFWSA-N 0 1 278.400 0.822 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCC1=CCN(CC#N)CC1 ZINC001000520541 639109082 /nfs/dbraw/zinc/10/90/82/639109082.db2.gz GIKZGABEZDGLLP-CYBMUJFWSA-N 0 1 262.357 0.352 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC001000794341 639167468 /nfs/dbraw/zinc/16/74/68/639167468.db2.gz AIDCFKRFYNXUGU-ZFWWWQNUSA-N 0 1 292.379 0.562 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc3nc[nH]c3n2)CC1 ZINC001000815344 639175434 /nfs/dbraw/zinc/17/54/34/639175434.db2.gz BEDLWTQYQMMLTK-UHFFFAOYSA-N 0 1 295.346 0.953 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(=O)n(CCC)n2)C1 ZINC001016226961 639181446 /nfs/dbraw/zinc/18/14/46/639181446.db2.gz TYTHIOIBBIVWGQ-LBPRGKRZSA-N 0 1 290.367 0.643 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2C[C@H]2c2ccnn2C)CC1 ZINC001000983205 639203518 /nfs/dbraw/zinc/20/35/18/639203518.db2.gz BCZYLNWDVSBCOB-HUUCEWRRSA-N 0 1 298.390 0.905 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc3ccnn32)C1 ZINC001014691123 650479014 /nfs/dbraw/zinc/47/90/14/650479014.db2.gz MEQMSWIQNKUWPO-LLVKDONJSA-N 0 1 271.324 0.719 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2OCC[C@H]2C)CC1 ZINC001001159338 639242808 /nfs/dbraw/zinc/24/28/08/639242808.db2.gz LFCGHJPMGOCCHJ-OCCSQVGLSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCc3n[nH]nc3C2)CC1 ZINC001001367489 639290907 /nfs/dbraw/zinc/29/09/07/639290907.db2.gz YKSHQQWVDSMCFZ-CYBMUJFWSA-N 0 1 299.378 0.291 20 30 CCEDMN CC#CC[NH+]1CCC(CNC(=O)c2[n-]nnc2C)CC1 ZINC001001710399 639329741 /nfs/dbraw/zinc/32/97/41/639329741.db2.gz YIULIABAAUNJME-UHFFFAOYSA-N 0 1 275.356 0.578 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2ccon2)CC1 ZINC001002474662 639417844 /nfs/dbraw/zinc/41/78/44/639417844.db2.gz VWPZJEQZIZOHLD-UHFFFAOYSA-N 0 1 261.325 0.821 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2cncnc2)CC1 ZINC001002704937 639445036 /nfs/dbraw/zinc/44/50/36/639445036.db2.gz ALASNMTZHWFYGS-UHFFFAOYSA-N 0 1 272.352 0.623 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001014859929 650496513 /nfs/dbraw/zinc/49/65/13/650496513.db2.gz KOUDIZPXFVWXQN-WDEREUQCSA-N 0 1 251.330 0.032 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H]1CN(C)C(=O)c1ccn[nH]1 ZINC001002918987 639477679 /nfs/dbraw/zinc/47/76/79/639477679.db2.gz CJPRQJMKTPKQAO-GHMZBOCLSA-N 0 1 289.339 0.632 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnn3ncccc23)CC1 ZINC001003038484 639498567 /nfs/dbraw/zinc/49/85/67/639498567.db2.gz UJHVVUARMNYFQA-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC(CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001003036447 639498751 /nfs/dbraw/zinc/49/87/51/639498751.db2.gz NOLMAZHQHPSXTE-AAEUAGOBSA-N 0 1 292.383 0.205 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cn2nccc2C)CC1 ZINC001003710954 639598688 /nfs/dbraw/zinc/59/86/88/639598688.db2.gz IGENXPNVGREJOK-UHFFFAOYSA-N 0 1 274.368 0.795 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001014980344 650511100 /nfs/dbraw/zinc/51/11/00/650511100.db2.gz MDNGATLAKULRIJ-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CC[N@H+]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001015110494 650528398 /nfs/dbraw/zinc/52/83/98/650528398.db2.gz SSDCFPQKICQACL-VIFPVBQESA-N 0 1 293.327 0.053 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnccn2)CC1 ZINC001005059103 639869365 /nfs/dbraw/zinc/86/93/65/639869365.db2.gz RFUVJSKDPWOGEA-UHFFFAOYSA-N 0 1 258.325 0.646 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)CN2CCCC2=O)CC1 ZINC001005099713 639876863 /nfs/dbraw/zinc/87/68/63/639876863.db2.gz YDIUBMRIUZCSDM-UHFFFAOYSA-N 0 1 291.395 0.555 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H](C)n2cncn2)CC1 ZINC001005276600 639895871 /nfs/dbraw/zinc/89/58/71/639895871.db2.gz WYINPVWGHDEDHW-ZDUSSCGKSA-N 0 1 289.383 0.785 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cnn(CC)n2)CC1 ZINC001005361544 639905197 /nfs/dbraw/zinc/90/51/97/639905197.db2.gz LEXBECHXENIEHN-UHFFFAOYSA-N 0 1 289.383 0.858 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cc2nnc(C)[nH]2)CC1 ZINC001005377336 639906702 /nfs/dbraw/zinc/90/67/02/639906702.db2.gz BLMJHAOWODCISL-UHFFFAOYSA-N 0 1 289.383 0.602 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnc3n2CCC3)CC1 ZINC001005518958 639915234 /nfs/dbraw/zinc/91/52/34/639915234.db2.gz PUVPBSUMIXOMRG-UHFFFAOYSA-N 0 1 286.379 0.999 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCCNC2=O)CC1 ZINC001005540469 639919024 /nfs/dbraw/zinc/91/90/24/639919024.db2.gz YOEDNHLEFJGWQH-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccn(CCOC)n2)C1 ZINC001015224102 650540282 /nfs/dbraw/zinc/54/02/82/650540282.db2.gz SSBPAVBDIGWFTG-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccc3n[nH]cc3c2)C1 ZINC001015242054 650541541 /nfs/dbraw/zinc/54/15/41/650541541.db2.gz CKHOORMLBJDJFP-AWEZNQCLSA-N 0 1 282.347 0.929 20 30 CCEDMN CN(C(=O)C(N)=O)C1CCN(CC#Cc2ccccc2)CC1 ZINC001005914187 640019032 /nfs/dbraw/zinc/01/90/32/640019032.db2.gz NOUADPWAAQKQDS-UHFFFAOYSA-N 0 1 299.374 0.446 20 30 CCEDMN C[C@H](C(=O)[O-])[N@@H+](C)C[C@@H](O)COc1ccccc1C#N ZINC000923223979 640056408 /nfs/dbraw/zinc/05/64/08/640056408.db2.gz AONOFSQDZZYCLP-ZYHUDNBSSA-N 0 1 278.308 0.703 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CC(OC)C2)C1 ZINC001014860976 640090752 /nfs/dbraw/zinc/09/07/52/640090752.db2.gz RNKYYOMFEBHTEX-CPCZMJQVSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2C[C@@]23CCOC3)CC1 ZINC001006257690 640213869 /nfs/dbraw/zinc/21/38/69/640213869.db2.gz IAWBXEKYHMDWIS-GDBMZVCRSA-N 0 1 276.380 0.969 20 30 CCEDMN C[C@H]1CN(c2nccnc2C#N)CCN1C[C@H](O)C1CC1 ZINC000838869975 640278069 /nfs/dbraw/zinc/27/80/69/640278069.db2.gz ZTRWPRIKFFWNLF-FZMZJTMJSA-N 0 1 287.367 0.630 20 30 CCEDMN Cc1nnc(N=NC2C[N@@H+](C3CC3)C[C@@H]2C)[n-]c1=O ZINC000755882185 640339269 /nfs/dbraw/zinc/33/92/69/640339269.db2.gz XSMZBEGKWZXTHF-ZETCQYMHSA-N 0 1 262.317 0.768 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001015621247 650595008 /nfs/dbraw/zinc/59/50/08/650595008.db2.gz TXQWQOUGRHAYCX-QWRGUYRKSA-N 0 1 275.356 0.601 20 30 CCEDMN C=C1CCC(C(=O)N[C@H]2CCN(CCO)C2)CC1 ZINC001015655542 650602647 /nfs/dbraw/zinc/60/26/47/650602647.db2.gz RYJIHDPGEGXAQG-ZDUSSCGKSA-N 0 1 252.358 0.916 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCc3nnnn3CC2)C1 ZINC001015731100 650617904 /nfs/dbraw/zinc/61/79/04/650617904.db2.gz JJOSVUXFTXORHG-RYUDHWBXSA-N 0 1 290.371 0.002 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001015770889 650625883 /nfs/dbraw/zinc/62/58/83/650625883.db2.gz UPVHGEHKNJKMDB-GFCCVEGCSA-N 0 1 280.759 0.750 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001015772807 650627254 /nfs/dbraw/zinc/62/72/54/650627254.db2.gz DPWRGMLSJJZESC-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@H]1CN=C(NN=C2CCCc3c2ccc(=O)n3C)N1 ZINC000814897773 641081232 /nfs/dbraw/zinc/08/12/32/641081232.db2.gz MWQVPEKAJLUTIW-VIFPVBQESA-N 0 1 273.340 0.363 20 30 CCEDMN C[C@@H](O)CNN=Cc1ccc(N2CCOCC2)s1 ZINC000814964059 641085922 /nfs/dbraw/zinc/08/59/22/641085922.db2.gz UXUBXDAZAQRQFN-SNVBAGLBSA-N 0 1 269.370 0.889 20 30 CCEDMN C[C@H](C(=O)N[C@H]1CCN(O)C1=O)N1CCCCCC1 ZINC000816696563 641199967 /nfs/dbraw/zinc/19/99/67/641199967.db2.gz AXPDIGHSSYQYAS-MNOVXSKESA-N 0 1 269.345 0.357 20 30 CCEDMN O=C1NCC(=NNC2=N[C@@H]3CCCC[C@H]3N2)c2ccccc21 ZINC000817326051 641253999 /nfs/dbraw/zinc/25/39/99/641253999.db2.gz LHPOHRRWAGEDHH-CHWSQXEVSA-N 0 1 297.362 0.994 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+]1CC[C@H](C(=O)[O-])C1)C1CC1 ZINC000817727672 641271620 /nfs/dbraw/zinc/27/16/20/641271620.db2.gz AFTYFVXOOKTODU-ZANVPECISA-N 0 1 265.313 0.201 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(CC3CC3)nn2)C1 ZINC001015913452 650655178 /nfs/dbraw/zinc/65/51/78/650655178.db2.gz MPUHVUYIPWBZIU-GFCCVEGCSA-N 0 1 275.356 0.678 20 30 CCEDMN O=C(N[C@H]1CCN(O)C1=O)c1cc(F)cc(F)c1O ZINC000820120238 641459322 /nfs/dbraw/zinc/45/93/22/641459322.db2.gz MEMAVYORVRYZOQ-QMMMGPOBSA-N 0 1 272.207 0.390 20 30 CCEDMN C[C@@H]1CCC[N@H+]1CCNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820238730 641487242 /nfs/dbraw/zinc/48/72/42/641487242.db2.gz UNNJEGVMTMBVJJ-MFKMUULPSA-N 0 1 267.329 0.591 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001015987866 650669517 /nfs/dbraw/zinc/66/95/17/650669517.db2.gz OSYJPRVQDJHINV-AAEUAGOBSA-N 0 1 274.368 0.891 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001015985718 650669887 /nfs/dbraw/zinc/66/98/87/650669887.db2.gz CHZXJOUAFZOUFE-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC001016099640 650680663 /nfs/dbraw/zinc/68/06/63/650680663.db2.gz CCMOVCIEFDIWJN-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN N#CCN(CC#N)C(=O)c1cc(Br)[nH]n1 ZINC000824990852 641857127 /nfs/dbraw/zinc/85/71/27/641857127.db2.gz NLRFPZJUWMMEBY-UHFFFAOYSA-N 0 1 268.074 0.662 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC001016223404 650699593 /nfs/dbraw/zinc/69/95/93/650699593.db2.gz VPOKYNBAOUSKCT-ZDUSSCGKSA-N 0 1 273.336 0.062 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2c(C)nc(C)[nH]c2=O)C1 ZINC001016242026 650702342 /nfs/dbraw/zinc/70/23/42/650702342.db2.gz IBQKOCKLVIXRPP-GFCCVEGCSA-N 0 1 288.351 0.165 20 30 CCEDMN N#CC1(C(=O)NC23CCN(CC2)C3)CCSCC1 ZINC000828010072 642059358 /nfs/dbraw/zinc/05/93/58/642059358.db2.gz NACDBBPVWBLSFW-UHFFFAOYSA-N 0 1 265.382 0.988 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001016350743 650720655 /nfs/dbraw/zinc/72/06/55/650720655.db2.gz YMCYLDJBLSARRV-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C[S@](=O)CCN1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000828567281 642105430 /nfs/dbraw/zinc/10/54/30/642105430.db2.gz ZTGGSSUEIVLASL-BUXKBTBVSA-N 0 1 279.365 0.785 20 30 CCEDMN C#CC[C@H]1CCCN(CC(=O)N(C)CC(=O)NCCC)C1 ZINC000829773587 642190228 /nfs/dbraw/zinc/19/02/28/642190228.db2.gz GOFOCNLHZIMYLM-AWEZNQCLSA-N 0 1 293.411 0.706 20 30 CCEDMN C#CC[C@H]1CCCN(CC(=O)N[C@@H](C)C(=O)N(C)C)C1 ZINC000829778426 642192398 /nfs/dbraw/zinc/19/23/98/642192398.db2.gz BXSLRQCDRJCTPI-STQMWFEESA-N 0 1 279.384 0.315 20 30 CCEDMN N#Cc1cccc([C@H]2CN(C(=O)Cc3ncn[nH]3)CCO2)c1 ZINC000867066245 642239284 /nfs/dbraw/zinc/23/92/84/642239284.db2.gz JFEXKIGAHJCCFI-CYBMUJFWSA-N 0 1 297.318 0.819 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1CC12CCN(CC#N)CC2 ZINC001016541858 650743291 /nfs/dbraw/zinc/74/32/91/650743291.db2.gz ZCMAUQHWYRGHDH-CHWSQXEVSA-N 0 1 276.384 0.575 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnn(-c2cccnc2)c1 ZINC000834480645 642572994 /nfs/dbraw/zinc/57/29/94/642572994.db2.gz UBBFACGQNWASEP-GXFFZTMASA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccc(F)cc1F ZINC000834480926 642573073 /nfs/dbraw/zinc/57/30/73/642573073.db2.gz YCUGJHXRBNQPAM-BXKDBHETSA-N 0 1 265.263 0.735 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(-c2ccccn2)no1 ZINC000834479777 642573620 /nfs/dbraw/zinc/57/36/20/642573620.db2.gz IMCUZBVWBODWAX-JOYOIKCWSA-N 0 1 283.291 0.578 20 30 CCEDMN Cc1c(Cl)c(C(=O)N[C@@H]2CNC[C@H]2C#N)nn1C ZINC000834484917 642575559 /nfs/dbraw/zinc/57/55/59/642575559.db2.gz PAWJTKPNLCVOLE-HTQZYQBOSA-N 0 1 267.720 0.223 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CN1CCCCCCC1=O ZINC000834490138 642580133 /nfs/dbraw/zinc/58/01/33/642580133.db2.gz SBJTZHGQLJPQEP-VXGBXAGGSA-N 0 1 278.356 0.007 20 30 CCEDMN Cc1nn(C)c(C)c1C[C@H](C)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834489254 642580229 /nfs/dbraw/zinc/58/02/29/642580229.db2.gz LFJRWSOIABOMBB-MRCXROJRSA-N 0 1 289.383 0.443 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccc2c(c1)OCO2 ZINC000834493143 642580990 /nfs/dbraw/zinc/58/09/90/642580990.db2.gz BBASHGIIYQFLHY-GHMZBOCLSA-N 0 1 273.292 0.186 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCOCc1ccccc1 ZINC000834490400 642581617 /nfs/dbraw/zinc/58/16/17/642581617.db2.gz UZPZDWFLHDYWSS-KBPBESRZSA-N 0 1 273.336 0.821 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1CCOc2ccccc21 ZINC000834494116 642583383 /nfs/dbraw/zinc/58/33/83/642583383.db2.gz KHRCCKQSPHSGFF-RTXFEEFZSA-N 0 1 271.320 0.780 20 30 CCEDMN C[C@H](NC(=O)CC(C)(C)C)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834499250 642588293 /nfs/dbraw/zinc/58/82/93/642588293.db2.gz GOAKKQSBZKVVIJ-AXFHLTTASA-N 0 1 280.372 0.155 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccc2c[nH]nc2c1 ZINC000834500294 642588344 /nfs/dbraw/zinc/58/83/44/642588344.db2.gz RTKUMTSOZAGOKY-WCQYABFASA-N 0 1 269.308 0.333 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCOCC(F)(F)F ZINC000834499627 642589348 /nfs/dbraw/zinc/58/93/48/642589348.db2.gz KQQUXXXBJRRLNU-SFYZADRCSA-N 0 1 265.235 0.183 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CN1C(=O)CCc2ccccc21 ZINC000834500914 642590234 /nfs/dbraw/zinc/59/02/34/642590234.db2.gz XYUUKRCHXBIUCJ-CHWSQXEVSA-N 0 1 298.346 0.194 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C1(c2ccccc2)CC1 ZINC000834504353 642590739 /nfs/dbraw/zinc/59/07/39/642590739.db2.gz AHDULTFDAAIZLD-WCQYABFASA-N 0 1 255.321 0.946 20 30 CCEDMN Cc1nc(C)c(C(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000834506800 642592878 /nfs/dbraw/zinc/59/28/78/642592878.db2.gz WCCAXQXLKYBWOQ-BDAKNGLRSA-N 0 1 250.327 0.601 20 30 CCEDMN Cc1cccc(C)c1OCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834506774 642593723 /nfs/dbraw/zinc/59/37/23/642593723.db2.gz VWXVWTUDXNIEAX-QWHCGFSZSA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnn(-c2ccccc2)c1 ZINC000834510609 642594639 /nfs/dbraw/zinc/59/46/39/642594639.db2.gz DKAPQMNBZYMXMH-RISCZKNCSA-N 0 1 281.319 0.714 20 30 CCEDMN Cc1ccn2cc(C(=O)N[C@H]3CNC[C@H]3C#N)nc2c1 ZINC000834511086 642595206 /nfs/dbraw/zinc/59/52/06/642595206.db2.gz IIEZSUWAIIIBCU-MNOVXSKESA-N 0 1 269.308 0.484 20 30 CCEDMN Cc1ccccc1OCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834510954 642595267 /nfs/dbraw/zinc/59/52/67/642595267.db2.gz HRDZKYIRVQKFKG-VXGBXAGGSA-N 0 1 259.309 0.602 20 30 CCEDMN COc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)ccc1C ZINC000834510914 642595598 /nfs/dbraw/zinc/59/55/98/642595598.db2.gz HESVOAQKPUZGGU-VXGBXAGGSA-N 0 1 259.309 0.845 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnc2ccccc2n1 ZINC000834512376 642596882 /nfs/dbraw/zinc/59/68/82/642596882.db2.gz VKCFVYQDSRGFFK-CABZTGNLSA-N 0 1 267.292 0.471 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1CC(=O)N(C2CCCC2)C1 ZINC000834511943 642596906 /nfs/dbraw/zinc/59/69/06/642596906.db2.gz QBSWQWHWMDQZOS-WZRBSPASSA-N 0 1 290.367 0.005 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(Cn2cccn2)cc1 ZINC000834516143 642598394 /nfs/dbraw/zinc/59/83/94/642598394.db2.gz FPSYQSTZXLHGAI-CABCVRRESA-N 0 1 295.346 0.773 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(-n2ccnc2)nc1 ZINC000834517715 642601716 /nfs/dbraw/zinc/60/17/16/642601716.db2.gz YMMMYWKOVKYBAE-NWDGAFQWSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccn(-c2ccc(F)cc2)n1 ZINC000834521477 642601844 /nfs/dbraw/zinc/60/18/44/642601844.db2.gz JYEFLTYPVSQKKH-YGRLFVJLSA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccn(-c2ccc(F)cc2)n1 ZINC000834521476 642602643 /nfs/dbraw/zinc/60/26/43/642602643.db2.gz JYEFLTYPVSQKKH-QMTHXVAHSA-N 0 1 299.309 0.853 20 30 CCEDMN N#Cc1cc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)ccc1F ZINC000841104761 642802910 /nfs/dbraw/zinc/80/29/10/642802910.db2.gz RRLBYVWKCQHMQH-JOYOIKCWSA-N 0 1 273.271 0.930 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000841193707 642807276 /nfs/dbraw/zinc/80/72/76/642807276.db2.gz WLEVALVNVLULEW-GZMMTYOYSA-N 0 1 275.268 0.828 20 30 CCEDMN Cc1nc(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@@H](C)C#N)n[nH]1 ZINC001017421490 650801159 /nfs/dbraw/zinc/80/11/59/650801159.db2.gz FOIZIMLGJRVDNW-ZMLRMANQSA-N 0 1 288.355 0.448 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc[nH]n1 ZINC001017454846 650803593 /nfs/dbraw/zinc/80/35/93/650803593.db2.gz QQNPTZGEJHBAIX-TXEJJXNPSA-N 0 1 273.340 0.046 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCOc1ccccc1F ZINC000843459105 643063308 /nfs/dbraw/zinc/06/33/08/643063308.db2.gz BVMATAWBBXDIHA-ZYHUDNBSSA-N 0 1 277.299 0.822 20 30 CCEDMN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000843460324 643064723 /nfs/dbraw/zinc/06/47/23/643064723.db2.gz NOTWXJBKHUGTQY-ZJUUUORDSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C1(c2cccnc2)CC1 ZINC000843461235 643065109 /nfs/dbraw/zinc/06/51/09/643065109.db2.gz SPORMYHCPHVEQW-CMPLNLGQSA-N 0 1 256.309 0.341 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CNc1cccc(Cl)c1 ZINC000844195627 643179533 /nfs/dbraw/zinc/17/95/33/643179533.db2.gz XNLOCRNKDRTGGZ-JOYOIKCWSA-N 0 1 278.743 0.980 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000846333089 643397983 /nfs/dbraw/zinc/39/79/83/643397983.db2.gz JZQJAQSZPLVDPO-GFCCVEGCSA-N 0 1 285.307 0.790 20 30 CCEDMN N#CC1(CNS(=O)(=O)N=S2(=O)CCCC2)CCC1 ZINC000867417107 643400804 /nfs/dbraw/zinc/40/08/04/643400804.db2.gz YNXMJQDWYUUFGN-UHFFFAOYSA-N 0 1 291.398 0.776 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H]1CCCN(O)C1=O ZINC000848133115 643602596 /nfs/dbraw/zinc/60/25/96/643602596.db2.gz ZRIKJTHBWJXTDD-WPRPVWTQSA-N 0 1 266.301 0.085 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnccc1C(F)(F)F ZINC000848417768 643634717 /nfs/dbraw/zinc/63/47/17/643634717.db2.gz DZLXYSQWOWNHKQ-XCBNKYQSSA-N 0 1 284.241 0.942 20 30 CCEDMN C#C[C@@](C)(CC)NCC(=O)N[C@H](C)C(=O)N1CCCCC1 ZINC000852100572 643927333 /nfs/dbraw/zinc/92/73/33/643927333.db2.gz YFYWARNGELDMGL-CJNGLKHVSA-N 0 1 293.411 0.895 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)CCOC2 ZINC000852827505 644013921 /nfs/dbraw/zinc/01/39/21/644013921.db2.gz JVUXYHVHYGTEAP-ZIAGYGMSSA-N 0 1 271.320 0.601 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)CCOC2 ZINC000852827502 644014039 /nfs/dbraw/zinc/01/40/39/644014039.db2.gz JVUXYHVHYGTEAP-KBPBESRZSA-N 0 1 271.320 0.601 20 30 CCEDMN Cn1ncc(C(F)(F)F)c1C=NNC1=NCCN1 ZINC000853358182 644101601 /nfs/dbraw/zinc/10/16/01/644101601.db2.gz VZGPJHCJJQJWLS-UHFFFAOYSA-N 0 1 260.223 0.322 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(C(N)=O)o2)C1 ZINC001019120315 650939925 /nfs/dbraw/zinc/93/99/25/650939925.db2.gz XEIANWSMSUJLGR-VIFPVBQESA-N 0 1 297.742 0.935 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccc(=O)n2C)C1 ZINC001019359691 650965641 /nfs/dbraw/zinc/96/56/41/650965641.db2.gz HOWOCUQBVMPVPN-NSHDSACASA-N 0 1 295.770 0.942 20 30 CCEDMN O=c1cc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)cc[nH]1 ZINC000863104064 645060475 /nfs/dbraw/zinc/06/04/75/645060475.db2.gz RZKHSCZSEGNCJL-QWRGUYRKSA-N 0 1 259.313 0.981 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1C=NNCC(C)(C)O ZINC000863138230 645064738 /nfs/dbraw/zinc/06/47/38/645064738.db2.gz DZLBMCZNIPGRTG-UHFFFAOYSA-N 0 1 295.387 0.260 20 30 CCEDMN Cn1ccc(CN[C@H]2C[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001020058003 651033886 /nfs/dbraw/zinc/03/38/86/651033886.db2.gz VHIPFPQZTHIXGD-JOCQHMNTSA-N 0 1 298.350 0.670 20 30 CCEDMN N#Cc1cccc(O[N-]C(=O)[C@@H]2C[N@H+]3CCN2CCC3)c1 ZINC000870691698 646017415 /nfs/dbraw/zinc/01/74/15/646017415.db2.gz PCFOIFIAEOXPPR-AWEZNQCLSA-N 0 1 286.335 0.358 20 30 CCEDMN C[C@@H](CS(C)(=O)=O)C(=O)NOc1cccc(C#N)c1 ZINC000870693019 646017468 /nfs/dbraw/zinc/01/74/68/646017468.db2.gz VOTPFWCZIMSLBN-VIFPVBQESA-N 0 1 282.321 0.649 20 30 CCEDMN C[C@@H]1CCn2cc(C(=O)N[C@H]3CNC[C@H]3C#N)nc2C1 ZINC000870941245 646055781 /nfs/dbraw/zinc/05/57/81/646055781.db2.gz SRGVKVHYDDDEOS-MXWKQRLJSA-N 0 1 273.340 0.307 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)N1C[C@@H]2COC[C@@H]2C1 ZINC000872314746 646245622 /nfs/dbraw/zinc/24/56/22/646245622.db2.gz MKEYKOAWUQCAQF-LZWOXQAQSA-N 0 1 297.358 0.850 20 30 CCEDMN C[C@@H]1C(N=Nc2nccc(N3CCOCC3)n2)CCN1C ZINC000872356945 646250675 /nfs/dbraw/zinc/25/06/75/646250675.db2.gz KETMCLYSEWTXDL-LLVKDONJSA-N 0 1 290.371 0.805 20 30 CCEDMN C[C@@H](O)CCN(C)C(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000876669731 646641346 /nfs/dbraw/zinc/64/13/46/646641346.db2.gz WDNNXUTYBATEPE-IAQYHMDHSA-N 0 1 287.363 0.974 20 30 CCEDMN Cn1ccnc1CNC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000876754930 646658534 /nfs/dbraw/zinc/65/85/34/646658534.db2.gz UYGNNGLCEKUHSR-OAHLLOKOSA-N 0 1 295.346 0.795 20 30 CCEDMN Cn1c(C(=O)N[C@H]2CNC[C@@H]2C#N)cc(=O)c2ccccc21 ZINC000876802092 646668698 /nfs/dbraw/zinc/66/86/98/646668698.db2.gz LQXABBMFJXAEPV-JQWIXIFHSA-N 0 1 296.330 0.380 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC000876803639 646670623 /nfs/dbraw/zinc/67/06/23/646670623.db2.gz XSRKTEIXCZRDBL-RVMXOQNASA-N 0 1 263.341 0.277 20 30 CCEDMN Cn1cc(CNC(=O)[C@@H]2NCCc3cc(C#N)ccc32)nn1 ZINC000876947504 646703460 /nfs/dbraw/zinc/70/34/60/646703460.db2.gz BGBOLOFNRXVOCF-CQSZACIVSA-N 0 1 296.334 0.190 20 30 CCEDMN C[C@@H]1CN(CC[C@H]2CCOC2=O)C[C@@H](C)N1CC#N ZINC000878774129 646977199 /nfs/dbraw/zinc/97/71/99/646977199.db2.gz OVDCVFMCBYIRRR-UPJWGTAASA-N 0 1 265.357 0.858 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CC[C@H](CF)C1 ZINC000880296166 647181550 /nfs/dbraw/zinc/18/15/50/647181550.db2.gz WEYVFKOIBLHIOX-GFCCVEGCSA-N 0 1 266.320 0.934 20 30 CCEDMN Cc1ccc(NC[C@H]2CN(C)CCN2C)c(C#N)n1 ZINC000881966725 647360515 /nfs/dbraw/zinc/36/05/15/647360515.db2.gz JECYAPPCJSWRPF-LBPRGKRZSA-N 0 1 259.357 0.919 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnc(CC2CC2)s1 ZINC000884251016 647682453 /nfs/dbraw/zinc/68/24/53/647682453.db2.gz UMSJOZWSXZSWQG-VHSXEESVSA-N 0 1 276.365 0.937 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC000885881808 647882220 /nfs/dbraw/zinc/88/22/20/647882220.db2.gz JQBNASCZLLOIKU-UWVGGRQHSA-N 0 1 289.335 0.829 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)c2cccc3nn[nH]c32)CCO1 ZINC000887682368 648141028 /nfs/dbraw/zinc/14/10/28/648141028.db2.gz FZBWBEZEQOVTJK-CYBMUJFWSA-N 0 1 271.280 0.713 20 30 CCEDMN C[C@@H](O)[C@H](O)CN1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000929235947 648717192 /nfs/dbraw/zinc/71/71/92/648717192.db2.gz GJYMDDWVKPLSES-CZUORRHYSA-N 0 1 289.379 0.418 20 30 CCEDMN N#CCCN1CCN(C[C@@H](O)C2(O)CCCC2)CC1 ZINC000930116727 648824261 /nfs/dbraw/zinc/82/42/61/648824261.db2.gz OXJIPRUBMPKLIQ-CYBMUJFWSA-N 0 1 267.373 0.184 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CC[C@@H](C2OCCO2)C1)C1CC1 ZINC000932007929 649041024 /nfs/dbraw/zinc/04/10/24/649041024.db2.gz NLIFUGPSJPEYLB-ABAIWWIYSA-N 0 1 293.367 0.490 20 30 CCEDMN CC(C)(C#N)CCN1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000933724451 649139451 /nfs/dbraw/zinc/13/94/51/649139451.db2.gz NFUASQKNPGMFSN-PHIMTYICSA-N 0 1 256.371 0.903 20 30 CCEDMN N#CCN1CCc2ccccc2[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000948935326 649403862 /nfs/dbraw/zinc/40/38/62/649403862.db2.gz FNWQIQGEYLUZEE-AWEZNQCLSA-N 0 1 296.334 0.657 20 30 CCEDMN CCNC(=O)CON=C(N)CN1CCCC[C@H]1C ZINC000078639511 649489769 /nfs/dbraw/zinc/48/97/69/649489769.db2.gz FJYDLOHUENEWJN-SNVBAGLBSA-N 0 1 256.350 0.286 20 30 CCEDMN C#CCCCC(=O)N1CCN([C@@H](CC)CO)CC1 ZINC000192181234 649500683 /nfs/dbraw/zinc/50/06/83/649500683.db2.gz UYQWDNPNCCWZSS-ZDUSSCGKSA-N 0 1 252.358 0.705 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC000951872756 649568772 /nfs/dbraw/zinc/56/87/72/649568772.db2.gz ONYBIYXFWOORMM-IUCAKERBSA-N 0 1 293.302 0.429 20 30 CCEDMN CN1CCO[C@H](C(=O)Nc2cc(C#N)ccc2O)C1 ZINC000085551909 649612251 /nfs/dbraw/zinc/61/22/51/649612251.db2.gz NTKHUMSFOUMJQB-LBPRGKRZSA-N 0 1 261.281 0.533 20 30 CCEDMN Cc1ncc(C(=O)N(C)C2CN(C(=O)[C@@H](C)C#N)C2)[nH]1 ZINC000953896986 649635821 /nfs/dbraw/zinc/63/58/21/649635821.db2.gz WPUXVHDLPNEAHE-QMMMGPOBSA-N 0 1 275.312 0.161 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cccn2C)C1 ZINC000957882830 649767388 /nfs/dbraw/zinc/76/73/88/649767388.db2.gz PZNKDAZHNVFVOF-OCCSQVGLSA-N 0 1 275.352 0.071 20 30 CCEDMN Cc1nc(N2C[C@H]3OCCN(C)[C@H]3C2)ccc1C#N ZINC000488046548 719411039 /nfs/dbraw/zinc/41/10/39/719411039.db2.gz FTAQEGGVHSIPCE-QWHCGFSZSA-N 0 1 258.325 0.781 20 30 CCEDMN COc1nc(N2C[C@H]3OCCN(C)[C@@H]3C2)c(F)cc1C#N ZINC001164667052 719430120 /nfs/dbraw/zinc/43/01/20/719430120.db2.gz FHQOQNNSAOSXNQ-VXGBXAGGSA-N 0 1 292.314 0.620 20 30 CCEDMN C#CCOCCC(=O)N(C)CCNCC(=C)Cl ZINC001266696954 791152335 /nfs/dbraw/zinc/15/23/35/791152335.db2.gz YGQKBMTVNCMGBJ-UHFFFAOYSA-N 0 1 258.749 0.827 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC1CCN([C@H](C)C(=O)NC)CC1 ZINC001230414682 805601599 /nfs/dbraw/zinc/60/15/99/805601599.db2.gz DXJLRWQJVHNYOM-VXGBXAGGSA-N 0 1 297.399 0.293 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C2(C)CC2)CC1 ZINC001266264878 790354054 /nfs/dbraw/zinc/35/40/54/790354054.db2.gz NCHABZONMHQFNH-UHFFFAOYSA-N 0 1 263.385 0.544 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001211490360 790365793 /nfs/dbraw/zinc/36/57/93/790365793.db2.gz ZLALQXNULZQSBL-FRRDWIJNSA-N 0 1 279.384 0.204 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C(C)(C)COC)CC1 ZINC001266287945 790417033 /nfs/dbraw/zinc/41/70/33/790417033.db2.gz LRTVAEPNWRWYNU-UHFFFAOYSA-N 0 1 283.416 0.579 20 30 CCEDMN C[C@H](NC(=O)[C@H]1CCCN1C)C1CN(CC#N)C1 ZINC000969381515 790419365 /nfs/dbraw/zinc/41/93/65/790419365.db2.gz NMJVZRBKIDTMBX-CMPLNLGQSA-N 0 1 250.346 0.041 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@]2(C)CCOC2)CC1 ZINC001266294574 790443301 /nfs/dbraw/zinc/44/33/01/790443301.db2.gz CROCKISJJBYHGA-MRXNPFEDSA-N 0 1 295.427 0.723 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@]2(C)CCOC2)CC1 ZINC001266294573 790444183 /nfs/dbraw/zinc/44/41/83/790444183.db2.gz CROCKISJJBYHGA-INIZCTEOSA-N 0 1 295.427 0.723 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)CNc1nccnc1C#N ZINC001104226390 790451954 /nfs/dbraw/zinc/45/19/54/790451954.db2.gz PXQNPPJOJPMJNV-SNVBAGLBSA-N 0 1 299.338 0.478 20 30 CCEDMN C#CC[N@H+](C)CCNC(=O)C[C@H](C)n1ccc(C)n1 ZINC001266306233 790477147 /nfs/dbraw/zinc/47/71/47/790477147.db2.gz ISRZLZQRTSXZBK-ZDUSSCGKSA-N 0 1 262.357 0.824 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@H](C)n1ccc(C)n1 ZINC001266306233 790477155 /nfs/dbraw/zinc/47/71/55/790477155.db2.gz ISRZLZQRTSXZBK-ZDUSSCGKSA-N 0 1 262.357 0.824 20 30 CCEDMN Cc1nc([C@H](C)N2CC[C@H](NC(=O)C#CC3CC3)C2)n[nH]1 ZINC001266319146 790497159 /nfs/dbraw/zinc/49/71/59/790497159.db2.gz HUMUQLMGNZEUHT-GWCFXTLKSA-N 0 1 287.367 0.778 20 30 CCEDMN Cc1nc(CN2CC[C@@H](NC(=O)C#CC(C)C)C2)n[nH]1 ZINC001266319610 790497365 /nfs/dbraw/zinc/49/73/65/790497365.db2.gz OIMKXRALHMIAHZ-GFCCVEGCSA-N 0 1 275.356 0.463 20 30 CCEDMN C=CCC1(C(=O)N[C@H]2CC[N@H+](CCO)C2)CCC1 ZINC001266342007 790545848 /nfs/dbraw/zinc/54/58/48/790545848.db2.gz NXFUMVSIXDDSRV-LBPRGKRZSA-N 0 1 252.358 0.916 20 30 CCEDMN C=CCC1(C(=O)N[C@H]2CCN(CCO)C2)CCC1 ZINC001266342007 790545859 /nfs/dbraw/zinc/54/58/59/790545859.db2.gz NXFUMVSIXDDSRV-LBPRGKRZSA-N 0 1 252.358 0.916 20 30 CCEDMN CC#CC[N@H+](C)CCNC(=O)c1cn(CCC)nn1 ZINC001266341975 790546119 /nfs/dbraw/zinc/54/61/19/790546119.db2.gz GAKXODOHLADTMO-UHFFFAOYSA-N 0 1 263.345 0.373 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cn(CCC)nn1 ZINC001266341975 790546126 /nfs/dbraw/zinc/54/61/26/790546126.db2.gz GAKXODOHLADTMO-UHFFFAOYSA-N 0 1 263.345 0.373 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1COCCN1C ZINC001038181348 790738932 /nfs/dbraw/zinc/73/89/32/790738932.db2.gz RSHZGECMTARHRQ-QWHCGFSZSA-N 0 1 267.373 0.084 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H](CC(=C)C)NC(C)=O ZINC001266485751 790777580 /nfs/dbraw/zinc/77/75/80/790777580.db2.gz IKXXQHXACLNLDZ-LSDHHAIUSA-N 0 1 291.395 0.671 20 30 CCEDMN CC#CC[NH2+][C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001266519254 790859881 /nfs/dbraw/zinc/85/98/81/790859881.db2.gz DXYVFEKLOWABMM-NSHDSACASA-N 0 1 259.309 0.615 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CCN(CC(=O)NC)C1 ZINC001266533990 790887331 /nfs/dbraw/zinc/88/73/31/790887331.db2.gz UXFLZJSYZWFJBC-WFASDCNBSA-N 0 1 281.400 0.773 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1C[C@H](NCc2ncnn2C)C1 ZINC001266591727 790994645 /nfs/dbraw/zinc/99/46/45/790994645.db2.gz VDOCBWQNDQQCAY-HAQNSBGRSA-N 0 1 275.356 0.211 20 30 CCEDMN C#CCN(CCNC(=O)CNC(=O)c1ccccc1)C1CC1 ZINC001266617813 791041750 /nfs/dbraw/zinc/04/17/50/791041750.db2.gz YAWDDHQNHLXOLD-UHFFFAOYSA-N 0 1 299.374 0.630 20 30 CCEDMN COCC#CCN(CCNC(=O)c1nonc1C)C1CC1 ZINC001266621928 791046512 /nfs/dbraw/zinc/04/65/12/791046512.db2.gz MFCKSDAGQSGBPZ-UHFFFAOYSA-N 0 1 292.339 0.222 20 30 CCEDMN C=C[C@](C)(O)C(=O)N[C@@H](CCSC)c1nn[nH]n1 ZINC001167448939 791128946 /nfs/dbraw/zinc/12/89/46/791128946.db2.gz PMWKBUOXQHHQDZ-XVKPBYJWSA-N 0 1 271.346 0.047 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCn1cccn1 ZINC001230806560 805671704 /nfs/dbraw/zinc/67/17/04/805671704.db2.gz OIOMOMMICSWWKK-ZDUSSCGKSA-N 0 1 262.357 0.992 20 30 CCEDMN COCC#CCN1CC[C@H]1CN(C)C(=O)c1cnc(C)[nH]1 ZINC001231208317 805750969 /nfs/dbraw/zinc/75/09/69/805750969.db2.gz HJZGSFVLPKTSGR-ZDUSSCGKSA-N 0 1 290.367 0.514 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H]1CN(C)C(=O)COCC=C ZINC001231241722 805757665 /nfs/dbraw/zinc/75/76/65/805757665.db2.gz XRJJYNCDVAVSCI-CQSZACIVSA-N 0 1 282.384 0.924 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)COCC=C ZINC001231241722 805757672 /nfs/dbraw/zinc/75/76/72/805757672.db2.gz XRJJYNCDVAVSCI-CQSZACIVSA-N 0 1 282.384 0.924 20 30 CCEDMN CN(C)C(=O)CN1CC[C@H]2[C@@H]1CCN2c1ccc(C#N)cn1 ZINC001277687747 805961065 /nfs/dbraw/zinc/96/10/65/805961065.db2.gz WPNQWFIJIYIRHL-KBPBESRZSA-N 0 1 299.378 0.694 20 30 CCEDMN C[NH+](C)CCN1CCN(Cc2cc(C#N)ccc2[O-])CC1 ZINC001232673414 805967374 /nfs/dbraw/zinc/96/73/74/805967374.db2.gz FOYYIHZYSMZYOF-UHFFFAOYSA-N 0 1 288.395 0.943 20 30 CCEDMN CS(=O)(=O)N1CCN(Cc2cc(C#N)ccc2O)CC1 ZINC001232677100 805969935 /nfs/dbraw/zinc/96/99/35/805969935.db2.gz ZDGIQUOHSOZAFI-UHFFFAOYSA-N 0 1 295.364 0.341 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CO[C@@H]1CCOC1 ZINC001039373106 791179199 /nfs/dbraw/zinc/17/91/99/791179199.db2.gz FCKYAQNZAUNQCG-QLFBSQMISA-N 0 1 292.379 0.490 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)CC1CS(=O)(=O)C1 ZINC001266751837 791213182 /nfs/dbraw/zinc/21/31/82/791213182.db2.gz KTLHIKSRNONTLO-UHFFFAOYSA-N 0 1 294.804 0.222 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCCN([C@@H](C)C(=O)NC)C1 ZINC001266746865 791204911 /nfs/dbraw/zinc/20/49/11/791204911.db2.gz KKFBTIPFULYNEE-KBPBESRZSA-N 0 1 293.411 0.753 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@H]2CCC[C@]2(CNCC#N)C1 ZINC001112506361 791242438 /nfs/dbraw/zinc/24/24/38/791242438.db2.gz HTICDERUYGCEII-FZMZJTMJSA-N 0 1 288.355 0.469 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@]1(C)CCNC1=O ZINC001266855509 791294403 /nfs/dbraw/zinc/29/44/03/791294403.db2.gz DHUJRXJKWXSJJE-CZUORRHYSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCC[N@@H+]1C[C@H]2OCCN(C(=O)c3ccnc(C)c3)[C@H]2C1 ZINC001083229088 791353623 /nfs/dbraw/zinc/35/36/23/791353623.db2.gz JLEPXHFXLFKINE-JKSUJKDBSA-N 0 1 299.374 0.939 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3ccnc(C)c3)[C@H]2C1 ZINC001083229088 791353630 /nfs/dbraw/zinc/35/36/30/791353630.db2.gz JLEPXHFXLFKINE-JKSUJKDBSA-N 0 1 299.374 0.939 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H]1C[C@H]1C ZINC001233492394 806066558 /nfs/dbraw/zinc/06/65/58/806066558.db2.gz WOSRROFMTFQJCV-VXGBXAGGSA-N 0 1 267.373 0.383 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H]1C[C@@H]1C ZINC001233492389 806067108 /nfs/dbraw/zinc/06/71/08/806067108.db2.gz WOSRROFMTFQJCV-NWDGAFQWSA-N 0 1 267.373 0.383 20 30 CCEDMN CC(C)=CC(=O)NCCCN(C)CC(=O)NCC#N ZINC001233509338 806072431 /nfs/dbraw/zinc/07/24/31/806072431.db2.gz BSBFGCOXJAJUJU-UHFFFAOYSA-N 0 1 266.345 0.030 20 30 CCEDMN C=CCCC(=O)NCC[C@H](C)NCc1cn(C)nn1 ZINC001267120949 791564675 /nfs/dbraw/zinc/56/46/75/791564675.db2.gz JDWXRONHTGOORC-NSHDSACASA-N 0 1 265.361 0.766 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1coc(C)c1 ZINC001267193002 791652495 /nfs/dbraw/zinc/65/24/95/791652495.db2.gz KRORIYDYEFFPRR-UHFFFAOYSA-N 0 1 264.325 0.899 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnc2[nH]cnc2c1 ZINC001267197154 791659911 /nfs/dbraw/zinc/65/99/11/791659911.db2.gz HOWVNWPXGRXTAS-UHFFFAOYSA-N 0 1 271.324 0.643 20 30 CCEDMN C#CCN(C)CCNC(=O)C1CCN(CC(F)F)CC1 ZINC001267213334 791681766 /nfs/dbraw/zinc/68/17/66/791681766.db2.gz IFQCSUXEARFAAN-UHFFFAOYSA-N 0 1 287.354 0.645 20 30 CCEDMN C=CCC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1C[NH+](C)CCO1 ZINC001038141416 791702856 /nfs/dbraw/zinc/70/28/56/791702856.db2.gz KZNRQNVWLTYIHW-CHWSQXEVSA-N 0 1 267.373 0.084 20 30 CCEDMN C#CCN(C)CCNC(=O)CNC(=O)c1cccc(F)c1 ZINC001267230200 791708838 /nfs/dbraw/zinc/70/88/38/791708838.db2.gz UUNZFDBGYPNEPL-UHFFFAOYSA-N 0 1 291.326 0.237 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@H]1CCCO1 ZINC001233654575 806101022 /nfs/dbraw/zinc/10/10/22/806101022.db2.gz AVBFMCMCTMUCAD-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(=O)CSC)C1=O ZINC001233640260 806099627 /nfs/dbraw/zinc/09/96/27/806099627.db2.gz OMAZHWREEVPXBA-LBPRGKRZSA-N 0 1 299.440 0.574 20 30 CCEDMN C#CCNC(=O)CC(=O)N(C)C[C@@H]1CCN1CC(=C)C ZINC001233809362 806124860 /nfs/dbraw/zinc/12/48/60/806124860.db2.gz IODSMQKDMCGHDN-ZDUSSCGKSA-N 0 1 277.368 0.235 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H]1CC[N@@H+]1C[C@@H](C)O ZINC001233947393 806154337 /nfs/dbraw/zinc/15/43/37/806154337.db2.gz LKERPTYZOKQJTJ-OLZOCXBDSA-N 0 1 252.358 0.703 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1C[C@@H](C)O ZINC001233947393 806154343 /nfs/dbraw/zinc/15/43/43/806154343.db2.gz LKERPTYZOKQJTJ-OLZOCXBDSA-N 0 1 252.358 0.703 20 30 CCEDMN C=CCCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1COC(=O)N1 ZINC001234040212 806172000 /nfs/dbraw/zinc/17/20/00/806172000.db2.gz UVRKJHWWEPBGEO-VXGBXAGGSA-N 0 1 281.356 0.594 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)Cc1nnc(C)[nH]1 ZINC001234159760 806192963 /nfs/dbraw/zinc/19/29/63/806192963.db2.gz CBYJFMSUNMXJQQ-LBPRGKRZSA-N 0 1 277.372 0.764 20 30 CCEDMN CC(C)C#CC(=O)N(C)C[C@@H]1CCN1C[C@H](C)O ZINC001234162781 806194193 /nfs/dbraw/zinc/19/41/93/806194193.db2.gz VBTVOKBRJQTIDJ-STQMWFEESA-N 0 1 252.358 0.559 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCOCC#C ZINC001234246329 806210299 /nfs/dbraw/zinc/21/02/99/806210299.db2.gz LZMMJJLKCAZKOJ-AWEZNQCLSA-N 0 1 262.353 0.582 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H](O)C(C)C ZINC001267240302 793227827 /nfs/dbraw/zinc/22/78/27/793227827.db2.gz HKEFGQGVIAFFME-CYBMUJFWSA-N 0 1 270.373 0.091 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)CCNC(=O)[C@@H]2C[C@H]2C)C1=O ZINC001267247787 793240148 /nfs/dbraw/zinc/24/01/48/793240148.db2.gz VUNTWYYWXUGHEV-MGPQQGTHSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCc1nc(C)no1 ZINC001267247733 793240293 /nfs/dbraw/zinc/24/02/93/793240293.db2.gz UDMLKLXQBINHKH-UHFFFAOYSA-N 0 1 264.329 0.382 20 30 CCEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cc(C(N)=O)on1 ZINC001234591179 806279894 /nfs/dbraw/zinc/27/98/94/806279894.db2.gz SHDINJLQFBFEDR-LURJTMIESA-N 0 1 252.226 0.762 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)CCc1cnc[nH]1 ZINC001235124648 806373124 /nfs/dbraw/zinc/37/31/24/806373124.db2.gz SGMIYHASGZWGAV-GFCCVEGCSA-N 0 1 261.329 0.399 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)[C@@H](C)c1n[nH]c(C)n1 ZINC001235684335 806492310 /nfs/dbraw/zinc/49/23/10/806492310.db2.gz XGZAZSXPLUWBEY-NSHDSACASA-N 0 1 295.387 0.815 20 30 CCEDMN N#CCC1CN(C(=O)[C@H]2CC23CN(C[C@H]2CCCO2)C3)C1 ZINC001277971616 806506175 /nfs/dbraw/zinc/50/61/75/806506175.db2.gz FKZLSKWSVZKOSY-ZIAGYGMSSA-N 0 1 289.379 0.859 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)C=C1CCCCC1 ZINC001278054280 806640714 /nfs/dbraw/zinc/64/07/14/806640714.db2.gz PGMJNIPSSYYUKF-OAHLLOKOSA-N 0 1 294.395 0.593 20 30 CCEDMN C#CCNC(=O)CCNCc1nnc2ccc(C)cn21 ZINC001120516921 798844058 /nfs/dbraw/zinc/84/40/58/798844058.db2.gz VRCJITDTSNMGBJ-UHFFFAOYSA-N 0 1 271.324 0.267 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCNC[C@@H]1CCOC ZINC001120535367 798851807 /nfs/dbraw/zinc/85/18/07/798851807.db2.gz CQBWVKZCHSXQQO-XQQFMLRXSA-N 0 1 283.372 0.350 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001278120113 806698337 /nfs/dbraw/zinc/69/83/37/806698337.db2.gz QZGRTUZXKAJTFH-RFQIPJPRSA-N 0 1 264.369 0.513 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)C(=O)OC ZINC001120659947 798907424 /nfs/dbraw/zinc/90/74/24/798907424.db2.gz LDLWDKRTQZOMLU-SKDRFNHKSA-N 0 1 275.308 0.511 20 30 CCEDMN C#CCN(C(=O)c1c[nH]c(C)n1)C1CCN(CC#C)CC1 ZINC001278129016 806708718 /nfs/dbraw/zinc/70/87/18/806708718.db2.gz SOGPYRRFRFNVFP-UHFFFAOYSA-N 0 1 284.363 0.891 20 30 CCEDMN CCn1c(-c2c[nH]c(=O)c(C#N)c2)nnc1N(C)OC ZINC001121787711 799069164 /nfs/dbraw/zinc/06/91/64/799069164.db2.gz FVNKBKDMQKJZQI-UHFFFAOYSA-N 0 1 274.284 0.935 20 30 CCEDMN N#CCNC1CC2(C1)CC(NC(=O)CCc1c[nH]nn1)C2 ZINC001121791511 799070078 /nfs/dbraw/zinc/07/00/78/799070078.db2.gz QSEHYYOJMQVJEN-UHFFFAOYSA-N 0 1 288.355 0.278 20 30 CCEDMN N#CCNC1CC2(C1)CC(NC(=O)CCc1cnn[nH]1)C2 ZINC001121791511 799070085 /nfs/dbraw/zinc/07/00/85/799070085.db2.gz QSEHYYOJMQVJEN-UHFFFAOYSA-N 0 1 288.355 0.278 20 30 CCEDMN COC(=O)c1cc(C#N)cc([N-]S(=O)(=O)CC[NH3+])c1 ZINC001237773085 806716086 /nfs/dbraw/zinc/71/60/86/806716086.db2.gz JDXRHLQPEHYVAZ-UHFFFAOYSA-N 0 1 283.309 0.045 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)Cc1ccc(OC)c(OC)c1 ZINC001121951811 799105911 /nfs/dbraw/zinc/10/59/11/799105911.db2.gz VIPGOYFBXHTAAG-GFCCVEGCSA-N 0 1 290.363 0.974 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCO[C@@H](CC(C)C)C1 ZINC001122042603 799130080 /nfs/dbraw/zinc/13/00/80/799130080.db2.gz KALNBIODGMQWTI-OLZOCXBDSA-N 0 1 252.358 0.919 20 30 CCEDMN C#CCNCC(=O)N[C@H](C[C@@H]1CCCO1)C(F)(F)F ZINC001122054206 799132110 /nfs/dbraw/zinc/13/21/10/799132110.db2.gz ORKJHUZBHFGCNB-VHSXEESVSA-N 0 1 278.274 0.825 20 30 CCEDMN C#CCNCC(=O)NCCc1cccc2c1OC(F)(F)O2 ZINC001122086790 799139266 /nfs/dbraw/zinc/13/92/66/799139266.db2.gz IGIVEHPHPYREHF-UHFFFAOYSA-N 0 1 296.273 0.890 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1[C@H]2CCCCCC[C@H]12 ZINC001278155914 806727767 /nfs/dbraw/zinc/72/77/67/806727767.db2.gz UFFAUGPHBNODNP-IHRRRGAJSA-N 0 1 278.396 0.903 20 30 CCEDMN C#CCNCC(=O)NCc1c(C(F)(F)F)cnn1C ZINC001122164003 799158375 /nfs/dbraw/zinc/15/83/75/799158375.db2.gz HXDOVHFBCXXNJR-UHFFFAOYSA-N 0 1 274.246 0.278 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1CCC[C@H](C(F)(F)F)O1 ZINC001122271750 799184572 /nfs/dbraw/zinc/18/45/72/799184572.db2.gz DVULTWHOSGZWTN-VHSXEESVSA-N 0 1 278.274 0.825 20 30 CCEDMN N#C[C@H](C(=O)c1ccc2n[nH]c(=O)n2c1)C(=O)N1CCCC1 ZINC001122499215 799250103 /nfs/dbraw/zinc/25/01/03/799250103.db2.gz FKFKRGBNNXLODY-SNVBAGLBSA-N 0 1 299.290 0.380 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cnccn1 ZINC001122827461 799296679 /nfs/dbraw/zinc/29/66/79/799296679.db2.gz STAMATCTUDWUIS-UHFFFAOYSA-N 0 1 285.145 0.705 20 30 CCEDMN CCN1Cc2n[nH]c(C(=O)N3CC(CC#N)C3)c2C1 ZINC001278166093 806737191 /nfs/dbraw/zinc/73/71/91/806737191.db2.gz AAMMNSRQUDEPEH-UHFFFAOYSA-N 0 1 259.313 0.731 20 30 CCEDMN C#CCN1CCC(OC(=O)c2ccnc3c2nnn3C)CC1 ZINC001123348462 799395811 /nfs/dbraw/zinc/39/58/11/799395811.db2.gz ATAYSOAFDFDTIF-UHFFFAOYSA-N 0 1 299.334 0.618 20 30 CCEDMN C=CCOCCNC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001123544736 799430720 /nfs/dbraw/zinc/43/07/20/799430720.db2.gz PFXLHEAVUAOLLK-CYBMUJFWSA-N 0 1 284.404 0.124 20 30 CCEDMN CC#CCNC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001123775588 799471690 /nfs/dbraw/zinc/47/16/90/799471690.db2.gz ZCCJUDMICZSLEM-UHFFFAOYSA-N 0 1 262.313 0.381 20 30 CCEDMN CC#CCN(C)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001123796725 799476344 /nfs/dbraw/zinc/47/63/44/799476344.db2.gz ZOMFKJASSIDKTK-QWHCGFSZSA-N 0 1 266.389 0.285 20 30 CCEDMN CCCN1C[C@@H]2C(C(=O)N3CC(CC#N)C3)=NO[C@@H]2C1 ZINC001278192834 806754248 /nfs/dbraw/zinc/75/42/48/806754248.db2.gz NFWQERNJHOOQEC-NWDGAFQWSA-N 0 1 276.340 0.455 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCc1ccc(CC)cc1 ZINC001278195874 806756837 /nfs/dbraw/zinc/75/68/37/806756837.db2.gz VAZIJHGYGSPQAQ-INIZCTEOSA-N 0 1 288.391 0.882 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCNCc1cnnn1C ZINC001124484420 799608165 /nfs/dbraw/zinc/60/81/65/799608165.db2.gz VWUNYXDIDPSYQH-NSHDSACASA-N 0 1 281.360 0.002 20 30 CCEDMN C=CCCC(=O)NCCNCc1c(C)nnn1CC ZINC001124636341 799620393 /nfs/dbraw/zinc/62/03/93/799620393.db2.gz NHOCVPZEYLEGRB-UHFFFAOYSA-N 0 1 265.361 0.778 20 30 CCEDMN C=CCCC(=O)NCCNCC(=O)Nc1cc(C)nn1C ZINC001124646422 799621519 /nfs/dbraw/zinc/62/15/19/799621519.db2.gz RTYPSHHMEDORID-UHFFFAOYSA-N 0 1 293.371 0.339 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CC(F)(F)C(F)(F)F ZINC001278214533 806775096 /nfs/dbraw/zinc/77/50/96/806775096.db2.gz VYMZMMCNKLXNCI-ZETCQYMHSA-N 0 1 288.216 0.274 20 30 CCEDMN C=CCn1cc(CN2CC[C@@H](O)[C@@H](CO)C2)cn1 ZINC001139769104 799839768 /nfs/dbraw/zinc/83/97/68/799839768.db2.gz ZSKGEPNLSUAIDU-CHWSQXEVSA-N 0 1 251.330 0.244 20 30 CCEDMN CC(C)(C)c1nc(CNC(=O)CSCC#N)n[nH]1 ZINC001141989230 800055023 /nfs/dbraw/zinc/05/50/23/800055023.db2.gz OQCVGQMOWCGTML-UHFFFAOYSA-N 0 1 267.358 0.975 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)COC(C)C)C1 ZINC001149330315 800248614 /nfs/dbraw/zinc/24/86/14/800248614.db2.gz HZPXJNPPKBXYIM-AWEZNQCLSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CCC(=O)NCC)C1 ZINC001149384214 800253748 /nfs/dbraw/zinc/25/37/48/800253748.db2.gz QXFJJGUTGFTNOH-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001149415380 800257707 /nfs/dbraw/zinc/25/77/07/800257707.db2.gz LXZQVYPVBXFMNW-STQMWFEESA-N 0 1 263.341 0.376 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2ccon2)C1 ZINC001149457719 800267047 /nfs/dbraw/zinc/26/70/47/800267047.db2.gz JHBULZCNJGOXGK-CYBMUJFWSA-N 0 1 279.340 0.610 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H](OC)C(C)C)C1 ZINC001150254068 800326267 /nfs/dbraw/zinc/32/62/67/800326267.db2.gz JYFXEKHRDHGHKL-GJZGRUSLSA-N 0 1 296.411 0.888 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](CC)NC(C)=O)C1 ZINC001150356473 800331450 /nfs/dbraw/zinc/33/14/50/800331450.db2.gz DXKIAWVFEWRHRD-KBPBESRZSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@]2(C)CCOC2)C1 ZINC001150497599 800342266 /nfs/dbraw/zinc/34/22/66/800342266.db2.gz KDNSPGWSGUTSRQ-DZGCQCFKSA-N 0 1 282.384 0.806 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCCN1C(C)=O ZINC001152809439 800556529 /nfs/dbraw/zinc/55/65/29/800556529.db2.gz GPJYBSRAOGXHDF-LLVKDONJSA-N 0 1 273.764 0.456 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1ccc(C(N)=O)cc1 ZINC001152963743 800574805 /nfs/dbraw/zinc/57/48/05/800574805.db2.gz QIPMCKKOSXJPLX-UHFFFAOYSA-N 0 1 295.770 0.786 20 30 CCEDMN C=C(C)CN(CC)[C@H](C)CNC(=O)CCS(C)(=O)=O ZINC001154042751 800710593 /nfs/dbraw/zinc/71/05/93/800710593.db2.gz ZVTNVMIQOQMZNS-GFCCVEGCSA-N 0 1 290.429 0.824 20 30 CCEDMN Cn1cc(C(=O)Nc2nc[nH]c2C#N)c(=O)c2cccn21 ZINC001154645179 800820806 /nfs/dbraw/zinc/82/08/06/800820806.db2.gz YWMAEMDCMULUMJ-UHFFFAOYSA-N 0 1 282.263 0.485 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1ncc(C#N)cc1C#N ZINC001155077360 800903949 /nfs/dbraw/zinc/90/39/49/800903949.db2.gz AXUACGJCVVNSBQ-UHFFFAOYSA-N 0 1 296.290 0.646 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cnc(C#N)c(C)n1 ZINC001155084122 800905592 /nfs/dbraw/zinc/90/55/92/800905592.db2.gz MNJWMHHTMQGSEB-UHFFFAOYSA-N 0 1 286.295 0.478 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2nccnc2C#N)CC1 ZINC001155155182 800920196 /nfs/dbraw/zinc/92/01/96/800920196.db2.gz VNMIJMHTYIFFCO-LLVKDONJSA-N 0 1 275.312 0.065 20 30 CCEDMN Cc1nc(NCc2nnc3n2CCCNC3)cnc1C#N ZINC001155429272 800974693 /nfs/dbraw/zinc/97/46/93/800974693.db2.gz JOXLFGSYFZKHSC-UHFFFAOYSA-N 0 1 284.327 0.354 20 30 CCEDMN C=CCCC(=O)NCCCNCc1cn(CC)nn1 ZINC001155586594 801016889 /nfs/dbraw/zinc/01/68/89/801016889.db2.gz APBBAJBTYJTVQL-UHFFFAOYSA-N 0 1 265.361 0.860 20 30 CCEDMN Cc1nnc(CNCCCNC(=O)[C@@H](C)C#N)s1 ZINC001155827642 801060240 /nfs/dbraw/zinc/06/02/40/801060240.db2.gz CVDYCTNGTJQQLD-QMMMGPOBSA-N 0 1 267.358 0.602 20 30 CCEDMN CC(C)c1nnc(CNCCCNC(=O)[C@H](C)C#N)[nH]1 ZINC001155835934 801061458 /nfs/dbraw/zinc/06/14/58/801061458.db2.gz IARXIIQJDMSWQM-SNVBAGLBSA-N 0 1 278.360 0.684 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1nc(C2CC2)nn1C ZINC001155849111 801066877 /nfs/dbraw/zinc/06/68/77/801066877.db2.gz UMGCCLXQDVRIJT-JTQLQIEISA-N 0 1 290.371 0.448 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)C(=O)N1CC[C@H](C)C1 ZINC001156247915 801139417 /nfs/dbraw/zinc/13/94/17/801139417.db2.gz IVDAHSSLOFIVFD-QWHCGFSZSA-N 0 1 279.384 0.315 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cccc2c1CNC2=O ZINC001156548096 801184615 /nfs/dbraw/zinc/18/46/15/801184615.db2.gz UZBOZNILUWVLJK-UHFFFAOYSA-N 0 1 267.248 0.777 20 30 CCEDMN C=CCC[N@H+](CC)[C@H](C)CNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001156624229 801199558 /nfs/dbraw/zinc/19/95/58/801199558.db2.gz UGDPMBHYDKBXIE-SNVBAGLBSA-N 0 1 297.359 0.092 20 30 CCEDMN Cc1cc(-n2c(C)ncc(CN)c2=N)nc(C#N)n1 ZINC001156829898 801245527 /nfs/dbraw/zinc/24/55/27/801245527.db2.gz XMJCDVVEMAZHJI-UHFFFAOYSA-N 0 1 255.285 0.089 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1C[C@@H]2C[C@H]1C(=O)O2 ZINC001156884273 801253220 /nfs/dbraw/zinc/25/32/20/801253220.db2.gz JQCTZORPKCMXBC-KWQFWETISA-N 0 1 282.259 0.574 20 30 CCEDMN CCNC(=O)CN(C)C(=O)c1n[nH]c2cc(C#N)ccc21 ZINC001156876904 801253398 /nfs/dbraw/zinc/25/33/98/801253398.db2.gz WBEOEEBMMKZQPU-UHFFFAOYSA-N 0 1 285.307 0.643 20 30 CCEDMN C[C@@H](C#N)N(C)c1ncccc1C(=O)N1CCNCC1 ZINC001157489601 801398768 /nfs/dbraw/zinc/39/87/68/801398768.db2.gz FZOCHRVKTQHVAH-NSHDSACASA-N 0 1 273.340 0.475 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cnnn1C ZINC001157893051 801512278 /nfs/dbraw/zinc/51/22/78/801512278.db2.gz YQCANYFYVXWBQY-CYBMUJFWSA-N 0 1 289.383 0.765 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001158035618 801557157 /nfs/dbraw/zinc/55/71/57/801557157.db2.gz FOGXNPPMYGYKIQ-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN N#CCNCCCNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001158086275 801568274 /nfs/dbraw/zinc/56/82/74/801568274.db2.gz MVQCNRNGKBTXNS-SNVBAGLBSA-N 0 1 261.329 0.449 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCCC(=O)N1 ZINC001158107588 801571820 /nfs/dbraw/zinc/57/18/20/801571820.db2.gz XKNNTKQFGFRFNB-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN N#Cc1c[nH]c2nc(NC[C@H]3COCCN3)ccc12 ZINC001159044345 801749513 /nfs/dbraw/zinc/74/95/13/801749513.db2.gz VBVMQUIQPJXTOA-JTQLQIEISA-N 0 1 257.297 0.835 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC2(CCn3c(C)cnc32)CC1 ZINC001159381551 801799687 /nfs/dbraw/zinc/79/96/87/801799687.db2.gz ASTQFBZTZSAECN-HNNXBMFYSA-N 0 1 286.379 0.806 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cncnc2)CC1 ZINC001159905454 801888203 /nfs/dbraw/zinc/88/82/03/801888203.db2.gz OHBLLQYRORLQHP-UHFFFAOYSA-N 0 1 270.336 0.862 20 30 CCEDMN C=CCN1CCN(C(=O)[C@H](N)[C@@H](C)OC(C)(C)C)CC1 ZINC001160431750 801940682 /nfs/dbraw/zinc/94/06/82/801940682.db2.gz DCZDOAIRRVJZLD-CHWSQXEVSA-N 0 1 283.416 0.848 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@]2(C)CNC(=O)C2)CC1 ZINC001160761878 802016525 /nfs/dbraw/zinc/01/65/25/802016525.db2.gz YZICZPNDPPBLDK-INIZCTEOSA-N 0 1 289.379 0.284 20 30 CCEDMN C[C@@H](C#N)N(C)C(=O)CNC(=O)[C@@H](N)Cc1ccccc1 ZINC001161143671 802101146 /nfs/dbraw/zinc/10/11/46/802101146.db2.gz CLXTUPMJGNUSRQ-AAEUAGOBSA-N 0 1 288.351 0.043 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cncnc1C#N ZINC001162537276 802362177 /nfs/dbraw/zinc/36/21/77/802362177.db2.gz INOPOXQADIUWRG-UHFFFAOYSA-N 0 1 272.268 0.169 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2csc(=O)[nH]2)C1 ZINC001278799771 808267912 /nfs/dbraw/zinc/26/79/12/808267912.db2.gz GGFFZQHVAMKKOK-ZDUSSCGKSA-N 0 1 295.364 0.039 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccncc1F ZINC001278850272 808332727 /nfs/dbraw/zinc/33/27/27/808332727.db2.gz PAZWZLQCYRSFGZ-GFCCVEGCSA-N 0 1 293.342 0.609 20 30 CCEDMN N#CCc1nccnc1NCc1noc2c1CNCC2 ZINC001163126693 802488092 /nfs/dbraw/zinc/48/80/92/802488092.db2.gz VTRCXSZFJYPAPF-UHFFFAOYSA-N 0 1 270.296 0.788 20 30 CCEDMN Cc1nnc(CN)n1-c1[nH]ccc2ncc(C#N)c1-2 ZINC001163350617 802544574 /nfs/dbraw/zinc/54/45/74/802544574.db2.gz DBIFBGMTZKZPBF-UHFFFAOYSA-N 0 1 253.269 0.782 20 30 CCEDMN C#CCCCCCC(=O)NCCCNCc1nnnn1C ZINC001163963476 802641240 /nfs/dbraw/zinc/64/12/40/802641240.db2.gz AGXMBIGACJVRTN-UHFFFAOYSA-N 0 1 292.387 0.390 20 30 CCEDMN Cc1cc(CC(=O)NCCC2(CNCC#N)CC2)[nH]n1 ZINC001164032999 802657628 /nfs/dbraw/zinc/65/76/28/802657628.db2.gz SAFZRYJHIWIYNH-UHFFFAOYSA-N 0 1 275.356 0.660 20 30 CCEDMN Cc1cc(Cl)nc(N2CCNC(=O)C23CNC3)c1C#N ZINC001165298571 802817529 /nfs/dbraw/zinc/81/75/29/802817529.db2.gz YJOMELQKNSBWMF-UHFFFAOYSA-N 0 1 291.742 0.193 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1cc(Cl)ncc1C#N ZINC001165435318 802839467 /nfs/dbraw/zinc/83/94/67/802839467.db2.gz CIRDQHRTPNBTMR-SNVBAGLBSA-N 0 1 280.715 0.558 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCNCc1nnc(C)[nH]1 ZINC001166987273 803009324 /nfs/dbraw/zinc/00/93/24/803009324.db2.gz ZSCMBITUWMVGFS-NEPJUHHUSA-N 0 1 293.371 0.300 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001028536818 812827766 /nfs/dbraw/zinc/82/77/66/812827766.db2.gz YVZLUVOXMJQNST-RYUDHWBXSA-N 0 1 287.367 0.791 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)[C@H]1CC ZINC001087812063 814219929 /nfs/dbraw/zinc/21/99/29/814219929.db2.gz UWQYLDRMGBNSSY-SOUVJXGZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001208151238 803494914 /nfs/dbraw/zinc/49/49/14/803494914.db2.gz YDDFSKCLPCSUAK-BNOWGMLFSA-N 0 1 290.367 0.177 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2CCC(O)CC2)[C@@H](O)C1 ZINC001083435486 814941445 /nfs/dbraw/zinc/94/14/45/814941445.db2.gz VXXCOIYJTBOPAW-PQAZSJQKSA-N 0 1 282.384 0.275 20 30 CCEDMN N#Cc1cccc(CCCN2CCN(CC(N)=O)CC2)c1 ZINC001208850534 803549177 /nfs/dbraw/zinc/54/91/77/803549177.db2.gz CXBBSHSHMYHCNT-UHFFFAOYSA-N 0 1 286.379 0.594 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C(=O)NCC(F)F)C(C)(C)C1 ZINC001276592857 803549342 /nfs/dbraw/zinc/54/93/42/803549342.db2.gz CFRPRIUKFNHREI-SECBINFHSA-N 0 1 289.326 0.380 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCN(C)C(C)=O)C1 ZINC001210666999 803656982 /nfs/dbraw/zinc/65/69/82/803656982.db2.gz QLPBHVBTSHCWQO-TZMCWYRMSA-N 0 1 279.384 0.315 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COC(C)C)[C@H](OC)C1 ZINC001211981903 814959800 /nfs/dbraw/zinc/95/98/00/814959800.db2.gz HFSQBZAHTNSURY-CHWSQXEVSA-N 0 1 270.373 0.803 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(=O)NC)C[C@H]1C ZINC001214499738 803745393 /nfs/dbraw/zinc/74/53/93/803745393.db2.gz WGTDKARKBHUKCP-PSASIEDQSA-N 0 1 273.764 0.312 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C)N2C(=O)CCC2=O)[C@H]1C ZINC001088601722 814965446 /nfs/dbraw/zinc/96/54/46/814965446.db2.gz KTIDFUFCUXLSBG-UTUOFQBUSA-N 0 1 293.367 0.289 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](C)OC)[C@H](OC)C1 ZINC001211999160 814971648 /nfs/dbraw/zinc/97/16/48/814971648.db2.gz AGBLYRCHGNTNRA-YNEHKIRRSA-N 0 1 268.357 0.250 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](COC)OC)C[C@H]1C ZINC001215496129 803815207 /nfs/dbraw/zinc/81/52/07/803815207.db2.gz OHDPBPBTZCRTGF-YUSALJHKSA-N 0 1 290.791 0.837 20 30 CCEDMN N#Cc1ccc(CN=c2ccc(C(N)=O)n[nH]2)cc1F ZINC000404554868 803855977 /nfs/dbraw/zinc/85/59/77/803855977.db2.gz ARWCUIIVNLUOMD-UHFFFAOYSA-N 0 1 271.255 0.620 20 30 CCEDMN C=CCOCCN1C[C@H]2OCCN(C(C)=O)[C@H]2C1 ZINC001217152363 803871411 /nfs/dbraw/zinc/87/14/11/803871411.db2.gz HIWDSLPSNMLMPV-QWHCGFSZSA-N 0 1 254.330 0.121 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@H](C)OCC)[C@H]2C1 ZINC001217366538 803887885 /nfs/dbraw/zinc/88/78/85/803887885.db2.gz PXTIPOCZIXLNTK-MELADBBJSA-N 0 1 282.384 0.899 20 30 CCEDMN C#CCCCC(=O)N1CCO[C@@H]2CN([C@@H](C)COC)C[C@@H]21 ZINC001217762868 803911895 /nfs/dbraw/zinc/91/18/95/803911895.db2.gz FVGZRCNPDSANOK-SOUVJXGZSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCO[C@@H]2CN(CCC=C)C[C@@H]21 ZINC001217877048 803922427 /nfs/dbraw/zinc/92/24/27/803922427.db2.gz VELIJAZIZLZWBA-QLFBSQMISA-N 0 1 292.379 0.512 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCOCC)[C@H](OC)C1 ZINC001212019863 814978211 /nfs/dbraw/zinc/97/82/11/814978211.db2.gz FMGCQJGMHZYCCF-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C=C(C)CCC(=O)N1CCO[C@@H]2CN(CCOC)C[C@@H]21 ZINC001218036875 803929590 /nfs/dbraw/zinc/92/95/90/803929590.db2.gz DJJQMUBMEHYTIQ-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN Cn1cc(NC(=O)[C@H](N)Cc2cccc(O)c2)c(C#N)n1 ZINC001218667952 804073772 /nfs/dbraw/zinc/07/37/72/804073772.db2.gz MWUIQQPZPAGJLJ-LLVKDONJSA-N 0 1 285.307 0.506 20 30 CCEDMN N#Cc1ccc(NC(=O)CN2CC[NH2+]CC2)c([O-])c1 ZINC001218987850 804174678 /nfs/dbraw/zinc/17/46/78/804174678.db2.gz DDQRTRFYRIJZMB-UHFFFAOYSA-N 0 1 260.297 0.108 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCOCC=C)[C@@H](O)C1 ZINC001219426424 804307082 /nfs/dbraw/zinc/30/70/82/804307082.db2.gz BWZXGCGOTJEHKN-KGLIPLIRSA-N 0 1 280.368 0.154 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@](C)(O)C2CC2)[C@@H](O)C1 ZINC001219480457 804327350 /nfs/dbraw/zinc/32/73/50/804327350.db2.gz UHWXROYFAOCGHH-IPYPFGDCSA-N 0 1 282.384 0.275 20 30 CCEDMN CC[C@@H](CN1C[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C1)OC ZINC001219587519 804360693 /nfs/dbraw/zinc/36/06/93/804360693.db2.gz JKMIVNROEOTPIP-MJBXVCDLSA-N 0 1 282.384 0.232 20 30 CCEDMN CC[C@@H](CN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1)OC ZINC001219598317 804368543 /nfs/dbraw/zinc/36/85/43/804368543.db2.gz SIDJFIZWTRVTLW-MJBXVCDLSA-N 0 1 296.411 0.622 20 30 CCEDMN C=CC[C@H](CC)NC(=O)Nc1nn[nH]c1C(=O)NC ZINC001219983369 804464983 /nfs/dbraw/zinc/46/49/83/804464983.db2.gz NBVODIUXULKMBR-ZETCQYMHSA-N 0 1 266.305 0.641 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)CC(F)F)[C@@H](O)C1 ZINC001220029524 804477310 /nfs/dbraw/zinc/47/73/10/804477310.db2.gz FFPNSYASRQTUAT-MXWKQRLJSA-N 0 1 274.311 0.462 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCN1C[C@@H](C)OC ZINC001276809970 804477868 /nfs/dbraw/zinc/47/78/68/804477868.db2.gz OCDXVBISIKBHGP-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H]1CCC[C@@H](C(N)=O)C1 ZINC001272125714 815022092 /nfs/dbraw/zinc/02/20/92/815022092.db2.gz NEWWRUYHSJKWIA-ZIAGYGMSSA-N 0 1 293.411 0.692 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@H]1Cc2ccccc2CN1 ZINC001220124871 804506003 /nfs/dbraw/zinc/50/60/03/804506003.db2.gz NVLBXEBXNMQDHV-CQSZACIVSA-N 0 1 288.347 0.936 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCOCC=C)C[C@@H]1O ZINC001220247539 804548680 /nfs/dbraw/zinc/54/86/80/804548680.db2.gz GVPAHJFHIGYSAL-KGLIPLIRSA-N 0 1 282.384 0.707 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccnc(-c2cnn(C)c2)c1 ZINC001220454516 804607268 /nfs/dbraw/zinc/60/72/68/804607268.db2.gz YHEHVTAFGTYLRW-HNNXBMFYSA-N 0 1 283.335 0.449 20 30 CCEDMN C[C@H]1COCC[C@H]1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001220564879 804625641 /nfs/dbraw/zinc/62/56/41/804625641.db2.gz GWVVUEKDHCEEKF-TUKIKUTGSA-N 0 1 287.363 0.969 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(CC)CC)[C@@H](O)C1 ZINC001220624426 804639306 /nfs/dbraw/zinc/63/93/06/804639306.db2.gz GDWSXXYYKONFJN-KGLIPLIRSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](C)n2cccn2)[C@@H](O)C1 ZINC001220715632 804654660 /nfs/dbraw/zinc/65/46/60/804654660.db2.gz FRILSFRQBDPDIH-MJBXVCDLSA-N 0 1 290.367 0.019 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H]1CCCCN1C(N)=O ZINC001272151814 815030023 /nfs/dbraw/zinc/03/00/23/815030023.db2.gz DJJDKRXHBKIYRU-ZDUSSCGKSA-N 0 1 294.399 0.333 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCn2cccc2)[C@@H](O)C1 ZINC001221050880 804725332 /nfs/dbraw/zinc/72/53/32/804725332.db2.gz SYKCWAFEGPFSLR-CABCVRRESA-N 0 1 289.379 0.453 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC1(C#N)Cc2ccccc2C1 ZINC001221365585 804788311 /nfs/dbraw/zinc/78/83/11/804788311.db2.gz AFZAVKKYGGGHDC-AWEZNQCLSA-N 0 1 253.305 0.514 20 30 CCEDMN C=CCOCC(=O)N1C[C@H]2CCN(CC=C)C[C@H]21 ZINC001221443057 804819973 /nfs/dbraw/zinc/81/99/73/804819973.db2.gz IWDWITZYSZURQL-CHWSQXEVSA-N 0 1 250.342 0.908 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1C[C@H]2CCN(CC=C)C[C@H]21 ZINC001221538991 804830486 /nfs/dbraw/zinc/83/04/86/804830486.db2.gz IJFADDJCGMFRBC-BFHYXJOUSA-N 0 1 262.353 0.743 20 30 CCEDMN CC(C)(C)C#CC(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001221539240 804830551 /nfs/dbraw/zinc/83/05/51/804830551.db2.gz RSCNBWFFTKJLKI-CHWSQXEVSA-N 0 1 264.369 0.561 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](NC(C)=O)C(C)C)[C@@H]2C1 ZINC001221959412 804857380 /nfs/dbraw/zinc/85/73/80/804857380.db2.gz JELGTAANJMNGQI-RBSFLKMASA-N 0 1 293.411 0.866 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(CNC(=O)C2CC2)CC1 ZINC001222186126 804879756 /nfs/dbraw/zinc/87/97/56/804879756.db2.gz XJSQEEFEIWWUBO-GFCCVEGCSA-N 0 1 293.411 0.915 20 30 CCEDMN CC[C@@H]1OC(C)=C(O[C@@H](COC)C(=O)OC)C1=O ZINC001222350198 804893748 /nfs/dbraw/zinc/89/37/48/804893748.db2.gz RGBFUAJMWKPJKI-IUCAKERBSA-N 0 1 258.270 0.800 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)c2ccon2)CC1 ZINC001222486712 804904257 /nfs/dbraw/zinc/90/42/57/804904257.db2.gz ZKBUEPPJTIQYDJ-UHFFFAOYSA-N 0 1 291.351 0.766 20 30 CCEDMN CO[C@@H](C)CN1CC[C@@H]1CNC(=O)C#CC(C)C ZINC001276842165 804935263 /nfs/dbraw/zinc/93/52/63/804935263.db2.gz KRZBRKPBXNNXCM-QWHCGFSZSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@@H]1COC(=O)C1 ZINC001276834127 804930331 /nfs/dbraw/zinc/93/03/31/804930331.db2.gz IIRXAKGHEOJGBV-RYUDHWBXSA-N 0 1 264.325 0.153 20 30 CCEDMN N#Cc1ccc(CN2CC3(C2)CCOC[C@H]3C(N)=O)cc1 ZINC001276930430 804997364 /nfs/dbraw/zinc/99/73/64/804997364.db2.gz LWHAXFRWBFJBAB-AWEZNQCLSA-N 0 1 285.347 0.882 20 30 CCEDMN C=CCC1(O)CN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000720916986 805013616 /nfs/dbraw/zinc/01/36/16/805013616.db2.gz OOSZWIDQKKEZEH-UHFFFAOYSA-N 0 1 258.281 0.721 20 30 CCEDMN C=CCCCC(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224273229 805021019 /nfs/dbraw/zinc/02/10/19/805021019.db2.gz QYYDGJMIMLDUAY-UHFFFAOYSA-N 0 1 267.373 0.656 20 30 CCEDMN C=C(Br)CNC(=O)NCC#CCN(C)C ZINC001225431681 805127372 /nfs/dbraw/zinc/12/73/72/805127372.db2.gz DTSVHLFDYANCQN-UHFFFAOYSA-N 0 1 274.162 0.759 20 30 CCEDMN C=CCOCC(=O)NC1CCN([C@@H]2CCCNC2=O)CC1 ZINC001226589281 805251761 /nfs/dbraw/zinc/25/17/61/805251761.db2.gz LYDVJFDQQQVPDO-CYBMUJFWSA-N 0 1 295.383 0.048 20 30 CCEDMN CN(CCN(C)c1ncccc1C#N)C(=O)Cc1c[nH]cn1 ZINC001105576146 815063982 /nfs/dbraw/zinc/06/39/82/815063982.db2.gz ONKXYEWSOPMPCW-UHFFFAOYSA-N 0 1 298.350 0.814 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCC1(NCC(=O)NC(N)=O)CC1 ZINC001277164208 805292581 /nfs/dbraw/zinc/29/25/81/805292581.db2.gz AHSGBRDDLSXTKH-CYBMUJFWSA-N 0 1 296.371 0.022 20 30 CCEDMN C=C1CC(C)(C(=O)NCC2(NCC(=O)NCC)CC2)C1 ZINC001277170147 805299286 /nfs/dbraw/zinc/29/92/86/805299286.db2.gz JGISMYYYNBAJDM-UHFFFAOYSA-N 0 1 279.384 0.717 20 30 CCEDMN COc1nc(OC2=C(C)O[C@@H](C)C2=O)cc(=O)[nH]1 ZINC001227001107 805307766 /nfs/dbraw/zinc/30/77/66/805307766.db2.gz XSSIDFDLKVSLDY-YFKPBYRVSA-N 0 1 252.226 0.789 20 30 CCEDMN C=CCNC(=O)N1CC2(C1)CCN(Cc1cn[nH]c1)C2 ZINC001277173851 805309542 /nfs/dbraw/zinc/30/95/42/805309542.db2.gz KGQAYNQZKQXMRI-UHFFFAOYSA-N 0 1 275.356 0.813 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1CCCN1C ZINC001075941234 815067544 /nfs/dbraw/zinc/06/75/44/815067544.db2.gz OXQGJQBATBLWHV-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN N#CCC1CN(C(=O)[C@@]23C[C@@H]2CN(Cc2cccnn2)C3)C1 ZINC001277205201 805345373 /nfs/dbraw/zinc/34/53/73/805345373.db2.gz HWLOHMOZIXFIMH-CZUORRHYSA-N 0 1 297.362 0.671 20 30 CCEDMN COC(=O)c1cc(=O)c(O[C@H]2CCN(CC#N)C2)c[nH]1 ZINC001227785573 805389843 /nfs/dbraw/zinc/38/98/43/805389843.db2.gz IMSJCONQHBJAPI-VIFPVBQESA-N 0 1 277.280 0.138 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2C[C@@H](C)CO2)CC1 ZINC001228360065 805439265 /nfs/dbraw/zinc/43/92/65/805439265.db2.gz FHTLEENMYNFNSM-UKRRQHHQSA-N 0 1 294.395 0.642 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCCC(C)(C)CO ZINC001228562863 805455933 /nfs/dbraw/zinc/45/59/33/805455933.db2.gz OJZYFNDGJUKZJR-UHFFFAOYSA-N 0 1 269.389 0.649 20 30 CCEDMN Cc1nc(O[C@H]2C=CC(=O)C2)c([N+](=O)[O-])c(=O)[nH]1 ZINC001229379212 805526905 /nfs/dbraw/zinc/52/69/05/805526905.db2.gz SLPMQTCLTGCGLU-ZETCQYMHSA-N 0 1 251.198 0.675 20 30 CCEDMN CC#CCN1CCC(NC(=O)COC[C@@H]2CCOC2)CC1 ZINC001229419339 805530327 /nfs/dbraw/zinc/53/03/27/805530327.db2.gz OLBAXZYSAULIHE-CQSZACIVSA-N 0 1 294.395 0.643 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2nc(C)c[nH]2)CC1 ZINC001229535540 805541380 /nfs/dbraw/zinc/54/13/80/805541380.db2.gz URRDWPWGXSNNBC-UHFFFAOYSA-N 0 1 290.367 0.562 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001278409535 807083631 /nfs/dbraw/zinc/08/36/31/807083631.db2.gz HFUKAXCCHASGGS-MRXNPFEDSA-N 0 1 286.379 0.974 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](NCc2ccccc2C#N)CN1 ZINC001246188097 807181666 /nfs/dbraw/zinc/18/16/66/807181666.db2.gz UOEUGIOLOCSBJM-KGLIPLIRSA-N 0 1 273.336 0.941 20 30 CCEDMN N#CCC1(NC[C@H](O)CN2CCOCC2)CCCC1 ZINC001251048669 807656540 /nfs/dbraw/zinc/65/65/40/807656540.db2.gz IFFMYEBVWHFMPP-ZDUSSCGKSA-N 0 1 267.373 0.496 20 30 CCEDMN C[C@@H](O)[C@H]1CCCN1C(=O)NCC#CCN(C)C ZINC001251707601 807695757 /nfs/dbraw/zinc/69/57/57/807695757.db2.gz AEQCMNCCKZRTDE-VXGBXAGGSA-N 0 1 253.346 0.106 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@@H](O)CC(C)(C)C1 ZINC001251708289 807697179 /nfs/dbraw/zinc/69/71/79/807697179.db2.gz PQSQIGLFRGOTMX-CYBMUJFWSA-N 0 1 281.400 0.744 20 30 CCEDMN C#CCOC[C@H](O)CN1CCC(=O)[C@@H]2CCCC[C@H]21 ZINC001251821269 807708598 /nfs/dbraw/zinc/70/85/98/807708598.db2.gz KYTJVQNJBHHZHS-MGPQQGTHSA-N 0 1 265.353 0.831 20 30 CCEDMN C#CCOC[C@H](O)CNCc1nnc2c(C)cccn12 ZINC001251821627 807710487 /nfs/dbraw/zinc/71/04/87/807710487.db2.gz UDTLFSWDIHHBGG-GFCCVEGCSA-N 0 1 274.324 0.138 20 30 CCEDMN C#CCOC[C@H](O)CN(C)Cc1cnc2ccccn12 ZINC001251833141 807715757 /nfs/dbraw/zinc/71/57/57/807715757.db2.gz ZTLPVBSWZAFRPM-CQSZACIVSA-N 0 1 273.336 0.777 20 30 CCEDMN C#CCOC[C@H](O)CN1CCC[C@@H](NC(=O)OCC=C)C1 ZINC001251860176 807724833 /nfs/dbraw/zinc/72/48/33/807724833.db2.gz DZOJVVVXMIDMEZ-ZIAGYGMSSA-N 0 1 296.367 0.374 20 30 CCEDMN C#CCOC[C@H](O)CNCc1nc2ccccc2n1C ZINC001251881384 807732468 /nfs/dbraw/zinc/73/24/68/807732468.db2.gz SUAHLGZIBVURJD-GFCCVEGCSA-N 0 1 273.336 0.674 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@]2(C)CNC(=O)C2)[C@H]1C ZINC001088765468 815146150 /nfs/dbraw/zinc/14/61/50/815146150.db2.gz FTQFFKIELWOCRW-XUJVJEKNSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CC[N@@H+]1CCC[C@](C)(CNC(=O)CNC(=O)C2CC2)C1 ZINC001278648181 807797646 /nfs/dbraw/zinc/79/76/46/807797646.db2.gz PGFATHBLWHXJNF-MRXNPFEDSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)CNC(=O)C2CC2)C1 ZINC001278648181 807797649 /nfs/dbraw/zinc/79/76/49/807797649.db2.gz PGFATHBLWHXJNF-MRXNPFEDSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc(C)c2F)[C@@H](O)C1 ZINC001083480515 815149631 /nfs/dbraw/zinc/14/96/31/815149631.db2.gz NQQWSCOYPSEEGU-KGLIPLIRSA-N 0 1 290.338 0.932 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2c(C)nn(C)c2C)[C@H]1C ZINC001088777506 815152616 /nfs/dbraw/zinc/15/26/16/815152616.db2.gz CHDHSSWBUSGFEQ-HIFRSBDPSA-N 0 1 288.395 0.792 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCN(C(C)=O)[C@@H](C)C1 ZINC001252473800 807885609 /nfs/dbraw/zinc/88/56/09/807885609.db2.gz QFJFERASUMQXEB-AAEUAGOBSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cc(C(=O)OCC)ccn1 ZINC001252486952 807889593 /nfs/dbraw/zinc/88/95/93/807889593.db2.gz KEVIHEXPVCIHJQ-AWEZNQCLSA-N 0 1 294.351 0.911 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ccnc(OCC)n1 ZINC001252495683 807893797 /nfs/dbraw/zinc/89/37/97/807893797.db2.gz PUJZEFUAWYKRHB-GFCCVEGCSA-N 0 1 267.329 0.528 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccccc2C(N)=O)[C@H]1C ZINC001088789029 815157778 /nfs/dbraw/zinc/15/77/78/815157778.db2.gz QUGOVYBCTAOPDR-DOMZBBRYSA-N 0 1 299.374 0.540 20 30 CCEDMN C=C[C@](C)(O)CN1Cc2nccn2C[C@H](COC)C1 ZINC001252553120 807908461 /nfs/dbraw/zinc/90/84/61/807908461.db2.gz RPNIMLJFHLIYEF-OCCSQVGLSA-N 0 1 265.357 0.898 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccn(C(F)F)n2)[C@@H](O)C1 ZINC001083490709 815159240 /nfs/dbraw/zinc/15/92/40/815159240.db2.gz SCLFLSKKHVOXHR-MNOVXSKESA-N 0 1 298.293 0.076 20 30 CCEDMN C=CCC[C@@H](O)CN[C@@H](CC(=O)OC(C)(C)C)C(N)=O ZINC001252624062 807922203 /nfs/dbraw/zinc/92/22/03/807922203.db2.gz WMJSNLXXDOWXPJ-MNOVXSKESA-N 0 1 286.372 0.489 20 30 CCEDMN C=C[C@H](C)NC(=O)CCNC(O)=C1N=CC=CC1=O ZINC001252843206 807977232 /nfs/dbraw/zinc/97/72/32/807977232.db2.gz ONAKFRVWLDJLSZ-XRNDOFNESA-N 0 1 263.297 0.594 20 30 CCEDMN C=C[C@@H](O)CNc1ncccc1N1CCN(C)CC1 ZINC001253573263 808081019 /nfs/dbraw/zinc/08/10/19/808081019.db2.gz HJHRCHFWAQXFSU-GFCCVEGCSA-N 0 1 262.357 0.792 20 30 CCEDMN C=C[C@H](O)CN1CCCn2cnc(CNC(C)=O)c2C1 ZINC001253582428 808090777 /nfs/dbraw/zinc/09/07/77/808090777.db2.gz OSIAUIJFKCPFRO-LBPRGKRZSA-N 0 1 278.356 0.272 20 30 CCEDMN COC(=O)n1ncc(C#N)c1NC(=O)CN(C)C(C)C ZINC001142590040 815182276 /nfs/dbraw/zinc/18/22/76/815182276.db2.gz BARLBBNXHJQYCY-UHFFFAOYSA-N 0 1 279.300 0.648 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H](C)OCCC=C)C1 ZINC001278767613 808196091 /nfs/dbraw/zinc/19/60/91/808196091.db2.gz UDLVPNWNLUCKLW-HIFRSBDPSA-N 0 1 280.368 0.154 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CC2(C)CC2)C1 ZINC001278791736 808257120 /nfs/dbraw/zinc/25/71/20/808257120.db2.gz SFFKNZKKXJQGNK-CQSZACIVSA-N 0 1 250.342 0.363 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001278792792 808258418 /nfs/dbraw/zinc/25/84/18/808258418.db2.gz WRCUZEUDGVKQPL-LSJOCFKGSA-N 0 1 260.765 0.804 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@H](F)CC)C1 ZINC001278795424 808263210 /nfs/dbraw/zinc/26/32/10/808263210.db2.gz OIMOAEVNJVJAIN-YPMHNXCESA-N 0 1 256.321 0.311 20 30 CCEDMN COC(=O)[C@@H]1CN([C@@H]2CC[C@@H](C#N)C2)CCCO1 ZINC001254675348 808273256 /nfs/dbraw/zinc/27/32/56/808273256.db2.gz FEXOQVJZGSWVLJ-UTUOFQBUSA-N 0 1 252.314 0.943 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccc(C)cc2)C1 ZINC001278820533 808291679 /nfs/dbraw/zinc/29/16/79/808291679.db2.gz BKNPSWHOJXRTEH-MRXNPFEDSA-N 0 1 272.348 0.795 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccc(C)nc1 ZINC001278845467 808323434 /nfs/dbraw/zinc/32/34/34/808323434.db2.gz ZTGVILWMUBZYDN-AWEZNQCLSA-N 0 1 275.352 0.388 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cnn(C)c2C)[C@@H](O)C1 ZINC001083514845 815195480 /nfs/dbraw/zinc/19/54/80/815195480.db2.gz ZORSMZLWEUTIAE-KGLIPLIRSA-N 0 1 292.383 0.008 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)C[C@H](O)CN(C)CC#CC ZINC001278855737 808338534 /nfs/dbraw/zinc/33/85/34/808338534.db2.gz UHEQVXIHAHHDHF-UKRRQHHQSA-N 0 1 282.384 0.088 20 30 CCEDMN COc1nccc(CNCCNC(=O)C#CC(C)(C)C)n1 ZINC001126893057 815197133 /nfs/dbraw/zinc/19/71/33/815197133.db2.gz DMTDRITWWZRZTM-UHFFFAOYSA-N 0 1 290.367 0.741 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1conc1C1CC1 ZINC001278874106 808411774 /nfs/dbraw/zinc/41/17/74/808411774.db2.gz TWNYIWKTQNMAPA-GFCCVEGCSA-N 0 1 291.351 0.550 20 30 CCEDMN C[C@@H](CC(=O)N1CCOCC1)NCC1(C#N)CC1 ZINC001256326703 808496731 /nfs/dbraw/zinc/49/67/31/808496731.db2.gz RDFOCOQZBAWWST-NSHDSACASA-N 0 1 251.330 0.517 20 30 CCEDMN C[C@H](CC(=O)N1CCOCC1)N1CCN(CCC#N)CC1 ZINC001256347841 808510252 /nfs/dbraw/zinc/51/02/52/808510252.db2.gz XKYVAQVSAAIOBJ-CQSZACIVSA-N 0 1 294.399 0.155 20 30 CCEDMN Cc1[nH]c(C=NNCCC[NH+]2CCOCC2)cc1C(=O)[O-] ZINC001256625171 808541841 /nfs/dbraw/zinc/54/18/41/808541841.db2.gz CZZSUWSEIQBBIW-UHFFFAOYSA-N 0 1 294.355 0.667 20 30 CCEDMN C=CCN(CCNC(=O)c1[nH]c(=O)[nH]c1C)CCOC ZINC001279044163 808634819 /nfs/dbraw/zinc/63/48/19/808634819.db2.gz FWEQZIINSQQNGD-UHFFFAOYSA-N 0 1 282.344 0.288 20 30 CCEDMN O=C(C#CC1CC1)N1CCN(CC[C@@H]2CCOC2)CC1 ZINC001258291796 808669334 /nfs/dbraw/zinc/66/93/34/808669334.db2.gz GSNDYVHFAPPDFX-OAHLLOKOSA-N 0 1 276.380 0.971 20 30 CCEDMN N#C[C@H](NS(=O)(=O)Cc1ccccc1Cl)C(N)=O ZINC001260697466 808876343 /nfs/dbraw/zinc/87/63/43/808876343.db2.gz GCNOPPQKEORPTH-VIFPVBQESA-N 0 1 287.728 0.137 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCn3ccnc3)[C@@H]2C1 ZINC001076107523 815244747 /nfs/dbraw/zinc/24/47/47/815244747.db2.gz ZUWHQCFYWUZFNS-LSDHHAIUSA-N 0 1 286.379 0.829 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2n[nH]c(C3CC3)n2)cn1 ZINC001261266501 808942031 /nfs/dbraw/zinc/94/20/31/808942031.db2.gz JYMNJUVQDLYSTH-UHFFFAOYSA-N 0 1 267.292 0.988 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC[C@H]2CN(CC#N)C[C@H]21 ZINC001076145049 815250045 /nfs/dbraw/zinc/25/00/45/815250045.db2.gz QNJOKZHUYZBXDD-MELADBBJSA-N 0 1 276.384 0.527 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cn(C)c(=O)[nH]3)[C@@H]2C1 ZINC001076209093 815260482 /nfs/dbraw/zinc/26/04/82/815260482.db2.gz YOZMUPHDHOGVPU-WCQYABFASA-N 0 1 288.351 0.295 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC(N3CCN(C)CC3)C2)cc1 ZINC001262172681 809219546 /nfs/dbraw/zinc/21/95/46/809219546.db2.gz GYKOZUWUWJZZRI-UHFFFAOYSA-N 0 1 283.375 0.740 20 30 CCEDMN C=CCOCCNC(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001262177624 809223364 /nfs/dbraw/zinc/22/33/64/809223364.db2.gz ATTWAJUHJMXGEC-NSHDSACASA-N 0 1 270.329 0.102 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(=O)n(C)c2ccccc12 ZINC001262185122 809230326 /nfs/dbraw/zinc/23/03/26/809230326.db2.gz CTRRMPGDXUYRJG-UHFFFAOYSA-N 0 1 297.358 0.833 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC(=O)N2CCCC2)CC1 ZINC001262188866 809232443 /nfs/dbraw/zinc/23/24/43/809232443.db2.gz GLIFLHFUEWVLPP-UHFFFAOYSA-N 0 1 292.383 0.006 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@H]1C)C(C)C ZINC001262281718 809265949 /nfs/dbraw/zinc/26/59/49/809265949.db2.gz ADYIEDXRGZHENY-OCCSQVGLSA-N 0 1 291.395 0.456 20 30 CCEDMN C=CCCSCCNC(=O)N1CCNC[C@@H]1COC ZINC001262587675 809360916 /nfs/dbraw/zinc/36/09/16/809360916.db2.gz JLVDINDAMLNRBF-GFCCVEGCSA-N 0 1 287.429 0.926 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(Cl)ncc1F ZINC001263105389 809446611 /nfs/dbraw/zinc/44/66/11/809446611.db2.gz MUBQWOHSTBAOIZ-MUWHJKNJSA-N 0 1 268.679 0.715 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2CCN(C(=O)Cc3ncc[nH]3)[C@@H]2C1 ZINC001076402515 815291546 /nfs/dbraw/zinc/29/15/46/815291546.db2.gz SXWGWLLXOOIMHF-NWDGAFQWSA-N 0 1 260.341 0.671 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)C(=O)N3CC[C@@H](C)C3)[C@@H]2C1 ZINC001076443255 815297305 /nfs/dbraw/zinc/29/73/05/815297305.db2.gz CPJXAQPNLKKOHE-HZSPNIEDSA-N 0 1 291.395 0.574 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C)C(C)(C)C ZINC001263778583 809568884 /nfs/dbraw/zinc/56/88/84/809568884.db2.gz NNZJABOIWZBHPI-IHRRRGAJSA-N 0 1 294.443 0.968 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)NC(=O)CC)[C@H]1C ZINC001264703375 809673580 /nfs/dbraw/zinc/67/35/80/809673580.db2.gz YTAXDEIHCDDVPY-XQQFMLRXSA-N 0 1 279.384 0.503 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)COC)C1 ZINC001265200919 809722146 /nfs/dbraw/zinc/72/21/46/809722146.db2.gz CNOLEARMCJUYIS-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)[C@H](O)C(C)C)C1 ZINC001265213460 809727104 /nfs/dbraw/zinc/72/71/04/809727104.db2.gz SIZOGGBCKZZMFJ-QWHCGFSZSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@H]2CC23CC3)CC1 ZINC001265251468 809730488 /nfs/dbraw/zinc/73/04/88/809730488.db2.gz HFKUFUDFDQRHJG-CQSZACIVSA-N 0 1 275.396 0.544 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CC12CCN(CC(N)=O)CC2 ZINC001265327907 809758826 /nfs/dbraw/zinc/75/88/26/809758826.db2.gz PGHBFICJAXHGGU-GFCCVEGCSA-N 0 1 279.384 0.799 20 30 CCEDMN N#CCN1CCC[C@H](CNC(=O)CN2CCCC2)C1 ZINC001265596646 809810703 /nfs/dbraw/zinc/81/07/03/809810703.db2.gz HNFTZZVQKVEYOS-CYBMUJFWSA-N 0 1 264.373 0.434 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cn3ccnc3)[C@@H]2C1 ZINC001076633354 815322652 /nfs/dbraw/zinc/32/26/52/815322652.db2.gz KIOVTHQFKWBNDT-UONOGXRCSA-N 0 1 272.352 0.439 20 30 CCEDMN C[C@@H](CNC(=O)CSCC#N)N[C@@H](C)c1cnccn1 ZINC001265754427 809839718 /nfs/dbraw/zinc/83/97/18/809839718.db2.gz DOVWCIXODMREDS-QWRGUYRKSA-N 0 1 293.396 0.889 20 30 CCEDMN Cc1nc([C@@H](C)N(C)CCCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001265814590 809861076 /nfs/dbraw/zinc/86/10/76/809861076.db2.gz BCXYLYWSLFXRQE-NXEZZACHSA-N 0 1 278.360 0.772 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN(C)[C@@H](C)C(=O)N(C)C ZINC001265815291 809862315 /nfs/dbraw/zinc/86/23/15/809862315.db2.gz VCCYCACJUQYALY-MNOVXSKESA-N 0 1 268.361 0.061 20 30 CCEDMN C=C(C)CCC(=O)N[C@@]1(CO)CCCN(CCOC)C1 ZINC001279490046 809984791 /nfs/dbraw/zinc/98/47/91/809984791.db2.gz JXCZGCCLPPQEAR-HNNXBMFYSA-N 0 1 284.400 0.932 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2cccs2)C1 ZINC001076685441 815345196 /nfs/dbraw/zinc/34/51/96/815345196.db2.gz FYFZPYCIKXFPKH-CHWSQXEVSA-N 0 1 278.377 0.475 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ncoc2C)C1 ZINC001076789416 815374163 /nfs/dbraw/zinc/37/41/63/815374163.db2.gz BVQFWZHBUISNLF-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2ncoc2C)C1 ZINC001076789416 815374170 /nfs/dbraw/zinc/37/41/70/815374170.db2.gz BVQFWZHBUISNLF-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@]1(C)CCNC(=O)C1 ZINC001267271557 811074403 /nfs/dbraw/zinc/07/44/03/811074403.db2.gz XUZNKVGVNKYSCA-IUODEOHRSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCN(CC(N)=O)C1 ZINC001267300094 811118172 /nfs/dbraw/zinc/11/81/72/811118172.db2.gz KNQNKUZNBOTZQX-NEPJUHHUSA-N 0 1 267.373 0.512 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)c1cn[nH]c1 ZINC001267311583 811135465 /nfs/dbraw/zinc/13/54/65/811135465.db2.gz XHQXFXRBOXWFDW-UHFFFAOYSA-N 0 1 278.356 0.501 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC2(F)F)C1 ZINC001076970491 815424716 /nfs/dbraw/zinc/42/47/16/815424716.db2.gz ORWDITUDWFUKMN-HBNTYKKESA-N 0 1 274.311 0.769 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12)C1CC1 ZINC001267329537 811163162 /nfs/dbraw/zinc/16/31/62/811163162.db2.gz LQZJSQPULVWYKD-AEGPPILISA-N 0 1 292.379 0.252 20 30 CCEDMN N#Cc1ccc(F)c(-c2noc(C3(N)CNC3)n2)c1 ZINC001212126764 815425826 /nfs/dbraw/zinc/42/58/26/815425826.db2.gz PBNLFSFBSYZWQZ-UHFFFAOYSA-N 0 1 259.244 0.505 20 30 CCEDMN N#CCN(CCNC(=O)c1ccc2[nH]nnc2c1)C1CC1 ZINC001267337384 811173352 /nfs/dbraw/zinc/17/33/52/811173352.db2.gz TXMPDTJBIMXYQI-UHFFFAOYSA-N 0 1 284.323 0.676 20 30 CCEDMN CC#CCN(CCNC(=O)CCc1cncn1C)C1CC1 ZINC001267337345 811174335 /nfs/dbraw/zinc/17/43/35/811174335.db2.gz RDDPVBBRSDUWAO-UHFFFAOYSA-N 0 1 288.395 0.957 20 30 CCEDMN Cc1noc(CNC[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001023895162 811279874 /nfs/dbraw/zinc/27/98/74/811279874.db2.gz LEFLSCJXUMCCTK-PWSUYJOCSA-N 0 1 291.355 0.866 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)CN1CCCCC1=O ZINC001267394637 811282815 /nfs/dbraw/zinc/28/28/15/811282815.db2.gz CTBDNYACOVWCCH-UHFFFAOYSA-N 0 1 287.791 0.799 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001077051991 815438213 /nfs/dbraw/zinc/43/82/13/815438213.db2.gz OCPNZOGIXROTJI-FMKPAKJESA-N 0 1 276.380 0.917 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@]2(C)CC=CCC2)C1 ZINC001077051991 815438218 /nfs/dbraw/zinc/43/82/18/815438218.db2.gz OCPNZOGIXROTJI-FMKPAKJESA-N 0 1 276.380 0.917 20 30 CCEDMN CCn1nncc1CNCCNC(=O)c1ccc(C#N)[nH]1 ZINC001125776790 811320760 /nfs/dbraw/zinc/32/07/60/811320760.db2.gz YGYURURKJNUWGC-UHFFFAOYSA-N 0 1 287.327 0.017 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](NC(=O)Cc3cnc[nH]3)C2)nn1 ZINC001058562226 811321559 /nfs/dbraw/zinc/32/15/59/811321559.db2.gz GFXGBXGOJPQPNI-LLVKDONJSA-N 0 1 297.322 0.009 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)C2CCC2)[C@@H](O)C1 ZINC001083561053 815438725 /nfs/dbraw/zinc/43/87/25/815438725.db2.gz DAPCYPNFIZKEJU-BNOWGMLFSA-N 0 1 264.369 0.607 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2c(F)cncc2F)C1 ZINC001077072160 815441151 /nfs/dbraw/zinc/44/11/51/815441151.db2.gz ISHQCQQUJYUYDX-VXGBXAGGSA-N 0 1 297.305 0.711 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H](C)CNc1ncnc2[nH]cnc21 ZINC001104525347 811440475 /nfs/dbraw/zinc/44/04/75/811440475.db2.gz VHCLSXNVECLOCW-RKDXNWHRSA-N 0 1 287.327 0.723 20 30 CCEDMN C=CCCC(=O)N(C)CCN1CCN(CCCO)CC1 ZINC001267574033 811466594 /nfs/dbraw/zinc/46/65/94/811466594.db2.gz SZTKEGSFYHLUML-UHFFFAOYSA-N 0 1 283.416 0.411 20 30 CCEDMN C[C@@H](CNc1ncccc1C#N)N(C)C(=O)Cc1ncn[nH]1 ZINC001104673006 811548101 /nfs/dbraw/zinc/54/81/01/811548101.db2.gz JIYZJWYYLBUMQM-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)Cc2cnn(C)c2C)C1 ZINC001267681210 811646057 /nfs/dbraw/zinc/64/60/57/811646057.db2.gz ANVBIJWCUNLWIW-UHFFFAOYSA-N 0 1 276.384 0.895 20 30 CCEDMN C=CCN1CC(CNC(=O)CNC(=O)c2ccncc2)C1 ZINC001267683534 811647867 /nfs/dbraw/zinc/64/78/67/811647867.db2.gz MMJLAWODHCHSKP-UHFFFAOYSA-N 0 1 288.351 0.045 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cc(C)n[nH]2)C1 ZINC001267719800 811683806 /nfs/dbraw/zinc/68/38/06/811683806.db2.gz OXALZPJUEJXQFA-LBPRGKRZSA-N 0 1 278.356 0.725 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)Cc2cnn(C)c2)C1 ZINC001267732857 811701605 /nfs/dbraw/zinc/70/16/05/811701605.db2.gz RIDPEJVTEOBHCH-OCCSQVGLSA-N 0 1 276.384 0.975 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CCNC(=O)c1cnn[nH]1 ZINC001077294341 815477420 /nfs/dbraw/zinc/47/74/20/815477420.db2.gz OYVGIYOVNAAQNE-JTQLQIEISA-N 0 1 277.328 0.233 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cncc2ncn(C)c21 ZINC001038368378 811816913 /nfs/dbraw/zinc/81/69/13/811816913.db2.gz ONUUBRQDWBAIMR-LBPRGKRZSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccnc(C3CC3)c2)C1 ZINC001077313110 815478549 /nfs/dbraw/zinc/47/85/49/815478549.db2.gz IIFDELYQFPWTPW-HZPDHXFCSA-N 0 1 299.374 0.757 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N[C@@H]1CCCN(CCO)C1)OCC ZINC001267936946 811877971 /nfs/dbraw/zinc/87/79/71/811877971.db2.gz DWWSMMWLZNQHSC-ZIAGYGMSSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cncc(CC)c2)C1 ZINC001077393823 815492097 /nfs/dbraw/zinc/49/20/97/815492097.db2.gz NNMCYMSXPYIIGC-HUUCEWRRSA-N 0 1 287.363 0.442 20 30 CCEDMN Cc1ncc(CNCCNC(=O)c2c[nH]c(C#N)c2)cn1 ZINC001125988410 811968729 /nfs/dbraw/zinc/96/87/29/811968729.db2.gz VBQWMUMTWWIXIU-UHFFFAOYSA-N 0 1 284.323 0.504 20 30 CCEDMN CN(CCN(C)c1ccc(C#N)cn1)C(=O)c1ccn[nH]1 ZINC001105338817 812003420 /nfs/dbraw/zinc/00/34/20/812003420.db2.gz GHIRAQVWBOLLJV-UHFFFAOYSA-N 0 1 284.323 0.885 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1nn(C)cc1C ZINC001027878109 812113282 /nfs/dbraw/zinc/11/32/82/812113282.db2.gz SQMZBOGJAGSKQJ-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccnc2ccnn21 ZINC001027926944 812146249 /nfs/dbraw/zinc/14/62/49/812146249.db2.gz DZFDZWCWAMOMTG-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001027932921 812149094 /nfs/dbraw/zinc/14/90/94/812149094.db2.gz MQXLJVCWCIFPKQ-GFCCVEGCSA-N 0 1 288.351 0.493 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1nc(C)c(C)[nH]c1=O ZINC001027964687 812171466 /nfs/dbraw/zinc/17/14/66/812171466.db2.gz MTRLAAVLTWTJIO-LBPRGKRZSA-N 0 1 288.351 0.626 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cn2c(n1)COCC2 ZINC001027966399 812172591 /nfs/dbraw/zinc/17/25/91/812172591.db2.gz OXJUTJDFUCDWIT-LBPRGKRZSA-N 0 1 290.367 0.794 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@H]1CCCN1CC#N ZINC001027972188 812179832 /nfs/dbraw/zinc/17/98/32/812179832.db2.gz RLWBCXRLEHUREZ-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(C)C[N@H+]1CCC[C@@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001027999641 812205537 /nfs/dbraw/zinc/20/55/37/812205537.db2.gz METIPSVTKXFGSN-SECBINFHSA-N 0 1 293.327 0.053 20 30 CCEDMN N#Cc1ccc(N[C@H]2CCN(C(=O)Cc3ccn[nH]3)C2)nn1 ZINC001058864577 812234735 /nfs/dbraw/zinc/23/47/35/812234735.db2.gz BWOOKGWRAAUPSS-LBPRGKRZSA-N 0 1 297.322 0.327 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c(C)cnn1C ZINC001028081680 812260496 /nfs/dbraw/zinc/26/04/96/812260496.db2.gz XCYSVUFNLUHHBQ-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1[nH]c(C)nc1C ZINC001028143185 812310710 /nfs/dbraw/zinc/31/07/10/812310710.db2.gz AEHQXDXHHKYEDF-LBPRGKRZSA-N 0 1 260.341 0.854 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1cc2ncccn2n1 ZINC001028209931 812374179 /nfs/dbraw/zinc/37/41/79/812374179.db2.gz KPFVNKBBQRWVDV-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc2ncccn2n1 ZINC001028209931 812374190 /nfs/dbraw/zinc/37/41/90/812374190.db2.gz KPFVNKBBQRWVDV-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN COCC#CCN1CC(NC(=O)c2[nH]nc(C)c2C)C1 ZINC001268267144 812430439 /nfs/dbraw/zinc/43/04/39/812430439.db2.gz XKFYMNFOUXWEAJ-UHFFFAOYSA-N 0 1 276.340 0.090 20 30 CCEDMN C=CCCC(=O)NC[C@@H](O)CNCc1cc(C)n(C)n1 ZINC001268301611 812461836 /nfs/dbraw/zinc/46/18/36/812461836.db2.gz TZBWEJNFZNDYKV-ZDUSSCGKSA-N 0 1 280.372 0.261 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](O)CNCc1nccnc1C ZINC001268306072 812469554 /nfs/dbraw/zinc/46/95/54/812469554.db2.gz LFOFGYFWPOBXAE-CYBMUJFWSA-N 0 1 292.383 0.708 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@H]1CC1(C)C ZINC001268306043 812470182 /nfs/dbraw/zinc/47/01/82/812470182.db2.gz YPCFFHAUJSSPDE-NXEZZACHSA-N 0 1 260.765 0.852 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H](C)CCNCc1nonc1C ZINC001268364941 812524082 /nfs/dbraw/zinc/52/40/82/812524082.db2.gz GXNAKQLWAMSATP-ZYHUDNBSSA-N 0 1 294.355 0.401 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001028261407 812525672 /nfs/dbraw/zinc/52/56/72/812525672.db2.gz XWXSFWMKCKQMEZ-MNOVXSKESA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001028261407 812525677 /nfs/dbraw/zinc/52/56/77/812525677.db2.gz XWXSFWMKCKQMEZ-MNOVXSKESA-N 0 1 288.355 0.014 20 30 CCEDMN C#CCCCC(=O)NCCNCC(=O)Nc1ccon1 ZINC001126344440 812532638 /nfs/dbraw/zinc/53/26/38/812532638.db2.gz XSUJOVZFJXWSOZ-UHFFFAOYSA-N 0 1 278.312 0.122 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001028273394 812540240 /nfs/dbraw/zinc/54/02/40/812540240.db2.gz OQYXMCFTCNTGRZ-KBPBESRZSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnn(C)c1OC ZINC001028288582 812584136 /nfs/dbraw/zinc/58/41/36/812584136.db2.gz HUVAMSPOKSNECY-LBPRGKRZSA-N 0 1 290.367 0.646 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001028292169 812591191 /nfs/dbraw/zinc/59/11/91/812591191.db2.gz MARTYCKTGIPLBA-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)cn1 ZINC001028346802 812634371 /nfs/dbraw/zinc/63/43/71/812634371.db2.gz HFCAWFJDJQESEO-LBPRGKRZSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2c(C)noc2C)C1 ZINC001028398763 812675128 /nfs/dbraw/zinc/67/51/28/812675128.db2.gz CNCKZDMJONSWLO-GFCCVEGCSA-N 0 1 261.325 0.976 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cccnc1OC ZINC001126365424 812678876 /nfs/dbraw/zinc/67/88/76/812678876.db2.gz JMFHGBKUWBOIQP-OAHLLOKOSA-N 0 1 293.367 0.623 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN[C@@H](C)c1cnccn1 ZINC001126365594 812684859 /nfs/dbraw/zinc/68/48/59/812684859.db2.gz LJBPUIOWDOECOE-SMDDNHRTSA-N 0 1 278.356 0.571 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3nnn(C)c3C)[C@@H]2C1 ZINC001075641426 812705325 /nfs/dbraw/zinc/70/53/25/812705325.db2.gz GCQWQYNPOAWGAL-QWHCGFSZSA-N 0 1 287.367 0.293 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)COCC)[C@H](OC)C1 ZINC001211802456 812746200 /nfs/dbraw/zinc/74/62/00/812746200.db2.gz SXTRSKDLIJNAOY-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001028485727 812752854 /nfs/dbraw/zinc/75/28/54/812752854.db2.gz VHOFSRHXFMWBNT-NSHDSACASA-N 0 1 276.340 0.499 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cnnn1CC ZINC001268533789 812778485 /nfs/dbraw/zinc/77/84/85/812778485.db2.gz SCULTKDWSLSULN-SNAWJCMRSA-N 0 1 283.763 0.926 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccc(C)cc2)[C@@H](O)C1 ZINC001083617140 815552654 /nfs/dbraw/zinc/55/26/54/815552654.db2.gz XFGOPRNUGCRYCP-CVEARBPZSA-N 0 1 286.375 0.722 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc(C)n2)[C@@H](O)C1 ZINC001083345904 812897276 /nfs/dbraw/zinc/89/72/76/812897276.db2.gz HVZDHGNLPVETOY-KGLIPLIRSA-N 0 1 273.336 0.188 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H]2CCCO2)[C@@H](O)C1 ZINC001083351452 812902719 /nfs/dbraw/zinc/90/27/19/812902719.db2.gz DKZSSHPYXKFFQI-UPJWGTAASA-N 0 1 268.357 0.293 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2ccon2)[C@@H](O)C1 ZINC001083356435 812903687 /nfs/dbraw/zinc/90/36/87/812903687.db2.gz SPEZVUBZBSDFPC-NEPJUHHUSA-N 0 1 265.313 0.416 20 30 CCEDMN C=C(Cl)CN1C[C@@H](NC(=O)c2[nH]nc(C)c2C)[C@@H](O)C1 ZINC001083377525 812920374 /nfs/dbraw/zinc/92/03/74/812920374.db2.gz WZZZIEYYNKPKHD-MNOVXSKESA-N 0 1 298.774 0.554 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC2CCOCC2)[C@@H](O)C1 ZINC001083377191 812921408 /nfs/dbraw/zinc/92/14/08/812921408.db2.gz GACSZBURMSTCAO-KGLIPLIRSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)c2ccncc2F)[C@@H](O)C1 ZINC001083383257 812924397 /nfs/dbraw/zinc/92/43/97/812924397.db2.gz WDRFVQVHTALYQM-OLZOCXBDSA-N 0 1 279.315 0.572 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccncc2F)[C@@H](O)C1 ZINC001083383257 812924401 /nfs/dbraw/zinc/92/44/01/812924401.db2.gz WDRFVQVHTALYQM-OLZOCXBDSA-N 0 1 279.315 0.572 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)C2CC2)[C@@H](O)C1 ZINC001083389948 812932510 /nfs/dbraw/zinc/93/25/10/812932510.db2.gz WZLKULWOAQUWAY-RTXFEEFZSA-N 0 1 252.358 0.770 20 30 CCEDMN C#CCN1CC[C@H](CNC(=O)c2cn(C)c(C)cc2=O)C1 ZINC001028610709 812970212 /nfs/dbraw/zinc/97/02/12/812970212.db2.gz RTDIKQZLYBTXBM-CYBMUJFWSA-N 0 1 287.363 0.379 20 30 CCEDMN C[C@@H](CNCC#N)NC(=O)CN1CCC(C)CC1 ZINC001268709866 813025828 /nfs/dbraw/zinc/02/58/28/813025828.db2.gz UHHJLIPZKJBYDB-LBPRGKRZSA-N 0 1 252.362 0.336 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)[C@@H](C)OC ZINC001268725296 813040286 /nfs/dbraw/zinc/04/02/86/813040286.db2.gz SNGRWRYGIMGVJL-NWDGAFQWSA-N 0 1 256.346 0.108 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CN(C)CC#CCOC ZINC001268729088 813046414 /nfs/dbraw/zinc/04/64/14/813046414.db2.gz LQIYIGXEYBMTLC-UKRRQHHQSA-N 0 1 282.384 0.400 20 30 CCEDMN C[C@@H](CN(C)CC#N)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC001268731269 813047299 /nfs/dbraw/zinc/04/72/99/813047299.db2.gz MDVIIUYRTCSLJH-NSHDSACASA-N 0 1 289.383 0.791 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccnc(OC)c1 ZINC001268737117 813053113 /nfs/dbraw/zinc/05/31/13/813053113.db2.gz YRJZUAWTWBSOSK-NSHDSACASA-N 0 1 261.325 0.774 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccnc(OC)c1 ZINC001268737115 813053516 /nfs/dbraw/zinc/05/35/16/813053516.db2.gz YRJZUAWTWBSOSK-LLVKDONJSA-N 0 1 261.325 0.774 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)C(C)(C)CNC(C)=O ZINC001268751524 813062556 /nfs/dbraw/zinc/06/25/56/813062556.db2.gz SQAGAGYJLSYLHO-LBPRGKRZSA-N 0 1 281.400 0.609 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN[C@H](C)c1nncn1C ZINC001268782672 813081444 /nfs/dbraw/zinc/08/14/44/813081444.db2.gz AWJBVQBCOSRWLN-GHMZBOCLSA-N 0 1 278.360 0.474 20 30 CCEDMN C#CC(=O)N1CCc2c(n[nH]c2C(=O)N2CCCCO2)C1 ZINC001268857385 813117649 /nfs/dbraw/zinc/11/76/49/813117649.db2.gz FHZSVVWHBQAYTO-UHFFFAOYSA-N 0 1 288.307 0.095 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)COC(C)C ZINC001268926345 813147454 /nfs/dbraw/zinc/14/74/54/813147454.db2.gz BBMJZXLZAVXVHD-STQMWFEESA-N 0 1 250.342 0.720 20 30 CCEDMN N#CC1(C(=O)N2C[C@H]3C[C@@]3(C(=O)NCc3cnc[nH]3)C2)CC1 ZINC001269020431 813180993 /nfs/dbraw/zinc/18/09/93/813180993.db2.gz FKEOTLXUKUZJJH-MEBBXXQBSA-N 0 1 299.334 0.178 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cc(OC)ns1 ZINC001127045980 815602295 /nfs/dbraw/zinc/60/22/95/815602295.db2.gz JXMCNSBSCDACLZ-SNVBAGLBSA-N 0 1 297.380 0.396 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CNC(=O)C1CC1 ZINC001269201065 813260716 /nfs/dbraw/zinc/26/07/16/813260716.db2.gz RDZBELJVWUJYCB-GFCCVEGCSA-N 0 1 265.357 0.279 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H]1CC[N@@H+]1CCOC ZINC001269223335 813277281 /nfs/dbraw/zinc/27/72/81/813277281.db2.gz MZCHVVWJTQFUQQ-DGCLKSJQSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H]1CCN1CCOC ZINC001269223335 813277284 /nfs/dbraw/zinc/27/72/84/813277284.db2.gz MZCHVVWJTQFUQQ-DGCLKSJQSA-N 0 1 256.346 0.150 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CCc2cnn(C)n2)C1 ZINC001269276235 813302860 /nfs/dbraw/zinc/30/28/60/813302860.db2.gz YMBMFQNFXFBIBS-AWEZNQCLSA-N 0 1 289.383 0.304 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CN2CCOCC2)C1 ZINC001269317339 813323293 /nfs/dbraw/zinc/32/32/93/813323293.db2.gz DIAOMTNKLYYQDS-OAHLLOKOSA-N 0 1 293.411 0.265 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2cc(C(N)=O)co2)C1 ZINC001028798816 813524627 /nfs/dbraw/zinc/52/46/27/813524627.db2.gz RBDJHJVVAJIIPD-LLVKDONJSA-N 0 1 289.335 0.454 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001269832412 813525345 /nfs/dbraw/zinc/52/53/45/813525345.db2.gz QBOYMHHEUZMPEX-UHFFFAOYSA-N 0 1 278.356 0.848 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)CNCc1ncnn1C ZINC001270486262 813781237 /nfs/dbraw/zinc/78/12/37/813781237.db2.gz JURNVAGJTLQMNN-CYBMUJFWSA-N 0 1 291.399 0.851 20 30 CCEDMN C=CCCC(=O)N[C@@]1(C)CCN([C@H](CC)C(N)=O)C1 ZINC001270558071 813809752 /nfs/dbraw/zinc/80/97/52/813809752.db2.gz PTTFDHRSFQHHBL-RISCZKNCSA-N 0 1 267.373 0.797 20 30 CCEDMN C=CCCC(=O)N[C@@]1(C)CCN([C@H](C)C(=O)NC)C1 ZINC001270557488 813811513 /nfs/dbraw/zinc/81/15/13/813811513.db2.gz LINHXTYBSPLJAE-RISCZKNCSA-N 0 1 267.373 0.668 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2=CCCC2)[C@@H](O)C1 ZINC001083403147 813845691 /nfs/dbraw/zinc/84/56/91/813845691.db2.gz NSKCFIGRMSXJME-OLZOCXBDSA-N 0 1 250.342 0.834 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)c2ccnn2C)C1 ZINC001270630473 813859910 /nfs/dbraw/zinc/85/99/10/813859910.db2.gz YUJSBAAEHSEMSL-CYBMUJFWSA-N 0 1 292.383 0.817 20 30 CCEDMN CC#CC(=O)N1CCC[C@]2(CCN(CC(=O)N(C)C)C2)C1 ZINC001270712583 813902807 /nfs/dbraw/zinc/90/28/07/813902807.db2.gz BQTFLJJITHSNNR-MRXNPFEDSA-N 0 1 291.395 0.412 20 30 CCEDMN C[C@@]1(CNCC#N)CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC001270727270 813907033 /nfs/dbraw/zinc/90/70/33/813907033.db2.gz PWBUXDUYZUINFB-HNNXBMFYSA-N 0 1 298.350 0.923 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)n2cccc2)[C@@H](O)C1 ZINC001083409499 813954585 /nfs/dbraw/zinc/95/45/85/813954585.db2.gz XNRHRCQFUCIYRV-MJBXVCDLSA-N 0 1 277.368 0.787 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCCn2nccc21 ZINC001038432968 813977944 /nfs/dbraw/zinc/97/79/44/813977944.db2.gz SRDJWNHWIGBDOJ-KGLIPLIRSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2COC(=O)C2)[C@H]1CC ZINC001087542587 814123105 /nfs/dbraw/zinc/12/31/05/814123105.db2.gz UPGVCIZDZQNFPE-FRRDWIJNSA-N 0 1 278.352 0.542 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCNC2=O)[C@H]1CC ZINC001087600426 814172581 /nfs/dbraw/zinc/17/25/81/814172581.db2.gz VEZKNEAYVJPVCS-FRRDWIJNSA-N 0 1 277.368 0.115 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2CN(CCCF)C[C@@H]2O)c[nH]1 ZINC001083418131 814229750 /nfs/dbraw/zinc/22/97/50/814229750.db2.gz TZMMONNQTKWAJP-NEPJUHHUSA-N 0 1 280.303 0.021 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC[C@@H]2C[C@@]21C(N)=O ZINC001271157640 814233350 /nfs/dbraw/zinc/23/33/50/814233350.db2.gz OKWVKCRRRSHJOR-RBZYPMLTSA-N 0 1 289.360 0.907 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C[C@H]2CC=CCC2)C1 ZINC001271176508 814315918 /nfs/dbraw/zinc/31/59/18/814315918.db2.gz TWASITWEBZFVJS-GOEBONIOSA-N 0 1 276.380 0.919 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC001271193402 814330211 /nfs/dbraw/zinc/33/02/11/814330211.db2.gz VLRFUFCGGUKECQ-CYBMUJFWSA-N 0 1 298.293 0.147 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)C[C@@H]2CCOC2)C1 ZINC001271262506 814355148 /nfs/dbraw/zinc/35/51/48/814355148.db2.gz KCFVFHVFDWUGML-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)c3cc(C)[nH]n3)C2)C1=O ZINC001271316887 814377208 /nfs/dbraw/zinc/37/72/08/814377208.db2.gz YLYGCIVYLJQZES-OAHLLOKOSA-N 0 1 286.335 0.416 20 30 CCEDMN C=CCOC(=O)N1CCN(Cc2c[nH]nn2)CC1 ZINC001203212065 814441744 /nfs/dbraw/zinc/44/17/44/814441744.db2.gz NQVWCOBGFMNXFH-UHFFFAOYSA-N 0 1 251.290 0.245 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cnc(C)o1 ZINC001127052054 815605222 /nfs/dbraw/zinc/60/52/22/815605222.db2.gz QEVARAJPHWQCJO-SNVBAGLBSA-N 0 1 265.313 0.227 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cc(C)ns1 ZINC001127050996 815605489 /nfs/dbraw/zinc/60/54/89/815605489.db2.gz AVUSOXAGWPLSHM-NSHDSACASA-N 0 1 281.381 0.696 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)C1 ZINC001077440409 815616006 /nfs/dbraw/zinc/61/60/06/815616006.db2.gz LLPCILGAPPATPT-JTTNIQEDSA-N 0 1 276.380 0.607 20 30 CCEDMN N#CCN[C@H]1CCCCCN(C(=O)Cc2ncn[nH]2)C1 ZINC001088412162 814677071 /nfs/dbraw/zinc/67/70/71/814677071.db2.gz ZMVOUTCAXQZICT-NSHDSACASA-N 0 1 276.344 0.232 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCO2)[C@H]1C ZINC001088457766 814709360 /nfs/dbraw/zinc/70/93/60/814709360.db2.gz VNANIOXROKFSRQ-AGIUHOORSA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCOCCC(=O)N(C)CCN(C)CC#CC ZINC001272012897 814716582 /nfs/dbraw/zinc/71/65/82/814716582.db2.gz BTERCSCXIWYASE-UHFFFAOYSA-N 0 1 250.342 0.440 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@@H](C)CNC(=O)C#CC2CC2)n[nH]1 ZINC001280469961 815588437 /nfs/dbraw/zinc/58/84/37/815588437.db2.gz NZYFFNWTQUUXMY-NSHDSACASA-N 0 1 288.351 0.708 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCNC(=O)C2)[C@H]1C ZINC001088520968 814849381 /nfs/dbraw/zinc/84/93/81/814849381.db2.gz QFBACJGISLJSJE-UPJWGTAASA-N 0 1 277.368 0.115 20 30 CCEDMN CN(CCNc1ccc(C#N)nc1)C(=O)Cc1ccn[nH]1 ZINC001101535167 814857911 /nfs/dbraw/zinc/85/79/11/814857911.db2.gz VJIKAUCZVHBBBB-UHFFFAOYSA-N 0 1 284.323 0.789 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OC)ncn2)[C@H]1C ZINC001088571208 814923016 /nfs/dbraw/zinc/92/30/16/814923016.db2.gz NHRPOZYHEMTDQA-MNOVXSKESA-N 0 1 276.340 0.864 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1oc(C)nc1C ZINC001127046622 815600886 /nfs/dbraw/zinc/60/08/86/815600886.db2.gz TVZFOCLBHDJETO-NSHDSACASA-N 0 1 279.340 0.536 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@@H]3COCCN3C2=O)CC1 ZINC000348901725 815635555 /nfs/dbraw/zinc/63/55/55/815635555.db2.gz DFTFPCNGBCSCPE-LBPRGKRZSA-N 0 1 279.340 0.300 20 30 CCEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1nccnc1N ZINC001038126922 815679797 /nfs/dbraw/zinc/67/97/97/815679797.db2.gz MWPDNOHZALQRQX-NSHDSACASA-N 0 1 275.356 0.829 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001030216858 815902486 /nfs/dbraw/zinc/90/24/86/815902486.db2.gz LUKIAUQIHIVJFH-NSHDSACASA-N 0 1 266.341 0.706 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCCF)C[C@H]1OC ZINC001212187010 816104267 /nfs/dbraw/zinc/10/42/67/816104267.db2.gz HEDKQRNHXDQNJD-CHWSQXEVSA-N 0 1 270.348 0.965 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCO[C@H]2C=C)[C@H]1C ZINC001088868379 816127949 /nfs/dbraw/zinc/12/79/49/816127949.db2.gz ZWKJNXYNFJDKMR-MQYQWHSLSA-N 0 1 262.353 0.790 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2c(C)csc2=O)[C@H]1C ZINC001089032867 816219587 /nfs/dbraw/zinc/21/95/87/816219587.db2.gz LWAPLEHPLFQCML-NEPJUHHUSA-N 0 1 293.392 0.430 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2ccnc2)[C@H]1C ZINC001089074527 816235651 /nfs/dbraw/zinc/23/56/51/816235651.db2.gz JYGPUKYKQSZXSL-OLZOCXBDSA-N 0 1 260.341 0.485 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(OC)ccn2)C1 ZINC001077498413 816299006 /nfs/dbraw/zinc/29/90/06/816299006.db2.gz IEOVPOHTYUKVQQ-ZIAGYGMSSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(OC)ccn2)C1 ZINC001077498413 816299010 /nfs/dbraw/zinc/29/90/10/816299010.db2.gz IEOVPOHTYUKVQQ-ZIAGYGMSSA-N 0 1 291.351 0.441 20 30 CCEDMN N#Cc1ccc(C[N@@H+]2CC[C@@H](CO)[C@H](O)C2)c([O-])c1 ZINC001233383384 816314548 /nfs/dbraw/zinc/31/45/48/816314548.db2.gz FZNZEJYUWSCBRE-GXTWGEPZSA-N 0 1 262.309 0.439 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001030860801 816358880 /nfs/dbraw/zinc/35/88/80/816358880.db2.gz BBKVWWLREBWVOJ-NSHDSACASA-N 0 1 273.340 0.048 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CCNCc2cnon2)C1 ZINC001272384250 816379933 /nfs/dbraw/zinc/37/99/33/816379933.db2.gz NZIFXRHOXKQFAZ-MNOVXSKESA-N 0 1 277.328 0.557 20 30 CCEDMN Cc1cc(CNC[C@H](O)CNC(=O)C#CC(C)C)ncn1 ZINC001272406918 816397447 /nfs/dbraw/zinc/39/74/47/816397447.db2.gz RTVAGXCJQJLYER-AWEZNQCLSA-N 0 1 290.367 0.011 20 30 CCEDMN N#CCCCN1C(=O)COCC12CN(C[C@H]1CCOC1)C2 ZINC001272507595 816523587 /nfs/dbraw/zinc/52/35/87/816523587.db2.gz HJCSIURCPATUIQ-CYBMUJFWSA-N 0 1 293.367 0.240 20 30 CCEDMN C#CCN1C(=O)C[C@]2(CCC[N@@H+](Cc3cn[nH]c3)C2)C1=O ZINC001272544288 816567989 /nfs/dbraw/zinc/56/79/89/816567989.db2.gz PXOOTRBXDJGCAR-HNNXBMFYSA-N 0 1 286.335 0.384 20 30 CCEDMN C[C@H](C#N)CNS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914204065 816594808 /nfs/dbraw/zinc/59/48/08/816594808.db2.gz QJVDEYLNQBIGOV-NEPJUHHUSA-N 0 1 271.386 0.551 20 30 CCEDMN C=CCC[NH+]1CC(NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001031067717 816622214 /nfs/dbraw/zinc/62/22/14/816622214.db2.gz BTGNZBLACKNPTN-UHFFFAOYSA-N 0 1 287.323 0.013 20 30 CCEDMN O=C(NC1CN(CC#Cc2ccc(F)cc2)C1)c1ncn[nH]1 ZINC001031123101 816667911 /nfs/dbraw/zinc/66/79/11/816667911.db2.gz GWQKLEFVRNFPGA-UHFFFAOYSA-N 0 1 299.309 0.410 20 30 CCEDMN O=C(NC1CN(CC#Cc2ccc(F)cc2)C1)c1nnc[nH]1 ZINC001031123101 816667916 /nfs/dbraw/zinc/66/79/16/816667916.db2.gz GWQKLEFVRNFPGA-UHFFFAOYSA-N 0 1 299.309 0.410 20 30 CCEDMN O=C(NC1C[NH+](CC#Cc2ccc(F)cc2)C1)c1nnc[n-]1 ZINC001031123101 816667917 /nfs/dbraw/zinc/66/79/17/816667917.db2.gz GWQKLEFVRNFPGA-UHFFFAOYSA-N 0 1 299.309 0.410 20 30 CCEDMN N#CCNCCNC(=O)Cc1n[nH]c2c1CCCC2 ZINC001127317831 816726003 /nfs/dbraw/zinc/72/60/03/816726003.db2.gz XLYMFBJBSIXHJN-UHFFFAOYSA-N 0 1 261.329 0.060 20 30 CCEDMN C[C@H](CCNc1ccc(C#N)nc1)NC(=O)c1ncn[nH]1 ZINC001106412892 816763322 /nfs/dbraw/zinc/76/33/22/816763322.db2.gz SEAUVSZFEZBIPC-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@H](CCNc1ccc(C#N)nc1)NC(=O)c1nc[nH]n1 ZINC001106412892 816763325 /nfs/dbraw/zinc/76/33/25/816763325.db2.gz SEAUVSZFEZBIPC-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@@H](CCNc1ccc(C#N)nc1)NC(=O)Cc1nnc[nH]1 ZINC001106436049 816770367 /nfs/dbraw/zinc/77/03/67/816770367.db2.gz XMAJFKHYALWRID-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C#C[C@H](CC)NS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000915042370 816773602 /nfs/dbraw/zinc/77/36/02/816773602.db2.gz XHKXRBVRJDGSQE-OLZOCXBDSA-N 0 1 270.398 0.804 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CCC=C ZINC001235464413 816880732 /nfs/dbraw/zinc/88/07/32/816880732.db2.gz IQRDLTYFBLCJFN-CYBMUJFWSA-N 0 1 279.384 0.529 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)CCC=C ZINC001235464418 816881236 /nfs/dbraw/zinc/88/12/36/816881236.db2.gz IQRDLTYFBLCJFN-ZDUSSCGKSA-N 0 1 279.384 0.529 20 30 CCEDMN CCN(CCNc1ccc(C#N)nc1)C(=O)Cc1ncn[nH]1 ZINC001106838816 816945852 /nfs/dbraw/zinc/94/58/52/816945852.db2.gz XASGJMVRCKQAIJ-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)C2CC2)C1 ZINC001077545287 816984020 /nfs/dbraw/zinc/98/40/20/816984020.db2.gz ZMFLAWGANBBRQV-CHWSQXEVSA-N 0 1 264.369 0.607 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN([C@H](C)COC)C[C@@H]2O)CC1 ZINC001083751284 816986688 /nfs/dbraw/zinc/98/66/88/816986688.db2.gz OSROPTFWJXERIB-YUELXQCFSA-N 0 1 296.411 0.929 20 30 CCEDMN CCOCCN1C[C@@H](NC(=O)C#CC2CC2)[C@H](OC)C1 ZINC001212250517 817232203 /nfs/dbraw/zinc/23/22/03/817232203.db2.gz AAAJZLINAYNHHH-ZIAGYGMSSA-N 0 1 280.368 0.252 20 30 CCEDMN CC[C@@H](C[N@H+]1C[C@@H](NC(=O)C#CC2CC2)[C@H](OC)C1)OC ZINC001212250669 817233597 /nfs/dbraw/zinc/23/35/97/817233597.db2.gz FBWPFYSDIAHEHP-RRFJBIMHSA-N 0 1 294.395 0.640 20 30 CCEDMN CC[C@@H](CN1C[C@@H](NC(=O)C#CC2CC2)[C@H](OC)C1)OC ZINC001212250669 817233604 /nfs/dbraw/zinc/23/36/04/817233604.db2.gz FBWPFYSDIAHEHP-RRFJBIMHSA-N 0 1 294.395 0.640 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn(C3CCC3)nn2)C1 ZINC001031676154 817238609 /nfs/dbraw/zinc/23/86/09/817238609.db2.gz IQWPWGOPIVNAET-UHFFFAOYSA-N 0 1 275.356 0.851 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001031750236 817335129 /nfs/dbraw/zinc/33/51/29/817335129.db2.gz IFORCWWFEHPBJC-UHFFFAOYSA-N 0 1 264.329 0.661 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001031779884 817361805 /nfs/dbraw/zinc/36/18/05/817361805.db2.gz CACNKCWCJLFKIZ-CYBMUJFWSA-N 0 1 274.368 0.995 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn3c2OCCC3)C1 ZINC001031815499 817391001 /nfs/dbraw/zinc/39/10/01/817391001.db2.gz VEVJIOBQMGQBSY-UHFFFAOYSA-N 0 1 276.340 0.513 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccnc3n[nH]nc32)C1 ZINC001031834465 817403775 /nfs/dbraw/zinc/40/37/75/817403775.db2.gz IPKYQDASTDGIMY-UHFFFAOYSA-N 0 1 286.339 0.591 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)CCC ZINC001124349934 817430095 /nfs/dbraw/zinc/43/00/95/817430095.db2.gz BWHMPJWEYFKOKD-UHFFFAOYSA-N 0 1 261.753 0.361 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001031877434 817435692 /nfs/dbraw/zinc/43/56/92/817435692.db2.gz QGOCOAYOTUMKIS-CYBMUJFWSA-N 0 1 279.384 0.527 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn3cccnc23)C1 ZINC001031891530 817445081 /nfs/dbraw/zinc/44/50/81/817445081.db2.gz RACUUPGRFSJBGY-UHFFFAOYSA-N 0 1 283.335 0.414 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(C(N)=O)co2)C1 ZINC001031971654 817507990 /nfs/dbraw/zinc/50/79/90/817507990.db2.gz WXNZWMOLSFLNMT-UHFFFAOYSA-N 0 1 263.297 0.226 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000131014134 817511349 /nfs/dbraw/zinc/51/13/49/817511349.db2.gz RTUAKXDELQCTDO-VIFPVBQESA-N 0 1 279.256 0.025 20 30 CCEDMN C=CCOCC(=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001124768397 817569301 /nfs/dbraw/zinc/56/93/01/817569301.db2.gz YYSQCHDSAWOENF-UHFFFAOYSA-N 0 1 279.344 0.091 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cc(C)ncn1 ZINC001124767996 817569721 /nfs/dbraw/zinc/56/97/21/817569721.db2.gz QDQUSWSEYYOSCD-UHFFFAOYSA-N 0 1 264.329 0.193 20 30 CCEDMN CCC(=O)NCC(=O)NCCNCc1ccccc1C#N ZINC001124872756 817607587 /nfs/dbraw/zinc/60/75/87/817607587.db2.gz MLGHWUSZTLNZDO-UHFFFAOYSA-N 0 1 288.351 0.290 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001124896970 817621173 /nfs/dbraw/zinc/62/11/73/817621173.db2.gz QNEUOROMFKNERV-QMMMGPOBSA-N 0 1 262.317 0.048 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnc(OCC)cn2)C1 ZINC001032229499 817733324 /nfs/dbraw/zinc/73/33/24/817733324.db2.gz VBWSNNYGGNELKQ-UHFFFAOYSA-N 0 1 288.351 0.560 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CN1CCCC1=O ZINC001032270323 817770394 /nfs/dbraw/zinc/77/03/94/817770394.db2.gz DWHDGDYLYWNAQR-STQMWFEESA-N 0 1 277.368 0.470 20 30 CCEDMN CCc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3CC#N)n[nH]1 ZINC001032285767 817781904 /nfs/dbraw/zinc/78/19/04/817781904.db2.gz PGZPVQYKKHGAJS-QWRGUYRKSA-N 0 1 259.313 0.394 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(CC)[nH]n1 ZINC001032290867 817787839 /nfs/dbraw/zinc/78/78/39/817787839.db2.gz WSHXJJVMKTUSFM-STQMWFEESA-N 0 1 272.352 0.894 20 30 CCEDMN CNC(=O)CN1CC[C@@]2(CNC(=O)[C@H](C)C#N)CCC[C@@H]12 ZINC001107329311 817836469 /nfs/dbraw/zinc/83/64/69/817836469.db2.gz PSPWMKMYQZSEJZ-LALPHHSUSA-N 0 1 292.383 0.253 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnc(C)[nH]1 ZINC001032312760 817841461 /nfs/dbraw/zinc/84/14/61/817841461.db2.gz YESFYMQWXHHGNT-RYUDHWBXSA-N 0 1 258.325 0.640 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(C)CC(=C)C2)C1 ZINC001077642173 817908687 /nfs/dbraw/zinc/90/86/87/817908687.db2.gz YOBPDSZHTQQXAF-CHWSQXEVSA-N 0 1 262.353 0.527 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC001077655487 817940803 /nfs/dbraw/zinc/94/08/03/817940803.db2.gz RPUMQLKOGNKEST-GHMZBOCLSA-N 0 1 298.293 0.146 20 30 CCEDMN C[C@H](CNc1ncnc2[nH]cnc21)NC(=O)C#CC1CC1 ZINC001107720186 817965403 /nfs/dbraw/zinc/96/54/03/817965403.db2.gz RLNPPUJAVFSYSS-SECBINFHSA-N 0 1 284.323 0.635 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c(C)c1[O-] ZINC001032356181 817978931 /nfs/dbraw/zinc/97/89/31/817978931.db2.gz OFSMWQGCAVJYEF-QWRGUYRKSA-N 0 1 276.340 0.899 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c(C)c1[O-] ZINC001032356181 817978939 /nfs/dbraw/zinc/97/89/39/817978939.db2.gz OFSMWQGCAVJYEF-QWRGUYRKSA-N 0 1 276.340 0.899 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)n1cncn1 ZINC001032362976 818001393 /nfs/dbraw/zinc/00/13/93/818001393.db2.gz AUWGXVDCPAFTBK-AVGNSLFASA-N 0 1 273.340 0.148 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1cnn(C)c1 ZINC001032824210 818068198 /nfs/dbraw/zinc/06/81/98/818068198.db2.gz YCWBPNOQQFPEFX-SNPRPXQTSA-N 0 1 286.379 0.832 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3cccc(C)n3)C2)OCC1=O ZINC001272943549 818085584 /nfs/dbraw/zinc/08/55/84/818085584.db2.gz DFNIOKJOYXNPPK-QGZVFWFLSA-N 0 1 299.374 0.827 20 30 CCEDMN CC(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C[C@H]1O ZINC001089974491 818091792 /nfs/dbraw/zinc/09/17/92/818091792.db2.gz AUTLQOPQVANTLE-HUUCEWRRSA-N 0 1 273.336 0.630 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CC23CCC3)[C@@H](O)C1 ZINC001083818075 818240529 /nfs/dbraw/zinc/24/05/29/818240529.db2.gz PBWKNFUMBLWJCB-XQQFMLRXSA-N 0 1 264.369 0.914 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccccc2)[C@@H](O)C1 ZINC001090023116 818281115 /nfs/dbraw/zinc/28/11/15/818281115.db2.gz OBCTVFKDYOTFAW-GJZGRUSLSA-N 0 1 272.348 0.875 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCOC2)C1 ZINC001032956194 818383818 /nfs/dbraw/zinc/38/38/18/818383818.db2.gz VRBULVJVOZDVDT-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCOC2)C1 ZINC001032954421 818384509 /nfs/dbraw/zinc/38/45/09/818384509.db2.gz WEEYDMZSJRWKMZ-CHWSQXEVSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCOC2)C1 ZINC001032967758 818395270 /nfs/dbraw/zinc/39/52/70/818395270.db2.gz OASPTWHXGZFAQR-STQMWFEESA-N 0 1 250.342 0.579 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H]2CNC(=O)c2ncn[nH]2)cn1 ZINC001061381861 818428171 /nfs/dbraw/zinc/42/81/71/818428171.db2.gz GNGGDWRZUUNIRN-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H]2CNC(=O)c2nc[nH]n2)cn1 ZINC001061381861 818428177 /nfs/dbraw/zinc/42/81/77/818428177.db2.gz GNGGDWRZUUNIRN-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cccn2C)[C@@H](O)C1 ZINC001090092897 818497511 /nfs/dbraw/zinc/49/75/11/818497511.db2.gz PXCSVADTUNQVFL-JSGCOSHPSA-N 0 1 275.352 0.213 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cccn2C)[C@H](O)C1 ZINC001090092899 818497631 /nfs/dbraw/zinc/49/76/31/818497631.db2.gz PXCSVADTUNQVFL-TZMCWYRMSA-N 0 1 275.352 0.213 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2nnc(C)[nH]2)C1 ZINC001033080232 818501983 /nfs/dbraw/zinc/50/19/83/818501983.db2.gz CPPWMFQZWOZOND-GFCCVEGCSA-N 0 1 275.356 0.212 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccon2)[C@H](O)C1 ZINC001090096383 818504798 /nfs/dbraw/zinc/50/47/98/818504798.db2.gz PIUUGAMJJPJCHY-GXSJLCMTSA-N 0 1 251.286 0.026 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(C)n2)[C@@H](O)C1 ZINC001090095761 818508585 /nfs/dbraw/zinc/50/85/85/818508585.db2.gz ZULBSBKHRUIHIX-OCCSQVGLSA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001033120563 818545143 /nfs/dbraw/zinc/54/51/43/818545143.db2.gz UUUQCZWZAYIMHP-ZIAGYGMSSA-N 0 1 293.411 0.962 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccnc3ccnn32)C1 ZINC001033135547 818560486 /nfs/dbraw/zinc/56/04/86/818560486.db2.gz NRGKFSOSZALYSL-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001033169384 818598002 /nfs/dbraw/zinc/59/80/02/818598002.db2.gz MQLGPJQTJFGEBB-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001033181948 818632058 /nfs/dbraw/zinc/63/20/58/818632058.db2.gz KSGCVWUQHKJKJL-GFCCVEGCSA-N 0 1 288.351 0.166 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033192624 818638335 /nfs/dbraw/zinc/63/83/35/818638335.db2.gz PPOFYOFADURKGC-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2ccc(F)cn2)C1 ZINC001033198105 818640418 /nfs/dbraw/zinc/64/04/18/818640418.db2.gz MXBIHGIREPTHRP-CQSZACIVSA-N 0 1 275.327 0.929 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncs2)[C@H](O)C1 ZINC001090116452 818641900 /nfs/dbraw/zinc/64/19/00/818641900.db2.gz ZNIGKMSSOFQKEZ-VHSXEESVSA-N 0 1 267.354 0.494 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033217537 818657234 /nfs/dbraw/zinc/65/72/34/818657234.db2.gz LDNQBJAJGFHSPM-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncoc2C)[C@@H](O)C1 ZINC001090125016 818672149 /nfs/dbraw/zinc/67/21/49/818672149.db2.gz UDEMIZBFOGXYBH-QWRGUYRKSA-N 0 1 265.313 0.334 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001033248614 818676237 /nfs/dbraw/zinc/67/62/37/818676237.db2.gz SFIOPELDSUWESH-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)n(C)n2)[C@@H](O)C1 ZINC001090128239 818679313 /nfs/dbraw/zinc/67/93/13/818679313.db2.gz KMFRQACUTLJBCP-AAEUAGOBSA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001033290750 818693736 /nfs/dbraw/zinc/69/37/36/818693736.db2.gz XKOJIOOQZMQJOV-BXUZGUMPSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001033337056 818720611 /nfs/dbraw/zinc/72/06/11/818720611.db2.gz DKVUVQRGBAFNJF-MQYQWHSLSA-N 0 1 264.369 0.988 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001033351769 818731817 /nfs/dbraw/zinc/73/18/17/818731817.db2.gz SFXSBRKOJCBFET-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033352869 818733614 /nfs/dbraw/zinc/73/36/14/818733614.db2.gz LUUICBSDOPOPKX-NWDGAFQWSA-N 0 1 265.357 0.326 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001033366651 818735442 /nfs/dbraw/zinc/73/54/42/818735442.db2.gz VOGCRYSGBXJXLU-VXGBXAGGSA-N 0 1 289.383 0.943 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ccnc3c2ncn3C)C1 ZINC001033418442 818763316 /nfs/dbraw/zinc/76/33/16/818763316.db2.gz ZANUCAVNMOGHBZ-GFCCVEGCSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCO[C@H]2C=C)C1 ZINC001033433849 818768127 /nfs/dbraw/zinc/76/81/27/818768127.db2.gz XULSCGWMHUKROT-MCIONIFRSA-N 0 1 262.353 0.743 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001033423600 818770501 /nfs/dbraw/zinc/77/05/01/818770501.db2.gz SNXOELYBPVTMLI-XQQFMLRXSA-N 0 1 279.384 0.429 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC001033575645 818835259 /nfs/dbraw/zinc/83/52/59/818835259.db2.gz SBUPUCDRYSEQOT-QWHCGFSZSA-N 0 1 294.399 0.638 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001033572666 818835271 /nfs/dbraw/zinc/83/52/71/818835271.db2.gz XUBMYHJMBRSAFX-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2cccn(C)c2=O)C1 ZINC001033588690 818840612 /nfs/dbraw/zinc/84/06/12/818840612.db2.gz HCGFXRDVNKVZLZ-GFCCVEGCSA-N 0 1 273.336 0.165 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@H]2CCN(CCOC)C2)nc1 ZINC001033595680 818843341 /nfs/dbraw/zinc/84/33/41/818843341.db2.gz GAMVBRQNKUCBPX-AWEZNQCLSA-N 0 1 287.363 0.856 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)C2=CCOCC2)C1 ZINC001033812764 818953095 /nfs/dbraw/zinc/95/30/95/818953095.db2.gz CGQVKZHSQUODJA-CQSZACIVSA-N 0 1 262.353 0.889 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033862550 818971825 /nfs/dbraw/zinc/97/18/25/818971825.db2.gz HBNSXVRYKVXSNO-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033882934 818979109 /nfs/dbraw/zinc/97/91/09/818979109.db2.gz VJAOLJKGUPJJGW-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2C)[C@H](O)C1 ZINC001090150698 818988333 /nfs/dbraw/zinc/98/83/33/818988333.db2.gz OUNTVIAEXLGTPA-CHWSQXEVSA-N 0 1 290.367 0.215 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001033910319 818990239 /nfs/dbraw/zinc/99/02/39/818990239.db2.gz FZVKBWIVIFETIG-AYCBFJCHSA-N 0 1 288.391 0.966 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2=CCCC2)[C@H](O)C1 ZINC001090171531 819010828 /nfs/dbraw/zinc/01/08/28/819010828.db2.gz PQNZNMDTLDIMQF-QWHCGFSZSA-N 0 1 250.342 0.834 20 30 CCEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cnn(C)n2)C1 ZINC001033983432 819022772 /nfs/dbraw/zinc/02/27/72/819022772.db2.gz QNLZVVQRRPWBAK-LBPRGKRZSA-N 0 1 277.372 0.928 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)Cn2cccn2)C1 ZINC001034102835 819068002 /nfs/dbraw/zinc/06/80/02/819068002.db2.gz ZSDSZUXQQYUWGJ-CQSZACIVSA-N 0 1 274.368 0.877 20 30 CCEDMN CCCN1C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](n2ccnn2)C1 ZINC001128834409 819069970 /nfs/dbraw/zinc/06/99/70/819069970.db2.gz MQLDRPWTRLZIEB-UTUOFQBUSA-N 0 1 276.344 0.189 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H](C)n2cncn2)C1 ZINC001034174126 819100873 /nfs/dbraw/zinc/10/08/73/819100873.db2.gz JAHUZHUTVAMLGN-KBPBESRZSA-N 0 1 289.383 0.833 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001034165589 819105751 /nfs/dbraw/zinc/10/57/51/819105751.db2.gz OXLSQQBOSCONQS-KBPBESRZSA-N 0 1 292.379 0.934 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cccn3nnnc23)C1 ZINC001034228754 819129896 /nfs/dbraw/zinc/12/98/96/819129896.db2.gz ZMOCMCCXSQLQRL-LBPRGKRZSA-N 0 1 298.350 0.342 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](O)C1 ZINC001090199789 819135144 /nfs/dbraw/zinc/13/51/44/819135144.db2.gz JQSSIBSSYPOYNQ-WDEREUQCSA-N 0 1 294.355 0.165 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CCCNC2=O)C1 ZINC001034258204 819139819 /nfs/dbraw/zinc/13/98/19/819139819.db2.gz UUXLQXVCFIWLBG-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001034270303 819148433 /nfs/dbraw/zinc/14/84/33/819148433.db2.gz YYSMCCDSHBVSHV-XJKSGUPXSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(C)n2)[C@H](O)C1 ZINC001090206076 819162776 /nfs/dbraw/zinc/16/27/76/819162776.db2.gz MSRGVYVZXXJCGX-ZYHUDNBSSA-N 0 1 265.313 0.334 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)c2c[nH]nc2C)C1 ZINC001034334223 819169359 /nfs/dbraw/zinc/16/93/59/819169359.db2.gz FQMHUJXJTVABKC-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2c[nH]nc2C)C1 ZINC001034334223 819169365 /nfs/dbraw/zinc/16/93/65/819169365.db2.gz FQMHUJXJTVABKC-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnn2CC)[C@@H](O)C1 ZINC001090219642 819231035 /nfs/dbraw/zinc/23/10/35/819231035.db2.gz UJJGTNHSTSQJIT-YPMHNXCESA-N 0 1 278.356 0.254 20 30 CCEDMN N#CCN1CCCC[C@H](NC(=O)CN2CCCC2)C1 ZINC001034528937 819231319 /nfs/dbraw/zinc/23/13/19/819231319.db2.gz VDMNWEZFLHHHOK-ZDUSSCGKSA-N 0 1 264.373 0.576 20 30 CCEDMN N#CCN1CCC2(C1)CCN(C(=O)Cc1ccn[nH]1)CC2 ZINC001035170835 819410992 /nfs/dbraw/zinc/41/09/92/819410992.db2.gz YPHRQYHKRRDJRX-UHFFFAOYSA-N 0 1 287.367 0.790 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2cncn2C)C1 ZINC001035435903 819523917 /nfs/dbraw/zinc/52/39/17/819523917.db2.gz UXVZVOSLNNMSJE-ZDUSSCGKSA-N 0 1 292.383 0.817 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1ccn(C)n1)CC2 ZINC001035705911 819611894 /nfs/dbraw/zinc/61/18/94/819611894.db2.gz DFPTXDLTUMAAJS-UHFFFAOYSA-N 0 1 286.379 0.520 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)C1(C(N)=O)CC1)CC2 ZINC001035783586 819624633 /nfs/dbraw/zinc/62/46/33/819624633.db2.gz NDFVQLUPZJKFGJ-UHFFFAOYSA-N 0 1 289.379 0.200 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@@H](NCC#N)[C@H](C)C2)n[nH]1 ZINC001035923386 819653551 /nfs/dbraw/zinc/65/35/51/819653551.db2.gz CTVVYFNJTLJBSN-ZYHUDNBSSA-N 0 1 275.356 0.936 20 30 CCEDMN C=CCCS(=O)(=O)N1CCc2sc(CN)nc2C1 ZINC000710935029 819865870 /nfs/dbraw/zinc/86/58/70/819865870.db2.gz QQAZOTIMNSXHGF-UHFFFAOYSA-N 0 1 287.410 0.866 20 30 CCEDMN N#Cc1ccc(N2C[C@@H]3C[C@H]2CN3C(=O)c2ccn[nH]2)nn1 ZINC001062450492 819954097 /nfs/dbraw/zinc/95/40/97/819954097.db2.gz GEYSJYMOQRGVAP-QWRGUYRKSA-N 0 1 295.306 0.175 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnn(C(F)F)c2)[C@@H](O)C1 ZINC001083893800 820147848 /nfs/dbraw/zinc/14/78/48/820147848.db2.gz DLKKNEIYFYYKDY-MNOVXSKESA-N 0 1 298.293 0.076 20 30 CCEDMN N#Cc1cnc(NC[C@H]2CCCN2C(=O)c2ccn[nH]2)cn1 ZINC001063427877 820149154 /nfs/dbraw/zinc/14/91/54/820149154.db2.gz IYCBKHDJNJETBM-LLVKDONJSA-N 0 1 297.322 0.788 20 30 CCEDMN C#CCCN(CCOC)S(=O)(=O)c1ncc[nH]1 ZINC000697588546 820201705 /nfs/dbraw/zinc/20/17/05/820201705.db2.gz PYUWQMYSZVTREB-UHFFFAOYSA-N 0 1 257.315 0.070 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)[C@]2(C)CCCOC2)[C@@H](O)C1 ZINC001083895810 820203924 /nfs/dbraw/zinc/20/39/24/820203924.db2.gz HFNPIWQTPKVBJM-VNHYZAJKSA-N 0 1 282.384 0.541 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(F)CCOCC2)[C@H](O)C1 ZINC001090307786 820243639 /nfs/dbraw/zinc/24/36/39/820243639.db2.gz PDPPXYDTPQGCPI-QWHCGFSZSA-N 0 1 298.358 0.080 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cncs2)[C@H](O)C1 ZINC001090342049 820283728 /nfs/dbraw/zinc/28/37/28/820283728.db2.gz NIAULMHDNLHDDX-QWHCGFSZSA-N 0 1 293.392 0.260 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C)nc2CC)[C@@H](O)C1 ZINC001090344560 820285881 /nfs/dbraw/zinc/28/58/81/820285881.db2.gz LFYUPFQLRCOCEA-KBPBESRZSA-N 0 1 292.383 0.334 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccsn2)[C@@H](O)C1 ZINC001090352912 820291720 /nfs/dbraw/zinc/29/17/20/820291720.db2.gz RYESGGNRIWYWRK-JQWIXIFHSA-N 0 1 281.381 0.884 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C2=COCCO2)C1 ZINC001079374810 820371566 /nfs/dbraw/zinc/37/15/66/820371566.db2.gz ZABJJLPATRYGGD-GHMZBOCLSA-N 0 1 252.314 0.497 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(CC)c2)C1 ZINC001079506730 820407033 /nfs/dbraw/zinc/40/70/33/820407033.db2.gz JYKZNYSGFCDSSR-TZMCWYRMSA-N 0 1 274.368 0.976 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001080094915 820514622 /nfs/dbraw/zinc/51/46/22/820514622.db2.gz SUNWTASRCGLRNB-DGCLKSJQSA-N 0 1 288.351 0.559 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnc(C)n2C)C1 ZINC001080332414 820550287 /nfs/dbraw/zinc/55/02/87/820550287.db2.gz XTMFRPVHCSAMJZ-ZYHUDNBSSA-N 0 1 262.357 0.965 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001080413960 820572294 /nfs/dbraw/zinc/57/22/94/820572294.db2.gz ZVMDZPOVXIWNHN-VXGBXAGGSA-N 0 1 273.336 0.069 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2[nH]c(C)nc2C)C1 ZINC001080463530 820580703 /nfs/dbraw/zinc/58/07/03/820580703.db2.gz XVPJGMIEWCTUSD-BXKDBHETSA-N 0 1 260.341 0.710 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)c2cnn(C)c2)C1 ZINC001080694808 820623144 /nfs/dbraw/zinc/62/31/44/820623144.db2.gz HYXXJWPUBJWGTF-TZMCWYRMSA-N 0 1 288.395 0.767 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cn(C)cn2)[C@H](OC)C1 ZINC001081535344 820786692 /nfs/dbraw/zinc/78/66/92/820786692.db2.gz ZRHMMGPVNYWLBK-DGCLKSJQSA-N 0 1 278.356 0.425 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@H](OC)C1 ZINC001081540625 820794324 /nfs/dbraw/zinc/79/43/24/820794324.db2.gz KQEVCQRAFQSTCK-ZIAGYGMSSA-N 0 1 288.351 0.891 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(CCn2cccn2)C[C@@H]1O ZINC001099726681 820801162 /nfs/dbraw/zinc/80/11/62/820801162.db2.gz IZFCZLBQXONDKV-KBPBESRZSA-N 0 1 292.383 0.401 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccnnc2)[C@H](OC)C1 ZINC001082169467 820910803 /nfs/dbraw/zinc/91/08/03/820910803.db2.gz AFQFNSFKWYWVTH-CHWSQXEVSA-N 0 1 276.340 0.482 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2nocc2C)[C@H](OC)C1 ZINC001082306611 820935292 /nfs/dbraw/zinc/93/52/92/820935292.db2.gz FUWSMBPMJRDCLA-VXGBXAGGSA-N 0 1 279.340 0.988 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H](NCc2ccn(C)n2)C1 ZINC001082450594 820971108 /nfs/dbraw/zinc/97/11/08/820971108.db2.gz AVCYNDSWSSMIGF-DMDPSCGWSA-N 0 1 275.356 0.516 20 30 CCEDMN CCn1ccc(CN[C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)n1 ZINC001082450598 820973024 /nfs/dbraw/zinc/97/30/24/820973024.db2.gz AWUSIKCDVLBERP-OUCADQQQSA-N 0 1 289.383 0.999 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(F)CCCC2)[C@@H](O)C1 ZINC001090375795 821008120 /nfs/dbraw/zinc/00/81/20/821008120.db2.gz UEIWPCBUFSVHGY-STQMWFEESA-N 0 1 282.359 0.843 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc(OC)c2)[C@H](O)C1 ZINC001090377348 821017578 /nfs/dbraw/zinc/01/75/78/821017578.db2.gz JGUWRFPDCVLAIB-CHWSQXEVSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]nc2CC)[C@H](O)C1 ZINC001090379800 821036899 /nfs/dbraw/zinc/03/68/99/821036899.db2.gz AOJSPMCTHPFFPZ-CHWSQXEVSA-N 0 1 278.356 0.323 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3ccc[nH]3)[C@H]2C1 ZINC001082998819 821095557 /nfs/dbraw/zinc/09/55/57/821095557.db2.gz JJQQVOOEUHTTMZ-UONOGXRCSA-N 0 1 273.336 0.563 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3csnn3)[C@H]2C1 ZINC001083155484 821147948 /nfs/dbraw/zinc/14/79/48/821147948.db2.gz WUAALXQGXVPTRW-NWDGAFQWSA-N 0 1 294.380 0.639 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnn3cc[nH]c23)[C@@H](O)C1 ZINC001083997160 821171521 /nfs/dbraw/zinc/17/15/21/821171521.db2.gz UDZZEKHCOKJXIH-NEPJUHHUSA-N 0 1 289.339 0.013 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CN3CCCC3=O)[C@@H]2C1 ZINC001084179982 821226104 /nfs/dbraw/zinc/22/61/04/821226104.db2.gz KIVCCWNXHFPKFG-CHWSQXEVSA-N 0 1 277.368 0.328 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCNC3=O)[C@@H]2C1 ZINC001084402791 821287414 /nfs/dbraw/zinc/28/74/14/821287414.db2.gz ZTEFMKNWUUWKCV-MGPQQGTHSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCC(=O)NC3)[C@@H]2C1 ZINC001084475200 821300131 /nfs/dbraw/zinc/30/01/31/821300131.db2.gz CIGVCWMCFGDINB-YNEHKIRRSA-N 0 1 277.368 0.231 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001084716240 821360935 /nfs/dbraw/zinc/36/09/35/821360935.db2.gz VLNZRHDZOQJJHC-BARDWOONSA-N 0 1 294.395 0.493 20 30 CCEDMN C#CCN1CCC2(CCN(Cc3cncnc3)CC2)C1=O ZINC001273229640 821375781 /nfs/dbraw/zinc/37/57/81/821375781.db2.gz BBCGAOVECKKVCU-UHFFFAOYSA-N 0 1 284.363 0.924 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cnc(OC)nc3)[C@@H]2C1 ZINC001084755108 821376361 /nfs/dbraw/zinc/37/63/61/821376361.db2.gz YJKBMORRVWEBEA-DGCLKSJQSA-N 0 1 288.351 0.818 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001099827588 821387263 /nfs/dbraw/zinc/38/72/63/821387263.db2.gz XPJYLUSXWQQZPX-KBPBESRZSA-N 0 1 262.353 0.527 20 30 CCEDMN C#CCN1CCC2(CCN(Cc3nccnc3N)CC2)C1=O ZINC001273273475 821544696 /nfs/dbraw/zinc/54/46/96/821544696.db2.gz BGCVICAIGHYYTQ-UHFFFAOYSA-N 0 1 299.378 0.507 20 30 CCEDMN C[C@@H](C#N)NC(=O)C1CCC(N2CCOCC2)CC1 ZINC000825049096 821645644 /nfs/dbraw/zinc/64/56/44/821645644.db2.gz DTDUDGBSDBOQDR-HIFPTAJRSA-N 0 1 265.357 0.906 20 30 CCEDMN CC#CCCCC(=O)N[C@H]1CCN(CC#CC)C[C@H]1O ZINC001099962001 821658307 /nfs/dbraw/zinc/65/83/07/821658307.db2.gz HEIUJDIMXCGSBY-LSDHHAIUSA-N 0 1 276.380 0.755 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)[C@H]1CCCN1C ZINC001085513767 821760044 /nfs/dbraw/zinc/76/00/44/821760044.db2.gz ZMBDVTQSPLTHGK-VXGBXAGGSA-N 0 1 250.346 0.137 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C1CN(C(C)=O)C1 ZINC001085567356 821816302 /nfs/dbraw/zinc/81/63/02/821816302.db2.gz GZLIMWDZCHREOB-AWEZNQCLSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CC(=O)N(CC)C1 ZINC001085627847 821857413 /nfs/dbraw/zinc/85/74/13/821857413.db2.gz NCKBEOALCCJMOI-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCC(=O)NC1 ZINC001085689083 821903918 /nfs/dbraw/zinc/90/39/18/821903918.db2.gz YOMPDSMYHSEDMB-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCNC1=O ZINC001085763771 821944352 /nfs/dbraw/zinc/94/43/52/821944352.db2.gz JNWJAKDISDPFQC-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CCN1CCO ZINC001085827619 821969909 /nfs/dbraw/zinc/96/99/09/821969909.db2.gz XXGOCIVRKZXESW-XQQFMLRXSA-N 0 1 268.357 0.103 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCC(=O)N1C ZINC001085952720 822035765 /nfs/dbraw/zinc/03/57/65/822035765.db2.gz JQURJYWVWARBFB-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCCC(=O)N1C ZINC001085952720 822035771 /nfs/dbraw/zinc/03/57/71/822035771.db2.gz JQURJYWVWARBFB-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C(N)=O)c1 ZINC001085974396 822047767 /nfs/dbraw/zinc/04/77/67/822047767.db2.gz SYARRDICJQCBEU-GFCCVEGCSA-N 0 1 290.367 0.836 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@H]1CCN(CCO)C[C@@H]1O ZINC001100020793 822075944 /nfs/dbraw/zinc/07/59/44/822075944.db2.gz SRPQKFRHIJVFMX-RYUDHWBXSA-N 0 1 270.373 0.132 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H]1C[C@@H](NCC#N)C1 ZINC001086045491 822088921 /nfs/dbraw/zinc/08/89/21/822088921.db2.gz KYCVRKFJVNCUSR-GRYCIOLGSA-N 0 1 250.346 0.089 20 30 CCEDMN C[C@H](CNC(=O)c1cnn[nH]1)Nc1ncccc1C#N ZINC001098405404 822106627 /nfs/dbraw/zinc/10/66/27/822106627.db2.gz YFKKYHKSWQEWOR-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2nc(C)cc2C)[C@@H](O)C1 ZINC001090413866 822294921 /nfs/dbraw/zinc/29/49/21/822294921.db2.gz PMQXFVYRBLQPJF-KGLIPLIRSA-N 0 1 292.383 0.237 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(Cc3cnn(C)c3)C[C@H]21 ZINC001114005511 837401044 /nfs/dbraw/zinc/40/10/44/837401044.db2.gz RPNYGLXNUSVMEV-NHAGDIPZSA-N 0 1 274.368 0.933 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1[C@H]2CN(Cc3cnn(C)c3)C[C@H]21 ZINC001114064749 837425306 /nfs/dbraw/zinc/42/53/06/837425306.db2.gz UYJVPYDDAFIUHA-XEZLXBQYSA-N 0 1 273.340 0.126 20 30 CCEDMN N#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001086615154 837442074 /nfs/dbraw/zinc/44/20/74/837442074.db2.gz JKBYEEDSFCUUEU-QWHCGFSZSA-N 0 1 296.334 0.526 20 30 CCEDMN N#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001086615154 837442079 /nfs/dbraw/zinc/44/20/79/837442079.db2.gz JKBYEEDSFCUUEU-QWHCGFSZSA-N 0 1 296.334 0.526 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]nc2C)[C@H](O)C1 ZINC001090475310 837447968 /nfs/dbraw/zinc/44/79/68/837447968.db2.gz IQLIHVGZBWZJMF-VXGBXAGGSA-N 0 1 264.329 0.069 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC1[C@H]2CN(C/C=C\Cl)C[C@@H]12 ZINC001114263169 837491854 /nfs/dbraw/zinc/49/18/54/837491854.db2.gz PRGVQZYJJBQBGK-ALZCPVGTSA-N 0 1 282.771 0.824 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cccc(C(N)=O)c1 ZINC001130362077 837770365 /nfs/dbraw/zinc/77/03/65/837770365.db2.gz FFYOEJLMQCIJAB-UHFFFAOYSA-N 0 1 281.743 0.857 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn(C)nc1COC ZINC001130445864 837795699 /nfs/dbraw/zinc/79/56/99/837795699.db2.gz XOSVLGVEGPZMBI-UHFFFAOYSA-N 0 1 286.763 0.638 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCCCNCc2cnon2)c1 ZINC001156282132 836182151 /nfs/dbraw/zinc/18/21/51/836182151.db2.gz NKLSNFBOGIILDI-UHFFFAOYSA-N 0 1 274.284 0.179 20 30 CCEDMN CCOC(=O)[C@H]1CC[C@H](NCC2(C#N)CC2)CO1 ZINC001169345698 836213635 /nfs/dbraw/zinc/21/36/35/836213635.db2.gz YPHQZTCQFIDLGL-WDEREUQCSA-N 0 1 252.314 0.990 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN([C@H]2CCN(C(C)=O)C2)C1 ZINC001169565273 836354486 /nfs/dbraw/zinc/35/44/86/836354486.db2.gz OMTBRTWCBFPZFB-KBPBESRZSA-N 0 1 295.383 0.984 20 30 CCEDMN CCCCN1CC(N2C[C@@H](NC(=O)[C@H](C)C#N)CC2=O)C1 ZINC001108527638 836422450 /nfs/dbraw/zinc/42/24/50/836422450.db2.gz MIPOPIQKAOPFIO-NEPJUHHUSA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@@H](CNc1cnc(C#N)cn1)NC(=O)Cc1cnc[nH]1 ZINC001108718723 836514620 /nfs/dbraw/zinc/51/46/20/836514620.db2.gz QSKSOAQEVQFDSP-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@](C)(NC(C)=O)C(C)C ZINC001115323806 836643081 /nfs/dbraw/zinc/64/30/81/836643081.db2.gz FTDDGRXNHJOETA-ORIJERBGSA-N 0 1 291.395 0.217 20 30 CCEDMN C[C@@H](CN(C)c1ccnc(C#N)n1)NC(=O)Cc1cnc[nH]1 ZINC001109255923 836647218 /nfs/dbraw/zinc/64/72/18/836647218.db2.gz YVUVSZCKVRREFW-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN COCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C#CC1CC1)C2 ZINC001109258034 836649415 /nfs/dbraw/zinc/64/94/15/836649415.db2.gz YMKLSNKOWKAKGD-RDBSUJKOSA-N 0 1 262.353 0.768 20 30 CCEDMN Cc1cc(NCCCN(C)C(=O)c2ccn[nH]2)c(C#N)cn1 ZINC001109370782 836663932 /nfs/dbraw/zinc/66/39/32/836663932.db2.gz FNMLNMKPISXPCV-UHFFFAOYSA-N 0 1 298.350 0.981 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(Cl)n2C)[C@@H](O)C1 ZINC001090425173 836664483 /nfs/dbraw/zinc/66/44/83/836664483.db2.gz MATCQTLYZDWENW-KOLCDFICSA-N 0 1 298.774 0.425 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H](CNc1ncnc2[nH]cnc21)C1CC1 ZINC001109796444 836733380 /nfs/dbraw/zinc/73/33/80/836733380.db2.gz VOMXNDYINURJGT-WCBMZHEXSA-N 0 1 299.338 0.771 20 30 CCEDMN N#Cc1cncc(NC[C@@H](NC(=O)c2ncn[nH]2)C2CC2)n1 ZINC001109885020 836742714 /nfs/dbraw/zinc/74/27/14/836742714.db2.gz REBNEBDUILZSBQ-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cncc(NC[C@@H](NC(=O)c2nc[nH]n2)C2CC2)n1 ZINC001109885020 836742718 /nfs/dbraw/zinc/74/27/18/836742718.db2.gz REBNEBDUILZSBQ-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cc(Cl)nc(NC[C@@H]2COCCN2)n1 ZINC001170035225 836753504 /nfs/dbraw/zinc/75/35/04/836753504.db2.gz KDXZFKMWGWPYIP-MRVPVSSYSA-N 0 1 253.693 0.402 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCCC(=O)NC)[C@@H](O)C1 ZINC001100135906 836762314 /nfs/dbraw/zinc/76/23/14/836762314.db2.gz DOUDWVGIDSBXDR-STQMWFEESA-N 0 1 297.399 0.030 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCN(C)C(C)=O)C2 ZINC001110042789 836770298 /nfs/dbraw/zinc/77/02/98/836770298.db2.gz HARKIWFQRDYNME-ILXRZTDVSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCN(C)C(C)=O)C2 ZINC001110042789 836770305 /nfs/dbraw/zinc/77/03/05/836770305.db2.gz HARKIWFQRDYNME-ILXRZTDVSA-N 0 1 291.395 0.600 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCn2ccnc2)[C@H](O)C1 ZINC001100138830 836776095 /nfs/dbraw/zinc/77/60/95/836776095.db2.gz LECUMSUJQUAGDH-ZIAGYGMSSA-N 0 1 292.383 0.401 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OCC)no2)[C@@H](O)C1 ZINC001090436266 836776362 /nfs/dbraw/zinc/77/63/62/836776362.db2.gz PAKQBIALOASMPG-QWRGUYRKSA-N 0 1 295.339 0.424 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)NC(=O)COC)CC1 ZINC001112877821 836948817 /nfs/dbraw/zinc/94/88/17/836948817.db2.gz YBIBHUXGZHMKDJ-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC001112909679 836960901 /nfs/dbraw/zinc/96/09/01/836960901.db2.gz VPBWPDVQITYKPV-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C[C@@H](NC(=O)Cc1nnc[nH]1)[C@@H](C)Nc1ncccc1C#N ZINC001113150340 837036280 /nfs/dbraw/zinc/03/62/80/837036280.db2.gz KTTWECNDUALHRQ-NXEZZACHSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC001113187083 837052533 /nfs/dbraw/zinc/05/25/33/837052533.db2.gz PGZHBJZFTAKVIA-STQMWFEESA-N 0 1 279.384 0.608 20 30 CCEDMN C[C@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1cncc(C#N)n1 ZINC001113356507 837095203 /nfs/dbraw/zinc/09/52/03/837095203.db2.gz IKRYGZLKNQBCRQ-YUMQZZPRSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@@H](C)Nc1ncccc1C#N ZINC001113357635 837100820 /nfs/dbraw/zinc/10/08/20/837100820.db2.gz UKVWVNGINIRDMH-RKDXNWHRSA-N 0 1 285.311 0.690 20 30 CCEDMN C=CCCN1CCN(C(=O)CC(=O)NCC2CC2)CC1 ZINC001113509082 837139136 /nfs/dbraw/zinc/13/91/36/837139136.db2.gz XCOIBAXVYDKQOA-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CCNC(N)=O)CC1 ZINC001113617744 837177183 /nfs/dbraw/zinc/17/71/83/837177183.db2.gz FCRVTPFJDQTOLJ-UHFFFAOYSA-N 0 1 268.361 0.155 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)CCOC)C[C@H](C)O2 ZINC001131620995 838159735 /nfs/dbraw/zinc/15/97/35/838159735.db2.gz NMGDPQVNVSYOQK-ZFWWWQNUSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CNC(=O)C2CC2)CC[C@H]1C ZINC001131830646 838242446 /nfs/dbraw/zinc/24/24/46/838242446.db2.gz UQBZOLBOFILLLE-DGCLKSJQSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCn2cncn2)CC[C@H]1C ZINC001131856824 838248412 /nfs/dbraw/zinc/24/84/12/838248412.db2.gz RRZIAPVQAOSYOY-KGLIPLIRSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCNS(=O)(=O)c1nc(C)c(C(=O)OCC)s1 ZINC001184976205 844317490 /nfs/dbraw/zinc/31/74/90/844317490.db2.gz FZPKUDZCWYKFBB-UHFFFAOYSA-N 0 1 288.350 0.540 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C2(C(N)=O)CC2)CC[C@H]1C ZINC001132262614 838348961 /nfs/dbraw/zinc/34/89/61/838348961.db2.gz ZXNZYMSHZZRVGE-NEPJUHHUSA-N 0 1 277.368 0.244 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C2(C(=O)NC)CC2)CC[C@@H]1C ZINC001132354463 838364409 /nfs/dbraw/zinc/36/44/09/838364409.db2.gz NNDAEEKDTUWIIO-QWHCGFSZSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COCCCOC)CC[C@H]1C ZINC001132410903 838391744 /nfs/dbraw/zinc/39/17/44/838391744.db2.gz PSYXNZDEBOQLGK-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CNC(=O)C2CCC2)CC[C@H]1C ZINC001132523156 838426123 /nfs/dbraw/zinc/42/61/23/838426123.db2.gz YJLFVFIXPHBDOR-OCCSQVGLSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCCC(N)=O)CC[C@H]1C ZINC001132536545 838430540 /nfs/dbraw/zinc/43/05/40/838430540.db2.gz CDOJPFODSXCXJS-OLZOCXBDSA-N 0 1 279.384 0.634 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccnc2c1nnn2C ZINC001132955033 838526565 /nfs/dbraw/zinc/52/65/65/838526565.db2.gz SHFILIRSKVKTDA-UHFFFAOYSA-N 0 1 294.746 0.435 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](C)[C@@H](CNCC#N)C1 ZINC001133034531 838537808 /nfs/dbraw/zinc/53/78/08/838537808.db2.gz FDDCXUIEXDDIOR-KOLCDFICSA-N 0 1 276.344 0.325 20 30 CCEDMN C=CCCCC(=O)NCCNCc1n[nH]c(C)n1 ZINC001133143078 838561136 /nfs/dbraw/zinc/56/11/36/838561136.db2.gz OAJVEUJGDNQOEO-UHFFFAOYSA-N 0 1 251.334 0.675 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1n[nH]cc1F ZINC001133480804 838641700 /nfs/dbraw/zinc/64/17/00/838641700.db2.gz BAEYQNYIWHSCQG-UHFFFAOYSA-N 0 1 287.298 0.940 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(C(N)=O)co1 ZINC001133709486 838698345 /nfs/dbraw/zinc/69/83/45/838698345.db2.gz RBZUWFFFKHGWCJ-UHFFFAOYSA-N 0 1 271.704 0.450 20 30 CCEDMN COc1ccc(C#N)cc1NC(=O)[C@@H]1CN(C)CCN1C ZINC001185254638 844377956 /nfs/dbraw/zinc/37/79/56/844377956.db2.gz FYFQJKOMAXLKOJ-ZDUSSCGKSA-N 0 1 288.351 0.751 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)C[C@H](C)NCc1ncnn1C ZINC001134200102 838909699 /nfs/dbraw/zinc/90/96/99/838909699.db2.gz ATYPSVVZDLAXFL-STQMWFEESA-N 0 1 291.399 0.992 20 30 CCEDMN C[C@H](C[C@H](C)NCc1cnon1)NC(=O)C#CC1CC1 ZINC001134322675 838947071 /nfs/dbraw/zinc/94/70/71/838947071.db2.gz VFRMELMBHNUAIQ-WDEREUQCSA-N 0 1 276.340 0.856 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1cc(Cl)cn1 ZINC001134474269 838989557 /nfs/dbraw/zinc/98/95/57/838989557.db2.gz TYOJZEWHYYWEEE-UHFFFAOYSA-N 0 1 277.155 0.995 20 30 CCEDMN C=CC[NH2+]CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001134890217 839109183 /nfs/dbraw/zinc/10/91/83/839109183.db2.gz BTVRTIASKSGLCV-UHFFFAOYSA-N 0 1 262.313 0.565 20 30 CCEDMN C=CCn1cc(C(=O)NCCNCc2cnc(C)o2)nn1 ZINC001134973120 839127440 /nfs/dbraw/zinc/12/74/40/839127440.db2.gz DXFAKFVFVVYNKI-UHFFFAOYSA-N 0 1 290.327 0.280 20 30 CCEDMN C=CCn1cc(C(=O)NCCNCc2ccns2)nn1 ZINC001134973446 839128157 /nfs/dbraw/zinc/12/81/57/839128157.db2.gz RVFMBWAPVVSRGN-UHFFFAOYSA-N 0 1 292.368 0.440 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)C[C@@H](C)NCc1nnnn1C ZINC001135229833 839187441 /nfs/dbraw/zinc/18/74/41/839187441.db2.gz DVEQIRFDHYBSKW-MNOVXSKESA-N 0 1 294.403 0.795 20 30 CCEDMN C=C1CCC(C(=O)N[C@H]2CCN(CCO)C[C@@H]2O)CC1 ZINC001090548290 839631428 /nfs/dbraw/zinc/63/14/28/839631428.db2.gz WHHRXAGJFWDLTG-KBPBESRZSA-N 0 1 282.384 0.277 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn(C)c2CC)[C@@H](O)C1 ZINC001090576456 839652402 /nfs/dbraw/zinc/65/24/02/839652402.db2.gz MIXPVGQZHORFKR-ZFWWWQNUSA-N 0 1 291.395 0.939 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2[nH]c(C)nc2C)[C@H](O)C1 ZINC001090578801 839652448 /nfs/dbraw/zinc/65/24/48/839652448.db2.gz YSPMYPXOJLQOQK-NWDGAFQWSA-N 0 1 278.356 0.378 20 30 CCEDMN COC(=O)c1ccc(C(=N)Nc2cnc(CN)nc2)cc1 ZINC001170984982 839662120 /nfs/dbraw/zinc/66/21/20/839662120.db2.gz KRFRQTGDAZYHJS-UHFFFAOYSA-N 0 1 285.307 0.759 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(CC)c2C)[C@H](O)C1 ZINC001090667787 839717414 /nfs/dbraw/zinc/71/74/14/839717414.db2.gz OBBLGJBYGQBUQE-ZIAGYGMSSA-N 0 1 292.383 0.562 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(OC)o2)[C@H](O)C1 ZINC001090672864 839726655 /nfs/dbraw/zinc/72/66/55/839726655.db2.gz ZMJNHTKUEYBAAB-GHMZBOCLSA-N 0 1 280.324 0.639 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ncccc2F)[C@H](O)C1 ZINC001090676601 839729107 /nfs/dbraw/zinc/72/91/07/839729107.db2.gz QHCGSMGYXKHUKB-CHWSQXEVSA-N 0 1 293.342 0.962 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2n[nH]c3ccccc32)[C@H](O)C1 ZINC001090773230 839798862 /nfs/dbraw/zinc/79/88/62/839798862.db2.gz BITGBYLWGSGNGR-QWHCGFSZSA-N 0 1 299.334 0.251 20 30 CCEDMN CCOC(=O)[C@H](Cc1cnc[nH]1)NC(=O)CCS ZINC001143989759 839911657 /nfs/dbraw/zinc/91/16/57/839911657.db2.gz FCBUOSQHAXCJAI-VIFPVBQESA-N 0 1 271.342 0.320 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)NCC1CC(NCC#N)C1 ZINC001091204304 840134477 /nfs/dbraw/zinc/13/44/77/840134477.db2.gz CMTVJUSDSUKWOW-UHFFFAOYSA-N 0 1 290.367 0.963 20 30 CCEDMN COc1cccc(C[C@H](N)C(=O)N2CCO[C@H](C#N)C2)c1 ZINC001144935657 840197520 /nfs/dbraw/zinc/19/75/20/840197520.db2.gz HSUPOUKCIMBSJX-KGLIPLIRSA-N 0 1 289.335 0.316 20 30 CCEDMN N=C(Nc1cc2c(nn1)CNC2)c1ccc(C(N)=O)cc1 ZINC001171252894 840199232 /nfs/dbraw/zinc/19/92/32/840199232.db2.gz WVELBWSANCJVGV-UHFFFAOYSA-N 0 1 282.307 0.216 20 30 CCEDMN CCn1ncc(NC(=N)c2ccc(C(N)=O)cc2)cc1=O ZINC001171258584 840222333 /nfs/dbraw/zinc/22/23/33/840222333.db2.gz FKZWJYYXJNOXPZ-UHFFFAOYSA-N 0 1 285.307 0.399 20 30 CCEDMN Cc1cc(C(=O)N2C[C@H]3CN(CC#N)C[C@@]3(C)C2)n[nH]1 ZINC001091518255 840251202 /nfs/dbraw/zinc/25/12/02/840251202.db2.gz ZHCAGBBZBXWRJY-RISCZKNCSA-N 0 1 273.340 0.636 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CN(C(=O)C3CC3)C2)C1 ZINC001146871196 840410385 /nfs/dbraw/zinc/41/03/85/840410385.db2.gz FJNFWPKQJPELHV-LBPRGKRZSA-N 0 1 289.379 0.069 20 30 CCEDMN Cc1ccc(C(=NC(=O)[C@H]2CCCN(C)C2)NO)nc1 ZINC001186364030 844543770 /nfs/dbraw/zinc/54/37/70/844543770.db2.gz GMUAYWZXFRENOD-NSHDSACASA-N 0 1 276.340 0.984 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCc2n[nH]nc2C1 ZINC001147688980 840657426 /nfs/dbraw/zinc/65/74/26/840657426.db2.gz VRKQDQSDKDYJNR-VIFPVBQESA-N 0 1 283.763 0.368 20 30 CCEDMN Cn1cc(NC(=O)c2cccc(O)c2O)c(C#N)n1 ZINC001148211949 840762569 /nfs/dbraw/zinc/76/25/69/840762569.db2.gz DUISFJLSSDKDCM-UHFFFAOYSA-N 0 1 258.237 0.955 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2ocnc2C)cn1 ZINC001148389681 840791181 /nfs/dbraw/zinc/79/11/81/840791181.db2.gz QRAIICFUYOZQPZ-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN C[C@@H](C#N)c1cccc(C(=O)NCc2nn[nH]n2)c1 ZINC001148622710 840868459 /nfs/dbraw/zinc/86/84/59/840868459.db2.gz OJZVBPPUELUUNO-QMMMGPOBSA-N 0 1 256.269 0.757 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](C)NC(C)=O)[C@@H]2C1 ZINC001186763245 844594611 /nfs/dbraw/zinc/59/46/11/844594611.db2.gz DAFFLSVDJRCBFC-FPMFFAJLSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)c3ccncn3)C[C@]2(C)C1 ZINC001092110553 840988465 /nfs/dbraw/zinc/98/84/65/840988465.db2.gz HODLMEBGSXGJFP-DOMZBBRYSA-N 0 1 270.336 0.504 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cnn(C)c1)C2 ZINC001110143633 841229409 /nfs/dbraw/zinc/22/94/09/841229409.db2.gz GACTWGZMSKCGAK-ILXRZTDVSA-N 0 1 286.379 0.707 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)OCC)[C@@H]2C1 ZINC001186960336 844626932 /nfs/dbraw/zinc/62/69/32/844626932.db2.gz YNTLYAWXRBBXJW-FRRDWIJNSA-N 0 1 250.342 0.577 20 30 CCEDMN CN(C)c1nc(NC(=O)[C@@H]2C[C@@H]2C#N)c(N=O)c(=O)[nH]1 ZINC001186969480 844628494 /nfs/dbraw/zinc/62/84/94/844628494.db2.gz IJVDBVPBFIBPFJ-PHDIDXHHSA-N 0 1 276.256 0.744 20 30 CCEDMN CC(C)(O)CCN1CCN(C(=O)[C@@H]2C[C@@H]2C#N)CC1 ZINC001186977379 844631394 /nfs/dbraw/zinc/63/13/94/844631394.db2.gz NWCKZSCUJCPOTH-VXGBXAGGSA-N 0 1 265.357 0.451 20 30 CCEDMN Cc1ccc(C#N)c(NCCNC(=O)CCc2nc[nH]n2)n1 ZINC001093566465 841339500 /nfs/dbraw/zinc/33/95/00/841339500.db2.gz OVIZRHQZVDGUEQ-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN N#Cc1c(F)cccc1NCCNC(=O)c1ncn[nH]1 ZINC001093793527 841460099 /nfs/dbraw/zinc/46/00/99/841460099.db2.gz UQLDWHCWEODYCA-UHFFFAOYSA-N 0 1 274.259 0.657 20 30 CCEDMN N#Cc1c(F)cccc1NCCNC(=O)c1nc[nH]n1 ZINC001093793527 841460100 /nfs/dbraw/zinc/46/01/00/841460100.db2.gz UQLDWHCWEODYCA-UHFFFAOYSA-N 0 1 274.259 0.657 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCCNc1cnc(C#N)cn1 ZINC001094188728 841561324 /nfs/dbraw/zinc/56/13/24/841561324.db2.gz LCXGCEXUFPWBKP-SNVBAGLBSA-N 0 1 299.338 0.478 20 30 CCEDMN N#Cc1cnc(NCCNC(=O)c2[nH]nc3c2CCC3)cn1 ZINC001094241763 841567724 /nfs/dbraw/zinc/56/77/24/841567724.db2.gz ARHGMJLBCMHFSW-UHFFFAOYSA-N 0 1 297.322 0.402 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCCNc1ccc(C#N)nn1 ZINC001094339958 841608313 /nfs/dbraw/zinc/60/83/13/841608313.db2.gz HJEFAEAKWJEMEK-GFCCVEGCSA-N 0 1 288.355 0.361 20 30 CCEDMN N#C[C@@H]1CN([C@@H]2CCN3C(=O)CC[C@H]3C2)CCC1=O ZINC001172191617 841860123 /nfs/dbraw/zinc/86/01/23/841860123.db2.gz YJGTUXCPMMIPSP-UTUOFQBUSA-N 0 1 261.325 0.554 20 30 CCEDMN N#CCN[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)CN1CCCC1)C2 ZINC001095057661 842014790 /nfs/dbraw/zinc/01/47/90/842014790.db2.gz SLLFPJZNWNMKDS-MJBXVCDLSA-N 0 1 276.384 0.575 20 30 CCEDMN C=C(C)C[C@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])C(=O)OCC ZINC001176172112 842334111 /nfs/dbraw/zinc/33/41/11/842334111.db2.gz DZFXPLRMHYTGSR-QMMMGPOBSA-N 0 1 296.283 0.946 20 30 CCEDMN COc1ccc(C(=NC(=O)Cc2cnc[nH]2)NO)nc1 ZINC001176837009 842423836 /nfs/dbraw/zinc/42/38/36/842423836.db2.gz WJYYKRABRKWJDA-UHFFFAOYSA-N 0 1 275.268 0.308 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001177181199 842519738 /nfs/dbraw/zinc/51/97/38/842519738.db2.gz BCLNMMVWDDKGLM-LLVKDONJSA-N 0 1 290.367 0.713 20 30 CCEDMN Cc1nc(CC(=O)Nc2cc(C#N)cnc2C)n[nH]1 ZINC001177434309 842600043 /nfs/dbraw/zinc/60/00/43/842600043.db2.gz PHYUEHLORHICJQ-UHFFFAOYSA-N 0 1 256.269 0.869 20 30 CCEDMN CC#CCCCC(=O)N[C@H](COC)c1nn[nH]n1 ZINC001177827385 842672047 /nfs/dbraw/zinc/67/20/47/842672047.db2.gz FZNFOYJYKANSKA-SECBINFHSA-N 0 1 251.290 0.197 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H]1CCC(=O)N1 ZINC001177915811 842707435 /nfs/dbraw/zinc/70/74/35/842707435.db2.gz CCRABOVSZPOCNR-ZJUUUORDSA-N 0 1 277.349 0.825 20 30 CCEDMN CC(=O)N1CC(NC(=O)C(C#N)Cc2cccs2)C1 ZINC001177916494 842708059 /nfs/dbraw/zinc/70/80/59/842708059.db2.gz WXJATGUXFKESNT-JTQLQIEISA-N 0 1 277.349 0.777 20 30 CCEDMN C[C@H]1C(=O)NCCN1C(=O)C(C#N)Cc1cccs1 ZINC001177910384 842708398 /nfs/dbraw/zinc/70/83/98/842708398.db2.gz ZYYXELYLCOUNJS-UWVGGRQHSA-N 0 1 277.349 0.777 20 30 CCEDMN N#CCNCCCCCCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001178458408 842851828 /nfs/dbraw/zinc/85/18/28/842851828.db2.gz GBSPFKUXKGXWMX-GHMZBOCLSA-N 0 1 268.317 0.441 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@H]1CCCCN1C ZINC001178697788 842903512 /nfs/dbraw/zinc/90/35/12/842903512.db2.gz NWGUXYHJISGMKF-LLVKDONJSA-N 0 1 254.330 0.706 20 30 CCEDMN C[NH+]1CCN(CC(=O)Nc2cc(C#N)c(F)cc2[O-])CC1 ZINC001178952874 842948011 /nfs/dbraw/zinc/94/80/11/842948011.db2.gz VUVZBNIXLGFTLU-UHFFFAOYSA-N 0 1 292.314 0.589 20 30 CCEDMN CC#CC(=O)Nc1nc[nH]c(=O)c1Br ZINC001179748400 843044418 /nfs/dbraw/zinc/04/44/18/843044418.db2.gz OPXSKKMUNBFGHL-UHFFFAOYSA-N 0 1 256.059 0.907 20 30 CCEDMN CCOC(=O)[C@@H]1c2[nH]cnc2CCN1C(=O)[C@H](C)C#N ZINC001179895993 843069760 /nfs/dbraw/zinc/06/97/60/843069760.db2.gz MXVGZTQROCVHCI-KCJUWKMLSA-N 0 1 276.296 0.558 20 30 CCEDMN CCOC(=O)[C@@H]1c2nc[nH]c2CCN1C(=O)C(C)C#N ZINC001179895993 843069768 /nfs/dbraw/zinc/06/97/68/843069768.db2.gz MXVGZTQROCVHCI-KCJUWKMLSA-N 0 1 276.296 0.558 20 30 CCEDMN CCOC(=O)C(=CNC(=O)C(C)C#N)C(=O)OCC ZINC001179900097 843072331 /nfs/dbraw/zinc/07/23/31/843072331.db2.gz CAQKTZKVISYVEX-MRVPVSSYSA-N 0 1 268.269 0.272 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CN(C)C(C)=O)C1 ZINC001181124714 843409816 /nfs/dbraw/zinc/40/98/16/843409816.db2.gz WITNBIFSTOFSLB-LLVKDONJSA-N 0 1 273.764 0.408 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NCc2cnnn2CC)C1 ZINC001181510740 843503313 /nfs/dbraw/zinc/50/33/13/843503313.db2.gz BXWYGKAOGFQPAW-GFCCVEGCSA-N 0 1 277.372 0.955 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)NC(C)=O)C2 ZINC001110266746 843760948 /nfs/dbraw/zinc/76/09/48/843760948.db2.gz FYMOYMSQMIUFOD-ASEORRQLSA-N 0 1 277.368 0.256 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@H](NCC(=C)Cl)C1 ZINC001182488917 843850390 /nfs/dbraw/zinc/85/03/90/843850390.db2.gz FVGOEVZIXCBADP-GFCCVEGCSA-N 0 1 270.760 0.969 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@H](NCc2cnoc2C)C1 ZINC001182504589 843847719 /nfs/dbraw/zinc/84/77/19/843847719.db2.gz GTURWRGLXAZSGE-TZMCWYRMSA-N 0 1 291.351 0.712 20 30 CCEDMN Cc1nsc(NCc2n[nH]c(CO)n2)c1C#N ZINC001187150492 844658960 /nfs/dbraw/zinc/65/89/60/844658960.db2.gz CYDPQAPJVNLMNB-UHFFFAOYSA-N 0 1 250.287 0.546 20 30 CCEDMN Cc1nsc(NCc2nnc(CO)[nH]2)c1C#N ZINC001187150492 844658964 /nfs/dbraw/zinc/65/89/64/844658964.db2.gz CYDPQAPJVNLMNB-UHFFFAOYSA-N 0 1 250.287 0.546 20 30 CCEDMN C#Cc1cnc(NC(=O)c2cn[nH]c2C)c(C#C)n1 ZINC001188051000 844820661 /nfs/dbraw/zinc/82/06/61/844820661.db2.gz ZWSUASGXEYLIIM-UHFFFAOYSA-N 0 1 251.249 0.723 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](C)NC(=O)CC)[C@@H]2C1 ZINC001188026717 844822435 /nfs/dbraw/zinc/82/24/35/844822435.db2.gz WDQKRMLUYWDSLU-MELADBBJSA-N 0 1 291.395 0.457 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C2CC2)C1 ZINC001188554000 844904111 /nfs/dbraw/zinc/90/41/11/844904111.db2.gz DTFILAFWWRHHAS-CYBMUJFWSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C2CC2)C1 ZINC001188572423 844904391 /nfs/dbraw/zinc/90/43/91/844904391.db2.gz IFZRQPTVXDRJNZ-WCQYABFASA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(C)=O)C1 ZINC001188545102 844911958 /nfs/dbraw/zinc/91/19/58/844911958.db2.gz KTGWVWXWUMLNDT-PWSUYJOCSA-N 0 1 253.346 0.230 20 30 CCEDMN CCOCC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001188738758 844964865 /nfs/dbraw/zinc/96/48/65/844964865.db2.gz UUHRQHAIAUNVOG-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](CO)Cc2cnc[nH]2)cc1 ZINC001188739247 844965491 /nfs/dbraw/zinc/96/54/91/844965491.db2.gz GPNCSHWZQVUUAF-CQSZACIVSA-N 0 1 269.304 0.724 20 30 CCEDMN COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2cc(C)on2)C1 ZINC001188774582 844973505 /nfs/dbraw/zinc/97/35/05/844973505.db2.gz BZINJBBTFSFNQS-CYBMUJFWSA-N 0 1 291.351 0.779 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)on2)C1 ZINC001188774582 844973512 /nfs/dbraw/zinc/97/35/12/844973512.db2.gz BZINJBBTFSFNQS-CYBMUJFWSA-N 0 1 291.351 0.779 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](C)CC(N)=O)[C@@H]2C1 ZINC001188801639 844979850 /nfs/dbraw/zinc/97/98/50/844979850.db2.gz VVHSBWPBXVDCME-FRRDWIJNSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C(C)C)C1 ZINC001188914475 844991854 /nfs/dbraw/zinc/99/18/54/844991854.db2.gz IRZMQWVVPZDJPE-QWHCGFSZSA-N 0 1 279.384 0.313 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001188972746 845013070 /nfs/dbraw/zinc/01/30/70/845013070.db2.gz BRZSNGCFUOKQEV-GFCCVEGCSA-N 0 1 265.382 0.799 20 30 CCEDMN COc1ccc(C#N)c(C(=O)N2CC(N(C)C)C2)c1 ZINC001189066797 845033496 /nfs/dbraw/zinc/03/34/96/845033496.db2.gz KKFDNSYFKGOSRT-UHFFFAOYSA-N 0 1 259.309 0.953 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCOC2)C1 ZINC001189198324 845072791 /nfs/dbraw/zinc/07/27/91/845072791.db2.gz VYPIUFXJAZEYPF-KGLIPLIRSA-N 0 1 280.368 0.205 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@@H](C)OCCOC)[C@@H]2C1 ZINC001189303302 845097108 /nfs/dbraw/zinc/09/71/08/845097108.db2.gz LPPHZQXETQOZFP-HZSPNIEDSA-N 0 1 282.384 0.757 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(=O)NC(N)=O)C1 ZINC001189319190 845107319 /nfs/dbraw/zinc/10/73/19/845107319.db2.gz VAVMGFPRTSDFCJ-GHMZBOCLSA-N 0 1 296.371 0.069 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)COCCCOC)[C@@H]2C1 ZINC001189700527 845194324 /nfs/dbraw/zinc/19/43/24/845194324.db2.gz LQAFBZHNMULFQK-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN CC[C@H](C)OCC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189727298 845198717 /nfs/dbraw/zinc/19/87/17/845198717.db2.gz KWSXLCJZJSYFPW-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CC[C@@H](N(C)C/C=C/Cl)C1 ZINC001189770087 845204606 /nfs/dbraw/zinc/20/46/06/845204606.db2.gz OGWPEKZWXVKLLY-FVOPLDGLSA-N 0 1 297.786 0.411 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCN(C(=O)CC[C@@H](C)OC)C1 ZINC001189798537 845224082 /nfs/dbraw/zinc/22/40/82/845224082.db2.gz UAJKSARMCHMOEH-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC[C@@H](C)OC)C1 ZINC001189798537 845224087 /nfs/dbraw/zinc/22/40/87/845224087.db2.gz UAJKSARMCHMOEH-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)CC(C)(C)O)C1 ZINC001189882153 845251595 /nfs/dbraw/zinc/25/15/95/845251595.db2.gz CTGKUFRWCWQYEF-ZDUSSCGKSA-N 0 1 282.384 0.330 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@H](OC)C2CC2)C1 ZINC001189909710 845261872 /nfs/dbraw/zinc/26/18/72/845261872.db2.gz GJHSWKVCEDDDOP-GJZGRUSLSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@H]2CCNC2=O)C1 ZINC001189911214 845266346 /nfs/dbraw/zinc/26/63/46/845266346.db2.gz YOQWYRGASUSWOI-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@H](CC)C(N)=O)C1 ZINC001189925933 845271225 /nfs/dbraw/zinc/27/12/25/845271225.db2.gz IGTZZDCTLGXAJW-CHWSQXEVSA-N 0 1 279.384 0.587 20 30 CCEDMN CC#CC[N@H+](C)[C@@H]1CCN(C(=O)c2cnn(CC)n2)C1 ZINC001189973216 845288029 /nfs/dbraw/zinc/28/80/29/845288029.db2.gz LUHAANJLVFKNJH-GFCCVEGCSA-N 0 1 275.356 0.468 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnn(CC)n2)C1 ZINC001189973216 845288035 /nfs/dbraw/zinc/28/80/35/845288035.db2.gz LUHAANJLVFKNJH-GFCCVEGCSA-N 0 1 275.356 0.468 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)NC(=O)CC)C1 ZINC001190327132 845388968 /nfs/dbraw/zinc/38/89/68/845388968.db2.gz BGVBZLJDXFGZQH-QWHCGFSZSA-N 0 1 279.384 0.457 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1CC[C@H](N(C)CC#N)C1 ZINC001190443967 845399167 /nfs/dbraw/zinc/39/91/67/845399167.db2.gz MDROHROYERZZQT-QWHCGFSZSA-N 0 1 284.379 0.723 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001190614403 845437657 /nfs/dbraw/zinc/43/76/57/845437657.db2.gz YMVJIEOYBCJUMN-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C[C@H]1C[C@]1(NS(=O)(=O)c1ncc[nH]1)C(=O)OCC ZINC001190748578 845488499 /nfs/dbraw/zinc/48/84/99/845488499.db2.gz DKRVFPXQZAJNBQ-GZMMTYOYSA-N 0 1 285.325 0.196 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccn(C)c(=O)c2)C1 ZINC001190982301 845558349 /nfs/dbraw/zinc/55/83/49/845558349.db2.gz ZXSIWMWTQWHNFF-CQSZACIVSA-N 0 1 287.363 0.555 20 30 CCEDMN C=CCCN(C)[C@@H]1CCN(C(=O)CCS(C)(=O)=O)C1 ZINC001190982304 845558657 /nfs/dbraw/zinc/55/86/57/845558657.db2.gz ZYSMEIDACKYZTR-GFCCVEGCSA-N 0 1 288.413 0.530 20 30 CCEDMN C=CCC[C@@H](C)[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cn[nH]c2)C1 ZINC001191216937 845608584 /nfs/dbraw/zinc/60/85/84/845608584.db2.gz FQVCAJBDWOGROK-RAIGVLPGSA-N 0 1 278.356 0.539 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2(COC)CC2)C1 ZINC001191329725 845634529 /nfs/dbraw/zinc/63/45/29/845634529.db2.gz AQAYDCGINNOOJE-GFCCVEGCSA-N 0 1 250.342 0.579 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1n[nH]cc1-c1ccccn1 ZINC001191485365 845659764 /nfs/dbraw/zinc/65/97/64/845659764.db2.gz CUDDRXNWUGVDPC-SNVBAGLBSA-N 0 1 282.307 0.409 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2n[nH]cc2-c2ccccn2)CCO1 ZINC001191487427 845660565 /nfs/dbraw/zinc/66/05/65/845660565.db2.gz FIYMFYRIVLSSCA-SNVBAGLBSA-N 0 1 283.291 0.836 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2c[nH]nc2C)C1 ZINC001191641201 845705637 /nfs/dbraw/zinc/70/56/37/845705637.db2.gz PEOOALGUOBFEOT-ZDUSSCGKSA-N 0 1 290.367 0.514 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)C(C)C)C1 ZINC001191705501 845713985 /nfs/dbraw/zinc/71/39/85/845713985.db2.gz TXANDDKFJUAPFM-MGPQQGTHSA-N 0 1 266.385 0.853 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@@H](C)c2n[nH]c(C)n2)C[C@H]1O ZINC001191724736 845716816 /nfs/dbraw/zinc/71/68/16/845716816.db2.gz OQNSBKQWJDOJSJ-MVWJERBFSA-N 0 1 293.371 0.302 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(CC[C@H](C)F)C[C@H]1O ZINC001191772197 845725252 /nfs/dbraw/zinc/72/52/52/845725252.db2.gz XGZPGNFMXOTHDG-QJPTWQEYSA-N 0 1 274.336 0.098 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)CC(N)=O)C1 ZINC001191747385 845731895 /nfs/dbraw/zinc/73/18/95/845731895.db2.gz ZXWBWGUXIOPQSB-VXGBXAGGSA-N 0 1 265.357 0.054 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnc(C)n2C)C1 ZINC001191958203 845767163 /nfs/dbraw/zinc/76/71/63/845767163.db2.gz AQBHRDXTUQXFPD-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2n[nH]cc2F)C1 ZINC001192218956 845806557 /nfs/dbraw/zinc/80/65/57/845806557.db2.gz ZMQSCJBEXBLCMA-JTQLQIEISA-N 0 1 264.304 0.718 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)Nc1cn[nH]c1C(N)=O ZINC001192235982 845807961 /nfs/dbraw/zinc/80/79/61/845807961.db2.gz MIQRUQFTYBXQFD-UHFFFAOYSA-N 0 1 285.263 0.641 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CO[C@H](C)CC)C1 ZINC001192270198 845812378 /nfs/dbraw/zinc/81/23/78/845812378.db2.gz ATPISYORCZKMTF-JHJVBQTASA-N 0 1 270.373 0.539 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001192303783 845825538 /nfs/dbraw/zinc/82/55/38/845825538.db2.gz FVKZIGVVKJLSPI-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1O ZINC001192503768 845858081 /nfs/dbraw/zinc/85/80/81/845858081.db2.gz KTCFKEUIHGNNTC-CHWSQXEVSA-N 0 1 250.342 0.527 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COC[C@@H]2CCOC2)C1 ZINC001192631841 845887990 /nfs/dbraw/zinc/88/79/90/845887990.db2.gz JEQNCLBYIMQCLR-ZIAGYGMSSA-N 0 1 280.368 0.205 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)OCCOC)C1 ZINC001192656745 845888084 /nfs/dbraw/zinc/88/80/84/845888084.db2.gz VEWDBKWPPLWPBR-KBPBESRZSA-N 0 1 282.384 0.594 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COC[C@@H]2CCOC2)C1 ZINC001192631839 845888346 /nfs/dbraw/zinc/88/83/46/845888346.db2.gz JEQNCLBYIMQCLR-KGLIPLIRSA-N 0 1 280.368 0.205 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CC2(F)F)C1 ZINC001192702517 845895517 /nfs/dbraw/zinc/89/55/17/845895517.db2.gz PJPAUWURFSBTPE-GMTAPVOTSA-N 0 1 290.310 0.006 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC2(F)F)C1 ZINC001192702517 845895525 /nfs/dbraw/zinc/89/55/25/845895525.db2.gz PJPAUWURFSBTPE-GMTAPVOTSA-N 0 1 290.310 0.006 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(COC)on2)C1 ZINC001192723202 845903811 /nfs/dbraw/zinc/90/38/11/845903811.db2.gz CNSJQWBJDFYSAZ-GFCCVEGCSA-N 0 1 291.351 0.991 20 30 CCEDMN C[NH+]1CCN(c2cccnc2[N-]S(=O)(=O)CC#N)CC1 ZINC001192952088 845933847 /nfs/dbraw/zinc/93/38/47/845933847.db2.gz WWMPZSKHVWMECI-UHFFFAOYSA-N 0 1 295.368 0.099 20 30 CCEDMN Cc1ccc(S(C)(=O)=O)cc1NS(=O)(=O)CC#N ZINC001192979107 845961394 /nfs/dbraw/zinc/96/13/94/845961394.db2.gz YSLSJJZBKVTOFB-UHFFFAOYSA-N 0 1 288.350 0.664 20 30 CCEDMN Cc1nnc2ccc(NS(=O)(=O)[C@H](C)C#N)cn12 ZINC001193111431 845981154 /nfs/dbraw/zinc/98/11/54/845981154.db2.gz GVAIHEVHILTWPH-SSDOTTSWSA-N 0 1 265.298 0.692 20 30 CCEDMN COc1ccc(CO)c(NS(=O)(=O)[C@@H](C)C#N)c1 ZINC001193149424 846006176 /nfs/dbraw/zinc/00/61/76/846006176.db2.gz OFRZEQGPMPYYDV-QMMMGPOBSA-N 0 1 270.310 0.841 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@H](C)COC)C1 ZINC001193155682 846007738 /nfs/dbraw/zinc/00/77/38/846007738.db2.gz LFBWVOBMOSFRJM-CHWSQXEVSA-N 0 1 252.358 0.825 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cccc2c1CN(C)C2=O ZINC001193160289 846009634 /nfs/dbraw/zinc/00/96/34/846009634.db2.gz BMPLBEHZMHWJEV-QMMMGPOBSA-N 0 1 279.321 0.926 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N[C@H]1CCN(C2CCC2)C1 ZINC001193178553 846025062 /nfs/dbraw/zinc/02/50/62/846025062.db2.gz KULXUEASSLKPRH-ZJUUUORDSA-N 0 1 257.359 0.445 20 30 CCEDMN COC(=O)[C@H](NS(=O)(=O)[C@H](C)C#N)c1ccncc1 ZINC001193182596 846026270 /nfs/dbraw/zinc/02/62/70/846026270.db2.gz OYTRXRVHILVMTQ-PSASIEDQSA-N 0 1 283.309 0.127 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCCCC(N)=O)C1 ZINC001193390325 846068326 /nfs/dbraw/zinc/06/83/26/846068326.db2.gz PVAKYFYZYJIZAH-CYBMUJFWSA-N 0 1 279.384 0.588 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](NC(N)=O)C(C)(C)C)C1 ZINC001193477609 846088944 /nfs/dbraw/zinc/08/89/44/846088944.db2.gz BVDHMHNKWBJQER-NEPJUHHUSA-N 0 1 294.399 0.235 20 30 CCEDMN N#Cc1cc(C(=O)N2CCn3cnnc3C2)ccc1O ZINC001193494364 846093988 /nfs/dbraw/zinc/09/39/88/846093988.db2.gz KSNXNEWZQVYCKP-UHFFFAOYSA-N 0 1 269.264 0.511 20 30 CCEDMN N#Cc1cc(C(=O)N2CC(=O)N(C3CC3)C(=O)C2)ccc1O ZINC001193505103 846101047 /nfs/dbraw/zinc/10/10/47/846101047.db2.gz CVMRTVXBJAOPSG-UHFFFAOYSA-N 0 1 299.286 0.237 20 30 CCEDMN CN(C)C(=O)CN(C)C(=O)c1cccc(C#N)c1O ZINC001193643943 846135344 /nfs/dbraw/zinc/13/53/44/846135344.db2.gz IGDRYJWTZGJUMC-UHFFFAOYSA-N 0 1 261.281 0.424 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ncccc1C(N)=O ZINC001193597879 846135685 /nfs/dbraw/zinc/13/56/85/846135685.db2.gz DYPQNAUGBNRKHT-UHFFFAOYSA-N 0 1 256.225 0.028 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@@H](C(N)=O)C2)c1O ZINC001193644367 846135823 /nfs/dbraw/zinc/13/58/23/846135823.db2.gz VXWKJYJYZWEFIV-SECBINFHSA-N 0 1 259.265 0.211 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnn(C)c2OC)C1 ZINC001193722936 846144474 /nfs/dbraw/zinc/14/44/74/846144474.db2.gz LDYVLYKILXZFGJ-LBPRGKRZSA-N 0 1 290.367 0.598 20 30 CCEDMN C=CCN(C)CC[N@H+](CC)[C@@H](C)C(=O)NCC(=O)OC ZINC001193914169 846181618 /nfs/dbraw/zinc/18/16/18/846181618.db2.gz QNXAWITZBOELBM-LBPRGKRZSA-N 0 1 285.388 0.104 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H](N(C)[C@@H](CC)C(N)=O)C1 ZINC001194396510 846286854 /nfs/dbraw/zinc/28/68/54/846286854.db2.gz DWMXCMBZHNWDJH-STQMWFEESA-N 0 1 297.399 0.376 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001194472104 846300536 /nfs/dbraw/zinc/30/05/36/846300536.db2.gz QMSLVVLAWKYOCA-OLZOCXBDSA-N 0 1 292.383 0.086 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)NC(=O)C2CCC2)C1 ZINC001194523456 846317317 /nfs/dbraw/zinc/31/73/17/846317317.db2.gz WAQHKGTYGWREGI-JSGCOSHPSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CNC(=O)C2CC2)CC1 ZINC001195025092 846442114 /nfs/dbraw/zinc/44/21/14/846442114.db2.gz HTNXSDPKNCKYGQ-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCCN(C[C@H](C)O)CC1 ZINC001195173831 846477870 /nfs/dbraw/zinc/47/78/70/846477870.db2.gz DCQPWRGGQZXICJ-UONOGXRCSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1O ZINC001195301600 846497359 /nfs/dbraw/zinc/49/73/59/846497359.db2.gz MKFCITKMEQKGPN-MGPQQGTHSA-N 0 1 282.384 0.112 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CC(=C)C)C1 ZINC001195532802 846569279 /nfs/dbraw/zinc/56/92/79/846569279.db2.gz TWIAOBBVLARHPJ-RRFJBIMHSA-N 0 1 296.411 0.953 20 30 CCEDMN COc1ccc(S(=O)(=O)Nc2ccnc(C#N)c2)cn1 ZINC001195684555 846598382 /nfs/dbraw/zinc/59/83/82/846598382.db2.gz KTHUXBJQZHWBSR-UHFFFAOYSA-N 0 1 290.304 0.580 20 30 CCEDMN C=CCNC(=O)[C@H]1CC12CCN([C@@H](CC)C(N)=O)CC2 ZINC001273703932 846599623 /nfs/dbraw/zinc/59/96/23/846599623.db2.gz LWONCIXQRCGBRK-NEPJUHHUSA-N 0 1 279.384 0.655 20 30 CCEDMN CCOCCN1CCCN(C(=O)C#CC2CC2)CC1 ZINC001195754102 846609678 /nfs/dbraw/zinc/60/96/78/846609678.db2.gz JEMHQWMCAJSXCT-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2ccncn2)c(O)c1 ZINC001195763805 846611553 /nfs/dbraw/zinc/61/15/53/846611553.db2.gz CTKQAWKNIOJUIM-UHFFFAOYSA-N 0 1 254.249 0.984 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@]23C[C@H]2COC3)CC1 ZINC001195901032 846639207 /nfs/dbraw/zinc/63/92/07/846639207.db2.gz UYQVWXXNXDPWDH-HOCLYGCPSA-N 0 1 294.395 0.760 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCOCC)C[C@H]2O)CCC1 ZINC001195940262 846644059 /nfs/dbraw/zinc/64/40/59/846644059.db2.gz QXKDYMWQFHNWKO-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(C(C)(F)F)CC2)C1 ZINC001196243007 846722274 /nfs/dbraw/zinc/72/22/74/846722274.db2.gz LMRLCTRWVVUTDZ-GHMZBOCLSA-N 0 1 286.322 0.606 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCC2CCOCC2)C1 ZINC001196645528 846781171 /nfs/dbraw/zinc/78/11/71/846781171.db2.gz QIGMJASLZSPIDX-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC001196798789 846800580 /nfs/dbraw/zinc/80/05/80/846800580.db2.gz SKURMRJWPCYDNA-GBJTYRQASA-N 0 1 294.395 0.396 20 30 CCEDMN C#Cc1nccnc1NS(=O)(=O)CCCCC(=O)OC ZINC001196914313 846818091 /nfs/dbraw/zinc/81/80/91/846818091.db2.gz BONBGSFSTYTWBT-UHFFFAOYSA-N 0 1 297.336 0.543 20 30 CCEDMN C=C(C)C[N@@H+]1CCCN(C(=O)[C@@H](COC)OC)CC1 ZINC001197094244 846835911 /nfs/dbraw/zinc/83/59/11/846835911.db2.gz ZMKYSGKCXXTYQJ-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H](COC)OC)CC1 ZINC001197094244 846835914 /nfs/dbraw/zinc/83/59/14/846835914.db2.gz ZMKYSGKCXXTYQJ-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN C#CCOC[C@@H](O)CNc1cc(C)nc(OC)c1 ZINC001251828719 847157740 /nfs/dbraw/zinc/15/77/40/847157740.db2.gz DAPYYRREUUZGMG-LBPRGKRZSA-N 0 1 250.298 0.821 20 30 CCEDMN COCCOc1ccncc1C(=O)Nc1nc[nH]c1C#N ZINC001199231142 847265442 /nfs/dbraw/zinc/26/54/42/847265442.db2.gz DEKKGOWUWDAXEB-UHFFFAOYSA-N 0 1 287.279 0.954 20 30 CCEDMN N#CCSCC(=O)N1CCC(NCc2cnon2)CC1 ZINC001199386465 847313574 /nfs/dbraw/zinc/31/35/74/847313574.db2.gz AWWULJDIUXEEPW-UHFFFAOYSA-N 0 1 295.368 0.407 20 30 CCEDMN C=CCOCC(=O)N1CCC(NCc2nonc2C)CC1 ZINC001199787871 847433570 /nfs/dbraw/zinc/43/35/70/847433570.db2.gz GDQUDJAMGOXVMQ-UHFFFAOYSA-N 0 1 294.355 0.661 20 30 CCEDMN C=C(Cl)C[NH2+]C[C@@H]1CN(C(=O)c2ccn[nH]2)CCCO1 ZINC001199912702 847479835 /nfs/dbraw/zinc/47/98/35/847479835.db2.gz HBSLBHWNXRBBDX-LLVKDONJSA-N 0 1 298.774 0.983 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2cc[nH]n2)CCCO1 ZINC001199912702 847479842 /nfs/dbraw/zinc/47/98/42/847479842.db2.gz HBSLBHWNXRBBDX-LLVKDONJSA-N 0 1 298.774 0.983 20 30 CCEDMN C=CCNC(=S)N1CCC(=O)[C@H](C(=O)OCC)CC1 ZINC001200287534 847595655 /nfs/dbraw/zinc/59/56/55/847595655.db2.gz BASWTGCMCWPZFH-SNVBAGLBSA-N 0 1 284.381 0.891 20 30 CCEDMN NC(=NC(=O)c1[nH]nc2c1CCC2)c1ccc(F)cn1 ZINC001201242615 847748095 /nfs/dbraw/zinc/74/80/95/847748095.db2.gz GPUOCZKMMFAARO-UHFFFAOYSA-N 0 1 273.271 0.978 20 30 CCEDMN C#Cc1cccnc1NS(=O)(=O)CCCC(=O)OC ZINC001201903833 847831527 /nfs/dbraw/zinc/83/15/27/847831527.db2.gz IVPQXNYMRJQHPZ-UHFFFAOYSA-N 0 1 282.321 0.758 20 30 CCEDMN C=CCCCC(=O)N1CCC(NCc2nnnn2C)CC1 ZINC001202266496 847875429 /nfs/dbraw/zinc/87/54/29/847875429.db2.gz GFSQEGQSDFHBIY-UHFFFAOYSA-N 0 1 292.387 0.647 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@]1(C)CCN(c2ncnc3[nH]cnc32)C1 ZINC001110736457 847990633 /nfs/dbraw/zinc/99/06/33/847990633.db2.gz ZFOOQMYLPMTLFJ-YMTOWFKASA-N 0 1 299.338 0.598 20 30 CCEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(C)c1[O-])C2 ZINC001095315966 847998467 /nfs/dbraw/zinc/99/84/67/847998467.db2.gz DRNGSNHZTKHMLH-WOPDTQHZSA-N 0 1 288.351 0.782 20 30 CCEDMN C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(C)c1[O-])C2 ZINC001095321673 848039543 /nfs/dbraw/zinc/03/95/43/848039543.db2.gz JAPSQSNFUBKAIH-VWYCJHECSA-N 0 1 274.324 0.392 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(C)c1[O-])C2 ZINC001095321673 848039547 /nfs/dbraw/zinc/03/95/47/848039547.db2.gz JAPSQSNFUBKAIH-VWYCJHECSA-N 0 1 274.324 0.392 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COc1cc(C)on1 ZINC001114430817 848061810 /nfs/dbraw/zinc/06/18/10/848061810.db2.gz IZNBAMNFCWVZDM-YABSGUDNSA-N 0 1 275.308 0.041 20 30 CCEDMN O=C(C#CC1CC1)N1CCN(C2CCOCC2)CC1 ZINC001137169925 848153996 /nfs/dbraw/zinc/15/39/96/848153996.db2.gz AYWAKJLEOYBJJI-UHFFFAOYSA-N 0 1 262.353 0.723 20 30 CCEDMN CC(=O)NCCN1CCC[C@]12CCN(CCCC#N)C2=O ZINC001274001259 848276584 /nfs/dbraw/zinc/27/65/84/848276584.db2.gz ZFBQKPFTKJFARS-OAHLLOKOSA-N 0 1 292.383 0.493 20 30 CCEDMN C=CCN1C[C@@]2(F)CN(Cc3nnc[nH]3)C[C@@]2(F)C1=O ZINC001274354451 848455690 /nfs/dbraw/zinc/45/56/90/848455690.db2.gz JWAQLGJESDNTIO-NWDGAFQWSA-N 0 1 283.282 0.065 20 30 CCEDMN C=CCN1C[C@@]2(CC1=O)COCCN(Cc1nnc[nH]1)C2 ZINC001274354682 848457646 /nfs/dbraw/zinc/45/76/46/848457646.db2.gz RJEFXNZLQMQMTF-AWEZNQCLSA-N 0 1 291.355 0.042 20 30 CCEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2S(=O)(=O)N(C)C ZINC001274964148 848601456 /nfs/dbraw/zinc/60/14/56/848601456.db2.gz ATUPZLLUJBSXEU-BETUJISGSA-N 0 1 287.429 0.908 20 30 CCEDMN C[C@@H](CNCc1cnns1)NC(=O)CSCC#N ZINC001275078197 848628016 /nfs/dbraw/zinc/62/80/16/848628016.db2.gz MWQZAKYTVCXWOB-QMMMGPOBSA-N 0 1 285.398 0.389 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)Cc1nnc(C)[nH]1 ZINC001275109207 848641277 /nfs/dbraw/zinc/64/12/77/848641277.db2.gz XWIYKTFIVPXPEP-CYBMUJFWSA-N 0 1 289.383 0.602 20 30 CCEDMN CN(CC#N)C[C@H]1CCCN1C(=O)CCc1cnc[nH]1 ZINC001275115895 848642049 /nfs/dbraw/zinc/64/20/49/848642049.db2.gz SCOYZRPFKOTYKC-CYBMUJFWSA-N 0 1 275.356 0.789 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CC2(C[C@@H]2C(=O)NCc2cnc[nH]2)C1 ZINC001275344900 848694421 /nfs/dbraw/zinc/69/44/21/848694421.db2.gz MEQIUFABDHFQRH-YUSALJHKSA-N 0 1 299.334 0.034 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CNC(=O)CC(C)(C)C ZINC001275720363 848800740 /nfs/dbraw/zinc/80/07/40/848800740.db2.gz DNSZYHLKWURVKB-CYBMUJFWSA-N 0 1 295.427 0.999 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)C[C@@H]1COC(=O)C1 ZINC001275810692 848832226 /nfs/dbraw/zinc/83/22/26/848832226.db2.gz LRJRSRLZIFZYOA-OLZOCXBDSA-N 0 1 296.367 0.026 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CCc1ccncn1 ZINC001275843930 848842637 /nfs/dbraw/zinc/84/26/37/848842637.db2.gz KBUASOOYHXYRHF-GFCCVEGCSA-N 0 1 260.341 0.479 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H](C)N(C)[C@@H]2CCNC2=O)C1 ZINC001275928677 848869210 /nfs/dbraw/zinc/86/92/10/848869210.db2.gz QCQXBKWBXTXPQC-NWDGAFQWSA-N 0 1 279.384 0.668 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)COc1cccnc1 ZINC001275957132 848873785 /nfs/dbraw/zinc/87/37/85/848873785.db2.gz ZHNRRNULSWWZAR-ZDUSSCGKSA-N 0 1 275.352 0.920 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCNC1=O)C2 ZINC001095526456 848975734 /nfs/dbraw/zinc/97/57/34/848975734.db2.gz JQDPVKWXMAMIAW-MQYQWHSLSA-N 0 1 289.379 0.257 20 30 CCEDMN C[C@H]1CO[C@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000718560163 849268348 /nfs/dbraw/zinc/26/83/48/849268348.db2.gz RUOUIPFSIGARRI-MNOVXSKESA-N 0 1 251.330 0.516 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)OCc1ccncc1 ZINC001114852666 849440168 /nfs/dbraw/zinc/44/01/68/849440168.db2.gz RTZSCPBUSBECNI-OJLVUWQFSA-N 0 1 299.374 0.666 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C1CN(CC#CC)C1 ZINC001276361268 849451806 /nfs/dbraw/zinc/45/18/06/849451806.db2.gz OFZNAHLHBNPRIF-LBPRGKRZSA-N 0 1 250.342 0.649 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCN(C)CC1)[C@@H]1CCCO1 ZINC000720616275 849504309 /nfs/dbraw/zinc/50/43/09/849504309.db2.gz JQNTZQUWGDJPIF-NEPJUHHUSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114894230 849585936 /nfs/dbraw/zinc/58/59/36/849585936.db2.gz CGWCOZDAHVVADI-IMRBUKKESA-N 0 1 293.411 0.723 20 30 CCEDMN CC[C@H]1CN(C(=O)Cc2ccn[nH]2)CC[C@@H]1NCC#N ZINC001037805358 849589298 /nfs/dbraw/zinc/58/92/98/849589298.db2.gz CDWSXQJUKZXCLK-AAEUAGOBSA-N 0 1 275.356 0.692 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C(C)C ZINC001114912817 849651944 /nfs/dbraw/zinc/65/19/44/849651944.db2.gz MKUCIBGRKNHHTG-KBXIAJHMSA-N 0 1 278.396 0.975 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H](C(=O)[O-])C(C)C ZINC000380837018 849693854 /nfs/dbraw/zinc/69/38/54/849693854.db2.gz MMTXYRRLSMHVDW-LLVKDONJSA-N 0 1 269.345 0.350 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnn2ncccc12 ZINC001038344897 849861582 /nfs/dbraw/zinc/86/15/82/849861582.db2.gz JWZAFBHKEWZMQZ-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001038363094 849864664 /nfs/dbraw/zinc/86/46/64/849864664.db2.gz HSABQBHLSVFKQI-AVGNSLFASA-N 0 1 266.341 0.004 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)[C@H]1CCCCN1C ZINC001038411272 849883354 /nfs/dbraw/zinc/88/33/54/849883354.db2.gz SKPMZDUUNMZVQX-ZIAGYGMSSA-N 0 1 263.385 0.685 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cn(CC)nn1 ZINC001038869815 850061572 /nfs/dbraw/zinc/06/15/72/850061572.db2.gz TVNROTJDTYENFC-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccnc2nc(C)nn21 ZINC001038912630 850079342 /nfs/dbraw/zinc/07/93/42/850079342.db2.gz KVHXHAGXDMZDTL-LBPRGKRZSA-N 0 1 298.350 0.260 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001038935888 850094981 /nfs/dbraw/zinc/09/49/81/850094981.db2.gz KZNDMKHXRAXDLW-KBPBESRZSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001039060702 850142225 /nfs/dbraw/zinc/14/22/25/850142225.db2.gz KZNDMKHXRAXDLW-UONOGXRCSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]([C@H]2CCCCN2C(=O)c2cnn[n-]2)C1 ZINC001039215436 850165034 /nfs/dbraw/zinc/16/50/34/850165034.db2.gz WUTAOBHXXWRFML-TZMCWYRMSA-N 0 1 287.367 0.755 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nn1 ZINC001039370393 850180926 /nfs/dbraw/zinc/18/09/26/850180926.db2.gz JBEQZZSVZIFFTL-NEPJUHHUSA-N 0 1 275.356 0.680 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)NC1 ZINC001039398377 850184274 /nfs/dbraw/zinc/18/42/74/850184274.db2.gz VJWXHDCZKAVPNO-MCIONIFRSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc(C)ncn3)C[C@H]21 ZINC001042016970 850558181 /nfs/dbraw/zinc/55/81/81/850558181.db2.gz YAWXZWGSPWLMOX-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnn4c3CCC4)C[C@@H]21 ZINC001042084738 850575138 /nfs/dbraw/zinc/57/51/38/850575138.db2.gz VSBXNECVCHCVPS-BBRMVZONSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnc4n3CCC4)C[C@H]21 ZINC001042099815 850578691 /nfs/dbraw/zinc/57/86/91/850578691.db2.gz IXCGTBOXXYDQNM-DZGCQCFKSA-N 0 1 298.390 0.999 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)CCc3c[nH]nn3)C[C@H]21 ZINC001042239104 850601027 /nfs/dbraw/zinc/60/10/27/850601027.db2.gz DCBCHTPJQOJPPE-DGCLKSJQSA-N 0 1 288.355 0.184 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)CCc3cnn[nH]3)C[C@H]21 ZINC001042239104 850601029 /nfs/dbraw/zinc/60/10/29/850601029.db2.gz DCBCHTPJQOJPPE-DGCLKSJQSA-N 0 1 288.355 0.184 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccnnc3)C[C@H]21 ZINC001042243576 850602668 /nfs/dbraw/zinc/60/26/68/850602668.db2.gz FRKKUUBZURYYHP-GXTWGEPZSA-N 0 1 270.336 0.646 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccn(C)n3)C[C@H]21 ZINC001042359242 850620216 /nfs/dbraw/zinc/62/02/16/850620216.db2.gz YJPMRROGRITUDE-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCCN1CC(N(C)C(=O)c2cc(CC)[nH]n2)C1 ZINC001042493820 850664992 /nfs/dbraw/zinc/66/49/92/850664992.db2.gz RXUBTRZNTKCOEN-UHFFFAOYSA-N 0 1 260.341 0.752 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001042900249 850776693 /nfs/dbraw/zinc/77/66/93/850776693.db2.gz PNBAUXYLHAMWBX-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)Cc2cncn2C)C1 ZINC001044191516 851036487 /nfs/dbraw/zinc/03/64/87/851036487.db2.gz SKTJIKSQDRLMLQ-UHFFFAOYSA-N 0 1 262.357 0.681 20 30 CCEDMN C=CCN1CC(N(C)C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001044283865 851059601 /nfs/dbraw/zinc/05/96/01/851059601.db2.gz DFTZSCKMTJXEDB-UHFFFAOYSA-N 0 1 271.324 0.900 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccncn2)CC1 ZINC001045590510 851283038 /nfs/dbraw/zinc/28/30/38/851283038.db2.gz HNYIREOQJZPKNG-UHFFFAOYSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ncccn2)CC1 ZINC001045591930 851285886 /nfs/dbraw/zinc/28/58/86/851285886.db2.gz IVWQZEMELASGDI-UHFFFAOYSA-N 0 1 258.325 0.694 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccnn2CCOC)C1 ZINC001046409779 851491428 /nfs/dbraw/zinc/49/14/28/851491428.db2.gz PKRDONUQEQCQEV-OAHLLOKOSA-N 0 1 292.383 0.910 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@]2(C)CC[N@@H+](CCCO)C2)cn1 ZINC001046779346 851612828 /nfs/dbraw/zinc/61/28/28/851612828.db2.gz RPOAFZPRFNRTPQ-MRXNPFEDSA-N 0 1 287.363 0.640 20 30 CCEDMN C#CC[N@@H+]1CCC(F)(F)[C@H](CNC(=O)c2ncn[n-]2)C1 ZINC001046981355 851652744 /nfs/dbraw/zinc/65/27/44/851652744.db2.gz YJXKOQSRLYSVBG-SECBINFHSA-N 0 1 283.282 0.125 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(CC#N)C3)n[nH]1 ZINC001047072300 851667103 /nfs/dbraw/zinc/66/71/03/851667103.db2.gz YMLVCXGTNJECIL-TUAOUCFPSA-N 0 1 288.355 0.148 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2C[C@H]3C[C@@H](C2)N(CC#N)C3)=NC1=O ZINC001047139733 851672978 /nfs/dbraw/zinc/67/29/78/851672978.db2.gz CFDOPFVMCIGSHK-AXFHLTTASA-N 0 1 289.339 0.232 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc[nH]c(=O)c2)C1 ZINC001047338704 851725363 /nfs/dbraw/zinc/72/53/63/851725363.db2.gz BATKRELQGIAEBK-RYUDHWBXSA-N 0 1 277.324 0.090 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@H]2CCCCO2)C1 ZINC001047346691 851731406 /nfs/dbraw/zinc/73/14/06/851731406.db2.gz YBOWGMUHFKDZFV-RDBSUJKOSA-N 0 1 282.384 0.635 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2nc(CC)c[nH]2)C1 ZINC001047415418 851755495 /nfs/dbraw/zinc/75/54/95/851755495.db2.gz WXGVZSWTGQRPMV-STQMWFEESA-N 0 1 292.383 0.204 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C[N@H+]2CC[C@H](C)C2)C1 ZINC001047527283 851802292 /nfs/dbraw/zinc/80/22/92/851802292.db2.gz AVPVHQBAHAWGHD-KKUMJFAQSA-N 0 1 295.427 0.408 20 30 CCEDMN C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)[nH]c2C)C1 ZINC001047546982 851810891 /nfs/dbraw/zinc/81/08/91/851810891.db2.gz IKJQFBJUAPSUIC-KBPBESRZSA-N 0 1 277.368 0.935 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)[nH]c2C)C1 ZINC001047546982 851810896 /nfs/dbraw/zinc/81/08/96/851810896.db2.gz IKJQFBJUAPSUIC-KBPBESRZSA-N 0 1 277.368 0.935 20 30 CCEDMN CN(C(=O)c1[nH]nc2ccccc21)[C@H]1CN(CC#N)C[C@@H]1O ZINC001047615914 851836470 /nfs/dbraw/zinc/83/64/70/851836470.db2.gz RHQTVEWNVHTIPV-STQMWFEESA-N 0 1 299.334 0.204 20 30 CCEDMN C[C@@H](NCc1cnon1)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001047837254 851867563 /nfs/dbraw/zinc/86/75/63/851867563.db2.gz VJCFIMLKIRCPBE-DGCLKSJQSA-N 0 1 288.351 0.810 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)[C@@H]1CC1[N+](=O)[O-])C2 ZINC001048525611 851971601 /nfs/dbraw/zinc/97/16/01/851971601.db2.gz ZTCQEOJXCSYMAQ-NZEXEKPDSA-N 0 1 292.339 0.534 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CN(CC(N)=O)C[C@H]3C2)cc1 ZINC001048973579 852123839 /nfs/dbraw/zinc/12/38/39/852123839.db2.gz OVAGRVOZCGHOKZ-GASCZTMLSA-N 0 1 297.358 0.157 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CN([C@H](C)C(N)=O)C[C@H]2C1 ZINC001049033966 852144877 /nfs/dbraw/zinc/14/48/77/852144877.db2.gz BRRUIMZXPNHSST-NFFDBFGFSA-N 0 1 293.411 0.853 20 30 CCEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1=COCCO1 ZINC001049314584 852225752 /nfs/dbraw/zinc/22/57/52/852225752.db2.gz VVEOVSUBIQQQPT-KGLIPLIRSA-N 0 1 290.363 0.963 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1COCCN1C ZINC001049426812 852268029 /nfs/dbraw/zinc/26/80/29/852268029.db2.gz AHGLMLVJCBMYKU-RBSFLKMASA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1COCCN1C ZINC001049426812 852268041 /nfs/dbraw/zinc/26/80/41/852268041.db2.gz AHGLMLVJCBMYKU-RBSFLKMASA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](O)C(C)C ZINC001049455045 852285683 /nfs/dbraw/zinc/28/56/83/852285683.db2.gz WQIFEUWJWBKHIO-MGPQQGTHSA-N 0 1 264.369 0.702 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@@H]2[C@H]1CCN2CC#N ZINC001049631727 852324868 /nfs/dbraw/zinc/32/48/68/852324868.db2.gz TVRSPJMDYUSOGK-GHMZBOCLSA-N 0 1 274.328 0.316 20 30 CCEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnon1 ZINC001049808596 852378690 /nfs/dbraw/zinc/37/86/90/852378690.db2.gz QVYQPWQLPHCREG-OLZOCXBDSA-N 0 1 274.324 0.772 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(=O)[nH]n1)C2 ZINC001096761855 852435812 /nfs/dbraw/zinc/43/58/12/852435812.db2.gz QBHIBXVPFZYFDJ-JLLWLGSASA-N 0 1 274.324 0.703 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc[nH]c1=O)C2 ZINC001097299105 852522948 /nfs/dbraw/zinc/52/29/48/852522948.db2.gz AWGZJJNERMDKDE-JLLWLGSASA-N 0 1 272.308 0.151 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCN(C)C1=O)C2 ZINC001097292088 852525268 /nfs/dbraw/zinc/52/52/68/852525268.db2.gz KABWAWAUGPOXAF-DGAVXFQQSA-N 0 1 291.395 0.762 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCC(=O)N1C)C2 ZINC001097661025 852562893 /nfs/dbraw/zinc/56/28/93/852562893.db2.gz FXJBTRVUUFISNS-NDBYEHHHSA-N 0 1 277.368 0.515 20 30 CCEDMN Cc1cc(CC(=O)NC[C@H](C)Nc2ccc(C#N)nn2)[nH]n1 ZINC001097738166 852596963 /nfs/dbraw/zinc/59/69/63/852596963.db2.gz GKBLENBJBSZPCP-JTQLQIEISA-N 0 1 299.338 0.539 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)Nc1ncnc2[nH]cnc21 ZINC001097804309 852620858 /nfs/dbraw/zinc/62/08/58/852620858.db2.gz UHUFGLJZUNFCNO-SECBINFHSA-N 0 1 284.323 0.683 20 30 CCEDMN CC#CCN1CC2(C1)C[C@@H](NC(=O)c1[nH]ncc1F)CO2 ZINC001053920025 852847240 /nfs/dbraw/zinc/84/72/40/852847240.db2.gz YUNHOPHKPVXOIR-SNVBAGLBSA-N 0 1 292.314 0.145 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)CN1CCC[C@H]1C)CO2 ZINC001053926635 852849379 /nfs/dbraw/zinc/84/93/79/852849379.db2.gz KFNWHHIUBOBQPD-ZIAGYGMSSA-N 0 1 293.411 0.616 20 30 CCEDMN C=C(Br)CN[C@H]1CN(C(=O)COC)C[C@@H]1C ZINC001054303199 852912749 /nfs/dbraw/zinc/91/27/49/852912749.db2.gz KSEJONFSVWZBBY-WPRPVWTQSA-N 0 1 291.189 0.978 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCn2cncn2)C[C@@H]1C ZINC001054391923 852942565 /nfs/dbraw/zinc/94/25/65/852942565.db2.gz LYIRSRNGRNXHMT-CMPLNLGQSA-N 0 1 297.790 0.857 20 30 CCEDMN Cc1cc(C(=O)N2CCN(c3cnc(C#N)cn3)CC2)n[nH]1 ZINC001055737582 853111031 /nfs/dbraw/zinc/11/10/31/853111031.db2.gz FYVMKZJUIHUOQV-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H]3CCNC(=O)C3)[C@@H]2C1 ZINC001050020041 853294109 /nfs/dbraw/zinc/29/41/09/853294109.db2.gz WLOGRMYLPDGAHH-HZSPNIEDSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H]3COC(=O)C3)[C@@H]2C1 ZINC001050021102 853294377 /nfs/dbraw/zinc/29/43/77/853294377.db2.gz RGMZNAUDBKTGES-HZSPNIEDSA-N 0 1 290.363 0.496 20 30 CCEDMN C=CCCN1CCOC[C@@H]1CNC(=O)[C@H]1CCCN1C ZINC001050848929 853460679 /nfs/dbraw/zinc/46/06/79/853460679.db2.gz QYSDOMMSYDXJBL-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN CC#CCN1CCOC[C@@H]1CNC(=O)[C@H]1CCCCN1C ZINC001050981522 853500443 /nfs/dbraw/zinc/50/04/43/853500443.db2.gz HKTHHERIKARENU-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN Cc1ncoc1CNC[C@H]1CN(C(=O)[C@H](C)C#N)CCO1 ZINC001051494403 853596865 /nfs/dbraw/zinc/59/68/65/853596865.db2.gz IIQBKPCPCBBBAE-PWSUYJOCSA-N 0 1 292.339 0.460 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001051997526 853672098 /nfs/dbraw/zinc/67/20/98/853672098.db2.gz CTZSLTSBKYJVTH-LSDHHAIUSA-N 0 1 288.395 0.388 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001052189283 853700384 /nfs/dbraw/zinc/70/03/84/853700384.db2.gz WZCZTLFGTWVDND-MNOVXSKESA-N 0 1 291.355 0.595 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1CCCN(CC#N)CC1 ZINC001052250598 853705245 /nfs/dbraw/zinc/70/52/45/853705245.db2.gz HWTXIHFWLWTTLG-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(CC(N)=O)CC2)cc1 ZINC001052614832 853769343 /nfs/dbraw/zinc/76/93/43/853769343.db2.gz ARGCFTTVFYEZER-HNNXBMFYSA-N 0 1 299.374 0.738 20 30 CCEDMN CNC(=O)C(C#N)=C(O)[C@@H]1Cc2ccccc2C(=O)O1 ZINC000133428107 853840648 /nfs/dbraw/zinc/84/06/48/853840648.db2.gz WYJFUJQIPJOZJC-QWRGUYRKSA-N 0 1 272.260 0.223 20 30 CCEDMN C#CCCN1CC2(C1)CN(C(C)=O)C[C@@H]2c1nnc(C)[nH]1 ZINC001070436867 854058314 /nfs/dbraw/zinc/05/83/14/854058314.db2.gz FHTYFFWPJWRJBG-CYBMUJFWSA-N 0 1 287.367 0.384 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H](C)C#N)C[C@H]1c1cn(C)cn1 ZINC001070476424 854065908 /nfs/dbraw/zinc/06/59/08/854065908.db2.gz LWJFHCUMRLOKDL-SGMGOOAPSA-N 0 1 285.351 0.097 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@@H](NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001070486751 854066026 /nfs/dbraw/zinc/06/60/26/854066026.db2.gz HAMRJFJZHXITIX-GXSJLCMTSA-N 0 1 289.339 0.599 20 30 CCEDMN CCCCN1CCNC(=O)CCN(C(=O)[C@H](C)C#N)CC1 ZINC001070948507 854107895 /nfs/dbraw/zinc/10/78/95/854107895.db2.gz PBXUZAVKBUEEQU-CYBMUJFWSA-N 0 1 294.399 0.597 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@@H]1C ZINC001071326100 854158446 /nfs/dbraw/zinc/15/84/46/854158446.db2.gz BUTJXIGNJCHGQH-QWRGUYRKSA-N 0 1 276.340 0.951 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cn2cccn2)CC[C@H]1C ZINC001071355020 854164974 /nfs/dbraw/zinc/16/49/74/854164974.db2.gz VNOQXFGKGDGXKD-KGLIPLIRSA-N 0 1 274.368 0.876 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2cnn(C)c2)CC[C@H]1C ZINC001071384133 854173222 /nfs/dbraw/zinc/17/32/22/854173222.db2.gz SGHVWJCCVSOFHW-HIFRSBDPSA-N 0 1 288.395 0.955 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cnn(C)c2N)CC[C@@H]1C ZINC001071447505 854200330 /nfs/dbraw/zinc/20/03/30/854200330.db2.gz NYXFHMFQAPHROQ-QWRGUYRKSA-N 0 1 277.372 0.771 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ccn(C)n2)CC[C@@H]1C ZINC001071505360 854223853 /nfs/dbraw/zinc/22/38/53/854223853.db2.gz VADCLEHVUIPLJE-JSGCOSHPSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cn(C)nc2C)CC[C@H]1C ZINC001071571640 854238417 /nfs/dbraw/zinc/23/84/17/854238417.db2.gz JSJJAPRDQPOYJK-IUODEOHRSA-N 0 1 288.395 0.873 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001071777244 854287199 /nfs/dbraw/zinc/28/71/99/854287199.db2.gz FGIIASDWBZUDCD-KOLCDFICSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H]1C ZINC001071850404 854308028 /nfs/dbraw/zinc/30/80/28/854308028.db2.gz PUKRSCQKQYCLEI-DGCLKSJQSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2ccc(=O)n(C)n2)CC[C@H]1C ZINC001072051764 854341263 /nfs/dbraw/zinc/34/12/63/854341263.db2.gz IWIABNOHQCJGTC-VXGBXAGGSA-N 0 1 290.367 0.549 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cn2cncn2)CC[C@H]1C ZINC001072053677 854341579 /nfs/dbraw/zinc/34/15/79/854341579.db2.gz VASHZZPCGNQODQ-NEPJUHHUSA-N 0 1 263.345 0.433 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CC2(C1)CCN(CC#N)C2 ZINC001072551879 854414813 /nfs/dbraw/zinc/41/48/13/854414813.db2.gz KELIRNQHDKLWPI-LBPRGKRZSA-N 0 1 262.357 0.138 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C1)CCN(Cc1cncn1C)C2 ZINC001072559717 854415908 /nfs/dbraw/zinc/41/59/08/854415908.db2.gz PIAHUELPPQAZAA-GFCCVEGCSA-N 0 1 287.367 0.614 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3ccnn3C)C2)C1 ZINC001072671762 854442447 /nfs/dbraw/zinc/44/24/47/854442447.db2.gz IFRNIXQJVQYQEQ-UHFFFAOYSA-N 0 1 272.352 0.130 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@]3(CC)CCNC3=O)C2)C1 ZINC001072827711 854473619 /nfs/dbraw/zinc/47/36/19/854473619.db2.gz VWPFVPGHVGKTHR-INIZCTEOSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc(=O)n(C)c3)C2)C1 ZINC001072894110 854489212 /nfs/dbraw/zinc/48/92/12/854489212.db2.gz YLEUBLYOJBLVKJ-UHFFFAOYSA-N 0 1 285.347 0.166 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCCc4nc[nH]c43)C2)C1 ZINC001072971971 854505053 /nfs/dbraw/zinc/50/50/53/854505053.db2.gz DGQCEFQPFIMUIP-ZDUSSCGKSA-N 0 1 298.390 0.997 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001073519231 854574755 /nfs/dbraw/zinc/57/47/55/854574755.db2.gz HVTCVKSAMQXVBN-KBPBESRZSA-N 0 1 280.368 0.396 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cocn2)C1 ZINC001073548222 854587425 /nfs/dbraw/zinc/58/74/25/854587425.db2.gz FUFINIPCEVZIRE-LLVKDONJSA-N 0 1 265.313 0.681 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001073575066 854600054 /nfs/dbraw/zinc/60/00/54/854600054.db2.gz AKRZNXUAGKSLNG-NSHDSACASA-N 0 1 294.355 0.430 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C2CC(OC)C2)C1 ZINC001073648225 854625368 /nfs/dbraw/zinc/62/53/68/854625368.db2.gz WBAWZVINTAMSBY-JXQTWKCFSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)[C@@H](C)C1 ZINC001074106272 854670431 /nfs/dbraw/zinc/67/04/31/854670431.db2.gz CQVLLVVIVWBAMD-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)[C@@H](C)C1 ZINC001074106272 854670433 /nfs/dbraw/zinc/67/04/33/854670433.db2.gz CQVLLVVIVWBAMD-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NCc2ccn(C)n2)[C@@H]1C ZINC001074570258 854750033 /nfs/dbraw/zinc/75/00/33/854750033.db2.gz ZEGAWKFBHQWKAF-MDZLAQPJSA-N 0 1 275.356 0.659 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CC[C@H](NCC#N)[C@@H]1C ZINC001074956884 854801756 /nfs/dbraw/zinc/80/17/56/854801756.db2.gz GKJZDQUMQQSRCI-GVXVVHGQSA-N 0 1 275.356 0.691 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(C)=O)C[C@H](C)O2 ZINC001098544540 854871391 /nfs/dbraw/zinc/87/13/91/854871391.db2.gz MGWJLMSXEVJKRK-LBPRGKRZSA-N 0 1 250.342 0.721 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2(OC)CCC2)[C@H](O)C1 ZINC001099794012 854996968 /nfs/dbraw/zinc/99/69/68/854996968.db2.gz GMTRBZMAYDCOMM-CHWSQXEVSA-N 0 1 282.384 0.683 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CC[N@H+](CCOCC)C[C@@H]1O ZINC001099807018 854999138 /nfs/dbraw/zinc/99/91/38/854999138.db2.gz JQCDDUSINYYOQY-KBPBESRZSA-N 0 1 282.384 0.378 20 30 CCEDMN CCOCCN1CC[C@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001099820474 855002043 /nfs/dbraw/zinc/00/20/43/855002043.db2.gz GCTXUZGLFLOMIC-KBPBESRZSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOCC(F)F)[C@@H](O)C1 ZINC001099838374 855008953 /nfs/dbraw/zinc/00/89/53/855008953.db2.gz MRFKMHQUYDGRQN-QWRGUYRKSA-N 0 1 292.326 0.396 20 30 CCEDMN CN(CCNC(=O)CN1CCCC1)c1ccc(C#N)nc1 ZINC001100115538 855101914 /nfs/dbraw/zinc/10/19/14/855101914.db2.gz VIGPPPDFRQPMFQ-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2nccs2)[C@H](O)C1 ZINC001100117816 855102662 /nfs/dbraw/zinc/10/26/62/855102662.db2.gz PIERPIHZCXGBNY-NWDGAFQWSA-N 0 1 295.408 0.813 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2nccs2)[C@H](O)C1 ZINC001100117818 855102678 /nfs/dbraw/zinc/10/26/78/855102678.db2.gz PIERPIHZCXGBNY-VXGBXAGGSA-N 0 1 295.408 0.813 20 30 CCEDMN C[C@H](CC(=O)N1CCOCC1)NC1(C#N)CCN(C)CC1 ZINC001256333558 855247834 /nfs/dbraw/zinc/24/78/34/855247834.db2.gz KGSPYOYORKLXLU-CYBMUJFWSA-N 0 1 294.399 0.201 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@@H]2CN(C(C)=O)C[C@]2(C)C1 ZINC001101101950 855262040 /nfs/dbraw/zinc/26/20/40/855262040.db2.gz NYOOJPVRDIYZJV-KYOSRNDESA-N 0 1 279.384 0.477 20 30 CCEDMN C#CCOCCC(=O)N1C[C@H]2CN(CCF)C[C@@]2(C)C1 ZINC001101235368 855275091 /nfs/dbraw/zinc/27/50/91/855275091.db2.gz SQXBCUUEDYLYGG-HIFRSBDPSA-N 0 1 282.359 0.776 20 30 CCEDMN Cc1cc(C(=O)N(C)CCNc2nccnc2C#N)n[nH]1 ZINC001101522784 855306333 /nfs/dbraw/zinc/30/63/33/855306333.db2.gz WJRZHLOQHAKINF-UHFFFAOYSA-N 0 1 285.311 0.564 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)CC)[C@H](C)C1 ZINC001101659453 855333912 /nfs/dbraw/zinc/33/39/12/855333912.db2.gz NYATYYMLSGWDKJ-VXGBXAGGSA-N 0 1 267.373 0.383 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCC#N)C[C@H]1CNC(=O)C(C)(C)F ZINC001101678985 855341062 /nfs/dbraw/zinc/34/10/62/855341062.db2.gz VGGBENGUSZTQQE-GHMZBOCLSA-N 0 1 298.362 0.058 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)COCC)[C@H](C)C1 ZINC001101696667 855345258 /nfs/dbraw/zinc/34/52/58/855345258.db2.gz XJVGFPRSMPJSHI-CHWSQXEVSA-N 0 1 297.399 0.009 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)[C@H](C)CC)[C@H](C)C1 ZINC001101732336 855356255 /nfs/dbraw/zinc/35/62/55/855356255.db2.gz ZWVGNBATWVNCKC-MGPQQGTHSA-N 0 1 293.411 0.466 20 30 CCEDMN CNC(=O)CN1C[C@@H](CNC(=O)C#CC(C)C)[C@H](C)C1 ZINC001101945657 855398318 /nfs/dbraw/zinc/39/83/18/855398318.db2.gz NRNDLWHHKAJUCN-CHWSQXEVSA-N 0 1 279.384 0.076 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H](C)C#N)Nc1ncnc2[nH]cnc21 ZINC001103029660 855491001 /nfs/dbraw/zinc/49/10/01/855491001.db2.gz XWBHHZREEYUTQT-IUCAKERBSA-N 0 1 287.327 0.819 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)Cc2nc[nH]n2)C1 ZINC001103629238 855526173 /nfs/dbraw/zinc/52/61/73/855526173.db2.gz AYJJLZVALYMDQK-VXGBXAGGSA-N 0 1 275.356 0.055 20 30 CCEDMN C#CC[N@H+]1C[C@@H](C)[C@H](CCNC(=O)Cc2nnc[nH]2)C1 ZINC001103629238 855526176 /nfs/dbraw/zinc/52/61/76/855526176.db2.gz AYJJLZVALYMDQK-VXGBXAGGSA-N 0 1 275.356 0.055 20 30 CCEDMN Cc1nc(NC[C@@H](C)CNC(=O)c2nc[nH]n2)ccc1C#N ZINC001104059659 855565204 /nfs/dbraw/zinc/56/52/04/855565204.db2.gz SJGUQACZOOCCHF-SECBINFHSA-N 0 1 299.338 0.858 20 30 CCEDMN Cc1nc(NC[C@@H](C)CNC(=O)c2ncn[nH]2)ccc1C#N ZINC001104059659 855565202 /nfs/dbraw/zinc/56/52/02/855565202.db2.gz SJGUQACZOOCCHF-SECBINFHSA-N 0 1 299.338 0.858 20 30 CCEDMN N#CCCNC(=O)CN1C[C@H]2CCC[C@@H](C1)C2O ZINC001116075485 855717284 /nfs/dbraw/zinc/71/72/84/855717284.db2.gz MJKBWTGIJCCLPM-QYJAPNMZSA-N 0 1 251.330 0.109 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1)C1CC1 ZINC001117121771 855918730 /nfs/dbraw/zinc/91/87/30/855918730.db2.gz HWHBFKWXINFFJY-LLVKDONJSA-N 0 1 277.368 0.516 20 30 CCEDMN Cn1nncc1CNCCC(=O)Nc1cccc(C#N)c1 ZINC001118090648 856157060 /nfs/dbraw/zinc/15/70/60/856157060.db2.gz NEKHDHBRRSADBZ-UHFFFAOYSA-N 0 1 284.323 0.805 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(C2CCN([C@@H]3CCOC3)CC2)C1=O ZINC001118578523 856353645 /nfs/dbraw/zinc/35/36/45/856353645.db2.gz HFEDCPREZIXNDA-OLZOCXBDSA-N 0 1 293.367 0.736 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001118607799 856364207 /nfs/dbraw/zinc/36/42/07/856364207.db2.gz DBHUDGLKGHEOOX-RKDXNWHRSA-N 0 1 281.316 0.002 20 30 CCEDMN Cc1nnc(N=NCc2ccc(S(C)(=O)=O)o2)n1C ZINC001118884447 856478635 /nfs/dbraw/zinc/47/86/35/856478635.db2.gz YNNVSXQMHQETOS-UHFFFAOYSA-N 0 1 283.313 0.566 20 30 CCEDMN C#CCN1CCC[C@@H](NCc2ccc(S(C)(=O)=O)o2)C1 ZINC001119436237 856684228 /nfs/dbraw/zinc/68/42/28/856684228.db2.gz XREYASDTSFTTHQ-GFCCVEGCSA-N 0 1 296.392 0.870 20 30 CCEDMN C=CCC[C@H](NC(=O)CC[NH+]1CCN(CC)CC1)C(=O)[O-] ZINC001119580288 856752466 /nfs/dbraw/zinc/75/24/66/856752466.db2.gz KNFQNDRQRZVDAZ-ZDUSSCGKSA-N 0 1 297.399 0.550 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1ncn[nH]1)Nc1ccc(C#N)cn1 ZINC001120067930 856974358 /nfs/dbraw/zinc/97/43/58/856974358.db2.gz PWICOGGZPZWUQM-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+](CCOC)CCC(=O)[O-])C1=O ZINC001120260916 857021910 /nfs/dbraw/zinc/02/19/10/857021910.db2.gz YSNMXGYVVWYRGZ-LLVKDONJSA-N 0 1 270.329 0.196 20 30 CCEDMN C=CCCCCCn1c2nonc2[nH]c(=O)c1=O ZINC001120359810 857045216 /nfs/dbraw/zinc/04/52/16/857045216.db2.gz OXNPNPFLIITAIE-UHFFFAOYSA-N 0 1 250.258 0.819 20 30 CCEDMN C=C1CCC(CNC(=O)C(=O)N2CCNC[C@@H]2C)CC1 ZINC001120446108 857071948 /nfs/dbraw/zinc/07/19/48/857071948.db2.gz VOSWZAICPHKOOV-LBPRGKRZSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)CC(N)=O)CC1 ZINC001392923446 912268203 /nfs/dbraw/zinc/26/82/03/912268203.db2.gz FXAKGYSAXCJECU-QMMMGPOBSA-N 0 1 273.764 0.489 20 30 CCEDMN CN1CC[C@@H](NC(=O)C(=O)N2CCc3ccc(C#N)cc32)C1 ZINC001336931606 921111041 /nfs/dbraw/zinc/11/10/41/921111041.db2.gz UXSJQSYVSRRSKR-CYBMUJFWSA-N 0 1 298.346 0.268 20 30 CCEDMN C=CCCC(=O)NCC1(NCC(=O)N2CCOCC2)CC1 ZINC001323406713 912329753 /nfs/dbraw/zinc/32/97/53/912329753.db2.gz TVRXWHPRUIAPKK-UHFFFAOYSA-N 0 1 295.383 0.050 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN(C)CCn2cccn2)nc1 ZINC001492817658 912331035 /nfs/dbraw/zinc/33/10/35/912331035.db2.gz QUBNYZOZRQMSLH-UHFFFAOYSA-N 0 1 297.362 0.621 20 30 CCEDMN C#CCCCC(=O)NCC1(NCC(=O)NC(C)(C)C)CC1 ZINC001323435553 912346566 /nfs/dbraw/zinc/34/65/66/912346566.db2.gz LVPVGZZBHOQSHB-UHFFFAOYSA-N 0 1 293.411 0.943 20 30 CCEDMN CC#CCNC1(CNC(=O)C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001323481197 912367811 /nfs/dbraw/zinc/36/78/11/912367811.db2.gz HPSURFDXSXVTIR-LBPRGKRZSA-N 0 1 298.408 0.073 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)NCC3(NCC#N)CC3)c2C1 ZINC001323583375 912427700 /nfs/dbraw/zinc/42/77/00/912427700.db2.gz UXXDNEMPIDNCFV-JTQLQIEISA-N 0 1 287.367 0.910 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)C[C@@H](C)NC(N)=O)C1 ZINC001323921536 912582948 /nfs/dbraw/zinc/58/29/48/912582948.db2.gz PYCFXLWYVDXCLJ-LLVKDONJSA-N 0 1 282.388 0.542 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CNC(C)=O)CCC1 ZINC001393527113 912624375 /nfs/dbraw/zinc/62/43/75/912624375.db2.gz JJBAETAYPKPVRB-UHFFFAOYSA-N 0 1 273.764 0.504 20 30 CCEDMN CCN1CC[C@@H](N(C)CCCN(C)C(=O)[C@@H](C)C#N)C1=O ZINC001393613517 912682521 /nfs/dbraw/zinc/68/25/21/912682521.db2.gz PJLHIAFGHSAINB-QWHCGFSZSA-N 0 1 294.399 0.547 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@@H]1CNCc1nccn1C ZINC001324127043 912684045 /nfs/dbraw/zinc/68/40/45/912684045.db2.gz AGUHWHIWVMNYBJ-CYBMUJFWSA-N 0 1 292.383 0.703 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)C[C@H](C)NC(N)=O)CC1 ZINC001324307920 912773000 /nfs/dbraw/zinc/77/30/00/912773000.db2.gz AOONAKJGQVFQAD-NSHDSACASA-N 0 1 280.372 0.037 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC001324564146 912907746 /nfs/dbraw/zinc/90/77/46/912907746.db2.gz SDTDCHIZMRGCLO-UHFFFAOYSA-N 0 1 294.355 0.297 20 30 CCEDMN N#CCCCCCC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001324743304 913008632 /nfs/dbraw/zinc/00/86/32/913008632.db2.gz WIBCOFUGQKKTBI-SNVBAGLBSA-N 0 1 278.316 0.574 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccsc2C)C1 ZINC001325084373 913198022 /nfs/dbraw/zinc/19/80/22/913198022.db2.gz WIXPZFOEABBTMA-CQSZACIVSA-N 0 1 278.377 0.856 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2occc2Cl)C1 ZINC001325127461 913234230 /nfs/dbraw/zinc/23/42/30/913234230.db2.gz VCEOSTOREIKLPE-CYBMUJFWSA-N 0 1 282.727 0.733 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](CO)NCc1ccc(C#N)s1 ZINC001394579409 913286145 /nfs/dbraw/zinc/28/61/45/913286145.db2.gz OAIBBTAOQRTTFQ-NXEZZACHSA-N 0 1 292.364 0.346 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)CC1(O)CCC1 ZINC001394731231 913396620 /nfs/dbraw/zinc/39/66/20/913396620.db2.gz BGLWDSBSFASEEF-NSHDSACASA-N 0 1 290.791 0.453 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC001325474440 913422470 /nfs/dbraw/zinc/42/24/70/913422470.db2.gz JXJGELRDCIRYGD-TUAOUCFPSA-N 0 1 295.383 0.045 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC001325633830 913498785 /nfs/dbraw/zinc/49/87/85/913498785.db2.gz CHRBUPJIJCEZCP-UONOGXRCSA-N 0 1 297.399 0.551 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1c2c(nn1C)CCC2 ZINC001282478422 891454145 /nfs/dbraw/zinc/45/41/45/891454145.db2.gz QMZSIGWYTFRMNH-GFCCVEGCSA-N 0 1 288.395 0.982 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H](CC(C)C)C(N)=O ZINC001282505258 891457200 /nfs/dbraw/zinc/45/72/00/891457200.db2.gz WXAPPEJLRUEWFI-QWHCGFSZSA-N 0 1 281.400 0.594 20 30 CCEDMN C=CCC1(O)CN(C(=O)CN(C)[C@@H]2CCSC2)C1 ZINC001347378014 891565757 /nfs/dbraw/zinc/56/57/57/891565757.db2.gz ABOMINFLDBUPRZ-LLVKDONJSA-N 0 1 270.398 0.573 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)[C@H]2CC2(F)F)CC1 ZINC001325794531 913578442 /nfs/dbraw/zinc/57/84/42/913578442.db2.gz OSSXEVPKTGCIIE-LLVKDONJSA-N 0 1 286.326 0.335 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cc2n(n1)CCCO2 ZINC001480888958 891644720 /nfs/dbraw/zinc/64/47/20/891644720.db2.gz PJAPOOFWZOQOLK-UHFFFAOYSA-N 0 1 276.340 0.351 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1c(C)noc1Cl ZINC001480924179 891829535 /nfs/dbraw/zinc/82/95/35/891829535.db2.gz LDSGTAVZCIMKJI-UHFFFAOYSA-N 0 1 269.732 0.860 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CN(C)CC(=O)N(C)C)C1 ZINC001418921027 891836869 /nfs/dbraw/zinc/83/68/69/891836869.db2.gz GEMIDUYRCGWTKN-RYUDHWBXSA-N 0 1 280.372 0.015 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCNC(=O)CCc1cnc[nH]1 ZINC001408600116 891894916 /nfs/dbraw/zinc/89/49/16/891894916.db2.gz QJNAUHNCHWCORH-SNVBAGLBSA-N 0 1 277.328 0.077 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)c2ccncn2)C1 ZINC001325861878 913613803 /nfs/dbraw/zinc/61/38/03/913613803.db2.gz VYKITINEJIBOEO-HNNXBMFYSA-N 0 1 288.351 0.057 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)C[C@@H]1CCCO1 ZINC001480963083 891985857 /nfs/dbraw/zinc/98/58/57/891985857.db2.gz VDWPXAGISOBNEC-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN CCN(CCNC(=O)[C@H](C)C#N)Cc1n[nH]c(C)n1 ZINC001480968437 891994783 /nfs/dbraw/zinc/99/47/83/891994783.db2.gz YBYIUUCIASNVLV-SECBINFHSA-N 0 1 264.333 0.211 20 30 CCEDMN CC#CCN(CCNC(=O)C1=COCCO1)C1CC1 ZINC001481157744 892241566 /nfs/dbraw/zinc/24/15/66/892241566.db2.gz XCBNZKNOOONPOP-UHFFFAOYSA-N 0 1 264.325 0.479 20 30 CCEDMN C#CCN(CCNC(=O)c1coc(OCC)n1)C1CC1 ZINC001481178556 892277229 /nfs/dbraw/zinc/27/72/29/892277229.db2.gz ZGEAFRMHFBLICI-UHFFFAOYSA-N 0 1 277.324 0.901 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc2scnc21 ZINC001283754983 892354714 /nfs/dbraw/zinc/35/47/14/892354714.db2.gz GBSAFSGPLFAXEX-SNVBAGLBSA-N 0 1 289.360 0.610 20 30 CCEDMN Cc1nc([C@H](C)NCCN(C)C(=O)C#CC2CC2)n[nH]1 ZINC001481229842 892403465 /nfs/dbraw/zinc/40/34/65/892403465.db2.gz ZJPZHTOEWQSATG-JTQLQIEISA-N 0 1 275.356 0.636 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](NC(C)=O)C(C)C ZINC001481305576 892558708 /nfs/dbraw/zinc/55/87/08/892558708.db2.gz JRYVOJUUBQRXQQ-GFCCVEGCSA-N 0 1 289.807 0.948 20 30 CCEDMN C#CCN[C@@H](CNC(=O)CN1CCCC1=O)c1ccccc1 ZINC001481360511 892600344 /nfs/dbraw/zinc/60/03/44/892600344.db2.gz ASMKEOYRIYAJGF-HNNXBMFYSA-N 0 1 299.374 0.689 20 30 CCEDMN C=CCOCC(=O)N1C[C@H]2CC[C@@H](C1)N2CCCO ZINC001481378457 892603409 /nfs/dbraw/zinc/60/34/09/892603409.db2.gz WSSIUFLDMIQTOD-BETUJISGSA-N 0 1 268.357 0.247 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)[C@H](O)C(C)C ZINC001326012062 913695313 /nfs/dbraw/zinc/69/53/13/913695313.db2.gz JTSOITABBQBRMZ-LLVKDONJSA-N 0 1 278.780 0.166 20 30 CCEDMN C=CC[N@H+]1CCC[C@@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001027896487 892754472 /nfs/dbraw/zinc/75/44/72/892754472.db2.gz QMRUSHDOHCMWDK-SCRDCRAPSA-N 0 1 250.342 0.790 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001027896487 892754479 /nfs/dbraw/zinc/75/44/79/892754479.db2.gz QMRUSHDOHCMWDK-SCRDCRAPSA-N 0 1 250.342 0.790 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CCn2cncn2)C1 ZINC001481522641 892768558 /nfs/dbraw/zinc/76/85/58/892768558.db2.gz KHLQTYFJKUWNMG-GFCCVEGCSA-N 0 1 263.345 0.435 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cn(C)cn2)C1 ZINC001481687939 893005764 /nfs/dbraw/zinc/00/57/64/893005764.db2.gz UAHKFGAMKFBLQR-LBPRGKRZSA-N 0 1 278.356 0.427 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H]1CCN(CCN2CCCC2=O)C1 ZINC001481719117 893081710 /nfs/dbraw/zinc/08/17/10/893081710.db2.gz FYTRSKRLYIKGAO-QWHCGFSZSA-N 0 1 292.383 0.301 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H]1CCN(CCn2cccn2)C1 ZINC001481718432 893084643 /nfs/dbraw/zinc/08/46/43/893084643.db2.gz BIVDUJVYMNASBS-CHWSQXEVSA-N 0 1 275.356 0.575 20 30 CCEDMN C#CCCCC(=O)N(C)[C@H]1CCN([C@@H](CC)C(N)=O)C1 ZINC001481724589 893100709 /nfs/dbraw/zinc/10/07/09/893100709.db2.gz NPSAHCGEAOFMEX-STQMWFEESA-N 0 1 279.384 0.587 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1COCCO1 ZINC001481789414 893159985 /nfs/dbraw/zinc/15/99/85/893159985.db2.gz BALWACSRFAJFRA-MNOVXSKESA-N 0 1 276.764 0.639 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CC(=O)NCC ZINC001481804260 893177760 /nfs/dbraw/zinc/17/77/60/893177760.db2.gz XCDAZWQMBAPLOU-JTQLQIEISA-N 0 1 275.780 0.750 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cccn1CC ZINC001284519726 893601376 /nfs/dbraw/zinc/60/13/76/893601376.db2.gz LGHDMNQNSWXNFH-CYBMUJFWSA-N 0 1 277.368 0.506 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@]2(CC)CCNC2=O)CC1 ZINC001284927151 893767826 /nfs/dbraw/zinc/76/78/26/893767826.db2.gz AGIRTTGPHCZCIG-INIZCTEOSA-N 0 1 289.379 0.284 20 30 CCEDMN CCCC(=O)N1CC[C@H]2[C@H](CCN2CC(=O)NCC#N)C1 ZINC001482655782 894453609 /nfs/dbraw/zinc/45/36/09/894453609.db2.gz PPAOFVDUCGKQND-OLZOCXBDSA-N 0 1 292.383 0.349 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CNC(=O)C(C)C ZINC001482709732 894527436 /nfs/dbraw/zinc/52/74/36/894527436.db2.gz QIWVUNHEUFGZER-SECBINFHSA-N 0 1 279.178 0.618 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1scnc1C ZINC001482710709 894530333 /nfs/dbraw/zinc/53/03/33/894530333.db2.gz DLJJETGZQKGPBP-VIFPVBQESA-N 0 1 289.788 0.884 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CCNCc1ncnn1C ZINC001482829033 894632828 /nfs/dbraw/zinc/63/28/28/894632828.db2.gz WBIJOEHQNACPNL-GFCCVEGCSA-N 0 1 277.372 0.603 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H](C)NC(C)=O ZINC001482910180 894681215 /nfs/dbraw/zinc/68/12/15/894681215.db2.gz WMNIVWAIOYCLRG-JTQLQIEISA-N 0 1 275.780 0.702 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)Cn1cccn1 ZINC001482980071 894750161 /nfs/dbraw/zinc/75/01/61/894750161.db2.gz GQWOHBQNPYQUPA-IHWYPQMZSA-N 0 1 268.748 0.898 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)[C@H](C)CC ZINC001482982468 894756537 /nfs/dbraw/zinc/75/65/37/894756537.db2.gz YLWHXRUIYPCETH-ZHRWSRJISA-N 0 1 265.357 0.044 20 30 CCEDMN C[C@@H](CNCc1ccn(C)n1)NC(=O)c1cc(C#N)c[nH]1 ZINC001483217532 895125502 /nfs/dbraw/zinc/12/55/02/895125502.db2.gz FDEIXYDBAWSBDO-JTQLQIEISA-N 0 1 286.339 0.528 20 30 CCEDMN CCn1nncc1CN[C@H](C)CN(C)C(=O)[C@@H](C)C#N ZINC001507058298 895137174 /nfs/dbraw/zinc/13/71/74/895137174.db2.gz ABOZOWJUBJXESI-WDEREUQCSA-N 0 1 278.360 0.394 20 30 CCEDMN CC(=O)N(C)C[C@@H](O)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001426067162 895209513 /nfs/dbraw/zinc/20/95/13/895209513.db2.gz UEYSIOFXAACZGQ-AWEZNQCLSA-N 0 1 293.342 0.968 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CN(C)[C@H]1CCCNC1=O ZINC001483319175 895442953 /nfs/dbraw/zinc/44/29/53/895442953.db2.gz GVTAXWFBYNMDGK-NEPJUHHUSA-N 0 1 267.373 0.668 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc(COC)on1 ZINC001483393626 895532659 /nfs/dbraw/zinc/53/26/59/895532659.db2.gz DIXGMXFOMVDIGN-NSHDSACASA-N 0 1 279.340 0.894 20 30 CCEDMN C#CC[N@H+](C)C[C@H](C)NC(=O)c1c2c(nn1C)CCC2 ZINC001483406642 895545395 /nfs/dbraw/zinc/54/53/95/895545395.db2.gz DDQBOUYIYCXHOO-NSHDSACASA-N 0 1 274.368 0.592 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1c2c(nn1C)CCC2 ZINC001483406642 895545400 /nfs/dbraw/zinc/54/54/00/895545400.db2.gz DDQBOUYIYCXHOO-NSHDSACASA-N 0 1 274.368 0.592 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCCCC(=O)NC ZINC001483424310 895557095 /nfs/dbraw/zinc/55/70/95/895557095.db2.gz PLUUZNHCNWVFAW-LBPRGKRZSA-N 0 1 267.373 0.363 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCN1[C@@H](C)c1n[nH]c(C)n1 ZINC001483639629 895773198 /nfs/dbraw/zinc/77/31/98/895773198.db2.gz JYZRAAMWBBRNNE-JQWIXIFHSA-N 0 1 293.371 0.567 20 30 CCEDMN COCCCN1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001483644521 895786277 /nfs/dbraw/zinc/78/62/77/895786277.db2.gz SYJVTCVFONKLSG-GFCCVEGCSA-N 0 1 276.340 0.727 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CCc1cnn(C)c1C ZINC001483652300 895801899 /nfs/dbraw/zinc/80/18/99/895801899.db2.gz CPTLISUQXAOWLO-HNNXBMFYSA-N 0 1 288.395 0.875 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)[C@@H]2CC=CCC2)CC1 ZINC001483714058 895898174 /nfs/dbraw/zinc/89/81/74/895898174.db2.gz NJIRBLOEBXKDLW-GFCCVEGCSA-N 0 1 290.367 0.221 20 30 CCEDMN Cc1nc(CNC2(CNC(=O)CSCC#N)CC2)n[nH]1 ZINC001483717218 895904177 /nfs/dbraw/zinc/90/41/77/895904177.db2.gz YMRGEWHLOBKQGH-UHFFFAOYSA-N 0 1 294.384 0.108 20 30 CCEDMN N#CCNC1(CNC(=O)c2cncc3nc[nH]c32)CC1 ZINC001483735318 895945598 /nfs/dbraw/zinc/94/55/98/895945598.db2.gz SCDKISFGZPVNRQ-UHFFFAOYSA-N 0 1 270.296 0.333 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)C[C@@H]2CC(=O)NC2=O)C1 ZINC001483893968 896109430 /nfs/dbraw/zinc/10/94/30/896109430.db2.gz HPXVECFFZKHJQH-NSHDSACASA-N 0 1 293.367 0.148 20 30 CCEDMN C#CCN(C(=O)c1cncn1C)C1CCN(CC#C)CC1 ZINC001483983633 896140946 /nfs/dbraw/zinc/14/09/46/896140946.db2.gz IPSAKPWRYRSCIZ-UHFFFAOYSA-N 0 1 284.363 0.593 20 30 CCEDMN C=CCOCCN1CC[C@](C)(NC(=O)c2cnsn2)C1 ZINC001484031335 896155386 /nfs/dbraw/zinc/15/53/86/896155386.db2.gz AQQJZTWHKHGATJ-ZDUSSCGKSA-N 0 1 296.396 0.935 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)Cn2nccc2C)C1 ZINC001484059900 896175281 /nfs/dbraw/zinc/17/52/81/896175281.db2.gz BDBMPFPBZSVLME-OAHLLOKOSA-N 0 1 274.368 0.795 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001484160662 896212093 /nfs/dbraw/zinc/21/20/93/896212093.db2.gz IUCHLVOANXAIJE-CJNGLKHVSA-N 0 1 293.411 0.753 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](O)(CNC(=O)Cc2cnc(C)o2)C1 ZINC001484223543 896254715 /nfs/dbraw/zinc/25/47/15/896254715.db2.gz NQKSWTPFOZOUOW-OAHLLOKOSA-N 0 1 291.351 0.102 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)Cc2cnc(C)o2)C1 ZINC001484223543 896254723 /nfs/dbraw/zinc/25/47/23/896254723.db2.gz NQKSWTPFOZOUOW-OAHLLOKOSA-N 0 1 291.351 0.102 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CCCC=C)C1 ZINC001484233972 896268352 /nfs/dbraw/zinc/26/83/52/896268352.db2.gz QKHRSKXRINQBNR-CQSZACIVSA-N 0 1 250.342 0.529 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@H](NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001397115683 914051291 /nfs/dbraw/zinc/05/12/91/914051291.db2.gz DAFIESFSZQCQEN-KOLCDFICSA-N 0 1 289.339 0.462 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)COCC2CC2)C1 ZINC001484427222 896393597 /nfs/dbraw/zinc/39/35/97/896393597.db2.gz BRZVIJJZARTQOS-INIZCTEOSA-N 0 1 294.395 0.379 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)Cc2ncc[nH]2)C1 ZINC001484445990 896416088 /nfs/dbraw/zinc/41/60/88/896416088.db2.gz MXEOPEUUFALBIQ-AWEZNQCLSA-N 0 1 278.356 0.081 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1ccns1 ZINC001484484266 896433299 /nfs/dbraw/zinc/43/32/99/896433299.db2.gz RNYYHJUHLOMQLP-UHFFFAOYSA-N 0 1 289.788 0.920 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCN(C(=O)c2cc(C)[nH]n2)C1 ZINC001493825393 896568029 /nfs/dbraw/zinc/56/80/29/896568029.db2.gz PGZCDEKNTXUMRD-NSHDSACASA-N 0 1 292.339 0.251 20 30 CCEDMN C[C@H](NC(=O)[C@@H](N)C(C)(C)O)c1cccc(C#N)c1 ZINC001522480322 896581434 /nfs/dbraw/zinc/58/14/34/896581434.db2.gz ABNDOHIHJMPGBZ-JOYOIKCWSA-N 0 1 261.325 0.834 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCN(CC(=O)N(C)C)CC1(C)C ZINC001484933282 896670544 /nfs/dbraw/zinc/67/05/44/896670544.db2.gz YXSBIILYAQPKFO-VXGBXAGGSA-N 0 1 294.399 0.451 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)CN(C)C2CCC2)CCN1CC#N ZINC001484976511 896691788 /nfs/dbraw/zinc/69/17/88/896691788.db2.gz CRLWXODWWLBHCB-CHWSQXEVSA-N 0 1 278.400 0.963 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H]2C[C@H]2C(C)C)CC1 ZINC001485026090 896724282 /nfs/dbraw/zinc/72/42/82/896724282.db2.gz XCDMZFMQPHFLND-KBPBESRZSA-N 0 1 278.396 0.855 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H]2C[C@@H]2C(C)C)CC1 ZINC001485026091 896724713 /nfs/dbraw/zinc/72/47/13/896724713.db2.gz XCDMZFMQPHFLND-KGLIPLIRSA-N 0 1 278.396 0.855 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](CC)OC ZINC001485051289 896738050 /nfs/dbraw/zinc/73/80/50/896738050.db2.gz MDJPQMAWMVFKJY-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)CCn1cccn1 ZINC001485276778 896912347 /nfs/dbraw/zinc/91/23/47/896912347.db2.gz VJGPHYVJPLDQSR-AWEZNQCLSA-N 0 1 292.383 0.360 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cc(OC)ccn1 ZINC001485334996 896954890 /nfs/dbraw/zinc/95/48/90/896954890.db2.gz AOZNMSWVCMPFKO-NSHDSACASA-N 0 1 261.325 0.774 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnc2c(cnn2C)c1 ZINC001485364637 896990935 /nfs/dbraw/zinc/99/09/35/896990935.db2.gz GGSKMQKGASKMBB-LLVKDONJSA-N 0 1 285.351 0.652 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCN(CC(N)=O)CC(C)(C)C1 ZINC001397267697 914125154 /nfs/dbraw/zinc/12/51/54/914125154.db2.gz DYVAOYNQHMUDNW-QWRGUYRKSA-N 0 1 280.372 0.238 20 30 CCEDMN C=CCOCCN1CC([C@@H](C)NC(=O)c2cnon2)C1 ZINC001485530603 897100321 /nfs/dbraw/zinc/10/03/21/897100321.db2.gz QJWVWMPYLNSQJD-SNVBAGLBSA-N 0 1 280.328 0.322 20 30 CCEDMN CC1(C)C[N@@H+](CCO)C[C@H]1NC(=O)C#CC1CC1 ZINC001485568443 897119862 /nfs/dbraw/zinc/11/98/62/897119862.db2.gz ADKDZHMILTZBEQ-GFCCVEGCSA-N 0 1 250.342 0.219 20 30 CCEDMN CC1(C)CN(CCO)C[C@H]1NC(=O)C#CC1CC1 ZINC001485568443 897119866 /nfs/dbraw/zinc/11/98/66/897119866.db2.gz ADKDZHMILTZBEQ-GFCCVEGCSA-N 0 1 250.342 0.219 20 30 CCEDMN N#CCCCN1CC[N@@H+](CCCC#N)[C@H](CCO)C1 ZINC001326749785 914136427 /nfs/dbraw/zinc/13/64/27/914136427.db2.gz MFSHTJYILDUSEH-CQSZACIVSA-N 0 1 264.373 0.963 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC23CCC3)C1 ZINC001077750705 897404191 /nfs/dbraw/zinc/40/41/91/897404191.db2.gz PBWKNFUMBLWJCB-YNEHKIRRSA-N 0 1 264.369 0.914 20 30 CCEDMN C#CCCNCc1nnc2n1CC[C@H](NC(=O)CC)CC2 ZINC001127909598 897454454 /nfs/dbraw/zinc/45/44/54/897454454.db2.gz BNLHLQDHHDTWIF-GFCCVEGCSA-N 0 1 289.383 0.622 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001326777408 914161085 /nfs/dbraw/zinc/16/10/85/914161085.db2.gz MWGOSVNAXIQLSX-ZJUUUORDSA-N 0 1 295.343 0.656 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)ncn1 ZINC001032407472 897474746 /nfs/dbraw/zinc/47/47/46/897474746.db2.gz FDJINVSLZQZCHD-RYUDHWBXSA-N 0 1 288.351 0.960 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)ncn1 ZINC001032407472 897474755 /nfs/dbraw/zinc/47/47/55/897474755.db2.gz FDJINVSLZQZCHD-RYUDHWBXSA-N 0 1 288.351 0.960 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cnn(C)c1C ZINC001032439156 897565270 /nfs/dbraw/zinc/56/52/70/897565270.db2.gz YAXNAKIUHYKFAY-GJZGRUSLSA-N 0 1 286.379 0.579 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCn2cncc2C1 ZINC001032482634 897633188 /nfs/dbraw/zinc/63/31/88/897633188.db2.gz GVULMXBGCCSETI-BPUTZDHNSA-N 0 1 298.390 0.754 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COCCN1CC ZINC001032488327 897644254 /nfs/dbraw/zinc/64/42/54/897644254.db2.gz YXYUYZBSVKUUES-SOUVJXGZSA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)cnn1C ZINC001032574820 897764829 /nfs/dbraw/zinc/76/48/29/897764829.db2.gz WJWUBYQEDNOVDR-STQMWFEESA-N 0 1 272.352 0.651 20 30 CCEDMN C=CCN1CC2(CN(Cc3cnccc3N)C2)OCC1=O ZINC001272809100 897771945 /nfs/dbraw/zinc/77/19/45/897771945.db2.gz WZYFDHCHSVHMMS-UHFFFAOYSA-N 0 1 288.351 0.093 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nc[nH]c1=O ZINC001032580278 897772376 /nfs/dbraw/zinc/77/23/76/897772376.db2.gz IWSDFZKPGFOBDK-RYUDHWBXSA-N 0 1 288.351 0.965 20 30 CCEDMN N#CCNC1(CNC(=O)CCc2c[nH]nn2)CCCCC1 ZINC001115736232 897810323 /nfs/dbraw/zinc/81/03/23/897810323.db2.gz MAGLJBOHLJKKBZ-UHFFFAOYSA-N 0 1 290.371 0.670 20 30 CCEDMN N#CCNC1(CNC(=O)CCc2cnn[nH]2)CCCCC1 ZINC001115736232 897810329 /nfs/dbraw/zinc/81/03/29/897810329.db2.gz MAGLJBOHLJKKBZ-UHFFFAOYSA-N 0 1 290.371 0.670 20 30 CCEDMN C#CCNCc1cccc(N2CC[C@@H](NC(=O)C3CC3)C2)n1 ZINC001128070055 897947751 /nfs/dbraw/zinc/94/77/51/897947751.db2.gz CYMDJJNLDUTAEE-OAHLLOKOSA-N 0 1 298.390 0.909 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001032719231 897967173 /nfs/dbraw/zinc/96/71/73/897967173.db2.gz BCEBYNYHXNLGIV-IHRRRGAJSA-N 0 1 298.390 0.823 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2nc[nH]c2C1 ZINC001032719231 897967184 /nfs/dbraw/zinc/96/71/84/897967184.db2.gz BCEBYNYHXNLGIV-IHRRRGAJSA-N 0 1 298.390 0.823 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnccc1N(C)C ZINC001032736009 898035708 /nfs/dbraw/zinc/03/57/08/898035708.db2.gz SGGPBZKSCYZPBK-STQMWFEESA-N 0 1 284.363 0.680 20 30 CCEDMN C=CCN1C[C@@]2(CC1=O)COCCN(CC(OC)OC)C2 ZINC001272862138 898089193 /nfs/dbraw/zinc/08/91/93/898089193.db2.gz RXVNZOWLDPBSEE-HNNXBMFYSA-N 0 1 298.383 0.342 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001032756402 898104285 /nfs/dbraw/zinc/10/42/85/898104285.db2.gz NCXDUGHNKHDNHL-ILXRZTDVSA-N 0 1 291.395 0.716 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]nnc2c1 ZINC001032776658 898165764 /nfs/dbraw/zinc/16/57/64/898165764.db2.gz STCISRVZEPVNMR-RYUDHWBXSA-N 0 1 281.319 0.490 20 30 CCEDMN CNC(=O)C1CCN([C@@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC001116723546 898195187 /nfs/dbraw/zinc/19/51/87/898195187.db2.gz CTTRSBAXGQOECV-NSHDSACASA-N 0 1 292.383 0.395 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001078078991 898223284 /nfs/dbraw/zinc/22/32/84/898223284.db2.gz UDZZEKHCOKJXIH-VXGBXAGGSA-N 0 1 289.339 0.013 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2ccc[nH]2)C1 ZINC001078208349 898297588 /nfs/dbraw/zinc/29/75/88/898297588.db2.gz LFEKPDQAOBFAGW-CHWSQXEVSA-N 0 1 263.341 0.295 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCCCN2CC)C1 ZINC001078228060 898301489 /nfs/dbraw/zinc/30/14/89/898301489.db2.gz AWTHMOIJNBLUKZ-MGPQQGTHSA-N 0 1 281.400 0.208 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C2CCOCC2)C1 ZINC001078290635 898333270 /nfs/dbraw/zinc/33/32/70/898333270.db2.gz NSSKTLWZZHXRSB-BPLDGKMQSA-N 0 1 294.395 0.234 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1COC(=O)N1 ZINC001485870223 898479093 /nfs/dbraw/zinc/47/90/93/898479093.db2.gz DZMXNZWKFMKUEZ-IUCAKERBSA-N 0 1 275.736 0.284 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cnccc1C ZINC001485983223 898570549 /nfs/dbraw/zinc/57/05/49/898570549.db2.gz UJMFHELHQJRPSR-GFCCVEGCSA-N 0 1 261.325 0.094 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H](CC)CCC ZINC001486049311 898615263 /nfs/dbraw/zinc/61/52/63/898615263.db2.gz ZONXEWHGZHZODH-CHWSQXEVSA-N 0 1 254.374 0.903 20 30 CCEDMN C[C@@H]1C[C@H]1C(=O)NC[C@@H](CO)NCC#Cc1ccccc1 ZINC001486024808 898598116 /nfs/dbraw/zinc/59/81/16/898598116.db2.gz TYOLKCPJQGVUKQ-VNQPRFMTSA-N 0 1 286.375 0.761 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cncc(Cl)c1 ZINC001486043662 898610462 /nfs/dbraw/zinc/61/04/62/898610462.db2.gz IHGXNJNLSHVUEM-LBPRGKRZSA-N 0 1 281.743 0.439 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccccc1CC ZINC001486029275 898616444 /nfs/dbraw/zinc/61/64/44/898616444.db2.gz FLHAMSGNTQWWFU-CYBMUJFWSA-N 0 1 260.337 0.563 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1sc(CCC)nc1C ZINC001486060847 898630822 /nfs/dbraw/zinc/63/08/22/898630822.db2.gz CEVCYXGTDNKVGV-LLVKDONJSA-N 0 1 295.408 0.718 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc2ccccc2n1 ZINC001486054453 898641300 /nfs/dbraw/zinc/64/13/00/898641300.db2.gz XKJWLOMLAWCCPU-CYBMUJFWSA-N 0 1 283.331 0.548 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)CO[C@@H]3CCOC3)CCC[C@@H]12 ZINC001486143577 898685305 /nfs/dbraw/zinc/68/53/05/898685305.db2.gz CQGLAKDCRYUSID-IIAWOOMASA-N 0 1 292.379 0.538 20 30 CCEDMN C=C(Br)CNC[C@H](O)CN(C)C(=O)C(C)C ZINC001486261634 898744233 /nfs/dbraw/zinc/74/42/33/898744233.db2.gz JROMNAMVEVDGBI-JTQLQIEISA-N 0 1 293.205 0.960 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@@H](C)COC ZINC001486265105 898751115 /nfs/dbraw/zinc/75/11/15/898751115.db2.gz LXJUJVKIHKBJBA-ONGXEEELSA-N 0 1 278.780 0.430 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H](C)OCC(C)C ZINC001486326113 898792062 /nfs/dbraw/zinc/79/20/62/898792062.db2.gz IJEZZIMPPOBTIS-LSDHHAIUSA-N 0 1 298.427 0.822 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1nc(C)c(C)s1 ZINC001486359203 898813809 /nfs/dbraw/zinc/81/38/09/898813809.db2.gz RRWCUWXRPHGCAX-LBPRGKRZSA-N 0 1 295.408 0.758 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1(C)CCCC1 ZINC001486342765 898820950 /nfs/dbraw/zinc/82/09/50/898820950.db2.gz GNEMFNPGYBTAKE-ZDUSSCGKSA-N 0 1 266.385 0.951 20 30 CCEDMN C#CCN(C)CCN(C(=O)c1ncc(OC)cn1)C(C)C ZINC001486372233 898840182 /nfs/dbraw/zinc/84/01/82/898840182.db2.gz VFJQLOWOSONSSN-UHFFFAOYSA-N 0 1 290.367 0.901 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H](C)N(C)C(=O)[C@@H](C)C#N)c1C ZINC001486829100 899005709 /nfs/dbraw/zinc/00/57/09/899005709.db2.gz WUDOTFZFDKVARJ-IUCAKERBSA-N 0 1 291.355 0.763 20 30 CCEDMN CN(C)C(=O)CN1CCCN(CC2(CC#N)CC2)CC1 ZINC001364804398 899215390 /nfs/dbraw/zinc/21/53/90/899215390.db2.gz DPSCZMKASLUDBX-UHFFFAOYSA-N 0 1 278.400 0.776 20 30 CCEDMN C=CCn1cnnc1S(=O)(=O)Cc1n[nH]c(C(C)C)n1 ZINC001324099654 899581073 /nfs/dbraw/zinc/58/10/73/899581073.db2.gz ABEBTXOZKRBQOC-UHFFFAOYSA-N 0 1 296.356 0.680 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(=O)OC)[C@H]1C ZINC001410808907 899583019 /nfs/dbraw/zinc/58/30/19/899583019.db2.gz WNYVRODGZRTEKR-VHSXEESVSA-N 0 1 289.763 0.674 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](NC(=O)C(F)F)CC1 ZINC001327095393 914370015 /nfs/dbraw/zinc/37/00/15/914370015.db2.gz SCQXVTGFFCSVOX-SNVBAGLBSA-N 0 1 289.326 0.524 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)[C@@H]2CCCO2)C1 ZINC001325817101 900024915 /nfs/dbraw/zinc/02/49/15/900024915.db2.gz FTMSQHGOIDWNIY-ZFWWWQNUSA-N 0 1 280.368 0.132 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+](C)CCCN(C)C(=O)CC)C1=O ZINC001327172979 914404433 /nfs/dbraw/zinc/40/44/33/914404433.db2.gz MHXGFKBXBOULJG-CYBMUJFWSA-N 0 1 281.400 0.964 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCN(C)C(=O)CC)C1=O ZINC001327172979 914404444 /nfs/dbraw/zinc/40/44/44/914404444.db2.gz MHXGFKBXBOULJG-CYBMUJFWSA-N 0 1 281.400 0.964 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H](C)CN(C)C(=O)c1ccn[nH]1 ZINC001487715942 900107927 /nfs/dbraw/zinc/10/79/27/900107927.db2.gz IPQDKMBTSFCKGF-WDEREUQCSA-N 0 1 294.355 0.578 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cn[nH]c1 ZINC001489319433 900463898 /nfs/dbraw/zinc/46/38/98/900463898.db2.gz XDIGXOXOXBEAAM-ZDUSSCGKSA-N 0 1 260.341 0.969 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](C)NC(C)=O ZINC001489315783 900465357 /nfs/dbraw/zinc/46/53/57/900465357.db2.gz COKMOAGIJPGFJH-JSGCOSHPSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1ncn(C)n1 ZINC001489320657 900468202 /nfs/dbraw/zinc/46/82/02/900468202.db2.gz ITRIJWNEPFXKOQ-LBPRGKRZSA-N 0 1 275.356 0.375 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H]1CC12CCC2 ZINC001490255869 900582907 /nfs/dbraw/zinc/58/29/07/900582907.db2.gz RBQOVRDXYGTMNO-CYBMUJFWSA-N 0 1 291.395 0.364 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@@H](C)OC)CC1 ZINC001490466612 900630878 /nfs/dbraw/zinc/63/08/78/900630878.db2.gz SRPJUOCZLAOCDG-CYBMUJFWSA-N 0 1 269.389 0.331 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2ccc(C)o2)CC1 ZINC001490480659 900636138 /nfs/dbraw/zinc/63/61/38/900636138.db2.gz KXLYCPYNGWMCRJ-UHFFFAOYSA-N 0 1 289.379 0.959 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2cc(C)on2)CC1 ZINC001490480637 900636950 /nfs/dbraw/zinc/63/69/50/900636950.db2.gz KKSKPOHOOACQTC-UHFFFAOYSA-N 0 1 290.367 0.354 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@H]2CCOC2)CC1 ZINC001490484545 900637754 /nfs/dbraw/zinc/63/77/54/900637754.db2.gz JPCRADKMBAVCKA-CQSZACIVSA-N 0 1 281.400 0.333 20 30 CCEDMN C#CCCCC(=O)N(C)C1CN(C(=O)c2cc(C)[nH]n2)C1 ZINC001299342710 900850899 /nfs/dbraw/zinc/85/08/99/900850899.db2.gz ITMWHJVQTXMYLM-UHFFFAOYSA-N 0 1 288.351 0.804 20 30 CCEDMN C=CCO[C@@H]1CCN([C@@H](C)C(=O)NCCCOC)C1 ZINC001320898234 900954995 /nfs/dbraw/zinc/95/49/95/900954995.db2.gz KAJLDMGEKVOQBO-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN CN(C(=O)c1cnc(C(F)(F)F)c(C#N)c1)c1nn[nH]n1 ZINC001411481438 901084321 /nfs/dbraw/zinc/08/43/21/901084321.db2.gz SHGXDWSNGUZOIB-UHFFFAOYSA-N 0 1 297.200 0.762 20 30 CCEDMN COC(=O)c1nc[nH]c1NC(=O)c1cc(C#N)ccn1 ZINC001412101024 901466711 /nfs/dbraw/zinc/46/67/11/901466711.db2.gz MZOFWHJPNRFDHK-UHFFFAOYSA-N 0 1 271.236 0.715 20 30 CCEDMN CNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)CC(C)(C)C#N ZINC001412536624 901804115 /nfs/dbraw/zinc/80/41/15/901804115.db2.gz FCLXJHVTZSWQRQ-JTQLQIEISA-N 0 1 277.328 0.123 20 30 CCEDMN COC[C@H](NC(=O)c1cc(C#N)oc1C)c1nn[nH]n1 ZINC001412605530 901862928 /nfs/dbraw/zinc/86/29/28/901862928.db2.gz KHZRYSLEPMZXSO-VIFPVBQESA-N 0 1 276.256 0.090 20 30 CCEDMN COC[C@H](NC(=O)c1ccc(CC#N)cc1)c1nn[nH]n1 ZINC001412610018 901869155 /nfs/dbraw/zinc/86/91/55/901869155.db2.gz PTPRNGWGBYZCRS-NSHDSACASA-N 0 1 286.295 0.383 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@@H]3C[C@@]32C(N)=O)c1O ZINC001276215575 901974740 /nfs/dbraw/zinc/97/47/40/901974740.db2.gz MEIUFWQVBIMXKS-OTYXRUKQSA-N 0 1 271.276 0.354 20 30 CCEDMN CCC[N@@H+](CCO)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001412944835 902382783 /nfs/dbraw/zinc/38/27/83/902382783.db2.gz QIRRRJVALJORPF-UHFFFAOYSA-N 0 1 275.312 0.511 20 30 CCEDMN CC(C)(CO)N1CCN(Cc2cc(C#N)ccn2)CC1 ZINC001412973045 902412100 /nfs/dbraw/zinc/41/21/00/902412100.db2.gz SYDYCYHUDXJCDY-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN N#Cc1cc(C(=O)NCCN2CCC(O)CC2)ccc1F ZINC001412974401 902415478 /nfs/dbraw/zinc/41/54/78/902415478.db2.gz YIHMYVCIBGRLNV-UHFFFAOYSA-N 0 1 291.326 0.884 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CCOC[C@@H]2c2nn[nH]n2)cc1 ZINC001413386996 902921041 /nfs/dbraw/zinc/92/10/41/902921041.db2.gz MCPBOCUNOPJOSX-GFCCVEGCSA-N 0 1 298.306 0.214 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCCN(Cc2cncn2C)C1 ZINC001491102914 903364629 /nfs/dbraw/zinc/36/46/29/903364629.db2.gz RVAVXKONDUKCDQ-STQMWFEESA-N 0 1 289.383 0.908 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NCCNC(=O)Cc1n[nH]c(C)n1 ZINC001491281584 903484988 /nfs/dbraw/zinc/48/49/88/903484988.db2.gz CDWZTLDCKASCGY-SNVBAGLBSA-N 0 1 293.371 0.490 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(C)cs1 ZINC001331706723 904007440 /nfs/dbraw/zinc/00/74/40/904007440.db2.gz LKCWKEZYAXNIHC-SNVBAGLBSA-N 0 1 252.339 0.370 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](C)NC(C)=O ZINC001281918503 904364814 /nfs/dbraw/zinc/36/48/14/904364814.db2.gz ASPOBCHCKXCIRK-TZMCWYRMSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CC(=O)N(C)C1 ZINC001281940572 904373542 /nfs/dbraw/zinc/37/35/42/904373542.db2.gz UYWUXTFJFQAABW-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)CC[C@@H]1CCOC1 ZINC001282374309 904462259 /nfs/dbraw/zinc/46/22/59/904462259.db2.gz XVHOZPMULPXSDZ-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H](C)OCCOCC)C1 ZINC001282742218 904537362 /nfs/dbraw/zinc/53/73/62/904537362.db2.gz YEMYWEHIIQMHDX-KBPBESRZSA-N 0 1 296.411 0.888 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCNC(=O)c1cnn[nH]1 ZINC001283105411 904695997 /nfs/dbraw/zinc/69/59/97/904695997.db2.gz MAQWTIJLVUODNP-SECBINFHSA-N 0 1 265.317 0.253 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1C=CC=CC=C1 ZINC001283759160 904996388 /nfs/dbraw/zinc/99/63/88/904996388.db2.gz FBQSESXUUBAIBU-AWEZNQCLSA-N 0 1 260.337 0.375 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](CC)CC(F)F ZINC001283765408 905000348 /nfs/dbraw/zinc/00/03/48/905000348.db2.gz UDJPTMXSIGSURE-VHSXEESVSA-N 0 1 262.300 0.368 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cccc(C(C)C)n1 ZINC001283771533 905012555 /nfs/dbraw/zinc/01/25/55/905012555.db2.gz QTFFTLVXWDOUQL-ZDUSSCGKSA-N 0 1 289.379 0.909 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ncoc1C(C)(C)C ZINC001283817368 905035324 /nfs/dbraw/zinc/03/53/24/905035324.db2.gz NDPQUNAJGDEGRI-SNVBAGLBSA-N 0 1 279.340 0.286 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](F)CC1CCCCC1 ZINC001283818963 905038085 /nfs/dbraw/zinc/03/80/85/905038085.db2.gz SEGJEAUFCJAWQA-UONOGXRCSA-N 0 1 284.375 0.995 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)Cc1sc(C)cc1C ZINC001283823720 905042215 /nfs/dbraw/zinc/04/22/15/905042215.db2.gz JFIFOSZSUWVLDF-ZDUSSCGKSA-N 0 1 294.420 0.997 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cnc(CC)s1 ZINC001283831231 905043801 /nfs/dbraw/zinc/04/38/01/905043801.db2.gz KELKRXAWICYXQH-SECBINFHSA-N 0 1 267.354 0.019 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCc2cn(C)nn2)[C@@H]1C ZINC001284148393 905159371 /nfs/dbraw/zinc/15/93/71/905159371.db2.gz JZNLLDMZBRHVBO-GXTWGEPZSA-N 0 1 289.383 0.350 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001284248739 905221044 /nfs/dbraw/zinc/22/10/44/905221044.db2.gz BAGJXSBOXYBWHR-JTQLQIEISA-N 0 1 293.371 0.570 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1C=CC=CC=C1 ZINC001284491888 905323411 /nfs/dbraw/zinc/32/34/11/905323411.db2.gz FBLRHPBDPLWIEH-HNNXBMFYSA-N 0 1 274.364 0.669 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H]1CCCN1C(=O)c1ccn[nH]1 ZINC001284665285 905412726 /nfs/dbraw/zinc/41/27/26/905412726.db2.gz GXGCERZXHGTAPM-LBPRGKRZSA-N 0 1 288.351 0.790 20 30 CCEDMN C=CCCCC(=O)NC[C@@H](O)CNC(=O)[C@H]1CCCN1C ZINC001285449060 905632952 /nfs/dbraw/zinc/63/29/52/905632952.db2.gz UNORNBVQHIKJMR-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(C(F)F)nc1 ZINC001332928363 905817252 /nfs/dbraw/zinc/81/72/52/905817252.db2.gz HOJIZWQBAJYWMP-PSASIEDQSA-N 0 1 266.251 0.861 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(=O)n(C)cn1 ZINC001378784062 905818133 /nfs/dbraw/zinc/81/81/33/905818133.db2.gz DDDOEVWUNCWPCS-SNVBAGLBSA-N 0 1 298.774 0.583 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@H](C)NC(=O)c1cnn[nH]1 ZINC001288515627 906041825 /nfs/dbraw/zinc/04/18/25/906041825.db2.gz RGOSCHGZNIRXCE-ZJUUUORDSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CCc1ccncn1 ZINC001379207376 906063893 /nfs/dbraw/zinc/06/38/93/906063893.db2.gz KZDRMYVJIHHRBP-LBPRGKRZSA-N 0 1 298.774 0.228 20 30 CCEDMN C#CCCCC(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001333979934 906134926 /nfs/dbraw/zinc/13/49/26/906134926.db2.gz KDGUDDVAKPSCBS-JTQLQIEISA-N 0 1 262.313 0.728 20 30 CCEDMN C#CCCCC(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001333979934 906134931 /nfs/dbraw/zinc/13/49/31/906134931.db2.gz KDGUDDVAKPSCBS-JTQLQIEISA-N 0 1 262.313 0.728 20 30 CCEDMN N#Cc1cccc(CNC(=O)c2cnncc2O)c1 ZINC001290747869 906141924 /nfs/dbraw/zinc/14/19/24/906141924.db2.gz NEBOPKWGSZYDFN-UHFFFAOYSA-N 0 1 254.249 0.984 20 30 CCEDMN N#CCN(CC#N)C(=O)c1cccc2[nH]c(CO)nc21 ZINC001292650315 906310583 /nfs/dbraw/zinc/31/05/83/906310583.db2.gz JZWJZUPMAULZPX-UHFFFAOYSA-N 0 1 269.264 0.545 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1ccsn1 ZINC001379797895 906485693 /nfs/dbraw/zinc/48/56/93/906485693.db2.gz GPKOMTNRTLMYCJ-VIFPVBQESA-N 0 1 289.788 0.918 20 30 CCEDMN COc1cc(CNC[C@@H](NC(=O)[C@H](C)C#N)C2CC2)on1 ZINC001379851214 906510569 /nfs/dbraw/zinc/51/05/69/906510569.db2.gz MZULHPHGGQRJGD-BXKDBHETSA-N 0 1 292.339 0.827 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CNC(=O)C1CC1 ZINC001380259119 906699329 /nfs/dbraw/zinc/69/93/29/906699329.db2.gz BKRSYKJVIURJLI-GFCCVEGCSA-N 0 1 299.802 0.846 20 30 CCEDMN C=CC[C@H](NC(=O)c1cnncc1O)C(=O)OCC ZINC001296236087 906885957 /nfs/dbraw/zinc/88/59/57/906885957.db2.gz CDJKFHCEUYQYKM-VIFPVBQESA-N 0 1 265.269 0.420 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)CNC(=O)[C@H]1CCCN1C ZINC001297728892 907109965 /nfs/dbraw/zinc/10/99/65/907109965.db2.gz BIGOQXYOMLDNOJ-QWHCGFSZSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCCCCC(=O)NC[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001297742245 907114416 /nfs/dbraw/zinc/11/44/16/907114416.db2.gz MANOESKZPXGEHK-NSHDSACASA-N 0 1 291.355 0.480 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)NCCN2CCCC2)cc1 ZINC001337808317 921295304 /nfs/dbraw/zinc/29/53/04/921295304.db2.gz ALVWIZQDSRVRBW-UHFFFAOYSA-N 0 1 285.347 0.818 20 30 CCEDMN C=CCC(CC=C)C(=O)NC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001298642924 907292736 /nfs/dbraw/zinc/29/27/36/907292736.db2.gz YONXQYCKPHUIJC-SNVBAGLBSA-N 0 1 291.355 0.808 20 30 CCEDMN O=C(C#CC1CC1)NCCCNC(=O)CCc1cnc[nH]1 ZINC001491699329 907510016 /nfs/dbraw/zinc/51/00/16/907510016.db2.gz XGEPKPBPDROGLJ-UHFFFAOYSA-N 0 1 288.351 0.378 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](C[NH2+]Cc2nc(=O)n(C)[n-]2)C1 ZINC001491789858 907569256 /nfs/dbraw/zinc/56/92/56/907569256.db2.gz INRNKUQHEJTDNO-LLVKDONJSA-N 0 1 293.371 0.013 20 30 CCEDMN COCC#CCN(C)[C@H]1CCCN(C(=O)[C@@H](C)COC)C1 ZINC001491915237 907637568 /nfs/dbraw/zinc/63/75/68/907637568.db2.gz ALZFZVNMGUWBQF-GJZGRUSLSA-N 0 1 296.411 0.842 20 30 CCEDMN C[C@H](CCNC(=O)c1c[nH]c(C#N)c1)NCc1ncccn1 ZINC001492008319 907672524 /nfs/dbraw/zinc/67/25/24/907672524.db2.gz MFCJJIAQNBJEOY-LLVKDONJSA-N 0 1 298.350 0.975 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H]1CC[C@H](F)C1 ZINC001492389911 907895148 /nfs/dbraw/zinc/89/51/48/907895148.db2.gz SQKFLDSZBPMUEF-STQMWFEESA-N 0 1 270.348 0.822 20 30 CCEDMN C=CCN(C)CCNC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001492342119 907895854 /nfs/dbraw/zinc/89/58/54/907895854.db2.gz JTXTZRGEDKOBMV-UHFFFAOYSA-N 0 1 265.313 0.231 20 30 CCEDMN C=CC(C)(C)C(=O)NCc1n[nH]c(CS(C)(=O)=O)n1 ZINC001304085541 908142540 /nfs/dbraw/zinc/14/25/40/908142540.db2.gz DNCSKBCRACJNQR-UHFFFAOYSA-N 0 1 286.357 0.178 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001317459421 908255237 /nfs/dbraw/zinc/25/52/37/908255237.db2.gz WOIRHWPVRRNEAP-NSHDSACASA-N 0 1 275.356 0.296 20 30 CCEDMN CCn1c(C)cc2[nH]nc(NC(=O)C=NOC)c2c1=O ZINC001306860952 908272794 /nfs/dbraw/zinc/27/27/94/908272794.db2.gz DIWFEHMLJWOYFG-UHFFFAOYSA-N 0 1 277.284 0.624 20 30 CCEDMN CCn1cc([C@H](C)NC(=O)NCC#CCN(C)C)cn1 ZINC001312698984 908619300 /nfs/dbraw/zinc/61/93/00/908619300.db2.gz NCMNNZXFFMOXPK-LBPRGKRZSA-N 0 1 277.372 0.828 20 30 CCEDMN C#CCOCC[N@@H+]1CCCC[C@]1(COC)C(=O)[O-] ZINC001588491887 983537915 /nfs/dbraw/zinc/53/79/15/983537915.db2.gz ZFEMAWYXCYLAMI-ZDUSSCGKSA-N 0 1 255.314 0.592 20 30 CCEDMN C=CCN(CCOC)c1nnc(-c2c[nH]nn2)n1C ZINC001338308443 921425944 /nfs/dbraw/zinc/42/59/44/921425944.db2.gz AXKMNROFJJQNNL-UHFFFAOYSA-N 0 1 263.305 0.239 20 30 CCEDMN CC(C)c1nc([C@@H](C)NC(=O)NCC#CCO)n[nH]1 ZINC001313190383 908655380 /nfs/dbraw/zinc/65/53/80/908655380.db2.gz CEXLBNKYPFOJSZ-SECBINFHSA-N 0 1 265.317 0.284 20 30 CCEDMN CC#CC[N@H+](CCO)[C@@H]1CCCN(C(=O)[C@@H](CC)OC)C1 ZINC001316745229 908663551 /nfs/dbraw/zinc/66/35/51/908663551.db2.gz VXFKJAAHEIGWTN-HUUCEWRRSA-N 0 1 296.411 0.720 20 30 CCEDMN CC#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H](CC)OC)C1 ZINC001316745229 908663562 /nfs/dbraw/zinc/66/35/62/908663562.db2.gz VXFKJAAHEIGWTN-HUUCEWRRSA-N 0 1 296.411 0.720 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC[C@H]1C1OCCO1 ZINC001313438843 908665646 /nfs/dbraw/zinc/66/56/46/908665646.db2.gz CAULPUABXVPQCQ-LBPRGKRZSA-N 0 1 281.356 0.098 20 30 CCEDMN C[C@@H](NC(=O)NCC#CCN(C)C)c1csnn1 ZINC001313439877 908665858 /nfs/dbraw/zinc/66/58/58/908665858.db2.gz WUYJINCTTDZGEG-SECBINFHSA-N 0 1 267.358 0.463 20 30 CCEDMN CN(C)CC#CCNC(=O)NCC1(CCO)CC1 ZINC001313438882 908666531 /nfs/dbraw/zinc/66/65/31/908666531.db2.gz CWVWZDYQIPPUPY-UHFFFAOYSA-N 0 1 253.346 0.013 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3C[C@@H]4O[C@H]3[C@H]3C[C@H]34)C2)C1 ZINC001313440763 908667712 /nfs/dbraw/zinc/66/77/12/908667712.db2.gz ANODVGGYIRHSKX-SEBNEYGDSA-N 0 1 286.375 0.577 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCOC[C@H]1CC1CC1 ZINC001313439761 908668051 /nfs/dbraw/zinc/66/80/51/908668051.db2.gz RXCNUWWIWFTGTC-CQSZACIVSA-N 0 1 279.384 0.762 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)NC(N)=O)C1 ZINC001316941599 908697310 /nfs/dbraw/zinc/69/73/10/908697310.db2.gz SDXBOYZFKBPKKR-WDEREUQCSA-N 0 1 268.361 0.200 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC001317032248 908720491 /nfs/dbraw/zinc/72/04/91/908720491.db2.gz CLCOLCSPCMLVHN-LBPRGKRZSA-N 0 1 290.371 0.431 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)COC ZINC001317040912 908724329 /nfs/dbraw/zinc/72/43/29/908724329.db2.gz RWOCYFBITBJBRB-MCIONIFRSA-N 0 1 264.369 0.967 20 30 CCEDMN CNC(=O)[C@@H](C)N(C)CCCNC(=O)C#CC(C)C ZINC001316822163 908786658 /nfs/dbraw/zinc/78/66/58/908786658.db2.gz NPDMGVBSTUUHKG-GFCCVEGCSA-N 0 1 267.373 0.218 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN(C)Cc1cncn1C ZINC001316824305 908790514 /nfs/dbraw/zinc/79/05/14/908790514.db2.gz WNCOGMVZOPJAST-ZDUSSCGKSA-N 0 1 292.383 0.397 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COc2cccnc2)C1 ZINC001316989690 908898080 /nfs/dbraw/zinc/89/80/80/908898080.db2.gz HGRGKUOKXFFUBG-GFCCVEGCSA-N 0 1 261.325 0.837 20 30 CCEDMN Cc1ncoc1CN[C@H]1C[C@@H](NC(=O)[C@@H](C)C#N)C1 ZINC001317105883 908980721 /nfs/dbraw/zinc/98/07/21/908980721.db2.gz YAZAPSBWBXWQIX-INTQDDNPSA-N 0 1 262.313 0.880 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCCN([C@H](C)C(=O)NC)C1 ZINC001317128247 908999724 /nfs/dbraw/zinc/99/97/24/908999724.db2.gz MPPIWQRHQFAWPH-OLZOCXBDSA-N 0 1 297.399 0.152 20 30 CCEDMN C[C@H](CNC(=O)c1ccn(-c2ccncc2)n1)NCC#N ZINC001317251611 909093269 /nfs/dbraw/zinc/09/32/69/909093269.db2.gz IBVLMHGJOUKATP-LLVKDONJSA-N 0 1 284.323 0.499 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@H](CNCc2cnon2)C1 ZINC001317316004 909140217 /nfs/dbraw/zinc/14/02/17/909140217.db2.gz CRMHXKHNQZXDOF-BXUZGUMPSA-N 0 1 294.355 0.335 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N[C@@H]2CCN(C)[C@@H]2C)cc1 ZINC001338479749 921479361 /nfs/dbraw/zinc/47/93/61/921479361.db2.gz PJPDXMGFGYHKDU-BXUZGUMPSA-N 0 1 285.347 0.815 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H](CCCC)NC(N)=O ZINC001317512737 909278821 /nfs/dbraw/zinc/27/88/21/909278821.db2.gz CSTVHQRKBROTTK-OLZOCXBDSA-N 0 1 294.399 0.427 20 30 CCEDMN C#CCN(CCC)CCNC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001317543435 909310645 /nfs/dbraw/zinc/31/06/45/909310645.db2.gz NLAQSHAEVVYOEQ-UHFFFAOYSA-N 0 1 291.351 0.459 20 30 CCEDMN C#CCN(CCNC(=O)CC1OCCCO1)C1CC1 ZINC001317550327 909323217 /nfs/dbraw/zinc/32/32/17/909323217.db2.gz ZVSILKHJZFPMCD-UHFFFAOYSA-N 0 1 266.341 0.353 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@@H]2CO[C@H](C)C2)CC1 ZINC001317818506 909507843 /nfs/dbraw/zinc/50/78/43/909507843.db2.gz CPRHUGDEHMAKCN-CABCVRRESA-N 0 1 295.427 0.673 20 30 CCEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nn1 ZINC001318195707 909662503 /nfs/dbraw/zinc/66/25/03/909662503.db2.gz HIFUKTJEKGEDPA-RYUDHWBXSA-N 0 1 275.356 0.680 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCn2ccnc2)C1 ZINC001318346911 909715896 /nfs/dbraw/zinc/71/58/96/909715896.db2.gz JDBLYCANCUELBF-CYBMUJFWSA-N 0 1 260.341 0.487 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H](C)COC)C1 ZINC001318385501 909724153 /nfs/dbraw/zinc/72/41/53/909724153.db2.gz HYDWKAQAWKLYQI-STQMWFEESA-N 0 1 252.358 0.825 20 30 CCEDMN Cc1nc(CN2CC[C@@H](N(C)C(=O)C#CC3CC3)C2)n[nH]1 ZINC001318404110 909744340 /nfs/dbraw/zinc/74/43/40/909744340.db2.gz XOARZSGYDASKRA-CYBMUJFWSA-N 0 1 287.367 0.559 20 30 CCEDMN CC#CCCCC(=O)N(C)[C@H]1CCN([C@@H](CC)C(N)=O)C1 ZINC001318425542 909752097 /nfs/dbraw/zinc/75/20/97/909752097.db2.gz QMWYSFMIFZYEAQ-KBPBESRZSA-N 0 1 293.411 0.977 20 30 CCEDMN CC#CCCCC(=O)N(C)[C@@H]1CCN([C@@H](CC)C(N)=O)C1 ZINC001318425543 909754348 /nfs/dbraw/zinc/75/43/48/909754348.db2.gz QMWYSFMIFZYEAQ-KGLIPLIRSA-N 0 1 293.411 0.977 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H](C)OCCOCC)C1 ZINC001318444929 909759287 /nfs/dbraw/zinc/75/92/87/909759287.db2.gz HKSLIAPIMCHNDX-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001318473270 909774952 /nfs/dbraw/zinc/77/49/52/909774952.db2.gz LRCRQYQQACAIIF-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCN(CCn2cncn2)C1 ZINC001389362009 909848778 /nfs/dbraw/zinc/84/87/78/909848778.db2.gz LCSSLKSJIGGCMY-NWDGAFQWSA-N 0 1 276.344 0.018 20 30 CCEDMN C#CCOCCC(=O)N(C)[C@@H](C)CNCc1nccn1C ZINC001319453367 910159401 /nfs/dbraw/zinc/15/94/01/910159401.db2.gz HGDFSHHOITWMCH-ZDUSSCGKSA-N 0 1 292.383 0.397 20 30 CCEDMN C[C@H]1CCCN(C(=O)CCc2nc[nH]n2)[C@@H]1CNCC#N ZINC001319843244 910317606 /nfs/dbraw/zinc/31/76/06/910317606.db2.gz FLNPBCAAYGYTGB-NWDGAFQWSA-N 0 1 290.371 0.478 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)Cc1ccc(F)cn1 ZINC001320021118 910417275 /nfs/dbraw/zinc/41/72/75/910417275.db2.gz NOACWSILWMXYSB-UHFFFAOYSA-N 0 1 263.316 0.787 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1[nH]cnc1CC ZINC001320077630 910451797 /nfs/dbraw/zinc/45/17/97/910451797.db2.gz AFJHFFONIXRMSW-UHFFFAOYSA-N 0 1 262.357 0.999 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CCCC(N)=O ZINC001320091578 910457754 /nfs/dbraw/zinc/45/77/54/910457754.db2.gz WWASSQFUAMPLTI-UHFFFAOYSA-N 0 1 253.346 0.056 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](CCC(C)C)NC(C)=O ZINC001320093972 910462664 /nfs/dbraw/zinc/46/26/64/910462664.db2.gz BSTPHYGNXMKMDP-HNNXBMFYSA-N 0 1 295.427 0.951 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](CO)C2)CC1 ZINC001320387767 910651480 /nfs/dbraw/zinc/65/14/80/910651480.db2.gz QVCRQLROODWOBA-LBPRGKRZSA-N 0 1 250.342 0.172 20 30 CCEDMN Cc1c(C(=O)OCC(=O)NCC#N)ccc2cncn21 ZINC001320470223 910689831 /nfs/dbraw/zinc/68/98/31/910689831.db2.gz ZRLQFSRGUUEIFP-UHFFFAOYSA-N 0 1 272.264 0.439 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@@H]1CCCCN1CCC ZINC001320685700 910801265 /nfs/dbraw/zinc/80/12/65/910801265.db2.gz SQOHZLLYSRRRJL-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN CCN(CCNCc1c(C)nnn1CC)C(=O)[C@@H](C)C#N ZINC001320694609 910805966 /nfs/dbraw/zinc/80/59/66/910805966.db2.gz RQJHZXWGAIPYAQ-NSHDSACASA-N 0 1 292.387 0.704 20 30 CCEDMN C=CCN(CCOC)CC1(O)CCS(=O)(=O)CC1 ZINC001320845196 910901368 /nfs/dbraw/zinc/90/13/68/910901368.db2.gz UFCSUJHOOBBOSS-UHFFFAOYSA-N 0 1 277.386 0.061 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)Cn2ncnn2)C1 ZINC001391299746 911024326 /nfs/dbraw/zinc/02/43/26/911024326.db2.gz SMBBIXJFALBEEU-LLVKDONJSA-N 0 1 298.778 0.254 20 30 CCEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001391349776 911062716 /nfs/dbraw/zinc/06/27/16/911062716.db2.gz ASWAEYLFWVVSGM-NEPJUHHUSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C[C@@H](COC)NCc1c(C)nn(CCO)c1C ZINC001321239682 911183673 /nfs/dbraw/zinc/18/36/73/911183673.db2.gz NHKCTXTYDBWGRX-LBPRGKRZSA-N 0 1 253.346 0.783 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cnn(C)c1 ZINC001321455838 911310683 /nfs/dbraw/zinc/31/06/83/911310683.db2.gz WPFGOPVFSMBEEQ-SECBINFHSA-N 0 1 256.737 0.881 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](C)n1cncn1 ZINC001322144626 911678703 /nfs/dbraw/zinc/67/87/03/911678703.db2.gz MUIJMDAFFGQYMD-NWDGAFQWSA-N 0 1 263.345 0.299 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)Cc1ccn(C)n1 ZINC001322156651 911684629 /nfs/dbraw/zinc/68/46/29/911684629.db2.gz MLJOEHMZJDPESD-GFCCVEGCSA-N 0 1 262.357 0.422 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cc(C)nnc1C ZINC001322167674 911690047 /nfs/dbraw/zinc/69/00/47/911690047.db2.gz FHESZMNSZQMIJV-NSHDSACASA-N 0 1 260.341 0.777 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCC(=O)N1C ZINC001322222098 911714931 /nfs/dbraw/zinc/71/49/31/911714931.db2.gz CHXGPFGDLNWNBH-RYUDHWBXSA-N 0 1 265.357 0.067 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCc1ncccn1 ZINC001322269120 911739341 /nfs/dbraw/zinc/73/93/41/911739341.db2.gz KDWPTAOKVROQKW-CYBMUJFWSA-N 0 1 274.368 0.869 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1COc2ccccc2O1 ZINC001322292638 911751036 /nfs/dbraw/zinc/75/10/36/911751036.db2.gz HPVMNKYAWYDQRC-SWLSCSKDSA-N 0 1 288.347 0.896 20 30 CCEDMN C#CCCCC(=O)N(C)CCCNCc1cnn(C)n1 ZINC001322484329 911826307 /nfs/dbraw/zinc/82/63/07/911826307.db2.gz YJLYPAVIQRCDKK-UHFFFAOYSA-N 0 1 277.372 0.557 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)Cc1n[nH]c2c1CCCC2 ZINC001323227622 912203350 /nfs/dbraw/zinc/20/33/50/912203350.db2.gz SJFMOVATNBOELT-LBPRGKRZSA-N 0 1 286.379 0.655 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H](OC)C1CC1 ZINC001323232867 912206770 /nfs/dbraw/zinc/20/67/70/912206770.db2.gz SFVKTUVOGCOORJ-CHWSQXEVSA-N 0 1 250.342 0.625 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001399082344 915036646 /nfs/dbraw/zinc/03/66/46/915036646.db2.gz MHOXUGPZVVASMO-ZJUUUORDSA-N 0 1 273.764 0.502 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1ccn(-c2ccncc2)n1 ZINC001328483483 915227070 /nfs/dbraw/zinc/22/70/70/915227070.db2.gz LLHHYWDFDRAMJG-GFCCVEGCSA-N 0 1 282.303 0.742 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cnn(CC)n2)CC1 ZINC001328531537 915256098 /nfs/dbraw/zinc/25/60/98/915256098.db2.gz YRXSKIVTODBXLW-UHFFFAOYSA-N 0 1 293.371 0.041 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H]2C[C@@H](C)CO2)CC1 ZINC001328542704 915262718 /nfs/dbraw/zinc/26/27/18/915262718.db2.gz SAYBTNARIKMGSG-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN N#CCCNC(=O)CN1CCC(Cn2cnnc2)CC1 ZINC001328621041 915315726 /nfs/dbraw/zinc/31/57/26/915315726.db2.gz UKJBOIYWCVWWKP-UHFFFAOYSA-N 0 1 276.344 0.020 20 30 CCEDMN COCC#CCN(C)C[C@H]1CCCN1C(=O)c1ncc[nH]1 ZINC001328721959 915378855 /nfs/dbraw/zinc/37/88/55/915378855.db2.gz XWFWDCZEKXJVJB-CYBMUJFWSA-N 0 1 290.367 0.596 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)[C@H](C)NCc1ccn(C)n1 ZINC001328993920 915575446 /nfs/dbraw/zinc/57/54/46/915575446.db2.gz ADYSNVMUXKPDAA-RYUDHWBXSA-N 0 1 280.372 0.606 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCC[C@H](C#N)C1 ZINC001339638660 921783420 /nfs/dbraw/zinc/78/34/20/921783420.db2.gz AKDUZJANXDMYBY-SNVBAGLBSA-N 0 1 284.327 0.989 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](NC(=O)CS(C)(=O)=O)C1 ZINC001418047140 921786585 /nfs/dbraw/zinc/78/65/85/921786585.db2.gz BCPCYDLUQFIIOP-KYZUINATSA-N 0 1 280.777 0.020 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCCN(C=O)CC2)CCOCC1 ZINC001329246810 915771897 /nfs/dbraw/zinc/77/18/97/915771897.db2.gz CIZCVWYGRLRPBM-AWEZNQCLSA-N 0 1 295.383 0.222 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)N(C)[C@@H](C)C(=O)NC(N)=O ZINC001329449998 915923557 /nfs/dbraw/zinc/92/35/57/915923557.db2.gz IZTDLUKJHNYEAZ-QWRGUYRKSA-N 0 1 298.387 0.363 20 30 CCEDMN CC[C@H](CNC(=O)[C@H](C)C#N)NCc1nccnc1C ZINC001400799266 915937277 /nfs/dbraw/zinc/93/72/77/915937277.db2.gz JXDUCZZCLMLEPZ-ZYHUDNBSSA-N 0 1 275.356 0.929 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cn(CCC=C)nn1 ZINC001329575716 916025453 /nfs/dbraw/zinc/02/54/53/916025453.db2.gz VXKKPISUAPHUMH-GFCCVEGCSA-N 0 1 275.356 0.538 20 30 CCEDMN C=CCCN1CC(CCO)(NC(=O)CN2CCCC2)C1 ZINC001329718203 916145228 /nfs/dbraw/zinc/14/52/28/916145228.db2.gz RDQLYIFUSCESRD-UHFFFAOYSA-N 0 1 281.400 0.211 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001330032830 916368659 /nfs/dbraw/zinc/36/86/59/916368659.db2.gz WTDCREAAQRPEFX-BXUZGUMPSA-N 0 1 292.383 0.730 20 30 CCEDMN C=CCCCN1CCO[C@@]2(CCN(C(=O)COC)C2)C1 ZINC001330147982 916436002 /nfs/dbraw/zinc/43/60/02/916436002.db2.gz NDYUQYABMXIVCJ-HNNXBMFYSA-N 0 1 282.384 0.902 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)OCc1nnc[nH]1 ZINC001330282172 916526757 /nfs/dbraw/zinc/52/67/57/916526757.db2.gz BHCMPWXBGXSXHP-SECBINFHSA-N 0 1 252.274 0.319 20 30 CCEDMN CCC[C@@H](C)NC(=O)CNC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001401942735 916721565 /nfs/dbraw/zinc/72/15/65/916721565.db2.gz VJBUAUNKCUAKMB-NWDGAFQWSA-N 0 1 294.399 0.689 20 30 CCEDMN C=C(C)C[C@H](NC(=O)C[C@@H]1COCCN1)C(=O)OCC ZINC001330933232 916975704 /nfs/dbraw/zinc/97/57/04/916975704.db2.gz CKDUGONUFJTRSR-NEPJUHHUSA-N 0 1 284.356 0.379 20 30 CCEDMN N#CCCN1CCN(CC[C@H]2CCOC2=O)CC1 ZINC001331113100 917097213 /nfs/dbraw/zinc/09/72/13/917097213.db2.gz ZOIZRLGNBCLDDV-LBPRGKRZSA-N 0 1 251.330 0.471 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@@H](CNCC#N)C2CC2)[nH]1 ZINC001331258685 917221768 /nfs/dbraw/zinc/22/17/68/917221768.db2.gz MNNQBZWHVYPFRO-LBPRGKRZSA-N 0 1 261.329 0.682 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC001331302853 917251081 /nfs/dbraw/zinc/25/10/81/917251081.db2.gz KSANKPLIUNJZDV-UHFFFAOYSA-N 0 1 264.329 0.623 20 30 CCEDMN C=CC[C@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(=O)OCC ZINC001331349984 917276314 /nfs/dbraw/zinc/27/63/14/917276314.db2.gz GHSQZOSNHCFHLH-HRDYMLBCSA-N 0 1 256.258 0.276 20 30 CCEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)CC(N)=O ZINC001331408963 917310921 /nfs/dbraw/zinc/31/09/21/917310921.db2.gz IECHNVVENYUNPI-MRVPVSSYSA-N 0 1 292.177 0.207 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc2cc(F)ccc2[nH]1 ZINC001331760187 917583707 /nfs/dbraw/zinc/58/37/07/917583707.db2.gz ZCMKTOOVEAYSCL-LBPRGKRZSA-N 0 1 289.310 0.621 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H]1C[C@H]1CC(C)C ZINC001331789575 917609311 /nfs/dbraw/zinc/60/93/11/917609311.db2.gz JLPMGXHUGCFDGI-HZSPNIEDSA-N 0 1 266.385 0.759 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1snnc1C(C)C ZINC001331806478 917626233 /nfs/dbraw/zinc/62/62/33/917626233.db2.gz WGSPMHMPJMJFTI-JTQLQIEISA-N 0 1 296.396 0.365 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(C)cc1OC ZINC001331824968 917639600 /nfs/dbraw/zinc/63/96/00/917639600.db2.gz KUTGDHIJWVVUJU-ZDUSSCGKSA-N 0 1 290.363 0.707 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCc1cc(F)ccc1F ZINC001331843493 917658345 /nfs/dbraw/zinc/65/83/45/917658345.db2.gz WKDDWNOPDHNUGX-CYBMUJFWSA-N 0 1 296.317 0.597 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CC2CSC2)CC1 ZINC001331941190 917740056 /nfs/dbraw/zinc/74/00/56/917740056.db2.gz XDJZWBBJEWDQRR-UHFFFAOYSA-N 0 1 253.371 0.844 20 30 CCEDMN C=CCCOCC(=O)N1CCC[N@H+](CC(=O)[O-])CC1 ZINC001332205493 917957727 /nfs/dbraw/zinc/95/77/27/917957727.db2.gz ZTHBAESJESGFTE-UHFFFAOYSA-N 0 1 270.329 0.198 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)COC(C)C ZINC001403830178 918043342 /nfs/dbraw/zinc/04/33/42/918043342.db2.gz LPMNKMVAFSFNOP-NSHDSACASA-N 0 1 278.780 0.573 20 30 CCEDMN C[NH+]1CCC(C=Nn2c(=O)cn[n-]c2=S)CC1 ZINC001332320269 918052138 /nfs/dbraw/zinc/05/21/38/918052138.db2.gz TZCGNRNCHHIPCD-UHFFFAOYSA-N 0 1 253.331 0.103 20 30 CCEDMN CN(C[C@H](O)CNCC#N)C(=O)c1cccc2c[nH]nc21 ZINC001332549387 918268515 /nfs/dbraw/zinc/26/85/15/918268515.db2.gz BHYGDEAQZORBIO-LLVKDONJSA-N 0 1 287.323 0.109 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001332563661 918278410 /nfs/dbraw/zinc/27/84/10/918278410.db2.gz LYVZDRGQGROGSD-UHFFFAOYSA-N 0 1 279.344 0.612 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H](O)CN(C)CC#CC ZINC001332631896 918334950 /nfs/dbraw/zinc/33/49/50/918334950.db2.gz GWTMQPKFGHZDNX-AWEZNQCLSA-N 0 1 264.369 0.564 20 30 CCEDMN C=CCn1nnnc1N1CC[N@@H+](C)[C@@H](C(C)C)C1 ZINC001332940507 918547484 /nfs/dbraw/zinc/54/74/84/918547484.db2.gz SNRUMHWYMCYLOE-LLVKDONJSA-N 0 1 250.350 0.636 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cn(C)nn1 ZINC001405818588 918928770 /nfs/dbraw/zinc/92/87/70/918928770.db2.gz XATYYAFZYHSPRP-VIFPVBQESA-N 0 1 271.752 0.618 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C(=O)N(CC)[C@@H]2CCN(C)C2)C1 ZINC001333470639 918934669 /nfs/dbraw/zinc/93/46/69/918934669.db2.gz SHYLNXHPYHLHFU-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1c[nH]c(C(N)=O)c1 ZINC001405882647 918956932 /nfs/dbraw/zinc/95/69/32/918956932.db2.gz FMDVMCUWZIWCEB-VIFPVBQESA-N 0 1 298.774 0.916 20 30 CCEDMN C=C[C@H](C)ONC(=O)NCc1n[nH]c(COC)n1 ZINC001333766295 919145774 /nfs/dbraw/zinc/14/57/74/919145774.db2.gz HPSKSCUVDWNXAB-ZETCQYMHSA-N 0 1 255.278 0.256 20 30 CCEDMN C=C[C@H](C)ONC(=O)NCc1nnc(COC)[nH]1 ZINC001333766295 919145783 /nfs/dbraw/zinc/14/57/83/919145783.db2.gz HPSKSCUVDWNXAB-ZETCQYMHSA-N 0 1 255.278 0.256 20 30 CCEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)NC(=O)Cc1nnc[nH]1 ZINC001333853540 919210456 /nfs/dbraw/zinc/21/04/56/919210456.db2.gz XWCSZLCYZDWVQY-NXEZZACHSA-N 0 1 279.344 0.323 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN(CCO)CC2)CCC1 ZINC001334034301 919330243 /nfs/dbraw/zinc/33/02/43/919330243.db2.gz VRBGISYKDAOXBO-CYBMUJFWSA-N 0 1 267.373 0.041 20 30 CCEDMN C[NH+](C)C[C@H](NCc1csc(C#N)c1)C(=O)[O-] ZINC001334406541 919556303 /nfs/dbraw/zinc/55/63/03/919556303.db2.gz GFXPHXIIOCTVSH-JTQLQIEISA-N 0 1 253.327 0.724 20 30 CCEDMN COC(=O)[C@@H]1CCCC[C@H]1Cn1c(=O)[nH]cc(C#N)c1=O ZINC001413929525 920216391 /nfs/dbraw/zinc/21/63/91/920216391.db2.gz KWQGVRFLLIHDHQ-GXSJLCMTSA-N 0 1 291.307 0.388 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCNC[C@H]1CCOC ZINC001335567972 920282530 /nfs/dbraw/zinc/28/25/30/920282530.db2.gz ICOPQLRZHNAMOI-MJBXVCDLSA-N 0 1 297.399 0.597 20 30 CCEDMN C#CCCCCNC(=O)N1CCNC[C@H]1COC ZINC001336066110 920593849 /nfs/dbraw/zinc/59/38/49/920593849.db2.gz MWKXUNLWLYKXFF-LBPRGKRZSA-N 0 1 253.346 0.420 20 30 CCEDMN CCN(CCNC(=O)[C@H](C)C#N)C(=O)CCc1cnc[nH]1 ZINC001415720611 920812565 /nfs/dbraw/zinc/81/25/65/920812565.db2.gz NVOCFJAYIYJNML-LLVKDONJSA-N 0 1 291.355 0.467 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CN(C(=O)c2cccc3nn[nH]c32)C1 ZINC001416048405 920909317 /nfs/dbraw/zinc/90/93/17/920909317.db2.gz XKGPAIVNKULPTO-QMMMGPOBSA-N 0 1 298.306 0.058 20 30 CCEDMN CC#CC[C@H](CO)NCCS(=O)(=O)c1ccccc1 ZINC001336800499 921018753 /nfs/dbraw/zinc/01/87/53/921018753.db2.gz RNTUPVWLTUXPOZ-CYBMUJFWSA-N 0 1 281.377 0.824 20 30 CCEDMN C#CCNCC(=O)NCCCN(C(=O)C1CCC1)C1CC1 ZINC001339922551 921880580 /nfs/dbraw/zinc/88/05/80/921880580.db2.gz JONPBVOYYOHZST-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H]1CN(C)CCO1 ZINC001418211401 921921614 /nfs/dbraw/zinc/92/16/14/921921614.db2.gz RNKQFZMFCJSCQW-GHMZBOCLSA-N 0 1 275.780 0.164 20 30 CCEDMN C#CCNCC(=O)N1CCn2c(C(C)C)nnc2[C@H]1C ZINC001340517301 922109290 /nfs/dbraw/zinc/10/92/90/922109290.db2.gz AEMRXNRJWJGKGK-LLVKDONJSA-N 0 1 275.356 0.528 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(OC)c(OCC)c1 ZINC001340680534 922166966 /nfs/dbraw/zinc/16/69/66/922166966.db2.gz YHSOYPKWIWECEQ-UHFFFAOYSA-N 0 1 276.336 0.933 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2COCCN2)c(F)c1 ZINC001340846006 922236277 /nfs/dbraw/zinc/23/62/77/922236277.db2.gz UWJMLGUPWNNXPG-SNVBAGLBSA-N 0 1 263.272 0.416 20 30 CCEDMN CCn1ncnc1CN[C@H]1C[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001418690589 922364445 /nfs/dbraw/zinc/36/44/45/922364445.db2.gz WJUSKTKSNDUMIG-IJLUTSLNSA-N 0 1 290.371 0.442 20 30 CCEDMN C#CCN1CCC(NC(=O)NOCc2cccnc2)CC1 ZINC001341413712 922473503 /nfs/dbraw/zinc/47/35/03/922473503.db2.gz KCZJOUIJVWAXGP-UHFFFAOYSA-N 0 1 288.351 0.910 20 30 CCEDMN C#CCNCC(=O)N[C@@H](c1ccccc1)[C@H](C)C(=O)OC ZINC001341519460 922532755 /nfs/dbraw/zinc/53/27/55/922532755.db2.gz YDZNENYAOVLHNH-SWLSCSKDSA-N 0 1 288.347 0.876 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](c2nnc3ccccn32)C1 ZINC001341540273 922541152 /nfs/dbraw/zinc/54/11/52/922541152.db2.gz PKHVRMNRPRKTJN-CYBMUJFWSA-N 0 1 297.362 0.658 20 30 CCEDMN Cc1cc(C)c(C(=O)N[C@H]2CNC[C@H]2C#N)c(C)n1 ZINC001341747950 922654625 /nfs/dbraw/zinc/65/46/25/922654625.db2.gz KJWGJPLZCUNUOM-NEPJUHHUSA-N 0 1 258.325 0.848 20 30 CCEDMN C#CCNCC(=O)NCCN1CCc2ccccc21 ZINC001341792840 922675675 /nfs/dbraw/zinc/67/56/75/922675675.db2.gz BQVYSPUKYXBMSO-UHFFFAOYSA-N 0 1 257.337 0.388 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)CS(C)(=O)=O)C1CC1 ZINC001419236773 922726846 /nfs/dbraw/zinc/72/68/46/922726846.db2.gz ZSJPBUTWJLWBJI-JTQLQIEISA-N 0 1 294.804 0.268 20 30 CCEDMN Cc1cnc(CN[C@@H](CNC(=O)[C@@H](C)C#N)C2CC2)cn1 ZINC001419245705 922729401 /nfs/dbraw/zinc/72/94/01/922729401.db2.gz OTARTLJWIMOATH-HZMBPMFUSA-N 0 1 287.367 0.929 20 30 CCEDMN Cc1cc(CN[C@H](CNC(=O)[C@H](C)C#N)C2CC2)ncn1 ZINC001419254716 922736090 /nfs/dbraw/zinc/73/60/90/922736090.db2.gz ZLPTYOITHLPZBB-QMTHXVAHSA-N 0 1 287.367 0.929 20 30 CCEDMN C=CCn1c(N2CCN(CC)[C@@H](C)C2)nnc1C1(O)CC1 ZINC001342146240 922831257 /nfs/dbraw/zinc/83/12/57/922831257.db2.gz IJZYJBPXPKWODJ-LBPRGKRZSA-N 0 1 291.399 0.976 20 30 CCEDMN C=CCn1c(C2=NO[C@H](CO)C2)nnc1N(C)CC(=C)C ZINC001342212460 922864045 /nfs/dbraw/zinc/86/40/45/922864045.db2.gz UPLXKPQSUPDURO-NSHDSACASA-N 0 1 291.355 0.962 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC[C@@H](Cc3nn[nH]n3)C2)c[nH]1 ZINC001419596876 922942170 /nfs/dbraw/zinc/94/21/70/922942170.db2.gz QKEYXQFPAAQHRU-VIFPVBQESA-N 0 1 285.311 0.494 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)c1ccc2c(c1)CC(=O)N2 ZINC001343161642 923221454 /nfs/dbraw/zinc/22/14/54/923221454.db2.gz AVFGYDIHIVOABZ-SNVBAGLBSA-N 0 1 271.320 0.581 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C[C@@H]1CCCOC1 ZINC001420223796 923432887 /nfs/dbraw/zinc/43/28/87/923432887.db2.gz YWQBGXOGNZYJHU-RYUDHWBXSA-N 0 1 290.791 0.622 20 30 CCEDMN C#CCNCC(=O)N1C[C@@H]2COC[C@]2(c2ccccc2)C1 ZINC001344652213 923661874 /nfs/dbraw/zinc/66/18/74/923661874.db2.gz OIDMXWYRXKVOKJ-WBVHZDCISA-N 0 1 284.359 0.636 20 30 CCEDMN Cc1nc(CN2CCC[C@@H]2CNC(=O)[C@H](C)C#N)n[nH]1 ZINC001420468500 923688882 /nfs/dbraw/zinc/68/88/82/923688882.db2.gz CZQGCPDDEGRESP-MWLCHTKSSA-N 0 1 276.344 0.353 20 30 CCEDMN C=CCN(C)c1nnc(-c2c[nH]nn2)n1C[C@H]1CCOC1 ZINC001345428372 923880761 /nfs/dbraw/zinc/88/07/61/923880761.db2.gz RSNBWUOMWMXMCU-SNVBAGLBSA-N 0 1 289.343 0.722 20 30 CCEDMN C#CCNCC(=O)N1CCC(c2nccc(C)n2)CC1 ZINC001345645363 923938231 /nfs/dbraw/zinc/93/82/31/923938231.db2.gz BSNVVMDIJJNUDW-UHFFFAOYSA-N 0 1 272.352 0.714 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCNC[C@H]1COC)C(C)C ZINC001346442034 924214809 /nfs/dbraw/zinc/21/48/09/924214809.db2.gz WBCCLUKUVPZZBN-NWDGAFQWSA-N 0 1 253.346 0.274 20 30 CCEDMN CC#CCCNC(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC001347055254 924361824 /nfs/dbraw/zinc/36/18/24/924361824.db2.gz CGDTWPDJVANAFC-NWDGAFQWSA-N 0 1 277.368 0.257 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1CN2CCN1C[C@@H]2C)C(C)(C)C ZINC001347067337 924362696 /nfs/dbraw/zinc/36/26/96/924362696.db2.gz UISYLTKERVURFL-AVGNSLFASA-N 0 1 263.385 0.539 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)CC1 ZINC001347400321 924439506 /nfs/dbraw/zinc/43/95/06/924439506.db2.gz GSFCTUSCRBGIJW-AEGPPILISA-N 0 1 293.363 0.679 20 30 CCEDMN C#CC1(O)CCN(C(=O)N[C@H]2CCCc3cn[nH]c32)CC1 ZINC001347518785 924470484 /nfs/dbraw/zinc/47/04/84/924470484.db2.gz VHYFYKIHCBGHPG-LBPRGKRZSA-N 0 1 288.351 0.957 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)NCc1cc(C)[nH]n1 ZINC001347888770 924557612 /nfs/dbraw/zinc/55/76/12/924557612.db2.gz HKRLWNDIXUFXTL-UHFFFAOYSA-N 0 1 250.302 0.369 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCOCCCOC)C1 ZINC001479967988 924981749 /nfs/dbraw/zinc/98/17/49/924981749.db2.gz VBLUUUOUKUOPFT-CYBMUJFWSA-N 0 1 268.357 0.253 20 30 CCEDMN C=CCOC[C@H](NC(=O)N[C@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC001350758794 925271037 /nfs/dbraw/zinc/27/10/37/925271037.db2.gz LACFIKMQVDDTNI-QWRGUYRKSA-N 0 1 285.344 0.036 20 30 CCEDMN C=CCC[C@H](NC(=O)C[C@]1(O)CCC[N@H+](C)C1)C(=O)[O-] ZINC001350768909 925274218 /nfs/dbraw/zinc/27/42/18/925274218.db2.gz PARUCQNABADSOE-SMDDNHRTSA-N 0 1 284.356 0.369 20 30 CCEDMN C=CC1CC[NH+](Cc2cn(CC(=O)[O-])nn2)CC1 ZINC001351316351 925403459 /nfs/dbraw/zinc/40/34/59/925403459.db2.gz VSHQJWJCVQWURJ-UHFFFAOYSA-N 0 1 250.302 0.761 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCC[C@@H](OC)C1 ZINC001352102482 925523128 /nfs/dbraw/zinc/52/31/28/925523128.db2.gz XKYDOIXUSWMRIV-SNVBAGLBSA-N 0 1 289.343 0.864 20 30 CCEDMN C#CCO[N-]C(=O)[C@@]1([NH+](C)C)COc2ccccc2C1 ZINC001352434318 925576376 /nfs/dbraw/zinc/57/63/76/925576376.db2.gz XEOHCWYCEAUUMI-HNNXBMFYSA-N 0 1 274.320 0.603 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](NC(=O)C#CC3CC3)C2)n[nH]1 ZINC001352820877 925651341 /nfs/dbraw/zinc/65/13/41/925651341.db2.gz KJAIMKBOTIUOGQ-LBPRGKRZSA-N 0 1 286.335 0.462 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)C2(C#N)CCC2)n1 ZINC001353735403 925919514 /nfs/dbraw/zinc/91/95/14/925919514.db2.gz XZXOKUDHOXDNOT-UHFFFAOYSA-N 0 1 265.342 0.978 20 30 CCEDMN CSCc1nnc(CNC(=O)C2(C#N)CCC2)[nH]1 ZINC001353735403 925919528 /nfs/dbraw/zinc/91/95/28/925919528.db2.gz XZXOKUDHOXDNOT-UHFFFAOYSA-N 0 1 265.342 0.978 20 30 CCEDMN C#CCNCC(=O)N(C)[C@H](CC(N)=O)c1ccccc1 ZINC001353833812 925941455 /nfs/dbraw/zinc/94/14/55/925941455.db2.gz UHMZEXMGBZYPDL-CYBMUJFWSA-N 0 1 273.336 0.284 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H](C)NC(=O)c1[nH]ncc1F ZINC001354343746 926089625 /nfs/dbraw/zinc/08/96/25/926089625.db2.gz FBDNTFYCVMYMLK-SNVBAGLBSA-N 0 1 294.330 0.977 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C[C@@H](C)O)C1CC1 ZINC001356153088 926349235 /nfs/dbraw/zinc/34/92/35/926349235.db2.gz UMECEBQDODRWBF-SECBINFHSA-N 0 1 289.343 0.599 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001357026794 926476747 /nfs/dbraw/zinc/47/67/47/926476747.db2.gz FXBPCFWYZSAMJM-NSHDSACASA-N 0 1 293.371 0.572 20 30 CCEDMN C#CCNCC(=O)NCCc1ccccc1[N+](=O)[O-] ZINC001357291361 926508089 /nfs/dbraw/zinc/50/80/89/926508089.db2.gz LCNWASKFOKQDHV-UHFFFAOYSA-N 0 1 261.281 0.476 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)CNC(=O)CN1CCCC1 ZINC001358124949 926642429 /nfs/dbraw/zinc/64/24/29/926642429.db2.gz CGDHTRHGGWWAJW-CQSZACIVSA-N 0 1 293.411 0.897 20 30 CCEDMN CNC(=O)N[C@H]1CCN(C[C@@H](O)c2cccc(C#N)c2)C1 ZINC001413985364 926728273 /nfs/dbraw/zinc/72/82/73/926728273.db2.gz VUQMKDAWHVGIHZ-UONOGXRCSA-N 0 1 288.351 0.595 20 30 CCEDMN COCCN1CCC[C@@H](Nc2cnc(C#N)c(C#N)n2)C1 ZINC001413897671 926746227 /nfs/dbraw/zinc/74/62/27/926746227.db2.gz VYPVPDSDHOJFKC-LLVKDONJSA-N 0 1 286.339 0.743 20 30 CCEDMN N#CCNC(=O)CN1CCCC[C@@]12CCCOC2 ZINC001473901904 926847730 /nfs/dbraw/zinc/84/77/30/926847730.db2.gz OWGGDYSQLFPMGJ-ZDUSSCGKSA-N 0 1 251.330 0.661 20 30 CCEDMN N#CCNC(=O)CN1CCCC[C@]12CCCOC2 ZINC001473901899 926848936 /nfs/dbraw/zinc/84/89/36/926848936.db2.gz OWGGDYSQLFPMGJ-CYBMUJFWSA-N 0 1 251.330 0.661 20 30 CCEDMN Cc1nc([C@H](C)N2C[C@H]3CS(=O)(=O)C[C@@]3(C#N)C2)n[nH]1 ZINC001414056562 926902991 /nfs/dbraw/zinc/90/29/91/926902991.db2.gz IHUIJGWYSXHMFN-PTOFAABTSA-N 0 1 295.368 0.044 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCn1cnccc1=O ZINC001480562667 926950468 /nfs/dbraw/zinc/95/04/68/926950468.db2.gz CXZAMPZHOKGKKI-NSHDSACASA-N 0 1 298.774 0.480 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1CCC(O)CC1 ZINC001422291752 927200975 /nfs/dbraw/zinc/20/09/75/927200975.db2.gz DQZBIVFBGNYHMA-HTAVTVPLSA-N 0 1 290.791 0.357 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CNC(=O)C1(C)CC1 ZINC001422318456 927220145 /nfs/dbraw/zinc/22/01/45/927220145.db2.gz NYVPJIUDIVKDEL-SECBINFHSA-N 0 1 291.189 0.762 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc(C2CC2)n[nH]1 ZINC001422358539 927243531 /nfs/dbraw/zinc/24/35/31/927243531.db2.gz ARLCECIESMEYTL-SNVBAGLBSA-N 0 1 298.774 0.720 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cn(C)c(=O)[nH]1 ZINC001422369282 927246501 /nfs/dbraw/zinc/24/65/01/927246501.db2.gz CCINRJMBYKMXKE-IUCAKERBSA-N 0 1 286.763 0.975 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cnc(C)nc1C ZINC001422373782 927250261 /nfs/dbraw/zinc/25/02/61/927250261.db2.gz OPOJGQRWMGBYLN-NSHDSACASA-N 0 1 298.774 0.526 20 30 CCEDMN Cc1cc(NC(=O)CCc2nn[nH]n2)n(CCC#N)n1 ZINC001361841183 927378652 /nfs/dbraw/zinc/37/86/52/927378652.db2.gz GQJSTHHJOORZKW-UHFFFAOYSA-N 0 1 274.288 0.190 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCN1CCCC1=O ZINC001422599249 927397770 /nfs/dbraw/zinc/39/77/70/927397770.db2.gz GFSNAXIKNGYQQR-LLVKDONJSA-N 0 1 287.791 0.846 20 30 CCEDMN COCC[N@@H+](C)CCNC(=O)c1[n-]c(=O)c(C#N)cc1C ZINC001362117699 927631633 /nfs/dbraw/zinc/63/16/33/927631633.db2.gz ZARVNMLJRCMLNY-UHFFFAOYSA-N 0 1 292.339 0.275 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cnn(C)n1 ZINC001423132986 927687145 /nfs/dbraw/zinc/68/71/45/927687145.db2.gz JSSNXKALKUDVSV-SECBINFHSA-N 0 1 271.752 0.618 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](O)CN(C)CCC(F)(F)F ZINC001423504497 927898135 /nfs/dbraw/zinc/89/81/35/927898135.db2.gz OXITVIYRRGPALA-ZJUUUORDSA-N 0 1 295.305 0.850 20 30 CCEDMN C[C@@H](CN(C)C(=O)[C@@]1(C#N)CCCOC1)c1nn[nH]n1 ZINC001362487050 928085758 /nfs/dbraw/zinc/08/57/58/928085758.db2.gz CFONCXHQNVOAHZ-JOYOIKCWSA-N 0 1 278.316 0.082 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H](C)CNC(=O)CCc1cnc[nH]1 ZINC001424176408 928117859 /nfs/dbraw/zinc/11/78/59/928117859.db2.gz SJTJVJOAGOYKSW-QWRGUYRKSA-N 0 1 291.355 0.465 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CCc2nc[nH]c2C12CCOCC2 ZINC001362606968 928242048 /nfs/dbraw/zinc/24/20/48/928242048.db2.gz OSPSUHBFZRKICZ-GHMZBOCLSA-N 0 1 286.335 0.960 20 30 CCEDMN CCC(C#N)(CC)C(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001363028365 928777554 /nfs/dbraw/zinc/77/75/54/928777554.db2.gz LWUBFXZNJPROFO-UHFFFAOYSA-N 0 1 292.343 0.340 20 30 CCEDMN Cc1nc(CNC(=O)NCc2ccc(C#N)cc2)n[nH]1 ZINC001426958728 928948401 /nfs/dbraw/zinc/94/84/01/928948401.db2.gz YOTHDPZNCFISEJ-UHFFFAOYSA-N 0 1 270.296 0.984 20 30 CCEDMN Cc1cc(C(=O)N2CC([C@H](C)NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001427067396 928965558 /nfs/dbraw/zinc/96/55/58/928965558.db2.gz GVWNLYWYLLTKPF-WPRPVWTQSA-N 0 1 289.339 0.455 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C ZINC001427152287 928978823 /nfs/dbraw/zinc/97/88/23/928978823.db2.gz ARKPPYRQWLLNQB-HBNTYKKESA-N 0 1 289.339 0.394 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@@]2(CCOC2=O)C1 ZINC001363315870 929106797 /nfs/dbraw/zinc/10/67/97/929106797.db2.gz SVGHAMLAORSJOG-IUODEOHRSA-N 0 1 293.367 0.634 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@]2(CCOC2=O)C1 ZINC001363315870 929106803 /nfs/dbraw/zinc/10/68/03/929106803.db2.gz SVGHAMLAORSJOG-IUODEOHRSA-N 0 1 293.367 0.634 20 30 CCEDMN CC[N@H+]1CCCC[C@H]1C(=O)Nc1nc(C#N)c(C#N)[n-]1 ZINC001363707463 929507153 /nfs/dbraw/zinc/50/71/53/929507153.db2.gz YLONXUBEEUMPTB-NSHDSACASA-N 0 1 272.312 0.966 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(Cc2cc(C#N)ccn2)C1 ZINC001363809417 929598297 /nfs/dbraw/zinc/59/82/97/929598297.db2.gz KHNJCTZOWBCDLS-ZDUSSCGKSA-N 0 1 288.351 0.490 20 30 CCEDMN CC(=O)NCC(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001371895596 929655494 /nfs/dbraw/zinc/65/54/94/929655494.db2.gz PUUMIOBGPBXIHQ-UHFFFAOYSA-N 0 1 288.351 0.242 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)Cc1cccc(C(N)=O)c1 ZINC001371924784 929669301 /nfs/dbraw/zinc/66/93/01/929669301.db2.gz BSLJFMUEOCPCLC-LLVKDONJSA-N 0 1 288.351 0.493 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H](C)C#N)NCc1cc(C)ncn1 ZINC001443898356 929736334 /nfs/dbraw/zinc/73/63/34/929736334.db2.gz MHNXSHWIVPNMMY-JQWIXIFHSA-N 0 1 275.356 0.929 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](C)NC(=O)Cc1cnc[nH]1 ZINC001444002116 929763817 /nfs/dbraw/zinc/76/38/17/929763817.db2.gz ZSLYTVJQWIQAQC-NXEZZACHSA-N 0 1 277.328 0.075 20 30 CCEDMN CCN(CCNC(=O)[C@H](C)C#N)Cc1n[nH]c(C2CC2)n1 ZINC001372291184 929799480 /nfs/dbraw/zinc/79/94/80/929799480.db2.gz ZPPATGYULUHBFJ-SNVBAGLBSA-N 0 1 290.371 0.780 20 30 CCEDMN N#CCCn1ccc(NC(=O)CCc2c[nH]nn2)n1 ZINC001444484721 929883000 /nfs/dbraw/zinc/88/30/00/929883000.db2.gz MMVUITAITBRUPP-UHFFFAOYSA-N 0 1 259.273 0.486 20 30 CCEDMN N#CCCn1ccc(NC(=O)CCc2cnn[nH]2)n1 ZINC001444484721 929883006 /nfs/dbraw/zinc/88/30/06/929883006.db2.gz MMVUITAITBRUPP-UHFFFAOYSA-N 0 1 259.273 0.486 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CNC(=O)c1n[nH]c2ccccc21 ZINC001373118090 930080098 /nfs/dbraw/zinc/08/00/98/930080098.db2.gz ZHJIRVVVFZTYRT-UWVGGRQHSA-N 0 1 299.334 0.957 20 30 CCEDMN CCN(C(=O)Cc1ccn[nH]1)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001445358096 930112817 /nfs/dbraw/zinc/11/28/17/930112817.db2.gz XIDNFLCRPCSGSO-WDEREUQCSA-N 0 1 291.355 0.465 20 30 CCEDMN COC[C@@H](C)N1CCN(CCN(C)C(=O)[C@H](C)C#N)CC1 ZINC001373254150 930114263 /nfs/dbraw/zinc/11/42/63/930114263.db2.gz YXIKTXYTTJJHHZ-ZIAGYGMSSA-N 0 1 296.415 0.257 20 30 CCEDMN CCc1nc([C@@H](C)N2CC(CNC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001373504227 930222780 /nfs/dbraw/zinc/22/27/80/930222780.db2.gz RKVWHICZQLAUKQ-VHSXEESVSA-N 0 1 290.371 0.636 20 30 CCEDMN COc1cccc(CNC2(CNC(=O)[C@H](C)C#N)CC2)n1 ZINC001446163324 930357251 /nfs/dbraw/zinc/35/72/51/930357251.db2.gz SHOJOFGAYOERCL-LLVKDONJSA-N 0 1 288.351 0.988 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N[C@H](C)c1nnc[nH]1 ZINC001446341806 930391461 /nfs/dbraw/zinc/39/14/61/930391461.db2.gz BQLKRJZYJILSPK-MRVPVSSYSA-N 0 1 252.274 0.491 20 30 CCEDMN C[C@@H](C#N)NC(=O)c1nc[nH]c(=O)c1Br ZINC001447729919 930689929 /nfs/dbraw/zinc/68/99/29/930689929.db2.gz PXITYKRBFZOBFP-BYPYZUCNSA-N 0 1 271.074 0.587 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CNC(=O)c2cnn[nH]2)CCCC1 ZINC001375315655 930851371 /nfs/dbraw/zinc/85/13/71/930851371.db2.gz QPJNRVAQJAEDMN-VIFPVBQESA-N 0 1 290.327 0.123 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(C)CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001375773520 930972128 /nfs/dbraw/zinc/97/21/28/930972128.db2.gz LJXLYCDMORLBSU-SECBINFHSA-N 0 1 292.343 0.141 20 30 CCEDMN CC[C@H](F)CN[C@@H](CO)CNC(=O)c1ccc(C#N)[nH]1 ZINC001448821162 930997009 /nfs/dbraw/zinc/99/70/09/930997009.db2.gz UGHVLBMZDGWFDE-GXSJLCMTSA-N 0 1 282.319 0.315 20 30 CCEDMN COc1cc(CN[C@H](CNC(=O)[C@@H](C)C#N)C2CC2)on1 ZINC001376199885 931090229 /nfs/dbraw/zinc/09/02/29/931090229.db2.gz ODBJSMGRASGANC-JOYOIKCWSA-N 0 1 292.339 0.827 20 30 CCEDMN COc1cc(CN[C@H](CNC(=O)[C@H](C)C#N)C2CC2)on1 ZINC001376199878 931090510 /nfs/dbraw/zinc/09/05/10/931090510.db2.gz ODBJSMGRASGANC-BXKDBHETSA-N 0 1 292.339 0.827 20 30 CCEDMN CC(C)(C#N)CC(=O)NCCN1CCC(O)CC1 ZINC001449711478 931182024 /nfs/dbraw/zinc/18/20/24/931182024.db2.gz ZGDLEJCBLOLVFR-UHFFFAOYSA-N 0 1 253.346 0.499 20 30 CCEDMN C[C@@H](C(=O)Nc1n[nH]c2cc(O)ccc12)n1cnc(C#N)n1 ZINC001450049609 931225071 /nfs/dbraw/zinc/22/50/71/931225071.db2.gz HEWHCRJHHXMVSO-ZETCQYMHSA-N 0 1 297.278 0.931 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCS(C)(=O)=O ZINC001376761816 931262968 /nfs/dbraw/zinc/26/29/68/931262968.db2.gz MXPGRVZOYMNOHP-SECBINFHSA-N 0 1 282.793 0.268 20 30 CCEDMN C[C@@H](C(N)=O)N(C)CCCN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001376922926 931325407 /nfs/dbraw/zinc/32/54/07/931325407.db2.gz RRBDOVLCOPSCAP-JTQLQIEISA-N 0 1 291.355 0.154 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@@H+]1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000087612614 949095230 /nfs/dbraw/zinc/09/52/30/949095230.db2.gz OEEJOSUQJGBEIW-BXUZGUMPSA-N 0 1 286.331 0.808 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@H](C(=O)[O-])C(C)(C)C ZINC000327994993 951120883 /nfs/dbraw/zinc/12/08/83/951120883.db2.gz MFPHLHQXZMKXFT-LLVKDONJSA-N 0 1 268.357 0.805 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000328288413 951125176 /nfs/dbraw/zinc/12/51/76/951125176.db2.gz QUXZXUGPRIXDKF-CHWSQXEVSA-N 0 1 295.383 0.694 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCC[C@@](C)(C(=O)[O-])C1 ZINC000382389614 971810664 /nfs/dbraw/zinc/81/06/64/971810664.db2.gz ROLVIYVVQNMRNP-OAHLLOKOSA-N 0 1 295.383 0.838 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC001589280155 953491008 /nfs/dbraw/zinc/49/10/08/953491008.db2.gz WPMIFBHUTDTBOM-UTUOFQBUSA-N 0 1 293.367 0.980 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)N(CC)C[C@H](C)C#N ZINC001589440784 954709928 /nfs/dbraw/zinc/70/99/28/954709928.db2.gz LCKOSNAKWCYLJX-GHMZBOCLSA-N 0 1 269.345 0.790 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N(CC)C[C@H](C)C#N ZINC001589440784 954709933 /nfs/dbraw/zinc/70/99/33/954709933.db2.gz LCKOSNAKWCYLJX-GHMZBOCLSA-N 0 1 269.345 0.790 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)OC[C@H]1CCC[N@H+]1CC(=O)[O-] ZINC001594517845 955430459 /nfs/dbraw/zinc/43/04/59/955430459.db2.gz OMKQFEVMPUUWLS-LLVKDONJSA-N 0 1 291.307 0.603 20 30 CCEDMN N#C[C@H]1CC[C@H]([N@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)C1 ZINC001594606557 956184607 /nfs/dbraw/zinc/18/46/07/956184607.db2.gz ZZXNQSPBVJOMRN-SRRSOLGSSA-N 0 1 250.298 0.712 20 30 CCEDMN C#CCCCC(=O)OCC[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC001588391644 958025537 /nfs/dbraw/zinc/02/55/37/958025537.db2.gz LDHLJNDYKHKIPL-LLVKDONJSA-N 0 1 253.298 0.882 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)[C@@]2(C(=O)[O-])CC2(C)C)C1 ZINC001588430160 958254002 /nfs/dbraw/zinc/25/40/02/958254002.db2.gz ISVSEWAZWKLERQ-XHDPSFHLSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)[C@@]2(C(=O)[O-])CC2(C)C)C1 ZINC001588430160 958254017 /nfs/dbraw/zinc/25/40/17/958254017.db2.gz ISVSEWAZWKLERQ-XHDPSFHLSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001573317817 958455979 /nfs/dbraw/zinc/45/59/79/958455979.db2.gz GKHCITXFOZXKEO-OLZOCXBDSA-N 0 1 296.367 0.328 20 30 CCEDMN C[C@H](C(=O)[O-])[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC001588597698 959398446 /nfs/dbraw/zinc/39/84/46/959398446.db2.gz ZUVZTXNUAKQSHO-KOLCDFICSA-N 0 1 261.281 0.879 20 30 CCEDMN N#Cc1cccc([C@@H](O)C[N@H+]2CC[C@H](C(=O)[O-])C2)c1 ZINC001574490285 961713215 /nfs/dbraw/zinc/71/32/15/961713215.db2.gz ZQFDMUSFOIWXGE-STQMWFEESA-N 0 1 260.293 0.998 20 30 CCEDMN N#Cc1cccc([C@H](O)C[N@H+]2CC[C@@H](C(=O)[O-])C2)c1 ZINC001574490282 961713742 /nfs/dbraw/zinc/71/37/42/961713742.db2.gz ZQFDMUSFOIWXGE-CHWSQXEVSA-N 0 1 260.293 0.998 20 30 CCEDMN COC(=O)CC[N@H+](CC(=O)[O-])C[C@@H](C)CCC#N ZINC001574061480 962207773 /nfs/dbraw/zinc/20/77/73/962207773.db2.gz OFJOFVKHPCPPLZ-JTQLQIEISA-N 0 1 256.302 0.876 20 30 CCEDMN CC(C)[N@H+](CC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001588918236 962354855 /nfs/dbraw/zinc/35/48/55/962354855.db2.gz WRRJGWSBSUGAIV-UHFFFAOYSA-N 0 1 253.302 0.344 20 30 CCEDMN CC(C)[N@@H+](CC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001588918236 962354868 /nfs/dbraw/zinc/35/48/68/962354868.db2.gz WRRJGWSBSUGAIV-UHFFFAOYSA-N 0 1 253.302 0.344 20 30 CCEDMN C=C(Cl)C[N@H+]1CC[C@@](C)(NC(=O)CNC(=O)[O-])C1 ZINC001573338719 962879181 /nfs/dbraw/zinc/87/91/81/962879181.db2.gz HEMUXRDIUCCXGM-LLVKDONJSA-N 0 1 275.736 0.587 20 30 CCEDMN C=C(Cl)C[N@@H+]1CC[C@@](C)(NC(=O)CNC(=O)[O-])C1 ZINC001573338719 962879191 /nfs/dbraw/zinc/87/91/91/962879191.db2.gz HEMUXRDIUCCXGM-LLVKDONJSA-N 0 1 275.736 0.587 20 30 CCEDMN C=CCCC[N@@H+]1CC[C@H](NC(=O)CNC(=O)[O-])C1 ZINC001573365444 963055543 /nfs/dbraw/zinc/05/55/43/963055543.db2.gz GPUZMMUUYQTOLE-JTQLQIEISA-N 0 1 255.318 0.411 20 30 CCEDMN C=CCCC[N@@H+]1CC[C@@H](N(C)C(=O)CNC(=O)[O-])C1 ZINC001573367616 963067249 /nfs/dbraw/zinc/06/72/49/963067249.db2.gz YFDHBQGVLZPJQU-LLVKDONJSA-N 0 1 269.345 0.753 20 30 CCEDMN C#CCC[N@@H+](CC)CCCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001588448210 964094482 /nfs/dbraw/zinc/09/44/82/964094482.db2.gz YHQBWDBTQORSJK-NEPJUHHUSA-N 0 1 266.341 0.559 20 30 CCEDMN C[N@H+]1CCC[C@@]2(CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C2)C1 ZINC001604216726 972702503 /nfs/dbraw/zinc/70/25/03/972702503.db2.gz WNLCTMJUUBFZPO-LSDHHAIUSA-N 0 1 293.367 0.935 20 30 CCEDMN N#CC[C@@H]1CCC[N@@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001605582039 973864406 /nfs/dbraw/zinc/86/44/06/973864406.db2.gz SBRZSUBVJISWAA-WDEREUQCSA-N 0 1 265.313 0.298 20 30 CCEDMN N#CC[C@@H]1CCC[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001605582039 973864411 /nfs/dbraw/zinc/86/44/11/973864411.db2.gz SBRZSUBVJISWAA-WDEREUQCSA-N 0 1 265.313 0.298 20 30 CCEDMN CC[C@@](COC)([NH2+]CC(=O)N[C@@](C)(C#N)C(C)C)C(=O)[O-] ZINC001595195806 979917192 /nfs/dbraw/zinc/91/71/92/979917192.db2.gz ZGBHSYRKYDVIKD-KBPBESRZSA-N 0 1 299.371 0.510 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC001594777721 981769840 /nfs/dbraw/zinc/76/98/40/981769840.db2.gz DBZSYPSIVDOWRY-LBPRGKRZSA-N 0 1 253.302 0.155 20 30 CCEDMN C#C[C@H](C)[N@@H+](C)CC(=O)N[C@@H](C(=O)[O-])[C@@H](C)CC ZINC001588368665 983308034 /nfs/dbraw/zinc/30/80/34/983308034.db2.gz QMJFZVPZCFWPPL-JBLDHEPKSA-N 0 1 254.330 0.555 20 30 CCEDMN C#C[C@H](C)[N@H+](C)CC(=O)N[C@@H](C(=O)[O-])[C@@H](C)CC ZINC001588368665 983308038 /nfs/dbraw/zinc/30/80/38/983308038.db2.gz QMJFZVPZCFWPPL-JBLDHEPKSA-N 0 1 254.330 0.555 20 30 CCEDMN C#C[C@@H](CO)[NH2+]Cc1c(C)nn(CCC(=O)[O-])c1C ZINC001588370694 983321658 /nfs/dbraw/zinc/32/16/58/983321658.db2.gz LGXWTWNUSGQIKL-NSHDSACASA-N 0 1 265.313 0.058 20 30 CCEDMN C#CC1(O)CC[NH+](Cc2cnc(C(=O)[O-])cn2)CC1 ZINC001588379789 983366105 /nfs/dbraw/zinc/36/61/05/983366105.db2.gz RTMZAQWQUNKBQI-UHFFFAOYSA-N 0 1 261.281 0.135 20 30 CCEDMN C#C[C@@H]1CCC[N@@H+](CC(=O)N[C@@H](C(=O)[O-])[C@H](C)CC)C1 ZINC001588383442 983381326 /nfs/dbraw/zinc/38/13/26/983381326.db2.gz ZNZNXTCGEQAUJV-YRGRVCCFSA-N 0 1 280.368 0.947 20 30 CCEDMN C#C[C@@H]1CCC[N@H+](CC(=O)N[C@@H](C(=O)[O-])[C@H](C)CC)C1 ZINC001588383442 983381335 /nfs/dbraw/zinc/38/13/35/983381335.db2.gz ZNZNXTCGEQAUJV-YRGRVCCFSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CC[C@H]1CC[N@H+]([C@@H]2CCN(CCC(=O)[O-])C2=O)C1 ZINC001588434522 983470555 /nfs/dbraw/zinc/47/05/55/983470555.db2.gz MHXZNMCMVHQLCB-NWDGAFQWSA-N 0 1 264.325 0.407 20 30 CCEDMN C#CC[C@H]1CC[N@H+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])C1 ZINC001588434653 983471258 /nfs/dbraw/zinc/47/12/58/983471258.db2.gz PMNRMMSKEIPCSQ-QWHCGFSZSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@H]1CC[C@H](C(=O)[O-])CC1 ZINC001588464188 983493321 /nfs/dbraw/zinc/49/33/21/983493321.db2.gz YZARBHHQNNXJRL-JOCQHMNTSA-N 0 1 280.368 0.949 20 30 CCEDMN C#CC[N@H+](CCc1cn(CC(=O)[O-])nn1)C(C)C ZINC001588469979 983503798 /nfs/dbraw/zinc/50/37/98/983503798.db2.gz XJNSZBLLMRXUFH-UHFFFAOYSA-N 0 1 250.302 0.249 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1CCCCC[C@@H]1C(=O)[O-] ZINC001588479364 983519317 /nfs/dbraw/zinc/51/93/17/983519317.db2.gz KXRIQLZFVPHLSP-LLVKDONJSA-N 0 1 252.314 0.455 20 30 CCEDMN CC[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C(C)(C)C(=O)[O-] ZINC001596201984 983587431 /nfs/dbraw/zinc/58/74/31/983587431.db2.gz OVEPPRPARWACND-AWEZNQCLSA-N 0 1 281.356 0.980 20 30 CCEDMN CC[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C(C)(C)C(=O)[O-] ZINC001596201984 983587434 /nfs/dbraw/zinc/58/74/34/983587434.db2.gz OVEPPRPARWACND-AWEZNQCLSA-N 0 1 281.356 0.980 20 30 CCEDMN CC[N@H+](CC(=O)[O-])C[C@@H](O)COc1ccc(CC#N)cc1 ZINC001596224894 983615975 /nfs/dbraw/zinc/61/59/75/983615975.db2.gz ITNLTSHVQNMWFJ-CYBMUJFWSA-N 0 1 292.335 0.899 20 30 CCEDMN C=C(C)C[C@H](NC(=O)c1cnc(C[NH3+])s1)C(=O)[O-] ZINC001588547511 983620271 /nfs/dbraw/zinc/62/02/71/983620271.db2.gz DBNINYXBNQTODO-ZETCQYMHSA-N 0 1 269.326 0.751 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NCC[N@H+](C)CC(=O)[O-] ZINC001588599611 983690938 /nfs/dbraw/zinc/69/09/38/983690938.db2.gz ZITPZNBRSAIIOZ-ZYHUDNBSSA-N 0 1 270.329 0.100 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1CCN(c2cnccn2)[C@H](C)C1 ZINC001588670128 983818269 /nfs/dbraw/zinc/81/82/69/983818269.db2.gz WTIZQEKWDFETRR-GHMZBOCLSA-N 0 1 262.313 0.626 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](CS(C)(=O)=O)C1 ZINC001588729653 983992097 /nfs/dbraw/zinc/99/20/97/983992097.db2.gz MJNHHAMSBMZJJW-MNOVXSKESA-N 0 1 275.370 0.772 20 30 CCEDMN C=CC[C@@H](C(=O)OC)[N@H+]1CC[C@](OC)(C(=O)[O-])C1 ZINC001588731866 984012854 /nfs/dbraw/zinc/01/28/54/984012854.db2.gz PCMINNUVAYWZMD-JOYOIKCWSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CC[C@H]1CC[N@@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001588772668 984144150 /nfs/dbraw/zinc/14/41/50/984144150.db2.gz LDDLEVODKYJIQP-NSHDSACASA-N 0 1 250.302 0.556 20 30 CCEDMN C=CC[N@H+](CCO)C1CCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588828752 984309212 /nfs/dbraw/zinc/30/92/12/984309212.db2.gz MIUFVXSUYDOUDB-STQMWFEESA-N 0 1 296.367 0.178 20 30 CCEDMN C=CC[N@@H+]1CCC2(CN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)C1 ZINC001588839916 984351002 /nfs/dbraw/zinc/35/10/02/984351002.db2.gz XZVHHJMESLWZNH-GHMZBOCLSA-N 0 1 264.325 0.427 20 30 CCEDMN C=CCOCCCC(=O)O[C@@H]1C[C@H](C(=O)[O-])[N@H+](C)C1 ZINC001588866526 984425600 /nfs/dbraw/zinc/42/56/00/984425600.db2.gz JOVXIENVGWVRGE-GHMZBOCLSA-N 0 1 271.313 0.670 20 30 CCEDMN C=CCOCCCC(=O)O[C@@H]1C[C@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588866526 984425601 /nfs/dbraw/zinc/42/56/01/984425601.db2.gz JOVXIENVGWVRGE-GHMZBOCLSA-N 0 1 271.313 0.670 20 30 CCEDMN C=CCOCCN1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC001588868849 984435850 /nfs/dbraw/zinc/43/58/50/984435850.db2.gz DJCNILZVESTKJQ-LBPRGKRZSA-N 0 1 256.346 0.670 20 30 CCEDMN C=CCOCC[N@H+]1CCN(CCC(=O)[O-])C[C@@H]1C ZINC001588868849 984435852 /nfs/dbraw/zinc/43/58/52/984435852.db2.gz DJCNILZVESTKJQ-LBPRGKRZSA-N 0 1 256.346 0.670 20 30 CCEDMN C=CCOCC[N@@H+]1CCN(CCC(=O)[O-])C[C@@H]1C ZINC001588868849 984435855 /nfs/dbraw/zinc/43/58/55/984435855.db2.gz DJCNILZVESTKJQ-LBPRGKRZSA-N 0 1 256.346 0.670 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1[NH2+]C1CCN(CC(=O)[O-])CC1 ZINC001588917011 984564652 /nfs/dbraw/zinc/56/46/52/984564652.db2.gz KPXDXJWRBBLQLU-OLZOCXBDSA-N 0 1 268.357 0.859 20 30 CCEDMN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+](C)CCc1cccc(C#N)c1 ZINC001589396528 986521487 /nfs/dbraw/zinc/52/14/87/986521487.db2.gz GJTYMCVLZDQKOX-NSHDSACASA-N 0 1 289.335 0.622 20 30 CCEDMN C[N@@H+](CCNC(=O)c1cc(F)c(C#N)c(F)c1)CC(=O)[O-] ZINC001598492479 992318145 /nfs/dbraw/zinc/31/81/45/992318145.db2.gz NCMQYTZJIMTROZ-UHFFFAOYSA-N 0 1 297.261 0.583 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)c2ccc(C#N)[nH]2)C[C@H]1C(=O)[O-] ZINC001598573825 993243008 /nfs/dbraw/zinc/24/30/08/993243008.db2.gz IOADVJMPSMNDHF-SCZZXKLOSA-N 0 1 263.253 0.200 20 30 CCEDMN C[C@]1(C(N)=O)CC[N@@H+](Cc2cccc(C(=O)[O-])c2C#N)C1 ZINC001593815662 996551013 /nfs/dbraw/zinc/55/10/13/996551013.db2.gz NXCTXQMWVPZHCK-HNNXBMFYSA-N 0 1 287.319 0.954 20 30 CCEDMN CO[C@]1(C(=O)[O-])CC[N@H+](C[C@@H](C#N)CCC#N)C1 ZINC001599076976 996895853 /nfs/dbraw/zinc/89/58/53/996895853.db2.gz CGUFGLQRMLOOIS-ZYHUDNBSSA-N 0 1 251.286 0.605 20 30 CCEDMN CO[C@]1(C(=O)[O-])CC[N@@H+](C[C@@H](C#N)CCC#N)C1 ZINC001599076976 996895863 /nfs/dbraw/zinc/89/58/63/996895863.db2.gz CGUFGLQRMLOOIS-ZYHUDNBSSA-N 0 1 251.286 0.605 20 30 CCEDMN COC[C@]1(C(=O)[O-])CCCC[N@H+]1C[C@H](O)CC#N ZINC001599187332 997050861 /nfs/dbraw/zinc/05/08/61/997050861.db2.gz FVZKQGSVFRKPDC-PWSUYJOCSA-N 0 1 256.302 0.217 20 30 CCEDMN C=CCCC[C@H](C)N1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000091593187 349469952 /nfs/dbraw/zinc/46/99/52/349469952.db2.gz VIXCNJOWJOEJGB-NWDGAFQWSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1C[C@@H]2CCC[C@]2(CO)C1)C1CC1 ZINC000599636411 361766719 /nfs/dbraw/zinc/76/67/19/361766719.db2.gz OLZLZKKIWZNPKF-CWRNSKLLSA-N 0 1 291.395 0.889 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1C[C@@H]2CCC[C@]2(CO)C1)C1CC1 ZINC000599636412 361766901 /nfs/dbraw/zinc/76/69/01/361766901.db2.gz OLZLZKKIWZNPKF-NUEKZKHPSA-N 0 1 291.395 0.889 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C[C@@H]2CCCO2)CC1 ZINC000094972541 349580583 /nfs/dbraw/zinc/58/05/83/349580583.db2.gz SEWBPPLIPDWJCL-NSHDSACASA-N 0 1 251.330 0.660 20 30 CCEDMN CCC(C#N)C(=O)N1C[C@H](C(=O)OC)CC[C@H]1C(=O)OC ZINC000600340884 361931861 /nfs/dbraw/zinc/93/18/61/361931861.db2.gz PHHYNPXUSLJPCO-MXWKQRLJSA-N 0 1 296.323 0.489 20 30 CCEDMN Cc1c(C#N)cccc1C(=O)NCCN1CCN(C)CC1 ZINC000338102365 214399202 /nfs/dbraw/zinc/39/92/02/214399202.db2.gz YETCMUWYEZQATN-UHFFFAOYSA-N 0 1 286.379 0.844 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ncccc2N)CC1 ZINC000601042822 362141002 /nfs/dbraw/zinc/14/10/02/362141002.db2.gz KPNBUBBEPOEGKI-UHFFFAOYSA-N 0 1 259.313 0.382 20 30 CCEDMN COC(=O)[C@H](C)NC(=O)[C@H](C)NCc1ccc(C#N)s1 ZINC000601146299 362158422 /nfs/dbraw/zinc/15/84/22/362158422.db2.gz CLZSWIJWDQTBOF-IUCAKERBSA-N 0 1 295.364 0.776 20 30 CCEDMN C[C@H](CC(=O)NC1(C#N)CCN(C)CC1)n1cccn1 ZINC000573480493 334940868 /nfs/dbraw/zinc/94/08/68/334940868.db2.gz NBCMSVORKJNQQY-GFCCVEGCSA-N 0 1 275.356 0.938 20 30 CCEDMN CN(CC(=O)N(CC#N)CC#N)[C@@H]1CCSC1 ZINC000347168529 529825783 /nfs/dbraw/zinc/82/57/83/529825783.db2.gz PPTZSBRLFFVKPC-SNVBAGLBSA-N 0 1 252.343 0.299 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCN(C)C[C@@H](C(=O)OC)C2)C1 ZINC000601632835 362333337 /nfs/dbraw/zinc/33/33/37/362333337.db2.gz RMYFFEQYKFNNDP-GFCCVEGCSA-N 0 1 280.368 0.906 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCN(C)C[C@H](C(=O)OC)C2)C1 ZINC000601632838 362333602 /nfs/dbraw/zinc/33/36/02/362333602.db2.gz RMYFFEQYKFNNDP-LBPRGKRZSA-N 0 1 280.368 0.906 20 30 CCEDMN C[C@@H](C#N)C(=O)Nc1cc(N2CCN(C)CC2)ccn1 ZINC000601805994 362397419 /nfs/dbraw/zinc/39/74/19/362397419.db2.gz PEDRQTFOKFLQJC-NSHDSACASA-N 0 1 273.340 0.932 20 30 CCEDMN C[C@H](CC#N)NC(=O)C(C)(C)CN1CCOCC1 ZINC000602085389 362478062 /nfs/dbraw/zinc/47/80/62/362478062.db2.gz LTQUBLZURZVASP-LLVKDONJSA-N 0 1 253.346 0.763 20 30 CCEDMN Cc1cc(=NC(=O)C2(CN(C)C)CC2)[nH]n1CCC#N ZINC000602132476 362511796 /nfs/dbraw/zinc/51/17/96/362511796.db2.gz IBRUZHNDTWIIOO-UHFFFAOYSA-N 0 1 275.356 0.807 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCC(c3n[nH]c(=O)o3)CC2)c1 ZINC000339186975 215002103 /nfs/dbraw/zinc/00/21/03/215002103.db2.gz BCVKVBOMJDTIBO-UHFFFAOYSA-N 0 1 287.279 0.995 20 30 CCEDMN C[C@@H]1CN(Cc2cccc(C#N)n2)C[C@H](C)N1CCO ZINC000602681668 362744339 /nfs/dbraw/zinc/74/43/39/362744339.db2.gz KFDUOCSTPFTFKP-BETUJISGSA-N 0 1 274.368 0.840 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1Cc1cccc(C#N)n1 ZINC000602695777 362755212 /nfs/dbraw/zinc/75/52/12/362755212.db2.gz NVVGTCZQYVFIDO-QWHCGFSZSA-N 0 1 274.368 0.840 20 30 CCEDMN CNC(=O)NC[C@H]1CN(C[C@@H](C)CCC#N)CCO1 ZINC000602721893 362770616 /nfs/dbraw/zinc/77/06/16/362770616.db2.gz UHSRURSQRUEUCT-RYUDHWBXSA-N 0 1 268.361 0.556 20 30 CCEDMN C[C@@H]1CN(CCN2C[C@H]3CC[C@@H](C2)O3)C[C@H](C)N1CC#N ZINC000602855396 362842409 /nfs/dbraw/zinc/84/24/09/362842409.db2.gz TXXBSZZXDYJOIK-GEEKYZPCSA-N 0 1 292.427 0.768 20 30 CCEDMN C[C@@H]1C[C@H](NS(=O)(=O)c2cncc(C#N)c2)CCN1C ZINC000312607290 137077205 /nfs/dbraw/zinc/07/72/05/137077205.db2.gz FLGXGCGZBZVYSB-ZYHUDNBSSA-N 0 1 294.380 0.714 20 30 CCEDMN C[C@H]1CS(=O)(=O)C[C@@H]1NCc1cc(C#N)n(C)c1 ZINC000342459599 137094982 /nfs/dbraw/zinc/09/49/82/137094982.db2.gz UWVMEUADLAXWAW-CABZTGNLSA-N 0 1 267.354 0.419 20 30 CCEDMN CCN1C[C@@H](C)N(C(=O)[C@@H](C)n2cnc(C#N)n2)[C@@H](C)C1 ZINC000344468827 137196084 /nfs/dbraw/zinc/19/60/84/137196084.db2.gz VDSGBGHVDOWYPJ-GRYCIOLGSA-N 0 1 290.371 0.652 20 30 CCEDMN CC[C@H]1COCCN1CCC(=O)N(C)CCC#N ZINC000036326302 348166036 /nfs/dbraw/zinc/16/60/36/348166036.db2.gz DLUBRICZAGCDHR-LBPRGKRZSA-N 0 1 253.346 0.859 20 30 CCEDMN CN1CCN(C(=O)CNCc2cccc(C#N)c2)CC1 ZINC000037749415 348200721 /nfs/dbraw/zinc/20/07/21/348200721.db2.gz WIVFHOKNRPXFGC-UHFFFAOYSA-N 0 1 272.352 0.422 20 30 CCEDMN C[C@@H](CC#N)NCCC(=O)NC(=O)NCC(F)(F)F ZINC000602869389 362853078 /nfs/dbraw/zinc/85/30/78/362853078.db2.gz NVPCLCOLNJAFHW-ZETCQYMHSA-N 0 1 280.250 0.656 20 30 CCEDMN C[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H]1CO ZINC000602886085 362864982 /nfs/dbraw/zinc/86/49/82/362864982.db2.gz IYJNPGPHDANXPO-MWLCHTKSSA-N 0 1 277.328 0.196 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@]2(C)CC2(F)F)[nH]1 ZINC000602887201 362866110 /nfs/dbraw/zinc/86/61/10/362866110.db2.gz NAABETBWRGICLK-SNVBAGLBSA-N 0 1 269.255 0.880 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCCc3c(cnn3C)C2)[nH]1 ZINC000602887304 362866445 /nfs/dbraw/zinc/86/64/45/362866445.db2.gz OMJMYBPCHKYGOJ-UHFFFAOYSA-N 0 1 299.338 0.427 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@]3(CCOC3)C2)[nH]1 ZINC000602879439 362858980 /nfs/dbraw/zinc/85/89/80/362858980.db2.gz DRWOEUSLXMLFSE-AWEZNQCLSA-N 0 1 289.339 0.748 20 30 CCEDMN Cc1ccc(CNC(=O)N=c2[nH]n(C)cc2C#N)o1 ZINC000602881138 362860584 /nfs/dbraw/zinc/86/05/84/362860584.db2.gz IKERPWJAWJEOQF-UHFFFAOYSA-N 0 1 259.269 0.937 20 30 CCEDMN CS[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602880848 362860618 /nfs/dbraw/zinc/86/06/18/362860618.db2.gz NGBGUXIMTWAKTE-VIFPVBQESA-N 0 1 265.342 0.683 20 30 CCEDMN CO[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H]1C ZINC000602880837 362860645 /nfs/dbraw/zinc/86/06/45/362860645.db2.gz MVWOGEGGRXQBRW-ONGXEEELSA-N 0 1 277.328 0.602 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCN(CCc2ccccc2)CC1 ZINC000042564543 348285574 /nfs/dbraw/zinc/28/55/74/348285574.db2.gz ABJIEVWBDVXMHF-MRXNPFEDSA-N 0 1 299.418 0.985 20 30 CCEDMN COc1cc(C#N)ccc1CN1C[C@@H](O)C[C@@]1(C)CO ZINC000602901522 362875992 /nfs/dbraw/zinc/87/59/92/362875992.db2.gz ZHECVJSMTQWBHP-ZFWWWQNUSA-N 0 1 276.336 0.884 20 30 CCEDMN COC[C@](C)(CO)NCC(=O)N(C)C1(C#N)CCCCC1 ZINC000602932140 362894047 /nfs/dbraw/zinc/89/40/47/362894047.db2.gz MXVQZTDOMSJDJW-AWEZNQCLSA-N 0 1 297.399 0.658 20 30 CCEDMN CNC(=O)NC(=O)CCN(C)[C@@H]1CCC[C@@H]1C#N ZINC000602976529 362917669 /nfs/dbraw/zinc/91/76/69/362917669.db2.gz QSXWWZSQKQHHEI-NXEZZACHSA-N 0 1 252.318 0.456 20 30 CCEDMN CCN1CCN(CC(=O)NC2(C#N)CCCC2)CC1 ZINC000048418485 348409212 /nfs/dbraw/zinc/40/92/12/348409212.db2.gz XDVOYNFQQGRSIG-UHFFFAOYSA-N 0 1 264.373 0.576 20 30 CCEDMN CCN(CCC(=O)N1CCOCC1)C[C@H](C)C#N ZINC000066425044 348742777 /nfs/dbraw/zinc/74/27/77/348742777.db2.gz CEKYIARSOPKCMB-GFCCVEGCSA-N 0 1 253.346 0.717 20 30 CCEDMN C=C(Cl)CNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000077792069 349121597 /nfs/dbraw/zinc/12/15/97/349121597.db2.gz HIDQRMTXECBIAZ-NSHDSACASA-N 0 1 274.796 0.674 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(OCCOC)C2)CC1 ZINC000080376789 349210979 /nfs/dbraw/zinc/21/09/79/349210979.db2.gz ZBFIEIKVECSPRC-UHFFFAOYSA-N 0 1 280.368 0.205 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)Cc1ccc(C#N)cc1 ZINC000080531038 349216858 /nfs/dbraw/zinc/21/68/58/349216858.db2.gz KSVKBPNHSDEHAW-UHFFFAOYSA-N 0 1 255.281 0.877 20 30 CCEDMN C[C@H](Oc1ccc(C#N)cc1)C(=O)NCCc1nc[nH]n1 ZINC000080582500 349220074 /nfs/dbraw/zinc/22/00/74/349220074.db2.gz PUWJIYIMNGTPQF-JTQLQIEISA-N 0 1 285.307 0.803 20 30 CCEDMN CCNC(=O)CN1CCN(CCCSCC#N)CC1 ZINC000080631065 349223727 /nfs/dbraw/zinc/22/37/27/349223727.db2.gz VDMPHOJIRVNYMY-UHFFFAOYSA-N 0 1 284.429 0.387 20 30 CCEDMN N#Cc1ccccc1N1CCN(CC2(CO)COC2)CC1 ZINC000089123373 349375757 /nfs/dbraw/zinc/37/57/57/349375757.db2.gz KGHWUYQKZAWYFW-UHFFFAOYSA-N 0 1 287.363 0.689 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H]([C@@H](C)O)C1 ZINC000089931442 349413664 /nfs/dbraw/zinc/41/36/64/349413664.db2.gz CWBMVAMOPLLXOZ-CHWSQXEVSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(CCCNS(=O)(=O)CC)C[C@H](C)C#N ZINC000132029338 350294719 /nfs/dbraw/zinc/29/47/19/350294719.db2.gz MXZLUSYIZXFATQ-LLVKDONJSA-N 0 1 261.391 0.797 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)C(C)(C)CO ZINC000134837576 350377565 /nfs/dbraw/zinc/37/75/65/350377565.db2.gz DRUOZYNBJHQLLU-CYBMUJFWSA-N 0 1 255.362 0.744 20 30 CCEDMN N#Cc1cnn(C(=O)[C@H]2CCCc3[nH]ncc32)c1N ZINC000136344193 350421747 /nfs/dbraw/zinc/42/17/47/350421747.db2.gz IXUCNVLCJPKQOR-QMMMGPOBSA-N 0 1 256.269 0.820 20 30 CCEDMN C[C@H](O)CNCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000147730089 350470411 /nfs/dbraw/zinc/47/04/11/350470411.db2.gz ALEZTZGUYJZJCN-JTQLQIEISA-N 0 1 268.338 0.302 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CCCCN2C)C[C@@H](C)N1CC#N ZINC000603099723 362963018 /nfs/dbraw/zinc/96/30/18/362963018.db2.gz LALZVBCVFXRXIS-MCIONIFRSA-N 0 1 278.400 0.915 20 30 CCEDMN N#Cc1ccc2ncc(CN3CC[C@H](C(N)=O)C3)n2c1 ZINC000157121536 350639589 /nfs/dbraw/zinc/63/95/89/350639589.db2.gz FAXJKQJCYGTCNZ-NSHDSACASA-N 0 1 269.308 0.513 20 30 CCEDMN C[NH+]1CCN(CCCCNC(=O)c2ccc(C#N)[nH]2)CC1 ZINC000157584189 350646201 /nfs/dbraw/zinc/64/62/01/350646201.db2.gz YFCDPPBOHRFQDQ-UHFFFAOYSA-N 0 1 289.383 0.644 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)Cn1cc([C@H](C)NC)nn1 ZINC000603209113 363000948 /nfs/dbraw/zinc/00/09/48/363000948.db2.gz OEDQNNKFAGGQPZ-QWRGUYRKSA-N 0 1 278.360 0.567 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)Cc1cccc(C#N)c1 ZINC000175701030 351139665 /nfs/dbraw/zinc/13/96/65/351139665.db2.gz VKRWLBHPNMJUGM-UHFFFAOYSA-N 0 1 281.381 0.881 20 30 CCEDMN N#Cc1ccc(N2CCN(CCn3ccnc3)CC2)nc1 ZINC000179267354 351263508 /nfs/dbraw/zinc/26/35/08/351263508.db2.gz ZSZJGSIKNXITLX-UHFFFAOYSA-N 0 1 282.351 0.972 20 30 CCEDMN C[C@@H]1CN(C(=O)c2cc(C#N)c[nH]2)CCN1CC(C)(C)O ZINC000186944873 351522640 /nfs/dbraw/zinc/52/26/40/351522640.db2.gz WRASUGXYRPKJRX-LLVKDONJSA-N 0 1 290.367 0.804 20 30 CCEDMN Cc1nc(NC[C@@H]2CN(C)CCN2C)ccc1C#N ZINC000291775180 198087643 /nfs/dbraw/zinc/08/76/43/198087643.db2.gz CCCYUUGUUSERNH-CYBMUJFWSA-N 0 1 259.357 0.919 20 30 CCEDMN COc1cc(CN[C@@H]2CCS(=O)(=O)C2)ccc1C#N ZINC000234945446 352178448 /nfs/dbraw/zinc/17/84/48/352178448.db2.gz BUYPZNBZPFHCIA-GFCCVEGCSA-N 0 1 280.349 0.844 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)/C=C/c2ccc(C#N)cc2)C1 ZINC000264778626 352596698 /nfs/dbraw/zinc/59/66/98/352596698.db2.gz RLKUXYJYVYGDLW-WAVCKPEOSA-N 0 1 298.390 0.933 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(C[C@@H]2C(=O)OC)CC1 ZINC000265041401 352615628 /nfs/dbraw/zinc/61/56/28/352615628.db2.gz KCACIWOKZZYMBC-NWDGAFQWSA-N 0 1 280.368 0.952 20 30 CCEDMN C[C@H](NCC(=O)N1CCNC(=O)C1)c1ccc(C#N)cc1 ZINC000271607141 191236954 /nfs/dbraw/zinc/23/69/54/191236954.db2.gz AIXQPNJTKJXWGR-NSHDSACASA-N 0 1 286.335 0.167 20 30 CCEDMN COCCN1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000269730653 352869271 /nfs/dbraw/zinc/86/92/71/352869271.db2.gz VPYGADJIFKTVPU-UHFFFAOYSA-N 0 1 276.340 0.681 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)cn2)[C@H](C)CN1CCO ZINC000418997298 234281191 /nfs/dbraw/zinc/28/11/91/234281191.db2.gz LDHJRKABHNDXGV-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1ccc(C(=O)OC)[nH]1 ZINC000272837041 353099006 /nfs/dbraw/zinc/09/90/06/353099006.db2.gz GQBDRXQWRNDDIH-UHFFFAOYSA-N 0 1 286.353 0.492 20 30 CCEDMN COC(=O)CNC(=O)[C@H](C)N[C@H](C)c1ccc(C#N)cc1 ZINC000271673496 191270226 /nfs/dbraw/zinc/27/02/26/191270226.db2.gz OCXWXVLCUVEOCG-MNOVXSKESA-N 0 1 289.335 0.887 20 30 CCEDMN C#CCSCCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000277044126 353219441 /nfs/dbraw/zinc/21/94/41/353219441.db2.gz FILOGGJMYHYPLD-UHFFFAOYSA-N 0 1 296.314 0.926 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H](c2cnn(C)c2)[C@H](CO)C1 ZINC000277821208 353267766 /nfs/dbraw/zinc/26/77/66/353267766.db2.gz QXADNKLITNUTFJ-UBHSHLNASA-N 0 1 292.383 0.119 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000280787664 353383377 /nfs/dbraw/zinc/38/33/77/353383377.db2.gz QTMVXBMTVOCUJP-OUAUKWLOSA-N 0 1 297.355 0.128 20 30 CCEDMN CCN(C[C@@H](O)c1ccc(C#N)cc1)[C@@H]1CCNC1=O ZINC000282476780 353427515 /nfs/dbraw/zinc/42/75/15/353427515.db2.gz VAYJYJNNWHDQDT-ZIAGYGMSSA-N 0 1 273.336 0.802 20 30 CCEDMN C[C@@](O)(CNS(=O)(=O)c1ccc(C#N)o1)C(F)(F)F ZINC000287946897 353677176 /nfs/dbraw/zinc/67/71/76/353677176.db2.gz WGFZEAMRDXGWCA-MRVPVSSYSA-N 0 1 298.242 0.743 20 30 CCEDMN CC(C)[C@H](O)CN1CCN(c2nccnc2C#N)C[C@H]1C ZINC000292671482 353784596 /nfs/dbraw/zinc/78/45/96/353784596.db2.gz QMGUJBPPJMJEPX-TZMCWYRMSA-N 0 1 289.383 0.876 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCN(CC(C)(C)O)CC1 ZINC000306069050 354172018 /nfs/dbraw/zinc/17/20/18/354172018.db2.gz ZREHCJKMFPTPBQ-NSHDSACASA-N 0 1 256.346 0.103 20 30 CCEDMN C[C@H](CNS(=O)(=O)c1cncc(C#N)c1)N1CCCC1 ZINC000312598018 354208907 /nfs/dbraw/zinc/20/89/07/354208907.db2.gz DOTBELBOZHGKIW-LLVKDONJSA-N 0 1 294.380 0.716 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(C#N)o1)N1CCCC1 ZINC000557797643 291044993 /nfs/dbraw/zinc/04/49/93/291044993.db2.gz IYMLZMOQEMPUFV-JTQLQIEISA-N 0 1 283.353 0.914 20 30 CCEDMN CNC(=O)NC(=O)CNC1(c2cccc(C#N)c2)CC1 ZINC000271849521 191367050 /nfs/dbraw/zinc/36/70/50/191367050.db2.gz XGJHCPRELHGNOC-UHFFFAOYSA-N 0 1 272.308 0.593 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N(C)CCOCCO ZINC000337489639 355303145 /nfs/dbraw/zinc/30/31/45/355303145.db2.gz LXJSVWUORRPEHV-GXTWGEPZSA-N 0 1 285.388 0.370 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000337954761 355308502 /nfs/dbraw/zinc/30/85/02/355308502.db2.gz VPWDQWMTXMMTGT-GHMZBOCLSA-N 0 1 286.401 0.291 20 30 CCEDMN N#Cc1cc([N+](=O)[O-])cnc1N1CC[C@@H](c2nc[nH]n2)C1 ZINC000425288708 235282151 /nfs/dbraw/zinc/28/21/51/235282151.db2.gz UPTGRGGSKLNTOC-MRVPVSSYSA-N 0 1 285.267 0.973 20 30 CCEDMN N#Cc1ccc([C@H](NCCN2CCOCC2)C(N)=O)cc1 ZINC000425979723 235322626 /nfs/dbraw/zinc/32/26/26/235322626.db2.gz UNNZZYOMLUJDSV-AWEZNQCLSA-N 0 1 288.351 0.006 20 30 CCEDMN N#Cc1ccc([C@H](NCCCn2ccnn2)C(N)=O)cc1 ZINC000342752420 355738294 /nfs/dbraw/zinc/73/82/94/355738294.db2.gz ORSNKZLGWHHPPH-ZDUSSCGKSA-N 0 1 284.323 0.356 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCN1CCN(C)CC1 ZINC000343609148 355776282 /nfs/dbraw/zinc/77/62/82/355776282.db2.gz WTGWEVINIRRZOJ-CQSZACIVSA-N 0 1 253.390 0.952 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)c2cc(C#N)cn2C)n[nH]1 ZINC000353258140 356188809 /nfs/dbraw/zinc/18/88/09/356188809.db2.gz LFINNWJNAIIJRJ-ZETCQYMHSA-N 0 1 258.285 0.814 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2ccc(C#N)nc2)n1 ZINC000354498604 356425564 /nfs/dbraw/zinc/42/55/64/356425564.db2.gz KANKYOKUKLEQSM-UHFFFAOYSA-N 0 1 256.269 0.352 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000560371003 291098150 /nfs/dbraw/zinc/09/81/50/291098150.db2.gz LJUUPIPRQRLFQH-SECBINFHSA-N 0 1 285.311 0.651 20 30 CCEDMN COC(=O)c1ccc(C(=O)N=c2[nH]n(C)cc2C#N)cc1 ZINC000354936675 356531564 /nfs/dbraw/zinc/53/15/64/356531564.db2.gz YRJKOVRPTKNKJF-UHFFFAOYSA-N 0 1 284.275 0.753 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1cccc(CC#N)c1)c1nnc[nH]1 ZINC000619494471 366267388 /nfs/dbraw/zinc/26/73/88/366267388.db2.gz CPJGRACFSGBULW-SECBINFHSA-N 0 1 291.336 0.910 20 30 CCEDMN C[C@@H](C(=O)Nc1cc(N(C)C)ccn1)n1cnc(C#N)n1 ZINC000619615695 366301512 /nfs/dbraw/zinc/30/15/12/366301512.db2.gz IMVQWIMKONPKQE-VIFPVBQESA-N 0 1 285.311 0.810 20 30 CCEDMN CC(C)N1CCN(C(=O)NC2CCN(CC#N)CC2)CC1 ZINC000609340455 363491256 /nfs/dbraw/zinc/49/12/56/363491256.db2.gz VXVQAXKAZGFCPE-UHFFFAOYSA-N 0 1 293.415 0.710 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN([C@@H](CC)CO)CC1 ZINC000619718739 366339026 /nfs/dbraw/zinc/33/90/26/366339026.db2.gz ZAPUHEFSMXDHDI-NWDGAFQWSA-N 0 1 256.346 0.103 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@H]1CCCN(C)C1 ZINC000619708180 366330155 /nfs/dbraw/zinc/33/01/55/366330155.db2.gz CLYBTFDGUXJMDK-NSHDSACASA-N 0 1 274.390 0.151 20 30 CCEDMN CCN1CC[C@@H](NS(=O)(=O)c2cccc(C#N)c2)C1 ZINC000359943490 356924273 /nfs/dbraw/zinc/92/42/73/356924273.db2.gz ZUABQIWPFRQZNG-GFCCVEGCSA-N 0 1 279.365 0.931 20 30 CCEDMN CCOCCOC[C@H](O)CNCc1ccc(C#N)o1 ZINC000360167531 356963212 /nfs/dbraw/zinc/96/32/12/356963212.db2.gz ZKEACIHXYDBBJP-LLVKDONJSA-N 0 1 268.313 0.655 20 30 CCEDMN CS(=O)(=O)NCCN(CCCSCC#N)C1CC1 ZINC000361372893 357046591 /nfs/dbraw/zinc/04/65/91/357046591.db2.gz AIKTWDOMWDXUJU-UHFFFAOYSA-N 0 1 291.442 0.647 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@H](OC)C1 ZINC000361363363 357046613 /nfs/dbraw/zinc/04/66/13/357046613.db2.gz YFLCCSLLJSANDE-ZJUUUORDSA-N 0 1 255.318 0.107 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](O)C1(C)C ZINC000361417501 357046921 /nfs/dbraw/zinc/04/69/21/357046921.db2.gz XDIYCSBMGGKWLA-NEPJUHHUSA-N 0 1 267.373 0.840 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](O)C1(C)C ZINC000361419390 357047569 /nfs/dbraw/zinc/04/75/69/357047569.db2.gz CCSGUXKJOVJACR-NSHDSACASA-N 0 1 253.346 0.594 20 30 CCEDMN Cc1ncc(CNC(=O)N=c2[nH]n(C)cc2C#N)s1 ZINC000610561720 363576233 /nfs/dbraw/zinc/57/62/33/363576233.db2.gz OTBSTBZJAJMKBP-UHFFFAOYSA-N 0 1 276.325 0.800 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2[C@H]3CCO[C@H]3C2(C)C)[nH]1 ZINC000610562232 363576590 /nfs/dbraw/zinc/57/65/90/363576590.db2.gz LKGYSCGDDGEDKL-GMTAPVOTSA-N 0 1 289.339 0.649 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCOC(C)(C)C)[nH]1 ZINC000610561609 363576920 /nfs/dbraw/zinc/57/69/20/363576920.db2.gz OFOLRISFXFYUED-UHFFFAOYSA-N 0 1 265.317 0.650 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CCS1 ZINC000610562803 363578086 /nfs/dbraw/zinc/57/80/86/363578086.db2.gz HDEYPGSPNIKUOD-MRVPVSSYSA-N 0 1 265.342 0.683 20 30 CCEDMN CN(CC(=O)NCc1ccc(C#N)cc1)C[C@@H](O)C1CC1 ZINC000610572884 363580532 /nfs/dbraw/zinc/58/05/32/363580532.db2.gz RBCVUVQAQKVZJL-OAHLLOKOSA-N 0 1 287.363 0.877 20 30 CCEDMN C=CCOCCNC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000368193797 357328416 /nfs/dbraw/zinc/32/84/16/357328416.db2.gz FRROWBPELSACFT-JTQLQIEISA-N 0 1 264.329 0.769 20 30 CCEDMN CC(C)(C#N)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000394777018 357759044 /nfs/dbraw/zinc/75/90/44/357759044.db2.gz CHNOPGWUKPRKFT-NSHDSACASA-N 0 1 250.346 0.042 20 30 CCEDMN CC(C)(C)n1cc(C#N)c(=NC(=O)[C@@H]2COCCO2)[nH]1 ZINC000408282081 358008356 /nfs/dbraw/zinc/00/83/56/358008356.db2.gz TXRQFPSMOQYDSB-JTQLQIEISA-N 0 1 278.312 0.286 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1cccc2nn[nH]c21 ZINC000491294959 358293143 /nfs/dbraw/zinc/29/31/43/358293143.db2.gz FFELMDYBFLQVNB-VIFPVBQESA-N 0 1 256.265 0.432 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC000511603517 358334788 /nfs/dbraw/zinc/33/47/88/358334788.db2.gz HSHWGGJHSQUILZ-YRGRVCCFSA-N 0 1 267.373 0.886 20 30 CCEDMN Cc1cc(CNc2nccc(C#N)c2[N+](=O)[O-])n[nH]1 ZINC000610755113 363608772 /nfs/dbraw/zinc/60/87/72/363608772.db2.gz YBSNTWQFKMRJAV-UHFFFAOYSA-N 0 1 258.241 0.927 20 30 CCEDMN CC1CC(C#N)(C(=O)NC[C@@H]2CCCC[N@H+]2CCO)C1 ZINC000584762261 359201547 /nfs/dbraw/zinc/20/15/47/359201547.db2.gz YVOYMALQDLBFJB-OWYJLGKBSA-N 0 1 279.384 0.889 20 30 CCEDMN CC1CC(C#N)(C(=O)NC[C@@H]2CCCCN2CCO)C1 ZINC000584762261 359201548 /nfs/dbraw/zinc/20/15/48/359201548.db2.gz YVOYMALQDLBFJB-OWYJLGKBSA-N 0 1 279.384 0.889 20 30 CCEDMN CC[C@@H](CO)N1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000610994204 363645384 /nfs/dbraw/zinc/64/53/84/363645384.db2.gz VAHCJLRFCNAZFN-HNNXBMFYSA-N 0 1 274.368 0.842 20 30 CCEDMN Cc1cc(C#N)cc(N2CCN(C(=O)CN(C)C)CC2)n1 ZINC000587165224 359299869 /nfs/dbraw/zinc/29/98/69/359299869.db2.gz XOQKKEYFIJBFAR-UHFFFAOYSA-N 0 1 287.367 0.472 20 30 CCEDMN CC1(C)CN(c2cc(C#N)ccn2)CCN1CCO ZINC000588026850 359308814 /nfs/dbraw/zinc/30/88/14/359308814.db2.gz LPTBABATRXWZJV-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN CCOC1CC(N(C)C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000588258936 359319688 /nfs/dbraw/zinc/31/96/88/359319688.db2.gz QNODEBIMLPECJR-UHFFFAOYSA-N 0 1 277.328 0.745 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)C(=O)COc1ccccc1C#N ZINC000588848792 359341072 /nfs/dbraw/zinc/34/10/72/359341072.db2.gz DZHMTTGUZDFXSN-UHFFFAOYSA-N 0 1 288.263 0.684 20 30 CCEDMN CN1C[C@H]2[C@@H](CCN2S(=O)(=O)c2ccc(C#N)nc2)C1 ZINC000589926659 359410581 /nfs/dbraw/zinc/41/05/81/359410581.db2.gz YEODDHYORBIKDW-GWCFXTLKSA-N 0 1 292.364 0.278 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@H](O)C23CCCC3)[nH]1 ZINC000590121412 359426010 /nfs/dbraw/zinc/42/60/10/359426010.db2.gz KGNJZYZBVOJTKG-LLVKDONJSA-N 0 1 289.339 0.625 20 30 CCEDMN Cc1nnc(CN2CCN([C@@H]3CC[C@H](C#N)C3)CC2)[nH]1 ZINC000590167172 359427956 /nfs/dbraw/zinc/42/79/56/359427956.db2.gz ZOSVDWSAUASGTE-QWHCGFSZSA-N 0 1 274.372 0.923 20 30 CCEDMN CO[C@@](C)(CNC(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000589761833 359400910 /nfs/dbraw/zinc/40/09/10/359400910.db2.gz JWKGBWBSJFDWFP-ZDUSSCGKSA-N 0 1 277.328 0.650 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)N1CCc2nc[nH]c2C1 ZINC000590378391 359447139 /nfs/dbraw/zinc/44/71/39/359447139.db2.gz ISSMNEWDCTWSFD-UHFFFAOYSA-N 0 1 255.281 0.818 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)CS1 ZINC000591338150 359579703 /nfs/dbraw/zinc/57/97/03/359579703.db2.gz NHBDBZNYRIFHTJ-VXNVDRBHSA-N 0 1 265.342 0.729 20 30 CCEDMN CN1CCOC[C@H]1C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000591706792 359644113 /nfs/dbraw/zinc/64/41/13/359644113.db2.gz NYAMAAHAUTXBKH-NSHDSACASA-N 0 1 291.355 0.201 20 30 CCEDMN CC(C)(O)CN1CCN(Cc2ccncc2C#N)CC1 ZINC000592066692 359745050 /nfs/dbraw/zinc/74/50/50/359745050.db2.gz HMIWCWSDOQBJOY-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](O)CN(Cc2ccc(C#N)cc2)C1 ZINC000592193585 359783008 /nfs/dbraw/zinc/78/30/08/359783008.db2.gz BZZJGUYQZJMHDX-ZIAGYGMSSA-N 0 1 274.320 0.914 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)c2cncc(C#N)c2)CCN1 ZINC000592379136 359827968 /nfs/dbraw/zinc/82/79/68/359827968.db2.gz HPSCFTPFBVIQOX-CYBMUJFWSA-N 0 1 294.380 0.572 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2O[C@H](C)C[C@@H]2C)[nH]n1C ZINC000592649246 359909309 /nfs/dbraw/zinc/90/93/09/359909309.db2.gz LYVIPVOUWSDFSK-VAOFZXAKSA-N 0 1 262.313 0.774 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCCNC[C@H]1C(=O)OC ZINC000592554390 359884826 /nfs/dbraw/zinc/88/48/26/359884826.db2.gz MALUYJMFRJZJMC-RYUDHWBXSA-N 0 1 284.356 0.331 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCCNC[C@H]1C(=O)OC ZINC000592554388 359884831 /nfs/dbraw/zinc/88/48/31/359884831.db2.gz MALUYJMFRJZJMC-NEPJUHHUSA-N 0 1 284.356 0.331 20 30 CCEDMN C=CCCOCC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000592791205 359936677 /nfs/dbraw/zinc/93/66/77/359936677.db2.gz BLRZGSZFNJTIJD-CYBMUJFWSA-N 0 1 269.389 0.331 20 30 CCEDMN CC1CCN(CC(=O)N2CC[C@@](O)(CC#N)C2)CC1 ZINC000592843971 359951117 /nfs/dbraw/zinc/95/11/17/359951117.db2.gz AAOFGSVQMNTEJL-AWEZNQCLSA-N 0 1 265.357 0.595 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CC[C@](O)(CC#N)C1 ZINC000592847809 359953342 /nfs/dbraw/zinc/95/33/42/359953342.db2.gz PNSJMSNSGIWITO-CQSZACIVSA-N 0 1 274.324 0.638 20 30 CCEDMN CCc1nc(CNS(=O)(=O)c2ccsc2C#N)n[nH]1 ZINC000593605117 360120526 /nfs/dbraw/zinc/12/05/26/360120526.db2.gz XBPRBSGXHOTVCT-UHFFFAOYSA-N 0 1 297.365 0.779 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000593637156 360124838 /nfs/dbraw/zinc/12/48/38/360124838.db2.gz GWQWTCIHKVVOJY-NWDGAFQWSA-N 0 1 267.373 0.840 20 30 CCEDMN COc1cc(CN2CC(N3CC[C@@H](O)C3)C2)ccc1C#N ZINC000593678150 360133959 /nfs/dbraw/zinc/13/39/59/360133959.db2.gz AFQAFUTZRPVEBF-OAHLLOKOSA-N 0 1 287.363 0.818 20 30 CCEDMN CC(C)(C#N)CC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000593858554 360178186 /nfs/dbraw/zinc/17/81/86/360178186.db2.gz JDCIKHTVYOQHLG-UHFFFAOYSA-N 0 1 276.344 0.389 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000595282769 360478801 /nfs/dbraw/zinc/47/88/01/360478801.db2.gz DOVOTHOSBLHCKW-TXEJJXNPSA-N 0 1 299.440 0.621 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)N(C)C[C@H](C)C#N)CCN1 ZINC000595410576 360524711 /nfs/dbraw/zinc/52/47/11/360524711.db2.gz FIGYPESVCXEBCG-NEPJUHHUSA-N 0 1 288.417 0.252 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N1CC[C@@](C)(C#N)C1 ZINC000595372006 360510763 /nfs/dbraw/zinc/51/07/63/360510763.db2.gz NBNVTACJZJMUDV-LBPRGKRZSA-N 0 1 273.402 0.894 20 30 CCEDMN CCOC(=O)c1c(C)[nH]nc1CN1CCOC[C@@H]1C#N ZINC000595384179 360514947 /nfs/dbraw/zinc/51/49/47/360514947.db2.gz BESAKUBNUVTALC-JTQLQIEISA-N 0 1 278.312 0.619 20 30 CCEDMN N#Cc1ccc(CCN2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000595389513 360516472 /nfs/dbraw/zinc/51/64/72/360516472.db2.gz PDKAQFKAZQLFGB-CYBMUJFWSA-N 0 1 279.365 0.464 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CC[C@](C)(C#N)C2)C1 ZINC000595498571 360550815 /nfs/dbraw/zinc/55/08/15/360550815.db2.gz FHDXSIFERRUCKJ-TZMCWYRMSA-N 0 1 279.388 0.177 20 30 CCEDMN C[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@]1(C)CO ZINC000595876220 360688098 /nfs/dbraw/zinc/68/80/98/360688098.db2.gz QZNPGBISMQXTDW-UKRRQHHQSA-N 0 1 292.383 0.593 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](C)[C@@](C)(CO)C1 ZINC000595879816 360688355 /nfs/dbraw/zinc/68/83/55/360688355.db2.gz XKPWSDASUKWFDV-VNHYZAJKSA-N 0 1 281.400 0.945 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+](CC(=O)[O-])CC(C)(C)C ZINC000595888664 360690877 /nfs/dbraw/zinc/69/08/77/360690877.db2.gz ZBOWEEJTXCPREE-UHFFFAOYSA-N 0 1 285.344 0.431 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+](CC(=O)[O-])CC(C)(C)C ZINC000595888664 360690882 /nfs/dbraw/zinc/69/08/82/360690882.db2.gz ZBOWEEJTXCPREE-UHFFFAOYSA-N 0 1 285.344 0.431 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNc2cc(C#N)cnn2)C1 ZINC000596059974 360783880 /nfs/dbraw/zinc/78/38/80/360783880.db2.gz WPVNYMOVNXKMMJ-ZDUSSCGKSA-N 0 1 275.356 0.539 20 30 CCEDMN N#Cc1cnnc(N2CCN([C@@H]3CCC[C@H]3O)CC2)c1 ZINC000596112437 360797301 /nfs/dbraw/zinc/79/73/01/360797301.db2.gz PLAIHKMFKZRKSA-CHWSQXEVSA-N 0 1 273.340 0.384 20 30 CCEDMN Cn1ncc(C#N)c1N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000596111686 360797678 /nfs/dbraw/zinc/79/76/78/360797678.db2.gz ARDMYYRXXGBJOE-STQMWFEESA-N 0 1 275.356 0.327 20 30 CCEDMN C[C@@H]1CN(c2c(C#N)cnn2C)CCN1CC(C)(C)O ZINC000596224018 360841893 /nfs/dbraw/zinc/84/18/93/360841893.db2.gz WTWYXIORBFQUES-LLVKDONJSA-N 0 1 277.372 0.573 20 30 CCEDMN N#Cc1cnnc(N2CCC[C@H](c3n[nH]c(=O)o3)C2)c1 ZINC000596224890 360842379 /nfs/dbraw/zinc/84/23/79/360842379.db2.gz JSPNIXGSIXXKKQ-VIFPVBQESA-N 0 1 272.268 0.821 20 30 CCEDMN C=CCCNC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000596718403 360945691 /nfs/dbraw/zinc/94/56/91/360945691.db2.gz JHIUMCANHJODED-NSHDSACASA-N 0 1 269.345 0.309 20 30 CCEDMN C[C@H](CC#N)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000597233890 361038000 /nfs/dbraw/zinc/03/80/00/361038000.db2.gz BYRXPLCCAMAPEN-VXGBXAGGSA-N 0 1 252.362 0.288 20 30 CCEDMN CC[C@H](CC#N)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597506897 361120170 /nfs/dbraw/zinc/12/01/70/361120170.db2.gz FDFYTUOEJWILMX-MRVPVSSYSA-N 0 1 297.336 0.462 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000597677527 361188394 /nfs/dbraw/zinc/18/83/94/361188394.db2.gz FXIGJWYZCSJGQD-RYUDHWBXSA-N 0 1 265.357 0.858 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000611632334 363752332 /nfs/dbraw/zinc/75/23/32/363752332.db2.gz BHTPYCAAWQKNAI-AGIUHOORSA-N 0 1 280.372 0.387 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCN(c2cnccn2)CC1 ZINC000598587949 361449655 /nfs/dbraw/zinc/44/96/55/361449655.db2.gz XNIMWGLOMYBMHW-ZDUSSCGKSA-N 0 1 289.383 0.899 20 30 CCEDMN Cn1cnnc1N1CCN(C[C@@H](O)CC(C)(C)C#N)CC1 ZINC000598622453 361462640 /nfs/dbraw/zinc/46/26/40/361462640.db2.gz KDOAKFFVXSICEJ-LBPRGKRZSA-N 0 1 292.387 0.238 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1C[C@@H](CO)OC(C)(C)C1 ZINC000598623620 361464492 /nfs/dbraw/zinc/46/44/92/361464492.db2.gz ZMVHYBOYWBKDPZ-RYUDHWBXSA-N 0 1 270.373 0.759 20 30 CCEDMN C[N@H+](Cc1n[n-]c(=O)o1)C[C@H](O)CC(C)(C)C#N ZINC000598623306 361465055 /nfs/dbraw/zinc/46/50/55/361465055.db2.gz VZQAZZHMTHIRMZ-MRVPVSSYSA-N 0 1 254.290 0.508 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2ccnn2C(F)F)[nH]n1C ZINC000598841025 361524944 /nfs/dbraw/zinc/52/49/44/361524944.db2.gz CJXRIQVYCNYTMI-UHFFFAOYSA-N 0 1 280.238 0.866 20 30 CCEDMN CCc1nnc(NCCN2CC[C@H](O)C2)c(C#N)c1CC ZINC000599200090 361608599 /nfs/dbraw/zinc/60/85/99/361608599.db2.gz WIJLMYUZZKSCHA-NSHDSACASA-N 0 1 289.383 0.952 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000612013772 363829487 /nfs/dbraw/zinc/82/94/87/363829487.db2.gz BZXNAGMXPMDFQZ-TZMCWYRMSA-N 0 1 268.357 0.104 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000613150364 364131074 /nfs/dbraw/zinc/13/10/74/364131074.db2.gz AZKMCOMTPVVTDY-QWRGUYRKSA-N 0 1 276.340 0.935 20 30 CCEDMN N#CC1(CS(=O)(=O)N[C@@H]2CCN(C3CC3)C2)CC1 ZINC000613262328 364187626 /nfs/dbraw/zinc/18/76/26/364187626.db2.gz YATAJKFYHOCMKL-SNVBAGLBSA-N 0 1 269.370 0.446 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000613790535 364374464 /nfs/dbraw/zinc/37/44/64/364374464.db2.gz POSBSNQTIWWSSX-BXUZGUMPSA-N 0 1 270.373 0.227 20 30 CCEDMN CCOC(=O)C[C@@H]1C[C@H](OC)CN1CC#CCOC ZINC000614229024 364542622 /nfs/dbraw/zinc/54/26/22/364542622.db2.gz XULHZDSDNIKDEB-STQMWFEESA-N 0 1 269.341 0.679 20 30 CCEDMN C=CCCC(C)(C)CNS(=O)(=O)C[C@H]1CNCCO1 ZINC000631962584 370790575 /nfs/dbraw/zinc/79/05/75/370790575.db2.gz QQBQQHJZGGDQEX-GFCCVEGCSA-N 0 1 290.429 0.887 20 30 CCEDMN C=CCCn1cc(C(=O)N(C)Cc2cnc[nH]2)nn1 ZINC000616921048 365468704 /nfs/dbraw/zinc/46/87/04/365468704.db2.gz DCARLJVXLIYJQP-UHFFFAOYSA-N 0 1 260.301 0.850 20 30 CCEDMN C=CCNc1ncc(C(=O)N(C)CC2CN(C)C2)s1 ZINC000622161793 367060426 /nfs/dbraw/zinc/06/04/26/367060426.db2.gz LAOCCEYQTQIECO-UHFFFAOYSA-N 0 1 280.397 0.797 20 30 CCEDMN O=C(NCC#Cc1ccccc1)NC[C@H]1COCCN1 ZINC000626929540 368683822 /nfs/dbraw/zinc/68/38/22/368683822.db2.gz XTBIRZDTIDVOEA-AWEZNQCLSA-N 0 1 273.336 0.326 20 30 CCEDMN CN1CCN(CCNC(=O)c2sccc2C#N)CC1 ZINC000330612629 203037981 /nfs/dbraw/zinc/03/79/81/203037981.db2.gz XSQFBBQBNRQBEE-UHFFFAOYSA-N 0 1 278.381 0.597 20 30 CCEDMN Cn1nncc1C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000330853863 203315963 /nfs/dbraw/zinc/31/59/63/203315963.db2.gz YFAHGXMSGDMQRC-UHFFFAOYSA-N 0 1 273.300 0.312 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@]1(C)CNCCO1 ZINC000638363448 374959360 /nfs/dbraw/zinc/95/93/60/374959360.db2.gz UJAWHLVWNSNDIS-GMXVVIOVSA-N 0 1 254.330 0.072 20 30 CCEDMN COCC#CCN1CC[C@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC000639717182 375944376 /nfs/dbraw/zinc/94/43/76/375944376.db2.gz UWJCIKKSELBKQY-JTQLQIEISA-N 0 1 263.297 0.327 20 30 CCEDMN C=CCCCS(=O)(=O)NCc1n[nH]c(=O)n1C1CC1 ZINC000641653697 377367704 /nfs/dbraw/zinc/36/77/04/377367704.db2.gz LXNLTJNZGSUMRH-UHFFFAOYSA-N 0 1 286.357 0.704 20 30 CCEDMN COCCSCCCn1c(=O)[nH]cc(C#N)c1=O ZINC000517235870 249210014 /nfs/dbraw/zinc/21/00/14/249210014.db2.gz YUXDQQRVAOFNES-UHFFFAOYSA-N 0 1 269.326 0.178 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@H]3COC[C@@H]32)C1=O ZINC000657293570 412952658 /nfs/dbraw/zinc/95/26/58/412952658.db2.gz DASNARVADYQDIM-UPJWGTAASA-N 0 1 250.342 0.884 20 30 CCEDMN C=CCCn1cc(CNCCN2CCSCC2)nn1 ZINC000657360130 412967755 /nfs/dbraw/zinc/96/77/55/412967755.db2.gz XLNOHZZDPNIHEF-UHFFFAOYSA-N 0 1 281.429 0.993 20 30 CCEDMN C=CCCn1cc(CNC[C@]2(O)CCOC2)nn1 ZINC000657417586 412984703 /nfs/dbraw/zinc/98/47/03/412984703.db2.gz QILOKIVMGYXRMD-GFCCVEGCSA-N 0 1 252.318 0.095 20 30 CCEDMN C=CCCn1cc(CNC[C@H](CO)[C@H]2CCOC2)nn1 ZINC000657455210 412995187 /nfs/dbraw/zinc/99/51/87/412995187.db2.gz PZAHGPMKNMLSGR-QWHCGFSZSA-N 0 1 280.372 0.589 20 30 CCEDMN C=CCCn1cc(CNC[C@@]2(OCCO)CCOC2)nn1 ZINC000657599119 413031072 /nfs/dbraw/zinc/03/10/72/413031072.db2.gz WNVBMARSTZJONX-AWEZNQCLSA-N 0 1 296.371 0.112 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1CC[C@@H]2CCN(C)C[C@@H]21 ZINC000288665675 388864432 /nfs/dbraw/zinc/86/44/32/388864432.db2.gz VQAARTRWURJDSE-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN COC(=O)c1ccc(CNCCn2cnc(C#N)n2)o1 ZINC000289565062 388872880 /nfs/dbraw/zinc/87/28/80/388872880.db2.gz JERFJCAQPZAYDQ-UHFFFAOYSA-N 0 1 275.268 0.319 20 30 CCEDMN C=C[C@@H](CO)NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000291097287 388917348 /nfs/dbraw/zinc/91/73/48/388917348.db2.gz SZIVQLFGPYGCAJ-VHSXEESVSA-N 0 1 250.302 0.633 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CCO)CCCC ZINC000049800314 388923674 /nfs/dbraw/zinc/92/36/74/388923674.db2.gz FAUDAWBZXLILGT-UHFFFAOYSA-N 0 1 257.334 0.093 20 30 CCEDMN C=C[C@H](CO)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000290457244 388904631 /nfs/dbraw/zinc/90/46/31/388904631.db2.gz CDPCRHLOUSQFQD-SECBINFHSA-N 0 1 261.285 0.087 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@H](CNC(C)=O)C1 ZINC000067415837 388947672 /nfs/dbraw/zinc/94/76/72/388947672.db2.gz YDXMRZVANNRUTA-DGCLKSJQSA-N 0 1 267.373 0.525 20 30 CCEDMN CC#CCN(C)CCOc1ccc(S(N)(=O)=O)cc1 ZINC000292637792 388952594 /nfs/dbraw/zinc/95/25/94/388952594.db2.gz HFBNDICCDTWFFI-UHFFFAOYSA-N 0 1 282.365 0.668 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCCS2)CC1 ZINC000070436416 388955263 /nfs/dbraw/zinc/95/52/63/388955263.db2.gz XZUDOOIQKIQSDR-SNVBAGLBSA-N 0 1 253.371 0.986 20 30 CCEDMN C[C@H]1CN(Cc2cnc3ccc(C#N)cn23)CCN1CCO ZINC000190239790 388986116 /nfs/dbraw/zinc/98/61/16/388986116.db2.gz TWAWLMLBVBIKMR-ZDUSSCGKSA-N 0 1 299.378 0.704 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@@H](C)[C@H](CO)C1 ZINC000190848124 389000901 /nfs/dbraw/zinc/00/09/01/389000901.db2.gz GSFQXMYVNQKUNZ-NEPJUHHUSA-N 0 1 253.346 0.309 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)NC[C@@H]1CCCN1C ZINC000336927238 389032877 /nfs/dbraw/zinc/03/28/77/389032877.db2.gz WKGTUQCAVACJDE-NEPJUHHUSA-N 0 1 288.417 0.397 20 30 CCEDMN C[N@H+]1CCC[C@@H](N2CCN(c3nccnc3C#N)CC2)C1 ZINC000301272824 389049841 /nfs/dbraw/zinc/04/98/41/389049841.db2.gz HZDKVQCQATXSMD-CYBMUJFWSA-N 0 1 286.383 0.564 20 30 CCEDMN CC1(C)CN(c2ccc(C#N)nc2)CCN1CCO ZINC000302574053 389074196 /nfs/dbraw/zinc/07/41/96/389074196.db2.gz ZWMJWJDWSVGNBB-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN C=CCC[C@H](O)CN1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000305060397 389083919 /nfs/dbraw/zinc/08/39/19/389083919.db2.gz GIPULGKBTOYQAT-HIFRSBDPSA-N 0 1 295.427 0.598 20 30 CCEDMN C=CC[C@H](CO)NC(=O)[C@H](C)CN1CCOCC1 ZINC000337049594 389039088 /nfs/dbraw/zinc/03/90/88/389039088.db2.gz QUENLKXNZMFIKH-VXGBXAGGSA-N 0 1 256.346 0.008 20 30 CCEDMN N#Cc1cc(=NCC#CCO)[nH]c2ccc([N+](=O)[O-])cc12 ZINC000339974061 389144798 /nfs/dbraw/zinc/14/47/98/389144798.db2.gz RPBRQCILSGMMGB-UHFFFAOYSA-N 0 1 282.259 0.844 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](C(=O)NC)C1 ZINC000111266026 389104108 /nfs/dbraw/zinc/10/41/08/389104108.db2.gz FXAKZHNFVQQCKN-GFCCVEGCSA-N 0 1 280.372 0.207 20 30 CCEDMN CC(C)N(CCOCCO)CC(=O)NC1(C#N)CCC1 ZINC000338597885 389113610 /nfs/dbraw/zinc/11/36/10/389113610.db2.gz YSABHIRMLNNYMR-UHFFFAOYSA-N 0 1 283.372 0.268 20 30 CCEDMN CCNC(=O)[C@H](NCC(=O)N(CC)C[C@H](C)C#N)C(C)C ZINC000339313362 389130641 /nfs/dbraw/zinc/13/06/41/389130641.db2.gz BRSSRJUPCHZAEO-TZMCWYRMSA-N 0 1 296.415 0.745 20 30 CCEDMN C[C@@H](CNC(=O)C(C)(C)C#N)N1CCN(C)CC1 ZINC000114384169 389130959 /nfs/dbraw/zinc/13/09/59/389130959.db2.gz OTFNLWQZNWJVOD-NSHDSACASA-N 0 1 252.362 0.288 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N2C[C@@H]3COC[C@H](C2)O3)n[nH]1 ZINC000328744958 389135427 /nfs/dbraw/zinc/13/54/27/389135427.db2.gz PAXNPNRSKZJTDL-TVYUQYBPSA-N 0 1 294.355 0.663 20 30 CCEDMN C[C@@H](Cn1cccn1)NC(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000328786917 389138150 /nfs/dbraw/zinc/13/81/50/389138150.db2.gz JHRTZBOBRRHZNJ-SDDRHHMPSA-N 0 1 280.328 0.285 20 30 CCEDMN CN(CC(=O)NCCN1C(=O)CCC1=O)[C@@H]1CCSC1 ZINC000328796390 389139002 /nfs/dbraw/zinc/13/90/02/389139002.db2.gz DAZBSDSEAGRQNJ-SNVBAGLBSA-N 0 1 299.396 0.529 20 30 CCEDMN CC(C)NC(=O)CON=C(N)c1ccc(N(C)C)cc1 ZINC000120382147 389142753 /nfs/dbraw/zinc/14/27/53/389142753.db2.gz UVXGKXWVFZBCJU-UHFFFAOYSA-N 0 1 278.356 0.914 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCc2[nH]nnc2C1 ZINC000359748160 389182584 /nfs/dbraw/zinc/18/25/84/389182584.db2.gz YCBNKAJGSVIBFY-VIFPVBQESA-N 0 1 250.302 0.671 20 30 CCEDMN CO[C@]1(CNC(=O)c2cccn3c(O)nnc23)CCOC1 ZINC000329652007 389183065 /nfs/dbraw/zinc/18/30/65/389183065.db2.gz KPAVUQMYCSNEAB-ZDUSSCGKSA-N 0 1 292.295 0.545 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(CCN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000329829126 389205532 /nfs/dbraw/zinc/20/55/32/389205532.db2.gz JQSUQDPHBZWKQD-UPJWGTAASA-N 0 1 282.388 0.057 20 30 CCEDMN N#CCNC(=O)CNC(=O)c1n[nH]c2ccc(Cl)cc21 ZINC000359972649 389187344 /nfs/dbraw/zinc/18/73/44/389187344.db2.gz ZPGPHAAIYKAPTN-UHFFFAOYSA-N 0 1 291.698 0.586 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2C[C@@H]3CC[C@H](O)[C@@H]3C2)C1 ZINC000329730991 389193383 /nfs/dbraw/zinc/19/33/83/389193383.db2.gz GKEMMRZNMKKYGK-FQUUOJAGSA-N 0 1 297.399 0.324 20 30 CCEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCC[C@@H](O)C1 ZINC000329746618 389195743 /nfs/dbraw/zinc/19/57/43/389195743.db2.gz RWMKDFNTKWNNAV-NXEZZACHSA-N 0 1 264.329 0.638 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2nc[nH]c2C1)N1CCC[C@@H](O)C1 ZINC000329746618 389195746 /nfs/dbraw/zinc/19/57/46/389195746.db2.gz RWMKDFNTKWNNAV-NXEZZACHSA-N 0 1 264.329 0.638 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2[nH]cnc2C1)N1CCC[C@@H](O)C1 ZINC000329746618 389195747 /nfs/dbraw/zinc/19/57/47/389195747.db2.gz RWMKDFNTKWNNAV-NXEZZACHSA-N 0 1 264.329 0.638 20 30 CCEDMN C[C@@H]1CN(C(=O)N[C@@H]2CCCOC2)CCN1CC(C)(C)O ZINC000329756629 389197299 /nfs/dbraw/zinc/19/72/99/389197299.db2.gz YUBBWOYRGGKDAU-CHWSQXEVSA-N 0 1 299.415 0.856 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CC[C@@]3(CCOC3)C2)C1 ZINC000329803054 389202786 /nfs/dbraw/zinc/20/27/86/389202786.db2.gz JNHMOUBINCMSTQ-DZGCQCFKSA-N 0 1 297.399 0.734 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC[C@@H]2CCOC2)C[C@@H]1C ZINC000329803384 389203104 /nfs/dbraw/zinc/20/31/04/389203104.db2.gz ZQCBOUWREDYHNS-MELADBBJSA-N 0 1 299.415 0.714 20 30 CCEDMN C[C@@H]1CN(CCCC(C)(C)C#N)C[C@@H](C(N)=O)O1 ZINC000122665980 389153549 /nfs/dbraw/zinc/15/35/49/389153549.db2.gz YZIANHMZJHBWHB-MNOVXSKESA-N 0 1 253.346 0.891 20 30 CCEDMN C=C(CC)CN[C@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000358621287 389156531 /nfs/dbraw/zinc/15/65/31/389156531.db2.gz UMUUVZYWPJFRFB-QWHCGFSZSA-N 0 1 288.413 0.040 20 30 CCEDMN CC(C)N1CC[C@H](NC(=O)[C@H](C)CN2CCOCC2)C1=O ZINC000329327213 389157534 /nfs/dbraw/zinc/15/75/34/389157534.db2.gz JWNSSHJRBRHKFO-OLZOCXBDSA-N 0 1 297.399 0.921 20 30 CCEDMN C[C@@H](NC(=O)N1CCN(C2CCC2)CC1)c1nncn1C ZINC000329508873 389167200 /nfs/dbraw/zinc/16/72/00/389167200.db2.gz BIEUFHVICKLKMM-LLVKDONJSA-N 0 1 292.387 0.960 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N(C)CC2CCOCC2)C1 ZINC000330560257 389241206 /nfs/dbraw/zinc/24/12/06/389241206.db2.gz HPBLKDZOAGYEAH-CQSZACIVSA-N 0 1 299.415 0.980 20 30 CCEDMN CNC(=O)[C@@H]1CCCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000247670601 389241830 /nfs/dbraw/zinc/24/18/30/389241830.db2.gz PSMQZHVGRHMBLQ-IAQYHMDHSA-N 0 1 292.383 0.253 20 30 CCEDMN CCCCNC(=O)NC(=O)CN1CCC[C@H](C)[C@H]1CO ZINC000330593889 389244831 /nfs/dbraw/zinc/24/48/31/389244831.db2.gz WVDLGBBFHSSUOA-NWDGAFQWSA-N 0 1 285.388 0.910 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@H](C)N(C)C[C@@H]1C ZINC000330602698 389245820 /nfs/dbraw/zinc/24/58/20/389245820.db2.gz WXTUKSRVHIYVMI-QWRGUYRKSA-N 0 1 268.361 0.227 20 30 CCEDMN CC#CCNC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000136036025 389264129 /nfs/dbraw/zinc/26/41/29/389264129.db2.gz MEEISQKYYQUOSR-UHFFFAOYSA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@@H]1CN(C2CC2)C[C@H]1NC(=O)C(=O)NCCCCC#N ZINC000343331103 389265012 /nfs/dbraw/zinc/26/50/12/389265012.db2.gz FGTJGNLMMRCFGF-DGCLKSJQSA-N 0 1 292.383 0.395 20 30 CCEDMN C=CCNC(=O)CN[C@@]1(CO)CCc2ccccc21 ZINC000136180430 389265202 /nfs/dbraw/zinc/26/52/02/389265202.db2.gz ODNDHEJVDNVZFC-OAHLLOKOSA-N 0 1 260.337 0.712 20 30 CCEDMN CC[C@H](C(=O)NC[C@@H]1CN(C)CCN1C)N1CCCC1=O ZINC000329961240 389214208 /nfs/dbraw/zinc/21/42/08/389214208.db2.gz RNJOUTGDGSUPRC-CHWSQXEVSA-N 0 1 296.415 0.590 20 30 CCEDMN C[C@@H]1[C@H](NS(=O)(=O)CC2(C#N)CC2)CCC[N@H+]1C ZINC000361809019 389225170 /nfs/dbraw/zinc/22/51/70/389225170.db2.gz GZPWERZSFMJLNW-GHMZBOCLSA-N 0 1 271.386 0.692 20 30 CCEDMN C[C@@H]1[C@H](NS(=O)(=O)CC2(C#N)CC2)CCCN1C ZINC000361809019 389225171 /nfs/dbraw/zinc/22/51/71/389225171.db2.gz GZPWERZSFMJLNW-GHMZBOCLSA-N 0 1 271.386 0.692 20 30 CCEDMN CCN1CCC[C@@H]1CNS(=O)(=O)N(C)[C@H](C)CC#N ZINC000330402550 389225346 /nfs/dbraw/zinc/22/53/46/389225346.db2.gz BKIFSTHVKWSKQI-VXGBXAGGSA-N 0 1 288.417 0.539 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000366949737 389313189 /nfs/dbraw/zinc/31/31/89/389313189.db2.gz ALJUAKBHIJFSDQ-RYUDHWBXSA-N 0 1 281.356 0.642 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000346025778 389320324 /nfs/dbraw/zinc/32/03/24/389320324.db2.gz CFKXGRMAOLSSPH-NFAWXSAZSA-N 0 1 284.400 0.929 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N1CCc2[nH]nnc2C1 ZINC000346065618 389321124 /nfs/dbraw/zinc/32/11/24/389321124.db2.gz PBMHSBOVGNJIPJ-CYBMUJFWSA-N 0 1 264.329 0.918 20 30 CCEDMN C[C@@H]1[C@@H](C)N(CC(=O)NC2(C#N)CCC2)CCN1C ZINC000347009674 389344271 /nfs/dbraw/zinc/34/42/71/389344271.db2.gz GFGLCWRDCQDOTE-VXGBXAGGSA-N 0 1 264.373 0.573 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@](C)(O)C2)CC1 ZINC000152507402 389291590 /nfs/dbraw/zinc/29/15/90/389291590.db2.gz DWPVKTINDQHPJM-HNNXBMFYSA-N 0 1 264.369 0.705 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](O)CC[C@H]1C ZINC000421012105 389347456 /nfs/dbraw/zinc/34/74/56/389347456.db2.gz JICVFYLWJHIKDV-UPJWGTAASA-N 0 1 267.373 0.840 20 30 CCEDMN CC(C)[C@@H]1CN(C)CCN1CC(=O)NC1(C#N)CCC1 ZINC000408467077 389356684 /nfs/dbraw/zinc/35/66/84/389356684.db2.gz KLDFKHKJQGVNNA-ZDUSSCGKSA-N 0 1 278.400 0.821 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)NC[C@@H]1C[N@@H+](C)CCN1C ZINC000350050009 389481621 /nfs/dbraw/zinc/48/16/21/389481621.db2.gz LOWGLAYUVVTZSJ-VXGBXAGGSA-N 0 1 267.377 0.033 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000350050009 389481625 /nfs/dbraw/zinc/48/16/25/389481625.db2.gz LOWGLAYUVVTZSJ-VXGBXAGGSA-N 0 1 267.377 0.033 20 30 CCEDMN Cc1cc(C(=O)N2CCN(C3CC3)[C@@H](C#N)C2)n[nH]1 ZINC000370990656 389424399 /nfs/dbraw/zinc/42/43/99/389424399.db2.gz IAGSEJBVPXKCGA-NSHDSACASA-N 0 1 259.313 0.531 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NCCN1CC=C(C)CC1 ZINC000349760313 389431710 /nfs/dbraw/zinc/43/17/10/389431710.db2.gz TZYBNRANPNIWFT-ZDUSSCGKSA-N 0 1 267.373 0.875 20 30 CCEDMN C[C@H]1CC(=O)NCCN1C[C@@H](O)c1ccc(C#N)cc1 ZINC000372818215 389457134 /nfs/dbraw/zinc/45/71/34/389457134.db2.gz BTAQIJQFAPBRQK-SMDDNHRTSA-N 0 1 273.336 0.802 20 30 CCEDMN C[C@@H](NC(=O)COc1ccccc1C#N)c1nnc[nH]1 ZINC000174553555 389524424 /nfs/dbraw/zinc/52/44/24/389524424.db2.gz XGEZOQUIHUXUGH-SECBINFHSA-N 0 1 271.280 0.933 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000175884724 389548273 /nfs/dbraw/zinc/54/82/73/389548273.db2.gz WFUVOZYOBCZOMA-SECBINFHSA-N 0 1 257.253 0.322 20 30 CCEDMN N#Cc1cccc(CNC(=O)CCc2nc[nH]n2)c1 ZINC000176870533 389566839 /nfs/dbraw/zinc/56/68/39/389566839.db2.gz SAMYTRFVLPGEOP-UHFFFAOYSA-N 0 1 255.281 0.925 20 30 CCEDMN C=CC[C@H](CC)NC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000281551325 389619401 /nfs/dbraw/zinc/61/94/01/389619401.db2.gz ZYBQVKIVYBXWJI-QWHCGFSZSA-N 0 1 296.415 0.980 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc3[nH]nnc3c2)C1=O ZINC000281564708 389619922 /nfs/dbraw/zinc/61/99/22/389619922.db2.gz AHEXVXOWUMJGQF-CYBMUJFWSA-N 0 1 299.334 0.817 20 30 CCEDMN C=CCCn1cc(C(=O)N2CCc3[nH]nnc3C2)nn1 ZINC000424698562 389629780 /nfs/dbraw/zinc/62/97/80/389629780.db2.gz UROLYQDPFSFHLQ-UHFFFAOYSA-N 0 1 273.300 0.171 20 30 CCEDMN COC(=O)CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000177122413 389572319 /nfs/dbraw/zinc/57/23/19/389572319.db2.gz FEBSLLGYFYUGRG-UHFFFAOYSA-N 0 1 290.247 0.288 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CSc1c[nH]nn1 ZINC000177376880 389581120 /nfs/dbraw/zinc/58/11/20/389581120.db2.gz LAXCCVYWXDSRQD-JTQLQIEISA-N 0 1 253.331 0.951 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H]2CCc3nc[nH]c3C2)c[nH]1 ZINC000336558935 389650907 /nfs/dbraw/zinc/65/09/07/389650907.db2.gz BLRVRZOYDGDQJV-VIFPVBQESA-N 0 1 255.281 0.897 20 30 CCEDMN C=CCCCCNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000351691046 389658139 /nfs/dbraw/zinc/65/81/39/389658139.db2.gz GUDKGGQBIDNPKN-CYBMUJFWSA-N 0 1 268.405 0.888 20 30 CCEDMN CC[C@@]1(O)CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000425933187 389661165 /nfs/dbraw/zinc/66/11/65/389661165.db2.gz YAMJSFJCKRRPLS-UONOGXRCSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@H]1CNCCN1C(=O)C(=O)Nc1ccc(C#N)c(F)c1 ZINC000415343661 389675784 /nfs/dbraw/zinc/67/57/84/389675784.db2.gz UBOCRRNQKWNFKT-VIFPVBQESA-N 0 1 290.298 0.456 20 30 CCEDMN C[C@H]1CNCCN1C(=O)C(=O)Nc1sccc1C#N ZINC000415347186 389676281 /nfs/dbraw/zinc/67/62/81/389676281.db2.gz SZCVYSRWESDVSM-QMMMGPOBSA-N 0 1 278.337 0.379 20 30 CCEDMN CNC(=O)[C@@]1(C)CCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000430253798 389789969 /nfs/dbraw/zinc/78/99/69/389789969.db2.gz UAIYLSGSPLGARY-LSDHHAIUSA-N 0 1 292.383 0.253 20 30 CCEDMN CC[C@@H]1C[C@@H](C(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000408154028 389829548 /nfs/dbraw/zinc/82/95/48/389829548.db2.gz HRHGETFLRULSLK-GXSJLCMTSA-N 0 1 262.313 0.857 20 30 CCEDMN C[C@H](NS(=O)(=O)CC1(C#N)CCCC1)c1nnc[nH]1 ZINC000352937999 389842688 /nfs/dbraw/zinc/84/26/88/389842688.db2.gz FCVVUEHTDDESPO-VIFPVBQESA-N 0 1 283.357 0.869 20 30 CCEDMN Cn1cc(NC(=O)c2ccc(C#N)c(O)c2)cc1C(N)=O ZINC000442619998 389851139 /nfs/dbraw/zinc/85/11/39/389851139.db2.gz MWPBVGDZYZQUDH-UHFFFAOYSA-N 0 1 284.275 0.954 20 30 CCEDMN Cc1nnc(NC(=O)c2ccc(C#N)c(O)c2)n1C ZINC000442674361 389855797 /nfs/dbraw/zinc/85/57/97/389855797.db2.gz YASZXXPPNWEQER-UHFFFAOYSA-N 0 1 257.253 0.953 20 30 CCEDMN C[C@@H]1CCN(C)CCN1C(=O)C1(C#N)CCOCC1 ZINC000354007554 389857859 /nfs/dbraw/zinc/85/78/59/389857859.db2.gz QNAPTPMXHNCWPP-GFCCVEGCSA-N 0 1 265.357 0.859 20 30 CCEDMN COC(=O)[C@@H](NC(=O)C(C)C#N)c1ccc(OC)c(O)c1 ZINC000354104047 389859899 /nfs/dbraw/zinc/85/98/99/389859899.db2.gz KXWXUNCNJBPLNA-PELKAZGASA-N 0 1 292.291 0.891 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC[C@H]2CCCCO2)CC1 ZINC000491638138 390115358 /nfs/dbraw/zinc/11/53/58/390115358.db2.gz NEJHJJZWKQVLOO-OAHLLOKOSA-N 0 1 293.411 0.313 20 30 CCEDMN CO[C@@H]1COC[C@H]1N[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000579470467 390176062 /nfs/dbraw/zinc/17/60/62/390176062.db2.gz JWRSSDVDVSFVRE-JHJVBQTASA-N 0 1 295.383 0.721 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](CN2CCOC2=O)C1 ZINC000496075860 390294987 /nfs/dbraw/zinc/29/49/87/390294987.db2.gz JEXXKCJMTPBZCM-RYUDHWBXSA-N 0 1 281.356 0.451 20 30 CCEDMN N#Cc1csc(CNCCCN2CCOC2=O)n1 ZINC000495999681 390280833 /nfs/dbraw/zinc/28/08/33/390280833.db2.gz XCXWFDSBTIINDS-UHFFFAOYSA-N 0 1 266.326 0.947 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCCN(CCCO)C2)nn1 ZINC000648918276 390444258 /nfs/dbraw/zinc/44/42/58/390444258.db2.gz QSOBNYWDMRBNBU-LBPRGKRZSA-N 0 1 293.371 0.041 20 30 CCEDMN C#CCONC(=O)C1(CNC(=O)OC(C)(C)C)CC1 ZINC000496974529 390395241 /nfs/dbraw/zinc/39/52/41/390395241.db2.gz NMFDVHCENNEVKF-UHFFFAOYSA-N 0 1 268.313 0.972 20 30 CCEDMN CCc1nc(CN(C)CCCc2[nH]nc(N)c2C#N)n[nH]1 ZINC000547787124 390398750 /nfs/dbraw/zinc/39/87/50/390398750.db2.gz FBVFIVOXSVZJSM-UHFFFAOYSA-N 0 1 288.359 0.439 20 30 CCEDMN C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NC1(C#N)CC1 ZINC000562303667 390488725 /nfs/dbraw/zinc/48/87/25/390488725.db2.gz HORXGALZRZVSOS-VIFPVBQESA-N 0 1 297.318 0.854 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000649184575 390513761 /nfs/dbraw/zinc/51/37/61/390513761.db2.gz NONWQRYKHAKYBD-ZDUSSCGKSA-N 0 1 284.319 0.976 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NCCN1CCN(C)CC1 ZINC000505876249 390463558 /nfs/dbraw/zinc/46/35/58/390463558.db2.gz HBTKNUJSLAGZEP-ZDUSSCGKSA-N 0 1 252.362 0.290 20 30 CCEDMN CC(C)(C(=O)N1CCN(C(=O)CC#N)CC1)c1c[nH]cn1 ZINC000515070963 390472952 /nfs/dbraw/zinc/47/29/52/390472952.db2.gz OQTUOBTZTRNJCR-UHFFFAOYSA-N 0 1 289.339 0.272 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NC1(c2nn[nH]n2)CCCC1 ZINC000556228323 390477350 /nfs/dbraw/zinc/47/73/50/390477350.db2.gz QMIRIPSKLPVZEQ-UHFFFAOYSA-N 0 1 297.322 0.974 20 30 CCEDMN C[C@@H]1CC[C@@H](O)C[N@H+]1CC#CC[NH+]1C[C@@H](O)CC[C@@H]1C ZINC000646144724 390617756 /nfs/dbraw/zinc/61/77/56/390617756.db2.gz IXXKXOJHFAHQDG-GEEKYZPCSA-N 0 1 280.412 0.680 20 30 CCEDMN C[C@@H]1CN(CCCNc2ccnc(C#N)n2)C[C@H](C)O1 ZINC000521521161 390607858 /nfs/dbraw/zinc/60/78/58/390607858.db2.gz WMFBCXPYFGWNMZ-TXEJJXNPSA-N 0 1 275.356 0.681 20 30 CCEDMN N#CCC(=O)N1CCN([C@H]2CCCC[C@H]2O)CC1 ZINC000568175698 390712248 /nfs/dbraw/zinc/71/22/48/390712248.db2.gz FTAYAWOHSMJKLX-NWDGAFQWSA-N 0 1 251.330 0.348 20 30 CCEDMN CCOC1CC(CN2CCN(C(=O)CC#N)CC2)C1 ZINC000568175543 390712468 /nfs/dbraw/zinc/71/24/68/390712468.db2.gz AQHMZBMSHNZOOW-UHFFFAOYSA-N 0 1 265.357 0.859 20 30 CCEDMN COC[C@@H]1CN(C[C@H](O)CC2(C#N)CC2)C[C@@H](C)O1 ZINC000528473378 390747941 /nfs/dbraw/zinc/74/79/41/390747941.db2.gz OCPUJBUOTQCCTF-UPJWGTAASA-N 0 1 268.357 0.777 20 30 CCEDMN CCC(C#N)(CC)C(=O)N1CC[C@@H](N2CC[C@@H](O)C2)C1 ZINC000529388029 390826996 /nfs/dbraw/zinc/82/69/96/390826996.db2.gz NXISAWKTQOBIEI-CHWSQXEVSA-N 0 1 279.384 0.984 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000532880965 390885682 /nfs/dbraw/zinc/88/56/82/390885682.db2.gz GMKWUQYVPAMYEX-WDEREUQCSA-N 0 1 297.355 0.573 20 30 CCEDMN N#Cc1cccnc1N1CCN(C(=O)c2cnc[nH]2)CC1 ZINC000263677683 131307165 /nfs/dbraw/zinc/30/71/65/131307165.db2.gz MPECEMZYUJOQNE-UHFFFAOYSA-N 0 1 282.307 0.639 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000268408531 132004909 /nfs/dbraw/zinc/00/49/09/132004909.db2.gz ACLQYBXWBDDAMI-CMPLNLGQSA-N 0 1 277.372 0.983 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)Nc1nc(SCCO)n[nH]1 ZINC000268756995 132061911 /nfs/dbraw/zinc/06/19/11/132061911.db2.gz UKUFPWDHPQDLOR-QMMMGPOBSA-N 0 1 286.357 0.809 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC000658454774 413151315 /nfs/dbraw/zinc/15/13/15/413151315.db2.gz PFEFVKVDFFMZTO-NSHDSACASA-N 0 1 267.373 0.620 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)c2cnn(C)c2C#N)n[nH]1 ZINC000356456552 144004715 /nfs/dbraw/zinc/00/47/15/144004715.db2.gz IPKDTSWLEIVJBY-MRVPVSSYSA-N 0 1 272.312 0.684 20 30 CCEDMN C[C@H](CO)N1CCCN(C(=O)c2cc(C#N)ccn2)CC1 ZINC000356971384 144047371 /nfs/dbraw/zinc/04/73/71/144047371.db2.gz FIIGIITUGJYEKO-GFCCVEGCSA-N 0 1 288.351 0.482 20 30 CCEDMN C[C@@H](Oc1ccc(C#N)cc1)C(=O)NC1(c2nn[n-]n2)CC1 ZINC000357092916 144059638 /nfs/dbraw/zinc/05/96/38/144059638.db2.gz CUFSLMAPVDEBPX-SECBINFHSA-N 0 1 298.306 0.644 20 30 CCEDMN C[C@@H](Oc1ccc(C#N)cc1)C(=O)NC1(c2nn[nH]n2)CC1 ZINC000357092916 144059640 /nfs/dbraw/zinc/05/96/40/144059640.db2.gz CUFSLMAPVDEBPX-SECBINFHSA-N 0 1 298.306 0.644 20 30 CCEDMN N#Cc1ccc([C@H](O)CN2CCN3CCOC[C@@H]3C2)cc1 ZINC000368326008 144218035 /nfs/dbraw/zinc/21/80/35/144218035.db2.gz DGGUHTZGAYONSK-JKSUJKDBSA-N 0 1 287.363 0.608 20 30 CCEDMN C[C@@H]1CC(=O)NCCN1C[C@H](O)c1ccc(C#N)cc1 ZINC000372818211 144382158 /nfs/dbraw/zinc/38/21/58/144382158.db2.gz BTAQIJQFAPBRQK-RISCZKNCSA-N 0 1 273.336 0.802 20 30 CCEDMN C=CCOC(=O)N1CCC(OC(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC000496217937 397567102 /nfs/dbraw/zinc/56/71/02/397567102.db2.gz LPFZLKNXUWEQAN-GHMZBOCLSA-N 0 1 298.295 0.982 20 30 CCEDMN C=CCCn1cc(CN[C@@H]2CCCC[C@H]2C(N)=O)nn1 ZINC000653616404 412324567 /nfs/dbraw/zinc/32/45/67/412324567.db2.gz UVJGYIIXRWFAIZ-CHWSQXEVSA-N 0 1 277.372 0.988 20 30 CCEDMN C=CCCn1cc(CN2C[C@@H](C)N(CCO)[C@@H](C)C2)nn1 ZINC000653744583 412342096 /nfs/dbraw/zinc/34/20/96/412342096.db2.gz DLQYIMKOANQPMI-OKILXGFUSA-N 0 1 293.415 0.741 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H]2CCC[C@H](O)C2)CC1 ZINC000659811358 413884923 /nfs/dbraw/zinc/88/49/23/413884923.db2.gz RSOQCZJZUOCADU-GJZGRUSLSA-N 0 1 295.427 0.457 20 30 CCEDMN C=CC[C@H]1CCCN(CC(=O)N[C@H](C)C(=O)N(C)C)C1 ZINC000661378679 414607353 /nfs/dbraw/zinc/60/73/53/414607353.db2.gz KFQZNNPXDNLIBC-OLZOCXBDSA-N 0 1 281.400 0.867 20 30 CCEDMN C=C[C@H](CO)N[C@H]1CCN(CC(F)(F)F)C1=O ZINC000662165817 414694725 /nfs/dbraw/zinc/69/47/25/414694725.db2.gz QKKCMIHXQQCTAT-SFYZADRCSA-N 0 1 252.236 0.286 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1sccc1C ZINC000662166691 414695340 /nfs/dbraw/zinc/69/53/40/414695340.db2.gz DLQBCTQWQQSGSG-SNVBAGLBSA-N 0 1 254.355 0.809 20 30 CCEDMN CN(C)[C@H]1CCN(S(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000071140125 176038286 /nfs/dbraw/zinc/03/82/86/176038286.db2.gz GTBBMFSYNPKOIL-LBPRGKRZSA-N 0 1 279.365 0.883 20 30 CCEDMN Cc1nccc(NC(=O)N2CCOC[C@@H]2c2nnc[nH]2)n1 ZINC000329027553 227263533 /nfs/dbraw/zinc/26/35/33/227263533.db2.gz KDNOBCMFNMPPRI-SECBINFHSA-N 0 1 289.299 0.522 20 30 CCEDMN C[C@@H]1COCCN1CCNC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000329026420 227263763 /nfs/dbraw/zinc/26/37/63/227263763.db2.gz OCBQMYHVTZCYRJ-MNOVXSKESA-N 0 1 293.371 0.587 20 30 CCEDMN C[C@@H]1COCCN1CCNC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000329026420 227263766 /nfs/dbraw/zinc/26/37/66/227263766.db2.gz OCBQMYHVTZCYRJ-MNOVXSKESA-N 0 1 293.371 0.587 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N[C@H]1CCc2c[nH]nc2C1 ZINC000329985475 228007251 /nfs/dbraw/zinc/00/72/51/228007251.db2.gz DHKFSRAUURTCTI-UXCLJVHYSA-N 0 1 262.313 0.415 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N[C@@H]1CCc2c[nH]nc2C1 ZINC000329985474 228007289 /nfs/dbraw/zinc/00/72/89/228007289.db2.gz DHKFSRAUURTCTI-SVDPJWKOSA-N 0 1 262.313 0.415 20 30 CCEDMN Cc1nc2n(n1)CCN(C(=O)NCCc1ccncc1)C2 ZINC000330012640 228016471 /nfs/dbraw/zinc/01/64/71/228016471.db2.gz KWYOHEPJGQFHOY-UHFFFAOYSA-N 0 1 286.339 0.954 20 30 CCEDMN Cn1ccc(CNC(=O)N2CC[C@@]3(CC[N@@H+](C)C3)C2)n1 ZINC000330205721 228065243 /nfs/dbraw/zinc/06/52/43/228065243.db2.gz DRJIDWBCLWEFIT-CQSZACIVSA-N 0 1 277.372 0.862 20 30 CCEDMN CCn1cc(NC(=O)NC[C@H]2CN(C)CCN2C)cn1 ZINC000329369336 545022352 /nfs/dbraw/zinc/02/23/52/545022352.db2.gz LNKJEDSSXLTYTD-LBPRGKRZSA-N 0 1 280.376 0.475 20 30 CCEDMN O=C(NCc1nnc[nH]1)C1(N2CCOCC2)CCC1 ZINC000329446327 545022608 /nfs/dbraw/zinc/02/26/08/545022608.db2.gz LCTZNFOILORIBV-UHFFFAOYSA-N 0 1 265.317 0.516 20 30 CCEDMN C[C@@H]1CN(C([O-])=[NH+][C@H]2CCn3ccnc3C2)CCO1 ZINC000329413774 545022883 /nfs/dbraw/zinc/02/28/83/545022883.db2.gz CPKALWOTVJQQKA-MNOVXSKESA-N 0 1 264.329 0.833 20 30 CCEDMN O=C(NCCN1CCSCC1)N1CCn2cnnc2C1 ZINC000329422787 545023065 /nfs/dbraw/zinc/02/30/65/545023065.db2.gz HQNDYXGTBSSGQU-UHFFFAOYSA-N 0 1 296.400 0.057 20 30 CCEDMN Cn1nnc2c1C[C@@H](C(=O)NCCN1CCC1)CC2 ZINC000329602781 545024292 /nfs/dbraw/zinc/02/42/92/545024292.db2.gz YECHKLYDPFXFDS-JTQLQIEISA-N 0 1 263.345 0.582 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2cc(C(N)=O)[nH]n2)cc1O ZINC000615429070 420496786 /nfs/dbraw/zinc/49/67/86/420496786.db2.gz DSURNFJDXWPLMX-UHFFFAOYSA-N 0 1 271.236 0.338 20 30 CCEDMN C[C@@H]1OCCN(CCC(=O)N(C)CCC#N)[C@H]1C ZINC000092801780 260272181 /nfs/dbraw/zinc/27/21/81/260272181.db2.gz ZVXYXTMDBWGGHT-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN NC(=O)CON=C(N)c1ccc(N2CCCCC2)cc1 ZINC000072921534 260279081 /nfs/dbraw/zinc/27/90/81/260279081.db2.gz PMMYPHSTHWMLNI-UHFFFAOYSA-N 0 1 276.340 0.799 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CSc1c[nH]nn1 ZINC000155849258 261108587 /nfs/dbraw/zinc/10/85/87/261108587.db2.gz DYGFNPBIUJNSGH-QMMMGPOBSA-N 0 1 253.331 0.905 20 30 CCEDMN CCN(C[C@H](C)C#N)C[C@H](O)Cn1cc([N+](=O)[O-])cn1 ZINC000173598579 261166683 /nfs/dbraw/zinc/16/66/83/261166683.db2.gz SEMGUGYXGFREML-PWSUYJOCSA-N 0 1 281.316 0.634 20 30 CCEDMN C#CCNC(=O)CCN(CCO)Cc1ccc(C)o1 ZINC000491120278 261336486 /nfs/dbraw/zinc/33/64/86/261336486.db2.gz MAXSSCXSZOCQQG-UHFFFAOYSA-N 0 1 264.325 0.522 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000491646346 261730372 /nfs/dbraw/zinc/73/03/72/261730372.db2.gz SWWYJCBSMHKIFN-PWSUYJOCSA-N 0 1 250.254 0.212 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CCN(C2CC2)[C@@H](C#N)C1 ZINC000368496156 261880772 /nfs/dbraw/zinc/88/07/72/261880772.db2.gz ZIJATJJYUXZKEG-ZDUSSCGKSA-N 0 1 288.355 0.600 20 30 CCEDMN C#CCN1CCC(C(=O)OCCO[C@@H](C)C#N)CC1 ZINC000491787492 262051479 /nfs/dbraw/zinc/05/14/79/262051479.db2.gz QPEIZFHYOKBCAM-LBPRGKRZSA-N 0 1 264.325 0.803 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)Nc1ccn(-c2ccncc2)n1 ZINC000354481861 262265740 /nfs/dbraw/zinc/26/57/40/262265740.db2.gz YXEVIKOLNXDBHW-CQSZACIVSA-N 0 1 272.308 0.955 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N[C@H](Cc1cnc[nH]1)C(=O)OC ZINC000354523819 262270127 /nfs/dbraw/zinc/27/01/27/262270127.db2.gz ZERWBVFKCGDGFJ-MFKMUULPSA-N 0 1 278.312 0.550 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@H](O)C1(C)C ZINC000311747307 262340611 /nfs/dbraw/zinc/34/06/11/262340611.db2.gz UHHAHHWSTSEVDL-FZMZJTMJSA-N 0 1 267.373 0.886 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C[C@H]3CCOC3)CC2)C1=O ZINC000337168412 262427590 /nfs/dbraw/zinc/42/75/90/262427590.db2.gz DBUYBQSLWFJDGK-CABCVRRESA-N 0 1 293.411 0.427 20 30 CCEDMN CO/N=C/C(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000282910664 263079686 /nfs/dbraw/zinc/07/96/86/263079686.db2.gz BKYOXYFWOHWYJR-XNTDXEJSSA-N 0 1 278.312 0.371 20 30 CCEDMN C=CCCC[C@H](C)NC(=O)C(=O)N=c1ncn(C)[nH]1 ZINC000340163230 263081827 /nfs/dbraw/zinc/08/18/27/263081827.db2.gz KMEOJKNUEDUCNP-VIFPVBQESA-N 0 1 265.317 0.037 20 30 CCEDMN C=CCC[C@@H](O)CN(CCNS(C)(=O)=O)C1CC1 ZINC000340219264 263096827 /nfs/dbraw/zinc/09/68/27/263096827.db2.gz PSNFBTDLKCKORO-GFCCVEGCSA-N 0 1 276.402 0.327 20 30 CCEDMN C=C[C@H](CO)NCc1cc(C(=O)OC)c(C)n1C ZINC000291089387 263119983 /nfs/dbraw/zinc/11/99/83/263119983.db2.gz XCFWULRZFBIXJC-SNVBAGLBSA-N 0 1 252.314 0.757 20 30 CCEDMN CCOC(=O)[C@@H](NC(=O)C(C)C#N)C1CCOCC1 ZINC000340378224 263121790 /nfs/dbraw/zinc/12/17/90/263121790.db2.gz OVMWENNAWVXBAU-KOLCDFICSA-N 0 1 268.313 0.621 20 30 CCEDMN CN(CC#N)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000340632917 263250456 /nfs/dbraw/zinc/25/04/56/263250456.db2.gz YGHPXFLOKJQZLG-UHFFFAOYSA-N 0 1 264.310 0.464 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@@H](CC)[C@H](O)C1 ZINC000341056509 263320068 /nfs/dbraw/zinc/32/00/68/263320068.db2.gz ZCRZZSNVWDKGBG-HBNTYKKESA-N 0 1 269.345 0.089 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC000960498799 649854903 /nfs/dbraw/zinc/85/49/03/649854903.db2.gz DCQBICRQAXLVIJ-VHSXEESVSA-N 0 1 292.343 0.093 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC000960498799 649854905 /nfs/dbraw/zinc/85/49/05/649854905.db2.gz DCQBICRQAXLVIJ-VHSXEESVSA-N 0 1 292.343 0.093 20 30 CCEDMN COCCCCNC(=O)N[C@H]1CCc2ncnn2C1 ZINC000330724903 264025988 /nfs/dbraw/zinc/02/59/88/264025988.db2.gz ZICIKQVCDGWVNA-JTQLQIEISA-N 0 1 267.333 0.523 20 30 CCEDMN COCCCC[NH+]=C([O-])N[C@H]1CCc2ncnn2C1 ZINC000330724903 264025989 /nfs/dbraw/zinc/02/59/89/264025989.db2.gz ZICIKQVCDGWVNA-JTQLQIEISA-N 0 1 267.333 0.523 20 30 CCEDMN C[C@H](CS(C)(=O)=O)N(C)C(=O)NCCn1cccn1 ZINC000330801265 264041746 /nfs/dbraw/zinc/04/17/46/264041746.db2.gz HOQDKYDLQABABK-SNVBAGLBSA-N 0 1 288.373 0.162 20 30 CCEDMN C[C@H](CN1CCCCC1)NS(=O)(=O)N(C)CCC#N ZINC000330823720 264047677 /nfs/dbraw/zinc/04/76/77/264047677.db2.gz PQUSOTLLECKZPM-GFCCVEGCSA-N 0 1 288.417 0.541 20 30 CCEDMN CC1(C)NC(=O)N(CCN[C@H]2CCC[C@H]2C#N)C1=O ZINC000330833992 264050296 /nfs/dbraw/zinc/05/02/96/264050296.db2.gz KVCOPWZQZFUCCY-UWVGGRQHSA-N 0 1 264.329 0.803 20 30 CCEDMN CC1(C)NC(=O)N(CCN[C@@H]2CCC[C@H]2C#N)C1=O ZINC000330834393 264050499 /nfs/dbraw/zinc/05/04/99/264050499.db2.gz KVCOPWZQZFUCCY-VHSXEESVSA-N 0 1 264.329 0.803 20 30 CCEDMN COCCN(C)C([O-])=[NH+]C[C@@H]1CCc2nccn2C1 ZINC000330955398 264087518 /nfs/dbraw/zinc/08/75/18/264087518.db2.gz HDCWLCFUODHPFA-NSHDSACASA-N 0 1 266.345 0.938 20 30 CCEDMN CNC(=O)N[C@@H]1CC[N@@H+](CCCn2cc[nH+]c2C)C1 ZINC000330961590 264088891 /nfs/dbraw/zinc/08/88/91/264088891.db2.gz YCAVZFAOBJSOOY-GFCCVEGCSA-N 0 1 265.361 0.789 20 30 CCEDMN CN(C)C(=O)NCCNC(=O)C(C)(C)c1cnc[nH]1 ZINC000330985551 264103574 /nfs/dbraw/zinc/10/35/74/264103574.db2.gz QTGLVPUDGUIJBL-UHFFFAOYSA-N 0 1 267.333 0.279 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)N1C[C@@H](C)O[C@@H](C(N)=O)C1 ZINC000331010962 264107494 /nfs/dbraw/zinc/10/74/94/264107494.db2.gz ZLHKFQMELKFPAY-GMTAPVOTSA-N 0 1 295.343 0.810 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCN(C(C)(C)COC)CC1 ZINC000425503474 264363890 /nfs/dbraw/zinc/36/38/90/264363890.db2.gz JAUDGSQTBMMJTM-CYBMUJFWSA-N 0 1 283.416 0.720 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCCCCOC)CC1 ZINC000344172424 265055239 /nfs/dbraw/zinc/05/52/39/265055239.db2.gz JTKFTADEHGBJKB-UHFFFAOYSA-N 0 1 283.416 0.723 20 30 CCEDMN N#CCCCNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000344429217 265059072 /nfs/dbraw/zinc/05/90/72/265059072.db2.gz RDTZXNGWPWXFOE-UHFFFAOYSA-N 0 1 298.306 0.048 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000356858739 266194782 /nfs/dbraw/zinc/19/47/82/266194782.db2.gz IZQLOMMKZHAEOH-ZETCQYMHSA-N 0 1 276.252 0.748 20 30 CCEDMN C=CCSCCNC(=O)[C@H](C)CN1CCOCC1 ZINC000357304725 266273724 /nfs/dbraw/zinc/27/37/24/266273724.db2.gz JSRGWYAXFCYKEQ-GFCCVEGCSA-N 0 1 272.414 0.990 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@@H+](C)C1CCN(CCO)CC1 ZINC000357649321 266339598 /nfs/dbraw/zinc/33/95/98/266339598.db2.gz PJKKKPPWLWXYBN-LBPRGKRZSA-N 0 1 269.389 0.066 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CCCCO)C1CC1 ZINC000118844919 267274700 /nfs/dbraw/zinc/27/47/00/267274700.db2.gz LEHCZRNETLDINS-UHFFFAOYSA-N 0 1 269.345 0.235 20 30 CCEDMN COC(=O)CCN1CCN(c2ccnc(C#N)n2)[C@H](C)C1 ZINC000521462984 267361444 /nfs/dbraw/zinc/36/14/44/267361444.db2.gz UNJDMEJPRRJUJV-LLVKDONJSA-N 0 1 289.339 0.422 20 30 CCEDMN CNS(=O)(=O)C1CCN(CCC(C)(C)C#N)CC1 ZINC000371198587 267368511 /nfs/dbraw/zinc/36/85/11/267368511.db2.gz OCUROAIHNPBYNU-UHFFFAOYSA-N 0 1 273.402 0.940 20 30 CCEDMN C#CCN(CCN1C(=O)NC2(CCCC2)C1=O)C1CC1 ZINC000274245380 275381204 /nfs/dbraw/zinc/38/12/04/275381204.db2.gz YKGLMNJHSUNVQE-UHFFFAOYSA-N 0 1 275.352 0.949 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(CO)(OC)CC1 ZINC000401720300 545090195 /nfs/dbraw/zinc/09/01/95/545090195.db2.gz NHFKOKJYODSFJC-LLVKDONJSA-N 0 1 256.346 0.150 20 30 CCEDMN CO[C@H](CS(=O)(=O)Nc1ccc(C#N)c(C)n1)C1CC1 ZINC000582062471 327826901 /nfs/dbraw/zinc/82/69/01/327826901.db2.gz KXCDNFIQBDBWEW-GFCCVEGCSA-N 0 1 295.364 0.850 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000339281092 328004520 /nfs/dbraw/zinc/00/45/20/328004520.db2.gz JUUQYZGIJDTPRO-ZCFIWIBFSA-N 0 1 274.202 0.793 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCCc3n[nH]cc32)CC1 ZINC000531810473 328039077 /nfs/dbraw/zinc/03/90/77/328039077.db2.gz FGMWKTZMMOIBHL-LLVKDONJSA-N 0 1 287.367 0.934 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@H](CC(N)=O)C1 ZINC000377497717 329016584 /nfs/dbraw/zinc/01/65/84/329016584.db2.gz WXVNILRPWRTFBA-BXUZGUMPSA-N 0 1 280.372 0.238 20 30 CCEDMN Cn1ccc(-c2cc(C(=O)N3CCN(CC#N)CC3)n[nH]2)c1 ZINC000563383859 328061114 /nfs/dbraw/zinc/06/11/14/328061114.db2.gz MMSHYAUCWBCDDX-UHFFFAOYSA-N 0 1 298.350 0.697 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H](CO)[C@@H]1C ZINC000412083300 328103266 /nfs/dbraw/zinc/10/32/66/328103266.db2.gz PTGNHWUQCSMPMG-WDEREUQCSA-N 0 1 269.345 0.091 20 30 CCEDMN Cc1nnc(SCC(=O)Nc2ccn(CCC#N)n2)[nH]1 ZINC000266900788 328170207 /nfs/dbraw/zinc/17/02/07/328170207.db2.gz PPGRHFTXTDCEJA-UHFFFAOYSA-N 0 1 291.340 0.954 20 30 CCEDMN Cc1n[nH]c(SCC(=O)Nc2ccn(CCC#N)n2)n1 ZINC000266900788 328170208 /nfs/dbraw/zinc/17/02/08/328170208.db2.gz PPGRHFTXTDCEJA-UHFFFAOYSA-N 0 1 291.340 0.954 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cccc2n[nH]cc21 ZINC000268107861 328182586 /nfs/dbraw/zinc/18/25/86/328182586.db2.gz OECIAYUHGRMDHA-VIFPVBQESA-N 0 1 256.265 0.927 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)nn1 ZINC000565829448 327028666 /nfs/dbraw/zinc/02/86/66/327028666.db2.gz QMYOJKOTDYRFFG-SNVBAGLBSA-N 0 1 286.339 0.865 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N(C)C[C@@H](C)O ZINC000159463637 327196847 /nfs/dbraw/zinc/19/68/47/327196847.db2.gz XIOOXQYJTSCJHE-NTZNESFSSA-N 0 1 255.362 0.742 20 30 CCEDMN CC[C@H](CC#N)NC(=O)CN1CCN(CC)CC1 ZINC000358052602 327213234 /nfs/dbraw/zinc/21/32/34/327213234.db2.gz GDBWECCOYZCUNG-GFCCVEGCSA-N 0 1 252.362 0.432 20 30 CCEDMN C=CCNC(=O)CN1CCC(OCCCOC)CC1 ZINC000122562619 327290015 /nfs/dbraw/zinc/29/00/15/327290015.db2.gz VQGYOZCQNUBTHR-UHFFFAOYSA-N 0 1 270.373 0.806 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CCC[C@H]2CCC[NH2+]2)o1 ZINC000574413911 327331426 /nfs/dbraw/zinc/33/14/26/327331426.db2.gz LNXWUPGFLCMKDM-SNVBAGLBSA-N 0 1 283.353 0.962 20 30 CCEDMN CCc1nc(CNC(=O)Nc2cccc(C#N)n2)n[nH]1 ZINC000582485588 329096478 /nfs/dbraw/zinc/09/64/78/329096478.db2.gz UJCIEWXIGTUHBA-UHFFFAOYSA-N 0 1 271.284 0.955 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@H](N2CCOCC2)C1 ZINC000173946768 329151348 /nfs/dbraw/zinc/15/13/48/329151348.db2.gz RUIMGPVXOSAXOZ-KGLIPLIRSA-N 0 1 294.399 0.153 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@]2(CCOC2)C1 ZINC000185131588 329268630 /nfs/dbraw/zinc/26/86/30/329268630.db2.gz WELPDASCAKJAMI-CQSZACIVSA-N 0 1 281.356 0.240 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@](C)(O)C2)CC1 ZINC000152507489 329667203 /nfs/dbraw/zinc/66/72/03/329667203.db2.gz DWPVKTINDQHPJM-OAHLLOKOSA-N 0 1 264.369 0.705 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)NCCCCC#N ZINC000419656570 329743919 /nfs/dbraw/zinc/74/39/19/329743919.db2.gz VCGYKBBDBYPXBE-LBPRGKRZSA-N 0 1 280.372 0.397 20 30 CCEDMN C#CCNC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000069916750 329854682 /nfs/dbraw/zinc/85/46/82/329854682.db2.gz VUULRVUBNGTUIL-ZDUSSCGKSA-N 0 1 265.357 0.373 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@@H]1CCN(CC)C1 ZINC000422540882 330148928 /nfs/dbraw/zinc/14/89/28/330148928.db2.gz KTJBQWRXVJLLHP-LLVKDONJSA-N 0 1 253.346 0.231 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)C[C@@H]1COCCN1 ZINC000424212263 330328709 /nfs/dbraw/zinc/32/87/09/330328709.db2.gz ODNIZEZGLVOUJD-TUAOUCFPSA-N 0 1 254.330 0.072 20 30 CCEDMN C=CCn1cc(CN[C@H](C)C(C)(C)n2cncn2)nn1 ZINC000424290394 330334679 /nfs/dbraw/zinc/33/46/79/330334679.db2.gz BQMBAWODDUAYNW-LLVKDONJSA-N 0 1 275.360 0.969 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CC[C@H](N2CCCCC2)C1 ZINC000088485823 330376827 /nfs/dbraw/zinc/37/68/27/330376827.db2.gz DZYDRXGNHGUCDD-NEPJUHHUSA-N 0 1 271.386 0.788 20 30 CCEDMN C=CCN(CCC#N)C[C@H](O)CN1C[C@H](C)O[C@@H](C)C1 ZINC000428856844 330532688 /nfs/dbraw/zinc/53/26/88/330532688.db2.gz OIOPIZVNHOCCNF-KKUMJFAQSA-N 0 1 281.400 0.858 20 30 CCEDMN COC[C@H](NCC(=O)N[C@](C)(C#N)C(C)C)[C@@H]1CCCO1 ZINC000434794151 330645665 /nfs/dbraw/zinc/64/56/65/330645665.db2.gz JYLCYTAGSANMBE-KCQAQPDRSA-N 0 1 297.399 0.824 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H](O)[C@H]1C ZINC000451461196 331030414 /nfs/dbraw/zinc/03/04/14/331030414.db2.gz PQEJOTUNCNQCCY-JHJVBQTASA-N 0 1 267.373 0.840 20 30 CCEDMN C=CCCS(=O)(=O)N[C@@H]1CCCN(CCCO)C1 ZINC000451667337 331038097 /nfs/dbraw/zinc/03/80/97/331038097.db2.gz JEUQEJSTGDMSHK-GFCCVEGCSA-N 0 1 276.402 0.329 20 30 CCEDMN C=C(CC)CNC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000454869656 331155271 /nfs/dbraw/zinc/15/52/71/331155271.db2.gz WRWRUNMOGBHYPK-UHFFFAOYSA-N 0 1 265.361 0.954 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)NCCN1CCCOCC1 ZINC000458855325 331261780 /nfs/dbraw/zinc/26/17/80/331261780.db2.gz ZIGBWUKHHMINAV-CYBMUJFWSA-N 0 1 285.388 0.335 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000459251707 331273040 /nfs/dbraw/zinc/27/30/40/331273040.db2.gz DTFOBBUZMFORGU-SECBINFHSA-N 0 1 281.360 0.838 20 30 CCEDMN CCN1CCCN(C(=O)C(=O)N(C)C[C@H](C)C#N)CC1 ZINC000459924762 331303466 /nfs/dbraw/zinc/30/34/66/331303466.db2.gz WARYQAYUCWOXFH-GFCCVEGCSA-N 0 1 280.372 0.159 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC(CO)(OC)CC1 ZINC000401756852 331861135 /nfs/dbraw/zinc/86/11/35/331861135.db2.gz FHAJSGRHPCZULB-UHFFFAOYSA-N 0 1 284.400 0.884 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](O)C[C@@H]2C)CC1 ZINC000490739262 332110247 /nfs/dbraw/zinc/11/02/47/332110247.db2.gz HNTBGMLIKHMEPY-AAEUAGOBSA-N 0 1 250.342 0.313 20 30 CCEDMN C#CCN(C[Si](C)(C)C)[C@@H]1CCS(=O)(=O)C1 ZINC000490866157 332122110 /nfs/dbraw/zinc/12/21/10/332122110.db2.gz NMJNHQMPRSDEJE-LLVKDONJSA-N 0 1 259.447 0.986 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H](C)CC(=O)OC ZINC000490872426 332123093 /nfs/dbraw/zinc/12/30/93/332123093.db2.gz FLTCFZVTHWZTJL-NWDGAFQWSA-N 0 1 267.325 0.826 20 30 CCEDMN C#C[C@H](NS(=O)(=O)CCN1CCCC1)C(C)C ZINC000490950229 332132359 /nfs/dbraw/zinc/13/23/59/332132359.db2.gz PFPJHJWNMUJOOH-LBPRGKRZSA-N 0 1 258.387 0.659 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@]1(C)CCC(=O)NC1 ZINC000490983893 332135599 /nfs/dbraw/zinc/13/55/99/332135599.db2.gz BRFWRNIZDIQSOQ-IUODEOHRSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H](C)OC)CC1 ZINC000491142809 332155221 /nfs/dbraw/zinc/15/52/21/332155221.db2.gz DGXAPYMENLEKDJ-GFCCVEGCSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2cnccc2C#N)CC1 ZINC000491209416 332166160 /nfs/dbraw/zinc/16/61/60/332166160.db2.gz GWIOVJKYQKNRCQ-UHFFFAOYSA-N 0 1 297.362 0.215 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCOC)[C@@H]2CCOC2)CC1 ZINC000491394618 332203813 /nfs/dbraw/zinc/20/38/13/332203813.db2.gz NHLVJEBGHIIXMO-OAHLLOKOSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CC[C@H](c3nc[nH]n3)C2)nc1 ZINC000491453538 332214431 /nfs/dbraw/zinc/21/44/31/332214431.db2.gz SETZWXZARYEXFN-LBPRGKRZSA-N 0 1 296.334 0.557 20 30 CCEDMN C#CCN1CCN(C(=O)CN(CC)C[C@H](C)OC)CC1 ZINC000491460696 332215485 /nfs/dbraw/zinc/21/54/85/332215485.db2.gz ASMBKJUAMXNCQA-AWEZNQCLSA-N 0 1 281.400 0.121 20 30 CCEDMN C#C[C@H](CC)NC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000491554281 332236782 /nfs/dbraw/zinc/23/67/82/332236782.db2.gz HXDCZJHTRKPPQR-CABCVRRESA-N 0 1 294.443 0.969 20 30 CCEDMN C#CCN(C)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000491627690 332251080 /nfs/dbraw/zinc/25/10/80/332251080.db2.gz MQVIRGVNRXULIS-CQSZACIVSA-N 0 1 280.416 0.533 20 30 CCEDMN C#C[C@@H](CC)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000491697011 332292281 /nfs/dbraw/zinc/29/22/81/332292281.db2.gz PDZFLWLIBQLFEI-ZETCQYMHSA-N 0 1 264.247 0.972 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](CO)OC(C)(C)C2)CC1 ZINC000491718148 332307307 /nfs/dbraw/zinc/30/73/07/332307307.db2.gz SULZTWJXOKTVTG-CQSZACIVSA-N 0 1 294.395 0.330 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@](C)(C(=O)NC)C2)CC1 ZINC000491741546 332320221 /nfs/dbraw/zinc/32/02/21/332320221.db2.gz IRTASIWWVGFMCW-MRXNPFEDSA-N 0 1 291.395 0.316 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1ccccc1CC=C ZINC000491750645 332327302 /nfs/dbraw/zinc/32/73/02/332327302.db2.gz ITOHWIITVFHZST-CABCVRRESA-N 0 1 275.348 0.739 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1ccc(Cl)cc1 ZINC000491802936 332356927 /nfs/dbraw/zinc/35/69/27/332356927.db2.gz HLCKITBEMCGVON-NWDGAFQWSA-N 0 1 269.728 0.663 20 30 CCEDMN C#CCNC(=O)CCNCC(=O)N1CCCc2ccccc21 ZINC000491808296 332361279 /nfs/dbraw/zinc/36/12/79/332361279.db2.gz DOEAISAKBDVTBF-UHFFFAOYSA-N 0 1 299.374 0.695 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC[C@H](COC)C2)C1=O ZINC000491819812 332369682 /nfs/dbraw/zinc/36/96/82/332369682.db2.gz PATJSTCBFPXZID-RYUDHWBXSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)N[C@@H](C)CC)CC1 ZINC000491820931 332370388 /nfs/dbraw/zinc/37/03/88/332370388.db2.gz ZEHNWAMPBGZERN-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN(CC#C)C(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000175713167 333030253 /nfs/dbraw/zinc/03/02/53/333030253.db2.gz HKSFRYHOTVSNOV-UHFFFAOYSA-N 0 1 296.374 0.542 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCOCC2(CCOCC2)C1 ZINC000184112579 333125056 /nfs/dbraw/zinc/12/50/56/333125056.db2.gz YSXVIPJHFIXSML-ZDUSSCGKSA-N 0 1 280.368 0.253 20 30 CCEDMN Cc1nnc(SCCC(=O)NC2(C#N)CC2)[nH]1 ZINC000524794743 333388338 /nfs/dbraw/zinc/38/83/38/333388338.db2.gz XEBKUYDLFDAFFA-UHFFFAOYSA-N 0 1 251.315 0.768 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCC[C@@H](CO)CC1)C1CC1 ZINC000339752634 335148116 /nfs/dbraw/zinc/14/81/16/335148116.db2.gz FNXZWXGYTZNWCN-DOMZBBRYSA-N 0 1 279.384 0.889 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)CN(C)C2CC2)CC1 ZINC000174160515 335149405 /nfs/dbraw/zinc/14/94/05/335149405.db2.gz WVSPCGCXBLRNPI-CQSZACIVSA-N 0 1 278.400 0.773 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)NC(C)(C)c1cnn(C)c1 ZINC000546001026 334011492 /nfs/dbraw/zinc/01/14/92/334011492.db2.gz NBCWXDWCVFZUBR-JTQLQIEISA-N 0 1 293.371 0.645 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NCCN1CC[C@@H](C)C1 ZINC000548879104 334178093 /nfs/dbraw/zinc/17/80/93/334178093.db2.gz ATQOGOLVYGPJSX-VXGBXAGGSA-N 0 1 288.417 0.397 20 30 CCEDMN CCN(C[C@@H](O)Cn1cc([N+](=O)[O-])cn1)C[C@H](C)C#N ZINC000247575800 334286218 /nfs/dbraw/zinc/28/62/18/334286218.db2.gz SEMGUGYXGFREML-ZYHUDNBSSA-N 0 1 281.316 0.634 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@@H]([C@@H](C)O)C1 ZINC000089932119 334353883 /nfs/dbraw/zinc/35/38/83/334353883.db2.gz ITEMJOAOYKFTJZ-QJPTWQEYSA-N 0 1 283.372 0.480 20 30 CCEDMN C=CCn1c([C@@H]2CCOC2)n[n-]c1=[NH+]c1cnn(C)c1 ZINC000567001426 334672720 /nfs/dbraw/zinc/67/27/20/334672720.db2.gz DNCNRVPZONOYEF-SNVBAGLBSA-N 0 1 274.328 0.867 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1cn2ccccc2n1 ZINC000572751567 334875899 /nfs/dbraw/zinc/87/58/99/334875899.db2.gz MZCIVHQLHYDBRZ-CQSZACIVSA-N 0 1 287.367 0.630 20 30 CCEDMN COc1ccncc1CNC[C@H](O)CN(C)CCC#N ZINC000576746845 335242597 /nfs/dbraw/zinc/24/25/97/335242597.db2.gz KKHXDLZBMSXNJB-ZDUSSCGKSA-N 0 1 278.356 0.386 20 30 CCEDMN CCN1CC[NH+](CCC(=O)NCC2(C#N)CC2)CC1 ZINC000577258525 335330825 /nfs/dbraw/zinc/33/08/25/335330825.db2.gz PMEZMTONPVFCHY-UHFFFAOYSA-N 0 1 264.373 0.434 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1cnc(C2CC2)o1 ZINC000577502665 335360777 /nfs/dbraw/zinc/36/07/77/335360777.db2.gz DNAHWWNPXRNRAQ-GFCCVEGCSA-N 0 1 278.356 0.848 20 30 CCEDMN C[C@H](NC[C@@H](O)CN(C)CCC#N)c1ccn(C)n1 ZINC000577722643 335392282 /nfs/dbraw/zinc/39/22/82/335392282.db2.gz VOOMOICPXYEPNS-NWDGAFQWSA-N 0 1 265.361 0.277 20 30 CCEDMN C[C@@H]1CCNC(=O)[C@@H]1NCc1cnc2c(C#N)cnn2c1 ZINC000581429819 336075971 /nfs/dbraw/zinc/07/59/71/336075971.db2.gz JUSMPJLYCKNDIN-BXKDBHETSA-N 0 1 284.323 0.215 20 30 CCEDMN Cc1noc(CCNC(=O)[C@H](C)CN2CCOCC2)n1 ZINC000329039926 534391764 /nfs/dbraw/zinc/39/17/64/534391764.db2.gz HWTYNEMPPSVJDS-SNVBAGLBSA-N 0 1 282.344 0.845 20 30 CCEDMN CC(C)(C)n1cc(C#N)c(=NC(=O)C(=O)NCC(F)F)[nH]1 ZINC000359239356 519146439 /nfs/dbraw/zinc/14/64/39/519146439.db2.gz KQRDEPYMPCJGDP-UHFFFAOYSA-N 0 1 299.281 0.251 20 30 CCEDMN Cc1nsc(N2CCCN(CCO)CC2)c1C#N ZINC000367363248 534504407 /nfs/dbraw/zinc/50/44/07/534504407.db2.gz MXYXQMXUODUHAC-UHFFFAOYSA-N 0 1 266.370 0.828 20 30 CCEDMN Cc1nc([C@H](C)NS(=O)(=O)N(C)[C@H](C)CC#N)n[nH]1 ZINC000352512969 534600612 /nfs/dbraw/zinc/60/06/12/534600612.db2.gz SVHHZRXADAMKQL-SFYZADRCSA-N 0 1 286.361 0.243 20 30 CCEDMN O=C(NC1CCOCC1)N1CCN(C2CCOCC2)CC1 ZINC000330010949 534750061 /nfs/dbraw/zinc/75/00/61/534750061.db2.gz HLEWWEPRXLRBKS-UHFFFAOYSA-N 0 1 297.399 0.876 20 30 CCEDMN CNC(=O)[C@@H]1CCC[C@H]1NCc1ccncc1C#N ZINC000592114777 545102771 /nfs/dbraw/zinc/10/27/71/545102771.db2.gz YGKLSYOQTTWWDE-CHWSQXEVSA-N 0 1 258.325 0.958 20 30 CCEDMN C=CCCNC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000665943568 545110259 /nfs/dbraw/zinc/11/02/59/545110259.db2.gz WBPADBJWEAJMNS-RYUDHWBXSA-N 0 1 255.362 0.659 20 30 CCEDMN C=CCCNC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000665946132 545110384 /nfs/dbraw/zinc/11/03/84/545110384.db2.gz UBBZFUASPNICNV-CHWSQXEVSA-N 0 1 267.373 0.803 20 30 CCEDMN C=CCC[C@@H](O)CN1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000352570051 527455391 /nfs/dbraw/zinc/45/53/91/527455391.db2.gz BFKVAMIBIUYXIG-CHWSQXEVSA-N 0 1 290.429 0.669 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)Nc1nnc(C)[nH]1 ZINC000668211030 545167613 /nfs/dbraw/zinc/16/76/13/545167613.db2.gz TZGSNOXRURJIAG-VIFPVBQESA-N 0 1 251.290 0.523 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)Nc1nc(C)n[nH]1 ZINC000668211030 545167614 /nfs/dbraw/zinc/16/76/14/545167614.db2.gz TZGSNOXRURJIAG-VIFPVBQESA-N 0 1 251.290 0.523 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N(C)C1CC(O)C1 ZINC000331959322 527933319 /nfs/dbraw/zinc/93/33/19/527933319.db2.gz AFIKXPUPDMJLMJ-NPZBDFSRSA-N 0 1 267.373 0.884 20 30 CCEDMN C=CCOCCNc1cc(-n2cccn2)nc(N)n1 ZINC000679471445 545409846 /nfs/dbraw/zinc/40/98/46/545409846.db2.gz KBRNGYMLWHJQHE-UHFFFAOYSA-N 0 1 260.301 0.859 20 30 CCEDMN C=CCOCCNC(=O)CSc1nnc(C)[nH]1 ZINC000679588072 545412062 /nfs/dbraw/zinc/41/20/62/545412062.db2.gz LFRGXLHKVUSFTJ-UHFFFAOYSA-N 0 1 256.331 0.524 20 30 CCEDMN C=CCOCCNC(=O)CSc1nc(C)n[nH]1 ZINC000679588072 545412063 /nfs/dbraw/zinc/41/20/63/545412063.db2.gz LFRGXLHKVUSFTJ-UHFFFAOYSA-N 0 1 256.331 0.524 20 30 CCEDMN C=CCOCCNC(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000679629279 545412489 /nfs/dbraw/zinc/41/24/89/545412489.db2.gz VGIIRKIUYNUECR-SECBINFHSA-N 0 1 267.333 0.930 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H](C)N2CCOCC2)CC1 ZINC001006488076 649882037 /nfs/dbraw/zinc/88/20/37/649882037.db2.gz DMQMIRKUOFHQTJ-AWEZNQCLSA-N 0 1 293.411 0.263 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cc(C(N)=O)cs2)N1 ZINC000779792029 581205261 /nfs/dbraw/zinc/20/52/61/581205261.db2.gz HTMWGGGIEMVCCI-ZCFIWIBFSA-N 0 1 251.315 0.118 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cc(-c3cccnc3)[nH]n2)N1 ZINC000779799256 581206614 /nfs/dbraw/zinc/20/66/14/581206614.db2.gz JOEJUPFEJPEOQC-SECBINFHSA-N 0 1 269.312 0.743 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CC=CCC1)[C@@H]1CCCO1 ZINC000793160584 581286172 /nfs/dbraw/zinc/28/61/72/581286172.db2.gz BDUOXFZVZLTWJB-KGLIPLIRSA-N 0 1 277.368 0.728 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1C[C@@H]2C[C@]2(CO)C1 ZINC000782619613 581314522 /nfs/dbraw/zinc/31/45/22/581314522.db2.gz PTCWFKZKCGRTMY-NTZNESFSSA-N 0 1 251.330 0.061 20 30 CCEDMN N#CCNC(=O)C[N@H+]1CCCC[C@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000740305329 581322573 /nfs/dbraw/zinc/32/25/73/581322573.db2.gz LUSJVLZMTYFOBI-RYUDHWBXSA-N 0 1 294.355 0.623 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1CCCC[C@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000740305329 581322576 /nfs/dbraw/zinc/32/25/76/581322576.db2.gz LUSJVLZMTYFOBI-RYUDHWBXSA-N 0 1 294.355 0.623 20 30 CCEDMN C#CCOc1cccc(NC(=O)C(=O)NCc2c[nH]nn2)c1 ZINC000783936635 581367789 /nfs/dbraw/zinc/36/77/89/581367789.db2.gz SBWQBAQTYKCRAI-UHFFFAOYSA-N 0 1 299.290 0.072 20 30 CCEDMN CCOC1(C)CCN(CC(=O)NCCC#N)CC1 ZINC000794631128 581380657 /nfs/dbraw/zinc/38/06/57/581380657.db2.gz FXDVVCAGCVISEN-UHFFFAOYSA-N 0 1 253.346 0.907 20 30 CCEDMN C#CCOCCNC(=O)N1C[C@H](C)N(CC)C[C@@H]1C ZINC000784541780 581387946 /nfs/dbraw/zinc/38/79/46/581387946.db2.gz YXSSLKHCULWCGI-STQMWFEESA-N 0 1 267.373 0.760 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000796264209 581435459 /nfs/dbraw/zinc/43/54/59/581435459.db2.gz JQFNMOZQOVVXRT-KCJUWKMLSA-N 0 1 264.289 0.039 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC1(C#N)CCC1)[C@@H]1CCCO1 ZINC000787305625 581466750 /nfs/dbraw/zinc/46/67/50/581466750.db2.gz TYGZDIJRANSRDB-AGIUHOORSA-N 0 1 275.352 0.708 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC1(C#N)CCC1)[C@H]1CCCO1 ZINC000787305627 581466760 /nfs/dbraw/zinc/46/67/60/581466760.db2.gz TYGZDIJRANSRDB-FRRDWIJNSA-N 0 1 275.352 0.708 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NC1(C#N)CCC1)[C@H]1CCCO1 ZINC000787305628 581466946 /nfs/dbraw/zinc/46/69/46/581466946.db2.gz TYGZDIJRANSRDB-RWMBFGLXSA-N 0 1 275.352 0.708 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](C(=O)N(C)c2ccccc2)C1 ZINC000797529817 581468342 /nfs/dbraw/zinc/46/83/42/581468342.db2.gz HPFHOJCLLFNUOW-CQSZACIVSA-N 0 1 299.374 0.721 20 30 CCEDMN O=C1CN(N=Cc2cccc(Cl)c2O)C(=O)N1 ZINC000753162288 581529901 /nfs/dbraw/zinc/52/99/01/581529901.db2.gz JWUFVKVQFVAUHH-UHFFFAOYSA-N 0 1 253.645 0.931 20 30 CCEDMN NC(=O)CNC(=S)NN=Cc1cccc(Cl)c1O ZINC000753165537 581530227 /nfs/dbraw/zinc/53/02/27/581530227.db2.gz GOTBBDUYMSELNF-UHFFFAOYSA-N 0 1 286.744 0.329 20 30 CCEDMN C#CCOC(=O)Cc1c(C)nc(-c2ncccn2)[nH]c1=O ZINC000729708926 581610989 /nfs/dbraw/zinc/61/09/89/581610989.db2.gz CZDLNWQDTNNRGP-UHFFFAOYSA-N 0 1 284.275 0.667 20 30 CCEDMN CCOCCOCC(=O)C(C#N)C(=O)NC(C)C ZINC000729888177 581618678 /nfs/dbraw/zinc/61/86/78/581618678.db2.gz IZRZTHFDCDIPMN-JTQLQIEISA-N 0 1 256.302 0.273 20 30 CCEDMN C=CC[C@@H](C)N[C@@H](C(N)=O)c1ccc(-c2nn[nH]n2)cc1 ZINC000735410161 581641468 /nfs/dbraw/zinc/64/14/68/581641468.db2.gz WCLGGVORVNSVAU-BXKDBHETSA-N 0 1 286.339 0.947 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC(c3nn[nH]n3)CC2)cn1 ZINC000735389715 581642325 /nfs/dbraw/zinc/64/23/25/581642325.db2.gz HGOMKYQDZVYHEP-UHFFFAOYSA-N 0 1 282.307 0.596 20 30 CCEDMN C#Cc1ccc(CNCC(=O)N2CCOCC2)cc1 ZINC000787776913 581643496 /nfs/dbraw/zinc/64/34/96/581643496.db2.gz RZMMRLYUMPUNGP-UHFFFAOYSA-N 0 1 258.321 0.616 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)OC(=O)Cc1cc(C)[nH]n1 ZINC000756700414 581675534 /nfs/dbraw/zinc/67/55/34/581675534.db2.gz CBYQEULVVCXGAM-SECBINFHSA-N 0 1 294.311 0.204 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCOC(C)(C)C)CC1 ZINC000756844702 581680660 /nfs/dbraw/zinc/68/06/60/581680660.db2.gz BJINBRWSNDEOML-UHFFFAOYSA-N 0 1 295.427 0.559 20 30 CCEDMN C=CC[NH+]1CCC(NS(=O)(=O)CCCC(=O)[O-])CC1 ZINC000736106768 581696869 /nfs/dbraw/zinc/69/68/69/581696869.db2.gz KZVXFJKQJJYYPN-UHFFFAOYSA-N 0 1 290.385 0.421 20 30 CCEDMN CC[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CC2)O1 ZINC000757307653 581700312 /nfs/dbraw/zinc/70/03/12/581700312.db2.gz XMRFQTRPVOXKGH-GMTAPVOTSA-N 0 1 250.298 0.931 20 30 CCEDMN C=CCNC(=O)NC(=O)COC(=O)[C@H](CC)N(CC)CC ZINC000741032926 581728445 /nfs/dbraw/zinc/72/84/45/581728445.db2.gz LKQYQJZDEHLGGE-NSHDSACASA-N 0 1 299.371 0.662 20 30 CCEDMN C=CCCC(=O)COC(=O)c1cc(=O)[nH]c(OC)n1 ZINC000770508353 581749156 /nfs/dbraw/zinc/74/91/56/581749156.db2.gz MMOGNDZVRINKNK-UHFFFAOYSA-N 0 1 266.253 0.883 20 30 CCEDMN C=CCOCCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000759089839 581782717 /nfs/dbraw/zinc/78/27/17/581782717.db2.gz XAICJEQCRWOPHI-UHFFFAOYSA-N 0 1 282.262 0.762 20 30 CCEDMN C=C(C)CNC(=S)N1CCN([C@H](CC)CO)CC1 ZINC000743016845 581800599 /nfs/dbraw/zinc/80/05/99/581800599.db2.gz BRJPNCUSXAVCOV-GFCCVEGCSA-N 0 1 271.430 0.826 20 30 CCEDMN CON=Cc1ccc(C(=O)NCc2nn[nH]n2)cc1 ZINC000732157973 581807328 /nfs/dbraw/zinc/80/73/28/581807328.db2.gz NODWOSFZABLILC-UHFFFAOYSA-N 0 1 260.257 0.110 20 30 CCEDMN C#CC(=O)NCc1n[nH]c(COc2ccccc2)n1 ZINC000771579200 581810725 /nfs/dbraw/zinc/81/07/25/581810725.db2.gz UAHURSYSJOXKDT-UHFFFAOYSA-N 0 1 256.265 0.633 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCCCCCO)CC1 ZINC000743846635 581826976 /nfs/dbraw/zinc/82/69/76/581826976.db2.gz SITHJILSSLUTJL-UHFFFAOYSA-N 0 1 295.427 0.296 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)NC(C)C)CC1 ZINC000760828836 581874252 /nfs/dbraw/zinc/87/42/52/581874252.db2.gz XGQHCHSROCTRTL-UHFFFAOYSA-N 0 1 266.389 0.335 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2ccc(C#N)cc2)CCN1C ZINC000761071579 581885558 /nfs/dbraw/zinc/88/55/58/581885558.db2.gz QBJROXRBARNXEA-ZDUSSCGKSA-N 0 1 286.379 0.810 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(N(C)CC(F)F)CC1 ZINC000746480593 581915703 /nfs/dbraw/zinc/91/57/03/581915703.db2.gz CAKQURSFVXXOPQ-LLVKDONJSA-N 0 1 287.354 0.786 20 30 CCEDMN C=C(C)CN(CC)C(=O)CNC1(C(N)=O)CCCC1 ZINC000746475728 581915885 /nfs/dbraw/zinc/91/58/85/581915885.db2.gz XFOMADZKYTVSPA-UHFFFAOYSA-N 0 1 267.373 0.799 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](Cc2cnn(C)c2)C1 ZINC000746677315 581922166 /nfs/dbraw/zinc/92/21/66/581922166.db2.gz PTHLQCJOZOTWAW-LBPRGKRZSA-N 0 1 260.341 0.034 20 30 CCEDMN COc1ncnc(N)c1C=[NH+][N-]c1ncnc2[nH]cnc21 ZINC000747632726 581947673 /nfs/dbraw/zinc/94/76/73/581947673.db2.gz HIKOQGZAZHBYGG-UHFFFAOYSA-N 0 1 285.271 0.180 20 30 CCEDMN C=CCS(=O)(=O)[N-]C(=O)C[N@@H+](C)[C@@H]1CCSC1 ZINC000774248139 581966922 /nfs/dbraw/zinc/96/69/22/581966922.db2.gz BGQNLAWWSQFTEI-SECBINFHSA-N 0 1 278.399 0.056 20 30 CCEDMN C=CCS(=O)(=O)[N-]C(=O)C[N@H+](C)[C@@H]1CCSC1 ZINC000774248139 581966926 /nfs/dbraw/zinc/96/69/26/581966926.db2.gz BGQNLAWWSQFTEI-SECBINFHSA-N 0 1 278.399 0.056 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000763202433 581989648 /nfs/dbraw/zinc/98/96/48/581989648.db2.gz QBZHAXZJTLUVHW-SECBINFHSA-N 0 1 250.302 0.584 20 30 CCEDMN C#CCNC(=O)CN1CCC(CCC(=O)OCC)CC1 ZINC000749552882 582012057 /nfs/dbraw/zinc/01/20/57/582012057.db2.gz YGSOUWVEEAIUJY-UHFFFAOYSA-N 0 1 280.368 0.791 20 30 CCEDMN C#CCNC(=O)CN[C@H](c1cnn(C)c1)C(C)(C)C ZINC000775550193 582028851 /nfs/dbraw/zinc/02/88/51/582028851.db2.gz ZKJIRVAFYOPDNQ-CYBMUJFWSA-N 0 1 262.357 0.846 20 30 CCEDMN C#CCNC(=O)CNC1(c2ccc3c(c2)OCO3)CC1 ZINC000775553103 582029011 /nfs/dbraw/zinc/02/90/11/582029011.db2.gz LDHPGXFORNNOII-UHFFFAOYSA-N 0 1 272.304 0.743 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NCC(C)C)[C@H]1CCCO1 ZINC000775789175 582038129 /nfs/dbraw/zinc/03/81/29/582038129.db2.gz BFWIBYDVIXKHFH-RWMBFGLXSA-N 0 1 252.358 0.918 20 30 CCEDMN C#C[C@H](N[C@H]1CCN(CC(F)(F)F)C1=O)[C@@H]1CCCO1 ZINC000775792746 582038768 /nfs/dbraw/zinc/03/87/68/582038768.db2.gz ADMIRRTXBBRGPB-DCAQKATOSA-N 0 1 290.285 0.920 20 30 CCEDMN C#C[C@H](N[C@H]1CCN(C(C)C)C1=O)[C@H]1CCCO1 ZINC000775794208 582038851 /nfs/dbraw/zinc/03/88/51/582038851.db2.gz YHZJVCXCWWOOOY-RWMBFGLXSA-N 0 1 250.342 0.766 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC(=O)NCC(C)C)[C@@H]1CCCO1 ZINC000775792455 582038910 /nfs/dbraw/zinc/03/89/10/582038910.db2.gz GSLUSPVOHJKZKA-AGIUHOORSA-N 0 1 295.383 0.627 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC(=O)NCC=C)[C@@H]1CCCO1 ZINC000775797632 582039414 /nfs/dbraw/zinc/03/94/14/582039414.db2.gz FACDIHRHLDWPSI-WOPDTQHZSA-N 0 1 279.340 0.157 20 30 CCEDMN C#C[C@@H]([NH2+]CCC[N-]C(=O)C(F)(F)F)[C@H]1CCCO1 ZINC000775799300 582039532 /nfs/dbraw/zinc/03/95/32/582039532.db2.gz KHVZBOXSASNNEQ-NXEZZACHSA-N 0 1 278.274 0.825 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@H]1CCCN1C(=O)[O-] ZINC000738461471 582053249 /nfs/dbraw/zinc/05/32/49/582053249.db2.gz SORVNBUBLBEUCK-NEPJUHHUSA-N 0 1 279.340 0.343 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@H]1CCCN1C(=O)[O-] ZINC000738461471 582053250 /nfs/dbraw/zinc/05/32/50/582053250.db2.gz SORVNBUBLBEUCK-NEPJUHHUSA-N 0 1 279.340 0.343 20 30 CCEDMN O=[N+]([O-])c1ccc(O)cc1C=NN[C@H]1CCS(=O)(=O)C1 ZINC000751127100 582070753 /nfs/dbraw/zinc/07/07/53/582070753.db2.gz HZPVXJYFEVUSKG-VIFPVBQESA-N 0 1 299.308 0.411 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@H](Cn2ccnn2)C1 ZINC000752063095 582100053 /nfs/dbraw/zinc/10/00/53/582100053.db2.gz JOALXALFUOOQCA-LBPRGKRZSA-N 0 1 276.344 0.020 20 30 CCEDMN C=C(Br)CN1CCN(Cc2nnc[nH]2)CC1 ZINC000878808974 613235680 /nfs/dbraw/zinc/23/56/80/613235680.db2.gz KPQIBPCOBJXUNP-UHFFFAOYSA-N 0 1 286.177 0.831 20 30 CCEDMN CCS(=O)(CC)=NS(=O)(=O)NCC1(C#N)CC1 ZINC000867222302 615356404 /nfs/dbraw/zinc/35/64/04/615356404.db2.gz SHRWSIBTZIBYMS-UHFFFAOYSA-N 0 1 279.387 0.632 20 30 CCEDMN C#CCCCS(=O)(=O)N(C)CC[N@@H+](C)C1CC1 ZINC000805066120 612983037 /nfs/dbraw/zinc/98/30/37/612983037.db2.gz VIJDHYJWZAEYAH-UHFFFAOYSA-N 0 1 258.387 0.756 20 30 CCEDMN C#CCCCS(=O)(=O)N(C)CCN(C)C1CC1 ZINC000805066120 612983038 /nfs/dbraw/zinc/98/30/38/612983038.db2.gz VIJDHYJWZAEYAH-UHFFFAOYSA-N 0 1 258.387 0.756 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000054300127 597075569 /nfs/dbraw/zinc/07/55/69/597075569.db2.gz STJMPNOJWLPYEE-SNVBAGLBSA-N 0 1 253.302 0.203 20 30 CCEDMN N#Cc1cccc(CNC(=O)C[N@@H+]2CC[C@H](C(=O)[O-])C2)c1 ZINC000819106478 597530387 /nfs/dbraw/zinc/53/03/87/597530387.db2.gz UAUGVESHHLFJPC-ZDUSSCGKSA-N 0 1 287.319 0.581 20 30 CCEDMN C[C@@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])C[NH+]1CCOCC1 ZINC000820095965 597648841 /nfs/dbraw/zinc/64/88/41/597648841.db2.gz XRVFQYGFTMRSHY-FZMZJTMJSA-N 0 1 297.355 0.075 20 30 CCEDMN N=C(N)NN=C1CCCc2cc(-c3nn[nH]n3)ccc21 ZINC000822846271 599330992 /nfs/dbraw/zinc/33/09/92/599330992.db2.gz TVWBITTZGSXREE-UHFFFAOYSA-N 0 1 270.300 0.390 20 30 CCEDMN C#CC(C)(C)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000827633733 612946042 /nfs/dbraw/zinc/94/60/42/612946042.db2.gz COKPXMDATPNSBV-UHFFFAOYSA-N 0 1 282.321 0.181 20 30 CCEDMN C#CC1(F)CN(C(=O)NCc2cc(CC)[nH]n2)C1 ZINC000883434561 612947786 /nfs/dbraw/zinc/94/77/86/612947786.db2.gz UXDCGYZBVIMHRD-UHFFFAOYSA-N 0 1 250.277 0.839 20 30 CCEDMN C[C@@H]1CC[C@H](C)[N@@H+]1CC(=O)N(CC#N)CC(=O)[O-] ZINC000828214900 600963221 /nfs/dbraw/zinc/96/32/21/600963221.db2.gz VLTHXBQBQVHQJV-AOOOYVTPSA-N 0 1 253.302 0.296 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)C[N@@H+](CC(=O)[O-])C2CC2)cc1 ZINC000833072087 601465416 /nfs/dbraw/zinc/46/54/16/601465416.db2.gz VOCIDBCJZGURHP-CYBMUJFWSA-N 0 1 290.319 0.847 20 30 CCEDMN C[C@@H]1C[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C[C@@H]1C(=O)[O-] ZINC000828411061 601515282 /nfs/dbraw/zinc/51/52/82/601515282.db2.gz DESVSJKOPWFCGB-ICCXJUOJSA-N 0 1 287.319 0.737 20 30 CCEDMN C#CCSCCNC(=O)CCCc1nn[nH]n1 ZINC000820192638 613015471 /nfs/dbraw/zinc/01/54/71/613015471.db2.gz AYBZNSGRVSISSL-UHFFFAOYSA-N 0 1 253.331 0.005 20 30 CCEDMN C#CCSCCNC(=O)N1CCN(C)CCN(C)CC1 ZINC000893127588 613015897 /nfs/dbraw/zinc/01/58/97/613015897.db2.gz HCEKTKOYIYEWKP-UHFFFAOYSA-N 0 1 298.456 0.242 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000091131936 601921717 /nfs/dbraw/zinc/92/17/17/601921717.db2.gz APRQDMGYAKNAOY-UWVGGRQHSA-N 0 1 270.354 0.016 20 30 CCEDMN N#Cc1ccc([C@@H](O)C[N@@H+]2CCO[C@H](CC(=O)[O-])C2)cc1 ZINC000833071765 602018915 /nfs/dbraw/zinc/01/89/15/602018915.db2.gz IJEQFVCGPMVUSA-KGLIPLIRSA-N 0 1 290.319 0.767 20 30 CCEDMN N#Cc1ccc([C@@H](O)C[N@H+]2CCO[C@H](CC(=O)[O-])C2)cc1 ZINC000833071765 602018918 /nfs/dbraw/zinc/01/89/18/602018918.db2.gz IJEQFVCGPMVUSA-KGLIPLIRSA-N 0 1 290.319 0.767 20 30 CCEDMN COc1cc(C)sc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834489391 617179187 /nfs/dbraw/zinc/17/91/87/617179187.db2.gz MBUWUHOWSKNJKD-BDAKNGLRSA-N 0 1 265.338 0.907 20 30 CCEDMN C[C@@H](C[N@@H+](CCC(=O)N(C)CCC#N)C1CC1)C(=O)[O-] ZINC000833516204 604841928 /nfs/dbraw/zinc/84/19/28/604841928.db2.gz HUXPIKLPKPDXOZ-NSHDSACASA-N 0 1 281.356 0.934 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000912986031 613045441 /nfs/dbraw/zinc/04/54/41/613045441.db2.gz QMOULEQPWAPENL-OCCSQVGLSA-N 0 1 262.353 0.579 20 30 CCEDMN N#CC1(C[N@@H+]2CCC[C@@H](C(=O)NCCNC(=O)[O-])C2)CC1 ZINC000833964460 606002979 /nfs/dbraw/zinc/00/29/79/606002979.db2.gz HMXNUMGSSWAJDO-LLVKDONJSA-N 0 1 294.355 0.386 20 30 CCEDMN Cc1nc(-c2nnn[n-]2)c(N=NC2C[N@H+](C)C[C@H]2C)o1 ZINC000822424667 606462367 /nfs/dbraw/zinc/46/23/67/606462367.db2.gz YOWGQZHDQWCEAU-ZCFIWIBFSA-N 0 1 276.304 0.513 20 30 CCEDMN Cc1nc(-c2nn[n-]n2)c(N=NC2C[N@@H+](C)C[C@H]2C)o1 ZINC000822424667 606462368 /nfs/dbraw/zinc/46/23/68/606462368.db2.gz YOWGQZHDQWCEAU-ZCFIWIBFSA-N 0 1 276.304 0.513 20 30 CCEDMN Cc1nc(-c2nn[n-]n2)c(N=NC2C[N@H+](C)C[C@H]2C)o1 ZINC000822424667 606462369 /nfs/dbraw/zinc/46/23/69/606462369.db2.gz YOWGQZHDQWCEAU-ZCFIWIBFSA-N 0 1 276.304 0.513 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000799084255 613055669 /nfs/dbraw/zinc/05/56/69/613055669.db2.gz XVVBLELIAJXSAZ-MRVPVSSYSA-N 0 1 294.273 0.334 20 30 CCEDMN Cc1csc(Cn2c(N)nc(-c3nn[nH]n3)c2C#N)n1 ZINC000822414377 606867443 /nfs/dbraw/zinc/86/74/43/606867443.db2.gz DEKYSBUNPMJTPP-UHFFFAOYSA-N 0 1 287.312 0.330 20 30 CCEDMN Cc1nc(-c2nnn[n-]2)c(N=NC2CC[N@H+]3CCC[C@H]23)o1 ZINC000826323054 608228761 /nfs/dbraw/zinc/22/87/61/608228761.db2.gz NTQKAWYSADRWNW-SECBINFHSA-N 0 1 288.315 0.799 20 30 CCEDMN Cc1nc(-c2nn[n-]n2)c(N=NC2CC[N@@H+]3CCC[C@H]23)o1 ZINC000826323054 608228764 /nfs/dbraw/zinc/22/87/64/608228764.db2.gz NTQKAWYSADRWNW-SECBINFHSA-N 0 1 288.315 0.799 20 30 CCEDMN Cc1nc(-c2nn[n-]n2)c(N=NC2CC[N@H+]3CCC[C@H]23)o1 ZINC000826323054 608228765 /nfs/dbraw/zinc/22/87/65/608228765.db2.gz NTQKAWYSADRWNW-SECBINFHSA-N 0 1 288.315 0.799 20 30 CCEDMN C#CCNCC(=O)N(CCOC)Cc1cccs1 ZINC000105964694 612956491 /nfs/dbraw/zinc/95/64/91/612956491.db2.gz JNLZXXLMTNIDTA-UHFFFAOYSA-N 0 1 266.366 0.946 20 30 CCEDMN C#C[C@@H](C)NC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000811998568 613081325 /nfs/dbraw/zinc/08/13/25/613081325.db2.gz SOCHMYVGPPXLQD-ZIAGYGMSSA-N 0 1 280.416 0.579 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC000971808679 613083853 /nfs/dbraw/zinc/08/38/53/613083853.db2.gz LQKIMXGLAUECDE-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000821567372 613083164 /nfs/dbraw/zinc/08/31/64/613083164.db2.gz LJJNIFZPTICXEY-STQMWFEESA-N 0 1 281.400 0.885 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2[nH]c(C)nc2C)C1 ZINC000972362139 613093674 /nfs/dbraw/zinc/09/36/74/613093674.db2.gz KICHUVHVHIPHOE-LBPRGKRZSA-N 0 1 260.341 0.806 20 30 CCEDMN C#CCN(CC#N)S(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000915217471 613101744 /nfs/dbraw/zinc/10/17/44/613101744.db2.gz IFQBUOQKQKSKBL-ZDUSSCGKSA-N 0 1 281.381 0.261 20 30 CCEDMN C#CC[NH2+][C@@H]1CCC[C@H](NC(=O)c2[n-]nnc2C)C1 ZINC000981263310 612958768 /nfs/dbraw/zinc/95/87/68/612958768.db2.gz NYVVVKSHAIHKPZ-MNOVXSKESA-N 0 1 261.329 0.377 20 30 CCEDMN C#CC1(O)CN(C(=O)c2c[nH]c3ccc(C)cc3c2=O)C1 ZINC000890523175 612958789 /nfs/dbraw/zinc/95/87/89/612958789.db2.gz LQVBYBWYNFGTHK-UHFFFAOYSA-N 0 1 282.299 0.657 20 30 CCEDMN CC(C)c1nn(C)cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834499072 614331720 /nfs/dbraw/zinc/33/17/20/614331720.db2.gz FANTUUHQKGUVRS-KOLCDFICSA-N 0 1 261.329 0.385 20 30 CCEDMN COc1ccc(CC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834507256 617242166 /nfs/dbraw/zinc/24/21/66/617242166.db2.gz ZBMCAPXVUGPJSQ-YPMHNXCESA-N 0 1 259.309 0.465 20 30 CCEDMN COc1ccc(COCC(=O)NCC#CCN(C)C)cn1 ZINC000913524341 617261726 /nfs/dbraw/zinc/26/17/26/617261726.db2.gz JDSUHUXJKDXSAV-UHFFFAOYSA-N 0 1 291.351 0.288 20 30 CCEDMN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834510377 617282438 /nfs/dbraw/zinc/28/24/38/617282438.db2.gz ANCOGKLUSPRYCW-GZMMTYOYSA-N 0 1 290.279 0.445 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCN(C(=O)[C@@H]2CCCN2C)CC1 ZINC000940508857 617289072 /nfs/dbraw/zinc/28/90/72/617289072.db2.gz VRMUQHKTKAISPQ-STQMWFEESA-N 0 1 292.383 0.301 20 30 CCEDMN COc1ccc2c(c1)CC[C@@H]2C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000876803896 617284572 /nfs/dbraw/zinc/28/45/72/617284572.db2.gz YVHQEDCTVPVBQX-CQDKDKBSSA-N 0 1 285.347 0.953 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H]2CN(C(=O)c3ccn[nH]3)C[C@@H]2C1 ZINC000961056460 617294833 /nfs/dbraw/zinc/29/48/33/617294833.db2.gz FUNADADEXXYDKQ-GARJFASQSA-N 0 1 287.323 0.100 20 30 CCEDMN COc1cccc(CCC(=O)N[C@H]2CNC[C@H]2C#N)c1F ZINC000834499295 617295988 /nfs/dbraw/zinc/29/59/88/617295988.db2.gz GZCFAYIOFWASOZ-NEPJUHHUSA-N 0 1 291.326 0.995 20 30 CCEDMN COc1cccc(CCC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834515831 617295991 /nfs/dbraw/zinc/29/59/91/617295991.db2.gz CDBTUEQPBLGOHO-OCCSQVGLSA-N 0 1 273.336 0.856 20 30 CCEDMN COc1cccc(F)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834480402 617302502 /nfs/dbraw/zinc/30/25/02/617302502.db2.gz PNPJSFGSPAPTQF-WPRPVWTQSA-N 0 1 263.272 0.676 20 30 CCEDMN C#CC1(O)CCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000899117933 612951657 /nfs/dbraw/zinc/95/16/57/612951657.db2.gz PBNBDJKQUMWHLN-NSHDSACASA-N 0 1 273.336 0.816 20 30 CCEDMN C#CC1(O)CN(C(=O)NCc2cc(N(C)C)ccn2)C1 ZINC000896590710 612955811 /nfs/dbraw/zinc/95/58/11/612955811.db2.gz CQDJPVHHDBZRCU-UHFFFAOYSA-N 0 1 274.324 0.037 20 30 CCEDMN C#CC1(O)CN(C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC000890524387 612958722 /nfs/dbraw/zinc/95/87/22/612958722.db2.gz AKRBQXXEGKARQW-UHFFFAOYSA-N 0 1 259.187 0.249 20 30 CCEDMN C#CC1CCN(CC(=O)NC2CCOCC2)CC1 ZINC000830321737 612964318 /nfs/dbraw/zinc/96/43/18/612964318.db2.gz ZOBHSAJPTBGCQR-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CC1CCN([C@@H](C)C(=O)NC(=O)NC2CC2)CC1 ZINC000830311355 612965149 /nfs/dbraw/zinc/96/51/49/612965149.db2.gz LJCFDDAUZSYYJT-JTQLQIEISA-N 0 1 263.341 0.708 20 30 CCEDMN C#CCC1(NCc2nnnn2C2CC2)CCOCC1 ZINC000887127132 612970993 /nfs/dbraw/zinc/97/09/93/612970993.db2.gz ILUADQGSSHELMG-UHFFFAOYSA-N 0 1 261.329 0.670 20 30 CCEDMN C#CCCc1cc(=O)n([C@H]2CCCS(=O)(=O)C2)[nH]1 ZINC000899357780 612974419 /nfs/dbraw/zinc/97/44/19/612974419.db2.gz MUYOHUYBTVAGLT-NSHDSACASA-N 0 1 268.338 0.565 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000896547449 612975686 /nfs/dbraw/zinc/97/56/86/612975686.db2.gz BPOQTZTTWYMDHH-KGLIPLIRSA-N 0 1 265.401 0.931 20 30 CCEDMN C#CCOCCONC(=N)[C@H]1COc2ccccc2O1 ZINC000853677616 612991382 /nfs/dbraw/zinc/99/13/82/612991382.db2.gz RVRJDZYEBMIFGO-CYBMUJFWSA-N 0 1 276.292 0.975 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H](CC)[N@@H+]1CCO[C@H](CC)C1 ZINC000855606834 612992306 /nfs/dbraw/zinc/99/23/06/612992306.db2.gz PNCZPHOCAWKZGR-VXGBXAGGSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H](CC)[N@H+]1CCO[C@H](CC)C1 ZINC000855606834 612992307 /nfs/dbraw/zinc/99/23/07/612992307.db2.gz PNCZPHOCAWKZGR-VXGBXAGGSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCc1nc(CSC)n[nH]1 ZINC000894603514 612996768 /nfs/dbraw/zinc/99/67/68/612996768.db2.gz ZDRCTFJCAWQHLS-QMMMGPOBSA-N 0 1 268.342 0.322 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCc1nnc(CSC)[nH]1 ZINC000894603514 612996770 /nfs/dbraw/zinc/99/67/70/612996770.db2.gz ZDRCTFJCAWQHLS-QMMMGPOBSA-N 0 1 268.342 0.322 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H](CCSC)c1nn[nH]n1 ZINC000912863329 612996926 /nfs/dbraw/zinc/99/69/26/612996926.db2.gz UDJZUCCLCKDNKY-IUCAKERBSA-N 0 1 283.357 0.148 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@]2(C)CCNC(=O)C2)CC1 ZINC000981442413 613004532 /nfs/dbraw/zinc/00/45/32/613004532.db2.gz NWYZMSUMGNMBMG-INIZCTEOSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@]2(C)CCNC2=O)CC1 ZINC000981945201 613004646 /nfs/dbraw/zinc/00/46/46/613004646.db2.gz HOLGRGFCAJGGNB-HNNXBMFYSA-N 0 1 277.368 0.070 20 30 CCEDMN C#CCOc1ccccc1CN1CC[C@H](O)[C@@H](CO)C1 ZINC000840975444 613007617 /nfs/dbraw/zinc/00/76/17/613007617.db2.gz NVIKSFGNMCVUQX-CABCVRRESA-N 0 1 275.348 0.874 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@]2(C)CCN(C(=O)[O-])C2)CC1 ZINC000823445211 613010052 /nfs/dbraw/zinc/01/00/52/613010052.db2.gz VYMWFTKEGSLWDW-HNNXBMFYSA-N 0 1 293.367 0.544 20 30 CCEDMN C#CCCN1CCN(C[C@@H](O)c2ccccn2)CC1 ZINC000851872354 613011438 /nfs/dbraw/zinc/01/14/38/613011438.db2.gz CTXHZGMVPHQCOU-OAHLLOKOSA-N 0 1 259.353 0.756 20 30 CCEDMN C#CCCN1CCN(S(=O)(=O)c2ccccc2O)CC1 ZINC000849372065 613012053 /nfs/dbraw/zinc/01/20/53/613012053.db2.gz DZPYBYWCLQNHQW-UHFFFAOYSA-N 0 1 294.376 0.722 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC000965973698 613024917 /nfs/dbraw/zinc/02/49/17/613024917.db2.gz FCJYOVHHMCUZNJ-GXTWGEPZSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N1CCN(C)C[C@H]1CC ZINC000875926637 613033916 /nfs/dbraw/zinc/03/39/16/613033916.db2.gz HVZKXDMTMKNGBA-QWHCGFSZSA-N 0 1 267.373 0.760 20 30 CCEDMN C#CC[C@@H](COC)N[C@@H](Cc1ccc(F)cc1)C(N)=O ZINC000863389844 613038769 /nfs/dbraw/zinc/03/87/69/613038769.db2.gz DMFXYAYCIHWCCY-KBPBESRZSA-N 0 1 278.327 0.850 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CCC[N@@H+]1CCC)C(=O)[O-] ZINC000910048241 613041367 /nfs/dbraw/zinc/04/13/67/613041367.db2.gz HJGAEJHPOUZXFJ-GHMZBOCLSA-N 0 1 252.314 0.454 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000898084573 613044206 /nfs/dbraw/zinc/04/42/06/613044206.db2.gz KYPZIUJYHOCTMX-UKRRQHHQSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CC[C@@H]1NC(=O)N([C@@H]2CCCN3CCSC[C@H]23)C1=O ZINC000929012767 613048384 /nfs/dbraw/zinc/04/83/84/613048384.db2.gz ORQVTFMEFAKHMH-QJPTWQEYSA-N 0 1 293.392 0.510 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)CCCc1nn[nH]n1 ZINC000825080825 613049435 /nfs/dbraw/zinc/04/94/35/613049435.db2.gz XQGYUECKUHAKSD-SNVBAGLBSA-N 0 1 265.317 0.067 20 30 CCEDMN C#CC[C@H](CO)NCc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000883124169 613058463 /nfs/dbraw/zinc/05/84/63/613058463.db2.gz YUCRDTMUZVBTKR-LLVKDONJSA-N 0 1 292.291 0.840 20 30 CCEDMN C#CC[C@H](COC)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000876079765 613060712 /nfs/dbraw/zinc/06/07/12/613060712.db2.gz LREDQYJOYREEGK-MRVPVSSYSA-N 0 1 294.273 0.598 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000879859365 613062878 /nfs/dbraw/zinc/06/28/78/613062878.db2.gz GDHPDVQQKDVOMY-SUNKGSAMSA-N 0 1 275.352 0.966 20 30 CCEDMN C#CC[C@H](NC(=O)CN(C)[C@H]1CCSC1)C(=O)OC ZINC000837949984 613067859 /nfs/dbraw/zinc/06/78/59/613067859.db2.gz GSQSBIHITKHPSL-QWRGUYRKSA-N 0 1 284.381 0.105 20 30 CCEDMN C#CCN(C)C(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000925164536 613071925 /nfs/dbraw/zinc/07/19/25/613071925.db2.gz OJRJGUOVNUIWJV-RYUDHWBXSA-N 0 1 267.398 0.841 20 30 CCEDMN C#CC[C@H]1NC(=O)N(CCCc2cn[nH]c2C)C1=O ZINC000927330552 613075405 /nfs/dbraw/zinc/07/54/05/613075405.db2.gz YAEJLALEYZYBLA-LLVKDONJSA-N 0 1 260.297 0.594 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2cncnc2)C1 ZINC000971940326 613080992 /nfs/dbraw/zinc/08/09/92/613080992.db2.gz OJBDZAKPXLQRFV-CQSZACIVSA-N 0 1 272.352 0.575 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c(C)nn(C)c2C)C1 ZINC000972043177 613084622 /nfs/dbraw/zinc/08/46/22/613084622.db2.gz XAJIMGOKGXGPDQ-CYBMUJFWSA-N 0 1 274.368 0.816 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnc(OC)n2)C1 ZINC000971920498 613086838 /nfs/dbraw/zinc/08/68/38/613086838.db2.gz VQERFFOSCPMGNU-LLVKDONJSA-N 0 1 274.324 0.265 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(C)nc2OC)C1 ZINC000972071231 613087258 /nfs/dbraw/zinc/08/72/58/613087258.db2.gz WAKNYRGYHZYXAB-LLVKDONJSA-N 0 1 276.340 0.208 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc3cccnn32)C1 ZINC000972495132 613087445 /nfs/dbraw/zinc/08/74/45/613087445.db2.gz KWPKYVYSOWYTAT-GFCCVEGCSA-N 0 1 283.335 0.509 20 30 CCEDMN C#C[C@@H](CO)NC(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000854769364 613088817 /nfs/dbraw/zinc/08/88/17/613088817.db2.gz KSGZESMIRXWNCH-LSDHHAIUSA-N 0 1 272.348 0.761 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cn(C)nc2C)C1 ZINC000971697066 613091116 /nfs/dbraw/zinc/09/11/16/613091116.db2.gz OOVSKDBLRMMKFC-AWEZNQCLSA-N 0 1 274.368 0.437 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC000980573980 613091191 /nfs/dbraw/zinc/09/11/91/613091191.db2.gz PGQHYZQUFHTZED-JSGCOSHPSA-N 0 1 274.368 0.690 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CC23CCOCC3)C1 ZINC000980565485 613091419 /nfs/dbraw/zinc/09/14/19/613091419.db2.gz ZMKWOJWASCLKAQ-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC000971982195 613091961 /nfs/dbraw/zinc/09/19/61/613091961.db2.gz MNTKFTOPGQAHLF-MJBXVCDLSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC000971539052 613093052 /nfs/dbraw/zinc/09/30/52/613093052.db2.gz JDLOQPXIHLMRDP-STQMWFEESA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc3nncn3c2)C1 ZINC000972544656 613095263 /nfs/dbraw/zinc/09/52/63/613095263.db2.gz NKDSMDSTFYKLLO-ZDUSSCGKSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1ccn(C)n1 ZINC000922024829 613097952 /nfs/dbraw/zinc/09/79/52/613097952.db2.gz JJURTDONTGSNMS-UHFFFAOYSA-N 0 1 296.396 0.138 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1ccccn1 ZINC000922023506 613098196 /nfs/dbraw/zinc/09/81/96/613098196.db2.gz UZXOESRHOYUQDT-UHFFFAOYSA-N 0 1 293.392 0.800 20 30 CCEDMN C#C[C@@H](NS(=O)(=O)c1cc(O)cc(F)c1)[C@H]1CCCO1 ZINC000867125817 613100304 /nfs/dbraw/zinc/10/03/04/613100304.db2.gz ZHZPLJHPOXMQAR-CHWSQXEVSA-N 0 1 299.323 0.990 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)CN1CCN(CC)CC1 ZINC000890652167 613101146 /nfs/dbraw/zinc/10/11/46/613101146.db2.gz KRDMWEPQOYRQDL-CQSZACIVSA-N 0 1 263.385 0.638 20 30 CCEDMN C#CCN(CC)C[C@@H](O)c1ccc(-c2nn[nH]n2)cc1 ZINC000819701253 613106725 /nfs/dbraw/zinc/10/67/25/613106725.db2.gz AMAHTOQDZJRQOP-CYBMUJFWSA-N 0 1 271.324 0.855 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)NCc2n[nH]c(=O)n2C)CC1 ZINC000889567012 613108511 /nfs/dbraw/zinc/10/85/11/613108511.db2.gz OGEJZFFRGQZKAY-MGCOHNPYSA-N 0 1 277.328 0.512 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CC[C@@H](c3ccco3)C2)C1=O ZINC000821771488 613109504 /nfs/dbraw/zinc/10/95/04/613109504.db2.gz ATJPMBNLQNKJGK-VXGBXAGGSA-N 0 1 272.304 0.830 20 30 CCEDMN COc1ccccc1NCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000844195143 617316449 /nfs/dbraw/zinc/31/64/49/617316449.db2.gz QEFUBUZZTWYFMQ-PWSUYJOCSA-N 0 1 274.324 0.335 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000854077331 613120041 /nfs/dbraw/zinc/12/00/41/613120041.db2.gz PNIKZLFVMVLLLL-YNEHKIRRSA-N 0 1 267.373 0.495 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CCOC[C@H]2OC)CC1 ZINC000882356353 613128758 /nfs/dbraw/zinc/12/87/58/613128758.db2.gz DZOMWDKCIADRFS-LSDHHAIUSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C3OCCO3)C2)CC1 ZINC000928003015 613129097 /nfs/dbraw/zinc/12/90/97/613129097.db2.gz KSEFPFZOVPSUGH-CQSZACIVSA-N 0 1 292.379 0.553 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](CO)[C@H]2C)CC1 ZINC000857287516 613129273 /nfs/dbraw/zinc/12/92/73/613129273.db2.gz AFJWMFYHIZJUSH-UKRRQHHQSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(O)CCCCC2)CC1 ZINC000928656000 613132484 /nfs/dbraw/zinc/13/24/84/613132484.db2.gz HOMSDPZXKFLGLF-UHFFFAOYSA-N 0 1 264.369 0.895 20 30 CCEDMN C#CCN1CCC(NC(=O)CCN2CCOC2=O)CC1 ZINC000928657516 613132925 /nfs/dbraw/zinc/13/29/25/613132925.db2.gz LSDOOQHDZMYXFB-UHFFFAOYSA-N 0 1 279.340 0.043 20 30 CCEDMN C#C[C@H](NC(=O)N(C)CC1CN(C)C1)[C@@H]1CCCO1 ZINC000852259854 613134512 /nfs/dbraw/zinc/13/45/12/613134512.db2.gz MVHLLWHZYXPRBQ-STQMWFEESA-N 0 1 265.357 0.370 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H]2CC[C@H](C)O2)CC1 ZINC000922822653 613134596 /nfs/dbraw/zinc/13/45/96/613134596.db2.gz SWFIHCHZNHRHAV-GXTWGEPZSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2C[C@@H](C)OC2=O)CC1 ZINC000896379029 613135058 /nfs/dbraw/zinc/13/50/58/613135058.db2.gz BZZOBKYUKXKNGX-ZYHUDNBSSA-N 0 1 279.340 0.087 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCC[C@@H]2CCO)CC1 ZINC000922099601 613135363 /nfs/dbraw/zinc/13/53/63/613135363.db2.gz LJAYUJDWMHQICY-HIFRSBDPSA-N 0 1 293.411 0.934 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCCOC2)CC1 ZINC000917115583 613135396 /nfs/dbraw/zinc/13/53/96/613135396.db2.gz GJDOTVXNZGPMNR-ZDUSSCGKSA-N 0 1 265.357 0.562 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCCCN2C(C)=O)CC1 ZINC000928656949 613136767 /nfs/dbraw/zinc/13/67/67/613136767.db2.gz HCBPCGXDFMNRNA-OAHLLOKOSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](OC)C2CC2)CC1 ZINC000928653255 613136791 /nfs/dbraw/zinc/13/67/91/613136791.db2.gz GDNGRENXMBJSOB-CYBMUJFWSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CSCN2C(C)=O)CC1 ZINC000928657734 613136875 /nfs/dbraw/zinc/13/68/75/613136875.db2.gz WHRSVOYHTVYOLZ-CYBMUJFWSA-N 0 1 295.408 0.122 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C#C)cn2)CC1 ZINC000928658007 613137335 /nfs/dbraw/zinc/13/73/35/613137335.db2.gz ITWYDKDKIJOCDL-UHFFFAOYSA-N 0 1 267.332 0.890 20 30 CCEDMN C#CCN1CCC(NC(=S)Nc2cnn(C)c2)CC1 ZINC000899550975 613138086 /nfs/dbraw/zinc/13/80/86/613138086.db2.gz OURKKQHAZLKPAY-UHFFFAOYSA-N 0 1 277.397 0.804 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)C(F)F)CCO2 ZINC000948997603 613139414 /nfs/dbraw/zinc/13/94/14/613139414.db2.gz AKEHOSXYFUPXEH-UHFFFAOYSA-N 0 1 272.295 0.578 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@H]1CCCO1)CCO2 ZINC000949021674 613140078 /nfs/dbraw/zinc/14/00/78/613140078.db2.gz XWXIGZZMOBTRTQ-CQSZACIVSA-N 0 1 292.379 0.492 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3[nH]cnc3C)C2)C1 ZINC000982131179 613141239 /nfs/dbraw/zinc/14/12/39/613141239.db2.gz SUKIWQYBANLNHG-UHFFFAOYSA-N 0 1 286.379 0.818 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cn3ccnc3)C2)C1 ZINC000982171080 613141314 /nfs/dbraw/zinc/14/13/14/613141314.db2.gz VQFAKTFWSPBTLT-UHFFFAOYSA-N 0 1 272.352 0.441 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CCC(=O)NC3)C2)C1 ZINC000981864666 613142147 /nfs/dbraw/zinc/14/21/47/613142147.db2.gz GFIRRBGMGXWOCI-CYBMUJFWSA-N 0 1 289.379 0.070 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)c1nnc[nH]1)C1CCOCC1 ZINC000823172799 613142291 /nfs/dbraw/zinc/14/22/91/613142291.db2.gz KXHCHBJVSQICFT-ONGXEEELSA-N 0 1 277.328 0.593 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H]1CCc2nc[nH]c2C1)[C@@H]1CCCO1 ZINC000811905623 613144499 /nfs/dbraw/zinc/14/44/99/613144499.db2.gz HROYBJOSBXYLPO-MJVIPROJSA-N 0 1 288.351 0.747 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H]1CCc2nc[nH]c2C1)[C@H]1CCCO1 ZINC000811905622 613144580 /nfs/dbraw/zinc/14/45/80/613144580.db2.gz HROYBJOSBXYLPO-COPLHBTASA-N 0 1 288.351 0.747 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cn[nH]c(=O)c3)C2)C1 ZINC000981828669 613144677 /nfs/dbraw/zinc/14/46/77/613144677.db2.gz CHTLLMNEBOMIKW-UHFFFAOYSA-N 0 1 286.335 0.353 20 30 CCEDMN C#C[C@H](NC[C@H](O)CC(=O)OCC)[C@@H]1CCCO1 ZINC000859257772 613150582 /nfs/dbraw/zinc/15/05/82/613150582.db2.gz FKDRADXWKIVARE-WOPDTQHZSA-N 0 1 255.314 0.071 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)NCc1n[nH]c(=O)n1C ZINC000896198105 613154838 /nfs/dbraw/zinc/15/48/38/613154838.db2.gz JIXGUWLQCIHFBP-VIFPVBQESA-N 0 1 263.301 0.218 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000892871707 613155124 /nfs/dbraw/zinc/15/51/24/613155124.db2.gz OKRMQBQUYSWDNO-CABCVRRESA-N 0 1 292.427 0.820 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H](CC)NC(C)=O ZINC000870195288 613176933 /nfs/dbraw/zinc/17/69/33/613176933.db2.gz QVCWUMXESKUGGR-OLZOCXBDSA-N 0 1 266.341 0.542 20 30 CCEDMN C#CCN1CCC[C@H](Nc2cncc(-n3cncn3)n2)C1 ZINC000884612825 613193513 /nfs/dbraw/zinc/19/35/13/613193513.db2.gz VFDDPWALAJDKLQ-LBPRGKRZSA-N 0 1 283.339 0.567 20 30 CCEDMN C#CCN1CCC[C@H](Nc2cc3nncn3c(C)n2)C1 ZINC000884612320 613193866 /nfs/dbraw/zinc/19/38/66/613193866.db2.gz JISUIBOBHOMFLZ-LBPRGKRZSA-N 0 1 270.340 0.942 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)N2CC[C@@H]3CN(C)C[C@H]32)cc1 ZINC000907197270 613197070 /nfs/dbraw/zinc/19/70/70/613197070.db2.gz MIXTXDFGKBELET-UKRRQHHQSA-N 0 1 290.388 0.993 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N2C[C@H](C)NC[C@H]2C)c1 ZINC000842033316 613199031 /nfs/dbraw/zinc/19/90/31/613199031.db2.gz RFNJNENZMOIGGB-QWHCGFSZSA-N 0 1 299.374 0.493 20 30 CCEDMN C#CCN1CCN(C(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)CC1 ZINC000878449446 613207329 /nfs/dbraw/zinc/20/73/29/613207329.db2.gz YLINHYGXZTXMKX-DOMZBBRYSA-N 0 1 286.379 0.853 20 30 CCEDMN C#Cc1cnc(N2CCN([C@H]3CCC[C@@H]3O)CC2)nc1 ZINC000827558207 613209858 /nfs/dbraw/zinc/20/98/58/613209858.db2.gz XNVYUYHZPVZRFT-KBPBESRZSA-N 0 1 272.352 0.493 20 30 CCEDMN C#Cc1cncc(C(=O)N2CC(N3CCCC3)C2)c1 ZINC000898603745 613212230 /nfs/dbraw/zinc/21/22/30/613212230.db2.gz CJBACUNZZFFRCS-UHFFFAOYSA-N 0 1 255.321 0.983 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(=O)n(C)o2)C(C)(C)C1 ZINC000940711529 613232176 /nfs/dbraw/zinc/23/21/76/613232176.db2.gz CYFOFNTUADFYFD-GFCCVEGCSA-N 0 1 291.351 0.442 20 30 CCEDMN C/C(=C/C(=O)NCC#CCN(C)C)c1cnccn1 ZINC000913523272 613235492 /nfs/dbraw/zinc/23/54/92/613235492.db2.gz SIEYPSVELLSJDK-BENRWUELSA-N 0 1 258.325 0.561 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnc(C)n2)[C@H](C)C1 ZINC000944995622 613238628 /nfs/dbraw/zinc/23/86/28/613238628.db2.gz SQXNLTCRGXBPQO-DGCLKSJQSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cncnc2)C[C@H]1C ZINC000947231577 613241192 /nfs/dbraw/zinc/24/11/92/613241192.db2.gz NASMOQXHUCSVIW-DGCLKSJQSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc3nccn3c2)C[C@@H]1C ZINC000947542294 613241223 /nfs/dbraw/zinc/24/12/23/613241223.db2.gz HHPHXOLUCZARGT-GXTWGEPZSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC000968360677 613251572 /nfs/dbraw/zinc/25/15/72/613251572.db2.gz KTDIOFYWAZMJGT-WCQYABFASA-N 0 1 260.341 0.412 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC000966198132 613251760 /nfs/dbraw/zinc/25/17/60/613251760.db2.gz LNCXXNZJTVSQCD-DZGCQCFKSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968626609 613252217 /nfs/dbraw/zinc/25/22/17/613252217.db2.gz BLLGMSPOLCQLSJ-UONOGXRCSA-N 0 1 287.363 0.308 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC000949719367 613259034 /nfs/dbraw/zinc/25/90/34/613259034.db2.gz RCQPFGWRIPJOAU-ZDUSSCGKSA-N 0 1 279.384 0.718 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CCC(=O)NC2)CC1 ZINC000949035377 613260504 /nfs/dbraw/zinc/26/05/04/613260504.db2.gz JGKGSELDVKBANK-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc3n(n2)CCO3)[C@@H](C)C1 ZINC000942131499 613266875 /nfs/dbraw/zinc/26/68/75/613266875.db2.gz WCZGJWRQHJJSPP-RYUDHWBXSA-N 0 1 288.351 0.349 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nc2C)C[C@@H]1C ZINC000947126750 613273397 /nfs/dbraw/zinc/27/33/97/613273397.db2.gz PJRASTOKXMLKSW-AAEUAGOBSA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2)C[C@@H]1C ZINC000947042207 613275122 /nfs/dbraw/zinc/27/51/22/613275122.db2.gz QWBMAPXYQBBWSY-AAEUAGOBSA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncnc2C)[C@H](C)C1 ZINC000941858191 613275360 /nfs/dbraw/zinc/27/53/60/613275360.db2.gz PKFVHWYZVOZZTB-RISCZKNCSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2conc2COC)[C@@H](C)C1 ZINC000944135576 613276490 /nfs/dbraw/zinc/27/64/90/613276490.db2.gz NMJFZURJIDWUGM-AAEUAGOBSA-N 0 1 291.351 0.894 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2coc(C(N)=O)c2)[C@@H](C)C1 ZINC000942523752 613276717 /nfs/dbraw/zinc/27/67/17/613276717.db2.gz VVMMBDGMDAESPO-JQWIXIFHSA-N 0 1 289.335 0.452 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncc(OC)cn2)[C@H](C)C1 ZINC000942433080 613278068 /nfs/dbraw/zinc/27/80/68/613278068.db2.gz UBGTVQGKVYFTEV-YPMHNXCESA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccn3ccnc23)[C@@H](C)C1 ZINC000942858773 613278173 /nfs/dbraw/zinc/27/81/73/613278173.db2.gz KVIQMQDQQMLSTM-STQMWFEESA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C(C)(C)C1 ZINC000974639445 613280848 /nfs/dbraw/zinc/28/08/48/613280848.db2.gz HPDPWHJZKGTMIE-CHWSQXEVSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(Cl)o2)C1 ZINC000957881794 613285961 /nfs/dbraw/zinc/28/59/61/613285961.db2.gz FXPIHGPTMZKOAT-VHSXEESVSA-N 0 1 282.727 0.589 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(Cl)cc2)C1 ZINC000958610215 613286067 /nfs/dbraw/zinc/28/60/67/613286067.db2.gz POJIWVNYSQMFSW-GXTWGEPZSA-N 0 1 292.766 0.996 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc3ccccn3c2)C1 ZINC000958448249 613286251 /nfs/dbraw/zinc/28/62/51/613286251.db2.gz NBAKHLGUTJGKSJ-GOEBONIOSA-N 0 1 297.358 0.595 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2CC3(CC3)C2)[C@H](O)C1 ZINC000958373843 613288597 /nfs/dbraw/zinc/28/85/97/613288597.db2.gz IQNCRIJOVBCYPB-CHWSQXEVSA-N 0 1 262.353 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccccc2O)[C@H](O)C1 ZINC000957885324 613289708 /nfs/dbraw/zinc/28/97/08/613289708.db2.gz CQFKFYZHMYGCCN-BXUZGUMPSA-N 0 1 274.320 0.048 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cnccc2C)[C@H](O)C1 ZINC000957953927 613290022 /nfs/dbraw/zinc/29/00/22/613290022.db2.gz UXBLVBQJTRZXIK-TZMCWYRMSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2occ3c2CCC3)[C@H](O)C1 ZINC000958292315 613290621 /nfs/dbraw/zinc/29/06/21/613290621.db2.gz WPWHHYZMYNFEEI-TZMCWYRMSA-N 0 1 288.347 0.424 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3nonc3C)C[C@]2(C)C1 ZINC000982850587 613293968 /nfs/dbraw/zinc/29/39/68/613293968.db2.gz KJILOSOQHLWCQZ-GASCZTMLSA-N 0 1 288.351 0.795 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccn2CC)C1 ZINC000958683933 613294953 /nfs/dbraw/zinc/29/49/53/613294953.db2.gz IEIPGCWCWBJDIO-JSGCOSHPSA-N 0 1 275.352 0.164 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2coc(C3CC3)n2)C1 ZINC000958458953 613296077 /nfs/dbraw/zinc/29/60/77/613296077.db2.gz MCWMEXFIDJYGKT-AAEUAGOBSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2csc(C)n2)C1 ZINC000958338457 613296286 /nfs/dbraw/zinc/29/62/86/613296286.db2.gz CYCGWXXNHCMURJ-JQWIXIFHSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN2CCCC2=O)C(C)(C)C1 ZINC000972795000 613297161 /nfs/dbraw/zinc/29/71/61/613297161.db2.gz VQUHGOCOHRQPHB-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000974481373 613302018 /nfs/dbraw/zinc/30/20/18/613302018.db2.gz WKBSMFHYJHHWCH-LBPRGKRZSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CC[N@H+]1C[C@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000974481373 613302019 /nfs/dbraw/zinc/30/20/19/613302019.db2.gz WKBSMFHYJHHWCH-LBPRGKRZSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(OC)cs2)C1 ZINC000958317226 613302755 /nfs/dbraw/zinc/30/27/55/613302755.db2.gz UWNHMMJTDJAANO-PWSUYJOCSA-N 0 1 294.376 0.412 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2coc(C3CC3)n2)C1 ZINC000958458956 613304202 /nfs/dbraw/zinc/30/42/02/613304202.db2.gz MCWMEXFIDJYGKT-YPMHNXCESA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ncc(C)cc2C)C1 ZINC000958277112 613304555 /nfs/dbraw/zinc/30/45/55/613304555.db2.gz NLIFKFBCXRBOSD-KGLIPLIRSA-N 0 1 287.363 0.354 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC000981756983 613309459 /nfs/dbraw/zinc/30/94/59/613309459.db2.gz LLJVKPQASPKVTR-QWHCGFSZSA-N 0 1 279.384 0.608 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc(C)o1 ZINC000958604988 613314425 /nfs/dbraw/zinc/31/44/25/613314425.db2.gz LBWZHOVVYDJPQI-NHAGDIPZSA-N 0 1 258.321 0.810 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccncc1C ZINC000959894548 613314473 /nfs/dbraw/zinc/31/44/73/613314473.db2.gz NUSQXJOIPIBDKS-FOLVSLTJSA-N 0 1 269.348 0.612 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1OCCc2ccccc21 ZINC000961428910 613316021 /nfs/dbraw/zinc/31/60/21/613316021.db2.gz FTXMTTLVGCAKAC-LTIDMASMSA-N 0 1 296.370 0.980 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCn2ccnc2C1 ZINC000960640173 613316925 /nfs/dbraw/zinc/31/69/25/613316925.db2.gz BDRNTJFECBAOKD-RMRHIDDWSA-N 0 1 284.363 0.125 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)nn(C)c1F ZINC000962418122 613317532 /nfs/dbraw/zinc/31/75/32/613317532.db2.gz FRNXVQOHGUTCLD-IAZYJMLFSA-N 0 1 276.315 0.161 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CC)nnc1C ZINC000961340726 613317866 /nfs/dbraw/zinc/31/78/66/613317866.db2.gz GZWHRMKJKBUYIL-FICVDOATSA-N 0 1 284.363 0.641 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)nc(OC)c1 ZINC000961607114 613317981 /nfs/dbraw/zinc/31/79/81/613317981.db2.gz MBNJPBJMEYIGNP-NHAGDIPZSA-N 0 1 285.347 0.692 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C)nc1C ZINC000962725331 613319387 /nfs/dbraw/zinc/31/93/87/613319387.db2.gz FNIGRFQZAGKLLA-FICVDOATSA-N 0 1 269.348 0.992 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2nnc(C)n2c1 ZINC000960697392 613319740 /nfs/dbraw/zinc/31/97/40/613319740.db2.gz JBNVZCODGWHFGS-NHAGDIPZSA-N 0 1 295.346 0.331 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2c(c1)nnn2C ZINC000960565890 613319809 /nfs/dbraw/zinc/31/98/09/613319809.db2.gz GXMKZZYYUJEJPP-JYAVWHMHSA-N 0 1 295.346 0.262 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2c(n1)CC[C@@H](C)C2 ZINC000960546842 613320714 /nfs/dbraw/zinc/32/07/14/613320714.db2.gz JQDFEVZWQWHTHQ-FOCJUVANSA-N 0 1 298.390 0.759 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1occ2c1CCOC2 ZINC000961352024 613322308 /nfs/dbraw/zinc/32/23/08/613322308.db2.gz XDOYKYBZOJWMNR-WDNDVIMCSA-N 0 1 286.331 0.646 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nnn(CC)c1CC ZINC000961754579 613322658 /nfs/dbraw/zinc/32/26/58/613322658.db2.gz BEXCFGOJMCUBTQ-PJXYFTJBSA-N 0 1 287.367 0.154 20 30 CCEDMN C#CCNC(=O)CN1CCC(C(=O)NCC(C)C)CC1 ZINC000890509013 613328596 /nfs/dbraw/zinc/32/85/96/613328596.db2.gz DGCUJHDIGCCNHX-UHFFFAOYSA-N 0 1 279.384 0.220 20 30 CCEDMN C#CCNC(=O)CN1CCSC[C@H]1C1CCC1 ZINC000842170366 613330005 /nfs/dbraw/zinc/33/00/05/613330005.db2.gz FHVHGQOOJVWZBV-LBPRGKRZSA-N 0 1 252.383 0.953 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C(=O)NCc2ccccc2)C1 ZINC000830189455 613330016 /nfs/dbraw/zinc/33/00/16/613330016.db2.gz IUCOYSFDYWLIIL-HNNXBMFYSA-N 0 1 299.374 0.374 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H]2COC[C@]2(c2ccccc2)C1 ZINC000931901125 613330243 /nfs/dbraw/zinc/33/02/43/613330243.db2.gz JSOIFECOLWEKDJ-WBVHZDCISA-N 0 1 284.359 0.636 20 30 CCEDMN C#CCNC(=O)CN[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000932183781 613330999 /nfs/dbraw/zinc/33/09/99/613330999.db2.gz WPZDPXXBQBVPJG-SNVBAGLBSA-N 0 1 261.281 0.995 20 30 CCEDMN C#CCNC(=O)CN[C@@]1(c2ccccc2)C[C@@H](O)C1 ZINC000880223950 613331108 /nfs/dbraw/zinc/33/11/08/613331108.db2.gz WRQIRZYOPANIPU-OTVXOJSOSA-N 0 1 258.321 0.376 20 30 CCEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cn(C)nn2)C1 ZINC000965986465 613332866 /nfs/dbraw/zinc/33/28/66/613332866.db2.gz YZCGBIFYJLINDS-RYUDHWBXSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000882897981 613355033 /nfs/dbraw/zinc/35/50/33/613355033.db2.gz XWNHAYUAWYACNP-STQMWFEESA-N 0 1 270.373 0.398 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000883702085 613357926 /nfs/dbraw/zinc/35/79/26/613357926.db2.gz DKASDYMLDMQSDH-WDEREUQCSA-N 0 1 278.356 0.893 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC(N2CCCS2(=O)=O)CC1 ZINC000930708379 613362495 /nfs/dbraw/zinc/36/24/95/613362495.db2.gz KYYLCVZSXAZDJU-ZDUSSCGKSA-N 0 1 288.413 0.423 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC000847026928 613362779 /nfs/dbraw/zinc/36/27/79/613362779.db2.gz PEMMNOHWLMNLFT-MWLCHTKSSA-N 0 1 265.313 0.837 20 30 CCEDMN C=C(CN(C)C)C(=O)NCC(=O)c1cncs1 ZINC000832535460 613366389 /nfs/dbraw/zinc/36/63/89/613366389.db2.gz MHUIEXIXCHQLPT-UHFFFAOYSA-N 0 1 253.327 0.560 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc3n(n2)CCO3)C1 ZINC000968930413 613385554 /nfs/dbraw/zinc/38/55/54/613385554.db2.gz XDWUABRXHFFFGF-SNVBAGLBSA-N 0 1 296.758 0.832 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N1CCS(=O)(=O)C[C@@H]1C ZINC000876670130 613389564 /nfs/dbraw/zinc/38/95/64/613389564.db2.gz GYFONMKOTZIEHX-IUCAKERBSA-N 0 1 280.777 0.102 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@@H]1CC[C@@H](C)S(=O)(=O)C1 ZINC000876965392 613390442 /nfs/dbraw/zinc/39/04/42/613390442.db2.gz GSXLBKDITCVZLA-BBBLOLIVSA-N 0 1 294.804 0.538 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H](NC(=O)c3ncn[nH]3)C2)C1 ZINC000938450222 613394183 /nfs/dbraw/zinc/39/41/83/613394183.db2.gz GWAWLAOALZULMD-JTQLQIEISA-N 0 1 289.339 0.492 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H](NC(=O)c3nc[nH]n3)C2)C1 ZINC000938450222 613394184 /nfs/dbraw/zinc/39/41/84/613394184.db2.gz GWAWLAOALZULMD-JTQLQIEISA-N 0 1 289.339 0.492 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CC[C@H](Cn2ccnn2)C1 ZINC000878887512 613399321 /nfs/dbraw/zinc/39/93/21/613399321.db2.gz UYVUDYUBYAQVNW-RYUDHWBXSA-N 0 1 264.329 0.718 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NC[C@@H]1CC[N@H+]1C1CCOCC1 ZINC000927636910 613403704 /nfs/dbraw/zinc/40/37/04/613403704.db2.gz LQYCCDLYERBKKX-JSGCOSHPSA-N 0 1 297.399 0.476 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)NCc2n[nH]c(=O)n2C)C1 ZINC000889567395 613408513 /nfs/dbraw/zinc/40/85/13/613408513.db2.gz AEYAYXSTBQLIHJ-SECBINFHSA-N 0 1 265.317 0.628 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)c1cncc2nc[nH]c21 ZINC000897307458 613416265 /nfs/dbraw/zinc/41/62/65/613416265.db2.gz PEDBBJJLMVABGC-VIFPVBQESA-N 0 1 260.297 0.872 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000942516010 613434965 /nfs/dbraw/zinc/43/49/65/613434965.db2.gz SZUSIDBQIRXQNE-LLVKDONJSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)[C@@H]2CCN(C)C2=O)C1 ZINC000972079552 613439187 /nfs/dbraw/zinc/43/91/87/613439187.db2.gz OOBHVBJVLBDZLL-QWHCGFSZSA-N 0 1 293.411 0.962 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000938237936 613440477 /nfs/dbraw/zinc/44/04/77/613440477.db2.gz AERQMIXVHVXODG-VIFPVBQESA-N 0 1 277.328 0.348 20 30 CCEDMN C=C[C@@H](C)NC(=O)NCCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000823491323 613442354 /nfs/dbraw/zinc/44/23/54/613442354.db2.gz UXMMUCOTHILYET-LLVKDONJSA-N 0 1 284.360 0.546 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NCCN1CCCOCC1 ZINC000845622200 613445952 /nfs/dbraw/zinc/44/59/52/613445952.db2.gz KTNUBSBETRVKMT-LLVKDONJSA-N 0 1 257.334 0.514 20 30 CCEDMN C=CC1CCN(C(=O)[C@@]2(C)C[C@H](O)CN2C)CC1 ZINC000900655708 613452235 /nfs/dbraw/zinc/45/22/35/613452235.db2.gz JYNTUUPLKYOWKI-GXTWGEPZSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCC1(O)CCN(C(=O)C2CN([C@H]3CCOC3)C2)CC1 ZINC000899594499 613457957 /nfs/dbraw/zinc/45/79/57/613457957.db2.gz APITWHVBXQQEAO-AWEZNQCLSA-N 0 1 294.395 0.637 20 30 CCEDMN C=CCC1(O)CCN(C(=O)[C@@H]2C[C@H](OC)CN2C)CC1 ZINC000924434025 613458673 /nfs/dbraw/zinc/45/86/73/613458673.db2.gz BNIKJFZKDPFLGR-STQMWFEESA-N 0 1 282.384 0.635 20 30 CCEDMN C=CCC1(O)CCN([C@H]2CC(=O)N(C3CC3)C2=O)CC1 ZINC000887306296 613460582 /nfs/dbraw/zinc/46/05/82/613460582.db2.gz OVPBARIKZZNQDU-LBPRGKRZSA-N 0 1 278.352 0.679 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)[C@@H](CC)OC)CC2)C1 ZINC000941338378 613482205 /nfs/dbraw/zinc/48/22/05/613482205.db2.gz APDPLWMBHJHNFT-OAHLLOKOSA-N 0 1 295.427 0.816 20 30 CCEDMN C=C[C@H](CO)NC(=O)C1CCC(N2CCOCC2)CC1 ZINC000912719018 613490512 /nfs/dbraw/zinc/49/05/12/613490512.db2.gz LMMMKGOUPRLDFR-ROKHWSDSSA-N 0 1 282.384 0.541 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1ccc(N(C)C)cc1 ZINC000852714239 613492310 /nfs/dbraw/zinc/49/23/10/613492310.db2.gz GDJGUCDQFLTPSM-CYBMUJFWSA-N 0 1 277.368 0.505 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CC(=O)N(CC)C2)CC1 ZINC000948624471 613507474 /nfs/dbraw/zinc/50/74/74/613507474.db2.gz LYCJJVVHBQBNHR-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCCN1CCN(C(=O)c2c(C)nc[nH]c2=O)CC1 ZINC000949785042 613509150 /nfs/dbraw/zinc/50/91/50/613509150.db2.gz MOOWSVYQBAGQIX-UHFFFAOYSA-N 0 1 276.340 0.825 20 30 CCEDMN CC#CC(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000854329979 613517702 /nfs/dbraw/zinc/51/77/02/613517702.db2.gz HGHMSQWPHNBADA-CYBMUJFWSA-N 0 1 250.342 0.723 20 30 CCEDMN CC#CCCNC(=O)NC[C@@H]1CCO[C@@H]1c1n[nH]c(C)n1 ZINC000922038884 613522354 /nfs/dbraw/zinc/52/23/54/613522354.db2.gz IUSXWPMUAAQMOR-RYUDHWBXSA-N 0 1 291.355 0.903 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2csc(=O)[nH]2)C1 ZINC000970308425 613539585 /nfs/dbraw/zinc/53/95/85/613539585.db2.gz CYVIYMBDPZMRSZ-VIFPVBQESA-N 0 1 279.365 0.922 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3cncn3C)C2)C1 ZINC000981875289 613544393 /nfs/dbraw/zinc/54/43/93/613544393.db2.gz KRSYZRLRGZWNMB-UHFFFAOYSA-N 0 1 286.379 0.981 20 30 CCEDMN CC#CCN1CCCN(C(=O)Cc2cnc[nH]2)CC1 ZINC000982036096 613549486 /nfs/dbraw/zinc/54/94/86/613549486.db2.gz ZLPAYULWUNOMEQ-UHFFFAOYSA-N 0 1 260.341 0.510 20 30 CCEDMN CC#CCN1CCCN(C(=O)Cc2cc(C)nn2C)CC1 ZINC000981612198 613550010 /nfs/dbraw/zinc/55/00/10/613550010.db2.gz XXOSPTPZLPQSAQ-UHFFFAOYSA-N 0 1 288.395 0.829 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000967776054 613572367 /nfs/dbraw/zinc/57/23/67/613572367.db2.gz GFXZQJSJLAWJHZ-MRLBHPIUSA-N 0 1 276.380 0.729 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cnon2)[C@H](C)C1 ZINC000943725308 613587790 /nfs/dbraw/zinc/58/77/90/613587790.db2.gz AJPFSXOOKDOQIJ-GHMZBOCLSA-N 0 1 262.313 0.533 20 30 CCEDMN C=CCC[C@H](O)C[N@H+](CCNC(C)=O)[C@@H](C)C(=O)[O-] ZINC000923249132 613590606 /nfs/dbraw/zinc/59/06/06/613590606.db2.gz TUNIUGIDFOTQNW-JQWIXIFHSA-N 0 1 272.345 0.225 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966075716 613590820 /nfs/dbraw/zinc/59/08/20/613590820.db2.gz KOWHGXOSAIWFBS-ZFWWWQNUSA-N 0 1 288.395 0.813 20 30 CCEDMN C=CCCn1cc(Cn2cc(CNC(C)C)nn2)nn1 ZINC000905813012 613601340 /nfs/dbraw/zinc/60/13/40/613601340.db2.gz OQFBFDZCDUEDPU-UHFFFAOYSA-N 0 1 275.360 0.992 20 30 CCEDMN C=CCN(C(=O)NCCCc1nc[nH]n1)[C@H](C)COC ZINC000923127993 613605963 /nfs/dbraw/zinc/60/59/63/613605963.db2.gz JTQONJGRCTUYIV-LLVKDONJSA-N 0 1 281.360 0.970 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2cncnc2)C(C)(C)C1 ZINC000974534038 613609606 /nfs/dbraw/zinc/60/96/06/613609606.db2.gz WJPMDNIJBPLHSF-CQSZACIVSA-N 0 1 286.379 0.869 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C(C)(C)C1 ZINC000974697631 613610480 /nfs/dbraw/zinc/61/04/80/613610480.db2.gz GNWOHRFLCHHOPK-MJBXVCDLSA-N 0 1 294.395 0.640 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)[nH]c2C)C1 ZINC000958442754 613612674 /nfs/dbraw/zinc/61/26/74/613612674.db2.gz DOEGDBFGIPZTOT-DZGCQCFKSA-N 0 1 289.379 0.677 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccns2)C1 ZINC000958109788 613614143 /nfs/dbraw/zinc/61/41/43/613614143.db2.gz AWRIATZZBKVCIZ-WDEREUQCSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccccc2)[C@H](O)C1 ZINC000957825673 613614400 /nfs/dbraw/zinc/61/44/00/613614400.db2.gz IXTXLYHZOATJLL-HUUCEWRRSA-N 0 1 272.348 0.732 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2sccc2F)C1 ZINC000958031938 613614599 /nfs/dbraw/zinc/61/45/99/613614599.db2.gz YIWXSKNIMPFPKO-CMPLNLGQSA-N 0 1 296.367 0.933 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2n[nH]c(C)c2C)[C@H](O)C1 ZINC000957935456 613615745 /nfs/dbraw/zinc/61/57/45/613615745.db2.gz MSZKWQGBEXIZML-CHWSQXEVSA-N 0 1 290.367 0.072 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2sccc2F)C1 ZINC000958031939 613620236 /nfs/dbraw/zinc/62/02/36/613620236.db2.gz YIWXSKNIMPFPKO-JQWIXIFHSA-N 0 1 296.367 0.933 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H]2COCCO2)C(C)(C)C1 ZINC000972827515 613622386 /nfs/dbraw/zinc/62/23/86/613622386.db2.gz PWFJFBQWBAHGFL-STQMWFEESA-N 0 1 280.368 0.252 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C(C)(C)C1 ZINC000974984214 613624015 /nfs/dbraw/zinc/62/40/15/613624015.db2.gz BABLLBCWYUTBMD-LBPRGKRZSA-N 0 1 290.367 0.589 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@]12C[C@H]1COC2 ZINC000960001844 613636292 /nfs/dbraw/zinc/63/62/92/613636292.db2.gz JMANYZRJPFQEQP-WHPHWUKISA-N 0 1 260.337 0.093 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C2CCC2)nn1 ZINC000959922538 613641425 /nfs/dbraw/zinc/64/14/25/613641425.db2.gz NTASCRFIGSYHDH-NHAGDIPZSA-N 0 1 299.378 0.686 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]cc1F ZINC000961697794 613642323 /nfs/dbraw/zinc/64/23/23/613642323.db2.gz QYCRHTUQWOYWAF-JZYVYDRUSA-N 0 1 262.288 0.232 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(CC)c1 ZINC000958431473 613642355 /nfs/dbraw/zinc/64/23/55/613642355.db2.gz HTODVCUEFXPSIQ-WDNDVIMCSA-N 0 1 272.352 0.586 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc(OC)n1 ZINC000961953033 613642539 /nfs/dbraw/zinc/64/25/39/613642539.db2.gz WJULGLAVCGMBFW-YABSGUDNSA-N 0 1 286.335 0.169 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)[C@H]1CCc2c[nH+]c(C)n2C1 ZINC000864134719 613643678 /nfs/dbraw/zinc/64/36/78/613643678.db2.gz BNCIZCVFCRUEOG-NSHDSACASA-N 0 1 277.324 0.853 20 30 CCEDMN C=CCN1CC(=O)N(Cc2n[nH]c(C(C)C)n2)C1=O ZINC000927543405 613652837 /nfs/dbraw/zinc/65/28/37/613652837.db2.gz VGKWGBHHOWCUFW-UHFFFAOYSA-N 0 1 263.301 0.878 20 30 CCEDMN CC(N=Nc1nc2[nH][n-]cc-2c(=O)n1)C1CC[NH+](C)CC1 ZINC000814842670 613653425 /nfs/dbraw/zinc/65/34/25/613653425.db2.gz DREVGYWBQFKWSF-UHFFFAOYSA-N 0 1 289.343 0.562 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC000970291790 613661909 /nfs/dbraw/zinc/66/19/09/613661909.db2.gz FUCFSHBHDJEMRG-PWSUYJOCSA-N 0 1 265.357 0.087 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC000970814692 613661922 /nfs/dbraw/zinc/66/19/22/613661922.db2.gz DCKKAEVAXKBLGC-YPMHNXCESA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC000970946916 613662939 /nfs/dbraw/zinc/66/29/39/613662939.db2.gz ZSLLJCRKZKKGIG-BXUZGUMPSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC000969918895 613662945 /nfs/dbraw/zinc/66/29/45/613662945.db2.gz JDISUNVSQPMDFR-ZYHUDNBSSA-N 0 1 265.357 0.278 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccnc(OC)n2)C1 ZINC000970128401 613666406 /nfs/dbraw/zinc/66/64/06/613666406.db2.gz XPBSYUPOPWGRHZ-SNVBAGLBSA-N 0 1 276.340 0.721 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2nnn(C)c2C)C1 ZINC000969433027 613668108 /nfs/dbraw/zinc/66/81/08/613668108.db2.gz GZLDWFGQAZOLKM-SECBINFHSA-N 0 1 263.345 0.360 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC000969788382 613668683 /nfs/dbraw/zinc/66/86/83/613668683.db2.gz JZLGCAKUQSQZQH-WDEREUQCSA-N 0 1 265.357 0.087 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2c(C)nn(C)c2OC)C1 ZINC000970521490 613670093 /nfs/dbraw/zinc/67/00/93/613670093.db2.gz KPWYGZKKJRGERY-JTQLQIEISA-N 0 1 292.383 0.973 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnn3c2OCCC3)C1 ZINC000970054379 613673189 /nfs/dbraw/zinc/67/31/89/613673189.db2.gz KPQGPYKGHFQGNA-NSHDSACASA-N 0 1 290.367 0.902 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnc(N(C)C)cn2)C1 ZINC000969676306 613673251 /nfs/dbraw/zinc/67/32/51/613673251.db2.gz YMOZHTLOSRTAHD-NSHDSACASA-N 0 1 289.383 0.779 20 30 CCEDMN C=CCN1CCC(NC(=O)c2cc(C=O)n(C)n2)CC1 ZINC000932141190 613675720 /nfs/dbraw/zinc/67/57/20/613675720.db2.gz UCYLEWFYYZPDPQ-UHFFFAOYSA-N 0 1 276.340 0.613 20 30 CCEDMN C=CCN1CCCN(C(=O)CCc2nnc[nH]2)CC1 ZINC000980930672 613688890 /nfs/dbraw/zinc/68/88/90/613688890.db2.gz GJPUIQLGLSETML-UHFFFAOYSA-N 0 1 263.345 0.458 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CN(C)CCO2)CC1 ZINC000980893308 613692567 /nfs/dbraw/zinc/69/25/67/613692567.db2.gz IXCCPPUKPSNAPU-ZDUSSCGKSA-N 0 1 267.373 0.037 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC000965963543 613728135 /nfs/dbraw/zinc/72/81/35/613728135.db2.gz JECRJKOJUIMTDO-OCCSQVGLSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965990737 613733179 /nfs/dbraw/zinc/73/31/79/613733179.db2.gz PHTBHZKXJDMFIT-ZYHUDNBSSA-N 0 1 278.356 0.933 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H]2CCC(=O)O2)C1=O ZINC000852006747 613738644 /nfs/dbraw/zinc/73/86/44/613738644.db2.gz CWISBDVNUFIRED-GHMZBOCLSA-N 0 1 252.314 0.411 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H]2CC3(CC3)C(=O)O2)C1=O ZINC000799177653 613777081 /nfs/dbraw/zinc/77/70/81/613777081.db2.gz STKFWXGEUQADHD-RYUDHWBXSA-N 0 1 278.352 0.801 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cc3n(n2)CCO3)C(C)(C)C1 ZINC000974702887 613809782 /nfs/dbraw/zinc/80/97/82/613809782.db2.gz TUVQWBBAJDDZLK-GFCCVEGCSA-N 0 1 290.367 0.902 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974927877 613827389 /nfs/dbraw/zinc/82/73/89/613827389.db2.gz JBPGSFRLWHTELC-RYUDHWBXSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973014557 613827824 /nfs/dbraw/zinc/82/78/24/613827824.db2.gz DDBSBQWLKWGLOC-RYUDHWBXSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCNC(=O)COC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000885267010 613848082 /nfs/dbraw/zinc/84/80/82/613848082.db2.gz BHBQNWLZCJIFEE-ZANVPECISA-N 0 1 277.324 0.921 20 30 CCEDMN C=CCNC(=O)Cn1cc(C[N@H+](C)C(C)(C)C)nn1 ZINC000905782401 613849233 /nfs/dbraw/zinc/84/92/33/613849233.db2.gz ZJRQSJBVNWYMSL-UHFFFAOYSA-N 0 1 265.361 0.811 20 30 CCEDMN C=CCNC(=O)Cn1cc(CN(C)C(C)(C)C)nn1 ZINC000905782401 613849234 /nfs/dbraw/zinc/84/92/34/613849234.db2.gz ZJRQSJBVNWYMSL-UHFFFAOYSA-N 0 1 265.361 0.811 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000823507520 613853226 /nfs/dbraw/zinc/85/32/26/613853226.db2.gz ZSRNRXXIEPHJCD-UTUOFQBUSA-N 0 1 281.356 0.894 20 30 CCEDMN C=CCNC(=S)NCc1nc(CSC)n[nH]1 ZINC000905583889 613857254 /nfs/dbraw/zinc/85/72/54/613857254.db2.gz SWTVNVUICSMNIJ-UHFFFAOYSA-N 0 1 257.388 0.818 20 30 CCEDMN C=CCNC(=S)NCc1nnc(CSC)[nH]1 ZINC000905583889 613857256 /nfs/dbraw/zinc/85/72/56/613857256.db2.gz SWTVNVUICSMNIJ-UHFFFAOYSA-N 0 1 257.388 0.818 20 30 CCEDMN CC(C)(C)[N@H+]1CC[C@H]1C(=O)N1CCO[C@H](C#N)C1 ZINC000896208430 613939114 /nfs/dbraw/zinc/93/91/14/613939114.db2.gz HPCYRSVFDBIOTB-MNOVXSKESA-N 0 1 251.330 0.610 20 30 CCEDMN CC(C)(C)N1CC[C@H]1C(=O)N1CCO[C@H](C#N)C1 ZINC000896208430 613939115 /nfs/dbraw/zinc/93/91/15/613939115.db2.gz HPCYRSVFDBIOTB-MNOVXSKESA-N 0 1 251.330 0.610 20 30 CCEDMN CC(C)OC[C@H](N)C(=O)N[C@@H]1Cc2ccc(C#N)cc2C1 ZINC000868038330 614034977 /nfs/dbraw/zinc/03/49/77/614034977.db2.gz PXEHOFJSSPYKDU-CABCVRRESA-N 0 1 287.363 0.894 20 30 CCEDMN CC(C)(C)c1noc(CCC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000834520966 614058455 /nfs/dbraw/zinc/05/84/55/614058455.db2.gz AOKOTUZINCBOQF-VHSXEESVSA-N 0 1 291.355 0.527 20 30 CCEDMN CC(=O)c1cccc(OCC(=O)NCC#CCN(C)C)c1 ZINC000913515093 614258225 /nfs/dbraw/zinc/25/82/25/614258225.db2.gz IKEQEWYJIIIBBN-UHFFFAOYSA-N 0 1 288.347 0.949 20 30 CCEDMN CC(C)n1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1Cl ZINC000876801868 614370521 /nfs/dbraw/zinc/37/05/21/614370521.db2.gz JYCUEIZNZINRHC-WPRPVWTQSA-N 0 1 281.747 0.959 20 30 CCEDMN CC1(C)CN(CC#N)C[C@H]1NC(=O)CN1CCCC1 ZINC000977594030 614608589 /nfs/dbraw/zinc/60/85/89/614608589.db2.gz JWAGICXGUHVOLA-GFCCVEGCSA-N 0 1 264.373 0.432 20 30 CCEDMN CC1(C)CN(C[C@H](O)CC#N)C[C@]2(CCOC2)O1 ZINC000930294803 614673686 /nfs/dbraw/zinc/67/36/86/614673686.db2.gz FVSVBQZJDOJACH-YPMHNXCESA-N 0 1 254.330 0.531 20 30 CCEDMN CCCC(=O)N1CCC[C@H](C(=O)N[C@H]2CNC[C@H]2C#N)C1 ZINC000834488620 614765416 /nfs/dbraw/zinc/76/54/16/614765416.db2.gz DZAYZBPDWXZIII-XQQFMLRXSA-N 0 1 292.383 0.253 20 30 CCEDMN CCCCCCCN1CCN(CCS(N)(=O)=O)CC1 ZINC000132105640 614901402 /nfs/dbraw/zinc/90/14/02/614901402.db2.gz JOZNMJHQTWDLFC-UHFFFAOYSA-N 0 1 291.461 0.863 20 30 CCEDMN CCCCCNC(=O)C(C#N)C(=O)[C@@H]1CC[C@H](C(N)=O)O1 ZINC000860464305 614923734 /nfs/dbraw/zinc/92/37/34/614923734.db2.gz SVVUTNFWMOBPRF-OUAUKWLOSA-N 0 1 295.339 0.035 20 30 CCEDMN CCN(CCC(=O)NC)CC(=O)Nc1ccc(C#N)cc1 ZINC000933866925 615030675 /nfs/dbraw/zinc/03/06/75/615030675.db2.gz FEGPJDJOFXJBSF-UHFFFAOYSA-N 0 1 288.351 0.955 20 30 CCEDMN CCN(CCC(N)=O)CC(=O)NCc1cccc(C#N)c1 ZINC000932480572 615040390 /nfs/dbraw/zinc/04/03/90/615040390.db2.gz LPBQAFZFMQVMHY-UHFFFAOYSA-N 0 1 288.351 0.372 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000827020507 615061596 /nfs/dbraw/zinc/06/15/96/615061596.db2.gz VYCSTEIZSLHJPD-NWDGAFQWSA-N 0 1 296.371 0.679 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000827020507 615061598 /nfs/dbraw/zinc/06/15/98/615061598.db2.gz VYCSTEIZSLHJPD-NWDGAFQWSA-N 0 1 296.371 0.679 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)Cn1cc(CNC(C)C)nn1 ZINC000905794661 615064945 /nfs/dbraw/zinc/06/49/45/615064945.db2.gz ICCULXUOCFUQIU-GFCCVEGCSA-N 0 1 292.387 0.784 20 30 CCEDMN CC[N@H+]1CCCC[C@@H]1C(=O)N1CC[C@H](N(C)CC#N)C1 ZINC000980475109 615110888 /nfs/dbraw/zinc/11/08/88/615110888.db2.gz CFOMUYLDFLNIEG-UONOGXRCSA-N 0 1 278.400 0.917 20 30 CCEDMN CCOC(=O)CON=C(C(=O)N1CC[C@H]1C)c1cc[nH]n1 ZINC000914156015 615200336 /nfs/dbraw/zinc/20/03/36/615200336.db2.gz PDJOCJGDGHEZCT-SECBINFHSA-N 0 1 294.311 0.314 20 30 CCEDMN CCOC(=O)C[C@@H](O)CN1CCC2(CC1)CC(=O)C=CO2 ZINC000933645811 615206587 /nfs/dbraw/zinc/20/65/87/615206587.db2.gz XNMSGZPFBGVXHI-CYBMUJFWSA-N 0 1 297.351 0.638 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)CSCC#N ZINC000916867869 615245464 /nfs/dbraw/zinc/24/54/64/615245464.db2.gz HIHHZOZLAOIHKE-SNVBAGLBSA-N 0 1 283.353 0.495 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000924023404 615247944 /nfs/dbraw/zinc/24/79/44/615247944.db2.gz XMCAQPSIAZRVJO-MGPQQGTHSA-N 0 1 293.415 0.708 20 30 CCEDMN CCN1CC[C@@H]1CN[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000905804088 615259929 /nfs/dbraw/zinc/25/99/29/615259929.db2.gz JFWGTMYAHYXZKI-KGLIPLIRSA-N 0 1 272.352 0.768 20 30 CCEDMN CCOC(=O)[C@@H]1CC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913524194 615296403 /nfs/dbraw/zinc/29/64/03/615296403.db2.gz CUZAUSICQWGPRR-NWDGAFQWSA-N 0 1 266.341 0.257 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)CC1(C#N)CCOCC1 ZINC000882495385 615311399 /nfs/dbraw/zinc/31/13/99/615311399.db2.gz GLMQVNGTHNCRAH-LBPRGKRZSA-N 0 1 265.357 0.907 20 30 CCEDMN CCOC(=O)c1cc(C=NNc2nnc(C)n2C)[nH]n1 ZINC000853431343 615401792 /nfs/dbraw/zinc/40/17/92/615401792.db2.gz XABBUFYGSDJYHJ-UHFFFAOYSA-N 0 1 277.288 0.469 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC1CC1)[C@@H]1CCOC1 ZINC000870143895 615476681 /nfs/dbraw/zinc/47/66/81/615476681.db2.gz YQCSPRITBGZWMJ-XWIASGKRSA-N 0 1 280.324 0.415 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC1CC1)C1CC1 ZINC000860472500 615476685 /nfs/dbraw/zinc/47/66/85/615476685.db2.gz BFINAQZAOSEFPY-PWSUYJOCSA-N 0 1 250.298 0.789 20 30 CCEDMN CC[C@H](C#N)C(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000846632218 615599889 /nfs/dbraw/zinc/59/98/89/615599889.db2.gz KHQNZIIJQDGQEA-KOLCDFICSA-N 0 1 270.296 0.955 20 30 CCEDMN CCn1ncc(C(=O)[C@H](C#N)C(=O)Nc2ccccn2)n1 ZINC000815915579 615694676 /nfs/dbraw/zinc/69/46/76/615694676.db2.gz SOIUFUKIZSZLMJ-VIFPVBQESA-N 0 1 284.279 0.654 20 30 CCEDMN CCn1ncc(C(=O)C(C#N)C(=O)Nc2ccccn2)n1 ZINC000815915579 615694678 /nfs/dbraw/zinc/69/46/78/615694678.db2.gz SOIUFUKIZSZLMJ-VIFPVBQESA-N 0 1 284.279 0.654 20 30 CCEDMN CCc1nc(C#N)cc(N[C@@H](C)[C@H]2C[N@@H+](C)CCN2C)n1 ZINC000895245251 615724511 /nfs/dbraw/zinc/72/45/11/615724511.db2.gz DZSUFVSQDSSJJE-WCQYABFASA-N 0 1 288.399 0.957 20 30 CCEDMN CCc1ncc(NC(=O)NCC#CCN(C)C)cn1 ZINC000930490351 615775278 /nfs/dbraw/zinc/77/52/78/615775278.db2.gz CLBVZAFMRQRROQ-UHFFFAOYSA-N 0 1 261.329 0.726 20 30 CCEDMN CCc1ncc(NC(=O)N[C@H]2CNC[C@@H]2C#N)cn1 ZINC000841151996 615775357 /nfs/dbraw/zinc/77/53/57/615775357.db2.gz DLTUQKPSKBOCDX-WPRPVWTQSA-N 0 1 260.301 0.272 20 30 CCEDMN CCc1nnc(C(=O)NCC#CCN(C)C)s1 ZINC000913523309 615805224 /nfs/dbraw/zinc/80/52/24/615805224.db2.gz UUSRFNNFTMOEBW-UHFFFAOYSA-N 0 1 252.343 0.395 20 30 CCEDMN CN(C)C(=O)c1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834493151 615834641 /nfs/dbraw/zinc/83/46/41/615834641.db2.gz BBXNSTQVYRULNW-CHWSQXEVSA-N 0 1 286.335 0.230 20 30 CCEDMN CN(C)CC#CCNC(=O)COc1cccc(C=O)c1 ZINC000913518114 615858367 /nfs/dbraw/zinc/85/83/67/615858367.db2.gz DYQGETABLWEGPZ-UHFFFAOYSA-N 0 1 274.320 0.559 20 30 CCEDMN CN(C)CC#CCNC(=O)COCc1cccnc1 ZINC000913522680 615858540 /nfs/dbraw/zinc/85/85/40/615858540.db2.gz UISWLARNUVNTHL-UHFFFAOYSA-N 0 1 261.325 0.279 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1c2c(nn1C)CCCC2 ZINC000932175895 615858927 /nfs/dbraw/zinc/85/89/27/615858927.db2.gz RUTITHRLJKYFHQ-UHFFFAOYSA-N 0 1 289.383 0.985 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cnn(CCF)c1 ZINC000932013446 615858971 /nfs/dbraw/zinc/85/89/71/615858971.db2.gz FTRMPLIFCCBZGM-UHFFFAOYSA-N 0 1 267.308 0.539 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cccc(C#N)n1 ZINC000931807836 615859100 /nfs/dbraw/zinc/85/91/00/615859100.db2.gz YOGJMGHMTXITFW-UHFFFAOYSA-N 0 1 257.297 0.640 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC000913525382 615859719 /nfs/dbraw/zinc/85/97/19/615859719.db2.gz UXYPCBTYFQIOQM-RWMBFGLXSA-N 0 1 250.342 0.483 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1COc2ccccc2O1 ZINC000913514172 615859745 /nfs/dbraw/zinc/85/97/45/615859745.db2.gz HMAYRTCEFLGOEN-AWEZNQCLSA-N 0 1 274.320 0.508 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1COc2ccccc21 ZINC000913518054 615860289 /nfs/dbraw/zinc/86/02/89/615860289.db2.gz BYJYBRWSPRBHLE-ZDUSSCGKSA-N 0 1 258.321 0.844 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnc(C2CC2)n1 ZINC000913518446 615861293 /nfs/dbraw/zinc/86/12/93/615861293.db2.gz POYRHDMYJJISKM-UHFFFAOYSA-N 0 1 258.325 0.649 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2ncn(C)c21 ZINC000913521638 615861493 /nfs/dbraw/zinc/86/14/93/615861493.db2.gz HJABALLOZJMOBU-UHFFFAOYSA-N 0 1 270.336 0.868 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncnc2ccsc21 ZINC000913524568 615862124 /nfs/dbraw/zinc/86/21/24/615862124.db2.gz RYAFBMORVWIZCM-UHFFFAOYSA-N 0 1 274.349 0.986 20 30 CCEDMN CN(C)CC#CCNC(=O)c1occ2c1CCOC2 ZINC000913522448 615862375 /nfs/dbraw/zinc/86/23/75/615862375.db2.gz JOSGTAZTJFFQSH-UHFFFAOYSA-N 0 1 262.309 0.647 20 30 CCEDMN CCc1onc(C)c1C=Nn1c(=O)c(C)n[nH]c1=S ZINC000901132527 615887915 /nfs/dbraw/zinc/88/79/15/615887915.db2.gz BGJKZVZGVAHRLH-UHFFFAOYSA-N 0 1 279.325 0.976 20 30 CCEDMN CCn1c2cc(C(=O)N[C@H]3CNC[C@@H]3C#N)ccc2[nH]c1=O ZINC000848417795 615924371 /nfs/dbraw/zinc/92/43/71/615924371.db2.gz FOVKDPZZZUFCSA-JQWIXIFHSA-N 0 1 299.334 0.603 20 30 CCEDMN CN(C)Cc1ccc(C(=O)N[C@H]2CCCN(O)C2=O)cn1 ZINC000848133146 615953953 /nfs/dbraw/zinc/95/39/53/615953953.db2.gz SSTQJNXZYRHKFN-LBPRGKRZSA-N 0 1 292.339 0.253 20 30 CCEDMN CN(C)c1ccc(C(N)=NOC[C@H]2COCCO2)cc1 ZINC000853657875 616013297 /nfs/dbraw/zinc/01/32/97/616013297.db2.gz YCBAXHWOOILXNC-CYBMUJFWSA-N 0 1 279.340 0.805 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)CCc2cnc[nH]2)C1 ZINC000971652336 616041046 /nfs/dbraw/zinc/04/10/46/616041046.db2.gz OWPUOOHRPPOEKJ-LBPRGKRZSA-N 0 1 261.329 0.399 20 30 CCEDMN CN(C)C(=O)CN(C)C[C@@H](O)CC1(C#N)CCC1 ZINC000885945959 616041891 /nfs/dbraw/zinc/04/18/91/616041891.db2.gz ADYQQQCBBGUMPJ-NSHDSACASA-N 0 1 253.346 0.451 20 30 CCEDMN CN(CC(=O)N[C@@H]1CNC[C@@H]1C#N)c1ccc(Cl)cn1 ZINC000834521886 616048865 /nfs/dbraw/zinc/04/88/65/616048865.db2.gz YBHZXSVCPDRERS-GXSJLCMTSA-N 0 1 293.758 0.399 20 30 CCEDMN C[N@H+](Cc1csc(C#N)c1)C[C@@H](O)CN1CCOCC1 ZINC000892093335 616100072 /nfs/dbraw/zinc/10/00/72/616100072.db2.gz BFZWRMZZKLKJAG-CYBMUJFWSA-N 0 1 295.408 0.745 20 30 CCEDMN CN(Cc1csc(C#N)c1)C[C@@H](O)CN1CCOCC1 ZINC000892093335 616100074 /nfs/dbraw/zinc/10/00/74/616100074.db2.gz BFZWRMZZKLKJAG-CYBMUJFWSA-N 0 1 295.408 0.745 20 30 CCEDMN CN1CCN(C)CCN(C(=O)c2ccc(C#N)cc2)CC1 ZINC000888999089 616236153 /nfs/dbraw/zinc/23/61/53/616236153.db2.gz UKHBTFFALAFUQP-UHFFFAOYSA-N 0 1 286.379 0.878 20 30 CCEDMN COC(=O)/C=C/c1ccc(C(=O)NCC#CCN(C)C)o1 ZINC000913524511 616316158 /nfs/dbraw/zinc/31/61/58/616316158.db2.gz PGAXFJPBWGXSOW-VQHVLOKHSA-N 0 1 290.319 0.761 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000911289307 616346571 /nfs/dbraw/zinc/34/65/71/616346571.db2.gz XHVZHZBLYDPQHZ-SRRSOLGSSA-N 0 1 251.330 0.250 20 30 CCEDMN COC(=O)[C@@H]1CN(CC(=O)NCCC#N)C[C@H]1C1CC1 ZINC000844474958 616389173 /nfs/dbraw/zinc/38/91/73/616389173.db2.gz CZFDWPBNTVRCIS-NWDGAFQWSA-N 0 1 279.340 0.147 20 30 CCEDMN CN1C[C@H](O)C[C@@H]1CNC(=O)C1(C#N)CCCC1 ZINC000924994398 616449544 /nfs/dbraw/zinc/44/95/44/616449544.db2.gz PTAXOPPNSHIVFA-GHMZBOCLSA-N 0 1 251.330 0.252 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N[C@@H]1Cc2ccc(C#N)cc2C1 ZINC000913771164 616479306 /nfs/dbraw/zinc/47/93/06/616479306.db2.gz IMGAOKPDWCCNPM-INMHGKMJSA-N 0 1 299.374 0.597 20 30 CCEDMN CN1C[C@H](O)C[C@H]1CNC(=O)c1cc(C#N)ccc1F ZINC000924977257 616488623 /nfs/dbraw/zinc/48/86/23/616488623.db2.gz COMHHAGAIQHJGK-WDEREUQCSA-N 0 1 277.299 0.492 20 30 CCEDMN CN1C[C@H](O)C[C@H]1CNC(=O)c1ccccc1CC#N ZINC000924992549 616489703 /nfs/dbraw/zinc/48/97/03/616489703.db2.gz LSZZHLJOVUUNPG-QWHCGFSZSA-N 0 1 273.336 0.547 20 30 CCEDMN COCC#CCN1CCC(N2CCCOC2=O)CC1 ZINC000933617259 616527571 /nfs/dbraw/zinc/52/75/71/616527571.db2.gz NPTABYUXHPRKNY-UHFFFAOYSA-N 0 1 266.341 0.943 20 30 CCEDMN COC(=O)CCN(CC#N)Cc1n[nH]c(C)c1C ZINC000895455065 616548536 /nfs/dbraw/zinc/54/85/36/616548536.db2.gz RGJWASRAJKHKNQ-UHFFFAOYSA-N 0 1 250.302 0.915 20 30 CCEDMN COCCOc1ccc(C(C)=NNC2=NCCN2)cc1 ZINC000841660222 616591200 /nfs/dbraw/zinc/59/12/00/616591200.db2.gz WIBLACZJSWOPPS-UHFFFAOYSA-N 0 1 276.340 0.985 20 30 CCEDMN COC(=O)[C@H](CC(F)F)NS(=O)(=O)CC(C)(C)C#N ZINC000914306111 616592354 /nfs/dbraw/zinc/59/23/54/616592354.db2.gz ZTSZFNCVDRFHFQ-ZETCQYMHSA-N 0 1 298.311 0.652 20 30 CCEDMN CO[C@@H]1CC[C@@H]1N(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000934129877 616694919 /nfs/dbraw/zinc/69/49/19/616694919.db2.gz VGGNGJQSUGYTCY-SCRDCRAPSA-N 0 1 265.357 0.904 20 30 CCEDMN COC(=O)c1ccc(C(=O)NCC#CCN(C)C)cc1 ZINC000913514955 616881965 /nfs/dbraw/zinc/88/19/65/616881965.db2.gz BWBIJGADJJUTSM-UHFFFAOYSA-N 0 1 274.320 0.768 20 30 CCEDMN COC(=O)c1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000834516861 616884477 /nfs/dbraw/zinc/88/44/77/616884477.db2.gz MTLHIQNZVKXMJV-JGVFFNPUSA-N 0 1 279.321 0.376 20 30 CCEDMN COCc1ccccc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834517231 616906590 /nfs/dbraw/zinc/90/65/90/616906590.db2.gz SJUWVZJAYZAEDL-WCQYABFASA-N 0 1 259.309 0.674 20 30 CCEDMN COC(=O)c1cccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000841040912 616930996 /nfs/dbraw/zinc/93/09/96/616930996.db2.gz CNGDDHWXLAQWJB-JQWIXIFHSA-N 0 1 288.307 0.706 20 30 CCEDMN CO[C@H](C(=O)N[C@@H]1CNC[C@@H]1C#N)C1CCCCC1 ZINC000852827275 616983892 /nfs/dbraw/zinc/98/38/92/616983892.db2.gz FLZTUYGKJRKOCY-XQQFMLRXSA-N 0 1 265.357 0.809 20 30 CCEDMN COCC[N@H+](CC(=O)[O-])C[C@@H](O)c1ccc(C#N)cc1 ZINC000818539451 616984295 /nfs/dbraw/zinc/98/42/95/616984295.db2.gz LGEXSEGDTVNBNM-CYBMUJFWSA-N 0 1 278.308 0.625 20 30 CCEDMN CON=Cc1ccc(C(=O)NCC#CCN(C)C)cc1 ZINC000913515503 617106475 /nfs/dbraw/zinc/10/64/75/617106475.db2.gz ZDTROVQOJBQOHN-UHFFFAOYSA-N 0 1 273.336 0.962 20 30 CCEDMN CO[C@H]1CN(Cc2cncc(C#N)c2)[C@](C)(CO)C1 ZINC000931469769 617111367 /nfs/dbraw/zinc/11/13/67/617111367.db2.gz GHWWVKQEFZKVRX-KGLIPLIRSA-N 0 1 261.325 0.925 20 30 CCEDMN CO[C@@H](C(=O)C(C#N)C(=O)NC1CC1)C1CCC1 ZINC000850758203 617131432 /nfs/dbraw/zinc/13/14/32/617131432.db2.gz AFEHITNKMYURQO-ZYHUDNBSSA-N 0 1 250.298 0.789 20 30 CCEDMN CO[C@@H](C)C(C)N=Nc1oc(C)nc1-c1nn[nH]n1 ZINC000821673966 617146687 /nfs/dbraw/zinc/14/66/87/617146687.db2.gz PTAWIPDGTVFPFY-LURJTMIESA-N 0 1 265.277 0.986 20 30 CCEDMN COc1c(F)ccc(F)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834480989 617147108 /nfs/dbraw/zinc/14/71/08/617147108.db2.gz YRYXOMMPOCSDGK-OIBJUYFYSA-N 0 1 281.262 0.815 20 30 CCEDMN COc1cc(C#N)ccc1CN1CCN(C)[C@H](CO)C1 ZINC000931404186 617152632 /nfs/dbraw/zinc/15/26/32/617152632.db2.gz WCIYSOREHWVHFX-AWEZNQCLSA-N 0 1 275.352 0.675 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@]12CCOC2 ZINC001026137745 625340845 /nfs/dbraw/zinc/34/08/45/625340845.db2.gz PFULKTFXJLYGDD-AQRJEWBLSA-N 0 1 274.364 0.483 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(CCO)[C@H](C)C2)c1 ZINC000893277334 625415117 /nfs/dbraw/zinc/41/51/17/625415117.db2.gz PUSNETRGDSCMBF-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN O=C(NCCn1cncn1)N1C[C@H]2CC[C@@H](C1)O2 ZINC000329821749 625481971 /nfs/dbraw/zinc/48/19/71/625481971.db2.gz YTPGDMBLSOYSDX-AOOOYVTPSA-N 0 1 251.290 0.055 20 30 CCEDMN C=CC[C@@H]1CCN1[C@H](C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000934469165 622713411 /nfs/dbraw/zinc/71/34/11/622713411.db2.gz POWSUICOEVENGH-FRRDWIJNSA-N 0 1 279.384 0.749 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001022946558 622862277 /nfs/dbraw/zinc/86/22/77/622862277.db2.gz XBSWOEGVAJAOMN-MXWKQRLJSA-N 0 1 273.340 0.275 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001023482411 623040807 /nfs/dbraw/zinc/04/08/07/623040807.db2.gz FOQBZGVHHOBYQC-CYBMUJFWSA-N 0 1 287.363 0.460 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001023482411 623040815 /nfs/dbraw/zinc/04/08/15/623040815.db2.gz FOQBZGVHHOBYQC-CYBMUJFWSA-N 0 1 287.363 0.460 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2n[nH]cc2F)C1 ZINC001023573263 623067417 /nfs/dbraw/zinc/06/74/17/623067417.db2.gz WOOVIFCUDKKZLB-SNVBAGLBSA-N 0 1 264.304 0.624 20 30 CCEDMN N#CCN1CCC[C@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001023572606 623067944 /nfs/dbraw/zinc/06/79/44/623067944.db2.gz ISLHPRQRBYHNIB-SECBINFHSA-N 0 1 265.292 0.514 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2c(C)nn(C)c2F)C1 ZINC001023725740 623115654 /nfs/dbraw/zinc/11/56/54/623115654.db2.gz RCWHGIAFHNBGNT-LBPRGKRZSA-N 0 1 292.358 0.943 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001024313914 623284375 /nfs/dbraw/zinc/28/43/75/623284375.db2.gz CXDXMAURJZCWRK-HNNXBMFYSA-N 0 1 287.363 0.928 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CN(C)CCO1 ZINC001024375398 623300524 /nfs/dbraw/zinc/30/05/24/623300524.db2.gz RLOINLURPGMRLX-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001024416408 623315899 /nfs/dbraw/zinc/31/58/99/623315899.db2.gz JUOLZTASHWCNGS-OAHLLOKOSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001024468627 623330347 /nfs/dbraw/zinc/33/03/47/623330347.db2.gz LTLQOLJHKLUOCO-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001024466982 623331021 /nfs/dbraw/zinc/33/10/21/623331021.db2.gz AVUCFAVNDDRNCR-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cnc[nH]c1=O ZINC001024500239 623340597 /nfs/dbraw/zinc/34/05/97/623340597.db2.gz YPRVDPYFCHMYPZ-LLVKDONJSA-N 0 1 274.324 0.400 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001024523033 623348656 /nfs/dbraw/zinc/34/86/56/623348656.db2.gz MWFZQCTTXFXVPK-AWEZNQCLSA-N 0 1 287.363 0.603 20 30 CCEDMN N#C[C@@H](C(=O)CN1CCCCS1(=O)=O)c1ccccn1 ZINC000892483614 623361765 /nfs/dbraw/zinc/36/17/65/623361765.db2.gz RVPJPEJHGACHDX-LLVKDONJSA-N 0 1 293.348 0.683 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCN(C)C1=O ZINC001024597693 623388329 /nfs/dbraw/zinc/38/83/29/623388329.db2.gz VQBNQQADNONHQE-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1C[C@H]1C(N)=O ZINC001024657450 623409270 /nfs/dbraw/zinc/40/92/70/623409270.db2.gz BJFSMXVQTYGDDP-UPJWGTAASA-N 0 1 277.368 0.102 20 30 CCEDMN C[C@@H]1CCC[N@H+]1CC(=O)N1CC[C@@H](NCC#N)C1 ZINC000969948916 624562515 /nfs/dbraw/zinc/56/25/15/624562515.db2.gz NJCISDWKVYYTCY-VXGBXAGGSA-N 0 1 250.346 0.185 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)Cc2nnc[n-]2)C1 ZINC001021711637 624814259 /nfs/dbraw/zinc/81/42/59/624814259.db2.gz ZILXUKVBTXUNOM-QWHCGFSZSA-N 0 1 287.367 0.293 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)Cc2nnc[n-]2)C1 ZINC001021711637 624814261 /nfs/dbraw/zinc/81/42/61/624814261.db2.gz ZILXUKVBTXUNOM-QWHCGFSZSA-N 0 1 287.367 0.293 20 30 CCEDMN Cn1ncnc1CN[C@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001021981282 624858369 /nfs/dbraw/zinc/85/83/69/624858369.db2.gz WRLALZUMTITEGX-PHIMTYICSA-N 0 1 299.338 0.065 20 30 CCEDMN Cc1nc(C#N)cc(NC[C@@H]2CN(C)CCN2C)n1 ZINC000308350512 624867954 /nfs/dbraw/zinc/86/79/54/624867954.db2.gz XXVAWKQQKCWCKZ-GFCCVEGCSA-N 0 1 260.345 0.314 20 30 CCEDMN C[C@@]1(NCC(=O)NCC#N)CCOc2ccccc21 ZINC000933366540 624870012 /nfs/dbraw/zinc/87/00/12/624870012.db2.gz GTZTXOFDPVDYQJ-CQSZACIVSA-N 0 1 259.309 0.914 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC[C@H]1CCOC1 ZINC000176086734 624939031 /nfs/dbraw/zinc/93/90/31/624939031.db2.gz FYBZMAABZCYQEX-RYUDHWBXSA-N 0 1 282.340 0.665 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)[C@]1(O)CCSC1 ZINC000328997667 624995353 /nfs/dbraw/zinc/99/53/53/624995353.db2.gz WUXGNYVTIWBDHC-YPMHNXCESA-N 0 1 287.429 0.447 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001009241494 625783251 /nfs/dbraw/zinc/78/32/51/625783251.db2.gz ADHTXRQGPFMNBB-GFCCVEGCSA-N 0 1 275.352 0.766 20 30 CCEDMN C#CCOc1ccccc1CNCCNS(=O)(=O)CC ZINC000066876164 625836580 /nfs/dbraw/zinc/83/65/80/625836580.db2.gz BBTSNLIKSGTLEC-UHFFFAOYSA-N 0 1 296.392 0.728 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@H](Nc2ncccn2)C1 ZINC000903024357 634528482 /nfs/dbraw/zinc/52/84/82/634528482.db2.gz UXVBNIYMUYNNDE-NEPJUHHUSA-N 0 1 261.329 0.891 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3C[C@H]3c3cnc[nH]3)[C@@H]2C1 ZINC000978292759 630799247 /nfs/dbraw/zinc/79/92/47/630799247.db2.gz LVPYMEARCNNECB-VEGXAWMVSA-N 0 1 285.351 0.331 20 30 CCEDMN CN(CC#N)[C@H]1CCCN(C(=O)CN2CCCC2)C1 ZINC001027682234 630850331 /nfs/dbraw/zinc/85/03/31/630850331.db2.gz MNNNQWZAAOYUQU-ZDUSSCGKSA-N 0 1 264.373 0.529 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1nnn(C)c1C ZINC001027804001 630932503 /nfs/dbraw/zinc/93/25/03/630932503.db2.gz SWLJMZMFYSLFFT-LBPRGKRZSA-N 0 1 275.356 0.341 20 30 CCEDMN C#CCCN1CCC[C@@H]1CNC(=O)c1cc(OC)no1 ZINC001027837726 630963106 /nfs/dbraw/zinc/96/31/06/630963106.db2.gz FEDRCLPIVRFFEC-LLVKDONJSA-N 0 1 277.324 0.901 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001027850010 630976819 /nfs/dbraw/zinc/97/68/19/630976819.db2.gz IVBUGPBVVDJQSX-NSHDSACASA-N 0 1 277.324 0.196 20 30 CCEDMN Cc1cc(CN[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)nn1C ZINC000967943930 650005576 /nfs/dbraw/zinc/00/55/76/650005576.db2.gz MUSTUPHAMMFKFM-CMPLNLGQSA-N 0 1 275.356 0.579 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@@H](NCc2cc3n(n2)CCC3)C1 ZINC000968626840 650024117 /nfs/dbraw/zinc/02/41/17/650024117.db2.gz FLBVFHZQNJDJAZ-CQSZACIVSA-N 0 1 298.390 0.933 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1cccc2c1CCO2 ZINC000841223440 631856948 /nfs/dbraw/zinc/85/69/48/631856948.db2.gz KPFTZGXHXOWUEE-SKDRFNHKSA-N 0 1 272.308 0.855 20 30 CCEDMN N#CC[C@@H]1CC[C@H](NC(=O)NCCN2CCC(O)CC2)C1 ZINC000895131543 631966863 /nfs/dbraw/zinc/96/68/63/631966863.db2.gz IVVDEXLSVVEWTE-STQMWFEESA-N 0 1 294.399 0.825 20 30 CCEDMN O=C1[C@H](NCc2ccc(CO)cc2)CCCN1O ZINC000895157078 631988626 /nfs/dbraw/zinc/98/86/26/631988626.db2.gz MKNVTIQKWVNFMG-GFCCVEGCSA-N 0 1 250.298 0.649 20 30 CCEDMN N#CCN1CCC(NC(=O)c2cncc3nc[nH]c32)CC1 ZINC000896244167 632217250 /nfs/dbraw/zinc/21/72/50/632217250.db2.gz NERYXUNPMAMHNV-UHFFFAOYSA-N 0 1 284.323 0.676 20 30 CCEDMN C[C@H](NC(=O)c1cscc1C#N)[C@H]1CN(C)CCN1C ZINC000896538516 632272166 /nfs/dbraw/zinc/27/21/66/632272166.db2.gz FFWBALLJYNESSR-GXFFZTMASA-N 0 1 292.408 0.984 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001007109457 650066550 /nfs/dbraw/zinc/06/65/50/650066550.db2.gz YAWPGTANGMCIAO-CHWSQXEVSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001007096676 650065159 /nfs/dbraw/zinc/06/51/59/650065159.db2.gz FFQFJJOYYQZZBX-VXGBXAGGSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCCNC2=O)C1 ZINC001007531646 650081951 /nfs/dbraw/zinc/08/19/51/650081951.db2.gz JJFXFAKAOMLYHD-VXGBXAGGSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cn(C)nc2COC)C1 ZINC001007689217 650087898 /nfs/dbraw/zinc/08/78/98/650087898.db2.gz XJFOXPJSFZEJRX-GFCCVEGCSA-N 0 1 292.383 0.947 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CS(C)(=O)=O)[C@@H]1C ZINC000986044887 632698079 /nfs/dbraw/zinc/69/80/79/632698079.db2.gz VCGZFQYULRNBGB-NXEZZACHSA-N 0 1 294.804 0.363 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCn2cnnn2)[C@@H]1C ZINC000987247382 632847500 /nfs/dbraw/zinc/84/75/00/632847500.db2.gz GFUOBHUDZDGGHP-GHMZBOCLSA-N 0 1 298.778 0.395 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](NCC#N)[C@H]2C)CC1 ZINC000987573869 632881872 /nfs/dbraw/zinc/88/18/72/632881872.db2.gz CZORQDYOCZAPII-HIFRSBDPSA-N 0 1 288.395 0.434 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2csnn2)C1 ZINC001008089097 650101522 /nfs/dbraw/zinc/10/15/22/650101522.db2.gz NYJPEANPIKAKFZ-JTQLQIEISA-N 0 1 264.354 0.756 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cn2cnnn2)C1 ZINC000987994853 632938528 /nfs/dbraw/zinc/93/85/28/632938528.db2.gz MGGVUBHRWNQRFA-VHSXEESVSA-N 0 1 284.751 0.005 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CN(C)C(=O)c2ccn[nH]2)C1 ZINC000988297605 632973096 /nfs/dbraw/zinc/97/30/96/632973096.db2.gz UGKFDORFSGVHRW-GHMZBOCLSA-N 0 1 289.339 0.490 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2ncn(C)n2)C1 ZINC000988787415 633112853 /nfs/dbraw/zinc/11/28/53/633112853.db2.gz UYWDIRLSPHSWRG-ZJUUUORDSA-N 0 1 283.763 0.760 20 30 CCEDMN N#CCN1CCCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CC1 ZINC000989437460 633232730 /nfs/dbraw/zinc/23/27/30/633232730.db2.gz YDESGPYOEYAMMX-GFCCVEGCSA-N 0 1 287.367 0.572 20 30 CCEDMN C[C@H]1CN(C(=O)[C@@H]2CCCN2C)C[C@H](C)N1CC#N ZINC000898133026 633278330 /nfs/dbraw/zinc/27/83/30/633278330.db2.gz SRAVXYYANBBREL-AVGNSLFASA-N 0 1 264.373 0.525 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnn(CC)n3)CCC[C@H]12 ZINC000990565410 633554258 /nfs/dbraw/zinc/55/42/58/633554258.db2.gz DBHVOIVFVIPETQ-DZGCQCFKSA-N 0 1 287.367 0.658 20 30 CCEDMN C#CC(=O)N1CCN(C(=O)c2cc(C)[nH]n2)C[C@H]1C ZINC000899158486 633746636 /nfs/dbraw/zinc/74/66/36/633746636.db2.gz HDDJINCHIHAYNP-SNVBAGLBSA-N 0 1 260.297 0.024 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001014642711 633899931 /nfs/dbraw/zinc/89/99/31/633899931.db2.gz GADIXOHWOVNQMR-JTQLQIEISA-N 0 1 286.339 0.733 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)C2CC(=O)NC(=O)C2)C1 ZINC001008863923 650130025 /nfs/dbraw/zinc/13/00/25/650130025.db2.gz UDUDVVJZCZPUQJ-LBPRGKRZSA-N 0 1 293.367 0.196 20 30 CCEDMN CN(Cc1cccc(C#N)c1)C(=O)[C@@]1(C)C[C@H](O)CN1C ZINC000900128370 633959344 /nfs/dbraw/zinc/95/93/44/633959344.db2.gz SEDYHRWIINLISD-GOEBONIOSA-N 0 1 287.363 0.972 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001009200790 650139470 /nfs/dbraw/zinc/13/94/70/650139470.db2.gz FSUPSRUALCCKRX-WDEREUQCSA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001009200790 650139474 /nfs/dbraw/zinc/13/94/74/650139474.db2.gz FSUPSRUALCCKRX-WDEREUQCSA-N 0 1 288.355 0.014 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001009262710 650141361 /nfs/dbraw/zinc/14/13/61/650141361.db2.gz HLOVJLAPIBSBSJ-RYUDHWBXSA-N 0 1 265.357 0.422 20 30 CCEDMN Cn1cnc(CNC[C@@H](O)COc2ccccc2C#N)c1 ZINC000905683228 634896189 /nfs/dbraw/zinc/89/61/89/634896189.db2.gz OJYIZVOOBISQHP-CQSZACIVSA-N 0 1 286.335 0.821 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3CCCC(=O)N3)CCC[C@H]12 ZINC000991250079 635729033 /nfs/dbraw/zinc/72/90/33/635729033.db2.gz YCWRIXMKWSGDKR-DVOMOZLQSA-N 0 1 289.379 0.402 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2c[nH]cn2)C[C@H](C)N1CC#N ZINC000911698733 636344007 /nfs/dbraw/zinc/34/40/07/636344007.db2.gz RPIWLUMGQJOPJN-PHIMTYICSA-N 0 1 261.329 0.397 20 30 CCEDMN C[C@@H]1CN(C(=O)C2=NC(=O)N(C)C2)C[C@@H](C)N1CC#N ZINC000911712532 636345627 /nfs/dbraw/zinc/34/56/27/636345627.db2.gz CFJHJNVPTHQKGR-NXEZZACHSA-N 0 1 277.328 0.184 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010734526 650259579 /nfs/dbraw/zinc/25/95/79/650259579.db2.gz UXRVWCITKCQXGA-NSHDSACASA-N 0 1 259.309 0.615 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010734526 650259581 /nfs/dbraw/zinc/25/95/81/650259581.db2.gz UXRVWCITKCQXGA-NSHDSACASA-N 0 1 259.309 0.615 20 30 CCEDMN Cc1cc(C#N)cc(C)c1CC(=O)N(C)c1nn[nH]n1 ZINC000912618388 636613135 /nfs/dbraw/zinc/61/31/35/636613135.db2.gz RZUOPBUZPDWEIF-UHFFFAOYSA-N 0 1 270.296 0.894 20 30 CCEDMN N#Cc1sccc1C(=O)NCCN1CC[C@H](O)C1 ZINC000913351979 636800872 /nfs/dbraw/zinc/80/08/72/636800872.db2.gz OISGPHDKKZVTRX-VIFPVBQESA-N 0 1 265.338 0.416 20 30 CCEDMN C[C@@H](Cc1cnn(C)c1)C(=O)NCC#CCN(C)C ZINC000913523173 636831699 /nfs/dbraw/zinc/83/16/99/636831699.db2.gz OTGISOKFQRHYDI-LBPRGKRZSA-N 0 1 262.357 0.280 20 30 CCEDMN Cc1c(Cl)c(C(=O)NCC#CCN(C)C)nn1C ZINC000913523015 636832682 /nfs/dbraw/zinc/83/26/82/636832682.db2.gz JFNKZRLMPPHQKL-UHFFFAOYSA-N 0 1 268.748 0.677 20 30 CCEDMN C[C@@H]1CCc2n[nH]cc2[C@@H]1C(=O)NCC#CCN(C)C ZINC000913525206 636833220 /nfs/dbraw/zinc/83/32/20/636833220.db2.gz NRLVGHIEVBABHN-BXUZGUMPSA-N 0 1 274.368 0.757 20 30 CCEDMN C[C@H]1c2c[nH]nc2CC[C@H]1C(=O)NCC#CCN(C)C ZINC000913524688 636833797 /nfs/dbraw/zinc/83/37/97/636833797.db2.gz YIABQPICZYSCRZ-VXGBXAGGSA-N 0 1 274.368 0.757 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cn(CC=C)nn3)CCC[C@@H]12 ZINC000992303022 637360676 /nfs/dbraw/zinc/36/06/76/637360676.db2.gz JCKOKDMOXABDMA-GDBMZVCRSA-N 0 1 299.378 0.824 20 30 CCEDMN CC#CC[N@H+]1CC[C@@]2(NC(=O)c3cnn(C)n3)CCC[C@@H]12 ZINC000992306488 637362371 /nfs/dbraw/zinc/36/23/71/637362371.db2.gz GHKBYWUBIHJLMT-HIFRSBDPSA-N 0 1 287.367 0.565 20 30 CCEDMN CC#CCN1CC[C@@]2(NC(=O)c3cnn(C)n3)CCC[C@@H]12 ZINC000992306488 637362377 /nfs/dbraw/zinc/36/23/77/637362377.db2.gz GHKBYWUBIHJLMT-HIFRSBDPSA-N 0 1 287.367 0.565 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnon3)CCC[C@@H]12 ZINC000992367000 637376105 /nfs/dbraw/zinc/37/61/05/637376105.db2.gz QKVUKYJFIXNAQE-DGCLKSJQSA-N 0 1 260.297 0.430 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913744865 637427107 /nfs/dbraw/zinc/42/71/07/637427107.db2.gz HZOXDGXMHOLKNA-GFCCVEGCSA-N 0 1 265.317 0.233 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001015202196 637438012 /nfs/dbraw/zinc/43/80/12/637438012.db2.gz SROYFWHRXDRYFG-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3nonc3C)CCC[C@H]12 ZINC000992530824 637464086 /nfs/dbraw/zinc/46/40/86/637464086.db2.gz JZIRBDMPHNAWMC-SMDDNHRTSA-N 0 1 274.324 0.738 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001015494214 637670333 /nfs/dbraw/zinc/67/03/33/637670333.db2.gz ZBWIJPZUDGNYKQ-VXGBXAGGSA-N 0 1 265.357 0.374 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cnn(C)c2)[C@@H]1C ZINC000993089453 637691284 /nfs/dbraw/zinc/69/12/84/637691284.db2.gz BPWOMPZAUSGOOQ-GXTWGEPZSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cnn(C)c2)[C@H]1C ZINC000993089457 637692030 /nfs/dbraw/zinc/69/20/30/637692030.db2.gz BPWOMPZAUSGOOQ-OCCSQVGLSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cn(C)nc2C)[C@H]1C ZINC000993417893 637803001 /nfs/dbraw/zinc/80/30/01/637803001.db2.gz DLNKIQCLNVXIDU-HIFRSBDPSA-N 0 1 288.395 0.873 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cnc[nH]c2=O)[C@@H]1C ZINC000993483008 637812303 /nfs/dbraw/zinc/81/23/03/637812303.db2.gz UKRZRHYLQWUFIK-WCQYABFASA-N 0 1 288.351 0.788 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)n2cncn2)C1 ZINC001007153696 637812606 /nfs/dbraw/zinc/81/26/06/637812606.db2.gz BVLVFJOFOHDLDY-NWDGAFQWSA-N 0 1 263.345 0.606 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CN2CCCNC2=O)[C@H]1C ZINC000993538480 637815449 /nfs/dbraw/zinc/81/54/49/637815449.db2.gz ALAJAMVEORESGF-CHWSQXEVSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cc(C)nn2C)[C@@H]1C ZINC000993587095 637821985 /nfs/dbraw/zinc/82/19/85/637821985.db2.gz BVXMHNPSNOBKOL-DZGCQCFKSA-N 0 1 288.395 0.873 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2c[nH]c(=O)n2C)[C@H]1C ZINC000993699112 637837615 /nfs/dbraw/zinc/83/76/15/637837615.db2.gz JCVLMPIFIVWJOT-MNOVXSKESA-N 0 1 276.340 0.342 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc(=O)n2C)[C@@H]1C ZINC000993771429 637845452 /nfs/dbraw/zinc/84/54/52/637845452.db2.gz LHXKXKGVLCZTFA-QWHCGFSZSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@@H]1C ZINC000994299716 637917819 /nfs/dbraw/zinc/91/78/19/637917819.db2.gz BBESBVFFHNLJGL-AAEUAGOBSA-N 0 1 285.351 0.878 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cn2ccccc2=O)[C@@H]1C ZINC000994370616 637920762 /nfs/dbraw/zinc/92/07/62/637920762.db2.gz FQKWSUBVIMUXJL-KBPBESRZSA-N 0 1 287.363 0.451 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(=O)n(C)n2)[C@@H]1C ZINC000994354750 637921206 /nfs/dbraw/zinc/92/12/06/637921206.db2.gz VLFGSSZKYBUJDE-NWDGAFQWSA-N 0 1 290.367 0.549 20 30 CCEDMN Oc1ccc(C=NNc2ncnc3nc[nH]c32)c(O)c1O ZINC000917554352 637975725 /nfs/dbraw/zinc/97/57/25/637975725.db2.gz CBYOLQDCUAIZFT-UHFFFAOYSA-N 0 1 286.251 0.916 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC000939945301 638422205 /nfs/dbraw/zinc/42/22/05/638422205.db2.gz ZNHCUGNCIVHKDV-NQMVMOMDSA-N 0 1 293.302 0.285 20 30 CCEDMN N#CCN1CCN(C(=O)c2n[nH]cc2Br)CC1 ZINC000951791484 638424949 /nfs/dbraw/zinc/42/49/49/638424949.db2.gz VPFTUXPGHSVABX-UHFFFAOYSA-N 0 1 298.144 0.454 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@@H]1NC(=O)c1[nH]ncc1F ZINC000942599985 638677425 /nfs/dbraw/zinc/67/74/25/638677425.db2.gz IOKBADPCFJDGKU-WPRPVWTQSA-N 0 1 265.292 0.513 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC(NC(=O)c2ccc3cncn3c2)C1 ZINC000995638132 638781958 /nfs/dbraw/zinc/78/19/58/638781958.db2.gz MUVTUICRHNATML-JTQLQIEISA-N 0 1 297.318 0.435 20 30 CCEDMN C#C[C@H](C)NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000186045710 638796098 /nfs/dbraw/zinc/79/60/98/638796098.db2.gz ZIKLYINTUFHIOI-RWMBFGLXSA-N 0 1 267.373 0.807 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001014417469 650450078 /nfs/dbraw/zinc/45/00/78/650450078.db2.gz DLHNLICPEYXMEI-LLVKDONJSA-N 0 1 275.356 0.533 20 30 CCEDMN CC1(C)CN(C(=O)c2cccc3nn[nH]c32)C[C@H]1NCC#N ZINC000995846891 638803003 /nfs/dbraw/zinc/80/30/03/638803003.db2.gz HLTJPRSDNVXUSJ-GFCCVEGCSA-N 0 1 298.350 0.922 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cn2nccn2)CC1(C)C ZINC000995856476 638803491 /nfs/dbraw/zinc/80/34/91/638803491.db2.gz DQIHMTNABFCIAR-LLVKDONJSA-N 0 1 297.790 0.857 20 30 CCEDMN N#CCNC1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CC1 ZINC000997174880 638858058 /nfs/dbraw/zinc/85/80/58/638858058.db2.gz XAULTYVEGNEHOO-LLVKDONJSA-N 0 1 287.367 0.619 20 30 CCEDMN C#CC[NH+]1CCC([C@@H](C)NC(=O)c2[n-]nnc2C)CC1 ZINC000997251357 638859929 /nfs/dbraw/zinc/85/99/29/638859929.db2.gz DTWMKJCQTGXJMN-SNVBAGLBSA-N 0 1 275.356 0.577 20 30 CCEDMN COc1cc(CN[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)on1 ZINC000998752160 638939552 /nfs/dbraw/zinc/93/95/52/638939552.db2.gz IGELWXBLSSRHEF-WDEREUQCSA-N 0 1 292.339 0.923 20 30 CCEDMN CN(C(=O)[C@@H]1CCCc2c[nH]nc21)C1CC(NCC#N)C1 ZINC000998770332 638940452 /nfs/dbraw/zinc/94/04/52/638940452.db2.gz PIVAJVSUZUQKQW-WXRRBKDZSA-N 0 1 287.367 0.932 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cc(=O)n(C)o2)C1 ZINC000999018694 638954493 /nfs/dbraw/zinc/95/44/93/638954493.db2.gz AASBKTWOCFRDSP-JTQLQIEISA-N 0 1 299.758 0.925 20 30 CCEDMN Cn1ccnc1CN[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC000999128253 638961163 /nfs/dbraw/zinc/96/11/63/638961163.db2.gz MCWUXAKKJGJVEN-AWEZNQCLSA-N 0 1 286.379 0.914 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc3n[nH]nc32)C1 ZINC001014528229 650460157 /nfs/dbraw/zinc/46/01/57/650460157.db2.gz LAQLOXZJKCKCEG-JTQLQIEISA-N 0 1 271.324 0.948 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001000103772 639040240 /nfs/dbraw/zinc/04/02/40/639040240.db2.gz JDQCFHGBUFIOAF-FZMZJTMJSA-N 0 1 299.802 0.988 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001014635107 650471049 /nfs/dbraw/zinc/47/10/49/650471049.db2.gz PLAKHOVEHOJIFS-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001000462161 639092247 /nfs/dbraw/zinc/09/22/47/639092247.db2.gz XDLLWOMGZWGQOD-NEPJUHHUSA-N 0 1 299.802 0.988 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCC1=CCN(CC#N)CC1 ZINC001000520546 639109201 /nfs/dbraw/zinc/10/92/01/639109201.db2.gz GIKZGABEZDGLLP-ZDUSSCGKSA-N 0 1 262.357 0.352 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001000564670 639116871 /nfs/dbraw/zinc/11/68/71/639116871.db2.gz POJOHGLVUKPYGG-UHFFFAOYSA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2n[nH]cc2C)CC1 ZINC001000650538 639148140 /nfs/dbraw/zinc/14/81/40/639148140.db2.gz JBXFASKRPFQYPA-UHFFFAOYSA-N 0 1 258.325 0.713 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cn[nH]c(=O)c2)CC1 ZINC001000813922 639174447 /nfs/dbraw/zinc/17/44/47/639174447.db2.gz PEHOUUCLPQPHOA-UHFFFAOYSA-N 0 1 286.335 0.567 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCC1=CCN(CC#N)CC1 ZINC001000850534 639181608 /nfs/dbraw/zinc/18/16/08/639181608.db2.gz KMQWKRQBHRJNOF-AWEZNQCLSA-N 0 1 276.384 0.743 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc3nnnn3c2)CC1 ZINC001000944370 639191531 /nfs/dbraw/zinc/19/15/31/639191531.db2.gz JSBWTWOOZWTLAD-UHFFFAOYSA-N 0 1 296.334 0.119 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2c(C)cnn2C)CC1 ZINC001001060063 639223462 /nfs/dbraw/zinc/22/34/62/639223462.db2.gz IMLUGDUIUYMCOD-UHFFFAOYSA-N 0 1 272.352 0.724 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2c[nH]c(=O)cn2)CC1 ZINC001001097179 639228794 /nfs/dbraw/zinc/22/87/94/639228794.db2.gz RMQXTUIQEWYKKF-UHFFFAOYSA-N 0 1 286.335 0.155 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCCCC(=O)N2)CC1 ZINC001001142704 639246075 /nfs/dbraw/zinc/24/60/75/639246075.db2.gz AVDWCDQXUZZBIZ-CQSZACIVSA-N 0 1 289.379 0.427 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001014714263 650481183 /nfs/dbraw/zinc/48/11/83/650481183.db2.gz PSEIYJICAJJDND-CYBMUJFWSA-N 0 1 287.367 0.369 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn(CCC)nn2)CC1 ZINC001001311201 639279163 /nfs/dbraw/zinc/27/91/63/639279163.db2.gz REMAYUPOEIWHPG-UHFFFAOYSA-N 0 1 287.367 0.683 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCc3nncn3C2)CC1 ZINC001001464535 639302224 /nfs/dbraw/zinc/30/22/24/639302224.db2.gz WCUZFQDCPARLGB-CQSZACIVSA-N 0 1 299.378 0.222 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2cc[nH]n2)CC1 ZINC001002513956 639423503 /nfs/dbraw/zinc/42/35/03/639423503.db2.gz KVDFBBDMTZBZAN-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001014854324 650495803 /nfs/dbraw/zinc/49/58/03/650495803.db2.gz DXFMWTSWOTZYTC-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CC(=O)N[C@H](C)C2)CC1 ZINC001003220920 639517535 /nfs/dbraw/zinc/51/75/35/639517535.db2.gz FUXAMHBFCDOUKF-NEPJUHHUSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1CCC(NC(=O)CN2CCCNC2=O)CC1 ZINC001003243224 639521736 /nfs/dbraw/zinc/52/17/36/639521736.db2.gz VLRBKUPCHQUSJD-UHFFFAOYSA-N 0 1 292.383 0.006 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001014969075 650508810 /nfs/dbraw/zinc/50/88/10/650508810.db2.gz RNCIUUOOQBUTIX-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2cc(C3CC3)cn2)C1 ZINC001015005271 650513407 /nfs/dbraw/zinc/51/34/07/650513407.db2.gz UWXCGPICWUIPDS-HNNXBMFYSA-N 0 1 286.379 0.974 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc3c2CC(=O)N3)C1 ZINC001015095384 650527214 /nfs/dbraw/zinc/52/72/14/650527214.db2.gz AHMLBJANGGOTGZ-SNVBAGLBSA-N 0 1 286.335 0.566 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCOC2)CC1 ZINC001005162006 639883755 /nfs/dbraw/zinc/88/37/55/639883755.db2.gz PNERIDXKWSWINW-GFCCVEGCSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@@H]2CCOC2)CC1 ZINC001005198299 639888261 /nfs/dbraw/zinc/88/82/61/639888261.db2.gz MMZCLKJLXILRKS-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001005251861 639893451 /nfs/dbraw/zinc/89/34/51/639893451.db2.gz PAXREJURTKJQRV-LBPRGKRZSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cccn3nnnc23)CC1 ZINC001005453025 639910068 /nfs/dbraw/zinc/91/00/68/639910068.db2.gz FVPAQFKLOVOBIO-UHFFFAOYSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2c(C)nnn2CC)CC1 ZINC001005491248 639912430 /nfs/dbraw/zinc/91/24/30/639912430.db2.gz HVBCXZCONLJDNK-UHFFFAOYSA-N 0 1 289.383 0.776 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001015202731 650537959 /nfs/dbraw/zinc/53/79/59/650537959.db2.gz VHMKUCDRGWFZCC-SNVBAGLBSA-N 0 1 277.324 0.759 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(Cl)n(C)n2)C1 ZINC001015204938 650538703 /nfs/dbraw/zinc/53/87/03/650538703.db2.gz JBPVGEIZPXORIP-JTQLQIEISA-N 0 1 280.759 0.901 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2C[C@]23CCOC3)CC1 ZINC001006257701 640213059 /nfs/dbraw/zinc/21/30/59/640213059.db2.gz IAWBXEKYHMDWIS-HOCLYGCPSA-N 0 1 276.380 0.969 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2coc(C(N)=O)c2)C1 ZINC001015388363 650561755 /nfs/dbraw/zinc/56/17/55/650561755.db2.gz PWYHVPRXCFNMFE-LLVKDONJSA-N 0 1 277.324 0.759 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001015402766 650562809 /nfs/dbraw/zinc/56/28/09/650562809.db2.gz JEIMDKDNUBMGJF-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)c(C)nn(C)c2=O)C1 ZINC001015469683 650570181 /nfs/dbraw/zinc/57/01/81/650570181.db2.gz GZICOKLYWPGQCL-LBPRGKRZSA-N 0 1 290.367 0.387 20 30 CCEDMN Cn1cncc1C=NNc1ccc(-n2cccn2)nn1 ZINC000755657279 640331185 /nfs/dbraw/zinc/33/11/85/640331185.db2.gz NRRDCXLSZAXKPJ-UHFFFAOYSA-N 0 1 268.284 0.842 20 30 CCEDMN C[C@@H]1CN(C2CC2)CC1N=Nc1nccn(C)c1=O ZINC000755892011 640338940 /nfs/dbraw/zinc/33/89/40/640338940.db2.gz PJQVXSXVOUXDGN-SECBINFHSA-N 0 1 261.329 0.662 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001015591555 650586488 /nfs/dbraw/zinc/58/64/88/650586488.db2.gz HMGGISYQDBSKER-FZMZJTMJSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)c2cncnc2)C1 ZINC001015596727 650587697 /nfs/dbraw/zinc/58/76/97/650587697.db2.gz GEEYGBOTPOAQIQ-YPMHNXCESA-N 0 1 260.341 0.957 20 30 CCEDMN C[C@H](C#N)OCCN1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000801930518 640675758 /nfs/dbraw/zinc/67/57/58/640675758.db2.gz QVUBZBYUUFATFT-VXGBXAGGSA-N 0 1 289.401 0.176 20 30 CCEDMN C[C@H](C#N)OCCN1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000801930512 640675788 /nfs/dbraw/zinc/67/57/88/640675788.db2.gz QVUBZBYUUFATFT-NEPJUHHUSA-N 0 1 289.401 0.176 20 30 CCEDMN C[C@@H](C#N)OCC[N@@H+]1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000808777426 640878525 /nfs/dbraw/zinc/87/85/25/640878525.db2.gz NCTSYMITMKRLKQ-UWVGGRQHSA-N 0 1 265.317 0.618 20 30 CCEDMN C[C@@H](C#N)OCC[N@H+]1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000808777426 640878528 /nfs/dbraw/zinc/87/85/28/640878528.db2.gz NCTSYMITMKRLKQ-UWVGGRQHSA-N 0 1 265.317 0.618 20 30 CCEDMN C[C@H](O)CNN=Cc1ccc(N2CCOCC2)c(F)c1 ZINC000814963303 641086326 /nfs/dbraw/zinc/08/63/26/641086326.db2.gz ITJKNLGLXLVIKJ-NSHDSACASA-N 0 1 281.331 0.967 20 30 CCEDMN O=C(N[C@@H]1CCN(O)C1=O)c1nnc2ccccc2c1O ZINC000816691825 641193224 /nfs/dbraw/zinc/19/32/24/641193224.db2.gz ABDJFWGNJJYIBX-SECBINFHSA-N 0 1 288.263 0.055 20 30 CCEDMN C[C@@H](C(=O)N[C@H]1CCCN(O)C1=O)N1CCCCCC1 ZINC000816759171 641215263 /nfs/dbraw/zinc/21/52/63/641215263.db2.gz GXPVWSKYXSIARH-RYUDHWBXSA-N 0 1 283.372 0.747 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2cccc3nc[nH]c32)C1 ZINC001015890149 650650375 /nfs/dbraw/zinc/65/03/75/650650375.db2.gz JBXUKFIXVKOCPG-JTQLQIEISA-N 0 1 269.308 0.891 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001015958018 650663550 /nfs/dbraw/zinc/66/35/50/650663550.db2.gz GDAZUVLTEMZAJQ-WDEREUQCSA-N 0 1 273.340 0.229 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC001015957738 650664206 /nfs/dbraw/zinc/66/42/06/650664206.db2.gz CZHLJMXMHRDLEF-QWHCGFSZSA-N 0 1 286.379 0.728 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001015957738 650664212 /nfs/dbraw/zinc/66/42/12/650664212.db2.gz CZHLJMXMHRDLEF-QWHCGFSZSA-N 0 1 286.379 0.728 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001015964524 650665655 /nfs/dbraw/zinc/66/56/55/650665655.db2.gz ZHQMGLXAGWMOQW-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)c1cc(F)cc(F)c1O ZINC000820544378 641513296 /nfs/dbraw/zinc/51/32/96/641513296.db2.gz JCYVTTQKYZAUBX-VIFPVBQESA-N 0 1 286.234 0.780 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2conc2COC)C1 ZINC001015982130 650669599 /nfs/dbraw/zinc/66/95/99/650669599.db2.gz ZZGKABRGJPVECT-NSHDSACASA-N 0 1 277.324 0.648 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC001015986393 650669620 /nfs/dbraw/zinc/66/96/20/650669620.db2.gz KHSHBTXPFPDLGM-JTQLQIEISA-N 0 1 287.323 0.425 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001016105289 650682117 /nfs/dbraw/zinc/68/21/17/650682117.db2.gz DUBYZJYCHICHSN-NSHDSACASA-N 0 1 278.356 0.809 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2c[nH]c(-c3nn[nH]n3)c2)=NO1 ZINC000824893772 641851101 /nfs/dbraw/zinc/85/11/01/641851101.db2.gz USSHPWQLPHXGJY-RXMQYKEDSA-N 0 1 261.245 0.047 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001016350738 650721089 /nfs/dbraw/zinc/72/10/89/650721089.db2.gz YMCYLDJBLSARRV-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@@H](NC(=O)Cc1nnc[nH]1)C2 ZINC000829486449 642167262 /nfs/dbraw/zinc/16/72/62/642167262.db2.gz WDLVKOWWHHFPJQ-LBPRGKRZSA-N 0 1 267.292 0.502 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc(OCC)cn2)C1 ZINC001016420824 650729615 /nfs/dbraw/zinc/72/96/15/650729615.db2.gz RLLUTGKVKXWYDL-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CC[C@H]1CCCN(CC(=O)NC(=O)NCC)C1 ZINC000829768813 642190245 /nfs/dbraw/zinc/19/02/45/642190245.db2.gz KISRSTMPFRWJDN-NSHDSACASA-N 0 1 251.330 0.567 20 30 CCEDMN C=CCN1CCC2(C[C@H]2NC(=O)C2CS(=O)(=O)C2)CC1 ZINC001016639092 650751199 /nfs/dbraw/zinc/75/11/99/650751199.db2.gz ABZRVADVJGXLIR-GFCCVEGCSA-N 0 1 298.408 0.188 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc(-n2ccnn2)c1 ZINC000834480947 642572806 /nfs/dbraw/zinc/57/28/06/642572806.db2.gz YJTTUMMUGXCAFN-YPMHNXCESA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccn(-c2ccccc2)n1 ZINC000834480994 642573357 /nfs/dbraw/zinc/57/33/57/642573357.db2.gz YTCQABMIXOGPRO-SWLSCSKDSA-N 0 1 295.346 0.643 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccc(F)cc1F ZINC000834480928 642573669 /nfs/dbraw/zinc/57/36/69/642573669.db2.gz YCUGJHXRBNQPAM-JOYOIKCWSA-N 0 1 265.263 0.735 20 30 CCEDMN Cc1nc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(C)s1 ZINC000834484418 642574788 /nfs/dbraw/zinc/57/47/88/642574788.db2.gz IRBLOIYDHJPOQK-DTWKUNHWSA-N 0 1 250.327 0.601 20 30 CCEDMN Cc1cc2c(cc1C)O[C@H](C(=O)N[C@H]1CNC[C@@H]1C#N)C2 ZINC000834489813 642579213 /nfs/dbraw/zinc/57/92/13/642579213.db2.gz POOULHSFCQQRAS-YDHLFZDLSA-N 0 1 285.347 0.835 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc(-n2cccn2)n1 ZINC000834490886 642581514 /nfs/dbraw/zinc/58/15/14/642581514.db2.gz XXMMDFFYSMOKEJ-JQWIXIFHSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCOCc1ccccc1 ZINC000834490401 642582239 /nfs/dbraw/zinc/58/22/39/642582239.db2.gz UZPZDWFLHDYWSS-KGLIPLIRSA-N 0 1 273.336 0.821 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCc1cscn1 ZINC000834494124 642583329 /nfs/dbraw/zinc/58/33/29/642583329.db2.gz KKWWMRWUBFCYRV-WCBMZHEXSA-N 0 1 250.327 0.303 20 30 CCEDMN Cc1noc2ncc(C(=O)N[C@H]3CNC[C@H]3C#N)cc12 ZINC000834499036 642586360 /nfs/dbraw/zinc/58/63/60/642586360.db2.gz DOZKDMHCNATNLT-KOLCDFICSA-N 0 1 271.280 0.373 20 30 CCEDMN Cc1cccc2c(O)c(C(=O)N[C@@H]3CNC[C@@H]3C#N)cnc12 ZINC000834500883 642589845 /nfs/dbraw/zinc/58/98/45/642589845.db2.gz XPSMICBMBGGNAT-GXFFZTMASA-N 0 1 296.330 0.678 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000834505351 642592241 /nfs/dbraw/zinc/59/22/41/642592241.db2.gz JXVXNRJEDFNATJ-BXKDBHETSA-N 0 1 290.279 0.201 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COc1ccccc1F ZINC000834512340 642596664 /nfs/dbraw/zinc/59/66/64/642596664.db2.gz VDHJMNKLQVAQOA-KOLCDFICSA-N 0 1 263.272 0.432 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)ccc1[N+](=O)[O-] ZINC000834511655 642596962 /nfs/dbraw/zinc/59/69/62/642596962.db2.gz NHUPCEMSZSDOHU-QWRGUYRKSA-N 0 1 274.280 0.745 20 30 CCEDMN C[C@H](Oc1ccccc1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834512448 642597089 /nfs/dbraw/zinc/59/70/89/642597089.db2.gz XACXPESTBVQZKA-GMXVVIOVSA-N 0 1 259.309 0.682 20 30 CCEDMN Cc1nn(C)c2nc(C)cc(C(=O)N[C@H]3CNC[C@H]3C#N)c12 ZINC000834515847 642598385 /nfs/dbraw/zinc/59/83/85/642598385.db2.gz CKSMNBHIHVSTKU-PWSUYJOCSA-N 0 1 298.350 0.427 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCc1nc2ccccc2[nH]1 ZINC000834512586 642599000 /nfs/dbraw/zinc/59/90/00/642599000.db2.gz YYEPMRXGAJBBMD-ZWNOBZJWSA-N 0 1 283.335 0.723 20 30 CCEDMN Cc1noc2nc(C)cc(C(=O)N[C@@H]3CNC[C@H]3C#N)c12 ZINC000834517195 642599760 /nfs/dbraw/zinc/59/97/60/642599760.db2.gz ROZDHHYGEZYTMX-MWLCHTKSSA-N 0 1 285.307 0.681 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccn(-c2ccccc2)n1 ZINC000834521431 642601288 /nfs/dbraw/zinc/60/12/88/642601288.db2.gz IOEZDXVQCRQDDX-RISCZKNCSA-N 0 1 281.319 0.714 20 30 CCEDMN C[C@H](Oc1ccccc1F)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834517680 642602091 /nfs/dbraw/zinc/60/20/91/642602091.db2.gz YDZOZXZAMLHWLJ-UMNHJUIQSA-N 0 1 277.299 0.821 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(-c2cccnc2)on1 ZINC000834520989 642602246 /nfs/dbraw/zinc/60/22/46/642602246.db2.gz AYOKIQVGUJSDQM-CMPLNLGQSA-N 0 1 283.291 0.578 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@H]2C#N)cnn1C(C)(C)C ZINC000834521623 642602481 /nfs/dbraw/zinc/60/24/81/642602481.db2.gz OHBXWNJJAVFNRH-ZYHUDNBSSA-N 0 1 275.356 0.788 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)N[C@H](C1CC1)C(F)(F)F ZINC000841242446 642809072 /nfs/dbraw/zinc/80/90/72/642809072.db2.gz JZBHIZUPAXORHM-HRDYMLBCSA-N 0 1 276.262 0.738 20 30 CCEDMN N#C[C@H](C(=O)NCc1ccccc1)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842288182 642889664 /nfs/dbraw/zinc/88/96/64/642889664.db2.gz HCNLXWMLGKELIS-GRYCIOLGSA-N 0 1 287.275 0.677 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)c1N ZINC001017495057 650806576 /nfs/dbraw/zinc/80/65/76/650806576.db2.gz ZHWKLYRBQVAZRE-TXEJJXNPSA-N 0 1 289.383 0.867 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCOc1ccc(F)cc1 ZINC000843459718 643063069 /nfs/dbraw/zinc/06/30/69/643063069.db2.gz GTLFIZYJMPMMBA-GWCFXTLKSA-N 0 1 277.299 0.822 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCOc1ccccc1F ZINC000843459099 643063203 /nfs/dbraw/zinc/06/32/03/643063203.db2.gz BVMATAWBBXDIHA-CMPLNLGQSA-N 0 1 277.299 0.822 20 30 CCEDMN Cc1cccnc1/C=C\C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000843461365 643064267 /nfs/dbraw/zinc/06/42/67/643064267.db2.gz UKPRVGFQLHOBMP-FTGSRHIXSA-N 0 1 256.309 0.631 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CSc1ccncc1 ZINC000843460359 643064702 /nfs/dbraw/zinc/06/47/02/643064702.db2.gz OAAKHKPHWJVENP-MWLCHTKSSA-N 0 1 262.338 0.401 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CNC(=O)Nc1ccccc1 ZINC000843461152 643065182 /nfs/dbraw/zinc/06/51/82/643065182.db2.gz KBNQSHLGZUGQLA-CMPLNLGQSA-N 0 1 287.323 0.036 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc(NC(N)=O)c1 ZINC000843460352 643065288 /nfs/dbraw/zinc/06/52/88/643065288.db2.gz HJGQFXVEAKLEGE-KOLCDFICSA-N 0 1 273.296 0.019 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000843461476 643066941 /nfs/dbraw/zinc/06/69/41/643066941.db2.gz LNSGEBXJJFSGAR-RQJHMYQMSA-N 0 1 250.214 0.029 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CNC(=O)C1 ZINC001017763950 650820787 /nfs/dbraw/zinc/82/07/87/650820787.db2.gz KTUQXLSTHOEPLC-DVOMOZLQSA-N 0 1 291.395 0.764 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nnc1C ZINC001017784460 650822354 /nfs/dbraw/zinc/82/23/54/650822354.db2.gz IMYYAEUYCUDGON-TXEJJXNPSA-N 0 1 275.356 0.978 20 30 CCEDMN C[C@@H]1CCCc2ncc(C(=O)N[C@@H]3CNC[C@@H]3C#N)n21 ZINC000846678122 643441483 /nfs/dbraw/zinc/44/14/83/643441483.db2.gz QZUSPIIBOWZGCD-OUAUKWLOSA-N 0 1 273.340 0.622 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(-c2ccccn2)nc1 ZINC000846676960 643441553 /nfs/dbraw/zinc/44/15/53/643441553.db2.gz IPOGYGPPOOURCZ-SWLSCSKDSA-N 0 1 293.330 0.985 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CC1CCSCC1 ZINC000846677279 643441670 /nfs/dbraw/zinc/44/16/70/643441670.db2.gz KXRPSZGJAXUPFQ-MNOVXSKESA-N 0 1 253.371 0.747 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H]1CCC[N@H+]2CCCC[C@@H]12 ZINC000848509796 643647269 /nfs/dbraw/zinc/64/72/69/643647269.db2.gz YMZLAJJUBAMMDS-STQMWFEESA-N 0 1 270.398 0.946 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H]1CCCN2CCCC[C@@H]12 ZINC000848509796 643647271 /nfs/dbraw/zinc/64/72/71/643647271.db2.gz YMZLAJJUBAMMDS-STQMWFEESA-N 0 1 270.398 0.946 20 30 CCEDMN C[C@@H]1Cc2cc(C(=O)N[C@@H]3CNC[C@H]3C#N)ccc2O1 ZINC000852827247 644013421 /nfs/dbraw/zinc/01/34/21/644013421.db2.gz FAORRJDMFNPTPG-OASPWFOLSA-N 0 1 271.320 0.851 20 30 CCEDMN O=C(C#CC1CC[NH2+]CC1)[N-]OCC(F)(F)F ZINC000852857014 644026672 /nfs/dbraw/zinc/02/66/72/644026672.db2.gz YIKAOBRPAQVAAN-UHFFFAOYSA-N 0 1 250.220 0.600 20 30 CCEDMN Cn1cc(-c2ncccc2C(=O)N[C@H]2CNC[C@H]2C#N)cn1 ZINC000852875602 644037157 /nfs/dbraw/zinc/03/71/57/644037157.db2.gz KUODLOXQUPSBPS-MFKMUULPSA-N 0 1 296.334 0.323 20 30 CCEDMN COc1cccc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001018629878 650886564 /nfs/dbraw/zinc/88/65/64/650886564.db2.gz BZCNCJYPTSZEFW-AAEUAGOBSA-N 0 1 288.351 0.940 20 30 CCEDMN N#C[C@H](CO)NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000854871526 644268971 /nfs/dbraw/zinc/26/89/71/644268971.db2.gz YMNOLITUGNWEEN-MRVPVSSYSA-N 0 1 285.206 0.687 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnnn2CC)C1 ZINC001018813454 650910773 /nfs/dbraw/zinc/91/07/73/650910773.db2.gz FCMIIAABMMSVPE-JTQLQIEISA-N 0 1 283.763 0.855 20 30 CCEDMN Cc1nnsc1CN[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001018826565 650912585 /nfs/dbraw/zinc/91/25/85/650912585.db2.gz HJUMVUSWAHPAAA-LBPRGKRZSA-N 0 1 290.392 0.950 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001019052950 650934315 /nfs/dbraw/zinc/93/43/15/650934315.db2.gz WAWNTWRHJXQFKN-RYUDHWBXSA-N 0 1 299.802 0.798 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CC[C@@H](C(N)=O)O2)c1 ZINC000860445312 644802354 /nfs/dbraw/zinc/80/23/54/644802354.db2.gz PZLVCZKSUZNZRL-WDEREUQCSA-N 0 1 275.264 0.235 20 30 CCEDMN C#CC[NH2+][C@H]1CCN(C(=O)c2n[n-]c3ccccc3c2=O)C1 ZINC001019456088 650974555 /nfs/dbraw/zinc/97/45/55/650974555.db2.gz ZPMZFIHUAOPJCE-NSHDSACASA-N 0 1 296.330 0.773 20 30 CCEDMN NS(=O)(=O)c1ccc(N=NC2CN3C[C@@H]2CCC3)nc1 ZINC000863079484 645060730 /nfs/dbraw/zinc/06/07/30/645060730.db2.gz OPJZOPBHJTTWJH-VIFPVBQESA-N 0 1 295.368 0.223 20 30 CCEDMN O=c1ccn(N=C2CCC[N@H+]3CCCC[C@@H]23)c(=O)[nH]1 ZINC000863182640 645067952 /nfs/dbraw/zinc/06/79/52/645067952.db2.gz TWCQXJWJXMHRQX-NSHDSACASA-N 0 1 262.313 0.801 20 30 CCEDMN O=c1ccn(N=C2CCCN3CCCC[C@@H]23)c(=O)[nH]1 ZINC000863182640 645067954 /nfs/dbraw/zinc/06/79/54/645067954.db2.gz TWCQXJWJXMHRQX-NSHDSACASA-N 0 1 262.313 0.801 20 30 CCEDMN O=C(C#Cc1cccs1)NCCN1CC[C@@H](O)C1 ZINC000863318443 645078170 /nfs/dbraw/zinc/07/81/70/645078170.db2.gz YMSRLOATEKYTEF-LLVKDONJSA-N 0 1 264.350 0.282 20 30 CCEDMN C[C@@H]1CCCCN1CC(N)=NOCCC1OCCO1 ZINC000120232221 645284667 /nfs/dbraw/zinc/28/46/67/645284667.db2.gz OPGUYFDGZIGYOB-LLVKDONJSA-N 0 1 271.361 0.913 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)N[C@H]1CCOC1 ZINC000867980590 645697298 /nfs/dbraw/zinc/69/72/98/645697298.db2.gz XFOCIRHDAOSJLO-JSGCOSHPSA-N 0 1 271.320 0.650 20 30 CCEDMN C[C@H](C(=O)NCCNc1ccc(C#N)cn1)N(C)C ZINC000873982888 646414442 /nfs/dbraw/zinc/41/44/42/646414442.db2.gz VLRCBFYSZAVLGX-SNVBAGLBSA-N 0 1 261.329 0.431 20 30 CCEDMN C[C@H](O)CCN(C)C(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000876669732 646641089 /nfs/dbraw/zinc/64/10/89/646641089.db2.gz WDNNXUTYBATEPE-NHYWBVRUSA-N 0 1 287.363 0.974 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(Cn2ccnn2)cc1 ZINC000876801749 646669304 /nfs/dbraw/zinc/66/93/04/646669304.db2.gz IFEMWCXTWKULCV-UONOGXRCSA-N 0 1 296.334 0.168 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ncc2c(F)cccn21 ZINC000876803849 646671716 /nfs/dbraw/zinc/67/17/16/646671716.db2.gz YDOVDZQZKVOESS-PSASIEDQSA-N 0 1 273.271 0.315 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NCc1c[nH]cn1 ZINC000876882245 646689642 /nfs/dbraw/zinc/68/96/42/646689642.db2.gz DMEFRYUBXFZJPD-AWEZNQCLSA-N 0 1 281.319 0.785 20 30 CCEDMN Cc1cnc(Cl)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000884251122 647681967 /nfs/dbraw/zinc/68/19/67/647681967.db2.gz VZEJNBRUAFAIBG-WPRPVWTQSA-N 0 1 264.716 0.885 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCCC[C@@H]2C(N)=O)CCC1 ZINC000885947066 647887233 /nfs/dbraw/zinc/88/72/33/647887233.db2.gz ZHZWYMHPBXFBNX-NWDGAFQWSA-N 0 1 265.357 0.771 20 30 CCEDMN C[C@@H](O)CN1CCN(C[C@H](O)CC2(C#N)CCC2)CC1 ZINC000885983984 647889881 /nfs/dbraw/zinc/88/98/81/647889881.db2.gz UXHSGVKOFPRQDY-ZIAGYGMSSA-N 0 1 281.400 0.430 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN3C(=O)N=NC3C2)CCC1 ZINC000886134619 647906051 /nfs/dbraw/zinc/90/60/51/647906051.db2.gz XJHVOQFZBHLELI-JTQLQIEISA-N 0 1 277.328 0.244 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@H]2c2nnc[nH]2)CCC1 ZINC000931140862 648948444 /nfs/dbraw/zinc/94/84/44/648948444.db2.gz KPUPGSGWKMQQAB-JTQLQIEISA-N 0 1 274.328 0.504 20 30 CCEDMN Cc1nc(CC2CCN(C[C@H](O)CC#N)CC2)no1 ZINC000932246082 649057740 /nfs/dbraw/zinc/05/77/40/649057740.db2.gz GTWSRNDWVBUTSO-GFCCVEGCSA-N 0 1 264.329 0.907 20 30 CCEDMN N#CCN1CCc2ccccc2[C@@H]1CNC(=O)c1ncn[nH]1 ZINC000948970221 649405882 /nfs/dbraw/zinc/40/58/82/649405882.db2.gz UPFLPCDEWOMBOC-ZDUSSCGKSA-N 0 1 296.334 0.657 20 30 CCEDMN N#CCN1CCc2ccccc2[C@@H]1CNC(=O)c1nc[nH]n1 ZINC000948970221 649405883 /nfs/dbraw/zinc/40/58/83/649405883.db2.gz UPFLPCDEWOMBOC-ZDUSSCGKSA-N 0 1 296.334 0.657 20 30 CCEDMN N#Cc1ccc(C[N@@H+]2C[C@@H](O)[C@@H](CNC(=O)C3CC3)C2)cc1 ZINC000957783429 649758664 /nfs/dbraw/zinc/75/86/64/649758664.db2.gz HCVOKPXMIMMWAA-JKSUJKDBSA-N 0 1 299.374 0.877 20 30 CCEDMN N#Cc1ccc(CN2C[C@@H](O)[C@@H](CNC(=O)C3CC3)C2)cc1 ZINC000957783429 649758665 /nfs/dbraw/zinc/75/86/65/649758665.db2.gz HCVOKPXMIMMWAA-JKSUJKDBSA-N 0 1 299.374 0.877 20 30 CCEDMN Cc1ccc(CC#N)c(N2C[C@H]3OCCN(C)[C@@H]3C2)n1 ZINC001164666890 719453623 /nfs/dbraw/zinc/45/36/23/719453623.db2.gz DRPGOAPYTDGPLC-ZIAGYGMSSA-N 0 1 272.352 0.975 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCN(C)C(C)=O)CC1 ZINC001230141963 805583178 /nfs/dbraw/zinc/58/31/78/805583178.db2.gz NHIZOTGBRAPWQI-UHFFFAOYSA-N 0 1 279.384 0.459 20 30 CCEDMN CCOC(=O)C[C@@H](CC#N)Oc1nc(C(=O)OC)c[nH]1 ZINC001230280228 805591573 /nfs/dbraw/zinc/59/15/73/805591573.db2.gz OXOXLTRXJAKZIF-MRVPVSSYSA-N 0 1 281.268 0.811 20 30 CCEDMN COC(=O)c1c[nH]c(O[C@@H]2CCN(CC#N)C2)n1 ZINC001230281765 805592551 /nfs/dbraw/zinc/59/25/51/805592551.db2.gz GVIFILXSWKRJQS-MRVPVSSYSA-N 0 1 250.258 0.173 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)c2cnccn2)CC1 ZINC001266257178 790339894 /nfs/dbraw/zinc/33/98/94/790339894.db2.gz XBOJHYQSTXBJTK-UHFFFAOYSA-N 0 1 289.383 0.400 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@H]1CCOC[C@@H]1OC ZINC001266280381 790390099 /nfs/dbraw/zinc/39/00/99/790390099.db2.gz CMPYXNKTBLRRHJ-KGLIPLIRSA-N 0 1 282.384 0.499 20 30 CCEDMN Cc1nc([C@H](C)N2CC[C@@H](NC(=O)C#CC3CC3)C2)n[nH]1 ZINC001266319147 790496716 /nfs/dbraw/zinc/49/67/16/790496716.db2.gz HUMUQLMGNZEUHT-GXFFZTMASA-N 0 1 287.367 0.778 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CCN(CCN2CCCC2=O)C1 ZINC001266319612 790498458 /nfs/dbraw/zinc/49/84/58/790498458.db2.gz OIZBAHUHVWOBQQ-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cn(-c2ccccc2)nn1 ZINC001266400988 790639841 /nfs/dbraw/zinc/63/98/41/790639841.db2.gz VYURWZCYKRQAGH-UHFFFAOYSA-N 0 1 297.362 0.952 20 30 CCEDMN N#Cc1ccc(N[C@H]2CCN(C(=O)Cc3ncn[nH]3)C2)nc1 ZINC001058959988 790654530 /nfs/dbraw/zinc/65/45/30/790654530.db2.gz YUYZTIOUVRXIBW-NSHDSACASA-N 0 1 297.322 0.327 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1ccc(C(N)=O)nc1 ZINC001266440036 790709794 /nfs/dbraw/zinc/70/97/94/790709794.db2.gz DJTNPQXQHXUFIM-UHFFFAOYSA-N 0 1 288.351 0.256 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)COCC1CC1 ZINC001266447750 790728164 /nfs/dbraw/zinc/72/81/64/790728164.db2.gz ZAUXUVVECHIBCV-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H](CC(=C)C)NC(C)=O ZINC001266485750 790779154 /nfs/dbraw/zinc/77/91/54/790779154.db2.gz IKXXQHXACLNLDZ-HUUCEWRRSA-N 0 1 291.395 0.671 20 30 CCEDMN Cc1ncc(CNCCNC(=O)c2cc(C#N)c[nH]2)o1 ZINC001125370022 790802050 /nfs/dbraw/zinc/80/20/50/790802050.db2.gz GINYRLOBYKFYRS-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN COCC#CCN(CCNC(=O)c1cc(C)no1)C1CC1 ZINC001266583847 790981427 /nfs/dbraw/zinc/98/14/27/790981427.db2.gz LYNZQSIGLIYMAI-UHFFFAOYSA-N 0 1 291.351 0.827 20 30 CCEDMN Cc1ncc(CN[C@H]2C[C@@H](NC(=O)[C@H](C)C#N)C2)o1 ZINC001266674325 791119742 /nfs/dbraw/zinc/11/97/42/791119742.db2.gz UOJYMPZULNSBPR-IEBDPFPHSA-N 0 1 262.313 0.880 20 30 CCEDMN Cc1cc(CN[C@H]2C[C@@H](NC(=O)C#CC3CC3)C2)nn1C ZINC001266683719 791133439 /nfs/dbraw/zinc/13/34/39/791133439.db2.gz VODCUNVYNOSKPL-OKILXGFUSA-N 0 1 286.379 0.879 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1C[C@@H](NCc2cnns2)C1 ZINC001266682900 791133800 /nfs/dbraw/zinc/13/38/00/791133800.db2.gz DILSRKOSDSBGBW-PHIMTYICSA-N 0 1 276.365 0.688 20 30 CCEDMN CN(CCNCc1n[nH]c(C2CC2)n1)C(=O)C#CC1CC1 ZINC001266694575 791149967 /nfs/dbraw/zinc/14/99/67/791149967.db2.gz RTRRHRYVYPZDNV-UHFFFAOYSA-N 0 1 287.367 0.644 20 30 CCEDMN COCC#CCN1CC[C@@H]1CN(C)C(=O)c1ccn[nH]1 ZINC001230811538 805671904 /nfs/dbraw/zinc/67/19/04/805671904.db2.gz QUEJERPTGBHFFL-GFCCVEGCSA-N 0 1 276.340 0.206 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCC(=O)N1 ZINC001231198372 805748336 /nfs/dbraw/zinc/74/83/36/805748336.db2.gz PJPMUXCKFXXGRG-CHWSQXEVSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1C[C@H](C)OC ZINC001231240704 805757929 /nfs/dbraw/zinc/75/79/29/805757929.db2.gz NVKWYNMYBSQQHO-QWHCGFSZSA-N 0 1 270.373 0.757 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CCN1C[C@H](C)OC ZINC001231240704 805757936 /nfs/dbraw/zinc/75/79/36/805757936.db2.gz NVKWYNMYBSQQHO-QWHCGFSZSA-N 0 1 270.373 0.757 20 30 CCEDMN C#CCNC(=O)C[N@@H+](C)CCCNC(=O)c1ccccc1 ZINC001231352226 805781060 /nfs/dbraw/zinc/78/10/60/805781060.db2.gz OPKPJQSDGDUGFC-UHFFFAOYSA-N 0 1 287.363 0.488 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)c1ccccc1 ZINC001231352226 805781067 /nfs/dbraw/zinc/78/10/67/805781067.db2.gz OPKPJQSDGDUGFC-UHFFFAOYSA-N 0 1 287.363 0.488 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001277617854 805902173 /nfs/dbraw/zinc/90/21/73/805902173.db2.gz KOBYFAHKTHXFEI-ZDUSSCGKSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)C(=O)NCC1CC1 ZINC001266859479 791296362 /nfs/dbraw/zinc/29/63/62/791296362.db2.gz ZEYCCHQGUAPEIJ-ZDUSSCGKSA-N 0 1 279.384 0.669 20 30 CCEDMN CN(C)CC(=O)N[C@H]1CC[C@H](NC(=O)C(C)(C)C#N)CC1 ZINC001143405444 791299016 /nfs/dbraw/zinc/29/90/16/791299016.db2.gz ZMRUJABNTJIHQG-HAQNSBGRSA-N 0 1 294.399 0.641 20 30 CCEDMN C=CCOCCCC(=O)N(C)C[C@H]1CCN1C[C@H](C)O ZINC001233490445 806064035 /nfs/dbraw/zinc/06/40/35/806064035.db2.gz QXZQWZWBYWMXOU-UONOGXRCSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(OC)ns1 ZINC001267195926 791658593 /nfs/dbraw/zinc/65/85/93/791658593.db2.gz TVOXIHDGFWHVLN-UHFFFAOYSA-N 0 1 253.327 0.447 20 30 CCEDMN C#CC1(O)CCN(CCN2C(=O)c3ccccc3C2=O)CC1 ZINC000708141996 791662128 /nfs/dbraw/zinc/66/21/28/791662128.db2.gz AXXWUIBBEZFGOQ-UHFFFAOYSA-N 0 1 298.342 0.743 20 30 CCEDMN C=CCCCN(C)CCNC(=O)[C@H](C)S(C)(=O)=O ZINC001267203054 791667830 /nfs/dbraw/zinc/66/78/30/791667830.db2.gz MPORVKYFNAEYQF-NSHDSACASA-N 0 1 276.402 0.434 20 30 CCEDMN C#CCN(C)CCNC(=O)c1c(C)nn(CC)c1C ZINC001267221272 791694667 /nfs/dbraw/zinc/69/46/67/791694667.db2.gz ACXCUFDFGMOKHY-UHFFFAOYSA-N 0 1 262.357 0.815 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001213379855 791711638 /nfs/dbraw/zinc/71/16/38/791711638.db2.gz FWPQTHMWFNGTSK-YRGRVCCFSA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@H]1COC(=O)C1 ZINC001233653797 806101689 /nfs/dbraw/zinc/10/16/89/806101689.db2.gz NDAZWKISPRQMIK-CHWSQXEVSA-N 0 1 278.352 0.496 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCCC(=O)NC ZINC001233837653 806128974 /nfs/dbraw/zinc/12/89/74/806128974.db2.gz PNPJVQZQZVRZKG-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1C[C@@H](O)COC ZINC001233946363 806153789 /nfs/dbraw/zinc/15/37/89/806153789.db2.gz AAYKAFGGKPOLFJ-UONOGXRCSA-N 0 1 282.384 0.330 20 30 CCEDMN CCCCC(=O)NCCC[N@@H+](C)CC(=O)NCC#N ZINC001234035247 806172346 /nfs/dbraw/zinc/17/23/46/806172346.db2.gz NNHYTUQSQGASAY-UHFFFAOYSA-N 0 1 268.361 0.254 20 30 CCEDMN CCCCC(=O)NCCCN(C)CC(=O)NCC#N ZINC001234035247 806172350 /nfs/dbraw/zinc/17/23/50/806172350.db2.gz NNHYTUQSQGASAY-UHFFFAOYSA-N 0 1 268.361 0.254 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)Cc1nnc(C)[nH]1 ZINC001234159755 806192423 /nfs/dbraw/zinc/19/24/23/806192423.db2.gz CBYJFMSUNMXJQQ-GFCCVEGCSA-N 0 1 277.372 0.764 20 30 CCEDMN COC(=O)c1n[nH]c(C(=O)OC)c1O[C@H]1C=CC(=O)C1 ZINC001234192841 806201324 /nfs/dbraw/zinc/20/13/24/806201324.db2.gz GEVYRAPURIPNJK-ZETCQYMHSA-N 0 1 280.236 0.259 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)CCc2c[nH]nn2)C12CCC2 ZINC001203409883 793223248 /nfs/dbraw/zinc/22/32/48/793223248.db2.gz COAAADHOYJGQEY-RYUDHWBXSA-N 0 1 288.355 0.278 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)CCc2cnn[nH]2)C12CCC2 ZINC001203409883 793223250 /nfs/dbraw/zinc/22/32/50/793223250.db2.gz COAAADHOYJGQEY-RYUDHWBXSA-N 0 1 288.355 0.278 20 30 CCEDMN C=C(C)CCN(CC)CCNC(=O)C1CS(=O)(=O)C1 ZINC001267241827 793231857 /nfs/dbraw/zinc/23/18/57/793231857.db2.gz MGXOCOGZEIPXDO-UHFFFAOYSA-N 0 1 288.413 0.435 20 30 CCEDMN CC#CCN(CC)CCNC(=O)Cc1cncn1C ZINC001267255892 793252107 /nfs/dbraw/zinc/25/21/07/793252107.db2.gz RTRSIHNYWKSNEE-UHFFFAOYSA-N 0 1 262.357 0.424 20 30 CCEDMN COC[C@H](C)N1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038142282 793254752 /nfs/dbraw/zinc/25/47/52/793254752.db2.gz CKIOEAUMUPFZGX-JQWIXIFHSA-N 0 1 276.340 0.725 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1ccon1 ZINC001234513357 806266103 /nfs/dbraw/zinc/26/61/03/806266103.db2.gz XQURZMYLXBEBOD-UHFFFAOYSA-N 0 1 280.328 0.029 20 30 CCEDMN C=C[C@H](Oc1nc(F)nc2nc[nH]c21)C(=O)OC ZINC001234691094 806298262 /nfs/dbraw/zinc/29/82/62/806298262.db2.gz UHKJSHMJHIANHY-YFKPBYRVSA-N 0 1 252.205 0.598 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)NCC=C ZINC001235481029 806430546 /nfs/dbraw/zinc/43/05/46/806430546.db2.gz SLWHTMRWGWJHCO-UHFFFAOYSA-N 0 1 267.373 0.693 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)CCOCC ZINC001235582712 806462986 /nfs/dbraw/zinc/46/29/86/806462986.db2.gz HOUKYJATZYVHNG-UHFFFAOYSA-N 0 1 285.388 0.153 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CCOCC ZINC001235614751 806473022 /nfs/dbraw/zinc/47/30/22/806473022.db2.gz YGXVFEKONYXTOY-CYBMUJFWSA-N 0 1 299.415 0.542 20 30 CCEDMN CCC(=O)NC[C@@H](CO)NCc1cccc(C#N)c1 ZINC001278034675 806624176 /nfs/dbraw/zinc/62/41/76/806624176.db2.gz OFMQPJKDUIEQHT-ZDUSSCGKSA-N 0 1 261.325 0.535 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CCOCC(F)(F)F ZINC001278106027 806675884 /nfs/dbraw/zinc/67/58/84/806675884.db2.gz RQEFFWGSXLDYBT-JTQLQIEISA-N 0 1 296.289 0.045 20 30 CCEDMN N#Cc1ccccc1OC1CN(Cc2nnc[nH]2)C1 ZINC001237604602 806698324 /nfs/dbraw/zinc/69/83/24/806698324.db2.gz QLGYRLRARYADKO-UHFFFAOYSA-N 0 1 255.281 0.940 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1c[nH]cn1)Nc1cnc(C#N)cn1 ZINC001120711786 798918628 /nfs/dbraw/zinc/91/86/28/798918628.db2.gz FGNJZFJLMZOQLD-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C#CCNCC(=O)N1CCC(N2CCCCC2=O)CC1 ZINC001121957948 799107340 /nfs/dbraw/zinc/10/73/40/799107340.db2.gz KNWJNFMRUPBJPN-UHFFFAOYSA-N 0 1 277.368 0.213 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@H]1CN1CCCC1=O ZINC001122111784 799147333 /nfs/dbraw/zinc/14/73/33/799147333.db2.gz IRYIHKUEIMHITD-ZDUSSCGKSA-N 0 1 277.368 0.213 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)NCC#C[C@@H]1CCCCO1 ZINC001122188244 799165267 /nfs/dbraw/zinc/16/52/67/799165267.db2.gz SAOMKKWYLIFHJQ-AWEZNQCLSA-N 0 1 279.384 0.762 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)[C@@H]1C[C@H]1CC(C)C ZINC001278155819 806727909 /nfs/dbraw/zinc/72/79/09/806727909.db2.gz SDTMLNXQZCCIIR-QLFBSQMISA-N 0 1 296.411 0.385 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc2c[nH]nc2c1 ZINC001122436041 799234173 /nfs/dbraw/zinc/23/41/73/799234173.db2.gz BRYSNNZXRRSPMN-UHFFFAOYSA-N 0 1 256.309 0.444 20 30 CCEDMN C#CCN1CCC(OC(=O)CCc2cn(C)nn2)CC1 ZINC001123349421 799395629 /nfs/dbraw/zinc/39/56/29/799395629.db2.gz XIGUIHCMKBNAGA-UHFFFAOYSA-N 0 1 276.340 0.389 20 30 CCEDMN C#Cc1ccccc1CC(=O)NC[C@@H](CO)NCC#CC ZINC001278187625 806749287 /nfs/dbraw/zinc/74/92/87/806749287.db2.gz VEWRAKOCOWDFHD-INIZCTEOSA-N 0 1 284.359 0.300 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)[C@@H]1COCCO1 ZINC001123869534 799490024 /nfs/dbraw/zinc/49/00/24/799490024.db2.gz JCGAKCGIMUHNJU-AWEZNQCLSA-N 0 1 289.335 0.179 20 30 CCEDMN C=CCCC(=O)NCCNCC(=O)Nc1c(C)n[nH]c1C ZINC001124646746 799621836 /nfs/dbraw/zinc/62/18/36/799621836.db2.gz ZVTIDKPPGQBRKD-UHFFFAOYSA-N 0 1 293.371 0.637 20 30 CCEDMN C=C(Br)CNCCNC(=O)Cc1cc[nH]n1 ZINC001125141666 799662337 /nfs/dbraw/zinc/66/23/37/799662337.db2.gz WZOGJRHTLDYVFU-UHFFFAOYSA-N 0 1 287.161 0.567 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2nccn2C)[C@H]1C ZINC001278232065 806783397 /nfs/dbraw/zinc/78/33/97/806783397.db2.gz IEOCOMYVZMPVDJ-OLZOCXBDSA-N 0 1 292.383 0.702 20 30 CCEDMN Cc1cc(CN2CCN(c3nccnc3C#N)CC2)n[nH]1 ZINC001203077100 799957850 /nfs/dbraw/zinc/95/78/50/799957850.db2.gz SATGEAXRNSNEDY-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN CCOC(=O)[C@H]1c2[nH]cnc2CCN1C(=O)CCC#N ZINC001143196858 800205514 /nfs/dbraw/zinc/20/55/14/800205514.db2.gz JPNLWKGGVYVNPJ-GFCCVEGCSA-N 0 1 276.296 0.702 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccc2[nH]c(CO)nc2c1 ZINC001149295985 800244119 /nfs/dbraw/zinc/24/41/19/800244119.db2.gz MLVSPHULWBQVIS-UHFFFAOYSA-N 0 1 282.263 0.902 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C[C@@H](C)OC)C1 ZINC001149361183 800251844 /nfs/dbraw/zinc/25/18/44/800251844.db2.gz FZSPOJDHGUGQFP-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H](C)COC)C1 ZINC001149391248 800254143 /nfs/dbraw/zinc/25/41/43/800254143.db2.gz IHYSUGTVNLSMMN-KGLIPLIRSA-N 0 1 282.384 0.499 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H](C)COC)C1 ZINC001149391250 800255432 /nfs/dbraw/zinc/25/54/32/800255432.db2.gz IHYSUGTVNLSMMN-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CC2OCCCO2)C1 ZINC001149623277 800283492 /nfs/dbraw/zinc/28/34/92/800283492.db2.gz JEPLZZBYCFBDJU-CYBMUJFWSA-N 0 1 298.383 0.533 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CC2OCCCO2)C1 ZINC001149623279 800283645 /nfs/dbraw/zinc/28/36/45/800283645.db2.gz JEPLZZBYCFBDJU-ZDUSSCGKSA-N 0 1 298.383 0.533 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C(C)(C)COC)C1 ZINC001149974037 800309011 /nfs/dbraw/zinc/30/90/11/800309011.db2.gz IPSIROVPMJOGHT-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001151723714 800451567 /nfs/dbraw/zinc/45/15/67/800451567.db2.gz SYEHGYOYDSSABM-JTQLQIEISA-N 0 1 282.775 0.973 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1ccn2cncc2c1 ZINC001151905247 800468856 /nfs/dbraw/zinc/46/88/56/800468856.db2.gz ISCVTKVUTVMWNN-GFCCVEGCSA-N 0 1 256.265 0.699 20 30 CCEDMN C#Cc1cnc(NC(=O)c2cc3c[nH]cnc-3n2)c(C#C)n1 ZINC001152989588 800576719 /nfs/dbraw/zinc/57/67/19/800576719.db2.gz HGELRNBGAOPCTR-UHFFFAOYSA-N 0 1 288.270 0.914 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N(Cc1nn[nH]n1)CC(C)C ZINC001153811927 800671820 /nfs/dbraw/zinc/67/18/20/800671820.db2.gz QHGVXZKGDSEACQ-LBPRGKRZSA-N 0 1 290.371 0.282 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)c1cnc2cccnc2c1O ZINC001153852219 800677250 /nfs/dbraw/zinc/67/72/50/800677250.db2.gz ZNLCAOIKMILCMN-UHFFFAOYSA-N 0 1 280.247 0.770 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cnc3cccnc3c2O)CCO1 ZINC001153855499 800679281 /nfs/dbraw/zinc/67/92/81/800679281.db2.gz DPUBFMRDQSSLKZ-SECBINFHSA-N 0 1 284.275 0.288 20 30 CCEDMN C[C@H](NCCCNC(=O)CSCC#N)c1nncn1C ZINC001154814383 800850301 /nfs/dbraw/zinc/85/03/01/800850301.db2.gz HBRSPRWTHKGTAR-JTQLQIEISA-N 0 1 296.400 0.229 20 30 CCEDMN Cc1nnc([C@@H](C)NCCCNC(=O)CSCC#N)[nH]1 ZINC001154815232 800850897 /nfs/dbraw/zinc/85/08/97/800850897.db2.gz YOBHWSAWOCGTSP-SECBINFHSA-N 0 1 296.400 0.527 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCNCc1nnc(C)[nH]1 ZINC001155447155 800978145 /nfs/dbraw/zinc/97/81/45/800978145.db2.gz UFPWBOKLLBFATB-NSHDSACASA-N 0 1 295.387 0.690 20 30 CCEDMN Cc1nc(NCc2noc3c2CNCC3)cnc1C#N ZINC001155498095 800991440 /nfs/dbraw/zinc/99/14/40/800991440.db2.gz SKHBLGBFVQPDHM-UHFFFAOYSA-N 0 1 270.296 0.903 20 30 CCEDMN N#Cc1cncc(NCc2noc3c2CNCC3)n1 ZINC001155497375 800991843 /nfs/dbraw/zinc/99/18/43/800991843.db2.gz MVQKNBZMFRIBTI-UHFFFAOYSA-N 0 1 256.269 0.594 20 30 CCEDMN C=CCCC(=O)NCCCNCc1cn(C)nn1 ZINC001155586613 801017654 /nfs/dbraw/zinc/01/76/54/801017654.db2.gz BJQAFTCHZSQBJS-UHFFFAOYSA-N 0 1 251.334 0.377 20 30 CCEDMN C=CCOCC(=O)NCCCN[C@H](C)c1nncn1C ZINC001155707430 801040852 /nfs/dbraw/zinc/04/08/52/801040852.db2.gz KYWQAYGZOGYSSY-LLVKDONJSA-N 0 1 281.360 0.175 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1ncnn1CCF ZINC001155836514 801061835 /nfs/dbraw/zinc/06/18/35/801061835.db2.gz OVPPPHQCUIWOLZ-JTQLQIEISA-N 0 1 282.323 0.003 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1nncs1 ZINC001155848640 801066973 /nfs/dbraw/zinc/06/69/73/801066973.db2.gz NZUTVFGSJQNDTO-QMMMGPOBSA-N 0 1 253.331 0.294 20 30 CCEDMN N#Cc1cc(C(=O)NCCCNCc2nncs2)c[nH]1 ZINC001156848280 801248335 /nfs/dbraw/zinc/24/83/35/801248335.db2.gz VNCMDQGKKDWDDS-UHFFFAOYSA-N 0 1 290.352 0.648 20 30 CCEDMN CC(C)C#CC(=O)NCCCNCc1cnn(C)n1 ZINC001157632157 801440172 /nfs/dbraw/zinc/44/01/72/801440172.db2.gz ASDDQMOREKQLIV-UHFFFAOYSA-N 0 1 263.345 0.070 20 30 CCEDMN CCCn1ncnc1CNCCCNC(=O)C#CC1CC1 ZINC001157705355 801459176 /nfs/dbraw/zinc/45/91/76/801459176.db2.gz YCNVJTWNDMXPKO-UHFFFAOYSA-N 0 1 289.383 0.697 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cn(C)cn1 ZINC001157806996 801490357 /nfs/dbraw/zinc/49/03/57/801490357.db2.gz JEGPIJXGIZDSNX-CYBMUJFWSA-N 0 1 274.368 0.980 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1nccs1 ZINC001157859578 801504659 /nfs/dbraw/zinc/50/46/59/801504659.db2.gz OIIIPLSVIBYROC-UHFFFAOYSA-N 0 1 281.381 0.779 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1nccc(C)n1 ZINC001157869438 801505626 /nfs/dbraw/zinc/50/56/26/801505626.db2.gz MDBNFKYYKGSKNF-ZDUSSCGKSA-N 0 1 290.367 0.419 20 30 CCEDMN N#C[C@@H](CO)NC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001157963297 801541859 /nfs/dbraw/zinc/54/18/59/801541859.db2.gz MGCJHBLDQIXYAY-NSHDSACASA-N 0 1 271.280 0.010 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1N1CC2(CN(C)C2)C1 ZINC001158868106 801719218 /nfs/dbraw/zinc/71/92/18/801719218.db2.gz GJIOFBWQNWCFME-UHFFFAOYSA-N 0 1 268.324 0.534 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C2=COCCO2)CC1 ZINC001159199951 801774420 /nfs/dbraw/zinc/77/44/20/801774420.db2.gz UULBJGZPFXGOHS-UHFFFAOYSA-N 0 1 276.336 0.646 20 30 CCEDMN COC(=O)c1cc(C#N)cnc1NCC1CN(C)C1 ZINC001159223126 801775125 /nfs/dbraw/zinc/77/51/25/801775125.db2.gz XKWXOOPBYMNCJU-UHFFFAOYSA-N 0 1 260.297 0.713 20 30 CCEDMN Cc1c(CC[NH3+])c(=O)[n-]n1-c1ncc(C#N)cc1C#N ZINC001159293221 801784797 /nfs/dbraw/zinc/78/47/97/801784797.db2.gz CGWXDPLOEOMRCX-UHFFFAOYSA-N 0 1 268.280 0.526 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@@](C)(C(=O)OC)C1 ZINC001160636060 801984846 /nfs/dbraw/zinc/98/48/46/801984846.db2.gz OQZUIZXFFSGPSI-OLZOCXBDSA-N 0 1 252.314 0.139 20 30 CCEDMN C#CCO[C@@H](C)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC001160998842 802059362 /nfs/dbraw/zinc/05/93/62/802059362.db2.gz WOZRSSMPWZTYPC-QMMMGPOBSA-N 0 1 286.291 0.639 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@H](Oc2cc(C)ncn2)C1 ZINC001161313741 802123282 /nfs/dbraw/zinc/12/32/82/802123282.db2.gz VKKZONDTJUSXAC-WFASDCNBSA-N 0 1 288.351 0.505 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1ccccc1O ZINC001278844836 808322371 /nfs/dbraw/zinc/32/23/71/808322371.db2.gz NBRJRFLRWZRHAC-ZDUSSCGKSA-N 0 1 290.363 0.780 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1ccncc1F ZINC001278850274 808333063 /nfs/dbraw/zinc/33/30/63/808333063.db2.gz PAZWZLQCYRSFGZ-LBPRGKRZSA-N 0 1 293.342 0.609 20 30 CCEDMN C/C=C(/C)C(=O)N(C)C[C@@H](O)CN(C)CC#CCOC ZINC001278851000 808333214 /nfs/dbraw/zinc/33/32/14/808333214.db2.gz RLVDXFPRBKQOFD-XMRSSTEGSA-N 0 1 282.384 0.354 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)NCCCNCC#N ZINC001162821332 802425477 /nfs/dbraw/zinc/42/54/77/802425477.db2.gz YDACGVQCIKCDBJ-GWCFXTLKSA-N 0 1 275.356 0.695 20 30 CCEDMN C=CCS(=O)(=O)Nc1c(C#N)cnn1-c1ncccn1 ZINC001259922525 808804218 /nfs/dbraw/zinc/80/42/18/808804218.db2.gz AVLWIVOFNOXQKA-UHFFFAOYSA-N 0 1 290.308 0.462 20 30 CCEDMN CC(C)C[C@H](Nc1ncc2c(n1)CNC2)C(=O)NO ZINC001163418392 802554715 /nfs/dbraw/zinc/55/47/15/802554715.db2.gz VDLYOHCLWURRLB-VIFPVBQESA-N 0 1 265.317 0.412 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2cnon2)cc1 ZINC001163729453 802599731 /nfs/dbraw/zinc/59/97/31/802599731.db2.gz UYYHEJODUZMDDE-UHFFFAOYSA-N 0 1 284.319 0.961 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001265275966 809740982 /nfs/dbraw/zinc/74/09/82/809740982.db2.gz WXACNPFHUIJBBA-LLVKDONJSA-N 0 1 263.345 0.770 20 30 CCEDMN C=CCCCC(=O)NCCCNCc1nnnn1C ZINC001165535136 802852229 /nfs/dbraw/zinc/85/22/29/802852229.db2.gz BKNFXIWFRLCTBW-UHFFFAOYSA-N 0 1 266.349 0.162 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001165930539 802876457 /nfs/dbraw/zinc/87/64/57/802876457.db2.gz ZHTPFPNSTGGPRG-FZMZJTMJSA-N 0 1 294.403 0.969 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccnn2C)C1 ZINC001206429178 803242276 /nfs/dbraw/zinc/24/22/76/803242276.db2.gz RSGQMCKKWMLOMA-CHWSQXEVSA-N 0 1 292.383 0.673 20 30 CCEDMN C#C[C@H](CO)NCc1ccc2c(c1)sc(=O)n2C ZINC001206838751 803291488 /nfs/dbraw/zinc/29/14/88/803291488.db2.gz RLKKSGPZJMWYFH-SNVBAGLBSA-N 0 1 262.334 0.684 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN([C@H](CC)C(N)=O)C[C@H]1C ZINC001206886977 803299228 /nfs/dbraw/zinc/29/92/28/803299228.db2.gz PLLCTIRHBJYMTA-MGPQQGTHSA-N 0 1 293.411 0.880 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C1CCOCC1 ZINC001271950104 814645966 /nfs/dbraw/zinc/64/59/66/814645966.db2.gz HPMCBQKLAWZEKC-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](C)CC(N)=O)C1 ZINC001208975770 803560506 /nfs/dbraw/zinc/56/05/06/803560506.db2.gz DLKTUYWMYNYDPU-GXSJLCMTSA-N 0 1 287.791 0.831 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COC2CCOCC2)C1 ZINC001209047014 803570109 /nfs/dbraw/zinc/57/01/09/803570109.db2.gz NBTRMKHLTCYESH-TZMCWYRMSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCCOC)C1 ZINC001209099459 803574085 /nfs/dbraw/zinc/57/40/85/803574085.db2.gz VLUHSSOHEGHILQ-FRRDWIJNSA-N 0 1 268.357 0.108 20 30 CCEDMN CCOC(=O)CN(CCC#N)Cc1cnccc1N ZINC001209733502 803625979 /nfs/dbraw/zinc/62/59/79/803625979.db2.gz YOANVDQNZZONMI-UHFFFAOYSA-N 0 1 262.313 0.773 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@]23C[C@H]2COC3)[C@H]1C ZINC001088581443 814951968 /nfs/dbraw/zinc/95/19/68/814951968.db2.gz RYVGJZIXUBYIJT-OSFYFWSMSA-N 0 1 262.353 0.625 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CNC(=O)[C@H](C)CC)C1 ZINC001211094933 803668476 /nfs/dbraw/zinc/66/84/76/803668476.db2.gz ZTGPGIAEKKZWNP-JHJVBQTASA-N 0 1 279.384 0.218 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)NC(=O)C2CCC2)C1 ZINC001211468487 803683036 /nfs/dbraw/zinc/68/30/36/803683036.db2.gz DREGKAKRCIJHFH-MBNYWOFBSA-N 0 1 291.395 0.361 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC(F)F)[C@H](OC)C1 ZINC001213180047 803684508 /nfs/dbraw/zinc/68/45/08/803684508.db2.gz PNCUOQRIPDZVER-GHMZBOCLSA-N 0 1 274.311 0.870 20 30 CCEDMN C=CCCC[N@H+]1C[C@H]2OCCN(C(=O)c3ccn[nH]3)[C@H]2C1 ZINC001217327657 803884759 /nfs/dbraw/zinc/88/47/59/803884759.db2.gz VVZBQUZLCLPQKH-UONOGXRCSA-N 0 1 290.367 0.901 20 30 CCEDMN C=CCCC[N@@H+]1C[C@H]2OCCN(C(=O)c3cc[nH]n3)[C@H]2C1 ZINC001217327657 803884768 /nfs/dbraw/zinc/88/47/68/803884768.db2.gz VVZBQUZLCLPQKH-UONOGXRCSA-N 0 1 290.367 0.901 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C[C@@H](C)OC)[C@H]2C1 ZINC001217504157 803892184 /nfs/dbraw/zinc/89/21/84/803892184.db2.gz VGRRPHAESCRYHH-HZSPNIEDSA-N 0 1 280.368 0.346 20 30 CCEDMN CCCN1C[C@H]2OCCN(C(=O)C#CC(C)C)[C@H]2C1 ZINC001217839854 803920347 /nfs/dbraw/zinc/92/03/47/803920347.db2.gz SKAMMLSHJBNFCO-UONOGXRCSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCOCCC(=O)N1CCO[C@@H]2CN(CC(=C)C)C[C@@H]21 ZINC001217876625 803922941 /nfs/dbraw/zinc/92/29/41/803922941.db2.gz QIWLAYVFARSMPC-LSDHHAIUSA-N 0 1 292.379 0.514 20 30 CCEDMN Cn1ccc(NC(=O)[C@@H](N)Cc2ccccc2C#N)n1 ZINC001218498429 803964818 /nfs/dbraw/zinc/96/48/18/803964818.db2.gz ZSNHEBAKFZCMHH-LBPRGKRZSA-N 0 1 269.308 0.800 20 30 CCEDMN N#Cc1nc(N)c(NC(=O)[C@H](N)c2ccccc2)nc1C#N ZINC001218595493 804027308 /nfs/dbraw/zinc/02/73/08/804027308.db2.gz NOIZDGCYTFIQJQ-LLVKDONJSA-N 0 1 293.290 0.441 20 30 CCEDMN N#Cc1nc[nH]c1NC(=O)[C@@H](N)Cc1ccsc1 ZINC001218595815 804028964 /nfs/dbraw/zinc/02/89/64/804028964.db2.gz WIOWQZBXNOEXGL-QMMMGPOBSA-N 0 1 261.310 0.851 20 30 CCEDMN Cc1nc(C#N)c(NC(=O)[C@@H](N)COC(C)(C)C)o1 ZINC001218649439 804058216 /nfs/dbraw/zinc/05/82/16/804058216.db2.gz BGSBPJSCLWJUIM-QMMMGPOBSA-N 0 1 266.301 0.936 20 30 CCEDMN Cc1nc(C#N)c(NC(=O)C[C@H]2COCCN2)o1 ZINC001218649989 804061430 /nfs/dbraw/zinc/06/14/30/804061430.db2.gz PLOMMRYXOAFDEU-QMMMGPOBSA-N 0 1 250.258 0.172 20 30 CCEDMN N#Cc1c(N)nn(C(=O)[C@H](N)Cc2ccccc2F)c1N ZINC001218659970 804068471 /nfs/dbraw/zinc/06/84/71/804068471.db2.gz NCMSUPHNXRBYDV-SNVBAGLBSA-N 0 1 288.286 0.268 20 30 CCEDMN N#Cc1ccnnc1NC(=O)[C@H](N)Cc1ccccc1F ZINC001218700545 804086707 /nfs/dbraw/zinc/08/67/07/804086707.db2.gz DCCWTRFHOICPKA-GFCCVEGCSA-N 0 1 285.282 0.996 20 30 CCEDMN COc1cccc(NC(=O)[C@H]([NH3+])Cc2c[nH]cn2)c1C#N ZINC001218977984 804171895 /nfs/dbraw/zinc/17/18/95/804171895.db2.gz KJVBFGMEUNXCQL-LLVKDONJSA-N 0 1 285.307 0.798 20 30 CCEDMN N#Cc1ccc(NC(=O)[C@@]23C[NH2+]C[C@H]2CCN3)c([O-])c1 ZINC001218987776 804174261 /nfs/dbraw/zinc/17/42/61/804174261.db2.gz BSHACQQZBSHQRT-QMTHXVAHSA-N 0 1 272.308 0.154 20 30 CCEDMN N#Cc1cc(NC(=O)[C@H]([NH3+])Cc2cnc[nH]2)c([O-])cc1F ZINC001219068597 804188993 /nfs/dbraw/zinc/18/89/93/804188993.db2.gz ZNAHXQUMLUMFNO-SNVBAGLBSA-N 0 1 289.270 0.635 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001219065863 804190138 /nfs/dbraw/zinc/19/01/38/804190138.db2.gz RJXCCDVDEBNRHS-FRRDWIJNSA-N 0 1 268.357 0.509 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)nnn2CC)[C@H]1C ZINC001088617839 815005109 /nfs/dbraw/zinc/00/51/09/815005109.db2.gz AVCIVBAWUVZPSL-OLZOCXBDSA-N 0 1 289.383 0.822 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)C(=O)C(C)(C)C)[C@@H](O)C1 ZINC001219397240 804299242 /nfs/dbraw/zinc/29/92/42/804299242.db2.gz PTPJDUHOHMGOEA-NEPJUHHUSA-N 0 1 282.384 0.729 20 30 CCEDMN CC(C)=CCN1C[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001219591489 804363216 /nfs/dbraw/zinc/36/32/16/804363216.db2.gz HITJNEASTGXPKK-KGLIPLIRSA-N 0 1 262.353 0.527 20 30 CCEDMN C[C@@H](O)CCCN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219598023 804365867 /nfs/dbraw/zinc/36/58/67/804365867.db2.gz FAVDSUJNAOAOMO-MCIONIFRSA-N 0 1 296.411 0.358 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@]2(O)CCNC[C@@H]2F)cc1 ZINC001219626081 804379824 /nfs/dbraw/zinc/37/98/24/804379824.db2.gz NAAZRWWXVWYVEP-DZGCQCFKSA-N 0 1 276.311 0.460 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)NCC1(C#N)CCC1 ZINC001219633102 804381585 /nfs/dbraw/zinc/38/15/85/804381585.db2.gz XFFVDSSYRGQBTF-JTQLQIEISA-N 0 1 253.346 0.939 20 30 CCEDMN C#CCCCNC(=O)[C@H](CC(C)C)NC(=O)CN ZINC001219634042 804383774 /nfs/dbraw/zinc/38/37/74/804383774.db2.gz KFBRDUPEZZRJAN-NSHDSACASA-N 0 1 253.346 0.006 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1c[nH]c(=O)nc1N ZINC001219702329 804409972 /nfs/dbraw/zinc/40/99/72/804409972.db2.gz ZMQUAYREKQWDNW-JTQLQIEISA-N 0 1 298.306 0.145 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2cccnc2)[C@@H](O)C1 ZINC001219956801 804458784 /nfs/dbraw/zinc/45/87/84/804458784.db2.gz OHEVUPDETUWQPR-CABCVRRESA-N 0 1 289.379 0.752 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC2(C)CC2)[C@@H](O)C1 ZINC001220035410 804477645 /nfs/dbraw/zinc/47/76/45/804477645.db2.gz ONWLMMUNXZDAHO-NEPJUHHUSA-N 0 1 252.358 0.914 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)[C@H]1Cc2ccccc2CN1 ZINC001220035489 804477879 /nfs/dbraw/zinc/47/78/79/804477879.db2.gz DEHRKDYHBYHRMW-NEPJUHHUSA-N 0 1 294.376 0.289 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CC(=C)C)C[C@@H]1O ZINC001220141548 804510929 /nfs/dbraw/zinc/51/09/29/804510929.db2.gz XPHFIAWJIWBIGP-NEPJUHHUSA-N 0 1 252.358 0.936 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCC1CC(=O)C1 ZINC001220176845 804526852 /nfs/dbraw/zinc/52/68/52/804526852.db2.gz KDCKMYMGTCDHRX-AWEZNQCLSA-N 0 1 271.320 0.523 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1C[N@@H+](CCOC)C[C@@H]1O ZINC001220239775 804545378 /nfs/dbraw/zinc/54/53/78/804545378.db2.gz SZVQIAOZTZNYAK-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001220239775 804545384 /nfs/dbraw/zinc/54/53/84/804545384.db2.gz SZVQIAOZTZNYAK-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@@H]1O ZINC001220245815 804548106 /nfs/dbraw/zinc/54/81/06/804548106.db2.gz IWVSGJCZEXURJR-UPJWGTAASA-N 0 1 270.373 0.539 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCCSCc1[nH]cnc1C ZINC001220256934 804553663 /nfs/dbraw/zinc/55/36/63/804553663.db2.gz JIBHYDOGNJEVNY-LBPRGKRZSA-N 0 1 266.370 0.418 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CN(CCCO)C[C@@H]1O ZINC001220280925 804559591 /nfs/dbraw/zinc/55/95/91/804559591.db2.gz YVEPMDLMEWMJKM-MBNYWOFBSA-N 0 1 270.373 0.132 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CC(C)(F)F)[C@@H](O)C1 ZINC001220305474 804567272 /nfs/dbraw/zinc/56/72/72/804567272.db2.gz ASDKUIIVZREEBZ-MNOVXSKESA-N 0 1 292.326 0.396 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H]1CCO[C@H](C)C1 ZINC001272138379 815027457 /nfs/dbraw/zinc/02/74/57/815027457.db2.gz UXIYEHOLSJCDPR-CABCVRRESA-N 0 1 296.411 0.842 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@@H](CCC=C)C(=O)[O-] ZINC000315923740 804659335 /nfs/dbraw/zinc/65/93/35/804659335.db2.gz PSJOVKXJBJEOQL-LBPRGKRZSA-N 0 1 281.356 0.660 20 30 CCEDMN C=C[C@H](C(=O)N[C@@H]1C[N@@H+](CCO)C[C@@H]1O)c1ccccc1 ZINC001221044535 804722912 /nfs/dbraw/zinc/72/29/12/804722912.db2.gz ATHXGAYVFBPGRD-ZNMIVQPWSA-N 0 1 290.363 0.110 20 30 CCEDMN C=C[C@H](C(=O)N[C@@H]1CN(CCO)C[C@@H]1O)c1ccccc1 ZINC001221044535 804722916 /nfs/dbraw/zinc/72/29/16/804722916.db2.gz ATHXGAYVFBPGRD-ZNMIVQPWSA-N 0 1 290.363 0.110 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)CCCCC(=O)NC)[C@@H](O)C1 ZINC001221137709 804733732 /nfs/dbraw/zinc/73/37/32/804733732.db2.gz WOZLEMRCOUVEKF-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCCOC(C)C)[C@@H](O)C1 ZINC001221169261 804738708 /nfs/dbraw/zinc/73/87/08/804738708.db2.gz XCBNEFSEGZCFFY-KGLIPLIRSA-N 0 1 284.400 0.929 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CNC(=O)C[C@@H]1CCOC1 ZINC001276824123 804833280 /nfs/dbraw/zinc/83/32/80/804833280.db2.gz RAVXTWHUERTPEM-QWHCGFSZSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@@H]1CCOC1 ZINC001276824123 804833285 /nfs/dbraw/zinc/83/32/85/804833285.db2.gz RAVXTWHUERTPEM-QWHCGFSZSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@]3(COC)CCOC3)[C@@H]2C1 ZINC001221717135 804837970 /nfs/dbraw/zinc/83/79/70/804837970.db2.gz ZNXLLVCWARPWSQ-IIAWOOMASA-N 0 1 294.395 0.758 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001221718287 804838680 /nfs/dbraw/zinc/83/86/80/804838680.db2.gz JBXJJECZBXAWEV-FMKPAKJESA-N 0 1 296.411 0.740 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(CNC(=O)CCC)CC1 ZINC001222264184 804887769 /nfs/dbraw/zinc/88/77/69/804887769.db2.gz ZXHVQFBTEOZZQP-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)CCCOC)CC1 ZINC001222482600 804904631 /nfs/dbraw/zinc/90/46/31/804904631.db2.gz XODHLQDDOXYOGH-UHFFFAOYSA-N 0 1 296.411 0.891 20 30 CCEDMN CCOCCC(=O)NCC1CCN(CC#CCOC)CC1 ZINC001222604685 804920795 /nfs/dbraw/zinc/92/07/95/804920795.db2.gz IUHFMJMTUCQPMH-UHFFFAOYSA-N 0 1 296.411 0.891 20 30 CCEDMN C=CCOCC(=O)NCC1CCN([C@H](C)C(=O)NC)CC1 ZINC001222619025 804921593 /nfs/dbraw/zinc/92/15/93/804921593.db2.gz KVTZAIXEKOFLPY-GFCCVEGCSA-N 0 1 297.399 0.152 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)[C@@H](C)COC)CC1 ZINC001222624094 804921752 /nfs/dbraw/zinc/92/17/52/804921752.db2.gz IBUWPKXHRHNKSZ-AWEZNQCLSA-N 0 1 296.411 0.747 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H]2CCN(CC#C)[C@H]2C1 ZINC001222661776 804923408 /nfs/dbraw/zinc/92/34/08/804923408.db2.gz QEGBNMMWZOSFMR-KFWWJZLASA-N 0 1 274.364 0.581 20 30 CCEDMN C#CCCCC(=O)NCC1CCN(CC(=O)N(C)C)CC1 ZINC001222987689 804944885 /nfs/dbraw/zinc/94/48/85/804944885.db2.gz WXDLUHVTRJSUKZ-UHFFFAOYSA-N 0 1 293.411 0.706 20 30 CCEDMN COCCN1CC2(C[C@H]2C(=O)N2CC(CC#N)C2)C1 ZINC001276897861 804979292 /nfs/dbraw/zinc/97/92/92/804979292.db2.gz YTTLISJQTXMUKS-LBPRGKRZSA-N 0 1 263.341 0.327 20 30 CCEDMN CC[C@@H]1OC(C)=C(OCC(=O)ON2C(=O)CCC2=O)C1=O ZINC001224530119 805045285 /nfs/dbraw/zinc/04/52/85/805045285.db2.gz WLNJRNKNDDSCET-QMMMGPOBSA-N 0 1 297.263 0.220 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1OCC[C@@H](OC(C)=O)C(=O)OC ZINC001224837461 805081906 /nfs/dbraw/zinc/08/19/06/805081906.db2.gz MCXGDCYHBMEIEQ-GMSGAONNSA-N 0 1 286.280 0.717 20 30 CCEDMN C=CCCOCC(=O)NCC1CCN([C@@H](C)C(N)=O)CC1 ZINC001225626313 805144045 /nfs/dbraw/zinc/14/40/45/805144045.db2.gz ZDSFDTLJMGDCEA-LBPRGKRZSA-N 0 1 297.399 0.281 20 30 CCEDMN C=CC(C)(C)CC(=O)NCC1(NCC(=O)NCC)CC1 ZINC001277066172 805152303 /nfs/dbraw/zinc/15/23/03/805152303.db2.gz OCFPNKHEUMCOCE-UHFFFAOYSA-N 0 1 281.400 0.963 20 30 CCEDMN CCO[C@H]1C[C@@H]1C(=O)NC1CCN(CC#CCOC)CC1 ZINC001226230076 805206994 /nfs/dbraw/zinc/20/69/94/805206994.db2.gz ZSMRJDLUMIDFLL-GJZGRUSLSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCCC(=O)NC1CCN([C@H]2CCC(=O)NC2=O)CC1 ZINC001226527754 805242674 /nfs/dbraw/zinc/24/26/74/805242674.db2.gz NLPQHUAKIBQOCQ-LBPRGKRZSA-N 0 1 293.367 0.338 20 30 CCEDMN C=CCOCC(=O)NC1CCN([C@@H](CC)C(N)=O)CC1 ZINC001226599082 805252961 /nfs/dbraw/zinc/25/29/61/805252961.db2.gz PYSRLEDECWDWRW-LBPRGKRZSA-N 0 1 283.372 0.034 20 30 CCEDMN C#C[C@@H](NC(=O)NCC#CCN(C)C)[C@H]1CCCO1 ZINC001226724758 805273868 /nfs/dbraw/zinc/27/38/68/805273868.db2.gz MZJYLVKNKGUASY-CHWSQXEVSA-N 0 1 263.341 0.031 20 30 CCEDMN CN(CCN(C)c1ccc(C#N)cn1)C(=O)Cc1c[nH]cn1 ZINC001105576119 815063771 /nfs/dbraw/zinc/06/37/71/815063771.db2.gz NCJIJQCULWJFIJ-UHFFFAOYSA-N 0 1 298.350 0.814 20 30 CCEDMN C=CCNC(=O)N1CCN(C)C[C@]2(CCCC(=O)N2)C1 ZINC001277173690 805310108 /nfs/dbraw/zinc/31/01/08/805310108.db2.gz GHTONVWLURRHFH-CQSZACIVSA-N 0 1 280.372 0.168 20 30 CCEDMN C#CCCCC(=O)NC1CCN(Cc2n[nH]c(C)n2)CC1 ZINC001227084958 805320710 /nfs/dbraw/zinc/32/07/10/805320710.db2.gz RAOWCVGXAAOMHK-UHFFFAOYSA-N 0 1 289.383 0.997 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1CCN([C@H](CC)C(N)=O)CC1 ZINC001227124284 805325397 /nfs/dbraw/zinc/32/53/97/805325397.db2.gz PNZAULHCAOFIER-IUODEOHRSA-N 0 1 297.399 0.158 20 30 CCEDMN N#Cc1ccc2nc(OC3CS(=O)(=O)C3)[nH]c2c1 ZINC001227219123 805333040 /nfs/dbraw/zinc/33/30/40/805333040.db2.gz KWTOFBUTENAMIA-UHFFFAOYSA-N 0 1 263.278 0.610 20 30 CCEDMN C[C@H](CCCNCC#N)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001277279875 805423256 /nfs/dbraw/zinc/42/32/56/805423256.db2.gz KCKUPDNCILILAR-GHMZBOCLSA-N 0 1 290.371 0.623 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@H]2CCOC2)[C@H](OC)C1 ZINC001212107213 815080195 /nfs/dbraw/zinc/08/01/95/815080195.db2.gz RHXOCPXNDBNRNF-RRFJBIMHSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001278427591 807135398 /nfs/dbraw/zinc/13/53/98/807135398.db2.gz WRLCVSIGOQZCHR-INIZCTEOSA-N 0 1 287.363 0.371 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCc2ncccn2)C1 ZINC001278433094 807165324 /nfs/dbraw/zinc/16/53/24/807165324.db2.gz IRNSNAQOIOWKOC-OAHLLOKOSA-N 0 1 272.352 0.623 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](NCc2ccc(C#N)c(F)c2)CN1 ZINC001246279093 807206079 /nfs/dbraw/zinc/20/60/79/807206079.db2.gz ZFTYHVGUFICSOS-YPMHNXCESA-N 0 1 277.299 0.690 20 30 CCEDMN C#CCCCC(=O)N[C@@]12CCC[C@H]1N(CC(=O)NC)CC2 ZINC001278444760 807239237 /nfs/dbraw/zinc/23/92/37/807239237.db2.gz FYIABNBDGRDTPV-CZUORRHYSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H](COC)OC)CCC[C@H]12 ZINC001278460077 807346304 /nfs/dbraw/zinc/34/63/04/807346304.db2.gz PTORPJPJDQXPMQ-KCQAQPDRSA-N 0 1 280.368 0.394 20 30 CCEDMN CN1CC[C@@]2(CCN(C(=O)c3ccc(O)c(C#N)c3)C2)C1=O ZINC001272219236 815115938 /nfs/dbraw/zinc/11/59/38/815115938.db2.gz LDOVSZKMHOHYJW-INIZCTEOSA-N 0 1 299.330 0.958 20 30 CCEDMN C[C@@H]1OCC[C@@]12CN(C(=O)NCC#CCN(C)C)CCO2 ZINC001251707781 807695205 /nfs/dbraw/zinc/69/52/05/807695205.db2.gz GDSDVSJHFMOYRA-DZGCQCFKSA-N 0 1 295.383 0.141 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCO[C@@H]2CCC[C@@H]21 ZINC001251708277 807697078 /nfs/dbraw/zinc/69/70/78/807697078.db2.gz PJQDPFXQVCFVBU-QWHCGFSZSA-N 0 1 265.357 0.514 20 30 CCEDMN COC(=O)NCCCC(=O)NC1(C#N)CCN(C)CC1 ZINC001251734307 807699190 /nfs/dbraw/zinc/69/91/90/807699190.db2.gz SVOMKUKBWDXNIA-UHFFFAOYSA-N 0 1 282.344 0.227 20 30 CCEDMN C#CCOC[C@H](O)CN1CCC(F)(C(=O)OCC)CC1 ZINC001251847504 807718004 /nfs/dbraw/zinc/71/80/04/807718004.db2.gz HITWJPOSYHXVTR-GFCCVEGCSA-N 0 1 287.331 0.364 20 30 CCEDMN C#CCOC[C@@H](O)CN1CC(N2CCC(F)CC2)C1 ZINC001251850986 807719983 /nfs/dbraw/zinc/71/99/83/807719983.db2.gz AXIUJMCPHBSMFI-AWEZNQCLSA-N 0 1 270.348 0.115 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(c2cccc(F)c2)CC1 ZINC001251858071 807724873 /nfs/dbraw/zinc/72/48/73/807724873.db2.gz QVIPMLPLCLJENL-MRXNPFEDSA-N 0 1 292.354 0.958 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCC[C@@H](NC(=O)OCC=C)C1 ZINC001251860174 807724965 /nfs/dbraw/zinc/72/49/65/807724965.db2.gz DZOJVVVXMIDMEZ-KGLIPLIRSA-N 0 1 296.367 0.374 20 30 CCEDMN C#CCOC[C@H](O)CNCc1nnc2cc(C)ccn21 ZINC001251876518 807730253 /nfs/dbraw/zinc/73/02/53/807730253.db2.gz DRBHTKXECUODFK-GFCCVEGCSA-N 0 1 274.324 0.138 20 30 CCEDMN C#CCOC[C@H](O)CN[C@H](CO)c1ccc(OCC)nc1 ZINC001251880903 807732777 /nfs/dbraw/zinc/73/27/77/807732777.db2.gz JTQBFAHLVFBRSI-ZIAGYGMSSA-N 0 1 294.351 0.114 20 30 CCEDMN C#CCOC[C@@H](O)CNCC(=O)N1CCc2ccccc21 ZINC001251885516 807735055 /nfs/dbraw/zinc/73/50/55/807735055.db2.gz XLMHIRRXHRYMPL-AWEZNQCLSA-N 0 1 288.347 0.176 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cccnc1Br ZINC001251896336 807739994 /nfs/dbraw/zinc/73/99/94/807739994.db2.gz HIOPWIAOACAWIL-LLVKDONJSA-N 0 1 299.168 0.944 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ccnc(OC(C)C)n1 ZINC001251900239 807742699 /nfs/dbraw/zinc/74/26/99/807742699.db2.gz MCQGNXPAGZAIFA-CYBMUJFWSA-N 0 1 279.340 0.364 20 30 CCEDMN C=CCOCc1nn(C)c2c1CN(C[C@H](C)O)CC2 ZINC001251959465 807751275 /nfs/dbraw/zinc/75/12/75/807751275.db2.gz GDWABDZNBBUPGJ-NSHDSACASA-N 0 1 265.357 0.862 20 30 CCEDMN COC(=O)[C@H](O)CNCc1ccc(Cl)c(C#N)c1 ZINC001252447934 807865804 /nfs/dbraw/zinc/86/58/04/807865804.db2.gz DHXVMMSFCKQTIN-LLVKDONJSA-N 0 1 268.700 0.835 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCCC[C@H]1C(=O)NCCC ZINC001252465793 807877078 /nfs/dbraw/zinc/87/70/78/807877078.db2.gz JGRIUEORYKOVPX-KBPBESRZSA-N 0 1 284.400 0.931 20 30 CCEDMN C=CCOC[C@H](O)CN[C@@H](CO)c1ccccn1 ZINC001252491680 807891832 /nfs/dbraw/zinc/89/18/32/807891832.db2.gz IAVJYWISGBEAFZ-YPMHNXCESA-N 0 1 252.314 0.268 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccnn2C(C)C)[C@@H](O)C1 ZINC001083490079 815157901 /nfs/dbraw/zinc/15/79/01/815157901.db2.gz MYUTYOLYKKDEQV-OCCSQVGLSA-N 0 1 290.367 0.262 20 30 CCEDMN C=C[C@@](C)(O)CN[C@@H](c1ccccc1)[C@@H](O)C(=O)OC ZINC001252573885 807913050 /nfs/dbraw/zinc/91/30/50/807913050.db2.gz KAQXZGBNTOYTID-GZBFAFLISA-N 0 1 279.336 0.788 20 30 CCEDMN CN1CCN(c2ccc(NS(C)(=O)=O)cc2C#N)CC1 ZINC001252712607 807949402 /nfs/dbraw/zinc/94/94/02/807949402.db2.gz MSZWJRKDPAXCLJ-UHFFFAOYSA-N 0 1 294.380 0.682 20 30 CCEDMN C=C[C@H](O)CNc1ncccc1N1CCN(C)CC1 ZINC001253573264 808081235 /nfs/dbraw/zinc/08/12/35/808081235.db2.gz HJHRCHFWAQXFSU-LBPRGKRZSA-N 0 1 262.357 0.792 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2csc(=O)[nH]2)[C@H]1C ZINC001088806223 815174857 /nfs/dbraw/zinc/17/48/57/815174857.db2.gz VZJVRDZPTNOKKD-BDAKNGLRSA-N 0 1 265.338 0.675 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C[C@H]2CCCO2)C1 ZINC001278760229 808170943 /nfs/dbraw/zinc/17/09/43/808170943.db2.gz JUTQUDGQBOMWAE-HIFRSBDPSA-N 0 1 280.368 0.132 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C2CCC(O)CC2)C1 ZINC001278776075 808202439 /nfs/dbraw/zinc/20/24/39/808202439.db2.gz CPECOOPGVJIVCZ-XUJLQICISA-N 0 1 294.395 0.114 20 30 CCEDMN CN1CC(C(=O)Nc2n[nH]c3cccc(C#N)c32)C1 ZINC001142592245 815185545 /nfs/dbraw/zinc/18/55/45/815185545.db2.gz RYAJDXWYGMJOMF-UHFFFAOYSA-N 0 1 255.281 0.935 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CCCC(F)(F)F)C1 ZINC001278805667 808275433 /nfs/dbraw/zinc/27/54/33/808275433.db2.gz PIRLNPPUHGLWDN-GFCCVEGCSA-N 0 1 292.301 0.905 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CC(F)(F)C(F)F)C1 ZINC001278805455 808276327 /nfs/dbraw/zinc/27/63/27/808276327.db2.gz MEPNOBUQMDBHKR-LLVKDONJSA-N 0 1 296.264 0.463 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2(C(F)(F)F)CC2)C1 ZINC001278806194 808276453 /nfs/dbraw/zinc/27/64/53/808276453.db2.gz WJASFDFAUDEANH-NSHDSACASA-N 0 1 290.285 0.515 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H]2CC23CCCC3)C1 ZINC001278815604 808280607 /nfs/dbraw/zinc/28/06/07/808280607.db2.gz HFGUBAQQKLXDFP-CJNGLKHVSA-N 0 1 276.380 0.753 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC1(O)CN(CC2CCCC2)C1 ZINC001278863323 808352695 /nfs/dbraw/zinc/35/26/95/808352695.db2.gz KSPBIJAXULTMRV-ZDUSSCGKSA-N 0 1 294.395 0.378 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H](C)C(C)C ZINC001278878838 808419851 /nfs/dbraw/zinc/41/98/51/808419851.db2.gz UYEDBDXBIDOACL-LSDHHAIUSA-N 0 1 298.427 0.679 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CCn1cccc1 ZINC001278878910 808420508 /nfs/dbraw/zinc/42/05/08/808420508.db2.gz WRMFOUFJECBWCX-CQSZACIVSA-N 0 1 277.368 0.263 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@](O)(C2CC2)C1 ZINC001256096253 808468920 /nfs/dbraw/zinc/46/89/20/808468920.db2.gz OTXOGLARYKDYSZ-ABAIWWIYSA-N 0 1 277.368 0.784 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC(F)(F)CC1 ZINC001256585597 808537156 /nfs/dbraw/zinc/53/71/56/808537156.db2.gz TZJQXNCZXKZMMB-UHFFFAOYSA-N 0 1 259.300 0.992 20 30 CCEDMN CC(C)(O)CN1CCN(C(=O)CC(C)(C)C#N)CC1 ZINC000353315416 808636962 /nfs/dbraw/zinc/63/69/62/808636962.db2.gz PMLOSFDFBGPOSA-UHFFFAOYSA-N 0 1 267.373 0.841 20 30 CCEDMN C=CCNC(=O)CN1CCC([C@@H](C)NC(=O)CC)CC1 ZINC001279055993 808641434 /nfs/dbraw/zinc/64/14/34/808641434.db2.gz JZNNVNOEFORMRU-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)C1CCN([C@H](C)C(N)=O)CC1 ZINC001279072230 808653003 /nfs/dbraw/zinc/65/30/03/808653003.db2.gz SELCENPMDSVVPT-CHWSQXEVSA-N 0 1 293.411 0.880 20 30 CCEDMN C#Cc1ccccc1NS(=O)(=O)CS(C)(=O)=O ZINC001260593127 808871857 /nfs/dbraw/zinc/87/18/57/808871857.db2.gz XRPRCEGLYVPFGS-UHFFFAOYSA-N 0 1 273.335 0.412 20 30 CCEDMN C#CCN(CC1CC1)[C@@H](C)C(=O)N1CCN(C(C)=O)CC1 ZINC001261360340 808960984 /nfs/dbraw/zinc/96/09/84/808960984.db2.gz ILVKABGXVVZGNO-ZDUSSCGKSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3csc(=O)[nH]3)[C@@H]2C1 ZINC001076152460 815252478 /nfs/dbraw/zinc/25/24/78/815252478.db2.gz KTFGECXPRDWHLN-GXSJLCMTSA-N 0 1 277.349 0.628 20 30 CCEDMN C=CCCOCC(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001262151545 809205781 /nfs/dbraw/zinc/20/57/81/809205781.db2.gz NDXFUEVJKXXVAZ-SNVBAGLBSA-N 0 1 280.328 0.518 20 30 CCEDMN C=CCCOCC(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001262151545 809205785 /nfs/dbraw/zinc/20/57/85/809205785.db2.gz NDXFUEVJKXXVAZ-SNVBAGLBSA-N 0 1 280.328 0.518 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCO[C@H]3C=C)[C@@H]2C1 ZINC001076262604 815270769 /nfs/dbraw/zinc/27/07/69/815270769.db2.gz MHGHPJFKCPCTBR-YJNKXOJESA-N 0 1 274.364 0.743 20 30 CCEDMN C[N@H+]1CC[C@H]1CNC(=O)Nc1ccn(CCC#N)n1 ZINC001262264482 809262032 /nfs/dbraw/zinc/26/20/32/809262032.db2.gz SRULPRWJVGDNMA-JTQLQIEISA-N 0 1 262.317 0.622 20 30 CCEDMN CN1CC[C@H]1CNC(=O)Nc1ccn(CCC#N)n1 ZINC001262264482 809262037 /nfs/dbraw/zinc/26/20/37/809262037.db2.gz SRULPRWJVGDNMA-JTQLQIEISA-N 0 1 262.317 0.622 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(Cl)ncc1F ZINC001263105390 809446230 /nfs/dbraw/zinc/44/62/30/809446230.db2.gz MUBQWOHSTBAOIZ-RCOVLWMOSA-N 0 1 268.679 0.715 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CCN(C(=O)Cc3ncc[nH]3)[C@@H]2C1 ZINC001076402165 815291276 /nfs/dbraw/zinc/29/12/76/815291276.db2.gz NBVLZQHHTICDSJ-QWHCGFSZSA-N 0 1 272.352 0.508 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cc3ncc[nH]3)[C@@H]2C1 ZINC001076402165 815291281 /nfs/dbraw/zinc/29/12/81/815291281.db2.gz NBVLZQHHTICDSJ-QWHCGFSZSA-N 0 1 272.352 0.508 20 30 CCEDMN N#CC(C(=O)CO[C@H]1CCOC1)C(=O)NC1CCCCC1 ZINC001263290809 809503000 /nfs/dbraw/zinc/50/30/00/809503000.db2.gz CVVGSFDVJADENY-STQMWFEESA-N 0 1 294.351 0.950 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001263818508 809578386 /nfs/dbraw/zinc/57/83/86/809578386.db2.gz ZJTSANCECBAZMY-YJNKXOJESA-N 0 1 293.411 0.472 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCc1cn(C)nn1 ZINC001263823229 809579904 /nfs/dbraw/zinc/57/99/04/809579904.db2.gz JNPAEXISGGHKCC-AWEZNQCLSA-N 0 1 289.383 0.304 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2nccn2C)[C@H]1C ZINC001264153807 809635141 /nfs/dbraw/zinc/63/51/41/809635141.db2.gz HDIKWBDMKDLWLM-OLZOCXBDSA-N 0 1 274.368 0.565 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H]2CCN([C@@H](C)C(N)=O)C[C@H]2C1 ZINC001264646063 809667990 /nfs/dbraw/zinc/66/79/90/809667990.db2.gz ZUIOZPCYAAFWSK-MJBXVCDLSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCNC(=O)CN(CCCNC(=O)C=C(C)C)C1CC1 ZINC001265151991 809716134 /nfs/dbraw/zinc/71/61/34/809716134.db2.gz OECMYMGIQSQPQI-UHFFFAOYSA-N 0 1 291.395 0.673 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@@H]2CC23CC3)CC1 ZINC001265251467 809730876 /nfs/dbraw/zinc/73/08/76/809730876.db2.gz HFKUFUDFDQRHJG-AWEZNQCLSA-N 0 1 275.396 0.544 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@@H](OC)C(C)C)CC1 ZINC001265249949 809731370 /nfs/dbraw/zinc/73/13/70/809731370.db2.gz UHWUEUAMCXSBAP-HNNXBMFYSA-N 0 1 297.443 0.967 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H](C)n2cccn2)C1 ZINC001265311021 809754944 /nfs/dbraw/zinc/75/49/44/809754944.db2.gz ZPFCOTCZEPKEEI-CHWSQXEVSA-N 0 1 260.341 0.658 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(C[C@H]2NC(C)=O)CC1 ZINC001265322060 809757508 /nfs/dbraw/zinc/75/75/08/809757508.db2.gz XDOACCRSSTXKMH-WCQYABFASA-N 0 1 279.384 0.668 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@H](CNCC#N)C2)[nH]1 ZINC001265602232 809813473 /nfs/dbraw/zinc/81/34/73/809813473.db2.gz AGDUTPCINCXUCM-LLVKDONJSA-N 0 1 261.329 0.684 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H](C)NC(N)=O ZINC001265643624 809818341 /nfs/dbraw/zinc/81/83/41/809818341.db2.gz PWLYLMYDAKHOJR-RYUDHWBXSA-N 0 1 280.372 0.037 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)CN1CCOCC1 ZINC001265643253 809819502 /nfs/dbraw/zinc/81/95/02/809819502.db2.gz IFVRKLTZPWREAW-HNNXBMFYSA-N 0 1 293.411 0.313 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H](C)NCc1cc(C)n(C)n1 ZINC001265775163 809850974 /nfs/dbraw/zinc/85/09/74/809850974.db2.gz OBFHOEYGJPJNFD-AAEUAGOBSA-N 0 1 292.383 0.361 20 30 CCEDMN CC(C)C#CC(=O)NCCCN(C)[C@H](C)C(=O)N(C)C ZINC001265842823 809872990 /nfs/dbraw/zinc/87/29/90/809872990.db2.gz LBDOFHUFQILAMK-CYBMUJFWSA-N 0 1 281.400 0.561 20 30 CCEDMN C#CCNC(=O)CN(CC)CCCNC(=O)C1CC=CC1 ZINC001266028513 809920755 /nfs/dbraw/zinc/92/07/55/809920755.db2.gz WKJSOFKQDSQGHW-UHFFFAOYSA-N 0 1 291.395 0.530 20 30 CCEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1CCNC1=O ZINC001266070169 809930422 /nfs/dbraw/zinc/93/04/22/809930422.db2.gz PIZIMCMOVOBXSW-NSHDSACASA-N 0 1 287.791 0.703 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@H]2CCC(=O)N2)C1 ZINC001266209067 809963138 /nfs/dbraw/zinc/96/31/38/809963138.db2.gz ITJMAWWRWSFSGL-QWHCGFSZSA-N 0 1 295.383 0.048 20 30 CCEDMN COCC#CCN1CC=C(CNC(=O)[C@H]2CCOC2)CC1 ZINC001279512133 809983810 /nfs/dbraw/zinc/98/38/10/809983810.db2.gz YDNZZOTWQYFJSR-HNNXBMFYSA-N 0 1 292.379 0.421 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1cnnc(C)c1 ZINC001279705451 809994189 /nfs/dbraw/zinc/99/41/89/809994189.db2.gz SMRWCJITVGKDFZ-UHFFFAOYSA-N 0 1 298.774 0.562 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)C2CC2)C1 ZINC001076850189 815390338 /nfs/dbraw/zinc/39/03/38/815390338.db2.gz QGGGXRFJYUYQHV-RAIGVLPGSA-N 0 1 250.342 0.217 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C2CC2)C1 ZINC001076850189 815390344 /nfs/dbraw/zinc/39/03/44/815390344.db2.gz QGGGXRFJYUYQHV-RAIGVLPGSA-N 0 1 250.342 0.217 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCC(=C)Br ZINC001127039687 815589702 /nfs/dbraw/zinc/58/97/02/815589702.db2.gz PEYDPVMYAAGEAY-SNVBAGLBSA-N 0 1 289.173 0.639 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H](CC(C)C)C(N)=O ZINC001267282650 811093328 /nfs/dbraw/zinc/09/33/28/811093328.db2.gz GCNGWCZEBGBJCG-KBPBESRZSA-N 0 1 293.411 0.738 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@H](C)CNc2ccnc(C#N)n2)n[nH]1 ZINC001104487497 811134540 /nfs/dbraw/zinc/13/45/40/811134540.db2.gz VDLAIFSUSIXLSB-SNVBAGLBSA-N 0 1 299.338 0.374 20 30 CCEDMN COCC#CCN(CCNC(=O)c1cnc(C)[nH]1)C1CC1 ZINC001267322506 811152232 /nfs/dbraw/zinc/15/22/32/811152232.db2.gz FLYYXUWLTWHUIS-UHFFFAOYSA-N 0 1 290.367 0.562 20 30 CCEDMN C#CCN(CCNC(=O)c1ccc2[nH]c(=O)[nH]c2n1)C1CC1 ZINC001267332036 811166811 /nfs/dbraw/zinc/16/68/11/811166811.db2.gz ZVZFYCJELMQDBR-UHFFFAOYSA-N 0 1 299.334 0.491 20 30 CCEDMN C#CCN(CCNC(=O)[C@H]1CC[C@@H](C(N)=O)C1)C1CC1 ZINC001267333309 811168899 /nfs/dbraw/zinc/16/88/99/811168899.db2.gz VCVKCAFNYZZKJI-NEPJUHHUSA-N 0 1 277.368 0.102 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@@H](C)CNc2ccc(C#N)nn2)[nH]1 ZINC001104501323 811187127 /nfs/dbraw/zinc/18/71/27/811187127.db2.gz RFJZNAOIEXAXOJ-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN C=C(Br)CNCCN(C)C(=O)[C@@H]1CCOC1 ZINC001267353061 811199645 /nfs/dbraw/zinc/19/96/45/811199645.db2.gz LYNYLWZLVVECMZ-SNVBAGLBSA-N 0 1 291.189 0.980 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccncc2)C1 ZINC001077022457 815434609 /nfs/dbraw/zinc/43/46/09/815434609.db2.gz BHHPLDKOFGZHRK-ZJIFWQFVSA-N 0 1 299.374 0.370 20 30 CCEDMN Cc1ncc(CNCCNC(=O)c2ccc(C#N)[nH]2)o1 ZINC001125782222 811334558 /nfs/dbraw/zinc/33/45/58/811334558.db2.gz BBWWSTHBYVQLPR-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@@H](COC)OC)c1ccccc1 ZINC001267523181 811402042 /nfs/dbraw/zinc/40/20/42/811402042.db2.gz QHMVOFYOTAXRKL-LSDHHAIUSA-N 0 1 290.363 0.728 20 30 CCEDMN C#CCN[C@@H](CNC(=O)Cc1nc[nH]n1)c1ccccc1 ZINC001267525719 811405127 /nfs/dbraw/zinc/40/51/27/811405127.db2.gz NWTITRNGCYTXFO-ZDUSSCGKSA-N 0 1 283.335 0.428 20 30 CCEDMN Cc1cc(N2CC[C@@H](NC(=O)c3cnn[nH]3)C2)c(C#N)cn1 ZINC001058599701 811424112 /nfs/dbraw/zinc/42/41/12/811424112.db2.gz XREOEDNPBBBFOU-LLVKDONJSA-N 0 1 297.322 0.389 20 30 CCEDMN CC#CCN1C[C@H]2OCCN(C(=O)CN3CCCC3)[C@H]2C1 ZINC001083275174 811432876 /nfs/dbraw/zinc/43/28/76/811432876.db2.gz RLTFOFRNBNHSFJ-LSDHHAIUSA-N 0 1 291.395 0.017 20 30 CCEDMN C=CCCC(=O)N(C)CCN1CCN(C[C@@H](C)O)CC1 ZINC001267574217 811467747 /nfs/dbraw/zinc/46/77/47/811467747.db2.gz YMYRFSKPQRALPT-CQSZACIVSA-N 0 1 283.416 0.409 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2conc2C)CC1 ZINC001267574648 811469409 /nfs/dbraw/zinc/46/94/09/811469409.db2.gz OMSTVIPPBVYZTE-UHFFFAOYSA-N 0 1 292.383 0.859 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)C2CCC2)C1 ZINC001267577830 811473048 /nfs/dbraw/zinc/47/30/48/811473048.db2.gz SQOVYQVHBZTXTP-ZDUSSCGKSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCC1(C(=O)N2CC[C@@H](CN(C)CC(N)=O)C2)CCC1 ZINC001267588420 811490971 /nfs/dbraw/zinc/49/09/71/811490971.db2.gz HTGUCNZLJYEDTG-ZDUSSCGKSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2onc(C)c2C)C1 ZINC001077198149 815457806 /nfs/dbraw/zinc/45/78/06/815457806.db2.gz ZRNKOJGHQGIHIL-VXGBXAGGSA-N 0 1 279.340 0.642 20 30 CCEDMN CN(CCCN(C)c1ccnc(C#N)n1)C(=O)c1ccn[nH]1 ZINC001112028352 811606796 /nfs/dbraw/zinc/60/67/96/811606796.db2.gz HGLFAKWROIPXRF-UHFFFAOYSA-N 0 1 299.338 0.670 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001267667810 811631668 /nfs/dbraw/zinc/63/16/68/811631668.db2.gz MCEQPIYEIZWPDJ-WCQYABFASA-N 0 1 296.415 0.979 20 30 CCEDMN C=CCN1CC(CNC(=O)CCc2cncnc2)C1 ZINC001267685388 811651455 /nfs/dbraw/zinc/65/14/55/811651455.db2.gz ATUIVQQBRDSYBA-UHFFFAOYSA-N 0 1 260.341 0.643 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H](C)OC ZINC001267704183 811667541 /nfs/dbraw/zinc/66/75/41/811667541.db2.gz IGKCEENDRXGJFP-AGIUHOORSA-N 0 1 250.342 0.720 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC001267722487 811687077 /nfs/dbraw/zinc/68/70/77/811687077.db2.gz IDMWRTAYHOSGOU-LBPRGKRZSA-N 0 1 294.355 0.314 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC001267722487 811687080 /nfs/dbraw/zinc/68/70/80/811687080.db2.gz IDMWRTAYHOSGOU-LBPRGKRZSA-N 0 1 294.355 0.314 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2ncccn2)C1 ZINC001267731732 811701233 /nfs/dbraw/zinc/70/12/33/811701233.db2.gz PUPORCYRWJLJQR-LBPRGKRZSA-N 0 1 258.325 0.233 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccncc2CC)C1 ZINC001077269268 815470925 /nfs/dbraw/zinc/47/09/25/815470925.db2.gz UHTDDDGMVUKLPY-HUUCEWRRSA-N 0 1 287.363 0.442 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c[nH]nc2CCC)C1 ZINC001077283538 815472847 /nfs/dbraw/zinc/47/28/47/815472847.db2.gz JPPJDDPMHUIIOR-ZIAGYGMSSA-N 0 1 290.367 0.160 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2c[nH]nc2CCC)C1 ZINC001077283538 815472851 /nfs/dbraw/zinc/47/28/51/815472851.db2.gz JPPJDDPMHUIIOR-ZIAGYGMSSA-N 0 1 290.367 0.160 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)c3ccco3)C2)nn1 ZINC001105137127 811854437 /nfs/dbraw/zinc/85/44/37/811854437.db2.gz XWBMRHDMVSYVDU-UHFFFAOYSA-N 0 1 299.334 0.681 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)CC(C)C)C2)nn1 ZINC001105139830 811855747 /nfs/dbraw/zinc/85/57/47/811855747.db2.gz OZPZRNCSNQUGNW-UHFFFAOYSA-N 0 1 289.383 0.820 20 30 CCEDMN N#CCNC[C@H]1CCCN1C(=O)CCc1cnc[nH]1 ZINC001267957180 811893788 /nfs/dbraw/zinc/89/37/88/811893788.db2.gz PPWQIAPBCMAHKS-GFCCVEGCSA-N 0 1 261.329 0.446 20 30 CCEDMN C#CC[NH+]1CCC[C@@H](NC(=O)C[N@@H+]2CC[C@@H](C)C2)C1 ZINC001008530629 811904607 /nfs/dbraw/zinc/90/46/07/811904607.db2.gz BGWLAQRFBDUJPC-ZIAGYGMSSA-N 0 1 263.385 0.542 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c[nH]c(C#N)c1 ZINC001125981751 811963837 /nfs/dbraw/zinc/96/38/37/811963837.db2.gz IWVWDQQHSHJVJI-UHFFFAOYSA-N 0 1 252.705 0.958 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](C)n3cccn3)[C@@H]2C1 ZINC001075568345 812018835 /nfs/dbraw/zinc/01/88/35/812018835.db2.gz XXPMOQRFLNYXEX-MELADBBJSA-N 0 1 272.352 0.610 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CSC)CC2 ZINC001035644647 819598148 /nfs/dbraw/zinc/59/81/48/819598148.db2.gz COLWEEPHKAAYDF-UHFFFAOYSA-N 0 1 252.383 0.907 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cccn2nnnc12 ZINC001027905358 812131080 /nfs/dbraw/zinc/13/10/80/812131080.db2.gz XFJGEWUFWOCICN-LLVKDONJSA-N 0 1 286.339 0.505 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccnc2ccnn21 ZINC001027924406 812144741 /nfs/dbraw/zinc/14/47/41/812144741.db2.gz INPVVKPMLVASLP-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccnc2ccnn21 ZINC001027924406 812144746 /nfs/dbraw/zinc/14/47/46/812144746.db2.gz INPVVKPMLVASLP-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnn2ccncc12 ZINC001027934173 812149645 /nfs/dbraw/zinc/14/96/45/812149645.db2.gz LVQKJUGMMUFVBA-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001027941039 812154364 /nfs/dbraw/zinc/15/43/64/812154364.db2.gz BHCKFQBPWKHSNA-AVGNSLFASA-N 0 1 266.341 0.004 20 30 CCEDMN C[C@@H](CNCC#N)N(C)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001268064619 812179055 /nfs/dbraw/zinc/17/90/55/812179055.db2.gz VYNYXSAQCXWVMW-WDEREUQCSA-N 0 1 275.356 0.790 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cn(C)nc1COC ZINC001027978483 812189045 /nfs/dbraw/zinc/18/90/45/812189045.db2.gz NCGHDXRRVOLDAU-GFCCVEGCSA-N 0 1 292.383 0.947 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CC23CCOCC3)CC1 ZINC000709670622 812200502 /nfs/dbraw/zinc/20/05/02/812200502.db2.gz AQTCDMQQEXKUOH-LBPRGKRZSA-N 0 1 277.368 0.907 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cn2cccnc2n1 ZINC001027997273 812206029 /nfs/dbraw/zinc/20/60/29/812206029.db2.gz LTZDPRVWIQVFHW-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ncc(OC)cn1 ZINC001028017267 812217557 /nfs/dbraw/zinc/21/75/57/812217557.db2.gz BLNQFZBTKNNFLQ-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001028088513 812267984 /nfs/dbraw/zinc/26/79/84/812267984.db2.gz KMWXEWOKKRDIOS-LBPRGKRZSA-N 0 1 288.351 0.708 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001028103590 812280002 /nfs/dbraw/zinc/28/00/02/812280002.db2.gz RSEMDPHXMFRMBS-GHMZBOCLSA-N 0 1 288.355 0.329 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnc2cccnn21 ZINC001028182787 812338462 /nfs/dbraw/zinc/33/84/62/812338462.db2.gz FGQXBUSWHQGTGX-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C[C@H](NCC#N)[C@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001268181000 812348049 /nfs/dbraw/zinc/34/80/49/812348049.db2.gz WBJUGTMORNQINY-RYUDHWBXSA-N 0 1 290.371 0.478 20 30 CCEDMN C[C@H](NCC#N)[C@H]1CCCN(C(=O)CCc2cnn[nH]2)C1 ZINC001268181000 812348058 /nfs/dbraw/zinc/34/80/58/812348058.db2.gz WBJUGTMORNQINY-RYUDHWBXSA-N 0 1 290.371 0.478 20 30 CCEDMN C#CCCCC(=O)NCCNCc1cnnn1CC ZINC001126331922 812348412 /nfs/dbraw/zinc/34/84/12/812348412.db2.gz DHCLLDBIDBOBPB-UHFFFAOYSA-N 0 1 263.345 0.307 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1cn(CC)cn1 ZINC001038924127 812348860 /nfs/dbraw/zinc/34/88/60/812348860.db2.gz BOFVRFXPPTZDMY-GFCCVEGCSA-N 0 1 260.341 0.730 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cn(CC)cn1 ZINC001038924127 812348867 /nfs/dbraw/zinc/34/88/67/812348867.db2.gz BOFVRFXPPTZDMY-GFCCVEGCSA-N 0 1 260.341 0.730 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCO[C@H]1CC ZINC001268243924 812409941 /nfs/dbraw/zinc/40/99/41/812409941.db2.gz RQNWMWVTWDVLGU-OLZOCXBDSA-N 0 1 252.358 0.825 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1c(C)nn(C)c1OC ZINC001268253383 812419222 /nfs/dbraw/zinc/41/92/22/812419222.db2.gz MIOHKKJJCYXJLD-UHFFFAOYSA-N 0 1 292.383 0.764 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccn3nccc23)[C@@H](O)C1 ZINC001083603477 815531076 /nfs/dbraw/zinc/53/10/76/815531076.db2.gz YYDBUPMTGLJZKI-HIFRSBDPSA-N 0 1 298.346 0.133 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccnc2nc(C)nn21 ZINC001028258196 812519877 /nfs/dbraw/zinc/51/98/77/812519877.db2.gz NRDOJGIWDNIOBG-GFCCVEGCSA-N 0 1 298.350 0.260 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1ccccn1 ZINC001126360956 812633235 /nfs/dbraw/zinc/63/32/35/812633235.db2.gz SNBCHBONSFVZKF-AWEZNQCLSA-N 0 1 263.341 0.615 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cc[nH]n3)[C@@H]2C1 ZINC001075638756 812673489 /nfs/dbraw/zinc/67/34/89/812673489.db2.gz LWNCBSYLKKSQBA-WCQYABFASA-N 0 1 258.325 0.118 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cnc(C)nc1 ZINC001126365702 812684028 /nfs/dbraw/zinc/68/40/28/812684028.db2.gz MVROLTKBUKXQBJ-AWEZNQCLSA-N 0 1 278.356 0.318 20 30 CCEDMN C#CCN(CC#C)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC001126369063 812712617 /nfs/dbraw/zinc/71/26/17/812712617.db2.gz YWEKMGRMSSJWMT-UHFFFAOYSA-N 0 1 291.332 0.162 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2cn(C)nc2C)C1 ZINC001028451659 812716567 /nfs/dbraw/zinc/71/65/67/812716567.db2.gz WXTZJSVWLRPORL-ZDUSSCGKSA-N 0 1 274.368 0.804 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C=C(C)C)[C@H](OC)C1 ZINC001211810807 812755400 /nfs/dbraw/zinc/75/54/00/812755400.db2.gz VTFNTHMIOZLUNX-ZIAGYGMSSA-N 0 1 282.384 0.971 20 30 CCEDMN CCN(CC)C(=O)CNC/C=C/CNC(=O)[C@H](C)C#N ZINC001268520434 812764013 /nfs/dbraw/zinc/76/40/13/812764013.db2.gz CFABVDRGYTWCLV-NNNHXZLVSA-N 0 1 280.372 0.276 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1CC[C@@H]1C(N)=O ZINC001268571711 812845868 /nfs/dbraw/zinc/84/58/68/812845868.db2.gz DXMHPHJIYVOPAR-ZROCKMJXSA-N 0 1 285.775 0.512 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H]2C=CCC2)[C@@H](O)C1 ZINC001083330639 812852485 /nfs/dbraw/zinc/85/24/85/812852485.db2.gz DIYBFWIQCRAJHG-MJBXVCDLSA-N 0 1 262.353 0.527 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001028592202 812910561 /nfs/dbraw/zinc/91/05/61/812910561.db2.gz ZKVVDYGDRAPSNR-KGLIPLIRSA-N 0 1 298.390 0.653 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)c2ccnc(F)c2)[C@@H](O)C1 ZINC001083363801 812912461 /nfs/dbraw/zinc/91/24/61/812912461.db2.gz IZZWAIVVQZBZML-OLZOCXBDSA-N 0 1 293.342 0.962 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@H](C)N(C)C2=O)CC1 ZINC000348421374 815565565 /nfs/dbraw/zinc/56/55/65/815565565.db2.gz NRENCSIBZWKEKY-JTQLQIEISA-N 0 1 251.330 0.919 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(Cl)n(C)n2)[C@@H](O)C1 ZINC001083626523 815566742 /nfs/dbraw/zinc/56/67/42/815566742.db2.gz CACXDKPYDCAIOW-MNOVXSKESA-N 0 1 298.774 0.425 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cccc(C(=O)NC)c1 ZINC001268758534 813067198 /nfs/dbraw/zinc/06/71/98/813067198.db2.gz WWUMMRGDWJMWAT-GFCCVEGCSA-N 0 1 287.363 0.730 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cccc(C(=O)NC)c1 ZINC001268758535 813068594 /nfs/dbraw/zinc/06/85/94/813068594.db2.gz WWUMMRGDWJMWAT-LBPRGKRZSA-N 0 1 287.363 0.730 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cc[nH]c(=O)c3)[C@@H]2C1 ZINC001075680984 813102537 /nfs/dbraw/zinc/10/25/37/813102537.db2.gz RIUXYIMQTFSNHS-UONOGXRCSA-N 0 1 285.347 0.957 20 30 CCEDMN C#CC(=O)N1CCC2(C[C@@H]2C(=O)NCc2cnc[nH]2)CC1 ZINC001268854752 813114624 /nfs/dbraw/zinc/11/46/24/813114624.db2.gz BGXCJHGXFWZUAT-GFCCVEGCSA-N 0 1 286.335 0.288 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3COC(=O)C3)[C@@H]2C1 ZINC001075685305 813186327 /nfs/dbraw/zinc/18/63/27/813186327.db2.gz VKBWAJBGQCYHRO-RWMBFGLXSA-N 0 1 276.336 0.106 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)[C@@H]1CCCN1C ZINC001269084360 813209970 /nfs/dbraw/zinc/20/99/70/813209970.db2.gz NVSRJXLIYMAXLA-MCIONIFRSA-N 0 1 291.395 0.859 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2cnn(C)c2)C1 ZINC001269097246 813213862 /nfs/dbraw/zinc/21/38/62/813213862.db2.gz XBVGZJZJRIIUGQ-AWEZNQCLSA-N 0 1 274.368 0.567 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@H](C)OC)C1 ZINC001269113204 813221882 /nfs/dbraw/zinc/22/18/82/813221882.db2.gz OWHWMPBPEKEGSV-NWDGAFQWSA-N 0 1 256.346 0.414 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](NC(C)=O)C(C)(C)C)C1 ZINC001269203752 813262982 /nfs/dbraw/zinc/26/29/82/813262982.db2.gz YRTUMRNRQFNUIM-CHWSQXEVSA-N 0 1 281.400 0.914 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](C)NC(=O)CC ZINC001269241594 813284729 /nfs/dbraw/zinc/28/47/29/813284729.db2.gz WNBIHODUOQLPCH-NWDGAFQWSA-N 0 1 267.373 0.668 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)CCCCC(N)=O)C1 ZINC001269349103 813334995 /nfs/dbraw/zinc/33/49/95/813334995.db2.gz QFWLLDNEWAPQEC-CQSZACIVSA-N 0 1 293.411 0.978 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CCCCC(N)=O)C1 ZINC001269349103 813335003 /nfs/dbraw/zinc/33/50/03/813335003.db2.gz QFWLLDNEWAPQEC-CQSZACIVSA-N 0 1 293.411 0.978 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CCCC(N)=O)C1 ZINC001269361579 813338509 /nfs/dbraw/zinc/33/85/09/813338509.db2.gz ZSKZCJJEUTUORS-LBPRGKRZSA-N 0 1 265.357 0.198 20 30 CCEDMN N#CC1(NC(=O)[C@H]2CC23CN(C(=O)c2cnc[nH]2)C3)CCC1 ZINC001269414665 813362667 /nfs/dbraw/zinc/36/26/67/813362667.db2.gz UUZLVDWDECMLAZ-SNVBAGLBSA-N 0 1 299.334 0.434 20 30 CCEDMN N#CCC1CN(C(=O)[C@@]23C[C@@H]2CCN3C(=O)c2cnc[nH]2)C1 ZINC001269414565 813362872 /nfs/dbraw/zinc/36/28/72/813362872.db2.gz RPQPFNCCROZOFF-XHDPSFHLSA-N 0 1 299.334 0.386 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cnn(C)c3N)[C@@H]2C1 ZINC001075718105 813573458 /nfs/dbraw/zinc/57/34/58/813573458.db2.gz WOGJSRZTZBCBIK-CMPLNLGQSA-N 0 1 275.356 0.335 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)COCCOC ZINC001270022439 813588350 /nfs/dbraw/zinc/58/83/50/813588350.db2.gz NKRGPBZSBLOHLE-GFCCVEGCSA-N 0 1 290.791 0.983 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC[C@@H]1CCN(CC#N)C1 ZINC001028933861 813673826 /nfs/dbraw/zinc/67/38/26/813673826.db2.gz VAGIHCYLPZMYQN-LBPRGKRZSA-N 0 1 287.367 0.723 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cccc(C(N)=O)c1 ZINC001038418545 813715184 /nfs/dbraw/zinc/71/51/84/813715184.db2.gz NUKHBIBZAYDESY-CQSZACIVSA-N 0 1 285.347 0.613 20 30 CCEDMN C=CCCC(=O)N[C@]1(C)CCN([C@H](C)C(=O)NC)C1 ZINC001270557485 813811247 /nfs/dbraw/zinc/81/12/47/813811247.db2.gz LINHXTYBSPLJAE-BXUZGUMPSA-N 0 1 267.373 0.668 20 30 CCEDMN C=C1CC(C(=O)N2CCN(C)C[C@@]3(CCCC(=O)N3)C2)C1 ZINC001270590006 813830174 /nfs/dbraw/zinc/83/01/74/813830174.db2.gz GCWMCHOLUCIUCG-INIZCTEOSA-N 0 1 291.395 0.766 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CC[C@@](C)(CNCC#N)C1 ZINC001270698756 813897902 /nfs/dbraw/zinc/89/79/02/813897902.db2.gz WNIFNYWNVOLWCU-OCCSQVGLSA-N 0 1 264.373 0.432 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2C)[C@H]1CC ZINC001087504209 814000007 /nfs/dbraw/zinc/00/00/07/814000007.db2.gz VJQFKFTZLQMWFA-UONOGXRCSA-N 0 1 274.368 0.944 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001059276921 814052557 /nfs/dbraw/zinc/05/25/57/814052557.db2.gz ORTKBTGOEKFMNL-MGCOHNPYSA-N 0 1 283.295 0.444 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001059276921 814052563 /nfs/dbraw/zinc/05/25/63/814052563.db2.gz ORTKBTGOEKFMNL-MGCOHNPYSA-N 0 1 283.295 0.444 20 30 CCEDMN COCC#CCN1CC[C@@](O)(CNC(=O)CCC(C)C)C1 ZINC001271108851 814155270 /nfs/dbraw/zinc/15/52/70/814155270.db2.gz FMGOQMURMOQBPD-MRXNPFEDSA-N 0 1 296.411 0.625 20 30 CCEDMN CN1CC2(C1)CN(C(=O)COc1ccccc1C#N)C2 ZINC001271120656 814162469 /nfs/dbraw/zinc/16/24/69/814162469.db2.gz TUOFBPTVOFIXQW-UHFFFAOYSA-N 0 1 271.320 0.711 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)[C@H]1CC ZINC001087669707 814182393 /nfs/dbraw/zinc/18/23/93/814182393.db2.gz LZHVYCHFPPTLCS-WOSRLPQWSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nc2OC)[C@H]1CC ZINC001087684894 814185432 /nfs/dbraw/zinc/18/54/32/814185432.db2.gz VDYQHPQYRPEMOV-QWHCGFSZSA-N 0 1 290.367 0.645 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)c2[nH]ncc2F)CCN1CC#N ZINC001087715324 814194660 /nfs/dbraw/zinc/19/46/60/814194660.db2.gz BZXWLHYQOOYARK-VHSXEESVSA-N 0 1 265.292 0.655 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(C)n2)[C@H]1CC ZINC001087761142 814204948 /nfs/dbraw/zinc/20/49/48/814204948.db2.gz BRISNJRLURPYFW-CMPLNLGQSA-N 0 1 263.345 0.584 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCO ZINC001029422070 814219561 /nfs/dbraw/zinc/21/95/61/814219561.db2.gz SQQRYPWACAJSGB-TXEJJXNPSA-N 0 1 252.358 0.866 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@H]3CCN(CC#N)C[C@H]3C2)[nH]1 ZINC001087910113 814268848 /nfs/dbraw/zinc/26/88/48/814268848.db2.gz PEARXECPPOSKHX-NEPJUHHUSA-N 0 1 273.340 0.636 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1C[C@H]2CCN(CC#N)C[C@H]2C1 ZINC001088014132 814312525 /nfs/dbraw/zinc/31/25/25/814312525.db2.gz HFDWKWRPPZLILY-ILXRZTDVSA-N 0 1 290.411 0.775 20 30 CCEDMN COCC#CCN1CC[C@@](O)(CNC(=O)C2(C)CCC2)C1 ZINC001271174719 814314291 /nfs/dbraw/zinc/31/42/91/814314291.db2.gz KMRKRSWWDMNZMR-MRXNPFEDSA-N 0 1 294.395 0.379 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001271191691 814328601 /nfs/dbraw/zinc/32/86/01/814328601.db2.gz GSBIGTMYFYEJKK-FMKPAKJESA-N 0 1 278.396 0.855 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cncc(Cl)c2)C1 ZINC001271201667 814331035 /nfs/dbraw/zinc/33/10/35/814331035.db2.gz VDZDVIUQAGJWBP-AWEZNQCLSA-N 0 1 293.754 0.535 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H](C)Cc2ccco2)C1 ZINC001271201463 814331573 /nfs/dbraw/zinc/33/15/73/814331573.db2.gz SJGHYAKWRXRDAB-CZUORRHYSA-N 0 1 290.363 0.644 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)[C@@H](C)COC)C1 ZINC001271950733 814647284 /nfs/dbraw/zinc/64/72/84/814647284.db2.gz QGNNLTVBLGTOBU-JSGCOSHPSA-N 0 1 270.373 0.398 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)CC2CCOCC2)C1 ZINC001271952055 814647505 /nfs/dbraw/zinc/64/75/05/814647505.db2.gz ZYJWDDNVFNTBRK-MRXNPFEDSA-N 0 1 296.411 0.932 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2COCCO2)[C@H]1C ZINC001088474768 814725925 /nfs/dbraw/zinc/72/59/25/814725925.db2.gz ZXVORABWHKSRCD-WOPDTQHZSA-N 0 1 254.330 0.167 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCOC2)[C@H]1C ZINC001088486817 814737946 /nfs/dbraw/zinc/73/79/46/814737946.db2.gz CREVWRVEFLEJDF-UPJWGTAASA-N 0 1 250.342 0.625 20 30 CCEDMN C[C@@H](CNC(=O)c1[nH]ncc1F)Nc1cnc(C#N)cn1 ZINC001098237857 814756162 /nfs/dbraw/zinc/75/61/62/814756162.db2.gz IENCPJYAOSWYIK-ZETCQYMHSA-N 0 1 289.274 0.441 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1ccccn1 ZINC001127039179 815589406 /nfs/dbraw/zinc/58/94/06/815589406.db2.gz FJCOOSMSEAVUIT-GFCCVEGCSA-N 0 1 261.325 0.326 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](C)SC)[C@H](OC)C1 ZINC001212143134 815652473 /nfs/dbraw/zinc/65/24/73/815652473.db2.gz APALJKMVJARXLN-JHJVBQTASA-N 0 1 284.425 0.967 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2coc(OC)n2)C1 ZINC001077457388 815916144 /nfs/dbraw/zinc/91/61/44/815916144.db2.gz MKKXNLTYGXWUHA-MWLCHTKSSA-N 0 1 281.312 0.034 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)C2CCC2)[C@@H](O)C1 ZINC001083676307 815968086 /nfs/dbraw/zinc/96/80/86/815968086.db2.gz VAUQRHPDQYOZOB-KGLIPLIRSA-N 0 1 278.396 0.997 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cccc(=O)n2C)[C@H]1C ZINC001088847306 816064410 /nfs/dbraw/zinc/06/44/10/816064410.db2.gz KJFXCGBJPBICFG-OLZOCXBDSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCCN1CC(NC(=O)[C@@H]2CCc3nc(C)[nH]c3C2)C1 ZINC001030552448 816102609 /nfs/dbraw/zinc/10/26/09/816102609.db2.gz JFFCJJZIODAVMS-GFCCVEGCSA-N 0 1 286.379 0.647 20 30 CCEDMN C#CCN1CC(NC(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001030660177 816191789 /nfs/dbraw/zinc/19/17/89/816191789.db2.gz XJYOLNALEQBWCU-UHFFFAOYSA-N 0 1 281.319 0.315 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)[C@H]1C ZINC001088977562 816201008 /nfs/dbraw/zinc/20/10/08/816201008.db2.gz FVTWATHKMRVKJS-BZPMIXESSA-N 0 1 286.379 0.727 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCCC(=O)NC ZINC001233856610 816421655 /nfs/dbraw/zinc/42/16/55/816421655.db2.gz JISKBUYPISLHKQ-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CCCCC)C2 ZINC001272469513 816455431 /nfs/dbraw/zinc/45/54/31/816455431.db2.gz CFJLTHHRXODHPV-UHFFFAOYSA-N 0 1 250.342 0.723 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(=O)n(C)o2)C1 ZINC001046273013 816476878 /nfs/dbraw/zinc/47/68/78/816476878.db2.gz DMBDZJQAAWIIQM-ZDUSSCGKSA-N 0 1 265.313 0.359 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cc1nnc(C)[nH]1 ZINC001234204103 816522051 /nfs/dbraw/zinc/52/20/51/816522051.db2.gz ACBQEHBMWIVFNK-NSHDSACASA-N 0 1 263.345 0.374 20 30 CCEDMN N#Cc1cccc(CN2CC(NC(=O)Cc3cnc[nH]3)C2)c1 ZINC001031017161 816567943 /nfs/dbraw/zinc/56/79/43/816567943.db2.gz OKRBRRNRHQVGER-UHFFFAOYSA-N 0 1 295.346 0.824 20 30 CCEDMN C=C(Br)CNCCNC(=O)C(F)F ZINC001122372378 816664886 /nfs/dbraw/zinc/66/48/86/816664886.db2.gz DRAWSOAQPRSKPD-UHFFFAOYSA-N 0 1 257.078 0.866 20 30 CCEDMN C#CCN1CC(NC(=O)c2cccc3nc[nH]c32)C1 ZINC001031134776 816687777 /nfs/dbraw/zinc/68/77/77/816687777.db2.gz OUOIGNGSVLQDBM-UHFFFAOYSA-N 0 1 254.293 0.610 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)NC3CN(CC#N)C3)c2C1 ZINC001031184051 816758649 /nfs/dbraw/zinc/75/86/49/816758649.db2.gz LPDMYHUDKKEZRZ-SECBINFHSA-N 0 1 273.340 0.472 20 30 CCEDMN C[C@@H](CCNc1ccc(C#N)cn1)NC(=O)c1cnn[nH]1 ZINC001106636873 816830234 /nfs/dbraw/zinc/83/02/34/816830234.db2.gz BVYLEXHMGJWDRU-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)N1CCC1 ZINC001235481462 816884067 /nfs/dbraw/zinc/88/40/67/816884067.db2.gz ZYZJVUWKEFQEEC-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](O)C(C)C)[C@H](OC)C1 ZINC001212218627 816995516 /nfs/dbraw/zinc/99/55/16/816995516.db2.gz BUDCVGYWEQBBGQ-JHJVBQTASA-N 0 1 270.373 0.395 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCC2CN(CCn3cccn3)C2)c1 ZINC001031602509 817178383 /nfs/dbraw/zinc/17/83/83/817178383.db2.gz FGJRBHKLRGUTQK-UHFFFAOYSA-N 0 1 298.350 0.445 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)C2CN(C(C)=O)C2)C1 ZINC001031636406 817207582 /nfs/dbraw/zinc/20/75/82/817207582.db2.gz WCPATIKHXCAWIL-UHFFFAOYSA-N 0 1 265.357 0.089 20 30 CCEDMN N#CCN1CC(CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001031671831 817235334 /nfs/dbraw/zinc/23/53/34/817235334.db2.gz NMCSCVTWMVCBGC-NSHDSACASA-N 0 1 273.340 0.401 20 30 CCEDMN C=CCN1CC(CNC(=O)c2nnn(C(C)(C)C)n2)C1 ZINC001031688850 817245075 /nfs/dbraw/zinc/24/50/75/817245075.db2.gz MNTWRMOWGJBMRN-UHFFFAOYSA-N 0 1 278.360 0.276 20 30 CCEDMN C[C@@H](CCNC(=O)c1ncn[nH]1)Nc1cnc(C#N)cn1 ZINC001099382532 817273087 /nfs/dbraw/zinc/27/30/87/817273087.db2.gz ORGHHAMCPFEGIQ-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CCNC(=O)c1nc[nH]n1)Nc1cnc(C#N)cn1 ZINC001099382532 817273096 /nfs/dbraw/zinc/27/30/96/817273096.db2.gz ORGHHAMCPFEGIQ-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+]([C@H](C)COC)C[C@@H]2O)C1 ZINC001083763653 817280318 /nfs/dbraw/zinc/28/03/18/817280318.db2.gz UYHFBNAEYFZPID-UPJWGTAASA-N 0 1 282.384 0.539 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN([C@H](C)COC)C[C@@H]2O)C1 ZINC001083763653 817280323 /nfs/dbraw/zinc/28/03/23/817280323.db2.gz UYHFBNAEYFZPID-UPJWGTAASA-N 0 1 282.384 0.539 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn3ncccc23)C1 ZINC001031735906 817300598 /nfs/dbraw/zinc/30/05/98/817300598.db2.gz YYKGRTKCLOOVAP-UHFFFAOYSA-N 0 1 271.324 0.577 20 30 CCEDMN CCN(c1ccncc1C#N)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001089773810 817341450 /nfs/dbraw/zinc/34/14/50/817341450.db2.gz YIXIQMFHVMQPDG-SNVBAGLBSA-N 0 1 299.338 0.716 20 30 CCEDMN N#Cc1ccccc1CN1CC(CNC(=O)c2cnn[nH]2)C1 ZINC001031805200 817380139 /nfs/dbraw/zinc/38/01/39/817380139.db2.gz JUPCOJROHYIPDU-UHFFFAOYSA-N 0 1 296.334 0.538 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001031828233 817400015 /nfs/dbraw/zinc/40/00/15/817400015.db2.gz TZEBAMLMXQSHFX-OAHLLOKOSA-N 0 1 293.411 0.869 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCC[C@H](C(N)=O)C2)C1 ZINC001032020882 817555784 /nfs/dbraw/zinc/55/57/84/817555784.db2.gz YEWYVPFSDQDCCG-KBPBESRZSA-N 0 1 293.411 0.902 20 30 CCEDMN C=CCOCC(=O)NCCNCc1n[nH]c(C(C)C)n1 ZINC001124765466 817567580 /nfs/dbraw/zinc/56/75/80/817567580.db2.gz ZFXPIENXKKWFHG-UHFFFAOYSA-N 0 1 281.360 0.337 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cncc(F)c1 ZINC001124765043 817567685 /nfs/dbraw/zinc/56/76/85/817567685.db2.gz IWSWKCQZJQCEPR-UHFFFAOYSA-N 0 1 267.304 0.629 20 30 CCEDMN C=CCCn1cc(C(=O)NCC2CN(CC=C)C2)nn1 ZINC001032041141 817574498 /nfs/dbraw/zinc/57/44/98/817574498.db2.gz FLOVFQKUZZJSPX-UHFFFAOYSA-N 0 1 275.356 0.702 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccc(OC)nn2)C1 ZINC001032102606 817635510 /nfs/dbraw/zinc/63/55/10/817635510.db2.gz RTIIDBYJKLFIEW-UHFFFAOYSA-N 0 1 274.324 0.170 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2C[C@]23CCOC3)C1 ZINC001032116444 817643706 /nfs/dbraw/zinc/64/37/06/817643706.db2.gz RSYZLWBBNFAQTD-JSGCOSHPSA-N 0 1 250.342 0.647 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2conc2COC)C1 ZINC001032120444 817646696 /nfs/dbraw/zinc/64/66/96/817646696.db2.gz YVLUIXAIOBBHQY-UHFFFAOYSA-N 0 1 277.324 0.506 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnc3nc(C)nn32)C1 ZINC001032125286 817652915 /nfs/dbraw/zinc/65/29/15/817652915.db2.gz KLEXEUCWTUJYDH-UHFFFAOYSA-N 0 1 286.339 0.280 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001032126323 817656299 /nfs/dbraw/zinc/65/62/99/817656299.db2.gz AYLDJYSKPIWDNO-LLVKDONJSA-N 0 1 275.356 0.144 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn(C)c2OC)C1 ZINC001032155629 817665493 /nfs/dbraw/zinc/66/54/93/817665493.db2.gz DFJPVVFXRMLQJN-UHFFFAOYSA-N 0 1 276.340 0.114 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001032212467 817711272 /nfs/dbraw/zinc/71/12/72/817711272.db2.gz KGICVJOZONVXLQ-CQSZACIVSA-N 0 1 279.384 0.621 20 30 CCEDMN C[C@H](C#N)C(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107080822 817719383 /nfs/dbraw/zinc/71/93/83/817719383.db2.gz TWZLZAMHBHFKQQ-PKRMOACSSA-N 0 1 285.311 0.548 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](C)Nc1ncnc2[nH]cnc21 ZINC001115660274 817724545 /nfs/dbraw/zinc/72/45/45/817724545.db2.gz LZVRYEGSUTYFPS-BDAKNGLRSA-N 0 1 287.327 0.771 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H](C)C#N)CC2(CCOCC2)C1 ZINC001099571517 817930747 /nfs/dbraw/zinc/93/07/47/817930747.db2.gz JIOIHGUZNPZGNL-KBPBESRZSA-N 0 1 289.379 0.767 20 30 CCEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)COC)C1 ZINC001107664205 817931468 /nfs/dbraw/zinc/93/14/68/817931468.db2.gz IWLNBVIDSSOXNP-AWEZNQCLSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2cnccn2)C1 ZINC001107703635 817954027 /nfs/dbraw/zinc/95/40/27/817954027.db2.gz AULJQFXIQDEGGG-HNNXBMFYSA-N 0 1 290.367 0.873 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)NC(=O)CN1CCCC1 ZINC001107769095 817997251 /nfs/dbraw/zinc/99/72/51/817997251.db2.gz YHRWPICWFWKQSX-GFCCVEGCSA-N 0 1 287.367 0.966 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)n1cncn1 ZINC001032362974 818001449 /nfs/dbraw/zinc/00/14/49/818001449.db2.gz AUWGXVDCPAFTBK-AGIUHOORSA-N 0 1 273.340 0.148 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H]2CCOC2)C1 ZINC001107793003 818009146 /nfs/dbraw/zinc/00/91/46/818009146.db2.gz NDBCVNJYUOUYFP-DZGCQCFKSA-N 0 1 282.384 0.806 20 30 CCEDMN COCC#CC(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC001168693976 818094859 /nfs/dbraw/zinc/09/48/59/818094859.db2.gz KOLSLWDLTINUEN-LBPRGKRZSA-N 0 1 271.280 0.055 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)Cn1nccn1 ZINC001128269719 818140293 /nfs/dbraw/zinc/14/02/93/818140293.db2.gz RJMMPTKURVLXJM-UHFFFAOYSA-N 0 1 284.323 0.056 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2cccnc2)[C@@H](O)C1 ZINC001090016541 818278141 /nfs/dbraw/zinc/27/81/41/818278141.db2.gz LEABURIAILPJEU-KGLIPLIRSA-N 0 1 275.352 0.823 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCO2)C1 ZINC001032887713 818335937 /nfs/dbraw/zinc/33/59/37/818335937.db2.gz FVSZCNYZMWNDCQ-OLZOCXBDSA-N 0 1 250.342 0.721 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001032889108 818338518 /nfs/dbraw/zinc/33/85/18/818338518.db2.gz CPOWKEIOXWKKHP-SNVBAGLBSA-N 0 1 262.313 0.515 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C)o2)[C@H](O)C1 ZINC001090051530 818356985 /nfs/dbraw/zinc/35/69/85/818356985.db2.gz SHFRQLFRQONLQX-NWDGAFQWSA-N 0 1 264.325 0.939 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCOC2)C1 ZINC001032953099 818389936 /nfs/dbraw/zinc/38/99/36/818389936.db2.gz WTEOCUYECBQVEJ-OLZOCXBDSA-N 0 1 250.342 0.579 20 30 CCEDMN COCC[N@H+]1CC[C@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001033024301 818435368 /nfs/dbraw/zinc/43/53/68/818435368.db2.gz VSQQFVARSBORQR-ZDUSSCGKSA-N 0 1 276.340 0.679 20 30 CCEDMN CN(C(=O)c1c[nH]c(C#N)c1)[C@@H]1CC[N@@H+](CCCO)C1 ZINC001033024706 818435924 /nfs/dbraw/zinc/43/59/24/818435924.db2.gz CDHIGUFMMYCVOK-CYBMUJFWSA-N 0 1 276.340 0.415 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCOCC2)C1 ZINC001033043097 818457751 /nfs/dbraw/zinc/45/77/51/818457751.db2.gz FQFLZFXUOKKBLM-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cnnn2C)C1 ZINC001033049941 818463981 /nfs/dbraw/zinc/46/39/81/818463981.db2.gz ZUSURYSJKMZRAS-LLVKDONJSA-N 0 1 263.345 0.538 20 30 CCEDMN CN(C(=O)[C@@H]1CCCc2[nH]ncc21)[C@H]1CCN(CC#N)C1 ZINC001033085139 818501176 /nfs/dbraw/zinc/50/11/76/818501176.db2.gz QHNHCAPAWGLWFS-NWDGAFQWSA-N 0 1 287.367 0.886 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001033080660 818501842 /nfs/dbraw/zinc/50/18/42/818501842.db2.gz AHZYIHCSUIUSES-STQMWFEESA-N 0 1 286.379 0.996 20 30 CCEDMN N#Cc1cccnc1N1CCC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001061483362 818525572 /nfs/dbraw/zinc/52/55/72/818525572.db2.gz KJTBRUNYPDPWMU-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN Cc1cnc(C#N)c(NC(=N)N2CCN(C)CC2)n1 ZINC001168736022 818541381 /nfs/dbraw/zinc/54/13/81/818541381.db2.gz JOVMZTOPRYTDNQ-UHFFFAOYSA-N 0 1 259.317 0.251 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033122021 818548385 /nfs/dbraw/zinc/54/83/85/818548385.db2.gz DYNQUSDXXAETFN-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001033139426 818563211 /nfs/dbraw/zinc/56/32/11/818563211.db2.gz KACSHRYRBLHLON-UKRRQHHQSA-N 0 1 298.390 0.747 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001033141056 818565750 /nfs/dbraw/zinc/56/57/50/818565750.db2.gz KAUKKNIHTRSTMK-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001033141061 818566022 /nfs/dbraw/zinc/56/60/22/818566022.db2.gz KAUKKNIHTRSTMK-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001033141066 818566458 /nfs/dbraw/zinc/56/64/58/818566458.db2.gz KAUKKNIHTRSTMK-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)[C@H]1CCN(CC#N)C1 ZINC001033164522 818593738 /nfs/dbraw/zinc/59/37/38/818593738.db2.gz HITAWRASYZWUGM-QJPTWQEYSA-N 0 1 273.340 0.569 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cnccc2OC)C1 ZINC001033184879 818634211 /nfs/dbraw/zinc/63/42/11/818634211.db2.gz LGVYTVJHGWEFRE-AWEZNQCLSA-N 0 1 287.363 0.799 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncs2)[C@@H](O)C1 ZINC001090116455 818641564 /nfs/dbraw/zinc/64/15/64/818641564.db2.gz ZNIGKMSSOFQKEZ-ZJUUUORDSA-N 0 1 267.354 0.494 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001033244412 818672810 /nfs/dbraw/zinc/67/28/10/818672810.db2.gz REJHJHVFWHVNRN-LSDHHAIUSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccn(CCOC)n2)C1 ZINC001033252163 818673422 /nfs/dbraw/zinc/67/34/22/818673422.db2.gz DINQRKNDUZVPRS-ZDUSSCGKSA-N 0 1 292.383 0.862 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001033299803 818695965 /nfs/dbraw/zinc/69/59/65/818695965.db2.gz VIZOLNBZYJICKZ-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2c[nH]nc2C)C1 ZINC001033314436 818705550 /nfs/dbraw/zinc/70/55/50/818705550.db2.gz VWLNCJASKIGGMA-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2csc(=O)[nH]2)C1 ZINC001033346689 818729229 /nfs/dbraw/zinc/72/92/29/818729229.db2.gz RSFQGTSLVSGWBG-VIFPVBQESA-N 0 1 265.338 0.628 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2cccc(=O)n2C)C1 ZINC001033412073 818761209 /nfs/dbraw/zinc/76/12/09/818761209.db2.gz VJRRUEZTQMZCRC-GFCCVEGCSA-N 0 1 273.336 0.165 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001033414606 818762303 /nfs/dbraw/zinc/76/23/03/818762303.db2.gz XIQPQAFWSPXSLQ-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cn2ccc(C)cc2=O)C1 ZINC001033471428 818790048 /nfs/dbraw/zinc/79/00/48/818790048.db2.gz UTRVVGVJXBVFQY-CQSZACIVSA-N 0 1 287.363 0.323 20 30 CCEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cnon2)C1 ZINC001033518553 818812732 /nfs/dbraw/zinc/81/27/32/818812732.db2.gz MZLFDNRCYHVHON-JTQLQIEISA-N 0 1 250.302 0.792 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC001033575643 818834772 /nfs/dbraw/zinc/83/47/72/818834772.db2.gz SBUPUCDRYSEQOT-CHWSQXEVSA-N 0 1 294.399 0.638 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001033569461 818839635 /nfs/dbraw/zinc/83/96/35/818839635.db2.gz VSAOTFJWGFYZFZ-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001033629845 818860153 /nfs/dbraw/zinc/86/01/53/818860153.db2.gz QQMKLMDIIAKAKB-STQMWFEESA-N 0 1 277.368 0.163 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001033697080 818888900 /nfs/dbraw/zinc/88/89/00/818888900.db2.gz RIGZKGCICAUWLT-LSDHHAIUSA-N 0 1 291.395 0.553 20 30 CCEDMN CC1(C)CC[C@@H](CO)N(CC(=O)NCCC#N)C1 ZINC000701622267 818982613 /nfs/dbraw/zinc/98/26/13/818982613.db2.gz MMELLUUUIRONBQ-NSHDSACASA-N 0 1 253.346 0.499 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001033908245 818987753 /nfs/dbraw/zinc/98/77/53/818987753.db2.gz OKYMZGPJRWUUPC-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033921450 818995943 /nfs/dbraw/zinc/99/59/43/818995943.db2.gz FLVLRZCOLWJIJE-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc[nH]c2C)[C@H](O)C1 ZINC001090173891 819021617 /nfs/dbraw/zinc/02/16/17/819021617.db2.gz GOKCJGZKFVVUDH-QWHCGFSZSA-N 0 1 263.341 0.674 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cnn(C)n2)C1 ZINC001033983431 819023798 /nfs/dbraw/zinc/02/37/98/819023798.db2.gz QNLZVVQRRPWBAK-GFCCVEGCSA-N 0 1 277.372 0.928 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC001034173119 819100056 /nfs/dbraw/zinc/10/00/56/819100056.db2.gz GVBXPXDMNPRTQQ-NSHDSACASA-N 0 1 275.356 0.220 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001034231544 819129998 /nfs/dbraw/zinc/12/99/98/819129998.db2.gz NQMILAONDGKXAA-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C)ncn2)[C@H](O)C1 ZINC001090202513 819149831 /nfs/dbraw/zinc/14/98/31/819149831.db2.gz YRYNZKFSCFBXRC-TZMCWYRMSA-N 0 1 290.367 0.526 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001034385087 819189156 /nfs/dbraw/zinc/18/91/56/819189156.db2.gz PCCDCZHVWHXDJU-WFASDCNBSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)C2=CCOCC2)[C@@H](O)C1 ZINC001090213581 819194365 /nfs/dbraw/zinc/19/43/65/819194365.db2.gz MTDLNQUMEDVNQB-STQMWFEESA-N 0 1 266.341 0.071 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2=CCOCC2)[C@@H](O)C1 ZINC001090213581 819194371 /nfs/dbraw/zinc/19/43/71/819194371.db2.gz MTDLNQUMEDVNQB-STQMWFEESA-N 0 1 266.341 0.071 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001034422439 819197275 /nfs/dbraw/zinc/19/72/75/819197275.db2.gz XGVCWPDBRXJTCU-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001034458624 819213251 /nfs/dbraw/zinc/21/32/51/819213251.db2.gz OIYAFWNQASFQHD-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001034458624 819213256 /nfs/dbraw/zinc/21/32/56/819213256.db2.gz OIYAFWNQASFQHD-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001034502318 819223618 /nfs/dbraw/zinc/22/36/18/819223618.db2.gz UEAVLFAEWIALLS-CYBMUJFWSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2[nH]cnc2C)C1 ZINC001034552657 819243485 /nfs/dbraw/zinc/24/34/85/819243485.db2.gz GATBBMMDZRPPNH-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC001034556282 819244374 /nfs/dbraw/zinc/24/43/74/819244374.db2.gz HAAKBEYLRWKOHP-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2[nH]ccc2C)[C@@H](O)C1 ZINC001090239633 819292422 /nfs/dbraw/zinc/29/24/22/819292422.db2.gz DVKYFZJNDWIPLC-OLZOCXBDSA-N 0 1 275.352 0.511 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CC(C)(C)C2)[C@@H](O)C1 ZINC001090244007 819296445 /nfs/dbraw/zinc/29/64/45/819296445.db2.gz JOIGOYUPWTUTOE-KBPBESRZSA-N 0 1 278.396 0.997 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc[nH]c2CC)[C@@H](O)C1 ZINC001090242713 819296641 /nfs/dbraw/zinc/29/66/41/819296641.db2.gz AUTAERCHVGXKRE-GJZGRUSLSA-N 0 1 289.379 0.765 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@H]1C(=O)OC)CC2 ZINC001035667105 819607001 /nfs/dbraw/zinc/60/70/01/819607001.db2.gz DWOQCCFJFCUGTA-QWHCGFSZSA-N 0 1 290.363 0.353 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@@H]1C(=O)OC)CC2 ZINC001035668541 819607162 /nfs/dbraw/zinc/60/71/62/819607162.db2.gz XGINMTQTAUOKTN-STQMWFEESA-N 0 1 292.379 0.906 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnn(C)c1N)CC2 ZINC001035692474 819607900 /nfs/dbraw/zinc/60/79/00/819607900.db2.gz BOGJTTCJMRMYSD-UHFFFAOYSA-N 0 1 287.367 0.174 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H]1CCNC1=O)CC2 ZINC001035720985 819614268 /nfs/dbraw/zinc/61/42/68/819614268.db2.gz SWTDAZVROCOFJI-ZDUSSCGKSA-N 0 1 289.379 0.070 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCCC(=O)N1)CC2 ZINC001035727733 819616430 /nfs/dbraw/zinc/61/64/30/819616430.db2.gz QCBIKFALRCOHHJ-CYBMUJFWSA-N 0 1 291.395 0.766 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H]1CCC(=O)N1)CC2 ZINC001035817591 819629697 /nfs/dbraw/zinc/62/96/97/819629697.db2.gz OTWJCKRHIPZYIT-ZDUSSCGKSA-N 0 1 289.379 0.213 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@]1(C)CCOC1)CC2 ZINC001035825639 819630662 /nfs/dbraw/zinc/63/06/62/819630662.db2.gz OEUAPNMKTFFTNG-OAHLLOKOSA-N 0 1 276.380 0.971 20 30 CCEDMN COC[C@@]1(C(=O)Nc2cccc(C#N)c2)CNCCO1 ZINC000710782024 819838916 /nfs/dbraw/zinc/83/89/16/819838916.db2.gz DSCHROUYYMIRAF-CQSZACIVSA-N 0 1 275.308 0.502 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@]1(COC)CNCCO1 ZINC000710822673 819845005 /nfs/dbraw/zinc/84/50/05/819845005.db2.gz JEJZBXJZEWCVGE-CYBMUJFWSA-N 0 1 254.330 0.192 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H]2C[C@H]1CN2c1ncnc2[nH]cnc21 ZINC001062456930 819951863 /nfs/dbraw/zinc/95/18/63/819951863.db2.gz DNYUYGHTMQXLLP-GUBZILKMSA-N 0 1 297.322 0.302 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cn(C)nc2C)[C@@H](O)C1 ZINC001090332077 820268224 /nfs/dbraw/zinc/26/82/24/820268224.db2.gz QZJAFYWHYWNQGX-KBPBESRZSA-N 0 1 292.383 0.008 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccccc2)[C@H](O)C1 ZINC001090364071 820300948 /nfs/dbraw/zinc/30/09/48/820300948.db2.gz GBABDANTLAETOR-HUUCEWRRSA-N 0 1 274.364 0.967 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001079385351 820372323 /nfs/dbraw/zinc/37/23/23/820372323.db2.gz YXJVLRVVICQWIK-DGCLKSJQSA-N 0 1 261.325 0.556 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)n2cncn2)C1 ZINC001079596345 820435757 /nfs/dbraw/zinc/43/57/57/820435757.db2.gz ZYMWBGPEBCCYLT-IJLUTSLNSA-N 0 1 263.345 0.462 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C3CC3)nn2C)C1 ZINC001079789291 820465309 /nfs/dbraw/zinc/46/53/09/820465309.db2.gz WFPUMLWOLDZYFY-BXUZGUMPSA-N 0 1 286.379 0.981 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001079836493 820473441 /nfs/dbraw/zinc/47/34/41/820473441.db2.gz CMWWQLQOXLDWBE-MGPQQGTHSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001079864245 820478245 /nfs/dbraw/zinc/47/82/45/820478245.db2.gz WQHHDYRLRAOVDG-ZWNOBZJWSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001080342215 820553542 /nfs/dbraw/zinc/55/35/42/820553542.db2.gz MJGCAWFBHMZJHL-NQBHXWOUSA-N 0 1 287.367 0.294 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2nonc2C)C1 ZINC001081044122 820670977 /nfs/dbraw/zinc/67/09/77/820670977.db2.gz PBECJDPNCLFFCM-MWLCHTKSSA-N 0 1 262.313 0.451 20 30 CCEDMN C#CCCN1C[C@@H](C)[C@H](NC(=O)c2nonc2C)C1 ZINC001081044122 820670984 /nfs/dbraw/zinc/67/09/84/820670984.db2.gz PBECJDPNCLFFCM-MWLCHTKSSA-N 0 1 262.313 0.451 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001081020899 820671228 /nfs/dbraw/zinc/67/12/28/820671228.db2.gz CBVVGSOKUQTSRU-IUODEOHRSA-N 0 1 299.374 0.398 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001081020899 820671234 /nfs/dbraw/zinc/67/12/34/820671234.db2.gz CBVVGSOKUQTSRU-IUODEOHRSA-N 0 1 299.374 0.398 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCCOC2)[C@H](OC)C1 ZINC001081435498 820751206 /nfs/dbraw/zinc/75/12/06/820751206.db2.gz VHXAWGDZSWFUDU-MGPQQGTHSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cn(C)nc2C)[C@H](OC)C1 ZINC001081450934 820764986 /nfs/dbraw/zinc/76/49/86/820764986.db2.gz BLNXJOLCKPEOPW-ZIAGYGMSSA-N 0 1 290.367 0.181 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@H](OC)C1 ZINC001081507168 820780306 /nfs/dbraw/zinc/78/03/06/820780306.db2.gz IDVCCWYUBUFGPR-ZIAGYGMSSA-N 0 1 286.335 0.339 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3cn[nH]c3)[C@H]2C1 ZINC001083010403 821104677 /nfs/dbraw/zinc/10/46/77/821104677.db2.gz KFWOLWNMSKYDJX-QWHCGFSZSA-N 0 1 276.340 0.511 20 30 CCEDMN C#CCCCCC(=O)N[C@H]1CCN(CC#CC)C[C@H]1O ZINC001099794831 821180864 /nfs/dbraw/zinc/18/08/64/821180864.db2.gz RZIFGIDPJZOJBE-LSDHHAIUSA-N 0 1 276.380 0.755 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2CCCCN2CC)[C@@H](O)C1 ZINC001084091579 821199054 /nfs/dbraw/zinc/19/90/54/821199054.db2.gz FBCYDUNTOLSAJX-ILXRZTDVSA-N 0 1 293.411 0.045 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCn3cncn3)[C@@H]2C1 ZINC001084192259 821229915 /nfs/dbraw/zinc/22/99/15/821229915.db2.gz SMEMXMVDSINVGD-CHWSQXEVSA-N 0 1 275.356 0.387 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H]3CCC(=O)NC3)[C@@H]2C1 ZINC001084474744 821301035 /nfs/dbraw/zinc/30/10/35/821301035.db2.gz PAAFXFUMDOPTLT-BFHYXJOUSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCC(=O)N3C)[C@@H]2C1 ZINC001084584343 821320939 /nfs/dbraw/zinc/32/09/39/821320939.db2.gz SYPLRIIKTSZNTQ-FRRDWIJNSA-N 0 1 277.368 0.326 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3ccncn3)[C@@H]2C1 ZINC001084729673 821366007 /nfs/dbraw/zinc/36/60/07/821366007.db2.gz OWJRLUXOYHEGSZ-TZMCWYRMSA-N 0 1 270.336 0.646 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cncnc1 ZINC001273230551 821379986 /nfs/dbraw/zinc/37/99/86/821379986.db2.gz KYWQKKTYACDBRI-ZIAGYGMSSA-N 0 1 270.336 0.675 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(Cc1cncnc1)CC2 ZINC001273231316 821388300 /nfs/dbraw/zinc/38/83/00/821388300.db2.gz WSEIRDJBSWBHBS-AWEZNQCLSA-N 0 1 284.363 0.828 20 30 CCEDMN COCCC[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)[C@H](O)C1 ZINC001099829919 821392405 /nfs/dbraw/zinc/39/24/05/821392405.db2.gz KDXSYOFRQURDRV-ZIAGYGMSSA-N 0 1 296.411 0.624 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3CCC(=O)N3C)C[C@@H]21 ZINC001085014869 821460436 /nfs/dbraw/zinc/46/04/36/821460436.db2.gz JKRKZQIVERXRLF-MCIONIFRSA-N 0 1 289.379 0.163 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)Nc1ccc(C#N)nn1 ZINC001098306673 821664867 /nfs/dbraw/zinc/66/48/67/821664867.db2.gz KSCBYUHJIJGZHF-SECBINFHSA-N 0 1 285.311 0.231 20 30 CCEDMN CN(C)C1(CNS(=O)(=O)CC(C)(C)C#N)CC1 ZINC000826672275 821668603 /nfs/dbraw/zinc/66/86/03/821668603.db2.gz BLJDFXUFNLRQIR-UHFFFAOYSA-N 0 1 259.375 0.550 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnccn1 ZINC001085465350 821718117 /nfs/dbraw/zinc/71/81/17/821718117.db2.gz ZYVIWPWKSJMUCT-LBPRGKRZSA-N 0 1 258.325 0.646 20 30 CCEDMN COCCN1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085552514 821787579 /nfs/dbraw/zinc/78/75/79/821787579.db2.gz GGBBJXHPYGCNLC-CYBMUJFWSA-N 0 1 276.340 0.679 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1COC(=O)N1 ZINC001085571160 821807600 /nfs/dbraw/zinc/80/76/00/821807600.db2.gz YEOUTPJGYGUGPS-GHMZBOCLSA-N 0 1 267.329 0.204 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N(C)C[C@@H]1CCN1CCCO ZINC001085601631 821838819 /nfs/dbraw/zinc/83/88/19/821838819.db2.gz CEOJPQMSPYBNIZ-ZNMIVQPWSA-N 0 1 296.411 0.883 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cn[nH]c(=O)c1 ZINC001085657005 821877220 /nfs/dbraw/zinc/87/72/20/821877220.db2.gz GCVHFWRXRZLJMD-LBPRGKRZSA-N 0 1 274.324 0.352 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001085650128 821880733 /nfs/dbraw/zinc/88/07/33/821880733.db2.gz IIUCXXKJYUPSDD-YNEHKIRRSA-N 0 1 272.352 0.679 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001085676938 821896667 /nfs/dbraw/zinc/89/66/67/821896667.db2.gz BFVIUFDOPVJLBO-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cn2cccnc2n1 ZINC001085696026 821906534 /nfs/dbraw/zinc/90/65/34/821906534.db2.gz YAZNDYYMKJZMTO-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(OC)n(C)n1 ZINC001085714089 821914140 /nfs/dbraw/zinc/91/41/40/821914140.db2.gz ALUYXLSROLGCBV-GFCCVEGCSA-N 0 1 290.367 0.598 20 30 CCEDMN C#CCN1CCO[C@](C)(CNC(=O)CN2CCC[C@@H]2C)C1 ZINC001108213109 821984065 /nfs/dbraw/zinc/98/40/65/821984065.db2.gz LKQWEDZKEBYBGV-GOEBONIOSA-N 0 1 293.411 0.311 20 30 CCEDMN C[C@H]1CCN(CC(=O)NC[C@]2(C)CN(CC#N)CCO2)C1 ZINC001108215039 821984782 /nfs/dbraw/zinc/98/47/82/821984782.db2.gz ADZRKQOSFLSDFX-DZGCQCFKSA-N 0 1 294.399 0.059 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NC[C@]2(C)CN(CC#N)CCO2)C1 ZINC001108215041 821985894 /nfs/dbraw/zinc/98/58/94/821985894.db2.gz ADZRKQOSFLSDFX-UKRRQHHQSA-N 0 1 294.399 0.059 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2nccc(C)c2C#N)CC1 ZINC001155154312 822066177 /nfs/dbraw/zinc/06/61/77/822066177.db2.gz MUXQWMAGRTXCLL-ZDUSSCGKSA-N 0 1 288.351 0.978 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2cnc(C#N)cn2)CC1 ZINC001155154916 822068916 /nfs/dbraw/zinc/06/89/16/822068916.db2.gz SYWGJOLGDHXYMF-GFCCVEGCSA-N 0 1 275.312 0.065 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCC2CCOCC2)[C@H](O)C1 ZINC001100026708 822101777 /nfs/dbraw/zinc/10/17/77/822101777.db2.gz GUOAMRAEQKDRHP-LSDHHAIUSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2ccnnc2)C1 ZINC001108241381 822103346 /nfs/dbraw/zinc/10/33/46/822103346.db2.gz ZTNNZFBQKLTKPY-OAHLLOKOSA-N 0 1 290.367 0.873 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](NC(=O)c2ncn[nH]2)CC1 ZINC001066387476 822108941 /nfs/dbraw/zinc/10/89/41/822108941.db2.gz WGCMYSMZBCGJCA-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](NC(=O)c2nc[nH]n2)CC1 ZINC001066387476 822108945 /nfs/dbraw/zinc/10/89/45/822108945.db2.gz WGCMYSMZBCGJCA-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN CCC[N@H+]1CCC[C@@H]1C(=O)NC[C@H]1C[C@@H](NCC#N)C1 ZINC001086102233 822113680 /nfs/dbraw/zinc/11/36/80/822113680.db2.gz NZFZFPUHSLNTIB-HZSPNIEDSA-N 0 1 278.400 0.869 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001086194961 822149918 /nfs/dbraw/zinc/14/99/18/822149918.db2.gz YCTCTKUWXSUVKL-GRYCIOLGSA-N 0 1 287.367 0.838 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001086248980 822174185 /nfs/dbraw/zinc/17/41/85/822174185.db2.gz QDDSCYGUCDITAH-SDDRHHMPSA-N 0 1 287.367 0.523 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)Cc2ncc[nH]2)C1 ZINC001108304888 822347963 /nfs/dbraw/zinc/34/79/63/822347963.db2.gz PMMLYYSLOFYSNU-OAHLLOKOSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1[C@H]2CN(Cc3ccccn3)C[C@H]21 ZINC001114051585 837417362 /nfs/dbraw/zinc/41/73/62/837417362.db2.gz YAYHEEVNVNUWJL-FOLVSLTJSA-N 0 1 287.363 0.831 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C#N)[nH]1 ZINC001114144898 837455052 /nfs/dbraw/zinc/45/50/52/837455052.db2.gz FGOMTFKCFYWKTM-NHAGDIPZSA-N 0 1 298.346 0.196 20 30 CCEDMN CC[C@H](C(N)=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114258526 837490712 /nfs/dbraw/zinc/49/07/12/837490712.db2.gz UMTUVJWOIXDYDJ-CZXHOFHRSA-N 0 1 291.395 0.346 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1(COC)CC1 ZINC001114260100 837490723 /nfs/dbraw/zinc/49/07/23/837490723.db2.gz HAQZOWFTFVPHCW-IMRBUKKESA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1nnc(C)s1 ZINC001157870042 837519524 /nfs/dbraw/zinc/51/95/24/837519524.db2.gz VUNDGQGQWWGZOL-SNVBAGLBSA-N 0 1 296.396 0.481 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(=O)NCC(F)F)C1 ZINC001183854969 844101052 /nfs/dbraw/zinc/10/10/52/844101052.db2.gz ZAJYYFZTSAWDJN-MRVPVSSYSA-N 0 1 295.717 0.311 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@H](O)Cc1ccc(O)cc1 ZINC001183892448 844105265 /nfs/dbraw/zinc/10/52/65/844105265.db2.gz BHWUVNNTRZKGTN-LLVKDONJSA-N 0 1 272.264 0.529 20 30 CCEDMN N#Cc1ccc(C(F)(F)F)nc1-n1nnnc1CN ZINC001168917471 836047823 /nfs/dbraw/zinc/04/78/23/836047823.db2.gz IXOOJVPUGDEKOV-UHFFFAOYSA-N 0 1 269.190 0.406 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](CNCC#N)[C@H](C)C2)n[nH]1 ZINC001183985141 844131064 /nfs/dbraw/zinc/13/10/64/844131064.db2.gz GCUCVPBUDZUAMD-ZYHUDNBSSA-N 0 1 275.356 0.930 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](Nc2c[nH]c3c(C#N)cnc-3c2)CN1 ZINC001169132329 836148577 /nfs/dbraw/zinc/14/85/77/836148577.db2.gz KRQHPABPUUKWCM-PWSUYJOCSA-N 0 1 285.307 0.750 20 30 CCEDMN COCCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C#N)C2 ZINC001109079948 836612551 /nfs/dbraw/zinc/61/25/51/836612551.db2.gz GQOXTDKDZMKJGB-CRWXNKLISA-N 0 1 295.383 0.531 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)NC(=O)CC)C2 ZINC001109323985 836657804 /nfs/dbraw/zinc/65/78/04/836657804.db2.gz QUMLOARNTUTLRD-RNJOBUHISA-N 0 1 279.384 0.809 20 30 CCEDMN CN(CCCNc1ccncc1C#N)C(=O)c1ccn[nH]1 ZINC001109370828 836664339 /nfs/dbraw/zinc/66/43/39/836664339.db2.gz GRPYVSJJYMKNEQ-UHFFFAOYSA-N 0 1 284.323 0.672 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)OCCOC)C2 ZINC001109790778 836730983 /nfs/dbraw/zinc/73/09/83/836730983.db2.gz FDFYSNNTLJKRJD-MQYQWHSLSA-N 0 1 282.384 0.945 20 30 CCEDMN N#Cc1nccnc1NC[C@H](NC(=O)c1cnn[nH]1)C1CC1 ZINC001110012853 836761836 /nfs/dbraw/zinc/76/18/36/836761836.db2.gz OOSDGJFRZMDZBS-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1ccc(NC[C@@H](NC(=O)c2cnn[nH]2)C2CC2)cn1 ZINC001110013003 836762064 /nfs/dbraw/zinc/76/20/64/836762064.db2.gz UBLGGMQDHZWFBW-GFCCVEGCSA-N 0 1 297.322 0.692 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2ccc3cncn3c2)[C@H](O)C1 ZINC001090435111 836772072 /nfs/dbraw/zinc/77/20/72/836772072.db2.gz FXOTYZGAOYKGRL-UONOGXRCSA-N 0 1 299.334 0.023 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2CCC(=O)N2)CC1 ZINC001112695970 836873091 /nfs/dbraw/zinc/87/30/91/836873091.db2.gz IAFLFNPXRWSMRF-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCOCC(=O)N1CCN(C[C@@H]2C[C@]23CCOC3)CC1 ZINC001112710451 836881718 /nfs/dbraw/zinc/88/17/18/836881718.db2.gz AXCYITJZNBLTPP-HOCLYGCPSA-N 0 1 294.395 0.760 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(F)c[nH]2)[C@H](O)C1 ZINC001090442923 836889431 /nfs/dbraw/zinc/88/94/31/836889431.db2.gz URXAAZKZQQBDPQ-CMPLNLGQSA-N 0 1 267.304 0.505 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CN(C)CCO2)CC1 ZINC001112739675 836892374 /nfs/dbraw/zinc/89/23/74/836892374.db2.gz INKYSOAGZKHHAM-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2CN(C)C(=O)N2)CC1 ZINC001113190988 837050681 /nfs/dbraw/zinc/05/06/81/837050681.db2.gz YQIQAJFJSLUCIG-OLZOCXBDSA-N 0 1 294.399 0.509 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC001113187082 837051657 /nfs/dbraw/zinc/05/16/57/837051657.db2.gz PGZHBJZFTAKVIA-QWHCGFSZSA-N 0 1 279.384 0.608 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CCC(=O)N2C)CC1 ZINC001113249876 837067766 /nfs/dbraw/zinc/06/77/66/837067766.db2.gz ASDUVDYVUXGKDY-CYBMUJFWSA-N 0 1 279.384 0.718 20 30 CCEDMN C[C@@H](NC(=O)Cc1cnc[nH]1)[C@@H](C)Nc1cnc(C#N)cn1 ZINC001113313186 837084952 /nfs/dbraw/zinc/08/49/52/837084952.db2.gz DUVCQIRKGUJLSD-NXEZZACHSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](NC(N)=O)C(C)(C)C)CC1 ZINC001113542155 837156920 /nfs/dbraw/zinc/15/69/20/837156920.db2.gz QARVXHVQOVRPAS-GFCCVEGCSA-N 0 1 296.415 0.790 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC ZINC001113759331 837218416 /nfs/dbraw/zinc/21/84/16/837218416.db2.gz BZKOVQMTDNTFJG-DCQANWLSSA-N 0 1 265.357 0.134 20 30 CCEDMN CCO[C@@H]1COC[C@H]1N[C@H](C)C(=O)NC1(C#N)CCC1 ZINC001170300628 837288446 /nfs/dbraw/zinc/28/84/46/837288446.db2.gz UJHYNWCZFGRXFY-IJLUTSLNSA-N 0 1 281.356 0.331 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@H]1CCCO1 ZINC001113887820 837354516 /nfs/dbraw/zinc/35/45/16/837354516.db2.gz BBAKWPQFHRKELG-CXTNEJHOSA-N 0 1 262.353 0.625 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC001184594645 844263940 /nfs/dbraw/zinc/26/39/40/844263940.db2.gz UEFHUAABABPSPN-GFCCVEGCSA-N 0 1 276.344 0.232 20 30 CCEDMN COCC#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncoc1C ZINC001113952983 837382832 /nfs/dbraw/zinc/38/28/32/837382832.db2.gz MBEMGPHGGWYDEZ-IMRBUKKESA-N 0 1 289.335 0.293 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncoc1C ZINC001113952983 837382844 /nfs/dbraw/zinc/38/28/44/837382844.db2.gz MBEMGPHGGWYDEZ-IMRBUKKESA-N 0 1 289.335 0.293 20 30 CCEDMN CCOC(=O)c1nc2ccc(N[C@H](C#N)C(N)=O)cc2[nH]1 ZINC001170535891 837981544 /nfs/dbraw/zinc/98/15/44/837981544.db2.gz KNZISIULIBVAGR-SNVBAGLBSA-N 0 1 287.279 0.529 20 30 CCEDMN N#C[C@H](Nc1cc(F)cc(F)c1[N+](=O)[O-])C(N)=O ZINC001170535388 837984887 /nfs/dbraw/zinc/98/48/87/837984887.db2.gz HDKNROMZJTXXBP-ZETCQYMHSA-N 0 1 256.168 0.662 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)COC(C)C)C[C@@H](C)O2 ZINC001131641509 838165724 /nfs/dbraw/zinc/16/57/24/838165724.db2.gz GFRNNCYIVINYMQ-ZBFHGGJFSA-N 0 1 294.395 0.736 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CNC(=O)C2CC2)CC[C@H]1C ZINC001131850213 838248371 /nfs/dbraw/zinc/24/83/71/838248371.db2.gz XWGSKPRZEPGSSE-TZMCWYRMSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c[nH]nc1[C@H]1CCOC1 ZINC001131907398 838264666 /nfs/dbraw/zinc/26/46/66/838264666.db2.gz KDWUHXANLRPWOT-JTQLQIEISA-N 0 1 298.774 0.986 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC(C)(C)O)CC[C@@H]1C ZINC001132030387 838305030 /nfs/dbraw/zinc/30/50/30/838305030.db2.gz ULDVEOFVZIXTGX-RYUDHWBXSA-N 0 1 252.358 0.750 20 30 CCEDMN C=CC[N@H+]1C[C@H](NC(=O)CN(C)C(=O)COC)CC[C@@H]1C ZINC001132097464 838316570 /nfs/dbraw/zinc/31/65/70/838316570.db2.gz JVBXIVNFYPXCHZ-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COCC(F)F)CC[C@H]1C ZINC001132202552 838337224 /nfs/dbraw/zinc/33/72/24/838337224.db2.gz ITNOQTFJDBNDGO-GHMZBOCLSA-N 0 1 274.311 0.870 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@H](NC(=O)C2(C(N)=O)CC2)CC[C@@H]1C ZINC001132261346 838346670 /nfs/dbraw/zinc/34/66/70/838346670.db2.gz MASGPDGUURPNGD-NWDGAFQWSA-N 0 1 279.384 0.797 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](NC(=O)C2(C(N)=O)CC2)CC[C@H]1C ZINC001132261344 838347277 /nfs/dbraw/zinc/34/72/77/838347277.db2.gz MASGPDGUURPNGD-NEPJUHHUSA-N 0 1 279.384 0.797 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCC(=O)NCC)CC[C@@H]1C ZINC001132432549 838394871 /nfs/dbraw/zinc/39/48/71/838394871.db2.gz DBSISUDKKHQSPT-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C(C)(C)NC(=O)NC)CC[C@H]1C ZINC001132513025 838421512 /nfs/dbraw/zinc/42/15/12/838421512.db2.gz NEAIDCFLQKUWHI-VXGBXAGGSA-N 0 1 296.415 0.849 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](C)[C@H](CNCC#N)C2)n[nH]1 ZINC001132679121 838469217 /nfs/dbraw/zinc/46/92/17/838469217.db2.gz ZOEJMOBGRKLHQG-CMPLNLGQSA-N 0 1 275.356 0.930 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001132715523 838476001 /nfs/dbraw/zinc/47/60/01/838476001.db2.gz RWOOYDWTKKFCIO-LBPRGKRZSA-N 0 1 273.764 0.361 20 30 CCEDMN C[C@H]1CCN(C(=O)CCc2nc[nH]n2)C[C@@H]1CNCC#N ZINC001132754307 838486282 /nfs/dbraw/zinc/48/62/82/838486282.db2.gz DWGJGYFHLRPERB-RYUDHWBXSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC[C@H]1CNCC#N ZINC001185086720 844334216 /nfs/dbraw/zinc/33/42/16/844334216.db2.gz RQGOZNCKRKSBNM-MNOVXSKESA-N 0 1 291.355 0.337 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@]1(F)CCOC1 ZINC001132864478 838510332 /nfs/dbraw/zinc/51/03/32/838510332.db2.gz VZVDVAMWSLGTKP-JTQLQIEISA-N 0 1 295.152 0.729 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)[C@@H](O)C1 ZINC001090509682 838715798 /nfs/dbraw/zinc/71/57/98/838715798.db2.gz HACMTOKXASMEKA-FQKPHLNHSA-N 0 1 276.380 0.607 20 30 CCEDMN CN(CCc1ccccc1)CC(=O)NCCNCC#N ZINC001133918663 838780112 /nfs/dbraw/zinc/78/01/12/838780112.db2.gz YJGKMACNPDLCDT-UHFFFAOYSA-N 0 1 274.368 0.390 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001134045738 838841271 /nfs/dbraw/zinc/84/12/71/838841271.db2.gz OMHQCPMLTMOWFV-GHMZBOCLSA-N 0 1 282.775 0.982 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)C#CC1CC1)NCc1cn(C)nn1 ZINC001134318985 838945236 /nfs/dbraw/zinc/94/52/36/838945236.db2.gz WOIZZFDTLWFPES-VXGBXAGGSA-N 0 1 289.383 0.601 20 30 CCEDMN CCOC(=O)[C@H](C#N)Nc1nc(Cl)nc2c1CCNC2 ZINC001159320384 839126741 /nfs/dbraw/zinc/12/67/41/839126741.db2.gz UENMWIABRRGYQK-QMMMGPOBSA-N 0 1 295.730 0.643 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)C1=NC(=O)N(C)C1)NCC#N ZINC001135386716 839240034 /nfs/dbraw/zinc/24/00/34/839240034.db2.gz JCSAMDOFXPXSJV-BDAKNGLRSA-N 0 1 265.317 0.136 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)[C@@H]2C[C@@H]2C#N)C1 ZINC001135722743 839371139 /nfs/dbraw/zinc/37/11/39/839371139.db2.gz RIKYETGBSXSJLR-FRRDWIJNSA-N 0 1 265.357 0.619 20 30 CCEDMN CC[C@@](N)(CO)Nc1nc(Cl)nc2[nH]cc(C#N)c21 ZINC001170858650 839446106 /nfs/dbraw/zinc/44/61/06/839446106.db2.gz MKGFFQFIHNMPKV-NSHDSACASA-N 0 1 280.719 0.952 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCCN1CCOCC1 ZINC001136261112 839575476 /nfs/dbraw/zinc/57/54/76/839575476.db2.gz OEMGDKXMTAVIPJ-ZDUSSCGKSA-N 0 1 268.357 0.253 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CC3(CC3)C2)[C@@H](O)C1 ZINC001090561957 839644125 /nfs/dbraw/zinc/64/41/25/839644125.db2.gz APHPPHMGFPGRCV-KBPBESRZSA-N 0 1 276.380 0.751 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(F)cc(F)c1N ZINC001170993669 839674654 /nfs/dbraw/zinc/67/46/54/839674654.db2.gz JNZXPCFKCWQDKV-UHFFFAOYSA-N 0 1 267.279 0.842 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCc2ncc[nH]2)CC1 ZINC001136629955 839682625 /nfs/dbraw/zinc/68/26/25/839682625.db2.gz BABJOWFHDGNPPP-UHFFFAOYSA-N 0 1 261.329 0.446 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)n[nH]c2C)[C@@H](O)C1 ZINC001090648985 839703443 /nfs/dbraw/zinc/70/34/43/839703443.db2.gz AXZDWJLNVGBFTF-NEPJUHHUSA-N 0 1 278.356 0.378 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccnc(C)c2)[C@H](O)C1 ZINC001090666706 839720389 /nfs/dbraw/zinc/72/03/89/839720389.db2.gz YPXLNFMFCQADDS-HUUCEWRRSA-N 0 1 287.363 0.578 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncccc2F)[C@H](O)C1 ZINC001090677016 839730102 /nfs/dbraw/zinc/73/01/02/839730102.db2.gz XISOOMWHNDBQRU-NWDGAFQWSA-N 0 1 279.315 0.572 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(Cl)no2)[C@H](O)C1 ZINC001090745075 839772743 /nfs/dbraw/zinc/77/27/43/839772743.db2.gz ZCFDDOFVLRBVBO-DTWKUNHWSA-N 0 1 285.731 0.679 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)n(C)c2C)[C@H](O)C1 ZINC001090797189 839819268 /nfs/dbraw/zinc/81/92/68/839819268.db2.gz VJDWTBHHEDQYAV-LSDHHAIUSA-N 0 1 291.395 0.993 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)CN1C(=O)CSC1=S ZINC001144841205 840156305 /nfs/dbraw/zinc/15/63/05/840156305.db2.gz WKHLKJLACWYCOK-UHFFFAOYSA-N 0 1 281.322 0.080 20 30 CCEDMN COc1cccc(C[C@H](N)C(=O)Nc2cn(C)nc2C#N)c1 ZINC001144932432 840195499 /nfs/dbraw/zinc/19/54/99/840195499.db2.gz AQPBJHQICGYGAQ-LBPRGKRZSA-N 0 1 299.334 0.809 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)[C@H](C)OC)C[C@]2(C)C1 ZINC001091519784 840251882 /nfs/dbraw/zinc/25/18/82/840251882.db2.gz WHEZHQYEVFQVQT-SCRDCRAPSA-N 0 1 250.342 0.435 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)Cn2cccn2)C1 ZINC001149153459 840258690 /nfs/dbraw/zinc/25/86/90/840258690.db2.gz KGVUVUNQNGTYGX-AWEZNQCLSA-N 0 1 290.367 0.114 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001146840331 840397991 /nfs/dbraw/zinc/39/79/91/840397991.db2.gz UWTZHFNCDXAQDS-JTQLQIEISA-N 0 1 278.312 0.927 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@@H]3CCCO3)C2)C1 ZINC001146933333 840417319 /nfs/dbraw/zinc/41/73/19/840417319.db2.gz FSICGASQQSZGMN-AWEZNQCLSA-N 0 1 292.379 0.350 20 30 CCEDMN C=CC[N@H+]1CCC2(CN(C(=O)CSCC#N)C2)C1 ZINC001147026456 840468792 /nfs/dbraw/zinc/46/87/92/840468792.db2.gz SWLNPNFTVUPCDT-UHFFFAOYSA-N 0 1 265.382 0.963 20 30 CCEDMN CCOCCC(=O)N1CC2(C1)CCN(CC#CCOC)C2 ZINC001147181496 840524665 /nfs/dbraw/zinc/52/46/65/840524665.db2.gz IVIUWMANOXUMKY-UHFFFAOYSA-N 0 1 294.395 0.597 20 30 CCEDMN C#CCCCC(=O)N1CC2(C1)CCN([C@H](C)C(=O)NC)C2 ZINC001147428497 840581301 /nfs/dbraw/zinc/58/13/01/840581301.db2.gz UQEHMRNQVZZSOB-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCOCC3CC3)C2)C1 ZINC001147552606 840614073 /nfs/dbraw/zinc/61/40/73/840614073.db2.gz LZKUUNOULFEZDJ-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CO[C@H](C)COC ZINC000234845825 840698938 /nfs/dbraw/zinc/69/89/38/840698938.db2.gz OVQKVFGLXSTMEE-NXEZZACHSA-N 0 1 282.178 0.897 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)c3coc(OC)n3)C[C@]2(C)C1 ZINC001091886542 840970010 /nfs/dbraw/zinc/97/00/10/840970010.db2.gz NQNCMJUBKWOATG-ABAIWWIYSA-N 0 1 289.335 0.710 20 30 CCEDMN CC#CC[NH2+][C@H]1C[C@H](NC(=O)c2[n-]nnc2C)C12CCC2 ZINC001203249721 840973093 /nfs/dbraw/zinc/97/30/93/840973093.db2.gz OBYJLIWAKSWHPD-RYUDHWBXSA-N 0 1 287.367 0.767 20 30 CCEDMN C=CCN1CC(OC2CCN(C(=O)c3ccn[nH]3)CC2)C1 ZINC001093511327 841297453 /nfs/dbraw/zinc/29/74/53/841297453.db2.gz TVNSWPZJXACCEF-UHFFFAOYSA-N 0 1 290.367 0.901 20 30 CCEDMN Cc1nc(NCCNC(=O)CCc2nc[nH]n2)ccc1C#N ZINC001093566605 841340850 /nfs/dbraw/zinc/34/08/50/841340850.db2.gz SAVGQEHRGALWFF-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN N#Cc1c(F)cccc1NCCNC(=O)Cc1nnc[nH]1 ZINC001093851498 841476369 /nfs/dbraw/zinc/47/63/69/841476369.db2.gz GMRBRSWSOFEMHT-UHFFFAOYSA-N 0 1 288.286 0.586 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCCNc1cnc(C#N)cn1 ZINC001094339933 841609049 /nfs/dbraw/zinc/60/90/49/841609049.db2.gz GNGWTDMCOVIYOD-LBPRGKRZSA-N 0 1 288.355 0.361 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCCNc1ncccc1C#N ZINC001094340866 841610267 /nfs/dbraw/zinc/61/02/67/841610267.db2.gz WUQFOCLXWYUFKC-ZDUSSCGKSA-N 0 1 287.367 0.966 20 30 CCEDMN Cc1nc(CC(=O)NCCCNc2ccc(C#N)cn2)n[nH]1 ZINC001094401641 841626805 /nfs/dbraw/zinc/62/68/05/841626805.db2.gz GRLCEAUZZQAHHR-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN N#Cc1cnccc1NCCCNC(=O)CCc1c[nH]nn1 ZINC001094792858 841723585 /nfs/dbraw/zinc/72/35/85/841723585.db2.gz UTUCVUDLKMWACA-UHFFFAOYSA-N 0 1 299.338 0.044 20 30 CCEDMN N#Cc1cnccc1NCCCNC(=O)CCc1cnn[nH]1 ZINC001094792858 841723591 /nfs/dbraw/zinc/72/35/91/841723591.db2.gz UTUCVUDLKMWACA-UHFFFAOYSA-N 0 1 299.338 0.044 20 30 CCEDMN C[C@@H]1C[C@@H](N[C@@H](C#N)C(N)=O)CN1Cc1ccccc1 ZINC001171731397 841754864 /nfs/dbraw/zinc/75/48/64/841754864.db2.gz AIXCORMUDMUTQE-BNOWGMLFSA-N 0 1 272.352 0.616 20 30 CCEDMN C=CCOC1CCC(n2cnc(C[C@H](N)C(N)=O)c2)CC1 ZINC001173319391 842051042 /nfs/dbraw/zinc/05/10/42/842051042.db2.gz IONUQZSTCZAFQZ-RUXDESIVSA-N 0 1 292.383 0.925 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1COCCO1)C2 ZINC001095192406 842095943 /nfs/dbraw/zinc/09/59/43/842095943.db2.gz OJPVDQNLHUMFTM-MROQNXINSA-N 0 1 266.341 0.309 20 30 CCEDMN N#C[C@@H]1CN([C@H]2CCC[C@@H](n3ncnn3)C2)CCC1=O ZINC001173766998 842158615 /nfs/dbraw/zinc/15/86/15/842158615.db2.gz YXLVGDSOSZUBSD-GRYCIOLGSA-N 0 1 274.328 0.571 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3ncn[nH]3)CC2)nc1 ZINC001110199482 842503601 /nfs/dbraw/zinc/50/36/01/842503601.db2.gz AAOPDPRFENMPEY-UHFFFAOYSA-N 0 1 283.295 0.446 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3nc[nH]n3)CC2)nc1 ZINC001110199482 842503610 /nfs/dbraw/zinc/50/36/10/842503610.db2.gz AAOPDPRFENMPEY-UHFFFAOYSA-N 0 1 283.295 0.446 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1cnn(C)n1 ZINC001177269267 842541207 /nfs/dbraw/zinc/54/12/07/842541207.db2.gz JIYMEYJIIVBQLB-NSHDSACASA-N 0 1 281.360 0.002 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCN[C@@H](C)c1nncn1C ZINC001177273671 842543247 /nfs/dbraw/zinc/54/32/47/842543247.db2.gz VQCNGVBUPCHDDF-NWDGAFQWSA-N 0 1 295.387 0.563 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cn(C)nn1)C2 ZINC001110210108 842625703 /nfs/dbraw/zinc/62/57/03/842625703.db2.gz VDJZWWJVQWSJRG-RDBSUJKOSA-N 0 1 289.383 0.655 20 30 CCEDMN CN1CCOC[C@H]1CNC(=O)C(C#N)Cc1cccs1 ZINC001177914678 842707709 /nfs/dbraw/zinc/70/77/09/842707709.db2.gz CAXCOPIAWFRLAC-VXGBXAGGSA-N 0 1 293.392 0.877 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCCCCCNCC#N ZINC001178045612 842736857 /nfs/dbraw/zinc/73/68/57/842736857.db2.gz POMRRMLPNLSEEC-CYBMUJFWSA-N 0 1 266.389 0.870 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2ccn(C)n2)[C@@H]1C ZINC001178338551 842814521 /nfs/dbraw/zinc/81/45/21/842814521.db2.gz BXONQXAFXJMKCE-JSGCOSHPSA-N 0 1 292.383 0.702 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](NCc2cnnn2C)[C@@H]1C ZINC001178577331 842884491 /nfs/dbraw/zinc/88/44/91/842884491.db2.gz YUESRMGNCJEZEX-JSGCOSHPSA-N 0 1 289.383 0.698 20 30 CCEDMN CCOC(=O)[C@@H]1c2n[nH]cc2CCN1C(=O)C(C)C#N ZINC001179894907 843071207 /nfs/dbraw/zinc/07/12/07/843071207.db2.gz FXIHSDDYJBJJSW-KWQFWETISA-N 0 1 276.296 0.558 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(C(=O)[C@H](C)N(C)C)C1 ZINC001180861577 843326122 /nfs/dbraw/zinc/32/61/22/843326122.db2.gz HPUUQEGCYDQIQD-RYUDHWBXSA-N 0 1 283.372 0.840 20 30 CCEDMN CN(CCCN(C)C(=O)C1CCC1)CC(=O)NCC#N ZINC001273473826 843733228 /nfs/dbraw/zinc/73/32/28/843733228.db2.gz CNDUDBDNRRHQJU-UHFFFAOYSA-N 0 1 280.372 0.207 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)OC)[C@@H]2C1 ZINC001187063323 844643279 /nfs/dbraw/zinc/64/32/79/844643279.db2.gz QSIFBPNWZCNJNV-HZSPNIEDSA-N 0 1 280.368 0.204 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H]2CN([C@@H](CC)C(N)=O)C[C@H]21 ZINC001187706174 844748309 /nfs/dbraw/zinc/74/83/09/844748309.db2.gz GIFNDNRCSGGGNZ-MELADBBJSA-N 0 1 291.395 0.587 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1n[nH]cc1Cl ZINC001187849800 844781806 /nfs/dbraw/zinc/78/18/06/844781806.db2.gz YOFUHAVAHNBJAW-ZCFIWIBFSA-N 0 1 256.649 0.248 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1ncc(C(F)(F)F)[nH]1 ZINC001188295064 844856808 /nfs/dbraw/zinc/85/68/08/844856808.db2.gz IVUWAYRXEQURDP-BYPYZUCNSA-N 0 1 297.258 0.630 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C2CC2)C1 ZINC001188573301 844922548 /nfs/dbraw/zinc/92/25/48/844922548.db2.gz SQTWTJPQIMIKGC-AAEUAGOBSA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CN(C)C(C)=O)C1 ZINC001188973915 845013097 /nfs/dbraw/zinc/01/30/97/845013097.db2.gz KBAXMYDHCLHOGL-CYBMUJFWSA-N 0 1 265.357 0.021 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)OC)C1 ZINC001189114896 845040783 /nfs/dbraw/zinc/04/07/83/845040783.db2.gz LFUCCZGISBPNIU-QWHCGFSZSA-N 0 1 268.357 0.204 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](COC)OC)[C@@H]2C1 ZINC001189093288 845050574 /nfs/dbraw/zinc/05/05/74/845050574.db2.gz KTDOXABQKPQRME-BFHYXJOUSA-N 0 1 280.368 0.204 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@H]2CCNC2=O)C1 ZINC001189280682 845093363 /nfs/dbraw/zinc/09/33/63/845093363.db2.gz WLFRNSCFYJPWLO-NEPJUHHUSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@@H]2CCC(=O)NC2=O)C1 ZINC001189317956 845106885 /nfs/dbraw/zinc/10/68/85/845106885.db2.gz GOIRTDGPVMEPKG-NWDGAFQWSA-N 0 1 293.367 0.291 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(=O)NC(N)=O)C1 ZINC001189319199 845107880 /nfs/dbraw/zinc/10/78/80/845107880.db2.gz VAVMGFPRTSDFCJ-WDEREUQCSA-N 0 1 296.371 0.069 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@H](C)COC)[C@@H]2C1 ZINC001189597222 845170399 /nfs/dbraw/zinc/17/03/99/845170399.db2.gz FIDASUFSAYCWLY-HZSPNIEDSA-N 0 1 264.369 0.825 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001189743893 845199736 /nfs/dbraw/zinc/19/97/36/845199736.db2.gz KEMPYJMFGKPBJJ-OLZOCXBDSA-N 0 1 279.384 0.587 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCOCCOCC ZINC001115121459 845350662 /nfs/dbraw/zinc/35/06/62/845350662.db2.gz RBRSHIAWSUDSHE-FOLVSLTJSA-N 0 1 294.395 0.499 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCOCCOCC ZINC001115121459 845350677 /nfs/dbraw/zinc/35/06/77/845350677.db2.gz RBRSHIAWSUDSHE-FOLVSLTJSA-N 0 1 294.395 0.499 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cc[nH]c2)C1 ZINC001190290442 845389151 /nfs/dbraw/zinc/38/91/51/845389151.db2.gz LJZHLIQMLCJGJN-CQSZACIVSA-N 0 1 275.352 0.811 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc3n(n2)CCO3)C1 ZINC001190685647 845464392 /nfs/dbraw/zinc/46/43/92/845464392.db2.gz XAVHSXHHBNAEDK-LBPRGKRZSA-N 0 1 288.351 0.445 20 30 CCEDMN C#CCCC1(CCNS(=O)(=O)c2ncc[nH]2)N=N1 ZINC001190762532 845476246 /nfs/dbraw/zinc/47/62/46/845476246.db2.gz LCNQUQOGLQYJNR-UHFFFAOYSA-N 0 1 267.314 0.654 20 30 CCEDMN C=CCCN(C)[C@@H]1CCN(C(=O)[C@H](C)S(C)(=O)=O)C1 ZINC001190955168 845561918 /nfs/dbraw/zinc/56/19/18/845561918.db2.gz BRNBUEPKUSGDKA-NWDGAFQWSA-N 0 1 288.413 0.528 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)CCCC(C)=O)C1 ZINC001191027702 845565334 /nfs/dbraw/zinc/56/53/34/845565334.db2.gz NQCHGPAHPXTRIZ-ZIAGYGMSSA-N 0 1 282.384 0.873 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCCCC)C1 ZINC001191054347 845570900 /nfs/dbraw/zinc/57/09/00/845570900.db2.gz RNFVHDRGQVLAIA-CHWSQXEVSA-N 0 1 252.358 0.751 20 30 CCEDMN C=CCCCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccn[nH]2)C1 ZINC001191156301 845597914 /nfs/dbraw/zinc/59/79/14/845597914.db2.gz IIQBANQLCYCLHV-ZIAGYGMSSA-N 0 1 292.383 0.931 20 30 CCEDMN N#CCSCC(=O)N[C@@H]1C[N@H+](CC2CCCC2)C[C@H]1O ZINC001191156871 845598426 /nfs/dbraw/zinc/59/84/26/845598426.db2.gz SSGXCTSCGDGZHV-CHWSQXEVSA-N 0 1 297.424 0.595 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COCc2ncc(C)o2)C1 ZINC001191275173 845621085 /nfs/dbraw/zinc/62/10/85/845621085.db2.gz NPYOXAKQOFJCAZ-CYBMUJFWSA-N 0 1 291.351 0.666 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)COCC2CC2)C1 ZINC001191294326 845625001 /nfs/dbraw/zinc/62/50/01/845625001.db2.gz LMHMTETWQKFGFA-CHWSQXEVSA-N 0 1 268.357 0.150 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2(COC)CCC2)C1 ZINC001191382666 845636741 /nfs/dbraw/zinc/63/67/41/845636741.db2.gz GDSMEASEKAWICZ-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN COCc1[nH]nc2c1CN(C(=O)c1ccnc(C#N)c1)C2 ZINC001191548989 845688890 /nfs/dbraw/zinc/68/88/90/845688890.db2.gz RYYASXMYSDLRGC-UHFFFAOYSA-N 0 1 283.291 0.979 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001191614883 845703859 /nfs/dbraw/zinc/70/38/59/845703859.db2.gz PVQDIYYPQOBPSO-JHJVBQTASA-N 0 1 288.363 0.489 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CO[C@@H](C)CC)C1 ZINC001192270199 845812050 /nfs/dbraw/zinc/81/20/50/845812050.db2.gz ATPISYORCZKMTF-YNEHKIRRSA-N 0 1 270.373 0.539 20 30 CCEDMN COC(=O)c1ccc(O)c(C(=O)N2CCO[C@@H](C#N)C2)c1 ZINC001192386697 845833951 /nfs/dbraw/zinc/83/39/51/845833951.db2.gz NAZCCFBLFDNLBH-JTQLQIEISA-N 0 1 290.275 0.543 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)/C=C\c2ccc[nH]2)C1 ZINC001192495056 845855740 /nfs/dbraw/zinc/85/57/40/845855740.db2.gz OCTIQVSVKMLVDF-YOIVVXPQSA-N 0 1 275.352 0.765 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[N@H+](CCC=C)C[C@H]1O ZINC001192517627 845863813 /nfs/dbraw/zinc/86/38/13/845863813.db2.gz IAKCSCBQNCUMDQ-CHWSQXEVSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C(C)=C2CCC2)C1 ZINC001192731527 845896862 /nfs/dbraw/zinc/89/68/62/845896862.db2.gz MNTKMHPEMVXBGU-HUUCEWRRSA-N 0 1 294.395 0.851 20 30 CCEDMN CC(C)OCCCN1C[C@@H](O)[C@H](NC(=O)C#CC2CC2)C1 ZINC001192827699 845916541 /nfs/dbraw/zinc/91/65/41/845916541.db2.gz UWQZTMRZPOCAJS-HUUCEWRRSA-N 0 1 294.395 0.376 20 30 CCEDMN CO[C@@H](C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192871052 845918461 /nfs/dbraw/zinc/91/84/61/845918461.db2.gz KDHZFMBHYTXVOJ-YNEHKIRRSA-N 0 1 282.384 0.232 20 30 CCEDMN CO[C@@H](C)CN1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192871052 845918467 /nfs/dbraw/zinc/91/84/67/845918467.db2.gz KDHZFMBHYTXVOJ-YNEHKIRRSA-N 0 1 282.384 0.232 20 30 CCEDMN CN1CCc2ncc(NS(=O)(=O)CC#N)cc2C1 ZINC001192939465 845943772 /nfs/dbraw/zinc/94/37/72/845943772.db2.gz PYWSXMNFELSTBB-UHFFFAOYSA-N 0 1 266.326 0.335 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc2c(c1)C(=O)NCC2 ZINC001192980129 845962547 /nfs/dbraw/zinc/96/25/47/845962547.db2.gz CBBPBOSYJGHQRB-UHFFFAOYSA-N 0 1 265.294 0.238 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COCc2ccncc2)C1 ZINC001193056434 845977249 /nfs/dbraw/zinc/97/72/49/845977249.db2.gz IGUWVERGJONQIP-HNNXBMFYSA-N 0 1 287.363 0.764 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cnccc1N(C)C ZINC001193105347 845978578 /nfs/dbraw/zinc/97/85/78/845978578.db2.gz VBSGXJPSIGASKP-MRVPVSSYSA-N 0 1 254.315 0.801 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001193117538 845985681 /nfs/dbraw/zinc/98/56/81/845985681.db2.gz CDHFRZCDUWWMEA-CBAPKCEASA-N 0 1 272.351 0.502 20 30 CCEDMN CCN(C1CCN(C)CC1)S(=O)(=O)[C@H](C)C#N ZINC001193126438 845989319 /nfs/dbraw/zinc/98/93/19/845989319.db2.gz ABSDQURMSYRJKS-SNVBAGLBSA-N 0 1 259.375 0.644 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccc2c(c1)nnn2C ZINC001193146715 846004715 /nfs/dbraw/zinc/00/47/15/846004715.db2.gz RMMZHYCBUYZTSS-SSDOTTSWSA-N 0 1 265.298 0.622 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cc(CO)ccc1F ZINC001193146711 846004828 /nfs/dbraw/zinc/00/48/28/846004828.db2.gz RLRYVXCQIBPJAM-SSDOTTSWSA-N 0 1 258.274 0.972 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cc(O)cc(C(N)=O)c1 ZINC001193160501 846010410 /nfs/dbraw/zinc/01/04/10/846010410.db2.gz DNHTUGWWDVLRNR-LURJTMIESA-N 0 1 269.282 0.145 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N[C@H]1CCN(CC2CC2)C1 ZINC001193179156 846025954 /nfs/dbraw/zinc/02/59/54/846025954.db2.gz ZKMBWZLEWBFXAD-KOLCDFICSA-N 0 1 257.359 0.302 20 30 CCEDMN CCOC(=O)[C@@H](NS(=O)(=O)[C@H](C)C#N)c1ccccn1 ZINC001193182967 846027789 /nfs/dbraw/zinc/02/77/89/846027789.db2.gz UXXGZJYPTVBWNB-KOLCDFICSA-N 0 1 297.336 0.517 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CCC(=C)C)C1 ZINC001193400395 846072029 /nfs/dbraw/zinc/07/20/29/846072029.db2.gz NWNLIXATCVBQHH-ZIAGYGMSSA-N 0 1 282.384 0.707 20 30 CCEDMN N#Cc1cnc(NS(=O)(=O)CC2CCC2)cn1 ZINC001193467788 846084669 /nfs/dbraw/zinc/08/46/69/846084669.db2.gz JDVSIICQMCUBAX-UHFFFAOYSA-N 0 1 252.299 0.890 20 30 CCEDMN N#Cc1cc(C(=O)N2C[C@@H]3COC[C@H](C2)C3=O)ccc1O ZINC001193506093 846101294 /nfs/dbraw/zinc/10/12/94/846101294.db2.gz RBUUKIODVQFCHN-TXEJJXNPSA-N 0 1 286.287 0.551 20 30 CCEDMN N#Cc1cc(C(=O)N2CCO[C@@H](C#N)C2)ccc1O ZINC001193504116 846101371 /nfs/dbraw/zinc/10/13/71/846101371.db2.gz NGRLRBMWKAXYEB-NSHDSACASA-N 0 1 257.249 0.628 20 30 CCEDMN C[C@]1(CO)CN(C(=O)c2cccc(C#N)c2O)CC[C@H]1O ZINC001193642947 846135621 /nfs/dbraw/zinc/13/56/21/846135621.db2.gz UEJTYFXXLYMOFA-IUODEOHRSA-N 0 1 290.319 0.469 20 30 CCEDMN COC(=O)[C@@]1(F)CCN(C(=O)c2cccc(C#N)c2O)C1 ZINC001193642695 846135717 /nfs/dbraw/zinc/13/57/17/846135717.db2.gz NJHKXWSYDVYCID-CQSZACIVSA-N 0 1 292.266 0.991 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)COCC2CCCC2)C1 ZINC001193858239 846169948 /nfs/dbraw/zinc/16/99/48/846169948.db2.gz QVLMAURCVLIIIK-HUUCEWRRSA-N 0 1 294.395 0.378 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2[nH]cnc2C)C1 ZINC001194544689 846321797 /nfs/dbraw/zinc/32/17/97/846321797.db2.gz KZULQGBTVROVPM-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN CS(=O)(=O)c1cc(C(=O)Nc2nc[nH]c2C#N)ccn1 ZINC001194680114 846356187 /nfs/dbraw/zinc/35/61/87/846356187.db2.gz PMWHYPOWQAOOAV-UHFFFAOYSA-N 0 1 291.292 0.332 20 30 CCEDMN C#CCNC(=O)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001110462018 846395001 /nfs/dbraw/zinc/39/50/01/846395001.db2.gz UAOPIBHUIBYSLX-UPJWGTAASA-N 0 1 275.352 0.033 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2ccnn2C)CC1 ZINC001195137115 846468762 /nfs/dbraw/zinc/46/87/62/846468762.db2.gz FWIZAEQVEIUXDH-UHFFFAOYSA-N 0 1 292.383 0.771 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1O ZINC001195277254 846490669 /nfs/dbraw/zinc/49/06/69/846490669.db2.gz CWFKAEYFDCJEKV-YNEHKIRRSA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCOCC(=O)N1CCCN(Cc2n[nH]c(C)n2)CC1 ZINC001195284059 846496188 /nfs/dbraw/zinc/49/61/88/846496188.db2.gz NVIXDHKVAHRNJH-UHFFFAOYSA-N 0 1 293.371 0.350 20 30 CCEDMN C=CCOCC[N@H+]1CCCN(C(=O)Cc2ccn[nH]2)CC1 ZINC001195394403 846529372 /nfs/dbraw/zinc/52/93/72/846529372.db2.gz ONZXEKSBIZQKOC-UHFFFAOYSA-N 0 1 292.383 0.689 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)Cc2cc[nH]n2)CC1 ZINC001195394403 846529377 /nfs/dbraw/zinc/52/93/77/846529377.db2.gz ONZXEKSBIZQKOC-UHFFFAOYSA-N 0 1 292.383 0.689 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCCC2CC2)C1 ZINC001195510662 846552153 /nfs/dbraw/zinc/55/21/53/846552153.db2.gz RILHYQWLJFQCLF-ZIAGYGMSSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCCCC(=O)N1CCCN(CCOCCOC)CC1 ZINC001195675656 846595923 /nfs/dbraw/zinc/59/59/23/846595923.db2.gz XISUDGVEPIRNCX-UHFFFAOYSA-N 0 1 296.411 0.987 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)CC(C)(C)O)CC1 ZINC001195660053 846600232 /nfs/dbraw/zinc/60/02/32/846600232.db2.gz QTVVDKBCWSHHIV-UHFFFAOYSA-N 0 1 284.400 0.884 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001195726146 846602736 /nfs/dbraw/zinc/60/27/36/846602736.db2.gz SONOJAXWEQVDJU-CHWSQXEVSA-N 0 1 270.373 0.541 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(Cc2n[nH]c(C)n2)C[C@H]1O ZINC001195733035 846604140 /nfs/dbraw/zinc/60/41/40/846604140.db2.gz WKYXCSHXRUNKOT-VXGBXAGGSA-N 0 1 293.371 0.131 20 30 CCEDMN CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2ccc(C#N)cc2O)C1 ZINC001195764133 846613633 /nfs/dbraw/zinc/61/36/33/846613633.db2.gz GYVFMSRVXVUQFS-MGCOHNPYSA-N 0 1 294.332 0.569 20 30 CCEDMN COc1ccnc(C(=N)NC(=O)c2ccc(C#N)cc2O)n1 ZINC001195765264 846614018 /nfs/dbraw/zinc/61/40/18/846614018.db2.gz ZHKLGFWNXZSVHP-UHFFFAOYSA-N 0 1 297.274 0.818 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN([C@@H](CC)C(N)=O)CC2 ZINC001273705487 846616062 /nfs/dbraw/zinc/61/60/62/846616062.db2.gz ZRJFMCVCGARQEK-NEPJUHHUSA-N 0 1 277.368 0.102 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@H]2O)CC1 ZINC001195905903 846648321 /nfs/dbraw/zinc/64/83/21/846648321.db2.gz FKBKVBDXTVJCSB-YNEHKIRRSA-N 0 1 282.384 0.275 20 30 CCEDMN C#CCC1(C(=O)N2CCCN(CCO)CC2)CCC1 ZINC001196107546 846680384 /nfs/dbraw/zinc/68/03/84/846680384.db2.gz PMKMHEZLXJDBSO-UHFFFAOYSA-N 0 1 264.369 0.707 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1cc(Cl)ncc1O ZINC001196388649 846740940 /nfs/dbraw/zinc/74/09/40/846740940.db2.gz BGDNZIBHQYCMAT-ZETCQYMHSA-N 0 1 266.688 0.378 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC[C@@H]2CCCOC2)C1 ZINC001197342732 846893613 /nfs/dbraw/zinc/89/36/13/846893613.db2.gz NAGYKRUYIMJHHQ-RRFJBIMHSA-N 0 1 296.411 0.931 20 30 CCEDMN C[C@@H](CCC#N)N1CCC(O)(c2ncnn2C)CC1 ZINC001197460003 846925914 /nfs/dbraw/zinc/92/59/14/846925914.db2.gz UEMBQYYSTHVQDG-NSHDSACASA-N 0 1 263.345 0.791 20 30 CCEDMN Cc1cc(C(=O)N2CCOC[C@@H]2C#N)nn1-c1ccncc1 ZINC001197533118 846927288 /nfs/dbraw/zinc/92/72/88/846927288.db2.gz LBHXQIPNNDVHOG-ZDUSSCGKSA-N 0 1 297.318 0.940 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1ccnn1)C2 ZINC001110495933 846988895 /nfs/dbraw/zinc/98/88/95/846988895.db2.gz OESUMUVGTMFSHM-MCIONIFRSA-N 0 1 287.367 0.413 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)C(F)(F)F)C1 ZINC001197991225 847022188 /nfs/dbraw/zinc/02/21/88/847022188.db2.gz NNNRRHFTRYWPMP-NXEZZACHSA-N 0 1 292.301 0.760 20 30 CCEDMN Cc1cc([C@@H]2CCCCN2CC(=O)NCC#N)n[nH]1 ZINC001198122629 847053477 /nfs/dbraw/zinc/05/34/77/847053477.db2.gz QZWGIEYIAUPBSO-LBPRGKRZSA-N 0 1 261.329 0.885 20 30 CCEDMN COc1ccccc1[C@H](CNC(=O)[C@@H](C)C#N)NCCO ZINC001198617108 847139382 /nfs/dbraw/zinc/13/93/82/847139382.db2.gz MFLIOAQCQVXPKH-AAEUAGOBSA-N 0 1 291.351 0.594 20 30 CCEDMN CN(C)c1cc(NC(=O)c2cnc(C#N)cn2)n[nH]1 ZINC001199665827 847390234 /nfs/dbraw/zinc/39/02/34/847390234.db2.gz XPFPLCBPVLWWKQ-UHFFFAOYSA-N 0 1 257.257 0.390 20 30 CCEDMN C#CCOC[C@@H](O)CNCC(=O)Nc1ccc(F)cc1 ZINC001251897125 847405349 /nfs/dbraw/zinc/40/53/49/847405349.db2.gz SRFFIJGTXZBPDT-ZDUSSCGKSA-N 0 1 280.299 0.365 20 30 CCEDMN C=CCSc1ncccc1C(=O)NCc1nn[nH]n1 ZINC001199739189 847415194 /nfs/dbraw/zinc/41/51/94/847415194.db2.gz WGUOKJQEGUJKRX-UHFFFAOYSA-N 0 1 276.325 0.803 20 30 CCEDMN C=CCN1CC[C@@]2(CCN([C@H](COC)C(=O)OC)C2)C1=O ZINC001273803319 847531262 /nfs/dbraw/zinc/53/12/62/847531262.db2.gz FQAKKCDMYDTVLZ-DOMZBBRYSA-N 0 1 296.367 0.285 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2[C@@H](C)COC ZINC001110551354 847586214 /nfs/dbraw/zinc/58/62/14/847586214.db2.gz WBPCGFIMEQHITB-YJNKXOJESA-N 0 1 294.395 0.783 20 30 CCEDMN C#CCOCCC(=O)N1CCC(NCc2cnon2)CC1 ZINC001200523655 847646632 /nfs/dbraw/zinc/64/66/32/847646632.db2.gz XKDBCUOIOBFQGO-UHFFFAOYSA-N 0 1 292.339 0.190 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2C(C)(C)C(=O)NC)C1=O ZINC001273839558 847650280 /nfs/dbraw/zinc/65/02/80/847650280.db2.gz ROFFAOBXPSEYDD-OAHLLOKOSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H](C)NC(N)=O)C2 ZINC001110562441 847762352 /nfs/dbraw/zinc/76/23/52/847762352.db2.gz PTQUFJTUDCJTLJ-LOWDOPEQSA-N 0 1 292.383 0.178 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCN[C@H](C)c1cnccn1 ZINC001153148767 847934489 /nfs/dbraw/zinc/93/44/89/847934489.db2.gz LEECQMCBHHKZEF-VXGBXAGGSA-N 0 1 278.356 0.835 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(CC)CCNC1=O)C2 ZINC001110685968 847963983 /nfs/dbraw/zinc/96/39/83/847963983.db2.gz HVCSRWLPYKHTAX-NFFDBFGFSA-N 0 1 291.395 0.810 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCNC(N)=O)C2 ZINC001110781545 848001420 /nfs/dbraw/zinc/00/14/20/848001420.db2.gz WSGKMDLIJUYLME-UPJWGTAASA-N 0 1 294.399 0.733 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCC1(F)F ZINC001114446907 848067217 /nfs/dbraw/zinc/06/72/17/848067217.db2.gz BFIUBFUGIAPTGJ-QNWHQSFQSA-N 0 1 298.333 0.728 20 30 CCEDMN N#CCCCN1CC[C@@]2(C1)CN(CC(F)F)C(=O)CO2 ZINC001274040489 848315896 /nfs/dbraw/zinc/31/58/96/848315896.db2.gz PTGCKXAEPYSBEP-CYBMUJFWSA-N 0 1 287.310 0.859 20 30 CCEDMN C#CCN1c2ccccc2[C@@]2(CCN(CC(N)=O)C2)C1=O ZINC001274053927 848329265 /nfs/dbraw/zinc/32/92/65/848329265.db2.gz IAAVPUCMELHHQP-INIZCTEOSA-N 0 1 283.331 0.095 20 30 CCEDMN C=C(C)CCC(=O)NCC=CC[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001274069411 848338295 /nfs/dbraw/zinc/33/82/95/848338295.db2.gz RBMZQOCCGLGLDR-ONEGZZNKSA-N 0 1 279.344 0.629 20 30 CCEDMN CNC(=O)CN1CCCC[C@@]12CC(=O)N(CCCC#N)C2 ZINC001274076355 848343554 /nfs/dbraw/zinc/34/35/54/848343554.db2.gz ZVWRGCJIBJRFEL-HNNXBMFYSA-N 0 1 292.383 0.493 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001110863827 848415865 /nfs/dbraw/zinc/41/58/65/848415865.db2.gz XNRFZTFQKZMIGQ-HGTKMLMNSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)CCCCN2Cc1nnc[nH]1 ZINC001274354331 848455464 /nfs/dbraw/zinc/45/54/64/848455464.db2.gz GTZOAHGHGMJDNA-AWEZNQCLSA-N 0 1 273.340 0.395 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1nnc[nH]1 ZINC001274354968 848458074 /nfs/dbraw/zinc/45/80/74/848458074.db2.gz ZLHNKFHRYBPCCM-GHMZBOCLSA-N 0 1 259.313 0.003 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)[C@@H]1CC12CC2 ZINC001274392703 848467113 /nfs/dbraw/zinc/46/71/13/848467113.db2.gz HIHZHZLMMVUEQL-MVZIDQBPSA-N 0 1 277.368 0.351 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2ccc(F)cn2)CC1 ZINC001274903951 848588641 /nfs/dbraw/zinc/58/86/41/848588641.db2.gz SBBYMGWJTYKHQZ-UHFFFAOYSA-N 0 1 293.342 0.963 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)CNCc1cc(C)n(C)n1 ZINC001275149925 848650900 /nfs/dbraw/zinc/65/09/00/848650900.db2.gz RCFZVFJQMMYWJX-LBPRGKRZSA-N 0 1 292.383 0.363 20 30 CCEDMN CCCOCC(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001275514076 848744364 /nfs/dbraw/zinc/74/43/64/848744364.db2.gz VAXLNYCDYHKCQU-ZDUSSCGKSA-N 0 1 270.373 0.499 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCCOC1 ZINC001275519611 848749047 /nfs/dbraw/zinc/74/90/47/848749047.db2.gz ABNVZDYFXNJXIG-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H](C)Oc1cccnc1 ZINC001275648601 848782760 /nfs/dbraw/zinc/78/27/60/848782760.db2.gz MHHCTPSESSYYJF-STQMWFEESA-N 0 1 275.352 0.919 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)Cc1cnn(CC)c1 ZINC001275697372 848794802 /nfs/dbraw/zinc/79/48/02/848794802.db2.gz IDCNNUDEPITHON-LBPRGKRZSA-N 0 1 262.357 0.515 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccc(NC(N)=O)cc1 ZINC001275712788 848798190 /nfs/dbraw/zinc/79/81/90/848798190.db2.gz KTPLKYDFMWMZKJ-LLVKDONJSA-N 0 1 288.351 0.861 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnn(C)c1C1CC1 ZINC001275736952 848804556 /nfs/dbraw/zinc/80/45/56/848804556.db2.gz DCTHQDMHROUZOU-LLVKDONJSA-N 0 1 274.368 0.981 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnc2n1CCC2 ZINC001275850420 848845017 /nfs/dbraw/zinc/84/50/17/848845017.db2.gz OIAFQVFLOIITBM-LLVKDONJSA-N 0 1 260.341 0.513 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)COCC(F)F ZINC001275886752 848857122 /nfs/dbraw/zinc/85/71/22/848857122.db2.gz FCTWDUNUFMGGBZ-JTQLQIEISA-N 0 1 262.300 0.728 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)CCn1ccnc1 ZINC001275897639 848860068 /nfs/dbraw/zinc/86/00/68/848860068.db2.gz RIYODGZBFOIFLC-CQSZACIVSA-N 0 1 292.383 0.360 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)Cn1ccc(C)cc1=O ZINC001275947916 848872394 /nfs/dbraw/zinc/87/23/94/848872394.db2.gz YVAMHPVOTRNUDU-ZDUSSCGKSA-N 0 1 275.352 0.226 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCCNCc1nnc(C)[nH]1 ZINC001276001944 848887973 /nfs/dbraw/zinc/88/79/73/848887973.db2.gz MOPKCTFDTSUJCU-NSHDSACASA-N 0 1 293.371 0.090 20 30 CCEDMN CS(=O)(=O)CS(=O)(=O)Nc1cc(F)cc(C#N)c1 ZINC000720004012 848971715 /nfs/dbraw/zinc/97/17/15/848971715.db2.gz AVOHETUIPGZBOG-UHFFFAOYSA-N 0 1 292.313 0.441 20 30 CCEDMN C[N@H+]1CCN(Cc2ccc(F)c(C#N)c2)C[C@H]1CO ZINC000687597532 849122389 /nfs/dbraw/zinc/12/23/89/849122389.db2.gz GBYPDJGBZAXDFF-ZDUSSCGKSA-N 0 1 263.316 0.806 20 30 CCEDMN CN1CCN(Cc2ccc(F)c(C#N)c2)C[C@H]1CO ZINC000687597532 849122398 /nfs/dbraw/zinc/12/23/98/849122398.db2.gz GBYPDJGBZAXDFF-ZDUSSCGKSA-N 0 1 263.316 0.806 20 30 CCEDMN CN(CCCNC(=O)c1cnn[nH]1)c1ccc(C#N)nc1 ZINC001095779160 849139428 /nfs/dbraw/zinc/13/94/28/849139428.db2.gz ZCZAURCJHWXLHG-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1[C@@H]3COC[C@@H]31)C2 ZINC001095882233 849236559 /nfs/dbraw/zinc/23/65/59/849236559.db2.gz XWDFTHZPJXGKPD-BSOLPCOYSA-N 0 1 262.353 0.786 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H](C2OCCO2)C1 ZINC000718976555 849282464 /nfs/dbraw/zinc/28/24/64/849282464.db2.gz ULFBGNXPJSLCPH-NSHDSACASA-N 0 1 297.355 0.083 20 30 CCEDMN CCC[C@H](OC)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114672663 849356653 /nfs/dbraw/zinc/35/66/53/849356653.db2.gz SLAQYAFHDGZZNV-LJISPDSOSA-N 0 1 294.395 0.498 20 30 CCEDMN COCC#CC[NH+]1CC([C@H](C)NC(=O)[C@H]2CCC[N@H+]2C)C1 ZINC001276360949 849450897 /nfs/dbraw/zinc/45/08/97/849450897.db2.gz NHQYFPPAHJKVCX-DZGCQCFKSA-N 0 1 293.411 0.167 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)o1 ZINC000720462236 849465290 /nfs/dbraw/zinc/46/52/90/849465290.db2.gz IQWIGCQXGRLPKF-QMMMGPOBSA-N 0 1 272.268 0.684 20 30 CCEDMN CC[C@H]1CN(C(=O)CCc2c[nH]nn2)CC[C@@H]1NCC#N ZINC001037924034 849607074 /nfs/dbraw/zinc/60/70/74/849607074.db2.gz WCGDHDRYPSQEHW-AAEUAGOBSA-N 0 1 290.371 0.478 20 30 CCEDMN CC[C@H]1CN(C(=O)CCc2cnn[nH]2)CC[C@@H]1NCC#N ZINC001037924034 849607079 /nfs/dbraw/zinc/60/70/79/849607079.db2.gz WCGDHDRYPSQEHW-AAEUAGOBSA-N 0 1 290.371 0.478 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCCN(C)C(=O)c1ccn[nH]1 ZINC001066891227 849673950 /nfs/dbraw/zinc/67/39/50/849673950.db2.gz KBLOWPFOAPBEFC-UHFFFAOYSA-N 0 1 290.367 0.990 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1Nc1ccncc1C#N ZINC001067029680 849714608 /nfs/dbraw/zinc/71/46/08/849714608.db2.gz ODCWOLYETVQEDV-HZMBPMFUSA-N 0 1 296.334 0.671 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001038544899 849937260 /nfs/dbraw/zinc/93/72/60/849937260.db2.gz YHTMIJFQNMMNGA-LJISPDSOSA-N 0 1 292.379 0.252 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001038568283 849944543 /nfs/dbraw/zinc/94/45/43/849944543.db2.gz LZPOWSZFLGKHAF-CYBMUJFWSA-N 0 1 274.368 0.864 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001038706828 849988207 /nfs/dbraw/zinc/98/82/07/849988207.db2.gz CUWWQIYLPITPEC-FRRDWIJNSA-N 0 1 279.384 0.655 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001038715501 849994040 /nfs/dbraw/zinc/99/40/40/849994040.db2.gz ICGVTIYYPWHRSU-BFHYXJOUSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1nccn2ccnc12 ZINC001038715467 849994656 /nfs/dbraw/zinc/99/46/56/849994656.db2.gz HLHXNUYYJYELAG-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001038819338 850025831 /nfs/dbraw/zinc/02/58/31/850025831.db2.gz YVBNWGWNMYVLNL-LBPRGKRZSA-N 0 1 290.367 0.643 20 30 CCEDMN O=C(NC[C@H]1CC[N@H+]1CC#Cc1ccccc1)c1nnc[n-]1 ZINC001038824902 850028164 /nfs/dbraw/zinc/02/81/64/850028164.db2.gz DJQQIZCFDHVLRY-CQSZACIVSA-N 0 1 295.346 0.661 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cccc(C(N)=O)n1 ZINC001039066496 850146371 /nfs/dbraw/zinc/14/63/71/850146371.db2.gz HJUHERWKGZGPQC-LLVKDONJSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCOC1 ZINC001039336753 850175202 /nfs/dbraw/zinc/17/52/02/850175202.db2.gz OQAFIYAIXZKFGK-MCIONIFRSA-N 0 1 262.353 0.721 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(=O)cn1 ZINC001039425962 850190172 /nfs/dbraw/zinc/19/01/72/850190172.db2.gz HMWHIKZONSIANE-NEPJUHHUSA-N 0 1 288.351 0.635 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccccc1=O ZINC001039468414 850195373 /nfs/dbraw/zinc/19/53/73/850195373.db2.gz LXWSGZIOWGAKCH-CABCVRRESA-N 0 1 299.374 0.547 20 30 CCEDMN C#CCN1CCC[C@@]2(CCN(C(=O)c3nccnc3N)C2)C1 ZINC001040124667 850271048 /nfs/dbraw/zinc/27/10/48/850271048.db2.gz WGXMIPOJQOUZCJ-MRXNPFEDSA-N 0 1 299.378 0.620 20 30 CCEDMN C[C@H](C(N)=O)N1CCC2(CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001040636912 850309956 /nfs/dbraw/zinc/30/99/56/850309956.db2.gz UJTLLAQXLJCHRA-NWDGAFQWSA-N 0 1 292.383 0.334 20 30 CCEDMN CNC(=O)CN1CCC2(CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001040641355 850311859 /nfs/dbraw/zinc/31/18/59/850311859.db2.gz OSWMFCJZKRXALC-LBPRGKRZSA-N 0 1 292.383 0.207 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CC[C@@]2(CCN(CC#N)C2)C1 ZINC001041408174 850442784 /nfs/dbraw/zinc/44/27/84/850442784.db2.gz LJOGAKPKNXWRBM-GDBMZVCRSA-N 0 1 290.411 0.919 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3n[nH]cc3F)C[C@H]21 ZINC001042218257 850598339 /nfs/dbraw/zinc/59/83/39/850598339.db2.gz IRJOSGYGNOFSGO-ZYHUDNBSSA-N 0 1 276.315 0.718 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3nc(C)c[nH]3)C[C@H]21 ZINC001042262409 850605271 /nfs/dbraw/zinc/60/52/71/850605271.db2.gz KMBMAMSYDSGIAB-QWHCGFSZSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cccnn3)C[C@H]21 ZINC001042284328 850608814 /nfs/dbraw/zinc/60/88/14/850608814.db2.gz UYYPAZABZPVRMF-GXTWGEPZSA-N 0 1 270.336 0.646 20 30 CCEDMN C=CCN1CC(N(C)C(=O)c2cccc3nn[nH]c32)C1 ZINC001042775795 850757955 /nfs/dbraw/zinc/75/79/55/850757955.db2.gz IDTVJHLPZKZQSZ-UHFFFAOYSA-N 0 1 271.324 0.900 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@@H]2CCc3[nH]c(C)nc3C2)C1 ZINC001043139349 850820638 /nfs/dbraw/zinc/82/06/38/850820638.db2.gz FUJNOBYHZIZZHO-GFCCVEGCSA-N 0 1 286.379 0.599 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2occc2CN(C)C)C1 ZINC001043666716 850919143 /nfs/dbraw/zinc/91/91/43/850919143.db2.gz JFWNVBKXIURPPP-UHFFFAOYSA-N 0 1 275.352 0.731 20 30 CCEDMN CN(C(=O)c1cccc2[nH]cnc21)C1CN(CC#N)C1 ZINC001044080804 851004234 /nfs/dbraw/zinc/00/42/34/851004234.db2.gz UEYWHOYDYAYGMP-UHFFFAOYSA-N 0 1 269.308 0.843 20 30 CCEDMN CN(C(=O)[C@@H]1CCc2[nH]cnc2C1)C1CN(CC#N)C1 ZINC001044153564 851025061 /nfs/dbraw/zinc/02/50/61/851025061.db2.gz RYMZPJOPOLVWEB-SNVBAGLBSA-N 0 1 273.340 0.181 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cnccc2N(C)C)C1 ZINC001044193464 851036831 /nfs/dbraw/zinc/03/68/31/851036831.db2.gz MDGHKCVRRJSBBR-UHFFFAOYSA-N 0 1 272.352 0.537 20 30 CCEDMN C[C@@H]1C[C@@H](NCC#N)CCN1C(=O)C1=NC(=O)N(C)C1 ZINC001044732224 851150307 /nfs/dbraw/zinc/15/03/07/851150307.db2.gz ASBJSIHQXNHEAS-ZJUUUORDSA-N 0 1 277.328 0.232 20 30 CCEDMN C[C@H]1C[C@H](NCC#N)CCN1C(=O)CCc1c[nH]nn1 ZINC001044751588 851154035 /nfs/dbraw/zinc/15/40/35/851154035.db2.gz WFUICMCVZOAEHQ-WDEREUQCSA-N 0 1 276.344 0.230 20 30 CCEDMN C[C@H]1C[C@H](NCC#N)CCN1C(=O)CCc1cnn[nH]1 ZINC001044751588 851154040 /nfs/dbraw/zinc/15/40/40/851154040.db2.gz WFUICMCVZOAEHQ-WDEREUQCSA-N 0 1 276.344 0.230 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cn(CC)nn2)CC1 ZINC001045600955 851286794 /nfs/dbraw/zinc/28/67/94/851286794.db2.gz KKNTWZDZUIXLER-UHFFFAOYSA-N 0 1 275.356 0.516 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCO2)C1 ZINC001046121552 851385304 /nfs/dbraw/zinc/38/53/04/851385304.db2.gz JCHNJHFQTGBFRQ-JSGCOSHPSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2nnn(C)c2C)C1 ZINC001046209350 851424418 /nfs/dbraw/zinc/42/44/18/851424418.db2.gz BEURCMDIWCGSMD-AWEZNQCLSA-N 0 1 275.356 0.341 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCCCO2)C1 ZINC001046235584 851431912 /nfs/dbraw/zinc/43/19/12/851431912.db2.gz ICPRRTHRQDMWHE-OCCSQVGLSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C2CCC(O)CC2)C1 ZINC001046270903 851446637 /nfs/dbraw/zinc/44/66/37/851446637.db2.gz BPAPAMGWDLXQHY-SSDMNJCBSA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001046326804 851466992 /nfs/dbraw/zinc/46/69/92/851466992.db2.gz WHLUCQJMVGIYJS-IOASZLSFSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc3c(c2)nnn3C)C1 ZINC001046423264 851495922 /nfs/dbraw/zinc/49/59/22/851495922.db2.gz ZVYICTDRFWEIGA-MRXNPFEDSA-N 0 1 297.362 0.796 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)c3ncn[nH]3)C2)nc1 ZINC001046432122 851500050 /nfs/dbraw/zinc/50/00/50/851500050.db2.gz VEVARSPADYXXIT-PHIMTYICSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)c3nc[nH]n3)C2)nc1 ZINC001046432122 851500053 /nfs/dbraw/zinc/50/00/53/851500053.db2.gz VEVARSPADYXXIT-PHIMTYICSA-N 0 1 297.322 0.692 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001046429450 851500205 /nfs/dbraw/zinc/50/02/05/851500205.db2.gz SHAXOYZBSWXKEC-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccnc(OC)n2)C1 ZINC001046477283 851521376 /nfs/dbraw/zinc/52/13/76/851521376.db2.gz RVFWFWCGEGQDDK-CQSZACIVSA-N 0 1 276.340 0.865 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2csnn2)C1 ZINC001046512311 851528898 /nfs/dbraw/zinc/52/88/98/851528898.db2.gz DAGKXTZXRIJWMR-LLVKDONJSA-N 0 1 252.343 0.918 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001046604058 851562367 /nfs/dbraw/zinc/56/23/67/851562367.db2.gz GOKVVLHURAPONS-IUODEOHRSA-N 0 1 277.368 0.259 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)c3cnn[nH]3)C2)nc1 ZINC001046655518 851574845 /nfs/dbraw/zinc/57/48/45/851574845.db2.gz ZYGFHMUBKJPXFI-PHIMTYICSA-N 0 1 297.322 0.692 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001046767149 851609343 /nfs/dbraw/zinc/60/93/43/851609343.db2.gz GBQGCSJXGVJEMG-INIZCTEOSA-N 0 1 286.379 0.736 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001046767149 851609347 /nfs/dbraw/zinc/60/93/47/851609347.db2.gz GBQGCSJXGVJEMG-INIZCTEOSA-N 0 1 286.379 0.736 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)[C@@H]2CN(C(C)=O)CCO2)C1 ZINC001046814902 851620792 /nfs/dbraw/zinc/62/07/92/851620792.db2.gz MXSVBNJPBLSENC-DZGCQCFKSA-N 0 1 295.383 0.000 20 30 CCEDMN N#Cc1nccnc1N[C@H]1C[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001047086662 851668492 /nfs/dbraw/zinc/66/84/92/851668492.db2.gz CEAQUMADMUICNR-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(CC(N)=O)C3)C1 ZINC001047141965 851674126 /nfs/dbraw/zinc/67/41/26/851674126.db2.gz BUEVVYTYSGXCMZ-AVGNSLFASA-N 0 1 291.395 0.797 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C(C)(C)F)C1 ZINC001047277075 851691716 /nfs/dbraw/zinc/69/17/16/851691716.db2.gz LHUABEZERUNJEF-QWRGUYRKSA-N 0 1 256.321 0.261 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)CC2CCOCC2)C1 ZINC001047329512 851720414 /nfs/dbraw/zinc/72/04/14/851720414.db2.gz QGMXPGLZRIYGBD-KBPBESRZSA-N 0 1 282.384 0.493 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2nn(C)cc2C)C1 ZINC001047377305 851742518 /nfs/dbraw/zinc/74/25/18/851742518.db2.gz KKTCNNSIDPLLTD-STQMWFEESA-N 0 1 292.383 0.422 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)nn2)C1 ZINC001047376490 851742922 /nfs/dbraw/zinc/74/29/22/851742922.db2.gz NAHAOWBOVGQIAY-KBPBESRZSA-N 0 1 290.367 0.478 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccncc2C)C1 ZINC001047379447 851745767 /nfs/dbraw/zinc/74/57/67/851745767.db2.gz AIKDNODXZXWCON-GJZGRUSLSA-N 0 1 289.379 0.622 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2C[C@H]2C)C1 ZINC001047485813 851783344 /nfs/dbraw/zinc/78/33/44/851783344.db2.gz ZNQIJUROGPKSTQ-NDBYEHHHSA-N 0 1 252.358 0.722 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2(C)CC=CC2)C1 ZINC001047515943 851795845 /nfs/dbraw/zinc/79/58/45/851795845.db2.gz SRFIEOSZJYRQKO-KBPBESRZSA-N 0 1 276.380 0.870 20 30 CCEDMN C=CC[NH+]1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCCC[N@H+]2CC)C1 ZINC001047638529 851843790 /nfs/dbraw/zinc/84/37/90/851843790.db2.gz XBZNQJUCKJCGEQ-KKUMJFAQSA-N 0 1 295.427 0.550 20 30 CCEDMN CCN(CC#N)CCCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001490325845 900605115 /nfs/dbraw/zinc/60/51/15/900605115.db2.gz ZYCRYDWUICJLLJ-NXEZZACHSA-N 0 1 254.290 0.003 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2C[C@H]3CN(CCF)C[C@H]3C2)c1 ZINC001048750367 852046492 /nfs/dbraw/zinc/04/64/92/852046492.db2.gz WOFKCMPNQVDINS-TXEJJXNPSA-N 0 1 276.315 0.860 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnn(C)c1 ZINC001049318113 852227452 /nfs/dbraw/zinc/22/74/52/852227452.db2.gz JDZJNZJZOGKIIB-KGLIPLIRSA-N 0 1 272.352 0.732 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cncnc1 ZINC001049438081 852275479 /nfs/dbraw/zinc/27/54/79/852275479.db2.gz VVRLCZBVXRQKNN-ZIAGYGMSSA-N 0 1 270.336 0.789 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnnn1C ZINC001049451175 852281569 /nfs/dbraw/zinc/28/15/69/852281569.db2.gz OZAWGKZZBDRCLP-CHWSQXEVSA-N 0 1 287.367 0.517 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(=O)n(C)o1 ZINC001049448203 852281717 /nfs/dbraw/zinc/28/17/17/852281717.db2.gz XYKXEAGOKFRALZ-NWDGAFQWSA-N 0 1 289.335 0.290 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc(=O)n(C)o1 ZINC001049448203 852281727 /nfs/dbraw/zinc/28/17/27/852281727.db2.gz XYKXEAGOKFRALZ-NWDGAFQWSA-N 0 1 289.335 0.290 20 30 CCEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnnn1C ZINC001049451178 852281806 /nfs/dbraw/zinc/28/18/06/852281806.db2.gz OZAWGKZZBDRCLP-STQMWFEESA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ncc[nH]1 ZINC001049472220 852292099 /nfs/dbraw/zinc/29/20/99/852292099.db2.gz RMOGKFPUXWVBJS-RYUDHWBXSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)CO[C@H]1CCOC1 ZINC001049476019 852292130 /nfs/dbraw/zinc/29/21/30/852292130.db2.gz QVRPQKSAYQVEHU-RRFJBIMHSA-N 0 1 292.379 0.490 20 30 CCEDMN N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)CCc1cnc[nH]1 ZINC001049516573 852300029 /nfs/dbraw/zinc/30/00/29/852300029.db2.gz DFIOFYZAUATLQC-UONOGXRCSA-N 0 1 287.367 0.931 20 30 CCEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C(C)(C)C(N)=O ZINC001049530982 852302850 /nfs/dbraw/zinc/30/28/50/852302850.db2.gz GLSNNRRGOCYCNL-OLZOCXBDSA-N 0 1 291.395 0.587 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cn[nH]n1 ZINC001049570571 852318055 /nfs/dbraw/zinc/31/80/55/852318055.db2.gz NVNUHEWKQONWTQ-NWDGAFQWSA-N 0 1 259.313 0.117 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnn2cc[nH]c12 ZINC001049865047 852387941 /nfs/dbraw/zinc/38/79/41/852387941.db2.gz VHQKBQKNTJOSIR-KGLIPLIRSA-N 0 1 297.362 0.975 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cn3cccn3)[C@@H]2C1 ZINC001049975361 852411016 /nfs/dbraw/zinc/41/10/16/852411016.db2.gz SGYXJZKUXVEJRR-UONOGXRCSA-N 0 1 272.352 0.439 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3cn[nH]c3)[C@@H]2C1 ZINC001049987017 852415421 /nfs/dbraw/zinc/41/54/21/852415421.db2.gz YWPORNRZSKNGSA-GXTWGEPZSA-N 0 1 272.352 0.969 20 30 CCEDMN N#Cc1cnc(N[C@H](CNC(=O)c2cnn[nH]2)C2CC2)cn1 ZINC001096853932 852457913 /nfs/dbraw/zinc/45/79/13/852457913.db2.gz PHFAHLCNKLHTQT-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CC#N)c1C ZINC001096890355 852462377 /nfs/dbraw/zinc/46/23/77/852462377.db2.gz AKEIILQBOADDDP-UTUOFQBUSA-N 0 1 273.340 0.885 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCOC1)C2 ZINC001096866178 852464602 /nfs/dbraw/zinc/46/46/02/852464602.db2.gz AMWALHPPYGSKSX-DGAVXFQQSA-N 0 1 262.353 0.768 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(C)CCNC(=O)C1)C2 ZINC001097321807 852527635 /nfs/dbraw/zinc/52/76/35/852527635.db2.gz WKCLGHQCRWQMKT-FOCJUVANSA-N 0 1 291.395 0.810 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H]1CCCN1C)Nc1ccc(C#N)nn1 ZINC001097729283 852592961 /nfs/dbraw/zinc/59/29/61/852592961.db2.gz HVRMSIFYMPVQOM-JQWIXIFHSA-N 0 1 288.355 0.359 20 30 CCEDMN C=C(C)CN1CC2(C1)CN(C(=O)[C@@H]1CCCN1C)CCO2 ZINC001053189814 852705386 /nfs/dbraw/zinc/70/53/86/852705386.db2.gz BCHWQQVGRFNJIK-AWEZNQCLSA-N 0 1 293.411 0.570 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@@H](CNC(=O)c1ccn[nH]1)O2 ZINC001053574379 852762209 /nfs/dbraw/zinc/76/22/09/852762209.db2.gz CHMLZEBKDSNJKE-LBPRGKRZSA-N 0 1 290.367 0.949 20 30 CCEDMN N#CCN1Cc2ccccc2C[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001054056529 852874451 /nfs/dbraw/zinc/87/44/51/852874451.db2.gz KEJSHASIZKVSSK-CYBMUJFWSA-N 0 1 296.334 0.485 20 30 CCEDMN N#CCN1Cc2ccccc2C[C@@H]1CNC(=O)c1nc[nH]n1 ZINC001054056529 852874456 /nfs/dbraw/zinc/87/44/56/852874456.db2.gz KEJSHASIZKVSSK-CYBMUJFWSA-N 0 1 296.334 0.485 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2nccnc2N)C[C@H]1C ZINC001054491237 852958836 /nfs/dbraw/zinc/95/88/36/852958836.db2.gz CWOSVFFZXKAZLD-SCZZXKLOSA-N 0 1 295.774 0.861 20 30 CCEDMN C[C@@H]1CN(C(=O)c2occc2CN(C)C)C[C@@H]1NCC#N ZINC001055014344 853053794 /nfs/dbraw/zinc/05/37/94/853053794.db2.gz DJNCWBOPJJXHFH-YPMHNXCESA-N 0 1 290.367 0.915 20 30 CCEDMN Cc1ccc(C#N)c(N[C@@H](C)CNC(=O)c2ncn[nH]2)n1 ZINC001097995456 853083687 /nfs/dbraw/zinc/08/36/87/853083687.db2.gz SVYYUEAVPHESNO-VIFPVBQESA-N 0 1 285.311 0.610 20 30 CCEDMN Cc1ccc(C#N)c(N[C@@H](C)CNC(=O)c2nc[nH]n2)n1 ZINC001097995456 853083694 /nfs/dbraw/zinc/08/36/94/853083694.db2.gz SVYYUEAVPHESNO-VIFPVBQESA-N 0 1 285.311 0.610 20 30 CCEDMN CC(=O)NC1CCN(CCNC(=O)C#CC(C)C)CC1 ZINC001055572793 853087532 /nfs/dbraw/zinc/08/75/32/853087532.db2.gz JRFCCYGIFCGYEH-UHFFFAOYSA-N 0 1 279.384 0.363 20 30 CCEDMN N#Cc1nccnc1N[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001056595767 853176867 /nfs/dbraw/zinc/17/68/67/853176867.db2.gz SBYYFZJBUGWJRX-LLVKDONJSA-N 0 1 297.322 0.327 20 30 CCEDMN CN(c1cncc(C#N)n1)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001056870079 853237321 /nfs/dbraw/zinc/23/73/21/853237321.db2.gz XBRQRSXJFPCLMD-LLVKDONJSA-N 0 1 297.322 0.422 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cc(=O)n(C)o3)[C@@H]2C1 ZINC001050032747 853299470 /nfs/dbraw/zinc/29/94/70/853299470.db2.gz CDQKYJDQBVOIRR-NWDGAFQWSA-N 0 1 289.335 0.148 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H](OC)C3CC3)[C@@H]2C1 ZINC001050038035 853300059 /nfs/dbraw/zinc/30/00/59/853300059.db2.gz HDZITCRGPFDUON-RRFJBIMHSA-N 0 1 276.380 0.967 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)c3ccn[nH]3)C2)CC1 ZINC001050444920 853374180 /nfs/dbraw/zinc/37/41/80/853374180.db2.gz ZDLDPABRPPKYGF-UHFFFAOYSA-N 0 1 259.313 0.471 20 30 CCEDMN CC#CCN1CCOC[C@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001050845020 853456296 /nfs/dbraw/zinc/45/62/96/853456296.db2.gz OZHSDBSDCKTNTI-CYBMUJFWSA-N 0 1 290.367 0.480 20 30 CCEDMN C=CCN1CCOC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001050964251 853494920 /nfs/dbraw/zinc/49/49/20/853494920.db2.gz YFFIVDVYYRNDHH-YNEHKIRRSA-N 0 1 290.367 0.516 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](N2CCN(CCCF)CC2)C1 ZINC001051998680 853673352 /nfs/dbraw/zinc/67/33/52/853673352.db2.gz XXJVRIOTCVVCSL-KBPBESRZSA-N 0 1 296.390 0.724 20 30 CCEDMN N#Cc1cncc(NC2CC(CNC(=O)c3cnn[nH]3)C2)n1 ZINC001052144345 853692133 /nfs/dbraw/zinc/69/21/33/853692133.db2.gz NIQJYZYSCSEDJX-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001058314899 853823163 /nfs/dbraw/zinc/82/31/63/853823163.db2.gz TYFULWHZWKSWGF-UONOGXRCSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001058314899 853823167 /nfs/dbraw/zinc/82/31/67/853823167.db2.gz TYFULWHZWKSWGF-UONOGXRCSA-N 0 1 299.378 0.742 20 30 CCEDMN C#CCN1CCN(S(=O)(=O)c2ccccc2O)CC1 ZINC000383616558 853861325 /nfs/dbraw/zinc/86/13/25/853861325.db2.gz GWNCPACBYVFAHO-UHFFFAOYSA-N 0 1 280.349 0.332 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCCN(C)C(=O)[C@H](C)C#N ZINC001067888148 853864239 /nfs/dbraw/zinc/86/42/39/853864239.db2.gz JCUPSHVTMWLVQT-SECBINFHSA-N 0 1 292.343 0.193 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)C(C)(F)F)[C@@H](n2ccnn2)C1 ZINC001069890391 853994997 /nfs/dbraw/zinc/99/49/97/853994997.db2.gz SKIZIAONBSSDRS-MNOVXSKESA-N 0 1 299.325 0.851 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C=C/C2CC2)C[C@@H]1n1ccnn1 ZINC001070163468 854030990 /nfs/dbraw/zinc/03/09/90/854030990.db2.gz VTADWXKMDJLCRX-IHDARNGBSA-N 0 1 285.351 0.219 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C=C2CCC2)C[C@@H]1n1ccnn1 ZINC001070179927 854032915 /nfs/dbraw/zinc/03/29/15/854032915.db2.gz QFFLIORTGJDWJY-KGLIPLIRSA-N 0 1 285.351 0.363 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](F)CC)C[C@@H]1n1ccnn1 ZINC001070250666 854037225 /nfs/dbraw/zinc/03/72/25/854037225.db2.gz SPRDTONJBLAESI-UTUOFQBUSA-N 0 1 279.319 0.001 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H](C)CC)C[C@H]1c1cn(C)cn1 ZINC001070470118 854064155 /nfs/dbraw/zinc/06/41/55/854064155.db2.gz CYXDPWJBBONPQU-KCQAQPDRSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)CC)C[C@H](C)O2 ZINC001071113817 854123925 /nfs/dbraw/zinc/12/39/25/854123925.db2.gz LLVIPZNSKRZIBT-GXTWGEPZSA-N 0 1 250.342 0.721 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cc[n+]([O-])cc2)CC[C@H]1C ZINC001071328414 854158263 /nfs/dbraw/zinc/15/82/63/854158263.db2.gz XWYWBKTXOBDYKY-UKRRQHHQSA-N 0 1 287.363 0.926 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cc(=O)n(C)o2)CC[C@H]1C ZINC001071454510 854204622 /nfs/dbraw/zinc/20/46/22/854204622.db2.gz MTXQAWVRENRSJL-VXGBXAGGSA-N 0 1 291.351 0.584 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@@H]1C ZINC001071501093 854222168 /nfs/dbraw/zinc/22/21/68/854222168.db2.gz IFLDYIDFMFGFSN-WCQYABFASA-N 0 1 289.383 0.648 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@H]1C ZINC001071587605 854242270 /nfs/dbraw/zinc/24/22/70/854242270.db2.gz DIXOGIJUWMRPDE-DGCLKSJQSA-N 0 1 288.351 0.788 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN2CCCCC2=O)CC[C@H]1C ZINC001071632182 854252156 /nfs/dbraw/zinc/25/21/56/854252156.db2.gz VFWBAHFNUZXBTP-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)C#CC1CC1 ZINC001071650974 854256020 /nfs/dbraw/zinc/25/60/20/854256020.db2.gz INTVSTNZUCXLMJ-ZWNOBZJWSA-N 0 1 286.335 0.400 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2ccc(=O)n(C)c2)CC[C@H]1C ZINC001071641806 854256217 /nfs/dbraw/zinc/25/62/17/854256217.db2.gz GBODYMRJXRIUOM-TZMCWYRMSA-N 0 1 287.363 0.601 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cc2cn(CC)nn2)CC[C@H]1C ZINC001071697603 854267662 /nfs/dbraw/zinc/26/76/62/854267662.db2.gz BYOLVLXKKYIWMO-CHWSQXEVSA-N 0 1 291.399 0.996 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cn(C)c(=O)cn2)CC[C@@H]1C ZINC001071694398 854267752 /nfs/dbraw/zinc/26/77/52/854267752.db2.gz GPHVOJOKGHYPMY-RYUDHWBXSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cn(CC)nn2)CC[C@@H]1C ZINC001071698053 854267936 /nfs/dbraw/zinc/26/79/36/854267936.db2.gz KGBZYWOVTWDFOE-QWHCGFSZSA-N 0 1 289.383 0.443 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2nnn(C)n2)CC[C@H]1C ZINC001071748456 854279493 /nfs/dbraw/zinc/27/94/93/854279493.db2.gz OIBWUTRABOPILB-MNOVXSKESA-N 0 1 278.360 0.369 20 30 CCEDMN C=CCCC(=O)N[C@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H]1C ZINC001071850406 854306776 /nfs/dbraw/zinc/30/67/76/854306776.db2.gz PUKRSCQKQYCLEI-YPMHNXCESA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(C#N)c[nH]3)C2)C1 ZINC001072592480 854424241 /nfs/dbraw/zinc/42/42/41/854424241.db2.gz GMFREQNKVYUNTO-UHFFFAOYSA-N 0 1 268.320 0.667 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnc(N(C)C)cn3)C2)C1 ZINC001072738113 854455400 /nfs/dbraw/zinc/45/54/00/854455400.db2.gz GIUIXCVACLWCFP-UHFFFAOYSA-N 0 1 299.378 0.324 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3(F)CCOCC3)C2)C1 ZINC001072803086 854466222 /nfs/dbraw/zinc/46/62/22/854466222.db2.gz BMBKHUDTIJWEMY-UHFFFAOYSA-N 0 1 280.343 0.673 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cn3cc(C4CC4)nn3)C2)C1 ZINC001072811672 854469403 /nfs/dbraw/zinc/46/94/03/854469403.db2.gz ATFJBWRYCAAAOV-UHFFFAOYSA-N 0 1 299.378 0.323 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCc3ccc(=O)[nH]c3)C2)C1 ZINC001072915138 854494767 /nfs/dbraw/zinc/49/47/67/854494767.db2.gz NOKVYHAWXFLDMG-UHFFFAOYSA-N 0 1 299.374 0.887 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)[C@H]3CCc4[nH]cnc4C3)C2)C1 ZINC001073110518 854531511 /nfs/dbraw/zinc/53/15/11/854531511.db2.gz FNAIVEKXIMRGSZ-LBPRGKRZSA-N 0 1 299.378 0.572 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001073519233 854574209 /nfs/dbraw/zinc/57/42/09/854574209.db2.gz HVTCVKSAMQXVBN-UONOGXRCSA-N 0 1 280.368 0.396 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cc(C)on2)C1 ZINC001073521205 854574444 /nfs/dbraw/zinc/57/44/44/854574444.db2.gz NUGGJRDKKHGQQE-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnc(C)cn2)C1 ZINC001073524274 854577209 /nfs/dbraw/zinc/57/72/09/854577209.db2.gz DOFRSNFMVUKLMG-ZDUSSCGKSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccn[nH]2)C1 ZINC001073543912 854585231 /nfs/dbraw/zinc/58/52/31/854585231.db2.gz SDVBRLUUHHTWNN-LLVKDONJSA-N 0 1 264.329 0.416 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cncn2C)C1 ZINC001073681378 854630992 /nfs/dbraw/zinc/63/09/92/854630992.db2.gz MAOUAEVOBNAOFP-LBPRGKRZSA-N 0 1 278.356 0.427 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccnnc2C)C1 ZINC001073680004 854631300 /nfs/dbraw/zinc/63/13/00/854631300.db2.gz WFPLCOQVMZILOY-ZDUSSCGKSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001073778492 854643987 /nfs/dbraw/zinc/64/39/87/854643987.db2.gz AOEKWXZCMYPCKW-TUVASFSCSA-N 0 1 294.395 0.947 20 30 CCEDMN CC#CCN1CCO[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001074166278 854683460 /nfs/dbraw/zinc/68/34/60/854683460.db2.gz FGMYHEAPPDJLDR-KGLIPLIRSA-N 0 1 288.351 0.348 20 30 CCEDMN C=C(C)CCN1CCO[C@@H]2CCN(C(=O)CC(N)=O)C[C@@H]21 ZINC001074200365 854695779 /nfs/dbraw/zinc/69/57/79/854695779.db2.gz RUCZNFBLBDYAPK-QWHCGFSZSA-N 0 1 295.383 0.130 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)CN3CCCC3)C[C@H]21 ZINC001074405213 854726069 /nfs/dbraw/zinc/72/60/69/854726069.db2.gz NZORABFCWQZAPX-CABCVRRESA-N 0 1 293.411 0.570 20 30 CCEDMN Cc1cc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)[C@H]2C)nn1C ZINC001074567004 854749483 /nfs/dbraw/zinc/74/94/83/854749483.db2.gz NDEUMZANDSYVRZ-OSMZGAPFSA-N 0 1 289.383 0.967 20 30 CCEDMN COc1cc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)[C@H]2C)on1 ZINC001074568507 854749932 /nfs/dbraw/zinc/74/99/32/854749932.db2.gz QFWFKLXSMDFYGN-SCVCMEIPSA-N 0 1 292.339 0.922 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)C[C@@H]1C ZINC001075058981 854809627 /nfs/dbraw/zinc/80/96/27/854809627.db2.gz XMUOCMTUZVFDMO-QWRGUYRKSA-N 0 1 291.355 0.880 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCN(C(C)=O)C1)C2 ZINC001098293762 854855250 /nfs/dbraw/zinc/85/52/50/854855250.db2.gz XYVUZJBUQBDWGZ-TUVASFSCSA-N 0 1 291.395 0.762 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnn(C)c2C)C1 ZINC001098731552 854879509 /nfs/dbraw/zinc/87/95/09/854879509.db2.gz MXBPEULLTHJYGY-BBRMVZONSA-N 0 1 286.379 0.946 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CNC(C)=O ZINC001098854870 854892388 /nfs/dbraw/zinc/89/23/88/854892388.db2.gz CIBRPXGISQAPQY-CYBMUJFWSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@]2(F)CCOC2)C1 ZINC001098992027 854904158 /nfs/dbraw/zinc/90/41/58/854904158.db2.gz ICHUGRPKTPSLQV-QEJZJMRPSA-N 0 1 280.343 0.719 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCOCC(C)C)[C@H](O)C1 ZINC001099698637 854970347 /nfs/dbraw/zinc/97/03/47/854970347.db2.gz RLDFKALOWAHAJX-HUUCEWRRSA-N 0 1 296.411 0.624 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)COC2CCCC2)[C@H](O)C1 ZINC001099697741 854971109 /nfs/dbraw/zinc/97/11/09/854971109.db2.gz DAXGJYGBKKNHAR-HUUCEWRRSA-N 0 1 294.395 0.520 20 30 CCEDMN C[C@@H](CCNC(=O)Cc1cnc[nH]1)Nc1cncc(C#N)n1 ZINC001099714081 854975173 /nfs/dbraw/zinc/97/51/73/854975173.db2.gz YXPJAVHUINUYHH-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@H](CCNC(=O)c1cnn[nH]1)Nc1cnc(C#N)cn1 ZINC001099777382 854992566 /nfs/dbraw/zinc/99/25/66/854992566.db2.gz NFOXGOKNVCLTOO-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001099823296 855003600 /nfs/dbraw/zinc/00/36/00/855003600.db2.gz BFAYEAGOUNNQOT-CABCVRRESA-N 0 1 294.395 0.400 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(C(F)F)CC2)[C@H](O)C1 ZINC001099828930 855006884 /nfs/dbraw/zinc/00/68/84/855006884.db2.gz APFWBRDGHLJXJZ-VHSXEESVSA-N 0 1 274.311 0.769 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccn(C)n2)[C@H](O)C1 ZINC001099855746 855011270 /nfs/dbraw/zinc/01/12/70/855011270.db2.gz MLYVNISAAKTUMJ-UONOGXRCSA-N 0 1 292.383 0.090 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCC(C)(F)F)[C@H](O)C1 ZINC001099896599 855021684 /nfs/dbraw/zinc/02/16/84/855021684.db2.gz LSHJTEHFRQDOHU-NWDGAFQWSA-N 0 1 288.338 0.997 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccncc2)[C@@H](O)C1 ZINC001099903989 855027073 /nfs/dbraw/zinc/02/70/73/855027073.db2.gz OPPOFNOKPYYLGU-CABCVRRESA-N 0 1 289.379 0.752 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCC(F)F)[C@H](O)C1 ZINC001099923144 855032739 /nfs/dbraw/zinc/03/27/39/855032739.db2.gz AZSQZSPETPXKPS-GHMZBOCLSA-N 0 1 274.311 0.606 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)C=C)[C@@H](O)C1 ZINC001099944288 855038804 /nfs/dbraw/zinc/03/88/04/855038804.db2.gz LLYLNBPFGVCCTN-RYUDHWBXSA-N 0 1 252.358 0.936 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCn2cccc2)[C@@H](O)C1 ZINC001100053388 855083922 /nfs/dbraw/zinc/08/39/22/855083922.db2.gz NABLEWNJLJRYRM-CABCVRRESA-N 0 1 289.379 0.453 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COc2ccsc2)[C@H](O)C1 ZINC001100074367 855092281 /nfs/dbraw/zinc/09/22/81/855092281.db2.gz MJTSYVMYWCVKMS-CHWSQXEVSA-N 0 1 296.392 0.864 20 30 CCEDMN COc1cc(CNC2CC(CNC(=O)[C@H](C)C#N)C2)on1 ZINC001100196729 855116471 /nfs/dbraw/zinc/11/64/71/855116471.db2.gz RJZWHXITBCPUTB-KPPDAEKUSA-N 0 1 292.339 0.827 20 30 CCEDMN CC(C)C#CC(=O)NCC1CC(NCc2cn(C)nn2)C1 ZINC001100309352 855143355 /nfs/dbraw/zinc/14/33/55/855143355.db2.gz QMZVCGHDEQBMBQ-UHFFFAOYSA-N 0 1 289.383 0.459 20 30 CCEDMN CN(CCNC(=O)c1[nH]ncc1F)c1cncc(C#N)n1 ZINC001100310904 855144696 /nfs/dbraw/zinc/14/46/96/855144696.db2.gz OTBJKPZOOGCVHB-UHFFFAOYSA-N 0 1 289.274 0.077 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(c1ncnc2[nH]cnc21)C1CC1 ZINC001101320390 855283254 /nfs/dbraw/zinc/28/32/54/855283254.db2.gz UTYMHGLCDLMVDZ-VIFPVBQESA-N 0 1 299.338 0.598 20 30 CCEDMN Cc1cc(C#N)nc(NCCN(C)C(=O)Cc2ccn[nH]2)n1 ZINC001101534858 855309540 /nfs/dbraw/zinc/30/95/40/855309540.db2.gz BYVAQXKFDWPSEK-UHFFFAOYSA-N 0 1 299.338 0.493 20 30 CCEDMN C#CCCCC(=O)N(C)CCNc1ncnc2[nH]cnc21 ZINC001101584458 855322247 /nfs/dbraw/zinc/32/22/47/855322247.db2.gz DNNUHUDVQXUQBA-UHFFFAOYSA-N 0 1 286.339 0.978 20 30 CCEDMN CC[C@@H](F)C(=O)NC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001102231568 855420962 /nfs/dbraw/zinc/42/09/62/855420962.db2.gz MRXHLMHTPXMUDF-IJLUTSLNSA-N 0 1 298.362 0.058 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCC#N)C[C@H]1CNC(=O)CCCF ZINC001102239951 855422256 /nfs/dbraw/zinc/42/22/56/855422256.db2.gz YIFVFZFUUQIMNW-VXGBXAGGSA-N 0 1 298.362 0.060 20 30 CCEDMN CCC(=O)NCC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001102626473 855453759 /nfs/dbraw/zinc/45/37/59/855453759.db2.gz FZBGVUJQRHWPMI-VXGBXAGGSA-N 0 1 280.372 0.110 20 30 CCEDMN CC[C@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)nn1 ZINC001103170300 855501319 /nfs/dbraw/zinc/50/13/19/855501319.db2.gz CLSJSJLRIBRIFM-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN CC[C@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)nn1 ZINC001103170300 855501329 /nfs/dbraw/zinc/50/13/29/855501329.db2.gz CLSJSJLRIBRIFM-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@]12CCC[C@H]1CN(CC#C)C2 ZINC001111742783 855592052 /nfs/dbraw/zinc/59/20/52/855592052.db2.gz MOOWGJCNTZNXLE-DZKIICNBSA-N 0 1 274.364 0.629 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](COC)OC)C1 ZINC001111864146 855600927 /nfs/dbraw/zinc/60/09/27/855600927.db2.gz CHTVDRJVUIBRKA-GUTXKFCHSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H](C)COC)C[C@H]1C(F)(F)F ZINC001112065658 855612384 /nfs/dbraw/zinc/61/23/84/855612384.db2.gz KERXKYUVMVVHGZ-HBNTYKKESA-N 0 1 292.301 0.881 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCc1ccncc1 ZINC001115023684 855635932 /nfs/dbraw/zinc/63/59/32/855635932.db2.gz ZLRHTIKFJRNXNC-FOLVSLTJSA-N 0 1 285.347 0.278 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)C ZINC001115154051 855646814 /nfs/dbraw/zinc/64/68/14/855646814.db2.gz DBCRMRLPWCNLTI-NDBYEHHHSA-N 0 1 293.411 0.770 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1ccn(-c2ccncc2)n1 ZINC001116915184 855865425 /nfs/dbraw/zinc/86/54/25/855865425.db2.gz CSWOBWSUXBNICJ-ZDUSSCGKSA-N 0 1 284.319 0.771 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)NCc1cc(C)[nH]n1 ZINC001117302342 855940948 /nfs/dbraw/zinc/94/09/48/855940948.db2.gz KGSGHKFPRQBIES-GFCCVEGCSA-N 0 1 276.340 0.901 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)NCCN2CCCOCC2)C1 ZINC001117838774 856088800 /nfs/dbraw/zinc/08/88/00/856088800.db2.gz NCQPSKVRMIPYJF-AWEZNQCLSA-N 0 1 297.399 0.695 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCNc1ccccc1 ZINC001118285041 856244250 /nfs/dbraw/zinc/24/42/50/856244250.db2.gz RRTBHFCFAAIZJE-AAEUAGOBSA-N 0 1 258.325 0.716 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CNCc1cc(C(N)=O)no1 ZINC001118827964 856455796 /nfs/dbraw/zinc/45/57/96/856455796.db2.gz SGMXTXIDQIPNNF-MRVPVSSYSA-N 0 1 266.301 0.164 20 30 CCEDMN CN(C)CC#CCNC(=O)CSc1cccc[n+]1[O-] ZINC001119663095 856825000 /nfs/dbraw/zinc/82/50/00/856825000.db2.gz KYIPJQZPMJGVNM-UHFFFAOYSA-N 0 1 279.365 0.093 20 30 CCEDMN C[C@H]1C[C@H](NC2CCN(CC#N)CC2)c2ncnn21 ZINC001119690379 856838423 /nfs/dbraw/zinc/83/84/23/856838423.db2.gz YXBONNBJOREGAU-JQWIXIFHSA-N 0 1 260.345 0.861 20 30 CCEDMN C#C[C@H](NC[C@H]1CN=C(c2cnn(C)c2)O1)[C@@H]1CCCO1 ZINC001119743829 856864228 /nfs/dbraw/zinc/86/42/28/856864228.db2.gz PNROMYGXGNIAHX-IHRRRGAJSA-N 0 1 288.351 0.336 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2CCCOC)nc1 ZINC001323338068 912282341 /nfs/dbraw/zinc/28/23/41/912282341.db2.gz YIQZGULOAKSHED-CQSZACIVSA-N 0 1 287.363 0.904 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CNC(=O)c1ccn2cncc2c1 ZINC001393047085 912353119 /nfs/dbraw/zinc/35/31/19/912353119.db2.gz PAUUITCERXIHBK-QWRGUYRKSA-N 0 1 299.334 0.728 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H]([C@@H]2CCCCN2C(C)=O)C1 ZINC001323638311 912457326 /nfs/dbraw/zinc/45/73/26/912457326.db2.gz QVNUOMHRZWIHON-GJZGRUSLSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CNC(=O)C2CC2)C[C@@H]1C ZINC001393730660 912732163 /nfs/dbraw/zinc/73/21/63/912732163.db2.gz PFFRVZMHMAHENU-CABZTGNLSA-N 0 1 299.802 0.702 20 30 CCEDMN COCC#CCN1CC[C@@](C)(NC(=O)C[C@@H](C)OC)C1 ZINC001324589666 912921150 /nfs/dbraw/zinc/92/11/50/912921150.db2.gz QFWBLVLEAGDBHS-UKRRQHHQSA-N 0 1 282.384 0.642 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)NC2CCN(CC#N)CC2)n[nH]1 ZINC001324767455 913024540 /nfs/dbraw/zinc/02/45/40/913024540.db2.gz ZDFWLPLWSUMJOX-VIFPVBQESA-N 0 1 291.359 0.461 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](CNCc2nncs2)C1 ZINC001394371192 913148787 /nfs/dbraw/zinc/14/87/87/913148787.db2.gz VRFJQHVGXARMBG-GUBZILKMSA-N 0 1 279.369 0.682 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ncn(C)n1 ZINC001394483350 913172499 /nfs/dbraw/zinc/17/24/99/913172499.db2.gz ZDALWVDZEWPLFD-SECBINFHSA-N 0 1 271.752 0.618 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2oc(C)cc2C)C1 ZINC001325085593 913201664 /nfs/dbraw/zinc/20/16/64/913201664.db2.gz MACGQDCRZGWBIF-HNNXBMFYSA-N 0 1 276.336 0.696 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001325152866 913245498 /nfs/dbraw/zinc/24/54/98/913245498.db2.gz DMMYZMFMQSXQLS-DZKIICNBSA-N 0 1 278.396 0.999 20 30 CCEDMN C=C(Cl)CN(CCNC(=O)c1cnn[nH]1)CCOC ZINC001394828988 913455747 /nfs/dbraw/zinc/45/57/47/913455747.db2.gz UAGZNWGHXBZWPA-UHFFFAOYSA-N 0 1 287.751 0.235 20 30 CCEDMN N#CCNCC1(CCNC(=O)Cc2cnc[nH]2)CC1 ZINC001167334861 891546651 /nfs/dbraw/zinc/54/66/51/891546651.db2.gz YJKWHZXUCALNCL-UHFFFAOYSA-N 0 1 261.329 0.352 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)[C@@H](CC)OC)C1 ZINC001325820382 913589025 /nfs/dbraw/zinc/58/90/25/913589025.db2.gz RRPQPZQWPQSSDY-TZMCWYRMSA-N 0 1 270.373 0.541 20 30 CCEDMN C=C(C)CCN(CC)CCNC(=O)[C@H](C)S(C)(=O)=O ZINC001480984135 892016870 /nfs/dbraw/zinc/01/68/70/892016870.db2.gz URYUZFCEPLJHBD-LBPRGKRZSA-N 0 1 290.429 0.824 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001480990817 892026069 /nfs/dbraw/zinc/02/60/69/892026069.db2.gz FQNAHANWCZGUBF-CYBMUJFWSA-N 0 1 295.427 0.999 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)COCCOC ZINC001325883055 913623973 /nfs/dbraw/zinc/62/39/73/913623973.db2.gz QLOODCRCLLRTAN-KGLIPLIRSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)COc1cc(C)on1 ZINC001481043928 892111694 /nfs/dbraw/zinc/11/16/94/892111694.db2.gz GZPUGKWWDIEMSX-LBPRGKRZSA-N 0 1 277.324 0.576 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)[C@@H](C)CCC)C1 ZINC001481082292 892142812 /nfs/dbraw/zinc/14/28/12/892142812.db2.gz CNYAAQYTRPQFAK-UONOGXRCSA-N 0 1 293.411 0.610 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)[C@@H](C)C(C)C)C1 ZINC001481112636 892178068 /nfs/dbraw/zinc/17/80/68/892178068.db2.gz LZNQONWGUZUHFM-KBPBESRZSA-N 0 1 293.411 0.466 20 30 CCEDMN C#CCN(CCNC(=O)c1cnc2[nH]c(=O)[nH]c2c1)C1CC1 ZINC001481175046 892273934 /nfs/dbraw/zinc/27/39/34/892273934.db2.gz AZDVFRSYFRLWSJ-UHFFFAOYSA-N 0 1 299.334 0.491 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](CO)N[C@@H]1CCN(CC)C1=O ZINC001283759365 892363656 /nfs/dbraw/zinc/36/36/56/892363656.db2.gz GXFLVWSNNJYAJC-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc2[nH]ccc2c1 ZINC001283797976 892422311 /nfs/dbraw/zinc/42/23/11/892422311.db2.gz TULINXCHFLOCHA-ZDUSSCGKSA-N 0 1 271.320 0.481 20 30 CCEDMN CN1CCC[C@H](NC(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)C1 ZINC001325987066 913679505 /nfs/dbraw/zinc/67/95/05/913679505.db2.gz SSMIKLIMQWQEHV-TUAOUCFPSA-N 0 1 278.356 0.005 20 30 CCEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2ncn(C)n2)C1 ZINC001481396584 892611381 /nfs/dbraw/zinc/61/13/81/892611381.db2.gz LHPZKJQMWDITPJ-CYBMUJFWSA-N 0 1 289.383 0.765 20 30 CCEDMN CCN1CCCN(C(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)CC1 ZINC001325992336 913681049 /nfs/dbraw/zinc/68/10/49/913681049.db2.gz NVBPTSUVEBYORY-QWHCGFSZSA-N 0 1 292.383 0.349 20 30 CCEDMN CCCN(C(=O)CCc1c[nH]nn1)[C@H]1CCN(CC#N)C1 ZINC001481407444 892618290 /nfs/dbraw/zinc/61/82/90/892618290.db2.gz DESHLPLVBWUYCN-ZDUSSCGKSA-N 0 1 290.371 0.574 20 30 CCEDMN CCCN(C(=O)CCc1cnn[nH]1)[C@H]1CCN(CC#N)C1 ZINC001481407444 892618293 /nfs/dbraw/zinc/61/82/93/892618293.db2.gz DESHLPLVBWUYCN-ZDUSSCGKSA-N 0 1 290.371 0.574 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](CN(C)CC(=O)NC)C1 ZINC001481485022 892734349 /nfs/dbraw/zinc/73/43/49/892734349.db2.gz VYRMOJYZJZESMN-ZDUSSCGKSA-N 0 1 279.384 0.316 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccnn3C)[C@@H]2C1 ZINC001075607772 892933692 /nfs/dbraw/zinc/93/36/92/892933692.db2.gz PDIJZEPVFXPMOK-WCQYABFASA-N 0 1 260.341 0.752 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN(C)C(C)=O)C1 ZINC001481712687 893071913 /nfs/dbraw/zinc/07/19/13/893071913.db2.gz HUWAZUFEUGZZLN-GFCCVEGCSA-N 0 1 253.346 0.183 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H](C)OC)C1 ZINC001481719232 893085731 /nfs/dbraw/zinc/08/57/31/893085731.db2.gz HPYPOITYYLFDCS-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CO[C@@H]2CCOC2)C1 ZINC001481767110 893131935 /nfs/dbraw/zinc/13/19/35/893131935.db2.gz VZISQKGZXYFVOW-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)Cc2cnc[nH]2)C1 ZINC001481776339 893137775 /nfs/dbraw/zinc/13/77/75/893137775.db2.gz ZYCMMHFDDUXZMR-ZDUSSCGKSA-N 0 1 260.341 0.508 20 30 CCEDMN CCn1ncc(CNC[C@@H](C)CNC(=O)[C@H](C)C#N)n1 ZINC001482086072 893376566 /nfs/dbraw/zinc/37/65/66/893376566.db2.gz WGJDFLQZICHVAL-GHMZBOCLSA-N 0 1 278.360 0.300 20 30 CCEDMN CCCN(CCNC(=O)c1cnn[nH]1)C(=O)C#CC1CC1 ZINC001284714037 893669470 /nfs/dbraw/zinc/66/94/70/893669470.db2.gz LYYOJVYUTYPMIC-UHFFFAOYSA-N 0 1 289.339 0.187 20 30 CCEDMN C#CCN1CC=C(CNC(=O)CCc2ccn(C)n2)CC1 ZINC001284920428 893765512 /nfs/dbraw/zinc/76/55/12/893765512.db2.gz GFNMBOSQCMHHDD-UHFFFAOYSA-N 0 1 286.379 0.734 20 30 CCEDMN C=CC[NH2+]C[C@@H](C)N(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001482353137 893906567 /nfs/dbraw/zinc/90/65/67/893906567.db2.gz DEZPUZMUVYYGOJ-MRVPVSSYSA-N 0 1 296.327 0.133 20 30 CCEDMN C[C@@H](NCC#N)[C@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC001482477421 894095086 /nfs/dbraw/zinc/09/50/86/894095086.db2.gz FXJDALNHHIHQKI-MNOVXSKESA-N 0 1 276.344 0.087 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)Cc1cnn2ccccc12 ZINC001482603425 894388202 /nfs/dbraw/zinc/38/82/02/894388202.db2.gz FATXSOSZUZQURT-UHFFFAOYSA-N 0 1 284.363 0.900 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnc(C2CC2)nc1 ZINC001482632709 894435021 /nfs/dbraw/zinc/43/50/21/894435021.db2.gz YUDIGFSHLBIAAV-UHFFFAOYSA-N 0 1 272.352 0.991 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H]2CN(C(=O)C3CC3)CC[C@H]21 ZINC001482654937 894455172 /nfs/dbraw/zinc/45/51/72/894455172.db2.gz FYPQQVUZCHAGRP-UONOGXRCSA-N 0 1 289.379 0.069 20 30 CCEDMN Cc1csc(CNC[C@@H](O)CNC(=O)[C@H](C)C#N)n1 ZINC001482716432 894513078 /nfs/dbraw/zinc/51/30/78/894513078.db2.gz OELZYOMMOAHXNN-PSASIEDQSA-N 0 1 282.369 0.178 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](O)CN[C@H](C)c1cnccn1 ZINC001482735555 894538276 /nfs/dbraw/zinc/53/82/76/894538276.db2.gz ZXPFBHAZAYLGKC-OLZOCXBDSA-N 0 1 292.383 0.961 20 30 CCEDMN C=CCCCC(=O)NC[C@H](C)N(C)[C@H]1CCNC1=O ZINC001505991617 894705045 /nfs/dbraw/zinc/70/50/45/894705045.db2.gz WWIVRMRTGKCHGH-RYUDHWBXSA-N 0 1 267.373 0.668 20 30 CCEDMN C[C@@H](C#N)C(=O)NC/C=C/CNCC(=O)Nc1ccon1 ZINC001482990990 894780819 /nfs/dbraw/zinc/78/08/19/894780819.db2.gz KWSQFJYNDZDIAG-PBKGFPTLSA-N 0 1 291.311 0.035 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)[C@H](C)C(C)(C)C ZINC001483021951 894807548 /nfs/dbraw/zinc/80/75/48/894807548.db2.gz GRYOJMMUCSXUCQ-GWJCSSMESA-N 0 1 293.411 0.680 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](CNC(=O)CN2CCCC2)C1 ZINC001396522294 913906659 /nfs/dbraw/zinc/90/66/59/913906659.db2.gz IXAWHAAOMHZUNN-UPJWGTAASA-N 0 1 292.383 0.253 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)CNCc1cc2n(n1)CCC2 ZINC001483114272 894931996 /nfs/dbraw/zinc/93/19/96/894931996.db2.gz HNMMPDCOKFRURP-GFCCVEGCSA-N 0 1 292.383 0.626 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CCC([N@@H+](C)CC(=O)[O-])CC1 ZINC001326462674 913972513 /nfs/dbraw/zinc/97/25/13/913972513.db2.gz VUHRSIXJCAQYNC-UHFFFAOYSA-N 0 1 290.385 0.373 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCNC(=O)C(C)(C)C ZINC001483368980 895506164 /nfs/dbraw/zinc/50/61/64/895506164.db2.gz GAVKLGHYKAWUHU-CYBMUJFWSA-N 0 1 295.427 0.999 20 30 CCEDMN C[C@H](NCC(N)=O)c1ccc(CNC(=O)C#CC2CC2)cc1 ZINC001326467069 913974629 /nfs/dbraw/zinc/97/46/29/913974629.db2.gz FDQJUPVDIMDFNQ-LBPRGKRZSA-N 0 1 299.374 0.852 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)[C@@]1(F)CCOC1 ZINC001483375772 895518393 /nfs/dbraw/zinc/51/83/93/895518393.db2.gz AGEWUMKJLCMLRK-TZMCWYRMSA-N 0 1 286.347 0.201 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnn2ccc(C)nc12 ZINC001483411418 895550651 /nfs/dbraw/zinc/55/06/51/895550651.db2.gz UYYMJNUIIMPMSQ-LBPRGKRZSA-N 0 1 285.351 0.721 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1CCc2nnc(C)n2C1 ZINC001483421015 895553654 /nfs/dbraw/zinc/55/36/54/895553654.db2.gz PJKRXZRYGNCENE-AAEUAGOBSA-N 0 1 289.383 0.219 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)COCCCOC)CCC[C@@H]12 ZINC001284068207 895689890 /nfs/dbraw/zinc/68/98/90/895689890.db2.gz DPCBJPZDMXUKNX-ZBFHGGJFSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCN(C(C)=O)C1CCN([C@@H]2CCN(C)C2=O)CC1 ZINC001483978276 896141161 /nfs/dbraw/zinc/14/11/61/896141161.db2.gz YKLVAQXKXBHYJC-CQSZACIVSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN(C(=O)CCc1cn[nH]c1)C1CCN(CC#C)CC1 ZINC001483987739 896143680 /nfs/dbraw/zinc/14/36/80/896143680.db2.gz CBVDDPDWNBIEOG-UHFFFAOYSA-N 0 1 298.390 0.902 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@](C)(CNCc2cnon2)C1 ZINC001484083017 896191536 /nfs/dbraw/zinc/19/15/36/896191536.db2.gz MPMCOXOAVLLMAR-AWEZNQCLSA-N 0 1 294.355 0.600 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccnn2C(C)C)C1 ZINC001484220175 896259053 /nfs/dbraw/zinc/25/90/53/896259053.db2.gz PHEJCJSIVXUMLL-HNNXBMFYSA-N 0 1 290.367 0.264 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CCCC=C)C1 ZINC001484233971 896271252 /nfs/dbraw/zinc/27/12/52/896271252.db2.gz QKHRSKXRINQBNR-AWEZNQCLSA-N 0 1 250.342 0.529 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2sccc2OC)C1 ZINC001484246720 896274733 /nfs/dbraw/zinc/27/47/33/896274733.db2.gz BRVQKNVRKLCQTJ-CQSZACIVSA-N 0 1 294.376 0.557 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)[C@]2(C)CCOC2)C1 ZINC001484304051 896336333 /nfs/dbraw/zinc/33/63/33/896336333.db2.gz SCYLLLGHBPNENM-DZGCQCFKSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)COCCCOC ZINC001484464050 896418359 /nfs/dbraw/zinc/41/83/59/896418359.db2.gz IZDGFGHKNFHDRF-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)COCc1ccnn1C ZINC001484746798 896577128 /nfs/dbraw/zinc/57/71/28/896577128.db2.gz HJETZWZQGJZZII-UHFFFAOYSA-N 0 1 292.383 0.350 20 30 CCEDMN C[C@H]1C[C@@H](NC(=O)CN(C)C2CCC2)CCN1CC#N ZINC001484976529 896692790 /nfs/dbraw/zinc/69/27/90/896692790.db2.gz CRLWXODWWLBHCB-STQMWFEESA-N 0 1 278.400 0.963 20 30 CCEDMN CCOCCC(=O)N1CCC[C@@H]1CN(C)CC#CCOC ZINC001485051031 896737678 /nfs/dbraw/zinc/73/76/78/896737678.db2.gz QWBABTKRWGGTJI-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)[N@@H+](C)[C@@H]1CCN(C)C1=O ZINC001485296521 896925089 /nfs/dbraw/zinc/92/50/89/896925089.db2.gz BXMLTOVSJXFUEV-QWHCGFSZSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)N(C)[C@@H]1CCN(C)C1=O ZINC001485296521 896925097 /nfs/dbraw/zinc/92/50/97/896925097.db2.gz BXMLTOVSJXFUEV-QWHCGFSZSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CNC(=O)c1cccs1 ZINC001485381049 897007237 /nfs/dbraw/zinc/00/72/37/897007237.db2.gz LOXPVGYDQYUXQL-NSHDSACASA-N 0 1 293.392 0.548 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCCN1C(=O)CCC ZINC001485390519 897014730 /nfs/dbraw/zinc/01/47/30/897014730.db2.gz UPVHNYDVRQCNAP-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H](C)n2cncn2)C1 ZINC001485501222 897089501 /nfs/dbraw/zinc/08/95/01/897089501.db2.gz WCOKMVUSYQTAFB-RYUDHWBXSA-N 0 1 275.356 0.299 20 30 CCEDMN C[C@@H](NC(=O)CN(C)C1CCC1)C1CN(CC#N)C1 ZINC001485508396 897093505 /nfs/dbraw/zinc/09/35/05/897093505.db2.gz DDAAMUGBIGHYMR-LLVKDONJSA-N 0 1 264.373 0.431 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)Cn2cc(Cl)cn2)C1 ZINC001485523183 897102922 /nfs/dbraw/zinc/10/29/22/897102922.db2.gz JVJGWXDGEQWYKV-NSHDSACASA-N 0 1 294.786 0.996 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C(=O)N2CCC[C@@H](C)C2)C1 ZINC001485527164 897106575 /nfs/dbraw/zinc/10/65/75/897106575.db2.gz QMEOIFQYURJZQV-OLZOCXBDSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)CCOC)C2)C1 ZINC001485554212 897116838 /nfs/dbraw/zinc/11/68/38/897116838.db2.gz ZIQYFCBAIPVFHC-HNNXBMFYSA-N 0 1 282.384 0.902 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCCNCc1cnn(C)n1 ZINC001485714269 897208452 /nfs/dbraw/zinc/20/84/52/897208452.db2.gz DAONVHYVNUBTKD-MNOVXSKESA-N 0 1 278.360 0.349 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)nn2CC)C1 ZINC001077723667 897334130 /nfs/dbraw/zinc/33/41/30/897334130.db2.gz VNNGDQPUPKDIMM-TZMCWYRMSA-N 0 1 290.367 0.010 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCC(=O)NC1 ZINC001032441531 897576110 /nfs/dbraw/zinc/57/61/10/897576110.db2.gz QRKDBYGPCUIJEA-RDBSUJKOSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCC(=O)NC1 ZINC001032441531 897576122 /nfs/dbraw/zinc/57/61/22/897576122.db2.gz QRKDBYGPCUIJEA-RDBSUJKOSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cncs1 ZINC001032461533 897595990 /nfs/dbraw/zinc/59/59/90/897595990.db2.gz AJRKVVVICGNZII-RYUDHWBXSA-N 0 1 275.377 0.994 20 30 CCEDMN N#CCCCCC(=O)NCCN1CCC(O)CC1 ZINC001326805848 914192201 /nfs/dbraw/zinc/19/22/01/914192201.db2.gz LNMJDGJKBKVASR-UHFFFAOYSA-N 0 1 253.346 0.643 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001032630592 897848874 /nfs/dbraw/zinc/84/88/74/897848874.db2.gz VPMJXPDIWHAOAI-FQUUOJAGSA-N 0 1 289.379 0.019 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1CN2CCCO ZINC001032637669 897868215 /nfs/dbraw/zinc/86/82/15/897868215.db2.gz SREOGQFESCQHDO-FQUUOJAGSA-N 0 1 280.368 0.245 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCNC(=O)c1ncn[nH]1 ZINC001077830788 897878853 /nfs/dbraw/zinc/87/88/53/897878853.db2.gz YBOZBEWEHZEGOZ-VIFPVBQESA-N 0 1 265.317 0.396 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCNC(=O)c1nc[nH]n1 ZINC001077830788 897878862 /nfs/dbraw/zinc/87/88/62/897878862.db2.gz YBOZBEWEHZEGOZ-VIFPVBQESA-N 0 1 265.317 0.396 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cn(CC)nn1 ZINC001032706162 897947804 /nfs/dbraw/zinc/94/78/04/897947804.db2.gz FBQFWJGKKTVZTB-RYUDHWBXSA-N 0 1 275.356 0.773 20 30 CCEDMN N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccn[nH]1 ZINC001049344322 897972530 /nfs/dbraw/zinc/97/25/30/897972530.db2.gz CTPSCWGTDUAOKU-VXGBXAGGSA-N 0 1 259.313 0.612 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2n[nH]nc2C1 ZINC001032730351 898023907 /nfs/dbraw/zinc/02/39/07/898023907.db2.gz BKEFEBYCZURUNS-AGIUHOORSA-N 0 1 299.378 0.218 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c[nH]nc2C2CC2)C1 ZINC001077931965 898062298 /nfs/dbraw/zinc/06/22/98/898062298.db2.gz RZUSIEAJKLSOQU-CHWSQXEVSA-N 0 1 288.351 0.085 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2c[nH]nc2C2CC2)C1 ZINC001077931965 898062317 /nfs/dbraw/zinc/06/23/17/898062317.db2.gz RZUSIEAJKLSOQU-CHWSQXEVSA-N 0 1 288.351 0.085 20 30 CCEDMN C=CCN1CCOC[C@@H]1CNC(=O)CN1CCC(C)CC1 ZINC001272894822 898137317 /nfs/dbraw/zinc/13/73/17/898137317.db2.gz UPSFZTQQLGRRAE-HNNXBMFYSA-N 0 1 295.427 0.721 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2[nH]nc3ccccc32)C1 ZINC001078114699 898240163 /nfs/dbraw/zinc/24/01/63/898240163.db2.gz VGLLQQODRDBHII-ZIAGYGMSSA-N 0 1 298.346 0.361 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H](C)CCNC(=O)[C@@H](C)C#N)[nH]n1 ZINC001078130817 898248909 /nfs/dbraw/zinc/24/89/09/898248909.db2.gz NTLYIKHYFYBLAD-UWVGGRQHSA-N 0 1 291.355 0.431 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H](C)NC(=O)[C@H]1CCCN1C ZINC001078136762 898261625 /nfs/dbraw/zinc/26/16/25/898261625.db2.gz VGBJLUZESADSLC-SDDRHHMPSA-N 0 1 280.372 0.251 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCC(=O)N(C)C1 ZINC001032803704 898389690 /nfs/dbraw/zinc/38/96/90/898389690.db2.gz JYTFCXLEKOZRRK-IHRRRGAJSA-N 0 1 289.379 0.163 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](C)NCc1nccn1C ZINC001485854433 898461970 /nfs/dbraw/zinc/46/19/70/898461970.db2.gz DCBQNVURHFCTMY-MNOVXSKESA-N 0 1 263.345 0.516 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCCC1CCOCC1 ZINC001486001747 898563081 /nfs/dbraw/zinc/56/30/81/898563081.db2.gz IXNAXGCJQIBAQV-AWEZNQCLSA-N 0 1 282.384 0.283 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H](CC)SC ZINC001485987057 898573942 /nfs/dbraw/zinc/57/39/42/898573942.db2.gz IYMHNTVPTBGZHA-GHMZBOCLSA-N 0 1 258.387 0.218 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001485999254 898585831 /nfs/dbraw/zinc/58/58/31/898585831.db2.gz UQZFSHAOPSRDIU-DGCLKSJQSA-N 0 1 294.326 0.293 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1CCCC12CC2 ZINC001486008645 898605513 /nfs/dbraw/zinc/60/55/13/898605513.db2.gz QBPSSIIXVQUOIX-NEPJUHHUSA-N 0 1 250.342 0.267 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]1CN(C)C(=O)Cc1ncn[nH]1 ZINC001397554433 914267710 /nfs/dbraw/zinc/26/77/10/914267710.db2.gz PDLOKOWJQCVUOX-SNVBAGLBSA-N 0 1 283.763 0.632 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CC[C@H](C)CC ZINC001486046704 898612216 /nfs/dbraw/zinc/61/22/16/898612216.db2.gz WOFZESGQQJFXHN-OLZOCXBDSA-N 0 1 254.374 0.903 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc2c(c1)CCC2 ZINC001486059922 898628552 /nfs/dbraw/zinc/62/85/52/898628552.db2.gz NRIZGYAKHPFFOK-MRXNPFEDSA-N 0 1 286.375 0.879 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCNC(N)=O)[C@H]1C ZINC001486095476 898653653 /nfs/dbraw/zinc/65/36/53/898653653.db2.gz KDCDQNYZXPFFQD-VHSXEESVSA-N 0 1 288.779 0.376 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@@](C)(O)C1CC1 ZINC001486330138 898794039 /nfs/dbraw/zinc/79/40/39/898794039.db2.gz JKYKXCAUJBTBGO-GDBMZVCRSA-N 0 1 296.411 0.312 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C[C@](C)(O)C1CC1 ZINC001486330140 898795216 /nfs/dbraw/zinc/79/52/16/898795216.db2.gz JKYKXCAUJBTBGO-HOCLYGCPSA-N 0 1 296.411 0.312 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@](C)(O)C1CC1 ZINC001486330141 898795369 /nfs/dbraw/zinc/79/53/69/898795369.db2.gz JKYKXCAUJBTBGO-ZBFHGGJFSA-N 0 1 296.411 0.312 20 30 CCEDMN C=CCN(CCNC(=O)c1cn2c(n1)CCC2)CCOC ZINC001486428923 898868737 /nfs/dbraw/zinc/86/87/37/898868737.db2.gz XQHDEMKSGCBLQF-UHFFFAOYSA-N 0 1 292.383 0.694 20 30 CCEDMN C=CCN(CCNC(=O)c1conc1C)CCOC ZINC001486431035 898870013 /nfs/dbraw/zinc/87/00/13/898870013.db2.gz CHVSKQKWZHNPDJ-UHFFFAOYSA-N 0 1 267.329 0.847 20 30 CCEDMN C#CCN1CC=C(CNC(=O)Cn2nccc2C)CC1 ZINC001486516612 898916796 /nfs/dbraw/zinc/91/67/96/898916796.db2.gz LFYMZJOQQATBKA-UHFFFAOYSA-N 0 1 272.352 0.573 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1CC(NC(=O)c2cnn[nH]2)C1 ZINC001486605817 898935754 /nfs/dbraw/zinc/93/57/54/898935754.db2.gz PQQMFMHADSAIPL-UHFFFAOYSA-N 0 1 291.355 0.642 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)C#CC2CC2)CCO1 ZINC001326977057 914301014 /nfs/dbraw/zinc/30/10/14/914301014.db2.gz FHWAOKGJJTZZTH-CYBMUJFWSA-N 0 1 282.771 0.969 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001191911370 900019326 /nfs/dbraw/zinc/01/93/26/900019326.db2.gz FLGIBTZOVXGRQV-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H](C)CN(C)C(=O)c1ccn[nH]1 ZINC001487716294 900108542 /nfs/dbraw/zinc/10/85/42/900108542.db2.gz UUXIUNURAYCMDA-IINYFYTJSA-N 0 1 294.355 0.314 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CN(C)C(=O)c1ccn[nH]1 ZINC001487716295 900108675 /nfs/dbraw/zinc/10/86/75/900108675.db2.gz UUXIUNURAYCMDA-QMTHXVAHSA-N 0 1 294.355 0.314 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1CCN(CC#C)[C@@H]1CC ZINC001489115150 900435773 /nfs/dbraw/zinc/43/57/73/900435773.db2.gz ZTVILNMVRRJBRU-UONOGXRCSA-N 0 1 262.353 0.629 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001489137631 900439264 /nfs/dbraw/zinc/43/92/64/900439264.db2.gz JJMZPEBNWKQGJY-ZJUUUORDSA-N 0 1 292.343 0.369 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C[C@@H](C)OC)C1 ZINC001489156375 900442034 /nfs/dbraw/zinc/44/20/34/900442034.db2.gz SLDZRLUNLHZGSD-KGLIPLIRSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CO[C@@H]2CCOC2)[C@H]1C ZINC001489264433 900456325 /nfs/dbraw/zinc/45/63/25/900456325.db2.gz JAQHVLCINGGIKK-UPJWGTAASA-N 0 1 266.341 0.004 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H]1CN(C)C(=O)c1ccn[nH]1 ZINC001489715678 900508115 /nfs/dbraw/zinc/50/81/15/900508115.db2.gz PHKKLBSCDJKJTD-NSHDSACASA-N 0 1 292.339 0.285 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCN(C)Cc1n[nH]c(C)n1 ZINC001490188164 900565252 /nfs/dbraw/zinc/56/52/52/900565252.db2.gz VKKNAZMGTFMMNR-AWEZNQCLSA-N 0 1 295.387 0.378 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOC[C@@H]2CCCO2)C1 ZINC001490550310 900655529 /nfs/dbraw/zinc/65/55/29/900655529.db2.gz YRMDKHCEJURNEO-KBPBESRZSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](C)Cc2cnn(C)c2)C1 ZINC001490568535 900667860 /nfs/dbraw/zinc/66/78/60/900667860.db2.gz RIDPEJVTEOBHCH-GXTWGEPZSA-N 0 1 276.384 0.975 20 30 CCEDMN CC(C)C#CC(=O)N1CC(N(C)C(=O)Cc2ccn[nH]2)C1 ZINC001299331834 900818193 /nfs/dbraw/zinc/81/81/93/900818193.db2.gz IRFLHCTYYSVDDV-UHFFFAOYSA-N 0 1 288.351 0.281 20 30 CCEDMN C=C1CCC(C(=O)N(C)CCNC(=O)c2cnn[nH]2)CC1 ZINC001296143200 900939855 /nfs/dbraw/zinc/93/98/55/900939855.db2.gz FXIKCWWEQHJQQG-UHFFFAOYSA-N 0 1 291.355 0.739 20 30 CCEDMN C[C@H]1CC[N@@H+](CC(=O)N2CCN(C3CC3)[C@H](C#N)C2)C1 ZINC001412454858 901734808 /nfs/dbraw/zinc/73/48/08/901734808.db2.gz NKPYWCDHALUZAS-GXTWGEPZSA-N 0 1 276.384 0.527 20 30 CCEDMN N#Cc1cccc(C(=O)N2C[C@@H]3CC[C@H](C2)[C@H]3C(N)=O)c1O ZINC001276215960 901974497 /nfs/dbraw/zinc/97/44/97/901974497.db2.gz TUBDIDNDOCOJNV-PTEHBNRSSA-N 0 1 299.330 0.847 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)c1nnn(C)c1C)C1CC1 ZINC001398389271 914642507 /nfs/dbraw/zinc/64/25/07/914642507.db2.gz FUSAVBWTPWNJSS-NSHDSACASA-N 0 1 297.790 0.974 20 30 CCEDMN COC(=O)[C@]1(CNCc2nc(C#N)cs2)CCOC1 ZINC001413189182 902770818 /nfs/dbraw/zinc/77/08/18/902770818.db2.gz SCDYFNZSXGUQLC-LBPRGKRZSA-N 0 1 281.337 0.684 20 30 CCEDMN CC1(C#N)CN(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)C1 ZINC001413676368 903168355 /nfs/dbraw/zinc/16/83/55/903168355.db2.gz GKHNGVTVPDINQM-UHFFFAOYSA-N 0 1 289.299 0.074 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCCN([C@H](C)C(N)=O)C1 ZINC001491103396 903363808 /nfs/dbraw/zinc/36/38/08/903363808.db2.gz SZXWWLQSBJUSGI-OLZOCXBDSA-N 0 1 279.384 0.492 20 30 CCEDMN Cc1nc(CN2CCCC[C@@H]2CNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001491202927 903443058 /nfs/dbraw/zinc/44/30/58/903443058.db2.gz DIJDVLMGSWFXCL-CMPLNLGQSA-N 0 1 290.371 0.744 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H](COC)OC ZINC001491222547 903448377 /nfs/dbraw/zinc/44/83/77/903448377.db2.gz BWKSZFCJEASZGN-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCCNC(=O)C#CC1CC1 ZINC001491278127 903480472 /nfs/dbraw/zinc/48/04/72/903480472.db2.gz NTGWXLPWDFEGKZ-LLVKDONJSA-N 0 1 288.351 0.234 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CC[C@@H](c3[nH]ncc3N)C2)c1 ZINC001413795414 903665006 /nfs/dbraw/zinc/66/50/06/903665006.db2.gz VZVMUFAFIBKKOL-SNVBAGLBSA-N 0 1 282.307 0.888 20 30 CCEDMN CC/C=C(\C)C(=O)NC[C@H](CO)NCC#CCOC ZINC001331686657 904006020 /nfs/dbraw/zinc/00/60/20/904006020.db2.gz WGEUZUIFMNUCLK-BWODNOAJSA-N 0 1 268.357 0.059 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](NC(=O)C(C)(C)F)CC1 ZINC001280693270 904139137 /nfs/dbraw/zinc/13/91/37/904139137.db2.gz SUMGJSDSNISJQU-LBPRGKRZSA-N 0 1 297.374 0.455 20 30 CCEDMN CCNC(=O)CN1CCC[C@H](NC(=O)C#CC2CC2)CC1 ZINC001280732873 904145064 /nfs/dbraw/zinc/14/50/64/904145064.db2.gz IAUHTBBPWUNBSX-AWEZNQCLSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCNC(=O)CN[C@@H](c1nnc[nH]1)c1cccnc1 ZINC001327833150 914793537 /nfs/dbraw/zinc/79/35/37/914793537.db2.gz CRUGVKMKZGBMDC-GFCCVEGCSA-N 0 1 272.312 0.181 20 30 CCEDMN COCC#CCN1CC[C@H](NC(=O)CCOC)C(C)(C)C1 ZINC001281536542 904298388 /nfs/dbraw/zinc/29/83/88/904298388.db2.gz NNTCAFSDUFPOBW-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2cscn2)CC1 ZINC001281799673 904345756 /nfs/dbraw/zinc/34/57/56/904345756.db2.gz KALPLWXPWPTJDN-UHFFFAOYSA-N 0 1 293.392 0.723 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1[nH]c(=O)[nH]c1C ZINC001281943377 904371765 /nfs/dbraw/zinc/37/17/65/904371765.db2.gz ZXGZPIFMFYGRJU-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@H]1CN(C)[C@@H](CC)C(N)=O ZINC001281932080 904374361 /nfs/dbraw/zinc/37/43/61/904374361.db2.gz IFWPTTOBVDWBRP-KBPBESRZSA-N 0 1 293.411 0.977 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CCc1ccncn1 ZINC001281939286 904375363 /nfs/dbraw/zinc/37/53/63/904375363.db2.gz DXHAIPHDXWYHLV-OAHLLOKOSA-N 0 1 286.379 0.965 20 30 CCEDMN CC(C)C#CC(=O)N(C)C[C@@H]1CCN1C(=O)c1ccn[nH]1 ZINC001282035490 904393378 /nfs/dbraw/zinc/39/33/78/904393378.db2.gz JJGXELHSPUUAEB-LBPRGKRZSA-N 0 1 288.351 0.742 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H]1CCn2cncc2C1 ZINC001282412352 904469452 /nfs/dbraw/zinc/46/94/52/904469452.db2.gz NTYBSZMSLTUXLO-KGLIPLIRSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnn(C)c1CC ZINC001282421771 904475116 /nfs/dbraw/zinc/47/51/16/904475116.db2.gz DPRXSWKGPVOMLP-LLVKDONJSA-N 0 1 262.357 0.666 20 30 CCEDMN C=CCOCCN1CC([C@@H](C)NC(=O)c2n[nH]cc2C)C1 ZINC001282706626 904527229 /nfs/dbraw/zinc/52/72/29/904527229.db2.gz ONMFMRWYEVCWFA-GFCCVEGCSA-N 0 1 292.383 0.971 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COC(C)C)C(C)(C)C1 ZINC001282790605 904542663 /nfs/dbraw/zinc/54/26/63/904542663.db2.gz IACRSNFAXNCKCM-LBPRGKRZSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001283338437 904811095 /nfs/dbraw/zinc/81/10/95/904811095.db2.gz BTDBHTMOLPRFAK-GFCCVEGCSA-N 0 1 288.351 0.473 20 30 CCEDMN C[C@@H](CCNC(=O)c1cc(C#N)c[nH]1)NCc1ncccn1 ZINC001377418522 904859875 /nfs/dbraw/zinc/85/98/75/904859875.db2.gz UPTMBHSBJRTVSP-NSHDSACASA-N 0 1 298.350 0.975 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@@H](C)NCc1ocnc1C ZINC001283608519 904925957 /nfs/dbraw/zinc/92/59/57/904925957.db2.gz RIKIQYDNYRPGFI-YPMHNXCESA-N 0 1 293.367 0.958 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(-c2cnco2)cc1 ZINC001283772712 905009801 /nfs/dbraw/zinc/00/98/01/905009801.db2.gz SEFSJBAQSCQVAH-AWEZNQCLSA-N 0 1 299.330 0.655 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](CO)NCc1cncn1C ZINC001283792937 905017738 /nfs/dbraw/zinc/01/77/38/905017738.db2.gz QFLPZFDCPQJATG-CYBMUJFWSA-N 0 1 292.383 0.180 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H](CO)NCc2ccnn2C)C1 ZINC001283803969 905023624 /nfs/dbraw/zinc/02/36/24/905023624.db2.gz MFQRDDGYWFLZHQ-LBPRGKRZSA-N 0 1 292.383 0.343 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc2nccnc2c1 ZINC001283827612 905044550 /nfs/dbraw/zinc/04/45/50/905044550.db2.gz OHBIZQBNFXQTTM-CYBMUJFWSA-N 0 1 298.346 0.333 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)NC(=O)Cc1cc(C)n[nH]1 ZINC001284210243 905195205 /nfs/dbraw/zinc/19/52/05/905195205.db2.gz WONXPVDGBBTNCD-NSHDSACASA-N 0 1 278.356 0.848 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H](C)NC(=O)C#CC2CC2)c1C ZINC001284214182 905199435 /nfs/dbraw/zinc/19/94/35/905199435.db2.gz RCJGJBZDQIFQMW-SECBINFHSA-N 0 1 288.351 0.674 20 30 CCEDMN CC#CC[NH2+][C@@H]1CN(C(=O)Cc2nnc[n-]2)CC1(C)C ZINC001284337103 905252629 /nfs/dbraw/zinc/25/26/29/905252629.db2.gz XOTHSLCAOGHCAW-LLVKDONJSA-N 0 1 275.356 0.197 20 30 CCEDMN CC(C)OCCN1CC(CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001377959327 905278299 /nfs/dbraw/zinc/27/82/99/905278299.db2.gz PDXSRJHZHSQAAL-UHFFFAOYSA-N 0 1 290.367 0.973 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cc(C)c(C)cn1 ZINC001284504811 905340926 /nfs/dbraw/zinc/34/09/26/905340926.db2.gz IBHHRQFYFHEYNV-AWEZNQCLSA-N 0 1 289.379 0.696 20 30 CCEDMN C=C(Cl)CN(C)[C@@H](C)CNC(=O)Cc1n[nH]c(C)n1 ZINC001378048461 905376139 /nfs/dbraw/zinc/37/61/39/905376139.db2.gz MQUUIEVQZSOHJF-VIFPVBQESA-N 0 1 285.779 0.845 20 30 CCEDMN C=CCN(CCNC(=O)C#CC1CC1)CCOC ZINC001284655774 905407978 /nfs/dbraw/zinc/40/79/78/905407978.db2.gz LZCCEWGXFDCLGE-UHFFFAOYSA-N 0 1 250.342 0.650 20 30 CCEDMN COCCN(CC#N)CCNC(=O)CN1CCC(C)CC1 ZINC001284669006 905413453 /nfs/dbraw/zinc/41/34/53/905413453.db2.gz RTEVCNYPALQXAX-UHFFFAOYSA-N 0 1 296.415 0.306 20 30 CCEDMN C=C(Cl)CN(C)[C@H](C)CNC(=O)C1=NC(=O)N(C)C1 ZINC001378118831 905432045 /nfs/dbraw/zinc/43/20/45/905432045.db2.gz ARDNIDBALIVYIC-SECBINFHSA-N 0 1 286.763 0.928 20 30 CCEDMN C=CC(C)(C)C(=O)NC1CN(C(=O)c2cc(C)[nH]n2)C1 ZINC001284929632 905491806 /nfs/dbraw/zinc/49/18/06/905491806.db2.gz AIOLIYHDAPCVRJ-UHFFFAOYSA-N 0 1 276.340 0.871 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CNC(=O)CN1CCCC1 ZINC001285715170 905735435 /nfs/dbraw/zinc/73/54/35/905735435.db2.gz WATUNXMQIKJYSJ-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN CN(C(=O)c1cnc(CN)nc1)[C@H]1CCC[C@@H]1C#N ZINC001332992583 905877495 /nfs/dbraw/zinc/87/74/95/905877495.db2.gz IFYBDPJDWHUYJE-KOLCDFICSA-N 0 1 259.313 0.700 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CN(C)C(=O)c1[nH]nnc1C ZINC001287681388 905944809 /nfs/dbraw/zinc/94/48/09/905944809.db2.gz WWHFOPJDKVFBCC-SECBINFHSA-N 0 1 279.344 0.656 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCn1cncn1 ZINC001379065052 905965788 /nfs/dbraw/zinc/96/57/88/905965788.db2.gz NBGICOXSPTYOLC-NSHDSACASA-N 0 1 285.779 0.857 20 30 CCEDMN Cc1cc(CC(=O)NC2(CNC(=O)[C@H](C)C#N)CC2)[nH]n1 ZINC001379082093 905985568 /nfs/dbraw/zinc/98/55/68/905985568.db2.gz MKJDEELFMOKSPY-SECBINFHSA-N 0 1 289.339 0.185 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@H](OC)C1CCC1 ZINC001379231473 906080557 /nfs/dbraw/zinc/08/05/57/906080557.db2.gz LKNVBQVMDGTPNB-VXGBXAGGSA-N 0 1 290.791 0.621 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(=O)n(C)n1 ZINC001379316683 906139253 /nfs/dbraw/zinc/13/92/53/906139253.db2.gz VBLAXJUOJREXHT-SNVBAGLBSA-N 0 1 298.774 0.583 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)NCCc2nc[nH]n2)c1 ZINC001337198214 921215969 /nfs/dbraw/zinc/21/59/69/921215969.db2.gz NIQUNMVJZDHIEU-UHFFFAOYSA-N 0 1 283.291 0.083 20 30 CCEDMN C[C@H](CNCc1ccccc1C#N)NC(=O)Cc1nc[nH]n1 ZINC001379447714 906247955 /nfs/dbraw/zinc/24/79/55/906247955.db2.gz VJOURJDUMUUENE-LLVKDONJSA-N 0 1 298.350 0.513 20 30 CCEDMN Cc1nccnc1CNC[C@H](NC(=O)[C@@H](C)C#N)C1CC1 ZINC001379847295 906503054 /nfs/dbraw/zinc/50/30/54/906503054.db2.gz FOFYNDOCWJSNSE-HZMBPMFUSA-N 0 1 287.367 0.929 20 30 CCEDMN C=CCCC(=O)N1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001293757471 906510974 /nfs/dbraw/zinc/51/09/74/906510974.db2.gz WPDUEFVLNCVNBQ-UHFFFAOYSA-N 0 1 277.328 0.492 20 30 CCEDMN C=CC(C)(C)CC(=O)N(C)CCCNC(=O)c1ncn[nH]1 ZINC001294246494 906572868 /nfs/dbraw/zinc/57/28/68/906572868.db2.gz OIVVCZLFUIAJTJ-UHFFFAOYSA-N 0 1 293.371 0.985 20 30 CCEDMN C=CC(C)(C)CC(=O)N(C)CCCNC(=O)c1nc[nH]n1 ZINC001294246494 906572874 /nfs/dbraw/zinc/57/28/74/906572874.db2.gz OIVVCZLFUIAJTJ-UHFFFAOYSA-N 0 1 293.371 0.985 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC001295176731 906690978 /nfs/dbraw/zinc/69/09/78/906690978.db2.gz IBGVDQZRTKQDAS-DTORHVGOSA-N 0 1 280.303 0.892 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)N(C)CCNC(=O)Cc1cnc[nH]1 ZINC001296253160 906885440 /nfs/dbraw/zinc/88/54/40/906885440.db2.gz JBESBACDSKVUTM-NWDGAFQWSA-N 0 1 292.383 0.985 20 30 CCEDMN C=CCCCC(=O)N(CC)CCNC(=O)c1ncn[nH]1 ZINC001296354778 906904153 /nfs/dbraw/zinc/90/41/53/906904153.db2.gz PUJLKSRQBGJCEN-UHFFFAOYSA-N 0 1 279.344 0.739 20 30 CCEDMN C=CCCCC(=O)N(CC)CCNC(=O)c1nc[nH]n1 ZINC001296354778 906904161 /nfs/dbraw/zinc/90/41/61/906904161.db2.gz PUJLKSRQBGJCEN-UHFFFAOYSA-N 0 1 279.344 0.739 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001491924741 907641213 /nfs/dbraw/zinc/64/12/13/907641213.db2.gz IQXNHDBGPDPYQQ-ZIAGYGMSSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001382421878 907731171 /nfs/dbraw/zinc/73/11/71/907731171.db2.gz UUOOFUXPUJLVIH-NNYUYHANSA-N 0 1 288.775 0.040 20 30 CCEDMN C=CCCC(=O)N1C[C@H](NC(=O)Cc2nnc[nH]2)C[C@H]1C ZINC001338053902 921352989 /nfs/dbraw/zinc/35/29/89/921352989.db2.gz XGLOBPXJXKMMPA-GHMZBOCLSA-N 0 1 291.355 0.419 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cc(C2CC2)n(C)n1 ZINC001492271024 907821303 /nfs/dbraw/zinc/82/13/03/907821303.db2.gz DTLQGTOAWNMXHK-UHFFFAOYSA-N 0 1 274.368 0.982 20 30 CCEDMN C=CCC[N@H+](C)CCNC(=O)[C@H](C)S(C)(=O)=O ZINC001492305169 907836799 /nfs/dbraw/zinc/83/67/99/907836799.db2.gz JHKOTVMVTMWIHU-JTQLQIEISA-N 0 1 262.375 0.044 20 30 CCEDMN C=CCCN(C)CCNC(=O)[C@H](C)S(C)(=O)=O ZINC001492305169 907836813 /nfs/dbraw/zinc/83/68/13/907836813.db2.gz JHKOTVMVTMWIHU-JTQLQIEISA-N 0 1 262.375 0.044 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(OCC)n[nH]1 ZINC001492298262 907861793 /nfs/dbraw/zinc/86/17/93/907861793.db2.gz ANNWROXPYBMTHX-UHFFFAOYSA-N 0 1 250.302 0.103 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(C(F)F)n(C)n1 ZINC001492341979 907896091 /nfs/dbraw/zinc/89/60/91/907896091.db2.gz HULMQNHTTDIKTL-UHFFFAOYSA-N 0 1 270.283 0.653 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCC[C@H](OC)C1 ZINC001492393920 907901148 /nfs/dbraw/zinc/90/11/48/907901148.db2.gz MZVWDGAGCZAAQT-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2nc[nH]c(=O)c21 ZINC001302296030 908015792 /nfs/dbraw/zinc/01/57/92/908015792.db2.gz YWNIBYPZWKQTPC-UHFFFAOYSA-N 0 1 284.319 0.630 20 30 CCEDMN C=CCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)Cc2ncn[nH]2)C1 ZINC001338156921 921382501 /nfs/dbraw/zinc/38/25/01/921382501.db2.gz VYKZLIWPGRFNIV-GHMZBOCLSA-N 0 1 291.355 0.419 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](C)N(C(=O)Cc2ncn[nH]2)C1 ZINC001338156923 921382863 /nfs/dbraw/zinc/38/28/63/921382863.db2.gz VYKZLIWPGRFNIV-QWRGUYRKSA-N 0 1 291.355 0.419 20 30 CCEDMN Cc1ccc2[nH]nnc2c1NC(=O)[C@H](C)n1cnc(C#N)n1 ZINC001305384611 908202603 /nfs/dbraw/zinc/20/26/03/908202603.db2.gz HDKWEOXPSPWYNW-QMMMGPOBSA-N 0 1 296.294 0.929 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H](F)C(C)C ZINC001317456703 908252362 /nfs/dbraw/zinc/25/23/62/908252362.db2.gz NJAJRNLREGKJPN-LBPRGKRZSA-N 0 1 258.337 0.678 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)C(=O)N1CCC[C@@H](C#N)C1 ZINC001338198263 921395689 /nfs/dbraw/zinc/39/56/89/921395689.db2.gz GXDYJUJSRFMYKK-JTQLQIEISA-N 0 1 275.312 0.130 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CC(=O)N([C@@H](C)CCC)C1 ZINC001317472093 908343960 /nfs/dbraw/zinc/34/39/60/908343960.db2.gz VJTVZUGNXQPGMM-KBPBESRZSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](C)OC[C@H]1CCCO1 ZINC001317492297 908504886 /nfs/dbraw/zinc/50/48/86/908504886.db2.gz KOWROFJYPJHTMS-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCNCC(=O)NCC[C@@H]1CNc2ccccc21 ZINC001340889380 908557561 /nfs/dbraw/zinc/55/75/61/908557561.db2.gz YPMNIMJRTYOZFL-GFCCVEGCSA-N 0 1 257.337 0.925 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC001316743754 908564401 /nfs/dbraw/zinc/56/44/01/908564401.db2.gz JGWMIGNLAVDSPR-MGPQQGTHSA-N 0 1 264.369 0.561 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)NC[C@H]1CCN(CC#N)C1 ZINC001317520101 908585657 /nfs/dbraw/zinc/58/56/57/908585657.db2.gz JJTQSEUUYDVBQQ-OLZOCXBDSA-N 0 1 284.379 0.628 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@@H](C)c1nnc[nH]1 ZINC001312790283 908632430 /nfs/dbraw/zinc/63/24/30/908632430.db2.gz XIDPXDLHGGDKTN-JTQLQIEISA-N 0 1 264.333 0.120 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H](C)c1nnc[nH]1 ZINC001312790283 908632436 /nfs/dbraw/zinc/63/24/36/908632436.db2.gz XIDPXDLHGGDKTN-JTQLQIEISA-N 0 1 264.333 0.120 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@@H](C)c1nnc[n-]1 ZINC001312790283 908632444 /nfs/dbraw/zinc/63/24/44/908632444.db2.gz XIDPXDLHGGDKTN-JTQLQIEISA-N 0 1 264.333 0.120 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H](C)c1nnc[n-]1 ZINC001312790283 908632447 /nfs/dbraw/zinc/63/24/47/908632447.db2.gz XIDPXDLHGGDKTN-JTQLQIEISA-N 0 1 264.333 0.120 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1C ZINC001341642599 908644995 /nfs/dbraw/zinc/64/49/95/908644995.db2.gz JRCSIAXMMZHCIE-NWDGAFQWSA-N 0 1 259.309 0.845 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCOC[C@@H]1CC1CC1 ZINC001313439760 908665150 /nfs/dbraw/zinc/66/51/50/908665150.db2.gz RXCNUWWIWFTGTC-AWEZNQCLSA-N 0 1 279.384 0.762 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@H](O)c1ccco1 ZINC001313438895 908667509 /nfs/dbraw/zinc/66/75/09/908667509.db2.gz QKNVBCPNNHKAOB-NSHDSACASA-N 0 1 265.313 0.177 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC2(CC1)OCCCO2 ZINC001313439882 908667771 /nfs/dbraw/zinc/66/77/71/908667771.db2.gz WXJWMMSMVPZVJA-UHFFFAOYSA-N 0 1 295.383 0.490 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001328510336 908689949 /nfs/dbraw/zinc/68/99/49/908689949.db2.gz UTTOQXJJJQEJCC-VXGBXAGGSA-N 0 1 250.342 0.219 20 30 CCEDMN Cc1nc(CN2CC[C@H](NC(=O)C#CC3CC3)[C@H]2C)n[nH]1 ZINC001316782144 908771708 /nfs/dbraw/zinc/77/17/08/908771708.db2.gz DMMUJKUTIBNFJF-MFKMUULPSA-N 0 1 287.367 0.606 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCCN(C)CC(=O)NC(N)=O ZINC001316846039 908801438 /nfs/dbraw/zinc/80/14/38/908801438.db2.gz ADOFGCJJPGIEGS-AWEZNQCLSA-N 0 1 298.387 0.222 20 30 CCEDMN CC#CCCCC(=O)N[C@H]1CCN(CCn2cncn2)C1 ZINC001316986558 908900379 /nfs/dbraw/zinc/90/03/79/908900379.db2.gz QWMIZAFLTKWXIU-AWEZNQCLSA-N 0 1 289.383 0.662 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCN(CCOCC)C2)nn1 ZINC001316988964 908902347 /nfs/dbraw/zinc/90/23/47/908902347.db2.gz NAFNHQANWLRJOV-LBPRGKRZSA-N 0 1 293.371 0.305 20 30 CCEDMN Cc1ncoc1CN[C@H]1C[C@@H](NC(=O)[C@H](C)C#N)C1 ZINC001317105882 908982107 /nfs/dbraw/zinc/98/21/07/908982107.db2.gz YAZAPSBWBXWQIX-IEBDPFPHSA-N 0 1 262.313 0.880 20 30 CCEDMN C[C@@H](NC(=O)CCc1c[nH]nn1)[C@@H]1CCCN(CC#N)C1 ZINC001317210133 909048088 /nfs/dbraw/zinc/04/80/88/909048088.db2.gz SEJMKRURCYJXRV-VXGBXAGGSA-N 0 1 290.371 0.478 20 30 CCEDMN C[C@@H](NC(=O)CCc1cnn[nH]1)[C@@H]1CCCN(CC#N)C1 ZINC001317210133 909048109 /nfs/dbraw/zinc/04/81/09/909048109.db2.gz SEJMKRURCYJXRV-VXGBXAGGSA-N 0 1 290.371 0.478 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)NCc1cc(C)ncn1 ZINC001317235678 909070207 /nfs/dbraw/zinc/07/02/07/909070207.db2.gz OCLUYCYQDPLAHZ-DOMZBBRYSA-N 0 1 292.383 0.706 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](CNCc2csnn2)C1 ZINC001317314201 909138235 /nfs/dbraw/zinc/13/82/35/909138235.db2.gz VZSJRYPMECZQKC-LLVKDONJSA-N 0 1 296.396 0.679 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001317373373 909161829 /nfs/dbraw/zinc/16/18/29/909161829.db2.gz NVJVWHCZHQTRPJ-CHWSQXEVSA-N 0 1 279.384 0.442 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](O)[C@@H](C)C2)CC1 ZINC001338465571 921475382 /nfs/dbraw/zinc/47/53/82/921475382.db2.gz QPDANIIZJSCJTO-GXTWGEPZSA-N 0 1 264.369 0.561 20 30 CCEDMN CCc1cncc(C(=O)NCCN(C)CC#CCOC)c1 ZINC001317453248 909228143 /nfs/dbraw/zinc/22/81/43/909228143.db2.gz MPGOKNDMJNOKNV-UHFFFAOYSA-N 0 1 289.379 0.955 20 30 CCEDMN C#CCN(C)CCNC(=O)CCc1ccc(=O)[nH]c1 ZINC001317457668 909233996 /nfs/dbraw/zinc/23/39/96/909233996.db2.gz XHOAKCGTJPFQEM-UHFFFAOYSA-N 0 1 261.325 0.401 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CCc1ncccn1 ZINC001317488781 909259678 /nfs/dbraw/zinc/25/96/78/909259678.db2.gz YAXVKIZQKYGNGZ-UHFFFAOYSA-N 0 1 274.368 0.871 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H]1CCC[N@@H+]1CCOCC ZINC001317498354 909269723 /nfs/dbraw/zinc/26/97/23/909269723.db2.gz HTJGRNKQBPFIKX-ZFWWWQNUSA-N 0 1 284.400 0.931 20 30 CCEDMN CC[C@H](C(N)=O)N1CC[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001317524060 909292438 /nfs/dbraw/zinc/29/24/38/909292438.db2.gz XUMPCIFZLSKOLU-CHWSQXEVSA-N 0 1 293.411 0.738 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)C2(C)CCC2)C1 ZINC001317528601 909295638 /nfs/dbraw/zinc/29/56/38/909295638.db2.gz SJTWOHVOEDCEEI-ZDUSSCGKSA-N 0 1 293.411 0.917 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CNC(=O)C(=O)NCC2CC2)C1 ZINC001317531248 909299048 /nfs/dbraw/zinc/29/90/48/909299048.db2.gz BCOFYFCAMFLDSR-GFCCVEGCSA-N 0 1 299.802 0.703 20 30 CCEDMN C#CCN(CCNC(=O)c1cnsn1)C1CC1 ZINC001317549102 909318584 /nfs/dbraw/zinc/31/85/84/909318584.db2.gz JYXFDWOYUMOZQG-UHFFFAOYSA-N 0 1 250.327 0.366 20 30 CCEDMN CCCN(C(=O)CCc1nc[nH]n1)[C@H]1CCN(CC#N)C1 ZINC001317753060 909479885 /nfs/dbraw/zinc/47/98/85/909479885.db2.gz BPXJCJMCQZDDRV-LBPRGKRZSA-N 0 1 290.371 0.574 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001317753726 909481474 /nfs/dbraw/zinc/48/14/74/909481474.db2.gz IRYMJRVZIYQLKN-GJZGRUSLSA-N 0 1 295.427 0.816 20 30 CCEDMN C=C(C)CN1CCN(CCN(C)C(=O)[C@@H]2CCOC2)CC1 ZINC001317817020 909510770 /nfs/dbraw/zinc/51/07/70/909510770.db2.gz SHCXFFURALJFMK-OAHLLOKOSA-N 0 1 295.427 0.675 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H](COC)OC)C1 ZINC001318060001 909604010 /nfs/dbraw/zinc/60/40/10/909604010.db2.gz AOPFIGICHHWFOP-AAEUAGOBSA-N 0 1 270.373 0.803 20 30 CCEDMN C#CCN1CC(NC(=O)CN(C)CCc2ccccc2)C1 ZINC001318064124 909607605 /nfs/dbraw/zinc/60/76/05/909607605.db2.gz XNFBYUDJOFBPKE-UHFFFAOYSA-N 0 1 285.391 0.595 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)Cn2cc(C)cn2)C1 ZINC001318106699 909622067 /nfs/dbraw/zinc/62/20/67/909622067.db2.gz KVKHHDXQHGMGIY-UHFFFAOYSA-N 0 1 292.383 0.442 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)Cc2cc[nH]n2)C1 ZINC001318110561 909627937 /nfs/dbraw/zinc/62/79/37/909627937.db2.gz UGMGADWAOVBZRE-UHFFFAOYSA-N 0 1 278.356 0.203 20 30 CCEDMN C=CCN1CC(CNC(=O)COc2cc(C)on2)C1 ZINC001318128361 909636599 /nfs/dbraw/zinc/63/65/99/909636599.db2.gz MOIPJRLNYKHJTC-UHFFFAOYSA-N 0 1 265.313 0.596 20 30 CCEDMN C=CCN1CC(CNC(=O)CC(=O)NCC(F)(F)F)C1 ZINC001318163506 909648119 /nfs/dbraw/zinc/64/81/19/909648119.db2.gz AUKNQVCHVBWECK-UHFFFAOYSA-N 0 1 293.289 0.289 20 30 CCEDMN C=CCN1CC(CNC(=O)CCNC(=O)CC(C)C)C1 ZINC001318180740 909653189 /nfs/dbraw/zinc/65/31/89/909653189.db2.gz ZFYBRKMEGBDBMX-UHFFFAOYSA-N 0 1 281.400 0.773 20 30 CCEDMN C=CCOCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccn[nH]1 ZINC001318186838 909659275 /nfs/dbraw/zinc/65/92/75/909659275.db2.gz HUQHTEMDVFLHCA-RYUDHWBXSA-N 0 1 276.340 0.511 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC[C@@]2(C)CCC(=O)N2)C1 ZINC001318376358 909728640 /nfs/dbraw/zinc/72/86/40/909728640.db2.gz GALUARLQPPFQGV-DOMZBBRYSA-N 0 1 279.384 0.812 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)CNCc1cnn(C)n1 ZINC001319182926 910023863 /nfs/dbraw/zinc/02/38/63/910023863.db2.gz YWGZBPKDUQEQGP-GFCCVEGCSA-N 0 1 277.372 0.461 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1C[N@@H+](CCCC=C)CCO1 ZINC001319308886 910088765 /nfs/dbraw/zinc/08/87/65/910088765.db2.gz GQRLHBZHTMOVFN-GJZGRUSLSA-N 0 1 294.395 0.808 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1CN(CCCC=C)CCO1 ZINC001319308886 910088779 /nfs/dbraw/zinc/08/87/79/910088779.db2.gz GQRLHBZHTMOVFN-GJZGRUSLSA-N 0 1 294.395 0.808 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H](C)CC(N)=O)CC2 ZINC001319405510 910135007 /nfs/dbraw/zinc/13/50/07/910135007.db2.gz PCGXLOMEZLDXBE-ZDUSSCGKSA-N 0 1 291.395 0.446 20 30 CCEDMN C#CCOCCC(=O)N(C)[C@H](C)CNCc1nccn1C ZINC001319453365 910157711 /nfs/dbraw/zinc/15/77/11/910157711.db2.gz HGDFSHHOITWMCH-CYBMUJFWSA-N 0 1 292.383 0.397 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)C[C@@](C)(O)C1CC1 ZINC001319990757 910403559 /nfs/dbraw/zinc/40/35/59/910403559.db2.gz CMRHZYDZPDEMGF-CQSZACIVSA-N 0 1 252.358 0.561 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC001320293896 910586577 /nfs/dbraw/zinc/58/65/77/910586577.db2.gz DJFQUHAJBACWMF-HZSPNIEDSA-N 0 1 299.415 0.986 20 30 CCEDMN C=CC[C@@H](NC(=O)CSc1nnc(C)[nH]1)C(=O)OCC ZINC001320424656 910670453 /nfs/dbraw/zinc/67/04/53/910670453.db2.gz NRFKYDYTBJGTDY-SECBINFHSA-N 0 1 298.368 0.829 20 30 CCEDMN C=CC[C@@H](NC(=O)CSc1nc(C)n[nH]1)C(=O)OCC ZINC001320424656 910670458 /nfs/dbraw/zinc/67/04/58/910670458.db2.gz NRFKYDYTBJGTDY-SECBINFHSA-N 0 1 298.368 0.829 20 30 CCEDMN N#Cc1ccc(NC(=O)C(=O)NCc2c[nH]nn2)c(F)c1 ZINC001320561277 910737821 /nfs/dbraw/zinc/73/78/21/910737821.db2.gz ISGVCXYLJOFHLV-UHFFFAOYSA-N 0 1 288.242 0.070 20 30 CCEDMN CCN(CCNCc1cnnn1CC)C(=O)C#CC1CC1 ZINC001320733289 910830539 /nfs/dbraw/zinc/83/05/39/910830539.db2.gz KQANZUVJMLCDGA-UHFFFAOYSA-N 0 1 289.383 0.650 20 30 CCEDMN CC(C)N(C)C(=O)CN1CC[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001391085432 910865464 /nfs/dbraw/zinc/86/54/64/910865464.db2.gz JFBHADNEHJWHRY-QWHCGFSZSA-N 0 1 294.399 0.451 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)C1=CCCC1 ZINC001321023406 911024107 /nfs/dbraw/zinc/02/41/07/911024107.db2.gz IVQBGIMRRRKIKM-WAYWQWQTSA-N 0 1 277.368 0.661 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1[nH]nnc1C ZINC001391714898 911349773 /nfs/dbraw/zinc/34/97/73/911349773.db2.gz IYKSORCSACOTPK-VIFPVBQESA-N 0 1 271.752 0.964 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)NCc1cn[nH]c1C ZINC001321829583 911512248 /nfs/dbraw/zinc/51/22/48/911512248.db2.gz QSTVTEQJMUPFBU-NSHDSACASA-N 0 1 264.329 0.805 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2C[C@@H](C(=O)OC)C2)CC1 ZINC001339166444 921670746 /nfs/dbraw/zinc/67/07/46/921670746.db2.gz WRTQMCKFRCEJSF-TXEJJXNPSA-N 0 1 278.352 0.399 20 30 CCEDMN C[C@H]1COCCN1C1CCN(C(=O)[C@@H]2C[C@@H]2C#N)CC1 ZINC001417886377 921682619 /nfs/dbraw/zinc/68/26/19/921682619.db2.gz VFZAPWNEWRADAM-OUCADQQQSA-N 0 1 277.368 0.858 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1c(Cl)cnn1C ZINC001322160628 911688065 /nfs/dbraw/zinc/68/80/65/911688065.db2.gz NXJQUWAPFJYNME-SECBINFHSA-N 0 1 268.748 0.757 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cc(C)c(C(N)=O)cn1 ZINC001322247667 911724295 /nfs/dbraw/zinc/72/42/95/911724295.db2.gz GUHCZOLXFVZZNB-LLVKDONJSA-N 0 1 288.351 0.172 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)CN(C)CC#CCOC ZINC001322249437 911726773 /nfs/dbraw/zinc/72/67/73/911726773.db2.gz PSDOENFMOFUZBN-KFWWJZLASA-N 0 1 294.395 0.664 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)Cn1nnnc1C(C)C ZINC001322262142 911733975 /nfs/dbraw/zinc/73/39/75/911733975.db2.gz MUZNGPSOAVYKSV-LBPRGKRZSA-N 0 1 292.387 0.256 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CNC(=O)C(C)(C)C ZINC001322294444 911753007 /nfs/dbraw/zinc/75/30/07/911753007.db2.gz URAYKPNYNRRYTM-NSHDSACASA-N 0 1 267.373 0.218 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccnc(OC)n1 ZINC001392494108 911861413 /nfs/dbraw/zinc/86/14/13/911861413.db2.gz FRUVQJJYWIGUCX-SECBINFHSA-N 0 1 284.747 0.946 20 30 CCEDMN Cc1cc(NC(=O)CNC[C@H](C)NC(=O)[C@@H](C)C#N)on1 ZINC001392549181 911919264 /nfs/dbraw/zinc/91/92/64/911919264.db2.gz JFEANUJHKXISKC-WPRPVWTQSA-N 0 1 293.327 0.176 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCC1(C#N)CCOCC1 ZINC001339342950 921706587 /nfs/dbraw/zinc/70/65/87/921706587.db2.gz OTXDHQTWAJRJEP-GFCCVEGCSA-N 0 1 265.357 0.907 20 30 CCEDMN N#CCCCCNC(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC001323192538 912167242 /nfs/dbraw/zinc/16/72/42/912167242.db2.gz JGUOHUSKSORPFE-NSHDSACASA-N 0 1 289.339 0.713 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)Cc1cc(C)on1 ZINC001323210762 912183091 /nfs/dbraw/zinc/18/30/91/912183091.db2.gz JWGLNFZQHBNHOJ-CYBMUJFWSA-N 0 1 261.325 0.739 20 30 CCEDMN CCc1cc(CNC(=O)C2(C#N)CCOCC2)n[nH]1 ZINC001327992918 914912891 /nfs/dbraw/zinc/91/28/91/914912891.db2.gz HMZKICDEFTTWSN-UHFFFAOYSA-N 0 1 262.313 0.909 20 30 CCEDMN C[C@H](CCNC(=O)[C@@H](C)C#N)NCc1nnnn1C1CC1 ZINC001399308804 915110003 /nfs/dbraw/zinc/11/00/03/915110003.db2.gz AXMWZHWCRQRAMS-VHSXEESVSA-N 0 1 291.359 0.152 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)c1c[nH]c(=O)cn1)C1CC1 ZINC001417991601 921752551 /nfs/dbraw/zinc/75/25/51/921752551.db2.gz AGVSVZVLIFGYRB-JTQLQIEISA-N 0 1 296.758 0.620 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)/C(C)=C\C)CC1 ZINC001328519910 915248257 /nfs/dbraw/zinc/24/82/57/915248257.db2.gz KVEHXVOFATUIBL-ACAGNQJTSA-N 0 1 264.369 0.919 20 30 CCEDMN CN(CCNC(=O)c1ncn[nH]1)Cc1ccccc1C#N ZINC001399600725 915263123 /nfs/dbraw/zinc/26/31/23/915263123.db2.gz GHQFUHISPOSIMC-UHFFFAOYSA-N 0 1 284.323 0.538 20 30 CCEDMN CN(CCNC(=O)c1nc[nH]n1)Cc1ccccc1C#N ZINC001399600725 915263136 /nfs/dbraw/zinc/26/31/36/915263136.db2.gz GHQFUHISPOSIMC-UHFFFAOYSA-N 0 1 284.323 0.538 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC1 ZINC001328543113 915264861 /nfs/dbraw/zinc/26/48/61/915264861.db2.gz VDFUXSVBIRKHGK-MCIONIFRSA-N 0 1 292.379 0.130 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1 ZINC001328748175 915407185 /nfs/dbraw/zinc/40/71/85/915407185.db2.gz ZPLHXINUGFPIBJ-VXGBXAGGSA-N 0 1 265.357 0.115 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)NC1CC(CNCC#N)C1 ZINC001328861606 915483624 /nfs/dbraw/zinc/48/36/24/915483624.db2.gz TXOXVXLOXIMCDH-BPCQOVAHSA-N 0 1 284.379 0.674 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)Cn1cc(C)cn1 ZINC001329391546 915873599 /nfs/dbraw/zinc/87/35/99/915873599.db2.gz FSSMGVUBHOVTHO-CYBMUJFWSA-N 0 1 262.357 0.651 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC001329456349 915930580 /nfs/dbraw/zinc/93/05/80/915930580.db2.gz YNROWQDGKSVYKD-BXKDBHETSA-N 0 1 250.302 0.448 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)N(C)[C@@H](CC)C(N)=O ZINC001329524754 915985853 /nfs/dbraw/zinc/98/58/53/915985853.db2.gz RTDVGYBWMKNSLF-QWRGUYRKSA-N 0 1 269.389 0.899 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(=O)[nH]c1 ZINC001329609878 916058597 /nfs/dbraw/zinc/05/85/97/916058597.db2.gz RDAIAQZPTPJVTJ-LLVKDONJSA-N 0 1 261.325 0.861 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CNC(=O)CC(C)(C)C ZINC001329612583 916062657 /nfs/dbraw/zinc/06/26/57/916062657.db2.gz FINLRAPPEJZPDI-GFCCVEGCSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cccc(-n2ccnn2)c1 ZINC001329627675 916080459 /nfs/dbraw/zinc/08/04/59/916080459.db2.gz HZCGQXHNOLTPGG-CYBMUJFWSA-N 0 1 297.362 0.951 20 30 CCEDMN C=C(C)CS(=O)(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001329937703 916292066 /nfs/dbraw/zinc/29/20/66/916292066.db2.gz AYCQNAMKGFQXPA-SECBINFHSA-N 0 1 286.357 0.652 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H]1COC(=O)C1 ZINC001401672629 916457123 /nfs/dbraw/zinc/45/71/23/916457123.db2.gz CGPMRAUFCMQHFO-UWVGGRQHSA-N 0 1 274.748 0.786 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)N2C[C@@H](C)N[C@@H](C)C2)C1 ZINC001330200030 916473869 /nfs/dbraw/zinc/47/38/69/916473869.db2.gz DXLWPHSIHANPPZ-XQQFMLRXSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCC1(NC(=O)Cc2nnc[nH]2)CCOCC1 ZINC001330590975 916726075 /nfs/dbraw/zinc/72/60/75/916726075.db2.gz LNLDQUKDUGONCC-UHFFFAOYSA-N 0 1 250.302 0.589 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1C[C@H](CNCC#N)C1 ZINC001330637730 916754540 /nfs/dbraw/zinc/75/45/40/916754540.db2.gz WQODYIIUICHHJL-IJLUTSLNSA-N 0 1 250.346 0.089 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1CCNC[C@H]1CCC ZINC001331486896 917365008 /nfs/dbraw/zinc/36/50/08/917365008.db2.gz HYDFZABAKSVUHW-CYBMUJFWSA-N 0 1 279.384 0.507 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1CCNC1=O ZINC001331516488 917388046 /nfs/dbraw/zinc/38/80/46/917388046.db2.gz AKCWSUMUVSYPEI-NXEZZACHSA-N 0 1 273.764 0.312 20 30 CCEDMN C#C[C@H](CCC)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC001331554219 917414524 /nfs/dbraw/zinc/41/45/24/917414524.db2.gz FQIVGIGQCNAXMW-MRVPVSSYSA-N 0 1 286.309 0.541 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CCc1ccco1 ZINC001331670215 917500062 /nfs/dbraw/zinc/50/00/62/917500062.db2.gz XUTVAWQGXQXYAT-LBPRGKRZSA-N 0 1 264.325 0.302 20 30 CCEDMN Cc1ncc(CC(=O)NC2(C#N)CCN(C)CC2)o1 ZINC001331720699 917545339 /nfs/dbraw/zinc/54/53/39/917545339.db2.gz SUMPFXHDQWQUPE-UHFFFAOYSA-N 0 1 262.313 0.630 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C[C@H]1C=CCCC1 ZINC001331739031 917561297 /nfs/dbraw/zinc/56/12/97/917561297.db2.gz JMBVBBRVUJQJRE-UONOGXRCSA-N 0 1 264.369 0.823 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](CO)NC/C=C\Cl ZINC001331789383 917610291 /nfs/dbraw/zinc/61/02/91/917610291.db2.gz IARDYNZJYHWWEM-BPGVPUEKSA-N 0 1 288.775 0.397 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc2ccncc21 ZINC001331807033 917626273 /nfs/dbraw/zinc/62/62/73/917626273.db2.gz YRQHNCJXMQMEHO-ZDUSSCGKSA-N 0 1 283.331 0.548 20 30 CCEDMN Cc1c(C#N)cccc1C(=O)NCC[N@@H+](C)CCO ZINC001331887479 917691627 /nfs/dbraw/zinc/69/16/27/917691627.db2.gz WSOGPIVMOJMXKC-UHFFFAOYSA-N 0 1 261.325 0.521 20 30 CCEDMN C=CCOCC(=O)N[C@]12CCC[C@@H]1N(CC(=O)NC)CC2 ZINC001332083415 917849470 /nfs/dbraw/zinc/84/94/70/917849470.db2.gz RLMDGGYMQHNJMV-WFASDCNBSA-N 0 1 295.383 0.048 20 30 CCEDMN CC(C)C(=O)NC[C@@H](CO)NCc1cccc(C#N)c1 ZINC001403668715 917909512 /nfs/dbraw/zinc/90/95/12/917909512.db2.gz VHXNHACDMJHRLW-AWEZNQCLSA-N 0 1 275.352 0.781 20 30 CCEDMN CC(C)C(=O)NC[C@H](CO)NCc1cccc(C#N)c1 ZINC001403668901 917911802 /nfs/dbraw/zinc/91/18/02/917911802.db2.gz VHXNHACDMJHRLW-CQSZACIVSA-N 0 1 275.352 0.781 20 30 CCEDMN C#CCCCCCC(=O)NCc1n[nH]c(CO)n1 ZINC001332258148 917997482 /nfs/dbraw/zinc/99/74/82/917997482.db2.gz CWLGDRYHTZUSGX-UHFFFAOYSA-N 0 1 250.302 0.497 20 30 CCEDMN C#CCCCCCC(=O)NCc1nnc(CO)[nH]1 ZINC001332258148 917997490 /nfs/dbraw/zinc/99/74/90/917997490.db2.gz CWLGDRYHTZUSGX-UHFFFAOYSA-N 0 1 250.302 0.497 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001403836570 918048712 /nfs/dbraw/zinc/04/87/12/918048712.db2.gz XDKRXULWOJLXNE-NSHDSACASA-N 0 1 296.758 0.661 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)[C@H](C)C1CC1 ZINC001332622171 918322977 /nfs/dbraw/zinc/32/29/77/918322977.db2.gz XGNGUFOLUKNNJG-HIFRSBDPSA-N 0 1 296.411 0.433 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001332852794 918484384 /nfs/dbraw/zinc/48/43/84/918484384.db2.gz HUAQSVCAIAWAGZ-UWVGGRQHSA-N 0 1 261.325 0.649 20 30 CCEDMN C[C@H](NC(=O)Cc1nnc[nH]1)C1CCN(CC#N)CC1 ZINC001333004513 918595076 /nfs/dbraw/zinc/59/50/76/918595076.db2.gz LMPXGRGASLBCIM-JTQLQIEISA-N 0 1 276.344 0.087 20 30 CCEDMN CC[C@H](CC#N)NC[C@H](O)CC1(O)CCOCC1 ZINC001333039961 918615889 /nfs/dbraw/zinc/61/58/89/918615889.db2.gz YYHUTKNLUNKHJV-VXGBXAGGSA-N 0 1 256.346 0.561 20 30 CCEDMN C#CC1(O)CCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC001333073266 918635676 /nfs/dbraw/zinc/63/56/76/918635676.db2.gz JWZINJPNFJWXAT-UHFFFAOYSA-N 0 1 276.340 0.504 20 30 CCEDMN C#CCCN1CCN(CCO[C@H]2CCOC2)CC1 ZINC001333157151 918696058 /nfs/dbraw/zinc/69/60/58/918696058.db2.gz OFBRCMANEJPIMZ-AWEZNQCLSA-N 0 1 252.358 0.433 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CNC(=O)C1CC1 ZINC001405799420 918917679 /nfs/dbraw/zinc/91/76/79/918917679.db2.gz NEEFZCDVHFZPDK-JTQLQIEISA-N 0 1 287.791 0.702 20 30 CCEDMN N#CC1(NC(=O)CN2CCC3(CCNC3=O)CC2)CCC1 ZINC001333469212 918933084 /nfs/dbraw/zinc/93/30/84/918933084.db2.gz ACEDPHVFSJVHLK-UHFFFAOYSA-N 0 1 290.367 0.151 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CNC(=O)C1CCC1 ZINC001406184901 919096316 /nfs/dbraw/zinc/09/63/16/919096316.db2.gz DZCRCENQTVZBSB-SNVBAGLBSA-N 0 1 291.189 0.762 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cn2c(n1)CCC2 ZINC001406201657 919112279 /nfs/dbraw/zinc/11/22/79/919112279.db2.gz AVUNEPDBQWZUKE-SNVBAGLBSA-N 0 1 298.774 0.262 20 30 CCEDMN CS(=O)(=O)CCOCCNCC#Cc1ccccc1 ZINC001333738632 919131525 /nfs/dbraw/zinc/13/15/25/919131525.db2.gz FLQGKKBPTJEQLH-UHFFFAOYSA-N 0 1 281.377 0.689 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](O)[C@H](F)C2)CC1 ZINC001333921633 919248086 /nfs/dbraw/zinc/24/80/86/919248086.db2.gz QJBBYPKDYNHWOT-OLZOCXBDSA-N 0 1 268.332 0.263 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001333934159 919257388 /nfs/dbraw/zinc/25/73/88/919257388.db2.gz MDCDQCRQOHPQEU-BDAKNGLRSA-N 0 1 279.344 0.640 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001333934159 919257402 /nfs/dbraw/zinc/25/74/02/919257402.db2.gz MDCDQCRQOHPQEU-BDAKNGLRSA-N 0 1 279.344 0.640 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](C)CS(C)(=O)=O ZINC001406426769 919258420 /nfs/dbraw/zinc/25/84/20/919258420.db2.gz BGOOATSLBYWAFH-WCBMZHEXSA-N 0 1 296.820 0.514 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCCc2nn(C)cc21 ZINC001334251770 919456848 /nfs/dbraw/zinc/45/68/48/919456848.db2.gz JFGQTPPIICVGOH-LBPRGKRZSA-N 0 1 274.368 0.521 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)[C@@H](C)CNC(=O)C#CC1CC1 ZINC001334265759 919467240 /nfs/dbraw/zinc/46/72/40/919467240.db2.gz SWGZYDFVTZUACZ-VIFPVBQESA-N 0 1 289.339 0.103 20 30 CCEDMN C=C[C@@H](COC)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC001334934725 919849492 /nfs/dbraw/zinc/84/94/92/919849492.db2.gz RXNJZNRRFKVQKY-NWDGAFQWSA-N 0 1 254.330 0.024 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)C(=O)NCc1cn[nH]c1C ZINC001335520817 920251717 /nfs/dbraw/zinc/25/17/17/920251717.db2.gz AODIBXKWIBIZBR-GFCCVEGCSA-N 0 1 274.324 0.349 20 30 CCEDMN C=C(C)CCC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001335790715 920436252 /nfs/dbraw/zinc/43/62/52/920436252.db2.gz SSJJUSOBCXJJBR-SECBINFHSA-N 0 1 251.290 0.456 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C2CCC1(CO)CC2 ZINC001336288654 920701328 /nfs/dbraw/zinc/70/13/28/920701328.db2.gz XMKMWVHANAIVSD-UHFFFAOYSA-N 0 1 265.357 0.738 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCNC(=O)c1n[nH]c2ccccc21 ZINC001415618348 920784977 /nfs/dbraw/zinc/78/49/77/920784977.db2.gz AJPYGTJGLBAHHG-JTQLQIEISA-N 0 1 299.334 0.911 20 30 CCEDMN C[C@H](CNC(=O)c1ccc2cncn2c1)NC(=O)[C@@H](C)C#N ZINC001416853478 921039431 /nfs/dbraw/zinc/03/94/31/921039431.db2.gz BQPDGCCTPIWTQX-WDEREUQCSA-N 0 1 299.334 0.728 20 30 CCEDMN C#CCNCC(=O)NC[C@H](O)c1cccc(OC)c1 ZINC001340029601 921921322 /nfs/dbraw/zinc/92/13/22/921921322.db2.gz LNDDCGNGVGDSSJ-ZDUSSCGKSA-N 0 1 262.309 0.068 20 30 CCEDMN C#CCNCC(=O)N(C[C@@H](C)C(=O)OC)C1CC1 ZINC001340341094 922060014 /nfs/dbraw/zinc/06/00/14/922060014.db2.gz SEBAMBRUVYIHNY-SNVBAGLBSA-N 0 1 252.314 0.009 20 30 CCEDMN C#CCNCC(=O)N1CCC(OCCCOC)CC1 ZINC001340717422 922181363 /nfs/dbraw/zinc/18/13/63/922181363.db2.gz NKABHPUFAMNZKR-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCCCNC(=O)c1cnn[nH]1 ZINC001340787410 922219947 /nfs/dbraw/zinc/21/99/47/922219947.db2.gz GNBGTPASDLIEPP-NXEZZACHSA-N 0 1 292.343 0.369 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)[C@@H](O)c1cccc(F)c1 ZINC001341039160 922322513 /nfs/dbraw/zinc/32/25/13/922322513.db2.gz DSSBXKIELIBJTM-IINYFYTJSA-N 0 1 264.300 0.587 20 30 CCEDMN C[C@H]1OC(=O)N[C@H]1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001418730614 922394898 /nfs/dbraw/zinc/39/48/98/922394898.db2.gz BOIIOGBJGCVJHU-LHLIQPBNSA-N 0 1 285.263 0.870 20 30 CCEDMN C#CCNCC(=O)N[C@@H](c1ccccc1)[C@@H](C)C(=O)OC ZINC001341519457 922532189 /nfs/dbraw/zinc/53/21/89/922532189.db2.gz YDZNENYAOVLHNH-IUODEOHRSA-N 0 1 288.347 0.876 20 30 CCEDMN N#CCc1cccc(C(=O)N[C@H](CO)Cc2cnc[nH]2)c1 ZINC001418998734 922554437 /nfs/dbraw/zinc/55/44/37/922554437.db2.gz UFOLEHJWDXEDQQ-AWEZNQCLSA-N 0 1 284.319 0.809 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCC(c3nn[nH]n3)CC2)n1 ZINC001418999229 922559431 /nfs/dbraw/zinc/55/94/31/922559431.db2.gz XBSCYXMICOKEGT-UHFFFAOYSA-N 0 1 283.295 0.486 20 30 CCEDMN C=CCOCCCC(=O)N1CCNC[C@@H]1COC ZINC001341639530 922597564 /nfs/dbraw/zinc/59/75/64/922597564.db2.gz FUBOHUZWBCLKKT-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccnn1C1CCC1 ZINC001341641712 922597011 /nfs/dbraw/zinc/59/70/11/922597011.db2.gz CNLMDCWSUNVHOO-GXSJLCMTSA-N 0 1 259.313 0.449 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)C(=O)NCCCCC#N)n[nH]1 ZINC001341850851 922696151 /nfs/dbraw/zinc/69/61/51/922696151.db2.gz VHCLYNPIHOHERM-JTQLQIEISA-N 0 1 291.355 0.575 20 30 CCEDMN CO[C@@H]1CCC[C@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC001341948133 922732943 /nfs/dbraw/zinc/73/29/43/922732943.db2.gz PRBKQLYIMMMTEM-OUAUKWLOSA-N 0 1 250.298 0.789 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)CC(C)(C)COC ZINC001342706205 923059870 /nfs/dbraw/zinc/05/98/70/923059870.db2.gz FJFMHNYXAPWLTO-JTQLQIEISA-N 0 1 270.329 0.521 20 30 CCEDMN C=CCNC(=O)C(C#N)C(=O)[C@H]1CCCN1C(C)C ZINC001342723309 923071409 /nfs/dbraw/zinc/07/14/09/923071409.db2.gz XARRSHXTYKIZPU-NWDGAFQWSA-N 0 1 263.341 0.870 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CC[C@@H](c2nc(CC)no2)C1 ZINC001342809840 923095358 /nfs/dbraw/zinc/09/53/58/923095358.db2.gz GXYGDBXAZZZSLG-GHMZBOCLSA-N 0 1 276.340 0.607 20 30 CCEDMN C#CCNCC(=O)NCc1nc2c(s1)COCC2 ZINC001342838290 923103346 /nfs/dbraw/zinc/10/33/46/923103346.db2.gz DTXCKILXKWHPNX-UHFFFAOYSA-N 0 1 265.338 0.055 20 30 CCEDMN C#CCNCC(=O)N1CCn2c(nnc2-c2ccccc2)C1 ZINC001343445717 923319426 /nfs/dbraw/zinc/31/94/26/923319426.db2.gz WWRSNMWHWJNJHC-UHFFFAOYSA-N 0 1 295.346 0.510 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C[C@H]1CCCOC1 ZINC001420223797 923431451 /nfs/dbraw/zinc/43/14/51/923431451.db2.gz YWQBGXOGNZYJHU-VXGBXAGGSA-N 0 1 290.791 0.622 20 30 CCEDMN CCN(CCNC(=O)[C@@H](C)C#N)[C@@H]1CCCN(C)C1=O ZINC001420373348 923593347 /nfs/dbraw/zinc/59/33/47/923593347.db2.gz ZKNSVMYNMXEHAG-NWDGAFQWSA-N 0 1 280.372 0.205 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2COC(=O)N2)CC1 ZINC001420927869 924032153 /nfs/dbraw/zinc/03/21/53/924032153.db2.gz BLRZZTSEYLJAEW-MRVPVSSYSA-N 0 1 273.720 0.086 20 30 CCEDMN C#CCNCC(=O)N[C@H](CO)c1c(F)cccc1F ZINC001346259442 924137209 /nfs/dbraw/zinc/13/72/09/924137209.db2.gz HUZBTOYZCKCVHJ-LLVKDONJSA-N 0 1 268.263 0.337 20 30 CCEDMN CCNC(=O)CN1CCC[C@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001421278244 924251159 /nfs/dbraw/zinc/25/11/59/924251159.db2.gz BZUHPVCAHPKBHB-IUODEOHRSA-N 0 1 294.399 0.500 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1CC[C@H]2[C@@H](C1)C2(F)F ZINC001347148559 924384932 /nfs/dbraw/zinc/38/49/32/924384932.db2.gz OGDDQNJBEQLKQZ-NZFPMDFQSA-N 0 1 269.295 0.896 20 30 CCEDMN C#CCN1CCC(OC(=O)CC2OCCCO2)CC1 ZINC001347400817 924439584 /nfs/dbraw/zinc/43/95/84/924439584.db2.gz NTNJTLWNRNGJBC-UHFFFAOYSA-N 0 1 267.325 0.780 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)NCc2n[nH]c(CC)n2)C1 ZINC001348071767 924590221 /nfs/dbraw/zinc/59/02/21/924590221.db2.gz ZWTZMKWEUJOVQZ-SNVBAGLBSA-N 0 1 279.344 0.854 20 30 CCEDMN C=CCOCC(=O)N1CCN(C(=O)c2cc(C)[nH]n2)CC1 ZINC001348176778 924610934 /nfs/dbraw/zinc/61/09/34/924610934.db2.gz XBHJQGAIHLGECD-UHFFFAOYSA-N 0 1 292.339 0.205 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNC(=O)CCc1nc[nH]n1 ZINC001348735370 924790179 /nfs/dbraw/zinc/79/01/79/924790179.db2.gz VNQGOQQTESDBPQ-GHMZBOCLSA-N 0 1 293.371 0.428 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C2(C(=O)[O-])CC2)CC1 ZINC001350794935 925282879 /nfs/dbraw/zinc/28/28/79/925282879.db2.gz AHWGASLPIAGOKB-UHFFFAOYSA-N 0 1 250.298 0.019 20 30 CCEDMN C[N@H+]1CCN(c2ccc(C#N)nc2)C[C@H](C(=O)[O-])C1 ZINC001351667843 925453180 /nfs/dbraw/zinc/45/31/80/925453180.db2.gz UFDUYFXIQLDVOZ-SNVBAGLBSA-N 0 1 260.297 0.406 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)CCc1nc[nH]n1 ZINC001351691485 925457328 /nfs/dbraw/zinc/45/73/28/925457328.db2.gz ATDBFBBDVVKDMN-SNVBAGLBSA-N 0 1 250.302 0.282 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)[C@H]1CC[N@@H+](C)[C@@H](C)C1 ZINC001351728650 925463222 /nfs/dbraw/zinc/46/32/22/925463222.db2.gz IAMAGBMRWZQEFT-QWRGUYRKSA-N 0 1 254.330 0.816 20 30 CCEDMN Cc1oc(C=NN2CC[NH+](C)CC2)cc1C(=O)[O-] ZINC001352726741 925622122 /nfs/dbraw/zinc/62/21/22/925622122.db2.gz RPLZGCGSMBECGZ-UHFFFAOYSA-N 0 1 251.286 0.868 20 30 CCEDMN C#CCNCC(=O)NCc1cn2cc(C)ccc2n1 ZINC001353509015 925855553 /nfs/dbraw/zinc/85/55/53/925855553.db2.gz ROUASVKDWAQSBF-UHFFFAOYSA-N 0 1 256.309 0.482 20 30 CCEDMN C#CCNCC(=O)NCCN1c2ccccc2C[C@H]1C ZINC001353517121 925858693 /nfs/dbraw/zinc/85/86/93/925858693.db2.gz BHFLERZDGGDDKO-CYBMUJFWSA-N 0 1 271.364 0.777 20 30 CCEDMN C=CCC[C@@H](NC(=O)[C@@H](C)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001354046576 925996887 /nfs/dbraw/zinc/99/68/87/925996887.db2.gz FGQZYSHFVIHHGB-VXGBXAGGSA-N 0 1 283.372 0.158 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](C[C@@H]2CN=C(c3cnn(C)c3)O2)C1 ZINC001354591463 926135067 /nfs/dbraw/zinc/13/50/67/926135067.db2.gz QTCUJWFPDBKYRJ-KBPBESRZSA-N 0 1 290.367 0.842 20 30 CCEDMN C=CCO[C@H]1CCN(C[C@@H]2CN=C(c3cnn(C)c3)O2)C1 ZINC001354591463 926135071 /nfs/dbraw/zinc/13/50/71/926135071.db2.gz QTCUJWFPDBKYRJ-KBPBESRZSA-N 0 1 290.367 0.842 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001354801777 926168446 /nfs/dbraw/zinc/16/84/46/926168446.db2.gz UNYPZXXTAKCKNQ-GFCCVEGCSA-N 0 1 288.351 0.647 20 30 CCEDMN C#CCNCC(=O)N1Cc2cnn(C)c2CC(C)(C)C1 ZINC001357891308 926609025 /nfs/dbraw/zinc/60/90/25/926609025.db2.gz JWDFEXXFWKUDBU-UHFFFAOYSA-N 0 1 274.368 0.554 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@H](c2ccccc2OC)C1 ZINC001357994058 926617670 /nfs/dbraw/zinc/61/76/70/926617670.db2.gz UXRSQOCDTDWDNE-HNNXBMFYSA-N 0 1 288.347 0.818 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001358327673 926676304 /nfs/dbraw/zinc/67/63/04/926676304.db2.gz HPEHLOMGYDMMIX-NXEZZACHSA-N 0 1 279.344 0.642 20 30 CCEDMN COc1cc(CNC[C@@H](C)NC(=O)[C@H](C)C#N)sn1 ZINC001422471229 927295712 /nfs/dbraw/zinc/29/57/12/927295712.db2.gz QKRODPGNLISGFD-RKDXNWHRSA-N 0 1 282.369 0.906 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)CCN2CC[C@@H](F)C2)CC1 ZINC001362116547 927631556 /nfs/dbraw/zinc/63/15/56/927631556.db2.gz SASAUWLPJZELJJ-GFCCVEGCSA-N 0 1 296.346 0.005 20 30 CCEDMN N#CC1(CNC2CCS(=O)(=O)CC2)CCOCC1 ZINC001423493570 927888984 /nfs/dbraw/zinc/88/89/84/927888984.db2.gz YJQWRQNVWCSMNU-UHFFFAOYSA-N 0 1 272.370 0.474 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2n[nH]c(=O)n2C2CC2)[nH]1 ZINC001362888898 928617666 /nfs/dbraw/zinc/61/76/66/928617666.db2.gz KFDOBVAALDZHSB-UHFFFAOYSA-N 0 1 272.268 0.448 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](CO)NCc1cccc(C#N)c1 ZINC001425716261 928637570 /nfs/dbraw/zinc/63/75/70/928637570.db2.gz VXGDGLCUFSDARH-FZMZJTMJSA-N 0 1 286.335 0.285 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCc1cncc(C#N)c1 ZINC001362944461 928682116 /nfs/dbraw/zinc/68/21/16/928682116.db2.gz HXYPOKBPVKMEEP-JSGCOSHPSA-N 0 1 274.324 0.025 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CC(CNC(=O)Cc2cnc[nH]2)C1 ZINC001426372699 928826067 /nfs/dbraw/zinc/82/60/67/928826067.db2.gz YDCUNZZCDZGVMB-KPPDAEKUSA-N 0 1 289.339 0.123 20 30 CCEDMN N#CCc1ccc(C(=O)NCc2n[nH]c(CO)n2)cc1 ZINC001363128288 928900009 /nfs/dbraw/zinc/90/00/09/928900009.db2.gz LZJWSJRGLXZPTI-UHFFFAOYSA-N 0 1 271.280 0.293 20 30 CCEDMN N#CCc1ccc(C(=O)NCc2nnc(CO)[nH]2)cc1 ZINC001363128288 928900020 /nfs/dbraw/zinc/90/00/20/928900020.db2.gz LZJWSJRGLXZPTI-UHFFFAOYSA-N 0 1 271.280 0.293 20 30 CCEDMN CS(=O)(=O)C[C@@H]1CC[N@@H+](C[C@@H](O)CC2(C#N)CC2)C1 ZINC001363254717 929033364 /nfs/dbraw/zinc/03/33/64/929033364.db2.gz GKRPBJUCLAVZLF-NEPJUHHUSA-N 0 1 286.397 0.408 20 30 CCEDMN CS(=O)(=O)C[C@@H]1CCN(C[C@@H](O)CC2(C#N)CC2)C1 ZINC001363254717 929033375 /nfs/dbraw/zinc/03/33/75/929033375.db2.gz GKRPBJUCLAVZLF-NEPJUHHUSA-N 0 1 286.397 0.408 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)CNC(=O)C3CC3)[nH]c2c1 ZINC001363503652 929306936 /nfs/dbraw/zinc/30/69/36/929306936.db2.gz WNPYOZQJEMDYAV-UHFFFAOYSA-N 0 1 283.291 0.899 20 30 CCEDMN N#Cc1sccc1S(=O)(=O)NCCc1nc[nH]n1 ZINC001363822921 929614507 /nfs/dbraw/zinc/61/45/07/929614507.db2.gz UXCNYOCHZJZHKK-UHFFFAOYSA-N 0 1 283.338 0.259 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001444002126 929763880 /nfs/dbraw/zinc/76/38/80/929763880.db2.gz ZSLYTVJQWIQAQC-ZJUUUORDSA-N 0 1 277.328 0.075 20 30 CCEDMN CCCNC(=O)CN1CCC[C@H](NC(=O)[C@H](C)C#N)CC1 ZINC001447294946 930603025 /nfs/dbraw/zinc/60/30/25/930603025.db2.gz BPUYEXFZSWHNOM-OLZOCXBDSA-N 0 1 294.399 0.643 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CN(C)C(=O)C1CC1 ZINC001374762908 930653457 /nfs/dbraw/zinc/65/34/57/930653457.db2.gz HDIYTLQATXTLCO-SNVBAGLBSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)CNC(C)=O)[C@@H]2C1 ZINC001448411488 930882676 /nfs/dbraw/zinc/88/26/76/930882676.db2.gz QBIQEYXINFTQGW-CNDDSTCGSA-N 0 1 285.775 0.169 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@H](CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001375526711 930909060 /nfs/dbraw/zinc/90/90/60/930909060.db2.gz VFYXXCLXWKXBNL-FBIMIBRVSA-N 0 1 276.344 0.257 20 30 CCEDMN C=C(Br)CN(C)CCNC(=O)c1cnn[nH]1 ZINC001377187738 931421037 /nfs/dbraw/zinc/42/10/37/931421037.db2.gz DHOIJMIPGTVBSG-UHFFFAOYSA-N 0 1 288.149 0.375 20 30 CCEDMN C[N@H+]1CC[C@@]2(CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C2)C1 ZINC001610950798 970802527 /nfs/dbraw/zinc/80/25/27/970802527.db2.gz UZTDBRZKBXVSIX-UONOGXRCSA-N 0 1 279.340 0.545 20 30 CCEDMN N#Cc1ccc2ncc(C[NH+]3CC(C(=O)[O-])C3)n2c1 ZINC001611299717 970982157 /nfs/dbraw/zinc/98/21/57/970982157.db2.gz QGPIUYIOQXVFOO-UHFFFAOYSA-N 0 1 256.265 0.722 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CC[C@@H]2C[C@H](C)C[N@@H+]2C1 ZINC001602682144 971265612 /nfs/dbraw/zinc/26/56/12/971265612.db2.gz HHRIIMPNNBRGHO-YNEHKIRRSA-N 0 1 278.352 0.653 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CC(=O)[O-])CC1CC1 ZINC001589719335 950402628 /nfs/dbraw/zinc/40/26/28/950402628.db2.gz CVBQQHKYCAJSGJ-LLVKDONJSA-N 0 1 281.356 0.791 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+](CC(=O)[O-])CC1CC1 ZINC001589719335 950402637 /nfs/dbraw/zinc/40/26/37/950402637.db2.gz CVBQQHKYCAJSGJ-LLVKDONJSA-N 0 1 281.356 0.791 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC001589720451 950415879 /nfs/dbraw/zinc/41/58/79/950415879.db2.gz POORNBOIQYODOS-RISCZKNCSA-N 0 1 281.356 0.934 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC001589720451 950415887 /nfs/dbraw/zinc/41/58/87/950415887.db2.gz POORNBOIQYODOS-RISCZKNCSA-N 0 1 281.356 0.934 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H](C)[C@@H]1C(=O)[O-] ZINC001589729899 950488945 /nfs/dbraw/zinc/48/89/45/950488945.db2.gz GNVAHOAOJDDLAD-WCQYABFASA-N 0 1 281.356 0.934 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](C)[C@@H]1C(=O)[O-] ZINC001589729899 950488949 /nfs/dbraw/zinc/48/89/49/950488949.db2.gz GNVAHOAOJDDLAD-WCQYABFASA-N 0 1 281.356 0.934 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC001589440614 954706537 /nfs/dbraw/zinc/70/65/37/954706537.db2.gz AMXWOXUHNFDIGO-MFKMUULPSA-N 0 1 267.329 0.590 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC001589440614 954706546 /nfs/dbraw/zinc/70/65/46/954706546.db2.gz AMXWOXUHNFDIGO-MFKMUULPSA-N 0 1 267.329 0.590 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N[C@H]2C[C@H](C(=O)[O-])C2)C1 ZINC001588430446 958255077 /nfs/dbraw/zinc/25/50/77/958255077.db2.gz LVIAKAPFMVBCSN-SRVKXCTJSA-N 0 1 279.340 0.246 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N[C@H]2C[C@H](C(=O)[O-])C2)C1 ZINC001588430446 958255091 /nfs/dbraw/zinc/25/50/91/958255091.db2.gz LVIAKAPFMVBCSN-SRVKXCTJSA-N 0 1 279.340 0.246 20 30 CCEDMN CC[N@@H+](C)[C@H]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC001573875015 961241097 /nfs/dbraw/zinc/24/10/97/961241097.db2.gz CRANQVNAJMQULE-GWCFXTLKSA-N 0 1 267.329 0.544 20 30 CCEDMN CC[N@@H+](C)[C@@H]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC001573875018 961241924 /nfs/dbraw/zinc/24/19/24/961241924.db2.gz CRANQVNAJMQULE-ZWNOBZJWSA-N 0 1 267.329 0.544 20 30 CCEDMN N#CC1(C[C@@H](O)C[N@H+]2CCC[C@@H](C(=O)[O-])C2)CC1 ZINC001574480086 961658587 /nfs/dbraw/zinc/65/85/87/961658587.db2.gz BIDGTRWAKXIFEX-GHMZBOCLSA-N 0 1 252.314 0.838 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H]1CCCNC(=O)CNC(=O)[O-] ZINC001573302663 962659469 /nfs/dbraw/zinc/65/94/69/962659469.db2.gz YWUCDYKWIYVZBN-LBPRGKRZSA-N 0 1 281.356 0.638 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)[O-] ZINC000299990189 962960627 /nfs/dbraw/zinc/96/06/27/962960627.db2.gz KSDXFSLNQJKRER-HOSYDEDBSA-N 0 1 265.313 0.201 20 30 CCEDMN C=CC[N@H+](C)[C@@H]1CCCN(C(=O)CNC(=O)[O-])C1 ZINC001573360453 963038186 /nfs/dbraw/zinc/03/81/86/963038186.db2.gz PECDUNVPYKFWDL-SNVBAGLBSA-N 0 1 255.318 0.363 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])C[C@H](O)COc1ccc(CC#N)cc1 ZINC001604149444 972554388 /nfs/dbraw/zinc/55/43/88/972554388.db2.gz IMSTXWTUDUGTNK-ZDUSSCGKSA-N 0 1 292.335 0.899 20 30 CCEDMN N#Cc1cc(C(=O)OC[C@H]2CCC[N@@H+]2CC(=O)[O-])ccn1 ZINC001605591972 973892166 /nfs/dbraw/zinc/89/21/66/973892166.db2.gz LNILLWDCBWVPMC-GFCCVEGCSA-N 0 1 289.291 0.659 20 30 CCEDMN CC[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)[C@H](C)C(=O)[O-] ZINC001603724763 974163914 /nfs/dbraw/zinc/16/39/14/974163914.db2.gz WZQOKPXGVVXYBM-RNCFNFMXSA-N 0 1 267.329 0.590 20 30 CCEDMN CC[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)[C@H](C)C(=O)[O-] ZINC001603724763 974163917 /nfs/dbraw/zinc/16/39/17/974163917.db2.gz WZQOKPXGVVXYBM-RNCFNFMXSA-N 0 1 267.329 0.590 20 30 CCEDMN C[C@](CC(=O)[O-])([NH2+]CC(=O)NCCC#N)c1ccccn1 ZINC001592323808 977739063 /nfs/dbraw/zinc/73/90/63/977739063.db2.gz YGOJVPIFJJNKFG-CQSZACIVSA-N 0 1 290.323 0.391 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CC[N@@H+](CCC(=O)[O-])[C@H](C)C1 ZINC001594778583 981778677 /nfs/dbraw/zinc/77/86/77/981778677.db2.gz WGGBZCVTHTVLMQ-RISCZKNCSA-N 0 1 281.356 0.934 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N2C[C@@H](C)[C@H](C(=O)[O-])C2)C1 ZINC001588475257 983507646 /nfs/dbraw/zinc/50/76/46/983507646.db2.gz BAJJZEYAFAVYMR-FRRDWIJNSA-N 0 1 293.367 0.446 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N2C[C@@H](C)[C@H](C(=O)[O-])C2)C1 ZINC001588475257 983507649 /nfs/dbraw/zinc/50/76/49/983507649.db2.gz BAJJZEYAFAVYMR-FRRDWIJNSA-N 0 1 293.367 0.446 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(C(=O)[O-])ccn2)C1 ZINC001588475677 983508878 /nfs/dbraw/zinc/50/88/78/983508878.db2.gz GDBDSMINBPJUBT-GFCCVEGCSA-N 0 1 287.319 0.607 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C(=O)[O-])ccn2)C1 ZINC001588475677 983508879 /nfs/dbraw/zinc/50/88/79/983508879.db2.gz GDBDSMINBPJUBT-GFCCVEGCSA-N 0 1 287.319 0.607 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)c2cc(C(=O)[O-])on2)C1 ZINC001588477197 983514743 /nfs/dbraw/zinc/51/47/43/983514743.db2.gz WFQQZAFMFCOXKK-SECBINFHSA-N 0 1 277.280 0.200 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cc(C(=O)[O-])on2)C1 ZINC001588477197 983514746 /nfs/dbraw/zinc/51/47/46/983514746.db2.gz WFQQZAFMFCOXKK-SECBINFHSA-N 0 1 277.280 0.200 20 30 CCEDMN C#CCNC(=O)CC[NH2+]C(C)(C)c1nocc1C(=O)[O-] ZINC001588483583 983521522 /nfs/dbraw/zinc/52/15/22/983521522.db2.gz BSZFQEWUHRIVOX-UHFFFAOYSA-N 0 1 279.296 0.337 20 30 CCEDMN C#CCOCC[N@@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588491512 983536432 /nfs/dbraw/zinc/53/64/32/983536432.db2.gz OINBOILZONVXML-LLVKDONJSA-N 0 1 278.312 0.263 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[C@@H]2C[N@H+](C)C[C@H]21 ZINC001588613651 983704697 /nfs/dbraw/zinc/70/46/97/983704697.db2.gz BCRLTYSWVVIIEQ-GHMZBOCLSA-N 0 1 252.314 0.570 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N[C@H]1CC[N@H+](C2CC2)C1 ZINC001588622949 983725827 /nfs/dbraw/zinc/72/58/27/983725827.db2.gz HGHZMEQJQSNQEL-JTQLQIEISA-N 0 1 252.314 0.760 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[NH+]1CCC(CN2CCOCC2)CC1 ZINC001588662744 983791450 /nfs/dbraw/zinc/79/14/50/983791450.db2.gz GTECSXPDGBXZMR-ZDUSSCGKSA-N 0 1 268.357 0.670 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](N2CCNC2=O)C1 ZINC001588663592 983795581 /nfs/dbraw/zinc/79/55/81/983795581.db2.gz OFQDTPABXDVGHC-VHSXEESVSA-N 0 1 253.302 0.115 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](N2CCNC2=O)C1 ZINC001588663593 983795727 /nfs/dbraw/zinc/79/57/27/983795727.db2.gz OFQDTPABXDVGHC-ZJUUUORDSA-N 0 1 253.302 0.115 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@](OC)(C(=O)OC)C1 ZINC001588730871 984003116 /nfs/dbraw/zinc/00/31/16/984003116.db2.gz XOWNWOAUWLYJBY-JOYOIKCWSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@@](OC)(C(=O)OC)C1 ZINC001588730869 984003312 /nfs/dbraw/zinc/00/33/12/984003312.db2.gz XOWNWOAUWLYJBY-CABZTGNLSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CC[N@H+](CC(=O)N1CCC(C(=O)[O-])CC1)[C@@H](C)COC ZINC001588824138 984297115 /nfs/dbraw/zinc/29/71/15/984297115.db2.gz VMZZFEGZHVJNFP-LBPRGKRZSA-N 0 1 298.383 0.833 20 30 CCEDMN C=CC[N@@H+](CC(=O)N1CCC(C(=O)[O-])CC1)[C@@H](C)COC ZINC001588824138 984297122 /nfs/dbraw/zinc/29/71/22/984297122.db2.gz VMZZFEGZHVJNFP-LBPRGKRZSA-N 0 1 298.383 0.833 20 30 CCEDMN C=CCNC(=O)C[NH+]1CCC(c2cc(C(=O)[O-])on2)CC1 ZINC001588838540 984338873 /nfs/dbraw/zinc/33/88/73/984338873.db2.gz GIDJGDZSZMWLJF-UHFFFAOYSA-N 0 1 293.323 0.854 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CCSC[C@@H]2CC(=O)[O-])C1=O ZINC001588839626 984348506 /nfs/dbraw/zinc/34/85/06/984348506.db2.gz TZDCLGHAEGYXHU-WDEREUQCSA-N 0 1 284.381 0.665 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](CC(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC001588850157 984379326 /nfs/dbraw/zinc/37/93/26/984379326.db2.gz ZYWSRJZSSJKCEB-ZDUSSCGKSA-N 0 1 296.367 0.587 20 30 CCEDMN CCOC(=O)/C=C\C[NH+]1CCC(C#N)(C(=O)[O-])CC1 ZINC001596582086 984496804 /nfs/dbraw/zinc/49/68/04/984496804.db2.gz ABWZTUPYGPFNQX-ARJAWSKDSA-N 0 1 266.297 0.796 20 30 CCEDMN CC#CC[N@@H+](C)CCOCCN(C)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001588930876 984599868 /nfs/dbraw/zinc/59/98/68/984599868.db2.gz DMLRPHKGBZURNQ-OLZOCXBDSA-N 0 1 296.367 0.137 20 30 CCEDMN CC#CC[N@H+]1CCCC2(CN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)C1 ZINC001588930990 984600393 /nfs/dbraw/zinc/60/03/93/984600393.db2.gz HYNALEOAUYFDLG-CHWSQXEVSA-N 0 1 290.363 0.655 20 30 CCEDMN CC#CC[N@H+]1CCCC2(CN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)C1 ZINC001588930991 984600481 /nfs/dbraw/zinc/60/04/81/984600481.db2.gz HYNALEOAUYFDLG-OLZOCXBDSA-N 0 1 290.363 0.655 20 30 CCEDMN CCOC[C@H](C(=O)[O-])[N@@H+](C)Cc1ccnc(C#N)c1 ZINC001596885183 985785627 /nfs/dbraw/zinc/78/56/27/985785627.db2.gz VAIJUJXOZHBQML-GFCCVEGCSA-N 0 1 263.297 0.875 20 30 CCEDMN C[C@@H]1C[N@@H+](Cn2cccc(C#N)c2=O)C[C@H]1CC(=O)[O-] ZINC001599867692 989296719 /nfs/dbraw/zinc/29/67/19/989296719.db2.gz KDHHRCLDZZZMHI-ZYHUDNBSSA-N 0 1 275.308 0.720 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCC[C@@H]2C[C@@]21C(=O)[O-] ZINC001598468861 991993680 /nfs/dbraw/zinc/99/36/80/991993680.db2.gz STYSKOPJNKICTK-RISCZKNCSA-N 0 1 279.340 0.688 20 30 CCEDMN C[NH+]1CCC(C#N)(NC(=O)c2ccc(C(=O)[O-])o2)CC1 ZINC001598577542 993300391 /nfs/dbraw/zinc/30/03/91/993300391.db2.gz BNWIYHQTSGIUMB-UHFFFAOYSA-N 0 1 277.280 0.696 20 30 CCEDMN CN1CC[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)CCC1=O ZINC001598611185 993776268 /nfs/dbraw/zinc/77/62/68/993776268.db2.gz HAZRAJOYVNJEJQ-CQSZACIVSA-N 0 1 287.319 0.848 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](Cc2ccc(C#N)o2)C[C@@H]1C(=O)[O-] ZINC001598827855 996403656 /nfs/dbraw/zinc/40/36/56/996403656.db2.gz MZYJNAXWTSIXRW-WDEREUQCSA-N 0 1 278.264 0.457 20 30 CCEDMN C[C@H]1CN(C(=O)C(C)(C)C#N)CC[N@H+]1CCC(=O)[O-] ZINC001594457602 999662261 /nfs/dbraw/zinc/66/22/61/999662261.db2.gz AQNHQYXYEISBQS-JTQLQIEISA-N 0 1 267.329 0.544 20 30 CCEDMN C[C@@H]1CN(C(=O)CC(C)(C)C#N)CC[N@H+]1CCC(=O)[O-] ZINC001594466958 999692509 /nfs/dbraw/zinc/69/25/09/999692509.db2.gz VDSCSXIAKHWVIF-LLVKDONJSA-N 0 1 281.356 0.934 20 30 CCEDMN CCCc1cc(=NC(=O)N=c2[nH]n(C)cc2C#N)[nH][nH]1 ZINC000617277132 365588953 /nfs/dbraw/zinc/58/89/53/365588953.db2.gz RHJJHMXVSHNGIA-UHFFFAOYSA-N 0 1 273.300 0.455 20 30 CCEDMN CN(CC(=O)NCc1cccc(C#N)c1)C[C@H]1C[C@@H](O)C1 ZINC000599671600 361780879 /nfs/dbraw/zinc/78/08/79/361780879.db2.gz IQGLRXNRSPCYGG-GASCZTMLSA-N 0 1 287.363 0.877 20 30 CCEDMN N#Cc1ccc(NCC(=O)NCCN2CCC2)cc1 ZINC000337570051 214161364 /nfs/dbraw/zinc/16/13/64/214161364.db2.gz CNHHSUKBPXYWKF-UHFFFAOYSA-N 0 1 258.325 0.792 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)C(=O)NCC(C)(C)CC#N ZINC000599946134 361838709 /nfs/dbraw/zinc/83/87/09/361838709.db2.gz SWPXFJIJNOSYGH-LBPRGKRZSA-N 0 1 294.399 0.499 20 30 CCEDMN CCC(C#N)C(=O)N1C[C@H](C(=O)OC)CC[C@@H]1C(=O)OC ZINC000600340878 361932151 /nfs/dbraw/zinc/93/21/51/361932151.db2.gz PHHYNPXUSLJPCO-GMTAPVOTSA-N 0 1 296.323 0.489 20 30 CCEDMN CCN(CCC(=O)N(C)CC(=O)OC)C[C@H](C)C#N ZINC000109833146 349755407 /nfs/dbraw/zinc/75/54/07/349755407.db2.gz LHYFASXDNYOQCI-LLVKDONJSA-N 0 1 269.345 0.489 20 30 CCEDMN N#Cc1ccc(NCC(=O)NCCN2CC[C@H](O)C2)cc1 ZINC000600805917 362064574 /nfs/dbraw/zinc/06/45/74/362064574.db2.gz CHWHWALPNKWQGM-AWEZNQCLSA-N 0 1 288.351 0.153 20 30 CCEDMN CN(C(=O)CCc1nn[nH]n1)c1cccc(C#N)c1 ZINC000601015584 362130984 /nfs/dbraw/zinc/13/09/84/362130984.db2.gz BQFCKPBMPBKSPC-UHFFFAOYSA-N 0 1 256.269 0.667 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1ccccc1CC#N ZINC000601362474 362244063 /nfs/dbraw/zinc/24/40/63/362244063.db2.gz GQUIIJJXUJOKEV-UHFFFAOYSA-N 0 1 281.381 0.935 20 30 CCEDMN C[C@H](NC(=O)COc1ccccc1C#N)c1nnc[nH]1 ZINC000174553542 231759743 /nfs/dbraw/zinc/75/97/43/231759743.db2.gz XGEZOQUIHUXUGH-VIFPVBQESA-N 0 1 271.280 0.933 20 30 CCEDMN CN1CC[C@H]2OCCN(CC(=O)Nc3nncs3)[C@H]2C1 ZINC000329026232 529808069 /nfs/dbraw/zinc/80/80/69/529808069.db2.gz OASTWJDHVBZYHF-VHSXEESVSA-N 0 1 297.384 0.531 20 30 CCEDMN CN(CCOc1ccc(C#N)cc1)[C@H]1COC[C@H]1O ZINC000352797465 529924764 /nfs/dbraw/zinc/92/47/64/529924764.db2.gz IKLUZYQRKMQLHL-UONOGXRCSA-N 0 1 262.309 0.629 20 30 CCEDMN Cc1cc(C[C@H](C)NS(=O)(=O)CC2(C#N)CC2)n[nH]1 ZINC000601426211 362268050 /nfs/dbraw/zinc/26/80/50/362268050.db2.gz UJNMEVKOYUVBFQ-JTQLQIEISA-N 0 1 282.369 0.872 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(C(=O)N(CC)CC)CC1 ZINC000120112297 349969330 /nfs/dbraw/zinc/96/93/30/349969330.db2.gz AVEMWXJPRKCPIK-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCc1n[nH]c(COC)n1 ZINC000617935886 365849501 /nfs/dbraw/zinc/84/95/01/365849501.db2.gz UWMXDUWWTGDPME-CYBMUJFWSA-N 0 1 282.344 0.796 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCc1nnc(COC)[nH]1 ZINC000617935886 365849510 /nfs/dbraw/zinc/84/95/10/365849510.db2.gz UWMXDUWWTGDPME-CYBMUJFWSA-N 0 1 282.344 0.796 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCc1n[nH]c(COC)n1 ZINC000617935887 365850380 /nfs/dbraw/zinc/85/03/80/365850380.db2.gz UWMXDUWWTGDPME-ZDUSSCGKSA-N 0 1 282.344 0.796 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCc1nnc(COC)[nH]1 ZINC000617935887 365850382 /nfs/dbraw/zinc/85/03/82/365850382.db2.gz UWMXDUWWTGDPME-ZDUSSCGKSA-N 0 1 282.344 0.796 20 30 CCEDMN N#CCC1(CN2CCC[C@@H]2CS(N)(=O)=O)CC1 ZINC000602790795 362801776 /nfs/dbraw/zinc/80/17/76/362801776.db2.gz OWEDXURZIJESEQ-SNVBAGLBSA-N 0 1 257.359 0.433 20 30 CCEDMN C[C@@H]1CN(C[C@H]2COCCO2)C[C@@H](C)N1CC#N ZINC000602848235 362836337 /nfs/dbraw/zinc/83/63/37/362836337.db2.gz FYFRXUJMXGUZDL-UPJWGTAASA-N 0 1 253.346 0.320 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)C[C@@](C)(O)C2CC2)C[C@@H](C)N1CC#N ZINC000602850109 362838218 /nfs/dbraw/zinc/83/82/18/362838218.db2.gz OSDVYFWJOAROOU-RRCSTGOVSA-N 0 1 295.427 0.817 20 30 CCEDMN C[C@H]1CN(Cc2ccn(C)c(=O)c2)C[C@H](C)N1CC#N ZINC000602854518 362841112 /nfs/dbraw/zinc/84/11/12/362841112.db2.gz CKCVRXZLEUBFKA-STQMWFEESA-N 0 1 274.368 0.803 20 30 CCEDMN Cn1cc(C(=O)N=c2[nH]n(C(C)(C)C)cc2C#N)nn1 ZINC000342517141 137098248 /nfs/dbraw/zinc/09/82/48/137098248.db2.gz MXVAUERZPYIUJX-UHFFFAOYSA-N 0 1 273.300 0.312 20 30 CCEDMN Cc1cc(C#N)cc(N2CCN(C[C@@H](C)O)CC2)n1 ZINC000343033008 137110816 /nfs/dbraw/zinc/11/08/16/137110816.db2.gz ONVDMVMTDYMSQW-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN Cc1cc(N2CCN(CCO)[C@@H](C)C2)cc(C#N)n1 ZINC000343036508 137111247 /nfs/dbraw/zinc/11/12/47/137111247.db2.gz XBIKKNCJULQDIP-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN Cc1[nH]nc2cc(NC(=O)Cn3cnc(C#N)n3)ccc12 ZINC000343051568 137112311 /nfs/dbraw/zinc/11/23/11/137112311.db2.gz NLABYVUTPBOOBC-UHFFFAOYSA-N 0 1 281.279 0.973 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)NCC[C@H](C)F)[nH]1 ZINC000343171441 137118836 /nfs/dbraw/zinc/11/88/36/137118836.db2.gz BEKMCLJGLJEVEN-VIFPVBQESA-N 0 1 295.318 0.560 20 30 CCEDMN CN1C[C@H](NCc2cnc3ccc(C#N)cn23)CC1=O ZINC000343886855 137164416 /nfs/dbraw/zinc/16/44/16/137164416.db2.gz JFUGGTZQTLOMLZ-LLVKDONJSA-N 0 1 269.308 0.526 20 30 CCEDMN C#CCNC(=O)[C@@H](C)Sc1nc(C2CC2)n[nH]1 ZINC000028759461 348108700 /nfs/dbraw/zinc/10/87/00/348108700.db2.gz OYZWHKMMBIWYCZ-SSDOTTSWSA-N 0 1 250.327 0.912 20 30 CCEDMN C#CCNC(=O)[C@@H](C)Sc1n[nH]c(C2CC2)n1 ZINC000028759461 348108702 /nfs/dbraw/zinc/10/87/02/348108702.db2.gz OYZWHKMMBIWYCZ-SSDOTTSWSA-N 0 1 250.327 0.912 20 30 CCEDMN C=CCNC(=O)NC(=O)CSc1n[nH]c(CC)n1 ZINC000026155559 348093821 /nfs/dbraw/zinc/09/38/21/348093821.db2.gz JUGJGNMIOYXFHP-UHFFFAOYSA-N 0 1 269.330 0.471 20 30 CCEDMN C=CCNC(=O)NC(=O)CSc1nc(CC)n[nH]1 ZINC000026155559 348093824 /nfs/dbraw/zinc/09/38/24/348093824.db2.gz JUGJGNMIOYXFHP-UHFFFAOYSA-N 0 1 269.330 0.471 20 30 CCEDMN N#Cc1ccc(CNCC(=O)N2CCOCC2)cc1 ZINC000037749222 348200862 /nfs/dbraw/zinc/20/08/62/348200862.db2.gz JJRWYCMHJOLXBE-UHFFFAOYSA-N 0 1 259.309 0.507 20 30 CCEDMN COCC1(C2CC2)CN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602884151 362862298 /nfs/dbraw/zinc/86/22/98/362862298.db2.gz VFMXANZYGBPKQN-UHFFFAOYSA-N 0 1 289.339 0.604 20 30 CCEDMN CN1CCN(CC(=O)NCC#N)C[C@@H]1C(C)(C)C ZINC000602875296 362856846 /nfs/dbraw/zinc/85/68/46/362856846.db2.gz ZHXWOXZXNUNJKL-LLVKDONJSA-N 0 1 252.362 0.288 20 30 CCEDMN CN(C(=O)N=c1[nH]n(C)cc1C#N)[C@H](CO)c1ccccc1 ZINC000602880867 362860574 /nfs/dbraw/zinc/86/05/74/362860574.db2.gz NVVLRVZPXOJVJY-CYBMUJFWSA-N 0 1 299.334 0.911 20 30 CCEDMN COc1ccc(C#N)cc1CN1C[C@@H](O)C[C@@]1(C)CO ZINC000602896563 362871623 /nfs/dbraw/zinc/87/16/23/362871623.db2.gz DYTNNJGWVZEEIV-ZFWWWQNUSA-N 0 1 276.336 0.884 20 30 CCEDMN CC(C)(C)n1cnc(=NC(=O)c2ccc(C#N)[nH]2)[nH]1 ZINC000345736833 137249475 /nfs/dbraw/zinc/24/94/75/137249475.db2.gz JUGHSFFHCUOCDA-UHFFFAOYSA-N 0 1 258.285 0.907 20 30 CCEDMN COc1ccc(CN2C[C@H](O)C[C@@]2(C)CO)cc1C#N ZINC000602900846 362876127 /nfs/dbraw/zinc/87/61/27/362876127.db2.gz WBCOGRGWSWDBCY-HIFRSBDPSA-N 0 1 276.336 0.884 20 30 CCEDMN CO[C@H]1CN(Cc2ccc(C#N)cn2)[C@](C)(CO)C1 ZINC000602964265 362910816 /nfs/dbraw/zinc/91/08/16/362910816.db2.gz ODKZQEYVOCEDSL-KGLIPLIRSA-N 0 1 261.325 0.925 20 30 CCEDMN CO[C@@H]1CN(Cc2ccc(C#N)cn2)[C@@](C)(CO)C1 ZINC000602964266 362911345 /nfs/dbraw/zinc/91/13/45/362911345.db2.gz ODKZQEYVOCEDSL-UONOGXRCSA-N 0 1 261.325 0.925 20 30 CCEDMN CN(CCCN1C(=O)CNC1=O)[C@H]1CCC[C@@H]1C#N ZINC000602976429 362918243 /nfs/dbraw/zinc/91/82/43/362918243.db2.gz PNVWEYYMHRNACO-MNOVXSKESA-N 0 1 264.329 0.552 20 30 CCEDMN CN(CCC(=O)N1CCOCC1)[C@@H]1CCC[C@@H]1C#N ZINC000602976542 362918403 /nfs/dbraw/zinc/91/84/03/362918403.db2.gz QVOZXPNRWKATSB-CHWSQXEVSA-N 0 1 265.357 0.859 20 30 CCEDMN COC(=O)CN(C)C(=O)CCN(C)[C@H]1CCC[C@H]1C#N ZINC000602978537 362919696 /nfs/dbraw/zinc/91/96/96/362919696.db2.gz SDWLZICNVCZBDY-RYUDHWBXSA-N 0 1 281.356 0.632 20 30 CCEDMN CCS(=O)(=O)NCCCN(C)[C@H]1CCC[C@@H]1C#N ZINC000602980829 362920612 /nfs/dbraw/zinc/92/06/12/362920612.db2.gz WFPUIGRAWKKEOQ-NEPJUHHUSA-N 0 1 273.402 0.940 20 30 CCEDMN COc1ccc(CN2[C@@H](CO)CC[C@H]2CO)cc1C#N ZINC000347315655 137331108 /nfs/dbraw/zinc/33/11/08/137331108.db2.gz QHDSMGWPXFJZSU-OKILXGFUSA-N 0 1 276.336 0.884 20 30 CCEDMN CN(C)CC(=O)N1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000051760857 348493691 /nfs/dbraw/zinc/49/36/91/348493691.db2.gz XVWYBIZKBLDHRU-UHFFFAOYSA-N 0 1 286.379 0.764 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCC[C@H](CCO)C1)C1CC1 ZINC000051896100 348499913 /nfs/dbraw/zinc/49/99/13/348499913.db2.gz GMKUTDVPZMFBNN-IUODEOHRSA-N 0 1 279.384 0.889 20 30 CCEDMN CC(C)NC(=O)CN1CCN(CCCCC#N)CC1 ZINC000057600005 348612140 /nfs/dbraw/zinc/61/21/40/348612140.db2.gz NCEPUYODBRWQLB-UHFFFAOYSA-N 0 1 266.389 0.822 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC2(CNC(=O)C2)CC1 ZINC000075014451 349017222 /nfs/dbraw/zinc/01/72/22/349017222.db2.gz HAYFKUFJUCAPMJ-LBPRGKRZSA-N 0 1 292.383 0.349 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)CN(C)CCC#N)C1 ZINC000078646131 349148122 /nfs/dbraw/zinc/14/81/22/349148122.db2.gz VVDVFILPCXVEMX-CQSZACIVSA-N 0 1 296.415 0.305 20 30 CCEDMN N#Cc1nnc2ccccc2c1NCc1nn[nH]n1 ZINC000085920353 349337369 /nfs/dbraw/zinc/33/73/69/349337369.db2.gz AYLQOHUOURAZJZ-UHFFFAOYSA-N 0 1 252.241 0.627 20 30 CCEDMN N#C[C@@H]1CN(S(=O)(=O)c2ccccc2O)CCO1 ZINC000128019435 350183316 /nfs/dbraw/zinc/18/33/16/350183316.db2.gz JUPFVBFJBFQKAN-SECBINFHSA-N 0 1 268.294 0.305 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@@H](N)c2ccccc2)CC1 ZINC000129475144 350214872 /nfs/dbraw/zinc/21/48/72/350214872.db2.gz QAIOQXDNKRRTAT-AWEZNQCLSA-N 0 1 272.352 0.744 20 30 CCEDMN C#CCCN1CCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC000139211432 350445879 /nfs/dbraw/zinc/44/58/79/350445879.db2.gz SCQLQEWECYGSJG-UHFFFAOYSA-N 0 1 289.383 0.685 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@@H](C)N(CC#N)[C@H](C)C2)[nH]1 ZINC000603098608 362963227 /nfs/dbraw/zinc/96/32/27/362963227.db2.gz HRQSOWKEEYGGLE-NXEZZACHSA-N 0 1 261.329 0.777 20 30 CCEDMN CCCNC(=O)CN(C)CCCc1[nH]nc(N)c1C#N ZINC000153262413 350555421 /nfs/dbraw/zinc/55/54/21/350555421.db2.gz XTGQKHAHWQSKNF-UHFFFAOYSA-N 0 1 278.360 0.254 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@H](CCC(N)=O)C1 ZINC000170735670 350855516 /nfs/dbraw/zinc/85/55/16/350855516.db2.gz PLGUVMCKLSOTJY-QWHCGFSZSA-N 0 1 294.399 0.724 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC[C@H](CCC(N)=O)C1 ZINC000170735670 350855518 /nfs/dbraw/zinc/85/55/18/350855518.db2.gz PLGUVMCKLSOTJY-QWHCGFSZSA-N 0 1 294.399 0.724 20 30 CCEDMN N#Cc1ccc(OCCNC(=O)c2cnn[nH]2)cc1 ZINC000174156884 351072799 /nfs/dbraw/zinc/07/27/99/351072799.db2.gz LHEUTZYBXMJUMM-UHFFFAOYSA-N 0 1 257.253 0.485 20 30 CCEDMN CN[C@H](C)c1cn([C@H](C)C(=O)NC2(C#N)CCC2)nn1 ZINC000603240300 363018252 /nfs/dbraw/zinc/01/82/52/363018252.db2.gz RXZHAUPAIWTFHH-NXEZZACHSA-N 0 1 276.344 0.682 20 30 CCEDMN N#Cc1ccsc1NC(=O)CCNC1(C(N)=O)CC1 ZINC000183827462 351392884 /nfs/dbraw/zinc/39/28/84/351392884.db2.gz FIZLSMSRDPYKAO-UHFFFAOYSA-N 0 1 278.337 0.556 20 30 CCEDMN C=CC[C@@H](CO)NCc1cn(C)nc1C(=O)OCC ZINC000186417632 351493820 /nfs/dbraw/zinc/49/38/20/351493820.db2.gz INLIMSYVNPUQBQ-NSHDSACASA-N 0 1 267.329 0.623 20 30 CCEDMN COC1(CO)CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000618550814 366044459 /nfs/dbraw/zinc/04/44/59/366044459.db2.gz GDDMYUPDUZOHDI-LBPRGKRZSA-N 0 1 283.372 0.220 20 30 CCEDMN CNC(=O)[C@H]1CCCN1C[C@@H](O)c1ccc(C#N)cc1 ZINC000220039571 351970724 /nfs/dbraw/zinc/97/07/24/351970724.db2.gz XFYXEGHABVFAFC-ZIAGYGMSSA-N 0 1 273.336 0.802 20 30 CCEDMN CN(C[C@H]1CCCN1C)S(=O)(=O)c1cccnc1C#N ZINC000234353729 352157739 /nfs/dbraw/zinc/15/77/39/352157739.db2.gz OKYNRQVJLPAJOS-LLVKDONJSA-N 0 1 294.380 0.668 20 30 CCEDMN COc1cc(CNCCS(C)(=O)=O)ccc1C#N ZINC000234842999 352174406 /nfs/dbraw/zinc/17/44/06/352174406.db2.gz XTUDFDSYILSOCT-UHFFFAOYSA-N 0 1 268.338 0.701 20 30 CCEDMN C[C@@H](C#N)CN(C)C[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000252657810 352521855 /nfs/dbraw/zinc/52/18/55/352521855.db2.gz JERDAWDUDIQVIC-NWDGAFQWSA-N 0 1 273.402 0.749 20 30 CCEDMN C[C@@H](NCC(=O)N1CCNC(=O)C1)c1ccc(C#N)cc1 ZINC000271607139 191237836 /nfs/dbraw/zinc/23/78/36/191237836.db2.gz AIXQPNJTKJXWGR-LLVKDONJSA-N 0 1 286.335 0.167 20 30 CCEDMN C#CC[N@H+](CC)CC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000266936410 352734654 /nfs/dbraw/zinc/73/46/54/352734654.db2.gz PVIDECRMDWZDQU-UHFFFAOYSA-N 0 1 274.320 0.907 20 30 CCEDMN C#CCN(CC)CC(=O)NC(=O)c1ccc(OC)cc1 ZINC000266936410 352734658 /nfs/dbraw/zinc/73/46/58/352734658.db2.gz PVIDECRMDWZDQU-UHFFFAOYSA-N 0 1 274.320 0.907 20 30 CCEDMN N#Cc1cccc(OCCN2CC[C@H](NC(N)=O)C2)c1 ZINC000270642103 352961217 /nfs/dbraw/zinc/96/12/17/352961217.db2.gz NFNYNLIYHFIJSK-LBPRGKRZSA-N 0 1 274.324 0.680 20 30 CCEDMN C#CCCN1CCN(Cc2ccc(C(N)=O)cc2)CC1 ZINC000270658723 352964287 /nfs/dbraw/zinc/96/42/87/352964287.db2.gz VEDHKOWROIICTF-UHFFFAOYSA-N 0 1 271.364 0.926 20 30 CCEDMN CNC(=O)CCCN(C)CC(=O)Nc1cccc(C#N)c1 ZINC000155085919 188181746 /nfs/dbraw/zinc/18/17/46/188181746.db2.gz IDMPTJKCJQQFOG-UHFFFAOYSA-N 0 1 288.351 0.955 20 30 CCEDMN C#CCC(CC#C)C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000277349919 353238951 /nfs/dbraw/zinc/23/89/51/353238951.db2.gz FEAOSBZSBVMUMT-HNNXBMFYSA-N 0 1 288.391 0.830 20 30 CCEDMN C#CCN[C@@H](C)c1ccc(S(=O)(=O)NC)cc1 ZINC000157216437 188304363 /nfs/dbraw/zinc/30/43/63/188304363.db2.gz HXDLKTBFTADMIE-JTQLQIEISA-N 0 1 252.339 0.879 20 30 CCEDMN CC[C@@H](O)CN1CCN(c2ccnc(C#N)c2)CC1 ZINC000283974180 353541004 /nfs/dbraw/zinc/54/10/04/353541004.db2.gz ZOCONMPMCBFDPK-CQSZACIVSA-N 0 1 260.341 0.846 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1ccc(F)cc1C#N ZINC000286577811 353597275 /nfs/dbraw/zinc/59/72/75/353597275.db2.gz FLOCKNGRPCWPFU-VIFPVBQESA-N 0 1 285.344 0.926 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cc(C#N)ccn2)[C@@H](C)C1 ZINC000287052825 353625571 /nfs/dbraw/zinc/62/55/71/353625571.db2.gz XASKFBOWUKOIAE-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN N#Cc1cncc(S(=O)(=O)N2CCN3CCC[C@H]3C2)c1 ZINC000289936589 353695328 /nfs/dbraw/zinc/69/53/28/353695328.db2.gz VCNLNBLOHQRPCC-LBPRGKRZSA-N 0 1 292.364 0.422 20 30 CCEDMN CC(C)(C#N)CC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000618903889 366115811 /nfs/dbraw/zinc/11/58/11/366115811.db2.gz CDNTWXRSFIEOOM-UHFFFAOYSA-N 0 1 267.373 0.841 20 30 CCEDMN C[C@H](O)[C@@H]1CCCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000305209532 354139367 /nfs/dbraw/zinc/13/93/67/354139367.db2.gz ZJDWTMQFQMOMSP-ZOWXZIJZSA-N 0 1 279.384 0.888 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)N1CCNC(=O)CC1)CC2 ZINC000329106406 354252774 /nfs/dbraw/zinc/25/27/74/354252774.db2.gz HLCCXWCNNFHDBP-JTQLQIEISA-N 0 1 292.343 0.478 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@]1(C)CCO[C@H]1C ZINC000329497901 354257556 /nfs/dbraw/zinc/25/75/56/354257556.db2.gz FGDXKPKSQCTGEF-VNHYZAJKSA-N 0 1 298.431 0.693 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@@H]2Cc3ccccc3CN2)CC1 ZINC000319171812 354226964 /nfs/dbraw/zinc/22/69/64/354226964.db2.gz JMNRXIJTNOZYPC-INIZCTEOSA-N 0 1 298.390 0.759 20 30 CCEDMN Cc1nnc(CNS(=O)(=O)c2ccc(C#N)o2)s1 ZINC000557832961 291045480 /nfs/dbraw/zinc/04/54/80/291045480.db2.gz BBOXZUVJXRLGFT-UHFFFAOYSA-N 0 1 284.322 0.790 20 30 CCEDMN C[C@H](C#N)CN(C[C@@H]1CCN(S(C)(=O)=O)C1)C1CC1 ZINC000338289804 355313021 /nfs/dbraw/zinc/31/30/21/355313021.db2.gz VGEBIGLDAZWUSN-NEPJUHHUSA-N 0 1 285.413 0.892 20 30 CCEDMN N#Cc1cccc(N2CCN(CCn3cccn3)CC2)n1 ZINC000339213268 355501954 /nfs/dbraw/zinc/50/19/54/355501954.db2.gz HXPLWLHHHORKIV-UHFFFAOYSA-N 0 1 282.351 0.972 20 30 CCEDMN CCN(CCO)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000343456674 355770664 /nfs/dbraw/zinc/77/06/64/355770664.db2.gz YMXTXQROKDQYBO-AAEUAGOBSA-N 0 1 255.362 0.744 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)NCCCCF)[nH]1 ZINC000361929776 138038624 /nfs/dbraw/zinc/03/86/24/138038624.db2.gz KNOVSSVNQHQVTB-UHFFFAOYSA-N 0 1 295.318 0.562 20 30 CCEDMN Cc1[nH]nc2ncc(C(=O)NC3(C#N)CCN(C)CC3)cc12 ZINC000609459934 363497369 /nfs/dbraw/zinc/49/73/69/363497369.db2.gz CIPKPSNMBOEZLP-UHFFFAOYSA-N 0 1 298.350 0.984 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@](C)(CO)c1ccccc1 ZINC000609483897 363497616 /nfs/dbraw/zinc/49/76/16/363497616.db2.gz PDDPLTWOEIALOR-HNNXBMFYSA-N 0 1 291.351 0.496 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](CCO)C1 ZINC000609579122 363506381 /nfs/dbraw/zinc/50/63/81/363506381.db2.gz WRFXWYSUAPTJGN-CYBMUJFWSA-N 0 1 267.373 0.843 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cc(-c2ccoc2)[nH]n1 ZINC000609992223 363530584 /nfs/dbraw/zinc/53/05/84/363530584.db2.gz GDBBKDBVHWOHDV-UHFFFAOYSA-N 0 1 288.263 0.808 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000619723741 366344492 /nfs/dbraw/zinc/34/44/92/366344492.db2.gz YFOSAIGUMILRBN-KBPBESRZSA-N 0 1 282.384 0.758 20 30 CCEDMN COCC[C@@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610528034 363572456 /nfs/dbraw/zinc/57/24/56/363572456.db2.gz HWXRUIDKUNPRKH-MRVPVSSYSA-N 0 1 251.290 0.260 20 30 CCEDMN CN(C[C@@H]1CCC[N@@H+](C)C1)C(=O)N=c1[n-]n(C)cc1C#N ZINC000610527989 363572648 /nfs/dbraw/zinc/57/26/48/363572648.db2.gz DROTUNXCMKCIAK-LLVKDONJSA-N 0 1 290.371 0.519 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@H](c3ncc[nH]3)C2)[nH]1 ZINC000610560451 363575124 /nfs/dbraw/zinc/57/51/24/363575124.db2.gz IHRGIBANAVYUJS-SNVBAGLBSA-N 0 1 299.338 0.848 20 30 CCEDMN CC(C)OCCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610560408 363575360 /nfs/dbraw/zinc/57/53/60/363575360.db2.gz CBTOKCHZDPVDIR-UHFFFAOYSA-N 0 1 265.317 0.650 20 30 CCEDMN CCCCCN(CCO)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610562203 363576986 /nfs/dbraw/zinc/57/69/86/363576986.db2.gz KSXDXPLBTIBLPS-UHFFFAOYSA-N 0 1 279.344 0.730 20 30 CCEDMN CCC(CC)[C@H](O)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610564728 363578749 /nfs/dbraw/zinc/57/87/49/363578749.db2.gz LGUXFJBDLCEDMK-LLVKDONJSA-N 0 1 279.344 0.632 20 30 CCEDMN CCC[C@](C)(CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610584928 363581958 /nfs/dbraw/zinc/58/19/58/363581958.db2.gz NEUWSJHEMBHABM-GFCCVEGCSA-N 0 1 265.317 0.386 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC([C@@H]2CCOC2)CC1 ZINC000374623627 357400408 /nfs/dbraw/zinc/40/04/08/357400408.db2.gz OJPVSEAVGURNFE-GXTWGEPZSA-N 0 1 264.369 0.873 20 30 CCEDMN N#CC1(C(=O)NC[C@H]2CN3CCN2CCC3)CCCC1 ZINC000394797200 357758854 /nfs/dbraw/zinc/75/88/54/357758854.db2.gz LWQFQPJAUOMUCH-ZDUSSCGKSA-N 0 1 276.384 0.576 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(CCCO)C1CC1 ZINC000404321187 357820232 /nfs/dbraw/zinc/82/02/32/357820232.db2.gz DDAGLIHJFVREIS-AWEZNQCLSA-N 0 1 267.373 0.888 20 30 CCEDMN N#Cc1csc(CNC[C@H](CO)[C@H]2CCOC2)n1 ZINC000570011737 358813998 /nfs/dbraw/zinc/81/39/98/358813998.db2.gz LSVJRHARYYRYBM-VHSXEESVSA-N 0 1 267.354 0.749 20 30 CCEDMN C[C@H](O)CCN1CCN(c2cnccc2C#N)CC1 ZINC000562704089 291164306 /nfs/dbraw/zinc/16/43/06/291164306.db2.gz IPIRBKQWBXYITL-LBPRGKRZSA-N 0 1 260.341 0.846 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000579869547 359058329 /nfs/dbraw/zinc/05/83/29/359058329.db2.gz FCQQBADAILABQS-CABZTGNLSA-N 0 1 262.317 0.846 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCOC3(CCC3)C2)[nH]1 ZINC000610876664 363625068 /nfs/dbraw/zinc/62/50/68/363625068.db2.gz LTTHVBGVJGZKFL-NSHDSACASA-N 0 1 289.339 0.937 20 30 CCEDMN C[C@@H](O)[C@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610964595 363638951 /nfs/dbraw/zinc/63/89/51/363638951.db2.gz FDHDEVGMYBOEPJ-ZJUUUORDSA-N 0 1 277.328 0.338 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@H]2[C@@H]2CCCO2)[nH]1 ZINC000585797455 359277222 /nfs/dbraw/zinc/27/72/22/359277222.db2.gz YMHRHOYHIIDTGF-NEPJUHHUSA-N 0 1 289.339 0.889 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCOc3ncccc3C2)[nH]1 ZINC000585798086 359277474 /nfs/dbraw/zinc/27/74/74/359277474.db2.gz OBTAYQYGOOJRKQ-UHFFFAOYSA-N 0 1 298.306 0.535 20 30 CCEDMN COCCN1CCCN(C(=O)c2cccc(C#N)n2)CC1 ZINC000589430595 359381721 /nfs/dbraw/zinc/38/17/21/359381721.db2.gz XEJWDOLUJALRPH-UHFFFAOYSA-N 0 1 288.351 0.748 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCCCC[C@H]2O)[nH]1 ZINC000588259618 359319711 /nfs/dbraw/zinc/31/97/11/359319711.db2.gz ADNHFIZYKQEMIU-GHMZBOCLSA-N 0 1 277.328 0.529 20 30 CCEDMN CC(C)(C#N)CNC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000588651883 359328525 /nfs/dbraw/zinc/32/85/25/359328525.db2.gz ZMZNYDJYPDHWOJ-UHFFFAOYSA-N 0 1 282.388 0.634 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCc2ccc(O)cc2)[nH]1 ZINC000611080332 363656004 /nfs/dbraw/zinc/65/60/04/363656004.db2.gz SPXOQSADRRDKCE-UHFFFAOYSA-N 0 1 285.307 0.783 20 30 CCEDMN N#CC1(C(=O)N2CC[C@H](N3CC[C@@H](O)C3)C2)CCC1 ZINC000588862918 359343225 /nfs/dbraw/zinc/34/32/25/359343225.db2.gz LCCKTPAKMCRYON-NWDGAFQWSA-N 0 1 263.341 0.348 20 30 CCEDMN C=CCCOCC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000588935560 359349495 /nfs/dbraw/zinc/34/94/95/359349495.db2.gz YCKVOWUWBJUBAZ-ZDUSSCGKSA-N 0 1 269.389 0.331 20 30 CCEDMN CS(=O)(=O)N[C@@H]1CCCN([C@@H]2CC[C@H](C#N)C2)C1 ZINC000590157833 359428168 /nfs/dbraw/zinc/42/81/68/359428168.db2.gz UTUZRMNLWJQGJC-QJPTWQEYSA-N 0 1 271.386 0.692 20 30 CCEDMN N#Cc1cccc(C(=O)NCCN2CC=CCC2)n1 ZINC000589506737 359388057 /nfs/dbraw/zinc/38/80/57/359388057.db2.gz PXDYJYIBWNTYTJ-UHFFFAOYSA-N 0 1 256.309 0.945 20 30 CCEDMN N#Cc1cccc(C(=O)Nc2n[nH]c3ncnn23)c1 ZINC000590379737 359446367 /nfs/dbraw/zinc/44/63/67/359446367.db2.gz OPQCVTFJBYYJGI-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCOC[C@H]2C#N)C1 ZINC000590435085 359461561 /nfs/dbraw/zinc/46/15/61/359461561.db2.gz AAPDKMBYMRFVTP-YUSALJHKSA-N 0 1 274.324 0.827 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC000591053818 359549782 /nfs/dbraw/zinc/54/97/82/359549782.db2.gz RZDNANIAWZXSKW-ZJUUUORDSA-N 0 1 250.302 0.630 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CC[C@@H](c2nnc(C)[nH]2)C1 ZINC000591053818 359549783 /nfs/dbraw/zinc/54/97/83/359549783.db2.gz RZDNANIAWZXSKW-ZJUUUORDSA-N 0 1 250.302 0.630 20 30 CCEDMN C=CCOCC(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000591165243 359564805 /nfs/dbraw/zinc/56/48/05/359564805.db2.gz ZOZDFRLWSIKQJR-AWEZNQCLSA-N 0 1 282.384 0.902 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC(C)(C)C(C)(C)O)[nH]1 ZINC000590819595 359526682 /nfs/dbraw/zinc/52/66/82/359526682.db2.gz NZYWOKRVTPUGKD-UHFFFAOYSA-N 0 1 279.344 0.632 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@@H](O)COCc2ccco2)C1 ZINC000592147792 359765927 /nfs/dbraw/zinc/76/59/27/359765927.db2.gz OPQCUYQFXJUGDZ-TZMCWYRMSA-N 0 1 280.324 0.508 20 30 CCEDMN CCCN(C(=O)C(=O)N(C)C[C@H](C)C#N)[C@H]1CCN(C)C1 ZINC000592440481 359841765 /nfs/dbraw/zinc/84/17/65/359841765.db2.gz PLYGLULLAYPHFG-OLZOCXBDSA-N 0 1 294.399 0.547 20 30 CCEDMN C[C@@H]1CC(NC(=O)N=c2[nH]n(C)cc2C#N)C[C@@H](C)O1 ZINC000611245807 363697020 /nfs/dbraw/zinc/69/70/20/363697020.db2.gz VSWFAWONPLNEDQ-RKDXNWHRSA-N 0 1 277.328 0.791 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@]2(C)CCCOC2)[nH]1 ZINC000611322766 363707810 /nfs/dbraw/zinc/70/78/10/363707810.db2.gz FSVFGRAVBGXNQX-GFCCVEGCSA-N 0 1 263.301 0.404 20 30 CCEDMN CN(CC(=O)N1CC[C@@](O)(CC#N)C1)[C@@H]1CCSC1 ZINC000592847897 359953293 /nfs/dbraw/zinc/95/32/93/359953293.db2.gz RKBDHEQSUMDDOV-YPMHNXCESA-N 0 1 283.397 0.301 20 30 CCEDMN CC[C@@H](C#N)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000593465012 360094092 /nfs/dbraw/zinc/09/40/92/360094092.db2.gz MUNFFSWREIBPTI-KBPBESRZSA-N 0 1 280.416 0.924 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000593487256 360097420 /nfs/dbraw/zinc/09/74/20/360097420.db2.gz NKJQTERIKUOVAV-QWHCGFSZSA-N 0 1 265.357 0.717 20 30 CCEDMN N#Cc1c(N2CC(N3CC[C@@H](O)C3)C2)nc2ccccn12 ZINC000593508949 360103202 /nfs/dbraw/zinc/10/32/02/360103202.db2.gz XJMCWFZCKOLINC-GFCCVEGCSA-N 0 1 283.335 0.461 20 30 CCEDMN C[C@@H](O)CN(CC(=O)N[C@](C)(C#N)C1CC1)C1CC1 ZINC000594055368 360243414 /nfs/dbraw/zinc/24/34/14/360243414.db2.gz LDERKJYAULDWPP-QMTHXVAHSA-N 0 1 265.357 0.640 20 30 CCEDMN COC(=O)CCNC(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000611386185 363712359 /nfs/dbraw/zinc/71/23/59/363712359.db2.gz FSGUZWFBRXNJJE-NSHDSACASA-N 0 1 289.335 0.888 20 30 CCEDMN CCC[C@H](NC(=O)[C@@H]([NH3+])CCCCC#N)c1nn[n-]n1 ZINC000594432564 360303584 /nfs/dbraw/zinc/30/35/84/360303584.db2.gz DCGIGEPOLFKZDV-UWVGGRQHSA-N 0 1 279.348 0.568 20 30 CCEDMN COCCS(=O)(=O)Nc1cc(C)c(C#N)cn1 ZINC000594578610 360333830 /nfs/dbraw/zinc/33/38/30/360333830.db2.gz HZHVGKWCAVFJHP-UHFFFAOYSA-N 0 1 255.299 0.072 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(CC2(O)CCOCC2)CC1 ZINC000611431789 363718145 /nfs/dbraw/zinc/71/81/45/363718145.db2.gz ALMPYKFNUQTLOT-CQSZACIVSA-N 0 1 281.400 0.694 20 30 CCEDMN N#CC1(CNS(=O)(=O)c2ccccc2O)CC1 ZINC000594780954 360381997 /nfs/dbraw/zinc/38/19/97/360381997.db2.gz JBZAHCXOIZEDFD-UHFFFAOYSA-N 0 1 252.295 0.974 20 30 CCEDMN CCCN1CC[C@H](NS(=O)(=O)N(C)CCC#N)C1 ZINC000595356864 360506609 /nfs/dbraw/zinc/50/66/09/360506609.db2.gz VBDQJHTXSFFEGI-NSHDSACASA-N 0 1 274.390 0.151 20 30 CCEDMN C[C@@H]1CN(CCN2CC[C@](O)(CC#N)C2)C[C@@H](C)O1 ZINC000595558043 360580359 /nfs/dbraw/zinc/58/03/59/360580359.db2.gz NJBYTPVNYQZJFZ-MGPQQGTHSA-N 0 1 267.373 0.446 20 30 CCEDMN C=CCC[C@@H](O)CN1CCC[C@]1(COC)C(=O)OC ZINC000595805440 360660318 /nfs/dbraw/zinc/66/03/18/360660318.db2.gz RAPGDOIQHAHMKH-OCCSQVGLSA-N 0 1 271.357 0.968 20 30 CCEDMN CCc1nc(C#N)cc(NCc2n[nH]c([C@@H]3CCCO3)n2)n1 ZINC000596301104 360874224 /nfs/dbraw/zinc/87/42/24/360874224.db2.gz YSJDKPIPZDXQMO-JTQLQIEISA-N 0 1 299.338 0.914 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000597170887 361023254 /nfs/dbraw/zinc/02/32/54/361023254.db2.gz UTZUNNGRTMPIGQ-AGIUHOORSA-N 0 1 265.357 0.594 20 30 CCEDMN CC(C)(C)N1CC[C@@H]1CNC(=O)C(=O)NCCCCC#N ZINC000597256662 361043761 /nfs/dbraw/zinc/04/37/61/361043761.db2.gz OPGZUKXDPJIVCI-GFCCVEGCSA-N 0 1 294.399 0.785 20 30 CCEDMN CN(C)C1(C(=O)N(C)CCCc2[nH]nc(N)c2C#N)CC1 ZINC000597449793 361098778 /nfs/dbraw/zinc/09/87/78/361098778.db2.gz DFZHOKLDNXCCJJ-UHFFFAOYSA-N 0 1 290.371 0.179 20 30 CCEDMN Cc1onc(CC(=O)N2CCC3(CN(C)C3)C2)c1C#N ZINC000597572994 361142807 /nfs/dbraw/zinc/14/28/07/361142807.db2.gz WCFLXLCLBZNCNZ-UHFFFAOYSA-N 0 1 274.324 0.561 20 30 CCEDMN N#CCCCCC(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000597627765 361167016 /nfs/dbraw/zinc/16/70/16/361167016.db2.gz XRUGGLSMBKDZIL-CYBMUJFWSA-N 0 1 264.373 0.576 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2ccsc2C#N)CC1 ZINC000597933166 361286157 /nfs/dbraw/zinc/28/61/57/361286157.db2.gz CKBNEJOXMAHCIQ-UHFFFAOYSA-N 0 1 278.381 0.830 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](C)[C@H](CO)C1 ZINC000598649717 361472504 /nfs/dbraw/zinc/47/25/04/361472504.db2.gz YXUOOOHOMSFJOW-UPJWGTAASA-N 0 1 279.384 0.888 20 30 CCEDMN C[C@@H]1CCN(C[C@H](O)CC(C)(C)C#N)[C@H](C(N)=O)C1 ZINC000598608886 361457814 /nfs/dbraw/zinc/45/78/14/361457814.db2.gz YQEHWGIHGPCKIX-UTUOFQBUSA-N 0 1 267.373 0.873 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCc2cnc(N)nc2C1 ZINC000598949165 361540175 /nfs/dbraw/zinc/54/01/75/361540175.db2.gz KNXKPZNUFFWMGS-UHFFFAOYSA-N 0 1 288.355 0.009 20 30 CCEDMN N#Cc1nccc(N2CCC(N3CCOCC3)CC2)n1 ZINC000599106112 361574055 /nfs/dbraw/zinc/57/40/55/361574055.db2.gz SCPVRELAQLWRFZ-UHFFFAOYSA-N 0 1 273.340 0.649 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nn1)N1CCN(C)CC1 ZINC000302002518 201294324 /nfs/dbraw/zinc/29/43/24/201294324.db2.gz RAPLGCLDUQNOJE-LLVKDONJSA-N 0 1 260.345 0.396 20 30 CCEDMN N#Cc1c(N2CCN(CCCO)CC2)nc2ccccn12 ZINC000302071750 201331509 /nfs/dbraw/zinc/33/15/09/201331509.db2.gz FKOVDSVZAKDTDO-UHFFFAOYSA-N 0 1 285.351 0.710 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@]2(C)CO)[nH]1 ZINC000612752045 364008255 /nfs/dbraw/zinc/00/82/55/364008255.db2.gz OCACSPLGVQYGOY-GFCCVEGCSA-N 0 1 263.301 0.092 20 30 CCEDMN C=CC[C@H]1CCCN(S(=O)(=O)C[C@H]2CNCCO2)C1 ZINC000631951150 370782873 /nfs/dbraw/zinc/78/28/73/370782873.db2.gz YLQTYZYSZCOONF-QWHCGFSZSA-N 0 1 288.413 0.593 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)C(=O)NCc1c[nH]nn1 ZINC000615165058 364865380 /nfs/dbraw/zinc/86/53/80/364865380.db2.gz UGYVTTAPSAVCHA-UHFFFAOYSA-N 0 1 284.279 0.240 20 30 CCEDMN C=C[C@H](C)NS(=O)(=O)CCCN1CCN(C)CC1 ZINC000632092833 370866036 /nfs/dbraw/zinc/86/60/36/370866036.db2.gz MIRUYBGVXZEDCD-LBPRGKRZSA-N 0 1 275.418 0.118 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(Cc2cn[nH]c2)CC1 ZINC000625475943 368215730 /nfs/dbraw/zinc/21/57/30/368215730.db2.gz NRQJOAXNGUNBSZ-CQSZACIVSA-N 0 1 264.373 0.854 20 30 CCEDMN C=CCn1cc(C(=O)N2CCc3nc[nH]c3C2)nn1 ZINC000627373740 368973146 /nfs/dbraw/zinc/97/31/46/368973146.db2.gz ACNYMJYCWVMRFX-UHFFFAOYSA-N 0 1 258.285 0.386 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1C[C@H](C)NC[C@@H]1C ZINC000627434548 369000736 /nfs/dbraw/zinc/00/07/36/369000736.db2.gz KTXQOONETPJJOM-RYUDHWBXSA-N 0 1 281.400 0.914 20 30 CCEDMN C[C@@H](C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N)n1cncn1 ZINC000330977508 203436213 /nfs/dbraw/zinc/43/62/13/203436213.db2.gz IJGYYYXFDOUBGL-VIFPVBQESA-N 0 1 287.327 0.723 20 30 CCEDMN Cc1cc(NC[C@H]2CN(C)CCN2C)c(C#N)cn1 ZINC000333251660 205154211 /nfs/dbraw/zinc/15/42/11/205154211.db2.gz GXEMVMRVWYWTGH-ZDUSSCGKSA-N 0 1 259.357 0.341 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1CCN(CC(F)F)[C@@H](CC)C1 ZINC000491167181 261358588 /nfs/dbraw/zinc/35/85/88/261358588.db2.gz WSRPNBXHMDAPJO-LBPRGKRZSA-N 0 1 287.354 0.787 20 30 CCEDMN C#CCNC(=O)CCN1CCN(CC(F)F)[C@@H](CC)C1 ZINC000491167181 261358589 /nfs/dbraw/zinc/35/85/89/261358589.db2.gz WSRPNBXHMDAPJO-LBPRGKRZSA-N 0 1 287.354 0.787 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@H](CCC)OC)CC1 ZINC000637880640 374445158 /nfs/dbraw/zinc/44/51/58/374445158.db2.gz STKSWPXRYAWEFT-CQSZACIVSA-N 0 1 283.416 0.721 20 30 CCEDMN C=CCn1cc(CNC[C@@]2(OC)CCOC2)nn1 ZINC000639274150 375689099 /nfs/dbraw/zinc/68/90/99/375689099.db2.gz HTFABSBWSJWWBH-LBPRGKRZSA-N 0 1 252.318 0.359 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@H](O)C[C@@]1(C)CO ZINC000639855075 376080832 /nfs/dbraw/zinc/08/08/32/376080832.db2.gz LWEMPJGGQDMDSR-OCCSQVGLSA-N 0 1 270.373 0.229 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)n1cc(CNC)nn1 ZINC000640918937 376617080 /nfs/dbraw/zinc/61/70/80/376617080.db2.gz FEUUJUOMBYUPMR-NSHDSACASA-N 0 1 263.345 0.759 20 30 CCEDMN C=C(C)[C@H](CO)n1cc([C@@H](N)[C@H]2CCCO2)nn1 ZINC000641084377 376756756 /nfs/dbraw/zinc/75/67/56/376756756.db2.gz JTBXWADCFIJWAO-QJPTWQEYSA-N 0 1 252.318 0.566 20 30 CCEDMN C=CCN1CC[C@H](n2cc([C@@H](N)[C@@H]3CCCO3)nn2)C1=O ZINC000641110038 376792275 /nfs/dbraw/zinc/79/22/75/376792275.db2.gz XWENYTGXCZREFK-RWMBFGLXSA-N 0 1 291.355 0.416 20 30 CCEDMN C=CCN1CC[C@H](n2cc([C@H](N)[C@@H]3CCCO3)nn2)C1=O ZINC000641110037 376792547 /nfs/dbraw/zinc/79/25/47/376792547.db2.gz XWENYTGXCZREFK-AVGNSLFASA-N 0 1 291.355 0.416 20 30 CCEDMN C=CCC[C@@H](O)Cn1cc(CN2CCN(C)CC2)nn1 ZINC000641212863 376892918 /nfs/dbraw/zinc/89/29/18/376892918.db2.gz SJYFICHMFBRPLA-CQSZACIVSA-N 0 1 279.388 0.353 20 30 CCEDMN CC#CC[C@@H](CO)NCc1cnc(N2CCCC2)nc1 ZINC000641768730 377453901 /nfs/dbraw/zinc/45/39/01/377453901.db2.gz GTIBDZLOSUOOJP-AWEZNQCLSA-N 0 1 274.368 0.941 20 30 CCEDMN N#Cc1cccc(NC(=O)C(=O)N2CCN3CCC2CC3)n1 ZINC000516969452 249194070 /nfs/dbraw/zinc/19/40/70/249194070.db2.gz GHEKJDORMLLOGQ-UHFFFAOYSA-N 0 1 299.334 0.198 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@](C)(OC)C1 ZINC000279210382 194334708 /nfs/dbraw/zinc/33/47/08/194334708.db2.gz BSZMNMPDZFKQOM-RISCZKNCSA-N 0 1 283.372 0.888 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(C(N)=O)CC1 ZINC000025524623 388815678 /nfs/dbraw/zinc/81/56/78/388815678.db2.gz YAVLFYVATJMYRQ-GFCCVEGCSA-N 0 1 281.400 0.997 20 30 CCEDMN C#CCC(CC#C)C(=O)NCCN1CCCOCC1 ZINC000289205287 388868430 /nfs/dbraw/zinc/86/84/30/388868430.db2.gz UYJUUBRPBOOAEN-UHFFFAOYSA-N 0 1 262.353 0.488 20 30 CCEDMN CCN1CCC(NS(=O)(=O)c2cncc(C#N)c2)CC1 ZINC000289696604 388882483 /nfs/dbraw/zinc/88/24/83/388882483.db2.gz HEZRBJPMKQTHFB-UHFFFAOYSA-N 0 1 294.380 0.716 20 30 CCEDMN C=C(C)CN(CC)CC(=O)NC(=O)N[C@@H](C)COC ZINC000048617332 388895117 /nfs/dbraw/zinc/89/51/17/388895117.db2.gz JMEXVUCCEACMSD-NSHDSACASA-N 0 1 271.361 0.745 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](n2cc(C)cn2)C1 ZINC000289885426 388897067 /nfs/dbraw/zinc/89/70/67/388897067.db2.gz MOLCJTFUOQCRNE-CHWSQXEVSA-N 0 1 260.341 0.576 20 30 CCEDMN C#CCONC(=O)[C@H]1CC(=O)N(C)[C@@H]1c1cccnc1 ZINC000291010473 388916386 /nfs/dbraw/zinc/91/63/86/388916386.db2.gz AVJMIPAJXBVHNB-WCQYABFASA-N 0 1 273.292 0.282 20 30 CCEDMN CCN(CCC(=O)OC)CC(=O)N(CCC#N)CCC#N ZINC000050054946 388925015 /nfs/dbraw/zinc/92/50/15/388925015.db2.gz QOHWTPLUMXWGAK-UHFFFAOYSA-N 0 1 294.355 0.527 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](C(=O)NCCC)C1 ZINC000050996287 388926507 /nfs/dbraw/zinc/92/65/07/388926507.db2.gz LQUBCJODPDJTTD-STQMWFEESA-N 0 1 279.384 0.363 20 30 CCEDMN C=C[C@H](CO)NC(=O)CSc1n[nH]c(=S)s1 ZINC000292820749 388960590 /nfs/dbraw/zinc/96/05/90/388960590.db2.gz VXSSOSJKSCBMDV-RXMQYKEDSA-N 0 1 277.396 0.582 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N[C@H]1CCN(C2CC2)C1 ZINC000336915031 389032313 /nfs/dbraw/zinc/03/23/13/389032313.db2.gz LNVNPPVANPNVIE-MNOVXSKESA-N 0 1 286.401 0.149 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@@H](N2CCN(CC)CC2)C1 ZINC000354279189 389018945 /nfs/dbraw/zinc/01/89/45/389018945.db2.gz MUIHUWGEGQKICA-GDBMZVCRSA-N 0 1 295.427 0.552 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCN2C[C@@H](C)O[C@@H](C)C2)C1=O ZINC000337228650 389057172 /nfs/dbraw/zinc/05/71/72/389057172.db2.gz HGNVCIRWJPTLSN-QLFBSQMISA-N 0 1 295.427 0.814 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccc(C#N)nn2)[C@H](C)C1 ZINC000302393140 389069080 /nfs/dbraw/zinc/06/90/80/389069080.db2.gz CJTAFNYWSIHKAO-GHMZBOCLSA-N 0 1 261.329 0.240 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@@H]1[C@H](C)O ZINC000106864785 389094567 /nfs/dbraw/zinc/09/45/67/389094567.db2.gz UPLJOZWQOTUZPE-WDEREUQCSA-N 0 1 269.345 0.234 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N(C)CCN(C)C1CC1 ZINC000336954026 389036858 /nfs/dbraw/zinc/03/68/58/389036858.db2.gz XFKUTVCGBDEPHX-NSHDSACASA-N 0 1 288.417 0.349 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N1CCN(C)CC[C@H]1C ZINC000337101411 389044939 /nfs/dbraw/zinc/04/49/39/389044939.db2.gz WDSPJYRZWJMBSY-GFCCVEGCSA-N 0 1 288.417 0.493 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1[C@@H]2CC[C@H]1CC(O)C2 ZINC000111320160 389104764 /nfs/dbraw/zinc/10/47/64/389104764.db2.gz JKIGITWYVCEUJN-WSMDXJOWSA-N 0 1 281.356 0.374 20 30 CCEDMN C=CCOCC[NH+]1CCC(O)(C[NH+]2CCOCC2)CC1 ZINC000339064642 389124035 /nfs/dbraw/zinc/12/40/35/389124035.db2.gz QSKDFGAEFZOXQP-UHFFFAOYSA-N 0 1 284.400 0.348 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NC[C@H](CC(C)C)C(=O)[O-] ZINC000328231797 389128072 /nfs/dbraw/zinc/12/80/72/389128072.db2.gz DKYITSOTCMHXHC-ZDUSSCGKSA-N 0 1 297.399 0.988 20 30 CCEDMN C=CCOCCN1CC(C(=O)NC(CC)(CC)C(N)=O)C1 ZINC000339197263 389128178 /nfs/dbraw/zinc/12/81/78/389128178.db2.gz XWEXLZIJNINJCU-UHFFFAOYSA-N 0 1 297.399 0.281 20 30 CCEDMN CCNC(=O)[C@@H](NCC(=O)N(CC)C[C@H](C)C#N)C(C)C ZINC000339313359 389130322 /nfs/dbraw/zinc/13/03/22/389130322.db2.gz BRSSRJUPCHZAEO-OCCSQVGLSA-N 0 1 296.415 0.745 20 30 CCEDMN CCNC(=O)[C@H](NCC(=O)N[C@](C)(C#N)C1CC1)C(C)C ZINC000339327010 389130403 /nfs/dbraw/zinc/13/04/03/389130403.db2.gz BUENXMGVUGGKFL-UKRRQHHQSA-N 0 1 294.399 0.545 20 30 CCEDMN [O-]C(N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2)=[NH+]CCn1cncn1 ZINC000328675929 389131287 /nfs/dbraw/zinc/13/12/87/389131287.db2.gz LBMRANPRNHOOCY-QJPTWQEYSA-N 0 1 291.355 0.739 20 30 CCEDMN O=C(NCCn1cncn1)N[C@@H]1[C@@H]2CCO[C@H]2C12CCC2 ZINC000328675929 389131291 /nfs/dbraw/zinc/13/12/91/389131291.db2.gz LBMRANPRNHOOCY-QJPTWQEYSA-N 0 1 291.355 0.739 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@H](c2cnn(C)c2)C1 ZINC000116318035 389134125 /nfs/dbraw/zinc/13/41/25/389134125.db2.gz IGRXFHGWFNKHHZ-OLZOCXBDSA-N 0 1 289.383 0.970 20 30 CCEDMN O=C(NC[C@]1(O)CCOC1)[C@H]1CCCc2[nH]ncc21 ZINC000328746620 389135298 /nfs/dbraw/zinc/13/52/98/389135298.db2.gz APYSZSKIGJNKHY-TVQRCGJNSA-N 0 1 265.313 0.938 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H](O)CC1 ZINC000339776156 389139754 /nfs/dbraw/zinc/13/97/54/389139754.db2.gz LGJCYAZJCHTYHO-QWHCGFSZSA-N 0 1 267.373 0.841 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCO[C@H](C(C)C)C1 ZINC000339821819 389139906 /nfs/dbraw/zinc/13/99/06/389139906.db2.gz QSCLZHKYUMXYDK-LBPRGKRZSA-N 0 1 283.372 0.745 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCn2c(n[nH]c2=O)C1)C1CC1 ZINC000329654703 389182983 /nfs/dbraw/zinc/18/29/83/389182983.db2.gz LVACLDQVBPKSHW-CYBMUJFWSA-N 0 1 290.327 0.448 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC(C)(C)c1nn[nH]n1 ZINC000359802506 389183886 /nfs/dbraw/zinc/18/38/86/389183886.db2.gz UDEUFJMYSASLJG-MRVPVSSYSA-N 0 1 253.306 0.532 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCCCCC#N ZINC000341650676 389190548 /nfs/dbraw/zinc/19/05/48/389190548.db2.gz YYDZYKATEAWGCC-GFCCVEGCSA-N 0 1 253.346 0.765 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2=CCCOC2)C[C@@H]1C ZINC000329709188 389191125 /nfs/dbraw/zinc/19/11/25/389191125.db2.gz MVXKXKXOPNDHSM-STQMWFEESA-N 0 1 297.399 0.634 20 30 CCEDMN C=CCOc1cccnc1C(=O)NCc1nnc[nH]1 ZINC000342132556 389197230 /nfs/dbraw/zinc/19/72/30/389197230.db2.gz OQRWWEDMWFAHIH-UHFFFAOYSA-N 0 1 259.269 0.695 20 30 CCEDMN [O-]C(N[C@H]1CCCOCC1)=[NH+]CCn1cncn1 ZINC000329306241 389155937 /nfs/dbraw/zinc/15/59/37/389155937.db2.gz XVSUASQSSCNNMC-JTQLQIEISA-N 0 1 253.306 0.351 20 30 CCEDMN O=C(NCCn1cncn1)N[C@H]1CCCOCC1 ZINC000329306241 389155938 /nfs/dbraw/zinc/15/59/38/389155938.db2.gz XVSUASQSSCNNMC-JTQLQIEISA-N 0 1 253.306 0.351 20 30 CCEDMN C[C@H]([NH+]=C([O-])N[C@@H]1CCc2ncnn2C1)[C@H]1CCOC1 ZINC000329316960 389156960 /nfs/dbraw/zinc/15/69/60/389156960.db2.gz FENGMFNECUUOHF-GARJFASQSA-N 0 1 279.344 0.522 20 30 CCEDMN C[C@H](NC(=O)N[C@@H]1CCc2ncnn2C1)[C@H]1CCOC1 ZINC000329316960 389156965 /nfs/dbraw/zinc/15/69/65/389156965.db2.gz FENGMFNECUUOHF-GARJFASQSA-N 0 1 279.344 0.522 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@H]1CCN(C)C1=O ZINC000329326612 389157406 /nfs/dbraw/zinc/15/74/06/389157406.db2.gz JKUHYLVTXPLSNM-MNOVXSKESA-N 0 1 269.345 0.142 20 30 CCEDMN O=C(Cn1cccnc1=O)Nc1nc(C2CCC2)n[nH]1 ZINC000329494044 389166544 /nfs/dbraw/zinc/16/65/44/389166544.db2.gz FESBVBJAECNGBS-UHFFFAOYSA-N 0 1 274.284 0.917 20 30 CCEDMN O=C(Cn1cccnc1=O)Nc1n[nH]c(C2CCC2)n1 ZINC000329494044 389166546 /nfs/dbraw/zinc/16/65/46/389166546.db2.gz FESBVBJAECNGBS-UHFFFAOYSA-N 0 1 274.284 0.917 20 30 CCEDMN Cc1ncc(C(=O)NC[C@H]2CN(C)CCN2C)c(C)n1 ZINC000329512923 389168397 /nfs/dbraw/zinc/16/83/97/389168397.db2.gz XXSIIZGVGAVTRY-LBPRGKRZSA-N 0 1 277.372 0.644 20 30 CCEDMN C[C@@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)CC1(C#N)CC1 ZINC000329626690 389178620 /nfs/dbraw/zinc/17/86/20/389178620.db2.gz PAYOVTUDOVTQAG-ZYHUDNBSSA-N 0 1 283.397 0.692 20 30 CCEDMN N#CCC(=O)N[C@H]1CN(Cc2ccccc2)CC[C@@H]1CO ZINC000248566632 389247145 /nfs/dbraw/zinc/24/71/45/389247145.db2.gz QBRRBLNFECMTHA-CABCVRRESA-N 0 1 287.363 0.899 20 30 CCEDMN CCS(=O)(=O)C[C@H](C)NC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000330706390 389252369 /nfs/dbraw/zinc/25/23/69/389252369.db2.gz LWEYNEKIOJBNJK-YUMQZZPRSA-N 0 1 289.361 0.193 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)Nc2cncnc2)C1 ZINC000329854844 389206893 /nfs/dbraw/zinc/20/68/93/389206893.db2.gz WVPFYLWXXRKUGF-NSHDSACASA-N 0 1 264.333 0.048 20 30 CCEDMN CC#CCCNC(=O)NCCCCN1CCOCC1 ZINC000361287755 389211197 /nfs/dbraw/zinc/21/11/97/389211197.db2.gz KBMGFLAUMZULCP-UHFFFAOYSA-N 0 1 267.373 0.811 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@](C)(O)C1 ZINC000245962716 389221935 /nfs/dbraw/zinc/22/19/35/389221935.db2.gz VBXZCIDGUHBKBG-JSGCOSHPSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN1CCC[C@@H]1CNS(=O)(=O)N(C)C[C@@H](C)C#N ZINC000330382880 389223881 /nfs/dbraw/zinc/22/38/81/389223881.db2.gz XRBOQKATAGXZRQ-NWDGAFQWSA-N 0 1 288.417 0.397 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000330414811 389226903 /nfs/dbraw/zinc/22/69/03/389226903.db2.gz CLARJBAHMBNMDU-WDEREUQCSA-N 0 1 267.329 0.242 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)[C@H](CO)C1 ZINC000362078732 389229391 /nfs/dbraw/zinc/22/93/91/389229391.db2.gz RMKVOPWGXLRJMM-WOPDTQHZSA-N 0 1 265.357 0.498 20 30 CCEDMN N#Cc1ccc([C@H](Sc2c[nH]nn2)C(N)=O)cc1 ZINC000155963476 389322580 /nfs/dbraw/zinc/32/25/80/389322580.db2.gz JOIHCMUHXAYPSA-JTQLQIEISA-N 0 1 259.294 0.995 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000346320417 389326686 /nfs/dbraw/zinc/32/66/86/389326686.db2.gz ZQOLIZHZEJSFBD-NWDGAFQWSA-N 0 1 251.330 0.327 20 30 CCEDMN CCOCCN(C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000346521902 389330662 /nfs/dbraw/zinc/33/06/62/389330662.db2.gz CVESHABKHIIEIE-LLVKDONJSA-N 0 1 253.346 0.906 20 30 CCEDMN CC[C@@H]1CN([C@@H](C)C(=O)NC2(C#N)CCC2)C[C@H]1O ZINC000346509534 389330848 /nfs/dbraw/zinc/33/08/48/389330848.db2.gz USVPZUZLSWZJJA-QJPTWQEYSA-N 0 1 265.357 0.640 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](OCCOCC)C1 ZINC000157622802 389332732 /nfs/dbraw/zinc/33/27/32/389332732.db2.gz YYMVOCOKRHXZSH-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](OCCOCC)C1 ZINC000157622650 389332934 /nfs/dbraw/zinc/33/29/34/389332934.db2.gz YYMVOCOKRHXZSH-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1 ZINC000262204935 389283069 /nfs/dbraw/zinc/28/30/69/389283069.db2.gz SOWJCGWTKPCLAX-UHIISALHSA-N 0 1 266.341 0.864 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C[C@@H]1CCC[C@@H]1O ZINC000159853174 389375028 /nfs/dbraw/zinc/37/50/28/389375028.db2.gz IKNALOPSOBSFQP-QWRGUYRKSA-N 0 1 269.345 0.091 20 30 CCEDMN C[C@@H](O)[C@@H]1CCCCN1CC(=O)NC1(C#N)CCC1 ZINC000347028881 389345662 /nfs/dbraw/zinc/34/56/62/389345662.db2.gz HNISCHLMQPCLIY-NEPJUHHUSA-N 0 1 265.357 0.784 20 30 CCEDMN COC[C@@H](O)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000264726264 389350070 /nfs/dbraw/zinc/35/00/70/389350070.db2.gz AVGNKKZXZFZDLY-ZDUSSCGKSA-N 0 1 276.340 0.083 20 30 CCEDMN CCN(CCC#N)C(=O)CN1[C@@H](CO)CCC[C@@H]1C ZINC000159090089 389358086 /nfs/dbraw/zinc/35/80/86/389358086.db2.gz HHWXGYVEPPSEOH-QWHCGFSZSA-N 0 1 267.373 0.984 20 30 CCEDMN C#CCN(CCn1cc([N+](=O)[O-])ccc1=O)C1CC1 ZINC000274127105 389488115 /nfs/dbraw/zinc/48/81/15/389488115.db2.gz HSOOSAMMAMARMG-UHFFFAOYSA-N 0 1 261.281 0.854 20 30 CCEDMN Cc1nn(C)c(N2CCN(CC3(O)CCC3)CC2)c1C#N ZINC000349887117 389452443 /nfs/dbraw/zinc/45/24/43/389452443.db2.gz YTFLDDSZGNXWJA-UHFFFAOYSA-N 0 1 289.383 0.637 20 30 CCEDMN CN(CC#N)C(=O)CCN1CCN(c2ccccn2)CC1 ZINC000172725244 389493271 /nfs/dbraw/zinc/49/32/71/389493271.db2.gz BIOBKCNSXLRILL-UHFFFAOYSA-N 0 1 287.367 0.576 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N[C@@H](CO)CN1CCCCC1 ZINC000413793286 389558413 /nfs/dbraw/zinc/55/84/13/389558413.db2.gz ALIAWEYTKGPXBN-OCCSQVGLSA-N 0 1 267.373 0.889 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(C)[nH]n2)C1=O ZINC000281768443 389623948 /nfs/dbraw/zinc/62/39/48/389623948.db2.gz QRDQGBBLSZQOSN-NSHDSACASA-N 0 1 262.313 0.577 20 30 CCEDMN CC[C@@](C)(NCC(=O)NCc1ccc(C#N)cc1)C(N)=O ZINC000351474058 389584914 /nfs/dbraw/zinc/58/49/14/389584914.db2.gz XNUHHXNNYPSIMZ-OAHLLOKOSA-N 0 1 288.351 0.418 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@H](n3cncn3)C2)C1=O ZINC000282099027 389657255 /nfs/dbraw/zinc/65/72/55/389657255.db2.gz VVNZUIBAFZPPKO-CHWSQXEVSA-N 0 1 275.356 0.702 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](N3CCCC3=O)C2)C1=O ZINC000282138384 389657840 /nfs/dbraw/zinc/65/78/40/389657840.db2.gz ZGVBPBZHDUKZPU-OLZOCXBDSA-N 0 1 277.368 0.470 20 30 CCEDMN C=CCCCCNC(=O)NC[C@H]1CN(C)CCN1C ZINC000351691047 389657947 /nfs/dbraw/zinc/65/79/47/389657947.db2.gz GUDKGGQBIDNPKN-ZDUSSCGKSA-N 0 1 268.405 0.888 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC1(C#N)CCSCC1 ZINC000336587748 389658717 /nfs/dbraw/zinc/65/87/17/389658717.db2.gz SDBPQRPNWLTQCM-JTQLQIEISA-N 0 1 253.371 0.986 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)Nc2cccc(CC#N)c2)CCN1 ZINC000415426329 389682657 /nfs/dbraw/zinc/68/26/57/389682657.db2.gz DQGJYCIASYJPMH-NSHDSACASA-N 0 1 286.335 0.511 20 30 CCEDMN C=C(C)CN(C)[C@H](C)C(=O)N1CCC(C(N)=O)CC1 ZINC000181325069 389684133 /nfs/dbraw/zinc/68/41/33/389684133.db2.gz NAKOWCGEINAJIY-LLVKDONJSA-N 0 1 267.373 0.607 20 30 CCEDMN Cc1nsc(NC(=O)N2CCNCC2)c1C#N ZINC000415717447 389698347 /nfs/dbraw/zinc/69/83/47/389698347.db2.gz DZCHPPFBXZJYAZ-UHFFFAOYSA-N 0 1 251.315 0.760 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCN1CCN(C)CC1 ZINC000429867316 389773170 /nfs/dbraw/zinc/77/31/70/389773170.db2.gz LMWQKYXGVWODNE-UHFFFAOYSA-N 0 1 253.390 0.952 20 30 CCEDMN C[C@@H]1CN(C(=O)CCCC#N)[C@H](C)CN1CCO ZINC000416307032 389731445 /nfs/dbraw/zinc/73/14/45/389731445.db2.gz KHKNDFUUQHWUOY-VXGBXAGGSA-N 0 1 253.346 0.594 20 30 CCEDMN C[C@@H](O)CCN(C)CC(=O)NCc1cccc(C#N)c1 ZINC000441438855 389833754 /nfs/dbraw/zinc/83/37/54/389833754.db2.gz SCOWRRWIHOWSDB-GFCCVEGCSA-N 0 1 275.352 0.877 20 30 CCEDMN C=C(C)COCCNC(=O)N1CCNC(C)(C)C1 ZINC000417883669 389860779 /nfs/dbraw/zinc/86/07/79/389860779.db2.gz HPMJOZHZUIRMOK-UHFFFAOYSA-N 0 1 255.362 0.973 20 30 CCEDMN C[C@H]1CN(c2ncccc2C#N)[C@@H](C)CN1CCO ZINC000418994476 389886188 /nfs/dbraw/zinc/88/61/88/389886188.db2.gz BUEFDCRBPZSPGN-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@H](C)N(CCO)C[C@H]1C ZINC000419321549 389891362 /nfs/dbraw/zinc/89/13/62/389891362.db2.gz GECPSCUFVLQKGW-LSDHHAIUSA-N 0 1 297.443 0.798 20 30 CCEDMN CCN(CCC#N)C(=O)CN(CCO)[C@H]1CCCSC1 ZINC000433209059 389906177 /nfs/dbraw/zinc/90/61/77/389906177.db2.gz QBBUDYGPLFBWCP-ZDUSSCGKSA-N 0 1 299.440 0.938 20 30 CCEDMN CN(CC(=O)N1CCNCC1)c1c(C#N)cccc1C#N ZINC000420151692 389959556 /nfs/dbraw/zinc/95/95/56/389959556.db2.gz NNOYRXLQXMXAIQ-UHFFFAOYSA-N 0 1 283.335 0.298 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](C)C[C@@H](CO)C1 ZINC000420936036 390038863 /nfs/dbraw/zinc/03/88/63/390038863.db2.gz IDWRIMYZYKLVMO-CHWSQXEVSA-N 0 1 267.373 0.699 20 30 CCEDMN C[C@@H]1[C@H](CO)CCN1CC(=O)NCc1cccc(C#N)c1 ZINC000488774172 390096322 /nfs/dbraw/zinc/09/63/22/390096322.db2.gz HGKLLYIMBROSOY-DOMZBBRYSA-N 0 1 287.363 0.877 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCCCCO)CC1 ZINC000491325835 390111990 /nfs/dbraw/zinc/11/19/90/390111990.db2.gz HJYWEWFIFFYIEW-UHFFFAOYSA-N 0 1 266.385 0.953 20 30 CCEDMN C#CCNC(=O)CCNCc1ncccc1N(C)C ZINC000491484122 390114109 /nfs/dbraw/zinc/11/41/09/390114109.db2.gz HKJJRWZSYDDADS-UHFFFAOYSA-N 0 1 260.341 0.377 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)CC1(C#N)CC1 ZINC000537283540 390177131 /nfs/dbraw/zinc/17/71/31/390177131.db2.gz YXKFTZFYYPXVFN-UHFFFAOYSA-N 0 1 258.329 0.862 20 30 CCEDMN N#CC1(CS(=O)(=O)N2CC[C@H](c3nc[nH]n3)C2)CCC1 ZINC000579825580 390211779 /nfs/dbraw/zinc/21/17/79/390211779.db2.gz BHSZNACSCBGZKN-JTQLQIEISA-N 0 1 295.368 0.618 20 30 CCEDMN COC(=O)[C@@H](C1CC1)N(C)CCCc1[nH]nc(N)c1C#N ZINC000541057095 390236024 /nfs/dbraw/zinc/23/60/24/390236024.db2.gz TXJFELCTZVAUOA-GFCCVEGCSA-N 0 1 291.355 0.510 20 30 CCEDMN C=CCn1cc(C(=O)N2C[C@H]3CCC[C@@H](C2)N3)nn1 ZINC000648121868 390293831 /nfs/dbraw/zinc/29/38/31/390293831.db2.gz PWMVUBYOJXGPJS-PHIMTYICSA-N 0 1 261.329 0.431 20 30 CCEDMN CN(CCCO)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000281827319 195398729 /nfs/dbraw/zinc/39/87/29/195398729.db2.gz MCOVGAFMWYOENU-CQSZACIVSA-N 0 1 273.336 0.978 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)NC[C@@H](C)C#N)n[nH]1 ZINC000541946874 390254713 /nfs/dbraw/zinc/25/47/13/390254713.db2.gz VEAAVWPZZXDHFG-YUMQZZPRSA-N 0 1 250.306 0.887 20 30 CCEDMN N#Cc1ccc(NCC(=O)N2CC[N@H+]3CC[C@H]2C3)cc1 ZINC000597710087 390371948 /nfs/dbraw/zinc/37/19/48/390371948.db2.gz QPCPIVQPXVSQFH-AWEZNQCLSA-N 0 1 270.336 0.887 20 30 CCEDMN C=CCOCC[NH+]1CCN([C@H]2CCC[N@@H+](C)C2)CC1 ZINC000645095064 390369864 /nfs/dbraw/zinc/36/98/64/390369864.db2.gz VOKNCPCXBWEWMH-HNNXBMFYSA-N 0 1 267.417 0.901 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCC[C@H]2CCOC2)CC1 ZINC000645764525 390488772 /nfs/dbraw/zinc/48/87/72/390488772.db2.gz FWOJBKNPTJCYJL-HNNXBMFYSA-N 0 1 295.427 0.723 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)N2CCC(CC#N)CC2)[C@@H](C)CN1 ZINC000562961693 390491346 /nfs/dbraw/zinc/49/13/46/390491346.db2.gz PNZNPZJUMVBORM-RYUDHWBXSA-N 0 1 292.383 0.347 20 30 CCEDMN N#CCC(=O)N1CCN(C[C@H]2CCCC[C@@H]2O)CC1 ZINC000563969503 390494721 /nfs/dbraw/zinc/49/47/21/390494721.db2.gz XFTXEEHMPXQKCL-OLZOCXBDSA-N 0 1 265.357 0.595 20 30 CCEDMN CC#CC[C@H](CO)NS(=O)(=O)CCN(CC)CC ZINC000645884430 390519019 /nfs/dbraw/zinc/51/90/19/390519019.db2.gz FPSFLCXFPNWWCG-GFCCVEGCSA-N 0 1 276.402 0.022 20 30 CCEDMN CC(C)c1nc(CNc2ccc(C#N)c(N)n2)n[nH]1 ZINC000519643798 390523674 /nfs/dbraw/zinc/52/36/74/390523674.db2.gz DLHGVJRSIVYJFQ-UHFFFAOYSA-N 0 1 257.301 0.811 20 30 CCEDMN CO[C@@H]1COC[C@H]1NCc1cnc2ccc(C#N)cn12 ZINC000519703101 390530415 /nfs/dbraw/zinc/53/04/15/390530415.db2.gz IJRISWMRHDOPSJ-CHWSQXEVSA-N 0 1 272.308 0.709 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@H]2NCCc3ccccc32)nn1 ZINC000567927178 390711895 /nfs/dbraw/zinc/71/18/95/390711895.db2.gz WTMUEXXUYJBFNY-OAHLLOKOSA-N 0 1 297.362 0.967 20 30 CCEDMN C=CCN1C(=O)N=NC1S[C@H]1CON(CC)C1=O ZINC000527059545 390690494 /nfs/dbraw/zinc/69/04/94/390690494.db2.gz YCFJLNDLNSPYPH-ZETCQYMHSA-N 0 1 270.314 0.424 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@](C)(CO)[C@](C)(CO)C2)C1=O ZINC000528754319 390774764 /nfs/dbraw/zinc/77/47/64/390774764.db2.gz JZRIDVYDSXKWMI-YUELXQCFSA-N 0 1 282.384 0.086 20 30 CCEDMN CNC(=O)[C@H](C#N)C(=O)[C@H]1C[C@@]1(C)Br ZINC000528925654 390783910 /nfs/dbraw/zinc/78/39/10/390783910.db2.gz WBYYLXJYRKXKFR-HCVRKRLWSA-N 0 1 259.103 0.615 20 30 CCEDMN C=CCNc1ncc(C(=O)N2C[C@@H](C)N[C@@H](C)C2)s1 ZINC000572481131 390785234 /nfs/dbraw/zinc/78/52/34/390785234.db2.gz RVYZWCCDXXWHBO-AOOOYVTPSA-N 0 1 280.397 0.985 20 30 CCEDMN C=CCOCC(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000529913144 390872995 /nfs/dbraw/zinc/87/29/95/390872995.db2.gz FTMVYUFCLSJQAE-UHFFFAOYSA-N 0 1 282.384 0.902 20 30 CCEDMN C[C@H](CNC(=O)C1(C#N)CC(C)C1)N1CCN(C)CC1 ZINC000532318713 390879329 /nfs/dbraw/zinc/87/93/29/390879329.db2.gz BWPKHIDPJBCFOA-JVWICGRDSA-N 0 1 278.400 0.678 20 30 CCEDMN Cc1cc(S(=O)(=O)N(C)Cc2nnc[nH]2)ccc1C#N ZINC000234318337 130934820 /nfs/dbraw/zinc/93/48/20/130934820.db2.gz UYBUOJCYQGUOSQ-UHFFFAOYSA-N 0 1 291.336 0.806 20 30 CCEDMN C=CCN(CC(F)(F)F)C(=O)C[C@H]1COCCN1 ZINC000262283382 131208272 /nfs/dbraw/zinc/20/82/72/131208272.db2.gz XNDUCECXHMNDFJ-VIFPVBQESA-N 0 1 266.263 0.942 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000268446981 132010869 /nfs/dbraw/zinc/01/08/69/132010869.db2.gz ICKXYLPQRYOWPM-CMPLNLGQSA-N 0 1 275.356 0.430 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)c1ccnc(C#N)c1 ZINC000356524649 144010865 /nfs/dbraw/zinc/01/08/65/144010865.db2.gz ARSPDQLVTRLXEX-GFCCVEGCSA-N 0 1 299.290 0.190 20 30 CCEDMN COc1cc(CNC(=O)CCc2nc[nH]n2)ccc1C#N ZINC000358984201 144188812 /nfs/dbraw/zinc/18/88/12/144188812.db2.gz KYYOQPSRRIASBP-UHFFFAOYSA-N 0 1 285.307 0.934 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H]2CCCC[C@H]2O)CC1 ZINC000649472284 397617240 /nfs/dbraw/zinc/61/72/40/397617240.db2.gz KDRMBMOSGDFZGW-HUUCEWRRSA-N 0 1 295.427 0.457 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000649537643 397630569 /nfs/dbraw/zinc/63/05/69/397630569.db2.gz FKKOZRUJWVGZOK-UWVGGRQHSA-N 0 1 253.302 0.892 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(CCOC)CC1(C)C ZINC000652926589 412165523 /nfs/dbraw/zinc/16/55/23/412165523.db2.gz FCUXSZNRVZUVGL-OAHLLOKOSA-N 0 1 284.400 0.883 20 30 CCEDMN Cc1nc([C@@H](C)NS(=O)(=O)c2ccc(C#N)nc2)n[nH]1 ZINC000285319612 196249387 /nfs/dbraw/zinc/24/93/87/196249387.db2.gz IVHPGESNOQZHMD-SSDOTTSWSA-N 0 1 292.324 0.419 20 30 CCEDMN C=CCCOCCNC(=O)N(C)CC1CN(C)C1 ZINC000660954189 414536793 /nfs/dbraw/zinc/53/67/93/414536793.db2.gz IXBDCXYVFFLFNA-UHFFFAOYSA-N 0 1 255.362 0.782 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(=O)N(C)CC1 ZINC000661811248 414676250 /nfs/dbraw/zinc/67/62/50/414676250.db2.gz UDZFLAVVYQSOID-CYBMUJFWSA-N 0 1 281.400 0.964 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N(C)Cc1ccc(OC)cc1 ZINC000662165625 414694763 /nfs/dbraw/zinc/69/47/63/414694763.db2.gz LMYGPVFQSDLVNM-CYBMUJFWSA-N 0 1 278.352 0.790 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)C(=O)N2CCNC[C@H]2C)C1 ZINC000662948235 414910538 /nfs/dbraw/zinc/91/05/38/414910538.db2.gz UUISVWIMEUYOAS-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)C(=O)N2CCNC[C@@H]2C)C1 ZINC000662948236 414910751 /nfs/dbraw/zinc/91/07/51/414910751.db2.gz UUISVWIMEUYOAS-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCOCCNC(=O)NCc1n[nH]c(COC)n1 ZINC000664534280 415412127 /nfs/dbraw/zinc/41/21/27/415412127.db2.gz IUXHKFJWBLZVDO-UHFFFAOYSA-N 0 1 283.332 0.343 20 30 CCEDMN C=CCCOCCNC(=O)NCc1nnc(COC)[nH]1 ZINC000664534280 415412136 /nfs/dbraw/zinc/41/21/36/415412136.db2.gz IUXHKFJWBLZVDO-UHFFFAOYSA-N 0 1 283.332 0.343 20 30 CCEDMN CCNC(=O)[C@H](NCC(=O)N(CC)C[C@@H](C)C#N)C(C)C ZINC000339313355 262628031 /nfs/dbraw/zinc/62/80/31/262628031.db2.gz BRSSRJUPCHZAEO-GXTWGEPZSA-N 0 1 296.415 0.745 20 30 CCEDMN CN(C(=O)CSCC#N)[C@@H]1CN2CCC1CC2 ZINC000347954712 226388778 /nfs/dbraw/zinc/38/87/78/226388778.db2.gz SPVFIHVTGSUJRS-LLVKDONJSA-N 0 1 253.371 0.796 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@@]1(C)CCCOC1 ZINC000329148187 227327783 /nfs/dbraw/zinc/32/77/83/227327783.db2.gz PNYNQSKDDTTYOT-HIFRSBDPSA-N 0 1 298.431 0.695 20 30 CCEDMN COC1CCN(C(=O)NCCN2CCCOCC2)CC1 ZINC000329990103 228008377 /nfs/dbraw/zinc/00/83/77/228008377.db2.gz VVNOUDQYNCDYCO-UHFFFAOYSA-N 0 1 285.388 0.734 20 30 CCEDMN Cc1nnc2n1C[C@@H](CNC([O-])=[NH+]c1ccnn1C)CC2 ZINC000330136849 228047515 /nfs/dbraw/zinc/04/75/15/228047515.db2.gz HSLVTYFTABJGMK-SNVBAGLBSA-N 0 1 289.343 0.909 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@@H]1C[C@@H](O)C1(C)C ZINC000330269090 228086575 /nfs/dbraw/zinc/08/65/75/228086575.db2.gz JCILKAFMGWJMNY-JHJVBQTASA-N 0 1 298.431 0.285 20 30 CCEDMN [O-]C(=[NH+][C@H]1CCn2ccnc2C1)N(CCO)C1CC1 ZINC000330281277 228091633 /nfs/dbraw/zinc/09/16/33/228091633.db2.gz UJQASDNAZWYWHZ-JTQLQIEISA-N 0 1 264.329 0.569 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NC[C@@]2(C)CCOC2)C1 ZINC000329588622 545023610 /nfs/dbraw/zinc/02/36/10/545023610.db2.gz YZJWGOBLAJRYOM-TZMCWYRMSA-N 0 1 284.404 0.162 20 30 CCEDMN CCN(C)CCNS(=O)(=O)N(C)[C@H](C)CC#N ZINC000332427474 260007811 /nfs/dbraw/zinc/00/78/11/260007811.db2.gz AKPFMBFQUBOOFF-SNVBAGLBSA-N 0 1 262.379 0.006 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N(C)[C@H]1CCCN(C)C1 ZINC000407978156 260141107 /nfs/dbraw/zinc/14/11/07/260141107.db2.gz WJACJHJRROCPIN-NEPJUHHUSA-N 0 1 288.417 0.491 20 30 CCEDMN CN(CC(=O)N1CCOCC1)[C@H]1CC[C@@H](C#N)C1 ZINC000407988892 260152516 /nfs/dbraw/zinc/15/25/16/260152516.db2.gz IFXNESXZADHCMY-NEPJUHHUSA-N 0 1 251.330 0.469 20 30 CCEDMN CCNS(=O)(=O)[C@@H]1CCN([C@H]2CC[C@@H](C#N)C2)C1 ZINC000407993400 260155756 /nfs/dbraw/zinc/15/57/56/260155756.db2.gz OLZZUWCMSSQFTN-GRYCIOLGSA-N 0 1 271.386 0.692 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCN(CC(C)(C)O)CC1 ZINC000070939865 260158662 /nfs/dbraw/zinc/15/86/62/260158662.db2.gz XGBOFUPIXSHDSC-GFCCVEGCSA-N 0 1 269.389 0.066 20 30 CCEDMN C#CCN1CCN(C(=O)CN2C[C@@H](C)S[C@@H](C)C2)CC1 ZINC000490761175 261201855 /nfs/dbraw/zinc/20/18/55/261201855.db2.gz RSCHUWIPXWIIIR-OKILXGFUSA-N 0 1 295.452 0.590 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCCN(C(N)=O)C1 ZINC000490875615 261235786 /nfs/dbraw/zinc/23/57/86/261235786.db2.gz QTLBVLFHGNIKQA-QWHCGFSZSA-N 0 1 293.367 0.418 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000249355389 261249982 /nfs/dbraw/zinc/24/99/82/261249982.db2.gz LAHZOEUMHLGCAW-QWHCGFSZSA-N 0 1 283.416 0.454 20 30 CCEDMN C[C@H]1[C@H](NS(=O)(=O)CC2(C#N)CC2)CCCN1C ZINC000361809022 261395113 /nfs/dbraw/zinc/39/51/13/261395113.db2.gz GZPWERZSFMJLNW-WDEREUQCSA-N 0 1 271.386 0.692 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOCC[C@H]2C)CC1 ZINC000367634307 262055396 /nfs/dbraw/zinc/05/53/96/262055396.db2.gz OLJWQLOSBJEHMT-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC3(CC2)OCCO3)CC1 ZINC000491812661 262086078 /nfs/dbraw/zinc/08/60/78/262086078.db2.gz FSNHQJIJRLAMRH-UHFFFAOYSA-N 0 1 292.379 0.697 20 30 CCEDMN C#CCCCC(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000191926635 262196718 /nfs/dbraw/zinc/19/67/18/262196718.db2.gz SJQXATQILVXSIO-UHFFFAOYSA-N 0 1 265.357 0.022 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@H](C(N)=O)C(C)(C)C ZINC000109330792 262198187 /nfs/dbraw/zinc/19/81/87/262198187.db2.gz NJJOLZSGPRRWDZ-LLVKDONJSA-N 0 1 268.361 0.238 20 30 CCEDMN CCNC(=O)[C@@H](NCC(=O)NC1(C#N)CCC1)C(C)C ZINC000339331473 262629285 /nfs/dbraw/zinc/62/92/85/262629285.db2.gz WKYUNLOMZOKSQO-LBPRGKRZSA-N 0 1 280.372 0.299 20 30 CCEDMN CC[C@H](CC#N)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000273899171 263020307 /nfs/dbraw/zinc/02/03/07/263020307.db2.gz VRBSCZQWGNUIAS-SECBINFHSA-N 0 1 287.327 0.598 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1 ZINC000340216892 263096279 /nfs/dbraw/zinc/09/62/79/263096279.db2.gz DACXMCJDRRWFCM-QWHCGFSZSA-N 0 1 284.400 0.881 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)CC1(C#N)CCCC1 ZINC000341064965 263320705 /nfs/dbraw/zinc/32/07/05/263320705.db2.gz HZXOCBUAAFACBE-UHFFFAOYSA-N 0 1 283.357 0.650 20 30 CCEDMN C=CCCC[C@H](C)N=c1ccc(C(=O)NCCO)n[nH]1 ZINC000342787045 263484796 /nfs/dbraw/zinc/48/47/96/263484796.db2.gz NNXQYBVFXKAQSD-NSHDSACASA-N 0 1 278.356 0.777 20 30 CCEDMN CC(C)c1nnc2n1C[C@H](NC(=O)N(C)CCO)CC2 ZINC000330786002 264053379 /nfs/dbraw/zinc/05/33/79/264053379.db2.gz UWJYWTGIFXMQHS-SNVBAGLBSA-N 0 1 281.360 0.554 20 30 CCEDMN N#CCc1ccc(CN2CC[C@@H](S(N)(=O)=O)C2)cc1 ZINC000330863377 264056465 /nfs/dbraw/zinc/05/64/65/264056465.db2.gz XTQDEHGMHQYIAH-CYBMUJFWSA-N 0 1 279.365 0.615 20 30 CCEDMN CC[C@](C)(CCO)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000331200718 264160321 /nfs/dbraw/zinc/16/03/21/264160321.db2.gz ALASOXFZHJGGTB-TZMCWYRMSA-N 0 1 286.420 0.287 20 30 CCEDMN C[NH+]1CCN(CCNC(=O)c2ccc(C#N)c([O-])c2)CC1 ZINC000331266214 264167594 /nfs/dbraw/zinc/16/75/94/264167594.db2.gz IETMIOGWNKVSKY-UHFFFAOYSA-N 0 1 288.351 0.816 20 30 CCEDMN C[C@@H]1C[C@@H](NS(=O)(=O)CCCC#N)CN1C1CC1 ZINC000126166415 264211624 /nfs/dbraw/zinc/21/16/24/264211624.db2.gz NTXJIDILLRUOCB-GHMZBOCLSA-N 0 1 271.386 0.835 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC(C)(C)COC)CC1 ZINC000426017115 264305348 /nfs/dbraw/zinc/30/53/48/264305348.db2.gz BKKRXIPLPKSBDZ-UHFFFAOYSA-N 0 1 283.416 0.579 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000456768988 264332430 /nfs/dbraw/zinc/33/24/30/264332430.db2.gz PFQVAYRMLPOKQQ-VXGBXAGGSA-N 0 1 272.414 0.867 20 30 CCEDMN C#CCOc1ccccc1CNCCS(=O)(=O)NC ZINC000190312183 264358157 /nfs/dbraw/zinc/35/81/57/264358157.db2.gz NZXIBHUVQSNVSM-UHFFFAOYSA-N 0 1 282.365 0.337 20 30 CCEDMN O=C(C#CC1CC1)N1C[C@@H]2CN(C(=O)c3ccn[nH]3)C[C@@H]2C1 ZINC000961069058 649862814 /nfs/dbraw/zinc/86/28/14/649862814.db2.gz YMBBXHOCFASYRI-BETUJISGSA-N 0 1 298.346 0.354 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(C(C)(C)COC)CC2)C1=O ZINC000425509800 264425503 /nfs/dbraw/zinc/42/55/03/264425503.db2.gz ULKASAQSIFQSNH-CQSZACIVSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCO[N-]C(=O)[C@H](c1ccccc1)N1CC[NH+](C)CC1 ZINC000291248706 264817487 /nfs/dbraw/zinc/81/74/87/264817487.db2.gz LUIRDSCGFNCILV-HNNXBMFYSA-N 0 1 287.363 0.656 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)NC1(c2nnc[nH]2)CCC1 ZINC000352079516 265934261 /nfs/dbraw/zinc/93/42/61/265934261.db2.gz LLVVLNDAZCOJTO-UHFFFAOYSA-N 0 1 298.372 0.254 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C)[C@@H](C)[C@H]1C ZINC000357076320 266243200 /nfs/dbraw/zinc/24/32/00/266243200.db2.gz WTIVAXFQLJAEIM-QWHCGFSZSA-N 0 1 266.389 0.773 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)N1CCCN(C)CC1 ZINC000370020300 267285210 /nfs/dbraw/zinc/28/52/10/267285210.db2.gz NLHOKJKOIONPDS-GFCCVEGCSA-N 0 1 288.417 0.350 20 30 CCEDMN N#CCC(=O)NC[C@H]1C[C@H](O)CN1Cc1ccccc1 ZINC000376923152 268030644 /nfs/dbraw/zinc/03/06/44/268030644.db2.gz OQQUVNQKKIQGRE-KGLIPLIRSA-N 0 1 273.336 0.652 20 30 CCEDMN Cn1ncc(C(=O)N[C@H]2CCCc3cn[nH]c32)c1C#N ZINC000527000927 268133750 /nfs/dbraw/zinc/13/37/50/268133750.db2.gz QOGUQQRXXXTNGD-JTQLQIEISA-N 0 1 270.296 0.822 20 30 CCEDMN CN1C(=O)CC[C@@H](NCc2ccc(C#N)c(F)c2)C1=O ZINC000404095597 277006947 /nfs/dbraw/zinc/00/69/47/277006947.db2.gz AOSDZPQHWXLNME-GFCCVEGCSA-N 0 1 275.283 0.934 20 30 CCEDMN C[C@@H]1NCCN(S(=O)(=O)c2ccc(C#N)o2)[C@H]1C ZINC000575196514 327980218 /nfs/dbraw/zinc/98/02/18/327980218.db2.gz OBRRXFZJMASOAJ-IUCAKERBSA-N 0 1 269.326 0.522 20 30 CCEDMN N#C[C@H]1CN(C(=O)N[C@H]2CCCc3cn[nH]c32)CCO1 ZINC000269048402 328189206 /nfs/dbraw/zinc/18/92/06/328189206.db2.gz UHEXIJRFUNZKLW-QWRGUYRKSA-N 0 1 275.312 0.721 20 30 CCEDMN C=CCCN(C)C(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000341616906 328413324 /nfs/dbraw/zinc/41/33/24/328413324.db2.gz CBEAOCFYSBUCTD-UHFFFAOYSA-N 0 1 265.361 0.906 20 30 CCEDMN C[C@H]1C[C@@H](O)CN1CC(=O)N(CCC#N)CCC#N ZINC000340928118 327021844 /nfs/dbraw/zinc/02/18/44/327021844.db2.gz MBBIDKUFWHWGCM-NWDGAFQWSA-N 0 1 264.329 0.097 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@H]2CCCC[C@H]2C[NH3+])o1 ZINC000579259401 327361129 /nfs/dbraw/zinc/36/11/29/327361129.db2.gz TYOLRNBBWGPGIN-ONGXEEELSA-N 0 1 283.353 0.947 20 30 CCEDMN CC(C)(C)N1CC[C@](F)(C(=O)N2CCOC[C@@H]2C#N)C1 ZINC000377938871 329035261 /nfs/dbraw/zinc/03/52/61/329035261.db2.gz MOGQGKXWXCLDFZ-SMDDNHRTSA-N 0 1 283.347 0.950 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@@](C)(C(=O)OC)C1 ZINC000177451983 329184338 /nfs/dbraw/zinc/18/43/38/329184338.db2.gz LSYMDXKLYPBZKO-IUODEOHRSA-N 0 1 295.383 0.880 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@](C)(C(=O)OC)C1 ZINC000177451983 329184339 /nfs/dbraw/zinc/18/43/39/329184339.db2.gz LSYMDXKLYPBZKO-IUODEOHRSA-N 0 1 295.383 0.880 20 30 CCEDMN C=C(C)CN(C)CC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000181279247 329229204 /nfs/dbraw/zinc/22/92/04/329229204.db2.gz SZNLBDUZLNRSHU-UHFFFAOYSA-N 0 1 279.384 0.575 20 30 CCEDMN C=C(C)COCCNC(=O)N[C@H](C)c1nnc[nH]1 ZINC000183310364 329249051 /nfs/dbraw/zinc/24/90/51/329249051.db2.gz QFGCSHLJLWNUPK-SECBINFHSA-N 0 1 253.306 0.758 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(Cn2cncn2)CC1 ZINC000186230653 329340174 /nfs/dbraw/zinc/34/01/74/329340174.db2.gz YEUBLSPBARYHCX-LBPRGKRZSA-N 0 1 277.372 0.681 20 30 CCEDMN C=CCOCCCC(=O)NCCN1CCCOCC1 ZINC000189443966 329382742 /nfs/dbraw/zinc/38/27/42/329382742.db2.gz ASXLWHWHDUFVTQ-UHFFFAOYSA-N 0 1 270.373 0.808 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2CCN3CCC2CC3)c1 ZINC000189788860 329386969 /nfs/dbraw/zinc/38/69/69/329386969.db2.gz SNYJUAOBULMUDU-UHFFFAOYSA-N 0 1 297.358 0.913 20 30 CCEDMN Cc1ncc(S(=O)(=O)N2CCN[C@H](C)[C@H]2C)cc1C#N ZINC000379448747 329554412 /nfs/dbraw/zinc/55/44/12/329554412.db2.gz ZYXUOKNOXDXDCS-MWLCHTKSSA-N 0 1 294.380 0.633 20 30 CCEDMN C=CCNC(=O)CN[C@]1(CO)CCc2ccccc21 ZINC000136180389 329671208 /nfs/dbraw/zinc/67/12/08/329671208.db2.gz ODNDHEJVDNVZFC-HNNXBMFYSA-N 0 1 260.337 0.712 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1CCNC[C@H]1CCC ZINC000418887675 329679385 /nfs/dbraw/zinc/67/93/85/329679385.db2.gz NCTCYETYZUJGJV-GFCCVEGCSA-N 0 1 267.373 0.669 20 30 CCEDMN C=CCOCC(=O)NC1CC([N@@H+](CC)CC(=O)[O-])C1 ZINC000262748717 330027945 /nfs/dbraw/zinc/02/79/45/330027945.db2.gz XRVUYFLDOGWRHY-UHFFFAOYSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCOCCCC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000080257745 330115104 /nfs/dbraw/zinc/11/51/04/330115104.db2.gz UYNDDUIWPVAOJT-AWEZNQCLSA-N 0 1 283.416 0.721 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000423362864 330194062 /nfs/dbraw/zinc/19/40/62/330194062.db2.gz UARBDQXSCVUJLZ-NSHDSACASA-N 0 1 253.346 0.231 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000423566075 330212142 /nfs/dbraw/zinc/21/21/42/330212142.db2.gz PXNUWHLSLOWGHR-FRRDWIJNSA-N 0 1 279.384 0.762 20 30 CCEDMN N#CC1(NC(=O)CN2CCC(CCO)CC2)CCC1 ZINC000527127569 330224730 /nfs/dbraw/zinc/22/47/30/330224730.db2.gz DBQKGASCOUCGHV-UHFFFAOYSA-N 0 1 265.357 0.643 20 30 CCEDMN C[C@@H]1OCC[C@@]12CN(C[C@H](O)CC1(C#N)CC1)CCO2 ZINC000528494704 330273773 /nfs/dbraw/zinc/27/37/73/330273773.db2.gz XMRWXLGHRDZOAT-GZBFAFLISA-N 0 1 280.368 0.921 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](O)CC2(O)CCC2)C1=O ZINC000528498251 330300169 /nfs/dbraw/zinc/30/01/69/330300169.db2.gz VOJRXZBFEQLDAL-CHWSQXEVSA-N 0 1 282.384 0.371 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CCOC2)CC1 ZINC000106848868 330341366 /nfs/dbraw/zinc/34/13/66/330341366.db2.gz XIWFXERBOURMDT-ZDUSSCGKSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CCN(C)[C@H](C)C(=O)N1CCN(C(C)=O)CC1 ZINC000121390537 330399888 /nfs/dbraw/zinc/39/98/88/330399888.db2.gz ZFKJEKMSYGJUBS-LLVKDONJSA-N 0 1 253.346 0.183 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCNC(=O)[C@@H]2CCC)CC1 ZINC000122990326 330436916 /nfs/dbraw/zinc/43/69/16/330436916.db2.gz ITCBYAKOQXHSTF-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000436786976 330685117 /nfs/dbraw/zinc/68/51/17/330685117.db2.gz LQFBDNQJMQHKIA-UHFFFAOYSA-N 0 1 296.348 0.396 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@](C)(COC)C1 ZINC000451197749 331020104 /nfs/dbraw/zinc/02/01/04/331020104.db2.gz ZCESUKQDHLNATQ-CYBMUJFWSA-N 0 1 269.345 0.357 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](OCC)[C@@H](C)C1 ZINC000451522970 331032441 /nfs/dbraw/zinc/03/24/41/331032441.db2.gz IKUOKPZGTJGURS-NWDGAFQWSA-N 0 1 283.372 0.745 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@H]1CCCCN1CCO ZINC000451673788 331038329 /nfs/dbraw/zinc/03/83/29/331038329.db2.gz WSZZALMYLUJXAF-GFCCVEGCSA-N 0 1 276.402 0.329 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NC[C@H]1CCC[N@H+]1CCCO ZINC000452368228 331063249 /nfs/dbraw/zinc/06/32/49/331063249.db2.gz QOBUHDZDCZDPLK-TZMCWYRMSA-N 0 1 267.373 0.889 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NC[C@H]1CCC[N@H+]1CCCO ZINC000452368227 331063303 /nfs/dbraw/zinc/06/33/03/331063303.db2.gz QOBUHDZDCZDPLK-OCCSQVGLSA-N 0 1 267.373 0.889 20 30 CCEDMN C=CCNc1ncc(C(=O)N2C[C@H]3CCN(C)[C@H]3C2)s1 ZINC000452982955 331082703 /nfs/dbraw/zinc/08/27/03/331082703.db2.gz YMRHBEVXAWWGAE-MNOVXSKESA-N 0 1 292.408 0.939 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000454860791 331155111 /nfs/dbraw/zinc/15/51/11/331155111.db2.gz MXNRZEHKHWVOJN-LBPRGKRZSA-N 0 1 295.387 0.315 20 30 CCEDMN CC#CCCNC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000458643021 331255299 /nfs/dbraw/zinc/25/52/99/331255299.db2.gz BCSFCABAINTGDO-BETUJISGSA-N 0 1 267.373 0.496 20 30 CCEDMN CO[C@@H]1COC[C@@H]1N[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000459829301 331302310 /nfs/dbraw/zinc/30/23/10/331302310.db2.gz PBHNXGLRSNVHJT-CZXHOFHRSA-N 0 1 283.372 0.433 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@@H]1COC[C@@H]1OC ZINC000459836495 331302402 /nfs/dbraw/zinc/30/24/02/331302402.db2.gz YYCNJHXJQIRFSA-NEPJUHHUSA-N 0 1 256.346 0.414 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)C1CCN(C(C)=O)CC1 ZINC000490898211 332126683 /nfs/dbraw/zinc/12/66/83/332126683.db2.gz INDLZEQICHOCJY-HNNXBMFYSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC(CC#N)CC2)CC1 ZINC000490934658 332130771 /nfs/dbraw/zinc/13/07/71/332130771.db2.gz JVOULDZKUDNJDA-UHFFFAOYSA-N 0 1 288.395 0.389 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)CCCO)CC1 ZINC000491210518 332167011 /nfs/dbraw/zinc/16/70/11/332167011.db2.gz VLGOMLCFDXNIRG-UHFFFAOYSA-N 0 1 252.358 0.563 20 30 CCEDMN C#CCN(C)C(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000491440815 332212187 /nfs/dbraw/zinc/21/21/87/332212187.db2.gz RDBCSFIQJCQVEX-CHWSQXEVSA-N 0 1 267.373 0.760 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000491505867 332225024 /nfs/dbraw/zinc/22/50/24/332225024.db2.gz NLPIMULFRIVILO-MFKMUULPSA-N 0 1 276.340 0.693 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CC[C@@](C)(COC)C2)C1=O ZINC000491642318 332261538 /nfs/dbraw/zinc/26/15/38/332261538.db2.gz BDHNYBJAXFZLJA-BXUZGUMPSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN(C)C[C@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000491644751 332262705 /nfs/dbraw/zinc/26/27/05/332262705.db2.gz LKSFMZRDQNJHET-ZDUSSCGKSA-N 0 1 287.319 0.429 20 30 CCEDMN C#CCN1CCC(c2nc(-c3cn(C)nn3)no2)CC1 ZINC000491678776 332283272 /nfs/dbraw/zinc/28/32/72/332283272.db2.gz CLTIHIJMMDAHAT-UHFFFAOYSA-N 0 1 272.312 0.678 20 30 CCEDMN C#CCN(C(=O)NCCN1CCCOCC1)C1CC1 ZINC000491714723 332305271 /nfs/dbraw/zinc/30/52/71/332305271.db2.gz NNYVIUHUWIXIMG-UHFFFAOYSA-N 0 1 265.357 0.516 20 30 CCEDMN C#CCN(C)CCC(=O)NCC(=O)c1ccccc1 ZINC000491717291 332306841 /nfs/dbraw/zinc/30/68/41/332306841.db2.gz VZISPSTULLLJJX-UHFFFAOYSA-N 0 1 258.321 0.941 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000491742914 332321488 /nfs/dbraw/zinc/32/14/88/332321488.db2.gz HHGCGPNKJSZMRO-ZDUSSCGKSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCN(C)CCCNC(=O)C1(C(=O)C2CC2)CC1 ZINC000491782534 332346454 /nfs/dbraw/zinc/34/64/54/332346454.db2.gz NNWRLHZPWAOONJ-UHFFFAOYSA-N 0 1 262.353 0.817 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2CC(=O)OC)CC1 ZINC000178889679 333078258 /nfs/dbraw/zinc/07/82/58/333078258.db2.gz SNDKUCACCZFLEM-CQSZACIVSA-N 0 1 292.379 0.886 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000516866561 333084597 /nfs/dbraw/zinc/08/45/97/333084597.db2.gz DZNGOOVWMISMMN-UWVGGRQHSA-N 0 1 283.328 0.041 20 30 CCEDMN Cc1ccc(OC[C@@H](O)CNCC#CCO)c(C)c1 ZINC000576040683 335144487 /nfs/dbraw/zinc/14/44/87/335144487.db2.gz ONLVSVQYVSWHRG-AWEZNQCLSA-N 0 1 263.337 0.628 20 30 CCEDMN CN(CCCSCC#N)CC(=O)N1CCOCC1 ZINC000074981352 334021642 /nfs/dbraw/zinc/02/16/42/334021642.db2.gz ALONUMTUJMUHRW-UHFFFAOYSA-N 0 1 271.386 0.424 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C[C@H](C)O)[C@H](C)CO ZINC000248966939 334323120 /nfs/dbraw/zinc/32/31/20/334323120.db2.gz RYOSSZFZRHGJSP-UPJWGTAASA-N 0 1 285.388 0.058 20 30 CCEDMN N#Cc1ccncc1N1CCN(C(=O)c2cnc[nH]2)CC1 ZINC000553355419 334380020 /nfs/dbraw/zinc/38/00/20/334380020.db2.gz DWCXJHVPKHAONC-UHFFFAOYSA-N 0 1 282.307 0.639 20 30 CCEDMN Cc1cc(NS(=O)(=O)CCOCC2CC2)ncc1C#N ZINC000577747006 335396433 /nfs/dbraw/zinc/39/64/33/335396433.db2.gz OBZAYWPGYFWRIU-UHFFFAOYSA-N 0 1 295.364 0.852 20 30 CCEDMN C=CC[C@@H](CO)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000578323597 335609026 /nfs/dbraw/zinc/60/90/26/335609026.db2.gz PGLZPRKCMFSSMU-LBPRGKRZSA-N 0 1 295.364 0.254 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H]2OCC[C@@H]2C1 ZINC000581070167 336003882 /nfs/dbraw/zinc/00/38/82/336003882.db2.gz ZROKDTUIMQDLKH-MNOVXSKESA-N 0 1 267.329 0.109 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)C(=O)N2CCC(C#N)CC2)CCCN1C ZINC000347762637 534241856 /nfs/dbraw/zinc/24/18/56/534241856.db2.gz ZHIODCBJTUHOPH-YPMHNXCESA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCC(=O)N2CCCC2)[C@@H](C)CN1C ZINC000329034064 534450266 /nfs/dbraw/zinc/45/02/66/534450266.db2.gz YBOMALOLULGONR-OLZOCXBDSA-N 0 1 296.415 0.590 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)NC1(c2nn[nH]n2)CCCC1 ZINC000449931340 534674296 /nfs/dbraw/zinc/67/42/96/534674296.db2.gz YOFXHMBJFNRLOX-UHFFFAOYSA-N 0 1 285.311 0.609 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](CCNC(C)=O)C1 ZINC000347642629 526530359 /nfs/dbraw/zinc/53/03/59/526530359.db2.gz MQNGTOWIACIODI-ZDUSSCGKSA-N 0 1 267.373 0.527 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)Nc1ccc(C#N)cc1 ZINC000340769368 526547005 /nfs/dbraw/zinc/54/70/05/526547005.db2.gz BODLYOYSYRLZBL-UHFFFAOYSA-N 0 1 283.291 0.845 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@H]1CN2CCC[C@@H]2CO1 ZINC000367188258 526682879 /nfs/dbraw/zinc/68/28/79/526682879.db2.gz RUZNXEIWEXZTKN-NEPJUHHUSA-N 0 1 274.386 0.345 20 30 CCEDMN C=CCOCCCNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000666520863 545124972 /nfs/dbraw/zinc/12/49/72/545124972.db2.gz JWWVXLQCAPEFPJ-UHFFFAOYSA-N 0 1 299.415 0.677 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N[C@H]1CCCN(CCOC)C1 ZINC000668373207 545175675 /nfs/dbraw/zinc/17/56/75/545175675.db2.gz ZSRVWFXJWKIVIH-WCQYABFASA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCn2c(n[nH]c2=O)C1 ZINC000354917035 527628361 /nfs/dbraw/zinc/62/83/61/527628361.db2.gz HGHDPPDMLVAMKV-LLVKDONJSA-N 0 1 291.355 0.388 20 30 CCEDMN CC1(C)[C@H](O)C[C@@H]1[NH+]=C([O-])N[C@@H]1CCn2ccnc2C1 ZINC000330266606 527882409 /nfs/dbraw/zinc/88/24/09/527882409.db2.gz HQLMPACGQICFIY-OUAUKWLOSA-N 0 1 278.356 0.861 20 30 CCEDMN CC1(C)[C@H](O)C[C@@H]1NC([O-])=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330266606 527882416 /nfs/dbraw/zinc/88/24/16/527882416.db2.gz HQLMPACGQICFIY-OUAUKWLOSA-N 0 1 278.356 0.861 20 30 CCEDMN CCN(CC(=O)N(CC)C[C@H](C)C#N)C[C@@H](C)O ZINC000352205200 527895133 /nfs/dbraw/zinc/89/51/33/527895133.db2.gz ZKTUUDIWVRUYBM-VXGBXAGGSA-N 0 1 255.362 0.697 20 30 CCEDMN CC1(C[NH+]=C([O-])N[C@@H]2CCc3c[nH]nc3C2)COC1 ZINC000329846950 527900604 /nfs/dbraw/zinc/90/06/04/527900604.db2.gz QYLGTEXMTVJTJC-SNVBAGLBSA-N 0 1 264.329 0.807 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@H]1CCC[C@H]1O ZINC000352669477 528353884 /nfs/dbraw/zinc/35/38/84/528353884.db2.gz AEKQFRQYJXPDKB-NWDGAFQWSA-N 0 1 253.346 0.594 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)N(C)CCN(C)C ZINC000332528741 528634660 /nfs/dbraw/zinc/63/46/60/528634660.db2.gz WPXWIMDWVQDGKN-NSHDSACASA-N 0 1 276.406 0.206 20 30 CCEDMN CO[C@H]1C[C@@H](CN(C)C(=O)c2cnn(C)c2C#N)N(C)C1 ZINC000344488694 536780504 /nfs/dbraw/zinc/78/05/04/536780504.db2.gz CEXBCNGDWCTJAF-QWRGUYRKSA-N 0 1 291.355 0.083 20 30 CCEDMN CC#CCNC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000682282178 545476909 /nfs/dbraw/zinc/47/69/09/545476909.db2.gz MBOYPHHLXRCLJP-UHFFFAOYSA-N 0 1 283.291 0.345 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H]3[C@H]4CN(CC#N)C[C@H]43)c2C1 ZINC000962179499 649893934 /nfs/dbraw/zinc/89/39/34/649893934.db2.gz RKHWVVKDPIVRAP-WUWHUORYSA-N 0 1 299.378 0.718 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)[N-]OC[C@H]1CCOC1 ZINC000788272531 581102961 /nfs/dbraw/zinc/10/29/61/581102961.db2.gz VVJORFUPTXMPQG-RYUDHWBXSA-N 0 1 252.314 0.168 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)CCc1cnc[nH]1 ZINC000788668516 581121857 /nfs/dbraw/zinc/12/18/57/581121857.db2.gz SMRHTYOHXGBUFO-UHFFFAOYSA-N 0 1 265.313 0.920 20 30 CCEDMN COC(=O)CCN1CCC(=NNC(=S)NC2CC2)CC1 ZINC000788704714 581122730 /nfs/dbraw/zinc/12/27/30/581122730.db2.gz YXYZLZOHDDCGIJ-UHFFFAOYSA-N 0 1 298.412 0.628 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000739404859 581132004 /nfs/dbraw/zinc/13/20/04/581132004.db2.gz SAVGPSNILGYQSH-WDEREUQCSA-N 0 1 282.344 0.336 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000739404859 581132007 /nfs/dbraw/zinc/13/20/07/581132007.db2.gz SAVGPSNILGYQSH-WDEREUQCSA-N 0 1 282.344 0.336 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)OC(C)(C)C)C1 ZINC000725810136 581137409 /nfs/dbraw/zinc/13/74/09/581137409.db2.gz NUKPRKFORMQRTA-GFCCVEGCSA-N 0 1 295.383 0.582 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCN(c2cccc(C#N)n2)CC1 ZINC000790024944 581172496 /nfs/dbraw/zinc/17/24/96/581172496.db2.gz YXYCDSCNDJVRCL-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](CNC(=O)CCC)C1 ZINC000726189295 581231746 /nfs/dbraw/zinc/23/17/46/581231746.db2.gz ZLISGBYYCYOMIE-CYBMUJFWSA-N 0 1 279.384 0.364 20 30 CCEDMN C#C[C@@H](NC(=O)c1cn[nH]c1-c1cnn(C)c1)[C@H]1CCCO1 ZINC000781031838 581256771 /nfs/dbraw/zinc/25/67/71/581256771.db2.gz LTJCNTNGASYDJT-CHWSQXEVSA-N 0 1 299.334 0.721 20 30 CCEDMN N#CCNC(=O)COC(=O)c1ccc2cncn2c1 ZINC000792571188 581266238 /nfs/dbraw/zinc/26/62/38/581266238.db2.gz JZMSLPAMPVZPDS-UHFFFAOYSA-N 0 1 258.237 0.131 20 30 CCEDMN COC(=O)COc1ccc(C=NNC2=NC[C@H](C)N2)cc1 ZINC000782227753 581293595 /nfs/dbraw/zinc/29/35/95/581293595.db2.gz RTQXCDBQQFXGSR-JTQLQIEISA-N 0 1 290.323 0.510 20 30 CCEDMN COC[C@@H](C#N)OC(=O)CCCCc1cn[nH]n1 ZINC000782316992 581297028 /nfs/dbraw/zinc/29/70/28/581297028.db2.gz ZBKUIHNXQIJXTC-SNVBAGLBSA-N 0 1 252.274 0.599 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000738430720 581318868 /nfs/dbraw/zinc/31/88/68/581318868.db2.gz NTTPEMJFYCIZTA-QWHCGFSZSA-N 0 1 287.319 0.881 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000738430720 581318870 /nfs/dbraw/zinc/31/88/70/581318870.db2.gz NTTPEMJFYCIZTA-QWHCGFSZSA-N 0 1 287.319 0.881 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)[C@@H](C)NC(=O)[O-] ZINC000738459757 581333148 /nfs/dbraw/zinc/33/31/48/581333148.db2.gz BWISCARFBALNKG-NXEZZACHSA-N 0 1 254.286 0.283 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCC[C@@H](C)NC(=O)[O-] ZINC000738461301 581333767 /nfs/dbraw/zinc/33/37/67/581333767.db2.gz RWCUMVKWGCJLLR-MNOVXSKESA-N 0 1 267.329 0.246 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000783616653 581352992 /nfs/dbraw/zinc/35/29/92/581352992.db2.gz OMRYWRUXVVINLV-UHFFFAOYSA-N 0 1 293.348 0.894 20 30 CCEDMN C#CCNC(=O)CN1CCC([C@H]2CCOC2)CC1 ZINC000784341644 581380847 /nfs/dbraw/zinc/38/08/47/581380847.db2.gz LTLYXYFRFKSLSO-ZDUSSCGKSA-N 0 1 250.342 0.484 20 30 CCEDMN CCc1nc(COC(=O)c2ccnc(C#N)c2)n[nH]1 ZINC000795966788 581428654 /nfs/dbraw/zinc/42/86/54/581428654.db2.gz FWQFSDGKDUVKNV-UHFFFAOYSA-N 0 1 257.253 0.991 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)OCC(=O)NCC#N ZINC000786348638 581438673 /nfs/dbraw/zinc/43/86/73/581438673.db2.gz GKOUZBOJKLNTKA-UHFFFAOYSA-N 0 1 264.285 0.638 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCN(C)[C@@H](C)C1 ZINC000787271403 581463398 /nfs/dbraw/zinc/46/33/98/581463398.db2.gz LXJSLZBEZCKIRN-RYUDHWBXSA-N 0 1 264.373 0.573 20 30 CCEDMN C#CCCN1CCC(NC(=O)CSCC(C)=O)CC1 ZINC000787380988 581469418 /nfs/dbraw/zinc/46/94/18/581469418.db2.gz IWBRLLCFGSOWKP-UHFFFAOYSA-N 0 1 282.409 0.913 20 30 CCEDMN Cc1cc(S(=O)(=O)Nc2cnnn2C)ccc1C#N ZINC000788204764 581485465 /nfs/dbraw/zinc/48/54/65/581485465.db2.gz BJRVFATVAPUHCU-UHFFFAOYSA-N 0 1 277.309 0.796 20 30 CCEDMN S=C(NC[C@H]1CCCO1)NN=C1CCN2CCC[C@H]12 ZINC000765555194 581524985 /nfs/dbraw/zinc/52/49/85/581524985.db2.gz IALHERVFYWLQAE-ZYHUDNBSSA-N 0 1 282.413 0.854 20 30 CCEDMN COCCCNC(=S)NN=C1CCN2CCC[C@@H]12 ZINC000765560836 581525305 /nfs/dbraw/zinc/52/53/05/581525305.db2.gz WSSQNFFAUYTGAL-NSHDSACASA-N 0 1 270.402 0.711 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S[C@H]1CCC(=O)NC1=O ZINC000765829228 581537158 /nfs/dbraw/zinc/53/71/58/581537158.db2.gz OXRZVKJJJIHKCS-LURJTMIESA-N 0 1 268.298 0.067 20 30 CCEDMN N#Cc1ccc(N2CCN(C[C@H](O)C3CC3)CC2)nc1 ZINC000734142357 581544743 /nfs/dbraw/zinc/54/47/43/581544743.db2.gz PIACUOZWVAXOJC-AWEZNQCLSA-N 0 1 272.352 0.846 20 30 CCEDMN C(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1cn2cccnc2n1 ZINC000753934257 581565654 /nfs/dbraw/zinc/56/56/54/581565654.db2.gz HDNODDYYNBJPFV-VXGBXAGGSA-N 0 1 283.339 0.923 20 30 CCEDMN N#CCCNC(=O)CN[C@@H](CCO)c1cccs1 ZINC000754397247 581590203 /nfs/dbraw/zinc/59/02/03/581590203.db2.gz XCBGLWGSOAMBBY-JTQLQIEISA-N 0 1 267.354 0.791 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](CCO)c1cccs1 ZINC000754397257 581590488 /nfs/dbraw/zinc/59/04/88/581590488.db2.gz XGJVANHFLMFQGV-SNVBAGLBSA-N 0 1 297.380 0.773 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)CC1CCOCC1 ZINC000735397256 581643033 /nfs/dbraw/zinc/64/30/33/581643033.db2.gz XBMGLDQFZKZUAF-NSHDSACASA-N 0 1 268.313 0.275 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)NCCCC)CC1 ZINC000735940163 581673198 /nfs/dbraw/zinc/67/31/98/581673198.db2.gz MTLKHZZXKMUMEB-UHFFFAOYSA-N 0 1 280.368 0.791 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)CCCC(=O)[O-] ZINC000736078666 581686296 /nfs/dbraw/zinc/68/62/96/581686296.db2.gz DQUNVQIBRNUGSY-NSHDSACASA-N 0 1 252.314 0.407 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1)C1CC1 ZINC000736204135 581715363 /nfs/dbraw/zinc/71/53/63/581715363.db2.gz YYLRWVQFWPBHGM-HZMBPMFUSA-N 0 1 279.340 0.591 20 30 CCEDMN CCOCC(C)N=Nc1oc(C)nc1-c1nn[nH]n1 ZINC000736609180 581805614 /nfs/dbraw/zinc/80/56/14/581805614.db2.gz OUFWQXPNDLXAAZ-UHFFFAOYSA-N 0 1 265.277 0.987 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CC[C@@H]2NC(=O)NC2=O)c1 ZINC000759717648 581817076 /nfs/dbraw/zinc/81/70/76/581817076.db2.gz KIYQDXLKWZBVGV-QMMMGPOBSA-N 0 1 288.263 0.191 20 30 CCEDMN C#CCCN1CCN(c2cc(C)nc(OC)n2)CC1 ZINC000760505400 581862821 /nfs/dbraw/zinc/86/28/21/581862821.db2.gz WNAXCEBEODKCCP-UHFFFAOYSA-N 0 1 260.341 0.939 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)[C@H](CC)N(CC)CC ZINC000745095944 581865783 /nfs/dbraw/zinc/86/57/83/581865783.db2.gz SCYVNGWRMIWFMN-NEPJUHHUSA-N 0 1 268.357 0.788 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCN(C)[C@H](C)C1 ZINC000761079921 581886449 /nfs/dbraw/zinc/88/64/49/581886449.db2.gz RWINICWINFZRAG-GHMZBOCLSA-N 0 1 268.361 0.023 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@]3(CCOC3)C2=O)CC1 ZINC000761254031 581900081 /nfs/dbraw/zinc/90/00/81/581900081.db2.gz UQZWCXGRWRPVCJ-AWEZNQCLSA-N 0 1 279.340 0.348 20 30 CCEDMN C#CCCNC(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000733366252 581902489 /nfs/dbraw/zinc/90/24/89/581902489.db2.gz ABRJINLNYXVSHK-JTQLQIEISA-N 0 1 284.319 0.821 20 30 CCEDMN CC[N@@H+](CCC(=O)N(C)CC(=O)[O-])C[C@H](C)C#N ZINC000737094607 581902986 /nfs/dbraw/zinc/90/29/86/581902986.db2.gz YWFXBYOBJFFLMV-SNVBAGLBSA-N 0 1 255.318 0.401 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(N2CCCC2=O)c1 ZINC000746513840 581917472 /nfs/dbraw/zinc/91/74/72/581917472.db2.gz LOXPNBXABBEFQA-UHFFFAOYSA-N 0 1 285.347 0.652 20 30 CCEDMN C#CCNC(=O)CN[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000775191190 582013846 /nfs/dbraw/zinc/01/38/46/582013846.db2.gz DZRNOIIFPSKZNE-SNVBAGLBSA-N 0 1 261.281 0.995 20 30 CCEDMN Cc1[nH]nc(C(=O)N(CC#N)CC#N)c1Br ZINC000749604282 582014639 /nfs/dbraw/zinc/01/46/39/582014639.db2.gz RLLBAWXQJUPMFI-UHFFFAOYSA-N 0 1 282.101 0.970 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NCc1cc(CC)[nH]n1 ZINC000775433879 582023931 /nfs/dbraw/zinc/02/39/31/582023931.db2.gz JKUIGUOMLQXXON-JTQLQIEISA-N 0 1 266.345 0.956 20 30 CCEDMN C#C[C@H](N[C@H]1CCN(CC(F)(F)F)C1=O)[C@H]1CCCO1 ZINC000775792750 582038649 /nfs/dbraw/zinc/03/86/49/582038649.db2.gz ADMIRRTXBBRGPB-GARJFASQSA-N 0 1 290.285 0.920 20 30 CCEDMN N#Cc1ccc([O-])c(NC(=O)[C@H]2C[N@H+]3CCN2CCC3)c1 ZINC000764483392 582051190 /nfs/dbraw/zinc/05/11/90/582051190.db2.gz CYNQMWQTSONMAU-CYBMUJFWSA-N 0 1 286.335 0.592 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000738490488 582055562 /nfs/dbraw/zinc/05/55/62/582055562.db2.gz VQIMEULDPINAHN-VXGBXAGGSA-N 0 1 281.356 0.917 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000738490488 582055565 /nfs/dbraw/zinc/05/55/65/582055565.db2.gz VQIMEULDPINAHN-VXGBXAGGSA-N 0 1 281.356 0.917 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[O-])CC1 ZINC000738496183 582056449 /nfs/dbraw/zinc/05/64/49/582056449.db2.gz UCWIVYNZNOCDSV-JTQLQIEISA-N 0 1 255.318 0.363 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[O-])CC1 ZINC000738496183 582056451 /nfs/dbraw/zinc/05/64/51/582056451.db2.gz UCWIVYNZNOCDSV-JTQLQIEISA-N 0 1 255.318 0.363 20 30 CCEDMN C#Cc1ccc(C(=O)Nc2nc(SCCO)n[nH]2)cn1 ZINC000764638310 582060086 /nfs/dbraw/zinc/06/00/86/582060086.db2.gz YDTVUGXUXNIOBA-UHFFFAOYSA-N 0 1 289.320 0.518 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN(CC2CC2)CC1)[C@@H]1CCCO1 ZINC000776225066 582060961 /nfs/dbraw/zinc/06/09/61/582060961.db2.gz OWVKYJDBFYAIDG-CABCVRRESA-N 0 1 291.395 0.904 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)c1n[nH]c(CC)n1)[C@@H]1CCCO1 ZINC000776226351 582061637 /nfs/dbraw/zinc/06/16/37/582061637.db2.gz GVTLBFOWJRADKA-VWYCJHECSA-N 0 1 291.355 0.908 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@@H+](C)CCCNC(=O)[O-] ZINC000738598712 582065047 /nfs/dbraw/zinc/06/50/47/582065047.db2.gz AXYRVTYZQJBJHA-SNVBAGLBSA-N 0 1 270.333 0.336 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1CC[C@@H](C)C1 ZINC000776518196 582075481 /nfs/dbraw/zinc/07/54/81/582075481.db2.gz VDTGRJBGMBSRQF-GMTAPVOTSA-N 0 1 252.314 0.894 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)nc1 ZINC000765109455 582089631 /nfs/dbraw/zinc/08/96/31/582089631.db2.gz LKBBDUMRKPPBHO-LLVKDONJSA-N 0 1 282.307 0.596 20 30 CCEDMN C[C@@H](CNC(=O)[O-])[N@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000738941784 582092221 /nfs/dbraw/zinc/09/22/21/582092221.db2.gz UTTOJIYYQQNPFF-TVQRCGJNSA-N 0 1 282.344 0.383 20 30 CCEDMN C[C@@H](CNC(=O)[O-])[N@@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000738941784 582092224 /nfs/dbraw/zinc/09/22/24/582092224.db2.gz UTTOJIYYQQNPFF-TVQRCGJNSA-N 0 1 282.344 0.383 20 30 CCEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CCNC(=O)CC2)cc1 ZINC000739103983 582102590 /nfs/dbraw/zinc/10/25/90/582102590.db2.gz FBZWIKTZLADIEI-ZDUSSCGKSA-N 0 1 273.292 0.506 20 30 CCEDMN CC[S@](C)(=O)=NS(=O)(=O)NC[C@@H](C)C#N ZINC000866895741 616021429 /nfs/dbraw/zinc/02/14/29/616021429.db2.gz YHGJLFBTUKKXJO-WJWGPLDTSA-N 0 1 253.349 0.098 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000817727796 597083638 /nfs/dbraw/zinc/08/36/38/597083638.db2.gz JWGUESKWPBXKLX-RYUDHWBXSA-N 0 1 281.356 0.934 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@@H]1CCCN1CCOC ZINC000804984702 612984429 /nfs/dbraw/zinc/98/44/29/612984429.db2.gz GXFZEWJLDSCCKW-ZDUSSCGKSA-N 0 1 288.413 0.430 20 30 CCEDMN C#CCOCCN1C[C@H](C)OC2(CCOCC2)C1 ZINC000852000504 612985102 /nfs/dbraw/zinc/98/51/02/612985102.db2.gz VIOFYNKABFBONN-ZDUSSCGKSA-N 0 1 253.342 0.906 20 30 CCEDMN N#Cc1ccc(CNC(=O)C[N@@H+]2CC[C@H](C(=O)[O-])C2)cc1 ZINC000819100202 597531113 /nfs/dbraw/zinc/53/11/13/597531113.db2.gz BUFKAPQBVCMPKO-ZDUSSCGKSA-N 0 1 287.319 0.581 20 30 CCEDMN C#CCCN(CCOC)C[C@@H]1CCCS(=O)(=O)C1 ZINC000852748592 612989166 /nfs/dbraw/zinc/98/91/66/612989166.db2.gz DSSRJYPSKVQYPS-ZDUSSCGKSA-N 0 1 273.398 0.783 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N1CC[N@@H+]2CCCC[C@H]2C1 ZINC000819821027 598225517 /nfs/dbraw/zinc/22/55/17/598225517.db2.gz CBKUHCVQOPLGON-SMDDNHRTSA-N 0 1 279.340 0.688 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N1CC[N@H+]2CCCC[C@H]2C1 ZINC000819821027 598225518 /nfs/dbraw/zinc/22/55/18/598225518.db2.gz CBKUHCVQOPLGON-SMDDNHRTSA-N 0 1 279.340 0.688 20 30 CCEDMN COc1cc(C)cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1O ZINC000834499617 617175072 /nfs/dbraw/zinc/17/50/72/617175072.db2.gz KQBDMTYOGCNHGI-GXSJLCMTSA-N 0 1 275.308 0.551 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@H](C)N(CC)C[C@H]1C ZINC000827572882 613000691 /nfs/dbraw/zinc/00/06/91/613000691.db2.gz BZYHOOSQQQVOKX-YNEHKIRRSA-N 0 1 252.358 0.966 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC1(c2nn[nH]n2)CCCC1 ZINC000826047094 613001182 /nfs/dbraw/zinc/00/11/82/613001182.db2.gz HBYORSSHUNOJAT-SECBINFHSA-N 0 1 263.301 0.124 20 30 CCEDMN C#CC1(F)CN(C(=O)NCCCN2C[C@H](C)O[C@@H](C)C2)C1 ZINC000883094505 612947178 /nfs/dbraw/zinc/94/71/78/612947178.db2.gz FBJQSBYGEKPUQD-STQMWFEESA-N 0 1 297.374 0.852 20 30 CCEDMN C#CCNC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000905150735 612947224 /nfs/dbraw/zinc/94/72/24/612947224.db2.gz OEHIOHWBIXVJES-QWRGUYRKSA-N 0 1 253.371 0.499 20 30 CCEDMN C#CCSCC(=O)N[C@@H](CCSC)c1nn[nH]n1 ZINC000912860656 613013245 /nfs/dbraw/zinc/01/32/45/613013245.db2.gz ZUQMYFBNLYHMRM-QMMMGPOBSA-N 0 1 285.398 0.477 20 30 CCEDMN C#CCSCC(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000897256741 613013014 /nfs/dbraw/zinc/01/30/14/613013014.db2.gz KBTBONMVEAKSPI-QMMMGPOBSA-N 0 1 252.343 0.911 20 30 CCEDMN C#CCSCC(=O)N[C@@H](CCC)c1nn[nH]n1 ZINC000900985585 613013107 /nfs/dbraw/zinc/01/31/07/613013107.db2.gz ZEZYPJUETAJLCE-QMMMGPOBSA-N 0 1 253.331 0.524 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](NC(=O)c2ncn[nH]2)CC1 ZINC000965081700 649928193 /nfs/dbraw/zinc/92/81/93/649928193.db2.gz DYLICGNHAQTFMK-ZJUUUORDSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](NC(=O)c2nc[nH]n2)CC1 ZINC000965081700 649928194 /nfs/dbraw/zinc/92/81/94/649928194.db2.gz DYLICGNHAQTFMK-ZJUUUORDSA-N 0 1 290.327 0.075 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000091132484 601925991 /nfs/dbraw/zinc/92/59/91/601925991.db2.gz DFDIWJJQZLPNPA-UWVGGRQHSA-N 0 1 272.370 0.569 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000251528370 601926387 /nfs/dbraw/zinc/92/63/87/601926387.db2.gz DFDIWJJQZLPNPA-VHSXEESVSA-N 0 1 272.370 0.569 20 30 CCEDMN C#CCSCCNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000154785250 613017484 /nfs/dbraw/zinc/01/74/84/613017484.db2.gz XRMWRXZFMGVARO-CYBMUJFWSA-N 0 1 298.456 0.288 20 30 CCEDMN COc1cc(C=NN(C)C[C@@H](C)O)cc([N+](=O)[O-])c1O ZINC000841656373 617179149 /nfs/dbraw/zinc/17/91/49/617179149.db2.gz RGXUNUVWMKTLHI-MRVPVSSYSA-N 0 1 283.284 0.956 20 30 CCEDMN C#CCNC(=O)[C@H](C)N[C@@H]1C(=O)NCC1(CC)CC ZINC000933292164 612950485 /nfs/dbraw/zinc/95/04/85/612950485.db2.gz DMNFMFANKYMDNQ-WDEREUQCSA-N 0 1 265.357 0.019 20 30 CCEDMN C[C@@H]1CCN(CCO)C[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000965864260 649938584 /nfs/dbraw/zinc/93/85/84/649938584.db2.gz STBACPYOAZOBRE-ZWNOBZJWSA-N 0 1 276.340 0.319 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)[O-])C1CC1 ZINC000823648762 604068763 /nfs/dbraw/zinc/06/87/63/604068763.db2.gz WTSVAVDRDAQBQW-FZMZJTMJSA-N 0 1 294.355 0.527 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@H]1CNC(=O)[O-])C1CC1 ZINC000823648762 604068767 /nfs/dbraw/zinc/06/87/67/604068767.db2.gz WTSVAVDRDAQBQW-FZMZJTMJSA-N 0 1 294.355 0.527 20 30 CCEDMN C#CC1(O)CCN([C@@H](C)C(=O)NCCCOCC)CC1 ZINC000931144806 612952829 /nfs/dbraw/zinc/95/28/29/612952829.db2.gz FXMCHIIQWWXMIU-ZDUSSCGKSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CN1CCCC1=O)c1ccccc1 ZINC000912364172 612956763 /nfs/dbraw/zinc/95/67/63/612956763.db2.gz GELDWRLFTWBNMV-HNNXBMFYSA-N 0 1 299.374 0.689 20 30 CCEDMN Cc1cccnc1Cn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000826308656 609174698 /nfs/dbraw/zinc/17/46/98/609174698.db2.gz JRSNCVGBPNHWFD-UHFFFAOYSA-N 0 1 281.283 0.269 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(OC)ns2)C1 ZINC000971556282 613094520 /nfs/dbraw/zinc/09/45/20/613094520.db2.gz OVIAGNOSBFKISX-JTQLQIEISA-N 0 1 279.365 0.931 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)CC1(F)CC1 ZINC000922027013 613098074 /nfs/dbraw/zinc/09/80/74/613098074.db2.gz XOTNWRKJMMEKBH-UHFFFAOYSA-N 0 1 288.388 0.848 20 30 CCEDMN C#CCN(CC(=O)NC(=O)N[C@H](C)COC)C(C)(C)C ZINC000932467029 613102820 /nfs/dbraw/zinc/10/28/20/613102820.db2.gz BTWYJCWVJSLJDO-LLVKDONJSA-N 0 1 283.372 0.581 20 30 CCEDMN C[C@@]1(C#N)CCCN(c2nccnc2-c2nn[nH]n2)C1 ZINC000824777435 609600447 /nfs/dbraw/zinc/60/04/47/609600447.db2.gz IQTVQARFYBOCHR-LBPRGKRZSA-N 0 1 270.300 0.787 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(C)[C@H](C)CS(=O)(=O)CC ZINC000876663092 613387289 /nfs/dbraw/zinc/38/72/89/613387289.db2.gz WOYLKJWVHRMBEO-NXEZZACHSA-N 0 1 296.820 0.738 20 30 CCEDMN COc1cc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)cc(OC)c1 ZINC000841006148 617204411 /nfs/dbraw/zinc/20/44/11/617204411.db2.gz CAGRFMQQUWQFPW-TVQRCGJNSA-N 0 1 290.323 0.937 20 30 CCEDMN COc1cc(OC)cc(C(=O)NCC#CCN(C)C)c1 ZINC000913514698 617211301 /nfs/dbraw/zinc/21/13/01/617211301.db2.gz ZDPLGAWXBDMBJF-UHFFFAOYSA-N 0 1 276.336 0.999 20 30 CCEDMN CC(C)[C@H](C(=O)OCCn1ncc(C#N)c1N)N(C)C ZINC000798825948 614194518 /nfs/dbraw/zinc/19/45/18/614194518.db2.gz NCXQCCTVXZPNQU-LLVKDONJSA-N 0 1 279.344 0.466 20 30 CCEDMN COc1ccc(CC(=O)N[C@H]2CNC[C@H]2C#N)cc1OC ZINC000834511505 617242170 /nfs/dbraw/zinc/24/21/70/617242170.db2.gz LSFNYWMZXJDGIB-NEPJUHHUSA-N 0 1 289.335 0.474 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)C#CC(C)C)n1 ZINC000924259634 617258205 /nfs/dbraw/zinc/25/82/05/617258205.db2.gz HSRANAFCXLGLTI-UHFFFAOYSA-N 0 1 252.343 0.943 20 30 CCEDMN CSCc1nnc(CNC(=O)C#CC(C)C)[nH]1 ZINC000924259634 617258206 /nfs/dbraw/zinc/25/82/06/617258206.db2.gz HSRANAFCXLGLTI-UHFFFAOYSA-N 0 1 252.343 0.943 20 30 CCEDMN COc1ccc(O)cc1C(=O)NCC#CCN(C)C ZINC000913521158 617274586 /nfs/dbraw/zinc/27/45/86/617274586.db2.gz NAQMSOAPZHCOCU-UHFFFAOYSA-N 0 1 262.309 0.696 20 30 CCEDMN CSc1cccnc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000846676835 617281419 /nfs/dbraw/zinc/28/14/19/617281419.db2.gz IFMFMNBDUJXTAL-BDAKNGLRSA-N 0 1 262.338 0.645 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000951872634 617290024 /nfs/dbraw/zinc/29/00/24/617290024.db2.gz NDPDBJBNZCGVGP-QWRGUYRKSA-N 0 1 289.339 0.219 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC000960498772 617290165 /nfs/dbraw/zinc/29/01/65/617290165.db2.gz DCQBICRQAXLVIJ-UWVGGRQHSA-N 0 1 292.343 0.093 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC000960498772 617290166 /nfs/dbraw/zinc/29/01/66/617290166.db2.gz DCQBICRQAXLVIJ-UWVGGRQHSA-N 0 1 292.343 0.093 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1COC(=O)N1 ZINC000960265067 612971447 /nfs/dbraw/zinc/97/14/47/612971447.db2.gz PQFVDXAOKQZCNO-MGPQQGTHSA-N 0 1 299.330 0.100 20 30 CCEDMN C#CC[NH2+][C@H]1CCC[C@H](NC(=O)c2[n-]nnc2C)C1 ZINC000981263311 612973474 /nfs/dbraw/zinc/97/34/74/612973474.db2.gz NYVVVKSHAIHKPZ-QWRGUYRKSA-N 0 1 261.329 0.377 20 30 CCEDMN C#CCC1(O)CCN(CCn2cc([N+](=O)[O-])cn2)CC1 ZINC000880480034 612973642 /nfs/dbraw/zinc/97/36/42/612973642.db2.gz HHZIUVBNNJIIGW-UHFFFAOYSA-N 0 1 278.312 0.642 20 30 CCEDMN C#CCCCNC(=O)C(=O)N1CCCN(CC)CC1 ZINC000806910262 612979159 /nfs/dbraw/zinc/97/91/59/612979159.db2.gz TWQHCCKTGDAGSB-UHFFFAOYSA-N 0 1 265.357 0.070 20 30 CCEDMN C#CCCN(CCOC)[C@H]1CCN(C2CCOCC2)C1=O ZINC000852751946 612989993 /nfs/dbraw/zinc/98/99/93/612989993.db2.gz KCNPRFAALOAMNP-HNNXBMFYSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H]1CC(=O)N(C[C@@H]2CCC[N@H+]2CC)C1 ZINC000812786749 612992277 /nfs/dbraw/zinc/99/22/77/612992277.db2.gz UKBRQMSXJFCEHU-OLZOCXBDSA-N 0 1 293.367 0.000 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H]1CC(=O)N(C[C@@H]2CCC[N@@H+]2CC)C1 ZINC000812786749 612992278 /nfs/dbraw/zinc/99/22/78/612992278.db2.gz UKBRQMSXJFCEHU-OLZOCXBDSA-N 0 1 293.367 0.000 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000824828953 612994372 /nfs/dbraw/zinc/99/43/72/612994372.db2.gz DUZASSDWBDYIEK-JTQLQIEISA-N 0 1 262.313 0.549 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@]2(C)CCOC2)CC1 ZINC000982870795 613004658 /nfs/dbraw/zinc/00/46/58/613004658.db2.gz GWQJDSNMLYMJJQ-HNNXBMFYSA-N 0 1 264.369 0.971 20 30 CCEDMN C#CCOc1ccc(C(=O)NCC#CCN(C)C)cc1 ZINC000913519388 613005082 /nfs/dbraw/zinc/00/50/82/613005082.db2.gz YACTYNQVDALWHU-UHFFFAOYSA-N 0 1 270.332 0.993 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccnc3ccnn32)CC1 ZINC000981315636 613006317 /nfs/dbraw/zinc/00/63/17/613006317.db2.gz MBAQWKGYOFFMSU-UHFFFAOYSA-N 0 1 297.362 0.901 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cn(CC=C)nn2)CC1 ZINC000982113164 613006341 /nfs/dbraw/zinc/00/63/41/613006341.db2.gz FPZGSSLMJTYELH-UHFFFAOYSA-N 0 1 287.367 0.635 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cncnc2)CC1 ZINC000981031939 613006502 /nfs/dbraw/zinc/00/65/02/613006502.db2.gz VMVWQIPGLCDRSN-UHFFFAOYSA-N 0 1 258.325 0.648 20 30 CCEDMN C#CCCN1CCN(C[C@H](O)C(F)(F)F)CC1 ZINC000121843139 613011425 /nfs/dbraw/zinc/01/14/25/613011425.db2.gz VFFUTXYFSOLOTJ-JTQLQIEISA-N 0 1 250.264 0.551 20 30 CCEDMN COc1cccc2c1CC[C@@]2(CO)NC[C@H](O)CC#N ZINC000931694930 617311610 /nfs/dbraw/zinc/31/16/10/617311610.db2.gz ODEPIDYAQOILIX-ABAIWWIYSA-N 0 1 276.336 0.693 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)[C@]1(F)CC[N@H+](C(C)(C)C)C1 ZINC000854540857 613028612 /nfs/dbraw/zinc/02/86/12/613028612.db2.gz CHDUAWHBMCIGMQ-FZMZJTMJSA-N 0 1 270.348 0.699 20 30 CCEDMN C#CC[C@@H](CO)NCc1cccc(C(=O)OCC)n1 ZINC000895489707 613030436 /nfs/dbraw/zinc/03/04/36/613030436.db2.gz HBGWULMOSMWPBV-LBPRGKRZSA-N 0 1 262.309 0.732 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCc1n[nH]c(C(C)C)n1 ZINC000875630512 613035655 /nfs/dbraw/zinc/03/56/55/613035655.db2.gz HERKNROJLIEKEE-JTQLQIEISA-N 0 1 279.344 0.766 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)[C@H](C)CN1CCOCC1 ZINC000863802611 613036970 /nfs/dbraw/zinc/03/69/70/613036970.db2.gz MNORYRJJXPQZGQ-OLZOCXBDSA-N 0 1 268.357 0.109 20 30 CCEDMN C#CC[C@H](CO)NC(=O)CCSc1nnc(C)[nH]1 ZINC000854545341 613053373 /nfs/dbraw/zinc/05/33/73/613053373.db2.gz GMXGSAUBZBFZOP-SECBINFHSA-N 0 1 268.342 0.096 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000812556025 613057434 /nfs/dbraw/zinc/05/74/34/613057434.db2.gz PEMKATMBMIIFIE-GHMZBOCLSA-N 0 1 276.340 0.341 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)NC[C@@H]1CCN1CC ZINC000890062597 613061315 /nfs/dbraw/zinc/06/13/15/613061315.db2.gz XWHJAVRNTYTBMD-RYUDHWBXSA-N 0 1 253.346 0.154 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)NC[C@H]1CC[N@@H+]1C(C)(C)C ZINC000854248235 613061392 /nfs/dbraw/zinc/06/13/92/613061392.db2.gz RUIXZMGWHHEKJZ-OLZOCXBDSA-N 0 1 281.400 0.933 20 30 CCEDMN COc1ccccc1CC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834506846 617314164 /nfs/dbraw/zinc/31/41/64/617314164.db2.gz WLUADJDVQTZMLE-RYUDHWBXSA-N 0 1 259.309 0.465 20 30 CCEDMN C#CCN(C(=O)[C@H]1[C@@H]2C(=O)CC[C@H]12)C1CCN(C)CC1 ZINC000886572583 613068917 /nfs/dbraw/zinc/06/89/17/613068917.db2.gz RRRACRQXBNXNBT-AEGPPILISA-N 0 1 274.364 0.768 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)C1(C(=O)[O-])CCCC1 ZINC000911404992 613072488 /nfs/dbraw/zinc/07/24/88/613072488.db2.gz MUKWTRARAHZPDD-UHFFFAOYSA-N 0 1 266.341 0.703 20 30 CCEDMN C#CCN(C)CCCNC(=O)N1CC(=O)CC[C@@H]1C ZINC000922630964 613073679 /nfs/dbraw/zinc/07/36/79/613073679.db2.gz UDGGOMKJRRUHSV-LBPRGKRZSA-N 0 1 265.357 0.705 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@@H]2CCCCN2C)cn1 ZINC000822493850 613077165 /nfs/dbraw/zinc/07/71/65/613077165.db2.gz BRBFSEUFZCEQQM-ZDUSSCGKSA-N 0 1 260.341 0.617 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC000972697624 613079951 /nfs/dbraw/zinc/07/99/51/613079951.db2.gz PTFIBLXKAKRTHL-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cn(C)nc2Cl)C1 ZINC000972325284 613081833 /nfs/dbraw/zinc/08/18/33/613081833.db2.gz YEQMHERMYZTMGL-GFCCVEGCSA-N 0 1 294.786 0.782 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC000972299351 613082274 /nfs/dbraw/zinc/08/22/74/613082274.db2.gz FMMFJTNPPGPLGJ-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC000971992183 613082743 /nfs/dbraw/zinc/08/27/43/613082743.db2.gz VFUKXYNODOGGKQ-MGPQQGTHSA-N 0 1 286.379 0.690 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)c2cncnc2)C1 ZINC000972166732 613083293 /nfs/dbraw/zinc/08/32/93/613083293.db2.gz VXMRNXHFZXVVCU-TZMCWYRMSA-N 0 1 272.352 0.746 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NCCN1CCC(O)CC1 ZINC000894935771 613083869 /nfs/dbraw/zinc/08/38/69/613083869.db2.gz BBEHAZAGJYIPPF-NSHDSACASA-N 0 1 253.346 0.154 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnc3c2CC(=O)N3)C1 ZINC000971862607 613087130 /nfs/dbraw/zinc/08/71/30/613087130.db2.gz AVAHEIZGAKJIBY-LLVKDONJSA-N 0 1 298.346 0.356 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c[nH]c(=O)cc2OC)C1 ZINC000972357842 613087544 /nfs/dbraw/zinc/08/75/44/613087544.db2.gz IPNZIOHAXBWQQS-LLVKDONJSA-N 0 1 289.335 0.575 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cncc(OC)n2)C1 ZINC000972486761 613087922 /nfs/dbraw/zinc/08/79/22/613087922.db2.gz KGORVXQFKMZHOV-LLVKDONJSA-N 0 1 274.324 0.265 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971393544 613088431 /nfs/dbraw/zinc/08/84/31/613088431.db2.gz JSKZXCPMTYWBEI-LLVKDONJSA-N 0 1 259.309 0.567 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cnn(CC)c2)C1 ZINC000972705013 613091092 /nfs/dbraw/zinc/09/10/92/613091092.db2.gz XYDZRQMUDOMDJN-AWEZNQCLSA-N 0 1 274.368 0.611 20 30 CCEDMN C#C[C@@H](NC(=O)C(C)(C)c1cnc[nH]1)[C@H]1CCCO1 ZINC000857182440 613091365 /nfs/dbraw/zinc/09/13/65/613091365.db2.gz WUUCVUOFROQQBZ-GHMZBOCLSA-N 0 1 261.325 0.984 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN(C)C(C)(C)C1)[C@H]1CCCO1 ZINC000883580964 613094083 /nfs/dbraw/zinc/09/40/83/613094083.db2.gz NJIPLOYBMCWUBA-CHWSQXEVSA-N 0 1 279.384 0.903 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN[C@H](C)C1)[C@@H]1CCCO1 ZINC000841879752 613094225 /nfs/dbraw/zinc/09/42/25/613094225.db2.gz RJOFYHGGHXTSHM-UTUOFQBUSA-N 0 1 251.330 0.171 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc3n(n2)CCO3)C1 ZINC000971690705 613094607 /nfs/dbraw/zinc/09/46/07/613094607.db2.gz VCSVCFCZNULODB-NSHDSACASA-N 0 1 274.324 0.055 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CCC1)C1CCOCC1 ZINC000823178666 613095548 /nfs/dbraw/zinc/09/55/48/613095548.db2.gz UELHHNXUDWEMLK-CYBMUJFWSA-N 0 1 265.357 0.420 20 30 CCEDMN C#C[C@@H](NC(=O)[C@@H](C)CN1CCOCC1)C1CCOCC1 ZINC000856106610 613097849 /nfs/dbraw/zinc/09/78/49/613097849.db2.gz WONSHPALZBWXNI-DZGCQCFKSA-N 0 1 294.395 0.499 20 30 CCEDMN C#CCN(CC#CC)C(=O)NCCCc1nc[nH]n1 ZINC000923142173 613099806 /nfs/dbraw/zinc/09/98/06/613099806.db2.gz MYGKEYUBNUUALR-UHFFFAOYSA-N 0 1 259.313 0.405 20 30 CCEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)N2CCC[C@@H](C(N)=O)C2)C1 ZINC000847022135 613107015 /nfs/dbraw/zinc/10/70/15/613107015.db2.gz FRBPMOLJIIVRGH-BFHYXJOUSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC([C@H]3CCOC3)CC2)C1=O ZINC000821772060 613109664 /nfs/dbraw/zinc/10/96/64/613109664.db2.gz WVYMZQCUONKXGO-UONOGXRCSA-N 0 1 290.363 0.496 20 30 CCEDMN COc1ccccc1OCCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000843462699 617316723 /nfs/dbraw/zinc/31/67/23/617316723.db2.gz RWVBKHKVAPBQSY-VXGBXAGGSA-N 0 1 289.335 0.692 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000820413049 613115981 /nfs/dbraw/zinc/11/59/81/613115981.db2.gz SWQZHQDNGHYJMU-NSHDSACASA-N 0 1 253.346 0.106 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000854078143 613119996 /nfs/dbraw/zinc/11/99/96/613119996.db2.gz HZTDYSBSAMKDDE-VXGBXAGGSA-N 0 1 281.356 0.144 20 30 CCEDMN C#C[C@H](CC)NC(=O)NCc1n[nH]c(COC)n1 ZINC000854080466 613121173 /nfs/dbraw/zinc/12/11/73/613121173.db2.gz SGLUAXIFAHHGPN-MRVPVSSYSA-N 0 1 251.290 0.162 20 30 CCEDMN C#C[C@H](CC)NC(=O)NCc1nnc(COC)[nH]1 ZINC000854080466 613121175 /nfs/dbraw/zinc/12/11/75/613121175.db2.gz SGLUAXIFAHHGPN-MRVPVSSYSA-N 0 1 251.290 0.162 20 30 CCEDMN C#C[C@H](CO)NC(=O)CSc1n[nH]c(=S)s1 ZINC000854772895 613125178 /nfs/dbraw/zinc/12/51/78/613125178.db2.gz BWEVTTUYOKRXFS-RXMQYKEDSA-N 0 1 275.380 0.029 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H]3[C@H](C(=O)OC)[C@H]3C2)CC1 ZINC000816967722 613130055 /nfs/dbraw/zinc/13/00/55/613130055.db2.gz UCOXUMMPVHCHAM-WDNDVIMCSA-N 0 1 290.363 0.209 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2nnn(C(C)C)n2)CC1 ZINC000913489268 613131852 /nfs/dbraw/zinc/13/18/52/613131852.db2.gz YGDQPZVAXJGCCI-UHFFFAOYSA-N 0 1 276.344 0.538 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H]2C[C@@H]3COC[C@H]3O2)CC1 ZINC000909008330 613131881 /nfs/dbraw/zinc/13/18/81/613131881.db2.gz RPMLXMAFFDKCOZ-QLFBSQMISA-N 0 1 293.363 0.679 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)N2CCC(C)CC2)CC1 ZINC000928654311 613132397 /nfs/dbraw/zinc/13/23/97/613132397.db2.gz WJWHPDWGRZKUKA-UHFFFAOYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)COC2CCOCC2)CC1 ZINC000928654756 613132767 /nfs/dbraw/zinc/13/27/67/613132767.db2.gz BYBXPAAXOWTPDY-UHFFFAOYSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCN1CCC(NC(=O)CN2CCSC2=O)CC1 ZINC000928658782 613132785 /nfs/dbraw/zinc/13/27/85/613132785.db2.gz RBHFJTJTAMNBQD-UHFFFAOYSA-N 0 1 281.381 0.369 20 30 CCEDMN C#CCN1CCC(NC(=O)CC2(O)CCC2)CC1 ZINC000928650653 613132870 /nfs/dbraw/zinc/13/28/70/613132870.db2.gz FSLATRMFPAYICN-UHFFFAOYSA-N 0 1 250.342 0.505 20 30 CCEDMN C#CCN1CCC(NC(=O)CSc2nncs2)CC1 ZINC000928657737 613133234 /nfs/dbraw/zinc/13/32/34/613133234.db2.gz WNXHOMRDVZSRJE-UHFFFAOYSA-N 0 1 296.421 0.844 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H]2CCOC2)CC1 ZINC000928655356 613133237 /nfs/dbraw/zinc/13/32/37/613133237.db2.gz PXUSUBWWVUWPLR-LBPRGKRZSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCC(=O)NC(C)C)CC1 ZINC000914002863 613134025 /nfs/dbraw/zinc/13/40/25/613134025.db2.gz VUJPEETWMOJYHE-UHFFFAOYSA-N 0 1 294.399 0.298 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CC(=O)N(CC)C2)CC1 ZINC000922725033 613134982 /nfs/dbraw/zinc/13/49/82/613134982.db2.gz WPHVRDWUBBREAD-CYBMUJFWSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2C[C@@H](COC)C2)CC1 ZINC000924014582 613135022 /nfs/dbraw/zinc/13/50/22/613135022.db2.gz XAVOZCDIXTTYHM-XBXGTLAGSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2nnc(OC)s2)CC1 ZINC000931033547 613135290 /nfs/dbraw/zinc/13/52/90/613135290.db2.gz ZMZAAGGWEVCGHH-UHFFFAOYSA-N 0 1 295.368 0.766 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ccnc3ccnn32)CC1 ZINC000934981132 613135517 /nfs/dbraw/zinc/13/55/17/613135517.db2.gz WHVYQXNJCSVOAT-UHFFFAOYSA-N 0 1 298.350 0.948 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCOC2)CC1 ZINC000921618371 613135520 /nfs/dbraw/zinc/13/55/20/613135520.db2.gz MHQZTXKVROCKSY-LBPRGKRZSA-N 0 1 251.330 0.172 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)NC(=O)C(C)(C)C)CC1 ZINC000928659489 613135797 /nfs/dbraw/zinc/13/57/97/613135797.db2.gz OJJSHJTXKSQEHD-GFCCVEGCSA-N 0 1 293.411 0.751 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C)C(C)(C)C1)[C@@H]1CCCO1 ZINC000883580967 613136296 /nfs/dbraw/zinc/13/62/96/613136296.db2.gz NJIPLOYBMCWUBA-STQMWFEESA-N 0 1 279.384 0.903 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)n2cccn2)CC1 ZINC000928656720 613136288 /nfs/dbraw/zinc/13/62/88/613136288.db2.gz CGGKUWNMOHIUMG-LBPRGKRZSA-N 0 1 260.341 0.658 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCCCO2)CC1 ZINC000928654474 613136770 /nfs/dbraw/zinc/13/67/70/613136770.db2.gz DBFCROMXJFEVIG-CYBMUJFWSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(OC)no2)CC1 ZINC000928654034 613137004 /nfs/dbraw/zinc/13/70/04/613137004.db2.gz KSOJJPGCIKXPDY-UHFFFAOYSA-N 0 1 263.297 0.511 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(CCC=C)nn2)CC1 ZINC000928649700 613137565 /nfs/dbraw/zinc/13/75/65/613137565.db2.gz QDXPLSKEQJMUSH-UHFFFAOYSA-N 0 1 287.367 0.682 20 30 CCEDMN C#CCN1CCC(NC(=S)NC2CCOCC2)CC1 ZINC000905656867 613138333 /nfs/dbraw/zinc/13/83/33/613138333.db2.gz BRUMAVIRMTVZLD-UHFFFAOYSA-N 0 1 281.425 0.727 20 30 CCEDMN C#C[C@H](NC(=O)NCc1n[nH]c(C)n1)C1CCOCC1 ZINC000864266295 613141819 /nfs/dbraw/zinc/14/18/19/613141819.db2.gz HMMMHAFCFMPMFH-NSHDSACASA-N 0 1 277.328 0.341 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CCCO3)C2)C1 ZINC000981606203 613142222 /nfs/dbraw/zinc/14/22/22/613142222.db2.gz AGWGOMFJTXOKRT-ZDUSSCGKSA-N 0 1 262.353 0.723 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cccc(=O)n3C)C2)C1 ZINC000982002248 613143904 /nfs/dbraw/zinc/14/39/04/613143904.db2.gz NMIFWKAZZAIJDD-UHFFFAOYSA-N 0 1 299.374 0.557 20 30 CCEDMN C#C[C@H](NS(=O)(=O)N=[S@](C)(=O)CC)[C@@H]1CCCO1 ZINC000867124519 613152077 /nfs/dbraw/zinc/15/20/77/613152077.db2.gz CJBMKWPNKWUFPT-FJHFEUQMSA-N 0 1 294.398 0.119 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)[C@H](C)CN2CCOCC2)C1 ZINC000848280652 613158325 /nfs/dbraw/zinc/15/83/25/613158325.db2.gz KJGUJXQJJXGNIK-KGLIPLIRSA-N 0 1 264.369 0.827 20 30 CCEDMN C#C[C@](C)(CC)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000867322402 613164495 /nfs/dbraw/zinc/16/44/95/613164495.db2.gz OCUZKJZSJDAKMR-LLVKDONJSA-N 0 1 286.309 0.541 20 30 CCEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000852098753 613165036 /nfs/dbraw/zinc/16/50/36/613165036.db2.gz VBZXYNQKPKMWGS-GMXVVIOVSA-N 0 1 286.397 0.070 20 30 CCEDMN C#CCN1CCC[C@H](Nc2ccnc(C(N)=O)c2)C1 ZINC000884612919 613180682 /nfs/dbraw/zinc/18/06/82/613180682.db2.gz XUCSIVOJXXNASP-LBPRGKRZSA-N 0 1 258.325 0.112 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)C2CN(CCO)C2)cn1 ZINC000970765930 613183377 /nfs/dbraw/zinc/18/33/77/613183377.db2.gz HOMPZENSZIUGKV-NSHDSACASA-N 0 1 273.336 0.105 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)C2CN(CCOC)C2)cn1 ZINC000970753500 613188274 /nfs/dbraw/zinc/18/82/74/613188274.db2.gz KBCRCQKPFCEBOL-GFCCVEGCSA-N 0 1 287.363 0.759 20 30 CCEDMN C#CCN1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC000132454983 613208678 /nfs/dbraw/zinc/20/86/78/613208678.db2.gz AMOSKIURZMOAEZ-UHFFFAOYSA-N 0 1 295.346 0.863 20 30 CCEDMN C#Cc1cnc(N2C[C@@H](C)N(CCO)C[C@@H]2C)nc1 ZINC000842046549 613210370 /nfs/dbraw/zinc/21/03/70/613210370.db2.gz ZKTHYILPWXXKRE-NEPJUHHUSA-N 0 1 260.341 0.349 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000965940184 613217378 /nfs/dbraw/zinc/21/73/78/613217378.db2.gz CRQRNSYJXKVTNN-NEPJUHHUSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000965940184 613217380 /nfs/dbraw/zinc/21/73/80/613217380.db2.gz CRQRNSYJXKVTNN-NEPJUHHUSA-N 0 1 273.336 0.861 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)OC)c1 ZINC000807164935 613219358 /nfs/dbraw/zinc/21/93/58/613219358.db2.gz CUCBIEILZDGMEA-ZDUSSCGKSA-N 0 1 298.302 0.300 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966896364 613221516 /nfs/dbraw/zinc/22/15/16/613221516.db2.gz PXUITAPMHVVEFV-ZYHUDNBSSA-N 0 1 274.324 0.256 20 30 CCEDMN C(N=NC1=NCCN1)C1(CN2CCOCC2)CCCCC1 ZINC000841659590 613223180 /nfs/dbraw/zinc/22/31/80/613223180.db2.gz ANCLRNABEKPQCW-UHFFFAOYSA-N 0 1 293.415 0.804 20 30 CCEDMN c1c(CN=Nc2ccncn2)nnn1C[C@H]1CCOC1 ZINC000872380295 613224123 /nfs/dbraw/zinc/22/41/23/613224123.db2.gz FRXJTACDSKMZAM-SNVBAGLBSA-N 0 1 273.300 0.551 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C(N)=O)o2)C[C@@H]1C ZINC000947529733 613235191 /nfs/dbraw/zinc/23/51/91/613235191.db2.gz UOBBRZCBNNBKEM-WDEREUQCSA-N 0 1 289.335 0.594 20 30 CCEDMN C=C(Br)CNC[C@H](O)CN(C)CCC#N ZINC000818979398 613238489 /nfs/dbraw/zinc/23/84/89/613238489.db2.gz LINRSUQREJKJMU-JTQLQIEISA-N 0 1 276.178 0.691 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cccnn2)[C@@H](C)C1 ZINC000943743567 613238741 /nfs/dbraw/zinc/23/87/41/613238741.db2.gz BBUVIEVTCGYHDA-NWDGAFQWSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2N)C[C@H]1C ZINC000947226171 613241901 /nfs/dbraw/zinc/24/19/01/613241901.db2.gz NEBULKRODKDQMR-GHMZBOCLSA-N 0 1 275.356 0.218 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3ccncc23)[C@H](C)C1 ZINC000942129686 613242022 /nfs/dbraw/zinc/24/20/22/613242022.db2.gz KOIYTXKCLYTRKC-TZMCWYRMSA-N 0 1 297.362 0.803 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000941451677 613242050 /nfs/dbraw/zinc/24/20/50/613242050.db2.gz NYVRDSSUFNBHNO-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnnn2CC)C[C@@H]1C ZINC000947260991 613242509 /nfs/dbraw/zinc/24/25/09/613242509.db2.gz BRJOROVEBZXWSF-NWDGAFQWSA-N 0 1 275.356 0.514 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnns2)[C@@H](C)C1 ZINC000941853631 613242849 /nfs/dbraw/zinc/24/28/49/613242849.db2.gz ICUVAHAPPTYTKE-VHSXEESVSA-N 0 1 264.354 0.612 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncccn2)C[C@H]1C ZINC000947845920 613244120 /nfs/dbraw/zinc/24/41/20/613244120.db2.gz SAELZAQYSWOXBL-VXGBXAGGSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000670 613247065 /nfs/dbraw/zinc/24/70/65/613247065.db2.gz QRUMWVLHNMPBOU-JQWIXIFHSA-N 0 1 275.356 0.115 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC000966839036 613247208 /nfs/dbraw/zinc/24/72/08/613247208.db2.gz CNRHYXRSJFAZDF-JSGCOSHPSA-N 0 1 288.395 0.974 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965521993 613247211 /nfs/dbraw/zinc/24/72/11/613247211.db2.gz GLUGWUQGTHEWHC-JSGCOSHPSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000941093094 613259500 /nfs/dbraw/zinc/25/95/00/613259500.db2.gz JDALGBQDDUUCNV-OLZOCXBDSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)N2CCOCC2)CC1 ZINC000957950795 613259946 /nfs/dbraw/zinc/25/99/46/613259946.db2.gz IQZFHZLRWZSMAA-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2cncn2)C(C)(C)C1 ZINC000941204308 613261861 /nfs/dbraw/zinc/26/18/61/613261861.db2.gz IBOOTXRNYRYNBS-LBPRGKRZSA-N 0 1 275.356 0.128 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]nc2C)[C@@H](C)C1 ZINC000942546200 613265061 /nfs/dbraw/zinc/26/50/61/613265061.db2.gz RHLQGLSJDULLMZ-GWCFXTLKSA-N 0 1 260.341 0.792 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)C[C@H]1C ZINC000947370492 613270079 /nfs/dbraw/zinc/27/00/79/613270079.db2.gz UNLINMWVGBDTEV-MNOVXSKESA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2C)C[C@@H]1C ZINC000947061242 613275144 /nfs/dbraw/zinc/27/51/44/613275144.db2.gz NOYHHCWRHLVZFA-AAEUAGOBSA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncccn2)C[C@H]1C ZINC000947845917 613278059 /nfs/dbraw/zinc/27/80/59/613278059.db2.gz SAELZAQYSWOXBL-NEPJUHHUSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nn(CC)nc2C)C[C@H]1C ZINC000948100102 613278733 /nfs/dbraw/zinc/27/87/33/613278733.db2.gz PFVMMLJIPUWZCJ-YPMHNXCESA-N 0 1 289.383 0.822 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C(C)(C)C1 ZINC000977628718 613281717 /nfs/dbraw/zinc/28/17/17/613281717.db2.gz NVHDHTNYRLBWPG-CHWSQXEVSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c[nH]cc2C2CC2)C1 ZINC000958550605 613285624 /nfs/dbraw/zinc/28/56/24/613285624.db2.gz CTYUHLISYOJQHY-SWLSCSKDSA-N 0 1 287.363 0.548 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccn(C)c2CC)C1 ZINC000958407845 613286885 /nfs/dbraw/zinc/28/68/85/613286885.db2.gz AAHVEVGSAQWYKC-SWLSCSKDSA-N 0 1 289.379 0.243 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C3CC3)cn2)[C@H](O)C1 ZINC000958631942 613289021 /nfs/dbraw/zinc/28/90/21/613289021.db2.gz ZOKSBVPPAFVAQT-GDBMZVCRSA-N 0 1 299.374 0.615 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(CCC)on2)[C@H](O)C1 ZINC000958551403 613289127 /nfs/dbraw/zinc/28/91/27/613289127.db2.gz KWBOSJNZLHZZKE-BXUZGUMPSA-N 0 1 291.351 0.283 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc3[nH]ccc32)[C@H](O)C1 ZINC000958418852 613289479 /nfs/dbraw/zinc/28/94/79/613289479.db2.gz WFRRYYSLFXAUNY-MLGOLLRUSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccccc2CC)[C@H](O)C1 ZINC000958349193 613289546 /nfs/dbraw/zinc/28/95/46/613289546.db2.gz GGTRFZLKYCPBLM-GDBMZVCRSA-N 0 1 286.375 0.905 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccnc2C2CC2)[C@H](O)C1 ZINC000958214916 613289754 /nfs/dbraw/zinc/28/97/54/613289754.db2.gz OLBOTVOYVGLUOW-UKRRQHHQSA-N 0 1 299.374 0.615 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cnoc2C2CC2)[C@H](O)C1 ZINC000958555949 613290091 /nfs/dbraw/zinc/29/00/91/613290091.db2.gz OXRRNCBYDSOUNZ-DGCLKSJQSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2sc(C)nc2C)[C@H](O)C1 ZINC000958658239 613290675 /nfs/dbraw/zinc/29/06/75/613290675.db2.gz WWCSAGYRFZUPPJ-VXGBXAGGSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2CC[C@H](NC(=O)c3[n-]nnc3C)C[C@H]2C1 ZINC000980151076 613292019 /nfs/dbraw/zinc/29/20/19/613292019.db2.gz FBCTZJFVXMUZPM-AVGNSLFASA-N 0 1 287.367 0.577 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CC[C@H](NC(=O)c3[n-]nnc3C)C[C@H]2C1 ZINC000980151076 613292020 /nfs/dbraw/zinc/29/20/20/613292020.db2.gz FBCTZJFVXMUZPM-AVGNSLFASA-N 0 1 287.367 0.577 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2CC3(CCC3)C2)C1 ZINC000958316272 613294256 /nfs/dbraw/zinc/29/42/56/613294256.db2.gz FYGTYSDAPSEHCM-KBPBESRZSA-N 0 1 276.380 0.609 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2oc(CC)nc2C)C1 ZINC000958571106 613296039 /nfs/dbraw/zinc/29/60/39/613296039.db2.gz RKASKBPPWLYHDH-RYUDHWBXSA-N 0 1 291.351 0.201 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974821742 613297392 /nfs/dbraw/zinc/29/73/92/613297392.db2.gz NTTPZUYGQUUSOA-OLZOCXBDSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cnc[nH]c2=O)C(C)(C)C1 ZINC000974715186 613301496 /nfs/dbraw/zinc/30/14/96/613301496.db2.gz HPABXFYENVCKDH-NSHDSACASA-N 0 1 274.324 0.256 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c[nH]nc2CCC)C1 ZINC000958203207 613302182 /nfs/dbraw/zinc/30/21/82/613302182.db2.gz ODSHOAAWFQFFLY-RISCZKNCSA-N 0 1 290.367 0.018 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C)c(C)c2)C1 ZINC000958640071 613302737 /nfs/dbraw/zinc/30/27/37/613302737.db2.gz OSCCYAXPTLQIQL-CVEARBPZSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccoc2C2CC2)C1 ZINC000958349171 613303669 /nfs/dbraw/zinc/30/36/69/613303669.db2.gz FTMRSXJYMAOXRB-OCCSQVGLSA-N 0 1 288.347 0.813 20 30 CCEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cnon2)C1 ZINC000970618921 613303947 /nfs/dbraw/zinc/30/39/47/613303947.db2.gz KWIBLFLYNRXSOS-VIFPVBQESA-N 0 1 250.302 0.696 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)n2cncn2)CC1 ZINC000981009466 613309684 /nfs/dbraw/zinc/30/96/84/613309684.db2.gz FCLAVZPIMJTZFU-CYBMUJFWSA-N 0 1 277.372 0.950 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccsc1 ZINC000958142250 613314337 /nfs/dbraw/zinc/31/43/37/613314337.db2.gz HNJBLLMLTQCARS-IMRBUKKESA-N 0 1 260.362 0.970 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccccc1OC ZINC000962490273 613314478 /nfs/dbraw/zinc/31/44/78/613314478.db2.gz TWMWDXHOKFNHHG-HALDLXJZSA-N 0 1 284.359 0.917 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cncs1 ZINC000960402668 613315217 /nfs/dbraw/zinc/31/52/17/613315217.db2.gz KUYVNZCKYBBWTD-PJXYFTJBSA-N 0 1 261.350 0.365 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@H]1C=C ZINC000961822676 613315879 /nfs/dbraw/zinc/31/58/79/613315879.db2.gz GKEHLQSWNSYCIN-DGTMBMJNSA-N 0 1 260.337 0.257 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C(F)F)n[nH]1 ZINC000961735815 613317843 /nfs/dbraw/zinc/31/78/43/613317843.db2.gz HWKYWVGUYIORDL-VROVMSAKSA-N 0 1 280.278 0.641 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(OC)ns1 ZINC000959944043 613318763 /nfs/dbraw/zinc/31/87/63/613318763.db2.gz GFZGLVFYSBAXHG-GDGBQDQQSA-N 0 1 277.349 0.445 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(N(C)C)nc1 ZINC000960729548 613319197 /nfs/dbraw/zinc/31/91/97/613319197.db2.gz LNTMECZNDWSESH-NHAGDIPZSA-N 0 1 284.363 0.441 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C)[nH]c1=O ZINC000961889051 613319299 /nfs/dbraw/zinc/31/92/99/613319299.db2.gz OKECCZZORZKKLX-ITGUQSILSA-N 0 1 271.320 0.389 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn2ccnc2c1 ZINC000961049333 613320222 /nfs/dbraw/zinc/32/02/22/613320222.db2.gz SLILBXQTUPWSPD-NHAGDIPZSA-N 0 1 280.331 0.628 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnn1C(F)F ZINC000960098277 613321022 /nfs/dbraw/zinc/32/10/22/613321022.db2.gz BLEBHHCKCXFCKK-JZYVYDRUSA-N 0 1 280.278 0.571 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc(CC)oc1C ZINC000962016398 613321811 /nfs/dbraw/zinc/32/18/11/613321811.db2.gz JTIXQIADIALODG-YABSGUDNSA-N 0 1 273.336 0.839 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncc2n1CCCC2 ZINC000962644399 613321972 /nfs/dbraw/zinc/32/19/72/613321972.db2.gz KRIUBSBEGWCPRR-WDNDVIMCSA-N 0 1 284.363 0.513 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1conc1CC ZINC000961721767 613322162 /nfs/dbraw/zinc/32/21/62/613322162.db2.gz MJIJVZTUEWMSLV-IWIIMEHWSA-N 0 1 259.309 0.530 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ocnc1C(F)F ZINC000961791257 613322465 /nfs/dbraw/zinc/32/24/65/613322465.db2.gz SKLSKFBGKSBWRD-BRPSZJMVSA-N 0 1 281.262 0.905 20 30 CCEDMN C#CCNC(=O)CCNCc1ccnc(-c2ccccn2)n1 ZINC000905427393 613327036 /nfs/dbraw/zinc/32/70/36/613327036.db2.gz NTFDNPFKSUIDAE-UHFFFAOYSA-N 0 1 295.346 0.768 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H]1[C@H](O)c1ccccc1 ZINC000879239475 613329047 /nfs/dbraw/zinc/32/90/47/613329047.db2.gz BKTAWKZXZJNMAA-GOEBONIOSA-N 0 1 272.348 0.934 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCC2CCC2)CC1 ZINC000851750714 613329633 /nfs/dbraw/zinc/32/96/33/613329633.db2.gz IOHVTGUXTPOQRA-UHFFFAOYSA-N 0 1 277.412 0.934 20 30 CCEDMN C=C(C)CN[C@@H]1c2ccccc2C[C@H]1NC(=O)C(N)=O ZINC000960300618 613350579 /nfs/dbraw/zinc/35/05/79/613350579.db2.gz XUQDHUSUZSBUNG-CHWSQXEVSA-N 0 1 273.336 0.420 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@@H](C)N(C)C)C(=O)OCC ZINC000881755875 613355914 /nfs/dbraw/zinc/35/59/14/613355914.db2.gz HOKBEBLJOXJPPO-GHMZBOCLSA-N 0 1 256.346 0.951 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1CC[C@@H](N2CCC2)C1 ZINC000912902623 613356285 /nfs/dbraw/zinc/35/62/85/613356285.db2.gz MTSBYYWGPMQBSP-ZIAGYGMSSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NC[C@@H]1CCN1C ZINC000928550160 613356340 /nfs/dbraw/zinc/35/63/40/613356340.db2.gz CXYDVKAPWKPREY-NWDGAFQWSA-N 0 1 253.346 0.278 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)OCc1n[nH]c(C)n1 ZINC000849964960 613359653 /nfs/dbraw/zinc/35/96/53/613359653.db2.gz QFLHNYZHOHESNJ-JTQLQIEISA-N 0 1 266.301 0.627 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCC[N@@H+](C)CCO)OCC ZINC000899736531 613360204 /nfs/dbraw/zinc/36/02/04/613360204.db2.gz IWLQAEDWZJCSRF-GFCCVEGCSA-N 0 1 258.362 0.398 20 30 CCEDMN C=C(Cl)CN1CC[C@H](C)[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000967157774 613377894 /nfs/dbraw/zinc/37/78/94/613377894.db2.gz SPUUHBLARRAPHJ-KWQFWETISA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c[nH]c(=O)n2C)C1 ZINC000969577769 613385764 /nfs/dbraw/zinc/38/57/64/613385764.db2.gz HATICXKSEZVBQV-SECBINFHSA-N 0 1 284.747 0.682 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn[nH]c(=O)c2)C1 ZINC000969010340 613386052 /nfs/dbraw/zinc/38/60/52/613386052.db2.gz IJSPOCHNXUAHND-SNVBAGLBSA-N 0 1 282.731 0.739 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1C[C@@H]2CN(C(C)=O)C[C@@H]2C1 ZINC000880314232 613399071 /nfs/dbraw/zinc/39/90/71/613399071.db2.gz XAAPNJGRDCKZTO-XQQFMLRXSA-N 0 1 266.341 0.514 20 30 CCEDMN C=CC[C@@H](C)N1C[C@H]2[C@@H](C1)[C@H](OC)CS2(=O)=O ZINC000878956224 613399616 /nfs/dbraw/zinc/39/96/16/613399616.db2.gz MTQOYJFOVPOAPT-KXNHARMFSA-N 0 1 259.371 0.695 20 30 CCEDMN C=CC[C@H](C)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000909412044 613413826 /nfs/dbraw/zinc/41/38/26/613413826.db2.gz XLAWUKJDAVACEF-WDEREUQCSA-N 0 1 254.330 0.864 20 30 CCEDMN C=CC[C@H](CO)C[NH2+]Cc1ccc(-c2nn[n-]n2)o1 ZINC000823888824 613416396 /nfs/dbraw/zinc/41/63/96/613416396.db2.gz XVWIJUGFKYEURJ-VIFPVBQESA-N 0 1 263.301 0.734 20 30 CCEDMN C=C[C@@H](C)NC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833372347 613442223 /nfs/dbraw/zinc/44/22/23/613442223.db2.gz QLDZZUYVHYKXFG-MNOVXSKESA-N 0 1 269.345 0.751 20 30 CCEDMN C=C[C@@H](C)NS(=O)(=O)c1occc1C(=O)OC ZINC000866949530 613442707 /nfs/dbraw/zinc/44/27/07/613442707.db2.gz QVTVPGSUNUUYSX-SSDOTTSWSA-N 0 1 259.283 0.919 20 30 CCEDMN C=CC(C)(C)CCCNC(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC000885097007 613446592 /nfs/dbraw/zinc/44/65/92/613446592.db2.gz QLCMLGIYHRJVCZ-VXGBXAGGSA-N 0 1 299.390 0.993 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](CCSC)c1nn[nH]n1 ZINC000912863381 613466368 /nfs/dbraw/zinc/46/63/68/613466368.db2.gz WBMSQBUITAAHAW-UTLUCORTSA-N 0 1 297.384 0.701 20 30 CCEDMN C=CCCCN(CC)Cc1cn(CC(N)=O)nn1 ZINC000898245157 613466860 /nfs/dbraw/zinc/46/68/60/613466860.db2.gz XIHRFCBZRHZZGN-UHFFFAOYSA-N 0 1 251.334 0.552 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@]1(C)C[C@H](O)CN1C ZINC000911277431 613469692 /nfs/dbraw/zinc/46/96/92/613469692.db2.gz XEVGGWNAJZAALN-ZJQBRPOHSA-N 0 1 268.357 0.149 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NC[C@@H]1CCN1CC ZINC000883676951 613471173 /nfs/dbraw/zinc/47/11/73/613471173.db2.gz BUKVUEJEQORWNM-TUAOUCFPSA-N 0 1 253.346 0.723 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CC[C@@H](c2[nH]ncc2N)C1 ZINC000907416228 613477692 /nfs/dbraw/zinc/47/76/92/613477692.db2.gz GKCJYEIRUANLCM-PRHODGIISA-N 0 1 250.302 0.245 20 30 CCEDMN C=C[C@H](C)ONC(=O)NCCN1CCCOCC1 ZINC000845622202 613486648 /nfs/dbraw/zinc/48/66/48/613486648.db2.gz KTNUBSBETRVKMT-NSHDSACASA-N 0 1 257.334 0.514 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCN1CCN(C)CC1 ZINC000929580644 613489485 /nfs/dbraw/zinc/48/94/85/613489485.db2.gz DHDRFJKKHMERKV-CYBMUJFWSA-N 0 1 253.390 0.952 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCc1cc(N(C)C)ccn1 ZINC000924670294 613490957 /nfs/dbraw/zinc/49/09/57/613490957.db2.gz BDZKAIQUALHGRE-SNVBAGLBSA-N 0 1 264.329 0.494 20 30 CCEDMN C=C[C@H](CO)N[C@H]1CCCn2nc(C(=O)OC)cc21 ZINC000886639943 613492944 /nfs/dbraw/zinc/49/29/44/613492944.db2.gz ODVNVTWKXNGSQG-ZJUUUORDSA-N 0 1 265.313 0.641 20 30 CCEDMN C=C[C@H](O)C(=O)N1CC2(CN(Cc3ccccc3)C2)C1 ZINC000869815123 613494077 /nfs/dbraw/zinc/49/40/77/613494077.db2.gz ZOFCRLCQFQBJAW-AWEZNQCLSA-N 0 1 272.348 0.878 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCCN2C(N)=O)CC1 ZINC000957915957 613506278 /nfs/dbraw/zinc/50/62/78/613506278.db2.gz QNCPSDHWNHDEJM-LBPRGKRZSA-N 0 1 280.372 0.250 20 30 CCEDMN C=CCCN1CCN(C(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC000950545623 613510286 /nfs/dbraw/zinc/51/02/86/613510286.db2.gz NUTLDSQFSCGBAN-UHFFFAOYSA-N 0 1 276.340 0.448 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2[nH]cnc2C)C1 ZINC000970992889 613531537 /nfs/dbraw/zinc/53/15/37/613531537.db2.gz KWJJPSIKTUAGJI-SNVBAGLBSA-N 0 1 260.341 0.792 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@]23C[C@H]2COC3)C1 ZINC000969717530 613536872 /nfs/dbraw/zinc/53/68/72/613536872.db2.gz NTVSFIFXAFVBSW-WHOFXGATSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cnn(C)n1 ZINC000969070272 613545701 /nfs/dbraw/zinc/54/57/01/613545701.db2.gz JWEHANSFVCHCAS-CYBMUJFWSA-N 0 1 289.383 0.813 20 30 CCEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1cnnn1C ZINC000968842849 613546580 /nfs/dbraw/zinc/54/65/80/613546580.db2.gz CCGUWLVBVLRHMW-ZDUSSCGKSA-N 0 1 289.383 0.813 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@@H](C(=O)OC)C[C@H]1C(=O)[O-] ZINC000833372999 613590915 /nfs/dbraw/zinc/59/09/15/613590915.db2.gz CSAIZWJJODFYDV-WOPDTQHZSA-N 0 1 285.340 0.652 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@H]1CC[N@H+](C2CC2)C1)C(C)C ZINC000803179548 613604229 /nfs/dbraw/zinc/60/42/29/613604229.db2.gz JORVOETZLSVVDR-LBPRGKRZSA-N 0 1 279.384 0.762 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@H]1CCN(C2CC2)C1)C(C)C ZINC000803179548 613604230 /nfs/dbraw/zinc/60/42/30/613604230.db2.gz JORVOETZLSVVDR-LBPRGKRZSA-N 0 1 279.384 0.762 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974767447 613609335 /nfs/dbraw/zinc/60/93/35/613609335.db2.gz OQNXDCVWZXDZOG-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cc(=O)n(C)o2)C(C)(C)C1 ZINC000974499326 613610145 /nfs/dbraw/zinc/61/01/45/613610145.db2.gz MFTBSKHAAQZJSK-GFCCVEGCSA-N 0 1 291.351 0.442 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc[nH]2)C1 ZINC000957869389 613612906 /nfs/dbraw/zinc/61/29/06/613612906.db2.gz OCWCPFONCQUTGJ-WCQYABFASA-N 0 1 261.325 0.061 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc(F)c2)C1 ZINC000957839062 613612912 /nfs/dbraw/zinc/61/29/12/613612912.db2.gz WRGDZLQCMQWXQB-DZGCQCFKSA-N 0 1 290.338 0.872 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2F)C1 ZINC000958521235 613614126 /nfs/dbraw/zinc/61/41/26/613614126.db2.gz FHWAVWFNSKNWSS-WCQYABFASA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccc(Cl)o2)[C@H](O)C1 ZINC000957883188 613614745 /nfs/dbraw/zinc/61/47/45/613614745.db2.gz UEADOGHPCCHMPE-GHMZBOCLSA-N 0 1 296.754 0.979 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cccn2C)[C@H](O)C1 ZINC000957882831 613614854 /nfs/dbraw/zinc/61/48/54/613614854.db2.gz PZNKDAZHNVFVOF-TZMCWYRMSA-N 0 1 275.352 0.071 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cccn2CC)[C@H](O)C1 ZINC000958683754 613615460 /nfs/dbraw/zinc/61/54/60/613615460.db2.gz HJYBEHFTQUPUFL-UKRRQHHQSA-N 0 1 289.379 0.554 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccncc2)C1 ZINC000957820836 613619666 /nfs/dbraw/zinc/61/96/66/613619666.db2.gz LLTUWOCPCZUXAC-KBPBESRZSA-N 0 1 273.336 0.127 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2[nH]ccc2C)C1 ZINC000958030649 613625187 /nfs/dbraw/zinc/62/51/87/613625187.db2.gz KJIJNBQXHOKACD-OLZOCXBDSA-N 0 1 275.352 0.369 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccncc2)C1 ZINC000957820837 613625745 /nfs/dbraw/zinc/62/57/45/613625745.db2.gz LLTUWOCPCZUXAC-KGLIPLIRSA-N 0 1 273.336 0.127 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2coc(C)n2)C1 ZINC000958003803 613625975 /nfs/dbraw/zinc/62/59/75/613625975.db2.gz AETFDPZDJJIBRK-YPMHNXCESA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCC(=O)N1C ZINC000961450103 613634452 /nfs/dbraw/zinc/63/44/52/613634452.db2.gz NNRMOKZQWMJTDJ-BCUIYNNISA-N 0 1 289.379 0.067 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cccc(C)c1=O ZINC000959878558 613634950 /nfs/dbraw/zinc/63/49/50/613634950.db2.gz WDOSRROPHNTBML-FOLVSLTJSA-N 0 1 299.374 0.226 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC12CCOCC2 ZINC000963153150 613635359 /nfs/dbraw/zinc/63/53/59/613635359.db2.gz IQHVPMQPEMZIFJ-LJISPDSOSA-N 0 1 288.391 0.873 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(OC)ns1 ZINC000959960575 613639452 /nfs/dbraw/zinc/63/94/52/613639452.db2.gz YEENUDCSPQVNBB-IWIIMEHWSA-N 0 1 291.376 0.835 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc(COC)c1 ZINC000960337941 613642351 /nfs/dbraw/zinc/64/23/51/613642351.db2.gz QTLOANCBMBPLNU-ZSHCYNCHSA-N 0 1 299.374 0.911 20 30 CCEDMN C=CCN(CC=C)C(=O)Cn1cc(CNC(C)C)nn1 ZINC000905784498 613647998 /nfs/dbraw/zinc/64/79/98/613647998.db2.gz TXUGNHCZIBUYIZ-UHFFFAOYSA-N 0 1 277.372 0.977 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC(CO)(CO)CC1 ZINC000879968387 613648092 /nfs/dbraw/zinc/64/80/92/613648092.db2.gz NUQRDTOZUJFWNX-CQSZACIVSA-N 0 1 296.411 0.642 20 30 CCEDMN CC(N=Nc1ccccc1S(N)(=O)=O)c1cnnn1C ZINC000814916572 613657173 /nfs/dbraw/zinc/65/71/73/613657173.db2.gz IYXAUGPSDKOQCA-UHFFFAOYSA-N 0 1 294.340 0.299 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2c(C)nn(C)c2OC)C1 ZINC000970521492 613663591 /nfs/dbraw/zinc/66/35/91/613663591.db2.gz KPWYGZKKJRGERY-SNVBAGLBSA-N 0 1 292.383 0.973 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@]23C[C@H]2COC3)C1 ZINC000969705843 613669525 /nfs/dbraw/zinc/66/95/25/613669525.db2.gz GDDVODJXRNCZBA-JKOKRWQUSA-N 0 1 250.342 0.645 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@H]2CCC(=O)NC2)CC1 ZINC000981314982 613689899 /nfs/dbraw/zinc/68/98/99/613689899.db2.gz FFFPKNSITQJSHP-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC000981892187 613695771 /nfs/dbraw/zinc/69/57/71/613695771.db2.gz KRGYLHNWIFREEG-AWEZNQCLSA-N 0 1 265.357 0.375 20 30 CCEDMN C=CCN1CCN(CN2CC[C@H](COC)C2)C1=O ZINC000840006342 613720558 /nfs/dbraw/zinc/72/05/58/613720558.db2.gz GPPVIZZBDLKVAR-LBPRGKRZSA-N 0 1 253.346 0.836 20 30 CCEDMN C=CCN1CCN(CN2CC[C@H](C3OCCO3)C2)C1=O ZINC000905697723 613720945 /nfs/dbraw/zinc/72/09/45/613720945.db2.gz YEISGRXYETYFAI-LBPRGKRZSA-N 0 1 281.356 0.562 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965954222 613728374 /nfs/dbraw/zinc/72/83/74/613728374.db2.gz XHPVJYGLQNYJFJ-RISCZKNCSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC000968360828 613732779 /nfs/dbraw/zinc/73/27/79/613732779.db2.gz NROZDUOZEUPFTC-DGCLKSJQSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC3(CC2)COCCO3)C1=O ZINC000880546895 613739098 /nfs/dbraw/zinc/73/90/98/613739098.db2.gz VKUBQWMXLKBUDK-CYBMUJFWSA-N 0 1 280.368 0.655 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@@H]2CCN(C(=O)C(C)C)C2)C1=O ZINC000971095912 613739135 /nfs/dbraw/zinc/73/91/35/613739135.db2.gz OMZOPLOWTHFSND-ZIAGYGMSSA-N 0 1 293.411 0.962 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC000947506952 613762401 /nfs/dbraw/zinc/76/24/01/613762401.db2.gz UNGVOABXPDYIGP-DTWKUNHWSA-N 0 1 293.327 0.052 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000968480740 613768181 /nfs/dbraw/zinc/76/81/81/613768181.db2.gz RBDOEDBFRPIUMA-GWCFXTLKSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000964877444 613768804 /nfs/dbraw/zinc/76/88/04/613768804.db2.gz UGZSYEFFAJPXFH-JSGCOSHPSA-N 0 1 275.352 0.946 20 30 CCEDMN C=CCN1CC[C@H](N2CCCC3(CN(C(C)=O)C3)C2)C1=O ZINC000981567212 613778077 /nfs/dbraw/zinc/77/80/77/613778077.db2.gz GTEJQYSSMRPXIH-AWEZNQCLSA-N 0 1 291.395 0.718 20 30 CCEDMN CC(=O)NC[C@@H]1CN(Cc2ccc(C#N)cc2)C[C@@H]1O ZINC000957789673 613797163 /nfs/dbraw/zinc/79/71/63/613797163.db2.gz XFWUOEHOUKZAGY-CABCVRRESA-N 0 1 273.336 0.487 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C(C)(C)C1 ZINC000977630710 613808509 /nfs/dbraw/zinc/80/85/09/613808509.db2.gz VWNPKMJXGNUTMO-QWHCGFSZSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C(=O)N2CC[C@@H](C)C2)C(C)(C)C1 ZINC000977438582 613826092 /nfs/dbraw/zinc/82/60/92/613826092.db2.gz JBMZTROODAGRSU-OLZOCXBDSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@H](NC(=O)CN2CCOCC2)C(C)(C)C1 ZINC000973053687 613826257 /nfs/dbraw/zinc/82/62/57/613826257.db2.gz CWPMXPCHXQZLDT-ZDUSSCGKSA-N 0 1 281.400 0.331 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C(C)(C)C1 ZINC000977630707 613827482 /nfs/dbraw/zinc/82/74/82/613827482.db2.gz VWNPKMJXGNUTMO-OLZOCXBDSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CN(C)CCO2)C(C)(C)C1 ZINC000972991433 613827545 /nfs/dbraw/zinc/82/75/45/613827545.db2.gz KOLGLXAGSQDKHZ-STQMWFEESA-N 0 1 281.400 0.330 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@@H](O)C(F)(F)F ZINC000819221966 613885734 /nfs/dbraw/zinc/88/57/34/613885734.db2.gz KIKPBFNROFUVIT-SSDOTTSWSA-N 0 1 261.265 0.100 20 30 CCEDMN C=CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000958638643 613844496 /nfs/dbraw/zinc/84/44/96/613844496.db2.gz QAYODVISERWADR-IAZYJMLFSA-N 0 1 259.309 0.633 20 30 CCEDMN C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000958638643 613844497 /nfs/dbraw/zinc/84/44/97/613844497.db2.gz QAYODVISERWADR-IAZYJMLFSA-N 0 1 259.309 0.633 20 30 CCEDMN C=CCNC(=O)COC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000885267006 613848270 /nfs/dbraw/zinc/84/82/70/613848270.db2.gz BHBQNWLZCJIFEE-NOZJJQNGSA-N 0 1 277.324 0.921 20 30 CCEDMN C=CCNC(=O)COC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000798896556 613848628 /nfs/dbraw/zinc/84/86/28/613848628.db2.gz PYGURUYPLAJEGF-UHFFFAOYSA-N 0 1 253.214 0.382 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(C2OCCO2)CC1 ZINC000110078262 613854891 /nfs/dbraw/zinc/85/48/91/613854891.db2.gz CREQMTDYFXNPMR-NSHDSACASA-N 0 1 268.357 0.762 20 30 CCEDMN C=CCNC(=O)[C@H](C)N[C@@H]1C(=O)NCC1(CC)CC ZINC000933293505 613855318 /nfs/dbraw/zinc/85/53/18/613855318.db2.gz OPNWJBGBZPWZOT-WDEREUQCSA-N 0 1 267.373 0.572 20 30 CCEDMN C=CCNc1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000834506665 613877290 /nfs/dbraw/zinc/87/72/90/613877290.db2.gz UTDNDRZEAWVKNW-RKDXNWHRSA-N 0 1 277.353 0.582 20 30 CCEDMN C=CCONC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000834926304 613884003 /nfs/dbraw/zinc/88/40/03/613884003.db2.gz ZNBPHHZARAQDQK-GFCCVEGCSA-N 0 1 271.361 0.760 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)NC(C)(C)CN(C)C ZINC000838545200 613885184 /nfs/dbraw/zinc/88/51/84/613885184.db2.gz VQGNZQQCYGJJQI-UHFFFAOYSA-N 0 1 291.417 0.227 20 30 CCEDMN CC(C)NCc1cn(CC(=O)NC2(C#N)CCC2)nn1 ZINC000905791443 613960356 /nfs/dbraw/zinc/96/03/56/613960356.db2.gz CROJUGGFSFFCSK-UHFFFAOYSA-N 0 1 276.344 0.339 20 30 CCEDMN CC(C)(C)OC(=O)NC[C@](C)(O)CNCCC#N ZINC000819269767 613992578 /nfs/dbraw/zinc/99/25/78/613992578.db2.gz OMEHCTSGQQBXQU-GFCCVEGCSA-N 0 1 257.334 0.765 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)NC2=NO[C@H](C)C2)cc1=O ZINC000935136468 614235711 /nfs/dbraw/zinc/23/57/11/614235711.db2.gz FFHPEOCISFMZTD-SECBINFHSA-N 0 1 280.328 0.613 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000824057992 614285761 /nfs/dbraw/zinc/28/57/61/614285761.db2.gz UOTKMPZCAOLUCX-RISCZKNCSA-N 0 1 296.371 0.773 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000824057992 614285763 /nfs/dbraw/zinc/28/57/63/614285763.db2.gz UOTKMPZCAOLUCX-RISCZKNCSA-N 0 1 296.371 0.773 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC000826677795 614285845 /nfs/dbraw/zinc/28/58/45/614285845.db2.gz ZIDYJANFTVMMAD-KBPBESRZSA-N 0 1 281.356 0.837 20 30 CCEDMN CC(C)(C(=O)NC[C@H]1COCCN1)c1ccc(C#N)cc1 ZINC000877038689 614298848 /nfs/dbraw/zinc/29/88/48/614298848.db2.gz WEPXAPGBVAJWHL-AWEZNQCLSA-N 0 1 287.363 0.940 20 30 CCEDMN CC(C)C#CC(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000831599174 614325294 /nfs/dbraw/zinc/32/52/94/614325294.db2.gz BAESICYQSAPHJU-UHFFFAOYSA-N 0 1 294.395 0.332 20 30 CCEDMN CC(C)N1CCO[C@@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC000972297727 614364904 /nfs/dbraw/zinc/36/49/04/614364904.db2.gz MHBVZNZHCYIFLC-OCCSQVGLSA-N 0 1 265.357 0.858 20 30 CCEDMN CCC(CC)N1C[C@H](C(=O)N[C@H]2CNC[C@H]2C#N)CC1=O ZINC000834501134 614571194 /nfs/dbraw/zinc/57/11/94/614571194.db2.gz ZMLGNBYVHHMKAW-WZRBSPASSA-N 0 1 292.383 0.251 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@H]1NC(=O)c1ncn[nH]1 ZINC000941098391 614605773 /nfs/dbraw/zinc/60/57/73/614605773.db2.gz AMDASFFFJBLCCF-SECBINFHSA-N 0 1 262.317 0.159 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@H]1NC(=O)c1nnc[nH]1 ZINC000941098391 614605774 /nfs/dbraw/zinc/60/57/74/614605774.db2.gz AMDASFFFJBLCCF-SECBINFHSA-N 0 1 262.317 0.159 20 30 CCEDMN CC1(C)C[N@H+](CC#N)CC[C@H]1NC(=O)c1nnc[n-]1 ZINC000941098391 614605775 /nfs/dbraw/zinc/60/57/75/614605775.db2.gz AMDASFFFJBLCCF-SECBINFHSA-N 0 1 262.317 0.159 20 30 CCEDMN CC1(C)C[N@@H+](CC#N)CC[C@H]1NC(=O)c1nnc[n-]1 ZINC000941098391 614605777 /nfs/dbraw/zinc/60/57/77/614605777.db2.gz AMDASFFFJBLCCF-SECBINFHSA-N 0 1 262.317 0.159 20 30 CCEDMN CC1(C)CN(C[C@@H](O)CC2(C#N)CCC2)C[C@@H](CO)O1 ZINC000886249658 614670524 /nfs/dbraw/zinc/67/05/24/614670524.db2.gz PVPKURYQLHXFJU-STQMWFEESA-N 0 1 282.384 0.903 20 30 CCEDMN CC[N@@H+](CCNC(=O)[C@](C)(C#N)CC(=O)[O-])C1CC1 ZINC000820344075 615050937 /nfs/dbraw/zinc/05/09/37/615050937.db2.gz MYIHXFPOUJHSHJ-ZDUSSCGKSA-N 0 1 267.329 0.591 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000818193825 615061576 /nfs/dbraw/zinc/06/15/76/615061576.db2.gz XZQDCFWWHQQBNB-WDEREUQCSA-N 0 1 267.329 0.401 20 30 CCEDMN CC[N@H+]1CCCC[C@H]1CNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820348430 615116312 /nfs/dbraw/zinc/11/63/12/615116312.db2.gz VAMXVEASUCYPFA-SMDDNHRTSA-N 0 1 281.356 0.982 20 30 CCEDMN CCN1CCCN(C[C@@H](O)CC2(C#N)CCC2)CC1=O ZINC000886164147 615122021 /nfs/dbraw/zinc/12/20/21/615122021.db2.gz CUSVLFRIUZVGTP-ZDUSSCGKSA-N 0 1 279.384 0.985 20 30 CCEDMN CCNc1nc(N=NCc2ncnn2C)nc(NCC)n1 ZINC000842522844 615140869 /nfs/dbraw/zinc/14/08/69/615140869.db2.gz WHROHDVXOMIDIT-UHFFFAOYSA-N 0 1 290.335 0.310 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)c1cnn(CCC#N)c1C ZINC000893871123 615258260 /nfs/dbraw/zinc/25/82/60/615258260.db2.gz DARXKDVKMBKNNG-GFCCVEGCSA-N 0 1 275.356 0.929 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)[C@@]1(C#N)CCCOC1 ZINC000882497691 615323197 /nfs/dbraw/zinc/32/31/97/615323197.db2.gz LLNAWMGRWDLWOV-WCQYABFASA-N 0 1 251.330 0.517 20 30 CCEDMN CCO[C@H](C(=O)N[C@H]1CNC[C@@H]1C#N)c1ccccc1 ZINC000834493175 615474180 /nfs/dbraw/zinc/47/41/80/615474180.db2.gz BKGCBWAEKQFRBS-IHRRRGAJSA-N 0 1 273.336 0.992 20 30 CCEDMN CCO[C@H]1CCN(CC(=O)N(CC)CCC#N)C1 ZINC000932975238 615488889 /nfs/dbraw/zinc/48/88/89/615488889.db2.gz QLDLBLAGVWWICW-LBPRGKRZSA-N 0 1 253.346 0.859 20 30 CCEDMN CC[C@H](C#N)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896533702 615599843 /nfs/dbraw/zinc/59/98/43/615599843.db2.gz JDBLEWSWZQAGIZ-QJPTWQEYSA-N 0 1 252.362 0.287 20 30 CCEDMN CCn1nc(C)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1C ZINC000843458919 615646925 /nfs/dbraw/zinc/64/69/25/615646925.db2.gz BIQGXKWPHBATRT-WDEREUQCSA-N 0 1 261.329 0.361 20 30 CCEDMN CCc1cc(CNC(=O)[C@@]2(C#N)CCCOC2)n[nH]1 ZINC000865753077 615649714 /nfs/dbraw/zinc/64/97/14/615649714.db2.gz HPTLBUUDCUSNAF-CYBMUJFWSA-N 0 1 262.313 0.909 20 30 CCEDMN CCc1nn(C)c(Cl)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834485293 615793515 /nfs/dbraw/zinc/79/35/15/615793515.db2.gz UTYIMPLWHRDQSL-IONNQARKSA-N 0 1 281.747 0.477 20 30 CCEDMN CN(C(=O)CON=C(N)CN1CCCCCC1)C1CC1 ZINC000111178771 615817040 /nfs/dbraw/zinc/81/70/40/615817040.db2.gz HOMRJQIKHPCLKY-UHFFFAOYSA-N 0 1 282.388 0.772 20 30 CCEDMN CN(C)CC#CCNC(=O)C(=O)NCc1ccc(F)cc1 ZINC000913519362 615857968 /nfs/dbraw/zinc/85/79/68/615857968.db2.gz XBRANTUQOBQBGI-UHFFFAOYSA-N 0 1 291.326 0.123 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@@H]1NC(=O)c2ccccc21 ZINC000913524725 615858385 /nfs/dbraw/zinc/85/83/85/615858385.db2.gz ZQJAFZLCEXUMPO-AWEZNQCLSA-N 0 1 285.347 0.542 20 30 CCEDMN CN(C)CC#CCNC(=O)CN1Cc2ccccc2C1=O ZINC000913518231 615858551 /nfs/dbraw/zinc/85/85/51/615858551.db2.gz JOOMZNXJJJVYMJ-UHFFFAOYSA-N 0 1 285.347 0.324 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc2c(n1)OCCO2 ZINC000931927670 615858905 /nfs/dbraw/zinc/85/89/05/615858905.db2.gz SIEFOADDKDIVCH-UHFFFAOYSA-N 0 1 290.323 0.539 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1nnc(-c2ccccc2)n1 ZINC000913516388 615859186 /nfs/dbraw/zinc/85/91/86/615859186.db2.gz NTZDSCYXWUAXIT-UHFFFAOYSA-N 0 1 298.350 0.021 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c[nH]nc1[C@@H]1CCOC1 ZINC000913521322 615860113 /nfs/dbraw/zinc/86/01/13/615860113.db2.gz UBJXGLZTHNDHQH-LLVKDONJSA-N 0 1 276.340 0.208 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCN1C(=O)OC(C)(C)C ZINC000913521211 615860154 /nfs/dbraw/zinc/86/01/54/615860154.db2.gz OYNDYDWTXSLLNS-GFCCVEGCSA-N 0 1 295.383 0.677 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCc2cccnc21 ZINC000913522744 615860373 /nfs/dbraw/zinc/86/03/73/615860373.db2.gz XCWOLJNKDXNEFQ-ZDUSSCGKSA-N 0 1 257.337 0.793 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(NC2CC2)nc1 ZINC000913517674 615860562 /nfs/dbraw/zinc/86/05/62/615860562.db2.gz LGJUWTSKRAVBDV-UHFFFAOYSA-N 0 1 272.352 0.951 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(OC(F)F)n(C)n1 ZINC000913521140 615861020 /nfs/dbraw/zinc/86/10/20/615861020.db2.gz MIIVZYZBMWFCED-UHFFFAOYSA-N 0 1 286.282 0.316 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)CCC(=O)N2C ZINC000913520362 615861260 /nfs/dbraw/zinc/86/12/60/615861260.db2.gz NVMXQZQEALBBRU-UHFFFAOYSA-N 0 1 299.374 0.890 20 30 CCEDMN CN(C)CC#CCNc1nc2c(cnn2C)c(=O)[nH]1 ZINC000894875820 615862388 /nfs/dbraw/zinc/86/23/88/615862388.db2.gz KANSBBWSQRWQHX-UHFFFAOYSA-N 0 1 260.301 0.046 20 30 CCEDMN CCn1cc(-c2n[nH]cc2C(=O)N[C@@H]2CNC[C@H]2C#N)cn1 ZINC000834498673 615929537 /nfs/dbraw/zinc/92/95/37/615929537.db2.gz BNEFRRAVUUSQNJ-BXKDBHETSA-N 0 1 299.338 0.134 20 30 CCEDMN CC[S@](C)(=O)=NS(=O)(=O)NCC1(C#N)CCC1 ZINC000867415364 616020134 /nfs/dbraw/zinc/02/01/34/616020134.db2.gz DKXISESNJFWEMS-INIZCTEOSA-N 0 1 279.387 0.632 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000971539327 616040250 /nfs/dbraw/zinc/04/02/50/616040250.db2.gz LMJMQOSFFNQOPL-VXGBXAGGSA-N 0 1 287.367 0.886 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC000971539092 616040296 /nfs/dbraw/zinc/04/02/96/616040296.db2.gz JTDAGPMDONMPQX-CHWSQXEVSA-N 0 1 287.367 0.886 20 30 CCEDMN CN(C[C@@H](O)c1ccc(C#N)cc1)C[C@H]1COCCO1 ZINC000844362258 616162732 /nfs/dbraw/zinc/16/27/32/616162732.db2.gz WSQAKCKECWAHOB-LSDHHAIUSA-N 0 1 276.336 0.939 20 30 CCEDMN CN(C[C@@H]1CCCN1C)S(=O)(=O)CC(C)(C)C#N ZINC000916453715 616213592 /nfs/dbraw/zinc/21/35/92/616213592.db2.gz RBQXELBBBAZWMX-NSHDSACASA-N 0 1 273.402 0.892 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N1CCN(C)CCN(C)CC1 ZINC000888982407 616236370 /nfs/dbraw/zinc/23/63/70/616236370.db2.gz QPYHTSJVDABVBB-UHFFFAOYSA-N 0 1 289.383 0.216 20 30 CCEDMN CN1CCN(Cc2ccc(OCC#N)cc2)C[C@H]1CO ZINC000931406913 616245139 /nfs/dbraw/zinc/24/51/39/616245139.db2.gz DFWMGDRATWQIJI-AWEZNQCLSA-N 0 1 275.352 0.697 20 30 CCEDMN C[NH+]1CCN(N=Cc2cc([N+](=O)[O-])ccc2[O-])CC1 ZINC000916248279 616246277 /nfs/dbraw/zinc/24/62/77/616246277.db2.gz XGGKTQNUSXBTLO-UHFFFAOYSA-N 0 1 264.285 0.882 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000911289305 616320639 /nfs/dbraw/zinc/32/06/39/616320639.db2.gz XHVZHZBLYDPQHZ-NOHGZBONSA-N 0 1 251.330 0.250 20 30 CCEDMN COC(=O)C1([C@@]2(O)CCCN(C[C@H](O)CC#N)C2)CCC1 ZINC000933835572 616394837 /nfs/dbraw/zinc/39/48/37/616394837.db2.gz LZZIQMZPNOYMNE-IUODEOHRSA-N 0 1 296.367 0.431 20 30 CCEDMN COC(=O)[C@@H]1OCC[C@@H]1CNCc1nc(C#N)cs1 ZINC000877725644 616494721 /nfs/dbraw/zinc/49/47/21/616494721.db2.gz IMUYQXXXISEUKU-LDYMZIIASA-N 0 1 281.337 0.682 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1CCC1(C)C ZINC000850757378 616496604 /nfs/dbraw/zinc/49/66/04/616496604.db2.gz JSWGYFGRXIJIBE-NXEZZACHSA-N 0 1 252.314 0.894 20 30 CCEDMN COCC#CCN1CCC[C@](O)(C2(C(=O)OC)CCC2)C1 ZINC000933839785 616527405 /nfs/dbraw/zinc/52/74/05/616527405.db2.gz XDFLMSMDDIWENV-MRXNPFEDSA-N 0 1 295.379 0.806 20 30 CCEDMN CO[C@@H]1CN(Cc2cc(C#N)ccc2N(C)C)C[C@H]1O ZINC000877569865 616775639 /nfs/dbraw/zinc/77/56/39/616775639.db2.gz BZLRTZVKHGEQBO-HUUCEWRRSA-N 0 1 275.352 0.816 20 30 CCEDMN CO[C@@H]1COCC[C@@H]1N(C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000880424053 616797658 /nfs/dbraw/zinc/79/76/58/616797658.db2.gz HERSWLSWMQURCJ-GUTXKFCHSA-N 0 1 297.399 0.777 20 30 CCEDMN COC(=O)c1cc(C(=O)NC2=NO[C@H](C)C2)c[nH]1 ZINC000815885368 616822190 /nfs/dbraw/zinc/82/21/90/616822190.db2.gz LALSENLSZGUESD-ZCFIWIBFSA-N 0 1 251.242 0.653 20 30 CCEDMN COC(=O)c1cc(C)c(C(=O)NCC#CCN(C)C)o1 ZINC000913524324 616837013 /nfs/dbraw/zinc/83/70/13/616837013.db2.gz IIQATRYUMQIDCI-UHFFFAOYSA-N 0 1 278.308 0.669 20 30 CCEDMN CNC(=O)[C@H](C)C[N@@H+](C)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000830975643 616842842 /nfs/dbraw/zinc/84/28/42/616842842.db2.gz PAEFVGSQURNIHS-MFKMUULPSA-N 0 1 289.335 0.998 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)COc1ccsc1 ZINC000800385019 616897661 /nfs/dbraw/zinc/89/76/61/616897661.db2.gz PLELNDHTXXTGRU-NSHDSACASA-N 0 1 296.348 0.988 20 30 CCEDMN CO[C@@](C)(CN1CCN(C(=O)CC#N)CC1)C1CC1 ZINC000895065282 616903300 /nfs/dbraw/zinc/90/33/00/616903300.db2.gz AIZWPKARJIGNJM-AWEZNQCLSA-N 0 1 265.357 0.859 20 30 CCEDMN COC(=O)c1cn(CCNCc2csc(C#N)c2)nn1 ZINC000922114008 616959394 /nfs/dbraw/zinc/95/93/94/616959394.db2.gz PHOUKFWQUHHWAO-UHFFFAOYSA-N 0 1 291.336 0.788 20 30 CCEDMN CO[C@H](C)CNC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000867984927 617074266 /nfs/dbraw/zinc/07/42/66/617074266.db2.gz QTZRFWZJRUKNLN-YGRLFVJLSA-N 0 1 273.336 0.896 20 30 CCEDMN CO[C@H](C)CNC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000867984925 617074486 /nfs/dbraw/zinc/07/44/86/617074486.db2.gz QTZRFWZJRUKNLN-QMTHXVAHSA-N 0 1 273.336 0.896 20 30 CCEDMN COc1c(F)ccc(F)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834480990 617146979 /nfs/dbraw/zinc/14/69/79/617146979.db2.gz YRYXOMMPOCSDGK-XCBNKYQSSA-N 0 1 281.262 0.815 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NCCN1CC[C@H](O)C1 ZINC000827749273 617151928 /nfs/dbraw/zinc/15/19/28/617151928.db2.gz HPJBISKRLGVZKM-LBPRGKRZSA-N 0 1 289.335 0.363 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@]1(C)CCO[C@H]1C ZINC001025922442 625304271 /nfs/dbraw/zinc/30/42/71/625304271.db2.gz SLXRBNGQVVADBY-WHPHWUKISA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC001025971511 625313306 /nfs/dbraw/zinc/31/33/06/625313306.db2.gz JBUZVRYUKQTJFO-DOCVPZNWSA-N 0 1 298.390 0.755 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@@H]3CC[C@@H](NCC#N)[C@@H]3C2)[nH]1 ZINC001026219301 625360228 /nfs/dbraw/zinc/36/02/28/625360228.db2.gz LPGQZZNYNAYNHU-QJPTWQEYSA-N 0 1 273.340 0.682 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)Cc3ccn[nH]3)C[C@H]12 ZINC001026224486 625362778 /nfs/dbraw/zinc/36/27/78/625362778.db2.gz ARRKTEONZLHHDV-KGYLQXTDSA-N 0 1 273.340 0.302 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)CN3CCCC3)C[C@@H]21 ZINC001026420029 625440317 /nfs/dbraw/zinc/44/03/17/625440317.db2.gz CHVQFMBHTIDDAE-MELADBBJSA-N 0 1 276.384 0.432 20 30 CCEDMN C=CC[C@H]1CCN1CC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000934469798 622712962 /nfs/dbraw/zinc/71/29/62/622712962.db2.gz HALXDTRWXPUXEE-AWEZNQCLSA-N 0 1 291.395 0.718 20 30 CCEDMN C#CCOCCC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000161369797 622769830 /nfs/dbraw/zinc/76/98/30/622769830.db2.gz ZWWKENOMIPZQAW-OKILXGFUSA-N 0 1 282.384 0.642 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(C[C@H](C)O)CC2)c1 ZINC000892314194 622832626 /nfs/dbraw/zinc/83/26/26/622832626.db2.gz GEHBSYIMXRZLQL-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN Cc1nnc(CNC[C@@H]2CCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001026835411 625634312 /nfs/dbraw/zinc/63/43/12/625634312.db2.gz WZKMNJHJWZCTSC-ZDUSSCGKSA-N 0 1 287.367 0.465 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001024468624 623330677 /nfs/dbraw/zinc/33/06/77/623330677.db2.gz LTLQOLJHKLUOCO-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1cnn[n-]1 ZINC001024527499 623350982 /nfs/dbraw/zinc/35/09/82/623350982.db2.gz UOIJJHWFBYKSPU-LLVKDONJSA-N 0 1 261.329 0.412 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001024615395 623398872 /nfs/dbraw/zinc/39/88/72/623398872.db2.gz RWTBIYBLHLXXMR-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCCN1C(N)=O ZINC001024826202 623454350 /nfs/dbraw/zinc/45/43/50/623454350.db2.gz OYEYRRLTGGQLQD-OLZOCXBDSA-N 0 1 294.399 0.686 20 30 CCEDMN CN1CCO[C@@H](C(=O)Nc2cc(C#N)ccc2O)C1 ZINC000085551910 623554069 /nfs/dbraw/zinc/55/40/69/623554069.db2.gz NTKHUMSFOUMJQB-GFCCVEGCSA-N 0 1 261.281 0.533 20 30 CCEDMN Cc1nc(CNS(=O)(=O)c2cccc(F)c2C#N)no1 ZINC000122153163 623634174 /nfs/dbraw/zinc/63/41/74/623634174.db2.gz KDPOFYVNHWQUFO-UHFFFAOYSA-N 0 1 296.283 0.867 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001007451212 623642485 /nfs/dbraw/zinc/64/24/85/623642485.db2.gz GHWCUHYCQXPCOD-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C[C@@H](NC(=O)c1nnc[n-]1)[C@H]1CCC[N@@H+](CC#N)C1 ZINC001025561593 623827564 /nfs/dbraw/zinc/82/75/64/623827564.db2.gz MKLGIJFCVLRADL-ZJUUUORDSA-N 0 1 262.317 0.159 20 30 CCEDMN C[C@@H](NC(=O)c1nnc[nH]1)[C@H]1CCCN(CC#N)C1 ZINC001025561593 623827568 /nfs/dbraw/zinc/82/75/68/623827568.db2.gz MKLGIJFCVLRADL-ZJUUUORDSA-N 0 1 262.317 0.159 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NC(=O)c2[nH]ncc2F)[C@@H]1C ZINC001020538975 624505189 /nfs/dbraw/zinc/50/51/89/624505189.db2.gz YWIVEJYIUIEIKW-WEDXCCLWSA-N 0 1 293.302 0.428 20 30 CCEDMN C[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CN(CC#N)C1 ZINC000969875547 624551024 /nfs/dbraw/zinc/55/10/24/624551024.db2.gz CSIUFVQILGRORC-MVWJERBFSA-N 0 1 273.340 0.473 20 30 CCEDMN CN1CCN(CCNc2ncc([N+](=O)[O-])cc2C#N)CC1 ZINC000049933921 624763583 /nfs/dbraw/zinc/76/35/83/624763583.db2.gz MEXRFLPKZGVJCW-UHFFFAOYSA-N 0 1 290.327 0.521 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2CCN2C(=O)c2ccn[nH]2)[nH]1 ZINC000949445406 624803082 /nfs/dbraw/zinc/80/30/82/624803082.db2.gz VSWDFWCDGPJMQK-SNVBAGLBSA-N 0 1 298.306 0.254 20 30 CCEDMN Cn1ccc(CN[C@H]2C[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001021981286 624859167 /nfs/dbraw/zinc/85/91/67/624859167.db2.gz XBNJZQIVTRPWCN-BETUJISGSA-N 0 1 298.350 0.670 20 30 CCEDMN C[C@]1(NCC(=O)NCC#N)CCOc2ccccc21 ZINC000933366536 624870129 /nfs/dbraw/zinc/87/01/29/624870129.db2.gz GTZTXOFDPVDYQJ-AWEZNQCLSA-N 0 1 259.309 0.914 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC[C@H]1CCOC1 ZINC000176086745 624995948 /nfs/dbraw/zinc/99/59/48/624995948.db2.gz FYBZMAABZCYQEX-NWDGAFQWSA-N 0 1 282.340 0.665 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccnn1C1CCC1 ZINC000904563598 634757079 /nfs/dbraw/zinc/75/70/79/634757079.db2.gz LRHDCQCSTOIAEJ-ONGXEEELSA-N 0 1 274.328 0.841 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)c3ccc4cncn4c3)[C@@H]2C1 ZINC000978475082 630812292 /nfs/dbraw/zinc/81/22/92/630812292.db2.gz ZFZWPBBNSVASAC-QKDCVEJESA-N 0 1 295.346 0.765 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1nccnc1N ZINC001027796063 630925796 /nfs/dbraw/zinc/92/57/96/630925796.db2.gz CYQNJUWEUAJMGK-LLVKDONJSA-N 0 1 273.340 0.276 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027834390 630958475 /nfs/dbraw/zinc/95/84/75/630958475.db2.gz ZKATZRRQDMAGGL-GFCCVEGCSA-N 0 1 256.309 0.714 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1nccn1CC ZINC001027846385 630974723 /nfs/dbraw/zinc/97/47/23/630974723.db2.gz MKMUEEJJBIIINR-GFCCVEGCSA-N 0 1 260.341 0.730 20 30 CCEDMN C[C@H]1C[C@@H](CNCc2cnon2)CN1C(=O)C#CC1CC1 ZINC000980573755 631030605 /nfs/dbraw/zinc/03/06/05/631030605.db2.gz DULRZTSADQDMAE-AAEUAGOBSA-N 0 1 288.351 0.810 20 30 CCEDMN Cc1nc([C@H](C)N2CCCN(C(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC000980849364 631068332 /nfs/dbraw/zinc/06/83/32/631068332.db2.gz JACCHVDNKPFWRW-MNOVXSKESA-N 0 1 290.371 0.868 20 30 CCEDMN Cc1ncc(CN[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC000967974527 650005892 /nfs/dbraw/zinc/00/58/92/650005892.db2.gz HOLPLXUUQWMQDD-GXSJLCMTSA-N 0 1 262.313 0.833 20 30 CCEDMN Cc1cc(CN[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)ncn1 ZINC000967975676 650006006 /nfs/dbraw/zinc/00/60/06/650006006.db2.gz PHOWJSWLNOWJQD-CMPLNLGQSA-N 0 1 273.340 0.635 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@@H]1NC(=O)Cc1cnc[nH]1 ZINC000968359918 650012292 /nfs/dbraw/zinc/01/22/92/650012292.db2.gz DNTLSLQZKHHTGB-JQWIXIFHSA-N 0 1 261.329 0.302 20 30 CCEDMN O=C(C#CC1CC1)N1C[C@@H]2C[C@H]1CN2C(=O)Cc1c[nH]cn1 ZINC000937136783 631536454 /nfs/dbraw/zinc/53/64/54/631536454.db2.gz NWGKWOVQIHETDU-KBPBESRZSA-N 0 1 298.346 0.177 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn[nH]1 ZINC000968559034 650020165 /nfs/dbraw/zinc/02/01/65/650020165.db2.gz WQMDTSKNJVVBEC-MXWKQRLJSA-N 0 1 287.323 0.385 20 30 CCEDMN N#Cc1cc(N2CCN(CCCCCO)CC2)ncn1 ZINC000894963760 631887330 /nfs/dbraw/zinc/88/73/30/631887330.db2.gz BAVHIUYLDHBYOP-UHFFFAOYSA-N 0 1 275.356 0.633 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC([C@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001006613411 650045377 /nfs/dbraw/zinc/04/53/77/650045377.db2.gz LFMCCHFQDBKDLK-VIFPVBQESA-N 0 1 291.355 0.594 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC([C@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001006613411 650045379 /nfs/dbraw/zinc/04/53/79/650045379.db2.gz LFMCCHFQDBKDLK-VIFPVBQESA-N 0 1 291.355 0.594 20 30 CCEDMN CN1CC[C@H]1CNC(=O)CC1(C#N)CCOCC1 ZINC000928553145 631980173 /nfs/dbraw/zinc/98/01/73/631980173.db2.gz XYZKWYRDIIOIAS-NSHDSACASA-N 0 1 251.330 0.517 20 30 CCEDMN N#Cc1ccc(CNC(=O)C2CN([C@H]3CCOC3)C2)cc1 ZINC000895637238 632119637 /nfs/dbraw/zinc/11/96/37/632119637.db2.gz GOVFKBVBXYNITD-HNNXBMFYSA-N 0 1 285.347 0.895 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(C)nn2C)C1 ZINC001006853169 650055098 /nfs/dbraw/zinc/05/50/98/650055098.db2.gz LEGMTIUFUCZRHU-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C[C@@H](NC(=O)c1cc(C#N)ccn1)[C@H]1CN(C)CCN1C ZINC000896540636 632274578 /nfs/dbraw/zinc/27/45/78/632274578.db2.gz GKZHCPIRCSSLCW-BXUZGUMPSA-N 0 1 287.367 0.317 20 30 CCEDMN C=C(C)C[N@H+]1CCC[C@@H](NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001007109460 650066636 /nfs/dbraw/zinc/06/66/36/650066636.db2.gz YAWPGTANGMCIAO-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001007109460 650066637 /nfs/dbraw/zinc/06/66/37/650066637.db2.gz YAWPGTANGMCIAO-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN Cc1cc(C(=O)N2CCC(N(C)CC#N)CC2)n[nH]1 ZINC000985301526 632422979 /nfs/dbraw/zinc/42/29/79/632422979.db2.gz TYSCKJZRGWKYGI-UHFFFAOYSA-N 0 1 261.329 0.778 20 30 CCEDMN N#Cc1nccnc1N1CC[C@@H]2[C@@H]1CCC[N@@H+]2CCO ZINC000897222518 632425449 /nfs/dbraw/zinc/42/54/49/632425449.db2.gz VKWPZGOJENMRBX-OLZOCXBDSA-N 0 1 273.340 0.384 20 30 CCEDMN N#Cc1nccnc1N1CC[C@@H]2[C@@H]1CCCN2CCO ZINC000897222518 632425455 /nfs/dbraw/zinc/42/54/55/632425455.db2.gz VKWPZGOJENMRBX-OLZOCXBDSA-N 0 1 273.340 0.384 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2COC(=O)N2)C1 ZINC001007224863 650070505 /nfs/dbraw/zinc/07/05/05/650070505.db2.gz DHZQOTOZKUJZFK-QWRGUYRKSA-N 0 1 267.329 0.252 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001007335035 650074288 /nfs/dbraw/zinc/07/42/88/650074288.db2.gz BANDPCMJMGAWLZ-GFCCVEGCSA-N 0 1 289.383 0.923 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001007482846 650079956 /nfs/dbraw/zinc/07/99/56/650079956.db2.gz DTGYDVJHVPGXEV-GFCCVEGCSA-N 0 1 277.372 0.987 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001007670184 650087942 /nfs/dbraw/zinc/08/79/42/650087942.db2.gz QBZUAIZDRJDJQT-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CS(C)(=O)=O)[C@H]1C ZINC000986044897 632698221 /nfs/dbraw/zinc/69/82/21/632698221.db2.gz VCGZFQYULRNBGB-VHSXEESVSA-N 0 1 294.804 0.363 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2COCCN2CC)C1 ZINC001007707797 650088505 /nfs/dbraw/zinc/08/85/05/650088505.db2.gz SZLPIVFMOIUJHI-HUUCEWRRSA-N 0 1 295.427 0.864 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2CN(C(C)=O)C2)[C@@H]1C ZINC000986210117 632730217 /nfs/dbraw/zinc/73/02/17/632730217.db2.gz UGOSHZMVMFHHNP-MFKMUULPSA-N 0 1 299.802 0.796 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2coc(OC)n2)C1 ZINC001008069508 650100655 /nfs/dbraw/zinc/10/06/55/650100655.db2.gz LDIBJRHBLFPYDM-NSHDSACASA-N 0 1 277.324 0.901 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001008037406 650100098 /nfs/dbraw/zinc/10/00/98/650100098.db2.gz UVYRPCKNDLICCZ-DOMZBBRYSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CN2CCCC2=O)C1 ZINC000987981617 632937688 /nfs/dbraw/zinc/93/76/88/632937688.db2.gz HYICXEJLQXZXDT-NWDGAFQWSA-N 0 1 299.802 0.940 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cn[nH]n2)C1 ZINC000989093414 633150149 /nfs/dbraw/zinc/15/01/49/633150149.db2.gz LTRHLFVKEVBHJE-BDAKNGLRSA-N 0 1 269.736 0.750 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](NC(=O)[C@H]2CCCN2C)C1 ZINC000989266798 633180828 /nfs/dbraw/zinc/18/08/28/633180828.db2.gz LNHKYYJHQKNKTQ-FRRDWIJNSA-N 0 1 292.383 0.347 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2c[nH]c(=O)cn2)C1 ZINC000989364898 633201066 /nfs/dbraw/zinc/20/10/66/633201066.db2.gz IKVCQPFETDSPCK-VHSXEESVSA-N 0 1 296.758 0.715 20 30 CCEDMN CC(C)(C(=O)N1CCCN(CC#N)CC1)c1c[nH]cn1 ZINC000989486176 633315898 /nfs/dbraw/zinc/31/58/98/633315898.db2.gz JAOWYSFLQWWTAQ-UHFFFAOYSA-N 0 1 275.356 0.745 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cccn(C)c2=O)CC1 ZINC000989508945 633321360 /nfs/dbraw/zinc/32/13/60/633321360.db2.gz ZQIJNHASZSNNSN-UHFFFAOYSA-N 0 1 275.352 0.719 20 30 CCEDMN C=CCN1CCCN(C(=O)Cn2nc(C)ccc2=O)CC1 ZINC000989530959 633325549 /nfs/dbraw/zinc/32/55/49/633325549.db2.gz BSJADIBEVCIVFV-UHFFFAOYSA-N 0 1 290.367 0.272 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCN(C(C)=O)C2)CC1 ZINC000989572755 633329576 /nfs/dbraw/zinc/32/95/76/633329576.db2.gz MSAWBTHTNHHCEL-HNNXBMFYSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CC[N@@H+]1CCCN(C(=O)[C@H]2CCCN2C(C)=O)CC1 ZINC000989757142 633350917 /nfs/dbraw/zinc/35/09/17/633350917.db2.gz NCNZYXQLGJSXJJ-CQSZACIVSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCCN2C(C)=O)CC1 ZINC000989757142 633350920 /nfs/dbraw/zinc/35/09/20/633350920.db2.gz NCNZYXQLGJSXJJ-CQSZACIVSA-N 0 1 279.384 0.718 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnccn3)CCC[C@@H]12 ZINC000989919237 633385025 /nfs/dbraw/zinc/38/50/25/633385025.db2.gz MRWVBXJMTVGVIH-HIFRSBDPSA-N 0 1 270.336 0.837 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3CCCO3)CCC[C@H]12 ZINC000989932140 633385740 /nfs/dbraw/zinc/38/57/40/633385740.db2.gz LKKUCDHIOFRJCJ-VNHYZAJKSA-N 0 1 262.353 0.912 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3nnn(C)c3C)CCC[C@@H]12 ZINC000990108231 633447757 /nfs/dbraw/zinc/44/77/57/633447757.db2.gz NQYGWXHZUMJXPS-IUODEOHRSA-N 0 1 287.367 0.484 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnn(CC)n3)CCC[C@@H]12 ZINC000990565413 633554112 /nfs/dbraw/zinc/55/41/12/633554112.db2.gz DBHVOIVFVIPETQ-HIFRSBDPSA-N 0 1 287.367 0.658 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ncc[nH]3)CCC[C@H]12 ZINC000990613420 633567203 /nfs/dbraw/zinc/56/72/03/633567203.db2.gz JYENNHJBQBOAEB-SMDDNHRTSA-N 0 1 258.325 0.770 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2n[nH]cc2F)C1 ZINC001008447816 650115245 /nfs/dbraw/zinc/11/52/45/650115245.db2.gz HYLOKAWXIZEFST-JTQLQIEISA-N 0 1 264.304 0.766 20 30 CCEDMN C[C@]1(C#N)CCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000898981124 633704509 /nfs/dbraw/zinc/70/45/09/633704509.db2.gz KUQYQAGVZUYPTK-TZMCWYRMSA-N 0 1 263.341 0.469 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)O2)C1 ZINC001008508552 650117664 /nfs/dbraw/zinc/11/76/64/650117664.db2.gz IGUAVFWRPQJPOC-RWMBFGLXSA-N 0 1 295.383 0.176 20 30 CCEDMN N#CCN1CCN(C(=O)c2cc(C(F)F)[nH]n2)CC1 ZINC000900775824 634085207 /nfs/dbraw/zinc/08/52/07/634085207.db2.gz BLXWLMHGEVQFOO-UHFFFAOYSA-N 0 1 269.255 0.629 20 30 CCEDMN CN(C)c1ccncc1C(=O)N[C@H]1CCCN(CC#N)C1 ZINC001009231393 650140512 /nfs/dbraw/zinc/14/05/12/650140512.db2.gz KUQNMFHCFUBETA-LBPRGKRZSA-N 0 1 287.367 0.865 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CN(C(C)=O)CCO2)C1 ZINC001009381269 650144361 /nfs/dbraw/zinc/14/43/61/650144361.db2.gz CMJGYWZQZQXRGZ-KGLIPLIRSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001009619311 650151830 /nfs/dbraw/zinc/15/18/30/650151830.db2.gz PKUATYSMUBUZIX-QWHCGFSZSA-N 0 1 289.383 0.607 20 30 CCEDMN N#Cc1ccc(F)c(CNC[C@@H](O)C[C@@]2(O)CCOC2)c1 ZINC000905519820 634874504 /nfs/dbraw/zinc/87/45/04/634874504.db2.gz XIDUHBCZOYLCCO-ZFWWWQNUSA-N 0 1 294.326 0.689 20 30 CCEDMN CC(C)CN(CCC#N)C[C@@H](O)C[C@@]1(O)CCOC1 ZINC000905882381 634924671 /nfs/dbraw/zinc/92/46/71/634924671.db2.gz GTZMWUHVXPFIJD-KBPBESRZSA-N 0 1 270.373 0.760 20 30 CCEDMN C#C[C@@H](NC(=O)c1cccc2nc(CO)[nH]c21)[C@@H]1CCCO1 ZINC000907485327 635135004 /nfs/dbraw/zinc/13/50/04/635135004.db2.gz FBBHHOCOSYXMCI-YPMHNXCESA-N 0 1 299.330 0.966 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)N[C@H]3CCCN(O)C3=O)c2C1 ZINC000908405123 635366782 /nfs/dbraw/zinc/36/67/82/635366782.db2.gz DZKPYCGLYMQEIK-KCJUWKMLSA-N 0 1 292.339 0.645 20 30 CCEDMN N#Cc1cc(NCc2nnc([C@@H]3CCOC3)[nH]2)ccn1 ZINC000912274892 636546534 /nfs/dbraw/zinc/54/65/34/636546534.db2.gz GQVZMXAJAGMGLP-SECBINFHSA-N 0 1 270.296 0.609 20 30 CCEDMN N#Cc1cc(NCc2n[nH]c([C@@H]3CCOC3)n2)ccn1 ZINC000912274892 636546547 /nfs/dbraw/zinc/54/65/47/636546547.db2.gz GQVZMXAJAGMGLP-SECBINFHSA-N 0 1 270.296 0.609 20 30 CCEDMN O=C(C#Cc1cccs1)N1CCOC[C@H]1c1nn[nH]n1 ZINC000913498938 636831091 /nfs/dbraw/zinc/83/10/91/636831091.db2.gz RURFFIWJONCKQM-JTQLQIEISA-N 0 1 289.320 0.213 20 30 CCEDMN C[C@H](CC(=O)NCC#CCN(C)C)[C@H]1CCCO1 ZINC000913523829 636832543 /nfs/dbraw/zinc/83/25/43/636832543.db2.gz OADWSHAJFUNBTA-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C[C@@H]1CCc2n[nH]cc2[C@H]1C(=O)NCC#CCN(C)C ZINC000913525208 636833251 /nfs/dbraw/zinc/83/32/51/636833251.db2.gz NRLVGHIEVBABHN-RISCZKNCSA-N 0 1 274.368 0.757 20 30 CCEDMN Cc1nc(C)c(CC(=O)NCC#CCN(C)C)s1 ZINC000913525467 636833390 /nfs/dbraw/zinc/83/33/90/636833390.db2.gz YIEFSZVLWAHZNA-UHFFFAOYSA-N 0 1 265.382 0.984 20 30 CCEDMN C[C@H](CC(=O)NCC#CCN(C)C)NC(=O)C1CCCC1 ZINC000913518506 636834262 /nfs/dbraw/zinc/83/42/62/636834262.db2.gz ROGGROGPXLPBMS-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H](C)C1CCOCC1 ZINC000165392096 636902228 /nfs/dbraw/zinc/90/22/28/636902228.db2.gz MVMWRHKKXNAJRL-YPMHNXCESA-N 0 1 296.367 0.911 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H](C)C1CCOCC1 ZINC000165391975 636902373 /nfs/dbraw/zinc/90/23/73/636902373.db2.gz MVMWRHKKXNAJRL-AAEUAGOBSA-N 0 1 296.367 0.911 20 30 CCEDMN C[C@@H](CC#N)NC(=O)c1nnc2ccccc2c1O ZINC000171867944 637149952 /nfs/dbraw/zinc/14/99/52/637149952.db2.gz ZKVZUCUXFUEGDR-QMMMGPOBSA-N 0 1 256.265 0.955 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001015001358 637290856 /nfs/dbraw/zinc/29/08/56/637290856.db2.gz VYYLVMPODPMUFV-QWHCGFSZSA-N 0 1 274.368 0.822 20 30 CCEDMN CN(C)C[C@H]1CCCN1S(=O)(=O)CC(C)(C)C#N ZINC000914255039 637573740 /nfs/dbraw/zinc/57/37/40/637573740.db2.gz VZASELGOWOXGCD-LLVKDONJSA-N 0 1 273.402 0.892 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1C ZINC000993005256 637659769 /nfs/dbraw/zinc/65/97/69/637659769.db2.gz PWCKKZGYDSJWBH-MNOVXSKESA-N 0 1 274.324 0.398 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C2=COCCO2)[C@H]1C ZINC000993027142 637669257 /nfs/dbraw/zinc/66/92/57/637669257.db2.gz ZROWXQCYGYFKDN-OLZOCXBDSA-N 0 1 278.352 0.867 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc(=O)n(C)o2)[C@@H]1C ZINC000993277623 637763291 /nfs/dbraw/zinc/76/32/91/637763291.db2.gz HJJPKOIGLKTWOQ-NWDGAFQWSA-N 0 1 291.351 0.584 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2nnc(C)[nH]2)[C@@H]1C ZINC000993336852 637790851 /nfs/dbraw/zinc/79/08/51/637790851.db2.gz VMWJQAYZNLROTD-JQWIXIFHSA-N 0 1 275.356 0.258 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2ccnc3n[nH]nc32)[C@@H]1C ZINC000993569500 637819028 /nfs/dbraw/zinc/81/90/28/637819028.db2.gz GSYBGOGUYAMUOX-CMPLNLGQSA-N 0 1 298.350 0.569 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2c[nH]c(=O)n2C)[C@@H]1C ZINC000993702584 637838110 /nfs/dbraw/zinc/83/81/10/637838110.db2.gz PSEZOZXQMXWSOT-RYUDHWBXSA-N 0 1 290.367 0.732 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)c2[nH]ncc2F)CCCN1CC#N ZINC000993742607 637839875 /nfs/dbraw/zinc/83/98/75/637839875.db2.gz NZZDPTJPUMUCFU-PSASIEDQSA-N 0 1 265.292 0.655 20 30 CCEDMN C[C@H]1[C@H](NC(=O)c2[nH]ncc2F)CCCN1CC#N ZINC000993742612 637841602 /nfs/dbraw/zinc/84/16/02/637841602.db2.gz NZZDPTJPUMUCFU-WCBMZHEXSA-N 0 1 265.292 0.655 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCCN(CCO)[C@@H]2C)nn1 ZINC000993952979 637858069 /nfs/dbraw/zinc/85/80/69/637858069.db2.gz QVOIGBZNXXPXRR-NEPJUHHUSA-N 0 1 293.371 0.039 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccc3ncn(C)c3n2)C1 ZINC001015697830 637864560 /nfs/dbraw/zinc/86/45/60/637864560.db2.gz BGZHRUPSUVNNHC-LBPRGKRZSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cn2ccccc2=O)[C@H]1C ZINC000994370627 637920353 /nfs/dbraw/zinc/92/03/53/637920353.db2.gz FQKWSUBVIMUXJL-ZIAGYGMSSA-N 0 1 287.363 0.451 20 30 CCEDMN C[C@H]1CCCCN1CC(N)=NOCC(=O)NCC1CC1 ZINC000154331723 637961582 /nfs/dbraw/zinc/96/15/82/637961582.db2.gz KZRAGIMSJDAVFN-NSHDSACASA-N 0 1 282.388 0.676 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2nonc2C)[C@H]1C ZINC000994534849 637982472 /nfs/dbraw/zinc/98/24/72/637982472.db2.gz ODXNEJLRCOWKLJ-VXGBXAGGSA-N 0 1 276.340 0.984 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000180069629 638254953 /nfs/dbraw/zinc/25/49/53/638254953.db2.gz NACGQVQBOIAPQB-AWEZNQCLSA-N 0 1 265.401 0.932 20 30 CCEDMN C#C[C@H](C)NC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000185291144 638763559 /nfs/dbraw/zinc/76/35/59/638763559.db2.gz FYSQXRHOWBNSKJ-QMMMGPOBSA-N 0 1 287.344 0.974 20 30 CCEDMN CCc1cc(C(=O)N2C[C@@H](NCC#N)C(C)(C)C2)n[nH]1 ZINC000995489821 638767864 /nfs/dbraw/zinc/76/78/64/638767864.db2.gz LLNVRWHLPCQZLP-GFCCVEGCSA-N 0 1 275.356 0.936 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2coc(COC)n2)C1 ZINC001015918413 638802766 /nfs/dbraw/zinc/80/27/66/638802766.db2.gz YCHVEWHZADIKBG-LLVKDONJSA-N 0 1 277.324 0.648 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCC(=O)N2)CC1(C)C ZINC000996535890 638821312 /nfs/dbraw/zinc/82/13/12/638821312.db2.gz KIVQUWGDNBJECZ-WDEREUQCSA-N 0 1 299.802 0.844 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CCC(NCC#N)CC1 ZINC000997087458 638851242 /nfs/dbraw/zinc/85/12/42/638851242.db2.gz XSPLSJLECRHWSV-NSHDSACASA-N 0 1 275.356 0.692 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(NCC#N)CC2)CC1 ZINC000997362875 638864360 /nfs/dbraw/zinc/86/43/60/638864360.db2.gz DLDGLIHCXWIOTI-UHFFFAOYSA-N 0 1 288.395 0.436 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N1CC(NC(=O)c2ncn[nH]2)C1 ZINC000997812695 638884207 /nfs/dbraw/zinc/88/42/07/638884207.db2.gz QWFRVWTTZAJGLT-UHFFFAOYSA-N 0 1 297.746 0.524 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N1CC(NC(=O)c2nc[nH]n2)C1 ZINC000997812695 638884208 /nfs/dbraw/zinc/88/42/08/638884208.db2.gz QWFRVWTTZAJGLT-UHFFFAOYSA-N 0 1 297.746 0.524 20 30 CCEDMN CCN(C(=O)c1[nH]nnc1C)[C@H]1CCCN(CC#N)CC1 ZINC000998078589 638894132 /nfs/dbraw/zinc/89/41/32/638894132.db2.gz DOSPCTFAQDGROJ-LBPRGKRZSA-N 0 1 290.371 0.953 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](NCc2ccn(C)n2)C1 ZINC000998746168 638938690 /nfs/dbraw/zinc/93/86/90/638938690.db2.gz KWOTZHCESQCNCM-WCQYABFASA-N 0 1 275.356 0.660 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](NCc2cnnn2C)C1 ZINC000998744802 638938700 /nfs/dbraw/zinc/93/87/00/638938700.db2.gz CYORRXOECMAFAW-GHMZBOCLSA-N 0 1 276.344 0.055 20 30 CCEDMN Cc1cc(CN[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)nn1C ZINC000998746219 638938785 /nfs/dbraw/zinc/93/87/85/638938785.db2.gz LKWFIBINXWLZNE-YPMHNXCESA-N 0 1 289.383 0.969 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC000998919290 638949498 /nfs/dbraw/zinc/94/94/98/638949498.db2.gz YJJBXIMVJGAZOR-VXGBXAGGSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CCCC(=O)N2)C1 ZINC000999451028 638981952 /nfs/dbraw/zinc/98/19/52/638981952.db2.gz TXZWAFNYDQKXFQ-VXGBXAGGSA-N 0 1 299.802 0.988 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2nn(C)cc2Cl)C1 ZINC001014539103 650460947 /nfs/dbraw/zinc/46/09/47/650460947.db2.gz HKCMZQZCEZOHCF-SNVBAGLBSA-N 0 1 280.759 0.901 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC000999883415 639016136 /nfs/dbraw/zinc/01/61/36/639016136.db2.gz QPJNPJRVBLYMAW-GHMZBOCLSA-N 0 1 285.775 0.456 20 30 CCEDMN C[C@H]1CCC[N@H+]1CC(=O)N1CCC[C@H](NCC#N)C1 ZINC001000183643 639049379 /nfs/dbraw/zinc/04/93/79/639049379.db2.gz JXZQTQVPIWHYNO-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnc(C)cn2)CC1 ZINC001000397712 639082450 /nfs/dbraw/zinc/08/24/50/639082450.db2.gz KIWNPACLTNASAP-UHFFFAOYSA-N 0 1 270.336 0.780 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2nnn(C)c2C)CC1 ZINC001000545522 639117424 /nfs/dbraw/zinc/11/74/24/639117424.db2.gz ZJLDPWZKKQXBNU-UHFFFAOYSA-N 0 1 273.340 0.119 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2nccc(C)n2)CC1 ZINC001000629887 639151493 /nfs/dbraw/zinc/15/14/93/639151493.db2.gz ZGNZCQJCNQULOO-UHFFFAOYSA-N 0 1 270.336 0.780 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cn2cncn2)C1 ZINC001000861568 639178887 /nfs/dbraw/zinc/17/88/87/639178887.db2.gz INNBVEASCWBUFK-LLVKDONJSA-N 0 1 283.763 0.611 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CO[C@H](C)C2)CC1 ZINC001000864160 639180113 /nfs/dbraw/zinc/18/01/13/639180113.db2.gz XCFNQXRYRWFXRC-TZMCWYRMSA-N 0 1 262.353 0.793 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cnn(C)n2)CC1 ZINC001001262855 639263632 /nfs/dbraw/zinc/26/36/32/639263632.db2.gz HEUNIBAQXJSIKH-UHFFFAOYSA-N 0 1 273.340 0.200 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2nc3ncccn3n2)CC1 ZINC001001275195 639272527 /nfs/dbraw/zinc/27/25/27/639272527.db2.gz AVHZCQHULBHIMM-UHFFFAOYSA-N 0 1 296.334 0.119 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(CC)nn2C)CC1 ZINC001001293507 639277674 /nfs/dbraw/zinc/27/76/74/639277674.db2.gz OMIYOOUSQLKLDR-UHFFFAOYSA-N 0 1 286.379 0.978 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2nocc2C)CC1 ZINC001001348759 639286105 /nfs/dbraw/zinc/28/61/05/639286105.db2.gz YVJMBAVHGNEBRY-UHFFFAOYSA-N 0 1 259.309 0.978 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc3c(cn2)OCCO3)C1 ZINC001014758438 650485484 /nfs/dbraw/zinc/48/54/84/650485484.db2.gz AMLAQMQAOVJOMF-NSHDSACASA-N 0 1 289.335 0.843 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2nn(CC)nc2C)CC1 ZINC001001500500 639309310 /nfs/dbraw/zinc/30/93/10/639309310.db2.gz YHICAJHNICBIQM-UHFFFAOYSA-N 0 1 287.367 0.602 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001014795966 650490064 /nfs/dbraw/zinc/49/00/64/650490064.db2.gz IMOBCWFXEATUKT-LBPRGKRZSA-N 0 1 262.357 0.891 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccn(CC(F)F)n2)C1 ZINC001014844855 650494855 /nfs/dbraw/zinc/49/48/55/650494855.db2.gz LCOXKGOHGXSEIM-NSHDSACASA-N 0 1 296.321 0.976 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](C)n2cncn2)CC1 ZINC001002640676 639437379 /nfs/dbraw/zinc/43/73/79/639437379.db2.gz BONONXLAIKRJKX-GFCCVEGCSA-N 0 1 275.356 0.443 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2ccn(C)n2)CC1 ZINC001002784308 639457653 /nfs/dbraw/zinc/45/76/53/639457653.db2.gz JKNMDHAGEGLNFC-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CC(=O)N[C@H](CC)C2)CC1 ZINC001003365546 639534734 /nfs/dbraw/zinc/53/47/34/639534734.db2.gz BETVMWQSLMDGOL-QWHCGFSZSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC001003455570 639549203 /nfs/dbraw/zinc/54/92/03/639549203.db2.gz IARNDYLTKPJQOL-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cn(C)nc2Cl)CC1 ZINC001003618360 639578602 /nfs/dbraw/zinc/57/86/02/639578602.db2.gz WTCOMKXFDBOYMH-UHFFFAOYSA-N 0 1 294.786 0.830 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCn3nccc32)C1 ZINC001014990946 650512340 /nfs/dbraw/zinc/51/23/40/650512340.db2.gz MTVINVSSVQYHPT-KBPBESRZSA-N 0 1 286.379 0.974 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001014990950 650512540 /nfs/dbraw/zinc/51/25/40/650512540.db2.gz MTVINVSSVQYHPT-UONOGXRCSA-N 0 1 286.379 0.974 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001015109909 650528266 /nfs/dbraw/zinc/52/82/66/650528266.db2.gz XDUWOVJVYNCTTA-SNVBAGLBSA-N 0 1 271.324 0.900 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCCO2)CC1 ZINC001005089946 639874540 /nfs/dbraw/zinc/87/45/40/639874540.db2.gz UHUYCDJWPDSNBY-CYBMUJFWSA-N 0 1 250.342 0.721 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001005243056 639892796 /nfs/dbraw/zinc/89/27/96/639892796.db2.gz JLANHZJZHRCNCC-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCN(C(N)=O)C2)C1 ZINC001015166677 650534031 /nfs/dbraw/zinc/53/40/31/650534031.db2.gz RQTXWAMPRWGKGX-NEPJUHHUSA-N 0 1 280.372 0.154 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cnnn2C)CC1 ZINC001005341482 639902816 /nfs/dbraw/zinc/90/28/16/639902816.db2.gz YWJWKOIBRRWTOA-UHFFFAOYSA-N 0 1 275.356 0.375 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2nn(C)cc2C)CC1 ZINC001005380143 639906012 /nfs/dbraw/zinc/90/60/12/639906012.db2.gz CEATURXPXPLSEK-UHFFFAOYSA-N 0 1 274.368 0.898 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001015227126 650542060 /nfs/dbraw/zinc/54/20/60/650542060.db2.gz YOWKCLOBLFVLNQ-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC001005947852 640040058 /nfs/dbraw/zinc/04/00/58/640040058.db2.gz NMIWNGIUAJQMNM-HNNXBMFYSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCc3nncn3CC2)C1 ZINC001015324445 650555030 /nfs/dbraw/zinc/55/50/30/650555030.db2.gz ICRKCQLDSURKSX-CHWSQXEVSA-N 0 1 289.383 0.607 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cc2cncn2C)CC1 ZINC001006292815 640217556 /nfs/dbraw/zinc/21/75/56/640217556.db2.gz USBAEJNRXICICD-UHFFFAOYSA-N 0 1 288.395 0.909 20 30 CCEDMN C[C@@H](CN(C)CC(=O)NCCC#N)C(=O)OC(C)(C)C ZINC000839200697 640309656 /nfs/dbraw/zinc/30/96/56/640309656.db2.gz YTYCEDDPJODSEA-NSHDSACASA-N 0 1 283.372 0.926 20 30 CCEDMN C[C@H](C(=O)Nc1cc(C#N)ccc1O)n1cnc(C#N)n1 ZINC000798985606 640536610 /nfs/dbraw/zinc/53/66/10/640536610.db2.gz QMJHYHUMTJISBK-MRVPVSSYSA-N 0 1 282.263 0.927 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2c(C)cc(C)[nH]c2=O)C1 ZINC001015599488 650588789 /nfs/dbraw/zinc/58/87/89/650588789.db2.gz OMPIBINBJDEHBN-ZDUSSCGKSA-N 0 1 287.363 0.770 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2nnn(CC)c2CC)C1 ZINC001015691748 650610848 /nfs/dbraw/zinc/61/08/48/650610848.db2.gz DNCVZHJAAYYMEA-GFCCVEGCSA-N 0 1 289.383 0.688 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001015722244 650616950 /nfs/dbraw/zinc/61/69/50/650616950.db2.gz XKVLUAAVPNFEJN-BFHYXJOUSA-N 0 1 286.379 0.737 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001015734574 650619608 /nfs/dbraw/zinc/61/96/08/650619608.db2.gz YCCSEPYYFJIMFA-HZSPNIEDSA-N 0 1 274.368 0.900 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001015772806 650627038 /nfs/dbraw/zinc/62/70/38/650627038.db2.gz DPWRGMLSJJZESC-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)OCCc1c[nH]nn1 ZINC000815961195 641133247 /nfs/dbraw/zinc/13/32/47/641133247.db2.gz GZRGZTBZYHEOMI-UHFFFAOYSA-N 0 1 257.253 0.779 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)OCCc1cnn[nH]1 ZINC000815961195 641133250 /nfs/dbraw/zinc/13/32/50/641133250.db2.gz GZRGZTBZYHEOMI-UHFFFAOYSA-N 0 1 257.253 0.779 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CCN(CCCO)C2)nn1 ZINC001015820307 650634267 /nfs/dbraw/zinc/63/42/67/650634267.db2.gz FMOTVFSAMNAFPC-GFCCVEGCSA-N 0 1 293.371 0.041 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000816836796 641220060 /nfs/dbraw/zinc/22/00/60/641220060.db2.gz AHCQTTFDFPULTL-LLVKDONJSA-N 0 1 298.306 0.673 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001015870976 650641952 /nfs/dbraw/zinc/64/19/52/650641952.db2.gz DOVQBTJZPVOQHP-QMMMGPOBSA-N 0 1 255.709 0.361 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001015870976 650641954 /nfs/dbraw/zinc/64/19/54/650641954.db2.gz DOVQBTJZPVOQHP-QMMMGPOBSA-N 0 1 255.709 0.361 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2c(C)csc2=O)C1 ZINC001016226766 650700668 /nfs/dbraw/zinc/70/06/68/650700668.db2.gz RZWJZBMUFKAXNQ-NSHDSACASA-N 0 1 281.381 0.595 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cncnc2CC)C1 ZINC001016274411 650711034 /nfs/dbraw/zinc/71/10/34/650711034.db2.gz HXVDUPJCLJEWRZ-LBPRGKRZSA-N 0 1 272.352 0.866 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc3nnn(C)c32)C1 ZINC001016433701 650731248 /nfs/dbraw/zinc/73/12/48/650731248.db2.gz MSEAMJZTWLYMND-LLVKDONJSA-N 0 1 285.351 0.958 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@](C)(NC(=O)C(F)(F)F)C1 ZINC000830820250 642295610 /nfs/dbraw/zinc/29/56/10/642295610.db2.gz DRWKEGOFFDCMAQ-GMSGAONNSA-N 0 1 277.246 0.816 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnc([C@@H]2CCCO2)s1 ZINC000834484189 642574869 /nfs/dbraw/zinc/57/48/69/642574869.db2.gz FNLRKBJKVPKOBF-BBBLOLIVSA-N 0 1 292.364 0.836 20 30 CCEDMN Cc1cc(-n2cncn2)ccc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834489532 642579830 /nfs/dbraw/zinc/57/98/30/642579830.db2.gz NPUZYBFHZRJHKJ-RISCZKNCSA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccc2c(c1)OCO2 ZINC000834493144 642581257 /nfs/dbraw/zinc/58/12/57/642581257.db2.gz BBASHGIIYQFLHY-MNOVXSKESA-N 0 1 273.292 0.186 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2nccn2c1 ZINC000834494416 642583949 /nfs/dbraw/zinc/58/39/49/642583949.db2.gz MVTVDMPAKLSAQY-WDEREUQCSA-N 0 1 255.281 0.176 20 30 CCEDMN Cc1[nH]nc2nc(C)cc(C(=O)N[C@H]3CNC[C@@H]3C#N)c12 ZINC000834494784 642585386 /nfs/dbraw/zinc/58/53/86/642585386.db2.gz PHPSQKGDSWRBSZ-ONGXEEELSA-N 0 1 284.323 0.416 20 30 CCEDMN Cc1nn(C)c(C)c1[C@H](C)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834494910 642585673 /nfs/dbraw/zinc/58/56/73/642585673.db2.gz QTQRWNYHLDFLMY-XXILOJSOSA-N 0 1 275.356 0.368 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1CCC(=O)c2ccccc21 ZINC000834499590 642588771 /nfs/dbraw/zinc/58/87/71/642588771.db2.gz KALDFOUKGACCPU-HONMWMINSA-N 0 1 283.331 0.974 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1CCCN1c1nccs1 ZINC000834505153 642591633 /nfs/dbraw/zinc/59/16/33/642591633.db2.gz IRHPDBRUCKRQDS-OUAUKWLOSA-N 0 1 291.380 0.340 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCC(=O)c1cccs1 ZINC000834505071 642591789 /nfs/dbraw/zinc/59/17/89/642591789.db2.gz HQGLJBWOTVAFIX-NXEZZACHSA-N 0 1 277.349 0.939 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)OCO2 ZINC000834507232 642592917 /nfs/dbraw/zinc/59/29/17/642592917.db2.gz YUWYVMJMOXDMQV-UWVGGRQHSA-N 0 1 259.265 0.257 20 30 CCEDMN Cn1cnc(-c2ccc(C(=O)N[C@H]3CNC[C@@H]3C#N)cc2)n1 ZINC000834506858 642593503 /nfs/dbraw/zinc/59/35/03/642593503.db2.gz WQEZCFMFSKDHHD-STQMWFEESA-N 0 1 296.334 0.323 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COc1ccc2c(c1)CCC2 ZINC000834507054 642593688 /nfs/dbraw/zinc/59/36/88/642593688.db2.gz XOKQPXMROKFCFS-HIFRSBDPSA-N 0 1 285.347 0.782 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2nccnc2c1 ZINC000834507027 642594304 /nfs/dbraw/zinc/59/43/04/642594304.db2.gz XHVLEJDNNWJILC-MFKMUULPSA-N 0 1 267.292 0.471 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COc1ccccc1F ZINC000834512339 642596942 /nfs/dbraw/zinc/59/69/42/642596942.db2.gz VDHJMNKLQVAQOA-GXSJLCMTSA-N 0 1 263.272 0.432 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc(N2CCCC2=O)c1 ZINC000834512512 642597423 /nfs/dbraw/zinc/59/74/23/642597423.db2.gz YECMFWSXAWNAEF-OCCSQVGLSA-N 0 1 298.346 0.655 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000834512110 642597473 /nfs/dbraw/zinc/59/74/73/642597473.db2.gz RWEQFSDNCDALQT-NKWVEPMBSA-N 0 1 266.282 0.498 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccnc(Cl)c1 ZINC000834515901 642598458 /nfs/dbraw/zinc/59/84/58/642598458.db2.gz DDYSTXSBSLZDPN-BDAKNGLRSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(Cl)ccn1 ZINC000834516918 642598782 /nfs/dbraw/zinc/59/87/82/642598782.db2.gz NQUJRCKDZBGLFZ-OIBJUYFYSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCNC(=O)c1ccsc1 ZINC000834512546 642598832 /nfs/dbraw/zinc/59/88/32/642598832.db2.gz YOENGUVNRWDXHG-GHMZBOCLSA-N 0 1 292.364 0.096 20 30 CCEDMN Cc1noc(C)c1CCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834516490 642599715 /nfs/dbraw/zinc/59/97/15/642599715.db2.gz IXYWSIOPSKQTNA-CMPLNLGQSA-N 0 1 262.313 0.452 20 30 CCEDMN Cc1nn(C)c2sc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc12 ZINC000834517375 642599786 /nfs/dbraw/zinc/59/97/86/642599786.db2.gz UUCYIIQLWHBDFL-WCBMZHEXSA-N 0 1 289.364 0.785 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(-n2ccnc2)cc1 ZINC000834516456 642600112 /nfs/dbraw/zinc/60/01/12/642600112.db2.gz IQSDMMCWMGDOSL-GXTWGEPZSA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cn(Cc2ccccc2)nn1 ZINC000834516934 642600254 /nfs/dbraw/zinc/60/02/54/642600254.db2.gz NTBONYOMWPPMIH-OLZOCXBDSA-N 0 1 296.334 0.168 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccc(-n2cccn2)cc1 ZINC000834521105 642601781 /nfs/dbraw/zinc/60/17/81/642601781.db2.gz CSGDDCTUEIDQTK-HIFRSBDPSA-N 0 1 295.346 0.643 20 30 CCEDMN Cc1cn2cc(NC(=O)N[C@H]3CNC[C@@H]3C#N)ccc2n1 ZINC000841099976 642803014 /nfs/dbraw/zinc/80/30/14/642803014.db2.gz QXQGBPYJUOURFL-JQWIXIFHSA-N 0 1 284.323 0.876 20 30 CCEDMN Cc1ccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c(N(C)C)n1 ZINC000841155208 642805835 /nfs/dbraw/zinc/80/58/35/642805835.db2.gz TZRNPTJAJOLUPH-JQWIXIFHSA-N 0 1 288.355 0.689 20 30 CCEDMN Cc1cc2c(cc1NC(=O)N[C@H]1CNC[C@H]1C#N)OCO2 ZINC000841179530 642806954 /nfs/dbraw/zinc/80/69/54/642806954.db2.gz PGFLOMGJIWBBKG-KOLCDFICSA-N 0 1 288.307 0.957 20 30 CCEDMN C[C@]1(Cn2c(=O)[nH]cc(C#N)c2=O)CC1(Cl)Cl ZINC000842618749 642918209 /nfs/dbraw/zinc/91/82/09/642918209.db2.gz XWXWMZCFGQHPDD-SECBINFHSA-N 0 1 274.107 0.992 20 30 CCEDMN C#CC[C@H]1CCCN(CN2C[C@H](OC)CC2=O)C1 ZINC000842630030 642918900 /nfs/dbraw/zinc/91/89/00/642918900.db2.gz VULCTIPRRNYOEJ-QWHCGFSZSA-N 0 1 250.342 0.927 20 30 CCEDMN N#Cc1cnn2cc(CN(CCO)C3CCC3)cnc12 ZINC000843403811 643048129 /nfs/dbraw/zinc/04/81/29/643048129.db2.gz ADGLGFYYDWPBHP-UHFFFAOYSA-N 0 1 271.324 0.948 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1nnc(C)[nH]1 ZINC001017534152 650809537 /nfs/dbraw/zinc/80/95/37/650809537.db2.gz YAGWETKHQLFZLQ-BETUJISGSA-N 0 1 289.383 0.907 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCOc1ccc(F)cc1 ZINC000843459719 643063718 /nfs/dbraw/zinc/06/37/18/643063718.db2.gz GTLFIZYJMPMMBA-GXFFZTMASA-N 0 1 277.299 0.822 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCCc1nccs1 ZINC000843461939 643066175 /nfs/dbraw/zinc/06/61/75/643066175.db2.gz YCTMZCCSHGUROH-UWVGGRQHSA-N 0 1 264.354 0.694 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccccc1Cn1cccn1 ZINC000844195078 643178692 /nfs/dbraw/zinc/17/86/92/643178692.db2.gz PWLQAESOAVPNFH-HIFRSBDPSA-N 0 1 295.346 0.773 20 30 CCEDMN N#CCOc1ccc(CC(=O)NCCc2nc[nH]n2)cc1 ZINC000848153879 643611697 /nfs/dbraw/zinc/61/16/97/643611697.db2.gz WPXKPQFFRGPFOF-UHFFFAOYSA-N 0 1 285.307 0.608 20 30 CCEDMN Cn1ccnc(NN=Cc2cc3n(n2)CCCC3)c1=O ZINC000848407361 643632974 /nfs/dbraw/zinc/63/29/74/643632974.db2.gz ZRSGZSNZQBQXGN-UHFFFAOYSA-N 0 1 272.312 0.759 20 30 CCEDMN Cn1cc[nH]c(=NN=Cc2cc3n(n2)CCCC3)c1=O ZINC000848407361 643632978 /nfs/dbraw/zinc/63/29/78/643632978.db2.gz ZRSGZSNZQBQXGN-UHFFFAOYSA-N 0 1 272.312 0.759 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1scc2c1OCCO2 ZINC000848418612 643634448 /nfs/dbraw/zinc/63/44/48/643634448.db2.gz LLQLRYQUJCTEMB-YUMQZZPRSA-N 0 1 279.321 0.361 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc[n+]([O-])cc2)C1 ZINC001018460195 650866878 /nfs/dbraw/zinc/86/68/78/650866878.db2.gz QMVKKQXELFJJET-LBPRGKRZSA-N 0 1 281.743 0.877 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2COCCO2)C1 ZINC001018545806 650875649 /nfs/dbraw/zinc/87/56/49/650875649.db2.gz FJSBUCNIPJOBBY-WDEREUQCSA-N 0 1 274.748 0.345 20 30 CCEDMN Cn1ncc(C2CC2)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000852875167 644036252 /nfs/dbraw/zinc/03/62/52/644036252.db2.gz GAHVXBPZTSMXIV-ONGXEEELSA-N 0 1 259.313 0.139 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)c(C)n1-c1ccon1 ZINC000852875732 644037971 /nfs/dbraw/zinc/03/79/71/644037971.db2.gz OMUUZWZKSLQNIY-YPMHNXCESA-N 0 1 299.334 0.924 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1(C(F)(F)F)CCOCC1 ZINC000852876133 644038002 /nfs/dbraw/zinc/03/80/02/644038002.db2.gz WFQNNBMGBMNMJJ-BDAKNGLRSA-N 0 1 291.273 0.573 20 30 CCEDMN C[C@H]1CCCCN1CC(N)=NOCc1nnnn1C1CC1 ZINC000111179054 644092912 /nfs/dbraw/zinc/09/29/12/644092912.db2.gz FOOAIHOFZDDFMJ-JTQLQIEISA-N 0 1 293.375 0.671 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2c(C3CCC3)cnn2C)N1 ZINC000853330246 644098815 /nfs/dbraw/zinc/09/88/15/644098815.db2.gz LDVSAKUGEAENPJ-VIFPVBQESA-N 0 1 260.345 0.959 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cccn(C(F)F)c2=O)N1 ZINC000853329767 644098943 /nfs/dbraw/zinc/09/89/43/644098943.db2.gz FFFJWVBWNPMTFH-ZETCQYMHSA-N 0 1 269.255 0.515 20 30 CCEDMN Cc1nnc(NN=Cc2c(Cl)cnn2C)n1C ZINC000853431779 644105106 /nfs/dbraw/zinc/10/51/06/644105106.db2.gz HRNMJGUYDFJSOZ-UHFFFAOYSA-N 0 1 253.697 0.956 20 30 CCEDMN N#Cc1cnn(C(=O)c2nnc3ccccc3c2O)c1N ZINC000111581231 644143862 /nfs/dbraw/zinc/14/38/62/644143862.db2.gz LAUXMNQOPDSLPH-UHFFFAOYSA-N 0 1 280.247 0.262 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CN2CCOCC2)C1 ZINC001018732046 650902381 /nfs/dbraw/zinc/90/23/81/650902381.db2.gz OMNKAWOMTKUIRH-LBPRGKRZSA-N 0 1 287.791 0.262 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)C#CC3CC3)CC2)n[nH]1 ZINC000855341306 644305950 /nfs/dbraw/zinc/30/59/50/644305950.db2.gz FPHPVWWXFRWLDC-UHFFFAOYSA-N 0 1 273.340 0.171 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn(C)nn2)C1 ZINC001018804753 650909719 /nfs/dbraw/zinc/90/97/19/650909719.db2.gz JASKRQCMQFHMMC-VIFPVBQESA-N 0 1 269.736 0.372 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CO[C@H]2CCOC2)C1 ZINC001018836813 650914693 /nfs/dbraw/zinc/91/46/93/650914693.db2.gz HBWGODGKHKMHDJ-RYUDHWBXSA-N 0 1 288.775 0.735 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001018989344 650927448 /nfs/dbraw/zinc/92/74/48/650927448.db2.gz DMSMEETYHFVEEU-SRVKXCTJSA-N 0 1 288.775 0.733 20 30 CCEDMN Cc1ccnn1CC(=O)NC1(C#N)CCN(C)CC1 ZINC000859257072 644679994 /nfs/dbraw/zinc/67/99/94/644679994.db2.gz RYFJOYGXSSVXIE-UHFFFAOYSA-N 0 1 261.329 0.296 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@H]2CC[C@@H](C1)[S@@]2=O ZINC000859886775 644737904 /nfs/dbraw/zinc/73/79/04/644737904.db2.gz RFFGAVYEBCPFOW-HBGPKNEHSA-N 0 1 256.371 0.900 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001019276355 650955202 /nfs/dbraw/zinc/95/52/02/650955202.db2.gz RIEMPOHZHRGSBF-WDEREUQCSA-N 0 1 285.775 0.550 20 30 CCEDMN Cn1cc(C=NNC2=NCCN2)c(Br)n1 ZINC000863119551 645060619 /nfs/dbraw/zinc/06/06/19/645060619.db2.gz DTLRWROQWLXBES-UHFFFAOYSA-N 0 1 271.122 0.065 20 30 CCEDMN Cc1ncc(S(=O)(=O)Nc2cnn(CC#N)c2)n1C ZINC000863403541 645092812 /nfs/dbraw/zinc/09/28/12/645092812.db2.gz QHRIISGEKDBZQH-UHFFFAOYSA-N 0 1 280.313 0.249 20 30 CCEDMN N#Cc1ccc(CNC[C@@]23COC(=O)N2CCOC3)o1 ZINC000865769365 645414334 /nfs/dbraw/zinc/41/43/34/645414334.db2.gz IYBGVIGOESHXSJ-CYBMUJFWSA-N 0 1 277.280 0.462 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)N[C@H]1CCOC1 ZINC000867980589 645697358 /nfs/dbraw/zinc/69/73/58/645697358.db2.gz XFOCIRHDAOSJLO-GXTWGEPZSA-N 0 1 271.320 0.650 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccnn1CC1CCC1 ZINC000868196660 645729919 /nfs/dbraw/zinc/72/99/19/645729919.db2.gz VSIGNGAOBYEPAR-NWDGAFQWSA-N 0 1 288.355 0.916 20 30 CCEDMN Cn1nncc1N=NCc1cn(C[C@H]2CCCO2)nn1 ZINC000872378568 646253921 /nfs/dbraw/zinc/25/39/21/646253921.db2.gz BKYZYHGYQNVRGE-SNVBAGLBSA-N 0 1 276.304 0.032 20 30 CCEDMN C[C@H](CN(C)C(=O)C#Cc1ccccc1)c1nn[nH]n1 ZINC000124438231 646354767 /nfs/dbraw/zinc/35/47/67/646354767.db2.gz FASGKJGPMKCQMO-LLVKDONJSA-N 0 1 269.308 0.813 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc2c([nH]1)CCCC2 ZINC000876801577 646668420 /nfs/dbraw/zinc/66/84/20/646668420.db2.gz FOZVLQFKROFGSY-ZWNOBZJWSA-N 0 1 258.325 0.735 20 30 CCEDMN Cn1nc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c2ccccc21 ZINC000876801853 646668998 /nfs/dbraw/zinc/66/89/98/646668998.db2.gz JTUGUJZQQALILS-GXSJLCMTSA-N 0 1 269.308 0.415 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CC(F)(F)C(F)(F)F ZINC000876802171 646670004 /nfs/dbraw/zinc/67/00/04/646670004.db2.gz MKUZVGJHEUKEDM-PHDIDXHHSA-N 0 1 271.189 0.802 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc2cc(=O)[nH]cc21 ZINC000876802168 646670082 /nfs/dbraw/zinc/67/00/82/646670082.db2.gz MINVWVHIAPWZAO-MFKMUULPSA-N 0 1 282.303 0.782 20 30 CCEDMN COc1ccc(NC(=O)[C@@H]2CNCCO2)cc1C#N ZINC000877270213 646773971 /nfs/dbraw/zinc/77/39/71/646773971.db2.gz GLBNLLXSQGABSA-LBPRGKRZSA-N 0 1 261.281 0.494 20 30 CCEDMN C=C(C)CN(CCc1nnnn1C)Cc1ccc(CO)o1 ZINC000878606643 646944102 /nfs/dbraw/zinc/94/41/02/646944102.db2.gz NISHVGDPYNLYOB-UHFFFAOYSA-N 0 1 291.355 0.916 20 30 CCEDMN CN(C[C@H](O)CC1(C#N)CCC1)[C@H]1CCNC1=O ZINC000886089653 647898678 /nfs/dbraw/zinc/89/86/78/647898678.db2.gz GQAPAPAWNMDICK-MNOVXSKESA-N 0 1 251.330 0.252 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN3C(=O)N=NC3C2)CCC1 ZINC000886134620 647906611 /nfs/dbraw/zinc/90/66/11/647906611.db2.gz XJHVOQFZBHLELI-SNVBAGLBSA-N 0 1 277.328 0.244 20 30 CCEDMN N#CCC(=O)N1CCN(C[C@H]2C[C@@]23CCOC3)CC1 ZINC000886230021 647925288 /nfs/dbraw/zinc/92/52/88/647925288.db2.gz ZNSMXXFUUWPNGY-TZMCWYRMSA-N 0 1 263.341 0.471 20 30 CCEDMN N#CC1(C[C@H](O)CN2CC[C@@H]3OCC(=O)N[C@H]3C2)CCC1 ZINC000886239444 647927356 /nfs/dbraw/zinc/92/73/56/647927356.db2.gz XBTHQIUVZVAQOZ-AVGNSLFASA-N 0 1 293.367 0.021 20 30 CCEDMN N#Cc1csc(CNC(=O)C2CN([C@H]3CCOC3)C2)n1 ZINC000887976570 648179563 /nfs/dbraw/zinc/17/95/63/648179563.db2.gz LOIMQDCFBAVWNJ-NSHDSACASA-N 0 1 292.364 0.352 20 30 CCEDMN Cc1cc(C(=O)NC[C@@H]2C[C@H](O)CN2C)cc(C)c1C#N ZINC000924992931 648395039 /nfs/dbraw/zinc/39/50/39/648395039.db2.gz RFYCOXVFOWPBJB-KBPBESRZSA-N 0 1 287.363 0.970 20 30 CCEDMN N#CC[C@@H](O)CN1CCC[C@@H](N2CCCC2=O)C1 ZINC000929622014 648768704 /nfs/dbraw/zinc/76/87/04/648768704.db2.gz MTJARFXJQWRUGM-VXGBXAGGSA-N 0 1 251.330 0.348 20 30 CCEDMN N#CC[C@@H](O)CN1CCC[C@@H](N2CCCCC2=O)C1 ZINC000929629195 648770756 /nfs/dbraw/zinc/77/07/56/648770756.db2.gz NYJWCASDCAWEMT-CHWSQXEVSA-N 0 1 265.357 0.738 20 30 CCEDMN Cc1cc(C(N)=O)ccc1NC(=O)NCC#CCN(C)C ZINC000929638695 648772070 /nfs/dbraw/zinc/77/20/70/648772070.db2.gz LSISKNBYYVXODS-UHFFFAOYSA-N 0 1 288.351 0.780 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCc2nccc(N)c2C1 ZINC000931510244 648998784 /nfs/dbraw/zinc/99/87/84/648998784.db2.gz XTBGOQMYSUKWFO-LLVKDONJSA-N 0 1 287.367 0.782 20 30 CCEDMN CC(C)(C#N)CCN[C@@](C)(Cn1cccn1)C(N)=O ZINC000934222514 649163219 /nfs/dbraw/zinc/16/32/19/649163219.db2.gz LVUBQQXVPIIPBS-ZDUSSCGKSA-N 0 1 263.345 0.657 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)c2[nH]ncc2F)CCN1CC#N ZINC000947698297 649347809 /nfs/dbraw/zinc/34/78/09/649347809.db2.gz NIMFGGCSMIHGBK-BDAKNGLRSA-N 0 1 265.292 0.655 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](NC(=O)CN2CCCC2)C1 ZINC000951872979 649568582 /nfs/dbraw/zinc/56/85/82/649568582.db2.gz RLUSIZXEVGVYDW-OLZOCXBDSA-N 0 1 292.383 0.349 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cc2nnc(C)o2)C1 ZINC001007268287 649731327 /nfs/dbraw/zinc/73/13/27/649731327.db2.gz OYCZWUGVVXHQNO-LLVKDONJSA-N 0 1 264.329 0.687 20 30 CCEDMN N#Cc1cccc(CN2C[C@@H](CNC(=O)C3CC3)[C@H](O)C2)c1 ZINC000957785323 649759646 /nfs/dbraw/zinc/75/96/46/649759646.db2.gz VWJGURNAFRZCPN-HZPDHXFCSA-N 0 1 299.374 0.877 20 30 CCEDMN CN1CCO[C@H]2CN(c3nc(Cl)cnc3C#N)C[C@H]21 ZINC001164671410 719379873 /nfs/dbraw/zinc/37/98/73/719379873.db2.gz XFZOJPVEUHIIRI-ZJUUUORDSA-N 0 1 279.731 0.521 20 30 CCEDMN C=CCN1CCN(c2ncc3nc(C)[nH]c3n2)CC1 ZINC001165032381 721869007 /nfs/dbraw/zinc/86/90/07/721869007.db2.gz PFWADRIYNSIRPJ-UHFFFAOYSA-N 0 1 258.329 0.921 20 30 CCEDMN COCC#CCN1CCC(NC(=O)Cc2ccc[nH]2)CC1 ZINC001230309508 805593526 /nfs/dbraw/zinc/59/35/26/805593526.db2.gz AXJFAQODNLPOJT-UHFFFAOYSA-N 0 1 289.379 0.788 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C2(COC)CC2)CC1 ZINC001266266993 790361679 /nfs/dbraw/zinc/36/16/79/790361679.db2.gz KVTCSNWSDDSHKM-UHFFFAOYSA-N 0 1 293.411 0.170 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C[C@](C)(O)C2CC2)CC1 ZINC001266283780 790401503 /nfs/dbraw/zinc/40/15/03/790401503.db2.gz WLRUHNDTZOPMCG-INIZCTEOSA-N 0 1 295.427 0.457 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H]2CCCCO2)CC1 ZINC001266283355 790398946 /nfs/dbraw/zinc/39/89/46/790398946.db2.gz NQPVKADYDXJCMY-CQSZACIVSA-N 0 1 281.400 0.475 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCc2cc(C)on2)C1 ZINC001266325154 790508066 /nfs/dbraw/zinc/50/80/66/790508066.db2.gz KQBWKDCPVXJLHJ-LBPRGKRZSA-N 0 1 279.340 0.876 20 30 CCEDMN C#CCN(C)CCNC(=O)c1c(CC)nn(C)c1Cl ZINC001266348162 790561670 /nfs/dbraw/zinc/56/16/70/790561670.db2.gz XSNIOVCETUTDOR-UHFFFAOYSA-N 0 1 282.775 0.931 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H](C)N(C)CC(F)(F)F ZINC001266411145 790653456 /nfs/dbraw/zinc/65/34/56/790653456.db2.gz OIAPDXODFNTBRD-NSHDSACASA-N 0 1 293.333 0.940 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@@H]1CNC(C)=O ZINC001266443061 790722430 /nfs/dbraw/zinc/72/24/30/790722430.db2.gz KEPCZHXQBIUYDX-CQSZACIVSA-N 0 1 279.384 0.788 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC[C@@H]1CNC(C)=O ZINC001266443061 790722438 /nfs/dbraw/zinc/72/24/38/790722438.db2.gz KEPCZHXQBIUYDX-CQSZACIVSA-N 0 1 279.384 0.788 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1C[C@@H](C(=O)[O-])CC[C@H]1C ZINC000397493171 790794475 /nfs/dbraw/zinc/79/44/75/790794475.db2.gz NGLIIYNKIYLFCR-OLZOCXBDSA-N 0 1 295.383 0.836 20 30 CCEDMN C#CCN(CCNC(=O)c1cnc(OC)nc1)C1CC1 ZINC001266615581 791037139 /nfs/dbraw/zinc/03/71/39/791037139.db2.gz MPEXZYRGOQTLON-UHFFFAOYSA-N 0 1 274.324 0.313 20 30 CCEDMN C#CCN(CCNC(=O)[C@@](C)(NC(C)=O)C(C)C)C1CC1 ZINC001266622327 791047162 /nfs/dbraw/zinc/04/71/62/791047162.db2.gz ZBVKJKTXACYYBQ-INIZCTEOSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)NC(C)=O ZINC001230697662 805651910 /nfs/dbraw/zinc/65/19/10/805651910.db2.gz KZAORGOJAZMTHL-AAEUAGOBSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@@H](C)NC(C)=O ZINC001230699448 805652016 /nfs/dbraw/zinc/65/20/16/805652016.db2.gz ZHJKUGOOVPIUMS-OCCSQVGLSA-N 0 1 297.399 0.246 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCn1cncn1 ZINC001230775044 805662760 /nfs/dbraw/zinc/66/27/60/805662760.db2.gz BASAZQZXNXZFFX-LBPRGKRZSA-N 0 1 263.345 0.387 20 30 CCEDMN COCCCN1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815383 805671688 /nfs/dbraw/zinc/67/16/88/805671688.db2.gz NPPXLGANHBEELR-GFCCVEGCSA-N 0 1 285.413 0.812 20 30 CCEDMN C=CCN(CCCNC(=O)C(N)=O)Cc1cccnc1 ZINC001230829992 805673094 /nfs/dbraw/zinc/67/30/94/805673094.db2.gz UXBNMWDLSJDQIL-UHFFFAOYSA-N 0 1 276.340 0.061 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CNC(=O)C1CC1 ZINC001230843959 805675517 /nfs/dbraw/zinc/67/55/17/805675517.db2.gz CQMSEOZOVHIPEU-LBPRGKRZSA-N 0 1 265.357 0.231 20 30 CCEDMN N#CCN1CC[C@H](Oc2nc(Cl)nc3[nH]ncc32)C1 ZINC001231046752 805716111 /nfs/dbraw/zinc/71/61/11/805716111.db2.gz DAIFQKSSBFUXSM-ZETCQYMHSA-N 0 1 278.703 0.983 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CCC ZINC001231224533 805755955 /nfs/dbraw/zinc/75/59/55/805755955.db2.gz XPWBNTMIYVKIQC-GFCCVEGCSA-N 0 1 267.373 0.363 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)c1ccco1 ZINC001231259173 805763535 /nfs/dbraw/zinc/76/35/35/805763535.db2.gz UNOXEOPJINIDOR-LBPRGKRZSA-N 0 1 291.351 0.469 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CC(C)C ZINC001231324865 805776551 /nfs/dbraw/zinc/77/65/51/805776551.db2.gz DJPDAAFFYOQVNC-CYBMUJFWSA-N 0 1 281.400 0.609 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@@H](O)[C@H](CO)C2)c1 ZINC001232674071 805966806 /nfs/dbraw/zinc/96/68/06/805966806.db2.gz RJADCJYXQKKYAH-GXTWGEPZSA-N 0 1 262.309 0.439 20 30 CCEDMN C=C(C)CN1CC(N(C)C(=O)[C@H](C)CN2CCOCC2)C1 ZINC001277699524 805968848 /nfs/dbraw/zinc/96/88/48/805968848.db2.gz HVCPNOPFQPSHAP-CQSZACIVSA-N 0 1 295.427 0.673 20 30 CCEDMN CC(C)(C#N)C(=O)N1C[C@H]2CN(CC3CC3)C[C@@H](C1)O2 ZINC001143398347 791268168 /nfs/dbraw/zinc/26/81/68/791268168.db2.gz KHYQRLYFVXCKQR-BETUJISGSA-N 0 1 277.368 0.858 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cn3cccn3)C[C@H]21 ZINC001041939048 791465325 /nfs/dbraw/zinc/46/53/25/791465325.db2.gz NUXZRQGMCWNUMS-ZIAGYGMSSA-N 0 1 272.352 0.439 20 30 CCEDMN C=CCCCN(C)CCNC(=O)[C@@H](C)S(C)(=O)=O ZINC001267203050 791668301 /nfs/dbraw/zinc/66/83/01/791668301.db2.gz MPORVKYFNAEYQF-LLVKDONJSA-N 0 1 276.402 0.434 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1ccc2c(c1)COC2 ZINC001267227134 791705164 /nfs/dbraw/zinc/70/51/64/791705164.db2.gz DIKSWIMWJMFSKZ-UHFFFAOYSA-N 0 1 272.348 0.941 20 30 CCEDMN C#CC[N@H+](C)CCNC(=O)C[C@@H](C)NC(=O)C1CCCC1 ZINC001267233734 791716219 /nfs/dbraw/zinc/71/62/19/791716219.db2.gz SYIZYBNNIFIUMD-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@@H](C)NC(=O)C1CCCC1 ZINC001267233734 791716223 /nfs/dbraw/zinc/71/62/23/791716223.db2.gz SYIZYBNNIFIUMD-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1ccc[nH]1 ZINC001233872535 806137426 /nfs/dbraw/zinc/13/74/26/806137426.db2.gz AGDOMXGPYXTIDM-UHFFFAOYSA-N 0 1 278.356 0.369 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CCN1CCOCC ZINC001233936843 806150244 /nfs/dbraw/zinc/15/02/44/806150244.db2.gz KEKQMBWYPJXODX-DZGCQCFKSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CCN1CCOC ZINC001233936355 806150405 /nfs/dbraw/zinc/15/04/05/806150405.db2.gz HNSUECFJPDOHEA-OCCSQVGLSA-N 0 1 270.373 0.493 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1Cc1n[nH]c(C)n1 ZINC001233947376 806154525 /nfs/dbraw/zinc/15/45/25/806154525.db2.gz LGMXHGXGNNDTFO-CYBMUJFWSA-N 0 1 289.383 0.949 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@H](O)C(C)C ZINC001234079388 806180550 /nfs/dbraw/zinc/18/05/50/806180550.db2.gz WWUSTATUWLFKLW-ZIAGYGMSSA-N 0 1 284.400 0.739 20 30 CCEDMN CN(C[C@@H]1CCN1CCCO)C(=O)C#CC1CC1 ZINC001234194528 806202193 /nfs/dbraw/zinc/20/21/93/806202193.db2.gz FBQWKGXMQYBADS-ZDUSSCGKSA-N 0 1 250.342 0.315 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@H](C)NC(N)=O ZINC001234276550 806215210 /nfs/dbraw/zinc/21/52/10/806215210.db2.gz PLPHDTTZDKTNAS-NWDGAFQWSA-N 0 1 282.388 0.542 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001234274163 806215625 /nfs/dbraw/zinc/21/56/25/806215625.db2.gz OSAQRANIFYTRGP-SNVBAGLBSA-N 0 1 295.343 0.045 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)CCNC(=O)C(F)F)C1=O ZINC001267235354 793216589 /nfs/dbraw/zinc/21/65/89/793216589.db2.gz ZJMSGTCDPOGRLF-SNVBAGLBSA-N 0 1 289.326 0.477 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccnc2c1nnn2C ZINC001267249252 793242136 /nfs/dbraw/zinc/24/21/36/793242136.db2.gz RQSGYTCIAZTCAX-UHFFFAOYSA-N 0 1 286.339 0.048 20 30 CCEDMN C=C(C)CCC(=O)N(C)C[C@H]1CCN1C[C@H](O)COC ZINC001234603550 806283605 /nfs/dbraw/zinc/28/36/05/806283605.db2.gz DZNHHRMZFHWJMT-KGLIPLIRSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCN(C)[C@@H](C)C(N)=O ZINC001235212353 806386474 /nfs/dbraw/zinc/38/64/74/806386474.db2.gz FSTOCWVIQGAOBC-NWDGAFQWSA-N 0 1 285.388 0.280 20 30 CCEDMN N#CCCCN1C[C@@H]2[C@H](C1)OCCN2Cc1cn[nH]c1 ZINC001277943055 806418134 /nfs/dbraw/zinc/41/81/34/806418134.db2.gz LHUDGJPGOOJAQU-KGLIPLIRSA-N 0 1 275.356 0.598 20 30 CCEDMN CN(C)C(=O)C[N@@H+]1CC[C@H]2[C@@H]1CCN2CCCC#N ZINC001277943301 806420040 /nfs/dbraw/zinc/42/00/40/806420040.db2.gz RKTZCQYZCWITAG-STQMWFEESA-N 0 1 264.373 0.527 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)COc1cc(C)on1 ZINC001235571794 806460348 /nfs/dbraw/zinc/46/03/48/806460348.db2.gz WGBZXRWOKZQMOQ-ZDUSSCGKSA-N 0 1 291.351 0.918 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@@H](C)COC ZINC001235669459 806489351 /nfs/dbraw/zinc/48/93/51/806489351.db2.gz NADXJHVPAJIDRG-QWHCGFSZSA-N 0 1 299.415 0.398 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)CC(=O)NC(C)C ZINC001235698089 806494154 /nfs/dbraw/zinc/49/41/54/806494154.db2.gz HAQNRSCJSRNUAT-UHFFFAOYSA-N 0 1 285.388 0.152 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)CC(=O)NC(C)C ZINC001235698089 806494160 /nfs/dbraw/zinc/49/41/60/806494160.db2.gz HAQNRSCJSRNUAT-UHFFFAOYSA-N 0 1 285.388 0.152 20 30 CCEDMN CC1(C)O[C@@H]2O[C@@H](CN3CCC[C@@H](CC#N)C3)[C@@H](O)[C@@H]2O1 ZINC001235773337 806501669 /nfs/dbraw/zinc/50/16/69/806501669.db2.gz NPHCGDFEARYADC-HPCHECBXSA-N 0 1 296.367 0.849 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc2c(o1)CCCC2 ZINC001278094225 806659410 /nfs/dbraw/zinc/65/94/10/806659410.db2.gz UJMONIUEKVVEMP-GFCCVEGCSA-N 0 1 276.336 0.472 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnnc(C)c2)[C@@H](O)C1 ZINC001083431363 814932505 /nfs/dbraw/zinc/93/25/05/814932505.db2.gz RJKRFESNKGPGRF-OLZOCXBDSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)CN2CCC(CO)CC2)C1 ZINC001120755682 798930231 /nfs/dbraw/zinc/93/02/31/798930231.db2.gz QKLMAZRCUFKPIL-CYBMUJFWSA-N 0 1 264.369 0.563 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](CO)NCc1cncs1 ZINC001278130290 806708806 /nfs/dbraw/zinc/70/88/06/806708806.db2.gz UOOVWGBVGFZESI-SNVBAGLBSA-N 0 1 283.397 0.922 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1[C@H]2CCCO[C@H]2C1(C)C ZINC001121887327 799084051 /nfs/dbraw/zinc/08/40/51/799084051.db2.gz KVFWIEQLJDNEHE-RAIGVLPGSA-N 0 1 250.342 0.529 20 30 CCEDMN C#CCNCC(=O)N(Cc1ccncc1)C[C@@H]1CCCO1 ZINC001121944619 799104177 /nfs/dbraw/zinc/10/41/77/799104177.db2.gz BTBKFOZGHRBERM-HNNXBMFYSA-N 0 1 287.363 0.812 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCC[C@H]1Cc1cnn(C)c1 ZINC001122148912 799155229 /nfs/dbraw/zinc/15/52/29/799155229.db2.gz VEPXGSLICMPFMM-UONOGXRCSA-N 0 1 274.368 0.470 20 30 CCEDMN C#CCNCC(=O)NCC[C@@H]1CC[C@H](C(F)(F)F)O1 ZINC001122187376 799164986 /nfs/dbraw/zinc/16/49/86/799164986.db2.gz IGBKCQTVVXIXPH-VHSXEESVSA-N 0 1 278.274 0.825 20 30 CCEDMN C#CCNCC(=O)N1Cc2cccnc2N2CCC[C@H]2C1 ZINC001122190710 799166021 /nfs/dbraw/zinc/16/60/21/799166021.db2.gz GLLRLSQGRPCFIK-AWEZNQCLSA-N 0 1 284.363 0.615 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1[C@@H]2CCCCCC[C@@H]12 ZINC001278155911 806727113 /nfs/dbraw/zinc/72/71/13/806727113.db2.gz UFFAUGPHBNODNP-BFHYXJOUSA-N 0 1 278.396 0.903 20 30 CCEDMN COCC(=O)NCCNCc1ccc(C#N)cc1F ZINC001122317220 799195948 /nfs/dbraw/zinc/19/59/48/799195948.db2.gz UCZSAZZIZVVSRA-UHFFFAOYSA-N 0 1 265.288 0.550 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@@H]1C[C@H]1CCCO1 ZINC001122365021 799213333 /nfs/dbraw/zinc/21/33/33/799213333.db2.gz LWPOMIDOYTYBRT-QWHCGFSZSA-N 0 1 266.341 0.006 20 30 CCEDMN N#CC(C(=O)[C@H]1CCNC(=O)C1)c1cccc(F)n1 ZINC001122631607 799277681 /nfs/dbraw/zinc/27/76/81/799277681.db2.gz HHHKJOJUNXAYRE-IUCAKERBSA-N 0 1 261.256 0.923 20 30 CCEDMN N#C[C@@H](C(=O)CN1CCOCC1)c1cccc(F)n1 ZINC001122644110 799279853 /nfs/dbraw/zinc/27/98/53/799279853.db2.gz OSUIUFUISHWSKW-SNVBAGLBSA-N 0 1 263.272 0.729 20 30 CCEDMN C#CCN1CCC(OC(=O)c2ccc(C(N)=O)nc2)CC1 ZINC001123348665 799395553 /nfs/dbraw/zinc/39/55/53/799395553.db2.gz FFWVYOSOYKXFMI-UHFFFAOYSA-N 0 1 287.319 0.435 20 30 CCEDMN C=C[C@H](C)NC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001123756814 799467262 /nfs/dbraw/zinc/46/72/62/799467262.db2.gz XVHZTPIZWCLQPR-VIFPVBQESA-N 0 1 264.329 0.932 20 30 CCEDMN C[C@H]1CN2CC[N@H+]1C[C@H]2C(=O)NCC1(C#N)CCC1 ZINC001123901196 799498882 /nfs/dbraw/zinc/49/88/82/799498882.db2.gz CFGUWBLJHUPWFK-RYUDHWBXSA-N 0 1 262.357 0.185 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)NCC1(C#N)CCC1 ZINC001123901196 799498886 /nfs/dbraw/zinc/49/88/86/799498886.db2.gz CFGUWBLJHUPWFK-RYUDHWBXSA-N 0 1 262.357 0.185 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1cn[nH]c1 ZINC001124055643 799546291 /nfs/dbraw/zinc/54/62/91/799546291.db2.gz JHSFMQZUTZCEPY-UHFFFAOYSA-N 0 1 269.308 0.801 20 30 CCEDMN C=CCOCC(=O)NCCN[C@H](C)c1n[nH]c(CC)n1 ZINC001124767260 799633938 /nfs/dbraw/zinc/63/39/38/799633938.db2.gz ANTPLQNZEDGDRS-SNVBAGLBSA-N 0 1 281.360 0.337 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](OCC)c1ccccc1 ZINC001278201246 806770363 /nfs/dbraw/zinc/77/03/63/806770363.db2.gz CJUJWXZVJQTHDG-GJZGRUSLSA-N 0 1 290.363 0.464 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CSCC#N)C1 ZINC001149236371 800059732 /nfs/dbraw/zinc/05/97/32/800059732.db2.gz JYGOESTWOOISNW-LBPRGKRZSA-N 0 1 283.397 0.636 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H](C)OCC)C1 ZINC001149236354 800060134 /nfs/dbraw/zinc/06/01/34/800060134.db2.gz JJFDCPAGMCHFGT-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN N#Cc1c[nH]nc1NC(=O)c1cncc2nc[nH]c21 ZINC001142812717 800161917 /nfs/dbraw/zinc/16/19/17/800161917.db2.gz YXKPOQFOZKZUQX-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN CC(=O)Nc1ccc(O)c(C(=O)n2ncc(C#N)c2N)c1 ZINC001149425612 800258825 /nfs/dbraw/zinc/25/88/25/800258825.db2.gz YFXONKLVAJJUEU-UHFFFAOYSA-N 0 1 285.263 0.689 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)Cc2cc(C)on2)C1 ZINC001149440571 800262124 /nfs/dbraw/zinc/26/21/24/800262124.db2.gz WUNGWPVLVFMCPR-AWEZNQCLSA-N 0 1 293.367 0.919 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2cc(C)on2)C1 ZINC001149440571 800262127 /nfs/dbraw/zinc/26/21/27/800262127.db2.gz WUNGWPVLVFMCPR-AWEZNQCLSA-N 0 1 293.367 0.919 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@H]2COC(=O)C2)C1 ZINC001149541483 800273774 /nfs/dbraw/zinc/27/37/74/800273774.db2.gz PBVMWBQZZDBHCF-CHWSQXEVSA-N 0 1 296.367 0.333 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CO[C@H]2CCOC2)C1 ZINC001149721548 800294784 /nfs/dbraw/zinc/29/47/84/800294784.db2.gz OTPNDZFADRYUDF-UONOGXRCSA-N 0 1 298.383 0.185 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(C(N)=O)cc1 ZINC001150754038 800365458 /nfs/dbraw/zinc/36/54/58/800365458.db2.gz YVIFAMIJQISHEV-UHFFFAOYSA-N 0 1 281.743 0.857 20 30 CCEDMN Cn1ccc(C(=O)NCCNCc2ccccc2C#N)n1 ZINC001151084957 800395981 /nfs/dbraw/zinc/39/59/81/800395981.db2.gz VZNZUQSJKZZLIK-UHFFFAOYSA-N 0 1 283.335 0.811 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001152515922 800534343 /nfs/dbraw/zinc/53/43/43/800534343.db2.gz CWBLKNGFOGJNEU-SNVBAGLBSA-N 0 1 273.764 0.313 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)CS(C)(=O)=O ZINC001152609835 800542413 /nfs/dbraw/zinc/54/24/13/800542413.db2.gz NBSFKFOYWSOJTO-MRVPVSSYSA-N 0 1 282.793 0.125 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)C1=CCOCC1 ZINC001152912727 800570928 /nfs/dbraw/zinc/57/09/28/800570928.db2.gz KATGQEBVNSMCJU-CQSZACIVSA-N 0 1 294.395 0.810 20 30 CCEDMN Cn1cc(NC(=O)c2n[nH]c3cccnc32)c(C#N)n1 ZINC001153144403 800601872 /nfs/dbraw/zinc/60/18/72/800601872.db2.gz NLLQYYSOZKKFFY-UHFFFAOYSA-N 0 1 267.252 0.815 20 30 CCEDMN NC(=O)CCCC(=O)NCCNCC#Cc1ccccc1 ZINC001153456388 800631971 /nfs/dbraw/zinc/63/19/71/800631971.db2.gz YIRLJVXFNRZTSC-UHFFFAOYSA-N 0 1 287.363 0.400 20 30 CCEDMN C#CCCN(CC)[C@H](C)CNC(=O)CCS(C)(=O)=O ZINC001154042344 800710212 /nfs/dbraw/zinc/71/02/12/800710212.db2.gz MXEBDVJHQWXHNI-GFCCVEGCSA-N 0 1 288.413 0.271 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)c1cnn2[nH]c(C)nc12 ZINC001154667669 800827809 /nfs/dbraw/zinc/82/78/09/800827809.db2.gz ILFKXKXBEGSWBS-UHFFFAOYSA-N 0 1 290.327 0.178 20 30 CCEDMN N#Cc1cccc(C(=O)NC[C@@H](O)c2cnc[nH]2)n1 ZINC001155082590 800905156 /nfs/dbraw/zinc/90/51/56/800905156.db2.gz BALNSVBWWRYZRP-LLVKDONJSA-N 0 1 257.253 0.140 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)C(=O)N1CCC[C@@H](C)C1 ZINC001155661613 801034907 /nfs/dbraw/zinc/03/49/07/801034907.db2.gz DISBWZIMYFRPGJ-ZIAGYGMSSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1ncc(C)cn1 ZINC001155707660 801040782 /nfs/dbraw/zinc/04/07/82/801040782.db2.gz PREPZZNSPCPRAQ-UHFFFAOYSA-N 0 1 278.356 0.584 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1nc(Cl)c(C#N)cc1C#N ZINC001156829733 801245423 /nfs/dbraw/zinc/24/54/23/801245423.db2.gz USOYEDRGLCYMIS-UHFFFAOYSA-N 0 1 299.725 0.911 20 30 CCEDMN C[C@H]1CN(C(=O)c2n[nH]c3cc(C#N)ccc32)C[C@@H]1O ZINC001156879239 801253548 /nfs/dbraw/zinc/25/35/48/801253548.db2.gz ZGRDFKHGDQXKPR-UFBFGSQYSA-N 0 1 270.292 0.887 20 30 CCEDMN CC(C)C#CC(=O)NCCCN[C@H](C)c1nncn1C ZINC001157638448 801443033 /nfs/dbraw/zinc/44/30/33/801443033.db2.gz CZQVLBFBOAAYHV-GFCCVEGCSA-N 0 1 277.372 0.631 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1nc(C)oc1C ZINC001157874311 801507698 /nfs/dbraw/zinc/50/76/98/801507698.db2.gz NHVOGRBSLKAYRU-LBPRGKRZSA-N 0 1 293.367 0.926 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc(=O)n(C)o1 ZINC001157871877 801507929 /nfs/dbraw/zinc/50/79/29/801507929.db2.gz VYKKBBCJQGQWGC-GFCCVEGCSA-N 0 1 291.351 0.538 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)[C@@H]1Cc2ccccc2CN1 ZINC001157982012 801545624 /nfs/dbraw/zinc/54/56/24/801545624.db2.gz HILYVFICYVFAGZ-KGLIPLIRSA-N 0 1 270.336 0.025 20 30 CCEDMN Cc1cnc(CN)n1-c1nc(C#N)ccc1[N+](=O)[O-] ZINC001158164852 801579549 /nfs/dbraw/zinc/57/95/49/801579549.db2.gz QDCMBUVRKRLIKQ-UHFFFAOYSA-N 0 1 258.241 0.814 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CC(=O)N(C)C ZINC001158565550 801666876 /nfs/dbraw/zinc/66/68/76/801666876.db2.gz QDWSJDZNHFMLLF-CQSZACIVSA-N 0 1 293.411 0.801 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cc1ncc[nH]1 ZINC001158653719 801678601 /nfs/dbraw/zinc/67/86/01/801678601.db2.gz LHJQWRISYWTXOJ-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN CCOC(=O)c1c[nH]c2cc(N[C@@H](C#N)C(N)=O)ncc21 ZINC001159475701 801814730 /nfs/dbraw/zinc/81/47/30/801814730.db2.gz VDYXRDYUIQPFTN-JTQLQIEISA-N 0 1 287.279 0.529 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cc2ccon2)CC1 ZINC001159637187 801839675 /nfs/dbraw/zinc/83/96/75/801839675.db2.gz OGLLQTVTYLZJBA-UHFFFAOYSA-N 0 1 273.336 0.989 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cnnn2CC)CC1 ZINC001160042666 801901115 /nfs/dbraw/zinc/90/11/15/801901115.db2.gz LVDNHCDCFXVTDW-UHFFFAOYSA-N 0 1 287.367 0.683 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CO[C@@H]2CCOC2)CC1 ZINC001160143021 801910861 /nfs/dbraw/zinc/91/08/61/801910861.db2.gz YRQGONMGHCYRLQ-OAHLLOKOSA-N 0 1 292.379 0.564 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC(NC(=O)CC(C)C)CC1 ZINC001160498068 801950768 /nfs/dbraw/zinc/95/07/68/801950768.db2.gz GRVCQSPVOYJLCV-HNNXBMFYSA-N 0 1 279.384 0.490 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(c2nccc3occc32)CC1 ZINC001160591729 801977112 /nfs/dbraw/zinc/97/71/12/801977112.db2.gz WKWYHGPTKDOBQK-INIZCTEOSA-N 0 1 298.346 0.827 20 30 CCEDMN C#CCOCCOCCNc1ncnc2c1CCNC2 ZINC001160668857 801994442 /nfs/dbraw/zinc/99/44/42/801994442.db2.gz FUQCVFYHUZYHOJ-UHFFFAOYSA-N 0 1 276.340 0.201 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@@H](c2nc(CC)no2)C1 ZINC001160742480 802013764 /nfs/dbraw/zinc/01/37/64/802013764.db2.gz ODUXPGQJYCSEER-YGRLFVJLSA-N 0 1 276.340 0.689 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCc2oc(C(=O)OCC)nc2C1 ZINC001160823816 802023796 /nfs/dbraw/zinc/02/37/96/802023796.db2.gz JZLBSKPGFFQSSS-AWEZNQCLSA-N 0 1 291.307 0.087 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H](C)CC(N)=O)CC1 ZINC001160856953 802033745 /nfs/dbraw/zinc/03/37/45/802033745.db2.gz SMKFGJNZYVNUID-GFCCVEGCSA-N 0 1 277.368 0.270 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@H](N)Cc2cccc(O)c2)CCO1 ZINC001160877674 802038917 /nfs/dbraw/zinc/03/89/17/802038917.db2.gz AQKDELGMXPESSU-QWHCGFSZSA-N 0 1 275.308 0.013 20 30 CCEDMN N#CCN1CC=C(CCNC(=O)c2[nH]ncc2F)CC1 ZINC001161149675 802102555 /nfs/dbraw/zinc/10/25/55/802102555.db2.gz YHVHWVPAMYHNGE-UHFFFAOYSA-N 0 1 277.303 0.824 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@H](N2CCCC2)C(F)(F)C1 ZINC001161471938 802159772 /nfs/dbraw/zinc/15/97/72/802159772.db2.gz TXTMRIDNSNPCAL-YPMHNXCESA-N 0 1 285.338 0.669 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CCCCC(N)=O)CC1 ZINC001161665330 802195483 /nfs/dbraw/zinc/19/54/83/802195483.db2.gz MENWXISNRGQDSZ-UHFFFAOYSA-N 0 1 291.395 0.804 20 30 CCEDMN CC[C@H](C)[C@H](NC[C@@H](O)C(F)(F)F)C(=O)NO ZINC001251001888 807633897 /nfs/dbraw/zinc/63/38/97/807633897.db2.gz SFACLRBYXDJQOK-XVMARJQXSA-N 0 1 258.240 0.419 20 30 CCEDMN CN1CCC(C#N)(Nc2cc(C(N)=O)ccn2)CC1 ZINC001162225838 802293520 /nfs/dbraw/zinc/29/35/20/802293520.db2.gz USRMYROVMHNCGS-UHFFFAOYSA-N 0 1 259.313 0.580 20 30 CCEDMN N#CCNCCCNC(=O)c1ccc2cncn2c1 ZINC001162611203 802378320 /nfs/dbraw/zinc/37/83/20/802378320.db2.gz GRONRQCRRWRUMG-UHFFFAOYSA-N 0 1 257.297 0.567 20 30 CCEDMN CCCc1cc(C(=O)N(C)C[C@@H](O)CN(C)CC#N)n[nH]1 ZINC001278868346 808382514 /nfs/dbraw/zinc/38/25/14/808382514.db2.gz AHDYFGQRRDOPLQ-LBPRGKRZSA-N 0 1 293.371 0.250 20 30 CCEDMN Cc1cc(C#N)nc(NCc2nnc3n2CCCNC3)n1 ZINC001163011131 802455454 /nfs/dbraw/zinc/45/54/54/802455454.db2.gz CGUHKJAOFNYSHW-UHFFFAOYSA-N 0 1 284.327 0.354 20 30 CCEDMN C=CCn1cnc2c1ncnc2NS(=O)(=O)C1CC1 ZINC001259270815 808742570 /nfs/dbraw/zinc/74/25/70/808742570.db2.gz MBOATZDMLGTONS-UHFFFAOYSA-N 0 1 279.325 0.916 20 30 CCEDMN C=CCNC(=O)c1cccnc1-n1c(C)nnc1CN ZINC001163350603 802544746 /nfs/dbraw/zinc/54/47/46/802544746.db2.gz CTMUMDMZIYGGBN-UHFFFAOYSA-N 0 1 272.312 0.345 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@H](COC)OC)CC1 ZINC001261585000 809025125 /nfs/dbraw/zinc/02/51/25/809025125.db2.gz OMSYECUBKKQMBA-ZDUSSCGKSA-N 0 1 269.341 0.536 20 30 CCEDMN N#CCNCCCNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001163781959 802611015 /nfs/dbraw/zinc/61/10/15/802611015.db2.gz XCERBWDITQCLLG-UHFFFAOYSA-N 0 1 284.323 0.705 20 30 CCEDMN C[C@@]1(O)CN[C@H](c2nc(-c3ccc(C#N)cn3)no2)C1 ZINC001211935919 814934450 /nfs/dbraw/zinc/93/44/50/814934450.db2.gz VQQRFNFCHLBJBP-GWCFXTLKSA-N 0 1 271.280 0.789 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCNCc1ncnn1C ZINC001164221491 802690723 /nfs/dbraw/zinc/69/07/23/802690723.db2.gz BOVPDYIBFSELQE-NWDGAFQWSA-N 0 1 279.388 0.869 20 30 CCEDMN CN(C)C(=O)c1nc(CNc2ccnc(CC#N)c2)no1 ZINC001164261602 802699588 /nfs/dbraw/zinc/69/95/88/802699588.db2.gz YDJMSSUIRSVCMI-UHFFFAOYSA-N 0 1 286.295 0.266 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1ncc(C#N)cc1Cl ZINC001165437580 802841293 /nfs/dbraw/zinc/84/12/93/802841293.db2.gz TZZJKPQSSOHNSN-SNVBAGLBSA-N 0 1 280.715 0.558 20 30 CCEDMN Cc1cc(N2CC[C@@H](N)C(F)(F)C2)nc(C#N)n1 ZINC001166959341 802995712 /nfs/dbraw/zinc/99/57/12/802995712.db2.gz WVMWTLRUSBGRKR-MRVPVSSYSA-N 0 1 253.256 0.829 20 30 CCEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1Cc1c[nH]nn1 ZINC001203200043 803018375 /nfs/dbraw/zinc/01/83/75/803018375.db2.gz OQIFRCALGXJEPA-GFCCVEGCSA-N 0 1 289.343 0.235 20 30 CCEDMN CCc1cc(C(=O)N2CCC(CNCC#N)CC2)n[nH]1 ZINC001204561249 803088102 /nfs/dbraw/zinc/08/81/02/803088102.db2.gz YBCOWCFIRBNERJ-UHFFFAOYSA-N 0 1 275.356 0.937 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCOCC)C1 ZINC001206190864 803214059 /nfs/dbraw/zinc/21/40/59/803214059.db2.gz BWXIDFCJLUCCPY-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCOC2)C1 ZINC001206407525 803239445 /nfs/dbraw/zinc/23/94/45/803239445.db2.gz SBDVJVSZYWTKKM-HZSPNIEDSA-N 0 1 280.368 0.109 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CC[C@H]2CCOC2)C1 ZINC001206865082 803296295 /nfs/dbraw/zinc/29/62/95/803296295.db2.gz YXSAVYWSLZDWJT-HZSPNIEDSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCOCCN1C[C@@H](C)[C@H](NC(=O)c2ncn(C)n2)C1 ZINC001206927967 803310743 /nfs/dbraw/zinc/31/07/43/803310743.db2.gz ADIBTMDHYZBLDD-VXGBXAGGSA-N 0 1 293.371 0.068 20 30 CCEDMN Cn1cc(CN2CC[C@H](O)[C@@](C)(CO)C2)cc1C#N ZINC001207103583 803353082 /nfs/dbraw/zinc/35/30/82/803353082.db2.gz YBYJPZKWKMEQAT-UONOGXRCSA-N 0 1 263.341 0.462 20 30 CCEDMN C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)Cn2ccc(C)n2)C1 ZINC001207138412 803358569 /nfs/dbraw/zinc/35/85/69/803358569.db2.gz IKOKGXQENJVPCT-UMVBOHGHSA-N 0 1 288.395 0.897 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)Cn2ccc(C)n2)C1 ZINC001207138412 803358576 /nfs/dbraw/zinc/35/85/76/803358576.db2.gz IKOKGXQENJVPCT-UMVBOHGHSA-N 0 1 288.395 0.897 20 30 CCEDMN C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)Cn2ccc(C)n2)C1 ZINC001207138413 803358657 /nfs/dbraw/zinc/35/86/57/803358657.db2.gz IKOKGXQENJVPCT-VNHYZAJKSA-N 0 1 288.395 0.897 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)Cn2ccc(C)n2)C1 ZINC001207138413 803358665 /nfs/dbraw/zinc/35/86/65/803358665.db2.gz IKOKGXQENJVPCT-VNHYZAJKSA-N 0 1 288.395 0.897 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](COC)OC)C1 ZINC001208541049 803520847 /nfs/dbraw/zinc/52/08/47/803520847.db2.gz CLBRVJRAFFCBCZ-IJLUTSLNSA-N 0 1 256.346 0.270 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCc2cnn(C)n2)C1 ZINC001208657822 803532856 /nfs/dbraw/zinc/53/28/56/803532856.db2.gz NUEIGOZMMIWTDF-TZMCWYRMSA-N 0 1 289.383 0.208 20 30 CCEDMN CN1CC=C(n2c(N)c(C#N)c(N)nc2=NC2CC2)CC1 ZINC001208742022 803543277 /nfs/dbraw/zinc/54/32/77/803543277.db2.gz QPGUOZVEAYXFIZ-UHFFFAOYSA-N 0 1 285.355 0.159 20 30 CCEDMN C=CCN(CCN1CC[C@H](O)[C@@H](CO)C1)C(=O)OCC ZINC001209015923 803563472 /nfs/dbraw/zinc/56/34/72/803563472.db2.gz BVSXYVKAKSTPGO-OLZOCXBDSA-N 0 1 286.372 0.306 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H](C)COC)C1 ZINC001209897080 803633870 /nfs/dbraw/zinc/63/38/70/803633870.db2.gz RYGGEWYDQKBEPQ-JHJVBQTASA-N 0 1 252.358 0.729 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCCC(N)=O)C1 ZINC001210165862 803640266 /nfs/dbraw/zinc/64/02/66/803640266.db2.gz OHTQVSITOOKTPS-VXGBXAGGSA-N 0 1 265.357 0.102 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H]2CCOC2)[C@H](OC)C1 ZINC001211972600 814955605 /nfs/dbraw/zinc/95/56/05/814955605.db2.gz SQLSVOZRWGNCEG-BFHYXJOUSA-N 0 1 298.383 0.041 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COC[C@H]2CCCO2)C1 ZINC001211478612 803684115 /nfs/dbraw/zinc/68/41/15/803684115.db2.gz ZEZMBKKMRXHUPX-RBSFLKMASA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(C)(F)F)[C@H](OC)C1 ZINC001213403592 803694209 /nfs/dbraw/zinc/69/42/09/803694209.db2.gz IQMSKJJVVSAGBD-GHMZBOCLSA-N 0 1 274.311 0.870 20 30 CCEDMN CCN1C[C@H]2OCCN(C(=O)CSCC#N)[C@H]2C1 ZINC001217330979 803884840 /nfs/dbraw/zinc/88/48/40/803884840.db2.gz GVTURFHHBMPKLD-WDEREUQCSA-N 0 1 269.370 0.175 20 30 CCEDMN COCCCN1C[C@H]2OCCN(C(=O)[C@H](C)C#N)[C@H]2C1 ZINC001217533335 803894425 /nfs/dbraw/zinc/89/44/25/803894425.db2.gz UVUYSKUNXJZEGF-FRRDWIJNSA-N 0 1 281.356 0.094 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CC[C@@H](C)OC)[C@H]2C1 ZINC001217680952 803899034 /nfs/dbraw/zinc/89/90/34/803899034.db2.gz UCKQOEHQAAFTPR-QLFBSQMISA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCCCC(=O)N1CCO[C@@H]2CN(C[C@@H](C)OC)C[C@@H]21 ZINC001217758352 803912197 /nfs/dbraw/zinc/91/21/97/803912197.db2.gz KJYNLOFASKMWEN-QLFBSQMISA-N 0 1 294.395 0.736 20 30 CCEDMN C=C(C)CCC(=O)N1CCO[C@@H]2CN(C[C@@H](C)O)C[C@@H]21 ZINC001218037827 803928468 /nfs/dbraw/zinc/92/84/68/803928468.db2.gz RUTXOOBHLPUQEJ-HZSPNIEDSA-N 0 1 282.384 0.635 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1nonc1N ZINC001218542758 803996323 /nfs/dbraw/zinc/99/63/23/803996323.db2.gz NTOSZIGSSVZXKX-VIFPVBQESA-N 0 1 272.268 0.032 20 30 CCEDMN CO[C@@H]1CN(CCOC2CCC2)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212041670 814983409 /nfs/dbraw/zinc/98/34/09/814983409.db2.gz XLVRUSWIKIMYTC-IACUBPJLSA-N 0 1 295.383 0.531 20 30 CCEDMN C[C@H](C[C@H]1CCOC1)NC(=O)NCC#CCN(C)C ZINC001223758856 814991454 /nfs/dbraw/zinc/99/14/54/814991454.db2.gz AWFKJTWEJOAMNK-CHWSQXEVSA-N 0 1 267.373 0.666 20 30 CCEDMN N#Cc1ccc(NC(=O)[C@]23C[NH2+]C[C@H]2CCN3)c([O-])c1 ZINC001218987777 804175357 /nfs/dbraw/zinc/17/53/57/804175357.db2.gz BSHACQQZBSHQRT-YGRLFVJLSA-N 0 1 272.308 0.154 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCOC(C)C)[C@@H](O)C1 ZINC001219224647 804240684 /nfs/dbraw/zinc/24/06/84/804240684.db2.gz GFESGROTUKVROD-OLZOCXBDSA-N 0 1 270.373 0.539 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COC2CCCC2)[C@@H](O)C1 ZINC001219266251 804252581 /nfs/dbraw/zinc/25/25/81/804252581.db2.gz XUDYFVWZVOHEOH-KGLIPLIRSA-N 0 1 280.368 0.130 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccnc3ccnn32)[C@H]1C ZINC001088617041 815003685 /nfs/dbraw/zinc/00/36/85/815003685.db2.gz VXROFHCGWPEWIS-OLZOCXBDSA-N 0 1 297.362 0.945 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC2(O)CCC2)[C@@H](O)C1 ZINC001219593504 804364222 /nfs/dbraw/zinc/36/42/22/804364222.db2.gz VOOFYHXEUISRPX-NEPJUHHUSA-N 0 1 268.357 0.029 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1cc(C)on1 ZINC001272109471 815016377 /nfs/dbraw/zinc/01/63/77/815016377.db2.gz FYGVHESNEBYHJY-UHFFFAOYSA-N 0 1 287.747 0.760 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)NC1=CC(=O)CCC1 ZINC001219885350 804440882 /nfs/dbraw/zinc/44/08/82/804440882.db2.gz NDODVHDCXBCWDG-LBPRGKRZSA-N 0 1 281.356 0.229 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC1CCC2(CCC(=O)N2C)CC1 ZINC001219895835 804442654 /nfs/dbraw/zinc/44/26/54/804442654.db2.gz ILEDLQCKPJKUIQ-WPBUFGDCSA-N 0 1 277.368 0.387 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NC1CC(=O)C1 ZINC001220018828 804472768 /nfs/dbraw/zinc/47/27/68/804472768.db2.gz VEKWCDCTJVVOGR-ZDUSSCGKSA-N 0 1 257.293 0.276 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)[C@H](N)c1ccccc1 ZINC001220036483 804479429 /nfs/dbraw/zinc/47/94/29/804479429.db2.gz OVPLPSHNUOUWLS-VHSXEESVSA-N 0 1 268.338 0.274 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@@H]1O ZINC001220134015 804507552 /nfs/dbraw/zinc/50/75/52/804507552.db2.gz VRMGTVCLFDNKDZ-UTUOFQBUSA-N 0 1 270.373 0.131 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@H]2CCCCO2)C[C@@H]1O ZINC001220147707 804514329 /nfs/dbraw/zinc/51/43/29/804514329.db2.gz JEJHSTZJYQMBPR-MCIONIFRSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](C)C(F)(F)F)[C@@H](O)C1 ZINC001220265018 804555066 /nfs/dbraw/zinc/55/50/66/804555066.db2.gz TYEBDLUSFUEIQM-MXWKQRLJSA-N 0 1 292.301 0.760 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2ocnc2CC)[C@@H](O)C1 ZINC001220318135 804570970 /nfs/dbraw/zinc/57/09/70/804570970.db2.gz IHAPVWIYRZUIDG-OLZOCXBDSA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H](C)COC)[C@@H](O)C1 ZINC001220656650 804643903 /nfs/dbraw/zinc/64/39/03/804643903.db2.gz KQXYANDYKIOBJG-UPJWGTAASA-N 0 1 270.373 0.396 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccc2nnc(CC)n2c1 ZINC001220992360 804713942 /nfs/dbraw/zinc/71/39/42/804713942.db2.gz NKXWDAQFJBIOMO-AWEZNQCLSA-N 0 1 271.324 0.259 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CN(CCC)C[C@@H]1O ZINC001221148156 804735690 /nfs/dbraw/zinc/73/56/90/804735690.db2.gz SWTMDHCNRFDQAV-UTUOFQBUSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)[C@H]3CCCO3)[C@@H]2C1 ZINC001221241612 804753806 /nfs/dbraw/zinc/75/38/06/804753806.db2.gz CMMHISAFRPDUAM-RBSFLKMASA-N 0 1 294.395 0.901 20 30 CCEDMN COC[C@@H](C)N1CC[C@@H]2CN(C(=O)CSCC#N)[C@@H]2C1 ZINC001221293907 804769542 /nfs/dbraw/zinc/76/95/42/804769542.db2.gz AHGXXFWIQDHLJY-JHJVBQTASA-N 0 1 297.424 0.811 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@H]1c2ccccc2NC1=O ZINC001221309214 804772694 /nfs/dbraw/zinc/77/26/94/804772694.db2.gz LECNVRKRUDCQNF-HZMBPMFUSA-N 0 1 257.293 0.189 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N[C@H]1[C@@H]2COC[C@@H]21 ZINC001221339543 804782705 /nfs/dbraw/zinc/78/27/05/804782705.db2.gz JPNODTZWBWBTIE-RFQIPJPRSA-N 0 1 271.320 0.189 20 30 CCEDMN C#CCCC1(CCNC(=O)C[C@H]2COCCN2)N=N1 ZINC001221408506 804811300 /nfs/dbraw/zinc/81/13/00/804811300.db2.gz JWQNRAKPIHYMOH-NSHDSACASA-N 0 1 264.329 0.447 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001221423372 804814158 /nfs/dbraw/zinc/81/41/58/804814158.db2.gz GZJOSRXQUWBHGJ-BFHYXJOUSA-N 0 1 282.384 0.493 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001221446635 804819913 /nfs/dbraw/zinc/81/99/13/804819913.db2.gz PMWSARYNOAGFHS-MGPQQGTHSA-N 0 1 277.368 0.881 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](C)OCCOC)[C@@H]2C1 ZINC001221846805 804848497 /nfs/dbraw/zinc/84/84/97/804848497.db2.gz OMZHJBIWWKACLF-BFHYXJOUSA-N 0 1 282.384 0.757 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CCN(C(=O)COCCOC)C[C@@H]21 ZINC001222463640 804902736 /nfs/dbraw/zinc/90/27/36/804902736.db2.gz RFFARHCEMRAJDS-KGLIPLIRSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CCCC(=O)NCC1CCN([C@H]2CCNC2=O)CC1 ZINC001222579377 804917297 /nfs/dbraw/zinc/91/72/97/804917297.db2.gz UEIDKLSDPCFOOE-ZDUSSCGKSA-N 0 1 279.384 0.669 20 30 CCEDMN CC(C)NC(=O)CN1CCC(CNC(=O)[C@@H](C)C#N)CC1 ZINC001222642064 804923230 /nfs/dbraw/zinc/92/32/30/804923230.db2.gz XTPPGVROSKFDRI-LBPRGKRZSA-N 0 1 294.399 0.499 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@H]2CCN(CCF)[C@H]2C1 ZINC001222670971 804924676 /nfs/dbraw/zinc/92/46/76/804924676.db2.gz LLAXFIIEFUPCLP-KGLIPLIRSA-N 0 1 282.359 0.919 20 30 CCEDMN CN(C)C(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc(C#N)cc1O)C2 ZINC001276864751 804955127 /nfs/dbraw/zinc/95/51/27/804955127.db2.gz HVJMCDXZGZEWDU-BDJLRTHQSA-N 0 1 299.330 0.814 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)C2(C)CC2)CC1 ZINC001223265652 804962359 /nfs/dbraw/zinc/96/23/59/804962359.db2.gz HXEUMBATGSCICI-UHFFFAOYSA-N 0 1 293.411 0.917 20 30 CCEDMN C=C1CCC(C(=O)NC[C@@H]2CCN2C[C@@H](O)COC)CC1 ZINC001276916113 804988370 /nfs/dbraw/zinc/98/83/70/804988370.db2.gz JBWVFJJTHIJRCA-LSDHHAIUSA-N 0 1 296.411 0.931 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001276940555 805002649 /nfs/dbraw/zinc/00/26/49/805002649.db2.gz KSNLENGHKRNPKL-JSGCOSHPSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224051433 805004328 /nfs/dbraw/zinc/00/43/28/805004328.db2.gz XBZAWCMYECOFTO-UHFFFAOYSA-N 0 1 267.373 0.512 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224165923 805013689 /nfs/dbraw/zinc/01/36/89/805013689.db2.gz VMDLIQGCOIIXAR-RYUDHWBXSA-N 0 1 281.400 0.758 20 30 CCEDMN Cc1nnc(CN[C@@H]2CCCC[C@H]2NC(=O)[C@H](C)C#N)[nH]1 ZINC001225427057 805127570 /nfs/dbraw/zinc/12/75/70/805127570.db2.gz OMNRTIGJBIJSJU-YUSALJHKSA-N 0 1 290.371 0.790 20 30 CCEDMN C=C(C)CCC(=O)NCC1(NCC(=O)NCC)CC1 ZINC001277071141 805160282 /nfs/dbraw/zinc/16/02/82/805160282.db2.gz CPKKJWCULZHDAO-UHFFFAOYSA-N 0 1 267.373 0.717 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cccn2C)CC1 ZINC001226047508 805188855 /nfs/dbraw/zinc/18/88/55/805188855.db2.gz IHJCJIJQBSKBJI-UHFFFAOYSA-N 0 1 289.379 0.869 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2COCCN2CC)[C@H]1C ZINC001088683942 815056368 /nfs/dbraw/zinc/05/63/68/815056368.db2.gz RYYRIXZGXOPEEP-QLFBSQMISA-N 0 1 293.411 0.309 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cn[nH]c2)CC1 ZINC001226187334 805201846 /nfs/dbraw/zinc/20/18/46/805201846.db2.gz KTAFKYKELYSPOG-UHFFFAOYSA-N 0 1 276.340 0.254 20 30 CCEDMN C[N+](C)(C)C[C@@H](CC#N)Oc1nccc(/C=N/[O-])n1 ZINC001226256424 805212102 /nfs/dbraw/zinc/21/21/02/805212102.db2.gz RQRVXTMBSXKVCY-OXFWXOROSA-O 0 1 264.309 0.652 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H]2CCOC2)CC1 ZINC001226377905 805224815 /nfs/dbraw/zinc/22/48/15/805224815.db2.gz WFXXZSSELJMBHS-CYBMUJFWSA-N 0 1 280.368 0.253 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)[C@H]2CC23CCC3)CC1 ZINC001277183270 805326368 /nfs/dbraw/zinc/32/63/68/805326368.db2.gz LJHGXMUFUHLIMM-LLVKDONJSA-N 0 1 290.367 0.055 20 30 CCEDMN C[C@H]1OCc2c1[nH]c(=O)nc2O[C@H]1CCN(CC#N)C1 ZINC001227713170 805381113 /nfs/dbraw/zinc/38/11/13/805381113.db2.gz NLWMCBRQHKOJJU-BDAKNGLRSA-N 0 1 276.296 0.750 20 30 CCEDMN N#CCCN1CC(Oc2[nH]c(=O)ncc2Cl)C1 ZINC001228481591 805451167 /nfs/dbraw/zinc/45/11/67/805451167.db2.gz DUOYQPJBRXGVHI-UHFFFAOYSA-N 0 1 254.677 0.812 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1[nH]c(=O)[nH]c(=O)c1F ZINC001229123299 805505105 /nfs/dbraw/zinc/50/51/05/805505105.db2.gz CKSDTFAIWWAOSA-LURJTMIESA-N 0 1 270.216 0.361 20 30 CCEDMN N#CCN1CC[C@H](Oc2[nH]c(=O)[nH]c(=O)c2F)C1 ZINC001229123286 805505666 /nfs/dbraw/zinc/50/56/66/805505666.db2.gz CFUVHHMQXGFBTQ-LURJTMIESA-N 0 1 254.221 0.004 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2CCO[C@@H]2C)CC1 ZINC001229723563 805551756 /nfs/dbraw/zinc/55/17/56/805551756.db2.gz SSHJEFBGOVDRBF-HIFRSBDPSA-N 0 1 294.395 0.642 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@]2(C)CCOC2)CC1 ZINC001229733574 805554419 /nfs/dbraw/zinc/55/44/19/805554419.db2.gz XXSKIYQLKDMQPQ-INIZCTEOSA-N 0 1 294.395 0.643 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)CN2CCOCC2=O)C1 ZINC001278403905 807075007 /nfs/dbraw/zinc/07/50/07/807075007.db2.gz DEGSLPVXUZEIKD-HNNXBMFYSA-N 0 1 295.383 0.002 20 30 CCEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001278403436 807075395 /nfs/dbraw/zinc/07/53/95/807075395.db2.gz BHGUEZWGXNEPFL-WFASDCNBSA-N 0 1 279.384 0.621 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](NCc2ccc(C#N)s2)CN1 ZINC001246284150 807207392 /nfs/dbraw/zinc/20/73/92/807207392.db2.gz ZXORAGNCRAQCBQ-KWQFWETISA-N 0 1 265.338 0.613 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCCC(=O)N2)[C@H]1C ZINC001088728993 815121073 /nfs/dbraw/zinc/12/10/73/815121073.db2.gz IZEHBAHPYVOTQR-UPJWGTAASA-N 0 1 277.368 0.257 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)c2cnon2)[C@H]1C ZINC001278520900 807562884 /nfs/dbraw/zinc/56/28/84/807562884.db2.gz KBRIHVLRCBSSMK-NEPJUHHUSA-N 0 1 294.355 0.855 20 30 CCEDMN C=CCOCC(=O)Nc1ccc(OCc2nn[nH]n2)cc1 ZINC000346126520 807579874 /nfs/dbraw/zinc/57/98/74/807579874.db2.gz WIHCPQPCSLSIJO-UHFFFAOYSA-N 0 1 289.295 0.920 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)C[C@H]1CCC[C@H]1O ZINC001251707807 807695271 /nfs/dbraw/zinc/69/52/71/807695271.db2.gz GTLHASGQRMOPCE-CHWSQXEVSA-N 0 1 267.373 0.354 20 30 CCEDMN COCCN(CCF)C(=O)NCC#CCN(C)C ZINC001251707718 807695565 /nfs/dbraw/zinc/69/55/65/807695565.db2.gz DGMQQYNFDHJNPL-UHFFFAOYSA-N 0 1 259.325 0.179 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(c2ccccc2C#N)CC1 ZINC001251821854 807709786 /nfs/dbraw/zinc/70/97/86/807709786.db2.gz YNFUCJKGIABPHV-MRXNPFEDSA-N 0 1 299.374 0.691 20 30 CCEDMN C#CCOC[C@@H](O)CNc1cc(C(=O)OCC)n[nH]1 ZINC001251825406 807711094 /nfs/dbraw/zinc/71/10/94/807711094.db2.gz WWYAOWXHRZENIX-VIFPVBQESA-N 0 1 267.285 0.009 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cccc(Br)n1 ZINC001251887366 807735393 /nfs/dbraw/zinc/73/53/93/807735393.db2.gz ANZUZXYLFMAXJR-NSHDSACASA-N 0 1 299.168 0.944 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@H]1COc2ccc(F)cc21 ZINC001251891585 807737563 /nfs/dbraw/zinc/73/75/63/807737563.db2.gz WAJRPAYCVVQLPA-AAEUAGOBSA-N 0 1 265.284 0.860 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ccccc1S(C)(=O)=O ZINC001251893365 807737831 /nfs/dbraw/zinc/73/78/31/807737831.db2.gz CASCEVAPLUVCNB-ZDUSSCGKSA-N 0 1 297.376 0.190 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@H](CC(=O)OC)c1ccccn1 ZINC001251896631 807739633 /nfs/dbraw/zinc/73/96/33/807739633.db2.gz KWZUDYURKOIGRS-GXTWGEPZSA-N 0 1 292.335 0.286 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(OCC(C)C)ncn1 ZINC001251899758 807741228 /nfs/dbraw/zinc/74/12/28/807741228.db2.gz BAQKMZJHQUHRQE-CQSZACIVSA-N 0 1 293.367 0.612 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(OCC)ccn1 ZINC001251897165 807741548 /nfs/dbraw/zinc/74/15/48/807741548.db2.gz UPLJAEUGYQGZLR-ZDUSSCGKSA-N 0 1 264.325 0.581 20 30 CCEDMN C#CCOC[C@H](O)CN[C@@H]1CCc2ccccc2C1=O ZINC001251904294 807743730 /nfs/dbraw/zinc/74/37/30/807743730.db2.gz XAJPPHOKLAXJRT-UKRRQHHQSA-N 0 1 273.332 0.784 20 30 CCEDMN COC(=O)[C@@H](O)CNC1(c2ccc(C#N)cc2)CC1 ZINC001252444411 807860592 /nfs/dbraw/zinc/86/05/92/807860592.db2.gz GXVHTZNSFBVACT-LBPRGKRZSA-N 0 1 260.293 0.671 20 30 CCEDMN C=CCOC[C@H](O)CN1CC2(C1)CCOC[C@@H]2C(=O)OC ZINC001252469948 807880104 /nfs/dbraw/zinc/88/01/04/807880104.db2.gz FMAUXISXGMWURU-CHWSQXEVSA-N 0 1 299.367 0.061 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cc(OCC)ncn1 ZINC001252497194 807894879 /nfs/dbraw/zinc/89/48/79/807894879.db2.gz LORIGLGUGJKLGB-GFCCVEGCSA-N 0 1 267.329 0.528 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(c2ncccc2C(N)=O)CC1 ZINC001252556827 807909719 /nfs/dbraw/zinc/90/97/19/807909719.db2.gz FERLFEBZJINBGF-HNNXBMFYSA-N 0 1 290.367 0.240 20 30 CCEDMN N#C[C@H]1CN(C[C@H](O)COCc2ccco2)CCC1=O ZINC001252641432 807926397 /nfs/dbraw/zinc/92/63/97/807926397.db2.gz ORVYQSXIPRTVAD-RYUDHWBXSA-N 0 1 278.308 0.572 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)nc(C)c2)[C@@H](O)C1 ZINC001083493119 815160763 /nfs/dbraw/zinc/16/07/63/815160763.db2.gz JGPQAZTXHPDKKU-CABCVRRESA-N 0 1 287.363 0.497 20 30 CCEDMN C=C[C@@H](O)CN1Cc2ccnn2C[C@@H](C(=O)NC(C)C)C1 ZINC001253576286 808083291 /nfs/dbraw/zinc/08/32/91/808083291.db2.gz LQUQBYYSYCNYKS-GXTWGEPZSA-N 0 1 292.383 0.386 20 30 CCEDMN C=C[C@@H](O)CN1Cc2ccnn2C[C@H](COCC)C1 ZINC001253576381 808084044 /nfs/dbraw/zinc/08/40/44/808084044.db2.gz CUWFTDWBKRBASC-TZMCWYRMSA-N 0 1 265.357 0.898 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@@H](CC)OCC)C1 ZINC001278758976 808170144 /nfs/dbraw/zinc/17/01/44/808170144.db2.gz BTFLNIGWEQXRKU-HIFRSBDPSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)/C=C\c2ccc[nH]2)C1 ZINC001278770829 808199639 /nfs/dbraw/zinc/19/96/39/808199639.db2.gz FXZKRLZODWKSHU-IYKSTZQJSA-N 0 1 273.336 0.214 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1CCCCC1 ZINC001278844733 808320974 /nfs/dbraw/zinc/32/09/74/808320974.db2.gz LBPJYVVKJPWFPD-AWEZNQCLSA-N 0 1 266.385 0.951 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccc(OC)o1 ZINC001278878509 808419239 /nfs/dbraw/zinc/41/92/39/808419239.db2.gz OUMGQXGJZCFVEU-GFCCVEGCSA-N 0 1 294.351 0.676 20 30 CCEDMN CC#CCN(C)CCN(C(=O)CO[C@H]1CCOC1)C(C)C ZINC001278907617 808464090 /nfs/dbraw/zinc/46/40/90/808464090.db2.gz GSFKRABRBIQCER-HNNXBMFYSA-N 0 1 296.411 0.984 20 30 CCEDMN CC[C@H](CC#N)N[C@H](C)CC(=O)N1CCOCC1 ZINC001256322787 808494802 /nfs/dbraw/zinc/49/48/02/808494802.db2.gz BPFVDPRJCSAZMC-VXGBXAGGSA-N 0 1 253.346 0.906 20 30 CCEDMN C[C@H](CC(=O)N1CCOCC1)N1CC(CC#N)C1 ZINC001256335539 808502028 /nfs/dbraw/zinc/50/20/28/808502028.db2.gz PSHDYKHHMCHAAX-LLVKDONJSA-N 0 1 251.330 0.469 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@]1(C)CC(C)(C)OC1=O ZINC001256428345 808528316 /nfs/dbraw/zinc/52/83/16/808528316.db2.gz MGTULHKHUMIMJA-CQSZACIVSA-N 0 1 281.356 0.335 20 30 CCEDMN N#CCSCC(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC001256739372 808555378 /nfs/dbraw/zinc/55/53/78/808555378.db2.gz LMRPIOOHNAZDPL-UHFFFAOYSA-N 0 1 282.325 0.738 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](N2CC(CC#N)C2)C[C@H]1C(=O)OC ZINC001257734926 808632123 /nfs/dbraw/zinc/63/21/23/808632123.db2.gz USRCHGHEHNQMNV-YNEHKIRRSA-N 0 1 294.351 0.963 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cn(C)ccc3=O)[C@@H]2C1 ZINC001076024926 815236002 /nfs/dbraw/zinc/23/60/02/815236002.db2.gz DMMOZZBJEKFKNM-GXTWGEPZSA-N 0 1 287.363 0.718 20 30 CCEDMN C[C@H](CC(=O)NC1(C#N)CCN(C)CC1)n1ccnc1 ZINC001261504970 809002414 /nfs/dbraw/zinc/00/24/14/809002414.db2.gz VQMNHWPUNWHZDV-GFCCVEGCSA-N 0 1 275.356 0.938 20 30 CCEDMN C=CCO[C@H]1CC[N@@H+](Cc2cc(C(=O)[O-])n[nH]2)C1 ZINC001262156349 809209220 /nfs/dbraw/zinc/20/92/20/809209220.db2.gz OEWQLLSXRGZNFU-JTQLQIEISA-N 0 1 251.286 0.885 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](Cc2cc(C(=O)[O-])n[nH]2)C1 ZINC001262156349 809209224 /nfs/dbraw/zinc/20/92/24/809209224.db2.gz OEWQLLSXRGZNFU-JTQLQIEISA-N 0 1 251.286 0.885 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001076296718 815274344 /nfs/dbraw/zinc/27/43/44/815274344.db2.gz QVEWOYMMSQVUEE-LBPRGKRZSA-N 0 1 290.367 0.767 20 30 CCEDMN C#CCN1CCC(O)(C2(C(=O)OC)CCC2)CC1 ZINC001262483484 809317330 /nfs/dbraw/zinc/31/73/30/809317330.db2.gz GLYALNJODIROKS-UHFFFAOYSA-N 0 1 251.326 0.790 20 30 CCEDMN C#C[C@H](NC[C@@H](O)C[C@@]1(O)CCOC1)c1ccc(F)cc1 ZINC001262566803 809345812 /nfs/dbraw/zinc/34/58/12/809345812.db2.gz AMLIMOLGTDCRSD-JYJNAYRXSA-N 0 1 293.338 0.992 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCc3ncccn3)[C@@H]2C1 ZINC001076380317 815288290 /nfs/dbraw/zinc/28/82/90/815288290.db2.gz KNINJGQAKILQRD-LSDHHAIUSA-N 0 1 298.390 0.965 20 30 CCEDMN C#CCNCC(=O)N[C@@H](c1nc(C2CC2)no1)C(C)C ZINC001263081599 809435513 /nfs/dbraw/zinc/43/55/13/809435513.db2.gz IQSIYNGZSKTPSB-GFCCVEGCSA-N 0 1 276.340 0.983 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)N(CCC#N)CCC#N ZINC000379431761 809512331 /nfs/dbraw/zinc/51/23/31/809512331.db2.gz HKVCQJTWDHHNMC-NSHDSACASA-N 0 1 266.345 0.785 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001263896379 809601984 /nfs/dbraw/zinc/60/19/84/809601984.db2.gz XEGRFVWIRDKXSF-JQWIXIFHSA-N 0 1 278.356 0.785 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NC[C@@H](O)c1cnc[nH]1)OCC ZINC001263909898 809604365 /nfs/dbraw/zinc/60/43/65/809604365.db2.gz JKNNIHAGAYBCPH-VXGBXAGGSA-N 0 1 267.329 0.931 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cn1cncn1 ZINC001264378739 809646369 /nfs/dbraw/zinc/64/63/69/809646369.db2.gz QDILHEFZFNHNRK-GFCCVEGCSA-N 0 1 263.345 0.387 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C1(C(=O)NC)CCC1 ZINC001264378225 809646516 /nfs/dbraw/zinc/64/65/16/809646516.db2.gz FYBKIIRFPMXGDF-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3cncn3C)[C@@H]2C1 ZINC001076516760 815306346 /nfs/dbraw/zinc/30/63/46/815306346.db2.gz BWKOEKYKIFLUMA-DZGCQCFKSA-N 0 1 286.379 0.519 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)CO[C@H]2CCOC2)[C@H]1CC ZINC001264608208 809665634 /nfs/dbraw/zinc/66/56/34/809665634.db2.gz WNCZPYCYMGGEOG-MELADBBJSA-N 0 1 282.384 0.947 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)C1=CCOCC1 ZINC001264662481 809669784 /nfs/dbraw/zinc/66/97/84/809669784.db2.gz GRIYLHQOBUDODS-UHFFFAOYSA-N 0 1 294.395 0.763 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C2(COC)CCOCC2)[C@H]1C ZINC001264703961 809673344 /nfs/dbraw/zinc/67/33/44/809673344.db2.gz LAKVUCJPUOVNQY-KGLIPLIRSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCOCC[NH2+][C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001264871838 809679800 /nfs/dbraw/zinc/67/98/00/809679800.db2.gz PUSNKKRCQMKKFG-GFCCVEGCSA-N 0 1 291.351 0.794 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H]1C[C@H]1C(C)C ZINC001265054438 809701726 /nfs/dbraw/zinc/70/17/26/809701726.db2.gz MHMKXGVFNCILIR-KBPBESRZSA-N 0 1 293.411 0.466 20 30 CCEDMN C=CCNC(=O)CN(CC)CCCNC(=O)C#CC1CC1 ZINC001265113415 809710953 /nfs/dbraw/zinc/71/09/53/809710953.db2.gz MIIOMEJEHBJQRW-UHFFFAOYSA-N 0 1 291.395 0.530 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCCN(CC#N)C1CC1 ZINC001265170877 809718791 /nfs/dbraw/zinc/71/87/91/809718791.db2.gz DYXJXHVQKLIYIZ-CYBMUJFWSA-N 0 1 264.373 0.575 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@H](OC)C(C)C)CC1 ZINC001265249950 809730804 /nfs/dbraw/zinc/73/08/04/809730804.db2.gz UHWUEUAMCXSBAP-OAHLLOKOSA-N 0 1 297.443 0.967 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cccc(C)n2)CC1 ZINC001265256114 809731998 /nfs/dbraw/zinc/73/19/98/809731998.db2.gz MOKJGLZAYDYIIO-UHFFFAOYSA-N 0 1 288.395 0.923 20 30 CCEDMN Cc1ccc(C(=O)NC2(C#N)CCN(C)CC2)c(=O)[nH]1 ZINC000701246774 809803633 /nfs/dbraw/zinc/80/36/33/809803633.db2.gz ABLNIOWXMZMWJF-UHFFFAOYSA-N 0 1 274.324 0.813 20 30 CCEDMN Cc1nocc1CN[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001265887617 809884976 /nfs/dbraw/zinc/88/49/76/809884976.db2.gz FHMFYKXMHYXEKA-LBPRGKRZSA-N 0 1 294.380 0.930 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@H](NCc2ccns2)C1 ZINC001265905405 809890339 /nfs/dbraw/zinc/89/03/39/809890339.db2.gz MSQYZDISUMVEEB-RYUDHWBXSA-N 0 1 293.392 0.872 20 30 CCEDMN C=CCNC(=O)CNC[C@H](O)c1c(F)cccc1F ZINC000393846144 809918134 /nfs/dbraw/zinc/91/81/34/809918134.db2.gz PWJGTWYMGXDSMT-NSHDSACASA-N 0 1 270.279 0.890 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001266060171 809928227 /nfs/dbraw/zinc/92/82/27/809928227.db2.gz RIYNLPWFLIZKSB-VXGBXAGGSA-N 0 1 275.356 0.883 20 30 CCEDMN C#CCNC(=O)CN(CCCNC(=O)C(C)(C)F)C1CC1 ZINC001266116596 809939435 /nfs/dbraw/zinc/93/94/35/809939435.db2.gz GMMPZJFKYMMUII-UHFFFAOYSA-N 0 1 297.374 0.455 20 30 CCEDMN C#CCCCC(=O)NCC[C@@H](C)N[C@@H](C)c1nnnn1C ZINC001266141054 809947513 /nfs/dbraw/zinc/94/75/13/809947513.db2.gz WYNQGEWDRCWCAG-NEPJUHHUSA-N 0 1 292.387 0.559 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)[C@H](C)OCC#C)C1 ZINC001266217725 809966142 /nfs/dbraw/zinc/96/61/42/809966142.db2.gz GBAZQYBZUYIKGW-KBPBESRZSA-N 0 1 262.353 0.629 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)CC2CC2)CC1 ZINC001279415861 809977015 /nfs/dbraw/zinc/97/70/15/809977015.db2.gz FYVJPYOMOQSVHT-UHFFFAOYSA-N 0 1 264.373 0.480 20 30 CCEDMN CCOCCN1CCC[C@@](CO)(NC(=O)[C@@H](C)C#N)C1 ZINC001279471929 809982365 /nfs/dbraw/zinc/98/23/65/809982365.db2.gz UIXYZBUVRBYIJV-GXTWGEPZSA-N 0 1 283.372 0.126 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)Cc2ccoc2)C1 ZINC001279481933 809982898 /nfs/dbraw/zinc/98/28/98/809982898.db2.gz ZAPFXOOVMKOEJE-HNNXBMFYSA-N 0 1 278.352 0.951 20 30 CCEDMN CC#CCCCC(=O)N[C@@]1(CO)CCCN(CCOC)C1 ZINC001279508502 809984169 /nfs/dbraw/zinc/98/41/69/809984169.db2.gz DTLADUANQGPLIE-INIZCTEOSA-N 0 1 296.411 0.770 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CCNCc2cnn(C)n2)C1 ZINC001279990867 810002296 /nfs/dbraw/zinc/00/22/96/810002296.db2.gz LRPTZCPGIOAJGL-NEPJUHHUSA-N 0 1 290.371 0.303 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2c(C)noc2C)C1 ZINC001076729289 815356169 /nfs/dbraw/zinc/35/61/69/815356169.db2.gz QGNFTQXMCNXRAY-VXGBXAGGSA-N 0 1 279.340 0.642 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1nn(C)cc1C ZINC001126948513 815371772 /nfs/dbraw/zinc/37/17/72/815371772.db2.gz AZPSAPOWDVDYSJ-UHFFFAOYSA-N 0 1 256.737 0.800 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2ncoc2CC)C1 ZINC001076827842 815386403 /nfs/dbraw/zinc/38/64/03/815386403.db2.gz BURFKPJFSMZTRJ-VXGBXAGGSA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(Br)CNC(=O)C[C@@H]1COCCN1 ZINC000168295262 815998259 /nfs/dbraw/zinc/99/82/59/815998259.db2.gz HFVYZOWJEZHUFE-MRVPVSSYSA-N 0 1 263.135 0.390 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2coc(C)n2)C1 ZINC001076918079 815411171 /nfs/dbraw/zinc/41/11/71/815411171.db2.gz KXDAYZGDCADFES-DGCLKSJQSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)C2CCCC2)C1 ZINC001267283243 811092798 /nfs/dbraw/zinc/09/27/98/811092798.db2.gz KUNSFFFDEFHTHH-ZDUSSCGKSA-N 0 1 293.411 0.917 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2cocn2)C1 ZINC001267289370 811102551 /nfs/dbraw/zinc/10/25/51/811102551.db2.gz ZMPSBFDPSNGFAJ-LBPRGKRZSA-N 0 1 277.324 0.376 20 30 CCEDMN C[C@H](C(=O)N(C)C)N1CC[C@H](CNC(=O)C#CC2CC2)C1 ZINC001267293374 811109149 /nfs/dbraw/zinc/10/91/49/811109149.db2.gz RJRXZVXAGXUDBR-TZMCWYRMSA-N 0 1 291.395 0.315 20 30 CCEDMN CCC[N@H+](CC#CCOC)CCNC(=O)c1csnn1 ZINC001267316685 811145523 /nfs/dbraw/zinc/14/55/23/811145523.db2.gz ZDOOYOUOXCLFTA-UHFFFAOYSA-N 0 1 296.396 0.630 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)c1csnn1 ZINC001267316685 811145533 /nfs/dbraw/zinc/14/55/33/811145533.db2.gz ZDOOYOUOXCLFTA-UHFFFAOYSA-N 0 1 296.396 0.630 20 30 CCEDMN C=C(Br)CNCCN(C)C(=O)CCOC ZINC001267339223 811175608 /nfs/dbraw/zinc/17/56/08/811175608.db2.gz YTQVCPRFUYCDJM-UHFFFAOYSA-N 0 1 279.178 0.980 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC2(F)F)C1 ZINC001076967504 815424730 /nfs/dbraw/zinc/42/47/30/815424730.db2.gz QYXLRFNXNGSHBT-IVZWLZJFSA-N 0 1 260.284 0.379 20 30 CCEDMN C#CCCCC(=O)NCCN(Cc1n[nH]c(C)n1)C1CC1 ZINC001267326974 811159643 /nfs/dbraw/zinc/15/96/43/811159643.db2.gz VQLPFXYZQUJHEZ-UHFFFAOYSA-N 0 1 289.383 0.997 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H]1C[C@H]1c1cncn1C)C1CC1 ZINC001267333105 811169967 /nfs/dbraw/zinc/16/99/67/811169967.db2.gz RHZVMPKPNLNHFR-ZIAGYGMSSA-N 0 1 286.379 0.737 20 30 CCEDMN COC[C@H](C)N1C[C@H]2OCCN(C(=O)C#CC(C)C)[C@H]2C1 ZINC001217840438 811277893 /nfs/dbraw/zinc/27/78/93/811277893.db2.gz YKWWIJUSJIOOLP-SOUVJXGZSA-N 0 1 294.395 0.592 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)CCNCc1cnnn1C ZINC001267414536 811289845 /nfs/dbraw/zinc/28/98/45/811289845.db2.gz HYHWWFOBZDDVBV-UHFFFAOYSA-N 0 1 265.361 0.575 20 30 CCEDMN N#Cc1cncc(N2CC[C@@H](NC(=O)Cc3cnc[nH]3)C2)n1 ZINC001058557220 811310641 /nfs/dbraw/zinc/31/06/41/811310641.db2.gz XFEITFBKFVUIIR-SNVBAGLBSA-N 0 1 297.322 0.009 20 30 CCEDMN CC#CCN[C@@H](CNC(=O)Cn1ncnn1)c1ccccc1 ZINC001267520160 811398110 /nfs/dbraw/zinc/39/81/10/811398110.db2.gz KYNRNZRZAPWDMD-AWEZNQCLSA-N 0 1 298.350 0.144 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2[nH]ccc2C2CC2)C1 ZINC001077113439 815446862 /nfs/dbraw/zinc/44/68/62/815446862.db2.gz JASUEXKHEDXSBB-ZIAGYGMSSA-N 0 1 287.363 0.690 20 30 CCEDMN C=CCN1CC[C@H](CCNC(=O)[C@H](C)S(C)(=O)=O)C1 ZINC001267558336 811442076 /nfs/dbraw/zinc/44/20/76/811442076.db2.gz WTYCZCIQOJIGEJ-RYUDHWBXSA-N 0 1 288.413 0.434 20 30 CCEDMN C=CCn1cccc1C(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001077138316 815450869 /nfs/dbraw/zinc/45/08/69/815450869.db2.gz CQBIKFQCMACTNJ-DGCLKSJQSA-N 0 1 263.341 0.469 20 30 CCEDMN C#CCN(C)C[C@H]1CCN(C(=O)c2cn(C)ccc2=O)C1 ZINC001267586691 811487430 /nfs/dbraw/zinc/48/74/30/811487430.db2.gz YDAZVKUEVDZFJV-CYBMUJFWSA-N 0 1 287.363 0.412 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1CN(CC2CCC2)C1 ZINC001267643503 811608418 /nfs/dbraw/zinc/60/84/18/811608418.db2.gz RAZABTRAFUEYKY-AWEZNQCLSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001267653584 811620191 /nfs/dbraw/zinc/62/01/91/811620191.db2.gz WFOYREIPEWESFA-CYBMUJFWSA-N 0 1 293.411 0.869 20 30 CCEDMN C[C@@H](CNc1cncc(C#N)n1)N(C)C(=O)Cc1c[nH]cn1 ZINC001104825965 811734650 /nfs/dbraw/zinc/73/46/50/811734650.db2.gz OZKHLQWGAHENIC-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN COC[C@@H](C)N1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001267909991 811863862 /nfs/dbraw/zinc/86/38/62/811863862.db2.gz NYYILZPRKWSOBD-VXGBXAGGSA-N 0 1 285.413 0.859 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC(n2cc(CNCC)nn2)C1 ZINC001105224105 811866092 /nfs/dbraw/zinc/86/60/92/811866092.db2.gz XMYTUIIRXUUGPU-CQSZACIVSA-N 0 1 293.371 0.098 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1cnn[nH]1)Nc1ncccc1C#N ZINC001105304908 811901177 /nfs/dbraw/zinc/90/11/77/811901177.db2.gz JTLREXPNQPWOEU-LLVKDONJSA-N 0 1 299.338 0.938 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)CNCc1cn(C)nn1 ZINC001267978237 811917064 /nfs/dbraw/zinc/91/70/64/811917064.db2.gz BUJSSLYLBCKQCA-LBPRGKRZSA-N 0 1 277.372 0.461 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@H](CC(=O)OCC)[C@H](C)C1 ZINC001161500609 811924596 /nfs/dbraw/zinc/92/45/96/811924596.db2.gz RSBGCYGVTMRINH-JMSVASOKSA-N 0 1 280.368 0.775 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCCC(=O)NC ZINC001126011572 811978871 /nfs/dbraw/zinc/97/88/71/811978871.db2.gz HUNCWYGBHSUVDX-UHFFFAOYSA-N 0 1 261.753 0.361 20 30 CCEDMN C#CCNCC(=O)N[C@@H](Cn1ccnc1)C(C)(C)C ZINC000092492229 812048460 /nfs/dbraw/zinc/04/84/60/812048460.db2.gz MUCYURLKUXJBEI-LBPRGKRZSA-N 0 1 262.357 0.637 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnnn1C ZINC001027859384 812101799 /nfs/dbraw/zinc/10/17/99/812101799.db2.gz PIJZEOZAEJIMAP-LLVKDONJSA-N 0 1 261.329 0.033 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1cnnn1CC ZINC001027871589 812108973 /nfs/dbraw/zinc/10/89/73/812108973.db2.gz NQFYLIPGADQRCN-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnnn1CC ZINC001027871589 812108978 /nfs/dbraw/zinc/10/89/78/812108978.db2.gz NQFYLIPGADQRCN-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccnc2ccnn21 ZINC001027924639 812145175 /nfs/dbraw/zinc/14/51/75/812145175.db2.gz JZPCHQZDRFXTDK-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001027943848 812155445 /nfs/dbraw/zinc/15/54/45/812155445.db2.gz YUJAIXONVWQGIC-IJLUTSLNSA-N 0 1 273.340 0.617 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCNC1=O ZINC001027947804 812158717 /nfs/dbraw/zinc/15/87/17/812158717.db2.gz RZNXXTJOFDSZGH-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c(C)ncn1C ZINC001027952763 812162442 /nfs/dbraw/zinc/16/24/42/812162442.db2.gz VCCLHUVFTSKFGQ-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001027977512 812187341 /nfs/dbraw/zinc/18/73/41/812187341.db2.gz TUZIYLCJNXXVON-KGLIPLIRSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cccc2ncnn21 ZINC001028002277 812207467 /nfs/dbraw/zinc/20/74/67/812207467.db2.gz UXJAWFNSWNBEOH-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccn(CCOC)n1 ZINC001028019016 812216704 /nfs/dbraw/zinc/21/67/04/812216704.db2.gz LISQAAXEUZJTAF-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c(C)cnn1C ZINC001028081679 812260536 /nfs/dbraw/zinc/26/05/36/812260536.db2.gz XCYSVUFNLUHHBQ-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCc2[nH]cnc21 ZINC001028130749 812299773 /nfs/dbraw/zinc/29/97/73/812299773.db2.gz UZWZQXRQNMZWFT-NWDGAFQWSA-N 0 1 287.367 0.934 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCOC3)[C@@H]2C1 ZINC001075604881 812330948 /nfs/dbraw/zinc/33/09/48/812330948.db2.gz GZLGVORNGIUDJO-MELADBBJSA-N 0 1 262.353 0.579 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2[C@H](C)COC)cn1 ZINC001038927952 812353399 /nfs/dbraw/zinc/35/33/99/812353399.db2.gz FLKGQOTVTWRTAZ-IUODEOHRSA-N 0 1 287.363 0.902 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@H]1CCCCN1C)NCC#N ZINC001268211317 812366013 /nfs/dbraw/zinc/36/60/13/812366013.db2.gz KYWUAQDFJOVIGY-QWHCGFSZSA-N 0 1 266.389 0.725 20 30 CCEDMN CCC[C@H](OC)C(=O)N(C)CCN(C)CC#CCOC ZINC001268244396 812411778 /nfs/dbraw/zinc/41/17/78/812411778.db2.gz BQECXKLDJUJHIT-AWEZNQCLSA-N 0 1 284.400 0.842 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(OC)nn1 ZINC001028240634 812464208 /nfs/dbraw/zinc/46/42/08/812464208.db2.gz CFUCDHJBSVXBKE-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCCCC(=O)NC[C@H](O)CNCc1cc(C)no1 ZINC001268306144 812470101 /nfs/dbraw/zinc/47/01/01/812470101.db2.gz ZKFPLZFXQVPEDE-GFCCVEGCSA-N 0 1 279.340 0.353 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001028245865 812483804 /nfs/dbraw/zinc/48/38/04/812483804.db2.gz LEEPBYQOADVLEX-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCN[C@H](C)c1nnnn1C ZINC001268347115 812510783 /nfs/dbraw/zinc/51/07/83/812510783.db2.gz BAFCWJYEUHMVOD-WDEREUQCSA-N 0 1 280.376 0.722 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnn2ccc(C)nc12 ZINC001028267098 812532809 /nfs/dbraw/zinc/53/28/09/812532809.db2.gz DMNFHIDZQKIENF-CYBMUJFWSA-N 0 1 297.362 0.865 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H]1CNC(=O)C1CCN(C(N)=O)CC1 ZINC001038997973 812556964 /nfs/dbraw/zinc/55/69/64/812556964.db2.gz BGOVEYKBWSPFGJ-ZDUSSCGKSA-N 0 1 294.399 0.544 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)C1CCN(C(N)=O)CC1 ZINC001038997973 812556972 /nfs/dbraw/zinc/55/69/72/812556972.db2.gz BGOVEYKBWSPFGJ-ZDUSSCGKSA-N 0 1 294.399 0.544 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)cc1 ZINC001028311992 812610824 /nfs/dbraw/zinc/61/08/24/812610824.db2.gz ZXYXTTSZXUWFBJ-CQSZACIVSA-N 0 1 285.347 0.613 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1nonc1C ZINC001028346457 812633973 /nfs/dbraw/zinc/63/39/73/812633973.db2.gz ZVCHTLWKNUTWNL-SNVBAGLBSA-N 0 1 250.302 0.758 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1snnc1C ZINC001126365183 812678888 /nfs/dbraw/zinc/67/88/88/812678888.db2.gz HOBDNNGGDOPULZ-GFCCVEGCSA-N 0 1 284.385 0.379 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2nccc3occc32)C1 ZINC001077428313 815553160 /nfs/dbraw/zinc/55/31/60/815553160.db2.gz YTZXOTMKMCQIDC-CHWSQXEVSA-N 0 1 299.330 0.626 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN[C@@H](C)c1n[nH]c(C)n1 ZINC001126377986 812810897 /nfs/dbraw/zinc/81/08/97/812810897.db2.gz HLDMVCMGNYHLHT-TVQRCGJNSA-N 0 1 281.360 0.207 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2cn3c(n2)CCC3)C1 ZINC001028547515 812830993 /nfs/dbraw/zinc/83/09/93/812830993.db2.gz WYLIAJOFTYTKSR-LBPRGKRZSA-N 0 1 272.352 0.514 20 30 CCEDMN CC1(C)CC[C@H]1C(=O)NC/C=C/CNCC(=O)NCC#N ZINC001268581348 812867090 /nfs/dbraw/zinc/86/70/90/812867090.db2.gz XIEZAHUVSJQXEP-PCAWENJQSA-N 0 1 292.383 0.324 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cc(C)on2)[C@@H](O)C1 ZINC001083371948 812916401 /nfs/dbraw/zinc/91/64/01/812916401.db2.gz SHYKDZZXPVMLHS-OLZOCXBDSA-N 0 1 279.340 0.263 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(F)nc2)[C@@H](O)C1 ZINC001083374323 812918265 /nfs/dbraw/zinc/91/82/65/812918265.db2.gz WYUFVFSHYZCUNL-NEPJUHHUSA-N 0 1 277.299 0.019 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H](C)N(C)CC(F)(F)F ZINC001268741888 813055370 /nfs/dbraw/zinc/05/53/70/813055370.db2.gz AJCBHCLLMJTDRD-WDEREUQCSA-N 0 1 293.333 0.939 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cnc2nccn2c1 ZINC001268741075 813058753 /nfs/dbraw/zinc/05/87/53/813058753.db2.gz ZKOIYRWZDWYHGX-GFCCVEGCSA-N 0 1 285.351 0.803 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCC(=O)NCCC ZINC001268753655 813065172 /nfs/dbraw/zinc/06/51/72/813065172.db2.gz VZWQFPJRRQXJJF-CYBMUJFWSA-N 0 1 281.400 0.753 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001028641125 813096786 /nfs/dbraw/zinc/09/67/86/813096786.db2.gz BSRYIYROGTXKHM-ZIAGYGMSSA-N 0 1 286.379 0.517 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H](C)NC(=O)c2ccoc2)C1 ZINC001268833436 813106538 /nfs/dbraw/zinc/10/65/38/813106538.db2.gz PKALIDRXOGLYDR-LLVKDONJSA-N 0 1 291.351 0.632 20 30 CCEDMN CCC#CC(=O)N1CC[C@H]2C[C@]21C(=O)NCc1cnc[nH]1 ZINC001268873045 813126509 /nfs/dbraw/zinc/12/65/09/813126509.db2.gz IGEPULFLMUNUEA-XHDPSFHLSA-N 0 1 286.335 0.430 20 30 CCEDMN CCC#CC(=O)N1CCc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001268875364 813127716 /nfs/dbraw/zinc/12/77/16/813127716.db2.gz DBXJCDQBESSULC-UHFFFAOYSA-N 0 1 298.346 0.720 20 30 CCEDMN O=C(CCS)NC12CC(C(=O)NCc3cnc[nH]3)(C1)C2 ZINC001268892083 813135594 /nfs/dbraw/zinc/13/55/94/813135594.db2.gz SCECCGRLNBOLJW-UHFFFAOYSA-N 0 1 294.380 0.385 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN1C[C@@H]1CCc2ncnn21 ZINC001269206020 813263976 /nfs/dbraw/zinc/26/39/76/813263976.db2.gz PPTOMTRYARRNNW-OLZOCXBDSA-N 0 1 289.383 0.922 20 30 CCEDMN COCCN1CC[C@@H](N(C)C(=O)CSCC#N)C1 ZINC001269218424 813272360 /nfs/dbraw/zinc/27/23/60/813272360.db2.gz YKINGYOVAAFQFX-LLVKDONJSA-N 0 1 271.386 0.422 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(CC)C(=O)COCCCOC)C1 ZINC001269347651 813334342 /nfs/dbraw/zinc/33/43/42/813334342.db2.gz BBXGIDNFNRZFII-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)COCCCOC)C1 ZINC001269347651 813334346 /nfs/dbraw/zinc/33/43/46/813334346.db2.gz BBXGIDNFNRZFII-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)c2ccco2)CC1 ZINC001269362083 813342078 /nfs/dbraw/zinc/34/20/78/813342078.db2.gz SWRDMPRQQANGDL-LLVKDONJSA-N 0 1 291.351 0.822 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@H](C)SC)CC1 ZINC001269379199 813348720 /nfs/dbraw/zinc/34/87/20/813348720.db2.gz DNTBPCAQKMCAEZ-MNOVXSKESA-N 0 1 297.424 0.114 20 30 CCEDMN N#CCNC1(CNC(=O)c2ccn(-c3ccncc3)n2)CC1 ZINC001269451117 813376666 /nfs/dbraw/zinc/37/66/66/813376666.db2.gz LDINTQLUFJCFGV-UHFFFAOYSA-N 0 1 296.334 0.643 20 30 CCEDMN CC[C@@H](CNC(=O)C(C)(C)c1cnc[nH]1)NCC#N ZINC001269492182 813391567 /nfs/dbraw/zinc/39/15/67/813391567.db2.gz KZHMDIHXVWYLFW-JTQLQIEISA-N 0 1 263.345 0.695 20 30 CCEDMN CC#CCNC1(CNC(=O)C(=O)Nc2cc(C)on2)CC1 ZINC001269535434 813407086 /nfs/dbraw/zinc/40/70/86/813407086.db2.gz LUTIVBGGHSTAKS-UHFFFAOYSA-N 0 1 290.323 0.183 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@]2(CCN(CCF)C2)C1 ZINC001269731013 813484955 /nfs/dbraw/zinc/48/49/55/813484955.db2.gz DYOVLPMIGXBRHE-ZFWWWQNUSA-N 0 1 282.359 0.919 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001028780925 813496676 /nfs/dbraw/zinc/49/66/76/813496676.db2.gz ODTFXNBTXQWWKV-MRVPVSSYSA-N 0 1 251.265 0.124 20 30 CCEDMN C[C@@H](CNCc1nncs1)CNC(=O)[C@@H](C)C#N ZINC001270371590 813733917 /nfs/dbraw/zinc/73/39/17/813733917.db2.gz JJTFCYWUJHKYPC-IUCAKERBSA-N 0 1 267.358 0.540 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)CN[C@H](C)c1nncn1C ZINC001270401925 813750091 /nfs/dbraw/zinc/75/00/91/813750091.db2.gz LGWLRNVEJLBVMU-CHWSQXEVSA-N 0 1 291.399 0.877 20 30 CCEDMN N#CCNC[C@@H](NC(=O)CCc1c[nH]nn1)C1CCCC1 ZINC001270429436 813762315 /nfs/dbraw/zinc/76/23/15/813762315.db2.gz AYKYCMIHTYPCJP-CYBMUJFWSA-N 0 1 290.371 0.525 20 30 CCEDMN N#CCNC[C@@H](NC(=O)CCc1cnn[nH]1)C1CCCC1 ZINC001270429436 813762322 /nfs/dbraw/zinc/76/23/22/813762322.db2.gz AYKYCMIHTYPCJP-CYBMUJFWSA-N 0 1 290.371 0.525 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](Cc1cn[nH]c1)C2 ZINC001270501404 813787886 /nfs/dbraw/zinc/78/78/86/813787886.db2.gz LEZQQVZKIQVDFX-LLVKDONJSA-N 0 1 273.340 0.604 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C1)CCN(Cc1cn[nH]c1)C2 ZINC001270501404 813787892 /nfs/dbraw/zinc/78/78/92/813787892.db2.gz LEZQQVZKIQVDFX-LLVKDONJSA-N 0 1 273.340 0.604 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)CN2CCCC2=O)C1 ZINC001270539557 813803473 /nfs/dbraw/zinc/80/34/73/813803473.db2.gz WQIYGWLNCUWPFU-OAHLLOKOSA-N 0 1 277.368 0.213 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2COCCO2)[C@H]1CC ZINC001087505614 814011511 /nfs/dbraw/zinc/01/15/11/814011511.db2.gz QRTDOQCARQKLHG-XQQFMLRXSA-N 0 1 266.341 0.004 20 30 CCEDMN Cc1nc(CC(=O)NCC2(C)CCN(CC#N)CC2)n[nH]1 ZINC001270880040 814034336 /nfs/dbraw/zinc/03/43/36/814034336.db2.gz ULSCSGJKLUEEGI-UHFFFAOYSA-N 0 1 290.371 0.398 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnn(CCF)c1 ZINC001038440638 814053846 /nfs/dbraw/zinc/05/38/46/814053846.db2.gz FZXUQWCFOCMOJZ-CYBMUJFWSA-N 0 1 278.331 0.680 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnn(CCF)c1 ZINC001038440639 814054451 /nfs/dbraw/zinc/05/44/51/814054451.db2.gz FZXUQWCFOCMOJZ-ZDUSSCGKSA-N 0 1 278.331 0.680 20 30 CCEDMN C=CCOCC(=O)N(C)[C@H](C)CNCc1cc(C)ncn1 ZINC001270914019 814084050 /nfs/dbraw/zinc/08/40/50/814084050.db2.gz MTSIOSLXUHPTNR-CYBMUJFWSA-N 0 1 292.383 0.924 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)Cc2cc(C)n[nH]2)CCN1CC#N ZINC001087538018 814113415 /nfs/dbraw/zinc/11/34/15/814113415.db2.gz AMCLVPWTAWHRIB-QWHCGFSZSA-N 0 1 275.356 0.753 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1CC ZINC001087554655 814155052 /nfs/dbraw/zinc/15/50/52/814155052.db2.gz PWSSSIWOLMGZRY-UONOGXRCSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CC[N@H+]1CCC(F)(F)[C@H](CNC(=O)C[NH+]2CCCC2)C1 ZINC001271108864 814155288 /nfs/dbraw/zinc/15/52/88/814155288.db2.gz FQTKTJQRUKXHFY-CYBMUJFWSA-N 0 1 299.365 0.789 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2COC(=O)N2)[C@H]1CC ZINC001087560947 814160646 /nfs/dbraw/zinc/16/06/46/814160646.db2.gz WBIVSKVEOBSBJM-QJPTWQEYSA-N 0 1 279.340 0.087 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)[C@H]1CC ZINC001087672732 814184539 /nfs/dbraw/zinc/18/45/39/814184539.db2.gz GURKRTQXHOHJRP-MELADBBJSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2[nH]nnc2C)[C@H]1CC ZINC001087682612 814185234 /nfs/dbraw/zinc/18/52/34/814185234.db2.gz HWORRIJPSXQVBE-WDEREUQCSA-N 0 1 261.329 0.329 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1C[C@H]2C[C@@]2(C(N)=O)C1 ZINC001271157801 814233649 /nfs/dbraw/zinc/23/36/49/814233649.db2.gz RUFXJCDXOVSMPB-IMSIIYSGSA-N 0 1 289.360 0.764 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)Cc3ccn[nH]3)C[C@@H]2C1 ZINC001087917317 814271088 /nfs/dbraw/zinc/27/10/88/814271088.db2.gz OCOPHZAOXILLKE-NEPJUHHUSA-N 0 1 273.340 0.256 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001271167225 814296548 /nfs/dbraw/zinc/29/65/48/814296548.db2.gz KRIGKRNLKKTLQN-PWSUYJOCSA-N 0 1 292.301 0.761 20 30 CCEDMN C=CCCN1C[C@@H]2CCN(C(=O)C3CS(=O)(=O)C3)[C@@H]2C1 ZINC001075831309 814306157 /nfs/dbraw/zinc/30/61/57/814306157.db2.gz SJMRZUMGQIAUQD-WCQYABFASA-N 0 1 298.408 0.140 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H](C)Cc2ccco2)C1 ZINC001271201461 814330824 /nfs/dbraw/zinc/33/08/24/814330824.db2.gz SJGHYAKWRXRDAB-BBRMVZONSA-N 0 1 290.363 0.644 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H](C)c2cccnc2)C1 ZINC001271207342 814333630 /nfs/dbraw/zinc/33/36/30/814333630.db2.gz BXQXPQCJKWNWJS-CZUORRHYSA-N 0 1 287.363 0.371 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccc(OC)cc2)C1 ZINC001271208971 814334627 /nfs/dbraw/zinc/33/46/27/814334627.db2.gz LUCIQPJJSMLHPX-INIZCTEOSA-N 0 1 288.347 0.495 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccc3nccn3c2)C1 ZINC001271209842 814334940 /nfs/dbraw/zinc/33/49/40/814334940.db2.gz PAJQIHPPEJRMIA-INIZCTEOSA-N 0 1 298.346 0.134 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)c3ccncc3O)C2)C1=O ZINC001271402714 814405333 /nfs/dbraw/zinc/40/53/33/814405333.db2.gz PYTDICOCCPRIEZ-INIZCTEOSA-N 0 1 299.330 0.485 20 30 CCEDMN C#CCO[C@@H](C)C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC001142039791 814543886 /nfs/dbraw/zinc/54/38/86/814543886.db2.gz XSPQRIIJODXPAW-VIFPVBQESA-N 0 1 271.280 0.844 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1C[C@@H](Nc2ccncc2C#N)C1 ZINC001059586587 814592437 /nfs/dbraw/zinc/59/24/37/814592437.db2.gz UISRDGHGQSETDO-VNHYZAJKSA-N 0 1 299.378 0.528 20 30 CCEDMN C=CCN1CC[C@]2(CCN(C(=O)c3nc(C)n[nH]3)C2)C1=O ZINC001271916239 814629827 /nfs/dbraw/zinc/62/98/27/814629827.db2.gz BTTSZFFVJRMNCP-CQSZACIVSA-N 0 1 289.339 0.364 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnc(Cl)n2C)[C@@H](O)C1 ZINC001083640943 815585840 /nfs/dbraw/zinc/58/58/40/815585840.db2.gz QARCUOKCAHBUSZ-KOLCDFICSA-N 0 1 298.774 0.425 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCN(CC#N)[C@@H]2C)[nH]n1 ZINC001088518186 814848302 /nfs/dbraw/zinc/84/83/02/814848302.db2.gz RWAKDYTYFGGZGP-PWSUYJOCSA-N 0 1 261.329 0.363 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)n2cncn2)[C@H]1C ZINC001088530829 814856555 /nfs/dbraw/zinc/85/65/55/814856555.db2.gz LPCHVEIKEZEQMS-WOPDTQHZSA-N 0 1 261.329 0.051 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@@H]2C[N@H+]3CCC[C@@H]3CO2)C1 ZINC001030345177 815986751 /nfs/dbraw/zinc/98/67/51/815986751.db2.gz ADRFXTIFKKAEKJ-KGLIPLIRSA-N 0 1 279.384 0.226 20 30 CCEDMN N#CCN1CC(NC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001030415521 816024563 /nfs/dbraw/zinc/02/45/63/816024563.db2.gz HACHOPLGKQMBPV-UHFFFAOYSA-N 0 1 282.307 0.409 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@]2(C)CCNC2=O)[C@H]1C ZINC001088854904 816079389 /nfs/dbraw/zinc/07/93/89/816079389.db2.gz HFYZXFGSOMHXMG-UHIISALHSA-N 0 1 265.357 0.278 20 30 CCEDMN O=C(NC1CN(CC#Cc2ccccc2)C1)c1cnn[nH]1 ZINC001030597589 816134755 /nfs/dbraw/zinc/13/47/55/816134755.db2.gz PNADJGYBDHVVDW-UHFFFAOYSA-N 0 1 281.319 0.270 20 30 CCEDMN N#CCN1CC(NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001030598912 816136497 /nfs/dbraw/zinc/13/64/97/816136497.db2.gz MOHQRRYZCMWXDE-UHFFFAOYSA-N 0 1 259.313 0.226 20 30 CCEDMN N#Cc1ccc(CN2CC(NC(=O)c3cnn[nH]3)C2)s1 ZINC001030601101 816140463 /nfs/dbraw/zinc/14/04/63/816140463.db2.gz ZBZAKXCBTMDGGT-UHFFFAOYSA-N 0 1 288.336 0.352 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)CN2CCC(C)CC2)CCN1CC#N ZINC001088939514 816185185 /nfs/dbraw/zinc/18/51/85/816185185.db2.gz UXIBZJRMVTXMIE-KGLIPLIRSA-N 0 1 278.400 0.821 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@H]1C ZINC001088944069 816187060 /nfs/dbraw/zinc/18/70/60/816187060.db2.gz NWPTVODTVRKRAU-KOLCDFICSA-N 0 1 276.340 0.492 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCN(C(=O)CC)C2)[C@H]1C ZINC001088963826 816195705 /nfs/dbraw/zinc/19/57/05/816195705.db2.gz YAIPMALWTMWNCH-MCIONIFRSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCc3n[nH]nc3C2)[C@H]1C ZINC001088978385 816199535 /nfs/dbraw/zinc/19/95/35/816199535.db2.gz MJIGNKLRIIRONG-WOPDTQHZSA-N 0 1 287.367 0.122 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2C)[C@H]1C ZINC001089012794 816210212 /nfs/dbraw/zinc/21/02/12/816210212.db2.gz NPSINNCVZBHJEK-FRRDWIJNSA-N 0 1 277.368 0.210 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(CCOC)c2)[C@H]1C ZINC001089010072 816211777 /nfs/dbraw/zinc/21/17/77/816211777.db2.gz JFJYNOXGSRLGCR-OCCSQVGLSA-N 0 1 292.383 0.908 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCN2C(N)=O)[C@H]1C ZINC001089077710 816236387 /nfs/dbraw/zinc/23/63/87/816236387.db2.gz USDRBMMGPQTYRO-AGIUHOORSA-N 0 1 292.383 0.132 20 30 CCEDMN N#CCNC1CC2(C1)CC(NC(=O)CCc1nc[nH]n1)C2 ZINC001120900512 816255399 /nfs/dbraw/zinc/25/53/99/816255399.db2.gz AACFIKDWWNBFQW-UHFFFAOYSA-N 0 1 288.355 0.278 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C1(C#N)CCSCC1 ZINC001122011152 816531247 /nfs/dbraw/zinc/53/12/47/816531247.db2.gz JBMROSFSODSXGD-UWVGGRQHSA-N 0 1 264.354 0.251 20 30 CCEDMN C#CCN1CCC2(CCN(Cc3c[nH]nn3)CC2)C1=O ZINC001272569682 816589573 /nfs/dbraw/zinc/58/95/73/816589573.db2.gz MELBXYBPRNRVSX-UHFFFAOYSA-N 0 1 273.340 0.252 20 30 CCEDMN C#CCNCC(=O)N[C@H](C[C@@H](C)CC)C(=O)OCC ZINC001122170242 816601650 /nfs/dbraw/zinc/60/16/50/816601650.db2.gz YKWSUGMAABYLHE-NWDGAFQWSA-N 0 1 268.357 0.693 20 30 CCEDMN C#Cc1ccc(C(=O)NC2CN(Cc3n[nH]c(C)n3)C2)cn1 ZINC001031278214 816923551 /nfs/dbraw/zinc/92/35/51/816923551.db2.gz HTVQFQMMBPFZLS-UHFFFAOYSA-N 0 1 296.334 0.104 20 30 CCEDMN C#CCN1CCC(OC(=O)Cn2ccc([N+](=O)[O-])n2)CC1 ZINC001123348742 816932667 /nfs/dbraw/zinc/93/26/67/816932667.db2.gz IKISCRIYZCYBFC-UHFFFAOYSA-N 0 1 292.295 0.432 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cncnc2C)C1 ZINC001031560334 817137441 /nfs/dbraw/zinc/13/74/41/817137441.db2.gz LJUKLFRHLJXQEA-UHFFFAOYSA-N 0 1 258.325 0.470 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccc(F)cn2)C1 ZINC001031626896 817199154 /nfs/dbraw/zinc/19/91/54/817199154.db2.gz ZTCGOBOMGLNXAO-UHFFFAOYSA-N 0 1 261.300 0.906 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc(OC)ncn2)C1 ZINC001031674374 817237653 /nfs/dbraw/zinc/23/76/53/817237653.db2.gz KNMMIYOSDWZXJH-UHFFFAOYSA-N 0 1 276.340 0.723 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn3c(n2)CCC3)C1 ZINC001031681849 817240150 /nfs/dbraw/zinc/24/01/50/817240150.db2.gz MCGVYBVHIZVBPK-UHFFFAOYSA-N 0 1 260.341 0.677 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CNC(=O)c3ccccc32)C1 ZINC001031735361 817300429 /nfs/dbraw/zinc/30/04/29/817300429.db2.gz GISGMOGVHIDXBZ-OAHLLOKOSA-N 0 1 299.374 0.748 20 30 CCEDMN C#CCN1CC(CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001031743202 817308117 /nfs/dbraw/zinc/30/81/17/817308117.db2.gz IIFPGLWRNHMASX-VXGBXAGGSA-N 0 1 258.325 0.194 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001031815850 817391979 /nfs/dbraw/zinc/39/19/79/817391979.db2.gz MNVZKQOCLDEVDW-UHFFFAOYSA-N 0 1 290.367 0.362 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccn3nnnc3c2)C1 ZINC001031895856 817447487 /nfs/dbraw/zinc/44/74/87/817447487.db2.gz QPISQRWNIYDBJH-UHFFFAOYSA-N 0 1 286.339 0.362 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001047418645 817478920 /nfs/dbraw/zinc/47/89/20/817478920.db2.gz ZDSLUQOQNYBWPE-QWRGUYRKSA-N 0 1 294.355 0.117 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000131014370 817512390 /nfs/dbraw/zinc/51/23/90/817512390.db2.gz RTUAKXDELQCTDO-SECBINFHSA-N 0 1 279.256 0.025 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)[C@H]1COCCO1 ZINC001038074786 817530814 /nfs/dbraw/zinc/53/08/14/817530814.db2.gz DLAIDVPDPQVXJY-NWDGAFQWSA-N 0 1 254.330 0.168 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccc3nncn3c2)C1 ZINC001032049805 817579110 /nfs/dbraw/zinc/57/91/10/817579110.db2.gz XDFLLLYVRLUISI-UHFFFAOYSA-N 0 1 285.351 0.967 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(CNC(C)=O)o2)C1 ZINC001032056083 817584095 /nfs/dbraw/zinc/58/40/95/817584095.db2.gz YKHOWOHPBKVQBM-UHFFFAOYSA-N 0 1 291.351 0.763 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc3ncccn3n2)C1 ZINC001032061601 817591018 /nfs/dbraw/zinc/59/10/18/817591018.db2.gz KZWZCITZYDTDDG-UHFFFAOYSA-N 0 1 285.351 0.967 20 30 CCEDMN CCc1noc(C)c1CNCCNC(=O)[C@@H](C)C#N ZINC001124889420 817617210 /nfs/dbraw/zinc/61/72/10/817617210.db2.gz QDRLGJSWHFECGU-VIFPVBQESA-N 0 1 264.329 0.911 20 30 CCEDMN Cc1ccc(NC(=O)CNCCNC(=O)[C@@H](C)C#N)cc1 ZINC001124889627 817617922 /nfs/dbraw/zinc/61/79/22/817617922.db2.gz RZUIOTGZRKTNLK-LBPRGKRZSA-N 0 1 288.351 0.799 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCC(=O)Nc1cccc(F)c1 ZINC001124908407 817631756 /nfs/dbraw/zinc/63/17/56/817631756.db2.gz JZZOVIVJAHFTCY-JTQLQIEISA-N 0 1 292.314 0.630 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001032199109 817697514 /nfs/dbraw/zinc/69/75/14/817697514.db2.gz NLZYARKCTQDKGB-LBPRGKRZSA-N 0 1 274.368 0.749 20 30 CCEDMN NC(=O)CC(=O)NCCNCC#Cc1ccccc1Cl ZINC001125085224 817702069 /nfs/dbraw/zinc/70/20/69/817702069.db2.gz TXSSZRFGYQGUEY-UHFFFAOYSA-N 0 1 293.754 0.273 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(CC)n(C)n2)C1 ZINC001032228216 817731955 /nfs/dbraw/zinc/73/19/55/817731955.db2.gz ZOGQRCLUNVCPNQ-UHFFFAOYSA-N 0 1 274.368 0.667 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCn1cncn1 ZINC001032282540 817779725 /nfs/dbraw/zinc/77/97/25/817779725.db2.gz ZBLNPOLHGBUPFF-STQMWFEESA-N 0 1 275.356 0.529 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@@]2(C)CCCOC2)C1 ZINC001047578197 817786629 /nfs/dbraw/zinc/78/66/29/817786629.db2.gz RCQUGCUTRSRGIL-DZKIICNBSA-N 0 1 296.411 0.883 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@]2(C)CCCOC2)C1 ZINC001047578198 817786991 /nfs/dbraw/zinc/78/69/91/817786991.db2.gz RCQUGCUTRSRGIL-OFQRWUPVSA-N 0 1 296.411 0.883 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCOC1 ZINC001032327736 817878981 /nfs/dbraw/zinc/87/89/81/817878981.db2.gz FTFWZIIRNKCYRI-IHRRRGAJSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(C)CC=CC2)C1 ZINC001077623577 817882350 /nfs/dbraw/zinc/88/23/50/817882350.db2.gz QTUPVCOHQOHYAL-CHWSQXEVSA-N 0 1 262.353 0.527 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C[C@@H](C)OC)C[C@H]2O)C1 ZINC001077641671 817906139 /nfs/dbraw/zinc/90/61/39/817906139.db2.gz AMOXPVCOXUTMDD-JHJVBQTASA-N 0 1 282.384 0.539 20 30 CCEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)COC)C1 ZINC001107659927 817920668 /nfs/dbraw/zinc/92/06/68/817920668.db2.gz SSVWUJRWBLQXKC-AWEZNQCLSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CCC[N@H+]1CCO[C@@](C)(CNC(=O)CCOC)C1 ZINC001107665563 817932565 /nfs/dbraw/zinc/93/25/65/817932565.db2.gz FUTQKQXQJSQJIK-AWEZNQCLSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CCOC)C1 ZINC001107665563 817932568 /nfs/dbraw/zinc/93/25/68/817932568.db2.gz FUTQKQXQJSQJIK-AWEZNQCLSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H](C)NC(C)=O)C1 ZINC001107714740 817960715 /nfs/dbraw/zinc/96/07/15/817960715.db2.gz GFJBQTFZZLDNAN-WFASDCNBSA-N 0 1 297.399 0.294 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cc(CC)n[nH]2)C1 ZINC001032921638 818362023 /nfs/dbraw/zinc/36/20/23/818362023.db2.gz QSFLHYSMNQDFQR-GFCCVEGCSA-N 0 1 260.341 0.752 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)C2=CCOCC2)C1 ZINC001033028520 818439421 /nfs/dbraw/zinc/43/94/21/818439421.db2.gz FVLGPQPBNSATEN-CQSZACIVSA-N 0 1 262.353 0.889 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C)n2C)[C@H](O)C1 ZINC001090065315 818442366 /nfs/dbraw/zinc/44/23/66/818442366.db2.gz QAWRPXAUJVTHDR-TZMCWYRMSA-N 0 1 277.368 0.685 20 30 CCEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cnnn2C)C1 ZINC001033049942 818463438 /nfs/dbraw/zinc/46/34/38/818463438.db2.gz ZUSURYSJKMZRAS-NSHDSACASA-N 0 1 263.345 0.538 20 30 CCEDMN CN(C(=O)[C@@H]1CCCc2c[nH]nc21)[C@H]1CCN(CC#N)C1 ZINC001033086263 818504423 /nfs/dbraw/zinc/50/44/23/818504423.db2.gz VGROQDUHJLRWJJ-QWHCGFSZSA-N 0 1 287.367 0.886 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)n[nH]2)[C@@H](O)C1 ZINC001090100887 818515903 /nfs/dbraw/zinc/51/59/03/818515903.db2.gz USWGHLZKDAPUHP-AAEUAGOBSA-N 0 1 278.356 0.323 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001033120402 818545000 /nfs/dbraw/zinc/54/50/00/818545000.db2.gz QTCDETSANJQKPH-KBPBESRZSA-N 0 1 291.395 0.409 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cnn3ccncc23)C1 ZINC001033151813 818578436 /nfs/dbraw/zinc/57/84/36/818578436.db2.gz JFLZWXWFFSSDEP-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033205275 818650093 /nfs/dbraw/zinc/65/00/93/818650093.db2.gz XTALFBKPVWVOQW-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCc3nccn3C2)C1 ZINC001033208789 818653852 /nfs/dbraw/zinc/65/38/52/818653852.db2.gz WDPTVLMSRMDFEL-UONOGXRCSA-N 0 1 286.379 0.611 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)o2)C1 ZINC001033245333 818674892 /nfs/dbraw/zinc/67/48/92/818674892.db2.gz DDTXVPVFBMBPOY-SNVBAGLBSA-N 0 1 277.324 0.711 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C)n(C)n2)[C@H](O)C1 ZINC001090131108 818693962 /nfs/dbraw/zinc/69/39/62/818693962.db2.gz YOGDNLAWESDUMY-GXTWGEPZSA-N 0 1 292.383 0.470 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cocn2)[C@H](O)C1 ZINC001090131370 818694784 /nfs/dbraw/zinc/69/47/84/818694784.db2.gz ZPBJMXKYMXZEDE-ZYHUDNBSSA-N 0 1 265.313 0.416 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001033339801 818725231 /nfs/dbraw/zinc/72/52/31/818725231.db2.gz ARGFTOYSIPRCHU-STQMWFEESA-N 0 1 277.368 0.163 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001033423846 818770611 /nfs/dbraw/zinc/77/06/11/818770611.db2.gz YFDIYMYZDPOURM-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001033463407 818788575 /nfs/dbraw/zinc/78/85/75/818788575.db2.gz NHGUNXUVSVDZLV-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cn2cc(Cl)cn2)C1 ZINC001033474551 818796082 /nfs/dbraw/zinc/79/60/82/818796082.db2.gz MKZIXEKEBUXPRJ-LBPRGKRZSA-N 0 1 280.759 0.702 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cc2nonc2C)C1 ZINC001033544447 818828132 /nfs/dbraw/zinc/82/81/32/818828132.db2.gz MEXDOXVJROJGMI-LLVKDONJSA-N 0 1 264.329 0.639 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@]2(C)CCN(C(C)=O)C2)C1 ZINC001033561083 818831331 /nfs/dbraw/zinc/83/13/31/818831331.db2.gz FUYREAMKMYEIRH-HOCLYGCPSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC001033563109 818833033 /nfs/dbraw/zinc/83/30/33/818833033.db2.gz SGRZJVBCVVQQBQ-QWHCGFSZSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](N(C)C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001033563109 818833043 /nfs/dbraw/zinc/83/30/43/818833043.db2.gz SGRZJVBCVVQQBQ-QWHCGFSZSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC001033563109 818833053 /nfs/dbraw/zinc/83/30/53/818833053.db2.gz SGRZJVBCVVQQBQ-QWHCGFSZSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001033563109 818833061 /nfs/dbraw/zinc/83/30/61/818833061.db2.gz SGRZJVBCVVQQBQ-QWHCGFSZSA-N 0 1 286.379 0.681 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001033582223 818837391 /nfs/dbraw/zinc/83/73/91/818837391.db2.gz INNYPTQESGSRKL-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnn(CCOC)c2)C1 ZINC001033623790 818857455 /nfs/dbraw/zinc/85/74/55/818857455.db2.gz HQTWCUQWSKMEHS-CQSZACIVSA-N 0 1 292.383 0.862 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cn2c(C)csc2=O)C1 ZINC001033649654 818872602 /nfs/dbraw/zinc/87/26/02/818872602.db2.gz DYTZHOXJCIGUCX-GFCCVEGCSA-N 0 1 295.408 0.937 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CC23CCOCC3)C1 ZINC001033719362 818904281 /nfs/dbraw/zinc/90/42/81/818904281.db2.gz NRBUMOFOGUDINQ-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCOC2)C1 ZINC001033772308 818927473 /nfs/dbraw/zinc/92/74/73/818927473.db2.gz ILLQNLODAIBJJA-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cc(C)[nH]n2)C1 ZINC001033765170 818928887 /nfs/dbraw/zinc/92/88/87/818928887.db2.gz HGBBFEJVYWUHGA-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cc[nH]c(=O)c2)C1 ZINC001033790150 818938377 /nfs/dbraw/zinc/93/83/77/818938377.db2.gz UGOHQWGRGBULTF-ZDUSSCGKSA-N 0 1 273.336 0.957 20 30 CCEDMN CCOC(=O)[C@H](Cc1cnc[nH]1)NC(=O)C1(C#N)CC1 ZINC001143189020 818944885 /nfs/dbraw/zinc/94/48/85/818944885.db2.gz YVUQARVRHRKKSJ-JTQLQIEISA-N 0 1 276.296 0.304 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)CC)[C@@H](n2ccnn2)C1 ZINC001128758292 818970523 /nfs/dbraw/zinc/97/05/23/818970523.db2.gz NOMNHDBMEOBGPV-MCIONIFRSA-N 0 1 289.383 0.689 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cn(C)c(=O)cn2)C1 ZINC001033906154 818987439 /nfs/dbraw/zinc/98/74/39/818987439.db2.gz XFRDAMVYCPSORU-LBPRGKRZSA-N 0 1 290.367 0.503 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cn(C)c(=O)cn2)C1 ZINC001033906154 818987446 /nfs/dbraw/zinc/98/74/46/818987446.db2.gz XFRDAMVYCPSORU-LBPRGKRZSA-N 0 1 290.367 0.503 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033921810 818995709 /nfs/dbraw/zinc/99/57/09/818995709.db2.gz FZCSSYJFPHOCPA-LBPRGKRZSA-N 0 1 290.367 0.685 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033930189 818998297 /nfs/dbraw/zinc/99/82/97/818998297.db2.gz USDBGRCVWAXYFW-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001034031686 819034936 /nfs/dbraw/zinc/03/49/36/819034936.db2.gz KUYAOPMOGZZIEC-LSDHHAIUSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001034051889 819039721 /nfs/dbraw/zinc/03/97/21/819039721.db2.gz CZQHIDKPHQDBFF-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCCC(=O)N[C@@H]1C[N@H+](CC)C[C@@H]1n1ccnn1 ZINC001128820554 819040291 /nfs/dbraw/zinc/04/02/91/819040291.db2.gz AXVNTWGWWYIUNN-NEPJUHHUSA-N 0 1 263.345 0.606 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CC)C[C@@H]1n1ccnn1 ZINC001128820554 819040298 /nfs/dbraw/zinc/04/02/98/819040298.db2.gz AXVNTWGWWYIUNN-NEPJUHHUSA-N 0 1 263.345 0.606 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(C)nc(C)n2)[C@@H](O)C1 ZINC001083859943 819088183 /nfs/dbraw/zinc/08/81/83/819088183.db2.gz QYERIKHSGMIZAX-KGLIPLIRSA-N 0 1 290.367 0.444 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CCC(=O)N2)C1 ZINC001034132015 819091283 /nfs/dbraw/zinc/09/12/83/819091283.db2.gz FJXNOCWTVTZCGC-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001034150005 819097466 /nfs/dbraw/zinc/09/74/66/819097466.db2.gz IUOJJRUZLYOQJV-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001034168774 819105808 /nfs/dbraw/zinc/10/58/08/819105808.db2.gz JTYRLYWHGAEKKP-QWHCGFSZSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001034232828 819131906 /nfs/dbraw/zinc/13/19/06/819131906.db2.gz YUSMMRLJUBZTGF-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001034239358 819135992 /nfs/dbraw/zinc/13/59/92/819135992.db2.gz JJBJMGSUIJVPLM-NSHDSACASA-N 0 1 298.350 0.570 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)[C@H]2CCCNC2=O)C1 ZINC001034255063 819143911 /nfs/dbraw/zinc/14/39/11/819143911.db2.gz YDBUBDUGWGFJRJ-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCCNC2=O)C1 ZINC001034255063 819143918 /nfs/dbraw/zinc/14/39/18/819143918.db2.gz YDBUBDUGWGFJRJ-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2COCCN2CC)C1 ZINC001034279604 819152404 /nfs/dbraw/zinc/15/24/04/819152404.db2.gz USRXTTAJKWUUQA-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C(C)=C/C)[C@@H](n2ccnn2)C1 ZINC001128919920 819158099 /nfs/dbraw/zinc/15/80/99/819158099.db2.gz QJUHVYSOAOMGDZ-KYYFYTAESA-N 0 1 287.367 0.609 20 30 CCEDMN C=CCN1CCCC[C@@H](NC(=O)c2[nH]nnc2C)C1 ZINC001034345974 819178255 /nfs/dbraw/zinc/17/82/55/819178255.db2.gz WIPSOLMNEABFDY-LLVKDONJSA-N 0 1 263.345 0.883 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001034375377 819184036 /nfs/dbraw/zinc/18/40/36/819184036.db2.gz ANDOQWPZHROURC-LLVKDONJSA-N 0 1 276.340 0.343 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@@H](NC(=O)[C@]2(C)CCNC2=O)C1 ZINC001034398906 819193835 /nfs/dbraw/zinc/19/38/35/819193835.db2.gz QEHPDBTULYNPEM-CZUORRHYSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@]2(C)CCNC2=O)C1 ZINC001034398906 819193839 /nfs/dbraw/zinc/19/38/39/819193839.db2.gz QEHPDBTULYNPEM-CZUORRHYSA-N 0 1 291.395 0.507 20 30 CCEDMN C=C(C)C[N@H+]1CCCC[C@H](NC(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001034398297 819194739 /nfs/dbraw/zinc/19/47/39/819194739.db2.gz HAJUQHNVQZZPBO-XQQFMLRXSA-N 0 1 279.384 0.655 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)Cn2nccc2C)C1 ZINC001034427450 819197110 /nfs/dbraw/zinc/19/71/10/819197110.db2.gz XTQSVNJFHSMAHU-CQSZACIVSA-N 0 1 274.368 0.795 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cn2nccc2C)C1 ZINC001034427450 819197113 /nfs/dbraw/zinc/19/71/13/819197113.db2.gz XTQSVNJFHSMAHU-CQSZACIVSA-N 0 1 274.368 0.795 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001034509435 819225176 /nfs/dbraw/zinc/22/51/76/819225176.db2.gz WFTJCRDBXGUETP-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001034542274 819239571 /nfs/dbraw/zinc/23/95/71/819239571.db2.gz WONXUGPSCBHVCL-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)Cn2ccnc2)C1 ZINC001034548790 819241077 /nfs/dbraw/zinc/24/10/77/819241077.db2.gz GNQNFEFHXFBIFS-CQSZACIVSA-N 0 1 274.368 0.877 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CSC(C)C)[C@@H](O)C1 ZINC001099677868 819276741 /nfs/dbraw/zinc/27/67/41/819276741.db2.gz CBLUXNYCTSDWOA-NEPJUHHUSA-N 0 1 272.414 0.865 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2nccc(C)n2)[C@@H](O)C1 ZINC001090232027 819279959 /nfs/dbraw/zinc/27/99/59/819279959.db2.gz RFUUDVVMHWGWGX-OLZOCXBDSA-N 0 1 290.367 0.526 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nccc(C)n2)[C@@H](O)C1 ZINC001090232027 819279966 /nfs/dbraw/zinc/27/99/66/819279966.db2.gz RFUUDVVMHWGWGX-OLZOCXBDSA-N 0 1 290.367 0.526 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)NC(=O)Cc1cnc[nH]1 ZINC001108136449 819281594 /nfs/dbraw/zinc/28/15/94/819281594.db2.gz ZMJAUAASDZAEGT-SNVBAGLBSA-N 0 1 284.323 0.836 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCOCC(C)C)[C@H](O)C1 ZINC001099678438 819285788 /nfs/dbraw/zinc/28/57/88/819285788.db2.gz KPLLFKFHAHIMHE-ZIAGYGMSSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@@H]2COCCO2)C1 ZINC001035294025 819439759 /nfs/dbraw/zinc/43/97/59/819439759.db2.gz HECZLVUFIRAYFC-KBPBESRZSA-N 0 1 298.383 0.185 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CN(CC2CC2)CCO1 ZINC001035516347 819545878 /nfs/dbraw/zinc/54/58/78/819545878.db2.gz QJXHNHSUTFUXKI-KFWWJZLASA-N 0 1 294.395 0.805 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](CC)OC)CC2 ZINC001035663850 819596564 /nfs/dbraw/zinc/59/65/64/819596564.db2.gz GPMYAXZKHVEBQH-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)Cc1nnc(C)[nH]1)CC2 ZINC001035699688 819612036 /nfs/dbraw/zinc/61/20/36/819612036.db2.gz PSNWLPNSXWJFKV-UHFFFAOYSA-N 0 1 289.383 0.766 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnco1)CC2 ZINC001035760559 819619682 /nfs/dbraw/zinc/61/96/82/819619682.db2.gz DSQCKFBHRXTQDC-UHFFFAOYSA-N 0 1 259.309 0.846 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCc1ncccn1)CC2 ZINC001035820856 819629588 /nfs/dbraw/zinc/62/95/88/819629588.db2.gz MMPWSDDYJYYVJQ-UHFFFAOYSA-N 0 1 298.390 0.967 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCn2cccn2)[C@@H](O)C1 ZINC001099684167 819698627 /nfs/dbraw/zinc/69/86/27/819698627.db2.gz NYYWXVYFCFAMGX-OLZOCXBDSA-N 0 1 278.356 0.011 20 30 CCEDMN C#CC1(O)CCN([C@H](C)C(=O)N2CCCCC2)CC1 ZINC000708088025 819757232 /nfs/dbraw/zinc/75/72/32/819757232.db2.gz KUVYMXHUHMMOSC-CYBMUJFWSA-N 0 1 264.369 0.848 20 30 CCEDMN C#CC1(O)CCN([C@@H](C)C(=O)N(CC)CC)CC1 ZINC000708157407 819762037 /nfs/dbraw/zinc/76/20/37/819762037.db2.gz CBEDTXHTEMYUCD-LBPRGKRZSA-N 0 1 252.358 0.703 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CN(C(=O)c3n[nH]c(C)c3[O-])CC[C@H]21 ZINC001036690744 820020938 /nfs/dbraw/zinc/02/09/38/820020938.db2.gz FLBKAZFJPCIVMG-NWDGAFQWSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CC[N@H+]1CC[C@H]2CN(C(=O)c3[nH]nc(C)c3[O-])CC[C@H]21 ZINC001036690744 820020941 /nfs/dbraw/zinc/02/09/41/820020941.db2.gz FLBKAZFJPCIVMG-NWDGAFQWSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CN(C(=O)c3[nH]nc(C)c3[O-])CC[C@H]21 ZINC001036690744 820020953 /nfs/dbraw/zinc/02/09/53/820020953.db2.gz FLBKAZFJPCIVMG-NWDGAFQWSA-N 0 1 288.351 0.593 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NC(=O)c2[nH]ncc2F)CCC1 ZINC001064304349 820196200 /nfs/dbraw/zinc/19/62/00/820196200.db2.gz ALUIIDQCZXBUAL-QMMMGPOBSA-N 0 1 293.302 0.477 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc3n(n2)CCC3)[C@H](O)C1 ZINC001090314342 820248442 /nfs/dbraw/zinc/24/84/42/820248442.db2.gz ZRMHWLANXVRTFI-TZMCWYRMSA-N 0 1 290.367 0.180 20 30 CCEDMN N#Cc1ccc(NC[C@@H]2CCCN2C(=O)c2ccn[nH]2)nn1 ZINC001064867341 820262257 /nfs/dbraw/zinc/26/22/57/820262257.db2.gz NGHZNAGQETXYFC-NSHDSACASA-N 0 1 297.322 0.788 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)ncn2C)[C@H](O)C1 ZINC001090340244 820279776 /nfs/dbraw/zinc/27/97/76/820279776.db2.gz XSUIHXSVDRZZQT-VXGBXAGGSA-N 0 1 278.356 0.080 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2nocc2C)[C@@H](O)C1 ZINC001083925260 820280549 /nfs/dbraw/zinc/28/05/49/820280549.db2.gz WBYHVUXAAYMCGG-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(C)c2Cl)[C@H](O)C1 ZINC001090364217 820303009 /nfs/dbraw/zinc/30/30/09/820303009.db2.gz UUYRHSFBDPRJET-WDEREUQCSA-N 0 1 298.774 0.425 20 30 CCEDMN C#CCCCC(=O)N1CC(N(CC)C(=O)c2ccn[nH]2)C1 ZINC001079352905 820365491 /nfs/dbraw/zinc/36/54/91/820365491.db2.gz RPRWMJBGHLHMPI-UHFFFAOYSA-N 0 1 288.351 0.886 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CN2CCCC2=O)C1 ZINC001079401746 820383413 /nfs/dbraw/zinc/38/34/13/820383413.db2.gz HXEYPJXXCIUMEL-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cncnc2C)C1 ZINC001079455061 820390177 /nfs/dbraw/zinc/39/01/77/820390177.db2.gz XMECOFSBVJKBBF-BXUZGUMPSA-N 0 1 272.352 0.858 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CSCCC)[C@@H](O)C1 ZINC001099701775 820402636 /nfs/dbraw/zinc/40/26/36/820402636.db2.gz JMXMONFJVHPHNG-RYUDHWBXSA-N 0 1 272.414 0.867 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CC2OCCCO2)C1 ZINC001079620233 820442158 /nfs/dbraw/zinc/44/21/58/820442158.db2.gz AHPQDBJJDYKHGG-VXGBXAGGSA-N 0 1 266.341 0.209 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001079699824 820455273 /nfs/dbraw/zinc/45/52/73/820455273.db2.gz ZYEMPHUILWORRH-JTNHKYCSSA-N 0 1 287.367 0.790 20 30 CCEDMN C=CCCC(=O)N1CC(N(CC)C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001079744216 820463478 /nfs/dbraw/zinc/46/34/78/820463478.db2.gz WZOQZWIBJLBCRT-VXGBXAGGSA-N 0 1 295.339 0.677 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001079796735 820468596 /nfs/dbraw/zinc/46/85/96/820468596.db2.gz MRLCZKLXCLJHNM-MGPQQGTHSA-N 0 1 291.395 0.313 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cnc(C)o2)C1 ZINC001079844003 820476927 /nfs/dbraw/zinc/47/69/27/820476927.db2.gz URXYLVFHHNHKQK-BXUZGUMPSA-N 0 1 275.352 0.985 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCSCC)[C@H](O)C1 ZINC001099708397 820519124 /nfs/dbraw/zinc/51/91/24/820519124.db2.gz ZXEJDBWWKOJUGO-NWDGAFQWSA-N 0 1 272.414 0.867 20 30 CCEDMN C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)Cc2ccc(OC)cn2)C1 ZINC001080329981 820549213 /nfs/dbraw/zinc/54/92/13/820549213.db2.gz ZUDIOPORBBRKDV-IUODEOHRSA-N 0 1 287.363 0.702 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccc(OC)cn2)C1 ZINC001080329981 820549218 /nfs/dbraw/zinc/54/92/18/820549218.db2.gz ZUDIOPORBBRKDV-IUODEOHRSA-N 0 1 287.363 0.702 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cn(C)nc2Cl)C1 ZINC001080440136 820575916 /nfs/dbraw/zinc/57/59/16/820575916.db2.gz HJLCIMQICMYNDU-ZYHUDNBSSA-N 0 1 294.786 0.686 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001080870661 820649625 /nfs/dbraw/zinc/64/96/25/820649625.db2.gz QDBIUWLJKVYUBS-MGPQQGTHSA-N 0 1 291.395 0.457 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N[C@@H]1CN(CC#N)C[C@H]1C ZINC001080998064 820668524 /nfs/dbraw/zinc/66/85/24/820668524.db2.gz RDGXSSSMJFDLAT-MCIONIFRSA-N 0 1 278.400 0.821 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnc(C)cn2)[C@H](OC)C1 ZINC001081311895 820736099 /nfs/dbraw/zinc/73/60/99/820736099.db2.gz HLIIKOXAWQWFFF-ZIAGYGMSSA-N 0 1 288.351 0.237 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCCCO2)[C@H](OC)C1 ZINC001081546358 820795709 /nfs/dbraw/zinc/79/57/09/820795709.db2.gz JJBZILFYPFISQP-HZSPNIEDSA-N 0 1 280.368 0.394 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN(CC)C[C@H]2OC)c1 ZINC001082118435 820900701 /nfs/dbraw/zinc/90/07/01/820900701.db2.gz YULJTQFDYBMMOU-ZIAGYGMSSA-N 0 1 273.336 0.512 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCO)C[C@H]2OC)C1 ZINC001082112305 820902228 /nfs/dbraw/zinc/90/22/28/820902228.db2.gz VMYUXSBZWUJYFA-VXGBXAGGSA-N 0 1 268.357 0.150 20 30 CCEDMN C#CCC[NH+]1C[C@@H](NC(=O)C[N@@H+]2CCC[C@@H]2C)[C@H](OC)C1 ZINC001082133552 820905013 /nfs/dbraw/zinc/90/50/13/820905013.db2.gz GSFZDGSEOWCELG-RRFJBIMHSA-N 0 1 293.411 0.309 20 30 CCEDMN Cc1cc(CN[C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)nn1C ZINC001082450583 820971311 /nfs/dbraw/zinc/97/13/11/820971311.db2.gz AONPKKNJYHKCAF-MISXGVKJSA-N 0 1 289.383 0.825 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCCNC2=O)C[C@H]1C ZINC001082575882 821001355 /nfs/dbraw/zinc/00/13/55/821001355.db2.gz FRZICVKFKKURQW-YUSALJHKSA-N 0 1 299.802 0.702 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2n[nH]c3c2CCC3)[C@H](O)C1 ZINC001090388379 821062561 /nfs/dbraw/zinc/06/25/61/821062561.db2.gz PMYFRBFDRYNYJR-CHWSQXEVSA-N 0 1 290.367 0.249 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3cc(C)n[nH]3)[C@H]2C1 ZINC001083014327 821107507 /nfs/dbraw/zinc/10/75/07/821107507.db2.gz BWIJJZLNUNLREE-UONOGXRCSA-N 0 1 290.367 0.819 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)[C@@H]3CCOC3)[C@H]2C1 ZINC001083019264 821111146 /nfs/dbraw/zinc/11/11/46/821111146.db2.gz HPEICELLRKGHHI-HZSPNIEDSA-N 0 1 280.368 0.511 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(OCC)n2)[C@@H](O)C1 ZINC001090395409 821144938 /nfs/dbraw/zinc/14/49/38/821144938.db2.gz BNRCJGCOTMMPAU-PWSUYJOCSA-N 0 1 295.339 0.424 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)C2CCCC2)[C@@H](O)C1 ZINC001083939033 821160180 /nfs/dbraw/zinc/16/01/80/821160180.db2.gz VEGYNHCQSXAALJ-CFVMTHIKSA-N 0 1 278.396 0.997 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2c(C)noc2CC)[C@@H](O)C1 ZINC001084046127 821186793 /nfs/dbraw/zinc/18/67/93/821186793.db2.gz SINPCHRQZHBXNW-NEPJUHHUSA-N 0 1 293.367 0.896 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CC23CCOCC3)[C@@H](O)C1 ZINC001084128575 821203958 /nfs/dbraw/zinc/20/39/58/821203958.db2.gz UMXIBAYPTGYIMP-MJBXVCDLSA-N 0 1 294.395 0.541 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3nccnc3N)[C@@H]2C1 ZINC001084241457 821236832 /nfs/dbraw/zinc/23/68/32/821236832.db2.gz NVPIFRYQFDFMGK-VXGBXAGGSA-N 0 1 285.351 0.228 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3nnn(C)c3C)[C@@H]2C1 ZINC001084249765 821239183 /nfs/dbraw/zinc/23/91/83/821239183.db2.gz IMOJPVPEYMZPOX-CHWSQXEVSA-N 0 1 287.367 0.293 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCOC3)[C@@H]2C1 ZINC001084245927 821239990 /nfs/dbraw/zinc/23/99/90/821239990.db2.gz FFMCJBGABKRAQC-RRFJBIMHSA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H]3COC(=O)C3)[C@@H]2C1 ZINC001084278981 821262575 /nfs/dbraw/zinc/26/25/75/821262575.db2.gz RPOOAASUGGLERF-YNEHKIRRSA-N 0 1 278.352 0.658 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccncn2)[C@@H](O)C1 ZINC001099856065 821446463 /nfs/dbraw/zinc/44/64/63/821446463.db2.gz RDXFXLCRHITBBK-KGLIPLIRSA-N 0 1 290.367 0.147 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(Cc1cccnn1)CC2 ZINC001273267938 821513385 /nfs/dbraw/zinc/51/33/85/821513385.db2.gz ACVBJPQHRHMQHM-CQSZACIVSA-N 0 1 284.363 0.828 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@@H]2CCCC[C@H]2NCC#N)C1 ZINC001085332925 821662912 /nfs/dbraw/zinc/66/29/12/821662912.db2.gz RSUMXQYHMLBWNL-MGPQQGTHSA-N 0 1 278.400 0.869 20 30 CCEDMN Cc1cc(C#N)nc(N[C@@H](C)CNC(=O)Cc2cnc[nH]2)n1 ZINC001098306839 821666533 /nfs/dbraw/zinc/66/65/33/821666533.db2.gz OLEXYUVMXJDUNJ-JTQLQIEISA-N 0 1 299.338 0.539 20 30 CCEDMN Cc1cc(CNCCCNC(=O)CSCC#N)no1 ZINC001154802378 821668512 /nfs/dbraw/zinc/66/85/12/821668512.db2.gz DVRWCSZFHZKUTC-UHFFFAOYSA-N 0 1 282.369 0.836 20 30 CCEDMN Cc1cc(N[C@H](C)CNC(=O)Cc2cnc[nH]2)c(C#N)cn1 ZINC001098307115 821669884 /nfs/dbraw/zinc/66/98/84/821669884.db2.gz UINPCSWFWZFYBF-LLVKDONJSA-N 0 1 298.350 0.566 20 30 CCEDMN N#CCCN(CC1CC1)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000826709920 821670528 /nfs/dbraw/zinc/67/05/28/821670528.db2.gz ISWNTYUNJRFWIO-AWEZNQCLSA-N 0 1 277.368 0.859 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CN(C)CCO1 ZINC001085531061 821772662 /nfs/dbraw/zinc/77/26/62/821772662.db2.gz LSHUAIKQIADBKF-ZIAGYGMSSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1ncn(C)n1 ZINC001085582356 821833021 /nfs/dbraw/zinc/83/30/21/821833021.db2.gz IUJCSFPAFKRFQJ-LLVKDONJSA-N 0 1 263.345 0.538 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1n[nH]cc1C ZINC001085590086 821834549 /nfs/dbraw/zinc/83/45/49/821834549.db2.gz CLDYVKJDLWPAEI-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001085627538 821858777 /nfs/dbraw/zinc/85/87/77/821858777.db2.gz HUGVEYDVJJFNOA-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCC(=O)NC1 ZINC001085689085 821902346 /nfs/dbraw/zinc/90/23/46/821902346.db2.gz YOMPDSMYHSEDMB-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(=O)n(C)c1 ZINC001085694074 821907279 /nfs/dbraw/zinc/90/72/79/821907279.db2.gz WQYLFGKDTISHFF-CQSZACIVSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccnnc1C ZINC001085699253 821907844 /nfs/dbraw/zinc/90/78/44/821907844.db2.gz KAPDNYWRDCXANP-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnn2cccnc12 ZINC001085756696 821936030 /nfs/dbraw/zinc/93/60/30/821936030.db2.gz OBBSKOSQWPCIPT-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cn(C)c(=O)[nH]1 ZINC001085800366 821960148 /nfs/dbraw/zinc/96/01/48/821960148.db2.gz RRPIEHJGPZCMGZ-LLVKDONJSA-N 0 1 276.340 0.295 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CC[C@@H](C(N)=O)O1 ZINC001085817426 821969773 /nfs/dbraw/zinc/96/97/73/821969773.db2.gz XVTXAMYREMNXKC-FRRDWIJNSA-N 0 1 295.383 0.128 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001085949172 822035388 /nfs/dbraw/zinc/03/53/88/822035388.db2.gz YRMBRYYJEAHBTP-CABCVRRESA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001085949172 822035396 /nfs/dbraw/zinc/03/53/96/822035396.db2.gz YRMBRYYJEAHBTP-CABCVRRESA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)CC(N)=O)CC1 ZINC001273399053 822118319 /nfs/dbraw/zinc/11/83/19/822118319.db2.gz GSDXDTHYGUAWRC-SNVBAGLBSA-N 0 1 273.764 0.585 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001086135848 822124503 /nfs/dbraw/zinc/12/45/03/822124503.db2.gz ACNOEKFKXJFAKI-PHIMTYICSA-N 0 1 287.367 0.910 20 30 CCEDMN CC(C)N1CCO[C@H](C(=O)NC[C@H]2C[C@@H](NCC#N)C2)C1 ZINC001086177560 822143596 /nfs/dbraw/zinc/14/35/96/822143596.db2.gz YWVNSMPJHYFDCX-MJBXVCDLSA-N 0 1 294.399 0.104 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CCCC(C)C)C2 ZINC001273423193 822220749 /nfs/dbraw/zinc/22/07/49/822220749.db2.gz AVJMCLPWNCBPQZ-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001086460054 822267667 /nfs/dbraw/zinc/26/76/67/822267667.db2.gz GHTLZINNBDTWMI-DCAQKATOSA-N 0 1 288.355 0.233 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1CCCN1C ZINC001108311649 822354451 /nfs/dbraw/zinc/35/44/51/822354451.db2.gz KVORPKQRTHHTKM-FZMZJTMJSA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@H](CNC(=O)c1cnn[nH]1)N(C)c1ccc(C#N)cn1 ZINC001113969795 837386986 /nfs/dbraw/zinc/38/69/86/837386986.db2.gz BENVVLVZIVQEAF-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(CN(C)C)on1 ZINC001130653361 837880176 /nfs/dbraw/zinc/88/01/76/837880176.db2.gz IOGROUIRYXLJIA-UHFFFAOYSA-N 0 1 286.763 0.808 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CO[C@H](C)CC ZINC001114152755 837456535 /nfs/dbraw/zinc/45/65/35/837456535.db2.gz DFQMCPLNINJKKJ-CXTNEJHOSA-N 0 1 264.369 0.871 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COC(C)(C)C ZINC001114164592 837460601 /nfs/dbraw/zinc/46/06/01/837460601.db2.gz VWTHSXFOIQOGCZ-IMRBUKKESA-N 0 1 264.369 0.871 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114194859 837470031 /nfs/dbraw/zinc/47/00/31/837470031.db2.gz WTQZSYXPEXYGSP-XNISGKROSA-N 0 1 292.379 0.010 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CCC)n[nH]2)[C@H](O)C1 ZINC001090476505 837476548 /nfs/dbraw/zinc/47/65/48/837476548.db2.gz BUYAANJYVQIQRI-TZMCWYRMSA-N 0 1 292.383 0.713 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C#CC2CC2)C[C@@H]1n1ccnn1 ZINC001129822230 837564133 /nfs/dbraw/zinc/56/41/33/837564133.db2.gz LNLMGZJYNKXVNV-CABCVRRESA-N 0 1 297.362 0.056 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)[C@H](C)OC)C1 ZINC001130352738 837774090 /nfs/dbraw/zinc/77/40/90/837774090.db2.gz YCQSZGAVPYWVOZ-RYUDHWBXSA-N 0 1 290.367 0.483 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(Cl)n(C)n2)[C@@H](O)C1 ZINC001090418396 835979556 /nfs/dbraw/zinc/97/95/56/835979556.db2.gz XXCVCIBMTSCVGJ-ONGXEEELSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(Cl)n(C)n2)[C@@H](O)C1 ZINC001090418396 835979569 /nfs/dbraw/zinc/97/95/69/835979569.db2.gz XXCVCIBMTSCVGJ-ONGXEEELSA-N 0 1 298.774 0.425 20 30 CCEDMN CCOC(=O)C1(Nc2nccc(C#N)n2)CCN(C)CC1 ZINC001169492003 836322276 /nfs/dbraw/zinc/32/22/76/836322276.db2.gz UTBDEGFRNXIISL-UHFFFAOYSA-N 0 1 289.339 0.788 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)cn1)NC(=O)c1cnn[nH]1 ZINC001108783156 836525599 /nfs/dbraw/zinc/52/55/99/836525599.db2.gz BWAPZIQPZFVEDZ-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC(=O)NCC)C2 ZINC001109061812 836605143 /nfs/dbraw/zinc/60/51/43/836605143.db2.gz VXWIBFKPMFRPEC-AGIUHOORSA-N 0 1 279.384 0.810 20 30 CCEDMN Cc1nc(N(C)C[C@@H](C)NC(=O)c2ncn[nH]2)ccc1C#N ZINC001109063186 836607395 /nfs/dbraw/zinc/60/73/95/836607395.db2.gz NFWKIFDCVJFJOV-SECBINFHSA-N 0 1 299.338 0.635 20 30 CCEDMN Cc1nc(N(C)C[C@@H](C)NC(=O)c2nc[nH]n2)ccc1C#N ZINC001109063186 836607399 /nfs/dbraw/zinc/60/73/99/836607399.db2.gz NFWKIFDCVJFJOV-SECBINFHSA-N 0 1 299.338 0.635 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#CC ZINC001109070884 836609904 /nfs/dbraw/zinc/60/99/04/836609904.db2.gz MYSIBTWVMQTWBT-RDBSUJKOSA-N 0 1 262.353 0.934 20 30 CCEDMN C[C@H](CN(C)c1ccc(C#N)cn1)NC(=O)Cc1nnc[nH]1 ZINC001109080844 836612490 /nfs/dbraw/zinc/61/24/90/836612490.db2.gz GMGUKPJEEROEFB-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC(=O)NC)C2 ZINC001109182897 836628774 /nfs/dbraw/zinc/62/87/74/836628774.db2.gz KVQJTMPEMLYMDH-RDBSUJKOSA-N 0 1 291.395 0.648 20 30 CCEDMN C[C@H](CN(C)c1ccc(C#N)cn1)NC(=O)Cc1cnc[nH]1 ZINC001109255411 836648614 /nfs/dbraw/zinc/64/86/14/836648614.db2.gz RNZMPZGUMDEIBQ-LLVKDONJSA-N 0 1 298.350 0.860 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#CC ZINC001109273095 836649880 /nfs/dbraw/zinc/64/98/80/836649880.db2.gz WNCMSAMVUXALDS-ILXRZTDVSA-N 0 1 274.364 0.771 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)C(N)=O)C2 ZINC001109430240 836679595 /nfs/dbraw/zinc/67/95/95/836679595.db2.gz IZDDGHGJWYWGRX-WOPDTQHZSA-N 0 1 277.368 0.243 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ccc2c(C#N)c[nH]c2n1 ZINC001156828773 836710526 /nfs/dbraw/zinc/71/05/26/836710526.db2.gz DJNDQDHURBWGEK-UHFFFAOYSA-N 0 1 279.307 0.867 20 30 CCEDMN C=CCOc1ccc(NC[C@H]2COCCN2)nc1C#N ZINC001170041707 836770259 /nfs/dbraw/zinc/77/02/59/836770259.db2.gz KMNSGLFXQNFACC-NSHDSACASA-N 0 1 274.324 0.918 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CNC(C)=O)CC1 ZINC001112598826 836808239 /nfs/dbraw/zinc/80/82/39/836808239.db2.gz GGXTZYYIYFBIIR-UHFFFAOYSA-N 0 1 253.346 0.233 20 30 CCEDMN C=CCCCCCN1CCN(C(=O)CNC(N)=O)CC1 ZINC001112606825 836821846 /nfs/dbraw/zinc/82/18/46/836821846.db2.gz OOCSQKSTLSIKKA-UHFFFAOYSA-N 0 1 282.388 0.545 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(F)c[nH]2)[C@@H](O)C1 ZINC001090442924 836889112 /nfs/dbraw/zinc/88/91/12/836889112.db2.gz URXAAZKZQQBDPQ-JQWIXIFHSA-N 0 1 267.304 0.505 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CCC2CC2)CC1 ZINC001112841708 836939613 /nfs/dbraw/zinc/93/96/13/836939613.db2.gz JJQWHLPAZQRCQW-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCCCN1CCN(C(=O)C[C@@H]2CCN(C)C2=O)CC1 ZINC001112994610 836990241 /nfs/dbraw/zinc/99/02/41/836990241.db2.gz CODRNQIOFZSOGQ-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)nn1)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001113114571 837027468 /nfs/dbraw/zinc/02/74/68/837027468.db2.gz JVZZDGZUVWWRIY-SFYZADRCSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)nn1)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001113114571 837027477 /nfs/dbraw/zinc/02/74/77/837027477.db2.gz JVZZDGZUVWWRIY-SFYZADRCSA-N 0 1 286.299 0.085 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H](C)[C@@H](C)NC(=O)c2ncn[nH]2)n1 ZINC001113114457 837028182 /nfs/dbraw/zinc/02/81/82/837028182.db2.gz ICHLSCLBGRVTNN-NXEZZACHSA-N 0 1 299.338 0.999 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H](C)[C@@H](C)NC(=O)c2nc[nH]n2)n1 ZINC001113114457 837028186 /nfs/dbraw/zinc/02/81/86/837028186.db2.gz ICHLSCLBGRVTNN-NXEZZACHSA-N 0 1 299.338 0.999 20 30 CCEDMN C[C@@H](NC(=O)Cc1nnc[nH]1)[C@H](C)Nc1ccncc1C#N ZINC001113150826 837038904 /nfs/dbraw/zinc/03/89/04/837038904.db2.gz RCMIPRJTSBFCIH-VHSXEESVSA-N 0 1 299.338 0.041 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H](C)CC(N)=O)CC1 ZINC001113196832 837059043 /nfs/dbraw/zinc/05/90/43/837059043.db2.gz XHUJVBVLKQKOSV-OLZOCXBDSA-N 0 1 281.400 0.997 20 30 CCEDMN C[C@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1ccncc1C#N ZINC001113356654 837095866 /nfs/dbraw/zinc/09/58/66/837095866.db2.gz KJQLVKRZFCUTLX-IUCAKERBSA-N 0 1 285.311 0.112 20 30 CCEDMN C=CCCN1CCN(C(=O)CCCC(=O)N(C)C)CC1 ZINC001113554506 837157257 /nfs/dbraw/zinc/15/72/57/837157257.db2.gz FJOIZPAEVBMYKC-UHFFFAOYSA-N 0 1 281.400 0.965 20 30 CCEDMN C=CCCCN1CCN(C(=O)CCNC(N)=O)CC1 ZINC001113619427 837179803 /nfs/dbraw/zinc/17/98/03/837179803.db2.gz YBHGLQAORVVMKY-UHFFFAOYSA-N 0 1 268.361 0.155 20 30 CCEDMN C[C@H](CNC(=O)Cc1nnc[nH]1)N(C)c1ccc(C#N)cn1 ZINC001113714035 837203531 /nfs/dbraw/zinc/20/35/31/837203531.db2.gz FKNNVWDMPANSAY-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC001113712862 837203832 /nfs/dbraw/zinc/20/38/32/837203832.db2.gz GZZPSYREUBJKCP-NSHDSACASA-N 0 1 293.371 0.348 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc[nH]1 ZINC001113852224 837338040 /nfs/dbraw/zinc/33/80/40/837338040.db2.gz HZSGXWVEHMFCKO-IMRBUKKESA-N 0 1 273.336 0.325 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)co2)[C@H](O)C1 ZINC001090489528 837881234 /nfs/dbraw/zinc/88/12/34/837881234.db2.gz NILOEXSKTKBENC-VXGBXAGGSA-N 0 1 264.325 0.939 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CC(=O)N[C@H](C)C1 ZINC001130669642 837887695 /nfs/dbraw/zinc/88/76/95/837887695.db2.gz FNNPYKKVRQFMSN-NXEZZACHSA-N 0 1 273.764 0.359 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cocc2C)[C@@H](O)C1 ZINC001090490595 837887787 /nfs/dbraw/zinc/88/77/87/837887787.db2.gz BBJMPCQJZAWRRR-STQMWFEESA-N 0 1 264.325 0.939 20 30 CCEDMN C=C(C)CN1CCOC[C@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001130823874 837933867 /nfs/dbraw/zinc/93/38/67/837933867.db2.gz ZFHUDSOSTLRZBQ-NSHDSACASA-N 0 1 293.371 0.780 20 30 CCEDMN C=C(C)CN1CCOC[C@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001130823874 837933870 /nfs/dbraw/zinc/93/38/70/837933870.db2.gz ZFHUDSOSTLRZBQ-NSHDSACASA-N 0 1 293.371 0.780 20 30 CCEDMN CCOC(=O)c1ccc(N[C@H](C#N)C(N)=O)c([N+](=O)[O-])c1 ZINC001170538224 837993470 /nfs/dbraw/zinc/99/34/70/837993470.db2.gz ZGGABGRCTJTLLL-SECBINFHSA-N 0 1 292.251 0.561 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ncc(OC)cn1 ZINC001131121677 837998229 /nfs/dbraw/zinc/99/82/29/837998229.db2.gz AWDIBNUJRQTAGT-UHFFFAOYSA-N 0 1 270.720 0.557 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)cnn2C)[C@@H](O)C1 ZINC001090498382 838015778 /nfs/dbraw/zinc/01/57/78/838015778.db2.gz BLVTVYBJEGZFBC-RYUDHWBXSA-N 0 1 278.356 0.080 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCc2nc(C)nn2C1 ZINC001131636331 838162180 /nfs/dbraw/zinc/16/21/80/838162180.db2.gz IDCDJUMSBBDBLY-LLVKDONJSA-N 0 1 297.790 0.607 20 30 CCEDMN C[C@@H]1CC[C@H](NC(=O)CSCC#N)CN1CCO ZINC001131830538 838242304 /nfs/dbraw/zinc/24/23/04/838242304.db2.gz SCUYAOVHBLPKIS-MNOVXSKESA-N 0 1 271.386 0.205 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CNC(=O)CC)CC[C@@H]1C ZINC001131907206 838264208 /nfs/dbraw/zinc/26/42/08/838264208.db2.gz ABEHYZDZQXKBBJ-RYUDHWBXSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC2(O)CCC2)CC[C@@H]1C ZINC001132016052 838300812 /nfs/dbraw/zinc/30/08/12/838300812.db2.gz DFRAFQMYRJQNOP-STQMWFEESA-N 0 1 264.369 0.894 20 30 CCEDMN C=CC[N@H+]1C[C@H](NC(=O)CN(C)C(=O)COC)CC[C@H]1C ZINC001132097462 838316269 /nfs/dbraw/zinc/31/62/69/838316269.db2.gz JVBXIVNFYPXCHZ-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)CN(C)C(=O)C2CC2)CC[C@H]1C ZINC001132097160 838316537 /nfs/dbraw/zinc/31/65/37/838316537.db2.gz GBMGCDOLKCTYHI-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN(C)C(=O)C2CC2)CC[C@H]1C ZINC001132097160 838316540 /nfs/dbraw/zinc/31/65/40/838316540.db2.gz GBMGCDOLKCTYHI-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)C(N)=O)CC[C@H]1C ZINC001132121048 838320921 /nfs/dbraw/zinc/32/09/21/838320921.db2.gz YSMFTWCYFHIMHA-MNOVXSKESA-N 0 1 267.373 0.653 20 30 CCEDMN Cc1nn[nH]c1C(=O)NCCNCc1ccccc1C#N ZINC001132209835 838335384 /nfs/dbraw/zinc/33/53/84/838335384.db2.gz TXDFZIVJMBZGOJ-UHFFFAOYSA-N 0 1 284.323 0.504 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2(C(=O)N(C)C)CC2)CC[C@@H]1C ZINC001132417158 838390924 /nfs/dbraw/zinc/39/09/24/838390924.db2.gz SDRCSAUKQQVQPI-STQMWFEESA-N 0 1 291.395 0.457 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCNCC(=O)Nc1ccon1 ZINC001132667650 838466129 /nfs/dbraw/zinc/46/61/29/838466129.db2.gz PTOZFSOAMAEHAC-LLVKDONJSA-N 0 1 294.355 0.921 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC001132895322 838515380 /nfs/dbraw/zinc/51/53/80/838515380.db2.gz FRODQJROMQGKCB-LLVKDONJSA-N 0 1 296.802 0.983 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCc2nnn(C)c2C1 ZINC001132921736 838518375 /nfs/dbraw/zinc/51/83/75/838518375.db2.gz VSTNNRIQDQZVFH-SNVBAGLBSA-N 0 1 297.790 0.378 20 30 CCEDMN Cc1nc(CC(=O)N[C@H](C)CCCCNCC#N)n[nH]1 ZINC001170694450 838665960 /nfs/dbraw/zinc/66/59/60/838665960.db2.gz XLTYKBNLQVLVQW-SNVBAGLBSA-N 0 1 278.360 0.444 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](CC)NC(C)=O ZINC001134167977 838890042 /nfs/dbraw/zinc/89/00/42/838890042.db2.gz JBAQIEGQYKZTJT-SNVBAGLBSA-N 0 1 261.753 0.359 20 30 CCEDMN O=C(NCCNCC#Cc1ccc(F)cc1)c1ccnnc1 ZINC001134283705 838928926 /nfs/dbraw/zinc/92/89/26/838928926.db2.gz LCCYMFGYJCKJPM-UHFFFAOYSA-N 0 1 298.321 0.987 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H](C)C[C@H](C)NCC#N)n[nH]1 ZINC001134355375 838952179 /nfs/dbraw/zinc/95/21/79/838952179.db2.gz GAZHIQTVORTZBN-IUCAKERBSA-N 0 1 264.333 0.052 20 30 CCEDMN Cc1nc(CC(=O)N[C@H](C)C[C@@H](C)NCC#N)n[nH]1 ZINC001134355376 838952600 /nfs/dbraw/zinc/95/26/00/838952600.db2.gz GAZHIQTVORTZBN-RKDXNWHRSA-N 0 1 264.333 0.052 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1cccnn1 ZINC001135641429 839336759 /nfs/dbraw/zinc/33/67/59/839336759.db2.gz URJGBFKKLDJDMV-UHFFFAOYSA-N 0 1 280.331 0.848 20 30 CCEDMN CCn1cc(C(=O)NCCNCc2ccccc2C#N)nn1 ZINC001135994022 839490948 /nfs/dbraw/zinc/49/09/48/839490948.db2.gz BJNWMRBUWFAQRD-UHFFFAOYSA-N 0 1 298.350 0.689 20 30 CCEDMN C[C@H]1CN(C(=O)CN2CCCC2)CC[C@H]1CNCC#N ZINC001185682028 844444062 /nfs/dbraw/zinc/44/40/62/844444062.db2.gz ONOHBAQXWJYEKP-KBPBESRZSA-N 0 1 278.400 0.680 20 30 CCEDMN C=C[C@@H]1C[C@]1(Nc1ncc2c(n1)CNC2)C(=O)OCC ZINC001159801467 839588559 /nfs/dbraw/zinc/58/85/59/839588559.db2.gz KNPZJHAVRMOZBM-QMTHXVAHSA-N 0 1 274.324 1.000 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C3CC3)n[nH]2)[C@H](O)C1 ZINC001090583456 839654894 /nfs/dbraw/zinc/65/48/94/839654894.db2.gz AXRBAYOVRTUYBC-BXUZGUMPSA-N 0 1 290.367 0.638 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)[nH]c2C)[C@H](O)C1 ZINC001090592731 839663269 /nfs/dbraw/zinc/66/32/69/839663269.db2.gz HKHCTJXIUJIHQJ-UONOGXRCSA-N 0 1 277.368 0.983 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(C)[nH]c2C)[C@H](O)C1 ZINC001090604937 839670961 /nfs/dbraw/zinc/67/09/61/839670961.db2.gz GUFFSBWOJLZZFT-HUUCEWRRSA-N 0 1 289.379 0.820 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(CCC)c2)[C@H](O)C1 ZINC001090612414 839674754 /nfs/dbraw/zinc/67/47/54/839674754.db2.gz MSTBEYBAWIHLBB-UONOGXRCSA-N 0 1 292.383 0.644 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnoc2CC)[C@@H](O)C1 ZINC001090614969 839677464 /nfs/dbraw/zinc/67/74/64/839677464.db2.gz CXWKBGDBKAHBPY-STQMWFEESA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nc(C)c[nH]2)[C@@H](O)C1 ZINC001090631514 839689819 /nfs/dbraw/zinc/68/98/19/839689819.db2.gz STMPGAMEGXTTFG-QWRGUYRKSA-N 0 1 264.329 0.069 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cccnn2)[C@H](O)C1 ZINC001090667611 839717444 /nfs/dbraw/zinc/71/74/44/839717444.db2.gz MHEVBUJVKPKECR-DGCLKSJQSA-N 0 1 276.340 0.218 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CC)nn2C)[C@H](O)C1 ZINC001090671470 839725067 /nfs/dbraw/zinc/72/50/67/839725067.db2.gz KEFYLUFOHRZVCC-TZMCWYRMSA-N 0 1 292.383 0.334 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(C(C)C)c2)[C@H](O)C1 ZINC001090721027 839752731 /nfs/dbraw/zinc/75/27/31/839752731.db2.gz DKEQLNRCIOMFAC-ZIAGYGMSSA-N 0 1 292.383 0.815 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@H]1CCN(CC=C)C[C@@H]1O ZINC001090756070 839783011 /nfs/dbraw/zinc/78/30/11/839783011.db2.gz CUSWAUAATVHDQJ-IRXDYDNUSA-N 0 1 298.386 0.948 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)Cc2[nH]cnc2C)[C@H](O)C1 ZINC001090764285 839784953 /nfs/dbraw/zinc/78/49/53/839784953.db2.gz QVKMUVFMBBYZSD-GXTWGEPZSA-N 0 1 292.383 0.388 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2ccc(=O)[nH]c2)[C@@H](O)C1 ZINC001090778883 839804796 /nfs/dbraw/zinc/80/47/96/839804796.db2.gz AKLSEDSTKDRALK-NEPJUHHUSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(=O)[nH]c2)[C@@H](O)C1 ZINC001090778883 839804807 /nfs/dbraw/zinc/80/48/07/839804807.db2.gz AKLSEDSTKDRALK-NEPJUHHUSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc(C)n2)[C@H](O)C1 ZINC001090819962 839833699 /nfs/dbraw/zinc/83/36/99/839833699.db2.gz KGOJCYHRQQFMNE-WCQYABFASA-N 0 1 276.340 0.136 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCCn3ncnc32)CC1 ZINC001144003620 839910691 /nfs/dbraw/zinc/91/06/91/839910691.db2.gz LOLLTAWTQFUPSW-NSHDSACASA-N 0 1 288.355 0.260 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCCn3ncnc32)CC1 ZINC001144003619 839910911 /nfs/dbraw/zinc/91/09/11/839910911.db2.gz LOLLTAWTQFUPSW-LLVKDONJSA-N 0 1 288.355 0.260 20 30 CCEDMN CN(C1CN(Cc2ccc(C#N)cn2)C1)[C@@H]1CCOC1 ZINC001144163155 839965149 /nfs/dbraw/zinc/96/51/49/839965149.db2.gz PVUJLSYVLCHMLI-CQSZACIVSA-N 0 1 272.352 0.858 20 30 CCEDMN CN1CCN(C2CN(Cc3ccc(C#N)cn3)C2)CC1 ZINC001144166857 839971002 /nfs/dbraw/zinc/97/10/02/839971002.db2.gz ZTTGFMJVNPSQKK-UHFFFAOYSA-N 0 1 271.368 0.385 20 30 CCEDMN CCOC(=O)c1noc(CNc2ccnc(CC#N)c2)n1 ZINC001171240296 840182479 /nfs/dbraw/zinc/18/24/79/840182479.db2.gz SWHZFKOQWNYYSH-UHFFFAOYSA-N 0 1 287.279 0.741 20 30 CCEDMN CNCc1cc(NC(=N)c2ccc(C(N)=O)cc2)ncn1 ZINC001171252593 840199543 /nfs/dbraw/zinc/19/95/43/840199543.db2.gz LINSTZDIZFQAPG-UHFFFAOYSA-N 0 1 284.323 0.332 20 30 CCEDMN C#CCC1(C(=O)N[C@H]2CCN(CC#CC)C[C@H]2O)CCC1 ZINC001099876255 840277472 /nfs/dbraw/zinc/27/74/72/840277472.db2.gz ADBQTSZBGRQTNL-LSDHHAIUSA-N 0 1 288.391 0.755 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)CN1CCCC1)NCC#N ZINC001146340932 840291515 /nfs/dbraw/zinc/29/15/15/840291515.db2.gz UWINDNPHWQKMFI-RYUDHWBXSA-N 0 1 252.362 0.479 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@@H](CC)C(N)=O)C2 ZINC001147187713 840513669 /nfs/dbraw/zinc/51/36/69/840513669.db2.gz OGCARCDTPZQPQJ-LBPRGKRZSA-N 0 1 279.384 0.751 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@@H](CC)C(N)=O)C2 ZINC001147187713 840513677 /nfs/dbraw/zinc/51/36/77/840513677.db2.gz OGCARCDTPZQPQJ-LBPRGKRZSA-N 0 1 279.384 0.751 20 30 CCEDMN C#CCSCCNC(=O)CCN1CC[C@@H](F)C1 ZINC001147343503 840560165 /nfs/dbraw/zinc/56/01/65/840560165.db2.gz VRJALDBZMBMLSI-LLVKDONJSA-N 0 1 258.362 0.903 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CN(C)C(=O)C3CC3)C2)C1 ZINC001147665803 840652600 /nfs/dbraw/zinc/65/26/00/840652600.db2.gz RCJSENVQNOSUHR-UHFFFAOYSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)C#CC3CC3)C[C@]2(C)C1 ZINC001091660207 840684147 /nfs/dbraw/zinc/68/41/47/840684147.db2.gz GAIXUOYSHQDVGI-ZBFHGGJFSA-N 0 1 256.349 0.813 20 30 CCEDMN N#Cc1ccccc1C=CC(=O)NCc1n[nH]c(CO)n1 ZINC001148339540 840785251 /nfs/dbraw/zinc/78/52/51/840785251.db2.gz IVMGINKSKIPUKQ-WAYWQWQTSA-N 0 1 283.291 0.498 20 30 CCEDMN N#Cc1ccccc1C=CC(=O)NCc1nnc(CO)[nH]1 ZINC001148339540 840785255 /nfs/dbraw/zinc/78/52/55/840785255.db2.gz IVMGINKSKIPUKQ-WAYWQWQTSA-N 0 1 283.291 0.498 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cc(C)ncn2)nc1 ZINC001148370078 840795491 /nfs/dbraw/zinc/79/54/91/840795491.db2.gz HVDZOLHCYWEDSC-UHFFFAOYSA-N 0 1 295.346 0.681 20 30 CCEDMN COC(O)=c1ccc(=C2C=NC=C(N=C3C=CC=C3)N2)cc1 ZINC001171355561 840943409 /nfs/dbraw/zinc/94/34/09/840943409.db2.gz DTTYYNVRZCLQDC-UHFFFAOYSA-N 0 1 292.318 0.977 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CN(C(=O)c3cnn(C)n3)C[C@]2(C)C1 ZINC001092094954 840990047 /nfs/dbraw/zinc/99/00/47/840990047.db2.gz VEKNSIZUEJGBDA-DOMZBBRYSA-N 0 1 287.367 0.232 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H](OC)C2CC2)C1 ZINC001149646715 841049709 /nfs/dbraw/zinc/04/97/09/841049709.db2.gz PSSRWBOREIJKHD-ZIAGYGMSSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(COC)CC2)[C@H](O)C1 ZINC001099916290 841054107 /nfs/dbraw/zinc/05/41/07/841054107.db2.gz LMCGSTMOWBSQJQ-NWDGAFQWSA-N 0 1 268.357 0.150 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]2CN(C(=O)Cc3nnc[nH]3)CC[C@H]21 ZINC001036866071 841424046 /nfs/dbraw/zinc/42/40/46/841424046.db2.gz YLLUEWAIMZNXJI-CHWSQXEVSA-N 0 1 287.367 0.293 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](CNCc2ncnn2C)[C@H](C)C1 ZINC001093678526 841425501 /nfs/dbraw/zinc/42/55/01/841425501.db2.gz LLAYMJFAILFUEM-IJLUTSLNSA-N 0 1 290.371 0.159 20 30 CCEDMN Cc1nsc(NCCNC(=O)Cc2nnc[nH]2)c1C#N ZINC001093852404 841477042 /nfs/dbraw/zinc/47/70/42/841477042.db2.gz JSYYEKNCSDDTFS-UHFFFAOYSA-N 0 1 291.340 0.212 20 30 CCEDMN Cc1ccc(C#N)c(NCCNC(=O)c2cnn[nH]2)n1 ZINC001094243498 841569414 /nfs/dbraw/zinc/56/94/14/841569414.db2.gz RNOIIAVGQXPDKV-UHFFFAOYSA-N 0 1 271.284 0.222 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCCNc1cncc(C#N)n1 ZINC001094340093 841610525 /nfs/dbraw/zinc/61/05/25/841610525.db2.gz KFEKBUJMZRNVJR-LBPRGKRZSA-N 0 1 288.355 0.361 20 30 CCEDMN Cc1cc(CC(=O)NCCCNc2ccc(C#N)nn2)[nH]n1 ZINC001094365406 841621564 /nfs/dbraw/zinc/62/15/64/841621564.db2.gz YUUCWMYTNLOTBD-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCCOCC)C2 ZINC001110162779 841720761 /nfs/dbraw/zinc/72/07/61/841720761.db2.gz LAYFOMXIZPHEIF-RDBSUJKOSA-N 0 1 282.384 0.947 20 30 CCEDMN N#Cc1cccnc1NCCCNC(=O)CCc1c[nH]nn1 ZINC001094792611 841722042 /nfs/dbraw/zinc/72/20/42/841722042.db2.gz RDYUVUIIZWQEIP-UHFFFAOYSA-N 0 1 299.338 0.622 20 30 CCEDMN N#Cc1cccnc1NCCCNC(=O)CCc1cnn[nH]1 ZINC001094792611 841722049 /nfs/dbraw/zinc/72/20/49/841722049.db2.gz RDYUVUIIZWQEIP-UHFFFAOYSA-N 0 1 299.338 0.622 20 30 CCEDMN N#CCN[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)c1ccn[nH]1)C2 ZINC001094865961 841797162 /nfs/dbraw/zinc/79/71/62/841797162.db2.gz BEKDIHAXTTWDDJ-DCAQKATOSA-N 0 1 259.313 0.516 20 30 CCEDMN C[C@@H](Cc1ccc(C#N)cc1)N1CCN(CC(N)=O)CC1 ZINC001172319933 841917539 /nfs/dbraw/zinc/91/75/39/841917539.db2.gz RUXUHDUVQSSFDG-ZDUSSCGKSA-N 0 1 286.379 0.592 20 30 CCEDMN C[C@@H](CCCC#N)N1CCN2C(=O)COC[C@H]2C1 ZINC001172499552 841934086 /nfs/dbraw/zinc/93/40/86/841934086.db2.gz YFVSSCCEIBTCRB-NWDGAFQWSA-N 0 1 251.330 0.612 20 30 CCEDMN N#CCC1CCC(N2CC(N3CCNC(=O)C3)C2)CC1 ZINC001173337598 842045946 /nfs/dbraw/zinc/04/59/46/842045946.db2.gz PURQGMGYGSDKPI-UHFFFAOYSA-N 0 1 276.384 0.575 20 30 CCEDMN CC1(C#N)CCC(N2CCO[C@@H](C(N)=O)C2)CC1 ZINC001173598139 842113913 /nfs/dbraw/zinc/11/39/13/842113913.db2.gz NCGBNUSACDUTAA-QWKFWESOSA-N 0 1 251.330 0.645 20 30 CCEDMN CC(C)(C#N)CC(=O)N[C@H](CO)Cc1cnc[nH]1 ZINC001174950335 842221784 /nfs/dbraw/zinc/22/17/84/842221784.db2.gz ONMNWWARZHUHER-JTQLQIEISA-N 0 1 250.302 0.369 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOC ZINC001110184647 842240357 /nfs/dbraw/zinc/24/03/57/842240357.db2.gz QVMRAPDRDKAXED-MQYQWHSLSA-N 0 1 282.384 0.945 20 30 CCEDMN C#CC1CCN(C(=O)[C@@H](Cc2cnc[nH]2)NC(C)=O)CC1 ZINC001176356117 842363753 /nfs/dbraw/zinc/36/37/53/842363753.db2.gz XEMUVJPCAXLIGU-CQSZACIVSA-N 0 1 288.351 0.329 20 30 CCEDMN C#CCSCC(=O)N(Cc1nn[nH]n1)CC(C)C ZINC001176602688 842396064 /nfs/dbraw/zinc/39/60/64/842396064.db2.gz QJJKPZMJPFYMOE-UHFFFAOYSA-N 0 1 267.358 0.551 20 30 CCEDMN N#Cc1ccc(CNC(=O)Cc2nn[nH]n2)cc1F ZINC001176846303 842446541 /nfs/dbraw/zinc/44/65/41/842446541.db2.gz ZQDNZNCEWMVWST-UHFFFAOYSA-N 0 1 260.232 0.069 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)Nc1c(N)c(=O)c1=O ZINC001177902690 842705211 /nfs/dbraw/zinc/70/52/11/842705211.db2.gz AICLACHSEMGHRM-ZCFIWIBFSA-N 0 1 275.289 0.247 20 30 CCEDMN N#C[C@H](Cc1cccs1)C(=O)Nc1cn[nH]c1C(N)=O ZINC001177905425 842705733 /nfs/dbraw/zinc/70/57/33/842705733.db2.gz ZJUPLSZZYPAGLS-ZETCQYMHSA-N 0 1 289.320 0.891 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC1(C(N)=O)CC1 ZINC001177917320 842707470 /nfs/dbraw/zinc/70/74/70/842707470.db2.gz RUMWGEBQGQWBNE-MRVPVSSYSA-N 0 1 263.322 0.564 20 30 CCEDMN COC(=O)C[C@H](NC(=O)[C@@H](C)C#N)C(=O)OC(C)(C)C ZINC001179900350 843071542 /nfs/dbraw/zinc/07/15/42/843071542.db2.gz HXJSWVKHPIFSTA-IUCAKERBSA-N 0 1 284.312 0.536 20 30 CCEDMN COC(=O)[C@@](C)(NC(=O)C(C)C#N)C(F)(F)F ZINC001179901778 843078744 /nfs/dbraw/zinc/07/87/44/843078744.db2.gz CCGRPANSVHLYKD-YLWLKBPMSA-N 0 1 252.192 0.756 20 30 CCEDMN CC#CC[NH2+][C@@H]1CCN(C(=O)c2cc(CC)[nH]n2)C1 ZINC001181076216 843403228 /nfs/dbraw/zinc/40/32/28/843403228.db2.gz NVZZTHLYXRBLLM-GFCCVEGCSA-N 0 1 260.341 0.800 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)NC(C)=O)C2 ZINC001110266747 843760040 /nfs/dbraw/zinc/76/00/40/843760040.db2.gz FYMOYMSQMIUFOD-ZRJCITRHSA-N 0 1 277.368 0.256 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@H](NCc2ccccn2)C1 ZINC001182504667 843847190 /nfs/dbraw/zinc/84/71/90/843847190.db2.gz HHMVEUTWWQMTSN-UKRRQHHQSA-N 0 1 287.363 0.810 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)C2(N(C)C)CC2)CC1 ZINC001182596825 843858985 /nfs/dbraw/zinc/85/89/85/843858985.db2.gz JTJGWCNHIKILCH-UHFFFAOYSA-N 0 1 279.340 0.386 20 30 CCEDMN N#CN=C(NC(=O)[C@@H](CO)C(F)(F)F)c1ccncc1 ZINC001183287895 844005013 /nfs/dbraw/zinc/00/50/13/844005013.db2.gz LNJVXRQCARDOEY-MRVPVSSYSA-N 0 1 286.213 0.596 20 30 CCEDMN N#CN=C(NC(=O)C(CO)C(F)(F)F)c1ccncc1 ZINC001183287895 844005019 /nfs/dbraw/zinc/00/50/19/844005019.db2.gz LNJVXRQCARDOEY-MRVPVSSYSA-N 0 1 286.213 0.596 20 30 CCEDMN C#Cc1cnc(NC(=O)[C@@H](C(C)C)N(C)C)c(C#C)n1 ZINC001183359391 844006984 /nfs/dbraw/zinc/00/69/84/844006984.db2.gz DNOILMDEDKUESB-CYBMUJFWSA-N 0 1 270.336 0.964 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)COC(C)C)[C@@H]2C1 ZINC001187182128 844661412 /nfs/dbraw/zinc/66/14/12/844661412.db2.gz LMXOUAGSRIYKAR-LSDHHAIUSA-N 0 1 294.395 0.594 20 30 CCEDMN C#Cc1cccnc1NS(=O)(=O)CCOCC ZINC001187243525 844677333 /nfs/dbraw/zinc/67/73/33/844677333.db2.gz LIBGZOQQYFZXQG-UHFFFAOYSA-N 0 1 254.311 0.841 20 30 CCEDMN COC(=O)n1ncc(C#N)c1NC(=O)c1snnc1C ZINC001187899224 844794484 /nfs/dbraw/zinc/79/44/84/844794484.db2.gz WFDCIVURUIDFCE-UHFFFAOYSA-N 0 1 292.280 0.782 20 30 CCEDMN CCCC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001188625679 844936081 /nfs/dbraw/zinc/93/60/81/844936081.db2.gz FWVNYLRNRLOAPU-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN COc1ccc(C#N)c(C(=O)NCc2nn[nH]n2)c1 ZINC001189095895 845049289 /nfs/dbraw/zinc/04/92/89/845049289.db2.gz GPDBVFRQJDOEAD-UHFFFAOYSA-N 0 1 258.241 0.010 20 30 CCEDMN COc1ccc(C#N)c(C(=O)NCc2n[nH]c(C)n2)c1 ZINC001189103329 845054105 /nfs/dbraw/zinc/05/41/05/845054105.db2.gz JMKCGWBYWAFNAH-UHFFFAOYSA-N 0 1 271.280 0.923 20 30 CCEDMN CC[C@@H](OC)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189214204 845089366 /nfs/dbraw/zinc/08/93/66/845089366.db2.gz FDRHDHCVMYARDV-ZIAGYGMSSA-N 0 1 282.384 0.594 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cc(-c3ccncc3)[nH]n2)CCO1 ZINC001189322812 845107991 /nfs/dbraw/zinc/10/79/91/845107991.db2.gz GJKBUJWCKQIONI-NSHDSACASA-N 0 1 283.291 0.836 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CC(=O)N(C)C)[C@@H]2C1 ZINC001189375809 845118220 /nfs/dbraw/zinc/11/82/20/845118220.db2.gz UYWUFABIQZSYBW-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCOC2)C1 ZINC001189489467 845146534 /nfs/dbraw/zinc/14/65/34/845146534.db2.gz JNPWBUNYWJZJET-GJZGRUSLSA-N 0 1 294.395 0.596 20 30 CCEDMN Cc1ccc(Cn2c(=O)[nH]cc(C#N)c2=O)cc1F ZINC001189574120 845172416 /nfs/dbraw/zinc/17/24/16/845172416.db2.gz IRSIYQKCXNSGOB-UHFFFAOYSA-N 0 1 259.240 0.904 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CC[C@H](N(C)C/C=C\Cl)C1 ZINC001189770088 845204375 /nfs/dbraw/zinc/20/43/75/845204375.db2.gz OGWPEKZWXVKLLY-RNZFLTOJSA-N 0 1 297.786 0.411 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C2=CCOCC2)C1 ZINC001189799396 845224603 /nfs/dbraw/zinc/22/46/03/845224603.db2.gz WLLSKATWMACLEN-CQSZACIVSA-N 0 1 262.353 0.889 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@@H]2CCN(C)C2=O)C1 ZINC001189926725 845274238 /nfs/dbraw/zinc/27/42/38/845274238.db2.gz RCKANJBPGNXSRP-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H](O)C(C)C)C1 ZINC001189956120 845298447 /nfs/dbraw/zinc/29/84/47/845298447.db2.gz ILQGXYJDKZWTNK-UONOGXRCSA-N 0 1 282.384 0.186 20 30 CCEDMN C=CCN(C)CCCN(C)C(=O)[C@@H]1CCCS1(=O)=O ZINC001273591261 845307725 /nfs/dbraw/zinc/30/77/25/845307725.db2.gz KTXRHCKNELKYSP-LBPRGKRZSA-N 0 1 288.413 0.530 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2nnc(C)[nH]2)C1 ZINC001190046916 845315049 /nfs/dbraw/zinc/31/50/49/845315049.db2.gz MORGPSCHLUJFSN-LBPRGKRZSA-N 0 1 275.356 0.212 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CO[C@@H]2CCOC2)C1 ZINC001190121129 845341046 /nfs/dbraw/zinc/34/10/46/845341046.db2.gz OMQXPYGKEJRXIJ-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](OCC)C2CC2)C1 ZINC001190203513 845366118 /nfs/dbraw/zinc/36/61/18/845366118.db2.gz KMSWRZBYCLYESP-KBPBESRZSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cccc(C(N)=O)c2)C1 ZINC001190965643 845551481 /nfs/dbraw/zinc/55/14/81/845551481.db2.gz IWLCYLRWTVVUOT-OAHLLOKOSA-N 0 1 299.374 0.955 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C(C)C)C1 ZINC001191041280 845577311 /nfs/dbraw/zinc/57/73/11/845577311.db2.gz CTVFOKILIGQHQT-VXGBXAGGSA-N 0 1 256.346 0.006 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CC)C1 ZINC001191105532 845580965 /nfs/dbraw/zinc/58/09/65/845580965.db2.gz KXPKQKFWELIOQV-JHJVBQTASA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCC[C@H](C)[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cn[nH]c2)C1 ZINC001191216936 845608952 /nfs/dbraw/zinc/60/89/52/845608952.db2.gz FQVCAJBDWOGROK-CYZMBNFOSA-N 0 1 278.356 0.539 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)C[C@@H]2CCCO2)C1 ZINC001191216964 845609303 /nfs/dbraw/zinc/60/93/03/845609303.db2.gz GKPRMOMUWYIVBY-BFHYXJOUSA-N 0 1 282.384 0.683 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COCC(F)F)C1 ZINC001191507844 845668814 /nfs/dbraw/zinc/66/88/14/845668814.db2.gz OWMMLHGGTMRGLK-SNVBAGLBSA-N 0 1 260.284 0.434 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)OCC(C)C)C1 ZINC001191448625 845643112 /nfs/dbraw/zinc/64/31/12/845643112.db2.gz INWOIRMYOPMLFJ-MGPQQGTHSA-N 0 1 282.384 0.232 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CC(F)(F)F)C1 ZINC001191523468 845673068 /nfs/dbraw/zinc/67/30/68/845673068.db2.gz GFOVLQZQDKUTBA-NXEZZACHSA-N 0 1 296.289 0.303 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2coc(OC)n2)C1 ZINC001191569598 845694496 /nfs/dbraw/zinc/69/44/96/845694496.db2.gz QBXVCJXOLDBPGJ-LLVKDONJSA-N 0 1 277.324 0.853 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1CN(CCC)C[C@H]1O ZINC001191622380 845696594 /nfs/dbraw/zinc/69/65/94/845696594.db2.gz KRWZNYQYKMQEKQ-YNEHKIRRSA-N 0 1 270.373 0.539 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2n[nH]nc2C)C1 ZINC001191674259 845705131 /nfs/dbraw/zinc/70/51/31/845705131.db2.gz FTBNBKDBXJQELJ-LLVKDONJSA-N 0 1 261.329 0.283 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001191674258 845705668 /nfs/dbraw/zinc/70/56/68/845705668.db2.gz FPJAJTKSHBNVMY-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)C(C)C)C1 ZINC001191705500 845714069 /nfs/dbraw/zinc/71/40/69/845714069.db2.gz TXANDDKFJUAPFM-BFHYXJOUSA-N 0 1 266.385 0.853 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2n[nH]cc2F)C1 ZINC001192207210 845802494 /nfs/dbraw/zinc/80/24/94/845802494.db2.gz VMOLBZOCWHHOEC-NSHDSACASA-N 0 1 294.330 0.345 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)SC)C1 ZINC001192257103 845804755 /nfs/dbraw/zinc/80/47/55/845804755.db2.gz IPHNNQRPVICHIL-IJLUTSLNSA-N 0 1 272.414 0.865 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCC#C)C[C@H]1O ZINC001192320537 845820974 /nfs/dbraw/zinc/82/09/74/845820974.db2.gz ICSOPEFTRVXFMV-ZIAGYGMSSA-N 0 1 262.353 0.365 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1O ZINC001192529201 845874216 /nfs/dbraw/zinc/87/42/16/845874216.db2.gz CHUQXQHWAPPGQA-UMVBOHGHSA-N 0 1 282.384 0.441 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COC[C@H]2CCOC2)C1 ZINC001192640924 845889573 /nfs/dbraw/zinc/88/95/73/845889573.db2.gz GXTMEZSQEKLFDJ-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc3nncn3c2)C1 ZINC001192815961 845914796 /nfs/dbraw/zinc/91/47/96/845914796.db2.gz BQOXVFVBRMPNPI-CQSZACIVSA-N 0 1 297.362 0.899 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2nc3ncccn3n2)C1 ZINC001192977108 845959766 /nfs/dbraw/zinc/95/97/66/845959766.db2.gz HTLZEOZKCFYFKM-GFCCVEGCSA-N 0 1 298.350 0.294 20 30 CCEDMN CN1Cc2cc(NS(=O)(=O)CC#N)ccc2C1=O ZINC001192978937 845961541 /nfs/dbraw/zinc/96/15/41/845961541.db2.gz PJQZXOKDXVDNDA-UHFFFAOYSA-N 0 1 265.294 0.537 20 30 CCEDMN CSc1nc(NS(=O)(=O)[C@H](C)C#N)cc(=O)[nH]1 ZINC001193104063 845979208 /nfs/dbraw/zinc/97/92/08/845979208.db2.gz FHBNURLELUIJSP-RXMQYKEDSA-N 0 1 274.327 0.558 20 30 CCEDMN COc1cnc(Cl)c(NS(=O)(=O)[C@H](C)C#N)n1 ZINC001193111738 845981587 /nfs/dbraw/zinc/98/15/87/845981587.db2.gz KTLJYRDSPAFEIU-RXMQYKEDSA-N 0 1 276.705 0.792 20 30 CCEDMN CNC(=O)c1ccc(NS(=O)(=O)[C@H](C)C#N)cc1 ZINC001193097746 845977738 /nfs/dbraw/zinc/97/77/38/845977738.db2.gz RITWFNZOMMEJEK-MRVPVSSYSA-N 0 1 267.310 0.700 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1nc(C#N)c(C#N)nc1Cl ZINC001193111775 845981383 /nfs/dbraw/zinc/98/13/83/845981383.db2.gz LHODVKHQIKUCHG-RXMQYKEDSA-N 0 1 296.699 0.527 20 30 CCEDMN CCc1nc2ccc(NS(=O)(=O)[C@H](C)C#N)cn2n1 ZINC001193112923 845982581 /nfs/dbraw/zinc/98/25/81/845982581.db2.gz WSTQMHHQISDRJD-MRVPVSSYSA-N 0 1 279.325 0.945 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1C[C@@H]2CCCN(C)[C@@H]2C1 ZINC001193139107 846003093 /nfs/dbraw/zinc/00/30/93/846003093.db2.gz YZZCBUCFZNWMRW-OUAUKWLOSA-N 0 1 257.359 0.254 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1C[C@H]2CCCN(C)[C@H]2C1 ZINC001193139106 846003545 /nfs/dbraw/zinc/00/35/45/846003545.db2.gz YZZCBUCFZNWMRW-MXWKQRLJSA-N 0 1 257.359 0.254 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(CCCO)C[C@H]1O ZINC001193240456 846032488 /nfs/dbraw/zinc/03/24/88/846032488.db2.gz IFSGJVWECWLDNI-ZIAGYGMSSA-N 0 1 282.384 0.299 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001193376651 846065651 /nfs/dbraw/zinc/06/56/51/846065651.db2.gz HOLSCODYHOWOMK-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN N#Cc1cc(C(=O)NCCn2ccnc2)ccc1O ZINC001193494319 846093837 /nfs/dbraw/zinc/09/38/37/846093837.db2.gz KDGDZLJVQAYEQX-UHFFFAOYSA-N 0 1 256.265 0.890 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H](CO)C(F)(F)F)ccc1O ZINC001193515698 846102796 /nfs/dbraw/zinc/10/27/96/846102796.db2.gz QJUCXWXOIMNTLK-SECBINFHSA-N 0 1 274.198 0.917 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)C2CCC2)C1 ZINC001194266042 846257151 /nfs/dbraw/zinc/25/71/51/846257151.db2.gz JHEDRGBBDZIJME-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2nonc2C)C1 ZINC001194470230 846299611 /nfs/dbraw/zinc/29/96/11/846299611.db2.gz ATFCYLRBCVHQAC-NSHDSACASA-N 0 1 262.313 0.548 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cnc(C(F)(F)F)[nH]1 ZINC001194779234 846383516 /nfs/dbraw/zinc/38/35/16/846383516.db2.gz SPGYOSQPJYYZEQ-LURJTMIESA-N 0 1 274.202 0.793 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2nccn2C)C1 ZINC001194910169 846416209 /nfs/dbraw/zinc/41/62/09/846416209.db2.gz YUEQFLDIWVUAEN-CHWSQXEVSA-N 0 1 292.383 0.090 20 30 CCEDMN N#CCSCC(=O)N1CCCN(CCCO)CC1 ZINC001194918990 846417756 /nfs/dbraw/zinc/41/77/56/846417756.db2.gz FYMJNISVGUEGJM-UHFFFAOYSA-N 0 1 271.386 0.160 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC(C)(C)COC)C1 ZINC001194924121 846419345 /nfs/dbraw/zinc/41/93/45/846419345.db2.gz NFFMETOKDWTOLM-CHWSQXEVSA-N 0 1 282.384 0.234 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)C=C)C1 ZINC001195312528 846502886 /nfs/dbraw/zinc/50/28/86/846502886.db2.gz POUTYMMMHZWZTC-VXGBXAGGSA-N 0 1 250.342 0.383 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCCN(C[C@H](C)O)CC1 ZINC001195173829 846477191 /nfs/dbraw/zinc/47/71/91/846477191.db2.gz DCQPWRGGQZXICJ-KBPBESRZSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(CCCO)C[C@H]1O ZINC001195306765 846500313 /nfs/dbraw/zinc/50/03/13/846500313.db2.gz GZMIVSFASQBNEK-ZIAGYGMSSA-N 0 1 282.384 0.114 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001195554992 846557630 /nfs/dbraw/zinc/55/76/30/846557630.db2.gz VJEYXHCBPCOGDE-BFHYXJOUSA-N 0 1 284.400 0.787 20 30 CCEDMN COc1ccc(S(=O)(=O)Nc2cn(C)nc2C#N)cn1 ZINC001195695770 846604840 /nfs/dbraw/zinc/60/48/40/846604840.db2.gz YIKGQCMTPJIPRA-UHFFFAOYSA-N 0 1 293.308 0.496 20 30 CCEDMN CN1C[C@H](CNC(=O)c2ccc(C#N)cc2O)CC1=O ZINC001195763840 846612499 /nfs/dbraw/zinc/61/24/99/846612499.db2.gz DCMIGGRKOCTHGQ-JTQLQIEISA-N 0 1 273.292 0.472 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2oncc2C(N)=O)c(O)c1 ZINC001195740432 846619918 /nfs/dbraw/zinc/61/99/18/846619918.db2.gz FIFQFCZOCHVYST-UHFFFAOYSA-N 0 1 272.220 0.603 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCOC)C[C@H]2O)CCC1 ZINC001195897298 846640246 /nfs/dbraw/zinc/64/02/46/846640246.db2.gz ZCMDXPFCKVVMSZ-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(C(F)(F)F)CC2)C1 ZINC001196377653 846737968 /nfs/dbraw/zinc/73/79/68/846737968.db2.gz VWXRAUDVIRVJAJ-NXEZZACHSA-N 0 1 290.285 0.514 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1O ZINC001196474342 846752168 /nfs/dbraw/zinc/75/21/68/846752168.db2.gz IUQMJAVPDROHOH-JHJVBQTASA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2cnoc2CC)C1 ZINC001196489258 846752725 /nfs/dbraw/zinc/75/27/25/846752725.db2.gz CJTGBRVPSXGUJC-CHWSQXEVSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCNC(=O)NC(C)(C)C(=O)N1CCC[N@H+](CC)CC1 ZINC001198267374 847069118 /nfs/dbraw/zinc/06/91/18/847069118.db2.gz ZFAVSOHHRQYCAY-UHFFFAOYSA-N 0 1 296.415 0.804 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](OC)C2CCCC2)C1 ZINC001198233905 847071310 /nfs/dbraw/zinc/07/13/10/847071310.db2.gz QAUSLBVNVBXDHL-KFWWJZLASA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC001198305538 847084252 /nfs/dbraw/zinc/08/42/52/847084252.db2.gz ZUJYKYGJYTUHNJ-CHWSQXEVSA-N 0 1 291.351 0.528 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CCCN(CCO)CC1 ZINC001198342830 847090908 /nfs/dbraw/zinc/09/09/08/847090908.db2.gz BOFNFLPCLPCIMF-LBPRGKRZSA-N 0 1 256.346 0.104 20 30 CCEDMN COc1ccccc1[C@@H](CNC(=O)[C@@H](C)C#N)NCCO ZINC001198617110 847139967 /nfs/dbraw/zinc/13/99/67/847139967.db2.gz MFLIOAQCQVXPKH-WCQYABFASA-N 0 1 291.351 0.594 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cnc(=O)n(C2CCC2)c1 ZINC001198964439 847198596 /nfs/dbraw/zinc/19/85/96/847198596.db2.gz RUWCPSJJUHRDGR-UHFFFAOYSA-N 0 1 284.279 0.815 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CN(C(C)C)C[C@H]1O ZINC001199331177 847304677 /nfs/dbraw/zinc/30/46/77/847304677.db2.gz KZFMKLRHFAWZAV-IJLUTSLNSA-N 0 1 256.346 0.147 20 30 CCEDMN COCCOc1ccc(C(=O)Nc2nc[nH]c2C#N)cn1 ZINC001199547926 847360661 /nfs/dbraw/zinc/36/06/61/847360661.db2.gz ZCPUAFDOYYMNNU-UHFFFAOYSA-N 0 1 287.279 0.954 20 30 CCEDMN CC(=O)NCC(=O)NC/C=C\CNCC#Cc1ccccc1 ZINC001273804448 847532975 /nfs/dbraw/zinc/53/29/75/847532975.db2.gz ICJGFBICRIVIBC-WAYWQWQTSA-N 0 1 299.374 0.436 20 30 CCEDMN C=CCNC(=S)N(C)CCN1CCN(C)CC1 ZINC001200289243 847597310 /nfs/dbraw/zinc/59/73/10/847597310.db2.gz LWBZSUFJUIZPHH-UHFFFAOYSA-N 0 1 256.419 0.226 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)C=C(C)C ZINC001273823534 847603022 /nfs/dbraw/zinc/60/30/22/847603022.db2.gz SEFQWSNRJZBHTM-WAYWQWQTSA-N 0 1 265.357 0.517 20 30 CCEDMN Cn1nccc1CNCCNC(=O)C1N=CC=CC1=O ZINC001200401293 847616515 /nfs/dbraw/zinc/61/65/15/847616515.db2.gz SFFZTZCILBACAN-SEYXRHQNSA-N 0 1 275.312 0.036 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC(NCc2cnon2)CC1 ZINC001200531711 847645662 /nfs/dbraw/zinc/64/56/62/847645662.db2.gz HVCIICIAMDVEJQ-LLVKDONJSA-N 0 1 292.339 0.189 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CO[C@@H]1CCOC1)C2 ZINC001110554365 847661955 /nfs/dbraw/zinc/66/19/55/847661955.db2.gz FCADIRUPFYPULB-SYQHCUMBSA-N 0 1 280.368 0.699 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1ccccc1=O ZINC001114368455 848027045 /nfs/dbraw/zinc/02/70/45/848027045.db2.gz MFSLDNNDJXAIFP-HALDLXJZSA-N 0 1 299.374 0.308 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)CCc2nc[nH]n2)C12CCC2 ZINC001202724271 848220427 /nfs/dbraw/zinc/22/04/27/848220427.db2.gz ISJQQOPGEZLIDH-WDEREUQCSA-N 0 1 288.355 0.278 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnc(F)c1F ZINC000717689662 848283538 /nfs/dbraw/zinc/28/35/38/848283538.db2.gz IHOUNSZJDALRBP-UHFFFAOYSA-N 0 1 253.252 0.655 20 30 CCEDMN COCCOCN1CC[C@]2(CCN(CCC#N)C2)C1=O ZINC001274031180 848311808 /nfs/dbraw/zinc/31/18/08/848311808.db2.gz JNYJBNNQHBPAJA-AWEZNQCLSA-N 0 1 281.356 0.445 20 30 CCEDMN CC[C@H](C(N)=O)N1CC[C@]2(CCN(CCC#N)C2)C1=O ZINC001274031348 848311923 /nfs/dbraw/zinc/31/19/23/848311923.db2.gz ODDOQQUDCKJTPY-RISCZKNCSA-N 0 1 278.356 0.088 20 30 CCEDMN C=CCN1c2ccccc2[C@@]2(CCN(CC(N)=O)C2)C1=O ZINC001274053648 848327404 /nfs/dbraw/zinc/32/74/04/848327404.db2.gz CLWJUBNBIBCVQH-INIZCTEOSA-N 0 1 285.347 0.648 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccn(C)n1)C2 ZINC001095403553 848404527 /nfs/dbraw/zinc/40/45/27/848404527.db2.gz WAWNEEIWKZAZHT-RDBSUJKOSA-N 0 1 274.368 0.870 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2Cc2nnc[nH]2)C1=O ZINC001274354133 848455810 /nfs/dbraw/zinc/45/58/10/848455810.db2.gz ACKUGKMPMPZSOT-ZDUSSCGKSA-N 0 1 259.313 0.005 20 30 CCEDMN C#CCN1CC[C@@]2(CC[N@@H+](Cc3c[nH]c(C)n3)C2)C1=O ZINC001274575325 848511042 /nfs/dbraw/zinc/51/10/42/848511042.db2.gz DDCSXBGLSUQFBV-OAHLLOKOSA-N 0 1 272.352 0.776 20 30 CCEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2C[C@H](O)C(=O)OC ZINC001274604298 848519941 /nfs/dbraw/zinc/51/99/41/848519941.db2.gz SGTJOODIEXHYTA-WFASDCNBSA-N 0 1 296.367 0.163 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CNCc1ccn(C)n1 ZINC001274702819 848544953 /nfs/dbraw/zinc/54/49/53/848544953.db2.gz UVUWBDFPOPLANS-LLVKDONJSA-N 0 1 250.346 0.981 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)CCC)CC1 ZINC001274891538 848585127 /nfs/dbraw/zinc/58/51/27/848585127.db2.gz KZHGVHSBVZQUJJ-UHFFFAOYSA-N 0 1 252.358 0.753 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)CCOC(C)C)CC1 ZINC001274891430 848585759 /nfs/dbraw/zinc/58/57/59/848585759.db2.gz GSUSIJVSQZVCNA-UHFFFAOYSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CCOC1 ZINC001275094550 848635749 /nfs/dbraw/zinc/63/57/49/848635749.db2.gz OXTIMYSVBPNHHK-OLZOCXBDSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC[C@H]1CN(C)CC#CC ZINC001275109230 848640779 /nfs/dbraw/zinc/64/07/79/848640779.db2.gz YCWMFCRQJPVNAR-GJZGRUSLSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CCc1ccnn1C ZINC001275115590 848642453 /nfs/dbraw/zinc/64/24/53/848642453.db2.gz OYODTMFAFHMWDT-HNNXBMFYSA-N 0 1 288.395 0.909 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc(=O)n1C ZINC001275143272 848649380 /nfs/dbraw/zinc/64/93/80/848649380.db2.gz KRVVNBQMNMJUJG-ZDUSSCGKSA-N 0 1 287.363 0.555 20 30 CCEDMN Cn1cc(CN2CC3(C2)CN(Cc2c[nH]nn2)C3)cc1C#N ZINC001275229946 848674215 /nfs/dbraw/zinc/67/42/15/848674215.db2.gz WZFNLJKBSJALMK-UHFFFAOYSA-N 0 1 297.366 0.333 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)[C@@H]1C[C@@H]1C#N)CC2 ZINC001275344704 848694652 /nfs/dbraw/zinc/69/46/52/848694652.db2.gz JKCLRQXKQUPARB-RKDXNWHRSA-N 0 1 287.323 0.156 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001275366222 848701478 /nfs/dbraw/zinc/70/14/78/848701478.db2.gz MPSAAIWXJNIETM-ZJUUUORDSA-N 0 1 281.360 0.057 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)c1ccc(C#N)o1)C2 ZINC001275559968 848762258 /nfs/dbraw/zinc/76/22/58/848762258.db2.gz ZKPRCIWEAOWLQF-UHFFFAOYSA-N 0 1 299.290 0.732 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cccc(=O)[nH]1 ZINC001275799956 848827251 /nfs/dbraw/zinc/82/72/51/848827251.db2.gz HLLUXLMYCYTXSR-NSHDSACASA-N 0 1 261.325 0.861 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H](C)NC(=O)c1ccoc1 ZINC001275834736 848839865 /nfs/dbraw/zinc/83/98/65/848839865.db2.gz IWQXRGILSJYJHD-VXGBXAGGSA-N 0 1 291.351 0.468 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1c(C)c(C)n[nH]c1=O ZINC001275897605 848859861 /nfs/dbraw/zinc/85/98/61/848859861.db2.gz QVGIOWQEGMHRRK-SNVBAGLBSA-N 0 1 290.367 0.872 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)CCCNCc1cn(C)nn1 ZINC001275973611 848877907 /nfs/dbraw/zinc/87/79/07/848877907.db2.gz KWWJFPJOGAFHRP-AWEZNQCLSA-N 0 1 295.387 0.080 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCCN[C@H](C)c1nncn1C ZINC001275995517 848884337 /nfs/dbraw/zinc/88/43/37/848884337.db2.gz ARXWNARZYLQZBK-CYBMUJFWSA-N 0 1 291.399 0.974 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)NC(C)=O)C2 ZINC001111050497 849056030 /nfs/dbraw/zinc/05/60/30/849056030.db2.gz PHMFKFTZEDMQHE-RQJABVFESA-N 0 1 279.384 0.809 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCNC(=O)CC1)C2 ZINC001095818316 849172873 /nfs/dbraw/zinc/17/28/73/849172873.db2.gz UBGKJKQPBKLUAI-MQYQWHSLSA-N 0 1 291.395 0.810 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)[C@H](C)CC)C2 ZINC001111416112 849219952 /nfs/dbraw/zinc/21/99/52/849219952.db2.gz IADHXGZHTKMLLI-SYQHCUMBSA-N 0 1 291.395 0.503 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCN1CCCC1=O)C2 ZINC001111418037 849221567 /nfs/dbraw/zinc/22/15/67/849221567.db2.gz UCHKYFJMJAAEEL-MCIONIFRSA-N 0 1 291.395 0.906 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCC(=O)N1C)C2 ZINC001095882375 849236539 /nfs/dbraw/zinc/23/65/39/849236539.db2.gz FXJBTRVUUFISNS-VOAKCMCISA-N 0 1 277.368 0.515 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000720079750 849333593 /nfs/dbraw/zinc/33/35/93/849333593.db2.gz VAIRRFASMMFFCW-VIFPVBQESA-N 0 1 285.344 0.926 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OC)C1CCC1 ZINC001114638891 849342496 /nfs/dbraw/zinc/34/24/96/849342496.db2.gz NABDEMSYPGIHMD-CBBWQLFWSA-N 0 1 276.380 0.871 20 30 CCEDMN CC[C@@H]1CN(C(=O)CCc2nc[nH]n2)CC[C@@H]1NCC#N ZINC001037812611 849590712 /nfs/dbraw/zinc/59/07/12/849590712.db2.gz UWRVXVBNSKHFSB-NEPJUHHUSA-N 0 1 290.371 0.478 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@@H](C(=O)[O-])C1CC1 ZINC000380912728 849696591 /nfs/dbraw/zinc/69/65/91/849696591.db2.gz JWICLHXVWVHARF-LLVKDONJSA-N 0 1 267.329 0.104 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001038627695 849717006 /nfs/dbraw/zinc/71/70/06/849717006.db2.gz ZKMNYRLEHPCEAK-KBPBESRZSA-N 0 1 291.395 0.600 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001038435396 849897127 /nfs/dbraw/zinc/89/71/27/849897127.db2.gz SHAXFNINEZOCMI-MRVPVSSYSA-N 0 1 255.709 0.361 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001038443636 849903245 /nfs/dbraw/zinc/90/32/45/849903245.db2.gz VFTFWORKUUMQLV-JTQLQIEISA-N 0 1 258.325 0.336 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cn2cccnc2n1 ZINC001038452380 849906382 /nfs/dbraw/zinc/90/63/82/849906382.db2.gz VCFMSEQGRHBINU-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnn2cccnc12 ZINC001038590594 849956266 /nfs/dbraw/zinc/95/62/66/849956266.db2.gz YGPDNPHTQOSNJJ-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001038702942 849988443 /nfs/dbraw/zinc/98/84/43/849988443.db2.gz SHEYQGJZZRNDBQ-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001038711156 849992401 /nfs/dbraw/zinc/99/24/01/849992401.db2.gz SVHKORAILOADJR-AGIUHOORSA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc2nncn2c1 ZINC001038807024 850022634 /nfs/dbraw/zinc/02/26/34/850022634.db2.gz TWJSFZCGCDDTFU-ZDUSSCGKSA-N 0 1 283.335 0.557 20 30 CCEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cnon1 ZINC001038850670 850047109 /nfs/dbraw/zinc/04/71/09/850047109.db2.gz USIYSZNYNMAAKL-SNVBAGLBSA-N 0 1 250.302 0.840 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001038894369 850071047 /nfs/dbraw/zinc/07/10/47/850071047.db2.gz RECNSYQUNPGJRR-RYUDHWBXSA-N 0 1 272.352 0.338 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001038971429 850104373 /nfs/dbraw/zinc/10/43/73/850104373.db2.gz PFDSXTQWSHGTMJ-KGLIPLIRSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nc[nH]n1 ZINC001039454105 850193715 /nfs/dbraw/zinc/19/37/15/850193715.db2.gz HLVJYRSZKOLJDO-MNOVXSKESA-N 0 1 261.329 0.670 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)[C@@H]3CCC(=O)NC3)C2)C1 ZINC001041431223 850444627 /nfs/dbraw/zinc/44/46/27/850444627.db2.gz JCXJMCXTYVUENB-CZUORRHYSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)C3=COCCO3)C[C@H]21 ZINC001041916366 850527565 /nfs/dbraw/zinc/52/75/65/850527565.db2.gz KITFDTKGHVETCW-QWHCGFSZSA-N 0 1 276.336 0.431 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3n[nH]cc3C)C[C@H]21 ZINC001042054070 850568372 /nfs/dbraw/zinc/56/83/72/850568372.db2.gz GLNNWANGABKKIU-CHWSQXEVSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3coc(OC)n3)C[C@H]21 ZINC001042163980 850587318 /nfs/dbraw/zinc/58/73/18/850587318.db2.gz CQYROJPAHISCBV-DGCLKSJQSA-N 0 1 289.335 0.853 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H]2CCN(C(=O)Cc3nnc[n-]3)C[C@@H]21 ZINC001042306449 850611850 /nfs/dbraw/zinc/61/18/50/850611850.db2.gz GUHHISPWLQFYEM-STQMWFEESA-N 0 1 287.367 0.293 20 30 CCEDMN CC#CC[N@H+]1CC[C@H]2CCN(C(=O)Cc3nnc[n-]3)C[C@@H]21 ZINC001042306449 850611859 /nfs/dbraw/zinc/61/18/59/850611859.db2.gz GUHHISPWLQFYEM-STQMWFEESA-N 0 1 287.367 0.293 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001042444339 850647220 /nfs/dbraw/zinc/64/72/20/850647220.db2.gz FFPRWWNATKULDC-UHFFFAOYSA-N 0 1 276.340 0.905 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)CC2OCCCO2)C1 ZINC001042654007 850724979 /nfs/dbraw/zinc/72/49/79/850724979.db2.gz NJUAPTONDKXWLS-UHFFFAOYSA-N 0 1 268.357 0.858 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1Nc1nccnc1C#N ZINC001043079057 850809201 /nfs/dbraw/zinc/80/92/01/850809201.db2.gz HTOWBBAOEFAWIR-BXKDBHETSA-N 0 1 297.322 0.644 20 30 CCEDMN CN(C(=O)c1cc(C(F)(F)F)[nH]n1)C1CN(CC#N)C1 ZINC001044049146 850993777 /nfs/dbraw/zinc/99/37/77/850993777.db2.gz QEJXXSYWXYBRHV-UHFFFAOYSA-N 0 1 287.245 0.708 20 30 CCEDMN C#CCN1CC(N(C)C(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001044189199 851037797 /nfs/dbraw/zinc/03/77/97/851037797.db2.gz VTXVWVZYPVBKIL-UHFFFAOYSA-N 0 1 260.341 0.463 20 30 CCEDMN CN(C(=O)c1cc(-c2ccoc2)[nH]n1)C1CN(CC#N)C1 ZINC001044296842 851068344 /nfs/dbraw/zinc/06/83/44/851068344.db2.gz NOLVROADPPBYRM-UHFFFAOYSA-N 0 1 285.307 0.949 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CCO)c1 ZINC001095988319 851125485 /nfs/dbraw/zinc/12/54/85/851125485.db2.gz FQIYYXMJWPJRQU-ILXRZTDVSA-N 0 1 285.347 0.390 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](NCC#N)C[C@@H]1C ZINC001044698830 851145259 /nfs/dbraw/zinc/14/52/59/851145259.db2.gz AAWBKBDPQYYECV-WCBMZHEXSA-N 0 1 262.317 0.219 20 30 CCEDMN COc1cc(CNC[C@@H]2CCCN2C(=O)[C@@H](C)C#N)on1 ZINC001044961041 851194222 /nfs/dbraw/zinc/19/42/22/851194222.db2.gz LNFADNCLHNVNIM-QWRGUYRKSA-N 0 1 292.339 0.923 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCCCC(=O)N2)CC1 ZINC001045552104 851278296 /nfs/dbraw/zinc/27/82/96/851278296.db2.gz SZTGKAUGZRLIDY-ZDUSSCGKSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2nccn3ccnc23)CC1 ZINC001045561906 851282001 /nfs/dbraw/zinc/28/20/01/851282001.db2.gz HTJYVUMSKPBQMD-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnc(OC)nc2)CC1 ZINC001045605836 851287804 /nfs/dbraw/zinc/28/78/04/851287804.db2.gz GRVZVAPIZNADLF-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCOC2)C1 ZINC001046187273 851413810 /nfs/dbraw/zinc/41/38/10/851413810.db2.gz BJFKMHIJCLJDGF-OCCSQVGLSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnnn2C)C1 ZINC001046289210 851453560 /nfs/dbraw/zinc/45/35/60/851453560.db2.gz SJDPBQSCSOFXCM-CYBMUJFWSA-N 0 1 261.329 0.033 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001046352391 851472742 /nfs/dbraw/zinc/47/27/42/851472742.db2.gz VWVIOANVXCLESS-CJNGLKHVSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001046431457 851499542 /nfs/dbraw/zinc/49/95/42/851499542.db2.gz DFTUZWSGYYSFAK-SEEARECTSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001046429455 851499925 /nfs/dbraw/zinc/49/99/25/851499925.db2.gz SIKOLJMZAAENJN-XJKSGUPXSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001046495683 851526911 /nfs/dbraw/zinc/52/69/11/851526911.db2.gz ITKIVWPPUJWIDV-STTCQZRRSA-N 0 1 274.364 0.624 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCO[C@H]2C=C)C1 ZINC001046631981 851569893 /nfs/dbraw/zinc/56/98/93/851569893.db2.gz PEPBKGUGZVHSIV-VNHYZAJKSA-N 0 1 262.353 0.791 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001046628767 851570641 /nfs/dbraw/zinc/57/06/41/851570641.db2.gz PZNWRBVHRYVADX-WWGRRREGSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001046658626 851578920 /nfs/dbraw/zinc/57/89/20/851578920.db2.gz LYGIFPRYNBHVCV-OAHLLOKOSA-N 0 1 273.336 0.923 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001046783403 851614314 /nfs/dbraw/zinc/61/43/14/851614314.db2.gz BRUCBDNKQPSDOJ-DZGCQCFKSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001046869106 851637117 /nfs/dbraw/zinc/63/71/17/851637117.db2.gz RNZKLMMXBUPSID-HNNXBMFYSA-N 0 1 288.351 0.561 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccnn2C)C1 ZINC001047322005 851714965 /nfs/dbraw/zinc/71/49/65/851714965.db2.gz VNFIFDZEMHAFDV-STQMWFEESA-N 0 1 278.356 0.113 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cncc(C)c2)C1 ZINC001047334786 851723500 /nfs/dbraw/zinc/72/35/00/851723500.db2.gz RSAPNBJTSMOEQM-GJZGRUSLSA-N 0 1 287.363 0.530 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)ncn2C)C1 ZINC001047417366 851756947 /nfs/dbraw/zinc/75/69/47/851756947.db2.gz PEAZGRYPUNGTDJ-STQMWFEESA-N 0 1 292.383 0.422 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2c[nH]nc2CC)C1 ZINC001047440388 851767582 /nfs/dbraw/zinc/76/75/82/851767582.db2.gz JFPORLLZPITFLL-KBPBESRZSA-N 0 1 290.367 0.113 20 30 CCEDMN C=C1CCC(C(=O)N(C)[C@H]2CN(CCO)C[C@@H]2O)CC1 ZINC001047513747 851795963 /nfs/dbraw/zinc/79/59/63/851795963.db2.gz MTKZOISKRXCFJY-KBPBESRZSA-N 0 1 282.384 0.229 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)CC2=CCOCC2)C1 ZINC001047511460 851797248 /nfs/dbraw/zinc/79/72/48/851797248.db2.gz GNTKCJAFUUJJIL-KBPBESRZSA-N 0 1 280.368 0.413 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccn(CC)n2)C1 ZINC001047553768 851815235 /nfs/dbraw/zinc/81/52/35/851815235.db2.gz WTVQJJDJQUIAKV-KBPBESRZSA-N 0 1 292.383 0.596 20 30 CCEDMN CC1CCN(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CC#N)CC1 ZINC001096234210 851858741 /nfs/dbraw/zinc/85/87/41/851858741.db2.gz NXGOGSJFBTYJTG-ILXRZTDVSA-N 0 1 290.411 0.963 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@]1(C)CCNC1=O ZINC001049719708 852357271 /nfs/dbraw/zinc/35/72/71/852357271.db2.gz SJPDGOPPCYYWPR-XJKCOSOUSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1nc[nH]n1 ZINC001049800086 852375956 /nfs/dbraw/zinc/37/59/56/852375956.db2.gz YRCKNLXXMCSNOR-WDEREUQCSA-N 0 1 259.313 0.117 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)Cc1nonc1C ZINC001049821703 852379576 /nfs/dbraw/zinc/37/95/76/852379576.db2.gz HTWONVBRWINHPC-KGLIPLIRSA-N 0 1 288.351 0.619 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049844895 852382730 /nfs/dbraw/zinc/38/27/30/852382730.db2.gz VNYTYXWJSLIPMS-OLZOCXBDSA-N 0 1 287.367 0.436 20 30 CCEDMN CC#CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049844895 852382734 /nfs/dbraw/zinc/38/27/34/852382734.db2.gz VNYTYXWJSLIPMS-OLZOCXBDSA-N 0 1 287.367 0.436 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cnn(C)c3)[C@@H]2C1 ZINC001049970360 852411818 /nfs/dbraw/zinc/41/18/18/852411818.db2.gz BAVZBCLHXRCGOI-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN N#Cc1nccnc1N[C@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001096853594 852457189 /nfs/dbraw/zinc/45/71/89/852457189.db2.gz LKORFDZSAHLDGA-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001096990878 852480412 /nfs/dbraw/zinc/48/04/12/852480412.db2.gz XJJBMXNZCXXKPB-UTUOFQBUSA-N 0 1 271.320 0.756 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccc1[O-])C2 ZINC001096990878 852480416 /nfs/dbraw/zinc/48/04/16/852480416.db2.gz XJJBMXNZCXXKPB-UTUOFQBUSA-N 0 1 271.320 0.756 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1coc(C(N)=O)c1)C2 ZINC001097576446 852547708 /nfs/dbraw/zinc/54/77/08/852547708.db2.gz SNSJRZAZPCZHHS-UTUOFQBUSA-N 0 1 289.335 0.900 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCC(=O)N1C)C2 ZINC001097702033 852581343 /nfs/dbraw/zinc/58/13/43/852581343.db2.gz NULGQLYBYUSWFT-YIYPIFLZSA-N 0 1 289.379 0.352 20 30 CCEDMN C=CCCN1CC2(C1)CN(C(=O)CN1CCCC1)CCO2 ZINC001053532281 852754524 /nfs/dbraw/zinc/75/45/24/852754524.db2.gz IMGKYDWAVIOBIV-UHFFFAOYSA-N 0 1 293.411 0.572 20 30 CCEDMN C=C(C)CN1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCCN1C)CO2 ZINC001053755305 852806044 /nfs/dbraw/zinc/80/60/44/852806044.db2.gz BSRUTGCYIZFBLH-KGLIPLIRSA-N 0 1 293.411 0.616 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)CN1CC[C@@H](C)C1)CO2 ZINC001053925488 852849502 /nfs/dbraw/zinc/84/95/02/852849502.db2.gz LMDZNCRNSOIAGG-ZIAGYGMSSA-N 0 1 293.411 0.474 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnc[nH]2)C[C@@H]1NCC#N ZINC001054722115 853003085 /nfs/dbraw/zinc/00/30/85/853003085.db2.gz PPZGVKXFUXMPNC-JQWIXIFHSA-N 0 1 261.329 0.302 20 30 CCEDMN C=CCCC(=O)N1C[C@@H]2CN(C(=O)c3ccn[nH]3)C[C@@H]2C1 ZINC001055186070 853067723 /nfs/dbraw/zinc/06/77/23/853067723.db2.gz BRVCVRGRWZBNPY-TXEJJXNPSA-N 0 1 288.351 0.906 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1CCN(CCNC(C)=O)CC1 ZINC001055483820 853079072 /nfs/dbraw/zinc/07/90/72/853079072.db2.gz RDYQGQPUHFUJLV-OAHLLOKOSA-N 0 1 297.399 0.030 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(c2ncnc3[nH]cnc32)CC1 ZINC001055741979 853112382 /nfs/dbraw/zinc/11/23/82/853112382.db2.gz IQTBTJUIUMCYFM-SECBINFHSA-N 0 1 285.311 0.161 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001050030673 853300026 /nfs/dbraw/zinc/30/00/26/853300026.db2.gz VKCMXZDNWRUZGV-QWHCGFSZSA-N 0 1 285.347 0.957 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3ncc[nH]3)[C@@H]2C1 ZINC001050046359 853304679 /nfs/dbraw/zinc/30/46/79/853304679.db2.gz ZBJUORQOFBDDNN-QWHCGFSZSA-N 0 1 272.352 0.969 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3nc[nH]n3)[C@@H]2C1 ZINC001050167676 853327310 /nfs/dbraw/zinc/32/73/10/853327310.db2.gz LTGDGYUHCJWELQ-NWDGAFQWSA-N 0 1 273.340 0.364 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)CC2 ZINC001050615726 853403198 /nfs/dbraw/zinc/40/31/98/853403198.db2.gz RMYDVIXFGRFSIE-LBPRGKRZSA-N 0 1 293.411 0.997 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](Nc2ncnc3[nH]cnc32)C1 ZINC001057594162 853435964 /nfs/dbraw/zinc/43/59/64/853435964.db2.gz OUSSSXBHGMPBPV-VHSXEESVSA-N 0 1 299.338 0.915 20 30 CCEDMN C#CCCN1CCOC[C@@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001050853866 853464801 /nfs/dbraw/zinc/46/48/01/853464801.db2.gz MRJFITMRSOAVPG-ZDUSSCGKSA-N 0 1 290.367 0.480 20 30 CCEDMN C#CCN1CCOC[C@H]1CNC(=O)c1ccc2cncn2c1 ZINC001051024167 853507470 /nfs/dbraw/zinc/50/74/70/853507470.db2.gz AFAAAHGOHTUNIE-OAHLLOKOSA-N 0 1 298.346 0.398 20 30 CCEDMN C#CCCN1CCOC[C@H]1CNC(=O)c1[nH]ncc1F ZINC001051102140 853524705 /nfs/dbraw/zinc/52/47/05/853524705.db2.gz IYEAFFPWEUMLIX-SNVBAGLBSA-N 0 1 280.303 0.003 20 30 CCEDMN C=C(C)CN1CCN([C@@H]2CCN(C(=O)COC)C2)CC1 ZINC001051956844 853662644 /nfs/dbraw/zinc/66/26/44/853662644.db2.gz VQRASSPWIDMQMG-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@H]1CCCN(CC#N)CC1 ZINC001052499104 853758269 /nfs/dbraw/zinc/75/82/69/853758269.db2.gz NWVVIHPKQNKMTI-KBPBESRZSA-N 0 1 278.400 0.965 20 30 CCEDMN N#Cc1nccnc1NCC1CC(NC(=O)c2ncn[nH]2)C1 ZINC001067860192 853862598 /nfs/dbraw/zinc/86/25/98/853862598.db2.gz QPCYOXQDHGYGLF-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1nccnc1NCC1CC(NC(=O)c2nc[nH]n2)C1 ZINC001067860192 853862603 /nfs/dbraw/zinc/86/26/03/853862603.db2.gz QPCYOXQDHGYGLF-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN C[C@@H]1C[C@@H](Nc2ccncc2C#N)CN1C(=O)c1ccn[nH]1 ZINC001069020327 853939319 /nfs/dbraw/zinc/93/93/19/853939319.db2.gz XEFBMNFPYPZALP-ZYHUDNBSSA-N 0 1 296.334 0.813 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)C2(C)CC2)[C@@H](n2ccnn2)C1 ZINC001069933434 854006867 /nfs/dbraw/zinc/00/68/67/854006867.db2.gz XDEAJVKGDRAEDM-OLZOCXBDSA-N 0 1 289.383 0.996 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H]2CC2(C)C)C[C@@H]1n1ccnn1 ZINC001070178840 854033215 /nfs/dbraw/zinc/03/32/15/854033215.db2.gz MRSIDDYJHXMMNQ-XQQFMLRXSA-N 0 1 287.367 0.299 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2[nH]ccc2C)C[C@@H]1n1ccnn1 ZINC001070185075 854035005 /nfs/dbraw/zinc/03/50/05/854035005.db2.gz BXWPWNIHNPZIQS-OLZOCXBDSA-N 0 1 298.350 0.203 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C(C)(C)C=C)C[C@@H]1n1ccnn1 ZINC001070252010 854037026 /nfs/dbraw/zinc/03/70/26/854037026.db2.gz KPPXADGEDLRUHK-OLZOCXBDSA-N 0 1 287.367 0.465 20 30 CCEDMN C=C(C)CCN1CCOC[C@@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070576979 854078170 /nfs/dbraw/zinc/07/81/70/854078170.db2.gz KGZLECPSIPOBSE-GFCCVEGCSA-N 0 1 293.371 0.780 20 30 CCEDMN C=C(C)CCN1CCOC[C@@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070576979 854078174 /nfs/dbraw/zinc/07/81/74/854078174.db2.gz KGZLECPSIPOBSE-GFCCVEGCSA-N 0 1 293.371 0.780 20 30 CCEDMN CCc1nc(CNC(=O)c2cccc(C#CCO)c2)n[nH]1 ZINC000392307252 854086934 /nfs/dbraw/zinc/08/69/34/854086934.db2.gz CIXOOGIVTSSCGI-UHFFFAOYSA-N 0 1 284.319 0.641 20 30 CCEDMN C=CCCN1CCNC(=O)CCN(C(=O)[C@H]2C[C@H]2C)CC1 ZINC001070934754 854105427 /nfs/dbraw/zinc/10/54/27/854105427.db2.gz OHBGZSLTMRMPMK-KGLIPLIRSA-N 0 1 293.411 0.869 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@H](C)O2 ZINC001071139503 854128445 /nfs/dbraw/zinc/12/84/45/854128445.db2.gz WEJHDXWHRNRGIL-WFASDCNBSA-N 0 1 288.351 0.348 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2cc(C)cn2)CC[C@H]1C ZINC001071376549 854171514 /nfs/dbraw/zinc/17/15/14/854171514.db2.gz WCDNNZKIJLOSNO-KGLIPLIRSA-N 0 1 274.368 0.794 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1C ZINC001071651793 854258525 /nfs/dbraw/zinc/25/85/25/854258525.db2.gz OFBJKXFRHZRCGT-WCQYABFASA-N 0 1 288.351 0.790 20 30 CCEDMN C#CCC[N@@H+]1C[C@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@@H]1C ZINC001071776524 854284941 /nfs/dbraw/zinc/28/49/41/854284941.db2.gz UVUYUHFPZKJEFU-NWDGAFQWSA-N 0 1 288.351 0.376 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cccn(C)c2=O)CC[C@H]1C ZINC001072005446 854333706 /nfs/dbraw/zinc/33/37/06/854333706.db2.gz NCBSRGUPEGKZMA-CHWSQXEVSA-N 0 1 287.363 0.601 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cn2cncn2)CC[C@H]1C ZINC001072055287 854342381 /nfs/dbraw/zinc/34/23/81/854342381.db2.gz RTNFHWXQJNTUDT-CHWSQXEVSA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(C)no3)C2)C1 ZINC001072460411 854392065 /nfs/dbraw/zinc/39/20/65/854392065.db2.gz KZTYLBLSPCMCSN-UHFFFAOYSA-N 0 1 259.309 0.764 20 30 CCEDMN C=CCCC(=O)N[C@H]1CN(C(=O)c2[nH]nnc2C)C[C@@H]1C ZINC001072493147 854398586 /nfs/dbraw/zinc/39/85/86/854398586.db2.gz KALHUAIAJYXMKD-ONGXEEELSA-N 0 1 291.355 0.656 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnn(CC)c3)C2)C1 ZINC001072556508 854416779 /nfs/dbraw/zinc/41/67/79/854416779.db2.gz MYAUAVQYQXIHTH-UHFFFAOYSA-N 0 1 272.352 0.684 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCc3cnccn3)C2)C1 ZINC001073104679 854530524 /nfs/dbraw/zinc/53/05/24/854530524.db2.gz HLPQIJWAMANNGW-UHFFFAOYSA-N 0 1 284.363 0.577 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C(=O)N3CC[C@H](C)C3)C2)C1 ZINC001073114869 854535017 /nfs/dbraw/zinc/53/50/17/854535017.db2.gz JPOKPEAGZXBBOW-ZDUSSCGKSA-N 0 1 289.379 0.022 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2ccncc2)C1 ZINC001073517547 854571626 /nfs/dbraw/zinc/57/16/26/854571626.db2.gz RTQOHFYJOBKVPD-OAHLLOKOSA-N 0 1 287.363 0.926 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H]2CCCOC2)C1 ZINC001073549483 854589642 /nfs/dbraw/zinc/58/96/42/854589642.db2.gz ZIPHGAMUWITMET-LSDHHAIUSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2cnc(C)[nH]2)C1 ZINC001073550542 854589904 /nfs/dbraw/zinc/58/99/04/854589904.db2.gz NXPUGARBHNWYRU-CYBMUJFWSA-N 0 1 290.367 0.562 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnn(CC)c2)C1 ZINC001073556948 854594382 /nfs/dbraw/zinc/59/43/82/854594382.db2.gz UAGYVTNPESBXLO-AWEZNQCLSA-N 0 1 292.383 0.910 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2cc(F)c[nH]2)C1 ZINC001073700012 854632992 /nfs/dbraw/zinc/63/29/92/854632992.db2.gz AARYXFGSCLLVDI-CYBMUJFWSA-N 0 1 293.342 0.998 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2c[nH]c(=O)n2C)C1 ZINC001073729713 854636594 /nfs/dbraw/zinc/63/65/94/854636594.db2.gz UFKIXJWTHUALLK-NSHDSACASA-N 0 1 294.355 0.132 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001073791100 854643888 /nfs/dbraw/zinc/64/38/88/854643888.db2.gz BDXXTYHSOJUYKC-ZDUSSCGKSA-N 0 1 276.340 0.483 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001073778491 854644093 /nfs/dbraw/zinc/64/40/93/854644093.db2.gz AOEKWXZCMYPCKW-BARDWOONSA-N 0 1 294.395 0.947 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccncn2)C1 ZINC001073821638 854647829 /nfs/dbraw/zinc/64/78/29/854647829.db2.gz ODWSIUDIMVHPJH-LBPRGKRZSA-N 0 1 276.340 0.483 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001074652692 854761985 /nfs/dbraw/zinc/76/19/85/854761985.db2.gz AFMLTALOEFFGIM-GVXVVHGQSA-N 0 1 287.367 0.932 20 30 CCEDMN C[C@H](CNC(=O)CCc1c[nH]nn1)Nc1ccc(C#N)nc1 ZINC001098294476 854855376 /nfs/dbraw/zinc/85/53/76/854855376.db2.gz SONWZVAIUOCUCS-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@H](CNC(=O)CCc1cnn[nH]1)Nc1ccc(C#N)nc1 ZINC001098294476 854855378 /nfs/dbraw/zinc/85/53/78/854855378.db2.gz SONWZVAIUOCUCS-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001098712678 854876730 /nfs/dbraw/zinc/87/67/30/854876730.db2.gz KYHGMPTTZFOBDG-RDJZCZTQSA-N 0 1 299.374 0.928 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCOCC)C2 ZINC001111470076 854925417 /nfs/dbraw/zinc/92/54/17/854925417.db2.gz ZVCKAIQYZCKUKH-KFWWJZLASA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ccncn2)C[C@H]1C(F)(F)F ZINC001099323100 854927005 /nfs/dbraw/zinc/92/70/05/854927005.db2.gz TXUASXXPVKDPJE-MWLCHTKSSA-N 0 1 298.268 0.702 20 30 CCEDMN C=CCOCCCC(=O)N[C@H]1CCN(CC#CC)C[C@@H]1O ZINC001099765703 854987885 /nfs/dbraw/zinc/98/78/85/854987885.db2.gz AKBFBTSBRKMJRY-GJZGRUSLSA-N 0 1 294.395 0.544 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2(O)CCC2)[C@@H](O)C1 ZINC001099824056 855003641 /nfs/dbraw/zinc/00/36/41/855003641.db2.gz OJHUGGNGMLYYDY-NEPJUHHUSA-N 0 1 268.357 0.029 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)C2(CC)CC2)[C@H](O)C1 ZINC001099996514 855065495 /nfs/dbraw/zinc/06/54/95/855065495.db2.gz MEVGCUSGGQGPIP-QWHCGFSZSA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(CC)CC2)[C@H](O)C1 ZINC001099996514 855065506 /nfs/dbraw/zinc/06/55/06/855065506.db2.gz MEVGCUSGGQGPIP-QWHCGFSZSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001099995689 855065638 /nfs/dbraw/zinc/06/56/38/855065638.db2.gz CVTWGWSHSFBVEK-OLZOCXBDSA-N 0 1 264.369 0.751 20 30 CCEDMN CCc1nc(C#N)cc(NCCN(C)C(=O)c2ccn[nH]2)n1 ZINC001101509944 855301639 /nfs/dbraw/zinc/30/16/39/855301639.db2.gz PAQHQSFELYEFKK-UHFFFAOYSA-N 0 1 299.338 0.818 20 30 CCEDMN Cc1cc(NCCN(C)C(=O)Cc2ccn[nH]2)c(C#N)cn1 ZINC001101535003 855309913 /nfs/dbraw/zinc/30/99/13/855309913.db2.gz LSYVRIBLGLTBJT-UHFFFAOYSA-N 0 1 298.350 0.520 20 30 CCEDMN CN(CCNc1ccc(C#N)cn1)C(=O)Cc1ncn[nH]1 ZINC001101772231 855363480 /nfs/dbraw/zinc/36/34/80/855363480.db2.gz YOFRZOCBOGGBGT-UHFFFAOYSA-N 0 1 285.311 0.184 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001102701769 855465900 /nfs/dbraw/zinc/46/59/00/855465900.db2.gz HZXVLDNYFWRJMK-NXEZZACHSA-N 0 1 299.338 0.550 20 30 CCEDMN CC[C@H](CNC(=O)Cc1cnc[nH]1)Nc1ccc(C#N)nn1 ZINC001103334704 855512814 /nfs/dbraw/zinc/51/28/14/855512814.db2.gz KJTLALIUPOJRLE-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CC(C)C)NC(C)=O ZINC001115143457 855645904 /nfs/dbraw/zinc/64/59/04/855645904.db2.gz DAEHNJIFIYLWGI-BARDWOONSA-N 0 1 291.395 0.217 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OC)C1CCCC1 ZINC001115178019 855650243 /nfs/dbraw/zinc/65/02/43/855650243.db2.gz HAFIBRHTDOJUCP-YJNKXOJESA-N 0 1 276.380 0.871 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@H](C)Nc2cncc(C#N)n2)[nH]1 ZINC001115656943 855686107 /nfs/dbraw/zinc/68/61/07/855686107.db2.gz VWCWKPQADYMUJQ-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN C[C@@H](NCC(=O)NCC#N)c1ccc([S@](C)=O)cc1 ZINC001116532863 855783850 /nfs/dbraw/zinc/78/38/50/855783850.db2.gz WGTRXFSLQNHRFI-DGIBIBHMSA-N 0 1 279.365 0.714 20 30 CCEDMN N#CCCNC(=O)CN[C@H](c1nnc[nH]1)c1ccccc1 ZINC001116727031 855820525 /nfs/dbraw/zinc/82/05/25/855820525.db2.gz AWWWLJUNFDEVER-ZDUSSCGKSA-N 0 1 284.323 0.514 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)NC[C@@H]1CCC[N@@H+]1CC ZINC001118097210 856160040 /nfs/dbraw/zinc/16/00/40/856160040.db2.gz HZKSLSQQXQJXQQ-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN CC#CCN(C)C(=O)C(=O)N(CCC)[C@H]1CCN(C)C1 ZINC001118187622 856191456 /nfs/dbraw/zinc/19/14/56/856191456.db2.gz AOCWUMWIVRTHTE-ZDUSSCGKSA-N 0 1 279.384 0.411 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)C(=O)OCC ZINC001118694819 856397996 /nfs/dbraw/zinc/39/79/96/856397996.db2.gz XGLKYIPHFHHREL-MWLCHTKSSA-N 0 1 292.339 0.849 20 30 CCEDMN CCn1ncc(Cl)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC001118806587 856450102 /nfs/dbraw/zinc/45/01/02/856450102.db2.gz DLAOOIHTJUPCNI-IONNQARKSA-N 0 1 267.720 0.398 20 30 CCEDMN C#CCC1(O)CCN(C[C@@H]2CN(CC)C(=O)O2)CC1 ZINC001119270370 856606027 /nfs/dbraw/zinc/60/60/27/856606027.db2.gz INFUEIMZRDZGOK-GFCCVEGCSA-N 0 1 266.341 0.677 20 30 CCEDMN Cc1nnsc1CNCCNC(=O)C1N=CC=CC1=O ZINC001119285152 856612587 /nfs/dbraw/zinc/61/25/87/856612587.db2.gz SQXGAQCQPUGBMU-QXMHVHEDSA-N 0 1 293.352 0.463 20 30 CCEDMN C#CCN1CCC(NC(=O)NOCC(C)C)CC1 ZINC001119613407 856777793 /nfs/dbraw/zinc/77/77/93/856777793.db2.gz HZUDRLFSEGXXKU-UHFFFAOYSA-N 0 1 253.346 0.971 20 30 CCEDMN C=C[C@@H](COC)NCc1c2c(nn1C)CCOC2 ZINC001119676948 856831125 /nfs/dbraw/zinc/83/11/25/856831125.db2.gz BDPGFWIQGAAGDS-JTQLQIEISA-N 0 1 251.330 0.783 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@@H]2CCCO2)CC1 ZINC001323385822 912313403 /nfs/dbraw/zinc/31/34/03/912313403.db2.gz XQKWPRSITOJIBW-NEPJUHHUSA-N 0 1 295.383 0.095 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1COCCO1 ZINC001393034018 912341803 /nfs/dbraw/zinc/34/18/03/912341803.db2.gz VKMRKPFHOYLIQL-VXGBXAGGSA-N 0 1 288.775 0.735 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@H]2CC2(F)F)CC1 ZINC001323438027 912344502 /nfs/dbraw/zinc/34/45/02/912344502.db2.gz QYLRMXYRLJMIQE-SECBINFHSA-N 0 1 287.310 0.182 20 30 CCEDMN Cc1ncc(C=Nn2c(=O)c(C)n[nH]c2=S)s1 ZINC001323440356 912349025 /nfs/dbraw/zinc/34/90/25/912349025.db2.gz AOFDBGMVOSTDQP-UHFFFAOYSA-N 0 1 267.339 0.883 20 30 CCEDMN N#CCNC1(CNC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC001323607014 912447551 /nfs/dbraw/zinc/44/75/51/912447551.db2.gz KKBMBEVULNOSII-UHFFFAOYSA-N 0 1 270.296 0.333 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC001323626913 912455006 /nfs/dbraw/zinc/45/50/06/912455006.db2.gz QMZLJXLMOUGLPG-LBPRGKRZSA-N 0 1 295.383 0.048 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCC[C@](C)(CO)C1 ZINC001324333061 912783841 /nfs/dbraw/zinc/78/38/41/912783841.db2.gz CDHXVJUFBCBZBB-FZMZJTMJSA-N 0 1 283.372 0.481 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@](C)(CO)C1 ZINC001324333061 912783854 /nfs/dbraw/zinc/78/38/54/912783854.db2.gz CDHXVJUFBCBZBB-FZMZJTMJSA-N 0 1 283.372 0.481 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCCC(=O)NC)C1 ZINC001324609135 912935443 /nfs/dbraw/zinc/93/54/43/912935443.db2.gz UEWCOHQEEWQCAC-CQSZACIVSA-N 0 1 265.357 0.117 20 30 CCEDMN C=CCn1c(C)nnc1S(=O)(=O)Cc1n[nH]c(C)n1 ZINC001324804303 913041513 /nfs/dbraw/zinc/04/15/13/913041513.db2.gz ZPNPORDCCLVBJN-UHFFFAOYSA-N 0 1 282.329 0.173 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2sccc2F)C1 ZINC001325084445 913197770 /nfs/dbraw/zinc/19/77/70/913197770.db2.gz XGZAHNJUJQGQAY-ZDUSSCGKSA-N 0 1 282.340 0.687 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cccc3c2CCC3)C1 ZINC001325169493 913254019 /nfs/dbraw/zinc/25/40/19/913254019.db2.gz SLEZIBOWWHGYRH-SFHVURJKSA-N 0 1 298.386 0.975 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)c2ccn[nH]2)C1 ZINC001325184647 913262259 /nfs/dbraw/zinc/26/22/59/913262259.db2.gz KKRPYFBTILBURL-NSHDSACASA-N 0 1 278.356 0.541 20 30 CCEDMN C#CCCCNC(=O)C(=O)N1CCNC[C@@H]1CCC ZINC001325400617 913388344 /nfs/dbraw/zinc/38/83/44/913388344.db2.gz WUVDNLSRMJJUTO-LBPRGKRZSA-N 0 1 265.357 0.117 20 30 CCEDMN Cc1ncc(CNC[C@H](O)CN(C)C(=O)[C@H](C)C#N)o1 ZINC001394734767 913400719 /nfs/dbraw/zinc/40/07/19/913400719.db2.gz YRAGQCYRRXXMRV-KOLCDFICSA-N 0 1 280.328 0.052 20 30 CCEDMN CN(CCCNC(=O)c1[nH]ncc1F)C(=O)C#CC1CC1 ZINC001492831852 913440791 /nfs/dbraw/zinc/44/07/91/913440791.db2.gz JJSNZNSLBUTQKE-UHFFFAOYSA-N 0 1 292.314 0.541 20 30 CCEDMN CCN1CC[C@@H](N(C)[C@H](C)CNC(=O)C#CC2CC2)C1=O ZINC001282384401 891417247 /nfs/dbraw/zinc/41/72/47/891417247.db2.gz KOQBBNLIUGLAIJ-TZMCWYRMSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001282397392 891432534 /nfs/dbraw/zinc/43/25/34/891432534.db2.gz FCQJUXPUHYPWTJ-QWHCGFSZSA-N 0 1 279.384 0.315 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1c(C)ncn1C ZINC001480876264 891502761 /nfs/dbraw/zinc/50/27/61/891502761.db2.gz POBXFKOHGWSIPK-UHFFFAOYSA-N 0 1 278.356 0.040 20 30 CCEDMN C#CCN(C)CCNC(=O)CCc1ccc(OC)nc1 ZINC001480889279 891650772 /nfs/dbraw/zinc/65/07/72/891650772.db2.gz TWOVPEOEJRDTFQ-UHFFFAOYSA-N 0 1 275.352 0.704 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCCN(C(C)(C)C)C1=O ZINC001480901822 891724047 /nfs/dbraw/zinc/72/40/47/891724047.db2.gz BAUCQDIARGULEN-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N1CCC[C@H](C#N)C1 ZINC001349385506 891734865 /nfs/dbraw/zinc/73/48/65/891734865.db2.gz ZTNMICLNYOKCCH-JHJVBQTASA-N 0 1 262.357 0.137 20 30 CCEDMN CN(C(=O)Cc1ccn[nH]1)[C@H](CNCC#N)C1CC1 ZINC001283494651 891845009 /nfs/dbraw/zinc/84/50/09/891845009.db2.gz WTJRBTWCFDOQSN-GFCCVEGCSA-N 0 1 261.329 0.302 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C[N@@H+]2CCC[C@@H](C(=O)[O-])C2)C1 ZINC001350801027 891865731 /nfs/dbraw/zinc/86/57/31/891865731.db2.gz HOQZTNRIHGYRNF-QWHCGFSZSA-N 0 1 278.352 0.655 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CCc1cncn1C ZINC001480941359 891912965 /nfs/dbraw/zinc/91/29/65/891912965.db2.gz LPEQRVGKPZLCGL-UHFFFAOYSA-N 0 1 292.383 0.051 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1nn(CC)nc1C ZINC001480955798 891953439 /nfs/dbraw/zinc/95/34/39/891953439.db2.gz STHLHXDKXZQDKR-UHFFFAOYSA-N 0 1 263.345 0.291 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H](C)SC ZINC001480962971 891984348 /nfs/dbraw/zinc/98/43/48/891984348.db2.gz SOOCQBKLXDSAME-LBPRGKRZSA-N 0 1 272.414 0.826 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)COC(C)(C)C ZINC001480970284 891996351 /nfs/dbraw/zinc/99/63/51/891996351.db2.gz SLCPAUNIYSQFNU-UHFFFAOYSA-N 0 1 284.400 0.889 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H](OCC)C1CCOCC1 ZINC001480991848 892025347 /nfs/dbraw/zinc/02/53/47/892025347.db2.gz NXALZFMORGOKOQ-OAHLLOKOSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnc2c(c1)c(C)nn2C ZINC001480991347 892027272 /nfs/dbraw/zinc/02/72/72/892027272.db2.gz UBGFGKOMQUUXBI-UHFFFAOYSA-N 0 1 299.378 0.962 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@H]1CCC(=O)N1 ZINC001481061491 892122180 /nfs/dbraw/zinc/12/21/80/892122180.db2.gz YIFYKQWCKMGUPH-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCN1CCCC1=O ZINC001481072372 892130666 /nfs/dbraw/zinc/13/06/66/892130666.db2.gz TWBSZCNQOFHZGX-ZDUSSCGKSA-N 0 1 277.368 0.213 20 30 CCEDMN CCCN(CCNC(=O)c1c[nH]c(C#N)c1)[C@@H](C)C(N)=O ZINC001481134514 892217112 /nfs/dbraw/zinc/21/71/12/892217112.db2.gz NQTGIEPKFGGSGJ-JTQLQIEISA-N 0 1 291.355 0.202 20 30 CCEDMN C=C(Cl)CN(CCC)CCNC(=O)c1cnn[nH]1 ZINC001481141468 892226345 /nfs/dbraw/zinc/22/63/45/892226345.db2.gz VMNCKXCZLSXXBA-UHFFFAOYSA-N 0 1 271.752 0.999 20 30 CCEDMN CC#CCN(CCNC(=O)[C@H]1CCCO1)C1CC1 ZINC001481157278 892246448 /nfs/dbraw/zinc/24/64/48/892246448.db2.gz PPTIIRUTJIEZJN-CYBMUJFWSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H]1C[C@H]1c1cnn(C)c1)C1CC1 ZINC001481188263 892284430 /nfs/dbraw/zinc/28/44/30/892284430.db2.gz NZNXATPBNGIJOB-LSDHHAIUSA-N 0 1 286.379 0.737 20 30 CCEDMN CC#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](C)O ZINC001267711838 892349718 /nfs/dbraw/zinc/34/97/18/892349718.db2.gz GVYISZCMKRIUMF-IHRRRGAJSA-N 0 1 264.369 0.846 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2cnn(C)n2)C1 ZINC001481529045 892777960 /nfs/dbraw/zinc/77/79/60/892777960.db2.gz GEKTZHAMJJARGQ-GFCCVEGCSA-N 0 1 293.371 0.212 20 30 CCEDMN Cc1cc(CNCCN(CCO)C(=O)C#CC2CC2)on1 ZINC001326023389 913705549 /nfs/dbraw/zinc/70/55/49/913705549.db2.gz XIGYQLWOKRUGOP-UHFFFAOYSA-N 0 1 291.351 0.307 20 30 CCEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3C[C@@H](O)COC)C1 ZINC001481676531 892990278 /nfs/dbraw/zinc/99/02/78/892990278.db2.gz JIBCUNHYLGICAP-MELADBBJSA-N 0 1 294.395 0.635 20 30 CCEDMN C#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](O)COC ZINC001481670977 892990549 /nfs/dbraw/zinc/99/05/49/892990549.db2.gz FCGPRYJNJTUCMT-IHRRRGAJSA-N 0 1 280.368 0.082 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)CC(N)=O)C1 ZINC001481770757 893133967 /nfs/dbraw/zinc/13/39/67/893133967.db2.gz HTNSIEJBSWUBKU-VXGBXAGGSA-N 0 1 267.373 0.607 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)COCCCOC)C1 ZINC001481777588 893137263 /nfs/dbraw/zinc/13/72/63/893137263.db2.gz JNZPTNABWAJWRF-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN C[C@H](CNCC#N)CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001482135210 893420863 /nfs/dbraw/zinc/42/08/63/893420863.db2.gz FWYIISSRCRICCH-ZJUUUORDSA-N 0 1 276.344 0.090 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cn1cncn1 ZINC001482362065 893917166 /nfs/dbraw/zinc/91/71/66/893917166.db2.gz SKGZBPQTFRJUAP-JTQLQIEISA-N 0 1 271.752 0.467 20 30 CCEDMN CC[C@H](F)C(=O)N(C)CCN(C)CC#CCOC ZINC001482618869 894418186 /nfs/dbraw/zinc/41/81/86/894418186.db2.gz KOTVJAZUMKIMJP-LBPRGKRZSA-N 0 1 258.337 0.775 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)c1cccn1C ZINC001482983774 894759716 /nfs/dbraw/zinc/75/97/16/894759716.db2.gz IVIVBRYVLLPXRS-SNAWJCMRSA-N 0 1 290.367 0.203 20 30 CCEDMN C[C@H](CNCC#N)NC(=O)c1c[nH]c2ccccc2c1=O ZINC001483143816 895022688 /nfs/dbraw/zinc/02/26/88/895022688.db2.gz MZYBOMZANSGRFJ-SNVBAGLBSA-N 0 1 284.319 0.760 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@H](C)NCc1ccn(C)n1 ZINC001507063923 895153613 /nfs/dbraw/zinc/15/36/13/895153613.db2.gz UEXGOHFZRGQXTK-QWRGUYRKSA-N 0 1 263.345 0.516 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CN(C)[C@@H]1CCCNC1=O ZINC001483335947 895473078 /nfs/dbraw/zinc/47/30/78/895473078.db2.gz IHQBDASNZNBGOE-QWHCGFSZSA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H](C)NC(=O)C1CCCC1 ZINC001483342055 895481508 /nfs/dbraw/zinc/48/15/08/895481508.db2.gz QLEFCCCPDDAFRK-OLZOCXBDSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)CN(C)CCN1CCNC1=O ZINC001483342306 895483185 /nfs/dbraw/zinc/48/31/85/895483185.db2.gz KNBMEQUSKVCVHC-ZDUSSCGKSA-N 0 1 296.415 0.804 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCn2ccnc2C1 ZINC001483354945 895494058 /nfs/dbraw/zinc/49/40/58/895494058.db2.gz DRSUXNQPTPFASS-CHWSQXEVSA-N 0 1 274.368 0.515 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)CCCNCc1cnn(C)n1 ZINC001483462868 895587331 /nfs/dbraw/zinc/58/73/31/895587331.db2.gz MMJJKRRHUHZJPE-AWEZNQCLSA-N 0 1 295.387 0.080 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@@H](F)CC ZINC001507497640 895620728 /nfs/dbraw/zinc/62/07/28/895620728.db2.gz OUOMORXBXQKKJC-UWVGGRQHSA-N 0 1 266.744 0.896 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)Cn1nccc1C ZINC001483683468 895848630 /nfs/dbraw/zinc/84/86/30/895848630.db2.gz IXPYRIHDGJPCBN-CQSZACIVSA-N 0 1 292.383 0.585 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnco2)[C@@H](O)C1 ZINC001083682352 895871903 /nfs/dbraw/zinc/87/19/03/895871903.db2.gz UULBMBJODQEDGH-ZJUUUORDSA-N 0 1 251.286 0.026 20 30 CCEDMN CCc1nc([C@@H](C)NC2(CNC(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001483723697 895917391 /nfs/dbraw/zinc/91/73/91/895917391.db2.gz VKNUPBDMQNJPQF-VHSXEESVSA-N 0 1 290.371 0.826 20 30 CCEDMN C[C@]1(C(=O)NCC2(NCC(=O)NCC#N)CC2)C=CCC1 ZINC001483759624 896019049 /nfs/dbraw/zinc/01/90/49/896019049.db2.gz MOQGOBSAVGOLNJ-AWEZNQCLSA-N 0 1 290.367 0.221 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC(C)(NC(=O)C#CC(C)C)CC1 ZINC001483968310 896137846 /nfs/dbraw/zinc/13/78/46/896137846.db2.gz ZKARRCVMJGWSEX-ZDUSSCGKSA-N 0 1 293.411 0.880 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@](O)(CNC(=O)c2ccsn2)C1 ZINC001484225505 896252318 /nfs/dbraw/zinc/25/23/18/896252318.db2.gz NWBLUCQYNIPPNV-CYBMUJFWSA-N 0 1 281.381 0.886 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C/C=C(/C)C=C)C1 ZINC001484232616 896261813 /nfs/dbraw/zinc/26/18/13/896261813.db2.gz UNHVCJJJQKPLHB-OEWWAVFSSA-N 0 1 262.353 0.695 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C/C=C(/C)C=C)C1 ZINC001484232613 896265250 /nfs/dbraw/zinc/26/52/50/896265250.db2.gz UNHVCJJJQKPLHB-IIEJUSTOSA-N 0 1 262.353 0.695 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CCCOC(C)C)C1 ZINC001484254296 896279348 /nfs/dbraw/zinc/27/93/48/896279348.db2.gz IKJRSDJBSHBALG-OAHLLOKOSA-N 0 1 282.384 0.378 20 30 CCEDMN CCO[C@@H]1C[C@H]1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001484416189 896384880 /nfs/dbraw/zinc/38/48/80/896384880.db2.gz FADZOYLFUQWGTN-ZIAGYGMSSA-N 0 1 294.399 0.105 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@@H]2CCCN(C(C)=O)[C@@H]2C1 ZINC001484467502 896419361 /nfs/dbraw/zinc/41/93/61/896419361.db2.gz KGOQHVQHNHFAMR-KWCYVHTRSA-N 0 1 279.384 0.620 20 30 CCEDMN N#CCNCCN(CCO)C(=O)c1cccc2[nH]cnc21 ZINC001484497466 896443225 /nfs/dbraw/zinc/44/32/25/896443225.db2.gz SGQQLIBMFCTZME-UHFFFAOYSA-N 0 1 287.323 0.111 20 30 CCEDMN CC#CC[N@@H+](C)CCCN(C)C(=O)c1cnc[nH]c1=O ZINC001484746921 896573350 /nfs/dbraw/zinc/57/33/50/896573350.db2.gz KZEPDRAQBJUBSK-UHFFFAOYSA-N 0 1 276.340 0.599 20 30 CCEDMN C[C@H]1C[C@@H]1C(=O)N(C)CCCN(C)CC(=O)NCC#N ZINC001484754746 896586940 /nfs/dbraw/zinc/58/69/40/896586940.db2.gz IICFZIYVGPLBPA-RYUDHWBXSA-N 0 1 280.372 0.062 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H](C)C2CC2)CC1 ZINC001485016638 896720045 /nfs/dbraw/zinc/72/00/45/896720045.db2.gz MSULVMJXDODBKA-LBPRGKRZSA-N 0 1 264.369 0.609 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](NC(=O)CN2CCCC2)C1 ZINC001397201870 914093046 /nfs/dbraw/zinc/09/30/46/914093046.db2.gz NOQDOSDGKWMABU-SRVKXCTJSA-N 0 1 278.356 0.005 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CCn1cncn1 ZINC001485050390 896737437 /nfs/dbraw/zinc/73/74/37/896737437.db2.gz YHAXVMCRQCNXGF-ZDUSSCGKSA-N 0 1 275.356 0.224 20 30 CCEDMN C=C(C)CCC(=O)NC1CC(CNCc2nnnn2C)C1 ZINC001485095475 896776487 /nfs/dbraw/zinc/77/64/87/896776487.db2.gz USMKNNDFZIVRCI-UHFFFAOYSA-N 0 1 292.387 0.551 20 30 CCEDMN C[C@H](NCc1cnns1)[C@H](C)NC(=O)CSCC#N ZINC001485124864 896802471 /nfs/dbraw/zinc/80/24/71/896802471.db2.gz NWANZPDNMLAVSN-IUCAKERBSA-N 0 1 299.425 0.778 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCS(C)(=O)=O ZINC001485163305 896831556 /nfs/dbraw/zinc/83/15/56/896831556.db2.gz OUQDKQAYCYRWDM-ZJUUUORDSA-N 0 1 296.820 0.656 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ncn(-c2ccccc2)n1 ZINC001485313879 896936049 /nfs/dbraw/zinc/93/60/49/896936049.db2.gz STQUOHQKLJRFSD-CYBMUJFWSA-N 0 1 297.362 0.951 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001485320312 896947628 /nfs/dbraw/zinc/94/76/28/896947628.db2.gz OGGMSMULNLUMEK-STQMWFEESA-N 0 1 279.384 0.315 20 30 CCEDMN Cc1nc(CN2CC([C@@H](C)NC(=O)C#CC(C)C)C2)n[nH]1 ZINC001485502477 897091298 /nfs/dbraw/zinc/09/12/98/897091298.db2.gz IQXNLWAAUNQBCV-LLVKDONJSA-N 0 1 289.383 0.709 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@@]2(C1)CN(CC)CCO2 ZINC001485557221 897116211 /nfs/dbraw/zinc/11/62/11/897116211.db2.gz WOKVSJUOOIEIEF-GJZGRUSLSA-N 0 1 282.384 0.637 20 30 CCEDMN CN1CCN(CCNC(=O)CCCCC#N)CC1 ZINC001326741727 914130293 /nfs/dbraw/zinc/13/02/93/914130293.db2.gz JZNLXCIEZRZLKG-UHFFFAOYSA-N 0 1 252.362 0.434 20 30 CCEDMN C#CC[N@H+](C)CCOCCNC(=O)C1CCC(OC)CC1 ZINC001485777039 897228165 /nfs/dbraw/zinc/22/81/65/897228165.db2.gz QSQSBRDVQDQTHO-UHFFFAOYSA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCCCC(=O)N1CCC([N@H+](C)CC(=O)NC)CC1 ZINC001485762319 897230444 /nfs/dbraw/zinc/23/04/44/897230444.db2.gz TWTAWCILWNHCLA-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2cc(C)[nH]c2C)C1 ZINC001077748596 897400889 /nfs/dbraw/zinc/40/08/89/897400889.db2.gz VBFJRSJGAOXXRE-ZIAGYGMSSA-N 0 1 277.368 0.983 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(CC)n1 ZINC001032390865 897415221 /nfs/dbraw/zinc/41/52/21/897415221.db2.gz AMBFCYAHUHOBMH-RYUDHWBXSA-N 0 1 275.356 0.773 20 30 CCEDMN COC[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001032392946 897420495 /nfs/dbraw/zinc/42/04/95/897420495.db2.gz YLRBRNBTKZUOOX-UBHSHLNASA-N 0 1 262.353 0.720 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1nnc(C)[nH]1 ZINC001032397016 897437626 /nfs/dbraw/zinc/43/76/26/897437626.db2.gz BNDLFTOXNUOHNC-RYUDHWBXSA-N 0 1 275.356 0.517 20 30 CCEDMN C=CCCNCc1nnc2n1CC[C@@H](NC(C)=O)CC2 ZINC001127909609 897454252 /nfs/dbraw/zinc/45/42/52/897454252.db2.gz BRGJPLFKBJKTEZ-LBPRGKRZSA-N 0 1 277.372 0.785 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1CN2CCCO ZINC001032409337 897487325 /nfs/dbraw/zinc/48/73/25/897487325.db2.gz LHIKUWILYSLSHS-XQLPTFJDSA-N 0 1 294.395 0.635 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2nccc3[nH]ccc32)[C@@H](O)C1 ZINC001083788415 897502685 /nfs/dbraw/zinc/50/26/85/897502685.db2.gz YCIZGLNZJHAQPU-KGLIPLIRSA-N 0 1 298.346 0.361 20 30 CCEDMN C#CCOCCC(=O)NC[C@]1(C)CN(CC(C)C)CCO1 ZINC001107939700 897527521 /nfs/dbraw/zinc/52/75/21/897527521.db2.gz IRPGZVLITCOQOA-MRXNPFEDSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc3cccnn32)C1 ZINC001077796452 897528099 /nfs/dbraw/zinc/52/80/99/897528099.db2.gz DMMAQICUTMBFJV-UKRRQHHQSA-N 0 1 298.346 0.133 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)C1CCCC1 ZINC001127940491 897548532 /nfs/dbraw/zinc/54/85/32/897548532.db2.gz CKLCCNXBLWKBSG-UHFFFAOYSA-N 0 1 287.791 0.751 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cnc[nH]c1=O ZINC001032466098 897605875 /nfs/dbraw/zinc/60/58/75/897605875.db2.gz AYSOBBBBJGFZLU-QWRGUYRKSA-N 0 1 274.324 0.657 20 30 CCEDMN C#CCC[NH+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCC[N@H+]1C ZINC001032479066 897627378 /nfs/dbraw/zinc/62/73/78/897627378.db2.gz CQIFVXSAKVYYAR-KKUMJFAQSA-N 0 1 275.396 0.779 20 30 CCEDMN CCn1cc(CNCC[C@@H](C)NC(=O)C#CC2CC2)nn1 ZINC001272772855 897642199 /nfs/dbraw/zinc/64/21/99/897642199.db2.gz HLEOYLAISFLFOT-GFCCVEGCSA-N 0 1 289.383 0.696 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cscn1 ZINC001032666804 897916123 /nfs/dbraw/zinc/91/61/23/897916123.db2.gz DXAQUAJHPQGCPT-STQMWFEESA-N 0 1 275.377 0.994 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1nc[nH]n1 ZINC001032690373 897933577 /nfs/dbraw/zinc/93/35/77/897933577.db2.gz FGAOSJMKILRDSP-QWRGUYRKSA-N 0 1 261.329 0.670 20 30 CCEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1nc[nH]n1 ZINC001032690373 897933588 /nfs/dbraw/zinc/93/35/88/897933588.db2.gz FGAOSJMKILRDSP-QWRGUYRKSA-N 0 1 261.329 0.670 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001032720507 897972081 /nfs/dbraw/zinc/97/20/81/897972081.db2.gz VVJSPMZDNJXIRC-AGIUHOORSA-N 0 1 284.363 0.433 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccnc(C)c2)C1 ZINC001077870609 897987178 /nfs/dbraw/zinc/98/71/78/897987178.db2.gz GFOZKLGVGIOATK-ZIAGYGMSSA-N 0 1 273.336 0.188 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3CCCO)cn1 ZINC001032740238 898049622 /nfs/dbraw/zinc/04/96/22/898049622.db2.gz WSWANKRTISDQHS-GJZGRUSLSA-N 0 1 285.347 0.344 20 30 CCEDMN C=CCN1C[C@]2(CCN(CC(OC)OC)C2)OCC1=O ZINC001272861406 898090124 /nfs/dbraw/zinc/09/01/24/898090124.db2.gz MTZLTPMGFRYELV-CQSZACIVSA-N 0 1 284.356 0.095 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCN(C(C)=O)C1 ZINC001032756403 898104176 /nfs/dbraw/zinc/10/41/76/898104176.db2.gz NCXDUGHNKHDNHL-KKUMJFAQSA-N 0 1 291.395 0.716 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C2CC2)C2CC2)C1 ZINC001077971836 898127111 /nfs/dbraw/zinc/12/71/11/898127111.db2.gz YQWIBXZJLLYVOH-ZIAGYGMSSA-N 0 1 276.380 0.607 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCCN2CC)C1 ZINC001078229357 898305616 /nfs/dbraw/zinc/30/56/16/898305616.db2.gz FBCYDUNTOLSAJX-QLFBSQMISA-N 0 1 293.411 0.045 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H](C)OCC(C)C ZINC001485981486 898567547 /nfs/dbraw/zinc/56/75/47/898567547.db2.gz YWYGSFQAJSSORM-QWHCGFSZSA-N 0 1 270.373 0.138 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](CO)NCc1ccsc1 ZINC001485991083 898578677 /nfs/dbraw/zinc/57/86/77/898578677.db2.gz NTNRPAVSYBSWJC-TZMCWYRMSA-N 0 1 298.408 0.642 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1=CCCCCC1 ZINC001485990279 898579228 /nfs/dbraw/zinc/57/92/28/898579228.db2.gz SNOWGWSRCLXPKW-CQSZACIVSA-N 0 1 264.369 0.967 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](CO)NCc1ccsc1 ZINC001485991081 898580685 /nfs/dbraw/zinc/58/06/85/898580685.db2.gz NTNRPAVSYBSWJC-OCCSQVGLSA-N 0 1 298.408 0.642 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H]1CCCC2(CC2)C1 ZINC001485996700 898582696 /nfs/dbraw/zinc/58/26/96/898582696.db2.gz IJQROGPUUZOSEJ-QWHCGFSZSA-N 0 1 264.369 0.657 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001485996632 898584574 /nfs/dbraw/zinc/58/45/74/898584574.db2.gz HWPWZGAMMLUVIG-YNEHKIRRSA-N 0 1 292.404 0.860 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1c(C)cccc1Cl ZINC001486014131 898590974 /nfs/dbraw/zinc/59/09/74/898590974.db2.gz WLXQABLVQNIVFU-GFCCVEGCSA-N 0 1 294.782 0.891 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1conc1C1CCCC1 ZINC001486003277 898598446 /nfs/dbraw/zinc/59/84/46/898598446.db2.gz XZXVHQNVEGNKPJ-LBPRGKRZSA-N 0 1 291.351 0.646 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CC2(O)CCC2)[C@H]1C ZINC001486172962 898693766 /nfs/dbraw/zinc/69/37/66/898693766.db2.gz BFUVHGDZVCXOMB-CHWSQXEVSA-N 0 1 264.369 0.894 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)COC1CCCC1 ZINC001486325728 898789143 /nfs/dbraw/zinc/78/91/43/898789143.db2.gz GBRASIREFRWPME-AWEZNQCLSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cncc(CC)c1 ZINC001486345077 898822088 /nfs/dbraw/zinc/82/20/88/898822088.db2.gz ZMULWVMTTZIQEX-HNNXBMFYSA-N 0 1 289.379 0.642 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@H](CC(C)C)OC ZINC001486349099 898824954 /nfs/dbraw/zinc/82/49/54/898824954.db2.gz OSYVPAZNRYUJQB-GJZGRUSLSA-N 0 1 298.427 0.822 20 30 CCEDMN C=CCCC(=O)N1CCO[C@H](CNCc2cnns2)C1 ZINC001326956564 914290949 /nfs/dbraw/zinc/29/09/49/914290949.db2.gz CZHHAQRPVTZMSJ-LLVKDONJSA-N 0 1 296.396 0.821 20 30 CCEDMN C[C@@H](NC(=O)[C@@H](C)C#N)C1CCN(CC(=O)N(C)C)CC1 ZINC001486435661 898873286 /nfs/dbraw/zinc/87/32/86/898873286.db2.gz KMJFKKXIYSVSGP-NWDGAFQWSA-N 0 1 294.399 0.451 20 30 CCEDMN C=CCCC(=O)N1CC(NC(=O)c2[nH]nc(C)c2C)C1 ZINC001530406309 899014103 /nfs/dbraw/zinc/01/41/03/899014103.db2.gz YBAADWPRBQGQJY-UHFFFAOYSA-N 0 1 276.340 0.933 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](CNC(=O)CN1CCCC1)C1CC1 ZINC001414855814 899556712 /nfs/dbraw/zinc/55/67/12/899556712.db2.gz JJTQYWFYZASSTJ-YPMHNXCESA-N 0 1 292.383 0.253 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(=O)NC)[C@@H]1C ZINC001410825708 899592247 /nfs/dbraw/zinc/59/22/47/899592247.db2.gz WKPLACFGZSXJEN-NXEZZACHSA-N 0 1 288.779 0.247 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCc1ccn(C)n1 ZINC001493108848 899848006 /nfs/dbraw/zinc/84/80/06/899848006.db2.gz RTRCJXRRYIWBLQ-AWEZNQCLSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CC(=O)N[C@H]1CC[C@H](NC(=O)CN(C)C)CC1 ZINC001179756802 899989946 /nfs/dbraw/zinc/98/99/46/899989946.db2.gz WWCRBOFKCFCDBX-HAQNSBGRSA-N 0 1 265.357 0.115 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@H]1CC(=O)N(CC2CC2)C1 ZINC001182455160 899999074 /nfs/dbraw/zinc/99/90/74/899999074.db2.gz RKWCXRCYSRNCKM-VIFPVBQESA-N 0 1 273.296 0.478 20 30 CCEDMN C#C[C@@H](CO)NS(=O)(=O)c1cc2cn[nH]c2cc1C ZINC000867341813 900079614 /nfs/dbraw/zinc/07/96/14/900079614.db2.gz QNLUKGOKRLBFOX-JTQLQIEISA-N 0 1 279.321 0.144 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CO[C@H]2CCOC2)[C@H]1C ZINC001489264432 900456970 /nfs/dbraw/zinc/45/69/70/900456970.db2.gz JAQHVLCINGGIKK-AGIUHOORSA-N 0 1 266.341 0.004 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CNC(=O)NC ZINC001489326355 900468036 /nfs/dbraw/zinc/46/80/36/900468036.db2.gz LXSYUXUXBSVPSZ-ZDUSSCGKSA-N 0 1 294.399 0.252 20 30 CCEDMN C=CCNC(=O)NC(C)(C)C(=O)N[C@H]1CC[N@H+](CC)[C@@H]1C ZINC001489312269 900474008 /nfs/dbraw/zinc/47/40/08/900474008.db2.gz YGWXTFHXDBYGIW-NEPJUHHUSA-N 0 1 296.415 0.849 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCCN(C)CC(=O)NC(N)=O ZINC001490255338 900583121 /nfs/dbraw/zinc/58/31/21/900583121.db2.gz MSYJXAIJNPKRMP-UHFFFAOYSA-N 0 1 298.387 0.222 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C(C)(C)C ZINC001490271267 900583798 /nfs/dbraw/zinc/58/37/98/900583798.db2.gz RVSOSKVIYROZML-LBPRGKRZSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@@H](CC)OC)CC1 ZINC001490465333 900630657 /nfs/dbraw/zinc/63/06/57/900630657.db2.gz HTOMADSNXOXTIR-CQSZACIVSA-N 0 1 281.400 0.169 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2nccnc2N)C1 ZINC001490531302 900650830 /nfs/dbraw/zinc/65/08/30/900650830.db2.gz QVVRGGMCAODERC-NSHDSACASA-N 0 1 291.355 0.066 20 30 CCEDMN CCN(C(=O)C[C@H](C)OC)[C@@H]1CCN(CC#CCOC)C1 ZINC001318457037 900835162 /nfs/dbraw/zinc/83/51/62/900835162.db2.gz GLXOPYGCFWAAOJ-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN Cc1nnc(CNCC[C@H](C)NC(=O)[C@@H](C)C#N)[nH]1 ZINC001320372795 900926983 /nfs/dbraw/zinc/92/69/83/900926983.db2.gz RDZGPTUMLLWOMD-IUCAKERBSA-N 0 1 264.333 0.257 20 30 CCEDMN C=CCO[C@H]1CCN([C@@H](C)C(=O)NCCCOC)C1 ZINC001320898238 900954193 /nfs/dbraw/zinc/95/41/93/900954193.db2.gz KAJLDMGEKVOQBO-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)Cn1cc(Cl)cn1 ZINC001322257732 901001980 /nfs/dbraw/zinc/00/19/80/901001980.db2.gz HNPPFEHBCBUFAE-NSHDSACASA-N 0 1 282.775 0.996 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)C1CN(C(=O)Cc2ccn[nH]2)C1 ZINC001411990994 901381005 /nfs/dbraw/zinc/38/10/05/901381005.db2.gz BCXWRQMIMKFELT-NXEZZACHSA-N 0 1 289.339 0.075 20 30 CCEDMN Cn1nccc1[C@H]1c2[nH]cnc2CCN1C(=O)CC#N ZINC001412287374 901621440 /nfs/dbraw/zinc/62/14/40/901621440.db2.gz BYPHFZXLQDBGAZ-ZDUSSCGKSA-N 0 1 270.296 0.531 20 30 CCEDMN COC[C@H](NC(=O)c1sccc1C#N)c1nn[nH]n1 ZINC001412613454 901868482 /nfs/dbraw/zinc/86/84/82/901868482.db2.gz UPAYBNSKRAGBDY-ZETCQYMHSA-N 0 1 278.297 0.250 20 30 CCEDMN N#Cc1cncnc1NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001412904336 902345289 /nfs/dbraw/zinc/34/52/89/902345289.db2.gz YQPMXSLZHLNRLJ-QMMMGPOBSA-N 0 1 271.284 0.004 20 30 CCEDMN N#Cc1cncnc1NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001412904336 902345299 /nfs/dbraw/zinc/34/52/99/902345299.db2.gz YQPMXSLZHLNRLJ-QMMMGPOBSA-N 0 1 271.284 0.004 20 30 CCEDMN C[C@H](O)C[N@@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C1CC1 ZINC001413017567 902485881 /nfs/dbraw/zinc/48/58/81/902485881.db2.gz BZQYTJSKWAWEEW-VIFPVBQESA-N 0 1 287.323 0.652 20 30 CCEDMN CCn1[nH]c(C)nc1=NC(=O)c1ccc(C#N)c(O)c1 ZINC001413224278 902795622 /nfs/dbraw/zinc/79/56/22/902795622.db2.gz QPCBSCGSJZUWDO-UHFFFAOYSA-N 0 1 271.280 0.858 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cccnc1OCCOC ZINC001492873716 914691605 /nfs/dbraw/zinc/69/16/05/914691605.db2.gz QVHLBTOEZHLAMM-UHFFFAOYSA-N 0 1 291.351 0.402 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@@H](NCc2ccn(CC)n2)C1 ZINC001491001983 903285153 /nfs/dbraw/zinc/28/51/53/903285153.db2.gz BQRMFAFWZVJOQS-OKILXGFUSA-N 0 1 292.383 0.842 20 30 CCEDMN Cc1conc1CN[C@H]1C[C@@H](NC(=O)[C@H](C)C#N)C1 ZINC001491003087 903286307 /nfs/dbraw/zinc/28/63/07/903286307.db2.gz NANITSVCYRYDMW-IEBDPFPHSA-N 0 1 262.313 0.880 20 30 CCEDMN CCn1ncc(CN[C@H](C)CCNC(=O)[C@@H](C)C#N)n1 ZINC001442238388 903665473 /nfs/dbraw/zinc/66/54/73/903665473.db2.gz AKEKPFZQSQMQFQ-WDEREUQCSA-N 0 1 278.360 0.442 20 30 CCEDMN N#CCNCC1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC001204928415 903792426 /nfs/dbraw/zinc/79/24/26/903792426.db2.gz QJSXWORIVLQSCV-UHFFFAOYSA-N 0 1 276.344 0.089 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)CCc2ccoc2)CC1 ZINC001281799862 903980547 /nfs/dbraw/zinc/98/05/47/903980547.db2.gz PFCCTKIQVBRSPW-UHFFFAOYSA-N 0 1 290.363 0.789 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2ccnn2CC)CC1 ZINC001281809203 903980615 /nfs/dbraw/zinc/98/06/15/903980615.db2.gz FJIJOEHGEPUZPI-UHFFFAOYSA-N 0 1 292.383 0.646 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1ccn[nH]1)NC(=O)C#CC1CC1 ZINC001280981762 904190761 /nfs/dbraw/zinc/19/07/61/904190761.db2.gz VCGZFPFJBXPZPS-JTQLQIEISA-N 0 1 274.324 0.400 20 30 CCEDMN COCC#CCN1CC[C@@H](NC(=O)CCOC)C(C)(C)C1 ZINC001281536543 904303323 /nfs/dbraw/zinc/30/33/23/904303323.db2.gz NNTCAFSDUFPOBW-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](NC(=O)CC)C[C@@H]1C ZINC001281643539 904314769 /nfs/dbraw/zinc/31/47/69/904314769.db2.gz BVUNBYCYTMCNEI-NWDGAFQWSA-N 0 1 267.373 0.668 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)C[C@@H]1CCCN1C(=O)C#CC(C)C ZINC001281931354 904375628 /nfs/dbraw/zinc/37/56/28/904375628.db2.gz ALFRBACBBUPXNG-KBPBESRZSA-N 0 1 293.411 0.833 20 30 CCEDMN C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](C)NCc1cnnn1C ZINC001282129309 904419787 /nfs/dbraw/zinc/41/97/87/904419787.db2.gz QWNHPIQPRAIGAA-NWDGAFQWSA-N 0 1 291.399 0.847 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CCC(=O)NCCC ZINC001282478664 904488273 /nfs/dbraw/zinc/48/82/73/904488273.db2.gz TVLAFLGMSZCILA-GFCCVEGCSA-N 0 1 267.373 0.363 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnc(OC)nc1 ZINC001282478193 904488701 /nfs/dbraw/zinc/48/87/01/904488701.db2.gz NGPSHDHYXCGDKK-JTQLQIEISA-N 0 1 262.313 0.169 20 30 CCEDMN C=CCCCC(=O)NCCNC(=O)[C@@H]1CCCN1C ZINC001282948121 904629182 /nfs/dbraw/zinc/62/91/82/904629182.db2.gz JRYCHDYOUTXBEU-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H](C)NCc1ncnn1CCF ZINC001377415820 904861947 /nfs/dbraw/zinc/86/19/47/904861947.db2.gz ISBXHXCYXXTTNW-QWRGUYRKSA-N 0 1 296.350 0.392 20 30 CCEDMN CN(C(=O)C1=NC(=O)N(C)C1)[C@H](CNCC#N)C1CC1 ZINC001283531780 904896028 /nfs/dbraw/zinc/89/60/28/904896028.db2.gz HRPPXOMKKAFZIT-LLVKDONJSA-N 0 1 277.328 0.089 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1[nH]cnc1C(C)(C)C ZINC001283759939 904997377 /nfs/dbraw/zinc/99/73/77/904997377.db2.gz OLGRQPBHXDDTOC-JTQLQIEISA-N 0 1 278.356 0.021 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1c2c[nH]nc2ccc1C ZINC001283769322 905006357 /nfs/dbraw/zinc/00/63/57/905006357.db2.gz BOAFFKNWHSGHBX-NSHDSACASA-N 0 1 286.335 0.185 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](F)CC1CCCCC1 ZINC001283818959 905036408 /nfs/dbraw/zinc/03/64/08/905036408.db2.gz SEGJEAUFCJAWQA-KBPBESRZSA-N 0 1 284.375 0.995 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)Cc1sc(C)cc1C ZINC001283823717 905041499 /nfs/dbraw/zinc/04/14/99/905041499.db2.gz JFIFOSZSUWVLDF-CYBMUJFWSA-N 0 1 294.420 0.997 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc2nccnc2c1 ZINC001283827613 905044121 /nfs/dbraw/zinc/04/41/21/905044121.db2.gz OHBIZQBNFXQTTM-ZDUSSCGKSA-N 0 1 298.346 0.333 20 30 CCEDMN C=C[C@@H](C(=O)NC[C@H](CO)NCCF)c1ccccc1 ZINC001283832728 905048113 /nfs/dbraw/zinc/04/81/13/905048113.db2.gz XDUZNFKDHLRRPZ-ZIAGYGMSSA-N 0 1 280.343 0.992 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001284277437 905231592 /nfs/dbraw/zinc/23/15/92/905231592.db2.gz SIOYQIMAHFNTNG-SNVBAGLBSA-N 0 1 291.355 0.808 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H](C)OC ZINC001284387524 905266821 /nfs/dbraw/zinc/26/68/21/905266821.db2.gz MDTYNLBLOIPMOH-VHSXEESVSA-N 0 1 264.753 0.183 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)CSC(C)C ZINC001284481271 905314351 /nfs/dbraw/zinc/31/43/51/905314351.db2.gz FXVIGQNHKGEXHJ-CYBMUJFWSA-N 0 1 286.441 0.902 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1ccncc1C ZINC001284493405 905326000 /nfs/dbraw/zinc/32/60/00/905326000.db2.gz SLEBCQDGDDQVHD-AWEZNQCLSA-N 0 1 289.379 0.778 20 30 CCEDMN C=CCN(CCNC(=O)[C@@H]1C[C@@H](C)CO1)CCOC ZINC001284657485 905413350 /nfs/dbraw/zinc/41/33/50/905413350.db2.gz JNSNJELLGWRHSY-OLZOCXBDSA-N 0 1 270.373 0.662 20 30 CCEDMN C#CCC1(O)CCN([C@H]2CCN(C3CC3)C2=O)CC1 ZINC001333084542 905884418 /nfs/dbraw/zinc/88/44/18/905884418.db2.gz LIIWJZQDYKHVMZ-ZDUSSCGKSA-N 0 1 262.353 0.600 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C[C@@H]1CCOC1 ZINC001379187897 906047874 /nfs/dbraw/zinc/04/78/74/906047874.db2.gz LPFDUVWMLWZUIT-WDEREUQCSA-N 0 1 276.764 0.232 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1coc(C2CC2)n1 ZINC001379251189 906090488 /nfs/dbraw/zinc/09/04/88/906090488.db2.gz BLNOJTJCQUGFFG-SNVBAGLBSA-N 0 1 299.758 0.985 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cn[nH]c(=O)c1 ZINC001379386368 906203383 /nfs/dbraw/zinc/20/33/83/906203383.db2.gz UIEHEMJGHMXKBY-MRVPVSSYSA-N 0 1 270.720 0.643 20 30 CCEDMN N#Cc1cccc(CN[C@H](CO)CNC(=O)[C@H]2CC23CC3)c1 ZINC001379473512 906273866 /nfs/dbraw/zinc/27/38/66/906273866.db2.gz JUWBGXKUACCFNO-LSDHHAIUSA-N 0 1 299.374 0.925 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCNC(=O)C#CC(C)C)c1C ZINC001292598847 906294634 /nfs/dbraw/zinc/29/46/34/906294634.db2.gz FSGICUZFVYFAPW-UHFFFAOYSA-N 0 1 276.340 0.532 20 30 CCEDMN COc1nscc1CNC[C@H](C)NC(=O)[C@@H](C)C#N ZINC001379498787 906307468 /nfs/dbraw/zinc/30/74/68/906307468.db2.gz XKHGFLHWHZOWOE-IUCAKERBSA-N 0 1 282.369 0.906 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNC(=O)CCc1cnc[nH]1 ZINC001293399730 906470515 /nfs/dbraw/zinc/47/05/15/906470515.db2.gz CQNHWGPSWZOVIX-JTQLQIEISA-N 0 1 277.328 0.124 20 30 CCEDMN C=C(CO)C(=O)Nc1ccc(OCc2nn[nH]n2)cc1 ZINC001293574746 906495355 /nfs/dbraw/zinc/49/53/55/906495355.db2.gz OJLAVWWPSUSKHV-UHFFFAOYSA-N 0 1 275.268 0.266 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001295131036 906681375 /nfs/dbraw/zinc/68/13/75/906681375.db2.gz DJUJZTFYSXCXPE-DCAQKATOSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001295131036 906681380 /nfs/dbraw/zinc/68/13/80/906681380.db2.gz DJUJZTFYSXCXPE-DCAQKATOSA-N 0 1 291.355 0.784 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001295181543 906691638 /nfs/dbraw/zinc/69/16/38/906691638.db2.gz KNVBMBVECGBCMK-FRRDWIJNSA-N 0 1 295.383 0.047 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001295672506 906785897 /nfs/dbraw/zinc/78/58/97/906785897.db2.gz BMQVSIMAYUVUIE-NSHDSACASA-N 0 1 278.356 0.929 20 30 CCEDMN C[C@]1(C(=O)Nc2cc(C#N)ccc2O)CCNC1=O ZINC001295828101 906807643 /nfs/dbraw/zinc/80/76/43/906807643.db2.gz MAQNFXXUHFOUJM-ZDUSSCGKSA-N 0 1 259.265 0.729 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H](C)NC(C)=O)C[C@@H]2C1 ZINC001380701418 906902945 /nfs/dbraw/zinc/90/29/45/906902945.db2.gz MIIGDSXCBDWMSA-RTXFEEFZSA-N 0 1 299.802 0.654 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)[C@@H](C)OCC)CCO1 ZINC001380905039 906988270 /nfs/dbraw/zinc/98/82/70/906988270.db2.gz RGEIEVLBAUSKDV-NEPJUHHUSA-N 0 1 290.791 0.981 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001297156464 907034889 /nfs/dbraw/zinc/03/48/89/907034889.db2.gz WNOQMMQWUQALKX-UHFFFAOYSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)CNC(=O)C1=NC(=O)N(C)C1 ZINC001297725941 907108391 /nfs/dbraw/zinc/10/83/91/907108391.db2.gz GATAUBJVKSOJOI-SNVBAGLBSA-N 0 1 294.355 0.574 20 30 CCEDMN N#Cc1ncn(CC(=O)Nc2cccc3[nH]nnc32)n1 ZINC001298211568 907183855 /nfs/dbraw/zinc/18/38/55/907183855.db2.gz CSPAMSIBLYWRBC-UHFFFAOYSA-N 0 1 268.240 0.060 20 30 CCEDMN N#CCSCC(=O)NCCCNC(=O)c1[nH]ncc1F ZINC001491601709 907438306 /nfs/dbraw/zinc/43/83/06/907438306.db2.gz VJVYQCSBANEFOL-UHFFFAOYSA-N 0 1 299.331 0.042 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@]1(C)CCC(=O)N1 ZINC001382129650 907567818 /nfs/dbraw/zinc/56/78/18/907567818.db2.gz VSKDPPVANAQDDR-GWCFXTLKSA-N 0 1 287.791 0.844 20 30 CCEDMN CC#CCCCC(=O)NCC[C@H](C)NCc1ncnn1C ZINC001492071136 907689574 /nfs/dbraw/zinc/68/95/74/907689574.db2.gz GFAFRKIOGGVWAJ-ZDUSSCGKSA-N 0 1 291.399 0.993 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cc(C)ncn1 ZINC001382382262 907712960 /nfs/dbraw/zinc/71/29/60/907712960.db2.gz FLRVTFOAYRJRPP-LLVKDONJSA-N 0 1 298.774 0.560 20 30 CCEDMN N#CCN1CCC[C@H]1CCCNC(=O)Cc1cnc[nH]1 ZINC001492171534 907727872 /nfs/dbraw/zinc/72/78/72/907727872.db2.gz OYRYZGVXORVSRM-CYBMUJFWSA-N 0 1 275.356 0.837 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001382421885 907731943 /nfs/dbraw/zinc/73/19/43/907731943.db2.gz UUOOFUXPUJLVIH-WYUUTHIRSA-N 0 1 288.775 0.040 20 30 CCEDMN C[C@H](C#N)C(=O)NCc1ccc(CNCC(N)=O)cc1F ZINC001382600352 907799775 /nfs/dbraw/zinc/79/97/75/907799775.db2.gz UXSAMGPALGSAIC-SECBINFHSA-N 0 1 292.314 0.176 20 30 CCEDMN C#CCN(C)CCNC(=O)c1c(F)cncc1F ZINC001492261461 907815721 /nfs/dbraw/zinc/81/57/21/907815721.db2.gz DNOHUZKITMWFDE-UHFFFAOYSA-N 0 1 253.252 0.655 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cn2c(n1)CCCC2 ZINC001492275130 907818323 /nfs/dbraw/zinc/81/83/23/907818323.db2.gz CWBILSHQPMEEEZ-UHFFFAOYSA-N 0 1 274.368 0.904 20 30 CCEDMN CC[C@@H]1CCO[C@@H]1C(=O)NCCN(C)CC#CCOC ZINC001492285898 907836253 /nfs/dbraw/zinc/83/62/53/907836253.db2.gz APQLFEZAWOJFFZ-KGLIPLIRSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CC[N@@H+](C)CCNC(=O)c1[nH]ncc1Br ZINC001492458590 907928461 /nfs/dbraw/zinc/92/84/61/907928461.db2.gz HJVYXULIEXZOSI-UHFFFAOYSA-N 0 1 285.145 0.467 20 30 CCEDMN C#CCN(C)CCNC(=O)c1[nH]ncc1Br ZINC001492458590 907928466 /nfs/dbraw/zinc/92/84/66/907928466.db2.gz HJVYXULIEXZOSI-UHFFFAOYSA-N 0 1 285.145 0.467 20 30 CCEDMN O=C(C#Cc1cccs1)NC1(c2nn[nH]n2)CCC1 ZINC001303221964 908068319 /nfs/dbraw/zinc/06/83/19/908068319.db2.gz OCHHRKIRSHRLRO-UHFFFAOYSA-N 0 1 273.321 0.808 20 30 CCEDMN C[C@H](CCNC(=O)[C@@H](C)C#N)NCc1ncccn1 ZINC001317386346 908192504 /nfs/dbraw/zinc/19/25/04/908192504.db2.gz DSNDCJYQANAFEO-WDEREUQCSA-N 0 1 261.329 0.621 20 30 CCEDMN CC#CCCCC(=O)N1CCC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001317380028 908193414 /nfs/dbraw/zinc/19/34/14/908193414.db2.gz XHVFBWDYNOGPMJ-KGLIPLIRSA-N 0 1 293.411 0.977 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1C[C@H](O)C[C@H]1c1n[nH]c(CC)n1 ZINC001305722241 908212207 /nfs/dbraw/zinc/21/22/07/908212207.db2.gz FJNAENLCYKRFTK-ISTVAULSSA-N 0 1 294.355 0.329 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@H]1CNC(=O)[C@H](O)C(C)C ZINC001317499374 908541999 /nfs/dbraw/zinc/54/19/99/908541999.db2.gz MXOURROKMRTWSY-UONOGXRCSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2cncs2)C1 ZINC001316744054 908564821 /nfs/dbraw/zinc/56/48/21/908564821.db2.gz MBULZDHVNOFUKE-LBPRGKRZSA-N 0 1 293.392 0.675 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCCN1CCCCC1=O ZINC001311911228 908574669 /nfs/dbraw/zinc/57/46/69/908574669.db2.gz IEJIHMMBQGJTHF-UHFFFAOYSA-N 0 1 294.399 0.253 20 30 CCEDMN CO[C@@H]([C@@H](C)NC(=O)NCC#CCN(C)C)C1CC1 ZINC001312696181 908618927 /nfs/dbraw/zinc/61/89/27/908618927.db2.gz BPOLIAMTSVESAG-YPMHNXCESA-N 0 1 267.373 0.664 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC#CCN(C)C)C[C@H](C2CC2)O1 ZINC001313439576 908665313 /nfs/dbraw/zinc/66/53/13/908665313.db2.gz NLJVXXKSTYCXDM-TZMCWYRMSA-N 0 1 279.384 0.760 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)Cn1cncn1 ZINC001316806160 908778098 /nfs/dbraw/zinc/77/80/98/908778098.db2.gz KLBBYGJJXVBWKR-ZDUSSCGKSA-N 0 1 275.356 0.224 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCNC1=O ZINC001316805283 908779445 /nfs/dbraw/zinc/77/94/45/908779445.db2.gz UMAPERSCMQGOFE-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCNC1=O ZINC001316805283 908779453 /nfs/dbraw/zinc/77/94/53/908779453.db2.gz UMAPERSCMQGOFE-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)/C(C)=C\C ZINC001316820003 908786574 /nfs/dbraw/zinc/78/65/74/908786574.db2.gz ZEOPJZMBZRJTON-SDQBBNPISA-N 0 1 267.373 0.693 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN(C)Cc1cncn1C ZINC001316824304 908792139 /nfs/dbraw/zinc/79/21/39/908792139.db2.gz WNCOGMVZOPJAST-CYBMUJFWSA-N 0 1 292.383 0.397 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1C[C@@H](NCc2ocnc2C)C1 ZINC001317109026 908984512 /nfs/dbraw/zinc/98/45/12/908984512.db2.gz FKGXPNSXTNGPAS-UPJWGTAASA-N 0 1 291.351 0.758 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCCN([C@@H](CC)C(N)=O)C1 ZINC001317132109 909006575 /nfs/dbraw/zinc/00/65/75/909006575.db2.gz VWBROTPKDFKMKD-KGLIPLIRSA-N 0 1 293.411 0.882 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@H]1CCN(C)C1=O ZINC001317174132 909027484 /nfs/dbraw/zinc/02/74/84/909027484.db2.gz SBGDRKHXXKSHSW-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)Cc1ncc[nH]1 ZINC001317175579 909028076 /nfs/dbraw/zinc/02/80/76/909028076.db2.gz DKTAQXIETCTZTM-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)Cn1cncn1 ZINC001317181559 909034457 /nfs/dbraw/zinc/03/44/57/909034457.db2.gz SNMFHGRQDWCSED-CYBMUJFWSA-N 0 1 275.356 0.272 20 30 CCEDMN C[C@H](NC(=O)CCc1c[nH]nn1)[C@H]1CCCN(CC#N)C1 ZINC001317210132 909046750 /nfs/dbraw/zinc/04/67/50/909046750.db2.gz SEJMKRURCYJXRV-RYUDHWBXSA-N 0 1 290.371 0.478 20 30 CCEDMN C[C@H](NC(=O)CCc1cnn[nH]1)[C@H]1CCCN(CC#N)C1 ZINC001317210132 909046769 /nfs/dbraw/zinc/04/67/69/909046769.db2.gz SEJMKRURCYJXRV-RYUDHWBXSA-N 0 1 290.371 0.478 20 30 CCEDMN Cc1cnc(CN[C@H](C)CNC(=O)[C@H](C)C#N)cn1 ZINC001317227587 909061086 /nfs/dbraw/zinc/06/10/86/909061086.db2.gz AUWMPAINUIIZKP-MWLCHTKSSA-N 0 1 261.329 0.539 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](C)CC(N)=O ZINC001317253223 909095906 /nfs/dbraw/zinc/09/59/06/909095906.db2.gz CLRZHBVZGJLKGK-CBAPKCEASA-N 0 1 261.753 0.345 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnc2n1CCCC2 ZINC001317456985 909233196 /nfs/dbraw/zinc/23/31/96/909233196.db2.gz KBGLUYLCTMMDDQ-UHFFFAOYSA-N 0 1 274.368 0.904 20 30 CCEDMN CC#CCN(C)CCNC(=O)Cc1cnn(CC)c1 ZINC001317465081 909241920 /nfs/dbraw/zinc/24/19/20/909241920.db2.gz HRDXBUPFVCYNQQ-UHFFFAOYSA-N 0 1 262.357 0.517 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCN(CC)[C@@H]1CCNC1=O ZINC001317485815 909255688 /nfs/dbraw/zinc/25/56/88/909255688.db2.gz DRMHBJZXXJBNEX-IUODEOHRSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001317482350 909257045 /nfs/dbraw/zinc/25/70/45/909257045.db2.gz UPMHNKNRDKDAEH-KGLIPLIRSA-N 0 1 264.369 0.873 20 30 CCEDMN CCc1cc(C(=O)N2CC(NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001387802308 909269097 /nfs/dbraw/zinc/26/90/97/909269097.db2.gz VWMUTEBWIJEEKO-QMMMGPOBSA-N 0 1 275.312 0.072 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)N[C@H]1CCC[N@H+](C)C1 ZINC001417639680 921485802 /nfs/dbraw/zinc/48/58/02/921485802.db2.gz KJGCMFBIIKVAEM-NSHDSACASA-N 0 1 274.324 0.791 20 30 CCEDMN C#CCN(CCNC(=O)[C@@]1(F)CCOC1)C1CC1 ZINC001317555699 909330358 /nfs/dbraw/zinc/33/03/58/909330358.db2.gz VDOMQGMJUGIQDJ-CYBMUJFWSA-N 0 1 254.305 0.329 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H](OC)[C@H]1CCOC1)C1CC1 ZINC001317553953 909324341 /nfs/dbraw/zinc/32/43/41/909324341.db2.gz FKOBTBHNTRTBEM-JSGCOSHPSA-N 0 1 280.368 0.252 20 30 CCEDMN C=CCn1cc(C(=O)NCCN(CC#CC)C2CC2)nn1 ZINC001317557826 909329704 /nfs/dbraw/zinc/32/97/04/909329704.db2.gz AIWDOZSKZBGQPV-UHFFFAOYSA-N 0 1 287.367 0.682 20 30 CCEDMN C#C[C@H](NC[C@@H](O)C[C@@]1(O)CCOC1)c1ccccc1 ZINC001338506110 921491132 /nfs/dbraw/zinc/49/11/32/921491132.db2.gz VKCZFZGQZMDHCO-JYJNAYRXSA-N 0 1 275.348 0.853 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CCNC(=O)CCC=C)C1 ZINC001317777915 909489165 /nfs/dbraw/zinc/48/91/65/909489165.db2.gz CTVVGNJTJQNKKC-AWEZNQCLSA-N 0 1 291.395 0.530 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)C2CCC2)C1 ZINC001317823850 909516838 /nfs/dbraw/zinc/51/68/38/909516838.db2.gz DBHKCWIBGKOJRR-ZDUSSCGKSA-N 0 1 291.395 0.316 20 30 CCEDMN Cc1nc(CN2CC(CNC(=O)C#CC(C)C)C2)n[nH]1 ZINC001318119175 909630909 /nfs/dbraw/zinc/63/09/09/909630909.db2.gz OLNMJKYQUIZHOC-UHFFFAOYSA-N 0 1 275.356 0.321 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CCc2nccn2C)C1 ZINC001318138445 909641248 /nfs/dbraw/zinc/64/12/48/909641248.db2.gz HNQHYNUZCKWXAL-UHFFFAOYSA-N 0 1 276.384 0.977 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@@H]2CCOC[C@H]2C)C1 ZINC001318150432 909644568 /nfs/dbraw/zinc/64/45/68/909644568.db2.gz OQMLLDYBIHUHII-UKRRQHHQSA-N 0 1 296.411 0.910 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cnn(C)c2)C1 ZINC001318311925 909700549 /nfs/dbraw/zinc/70/05/49/909700549.db2.gz HAOXTDCHGBYAFE-CYBMUJFWSA-N 0 1 278.356 0.427 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)COC(C)C)C1 ZINC001318321669 909705694 /nfs/dbraw/zinc/70/56/94/909705694.db2.gz QLOMQWHEGAOBKC-CYBMUJFWSA-N 0 1 270.373 0.805 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C[C@H]2COC(=O)C2)C1 ZINC001318464794 909770985 /nfs/dbraw/zinc/77/09/85/909770985.db2.gz DBSKCXORQVRVDY-ZIAGYGMSSA-N 0 1 292.379 0.886 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CN(C(=O)c2[nH]nc3ccccc32)C1 ZINC001389408448 909879159 /nfs/dbraw/zinc/87/91/59/909879159.db2.gz SQOCILUGNRIZSV-SECBINFHSA-N 0 1 297.318 0.663 20 30 CCEDMN CC(C)N(C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001319531494 910192099 /nfs/dbraw/zinc/19/20/99/910192099.db2.gz PUCQIHHHKDKRPX-VAWYXSNFSA-N 0 1 280.328 0.563 20 30 CCEDMN CC[C@@H](CNC(=O)c1[nH]nc(C)c1C)NC(=O)[C@H](C)C#N ZINC001390339530 910363053 /nfs/dbraw/zinc/36/30/53/910363053.db2.gz YIZIEBAFLFPFLE-KCJUWKMLSA-N 0 1 291.355 0.811 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C[C@@H]1CCOC1 ZINC001319986066 910394251 /nfs/dbraw/zinc/39/42/51/910394251.db2.gz MAZZURFOXVAFFA-ZDUSSCGKSA-N 0 1 252.358 0.827 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001320029454 910421182 /nfs/dbraw/zinc/42/11/82/910421182.db2.gz ZTRQPQVDDXETBP-ZDUSSCGKSA-N 0 1 295.427 0.951 20 30 CCEDMN Cc1onc(CC(=O)NC2(C#N)CCN(C)CC2)c1C#N ZINC001320180439 910496903 /nfs/dbraw/zinc/49/69/03/910496903.db2.gz LNIIJMFEADRRES-UHFFFAOYSA-N 0 1 287.323 0.501 20 30 CCEDMN Cc1nocc1CNC[C@@H](O)CNC(=O)C#CC(C)(C)C ZINC001320216404 910528202 /nfs/dbraw/zinc/52/82/02/910528202.db2.gz KGSANSPGNXACKM-CYBMUJFWSA-N 0 1 293.367 0.599 20 30 CCEDMN Cc1ccc(C=Nn2c(=O)c(C)n[nH]c2=S)cn1 ZINC001320242333 910552943 /nfs/dbraw/zinc/55/29/43/910552943.db2.gz ONZFCJSSVBANKV-UHFFFAOYSA-N 0 1 261.310 0.821 20 30 CCEDMN CCn1ncc(CN[C@H]2C[C@@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001417761307 921598876 /nfs/dbraw/zinc/59/88/76/921598876.db2.gz KNYYPGYEKKVIPP-UTUOFQBUSA-N 0 1 290.371 0.442 20 30 CCEDMN C=CCO[C@H]1CCN([C@@H](C)C(=O)NC(=O)NC2CC2)C1 ZINC001320898752 910924713 /nfs/dbraw/zinc/92/47/13/910924713.db2.gz MZPLYIIWJUKDEE-JQWIXIFHSA-N 0 1 281.356 0.640 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)C[C@@H]1C=CCC1 ZINC001320966287 910963419 /nfs/dbraw/zinc/96/34/19/910963419.db2.gz PCYVYTNZIBNTNK-VBROQKIQSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CCN1CCC(C(=O)OCCC2CS(=O)(=O)C2)CC1 ZINC001320990907 910991072 /nfs/dbraw/zinc/99/10/72/910991072.db2.gz OUHMQWOZFOIDEB-UHFFFAOYSA-N 0 1 299.392 0.310 20 30 CCEDMN Cc1cc(CNC/C=C/CNC(=O)[C@@H](C)C#N)ncn1 ZINC001321000520 911004664 /nfs/dbraw/zinc/00/46/64/911004664.db2.gz ZHEGQPKODMZGOW-UFFNRZRYSA-N 0 1 273.340 0.707 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)[C@@H]1CC1(C)C ZINC001321031577 911030068 /nfs/dbraw/zinc/03/00/68/911030068.db2.gz NFVILUCMUXIVRV-FJOGCWAESA-N 0 1 279.384 0.597 20 30 CCEDMN CO[C@@H](C)CN1CCN(CCN(C)C(=O)[C@H](C)C#N)CC1 ZINC001391336506 911049283 /nfs/dbraw/zinc/04/92/83/911049283.db2.gz KBMOUEPXAQBFQV-KGLIPLIRSA-N 0 1 296.415 0.257 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001339042295 921632538 /nfs/dbraw/zinc/63/25/38/921632538.db2.gz ARXGXVSOEMTIBP-SSDOTTSWSA-N 0 1 282.262 0.761 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C\CNCC(=O)N(C)C ZINC001321187179 911146826 /nfs/dbraw/zinc/14/68/26/911146826.db2.gz RZOZEDURPWUHEE-FPLPWBNLSA-N 0 1 267.373 0.549 20 30 CCEDMN CCN(C(=O)[C@H](C)C#N)[C@@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001391638991 911283831 /nfs/dbraw/zinc/28/38/31/911283831.db2.gz QNIDJBLOIPATOU-ZYHUDNBSSA-N 0 1 290.371 0.696 20 30 CCEDMN C[C@H](CNCc1ncccn1)CNC(=O)c1ccc(C#N)[nH]1 ZINC001391894595 911456463 /nfs/dbraw/zinc/45/64/63/911456463.db2.gz LMVMSVDGZKTFNZ-LLVKDONJSA-N 0 1 298.350 0.832 20 30 CCEDMN CN(CCCNCc1cnn(C)n1)C(=O)C#CC(C)(C)C ZINC001322502990 911836020 /nfs/dbraw/zinc/83/60/20/911836020.db2.gz SUSBMNILZRFYOA-UHFFFAOYSA-N 0 1 291.399 0.803 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N[C@@H](CNCC#N)C1CC1 ZINC001323112001 912123590 /nfs/dbraw/zinc/12/35/90/912123590.db2.gz FFGMEHQJBYDFBG-KBPBESRZSA-N 0 1 278.400 0.869 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H]1CCN1Cc1n[nH]c(C)n1 ZINC001323231614 912205454 /nfs/dbraw/zinc/20/54/54/912205454.db2.gz LJCUEJCTOBLUIQ-BXUZGUMPSA-N 0 1 293.371 0.131 20 30 CCEDMN CO[C@H](C(=O)NC1(C#N)CCN(C)CC1)[C@@H]1CCOC1 ZINC001328222823 915032116 /nfs/dbraw/zinc/03/21/16/915032116.db2.gz SSXDYGIOQXVMHN-NEPJUHHUSA-N 0 1 281.356 0.142 20 30 CCEDMN C#Cc1ccc(C(=O)NCC[C@H](C)NCc2cnon2)nc1 ZINC001328440482 915197615 /nfs/dbraw/zinc/19/76/15/915197615.db2.gz GKRHBXIONKNEHX-NSHDSACASA-N 0 1 299.334 0.744 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H](O)C(C)C ZINC001328718558 915382687 /nfs/dbraw/zinc/38/26/87/915382687.db2.gz AMQORWNZRMSVDP-ZIAGYGMSSA-N 0 1 266.385 0.949 20 30 CCEDMN C=CCCCN1CCN([C@@]2(C(=O)OC)CCOC2)CC1 ZINC001328820102 915451624 /nfs/dbraw/zinc/45/16/24/915451624.db2.gz MAGSPVJEJAIVAZ-HNNXBMFYSA-N 0 1 282.384 0.902 20 30 CCEDMN C#CCN(C)C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001329038708 915612226 /nfs/dbraw/zinc/61/22/26/915612226.db2.gz JTBITSGOVFDINU-SECBINFHSA-N 0 1 263.301 0.431 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCN(Cc2n[nH]c(C3CC3)n2)C1 ZINC001400646439 915844247 /nfs/dbraw/zinc/84/42/47/915844247.db2.gz AVMJHDVMYPNRDX-GXSJLCMTSA-N 0 1 288.355 0.532 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc(C)nn1C ZINC001329391409 915865350 /nfs/dbraw/zinc/86/53/50/915865350.db2.gz DJLHISLPYQHAKT-LBPRGKRZSA-N 0 1 262.357 0.802 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCc2ncncc2C1 ZINC001329462628 915936995 /nfs/dbraw/zinc/93/69/95/915936995.db2.gz ZLGUGLGKJGHYSW-QWHCGFSZSA-N 0 1 286.379 0.651 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@]1(CC)CCNC1=O ZINC001329471871 915944212 /nfs/dbraw/zinc/94/42/12/915944212.db2.gz NDYYBDHCHZQOTI-IUODEOHRSA-N 0 1 279.384 0.363 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@]1(CC)CCNC1=O ZINC001329471869 915944372 /nfs/dbraw/zinc/94/43/72/915944372.db2.gz NDYYBDHCHZQOTI-DOMZBBRYSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnn(C)c1-n1cccc1 ZINC001329500302 915970693 /nfs/dbraw/zinc/97/06/93/915970693.db2.gz GYUHAWMKMAJJGK-CYBMUJFWSA-N 0 1 299.378 0.894 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@](C)(NC(=O)CN2CCCC2)C1 ZINC001400892991 915978962 /nfs/dbraw/zinc/97/89/62/915978962.db2.gz UXAWEUHZCWEHAS-WFASDCNBSA-N 0 1 292.383 0.349 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cn(CCC=C)nn1 ZINC001329575717 916024742 /nfs/dbraw/zinc/02/47/42/916024742.db2.gz VXKKPISUAPHUMH-LBPRGKRZSA-N 0 1 275.356 0.538 20 30 CCEDMN C[C@H](CNCc1cnon1)CNC(=O)c1cc(C#N)c[nH]1 ZINC001401105322 916048889 /nfs/dbraw/zinc/04/88/89/916048889.db2.gz GWLDIBVNMRTBIW-SECBINFHSA-N 0 1 288.311 0.425 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)Cc1c(C)noc1C ZINC001329614102 916065922 /nfs/dbraw/zinc/06/59/22/916065922.db2.gz RDSVHMHKNLZSJF-JTQLQIEISA-N 0 1 263.341 0.904 20 30 CCEDMN C=C(C)CCN1CCN([C@]2(C(=O)OC)CCOC2)CC1 ZINC001329844165 916218621 /nfs/dbraw/zinc/21/86/21/916218621.db2.gz DKSSHCQYGBUTRH-OAHLLOKOSA-N 0 1 282.384 0.902 20 30 CCEDMN C#CC[N@@H+](CC1CC1)C[C@@](O)(C(N)=O)c1ccccc1 ZINC001329878204 916246328 /nfs/dbraw/zinc/24/63/28/916246328.db2.gz ZSVKFERPTLGLFZ-INIZCTEOSA-N 0 1 272.348 0.705 20 30 CCEDMN CO[C@@H](C)C(=O)NC[C@@H](O)CNCc1ccccc1C#N ZINC001401484171 916270883 /nfs/dbraw/zinc/27/08/83/916270883.db2.gz FJNORAWJUNOVDO-FZMZJTMJSA-N 0 1 291.351 0.160 20 30 CCEDMN C=CCCCN1CCO[C@]2(CCN(C(=O)COC)C2)C1 ZINC001330147983 916436742 /nfs/dbraw/zinc/43/67/42/916436742.db2.gz NDYUQYABMXIVCJ-OAHLLOKOSA-N 0 1 282.384 0.902 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(=O)n(C)n1 ZINC001401662773 916440971 /nfs/dbraw/zinc/44/09/71/916440971.db2.gz XFZDYGRDESKHGF-SECBINFHSA-N 0 1 284.747 0.241 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)[C@H]2CCC(=O)N2)CC1 ZINC001401973219 916735520 /nfs/dbraw/zinc/73/55/20/916735520.db2.gz YSLHDRMRMRUZLY-GHMZBOCLSA-N 0 1 299.802 0.892 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCCN(CCc2ccnn2C)C1 ZINC001402085269 916799251 /nfs/dbraw/zinc/79/92/51/916799251.db2.gz VWBUUZKBDOSWCA-STQMWFEESA-N 0 1 289.383 0.703 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)NCc1n[nH]c(COC)n1 ZINC001330724103 916817872 /nfs/dbraw/zinc/81/78/72/916817872.db2.gz GRGYYSNXUYDVDC-VIFPVBQESA-N 0 1 283.332 0.077 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)NCc1nnc(COC)[nH]1 ZINC001330724103 916817885 /nfs/dbraw/zinc/81/78/85/916817885.db2.gz GRGYYSNXUYDVDC-VIFPVBQESA-N 0 1 283.332 0.077 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CC(=O)N(C)C1)C1CC1 ZINC001402306687 916944588 /nfs/dbraw/zinc/94/45/88/916944588.db2.gz ZADCQZMDAYEVQC-VXGBXAGGSA-N 0 1 299.802 0.702 20 30 CCEDMN C=C(Br)CNC[C@H]1CN(C(C)=O)CCO1 ZINC001402585837 917107874 /nfs/dbraw/zinc/10/78/74/917107874.db2.gz DALPWJQBKIZCMX-JTQLQIEISA-N 0 1 277.162 0.732 20 30 CCEDMN COCC1(C(=O)N[C@H]2CNC[C@H]2C#N)CCCCC1 ZINC001331343233 917274549 /nfs/dbraw/zinc/27/45/49/917274549.db2.gz ZMQXLBZAUKNODT-NEPJUHHUSA-N 0 1 265.357 0.811 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CC(CNCc2ncccn2)C1 ZINC001402916459 917344180 /nfs/dbraw/zinc/34/41/80/917344180.db2.gz ILBNQTDMFSIUKR-VOMCLLRMSA-N 0 1 273.340 0.621 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CS(C)(=O)=O ZINC001402958772 917354417 /nfs/dbraw/zinc/35/44/17/917354417.db2.gz JVPHKJSVPRKIQE-DTWKUNHWSA-N 0 1 282.793 0.266 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C[C@H]1Cc2ccccc2O1 ZINC001331724559 917547451 /nfs/dbraw/zinc/54/74/51/917547451.db2.gz JRHJWDZFJSBFQJ-UONOGXRCSA-N 0 1 288.347 0.080 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1c[nH]nc1CCC ZINC001331740197 917565229 /nfs/dbraw/zinc/56/52/29/917565229.db2.gz PBLFJBGBIGKSBX-LLVKDONJSA-N 0 1 278.356 0.066 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C(C)(C)C1CCC1 ZINC001331758973 917579904 /nfs/dbraw/zinc/57/99/04/917579904.db2.gz RTSCUSVGPBGMIK-ZDUSSCGKSA-N 0 1 266.385 0.903 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc(C)c1C ZINC001331818511 917636405 /nfs/dbraw/zinc/63/64/05/917636405.db2.gz HIDMCUCYYWMVOZ-CYBMUJFWSA-N 0 1 260.337 0.617 20 30 CCEDMN C=CC[C@H](NC(=O)NCc1c[nH+]c(N(C)C)n1C)C(=O)[O-] ZINC001332132497 917888722 /nfs/dbraw/zinc/88/87/22/917888722.db2.gz REFXERZYQXLHBM-JTQLQIEISA-N 0 1 295.343 0.315 20 30 CCEDMN CC(C)C(=O)NC[C@@H](CO)NCc1ccc(C#N)cc1 ZINC001403672112 917913648 /nfs/dbraw/zinc/91/36/48/917913648.db2.gz YUZAUSWNSFJVAX-AWEZNQCLSA-N 0 1 275.352 0.781 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCNC(N)=O)[C@H]1C ZINC001332217799 917964448 /nfs/dbraw/zinc/96/44/48/917964448.db2.gz IVEUGMIKVQWUJV-NEPJUHHUSA-N 0 1 280.372 0.037 20 30 CCEDMN CN1CCC(C#N)(NC(=O)COCc2ccccn2)CC1 ZINC001332382249 918111132 /nfs/dbraw/zinc/11/11/32/918111132.db2.gz KXJYKPCVRCNCAF-UHFFFAOYSA-N 0 1 288.351 0.702 20 30 CCEDMN C=CC[C@H](NC(=O)C1CN([C@@H]2CCOC2)C1)C(=O)OCC ZINC001332774213 918433228 /nfs/dbraw/zinc/43/32/28/918433228.db2.gz GLPMTNCRKNORPP-OLZOCXBDSA-N 0 1 296.367 0.331 20 30 CCEDMN C#CCNC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001332918828 918531729 /nfs/dbraw/zinc/53/17/29/918531729.db2.gz LFNZOZARANNDNH-UHFFFAOYSA-N 0 1 263.301 0.131 20 30 CCEDMN CC[C@H](CC#N)NC[C@@H](O)CC1(O)CCOCC1 ZINC001333039958 918614368 /nfs/dbraw/zinc/61/43/68/918614368.db2.gz YYHUTKNLUNKHJV-NEPJUHHUSA-N 0 1 256.346 0.561 20 30 CCEDMN C#CCCN1CCN(Cc2cnn(CCF)c2)CC1 ZINC001333159155 918696596 /nfs/dbraw/zinc/69/65/96/918696596.db2.gz YHKAMYXSTVJCOY-UHFFFAOYSA-N 0 1 264.348 0.994 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1CCC(=O)N1 ZINC001405365583 918742188 /nfs/dbraw/zinc/74/21/88/918742188.db2.gz ZALXTBDFHYSDNI-ZJUUUORDSA-N 0 1 273.764 0.502 20 30 CCEDMN C#CCCCC(=O)NCCN(CC)[C@H]1CCC(=O)NC1=O ZINC001492941315 918771161 /nfs/dbraw/zinc/77/11/61/918771161.db2.gz HKEAXXVBQPMQFJ-LBPRGKRZSA-N 0 1 293.367 0.033 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1c[nH]c(C(N)=O)c1 ZINC001405882643 918956408 /nfs/dbraw/zinc/95/64/08/918956408.db2.gz FMDVMCUWZIWCEB-SECBINFHSA-N 0 1 298.774 0.916 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccnc2n[nH]nc21 ZINC001406385234 919228050 /nfs/dbraw/zinc/22/80/50/919228050.db2.gz QCLGWPOCFBINKV-MRVPVSSYSA-N 0 1 294.746 0.813 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC(N3CCN(C)CC3)C2)cn1 ZINC001334141756 919389526 /nfs/dbraw/zinc/38/95/26/919389526.db2.gz XEFZNUAEEGDZKX-UHFFFAOYSA-N 0 1 284.363 0.135 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001406615683 919396890 /nfs/dbraw/zinc/39/68/90/919396890.db2.gz XOKMIHSUYLLHHI-FVCCEPFGSA-N 0 1 292.383 0.251 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)N[C@H]1CCN(CCOC)C1 ZINC001334199209 919417288 /nfs/dbraw/zinc/41/72/88/919417288.db2.gz WBAKOSFJVVOGLZ-UONOGXRCSA-N 0 1 279.384 0.904 20 30 CCEDMN C#CC[C@H](NC(=O)C[N@@H+](C)Cc1ccccc1)C(=O)[O-] ZINC001334201814 919421534 /nfs/dbraw/zinc/42/15/34/919421534.db2.gz JSSZSDSJTLVKKV-ZDUSSCGKSA-N 0 1 274.320 0.711 20 30 CCEDMN C#CC[C@H](NC(=O)C[N@H+](C)Cc1ccccc1)C(=O)[O-] ZINC001334201814 919421548 /nfs/dbraw/zinc/42/15/48/919421548.db2.gz JSSZSDSJTLVKKV-ZDUSSCGKSA-N 0 1 274.320 0.711 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CC[NH+]1CCN(CC)CC1)C(=O)[O-] ZINC001334206302 919423821 /nfs/dbraw/zinc/42/38/21/919423821.db2.gz UPXKWMKNIUMXKP-CYBMUJFWSA-N 0 1 297.399 0.550 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@]1(C(=O)[O-])CCCOC1 ZINC001334206522 919425102 /nfs/dbraw/zinc/42/51/02/919425102.db2.gz WDCQBKDVJDNVMX-WFASDCNBSA-N 0 1 294.351 0.082 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@]1(C(=O)[O-])CCCOC1 ZINC001334206522 919425121 /nfs/dbraw/zinc/42/51/21/919425121.db2.gz WDCQBKDVJDNVMX-WFASDCNBSA-N 0 1 294.351 0.082 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnc(N(C)C)cn1 ZINC001492954971 919463647 /nfs/dbraw/zinc/46/36/47/919463647.db2.gz UQOLSYPCKUIKEM-UHFFFAOYSA-N 0 1 275.356 0.228 20 30 CCEDMN C=CCn1nnnc1N1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC001334447244 919590911 /nfs/dbraw/zinc/59/09/11/919590911.db2.gz FGXMHBXCEXTKNK-JTQLQIEISA-N 0 1 274.332 0.670 20 30 CCEDMN C=CCn1nnnc1N1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC001334447244 919590918 /nfs/dbraw/zinc/59/09/18/919590918.db2.gz FGXMHBXCEXTKNK-JTQLQIEISA-N 0 1 274.332 0.670 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2nonc2c1 ZINC001335075113 919938549 /nfs/dbraw/zinc/93/85/49/919938549.db2.gz DJHDCFRCDOGNKO-UHFFFAOYSA-N 0 1 258.281 0.518 20 30 CCEDMN C=C(Cl)CN[C@]1(CO)CCCN(C(=O)[C@H](C)OC)C1 ZINC001408003286 919984780 /nfs/dbraw/zinc/98/47/80/919984780.db2.gz BYPOMPLXSIWBQT-WCQYABFASA-N 0 1 290.791 0.717 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001408376142 920171586 /nfs/dbraw/zinc/17/15/86/920171586.db2.gz ZPNRAIWKECQHPW-GXSJLCMTSA-N 0 1 289.339 0.456 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CNC(=O)CN2CCCC2)C1 ZINC001408409249 920178510 /nfs/dbraw/zinc/17/85/10/920178510.db2.gz WTFWRHWRSDEDBR-OLZOCXBDSA-N 0 1 292.383 0.207 20 30 CCEDMN N#CC1(CNC[C@@H](O)C[C@@]2(O)CCOC2)CCCC1 ZINC001335448161 920199117 /nfs/dbraw/zinc/19/91/17/920199117.db2.gz MOLQKMZJUFKXRL-JSGCOSHPSA-N 0 1 268.357 0.562 20 30 CCEDMN Cn1nnc2c1CC[C@@H](NC[C@H](C#N)CCC#N)C2 ZINC001335501006 920239003 /nfs/dbraw/zinc/23/90/03/920239003.db2.gz QHLOYMRCWKRRCO-WDEREUQCSA-N 0 1 258.329 0.706 20 30 CCEDMN C#C[C@H](NC[C@H](O)COCCOC)c1ccccc1 ZINC001335986694 920544407 /nfs/dbraw/zinc/54/44/07/920544407.db2.gz OVDUVUPVEKLTQU-GJZGRUSLSA-N 0 1 263.337 0.974 20 30 CCEDMN COCCOCCN1CCN([C@H]2CC[C@@H](C#N)C2)CC1 ZINC001336774394 920997786 /nfs/dbraw/zinc/99/77/86/920997786.db2.gz PIDNDFDRRTUPNG-CABCVRRESA-N 0 1 281.400 0.959 20 30 CCEDMN C#CCCNCCS(=O)(=O)c1ccc([N+](=O)[O-])cc1 ZINC001336779008 921002820 /nfs/dbraw/zinc/00/28/20/921002820.db2.gz OJOVUUNSKHLCSV-UHFFFAOYSA-N 0 1 282.321 0.981 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCC(NCc2cnn(C)n2)CC1 ZINC001418183345 921879365 /nfs/dbraw/zinc/87/93/65/921879365.db2.gz KQWZPDSKRJTAEI-UNXYVOJBSA-N 0 1 290.371 0.492 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@H]1c1ccccc1 ZINC001339973637 921900300 /nfs/dbraw/zinc/90/03/00/921900300.db2.gz NMSAHEYHHLWDRG-AWEZNQCLSA-N 0 1 258.321 0.809 20 30 CCEDMN Cc1cnc(CN[C@@H](C)CNC(=O)c2c[nH]c(C#N)c2)cn1 ZINC001418208408 921911527 /nfs/dbraw/zinc/91/15/27/921911527.db2.gz ISOHAMDRVKMKOG-NSHDSACASA-N 0 1 298.350 0.893 20 30 CCEDMN C#CCNCC(=O)NCc1ccccc1Cn1ccnc1 ZINC001340150154 921982018 /nfs/dbraw/zinc/98/20/18/921982018.db2.gz HFUOBJYDSZGXCT-UHFFFAOYSA-N 0 1 282.347 0.770 20 30 CCEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCNC(=O)C1 ZINC001340374157 922071956 /nfs/dbraw/zinc/07/19/56/922071956.db2.gz BLATVOVPEDGHTK-UHFFFAOYSA-N 0 1 287.327 0.099 20 30 CCEDMN C#CCNCC(=O)N1CCC(CN2CCCCC2=O)CC1 ZINC001340716771 922181112 /nfs/dbraw/zinc/18/11/12/922181112.db2.gz JOOMFTQBAZRZAT-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN N#C[C@@]1(C(=O)N2CCC(c3nn[nH]n3)CC2)CCCOC1 ZINC001418996535 922555431 /nfs/dbraw/zinc/55/54/31/922555431.db2.gz CDQGXBWKWIIIPN-ZDUSSCGKSA-N 0 1 290.327 0.226 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC001341948085 922733010 /nfs/dbraw/zinc/73/30/10/922733010.db2.gz PLRHRQHAMBJGIS-IQJOONFLSA-N 0 1 278.308 0.563 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)[C@]1(C)CCNC(=O)C1 ZINC001342268363 922883546 /nfs/dbraw/zinc/88/35/46/922883546.db2.gz MHFLUUGROISJJO-TVQRCGJNSA-N 0 1 260.297 0.513 20 30 CCEDMN C#CCNCC(=O)N1CCc2cc(C(=O)OC)ccc2C1 ZINC001342375803 922936509 /nfs/dbraw/zinc/93/65/09/922936509.db2.gz DBPPWEBYZYWJTN-UHFFFAOYSA-N 0 1 286.331 0.581 20 30 CCEDMN C#CCNCC(=O)NCC[C@@H]1CCC2(CCOCC2)CO1 ZINC001342398479 922947916 /nfs/dbraw/zinc/94/79/16/922947916.db2.gz HDYPRAQRWWXVJZ-AWEZNQCLSA-N 0 1 294.395 0.691 20 30 CCEDMN C=C(C)Cn1c(CO)nnc1N1CCN(C(C)C)CC1 ZINC001342728853 923073293 /nfs/dbraw/zinc/07/32/93/923073293.db2.gz YMFZFCKKUMNDLI-UHFFFAOYSA-N 0 1 279.388 0.877 20 30 CCEDMN N#CC(C(=O)CO[C@@H]1CCOC1)C(=O)NC1CCCC1 ZINC001343348142 923279104 /nfs/dbraw/zinc/27/91/04/923279104.db2.gz PNZMOQKNHNSNHJ-NEPJUHHUSA-N 0 1 280.324 0.560 20 30 CCEDMN C=C(Br)CNC[C@H](O)CNC(=O)CCCF ZINC001420174781 923350861 /nfs/dbraw/zinc/35/08/61/923350861.db2.gz STHHGLUMLPZOIQ-VIFPVBQESA-N 0 1 297.168 0.711 20 30 CCEDMN CCC1(CC(=O)C(C#N)C(=O)NCCCOC)COC1 ZINC001343563058 923371121 /nfs/dbraw/zinc/37/11/21/923371121.db2.gz HXBFAUOLYBRHSL-LLVKDONJSA-N 0 1 282.340 0.665 20 30 CCEDMN CCN(CCN[C@@H](C)c1n[nH]c(C)n1)C(=O)[C@H](C)C#N ZINC001420244553 923452277 /nfs/dbraw/zinc/45/22/77/923452277.db2.gz XCFLZOAXJXEXLZ-ZJUUUORDSA-N 0 1 278.360 0.772 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1CCCS1(=O)=O ZINC001420379583 923597615 /nfs/dbraw/zinc/59/76/15/923597615.db2.gz SFQGFTLSBOPJHS-ZJUUUORDSA-N 0 1 294.804 0.410 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)CCNC(N)=O)C1CC1 ZINC001420785639 923931686 /nfs/dbraw/zinc/93/16/86/923931686.db2.gz MKPWUUINHSMSKT-SNVBAGLBSA-N 0 1 288.779 0.282 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)C(=O)NCc1cc(C)[nH]n1 ZINC001345697647 923951329 /nfs/dbraw/zinc/95/13/29/923951329.db2.gz BATLMYQJIKFTEB-JQWIXIFHSA-N 0 1 292.339 0.042 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnc(C2CC2)s1 ZINC001345830847 923990196 /nfs/dbraw/zinc/99/01/96/923990196.db2.gz MVIWEFFHQFQZOS-IUCAKERBSA-N 0 1 262.338 0.862 20 30 CCEDMN C#C[C@@H](C)N(C)c1nnc(-c2c[nH]nn2)n1CC1CC1 ZINC001345976420 924030454 /nfs/dbraw/zinc/03/04/54/924030454.db2.gz NOUDEXSKXZNKLQ-SECBINFHSA-N 0 1 271.328 0.931 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H](C)NC(=O)CC)CC1 ZINC001346160291 924091505 /nfs/dbraw/zinc/09/15/05/924091505.db2.gz BEYLSEWBNWJTIG-NSHDSACASA-N 0 1 266.341 0.542 20 30 CCEDMN CCNC(=O)CN1CCC[C@@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001421278240 924250034 /nfs/dbraw/zinc/25/00/34/924250034.db2.gz BZUHPVCAHPKBHB-DOMZBBRYSA-N 0 1 294.399 0.500 20 30 CCEDMN C=CC(C)(C)NC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001346767197 924308213 /nfs/dbraw/zinc/30/82/13/924308213.db2.gz ODAINAVGIKOHQR-NEPJUHHUSA-N 0 1 268.405 0.885 20 30 CCEDMN C=C1CCN(C(=O)CN2CCC(CO)CC2)CC1 ZINC001346799518 924312474 /nfs/dbraw/zinc/31/24/74/924312474.db2.gz SJXHMPSDWUWHLB-UHFFFAOYSA-N 0 1 252.358 0.869 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N[C@@]1(C#N)CC1(C)C ZINC001346986343 924344370 /nfs/dbraw/zinc/34/43/70/924344370.db2.gz OPAXDFCHSSGBJO-UHIISALHSA-N 0 1 262.357 0.183 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1cccnc1NC ZINC001347104955 924373477 /nfs/dbraw/zinc/37/34/77/924373477.db2.gz LREISNJJSNKWNE-UHFFFAOYSA-N 0 1 260.341 0.808 20 30 CCEDMN C=CCN(C(=O)[C@@H]1C[N@H+]2CCN1C[C@H]2C)[C@H](C)COC ZINC001347109371 924373725 /nfs/dbraw/zinc/37/37/25/924373725.db2.gz KWKMVXSEMMMUFU-MCIONIFRSA-N 0 1 281.400 0.424 20 30 CCEDMN C=CCN(C(=O)[C@@H]1CN2CCN1C[C@H]2C)[C@H](C)COC ZINC001347109371 924373735 /nfs/dbraw/zinc/37/37/35/924373735.db2.gz KWKMVXSEMMMUFU-MCIONIFRSA-N 0 1 281.400 0.424 20 30 CCEDMN C#CCSCC(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001347659757 924505376 /nfs/dbraw/zinc/50/53/76/924505376.db2.gz IHNLEHJPTGCKAX-JTQLQIEISA-N 0 1 279.369 0.347 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](C)[C@H]2CO)CC1 ZINC001348042569 924583095 /nfs/dbraw/zinc/58/30/95/924583095.db2.gz HVPWTZZFUHTSHC-UKRRQHHQSA-N 0 1 278.396 0.951 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001348793796 924804992 /nfs/dbraw/zinc/80/49/92/924804992.db2.gz OCZNWAAJGOLUOW-STQMWFEESA-N 0 1 266.389 0.333 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001349777027 925052149 /nfs/dbraw/zinc/05/21/49/925052149.db2.gz JYVARMMJXMNTKX-CWRNSKLLSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N(C)Cc1nnc[nH]1 ZINC001350305138 925165804 /nfs/dbraw/zinc/16/58/04/925165804.db2.gz VMODCYXBZKILOM-PHIMTYICSA-N 0 1 289.339 0.473 20 30 CCEDMN C#CCSCC(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001350562836 925225809 /nfs/dbraw/zinc/22/58/09/925225809.db2.gz PWQTWLZKTDTLCV-SECBINFHSA-N 0 1 280.353 0.291 20 30 CCEDMN C#CCSCC(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001350562836 925225818 /nfs/dbraw/zinc/22/58/18/925225818.db2.gz PWQTWLZKTDTLCV-SECBINFHSA-N 0 1 280.353 0.291 20 30 CCEDMN C=CCC[C@@H](NC(=O)C[C@@]1(O)CCC[N@H+](C)C1)C(=O)[O-] ZINC001350768908 925275224 /nfs/dbraw/zinc/27/52/24/925275224.db2.gz PARUCQNABADSOE-RISCZKNCSA-N 0 1 284.356 0.369 20 30 CCEDMN COc1cc(C)cnc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC001352839355 925657061 /nfs/dbraw/zinc/65/70/61/925657061.db2.gz MYLYTXPCCSVDDB-UWVGGRQHSA-N 0 1 260.297 0.240 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)NCc1cnc(C)cn1 ZINC001480453614 925755514 /nfs/dbraw/zinc/75/55/14/925755514.db2.gz VUJSFVAREGIMCR-IUODEOHRSA-N 0 1 292.383 0.706 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC[C@@H](O)CSC)c1 ZINC001353278261 925779755 /nfs/dbraw/zinc/77/97/55/925779755.db2.gz PHJHDCWXPKNSSX-CYBMUJFWSA-N 0 1 278.377 0.920 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N1CCNC[C@@H]1COC ZINC001353402103 925822589 /nfs/dbraw/zinc/82/25/89/925822589.db2.gz HJPQHYXZGGTHKL-MJBXVCDLSA-N 0 1 297.399 0.597 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)NC(=O)[C@@H]1CCCN1C ZINC001354283217 926070042 /nfs/dbraw/zinc/07/00/42/926070042.db2.gz NPRWXKQIMWKYAE-RYUDHWBXSA-N 0 1 267.373 0.668 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N[C@H]2CCN(C)[C@H]2C)c1 ZINC001354537732 926126697 /nfs/dbraw/zinc/12/66/97/926126697.db2.gz VAIHKAGFCJISGC-FZMZJTMJSA-N 0 1 285.347 0.815 20 30 CCEDMN C=C[C@H](O)c1nnc(N2CCN(CC)[C@H](C)C2)n1C ZINC001355408415 926254211 /nfs/dbraw/zinc/25/42/11/926254211.db2.gz SXVBRPMLVJXLNY-MNOVXSKESA-N 0 1 265.361 0.565 20 30 CCEDMN C=C1CC(C)(C(=O)NCCN(C)C(=O)c2[nH]nnc2C)C1 ZINC001355893898 926323124 /nfs/dbraw/zinc/32/31/24/926323124.db2.gz UIFJQMBHNQCEOR-UHFFFAOYSA-N 0 1 291.355 0.658 20 30 CCEDMN C#CCNCC(=O)N1C[C@@H](C)O[C@@H](C(F)(F)F)C1 ZINC001357303656 926510765 /nfs/dbraw/zinc/51/07/65/926510765.db2.gz TWRZKGCROIVEQJ-RKDXNWHRSA-N 0 1 264.247 0.387 20 30 CCEDMN C=CCCC(=O)NC1(CNC(=O)[C@@H]2CCCN2C)CC1 ZINC001358652952 926778240 /nfs/dbraw/zinc/77/82/40/926778240.db2.gz OHRGOYOTYQXGMI-LBPRGKRZSA-N 0 1 279.384 0.812 20 30 CCEDMN CC#CCCCC(=O)NCC1(NC(=O)c2ncn[nH]2)CC1 ZINC001358685111 926789370 /nfs/dbraw/zinc/78/93/70/926789370.db2.gz FGNZIPGTSKPULE-UHFFFAOYSA-N 0 1 289.339 0.377 20 30 CCEDMN CC#CCCCC(=O)NCC1(NC(=O)c2nc[nH]n2)CC1 ZINC001358685111 926789378 /nfs/dbraw/zinc/78/93/78/926789378.db2.gz FGNZIPGTSKPULE-UHFFFAOYSA-N 0 1 289.339 0.377 20 30 CCEDMN C=C(C)CCC(=O)N(C)C1CN(C(=O)Cc2c[nH]cn2)C1 ZINC001358734441 926809733 /nfs/dbraw/zinc/80/97/33/926809733.db2.gz KBMIVSGLKXJOJO-UHFFFAOYSA-N 0 1 290.367 0.978 20 30 CCEDMN C=CCCCC(=O)N(C)C1CN(C(=O)c2[nH]nnc2C)C1 ZINC001358747832 926813531 /nfs/dbraw/zinc/81/35/31/926813531.db2.gz NYKFGRYAWBIVHD-UHFFFAOYSA-N 0 1 291.355 0.752 20 30 CCEDMN COc1ccnc(N2CCN(C(=O)[C@@H]3C[C@@H]3C#N)CC2)c1 ZINC001361431585 927049718 /nfs/dbraw/zinc/04/97/18/927049718.db2.gz OVBNXSFRMWKYEM-DGCLKSJQSA-N 0 1 286.335 0.898 20 30 CCEDMN CC(=O)N1C[C@H]2CN(Cc3cc(C#N)ccn3)C[C@H]2C1 ZINC001422196197 927138843 /nfs/dbraw/zinc/13/88/43/927138843.db2.gz XONJFXOXHZYRNB-OKILXGFUSA-N 0 1 270.336 0.863 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C[C@@H]1CCCCO1 ZINC001422286058 927193456 /nfs/dbraw/zinc/19/34/56/927193456.db2.gz KIIWZJGTHZZCBZ-NEPJUHHUSA-N 0 1 290.791 0.765 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1csnc1C ZINC001422284068 927194783 /nfs/dbraw/zinc/19/47/83/927194783.db2.gz QBTIHIQFYXOXAR-VIFPVBQESA-N 0 1 289.788 0.884 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1ccoc1 ZINC001422303820 927211556 /nfs/dbraw/zinc/21/15/56/927211556.db2.gz WQOOGDPNCBVOFS-LLVKDONJSA-N 0 1 272.732 0.641 20 30 CCEDMN Cc1cc(C(=O)NCCN2CCN(C)CC2)ncc1C#N ZINC001361732109 927285092 /nfs/dbraw/zinc/28/50/92/927285092.db2.gz UDRXWDPVLOULPE-UHFFFAOYSA-N 0 1 287.367 0.239 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1nccnc1N ZINC001425553133 928590685 /nfs/dbraw/zinc/59/06/85/928590685.db2.gz ZALHBZLCPQEMSO-VIFPVBQESA-N 0 1 283.763 0.861 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@H](O)CN(C)Cc1ccccn1 ZINC001426070691 928751716 /nfs/dbraw/zinc/75/17/16/928751716.db2.gz NSQVWYXWOBZEMH-GXTWGEPZSA-N 0 1 290.367 0.492 20 30 CCEDMN CC[C@H](C)[N@@H+](CCO)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001363247093 929022382 /nfs/dbraw/zinc/02/23/82/929022382.db2.gz GTECHGMMZYVRPG-JTQLQIEISA-N 0 1 289.339 0.899 20 30 CCEDMN N#Cc1cccc(C(=O)NCCN2CCC(O)CC2)n1 ZINC001363267906 929052245 /nfs/dbraw/zinc/05/22/45/929052245.db2.gz RTUAJJNICKWDFK-UHFFFAOYSA-N 0 1 274.324 0.140 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@H](Cc3nn[nH]n3)C2)o1 ZINC001363437188 929230131 /nfs/dbraw/zinc/23/01/31/929230131.db2.gz UCAAEXSTZUMWGZ-SECBINFHSA-N 0 1 286.295 0.759 20 30 CCEDMN C[C@@H](NC(=O)[C@@H](C)n1cnc(C#N)n1)C1=NN(C)CC1=O ZINC001363664243 929467005 /nfs/dbraw/zinc/46/70/05/929467005.db2.gz JWUQMSUSFSJLAM-HTQZYQBOSA-N 0 1 289.299 0.027 20 30 CCEDMN C=C(Cl)CN1CCC[C@H]1CNC(=O)Cc1nnc[nH]1 ZINC001372572456 929885116 /nfs/dbraw/zinc/88/51/16/929885116.db2.gz TYTNEXIZNIRNDR-JTQLQIEISA-N 0 1 283.763 0.680 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@H](C)C#N)NCc1nccn1C ZINC001444868098 929962794 /nfs/dbraw/zinc/96/27/94/929962794.db2.gz PUPKOBKREBPZAP-NEPJUHHUSA-N 0 1 277.372 0.810 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CNC(=O)CC1CC1 ZINC001445128502 930041271 /nfs/dbraw/zinc/04/12/71/930041271.db2.gz ZHOUMQMDNGADKU-SNVBAGLBSA-N 0 1 291.189 0.762 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccc(F)cn1 ZINC001445154448 930053888 /nfs/dbraw/zinc/05/38/88/930053888.db2.gz SYRRTYRJSBLYTQ-SNVBAGLBSA-N 0 1 287.722 0.654 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1ccco1 ZINC001445251453 930076521 /nfs/dbraw/zinc/07/65/21/930076521.db2.gz LCFTWKJANHPMRT-SNVBAGLBSA-N 0 1 272.732 0.641 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H]1COCCN1CC ZINC001445572644 930174511 /nfs/dbraw/zinc/17/45/11/930174511.db2.gz RJIRPDHJOKOPLU-RYUDHWBXSA-N 0 1 289.807 0.554 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCCC(=O)N2)CC1 ZINC001446190756 930364015 /nfs/dbraw/zinc/36/40/15/930364015.db2.gz IDVIIVZJVGJTHC-SNVBAGLBSA-N 0 1 285.775 0.646 20 30 CCEDMN C=C(Cl)CN1CC[C@@](C)(NC(=O)Cc2nnc[nH]2)C1 ZINC001446544242 930432524 /nfs/dbraw/zinc/43/25/24/930432524.db2.gz AWPFRFGJPSBADA-GFCCVEGCSA-N 0 1 283.763 0.680 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1CCOCC1 ZINC001374563968 930559078 /nfs/dbraw/zinc/55/90/78/930559078.db2.gz CORXZZHMUMGWOK-NSHDSACASA-N 0 1 276.764 0.232 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CC[C@H](CNCc2cnon2)CC1 ZINC001447082654 930562269 /nfs/dbraw/zinc/56/22/69/930562269.db2.gz MDEZUGSGCUYCDU-SRVKXCTJSA-N 0 1 291.355 0.994 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NCc2ccn(C)n2)CCC1 ZINC001447180954 930583238 /nfs/dbraw/zinc/58/32/38/930583238.db2.gz HSFDMZLQHZYGDM-LLVKDONJSA-N 0 1 275.356 0.708 20 30 CCEDMN Cc1cc(NC(=O)CNC[C@H](C)NC(=O)[C@H](C)C#N)no1 ZINC001374873749 930703108 /nfs/dbraw/zinc/70/31/08/930703108.db2.gz SAUYEYILNLWNFT-BDAKNGLRSA-N 0 1 293.327 0.176 20 30 CCEDMN CC[C@H](C(N)=O)N(C)C[C@@H](C)NC(=O)c1c[nH]c(C#N)c1 ZINC001375032662 930770141 /nfs/dbraw/zinc/77/01/41/930770141.db2.gz XLQVMVKSZZFENQ-BXKDBHETSA-N 0 1 291.355 0.200 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H](C)C#N)NC(=O)Cc1cnc[nH]1 ZINC001375220654 930823194 /nfs/dbraw/zinc/82/31/94/930823194.db2.gz PTKOADMJKIVXSC-VHSXEESVSA-N 0 1 277.328 0.123 20 30 CCEDMN Cc1nc([C@@H](C)NC2(CNC(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001375403950 930878962 /nfs/dbraw/zinc/87/89/62/930878962.db2.gz PBVAOHQJXPHMPU-DTWKUNHWSA-N 0 1 276.344 0.572 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1CN(C)CCO1 ZINC001448644774 930936127 /nfs/dbraw/zinc/93/61/27/930936127.db2.gz ZAURJYBZQAUWHX-NEPJUHHUSA-N 0 1 289.807 0.506 20 30 CCEDMN N#Cc1cc(CNC(=O)c2n[nH]cc2[N+](=O)[O-])ccn1 ZINC001448862223 931010589 /nfs/dbraw/zinc/01/05/89/931010589.db2.gz FUNLFBFBQRRJRH-UHFFFAOYSA-N 0 1 272.224 0.515 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)NC(C)(C)c1c[nH]nn1 ZINC001451232443 931401950 /nfs/dbraw/zinc/40/19/50/931401950.db2.gz PFALWZIHUXOFQJ-UHFFFAOYSA-N 0 1 266.301 0.665 20 30 CCEDMN C[C@H](C#N)C(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001451589469 931457643 /nfs/dbraw/zinc/45/76/43/931457643.db2.gz IDEDXYHLGPOHHC-MRVPVSSYSA-N 0 1 256.269 0.643 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H](O)C[C@@H](O)c1ccccc1 ZINC001602695784 971312694 /nfs/dbraw/zinc/31/26/94/971312694.db2.gz SMRUFQYHABDJFD-UONOGXRCSA-N 0 1 277.320 0.491 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H](O)C[C@@H](O)c1ccccc1 ZINC001602695784 971312701 /nfs/dbraw/zinc/31/27/01/971312701.db2.gz SMRUFQYHABDJFD-UONOGXRCSA-N 0 1 277.320 0.491 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H](C)[N@@H+]1[C@H](C)CC[C@@H]1C ZINC000220638424 949558714 /nfs/dbraw/zinc/55/87/14/949558714.db2.gz KXUNSXUWRGUBHW-GRYCIOLGSA-N 0 1 266.341 0.794 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000306035797 950590855 /nfs/dbraw/zinc/59/08/55/950590855.db2.gz MFNPMKAMPXCGRL-OUAUKWLOSA-N 0 1 254.330 0.720 20 30 CCEDMN C[C@@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1Cn1cccc(C#N)c1=O ZINC001589307579 953753967 /nfs/dbraw/zinc/75/39/67/953753967.db2.gz ACMDSWYOEZRENM-ZYHUDNBSSA-N 0 1 275.308 0.863 20 30 CCEDMN C[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cn1cccc(C#N)c1=O ZINC001589307579 953753977 /nfs/dbraw/zinc/75/39/77/953753977.db2.gz ACMDSWYOEZRENM-ZYHUDNBSSA-N 0 1 275.308 0.863 20 30 CCEDMN C[N@H+]1CCCC[C@@H]1CNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC001593780212 954642195 /nfs/dbraw/zinc/64/21/95/954642195.db2.gz SLSGUVQARDHSCD-MFKMUULPSA-N 0 1 267.329 0.591 20 30 CCEDMN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H]1CC[C@H](C#N)C1 ZINC001593840731 955358592 /nfs/dbraw/zinc/35/85/92/955358592.db2.gz DUTWOXZHFSVMKX-UWVGGRQHSA-N 0 1 254.286 0.628 20 30 CCEDMN C#C[C@@H]([NH2+]Cc1cc(C(=O)[O-])nn1C)[C@@H]1CCCO1 ZINC001588385735 957973216 /nfs/dbraw/zinc/97/32/16/957973216.db2.gz HFLKCXJEXUTHSR-PWSUYJOCSA-N 0 1 263.297 0.389 20 30 CCEDMN C#CCC[N@@H+]1C[C@H](C(=O)[O-])C[C@H](c2cnn(C)c2)C1 ZINC001588390762 958013402 /nfs/dbraw/zinc/01/34/02/958013402.db2.gz HIIUVDXQNOJAMS-NWDGAFQWSA-N 0 1 261.325 0.934 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@@H]1CCCC[N@H+]1C1CC1 ZINC001588408640 958114745 /nfs/dbraw/zinc/11/47/45/958114745.db2.gz WFLRUCKLWCCPKR-ZDUSSCGKSA-N 0 1 293.367 0.733 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])Cc1ccccc1-n1cncn1 ZINC001588423664 958208714 /nfs/dbraw/zinc/20/87/14/958208714.db2.gz BRVIMYGDUANDON-UHFFFAOYSA-N 0 1 270.292 0.787 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])Cc1ccccc1-n1cncn1 ZINC001588423664 958208729 /nfs/dbraw/zinc/20/87/29/958208729.db2.gz BRVIMYGDUANDON-UHFFFAOYSA-N 0 1 270.292 0.787 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC001588429767 958247756 /nfs/dbraw/zinc/24/77/56/958247756.db2.gz CDKONYJOOVQGAC-CQSZACIVSA-N 0 1 286.331 0.810 20 30 CCEDMN C=CCC[C@@H](O)C[N@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001588457110 958444843 /nfs/dbraw/zinc/44/48/43/958444843.db2.gz KRMSSOUVFXPAGU-DGCLKSJQSA-N 0 1 273.329 0.116 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2C[C@H](C(=O)[O-])C[C@H](C)C2)C1=O ZINC001588464523 958493680 /nfs/dbraw/zinc/49/36/80/958493680.db2.gz YHPATSODJAYNPN-TUAOUCFPSA-N 0 1 266.341 0.816 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2cccc(C#N)n2)C[C@H](C(=O)[O-])C1 ZINC001571034290 960500567 /nfs/dbraw/zinc/50/05/67/960500567.db2.gz DRFJROIUSBMCHD-SNVBAGLBSA-N 0 1 288.307 0.042 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)CCCC(=O)[O-] ZINC001573875457 961249201 /nfs/dbraw/zinc/24/92/01/961249201.db2.gz RTIHQWSKFAYUJC-NSHDSACASA-N 0 1 269.345 0.791 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@@H+](C)CCCC(=O)[O-] ZINC001574008177 961917328 /nfs/dbraw/zinc/91/73/28/961917328.db2.gz YGJGANOFXICUHC-UHFFFAOYSA-N 0 1 255.318 0.545 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1CCCNC(=O)CNC(=O)[O-] ZINC001573310914 962691043 /nfs/dbraw/zinc/69/10/43/962691043.db2.gz DXGNIOSTUIFFAM-LLVKDONJSA-N 0 1 267.329 0.248 20 30 CCEDMN C=CC[N@H+](C)C1CCN(C(=O)CNC(=O)[O-])CC1 ZINC001573360585 963040155 /nfs/dbraw/zinc/04/01/55/963040155.db2.gz SOBFHICZHYHALM-UHFFFAOYSA-N 0 1 255.318 0.363 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H]2CCN(C(=O)CNC(=O)[O-])[C@@H]2C1 ZINC001573364734 963050802 /nfs/dbraw/zinc/05/08/02/963050802.db2.gz BCIVNDHKTWEYNX-NWDGAFQWSA-N 0 1 281.356 0.753 20 30 CCEDMN C#CCCCCCC[N@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC001588441588 964052411 /nfs/dbraw/zinc/05/24/11/964052411.db2.gz IZTRDROMUSTXSM-GFCCVEGCSA-N 0 1 266.341 0.845 20 30 CCEDMN C#CC[N@@H+](CCC(=O)Nc1cc(C)on1)CC(=O)[O-] ZINC000092484317 967597091 /nfs/dbraw/zinc/59/70/91/967597091.db2.gz BXHCUHWPZFISRJ-UHFFFAOYSA-N 0 1 265.269 0.331 20 30 CCEDMN C#CC[N@H+](CCC(=O)Nc1cc(C)on1)CC(=O)[O-] ZINC000092484317 967597095 /nfs/dbraw/zinc/59/70/95/967597095.db2.gz BXHCUHWPZFISRJ-UHFFFAOYSA-N 0 1 265.269 0.331 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])C[C@@H](O)COc1ccc(CC#N)cc1 ZINC001604149442 972554124 /nfs/dbraw/zinc/55/41/24/972554124.db2.gz IMSTXWTUDUGTNK-CYBMUJFWSA-N 0 1 292.335 0.899 20 30 CCEDMN N#CC1(C[C@@H](O)C[N@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)CCC1 ZINC001605575907 973841433 /nfs/dbraw/zinc/84/14/33/973841433.db2.gz GQCPOKXMBHDDSL-ZOWXZIJZSA-N 0 1 294.351 0.464 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CCC[N@H+](C(C)C)C1)C(=O)[O-] ZINC001588406422 983425563 /nfs/dbraw/zinc/42/55/63/983425563.db2.gz PRWCLUVNKJYKGN-NEPJUHHUSA-N 0 1 266.341 0.700 20 30 CCEDMN C#CC[C@@H]1CCC[N@H+]([C@@H](C)C(=O)NCC(=O)[O-])C1 ZINC001588434672 983471247 /nfs/dbraw/zinc/47/12/47/983471247.db2.gz PYFZSKKSLUNKDF-WDEREUQCSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CCN(C(=O)[C@H]1C[C@H]1C(=O)[O-])C1CC[NH+](CCF)CC1 ZINC001588459601 983484919 /nfs/dbraw/zinc/48/49/19/983484919.db2.gz DMVNRCKVQKQDOV-QWHCGFSZSA-N 0 1 296.342 0.603 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1COC(=O)c1cc(C(=O)[O-])nn1C ZINC001588476456 983511305 /nfs/dbraw/zinc/51/13/05/983511305.db2.gz CGVBLHOBONJIFL-JTQLQIEISA-N 0 1 291.307 0.373 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)c1cc(C(=O)[O-])nn1C ZINC001588476456 983511307 /nfs/dbraw/zinc/51/13/07/983511307.db2.gz CGVBLHOBONJIFL-JTQLQIEISA-N 0 1 291.307 0.373 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](Nc2ncnc(C(=O)[O-])c2OC)C1 ZINC001588477609 983517094 /nfs/dbraw/zinc/51/70/94/983517094.db2.gz WLOCEBKOBUVICW-SNVBAGLBSA-N 0 1 290.323 0.693 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](Nc2ncnc(C(=O)[O-])c2OC)C1 ZINC001588477609 983517095 /nfs/dbraw/zinc/51/70/95/983517095.db2.gz WLOCEBKOBUVICW-SNVBAGLBSA-N 0 1 290.323 0.693 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC001588479157 983517878 /nfs/dbraw/zinc/51/78/78/983517878.db2.gz AEFAHKZRYYBWJA-WDEREUQCSA-N 0 1 252.314 0.169 20 30 CCEDMN C#Cc1ccc(C[N@H+]2C[C@H](C(=O)[O-])[C@H](C(=O)OC)C2)cc1 ZINC001588516384 983560743 /nfs/dbraw/zinc/56/07/43/983560743.db2.gz JKCROOAOFKPEPL-UONOGXRCSA-N 0 1 287.315 0.974 20 30 CCEDMN C=C(C)C[C@H](NC(=O)C[N@@H+]1CC[C@H](C)C1)C(=O)[O-] ZINC001588543094 983610742 /nfs/dbraw/zinc/61/07/42/983610742.db2.gz WSEBMZIOHVZUFS-QWRGUYRKSA-N 0 1 254.330 0.864 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H]2C[C@@]21C(=O)[O-] ZINC001596229585 983621424 /nfs/dbraw/zinc/62/14/24/983621424.db2.gz BHDGBUWUQFOXMY-JMSVASOKSA-N 0 1 293.367 0.934 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N[C@H](C)C[NH+]1CCN(C)CC1 ZINC001588618793 983712998 /nfs/dbraw/zinc/71/29/98/983712998.db2.gz AIFABWBWVLQTQH-GFCCVEGCSA-N 0 1 283.372 0.160 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CC[C@](CO)(C(F)(F)F)C1 ZINC001588662459 983789149 /nfs/dbraw/zinc/78/91/49/983789149.db2.gz AATZGZOGJKWALU-APPZFPTMSA-N 0 1 253.220 0.872 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC001588664276 983799330 /nfs/dbraw/zinc/79/93/30/983799330.db2.gz PEXGRSPWFWNHBU-WDEREUQCSA-N 0 1 255.314 0.896 20 30 CCEDMN C=C[C@H](C(=O)OC)[N@H+]1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC001588671462 983820467 /nfs/dbraw/zinc/82/04/67/983820467.db2.gz AKJCJKSOLGERAZ-OPQQBVKSSA-N 0 1 269.297 0.137 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC001588683993 983854349 /nfs/dbraw/zinc/85/43/49/983854349.db2.gz ILPXBJNDRRATLP-SMDDNHRTSA-N 0 1 284.356 0.321 20 30 CCEDMN C=C[C@@H]([NH2+]Cc1ccnc(N2CCN(C)CC2)c1)C(=O)[O-] ZINC001588701530 983914588 /nfs/dbraw/zinc/91/45/88/983914588.db2.gz RWCCMKOAVMTWFL-CYBMUJFWSA-N 0 1 290.367 0.562 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@@H](c2nc(C)n[nH]2)C1 ZINC001588729365 983988950 /nfs/dbraw/zinc/98/89/50/983988950.db2.gz JAUUDFGSMQSXOT-ZJUUUORDSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@@H+]1CC[C@@H](c2nnc(C)[nH]2)C1 ZINC001588729365 983988956 /nfs/dbraw/zinc/98/89/56/983988956.db2.gz JAUUDFGSMQSXOT-ZJUUUORDSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@@H](c2nnc(C)[nH]2)C1 ZINC001588729365 983988960 /nfs/dbraw/zinc/98/89/60/983988960.db2.gz JAUUDFGSMQSXOT-ZJUUUORDSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@@H+]1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC001588729365 983988964 /nfs/dbraw/zinc/98/89/64/983988964.db2.gz JAUUDFGSMQSXOT-ZJUUUORDSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC001588729365 983988966 /nfs/dbraw/zinc/98/89/66/983988966.db2.gz JAUUDFGSMQSXOT-ZJUUUORDSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC001588730973 984002805 /nfs/dbraw/zinc/00/28/05/984002805.db2.gz AIWAFMJRRBEKDS-GRYCIOLGSA-N 0 1 255.314 0.896 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC001588730973 984002813 /nfs/dbraw/zinc/00/28/13/984002813.db2.gz AIWAFMJRRBEKDS-GRYCIOLGSA-N 0 1 255.314 0.896 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](CCC(=O)N(C)CC(=O)[O-])C1 ZINC001588850115 984378385 /nfs/dbraw/zinc/37/83/85/984378385.db2.gz XUNNESMPQQDNBI-NSHDSACASA-N 0 1 270.329 0.196 20 30 CCEDMN C=CCOCCCNC(=O)N1CC[N@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588867129 984430385 /nfs/dbraw/zinc/43/03/85/984430385.db2.gz ZQVXJGSSRGCXFI-GFCCVEGCSA-N 0 1 299.371 0.237 20 30 CCEDMN C=CCOCC[NH+]1CCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588869202 984438697 /nfs/dbraw/zinc/43/86/97/984438697.db2.gz ZDXUYRYYOVYLKA-NWDGAFQWSA-N 0 1 282.340 0.054 20 30 CCEDMN C[C@@H](C#N)Oc1ccc(C[N@H+]2CC[C@](O)(C(=O)[O-])C2)cc1 ZINC001589334208 986199572 /nfs/dbraw/zinc/19/95/72/986199572.db2.gz BRJDSJJWKRTKGO-XHDPSFHLSA-N 0 1 290.319 0.999 20 30 CCEDMN Cc1c(C(=O)[O-])cc(C=NN2CC[NH+](C)CC2)n1C ZINC001599984488 986209689 /nfs/dbraw/zinc/20/96/89/986209689.db2.gz MYWPXFKMSOHPET-UHFFFAOYSA-N 0 1 264.329 0.613 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)O[C@@H]1C[N@@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001598577324 993297714 /nfs/dbraw/zinc/29/77/14/993297714.db2.gz ZEIAWDSFLXDWCU-IINYFYTJSA-N 0 1 291.307 0.601 20 30 CCEDMN CN1CC[N@@H+](CCCSCC#N)C[C@H](C(=O)[O-])C1 ZINC001598618269 993937132 /nfs/dbraw/zinc/93/71/32/993937132.db2.gz YJFDLWSWYKTYKH-LLVKDONJSA-N 0 1 271.386 0.581 20 30 CCEDMN C[N@@H+]1CCN(CCCSCC#N)C[C@H](C(=O)[O-])C1 ZINC001598618269 993937136 /nfs/dbraw/zinc/93/71/36/993937136.db2.gz YJFDLWSWYKTYKH-LLVKDONJSA-N 0 1 271.386 0.581 20 30 CCEDMN C[N@H+]1CCN(CCCSCC#N)C[C@H](C(=O)[O-])C1 ZINC001598618269 993937144 /nfs/dbraw/zinc/93/71/44/993937144.db2.gz YJFDLWSWYKTYKH-LLVKDONJSA-N 0 1 271.386 0.581 20 30 CCEDMN C[C@H]1CN(C(=O)CCCC#N)CC[N@H+]1CCC(=O)[O-] ZINC001594467178 999693986 /nfs/dbraw/zinc/69/39/86/999693986.db2.gz ZWMSXFVCJBGVSR-NSHDSACASA-N 0 1 267.329 0.688 20 30 CCEDMN C#CCCN1CCN(c2ccc(C(=O)NCC#C)cn2)CC1 ZINC000091480321 349463435 /nfs/dbraw/zinc/46/34/35/349463435.db2.gz KLITZLMPLGZEEC-UHFFFAOYSA-N 0 1 296.374 0.590 20 30 CCEDMN CC(C)(C#N)CCN1CCC(NS(N)(=O)=O)CC1 ZINC000599648118 361771941 /nfs/dbraw/zinc/77/19/41/361771941.db2.gz SIILQGKOQIYFMO-UHFFFAOYSA-N 0 1 274.390 0.184 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)C[C@H]1C[C@@H](O)C1 ZINC000599674096 361782707 /nfs/dbraw/zinc/78/27/07/361782707.db2.gz XBETYOKOPVBAFJ-UPJWGTAASA-N 0 1 267.373 0.697 20 30 CCEDMN N#Cc1ncn(CC(=O)Nc2ccc3[nH]nnc3c2)n1 ZINC000337757946 214248403 /nfs/dbraw/zinc/24/84/03/214248403.db2.gz ULMDHEKUMMPYSC-UHFFFAOYSA-N 0 1 268.240 0.060 20 30 CCEDMN C[C@]1(C#N)CCCN(C(=O)NC[C@H]2COCCN2)C1 ZINC000599938140 361835053 /nfs/dbraw/zinc/83/50/53/361835053.db2.gz HDSOMUSWLUFJGL-WCQYABFASA-N 0 1 266.345 0.310 20 30 CCEDMN COC(=O)[C@H](C[C@H]1CCCOC1)NC(=O)C(C)C#N ZINC000600429127 361959872 /nfs/dbraw/zinc/95/98/72/361959872.db2.gz AEMKOOMWDYEWHK-AXFHLTTASA-N 0 1 268.313 0.621 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)Cc1ccc(C#N)cc1 ZINC000104188926 349711358 /nfs/dbraw/zinc/71/13/58/349711358.db2.gz UXHIHCPIQGAMSJ-UHFFFAOYSA-N 0 1 281.381 0.881 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC(C)(C)C#N)C1 ZINC000353091431 290035676 /nfs/dbraw/zinc/03/56/76/290035676.db2.gz WMSULWJJVMXZJX-LLVKDONJSA-N 0 1 267.377 0.081 20 30 CCEDMN CC(C)[C@H](C#N)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000600666831 362028254 /nfs/dbraw/zinc/02/82/54/362028254.db2.gz GMMGHZKCRDTHMA-ZETCQYMHSA-N 0 1 287.301 0.155 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)C(=O)N1CC[C@@](C)(C#N)C1 ZINC000600798587 362061310 /nfs/dbraw/zinc/06/13/10/362061310.db2.gz VFPUQEHPWKSOAU-DOMZBBRYSA-N 0 1 292.383 0.205 20 30 CCEDMN N#Cc1c(F)cc(C(=O)NCCN2CC[C@H](O)C2)cc1F ZINC000600808403 362065663 /nfs/dbraw/zinc/06/56/63/362065663.db2.gz MTRGVCLOPSEDFQ-JTQLQIEISA-N 0 1 295.289 0.633 20 30 CCEDMN C[C@H](CNC(=O)C1(C#N)CC2(CC2)C1)N1CCN(C)CC1 ZINC000601167135 362164804 /nfs/dbraw/zinc/16/48/04/362164804.db2.gz ZIFUEPZPJNOSBH-CYBMUJFWSA-N 0 1 290.411 0.822 20 30 CCEDMN CC[C@H]1CN(c2cnc(C#N)cn2)CCN1CCOC ZINC000133330623 136390292 /nfs/dbraw/zinc/39/02/92/136390292.db2.gz JHTBYTSHQWGKOC-ZDUSSCGKSA-N 0 1 275.356 0.895 20 30 CCEDMN CN(C1CCN(C)CC1)S(=O)(=O)c1ccc(C#N)nc1 ZINC000113943406 349820267 /nfs/dbraw/zinc/82/02/67/349820267.db2.gz ZHLNBZIJLWCOFS-UHFFFAOYSA-N 0 1 294.380 0.668 20 30 CCEDMN CN1CC[C@@H]2CN(S(=O)(=O)CC3(C#N)CC3)C[C@@H]21 ZINC000333632342 529797994 /nfs/dbraw/zinc/79/79/94/529797994.db2.gz ALSMVTAAVYDZAT-MNOVXSKESA-N 0 1 269.370 0.256 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)[C@H]1CCC[C@@H]1O ZINC000352658110 529837112 /nfs/dbraw/zinc/83/71/12/529837112.db2.gz CYXPKSZXLPUDII-SGMGOOAPSA-N 0 1 265.357 0.640 20 30 CCEDMN CN1CCC[C@H]1CNC(=O)C(=O)Nc1cccc(C#N)c1 ZINC000118105859 349899245 /nfs/dbraw/zinc/89/92/45/349899245.db2.gz IMZZPVSFROHGET-ZDUSSCGKSA-N 0 1 286.335 0.707 20 30 CCEDMN C=CCC[C@H](NC(=O)Cc1nnc[nH]1)C(=O)OCC ZINC000601620145 362328730 /nfs/dbraw/zinc/32/87/30/362328730.db2.gz IUSQTGUWIKLHOZ-VIFPVBQESA-N 0 1 266.301 0.361 20 30 CCEDMN CN(C[C@H]1CCCN1C)S(=O)(=O)CC1(C#N)CC1 ZINC000331697790 529966529 /nfs/dbraw/zinc/96/65/29/529966529.db2.gz VHODDOMWWRPXHW-LLVKDONJSA-N 0 1 271.386 0.646 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CN1CC[C@H](C)C1)C(=O)OC ZINC000601782565 362390624 /nfs/dbraw/zinc/39/06/24/362390624.db2.gz QKYNAFHXDQPYGP-RYUDHWBXSA-N 0 1 268.357 0.952 20 30 CCEDMN CN1CCN(c2ccnc(NC(=O)CC#N)c2)CC1 ZINC000601808165 362398134 /nfs/dbraw/zinc/39/81/34/362398134.db2.gz VEXGRBVKPIOZSW-UHFFFAOYSA-N 0 1 259.313 0.686 20 30 CCEDMN CC(C)(CCC#N)CNC(=O)NCCN1CC[C@H](O)C1 ZINC000602322331 362585193 /nfs/dbraw/zinc/58/51/93/362585193.db2.gz XAMKKLFPVQOSMB-LBPRGKRZSA-N 0 1 282.388 0.682 20 30 CCEDMN C=C(C)C[C@H](NC(=O)c1ccnc(CN)c1)C(=O)OC ZINC000602600551 362704932 /nfs/dbraw/zinc/70/49/32/362704932.db2.gz ONKZWSNSDPQRFL-LBPRGKRZSA-N 0 1 277.324 0.778 20 30 CCEDMN C=CC[C@@H](CO)NCc1cc(C(=O)OCC)no1 ZINC000602614503 362714653 /nfs/dbraw/zinc/71/46/53/362714653.db2.gz RVCSTLUBWXHJAU-VIFPVBQESA-N 0 1 254.286 0.878 20 30 CCEDMN C[C@H](CCC#N)CN1CCN(c2nccnn2)CC1 ZINC000602721359 362771170 /nfs/dbraw/zinc/77/11/70/362771170.db2.gz QEZQYQJQRUPJKS-GFCCVEGCSA-N 0 1 260.345 0.933 20 30 CCEDMN CNS(=O)(=O)C[C@H]1CCCN1CC1(CC#N)CC1 ZINC000602793972 362803428 /nfs/dbraw/zinc/80/34/28/362803428.db2.gz USQWICQNKAVGMO-LLVKDONJSA-N 0 1 271.386 0.694 20 30 CCEDMN C[C@@H]1OCC[C@@H]1CN1CCN(C(=O)CC#N)CC1 ZINC000602840627 362829524 /nfs/dbraw/zinc/82/95/24/362829524.db2.gz JXBNITZGCPBCEX-NWDGAFQWSA-N 0 1 251.330 0.469 20 30 CCEDMN COC[C@H](C)OC[C@H](O)CN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602855713 362842360 /nfs/dbraw/zinc/84/23/60/362842360.db2.gz WFOUSZTYYUTXML-APIJFGDWSA-N 0 1 299.415 0.317 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)NCCn1cnc(C#N)n1 ZINC000342896268 137102595 /nfs/dbraw/zinc/10/25/95/137102595.db2.gz XNFKEJYEJOBVMM-UHFFFAOYSA-N 0 1 287.327 0.735 20 30 CCEDMN CN(C)C(=O)CCN1CCN(c2cc(C#N)ccn2)CC1 ZINC000025941277 348092830 /nfs/dbraw/zinc/09/28/30/348092830.db2.gz XMRJPSUWPFCNSC-UHFFFAOYSA-N 0 1 287.367 0.554 20 30 CCEDMN CN1CCN(C[C@H](O)COc2ccc(C#N)cc2)CC1 ZINC000034651511 348151097 /nfs/dbraw/zinc/15/10/97/348151097.db2.gz DBWPQYUYYDOURR-AWEZNQCLSA-N 0 1 275.352 0.545 20 30 CCEDMN CCN(C(=O)N=c1[n-]n(C)cc1C#N)[C@H]1CC[N@@H+](CC)C1 ZINC000602885555 362864959 /nfs/dbraw/zinc/86/49/59/362864959.db2.gz FZIBHOBFEMVHKN-LBPRGKRZSA-N 0 1 290.371 0.662 20 30 CCEDMN C[C@@H]1SCC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602889675 362867509 /nfs/dbraw/zinc/86/75/09/362867509.db2.gz ZDNUXNYHPSWCEJ-IONNQARKSA-N 0 1 265.342 0.729 20 30 CCEDMN CN(Cc1ccns1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000602880024 362860649 /nfs/dbraw/zinc/86/06/49/362860649.db2.gz CWROGIHBYWFZKK-UHFFFAOYSA-N 0 1 276.325 0.834 20 30 CCEDMN C[C@H](C(=O)NC[C@H]1CCCN(CC#N)C1)N(C)C ZINC000602897332 362872721 /nfs/dbraw/zinc/87/27/21/362872721.db2.gz UDMPJYRNGLBNEA-VXGBXAGGSA-N 0 1 252.362 0.288 20 30 CCEDMN CN(CCC(N)=O)Cc1cnc2ccc(C#N)cn12 ZINC000348836106 137378493 /nfs/dbraw/zinc/37/84/93/137378493.db2.gz BUXPOVKESJTUOP-UHFFFAOYSA-N 0 1 257.297 0.513 20 30 CCEDMN N#CCNC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000068424362 348809920 /nfs/dbraw/zinc/80/99/20/348809920.db2.gz CEZQGQAEPCQVCL-UHFFFAOYSA-N 0 1 271.280 0.323 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)CC[C@@H](C)O ZINC000072712872 348929663 /nfs/dbraw/zinc/92/96/63/348929663.db2.gz QOGAPVGBUYCWDK-VXGBXAGGSA-N 0 1 255.362 0.697 20 30 CCEDMN CN(C)C(=O)CN1CCN(CCCSCC#N)CC1 ZINC000074981338 349016821 /nfs/dbraw/zinc/01/68/21/349016821.db2.gz DXRLXYJPVUMBPA-UHFFFAOYSA-N 0 1 284.429 0.339 20 30 CCEDMN C[C@@H](C(=O)N1CCN(c2ccc(C#N)cn2)CC1)N(C)C ZINC000078692955 349150121 /nfs/dbraw/zinc/15/01/21/349150121.db2.gz FNUNKLCUVRQOBV-LBPRGKRZSA-N 0 1 287.367 0.552 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)[C@H](C)N(C)C)CC1 ZINC000078704967 349150460 /nfs/dbraw/zinc/15/04/60/349150460.db2.gz UQBVUIVENQOEDT-STQMWFEESA-N 0 1 266.389 0.629 20 30 CCEDMN C=CCNC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000079079570 349167382 /nfs/dbraw/zinc/16/73/82/349167382.db2.gz QTOYTMPDMNFKJB-UHFFFAOYSA-N 0 1 267.373 0.926 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)c1ccccc1C#N ZINC000080527975 349215755 /nfs/dbraw/zinc/21/57/55/349215755.db2.gz PMIXNDATCAVGAB-UHFFFAOYSA-N 0 1 277.309 0.497 20 30 CCEDMN CCN1CCN(CC(=O)N(CCC#N)CCOC)CC1 ZINC000088389474 349367041 /nfs/dbraw/zinc/36/70/41/349367041.db2.gz TZDRHNOCKDMORF-UHFFFAOYSA-N 0 1 282.388 0.013 20 30 CCEDMN N#Cc1ccccc1OCC(=O)NCc1cnc[nH]1 ZINC000088751204 349370813 /nfs/dbraw/zinc/37/08/13/349370813.db2.gz WUYYSJWRRLXZGZ-UHFFFAOYSA-N 0 1 256.265 0.977 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(CC(=O)N[C@H](C)CC)CC1 ZINC000122300196 350063797 /nfs/dbraw/zinc/06/37/97/350063797.db2.gz GRCKPFBUWHNFDG-HUUCEWRRSA-N 0 1 297.443 0.846 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@H](N)c2ccccc2)CC1 ZINC000158775385 350661952 /nfs/dbraw/zinc/66/19/52/350661952.db2.gz QAIOQXDNKRRTAT-CQSZACIVSA-N 0 1 272.352 0.744 20 30 CCEDMN Cc1cc(C#N)cc(NC[C@H]2CN(C)CCN2C)n1 ZINC000161063107 350711083 /nfs/dbraw/zinc/71/10/83/350711083.db2.gz HMHZRZADQZKHDX-ZDUSSCGKSA-N 0 1 259.357 0.919 20 30 CCEDMN CN(CC(=O)N1CCNCC1)c1ccc(F)cc1C#N ZINC000111027172 186346308 /nfs/dbraw/zinc/34/63/08/186346308.db2.gz YOIPJSPBFVJTTQ-UHFFFAOYSA-N 0 1 276.315 0.565 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)CC1(O)CCOCC1 ZINC000162227861 350739699 /nfs/dbraw/zinc/73/96/99/350739699.db2.gz AXCAISZISNEQRB-CQSZACIVSA-N 0 1 295.383 0.268 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(C#N)nc1)N(C)C1CC1 ZINC000170787915 350861456 /nfs/dbraw/zinc/86/14/56/350861456.db2.gz JQJWJZFAQSALCF-JTQLQIEISA-N 0 1 294.380 0.714 20 30 CCEDMN CCNCc1cn(CC(=O)N[C@@](C)(C#N)C2CC2)nn1 ZINC000603180747 362987402 /nfs/dbraw/zinc/98/74/02/362987402.db2.gz IXMXHCLFCDPVKA-ZDUSSCGKSA-N 0 1 276.344 0.196 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCCCCN2CCOCC2)c1 ZINC000175333233 351126201 /nfs/dbraw/zinc/12/62/01/351126201.db2.gz ILOVSJDEWJOJRX-UHFFFAOYSA-N 0 1 276.340 0.729 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)Cn1cc(CNC2CC2)nn1 ZINC000603207827 363000407 /nfs/dbraw/zinc/00/04/07/363000407.db2.gz CROJBUUTXMHYFL-NSHDSACASA-N 0 1 290.371 0.538 20 30 CCEDMN CNCc1cn(Cc2ccc(C#N)cc2OC)nn1 ZINC000603241613 363018611 /nfs/dbraw/zinc/01/86/11/363018611.db2.gz SZYOBCPEBVZKGK-UHFFFAOYSA-N 0 1 257.297 0.926 20 30 CCEDMN C#CCC(C)(C)C(=O)Nc1nc(SCCO)n[nH]1 ZINC000186438572 351495512 /nfs/dbraw/zinc/49/55/12/351495512.db2.gz PIECQGBSDJIWLP-UHFFFAOYSA-N 0 1 268.342 0.877 20 30 CCEDMN CN1CCN(C[C@@H](O)COc2ccc(CC#N)cc2)CC1 ZINC000225884262 352016628 /nfs/dbraw/zinc/01/66/28/352016628.db2.gz WNWNWPMOXLOXPB-OAHLLOKOSA-N 0 1 289.379 0.740 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1cccnc1C#N ZINC000234219162 352155127 /nfs/dbraw/zinc/15/51/27/352155127.db2.gz ZKRUPGNVKSMCOL-UHFFFAOYSA-N 0 1 268.342 0.135 20 30 CCEDMN CN(CCNS(=O)(=O)c1cccnc1C#N)C1CC1 ZINC000234267765 352156052 /nfs/dbraw/zinc/15/60/52/352156052.db2.gz QLJSPBIWDXYLBW-UHFFFAOYSA-N 0 1 280.353 0.326 20 30 CCEDMN Cn1cc(CNC[C@@H]2CCCS2(=O)=O)cc1C#N ZINC000232315585 352143461 /nfs/dbraw/zinc/14/34/61/352143461.db2.gz ZKQHBZPHAJGUEE-LBPRGKRZSA-N 0 1 267.354 0.564 20 30 CCEDMN C#CCCNC(=O)CCN1CCN(c2ccccn2)CC1 ZINC000266812291 352722520 /nfs/dbraw/zinc/72/25/20/352722520.db2.gz GGCVILYFSRCNPP-UHFFFAOYSA-N 0 1 286.379 0.733 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(CC)C[C@@H]1CCCO1 ZINC000270735678 352976290 /nfs/dbraw/zinc/97/62/90/352976290.db2.gz OZJWYCVVPSKVPT-RYUDHWBXSA-N 0 1 283.372 0.888 20 30 CCEDMN C[C@@H]1CN(c2ccnc(C#N)c2)[C@@H](C)CN1CCO ZINC000418999656 234282810 /nfs/dbraw/zinc/28/28/10/234282810.db2.gz NIWIMIUFZXRSCT-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN CC#CCCCC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000274337479 353120886 /nfs/dbraw/zinc/12/08/86/353120886.db2.gz LDFIWDJYORAXMY-ZDUSSCGKSA-N 0 1 279.384 0.636 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccc([N+](=O)[O-])c(C#N)c2)CC1 ZINC000275010608 353179891 /nfs/dbraw/zinc/17/98/91/353179891.db2.gz JDJCQQAIPHWTLB-NSHDSACASA-N 0 1 290.323 0.969 20 30 CCEDMN C#CCN(CC)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000276956332 353213082 /nfs/dbraw/zinc/21/30/82/353213082.db2.gz CHSRKVCVPRLBAZ-UHFFFAOYSA-N 0 1 264.247 0.925 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(Cn2ccnn2)CC1 ZINC000156087153 188242901 /nfs/dbraw/zinc/24/29/01/188242901.db2.gz AMNIMLNIPYDBPF-LBPRGKRZSA-N 0 1 275.356 0.128 20 30 CCEDMN C#CCCN1CCN(Cc2ncnn2C(C)C)CC1 ZINC000279677859 353309511 /nfs/dbraw/zinc/30/95/11/353309511.db2.gz GGEAISKTHYEFPI-UHFFFAOYSA-N 0 1 261.373 1.000 20 30 CCEDMN C#CCCOc1ccc(CN2C[C@H](O)[C@@H](O)C2)cc1 ZINC000278448862 353301176 /nfs/dbraw/zinc/30/11/76/353301176.db2.gz XLERPJCIHABQPP-GJZGRUSLSA-N 0 1 261.321 0.626 20 30 CCEDMN CN(C)C1CN(C(=O)COc2ccccc2C#N)C1 ZINC000158371659 188372582 /nfs/dbraw/zinc/37/25/82/188372582.db2.gz UGWWJPQEWBBJCM-UHFFFAOYSA-N 0 1 259.309 0.709 20 30 CCEDMN CCN1CCC(NS(=O)(=O)c2ccc(C#N)o2)CC1 ZINC000286493211 353590368 /nfs/dbraw/zinc/59/03/68/353590368.db2.gz CZQOOPAZFCTHIP-UHFFFAOYSA-N 0 1 283.353 0.914 20 30 CCEDMN N#Cc1ncccc1S(=O)(=O)N1CCCc2[nH]ncc21 ZINC000289978159 353698413 /nfs/dbraw/zinc/69/84/13/353698413.db2.gz UVTWWIAPTDLDLM-UHFFFAOYSA-N 0 1 289.320 0.818 20 30 CCEDMN C[C@@H](CCC#N)CN1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000618888256 366113732 /nfs/dbraw/zinc/11/37/32/366113732.db2.gz UYTOVFNGLMEJJN-UWVGGRQHSA-N 0 1 250.302 0.162 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccc(C#N)c([N+](=O)[O-])c2)CC1 ZINC000290941540 353759100 /nfs/dbraw/zinc/75/91/00/353759100.db2.gz LLUUPIYVFHWMJM-LLVKDONJSA-N 0 1 290.323 0.969 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](CC(C)(C)C(N)=O)C1 ZINC000295442424 353894719 /nfs/dbraw/zinc/89/47/19/353894719.db2.gz DQXGFCARYFMTIH-LBPRGKRZSA-N 0 1 281.400 0.902 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NCC1(C(=O)OC)CC1 ZINC000296719383 353956834 /nfs/dbraw/zinc/95/68/34/353956834.db2.gz FUQSSKJPZNYHMU-UHFFFAOYSA-N 0 1 281.356 0.194 20 30 CCEDMN CC(=O)Nc1ccn([C@H]2CCN([C@H]3CCN(C)C3=O)C2)n1 ZINC000328644911 354244942 /nfs/dbraw/zinc/24/49/42/354244942.db2.gz ZNEDUDNWSGYNNT-RYUDHWBXSA-N 0 1 291.355 0.969 20 30 CCEDMN C[C@]1(NC(=O)c2cccn3c(O)nnc23)CCOC1 ZINC000329338267 354255734 /nfs/dbraw/zinc/25/57/34/354255734.db2.gz MZXMOSJQASOMEC-LBPRGKRZSA-N 0 1 262.269 0.919 20 30 CCEDMN Cc1nc2n(n1)C[C@H]([NH+]=C([O-])N[C@]1(C)CCO[C@@H]1C)CC2 ZINC000329579961 354258340 /nfs/dbraw/zinc/25/83/40/354258340.db2.gz ULIXUGORZWMSIC-GLXFQSAKSA-N 0 1 293.371 0.972 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N[C@]1(C)CCO[C@@H]1C)CC2 ZINC000329579961 354258341 /nfs/dbraw/zinc/25/83/41/354258341.db2.gz ULIXUGORZWMSIC-GLXFQSAKSA-N 0 1 293.371 0.972 20 30 CCEDMN [O-]C(=[NH+][C@H]1CCn2ccnc2C1)N1CCC[C@H]1CO ZINC000330284821 354315975 /nfs/dbraw/zinc/31/59/75/354315975.db2.gz YALUZPKURCYLJQ-QWRGUYRKSA-N 0 1 264.329 0.569 20 30 CCEDMN N#Cc1cccc(-c2cc(C(=O)NCc3nn[nH]n3)on2)c1 ZINC000619113259 366196688 /nfs/dbraw/zinc/19/66/88/366196688.db2.gz ODCMIBCVXTXNSP-UHFFFAOYSA-N 0 1 295.262 0.656 20 30 CCEDMN C[C@@H](C(=O)Nc1cc(CN(C)C)ccn1)n1cnc(C#N)n1 ZINC000337055515 355257755 /nfs/dbraw/zinc/25/77/55/355257755.db2.gz PHNZGAXDGRNATM-JTQLQIEISA-N 0 1 299.338 0.806 20 30 CCEDMN CN(C[C@H](O)COc1ccc(C#N)cc1)[C@@H]1CCNC1=O ZINC000340227205 355532754 /nfs/dbraw/zinc/53/27/54/355532754.db2.gz WGNPKNGKEYTOHV-GXTWGEPZSA-N 0 1 289.335 0.118 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](CO)C[C@H]1C ZINC000344185310 355789147 /nfs/dbraw/zinc/78/91/47/355789147.db2.gz VEOJVTVLMNHVEQ-GHMZBOCLSA-N 0 1 269.345 0.091 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)NCc1cn[nH]c1C ZINC000344307179 355790112 /nfs/dbraw/zinc/79/01/12/355790112.db2.gz KKBZRTUZSNXZES-UHFFFAOYSA-N 0 1 271.346 0.288 20 30 CCEDMN CCN(CCCO)CC(=O)NCc1cccc(C#N)c1 ZINC000348328872 355913784 /nfs/dbraw/zinc/91/37/84/355913784.db2.gz VPGSYMGOEUWILH-UHFFFAOYSA-N 0 1 275.352 0.879 20 30 CCEDMN CN([C@@H]1CCN(C2CC2)C1)S(=O)(=O)CCCC#N ZINC000348350369 355917533 /nfs/dbraw/zinc/91/75/33/355917533.db2.gz XVJATDHFUBPCNV-GFCCVEGCSA-N 0 1 271.386 0.788 20 30 CCEDMN N#Cc1ccc(CCC(=O)NC2(c3nn[nH]n3)CC2)cc1 ZINC000348272357 355900753 /nfs/dbraw/zinc/90/07/53/355900753.db2.gz CDILMQZTCZQDGJ-UHFFFAOYSA-N 0 1 282.307 0.809 20 30 CCEDMN COCC[C@](C)(O)CNCc1nc(C#N)cs1 ZINC000352799582 356082646 /nfs/dbraw/zinc/08/26/46/356082646.db2.gz OUEWGKWMZXZSPQ-NSHDSACASA-N 0 1 255.343 0.892 20 30 CCEDMN Cc1cc(CN2CCN(CCO)CC2)ccc1C#N ZINC000362956525 138082135 /nfs/dbraw/zinc/08/21/35/138082135.db2.gz ZHXGKPHUMGEVGC-UHFFFAOYSA-N 0 1 259.353 0.977 20 30 CCEDMN CC(C)(NC(=O)c1sccc1C#N)c1nn[nH]n1 ZINC000354804118 356502275 /nfs/dbraw/zinc/50/22/75/356502275.db2.gz OPOQYEOVGKPWCO-UHFFFAOYSA-N 0 1 262.298 0.798 20 30 CCEDMN CCc1cc(C(=O)N(CCC#N)CCOC)n[nH]1 ZINC000609136216 363473785 /nfs/dbraw/zinc/47/37/85/363473785.db2.gz CRLWKGAUTASAJM-UHFFFAOYSA-N 0 1 250.302 0.974 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)c2cnc[nH]2)CC1 ZINC000609494109 363500004 /nfs/dbraw/zinc/50/00/04/363500004.db2.gz BFPLFIKXEMFTRY-GFCCVEGCSA-N 0 1 261.329 0.716 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(CCCN2CCOCC2)CC1 ZINC000610391127 363554128 /nfs/dbraw/zinc/55/41/28/363554128.db2.gz UNPSIUPCICIWCW-INIZCTEOSA-N 0 1 294.443 0.874 20 30 CCEDMN N#CCN1CCN(C(=O)c2c[nH]c3ccccc3c2=O)CC1 ZINC000610397134 363554375 /nfs/dbraw/zinc/55/43/75/363554375.db2.gz GGDDBHAVGXDREA-UHFFFAOYSA-N 0 1 296.330 0.809 20 30 CCEDMN N#CCc1cccc(C(=O)NC[C@H]2CN3CCN2CCC3)c1 ZINC000619873308 366365167 /nfs/dbraw/zinc/36/51/67/366365167.db2.gz BTUANFQYSZEFNH-INIZCTEOSA-N 0 1 298.390 0.872 20 30 CCEDMN CO[C@@]1(CN[C@@H](C(N)=O)c2ccc(C#N)cc2)CCOC1 ZINC000359638903 356863091 /nfs/dbraw/zinc/86/30/91/356863091.db2.gz RVXHZCFQTJKSDT-UKRRQHHQSA-N 0 1 289.335 0.480 20 30 CCEDMN CCOC1CCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000610528069 363572700 /nfs/dbraw/zinc/57/27/00/363572700.db2.gz LDWDDAANZNKUHH-UHFFFAOYSA-N 0 1 277.328 0.746 20 30 CCEDMN C[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)c1cccnc1 ZINC000610561423 363577007 /nfs/dbraw/zinc/57/70/07/363577007.db2.gz VQZSLHBOLHMADL-SECBINFHSA-N 0 1 270.296 0.991 20 30 CCEDMN C=CCN1CC[C@H](N2CCO[C@@H](c3n[nH]c(C)n3)C2)C1=O ZINC000366898410 357252020 /nfs/dbraw/zinc/25/20/20/357252020.db2.gz VVXNGGVVXMQTKA-NWDGAFQWSA-N 0 1 291.355 0.273 20 30 CCEDMN C[C@H](O)CC(C)(C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610565422 363579795 /nfs/dbraw/zinc/57/97/95/363579795.db2.gz VPLLIKLQDPYTQI-VIFPVBQESA-N 0 1 279.344 0.632 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCCC(C)(C)CO)[nH]1 ZINC000610569875 363580272 /nfs/dbraw/zinc/58/02/72/363580272.db2.gz FINCZSJOZDXYEK-UHFFFAOYSA-N 0 1 279.344 0.634 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)C2(C#N)CCC2)C1 ZINC000398923904 357779367 /nfs/dbraw/zinc/77/93/67/357779367.db2.gz DZEDIBJWOUIIPX-GFCCVEGCSA-N 0 1 265.357 0.907 20 30 CCEDMN CC[N@H+]1CCCN(C(=O)Cc2noc(C)c2C#N)CC1 ZINC000408148332 357940931 /nfs/dbraw/zinc/94/09/31/357940931.db2.gz BPPBSJIDSOSWQD-UHFFFAOYSA-N 0 1 276.340 0.951 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)[C@@H]1CCC[C@H]1O ZINC000511572047 358334911 /nfs/dbraw/zinc/33/49/11/358334911.db2.gz CYXPKSZXLPUDII-BZPMIXESSA-N 0 1 265.357 0.640 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000543804273 358548405 /nfs/dbraw/zinc/54/84/05/358548405.db2.gz ZLCKWTKOEATRFF-RYUDHWBXSA-N 0 1 267.377 0.081 20 30 CCEDMN CCN1CCN([C@@H]2CCN(c3cc(C#N)ncn3)C2)CC1 ZINC000571005007 358903218 /nfs/dbraw/zinc/90/32/18/358903218.db2.gz LQHDYCXQEVGWKG-CQSZACIVSA-N 0 1 286.383 0.564 20 30 CCEDMN CN(CC(=O)NCC1(C#N)CC1)[C@H]1CCSC1 ZINC000571962166 358926582 /nfs/dbraw/zinc/92/65/82/358926582.db2.gz JTZMAZDXXVXBCV-JTQLQIEISA-N 0 1 253.371 0.844 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)C[C@H]1CC ZINC000572277665 358928773 /nfs/dbraw/zinc/92/87/73/358928773.db2.gz DOUAFUGGWDSAMD-LLVKDONJSA-N 0 1 268.361 0.024 20 30 CCEDMN CCN1CCN([C@@H]2CCN(c3cncc(C#N)n3)C2)CC1 ZINC000574196514 359037836 /nfs/dbraw/zinc/03/78/36/359037836.db2.gz GRJHUWUHNBJNGG-CQSZACIVSA-N 0 1 286.383 0.564 20 30 CCEDMN N#Cc1ccccc1OCCN1CC[C@@H](CO)[C@H](O)C1 ZINC000573991497 359018878 /nfs/dbraw/zinc/01/88/78/359018878.db2.gz WFRCJAPWRFIDOO-UONOGXRCSA-N 0 1 276.336 0.612 20 30 CCEDMN C[C@H]1CNCCN1C(=O)C(=O)N1CCC(CCC#N)CC1 ZINC000584598780 359178011 /nfs/dbraw/zinc/17/80/11/359178011.db2.gz MYWFIQBSSXOENL-LBPRGKRZSA-N 0 1 292.383 0.349 20 30 CCEDMN N#Cc1ncn(CC(=O)Nc2cnn(-c3ccncc3)c2)n1 ZINC000610883307 363626088 /nfs/dbraw/zinc/62/60/88/363626088.db2.gz LMRIKYWSFYRNGF-UHFFFAOYSA-N 0 1 294.278 0.369 20 30 CCEDMN C[C@H](NC[C@@H](C#N)CCC#N)[C@@H]1CN(C)CCO1 ZINC000610897274 363628527 /nfs/dbraw/zinc/62/85/27/363628527.db2.gz ALFIQFPKXWDQFA-XQQFMLRXSA-N 0 1 250.346 0.739 20 30 CCEDMN CS[C@@H](C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611049978 363653309 /nfs/dbraw/zinc/65/33/09/363653309.db2.gz NHVIZCTWMCEPPF-ZETCQYMHSA-N 0 1 253.331 0.587 20 30 CCEDMN C[C@H](O)C[C@H]1CCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000611050229 363653665 /nfs/dbraw/zinc/65/36/65/363653665.db2.gz QINUCSKBBVVHSV-GXSJLCMTSA-N 0 1 277.328 0.481 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)CCCCCC#N)C1 ZINC000588360272 359323792 /nfs/dbraw/zinc/32/37/92/359323792.db2.gz QECJIOMUGMMVEY-ZDUSSCGKSA-N 0 1 266.389 0.822 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCc2cn[nH]c2C1 ZINC000611008675 363648633 /nfs/dbraw/zinc/64/86/33/363648633.db2.gz GQWZUEQYFLUEGD-LLVKDONJSA-N 0 1 275.356 0.776 20 30 CCEDMN CCN1CCN(CC(=O)N(CCC#N)CCC#N)C[C@H]1C ZINC000611092552 363659281 /nfs/dbraw/zinc/65/92/81/363659281.db2.gz ZCQMKIGFJZDCSD-CQSZACIVSA-N 0 1 291.399 0.668 20 30 CCEDMN C[C@H](CO)CN1CCN(c2cnccc2C#N)CC1 ZINC000590013238 359418596 /nfs/dbraw/zinc/41/85/96/359418596.db2.gz FIFYAVKGYBTSKU-LBPRGKRZSA-N 0 1 260.341 0.704 20 30 CCEDMN N#Cc1ccc2ncc(CNC3COCCOC3)n2c1 ZINC000590052869 359420345 /nfs/dbraw/zinc/42/03/45/359420345.db2.gz OEDVRVLEEUIYFX-UHFFFAOYSA-N 0 1 272.308 0.711 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCSC[C@@H]1CC(=O)OC ZINC000590701239 359509466 /nfs/dbraw/zinc/50/94/66/359509466.db2.gz RWMPAJQIMGHSNY-WDEREUQCSA-N 0 1 259.371 0.904 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)CC3(CC#N)CC3)[C@H]2C1 ZINC000590757796 359517095 /nfs/dbraw/zinc/51/70/95/359517095.db2.gz AOUGLEJSBWBBDS-NEPJUHHUSA-N 0 1 283.397 0.646 20 30 CCEDMN C[C@@H]1COCCN1C1CCN(C(=O)CSCC#N)CC1 ZINC000590555136 359484975 /nfs/dbraw/zinc/48/49/75/359484975.db2.gz MKGPKVQKFSXHIW-GFCCVEGCSA-N 0 1 297.424 0.955 20 30 CCEDMN CN(C(=O)N=c1[nH]n(C)cc1C#N)[C@H]1CCSC1 ZINC000611127468 363662472 /nfs/dbraw/zinc/66/24/72/363662472.db2.gz MVACWKDJPVBJCX-VIFPVBQESA-N 0 1 265.342 0.683 20 30 CCEDMN C=CCCOCC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000591225141 359570997 /nfs/dbraw/zinc/57/09/97/359570997.db2.gz LAVQGMFBHHZXSA-GFCCVEGCSA-N 0 1 284.356 0.142 20 30 CCEDMN C=CCOCC(=O)N[C@H](c1nn[n-]n1)c1ccccc1 ZINC000591442157 359593553 /nfs/dbraw/zinc/59/35/53/359593553.db2.gz ZJVMMSMLGOLZRB-LBPRGKRZSA-N 0 1 273.296 0.608 20 30 CCEDMN C=CCOCC(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000591442157 359593559 /nfs/dbraw/zinc/59/35/59/359593559.db2.gz ZJVMMSMLGOLZRB-LBPRGKRZSA-N 0 1 273.296 0.608 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CNCC2(C#N)CC2)C[C@@H](C)O1 ZINC000591764635 359654266 /nfs/dbraw/zinc/65/42/66/359654266.db2.gz IVEPXZZNFADDKB-JHJVBQTASA-N 0 1 267.373 0.350 20 30 CCEDMN Cc1nc(CCNc2ncc3c(c2C#N)CCC3)n[nH]1 ZINC000591821246 359663332 /nfs/dbraw/zinc/66/33/32/359663332.db2.gz YOGPHDIOFJIJGI-UHFFFAOYSA-N 0 1 268.324 0.945 20 30 CCEDMN Cc1nnc(CCNc2ncc3c(c2C#N)CCC3)[nH]1 ZINC000591821246 359663336 /nfs/dbraw/zinc/66/33/36/359663336.db2.gz YOGPHDIOFJIJGI-UHFFFAOYSA-N 0 1 268.324 0.945 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2ccncc2C#N)CC1 ZINC000592066630 359745188 /nfs/dbraw/zinc/74/51/88/359745188.db2.gz CBMFEACMVRYTJP-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN N#Cc1cccc(NC(=O)NCC[N@H+]2CCCOCC2)n1 ZINC000592268294 359807934 /nfs/dbraw/zinc/80/79/34/359807934.db2.gz PPXNFOFEVQMKKD-UHFFFAOYSA-N 0 1 289.339 0.797 20 30 CCEDMN N#Cc1cccc(NC(=O)NCCN2CCCOCC2)n1 ZINC000592268294 359807943 /nfs/dbraw/zinc/80/79/43/359807943.db2.gz PPXNFOFEVQMKKD-UHFFFAOYSA-N 0 1 289.339 0.797 20 30 CCEDMN N#CCC[C@@H](C#N)CNC[C@@H](O)COCC1CC1 ZINC000592432230 359839362 /nfs/dbraw/zinc/83/93/62/359839362.db2.gz QSYMCGXRWNYARN-QWHCGFSZSA-N 0 1 251.330 0.807 20 30 CCEDMN CCO[C@H](CC)C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592646451 359908132 /nfs/dbraw/zinc/90/81/32/359908132.db2.gz ORDPOSDZFYJRIQ-SNVBAGLBSA-N 0 1 250.302 0.776 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cn(CC3CC3)nn2)[nH]n1C ZINC000592648137 359908205 /nfs/dbraw/zinc/90/82/05/359908205.db2.gz BCBPXSSXPZCTHQ-UHFFFAOYSA-N 0 1 285.311 0.276 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2O[C@@H](C)C[C@H]2C)[nH]n1C ZINC000592649247 359908868 /nfs/dbraw/zinc/90/88/68/359908868.db2.gz LYVIPVOUWSDFSK-VHSKPIJISA-N 0 1 262.313 0.774 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2C[C@@]23CCOC3)[nH]n1C ZINC000592653121 359908964 /nfs/dbraw/zinc/90/89/64/359908964.db2.gz KRBPZHQYYNEJEG-ZWNOBZJWSA-N 0 1 260.297 0.387 20 30 CCEDMN C[C@H]1CC(NC(=O)N=c2[nH]n(C)cc2C#N)C[C@H](C)O1 ZINC000611245806 363697026 /nfs/dbraw/zinc/69/70/26/363697026.db2.gz VSWFAWONPLNEDQ-IUCAKERBSA-N 0 1 277.328 0.791 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)Cc1cc2n(n1)CCC2 ZINC000593020413 360015761 /nfs/dbraw/zinc/01/57/61/360015761.db2.gz YWQSJPDFRCMNCD-UHFFFAOYSA-N 0 1 299.382 0.901 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)[C@@H](C)CCO ZINC000593109963 360042344 /nfs/dbraw/zinc/04/23/44/360042344.db2.gz PGIODGHDSUEZFA-AAEUAGOBSA-N 0 1 255.362 0.744 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000593508951 360103480 /nfs/dbraw/zinc/10/34/80/360103480.db2.gz XKLZOUATEMHTOY-OLZOCXBDSA-N 0 1 265.357 0.717 20 30 CCEDMN COCC1(CN2CCN(C(=O)CC#N)CC2)CCC1 ZINC000594003518 360233281 /nfs/dbraw/zinc/23/32/81/360233281.db2.gz QNXFCALYUULWLX-UHFFFAOYSA-N 0 1 265.357 0.861 20 30 CCEDMN COCCN(CCC#N)C(=O)[C@@H](C)Cc1cnc[nH]1 ZINC000594273014 360267304 /nfs/dbraw/zinc/26/73/04/360267304.db2.gz LNUDTEWLGQFWDH-NSHDSACASA-N 0 1 264.329 0.977 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)CCCCC#N)CC2)n[nH]1 ZINC000594294179 360269043 /nfs/dbraw/zinc/26/90/43/360269043.db2.gz QXJGHWBRVNUALV-UHFFFAOYSA-N 0 1 290.371 0.841 20 30 CCEDMN COC(=O)c1cc(CNCCn2cnc(C#N)n2)c(C)o1 ZINC000594605786 360338872 /nfs/dbraw/zinc/33/88/72/360338872.db2.gz CSEPLVAEVQTECI-UHFFFAOYSA-N 0 1 289.295 0.628 20 30 CCEDMN N#Cc1ccncc1N1CCN(C[C@H]2CNC(=O)C2)CC1 ZINC000595500668 360552464 /nfs/dbraw/zinc/55/24/64/360552464.db2.gz GKXRFFNTZNYFKQ-GFCCVEGCSA-N 0 1 285.351 0.211 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)Cc1cnc[nH]1 ZINC000595595225 360592476 /nfs/dbraw/zinc/59/24/76/360592476.db2.gz DVWOWGNJQIOHPB-VIFPVBQESA-N 0 1 265.317 0.242 20 30 CCEDMN COC(=O)CCN1CC[C@H](N2CCC[C@@H](CC#N)C2)C1=O ZINC000595613753 360596798 /nfs/dbraw/zinc/59/67/98/360596798.db2.gz AQKZUJWLFSQMCH-STQMWFEESA-N 0 1 293.367 0.776 20 30 CCEDMN C[C@@]12COC[C@H]1CN(CC(=O)NC1(C#N)CCC1)C2 ZINC000595756981 360642495 /nfs/dbraw/zinc/64/24/95/360642495.db2.gz IBTUIFHXWUTSFY-DGCLKSJQSA-N 0 1 263.341 0.517 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@H](C)[C@@](C)(CO)C1 ZINC000595880771 360689477 /nfs/dbraw/zinc/68/94/77/360689477.db2.gz ZCRZKZZHACKHRP-COPLHBTASA-N 0 1 283.372 0.337 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CC[C@@H]2COC[C@H]2C1 ZINC000595836703 360675035 /nfs/dbraw/zinc/67/50/35/360675035.db2.gz YIDKFAPBYUZCST-ZIAGYGMSSA-N 0 1 290.367 0.611 20 30 CCEDMN Cn1ncc(C#N)c1N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000596111685 360797717 /nfs/dbraw/zinc/79/77/17/360797717.db2.gz ARDMYYRXXGBJOE-QWHCGFSZSA-N 0 1 275.356 0.327 20 30 CCEDMN Cc1nc([C@H]2CCN(c3cc(C#N)ncn3)C2)n[nH]1 ZINC000596272654 360862732 /nfs/dbraw/zinc/86/27/32/360862732.db2.gz BOYSPIMGLVSVEJ-VIFPVBQESA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@H]2CCN(c3cc(C#N)ncn3)C2)[nH]1 ZINC000596272654 360862739 /nfs/dbraw/zinc/86/27/39/360862739.db2.gz BOYSPIMGLVSVEJ-VIFPVBQESA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1cc(C(=O)NC[C@@H]2CN3CCN2CCC3)ncc1C#N ZINC000597625179 361167362 /nfs/dbraw/zinc/16/73/62/361167362.db2.gz HKORCHDOPCLVGM-CQSZACIVSA-N 0 1 299.378 0.381 20 30 CCEDMN COC1CCN(CCNc2nccnc2C#N)CC1 ZINC000301523634 201045124 /nfs/dbraw/zinc/04/51/24/201045124.db2.gz GSCIJYKNYYRFHB-UHFFFAOYSA-N 0 1 261.329 0.871 20 30 CCEDMN N#Cc1ccc(NC(=O)CNC(CO)CO)cc1Cl ZINC000564341857 291275788 /nfs/dbraw/zinc/27/57/88/291275788.db2.gz DKKKEWOYJSXLIH-UHFFFAOYSA-N 0 1 283.715 0.093 20 30 CCEDMN N#Cc1csc(CNCCN2CC[C@H](O)C2)n1 ZINC000599018203 361556456 /nfs/dbraw/zinc/55/64/56/361556456.db2.gz HEQSAHSYAOMSMV-JTQLQIEISA-N 0 1 252.343 0.171 20 30 CCEDMN Cc1nsc(NCCN2CC[C@@H](O)C2)c1C#N ZINC000599175691 361598992 /nfs/dbraw/zinc/59/89/92/361598992.db2.gz IDMNPPVSYXURBJ-SECBINFHSA-N 0 1 252.343 0.802 20 30 CCEDMN C=C(C)CNS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599282936 361631136 /nfs/dbraw/zinc/63/11/36/361631136.db2.gz WLNLBYUECSPDQC-UHFFFAOYSA-N 0 1 260.275 0.183 20 30 CCEDMN C=CCOc1ccc(C(=O)NCc2nn[nH]n2)cc1OC ZINC000599344400 361662798 /nfs/dbraw/zinc/66/27/98/361662798.db2.gz HGTNLBKGYBFMJS-UHFFFAOYSA-N 0 1 289.295 0.703 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000612013771 363829527 /nfs/dbraw/zinc/82/95/27/363829527.db2.gz BZXNAGMXPMDFQZ-OCCSQVGLSA-N 0 1 268.357 0.104 20 30 CCEDMN C[C@H]1[C@H](C)[N@@H+](C)CCN1C(=O)N=c1[n-]n(C)cc1C#N ZINC000612573660 363961903 /nfs/dbraw/zinc/96/19/03/363961903.db2.gz ZTOSRRJITIMWBN-UWVGGRQHSA-N 0 1 276.344 0.270 20 30 CCEDMN N#CCN1CCC(Nc2ccncc2C(N)=O)CC1 ZINC000613045375 364101005 /nfs/dbraw/zinc/10/10/05/364101005.db2.gz LBPIERBHDYMXJH-UHFFFAOYSA-N 0 1 259.313 0.002 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000614444588 364607614 /nfs/dbraw/zinc/60/76/14/364607614.db2.gz AFBNTNSTFLRKAB-CHWSQXEVSA-N 0 1 268.357 0.247 20 30 CCEDMN CCO[C@@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)[C@H]1OC ZINC000614325635 364571057 /nfs/dbraw/zinc/57/10/57/364571057.db2.gz AIEQGTSFYDIXTD-GMTAPVOTSA-N 0 1 293.327 0.028 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000615084642 364845281 /nfs/dbraw/zinc/84/52/81/364845281.db2.gz XMSVSSWRJAANRV-IUODEOHRSA-N 0 1 282.384 0.635 20 30 CCEDMN C[C@@]1(O)CCCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000564989764 291332189 /nfs/dbraw/zinc/33/21/89/291332189.db2.gz ZRNMNDMZZYVYAM-CQSZACIVSA-N 0 1 271.324 0.948 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N2CC[C@@](F)(C#N)C2)cn1 ZINC000615826163 365098792 /nfs/dbraw/zinc/09/87/92/365098792.db2.gz QVWFSHFHCNKECK-CYBMUJFWSA-N 0 1 288.286 0.888 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCOC(F)(F)F)[nH]1 ZINC000616096162 365176657 /nfs/dbraw/zinc/17/66/57/365176657.db2.gz KMRKQTMPLKEYJX-UHFFFAOYSA-N 0 1 277.206 0.372 20 30 CCEDMN CCN(CCOCCO)Cc1cnc2c(C#N)cnn2c1 ZINC000566243490 291382709 /nfs/dbraw/zinc/38/27/09/291382709.db2.gz WIGSTIYFLSEGPW-UHFFFAOYSA-N 0 1 289.339 0.432 20 30 CCEDMN C=CCCn1cc(CNCCc2cncn2C)nn1 ZINC000623085766 367445582 /nfs/dbraw/zinc/44/55/82/367445582.db2.gz DDRAXYPWNIVBIR-UHFFFAOYSA-N 0 1 260.345 0.920 20 30 CCEDMN C=CC[C@H]1CCN(CC(=O)NCC(=O)N2CCCC2)C1 ZINC000625699541 368298144 /nfs/dbraw/zinc/29/81/44/368298144.db2.gz AGZVMTXVVUEMRJ-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C[C@@H]1CN(C)[C@@H](C)CN1C(=O)CNc1ccc(C#N)cn1 ZINC000330617360 203044068 /nfs/dbraw/zinc/04/40/68/203044068.db2.gz WYVUVCRQXHWORV-NWDGAFQWSA-N 0 1 287.367 0.338 20 30 CCEDMN Cc1cc([C@H]2CCCN(C(=O)Cn3cnc(C#N)n3)C2)n[nH]1 ZINC000331752084 204125251 /nfs/dbraw/zinc/12/52/51/204125251.db2.gz AKSJTJJRSGJABG-NSHDSACASA-N 0 1 299.338 0.588 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)CC1CCC1 ZINC000634534907 372073747 /nfs/dbraw/zinc/07/37/47/372073747.db2.gz QIJDYNPIGQXNGU-UHFFFAOYSA-N 0 1 257.315 0.744 20 30 CCEDMN O[C@H](CNCC#Cc1ccccc1)CC1(O)CCOCC1 ZINC000634698676 372145574 /nfs/dbraw/zinc/14/55/74/372145574.db2.gz UJLPHMFEGJDTCF-INIZCTEOSA-N 0 1 289.375 0.920 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@H]1CCN1C1CCCC1 ZINC000635777229 372895982 /nfs/dbraw/zinc/89/59/82/372895982.db2.gz WYVZDLJUWSMEHO-GFCCVEGCSA-N 0 1 265.357 0.422 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@H]1CCN1C1CCCC1 ZINC000636111667 373372973 /nfs/dbraw/zinc/37/29/73/373372973.db2.gz NRGBGTFUIYJFGA-TZMCWYRMSA-N 0 1 264.369 0.894 20 30 CCEDMN CCOC(=O)c1ccc(CNCCn2cnc(C#N)n2)o1 ZINC000289537740 197395221 /nfs/dbraw/zinc/39/52/21/197395221.db2.gz HWWFDTIDJAGWJJ-UHFFFAOYSA-N 0 1 289.295 0.709 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639823278 376032871 /nfs/dbraw/zinc/03/28/71/376032871.db2.gz AGAHCZBAHZKARQ-UWVGGRQHSA-N 0 1 279.344 0.352 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@H]2C[C@@]2(O)C1 ZINC000640476385 376387448 /nfs/dbraw/zinc/38/74/48/376387448.db2.gz IUXWIEABXSHBEW-OUCADQQQSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCN(CC=C)C(=O)Cn1cc(C(C)(C)NC)nn1 ZINC000640792834 376546059 /nfs/dbraw/zinc/54/60/59/376546059.db2.gz ZASIKIIOOSKXPC-UHFFFAOYSA-N 0 1 277.372 0.933 20 30 CCEDMN COc1ccc(C#N)cc1CN1CCC[C@@](O)(CO)C1 ZINC000313697018 249001385 /nfs/dbraw/zinc/00/13/85/249001385.db2.gz YWNUDMNEVFZPKD-HNNXBMFYSA-N 0 1 276.336 0.886 20 30 CCEDMN CO[C@@H]1COC[C@@H]1NCC(=O)NCc1cccc(C#N)c1 ZINC000459822423 249065316 /nfs/dbraw/zinc/06/53/16/249065316.db2.gz HKSRPPZKRGIFNG-UONOGXRCSA-N 0 1 289.335 0.178 20 30 CCEDMN C[C@@H]1CN(C)CCN1Cc1cnc2c(C#N)cnn2c1 ZINC000515384230 249131806 /nfs/dbraw/zinc/13/18/06/249131806.db2.gz FYBZTWVYMMPGRF-LLVKDONJSA-N 0 1 270.340 0.737 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@H](COC)[C@H]1CCCO1 ZINC000278997012 194244555 /nfs/dbraw/zinc/24/45/55/194244555.db2.gz CENXQENKFRCJNU-ZIAGYGMSSA-N 0 1 282.384 0.971 20 30 CCEDMN C=CCN1C(=O)N=NC1S[C@H]1CCN(CC)C1=O ZINC000657251753 412942854 /nfs/dbraw/zinc/94/28/54/412942854.db2.gz LKFZYDKICQJUKM-QMMMGPOBSA-N 0 1 268.342 0.883 20 30 CCEDMN COC1CC(N(C)CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000352219098 533031487 /nfs/dbraw/zinc/03/14/87/533031487.db2.gz BRZOKOZSYAIMLO-ORHYLEIMSA-N 0 1 265.357 0.904 20 30 CCEDMN COC1CC(N(C)CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000352219099 533032921 /nfs/dbraw/zinc/03/29/21/533032921.db2.gz BRZOKOZSYAIMLO-YIZWMMSDSA-N 0 1 265.357 0.904 20 30 CCEDMN C#CCCNC(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000267199602 533062526 /nfs/dbraw/zinc/06/25/26/533062526.db2.gz RYOWYUVZKQKVPJ-UHFFFAOYSA-N 0 1 288.351 0.992 20 30 CCEDMN C=CCCn1cc(CNC[C@@]2(O)CCO[C@@H]2C)nn1 ZINC000657455497 412995152 /nfs/dbraw/zinc/99/51/52/412995152.db2.gz RUXMSGVDKNRFKI-YPMHNXCESA-N 0 1 266.345 0.484 20 30 CCEDMN N#Cc1cncc(NCCCN2CCC[C@@H]2C(N)=O)n1 ZINC000575055261 335060039 /nfs/dbraw/zinc/06/00/39/335060039.db2.gz JCWPNOQCYRLQKY-LLVKDONJSA-N 0 1 274.328 0.100 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NCCN(C)Cc1ccccc1 ZINC000284348474 388775971 /nfs/dbraw/zinc/77/59/71/388775971.db2.gz BOTOOQBNSDKXPH-UHFFFAOYSA-N 0 1 289.379 0.879 20 30 CCEDMN N#CCC(=O)N[C@@H]1CN(Cc2ccccc2)CC[C@H]1CO ZINC000184990060 388781454 /nfs/dbraw/zinc/78/14/54/388781454.db2.gz QBRRBLNFECMTHA-LSDHHAIUSA-N 0 1 287.363 0.899 20 30 CCEDMN C=CCNC(=O)CN[C@@H](C)c1ccc2c(c1)OCC(=O)N2 ZINC000286193561 388839155 /nfs/dbraw/zinc/83/91/55/388839155.db2.gz QYCKNPZQMJTVQI-JTQLQIEISA-N 0 1 289.335 0.970 20 30 CCEDMN OC[C@H]1CC[C@H](CO)N1CC#Cc1ccc(F)cc1 ZINC000287420654 388851800 /nfs/dbraw/zinc/85/18/00/388851800.db2.gz OXNUZWMPYOSCNJ-HUUCEWRRSA-N 0 1 263.312 0.995 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC000288833459 388866118 /nfs/dbraw/zinc/86/61/18/388866118.db2.gz CQHBGNXKZPFEHP-WDEREUQCSA-N 0 1 265.357 0.372 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC000289044113 388867626 /nfs/dbraw/zinc/86/76/26/388867626.db2.gz XAAFXYBSBNSSFE-OLZOCXBDSA-N 0 1 291.395 0.928 20 30 CCEDMN C=C[C@@H](CO)NC(=O)NCCCc1cn[nH]c1C ZINC000290689162 388910609 /nfs/dbraw/zinc/91/06/09/388910609.db2.gz QWCATLAJXLFNJN-NSHDSACASA-N 0 1 252.318 0.497 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](C)O[C@H](COC)C2)CC1 ZINC000188161349 388915938 /nfs/dbraw/zinc/91/59/38/388915938.db2.gz RHEZUFCIKNEKMZ-ZFWWWQNUSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](C(=O)NCCCC)C1 ZINC000051058964 388926265 /nfs/dbraw/zinc/92/62/65/388926265.db2.gz MTPDOWIMAMFTFU-UONOGXRCSA-N 0 1 293.411 0.753 20 30 CCEDMN C#Cc1ccccc1CC(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000291751016 388935093 /nfs/dbraw/zinc/93/50/93/388935093.db2.gz ULDSFGWHDLAFJR-NSHDSACASA-N 0 1 283.335 0.986 20 30 CCEDMN CCc1ccc(C#CC(=O)NCCN2CCN(C)CC2)cc1 ZINC000292858145 388963996 /nfs/dbraw/zinc/96/39/96/388963996.db2.gz XANACXARJQKTLP-UHFFFAOYSA-N 0 1 299.418 0.964 20 30 CCEDMN C[NH+](C)C[C@](C)(O)CNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188720067 388938366 /nfs/dbraw/zinc/93/83/66/388938366.db2.gz YYKXLEFMHNKOAA-CQSZACIVSA-N 0 1 277.324 0.306 20 30 CCEDMN Cc1nc(NC(=O)c2ccc(C#N)c(O)c2)n(C)n1 ZINC000188796315 388941153 /nfs/dbraw/zinc/94/11/53/388941153.db2.gz ZTILWYVPCWSXIP-UHFFFAOYSA-N 0 1 257.253 0.953 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCSC2)CC1 ZINC000070436413 388955271 /nfs/dbraw/zinc/95/52/71/388955271.db2.gz UYVIIMJEQARMQB-SNVBAGLBSA-N 0 1 253.371 0.844 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000293305142 388981743 /nfs/dbraw/zinc/98/17/43/388981743.db2.gz FDDWWDZLLYGJRZ-GRYCIOLGSA-N 0 1 282.388 0.411 20 30 CCEDMN C[C@@H]1CCCCN1CCNS(=O)(=O)N(C)CCC#N ZINC000076190844 388986653 /nfs/dbraw/zinc/98/66/53/388986653.db2.gz PUIQNNUQGQHCQV-GFCCVEGCSA-N 0 1 288.417 0.541 20 30 CCEDMN C#CCNC(=O)CCN(CC(=O)OC)[C@@H](C)CC ZINC000293963285 388990757 /nfs/dbraw/zinc/99/07/57/388990757.db2.gz CPLYZCBWCCBBDY-NSHDSACASA-N 0 1 254.330 0.399 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)C(=O)N[C@@H](C)c1nnc[nH]1 ZINC000190996346 389003210 /nfs/dbraw/zinc/00/32/10/389003210.db2.gz RAVDXOJFOZTZDH-VIFPVBQESA-N 0 1 298.306 0.801 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+](C)C1(CO)CCOCC1 ZINC000191019338 389003866 /nfs/dbraw/zinc/00/38/66/389003866.db2.gz MJNJKAKMDZMSRS-UHFFFAOYSA-N 0 1 282.384 0.660 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(C)=O)C1=O ZINC000337180564 389049984 /nfs/dbraw/zinc/04/99/84/389049984.db2.gz MFTVHTFEKXKCAN-GFCCVEGCSA-N 0 1 253.346 0.231 20 30 CCEDMN C[C@@H]1CCC[C@H](CO)N1CC(=O)N(CCC#N)CCC#N ZINC000305429890 389085877 /nfs/dbraw/zinc/08/58/77/389085877.db2.gz DLPQMBXGMITASN-ZIAGYGMSSA-N 0 1 292.383 0.878 20 30 CCEDMN C#CCN(C)C(=O)C(=O)N1CCN(CCC(C)(C)C)CC1 ZINC000298602561 389034691 /nfs/dbraw/zinc/03/46/91/389034691.db2.gz QLZQZBATKDDMTC-UHFFFAOYSA-N 0 1 293.411 0.658 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N1CCN(C)CC[C@H]1C ZINC000337084147 389042427 /nfs/dbraw/zinc/04/24/27/389042427.db2.gz CHZMASOIGFOMDQ-VXGBXAGGSA-N 0 1 288.417 0.349 20 30 CCEDMN C=CC[C@H](CO)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000358291910 389147136 /nfs/dbraw/zinc/14/71/36/389147136.db2.gz USXAYMYWUXXUIX-VHSXEESVSA-N 0 1 264.329 0.503 20 30 CCEDMN CNC(=O)[C@H]1CCCN(CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000111270118 389103472 /nfs/dbraw/zinc/10/34/72/389103472.db2.gz KDLXUTLDFOUYOB-WFASDCNBSA-N 0 1 294.399 0.499 20 30 CCEDMN CCN(CCC#N)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000338309733 389107887 /nfs/dbraw/zinc/10/78/87/389107887.db2.gz VYRTUHDCDMWNGG-LLVKDONJSA-N 0 1 259.375 0.503 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NC[C@H]1CN(C)CCN1C)OCC ZINC000339372241 389131087 /nfs/dbraw/zinc/13/10/87/389131087.db2.gz XUGIOFJQJGMHEJ-UONOGXRCSA-N 0 1 283.416 0.720 20 30 CCEDMN CC1CCN(CC(=O)NCCn2ncnn2)CC1 ZINC000328739644 389134983 /nfs/dbraw/zinc/13/49/83/389134983.db2.gz IAIGXRZRQSGRFK-UHFFFAOYSA-N 0 1 252.322 0.362 20 30 CCEDMN Cc1cnn(CCNC(=O)N2C[C@@H]3COC[C@H](C2)O3)c1 ZINC000328759689 389136296 /nfs/dbraw/zinc/13/62/96/389136296.db2.gz WOSOVLJDXGGKAY-TXEJJXNPSA-N 0 1 280.328 0.205 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H](C)N(C)[C@H](C)C1 ZINC000339620354 389136702 /nfs/dbraw/zinc/13/67/02/389136702.db2.gz VRJLMZZZWWHVMP-GHMZBOCLSA-N 0 1 268.361 0.023 20 30 CCEDMN Cc1cncc(CNC(=O)NC[C@@H]2CN(C)CCN2C)c1 ZINC000328861110 389141404 /nfs/dbraw/zinc/14/14/04/389141404.db2.gz ZEUXCUGGWRRJBN-CQSZACIVSA-N 0 1 291.399 0.639 20 30 CCEDMN C[C@H](Cn1cncn1)NC(=O)N[C@@H]1CCO[C@H]1C1CC1 ZINC000328854067 389141793 /nfs/dbraw/zinc/14/17/93/389141793.db2.gz WGSOWEJXJHYMAJ-JLLWLGSASA-N 0 1 279.344 0.738 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2[nH]cnc2C1)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000329747357 389195639 /nfs/dbraw/zinc/19/56/39/389195639.db2.gz SIEFKKFDUSXATJ-MIJXAVMKSA-N 0 1 290.367 0.884 20 30 CCEDMN C=C[C@H](CO)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000360489651 389198528 /nfs/dbraw/zinc/19/85/28/389198528.db2.gz HKPXBLZVOCOWLU-RKDXNWHRSA-N 0 1 250.302 0.113 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NC1(CO)CCC1 ZINC000329783212 389200414 /nfs/dbraw/zinc/20/04/14/389200414.db2.gz OIXQLZLIPAVDPO-GFCCVEGCSA-N 0 1 284.404 0.041 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N[C@@]2(C)CCCOC2)C1 ZINC000329153720 389151293 /nfs/dbraw/zinc/15/12/93/389151293.db2.gz UIPMNMHGKAGQNN-OCCSQVGLSA-N 0 1 284.404 0.305 20 30 CCEDMN [O-]C(N[C@@H]1CCCOCC1)=[NH+]CCn1cncn1 ZINC000329306243 389156212 /nfs/dbraw/zinc/15/62/12/389156212.db2.gz XVSUASQSSCNNMC-SNVBAGLBSA-N 0 1 253.306 0.351 20 30 CCEDMN O=C(NCCn1cncn1)N[C@@H]1CCCOCC1 ZINC000329306243 389156216 /nfs/dbraw/zinc/15/62/16/389156216.db2.gz XVSUASQSSCNNMC-SNVBAGLBSA-N 0 1 253.306 0.351 20 30 CCEDMN CN(CC(=O)N[C@]1(CO)CCOC1)[C@@H]1CCSC1 ZINC000329816880 389204239 /nfs/dbraw/zinc/20/42/39/389204239.db2.gz FOYNXQDRHXVTDF-PWSUYJOCSA-N 0 1 274.386 0.532 20 30 CCEDMN C[C@H]1C[C@@H](O)CN1CC(=O)NCc1cccc(C#N)c1 ZINC000340907262 389164684 /nfs/dbraw/zinc/16/46/84/389164684.db2.gz LRTNONQTOYXXON-SMDDNHRTSA-N 0 1 273.336 0.630 20 30 CCEDMN CC[N@@H+]1CCC[C@@H]1C[NH+]=C([O-])N[C@H](C)Cn1cncn1 ZINC000329816719 389204664 /nfs/dbraw/zinc/20/46/64/389204664.db2.gz WCNCGPDIKOLXSK-VXGBXAGGSA-N 0 1 280.376 0.655 20 30 CCEDMN Cc1c(CNC(=O)N2CCn3cncc3C2)cnn1C ZINC000329542055 389169725 /nfs/dbraw/zinc/16/97/25/389169725.db2.gz DSAXKDVDEJXTLT-UHFFFAOYSA-N 0 1 274.328 0.855 20 30 CCEDMN Cc1noc(CNC(=O)N2CCN(C3CCC3)CC2)n1 ZINC000329586322 389172744 /nfs/dbraw/zinc/17/27/44/389172744.db2.gz YKAGMYFEEPASQO-UHFFFAOYSA-N 0 1 279.344 0.962 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)[C@H](C)CN1CCOCC1 ZINC000330572397 389242683 /nfs/dbraw/zinc/24/26/83/389242683.db2.gz NHZIJDBYQGINAN-QWHCGFSZSA-N 0 1 267.373 0.963 20 30 CCEDMN CCN1CCCN(C(=O)C(=O)NCCCCC#N)CC1 ZINC000343139746 389258965 /nfs/dbraw/zinc/25/89/65/389258965.db2.gz LRNRWLHDXTVLMX-UHFFFAOYSA-N 0 1 280.372 0.351 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(O)(COC)CC2)C1=O ZINC000330381031 389223002 /nfs/dbraw/zinc/22/30/02/389223002.db2.gz HWVDOPBBETYHEP-GFCCVEGCSA-N 0 1 268.357 0.247 20 30 CCEDMN CNC(=O)[C@H](C)CN(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000246150943 389224550 /nfs/dbraw/zinc/22/45/50/389224550.db2.gz HHEPJKBCRJMZHP-QMTHXVAHSA-N 0 1 280.372 0.109 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000330452687 389231085 /nfs/dbraw/zinc/23/10/85/389231085.db2.gz LDAUMBVHZHFWCQ-IUODEOHRSA-N 0 1 284.400 0.617 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@](C)(CC)C(N)=O ZINC000133175918 389232351 /nfs/dbraw/zinc/23/23/51/389232351.db2.gz VVLYIENSCUTLJX-MFKMUULPSA-N 0 1 268.361 0.238 20 30 CCEDMN CS[C@@H]1CN(S(=O)(=O)CCCC#N)C[C@@H]1N(C)C ZINC000330535361 389239240 /nfs/dbraw/zinc/23/92/40/389239240.db2.gz OOLJGXAWHGBIIV-WDEREUQCSA-N 0 1 291.442 0.597 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000345721808 389313350 /nfs/dbraw/zinc/31/33/50/389313350.db2.gz LVCVLHXUZPBJPF-KKUMJFAQSA-N 0 1 295.427 0.720 20 30 CCEDMN CC(C)(NCC(=O)NCC#N)C(=O)NCC1CCCC1 ZINC000155053302 389316531 /nfs/dbraw/zinc/31/65/31/389316531.db2.gz JFNYHXFUQPJYLD-UHFFFAOYSA-N 0 1 280.372 0.301 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCc1nc(C)n[nH]1 ZINC000264322109 389320990 /nfs/dbraw/zinc/32/09/90/389320990.db2.gz HPCAHMODTDGDNF-VIFPVBQESA-N 0 1 252.318 0.753 20 30 CCEDMN CC[C@H](O)CN1CCN(c2ccc(C#N)cn2)CC1 ZINC000264431494 389328305 /nfs/dbraw/zinc/32/83/05/389328305.db2.gz KRNRNOGRZCCAQJ-ZDUSSCGKSA-N 0 1 260.341 0.846 20 30 CCEDMN CC#CCN1CCC([C@@H](O)C(=O)NC2CC2)CC1 ZINC000332098854 389335496 /nfs/dbraw/zinc/33/54/96/389335496.db2.gz ANQFLKVIERKLCD-CYBMUJFWSA-N 0 1 250.342 0.361 20 30 CCEDMN C[C@H]1CC[C@H](C(N)=O)CN1CC(=O)NC1(C#N)CCC1 ZINC000346845492 389339456 /nfs/dbraw/zinc/33/94/56/389339456.db2.gz FAMPCFJKMPSZAH-QWRGUYRKSA-N 0 1 278.356 0.135 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N(C)[C@@H]1CCCN(C)C1 ZINC000407955343 260040588 /nfs/dbraw/zinc/04/05/88/260040588.db2.gz JGHADNFRHIPLEO-VXGBXAGGSA-N 0 1 288.417 0.349 20 30 CCEDMN CO[C@H](C)[C@H](C)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000330977722 389269906 /nfs/dbraw/zinc/26/99/06/389269906.db2.gz LFLFUPGUVACIIB-TUAOUCFPSA-N 0 1 272.393 0.159 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@H](C)O)[C@@H](C)C1 ZINC000330993402 389275959 /nfs/dbraw/zinc/27/59/59/389275959.db2.gz ZBWREAVRHNPRGH-OBJOEFQTSA-N 0 1 270.373 0.227 20 30 CCEDMN CC(C)[C@H](NC(=O)NC[C@@H]1CN(C)CCN1C)C(N)=O ZINC000331015900 389283714 /nfs/dbraw/zinc/28/37/14/389283714.db2.gz XZOWLKYYSKRYLL-MNOVXSKESA-N 0 1 285.392 0.296 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1CCN(C)CC[C@H]1C ZINC000410470095 389381007 /nfs/dbraw/zinc/38/10/07/389381007.db2.gz CVAOXWOHJQDIDV-GFCCVEGCSA-N 0 1 267.373 0.574 20 30 CCEDMN C=C(C)CN1CCC(NC(=O)N[C@H]2CC[S@](=O)C2)CC1 ZINC000332934778 389395518 /nfs/dbraw/zinc/39/55/18/389395518.db2.gz DLUKRDWDSSEXDH-RBZFPXEDSA-N 0 1 299.440 0.847 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](O)CC[C@@H]1C ZINC000421023568 389349192 /nfs/dbraw/zinc/34/91/92/389349192.db2.gz RILWUVARFGDVLT-RYUDHWBXSA-N 0 1 253.346 0.594 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2cccc(CC#N)c2)C1 ZINC000264797919 389355863 /nfs/dbraw/zinc/35/58/63/389355863.db2.gz VRAMQURSVSJBJO-HNNXBMFYSA-N 0 1 286.379 0.728 20 30 CCEDMN CC[C@H](CS(=O)(=O)Nc1ccc(C#N)c(C)n1)OC ZINC000421251830 389356389 /nfs/dbraw/zinc/35/63/89/389356389.db2.gz RANOKWXULGFNJU-LLVKDONJSA-N 0 1 283.353 0.850 20 30 CCEDMN C=CCOCCCN(C)C[C@H]1CCS(=O)(=O)C1 ZINC000348056216 389364404 /nfs/dbraw/zinc/36/44/04/389364404.db2.gz QQVHXZRGQDZMNB-GFCCVEGCSA-N 0 1 261.387 0.946 20 30 CCEDMN C#CCCN1CCN(Cc2cn3cccnc3n2)CC1 ZINC000272060257 389461641 /nfs/dbraw/zinc/46/16/41/389461641.db2.gz WNLZFGDASJJNBW-UHFFFAOYSA-N 0 1 269.352 0.870 20 30 CCEDMN C=CC1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)CC1 ZINC000334410212 389488452 /nfs/dbraw/zinc/48/84/52/389488452.db2.gz JNYBJCGQGYZYEY-CQSZACIVSA-N 0 1 280.416 0.840 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ncccc2C#N)[C@@H](C)C1 ZINC000275382661 389510069 /nfs/dbraw/zinc/51/00/69/389510069.db2.gz PIHSMTIBADDCCU-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000175884706 389547988 /nfs/dbraw/zinc/54/79/88/389547988.db2.gz WFUVOZYOBCZOMA-VIFPVBQESA-N 0 1 257.253 0.322 20 30 CCEDMN C=C1CCN(C[C@@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000335927783 389616559 /nfs/dbraw/zinc/61/65/59/389616559.db2.gz PXHZEBFHYFJPAB-LBPRGKRZSA-N 0 1 258.387 0.920 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000351613939 389634806 /nfs/dbraw/zinc/63/48/06/389634806.db2.gz CCVSEIWCVWNAJT-VIFPVBQESA-N 0 1 264.329 0.410 20 30 CCEDMN C=CCN1CCC(NC(=O)N[C@H](C)C[S@@](C)=O)CC1 ZINC000182727851 389715258 /nfs/dbraw/zinc/71/52/58/389715258.db2.gz OQKUHOLSSNNNPA-NSPYISDASA-N 0 1 287.429 0.703 20 30 CCEDMN N#Cc1ccc(OCCN2CCN3CCOC[C@@H]3C2)cc1 ZINC000375237517 389652505 /nfs/dbraw/zinc/65/25/05/389652505.db2.gz OJAOWXNLGILJHU-HNNXBMFYSA-N 0 1 287.363 0.953 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC000179506736 389658036 /nfs/dbraw/zinc/65/80/36/389658036.db2.gz CWXMCBWUYGDRSN-LLVKDONJSA-N 0 1 288.355 0.501 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000179506736 389658042 /nfs/dbraw/zinc/65/80/42/389658042.db2.gz CWXMCBWUYGDRSN-LLVKDONJSA-N 0 1 288.355 0.501 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@@H]1CCCN1C1CC1 ZINC000427086719 389679863 /nfs/dbraw/zinc/67/98/63/389679863.db2.gz VHFACDXLECUKPU-YPMHNXCESA-N 0 1 252.358 0.914 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)Nc2cccc(C#N)c2)CCN1 ZINC000415426404 389682523 /nfs/dbraw/zinc/68/25/23/389682523.db2.gz DUTMNSSDTVQTGN-JTQLQIEISA-N 0 1 272.308 0.317 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(c3nnc[nH]3)CC2)C1=O ZINC000375608164 389690934 /nfs/dbraw/zinc/69/09/34/389690934.db2.gz QGZMHRPZVJJFKO-LBPRGKRZSA-N 0 1 275.356 0.771 20 30 CCEDMN C[C@@H]1CN(C(=O)CC(C)(C)C#N)[C@@H](C)CN1CCO ZINC000416284418 389724146 /nfs/dbraw/zinc/72/41/46/389724146.db2.gz ANIBDTCEVMPVGU-NEPJUHHUSA-N 0 1 267.373 0.840 20 30 CCEDMN N#Cc1ccc(CN2CC[C@@](O)(C(N)=O)C2)cc1F ZINC000375700958 389701802 /nfs/dbraw/zinc/70/18/02/389701802.db2.gz KXGYMIFQYZHEMM-ZDUSSCGKSA-N 0 1 263.272 0.119 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2cccnc2C#N)[C@H]1C ZINC000379452549 389761324 /nfs/dbraw/zinc/76/13/24/389761324.db2.gz KCEZAUCYNXGTPV-ZJUUUORDSA-N 0 1 280.353 0.324 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NCCN1CCCC[C@H]1C ZINC000416374247 389737304 /nfs/dbraw/zinc/73/73/04/389737304.db2.gz PQJIQDPAGLMCOZ-MNOVXSKESA-N 0 1 259.375 0.692 20 30 CCEDMN C[C@H](NCC(=O)NCC#N)[C@@H]1OCCc2sccc21 ZINC000429166019 389742336 /nfs/dbraw/zinc/74/23/36/389742336.db2.gz GXJXLPHENCRWSQ-ZANVPECISA-N 0 1 279.365 0.980 20 30 CCEDMN CN1C(=O)CC[C@H](NCc2ccc(C#N)c(F)c2)C1=O ZINC000404095599 389810350 /nfs/dbraw/zinc/81/03/50/389810350.db2.gz AOSDZPQHWXLNME-LBPRGKRZSA-N 0 1 275.283 0.934 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000352472553 389816171 /nfs/dbraw/zinc/81/61/71/389816171.db2.gz GVMWIVUIQXMPEN-NXEZZACHSA-N 0 1 267.333 0.743 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)NCC1(CC#N)CC1 ZINC000430690207 389818095 /nfs/dbraw/zinc/81/80/95/389818095.db2.gz GEUITEFSRIRTDU-ZDUSSCGKSA-N 0 1 293.415 0.615 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@H]([C@H]2CCOC2)C1 ZINC000441289195 389829389 /nfs/dbraw/zinc/82/93/89/389829389.db2.gz CZBMGAUQSCRMGR-RYUDHWBXSA-N 0 1 251.330 0.375 20 30 CCEDMN C[C@H]1CCN(CC(=O)NCc2cccc(C#N)c2)[C@@H]1CO ZINC000442890375 389865322 /nfs/dbraw/zinc/86/53/22/389865322.db2.gz HRSFAAPXCINGKR-SWLSCSKDSA-N 0 1 287.363 0.877 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1C[C@H](C)NC[C@@H]1C ZINC000419066175 389886885 /nfs/dbraw/zinc/88/68/85/389886885.db2.gz QGHVLWHUVSZSFC-QWRGUYRKSA-N 0 1 253.346 0.278 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1CCNC[C@@H]1CCC ZINC000419155424 389888136 /nfs/dbraw/zinc/88/81/36/389888136.db2.gz SZMKWMUSYSPENH-LBPRGKRZSA-N 0 1 299.440 0.622 20 30 CCEDMN COCCN1CC[C@@H](NS(=O)(=O)CCCCC#N)C1 ZINC000444176513 389894829 /nfs/dbraw/zinc/89/48/29/389894829.db2.gz PGUMBQOKZPQPQO-GFCCVEGCSA-N 0 1 289.401 0.320 20 30 CCEDMN C=CCNc1ncc(C(=O)NCc2cnc[nH]2)s1 ZINC000433234752 389906750 /nfs/dbraw/zinc/90/67/50/389906750.db2.gz SHHQTHYTSMJODA-UHFFFAOYSA-N 0 1 263.326 0.816 20 30 CCEDMN C=C(C)CS(=O)(=O)N1C[C@@H](SC)[C@H](N(C)C)C1 ZINC000435427082 389957210 /nfs/dbraw/zinc/95/72/10/389957210.db2.gz LCZQLACZUWVWLN-GHMZBOCLSA-N 0 1 278.443 0.870 20 30 CCEDMN N#Cc1ccc(NC(=O)CN[C@@H](CO)[C@@H]2CCCO2)cc1 ZINC000447916562 389971500 /nfs/dbraw/zinc/97/15/00/389971500.db2.gz VTMDRKBNMYFGQM-KBPBESRZSA-N 0 1 289.335 0.626 20 30 CCEDMN N#Cc1ccc(NC(=O)CN[C@H](CO)[C@@H]2CCCO2)cc1 ZINC000447916563 389971620 /nfs/dbraw/zinc/97/16/20/389971620.db2.gz VTMDRKBNMYFGQM-KGLIPLIRSA-N 0 1 289.335 0.626 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000438713764 390010023 /nfs/dbraw/zinc/01/00/23/390010023.db2.gz ZVYHSZCSHQIBLH-LLVKDONJSA-N 0 1 278.360 0.490 20 30 CCEDMN C[C@H](NC(=O)CNc1ccc(C#N)cc1)c1nnc[nH]1 ZINC000479596976 390082035 /nfs/dbraw/zinc/08/20/35/390082035.db2.gz XOCIFUOXEKWUGW-VIFPVBQESA-N 0 1 270.296 0.966 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCCNC(=O)OC ZINC000490871194 390108260 /nfs/dbraw/zinc/10/82/60/390108260.db2.gz AROBAKKMDZPQHN-LBPRGKRZSA-N 0 1 282.340 0.763 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCCN(C(N)=O)C1 ZINC000490875603 390108331 /nfs/dbraw/zinc/10/83/31/390108331.db2.gz QTLBVLFHGNIKQA-CHWSQXEVSA-N 0 1 293.367 0.418 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@@H](OC(C)C)C2)CC1 ZINC000491439753 390113430 /nfs/dbraw/zinc/11/34/30/390113430.db2.gz LPXMHUASMNYRDL-OAHLLOKOSA-N 0 1 293.411 0.263 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000491646347 390115455 /nfs/dbraw/zinc/11/54/55/390115455.db2.gz SWWYJCBSMHKIFN-ZYHUDNBSSA-N 0 1 250.254 0.212 20 30 CCEDMN CNC(=O)[C@H](C)C[N@@H+](C)Cc1cnc2c(C#N)cnn2c1 ZINC000579475386 390177678 /nfs/dbraw/zinc/17/76/78/390177678.db2.gz OIOMMDOQBBDOPL-SNVBAGLBSA-N 0 1 286.339 0.415 20 30 CCEDMN CNC(=O)[C@H](C)CN(C)Cc1cnc2c(C#N)cnn2c1 ZINC000579475386 390177680 /nfs/dbraw/zinc/17/76/80/390177680.db2.gz OIOMMDOQBBDOPL-SNVBAGLBSA-N 0 1 286.339 0.415 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@@](O)(CC)C1 ZINC000495209169 390225593 /nfs/dbraw/zinc/22/55/93/390225593.db2.gz AMDBWBOJNSYJPS-JSGCOSHPSA-N 0 1 267.373 0.841 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H]2CCCN(C)C2)nn1 ZINC000644626380 390269054 /nfs/dbraw/zinc/26/90/54/390269054.db2.gz ALBLEAFNGCHOKE-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC([N@H+]2CCOC[C@H]2C)CC1 ZINC000582219624 390269979 /nfs/dbraw/zinc/26/99/79/390269979.db2.gz NHDKLHNWMSIWSN-KGLIPLIRSA-N 0 1 295.427 0.862 20 30 CCEDMN C=CCOCCN1CCC[C@H](NS(C)(=O)=O)C1 ZINC000645082935 390368993 /nfs/dbraw/zinc/36/89/93/390368993.db2.gz FPNAQLPBJDJQEN-NSHDSACASA-N 0 1 262.375 0.203 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](c3nnc[nH]3)C2)C1=O ZINC000644954263 390354735 /nfs/dbraw/zinc/35/47/35/390354735.db2.gz STKIGAVPERRVGP-GHMZBOCLSA-N 0 1 261.329 0.381 20 30 CCEDMN COCC#CCN1CCC2(CNC(=O)N2C)CC1 ZINC000644960148 390355421 /nfs/dbraw/zinc/35/54/21/390355421.db2.gz JCDJSSXKQMMDMR-UHFFFAOYSA-N 0 1 251.330 0.126 20 30 CCEDMN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1cc(CC#N)ccn1 ZINC000520851106 390590740 /nfs/dbraw/zinc/59/07/40/390590740.db2.gz UVMCBKZKUBOMDE-SECBINFHSA-N 0 1 296.352 0.366 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)CSc1nnc(C)[nH]1 ZINC000566615411 390633342 /nfs/dbraw/zinc/63/33/42/390633342.db2.gz JHULKNOHPGZTGZ-UWVGGRQHSA-N 0 1 282.369 0.913 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)CSc1nc(C)n[nH]1 ZINC000566615411 390633343 /nfs/dbraw/zinc/63/33/43/390633343.db2.gz JHULKNOHPGZTGZ-UWVGGRQHSA-N 0 1 282.369 0.913 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCN(CC2CC2)CC1 ZINC000527299758 390701042 /nfs/dbraw/zinc/70/10/42/390701042.db2.gz TZZFIKPLJFNNAN-ZDUSSCGKSA-N 0 1 290.411 0.965 20 30 CCEDMN CC1(C)CN(C[C@@H](O)CC2(C#N)CC2)C[C@@H](CO)O1 ZINC000528524459 390754026 /nfs/dbraw/zinc/75/40/26/390754026.db2.gz CFJDAFMWGYVTOF-RYUDHWBXSA-N 0 1 268.357 0.513 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)NC[C@H]1COCCN1 ZINC000528676491 390767154 /nfs/dbraw/zinc/76/71/54/390767154.db2.gz WFUQHLHWJNMBRL-LBPRGKRZSA-N 0 1 274.324 0.977 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CNC(C)=O)CC1 ZINC000528727799 390771778 /nfs/dbraw/zinc/77/17/78/390771778.db2.gz HUOPUAISIDHFPR-UHFFFAOYSA-N 0 1 253.346 0.233 20 30 CCEDMN CNC(=O)[C@H](C#N)C(=O)[C@@H]1C[C@@]1(C)Br ZINC000528925657 390783809 /nfs/dbraw/zinc/78/38/09/390783809.db2.gz WBYYLXJYRKXKFR-QIOHGKGESA-N 0 1 259.103 0.615 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCC3(C2)OCCO3)CC1 ZINC000528412411 390741757 /nfs/dbraw/zinc/74/17/57/390741757.db2.gz BGCDUGRKQVDMKQ-NSHDSACASA-N 0 1 252.314 0.490 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCCC3(C2)OCCO3)CC1 ZINC000528421888 390742541 /nfs/dbraw/zinc/74/25/41/390742541.db2.gz BUUSHJDSLBDRTL-GFCCVEGCSA-N 0 1 266.341 0.880 20 30 CCEDMN C[C@@H]1C[C@H](O)CN1CC#CCN1C(=O)c2ccccc2C1=O ZINC000528430782 390743293 /nfs/dbraw/zinc/74/32/93/390743293.db2.gz UUPDHKBLJSQMFD-OLZOCXBDSA-N 0 1 298.342 0.741 20 30 CCEDMN COCCN1CCCN(C(=O)c2ccc(C#N)cn2)CC1 ZINC000531116364 390878022 /nfs/dbraw/zinc/87/80/22/390878022.db2.gz JUPALAVNCPJIAT-UHFFFAOYSA-N 0 1 288.351 0.748 20 30 CCEDMN Cc1ccnc(CNC[C@H](O)CN(C)CCC#N)c1 ZINC000578350526 390878846 /nfs/dbraw/zinc/87/88/46/390878846.db2.gz PNAVQFDHFUPNDC-AWEZNQCLSA-N 0 1 262.357 0.686 20 30 CCEDMN C=CCn1c(=O)[nH]nc1SCC(=O)NC(=O)NC1CC1 ZINC000532360310 390879629 /nfs/dbraw/zinc/87/96/29/390879629.db2.gz ZZGBSTADUUVFRI-UHFFFAOYSA-N 0 1 297.340 0.250 20 30 CCEDMN C[C@@H](CNC(=O)c1ccc(C#N)cc1)N1CCN(C)CC1 ZINC000263743631 131321295 /nfs/dbraw/zinc/32/12/95/131321295.db2.gz IRLXGJVJRXEUIE-ZDUSSCGKSA-N 0 1 286.379 0.924 20 30 CCEDMN CN1CCN(c2ncccc2CNCCC#N)CC1 ZINC000575116554 335067595 /nfs/dbraw/zinc/06/75/95/335067595.db2.gz DRRQBDVPALJMJY-UHFFFAOYSA-N 0 1 259.357 0.837 20 30 CCEDMN C[C@H](Oc1ccccc1C#N)C(=O)NC1(c2nn[nH]n2)CC1 ZINC000357069353 144056177 /nfs/dbraw/zinc/05/61/77/144056177.db2.gz IQVWPRLQGZPUMK-VIFPVBQESA-N 0 1 298.306 0.644 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@H](C)N1CCCCCC1 ZINC000358580140 397471518 /nfs/dbraw/zinc/47/15/18/397471518.db2.gz PXKKOACXQHOQPS-LBPRGKRZSA-N 0 1 281.356 0.776 20 30 CCEDMN C[C@@H](CF)NC(=O)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000358108722 144152761 /nfs/dbraw/zinc/15/27/61/144152761.db2.gz WQDRTYKWMORXSU-QMMMGPOBSA-N 0 1 295.318 0.344 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN3CCC2CC3)cn1 ZINC000375496264 397479480 /nfs/dbraw/zinc/47/94/80/397479480.db2.gz MHKCLXOGQCOJLE-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N(CC)[C@@H]1CC[N@@H+](C)C1 ZINC000658800815 413210624 /nfs/dbraw/zinc/21/06/24/413210624.db2.gz AOAZNQOKQXVAQF-GFCCVEGCSA-N 0 1 267.373 0.574 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC000658800815 413210631 /nfs/dbraw/zinc/21/06/31/413210631.db2.gz AOAZNQOKQXVAQF-GFCCVEGCSA-N 0 1 267.373 0.574 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NCC(=O)N1CCNCC1 ZINC000653045777 412199696 /nfs/dbraw/zinc/19/96/96/412199696.db2.gz LHCPALPPRKWQMN-LLVKDONJSA-N 0 1 253.346 0.137 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000652903373 412154766 /nfs/dbraw/zinc/15/47/66/412154766.db2.gz XKQXILOUUVUQAX-GFCCVEGCSA-N 0 1 293.371 0.430 20 30 CCEDMN Cn1ncc(Cl)c1CNCCn1cnc(C#N)n1 ZINC000285435937 196283732 /nfs/dbraw/zinc/28/37/32/196283732.db2.gz HZFBOTRYTZHOSV-UHFFFAOYSA-N 0 1 265.708 0.326 20 30 CCEDMN C=CCOCCCNC(=O)N1CCNC(C)(C)C1 ZINC000659598292 413680239 /nfs/dbraw/zinc/68/02/39/413680239.db2.gz ZKOBUWRTXLBPRQ-UHFFFAOYSA-N 0 1 255.362 0.973 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)Cc1n[nH]c(=O)o1 ZINC000660852443 414509445 /nfs/dbraw/zinc/50/94/45/414509445.db2.gz UPNCMNJCOIPTOU-UHFFFAOYSA-N 0 1 266.301 0.408 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N(C)Cc1cnc[nH]1 ZINC000661270275 414587497 /nfs/dbraw/zinc/58/74/97/414587497.db2.gz CFKSWEIQVJAUBE-MNOVXSKESA-N 0 1 250.302 0.895 20 30 CCEDMN CN(CC(=O)N1CCNCC1)C(=O)c1ccc(C#N)cc1 ZINC000038031269 174024968 /nfs/dbraw/zinc/02/49/68/174024968.db2.gz NLJODLUEAWJTIH-UHFFFAOYSA-N 0 1 286.335 0.062 20 30 CCEDMN C=CCOCCCNC(=O)N(C)CC1CN(C)C1 ZINC000661787105 414674920 /nfs/dbraw/zinc/67/49/20/414674920.db2.gz GYOYQSAFAKKAKZ-UHFFFAOYSA-N 0 1 255.362 0.782 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)NCCc1cccs1 ZINC000662165783 414694674 /nfs/dbraw/zinc/69/46/74/414694674.db2.gz OLNHCNQTDIMQIV-GHMZBOCLSA-N 0 1 268.382 0.932 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N[C@@H]1CCOc2ccccc21 ZINC000662165672 414694747 /nfs/dbraw/zinc/69/47/47/414694747.db2.gz LKYLUPFDHDJSSJ-DGCLKSJQSA-N 0 1 276.336 0.763 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N1CCO[C@@H]2CCCC[C@@H]21 ZINC000662165873 414694889 /nfs/dbraw/zinc/69/48/89/414694889.db2.gz SVSFIXJCLINRIQ-FRRDWIJNSA-N 0 1 268.357 0.293 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCOc1ccccc1 ZINC000662163777 414694947 /nfs/dbraw/zinc/69/49/47/414694947.db2.gz JTYJVNXDNSTVAZ-GFCCVEGCSA-N 0 1 264.325 0.318 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCCOC1CCCCC1 ZINC000662167021 414695057 /nfs/dbraw/zinc/69/50/57/414695057.db2.gz ODBKYLKJBJPTDM-CYBMUJFWSA-N 0 1 284.400 0.979 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)Nc1ccc(C(N)=O)cc1 ZINC000662167166 414695074 /nfs/dbraw/zinc/69/50/74/414695074.db2.gz TUYIAAVEGYWMEB-MWLCHTKSSA-N 0 1 277.324 0.249 20 30 CCEDMN C=C[C@H](CO)N[C@H]1CCN(c2ccccc2OC)C1=O ZINC000662167215 414695147 /nfs/dbraw/zinc/69/51/47/414695147.db2.gz VPLMUZLTSQBNJH-NEPJUHHUSA-N 0 1 276.336 0.937 20 30 CCEDMN C=CCOCCNC(=O)c1conc1C(C)(C)N ZINC000662921066 414894607 /nfs/dbraw/zinc/89/46/07/414894607.db2.gz HVTJYYRCYZSITH-UHFFFAOYSA-N 0 1 253.302 0.801 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000665538644 416451827 /nfs/dbraw/zinc/45/18/27/416451827.db2.gz PVZZTPZYDCUFGZ-GXTWGEPZSA-N 0 1 270.373 0.396 20 30 CCEDMN C[C@H](NC(=O)NC[C@@H]1CCCOC1)c1nnc[nH]1 ZINC000329255173 227386888 /nfs/dbraw/zinc/38/68/88/227386888.db2.gz BIGVWQUMTCQSDK-IUCAKERBSA-N 0 1 253.306 0.796 20 30 CCEDMN Cc1nccc(NC(=O)N2CCOC[C@H]2c2nnc[nH]2)n1 ZINC000329027554 227263328 /nfs/dbraw/zinc/26/33/28/227263328.db2.gz KDNOBCMFNMPPRI-VIFPVBQESA-N 0 1 289.299 0.522 20 30 CCEDMN C[C@H](NC(=O)N1CCn2ncnc2C1)C1CCOCC1 ZINC000329916240 227984589 /nfs/dbraw/zinc/98/45/89/227984589.db2.gz DPUUXCOZZWMQGS-JTQLQIEISA-N 0 1 279.344 0.823 20 30 CCEDMN Cc1nc(=NC(=O)c2ccc(CC#N)cc2)[nH]n1C ZINC000355813537 228005964 /nfs/dbraw/zinc/00/59/64/228005964.db2.gz RNOAWBARSBVQOH-UHFFFAOYSA-N 0 1 255.281 0.864 20 30 CCEDMN [O-]C(=[NH+][C@H]1CCn2ccnc2C1)N1CCC[C@H]1CCO ZINC000330280462 228091159 /nfs/dbraw/zinc/09/11/59/228091159.db2.gz SLQNKQJYKFTLOR-RYUDHWBXSA-N 0 1 278.356 0.959 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCC2(CC#N)CC2)C[C@@H]1C ZINC000332101413 228148651 /nfs/dbraw/zinc/14/86/51/228148651.db2.gz BIOYJFLKRFRFNH-QWHCGFSZSA-N 0 1 294.399 0.777 20 30 CCEDMN C=CC1CCN(CC(=O)NCC(=O)N2CCCC2)CC1 ZINC000111256538 544561783 /nfs/dbraw/zinc/56/17/83/544561783.db2.gz RBHLURGTMODDIA-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C[N@@H+](CC(=O)NCc1n[nH]c(=O)[n-]1)[C@H]1CCSC1 ZINC000328933555 545020093 /nfs/dbraw/zinc/02/00/93/545020093.db2.gz IQWFHPPODVVTLC-ZETCQYMHSA-N 0 1 271.346 0.404 20 30 CCEDMN CN(Cc1cscn1)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000329427744 545022796 /nfs/dbraw/zinc/02/27/96/545022796.db2.gz HKKHBXWZOXOVQG-GFCCVEGCSA-N 0 1 297.428 0.735 20 30 CCEDMN C#CCCNC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000363405812 260253231 /nfs/dbraw/zinc/25/32/31/260253231.db2.gz IMMNOVSTUUOZDI-UHFFFAOYSA-N 0 1 279.384 0.764 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](C)[C@@H]1CO ZINC000248861106 261206171 /nfs/dbraw/zinc/20/61/71/261206171.db2.gz ACWALGAUJDVZNB-UPJWGTAASA-N 0 1 267.373 0.697 20 30 CCEDMN C#CC(C)(C)N(C)CC1(O)CCS(=O)(=O)CC1 ZINC000491209188 261371391 /nfs/dbraw/zinc/37/13/91/261371391.db2.gz CUFRKCXBRQFXST-UHFFFAOYSA-N 0 1 259.371 0.270 20 30 CCEDMN C[C@](C#N)(NC(=O)CSc1nc(N)cc(N)n1)C1CC1 ZINC000031354135 262376327 /nfs/dbraw/zinc/37/63/27/262376327.db2.gz RKTKYVLLSXHCTI-GFCCVEGCSA-N 0 1 292.368 0.542 20 30 CCEDMN C=CCN(CCOC)CC1CCS(=O)(=O)CC1 ZINC000336700114 262380768 /nfs/dbraw/zinc/38/07/68/262380768.db2.gz ZRNRCMRFGWLNDY-UHFFFAOYSA-N 0 1 261.387 0.946 20 30 CCEDMN N#CCC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000337685579 262466062 /nfs/dbraw/zinc/46/60/62/262466062.db2.gz ITEZQEIVGCJPBQ-GFCCVEGCSA-N 0 1 251.330 0.471 20 30 CCEDMN CC[C@@H]1[C@H](CO)CCN1CC(=O)NC1(C#N)CCC1 ZINC000451248108 262744454 /nfs/dbraw/zinc/74/44/54/262744454.db2.gz APHXFOHUMHRVKF-NWDGAFQWSA-N 0 1 265.357 0.642 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cccc3[nH]cnc32)CCO1 ZINC000269466095 262970403 /nfs/dbraw/zinc/97/04/03/262970403.db2.gz JELWMXTYZZPJFU-SECBINFHSA-N 0 1 256.265 0.927 20 30 CCEDMN N#CCN1C(=O)N[C@]2(CCN(Cc3ccccc3)C2)C1=O ZINC000274338108 263023059 /nfs/dbraw/zinc/02/30/59/263023059.db2.gz PBDAZSKZUGANHF-HNNXBMFYSA-N 0 1 284.319 0.706 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cc(F)cc3nn[nH]c32)CCO1 ZINC000277696257 263042881 /nfs/dbraw/zinc/04/28/81/263042881.db2.gz NZFWUFJXKNNALC-QMMMGPOBSA-N 0 1 275.243 0.462 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)CC1(O)CCC1 ZINC000353495418 263099096 /nfs/dbraw/zinc/09/90/96/263099096.db2.gz NPRXGPPIDSIEBQ-ZDUSSCGKSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@H](n2cccn2)C1 ZINC000291735055 263125176 /nfs/dbraw/zinc/12/51/76/263125176.db2.gz JSGPCFRQQZPXLL-STQMWFEESA-N 0 1 275.356 0.890 20 30 CCEDMN CC1(C)C[C@@]1(C#N)NC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000292612741 263133146 /nfs/dbraw/zinc/13/31/46/263133146.db2.gz XNECMHVRIIRTJG-SDBXPKJASA-N 0 1 259.313 0.718 20 30 CCEDMN CC1(C)C[C@@]1(C#N)NC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000292612741 263133147 /nfs/dbraw/zinc/13/31/47/263133147.db2.gz XNECMHVRIIRTJG-SDBXPKJASA-N 0 1 259.313 0.718 20 30 CCEDMN CC#CCN(C)C(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000341013285 263316425 /nfs/dbraw/zinc/31/64/25/263316425.db2.gz YKOIIWSVXIDHPR-UHFFFAOYSA-N 0 1 286.379 0.929 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@@H](O)[C@@H](CC)C1 ZINC000341056507 263319876 /nfs/dbraw/zinc/31/98/76/263319876.db2.gz ZCRZZSNVWDKGBG-GARJFASQSA-N 0 1 269.345 0.089 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N1CCN(C)CC[C@H]1C ZINC000342010968 263377925 /nfs/dbraw/zinc/37/79/25/263377925.db2.gz DHEGZXKXKIPCFV-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@@H](C)CN1CCOCC1 ZINC000342189177 263397273 /nfs/dbraw/zinc/39/72/73/263397273.db2.gz YYDQVXCSMDJQJA-QWHCGFSZSA-N 0 1 270.373 0.256 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NC[C@H](C)N1CCC(C)CC1 ZINC000416395866 263707687 /nfs/dbraw/zinc/70/76/87/263707687.db2.gz YAITZDBHGZSWNU-RYUDHWBXSA-N 0 1 273.402 0.938 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NCCc2nc[nH]n2)cc1 ZINC000080623906 269968114 /nfs/dbraw/zinc/96/81/14/269968114.db2.gz BWMDGEZJQYMADI-UHFFFAOYSA-N 0 1 277.309 0.197 20 30 CCEDMN COCc1nc([C@@H]2CCCCN2CC(=O)NCC#N)n[nH]1 ZINC000330826495 264048341 /nfs/dbraw/zinc/04/83/41/264048341.db2.gz FRUHDLNBGXBWQK-JTQLQIEISA-N 0 1 292.343 0.118 20 30 CCEDMN CC[C@H](C[C@H](C)CO)NC(=O)N[C@H]1CCc2ncnn2C1 ZINC000330934307 264079793 /nfs/dbraw/zinc/07/97/93/264079793.db2.gz IBSQONSUXIUTKF-TUAOUCFPSA-N 0 1 295.387 0.894 20 30 CCEDMN CC[C@H](C[C@H](C)CO)[NH+]=C([O-])N[C@H]1CCc2ncnn2C1 ZINC000330934307 264079794 /nfs/dbraw/zinc/07/97/94/264079794.db2.gz IBSQONSUXIUTKF-TUAOUCFPSA-N 0 1 295.387 0.894 20 30 CCEDMN CN(C)C(=O)CC[NH+]=C([O-])N[C@@H]1CCc2c[nH]nc2C1 ZINC000330970037 264091882 /nfs/dbraw/zinc/09/18/82/264091882.db2.gz FGFVTSWIBQWZMI-SNVBAGLBSA-N 0 1 279.344 0.249 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)CC(C)(C)C#N ZINC000330983833 264102838 /nfs/dbraw/zinc/10/28/38/264102838.db2.gz VZYGOTFOXQJTAQ-LBPRGKRZSA-N 0 1 266.389 0.678 20 30 CCEDMN CNC(=O)CC1CCN(C([O-])=[NH+]CCn2cccn2)CC1 ZINC000330988384 264104674 /nfs/dbraw/zinc/10/46/74/264104674.db2.gz LWBRFFMVTKZVES-UHFFFAOYSA-N 0 1 293.371 0.645 20 30 CCEDMN CCN1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000052857741 264122025 /nfs/dbraw/zinc/12/20/25/264122025.db2.gz WKDOZSHBSKUKPT-LBPRGKRZSA-N 0 1 252.362 0.384 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000331211712 264163137 /nfs/dbraw/zinc/16/31/37/264163137.db2.gz JFWGOWVPIULREG-GVXVVHGQSA-N 0 1 253.346 0.904 20 30 CCEDMN CN(C[C@H](O)COc1ccccc1C#N)[C@@H]1CCNC1=O ZINC000528423249 267309022 /nfs/dbraw/zinc/30/90/22/267309022.db2.gz HLBNNXJVJBHPHS-QWHCGFSZSA-N 0 1 289.335 0.118 20 30 CCEDMN C[C@@H](NC(=O)NC[C@H]1COCCN1)c1ccc(C#N)cc1 ZINC000529297100 267711428 /nfs/dbraw/zinc/71/14/28/267711428.db2.gz MZXKTLRGOMSOOS-RISCZKNCSA-N 0 1 288.351 0.907 20 30 CCEDMN Cc1cc(C#N)nc(N2C[C@@H]3[C@H](C2)OCCN3C)n1 ZINC000329356946 280319096 /nfs/dbraw/zinc/31/90/96/280319096.db2.gz FXGKWCVVRSPLHJ-NEPJUHHUSA-N 0 1 259.313 0.176 20 30 CCEDMN CN(C)C[C@H]1CCCN1S(=O)(=O)CC1(C#N)CC1 ZINC000331569831 289210994 /nfs/dbraw/zinc/21/09/94/289210994.db2.gz YLTFJYLZMNHZCG-LLVKDONJSA-N 0 1 271.386 0.646 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@](CO)(OC)C1 ZINC000401812725 545090828 /nfs/dbraw/zinc/09/08/28/545090828.db2.gz VHUKFVQXIHKMOW-DGCLKSJQSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC[C@@](CO)(OC)C1 ZINC000401711115 545090063 /nfs/dbraw/zinc/09/00/63/545090063.db2.gz VPXVQTLTZOJHDZ-OAHLLOKOSA-N 0 1 282.384 0.660 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)NCC1(C#N)CC1 ZINC000571118743 328086820 /nfs/dbraw/zinc/08/68/20/328086820.db2.gz MNNSGXPMJXRDHE-LBPRGKRZSA-N 0 1 294.399 0.777 20 30 CCEDMN CN1CCC[C@H]1CNC(=O)C(=O)Nc1cccc(C#N)n1 ZINC000572840841 328108858 /nfs/dbraw/zinc/10/88/58/328108858.db2.gz ZFMZUQAYQDTFHP-NSHDSACASA-N 0 1 287.323 0.102 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N(C)[C@@H](C)CC#N ZINC000330587046 328463713 /nfs/dbraw/zinc/46/37/13/328463713.db2.gz VLZJIXRNGLXRAR-RYUDHWBXSA-N 0 1 253.346 0.715 20 30 CCEDMN CN(C)C(=O)[C@@H]1CC[C@H](CNC[C@H](C#N)CCC#N)O1 ZINC000563709865 328486340 /nfs/dbraw/zinc/48/63/40/328486340.db2.gz QKXARBKNLAVYPP-XQQFMLRXSA-N 0 1 278.356 0.655 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N(C)CC(=O)N1CCNCC1 ZINC000584120478 327043799 /nfs/dbraw/zinc/04/37/99/327043799.db2.gz ALKZHCNALAWXNN-LBPRGKRZSA-N 0 1 267.373 0.479 20 30 CCEDMN N#CC1(C(=O)N2CCC(c3nc[nH]n3)CC2)CCOCC1 ZINC000363429532 327095023 /nfs/dbraw/zinc/09/50/23/327095023.db2.gz NSSHJEAUHIJVFC-UHFFFAOYSA-N 0 1 289.339 0.831 20 30 CCEDMN C#CCN(Cc1cn[nH]c1C)[C@H]1CCS(=O)(=O)C1 ZINC000092194828 327188189 /nfs/dbraw/zinc/18/81/89/327188189.db2.gz DDHWRGQDSSLUSW-LBPRGKRZSA-N 0 1 267.354 0.340 20 30 CCEDMN N#CCC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000408226132 327306090 /nfs/dbraw/zinc/30/60/90/327306090.db2.gz ZYOYHDJEHCAWGF-UHFFFAOYSA-N 0 1 251.330 0.471 20 30 CCEDMN C=CCOCCCC(=O)NCCCc1nc[nH]n1 ZINC000560711344 327338333 /nfs/dbraw/zinc/33/83/33/327338333.db2.gz PWCYBGSUYYZJBP-UHFFFAOYSA-N 0 1 252.318 0.836 20 30 CCEDMN CN1CCN(CCNC(=O)C2(C#N)CCC2)CC1 ZINC000134533265 327494609 /nfs/dbraw/zinc/49/46/09/327494609.db2.gz VICSEUZDQGFAGO-UHFFFAOYSA-N 0 1 250.346 0.044 20 30 CCEDMN CCCCOCC(=O)NC1(C#N)CCN(C)CC1 ZINC000522810396 328861797 /nfs/dbraw/zinc/86/17/97/328861797.db2.gz XPEHJKLXXVUBKE-UHFFFAOYSA-N 0 1 253.346 0.907 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(O[C@@H]2CCOC2)CC1 ZINC000361423494 328924922 /nfs/dbraw/zinc/92/49/22/328924922.db2.gz CDQXPRRDUGVZBD-GXTWGEPZSA-N 0 1 282.384 0.947 20 30 CCEDMN C[C@H](C#N)CNC[C@H](O)CN1C[C@H](C)O[C@@H](C)C1 ZINC000582601879 329114140 /nfs/dbraw/zinc/11/41/40/329114140.db2.gz YPBGTHVZTUENED-VOAKCMCISA-N 0 1 255.362 0.206 20 30 CCEDMN COCC(C)(C)N1CCN(C(=O)NC[C@@H](C)C#N)CC1 ZINC000582961020 329289921 /nfs/dbraw/zinc/28/99/21/329289921.db2.gz LNJBYSJZYURRKT-LBPRGKRZSA-N 0 1 282.388 0.898 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@H](n3ccnn3)C2)C1=O ZINC000583021343 329298764 /nfs/dbraw/zinc/29/87/64/329298764.db2.gz QWBZKCYSJROBEC-CHWSQXEVSA-N 0 1 275.356 0.702 20 30 CCEDMN CC(C)(O)CN1CCN(C(=O)NCC2(C#N)CC2)CC1 ZINC000583001755 329300628 /nfs/dbraw/zinc/30/06/28/329300628.db2.gz GCXYXPQXXAPNBR-UHFFFAOYSA-N 0 1 280.372 0.388 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC([C@H]2CCCO2)CC1 ZINC000189213877 329377114 /nfs/dbraw/zinc/37/71/14/329377114.db2.gz SZEDOZKXYYVKHX-CYBMUJFWSA-N 0 1 295.383 0.889 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC([C@@H]2CCCO2)CC1 ZINC000189213855 329377220 /nfs/dbraw/zinc/37/72/20/329377220.db2.gz SZEDOZKXYYVKHX-ZDUSSCGKSA-N 0 1 295.383 0.889 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCN1CCCOCC1 ZINC000189439801 329382387 /nfs/dbraw/zinc/38/23/87/329382387.db2.gz IHJNEJBYTYAVEC-CYBMUJFWSA-N 0 1 270.373 0.806 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@@H](O)CN1CCCCC1 ZINC000189643272 329385012 /nfs/dbraw/zinc/38/50/12/329385012.db2.gz OWJQTDREYLPZJX-GFCCVEGCSA-N 0 1 276.402 0.329 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](O)CC2(O)CCC2)C1=O ZINC000528498252 330300149 /nfs/dbraw/zinc/30/01/49/330300149.db2.gz VOJRXZBFEQLDAL-OLZOCXBDSA-N 0 1 282.384 0.371 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CN(CCC#N)CCC#N)C[C@@H](C)O1 ZINC000089941126 330389165 /nfs/dbraw/zinc/38/91/65/330389165.db2.gz QSYHGACMONULRK-RBSFLKMASA-N 0 1 294.399 0.586 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@H]2C2CC2)CC1 ZINC000089972802 330389183 /nfs/dbraw/zinc/38/91/83/330389183.db2.gz MJIBXUJZHMZODS-HNNXBMFYSA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(CC)C[C@@H]1CC ZINC000451724866 331040327 /nfs/dbraw/zinc/04/03/27/331040327.db2.gz JFKDGYMEUOGERT-LBPRGKRZSA-N 0 1 282.388 0.414 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)CN1CCN(CC)CC1 ZINC000456267618 331188179 /nfs/dbraw/zinc/18/81/79/331188179.db2.gz RCVQYNCDVCFOMV-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCCC#N)C[C@H](C)N1CCO ZINC000458672575 331256639 /nfs/dbraw/zinc/25/66/39/331256639.db2.gz YJRJUHHTVNBPHP-TXEJJXNPSA-N 0 1 268.361 0.387 20 30 CCEDMN C#CC[NH+]1CCC(C(=O)[N-]O[C@@H]2CCCCO2)CC1 ZINC000490594966 332094282 /nfs/dbraw/zinc/09/42/82/332094282.db2.gz XGTRAPBZHGLOFC-CYBMUJFWSA-N 0 1 266.341 0.906 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CC(=O)N(C2CC2)C1 ZINC000490615810 332095946 /nfs/dbraw/zinc/09/59/46/332095946.db2.gz HTUQYGWKIFLFGB-GXTWGEPZSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCN(C(=O)NC[C@H]1CN(C)CCN1C)C1CCCC1 ZINC000490830147 332118172 /nfs/dbraw/zinc/11/81/72/332118172.db2.gz IPUBVKCHJIMKDU-HNNXBMFYSA-N 0 1 292.427 0.820 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC000490891370 332125095 /nfs/dbraw/zinc/12/50/95/332125095.db2.gz DVDUBOFYKFMOBO-KGLIPLIRSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](c2nccn2C)C1 ZINC000490891779 332125319 /nfs/dbraw/zinc/12/53/19/332125319.db2.gz HFQVMJROLBHHDG-RYUDHWBXSA-N 0 1 260.341 0.347 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CCNC(=O)C1 ZINC000490892835 332125922 /nfs/dbraw/zinc/12/59/22/332125922.db2.gz OWSSQWOAYCBQDI-NEPJUHHUSA-N 0 1 264.325 0.153 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@]1(C)CCC(=O)NC1 ZINC000490983892 332135625 /nfs/dbraw/zinc/13/56/25/332135625.db2.gz BRFWRNIZDIQSOQ-DOMZBBRYSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(CC)C(=O)[C@H]2C)CC1 ZINC000491045467 332142675 /nfs/dbraw/zinc/14/26/75/332142675.db2.gz LJAULYVTQOUKRM-CYBMUJFWSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC([C@@H]3CCCO3)CC2)C1=O ZINC000491327962 332191422 /nfs/dbraw/zinc/19/14/22/332191422.db2.gz ZHRFDVAKHPCFHH-KGLIPLIRSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCC(C)C)CC1 ZINC000491554979 332237275 /nfs/dbraw/zinc/23/72/75/332237275.db2.gz NVJDJMULPDGLPO-UHFFFAOYSA-N 0 1 265.401 0.790 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)CN(C)[C@H]1CCSC1 ZINC000491652666 332265498 /nfs/dbraw/zinc/26/54/98/332265498.db2.gz BJTFZFBNGMDQRF-NEPJUHHUSA-N 0 1 268.382 0.284 20 30 CCEDMN C#C[C@H](C)N(C)CC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000491657547 332268735 /nfs/dbraw/zinc/26/87/35/332268735.db2.gz GLLUPCHLKWLTEN-GJZGRUSLSA-N 0 1 295.427 0.413 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1c(C)cccc1C ZINC000491666161 332274655 /nfs/dbraw/zinc/27/46/55/332274655.db2.gz SYMORLWMFMPFBM-KBPBESRZSA-N 0 1 263.337 0.627 20 30 CCEDMN C#C[C@H](CC)NC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000491669743 332277012 /nfs/dbraw/zinc/27/70/12/332277012.db2.gz NWTVHMSOAWGGQF-QWHCGFSZSA-N 0 1 266.389 0.333 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCCC)CC1 ZINC000491712009 332303379 /nfs/dbraw/zinc/30/33/79/332303379.db2.gz YERSZRIYNICUJM-UHFFFAOYSA-N 0 1 251.374 0.544 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1ccccc1CC=C ZINC000491750647 332327286 /nfs/dbraw/zinc/32/72/86/332327286.db2.gz ITOHWIITVFHZST-GJZGRUSLSA-N 0 1 275.348 0.739 20 30 CCEDMN C#CCNC(=O)CN1CCN(C[C@@H]2CCC(F)(F)C2)CC1 ZINC000491752019 332328090 /nfs/dbraw/zinc/32/80/90/332328090.db2.gz YSLNLOIRAJOOFB-CYBMUJFWSA-N 0 1 299.365 0.789 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1ccc(Cl)cc1 ZINC000491802939 332356548 /nfs/dbraw/zinc/35/65/48/332356548.db2.gz HLCKITBEMCGVON-VXGBXAGGSA-N 0 1 269.728 0.663 20 30 CCEDMN C#C[C@@H](C)N(C)CN1C[C@@]2(CN3CCC2CC3)OC1=O ZINC000491803914 332357669 /nfs/dbraw/zinc/35/76/69/332357669.db2.gz JVVAUGIYSAPMEQ-IUODEOHRSA-N 0 1 277.368 0.814 20 30 CCEDMN C#CCNC(=O)N1CCN(C(C)(C)COC)CC1 ZINC000491818021 332368425 /nfs/dbraw/zinc/36/84/25/332368425.db2.gz WSUBTJSJVGPJCD-UHFFFAOYSA-N 0 1 253.346 0.372 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCC[C@H](CC(N)=O)C1)C1CC1 ZINC000175263467 333018939 /nfs/dbraw/zinc/01/89/39/333018939.db2.gz KYECZVXVUFGWKL-ABAIWWIYSA-N 0 1 292.383 0.382 20 30 CCEDMN Cc1cnn(CC(=O)NC2(C#N)CCN(C)CC2)c1 ZINC000517343772 333097487 /nfs/dbraw/zinc/09/74/87/333097487.db2.gz WBVHMMAQVKDJNS-UHFFFAOYSA-N 0 1 261.329 0.296 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@H](C(=O)NC)C(C)C ZINC000183652132 333121521 /nfs/dbraw/zinc/12/15/21/333121521.db2.gz AXAQDQMGQXTXTM-YPMHNXCESA-N 0 1 282.388 0.355 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](C(=O)NCCCC)C1 ZINC000250488427 333305728 /nfs/dbraw/zinc/30/57/28/333305728.db2.gz MTPDOWIMAMFTFU-ZIAGYGMSSA-N 0 1 293.411 0.753 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H](O)Cc2ccccc2)CC1 ZINC000530283156 333537253 /nfs/dbraw/zinc/53/72/53/333537253.db2.gz YTVVPCPVMJQAKJ-AWEZNQCLSA-N 0 1 287.363 0.694 20 30 CCEDMN CCS(=O)(=O)CC(=O)N(CCC#N)CCCN(C)C ZINC000130789207 333874761 /nfs/dbraw/zinc/87/47/61/333874761.db2.gz UEZNYTMLGAVLHN-UHFFFAOYSA-N 0 1 289.401 0.115 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@H]1O ZINC000341023607 335165690 /nfs/dbraw/zinc/16/56/90/335165690.db2.gz SMICLKDJBNNAEO-QKCSRTOESA-N 0 1 265.357 0.498 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC(C)(C)c1cnn(C)c1 ZINC000546704840 334047181 /nfs/dbraw/zinc/04/71/81/334047181.db2.gz GDGDHKBSXXECHC-SNVBAGLBSA-N 0 1 250.346 0.936 20 30 CCEDMN COC(=O)[C@@H](C)[C@@H](NCC(=O)NCC#N)c1ccccc1 ZINC000079923016 334164355 /nfs/dbraw/zinc/16/43/55/334164355.db2.gz ZBRCKQMRKZMLIA-SMDDNHRTSA-N 0 1 289.335 0.766 20 30 CCEDMN COCC[N@@H+](C)C[C@@H](O)CN(CC#N)C1CCCC1 ZINC000311543274 334234712 /nfs/dbraw/zinc/23/47/12/334234712.db2.gz HIVZRBLRUKHCLR-CQSZACIVSA-N 0 1 269.389 0.694 20 30 CCEDMN CCN(C[C@@H](C)C#N)C[C@@H](O)Cn1cc([N+](=O)[O-])cn1 ZINC000247575795 334286074 /nfs/dbraw/zinc/28/60/74/334286074.db2.gz SEMGUGYXGFREML-CMPLNLGQSA-N 0 1 281.316 0.634 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)NC[C@H](C)C#N)C1 ZINC000248427284 334301221 /nfs/dbraw/zinc/30/12/21/334301221.db2.gz ADNJDILVFCATPS-OLZOCXBDSA-N 0 1 282.388 0.802 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C[C@H](C)O)[C@@H](C)CO ZINC000248995320 334324188 /nfs/dbraw/zinc/32/41/88/334324188.db2.gz XXXKJIARLZOSPD-OBJOEFQTSA-N 0 1 285.388 0.104 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CC[C@@H]2CC[NH2+]C2)o1 ZINC000576360750 335194854 /nfs/dbraw/zinc/19/48/54/335194854.db2.gz NGUTYCXWDPOSTJ-VIFPVBQESA-N 0 1 269.326 0.429 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)N[C@@H]1C=C[C@H](CO)C1 ZINC000330070081 533833965 /nfs/dbraw/zinc/83/39/65/533833965.db2.gz WSWXPBZQMAEWQC-MELADBBJSA-N 0 1 296.415 0.063 20 30 CCEDMN Cn1nncc1CNC(=O)N1CCN(CC2CC2)CC1 ZINC000329272138 534359528 /nfs/dbraw/zinc/35/95/28/534359528.db2.gz ABMVQTCIPXWDOY-UHFFFAOYSA-N 0 1 278.360 0.257 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)NCCN1CC=CCC1 ZINC000451357770 534675759 /nfs/dbraw/zinc/67/57/59/534675759.db2.gz YVDVOYOQSVDREH-UHFFFAOYSA-N 0 1 258.325 0.888 20 30 CCEDMN CNC(=O)C1CN(C[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000184786288 524052703 /nfs/dbraw/zinc/05/27/03/524052703.db2.gz WTGPWMFDUKBMJZ-CYBMUJFWSA-N 0 1 259.309 0.269 20 30 CCEDMN [O-]C(N[C@H]1CCc2ncnn2C1)=[NH+][C@@H]1CCCOCC1 ZINC000329229845 534760388 /nfs/dbraw/zinc/76/03/88/534760388.db2.gz JPCVBPAKYMNZRK-MNOVXSKESA-N 0 1 279.344 0.666 20 30 CCEDMN O=C(N[C@H]1CCc2ncnn2C1)N[C@@H]1CCCOCC1 ZINC000329229845 534760391 /nfs/dbraw/zinc/76/03/91/534760391.db2.gz JPCVBPAKYMNZRK-MNOVXSKESA-N 0 1 279.344 0.666 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@H]2CN(C)CCN2C)n1 ZINC000068806245 525993923 /nfs/dbraw/zinc/99/39/23/525993923.db2.gz FXJRBNFOIYVLGX-ZDUSSCGKSA-N 0 1 259.357 0.919 20 30 CCEDMN C=C[C@](C)(O)C(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC000665853437 545108534 /nfs/dbraw/zinc/10/85/34/545108534.db2.gz JSJJRXLCNOTXEW-HNNXBMFYSA-N 0 1 290.367 0.709 20 30 CCEDMN C=C(C)CN(CC)CC(=O)N(C)CC(=O)OCC ZINC000114712366 526547969 /nfs/dbraw/zinc/54/79/69/526547969.db2.gz DEEVLDHTDMURDH-UHFFFAOYSA-N 0 1 256.346 0.906 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(COC)CC1 ZINC000112355204 526607777 /nfs/dbraw/zinc/60/77/77/526607777.db2.gz DTSCUDUUUXBZCF-NSHDSACASA-N 0 1 283.372 0.745 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](N2CCOCC2)[C@@H]1C ZINC000351937222 526633989 /nfs/dbraw/zinc/63/39/89/526633989.db2.gz NUYYRBXQKIVVFX-MELADBBJSA-N 0 1 281.400 0.472 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC000332401590 526679732 /nfs/dbraw/zinc/67/97/32/526679732.db2.gz KKXUUXUOSCTQSW-VIFPVBQESA-N 0 1 256.331 0.500 20 30 CCEDMN C=CC[C@H](CO)CNCc1cn(Cc2cccnc2)nn1 ZINC000344322721 527186987 /nfs/dbraw/zinc/18/69/87/527186987.db2.gz HOGVASKXICSNFH-AWEZNQCLSA-N 0 1 287.367 0.996 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000668670935 545186644 /nfs/dbraw/zinc/18/66/44/545186644.db2.gz NMWHBGFXNWPMKT-LBPRGKRZSA-N 0 1 295.383 0.048 20 30 CCEDMN CCN(CC(=O)N[C@@](C)(C#N)C1CC1)C[C@@H](C)O ZINC000352222903 527916842 /nfs/dbraw/zinc/91/68/42/527916842.db2.gz SUNCARCCOLICIF-MFKMUULPSA-N 0 1 253.346 0.498 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000669216479 545202752 /nfs/dbraw/zinc/20/27/52/545202752.db2.gz LTJRFFKEZJNGCP-OCCSQVGLSA-N 0 1 268.357 0.104 20 30 CCEDMN CC1CCN(CCNC(=O)N[C@@H]2CC[S@@](=O)C2)CC1 ZINC000329983920 528232412 /nfs/dbraw/zinc/23/24/12/528232412.db2.gz BQKWLVWNKKMMJX-CWTRNNRKSA-N 0 1 287.429 0.743 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(CCC(N)=O)CC1 ZINC000347940277 528357045 /nfs/dbraw/zinc/35/70/45/528357045.db2.gz FAOWBUVUMVLNCM-UHFFFAOYSA-N 0 1 294.399 0.726 20 30 CCEDMN CC(C)[C@@](C)(NCC(=O)N[C@@](C)(C#N)C1CC1)C(N)=O ZINC000347709841 528866763 /nfs/dbraw/zinc/86/67/63/528866763.db2.gz VCRMXQGMKPEAGX-UONOGXRCSA-N 0 1 280.372 0.284 20 30 CCEDMN CC[C@@H](C)N1CCN(C(=O)Cn2cnc(C#N)n2)CC1 ZINC000456526073 529352008 /nfs/dbraw/zinc/35/20/08/529352008.db2.gz HPXXJZIQRHGQHX-LLVKDONJSA-N 0 1 276.344 0.092 20 30 CCEDMN CCOC(=O)[C@@H]1NCC[C@H]1NCc1cccc(C#N)c1 ZINC000422965021 535581061 /nfs/dbraw/zinc/58/10/61/535581061.db2.gz NJFDRIODEYIZNH-ZIAGYGMSSA-N 0 1 273.336 0.941 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@@H](CO)[C@H](O)C1 ZINC000676560642 545346394 /nfs/dbraw/zinc/34/63/94/545346394.db2.gz GAEHVAWRUUILAD-MELADBBJSA-N 0 1 284.400 0.475 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C(C)C)CC1 ZINC000677133471 545358382 /nfs/dbraw/zinc/35/83/82/545358382.db2.gz XQCHQHLGTHNRNE-UHFFFAOYSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCOc1cccnc1C(=O)NCc1nn[nH]n1 ZINC000678794782 545397742 /nfs/dbraw/zinc/39/77/42/545397742.db2.gz YJSOGBQLGICSJD-UHFFFAOYSA-N 0 1 260.257 0.090 20 30 CCEDMN COCC#CCN1CCC(CC(=O)N(C)C)CC1 ZINC000679674624 545414404 /nfs/dbraw/zinc/41/44/04/545414404.db2.gz ROSGQLUBDCQVGO-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H]2C[C@@]2(CO)C1 ZINC000782618845 581154200 /nfs/dbraw/zinc/15/42/00/581154200.db2.gz PCVAICKQAJRQIC-OCCSQVGLSA-N 0 1 250.342 0.501 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC000791422125 581220906 /nfs/dbraw/zinc/22/09/06/581220906.db2.gz JTGJNDMMZKEKOP-RYUDHWBXSA-N 0 1 270.398 0.944 20 30 CCEDMN COCCN(C)c1ccc(C=NN[C@H]2CCOC2)cn1 ZINC000788455924 581112269 /nfs/dbraw/zinc/11/22/69/581112269.db2.gz IWXXOJMCSNLYKU-ZDUSSCGKSA-N 0 1 278.356 0.877 20 30 CCEDMN N#Cc1csc(CNC[C@H]2CC(C(N)=O)=NO2)c1 ZINC000789562521 581151604 /nfs/dbraw/zinc/15/16/04/581151604.db2.gz YIYLKEABPRELMU-MRVPVSSYSA-N 0 1 264.310 0.340 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cccc3c2OCCO3)N1 ZINC000779792747 581205570 /nfs/dbraw/zinc/20/55/70/581205570.db2.gz TWUJLTBEQLJEOH-VIFPVBQESA-N 0 1 260.297 0.729 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cccc(OCC#N)c2)N1 ZINC000779798982 581206607 /nfs/dbraw/zinc/20/66/07/581206607.db2.gz RJAJGRSCQSJVQG-JTQLQIEISA-N 0 1 257.297 0.860 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+](C)[C@@H](C)CNC(=O)[O-] ZINC000738942726 581211501 /nfs/dbraw/zinc/21/15/01/581211501.db2.gz WZCRKMSPMDHWIB-GXFFZTMASA-N 0 1 284.360 0.629 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](C)[C@@H](C)CNC(=O)[O-] ZINC000738942726 581211502 /nfs/dbraw/zinc/21/15/02/581211502.db2.gz WZCRKMSPMDHWIB-GXFFZTMASA-N 0 1 284.360 0.629 20 30 CCEDMN C=CCOCCNC(=O)NCc1cc(CC)[nH]n1 ZINC000780016554 581216658 /nfs/dbraw/zinc/21/66/58/581216658.db2.gz NBGHWOSEKCRQPD-UHFFFAOYSA-N 0 1 252.318 0.974 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC2(CNC(=O)O2)CC1 ZINC000782001156 581285139 /nfs/dbraw/zinc/28/51/39/581285139.db2.gz YALJCOHQIWIZTA-LLVKDONJSA-N 0 1 294.355 0.321 20 30 CCEDMN Cc1cc(C=NNc2ccc(S(N)(=O)=O)cn2)sn1 ZINC000785454359 581410263 /nfs/dbraw/zinc/41/02/63/581410263.db2.gz ZPFXLFVQMGEQBA-UHFFFAOYSA-N 0 1 297.365 0.940 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1CCCOCC1 ZINC000786296651 581436476 /nfs/dbraw/zinc/43/64/76/581436476.db2.gz KRWQMFURDDGZRX-VXGBXAGGSA-N 0 1 282.340 0.665 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@@H]2CCCN2C2CC2)CCN1C1CC1 ZINC000787348578 581468507 /nfs/dbraw/zinc/46/85/07/581468507.db2.gz SLUHARSJRBGDGL-GJZGRUSLSA-N 0 1 288.395 0.812 20 30 CCEDMN Cc1nc(C(=O)NC2=NO[C@H](C)C2)c(=O)[nH]c1C ZINC000765372447 581510006 /nfs/dbraw/zinc/51/00/06/581510006.db2.gz LKWXPMSJMHOQDV-RXMQYKEDSA-N 0 1 250.258 0.239 20 30 CCEDMN CC[C@H](O)[C@@H]1CCCCN1CC(=O)NCCC#N ZINC000733995954 581538348 /nfs/dbraw/zinc/53/83/48/581538348.db2.gz BRYLBDKYHWNGRL-RYUDHWBXSA-N 0 1 253.346 0.642 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(C)C[C@@H]1C(C)C ZINC000753383804 581538613 /nfs/dbraw/zinc/53/86/13/581538613.db2.gz UXJNGRGUNGETCR-CYBMUJFWSA-N 0 1 267.373 0.618 20 30 CCEDMN C#CCOCCNC(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1 ZINC000753382797 581538699 /nfs/dbraw/zinc/53/86/99/581538699.db2.gz OROXTOXDWBTIJQ-JTQLQIEISA-N 0 1 279.344 0.938 20 30 CCEDMN COC(=O)c1ccc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)[nH]1 ZINC000753938154 581565921 /nfs/dbraw/zinc/56/59/21/581565921.db2.gz IUHBDJSSSLNXGY-PHIMTYICSA-N 0 1 289.339 0.995 20 30 CCEDMN COC(=O)c1cc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)c[nH]1 ZINC000753941178 581566125 /nfs/dbraw/zinc/56/61/25/581566125.db2.gz YHGPLPBOZQDEHU-QWRGUYRKSA-N 0 1 289.339 0.995 20 30 CCEDMN COC(=O)c1cc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)c[nH]1 ZINC000753941177 581566241 /nfs/dbraw/zinc/56/62/41/581566241.db2.gz YHGPLPBOZQDEHU-PHIMTYICSA-N 0 1 289.339 0.995 20 30 CCEDMN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)OCC#N ZINC000729287668 581572800 /nfs/dbraw/zinc/57/28/00/581572800.db2.gz JFCWBUUHKAVCFN-UHFFFAOYSA-N 0 1 260.253 0.661 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@@H+]1CC[C@@H](CNC(=O)[O-])C1)C1CC1 ZINC000738595906 581575786 /nfs/dbraw/zinc/57/57/86/581575786.db2.gz BZVNNSNBHCJGQI-HZMBPMFUSA-N 0 1 294.355 0.384 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+]1CC[C@@H](CNC(=O)[O-])C1)C1CC1 ZINC000738595906 581575789 /nfs/dbraw/zinc/57/57/89/581575789.db2.gz BZVNNSNBHCJGQI-HZMBPMFUSA-N 0 1 294.355 0.384 20 30 CCEDMN N#CCCNC(=O)CSc1nc(-c2ccccn2)n[nH]1 ZINC000735233762 581629872 /nfs/dbraw/zinc/62/98/72/581629872.db2.gz DKCIIGUJPXTGLA-UHFFFAOYSA-N 0 1 288.336 0.989 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)C#Cc2ccccc2)n1 ZINC000768848540 581659404 /nfs/dbraw/zinc/65/94/04/581659404.db2.gz WDTDHVCPKZPPNI-UHFFFAOYSA-N 0 1 254.293 0.824 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](C)C(=O)NCC=C)CC1 ZINC000735937970 581673367 /nfs/dbraw/zinc/67/33/67/581673367.db2.gz QJOHDQVTTBNBTN-GFCCVEGCSA-N 0 1 278.352 0.566 20 30 CCEDMN N#Cc1ccncc1N1CCN(C[C@@H](O)C2CC2)CC1 ZINC000769887222 581712498 /nfs/dbraw/zinc/71/24/98/581712498.db2.gz KAWFTCUYZXYUQO-OAHLLOKOSA-N 0 1 272.352 0.846 20 30 CCEDMN N#CCCNC(=O)CN1CCCC2(CCOCC2)C1 ZINC000741802804 581763255 /nfs/dbraw/zinc/76/32/55/581763255.db2.gz SGEBERCGXKFKPJ-UHFFFAOYSA-N 0 1 265.357 0.909 20 30 CCEDMN NC(=O)CON=CC1(CN2CCOCC2)CCCCC1 ZINC000771575014 581781326 /nfs/dbraw/zinc/78/13/26/581781326.db2.gz CFUTZQXOMFENFS-UHFFFAOYSA-N 0 1 283.372 0.757 20 30 CCEDMN CN1CCN(c2ccc(C=NN3CN=NC3=O)cc2)CC1 ZINC000771557707 581808656 /nfs/dbraw/zinc/80/86/56/581808656.db2.gz LDMCYXHIQFSWIL-UHFFFAOYSA-N 0 1 286.339 0.618 20 30 CCEDMN O=C1CN(N=Cc2cc(-c3cccnc3)[nH]n2)C(=O)N1 ZINC000743432937 581811620 /nfs/dbraw/zinc/81/16/20/581811620.db2.gz WQNHBNBACAGAJD-UHFFFAOYSA-N 0 1 270.252 0.357 20 30 CCEDMN C=CCN1CCC(NC(=O)NCC[S@@](=O)CC)CC1 ZINC000736658961 581811808 /nfs/dbraw/zinc/81/18/08/581811808.db2.gz JTCNOLVBEVOXCA-IBGZPJMESA-N 0 1 287.429 0.705 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2nnc3ccccc3c2O)CCO1 ZINC000732289259 581818193 /nfs/dbraw/zinc/81/81/93/581818193.db2.gz NYKDFMSVDUJQDU-SECBINFHSA-N 0 1 284.275 0.700 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2noc(COC)n2)CC1 ZINC000772111049 581842962 /nfs/dbraw/zinc/84/29/62/581842962.db2.gz ZRLVRYCMRAAKJK-UHFFFAOYSA-N 0 1 293.323 0.604 20 30 CCEDMN C#CCN1CCC(C(=O)OCCn2ccccc2=O)CC1 ZINC000772109563 581843319 /nfs/dbraw/zinc/84/33/19/581843319.db2.gz LCTFAQHUCOMGOX-UHFFFAOYSA-N 0 1 288.347 0.737 20 30 CCEDMN N#CC(C(=O)C1CCCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000732909906 581854009 /nfs/dbraw/zinc/85/40/09/581854009.db2.gz IXEQPDVQEAEFIS-LLVKDONJSA-N 0 1 298.364 0.142 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCC2CCCC2)CC1 ZINC000761036746 581884141 /nfs/dbraw/zinc/88/41/41/581884141.db2.gz IQANOJJLQSPWFW-UHFFFAOYSA-N 0 1 299.440 0.652 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@@H](C3CC3)C2=O)CC1 ZINC000761247795 581899121 /nfs/dbraw/zinc/89/91/21/581899121.db2.gz FUCUIIPDQXRZRJ-LBPRGKRZSA-N 0 1 263.341 0.967 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@H](C(=O)OCC)C2=O)CC1 ZINC000761250242 581899268 /nfs/dbraw/zinc/89/92/68/581899268.db2.gz NACNJJUPAVURQU-NSHDSACASA-N 0 1 295.339 0.120 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C[C@@H](C)C(=O)[O-])C1CC1 ZINC000737094335 581902344 /nfs/dbraw/zinc/90/23/44/581902344.db2.gz PGGLCYSFSFPZLH-LLVKDONJSA-N 0 1 281.356 0.934 20 30 CCEDMN Cc1nn(C)cc1CN=Nc1ccccc1S(N)(=O)=O ZINC000773122750 581909808 /nfs/dbraw/zinc/90/98/08/581909808.db2.gz NKDJUCBVKDKWLQ-UHFFFAOYSA-N 0 1 293.352 0.822 20 30 CCEDMN C=CCOCCCC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000773152817 581911078 /nfs/dbraw/zinc/91/10/78/581911078.db2.gz NPYBRYFJEWQAEU-JTQLQIEISA-N 0 1 297.384 0.799 20 30 CCEDMN C#CCNCC(=O)NC1(C(=O)OC)CCCCC1 ZINC000746514359 581917796 /nfs/dbraw/zinc/91/77/96/581917796.db2.gz XKPGGXXWEIKZTP-UHFFFAOYSA-N 0 1 252.314 0.201 20 30 CCEDMN C#Cc1cncc(C(=O)NCCc2nc(C)n[nH]2)c1 ZINC000773931231 581947700 /nfs/dbraw/zinc/94/77/00/581947700.db2.gz SOSNKUUBRUKFJX-UHFFFAOYSA-N 0 1 255.281 0.462 20 30 CCEDMN CON=CC(=O)N[C@@H](C)C1(N2CCOCC2)CCCC1 ZINC000774004514 581952199 /nfs/dbraw/zinc/95/21/99/581952199.db2.gz UEXUKOCUJJPQGZ-LBPRGKRZSA-N 0 1 283.372 0.768 20 30 CCEDMN N#CCNC(=O)COC(=O)c1ccc(O)c(Cl)c1 ZINC000762821446 581964953 /nfs/dbraw/zinc/96/49/53/581964953.db2.gz DDPWTRDYGRIFTB-UHFFFAOYSA-N 0 1 268.656 0.842 20 30 CCEDMN C#C[C@@H](NC(=O)CSc1nnc(C)[nH]1)[C@H]1CCCO1 ZINC000775322360 582017985 /nfs/dbraw/zinc/01/79/85/582017985.db2.gz CIRHWMFPYDGWHB-NXEZZACHSA-N 0 1 280.353 0.502 20 30 CCEDMN C#C[C@@H](NC(=O)CSc1nc(C)n[nH]1)[C@H]1CCCO1 ZINC000775322360 582017988 /nfs/dbraw/zinc/01/79/88/582017988.db2.gz CIRHWMFPYDGWHB-NXEZZACHSA-N 0 1 280.353 0.502 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)COC(=O)CCc1nc[nH]n1 ZINC000764002927 582025303 /nfs/dbraw/zinc/02/53/03/582025303.db2.gz OKJILDRFQXJUJP-CYBMUJFWSA-N 0 1 293.327 0.335 20 30 CCEDMN CC#CC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000764311397 582042193 /nfs/dbraw/zinc/04/21/93/582042193.db2.gz QVVJXMMUQWXYCP-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@](C)(CCC)NC(=O)[O-])CC1 ZINC000738457621 582052634 /nfs/dbraw/zinc/05/26/34/582052634.db2.gz RIDTWOJIJJNSLZ-OAHLLOKOSA-N 0 1 295.383 0.980 20 30 CCEDMN C=CCNC(=O)[C@@H](C)OC(=O)CCCCc1cn[nH]n1 ZINC000768508119 582107496 /nfs/dbraw/zinc/10/74/96/582107496.db2.gz CMSUAERQHYFYCQ-SNVBAGLBSA-N 0 1 280.328 0.751 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@H](O)CN1CCCCC1 ZINC000805009881 612984549 /nfs/dbraw/zinc/98/45/49/612984549.db2.gz XWSNBSNQOQKECT-ZDUSSCGKSA-N 0 1 288.413 0.166 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@@H+](C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000817772317 597475960 /nfs/dbraw/zinc/47/59/60/597475960.db2.gz UDAMZRVKCQLFCM-JQWIXIFHSA-N 0 1 278.308 0.703 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000817727826 597531005 /nfs/dbraw/zinc/53/10/05/597531005.db2.gz KTTYKDLNBMFVCX-NXEZZACHSA-N 0 1 253.302 0.153 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC000817727831 597531039 /nfs/dbraw/zinc/53/10/39/597531039.db2.gz KTTYKDLNBMFVCX-ZJUUUORDSA-N 0 1 253.302 0.153 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)OCCC[NH+]1CCOCC1 ZINC000819865259 597620896 /nfs/dbraw/zinc/62/08/96/597620896.db2.gz JPLUUURUPDYOKN-ZDUSSCGKSA-N 0 1 284.312 0.256 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC000820226962 597650351 /nfs/dbraw/zinc/65/03/51/597650351.db2.gz BCVJBRHUSLSIPW-BREBYQMCSA-N 0 1 267.329 0.590 20 30 CCEDMN N#Cc1cc(C(=O)OC[C@H]2CCC[N@@H+]2CC(=O)[O-])c[nH]1 ZINC000821330050 598213141 /nfs/dbraw/zinc/21/31/41/598213141.db2.gz TYZCAUWFTUCXKT-LLVKDONJSA-N 0 1 277.280 0.592 20 30 CCEDMN C#CCCN1CC[NH+](C[C@@H]2CC(C(=O)[O-])=C(C)O2)CC1 ZINC000833362119 613011675 /nfs/dbraw/zinc/01/16/75/613011675.db2.gz ACNYXMHABUOIBH-ZDUSSCGKSA-N 0 1 278.352 0.775 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(Cn2cnnc2)CC1 ZINC000844411047 612947557 /nfs/dbraw/zinc/94/75/57/612947557.db2.gz BJSWJPHUZSDZKD-GFCCVEGCSA-N 0 1 275.356 0.128 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](C(=O)NC(C)C)C1 ZINC000852696267 612947761 /nfs/dbraw/zinc/94/77/61/612947761.db2.gz XPIILAIAQWUZKH-CHWSQXEVSA-N 0 1 279.384 0.361 20 30 CCEDMN C[N@H+](Cc1ccccc1)C[C@@H](O)CN(CC#N)CC(=O)[O-] ZINC000830855639 601241946 /nfs/dbraw/zinc/24/19/46/601241946.db2.gz CWGMBJXQZPKNEK-CQSZACIVSA-N 0 1 291.351 0.389 20 30 CCEDMN C#CCSCC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000896530364 613013643 /nfs/dbraw/zinc/01/36/43/613013643.db2.gz SPKBLYCQNIGSIV-VXGBXAGGSA-N 0 1 269.414 0.103 20 30 CCEDMN C[C@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)C[C@H]1C(=O)[O-] ZINC000251760412 601513415 /nfs/dbraw/zinc/51/34/15/601513415.db2.gz LUECGAQPYXOWBQ-NWDGAFQWSA-N 0 1 292.339 0.295 20 30 CCEDMN C[C@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)C[C@@H]1C(=O)[O-] ZINC000111255284 601513804 /nfs/dbraw/zinc/51/38/04/601513804.db2.gz LUECGAQPYXOWBQ-RYUDHWBXSA-N 0 1 292.339 0.295 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000091132485 601925892 /nfs/dbraw/zinc/92/58/92/601925892.db2.gz DFDIWJJQZLPNPA-ZJUUUORDSA-N 0 1 272.370 0.569 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000825971753 601927003 /nfs/dbraw/zinc/92/70/03/601927003.db2.gz FKPYDIUPWMXRAB-QWRGUYRKSA-N 0 1 299.396 0.639 20 30 CCEDMN C#CC1(F)CN(C(=O)c2cc(Br)[nH]n2)C1 ZINC000919939190 612949020 /nfs/dbraw/zinc/94/90/20/612949020.db2.gz DRNYBHADBJPLFJ-UHFFFAOYSA-N 0 1 272.077 0.970 20 30 CCEDMN C[C@@H]1CCC[N@H+](CC(=O)NCCC#N)[C@@H]1CNC(=O)[O-] ZINC000825923906 603729642 /nfs/dbraw/zinc/72/96/42/603729642.db2.gz AGXFCPSFMRYJDZ-GHMZBOCLSA-N 0 1 282.344 0.384 20 30 CCEDMN C[C@@H]1CCC[N@@H+](CC(=O)NCCC#N)[C@@H]1CNC(=O)[O-] ZINC000825923906 603729645 /nfs/dbraw/zinc/72/96/45/603729645.db2.gz AGXFCPSFMRYJDZ-GHMZBOCLSA-N 0 1 282.344 0.384 20 30 CCEDMN C[C@H]1CCN(CCO)C[C@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000965864257 649938029 /nfs/dbraw/zinc/93/80/29/649938029.db2.gz STBACPYOAZOBRE-GXFFZTMASA-N 0 1 276.340 0.319 20 30 CCEDMN C[C@H]1CCN(CCO)C[C@@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000965864256 649938355 /nfs/dbraw/zinc/93/83/55/649938355.db2.gz STBACPYOAZOBRE-GWCFXTLKSA-N 0 1 276.340 0.319 20 30 CCEDMN C[C@@H](NC(=O)C(C)(C)C#N)[C@@H]1CN(C)CCN1C ZINC000896569227 617182505 /nfs/dbraw/zinc/18/25/05/617182505.db2.gz FNICJYFCCVFZKD-MNOVXSKESA-N 0 1 252.362 0.287 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+](C)C1(C(=O)[O-])CCCC1 ZINC000380611583 604454522 /nfs/dbraw/zinc/45/45/22/604454522.db2.gz FIJLTNCAEZBPQB-UHFFFAOYSA-N 0 1 283.328 0.327 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+](C)C1(C(=O)[O-])CCCC1 ZINC000380611583 604454523 /nfs/dbraw/zinc/45/45/23/604454523.db2.gz FIJLTNCAEZBPQB-UHFFFAOYSA-N 0 1 283.328 0.327 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)[C@]2(C)C[C@@H](O)CN2C)C1 ZINC000912985267 613046564 /nfs/dbraw/zinc/04/65/64/613046564.db2.gz CQKFTMGEZRRFKM-BZPMIXESSA-N 0 1 250.342 0.313 20 30 CCEDMN N#CCCNC(=O)C[N@H+](C1CC1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000833965516 605774848 /nfs/dbraw/zinc/77/48/48/605774848.db2.gz MWMOOSLSAHRLBB-LLVKDONJSA-N 0 1 280.328 0.233 20 30 CCEDMN N#CCCNC(=O)C[N@@H+](C1CC1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000833965516 605774850 /nfs/dbraw/zinc/77/48/50/605774850.db2.gz MWMOOSLSAHRLBB-LLVKDONJSA-N 0 1 280.328 0.233 20 30 CCEDMN C#CCCOC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000859924690 613050925 /nfs/dbraw/zinc/05/09/25/613050925.db2.gz WQGJNOLCQMSUSG-UHFFFAOYSA-N 0 1 268.294 0.633 20 30 CCEDMN C#CCC[C@@H](O)CNS(=O)(=O)c1cc(O)cc(F)c1 ZINC000867227282 613059633 /nfs/dbraw/zinc/05/96/33/613059633.db2.gz ZKNWTSJGPNGBSP-SNVBAGLBSA-N 0 1 287.312 0.584 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NC[C@@H]1CCN1CC ZINC000883747753 613063429 /nfs/dbraw/zinc/06/34/29/613063429.db2.gz QAKGWZOJKMSENV-NEPJUHHUSA-N 0 1 253.346 0.418 20 30 CCEDMN C#CCN(C(=O)CN1CCN(CC)CC1)C(C)C ZINC000928627178 613066003 /nfs/dbraw/zinc/06/60/03/613066003.db2.gz MCDCJUQXKNLLAA-UHFFFAOYSA-N 0 1 251.374 0.494 20 30 CCEDMN C#CCNCC(=O)N(CC)Cc1ccc2c(c1)OCO2 ZINC000105964488 612956324 /nfs/dbraw/zinc/95/63/24/612956324.db2.gz HONNZTACDRGJHV-UHFFFAOYSA-N 0 1 274.320 0.987 20 30 CCEDMN C#CCNCC(=O)NCc1ccccc1Cn1cccn1 ZINC000857577027 612956843 /nfs/dbraw/zinc/95/68/43/612956843.db2.gz SWXWIKFEFGIIDG-UHFFFAOYSA-N 0 1 282.347 0.770 20 30 CCEDMN C#C[C@@H](C)NC(=O)NC(C)(C)CN1CCN(CC)CC1 ZINC000820399667 613081081 /nfs/dbraw/zinc/08/10/81/613081081.db2.gz IEFPYNZMTJWHKK-CYBMUJFWSA-N 0 1 280.416 0.723 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC[C@H](CCF)C2)C1=O ZINC000849181374 613109657 /nfs/dbraw/zinc/10/96/57/613109657.db2.gz MYGKXWLJPYRYKW-NEPJUHHUSA-N 0 1 266.316 0.819 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000876546126 613389163 /nfs/dbraw/zinc/38/91/63/613389163.db2.gz ZFYBPWGLRZIKQO-BDAKNGLRSA-N 0 1 280.777 0.102 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000846526494 612965318 /nfs/dbraw/zinc/96/53/18/612965318.db2.gz ITDXRIZOYNZHKW-UHFFFAOYSA-N 0 1 275.356 0.498 20 30 CCEDMN COc1cc(NC(=O)N[C@H]2CNC[C@@H]2C#N)cc(OC)c1 ZINC000841006149 617204905 /nfs/dbraw/zinc/20/49/05/617204905.db2.gz CAGRFMQQUWQFPW-ZANVPECISA-N 0 1 290.323 0.937 20 30 CCEDMN C#CCC1(NC(=O)[C@@H]2CC2[N+](=O)[O-])CCOCC1 ZINC000895237761 612969938 /nfs/dbraw/zinc/96/99/38/612969938.db2.gz WPSKUIAMBKGIRI-NXEZZACHSA-N 0 1 252.270 0.340 20 30 CCEDMN C#CCCCNC(=O)C(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000806910069 612979132 /nfs/dbraw/zinc/97/91/32/612979132.db2.gz QQQVIFUNNXLNMP-ZDUSSCGKSA-N 0 1 277.368 0.211 20 30 CCEDMN CC(C)(C(=O)NOCC(N)=O)c1ccc(C#N)cc1 ZINC000860580997 614299382 /nfs/dbraw/zinc/29/93/82/614299382.db2.gz MFKQULYJFGCFGE-UHFFFAOYSA-N 0 1 261.281 0.369 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(F)c1 ZINC000834510473 617232915 /nfs/dbraw/zinc/23/29/15/617232915.db2.gz BKCXTPHSEYCQDM-QPUJVOFHSA-N 0 1 263.272 0.676 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(OC)c1 ZINC000834510326 617233017 /nfs/dbraw/zinc/23/30/17/617233017.db2.gz AHBWIYNDSJYPFN-BXKDBHETSA-N 0 1 275.308 0.545 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(OC)c1 ZINC000834510328 617235009 /nfs/dbraw/zinc/23/50/09/617235009.db2.gz AHBWIYNDSJYPFN-CABZTGNLSA-N 0 1 275.308 0.545 20 30 CCEDMN COc1ccc(CNC[C@@H](O)CS(C)(=O)=O)cc1C#N ZINC000922823176 617257748 /nfs/dbraw/zinc/25/77/48/617257748.db2.gz PWXXCBIELRZUOY-GFCCVEGCSA-N 0 1 298.364 0.062 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCN(C(=O)Cc2ccn[nH]2)CC1 ZINC000940577772 617289081 /nfs/dbraw/zinc/28/90/81/617289081.db2.gz RXDIIGNNHIGJQH-NSHDSACASA-N 0 1 289.339 0.173 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](N(C)C(=O)CN2CCCC2)C1 ZINC000939406756 617292564 /nfs/dbraw/zinc/29/25/64/617292564.db2.gz UQKVZCHCHRRZLJ-STQMWFEESA-N 0 1 292.383 0.301 20 30 CCEDMN COc1cccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c1OC ZINC000886976595 617304005 /nfs/dbraw/zinc/30/40/05/617304005.db2.gz GIXDXBXCBBDJFK-MWLCHTKSSA-N 0 1 290.323 0.937 20 30 CCEDMN C#CC1(O)CCN(CCNC(=O)NC(C)C)CC1 ZINC000931149827 612952357 /nfs/dbraw/zinc/95/23/57/612952357.db2.gz SMFKNSUQAJMTQG-UHFFFAOYSA-N 0 1 253.346 0.154 20 30 CCEDMN C#CC1(O)CCN(C[C@H]2[C@H](C(=O)OC)C2(F)F)CC1 ZINC000895384293 612952640 /nfs/dbraw/zinc/95/26/40/612952640.db2.gz IZOQWYMQIDNTTL-VHSXEESVSA-N 0 1 273.279 0.501 20 30 CCEDMN C#CC1CCN(C(=O)NCCCc2nc[nH]n2)CC1 ZINC000923129091 612963277 /nfs/dbraw/zinc/96/32/77/612963277.db2.gz REFZUGJPRVMWAX-UHFFFAOYSA-N 0 1 261.329 0.792 20 30 CCEDMN C#CCC1(NC(=O)N[C@@H](C)CN2CCN(C)CC2)CCC1 ZINC000917228143 612969446 /nfs/dbraw/zinc/96/94/46/612969446.db2.gz MMAGTZUVTYPYML-AWEZNQCLSA-N 0 1 292.427 0.868 20 30 CCEDMN C#CCC1(NCc2cn(CC(=O)OC)nn2)CCOCC1 ZINC000886379119 612970955 /nfs/dbraw/zinc/97/09/55/612970955.db2.gz OJOACWORYQOZQV-UHFFFAOYSA-N 0 1 292.339 0.113 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1CCC(=O)N1 ZINC000960327181 612971163 /nfs/dbraw/zinc/97/11/63/612971163.db2.gz OUTLNNYNUATHAY-SQWLQELKSA-N 0 1 297.358 0.270 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)Cn1cccn1 ZINC000960255602 612971246 /nfs/dbraw/zinc/97/12/46/612971246.db2.gz TVEOPJWXACNGCC-NVXWUHKLSA-N 0 1 294.358 0.888 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1ncn(C)n1 ZINC000960261314 612971613 /nfs/dbraw/zinc/97/16/13/612971613.db2.gz GAFDOPBUEGYNPV-ZIAGYGMSSA-N 0 1 295.346 0.434 20 30 CCEDMN C#CCCCCNC(=O)NCCC[N@@H+]1CCC[C@H]1C(N)=O ZINC000875374035 612977568 /nfs/dbraw/zinc/97/75/68/612977568.db2.gz FBCWCWOWTYVUOO-ZDUSSCGKSA-N 0 1 294.399 0.429 20 30 CCEDMN C#CCCCCNC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000875374035 612977570 /nfs/dbraw/zinc/97/75/70/612977570.db2.gz FBCWCWOWTYVUOO-ZDUSSCGKSA-N 0 1 294.399 0.429 20 30 CCEDMN C#CCOCCC(=O)Nc1[nH]cnc1C(=O)OC ZINC000847020217 612981015 /nfs/dbraw/zinc/98/10/15/612981015.db2.gz CJWICLYNLLSTKU-UHFFFAOYSA-N 0 1 251.242 0.175 20 30 CCEDMN C#CCOCCN1[C@H]2C[C@H](C(=O)OC)O[C@H]2CC[C@H]1C ZINC000852018845 612984972 /nfs/dbraw/zinc/98/49/72/612984972.db2.gz RWTGTKQIMGYMAL-ZOBORPQBSA-N 0 1 281.352 0.820 20 30 CCEDMN C#CCCN(CCOC)C[C@H]1CN=C(c2cnn(C)c2)O1 ZINC000931071979 612989564 /nfs/dbraw/zinc/98/95/64/612989564.db2.gz VRNDCKBEYRRIDD-CQSZACIVSA-N 0 1 290.367 0.537 20 30 CCEDMN C#CCCN1CC(N(CC)C(=O)c2cc(C)[nH]n2)C1 ZINC000949726202 612991723 /nfs/dbraw/zinc/99/17/23/612991723.db2.gz AZCCJKBQAWDJLG-UHFFFAOYSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCO[N-]C(=O)[C@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000824483815 612993039 /nfs/dbraw/zinc/99/30/39/612993039.db2.gz KZSFOTZNEGPREW-CQSZACIVSA-N 0 1 274.320 0.951 20 30 CCEDMN C#CCO[N-]C(=O)[C@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000824483815 612993041 /nfs/dbraw/zinc/99/30/41/612993041.db2.gz KZSFOTZNEGPREW-CQSZACIVSA-N 0 1 274.320 0.951 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1C[N@@H+](CC(C)C)CCO1 ZINC000822237899 613001879 /nfs/dbraw/zinc/00/18/79/613001879.db2.gz LWMZDIVSRXNQTI-KGLIPLIRSA-N 0 1 282.384 0.498 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000822237899 613001881 /nfs/dbraw/zinc/00/18/81/613001881.db2.gz LWMZDIVSRXNQTI-KGLIPLIRSA-N 0 1 282.384 0.498 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cncc(OC)n2)CC1 ZINC000982091879 613006435 /nfs/dbraw/zinc/00/64/35/613006435.db2.gz AWPNPEASRWDZDA-UHFFFAOYSA-N 0 1 288.351 0.656 20 30 CCEDMN C#CCOc1ccccc1NC(=O)C(=O)N1CCNCC1 ZINC000841146070 613008034 /nfs/dbraw/zinc/00/80/34/613008034.db2.gz XXUQVPBJTWUZCI-UHFFFAOYSA-N 0 1 287.319 0.069 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H](C)CN(C)C(=O)[O-])CC1 ZINC000823444009 613009827 /nfs/dbraw/zinc/00/98/27/613009827.db2.gz AWDKTPXOUXLHAZ-LBPRGKRZSA-N 0 1 281.356 0.400 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000899152169 613019346 /nfs/dbraw/zinc/01/93/46/613019346.db2.gz QHJJURGGUFUZGY-UONOGXRCSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)CSc1nnc(C)[nH]1 ZINC000863801315 613032721 /nfs/dbraw/zinc/03/27/21/613032721.db2.gz ZVQSGMMKOOREPL-VIFPVBQESA-N 0 1 268.342 0.360 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)CSc1nc(C)n[nH]1 ZINC000863801315 613032722 /nfs/dbraw/zinc/03/27/22/613032722.db2.gz ZVQSGMMKOOREPL-VIFPVBQESA-N 0 1 268.342 0.360 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)NCc2n[nH]c(=O)n2C)C1 ZINC000889565711 613046040 /nfs/dbraw/zinc/04/60/40/613046040.db2.gz QGNFHVMPVOZREM-SECBINFHSA-N 0 1 263.301 0.076 20 30 CCEDMN C#CCN(C)C(=O)C1CCC(N2CCOCC2)CC1 ZINC000907681898 613071344 /nfs/dbraw/zinc/07/13/44/613071344.db2.gz MKDJTMSNTUHMSC-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CC[C@H]1CCN(CC(=O)NCC(=O)OC(C)(C)C)C1 ZINC000886364089 613074617 /nfs/dbraw/zinc/07/46/17/613074617.db2.gz OIEVCKIODPVGLU-LBPRGKRZSA-N 0 1 280.368 0.790 20 30 CCEDMN C#CC[C@H]1CCN([C@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000886358616 613075016 /nfs/dbraw/zinc/07/50/16/613075016.db2.gz YMSIMGMLMWMFDH-JSGCOSHPSA-N 0 1 272.352 0.871 20 30 CCEDMN C#CCn1ccc(CN(CC)C[C@@H]2CNC(=O)C2)n1 ZINC000851996017 613078717 /nfs/dbraw/zinc/07/87/17/613078717.db2.gz FIFUUJFTUXQOIO-LBPRGKRZSA-N 0 1 260.341 0.474 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC000980265197 613081469 /nfs/dbraw/zinc/08/14/69/613081469.db2.gz SLFSWCVNAGTYQG-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cn2cc(C)cn2)C1 ZINC000971153167 613082055 /nfs/dbraw/zinc/08/20/55/613082055.db2.gz GNZWEJUAPYLYGN-CYBMUJFWSA-N 0 1 260.341 0.357 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC000971978290 613082997 /nfs/dbraw/zinc/08/29/97/613082997.db2.gz HCCNXYPMOYXTMT-KFWWJZLASA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC23CCOCC3)C1 ZINC000980565488 613084274 /nfs/dbraw/zinc/08/42/74/613084274.db2.gz ZMKWOJWASCLKAQ-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(CC)n(C)n2)C1 ZINC000980554107 613084938 /nfs/dbraw/zinc/08/49/38/613084938.db2.gz XEPBWULNBSJYBG-CYBMUJFWSA-N 0 1 274.368 0.762 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc3nnn(C)c3c2)C1 ZINC000980277185 613086514 /nfs/dbraw/zinc/08/65/14/613086514.db2.gz ZWFXJAZRPDEUEX-CYBMUJFWSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn3cccnc3n2)C1 ZINC000971860786 613087488 /nfs/dbraw/zinc/08/74/88/613087488.db2.gz GAOBTLLJGGCVDV-GFCCVEGCSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2csnn2)C1 ZINC000972027629 613087859 /nfs/dbraw/zinc/08/78/59/613087859.db2.gz UPIKYPSEWRVTNY-SECBINFHSA-N 0 1 250.327 0.318 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CN2CCCCC2=O)C1 ZINC000971856471 613089709 /nfs/dbraw/zinc/08/97/09/613089709.db2.gz RVNXBEHVBCAWDH-ZDUSSCGKSA-N 0 1 277.368 0.165 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CN2CCCCCC2=O)C1 ZINC000980348988 613089889 /nfs/dbraw/zinc/08/98/89/613089889.db2.gz DXYIXWFSYWJRAO-AWEZNQCLSA-N 0 1 291.395 0.555 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCOC2)C1 ZINC000971177420 613092033 /nfs/dbraw/zinc/09/20/33/613092033.db2.gz PFIZKBVKYSIRJV-OLZOCXBDSA-N 0 1 250.342 0.579 20 30 CCEDMN C#C[C@@H](NC(=O)CN(C)C1CCC1)[C@@H]1CCCO1 ZINC000863906746 613092315 /nfs/dbraw/zinc/09/23/15/613092315.db2.gz VWDBYDHTIQHYBF-OLZOCXBDSA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC000971538447 613092708 /nfs/dbraw/zinc/09/27/08/613092708.db2.gz CMMBEXYQXHUZRW-KBPBESRZSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c(C)nn(C)c2OC)C1 ZINC000972461066 613093372 /nfs/dbraw/zinc/09/33/72/613093372.db2.gz IMEWMRYANYCOFR-LBPRGKRZSA-N 0 1 290.367 0.517 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2Cc3cccnc3C2)C1 ZINC000980270639 613093489 /nfs/dbraw/zinc/09/34/89/613093489.db2.gz QNTNERDLPQZMOQ-GJZGRUSLSA-N 0 1 283.375 0.962 20 30 CCEDMN C#C[C@@H](NC(=O)NCCCc1nc[nH]n1)[C@H]1CCCO1 ZINC000854003025 613095571 /nfs/dbraw/zinc/09/55/71/613095571.db2.gz FLCUEAVWEYRCHX-GHMZBOCLSA-N 0 1 277.328 0.217 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn(C)c2C)C1 ZINC000971081051 613096773 /nfs/dbraw/zinc/09/67/73/613096773.db2.gz QNEGKMXTNGQLNP-LBPRGKRZSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(CC)C(=O)CN1CCC[C@H](C(=O)OCC)C1 ZINC000900353244 613105217 /nfs/dbraw/zinc/10/52/17/613105217.db2.gz LWFYHKXZBRWYPF-ZDUSSCGKSA-N 0 1 280.368 0.743 20 30 CCEDMN C#CCN(CCC(=O)N(C)CC(=O)OC)C1CSC1 ZINC000878914007 613107290 /nfs/dbraw/zinc/10/72/90/613107290.db2.gz JHVJWPNWDGJRCM-UHFFFAOYSA-N 0 1 284.381 0.059 20 30 CCEDMN C#CCN(C[C@@H](O)CC1(O)CCC1)C1CSC1 ZINC000878910776 613108036 /nfs/dbraw/zinc/10/80/36/613108036.db2.gz UIISFYGLQNNXIT-LBPRGKRZSA-N 0 1 255.383 0.703 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)c2cccc3nn[nH]c32)C1 ZINC000950406040 613113244 /nfs/dbraw/zinc/11/32/44/613113244.db2.gz CWEXGPXKPWZBRW-UHFFFAOYSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(N(C)C(=O)CC)C2)CC1 ZINC000953438817 613128330 /nfs/dbraw/zinc/12/83/30/613128330.db2.gz SQXAEPNHXLRBFG-UHFFFAOYSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](CNC(C)=O)C2)CC1 ZINC000982865295 613129457 /nfs/dbraw/zinc/12/94/57/613129457.db2.gz FUUOAAHKBSPYQM-CQSZACIVSA-N 0 1 291.395 0.316 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](C)[C@H](CO)C2)CC1 ZINC000857361062 613129596 /nfs/dbraw/zinc/12/95/96/613129596.db2.gz BBGYZUNGZUTUKW-ZFWWWQNUSA-N 0 1 278.396 0.809 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H]2CCC(=O)N2)CC1 ZINC000845116973 613131941 /nfs/dbraw/zinc/13/19/41/613131941.db2.gz LUPLJSFAZRWRMX-LBPRGKRZSA-N 0 1 264.325 0.153 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2c([N+](=O)[O-])ncn2C)CC1 ZINC000891348090 613132026 /nfs/dbraw/zinc/13/20/26/613132026.db2.gz NWCUZFGCYQYOKM-UHFFFAOYSA-N 0 1 291.311 0.612 20 30 CCEDMN C#CCN1CCC(NC(=O)C(C)(C)COC)CC1 ZINC000928654583 613132256 /nfs/dbraw/zinc/13/22/56/613132256.db2.gz ILYDEBQSFIKQJA-UHFFFAOYSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)N2CCCC2)CC1 ZINC000928659911 613132835 /nfs/dbraw/zinc/13/28/35/613132835.db2.gz DOJIYJLUZKTIIQ-UHFFFAOYSA-N 0 1 292.383 0.006 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2cnn(C)c2)CC1 ZINC000928656916 613133017 /nfs/dbraw/zinc/13/30/17/613133017.db2.gz FYLZPGGVGHHPMP-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H](O)CCC)CC1 ZINC000928655391 613133385 /nfs/dbraw/zinc/13/33/85/613133385.db2.gz VOKIBSPEPDKVCV-CYBMUJFWSA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCN2CCCC2=O)CC1 ZINC000923072985 613133824 /nfs/dbraw/zinc/13/38/24/613133824.db2.gz LQVVYSPVNOMDFE-UHFFFAOYSA-N 0 1 292.383 0.006 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCCn2ccnc2)CC1 ZINC000905143994 613133977 /nfs/dbraw/zinc/13/39/77/613133977.db2.gz CXWLFHHOVOBNGW-UHFFFAOYSA-N 0 1 289.383 0.670 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)c2ncn(C)n2)CC1 ZINC000922109160 613134767 /nfs/dbraw/zinc/13/47/67/613134767.db2.gz YVFDQPJTGHWMDU-NSHDSACASA-N 0 1 290.371 0.273 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)c2nc(C)no2)CC1 ZINC000916050945 613134963 /nfs/dbraw/zinc/13/49/63/613134963.db2.gz STHXNIHAQYZADC-JTQLQIEISA-N 0 1 291.355 0.836 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ccc3ncnn3c2)CC1 ZINC000931655404 613135294 /nfs/dbraw/zinc/13/52/94/613135294.db2.gz AYWXZORCTRKITI-UHFFFAOYSA-N 0 1 298.350 0.948 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2nnn(C(C)C)n2)CC1 ZINC000933453493 613135491 /nfs/dbraw/zinc/13/54/91/613135491.db2.gz KEBDAMHFEIWVJH-UHFFFAOYSA-N 0 1 291.359 0.473 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CO[C@H](C3CC3)C2)CC1 ZINC000923463733 613135540 /nfs/dbraw/zinc/13/55/40/613135540.db2.gz SBSHCQADZLHFOJ-CABCVRRESA-N 0 1 291.395 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](OCC)[C@@H]2CCOC2)CC1 ZINC000928660192 613135736 /nfs/dbraw/zinc/13/57/36/613135736.db2.gz LPMABWICGNNAMM-HIFRSBDPSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2C[C@@]23CCOC3)CC1 ZINC000928654187 613136696 /nfs/dbraw/zinc/13/66/96/613136696.db2.gz QNLHXQYWRLHOAL-UKRRQHHQSA-N 0 1 262.353 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(=O)[nH]cn2)CC1 ZINC000928659961 613137101 /nfs/dbraw/zinc/13/71/01/613137101.db2.gz FZCGVKQSKPKHAA-UHFFFAOYSA-N 0 1 260.297 0.010 20 30 CCEDMN C#CCN1CCC(NC(=O)c2[nH]c(C)nc2C)CC1 ZINC000928651071 613137201 /nfs/dbraw/zinc/13/72/01/613137201.db2.gz LSIJVXMDDQSACT-UHFFFAOYSA-N 0 1 260.341 0.854 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C(N)=O)cs2)CC1 ZINC000928657590 613137296 /nfs/dbraw/zinc/13/72/96/613137296.db2.gz PCPGQZSOSNQVSW-UHFFFAOYSA-N 0 1 291.376 0.674 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc3ncccn3n2)CC1 ZINC000928653754 613137345 /nfs/dbraw/zinc/13/73/45/613137345.db2.gz ZEBAJHBBUQKCED-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccn(C)c2=O)CC1 ZINC000928658637 613137515 /nfs/dbraw/zinc/13/75/15/613137515.db2.gz WNXXSASOCLGVBK-UHFFFAOYSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cncc3ncn(C)c32)CC1 ZINC000928648299 613137707 /nfs/dbraw/zinc/13/77/07/613137707.db2.gz JWMOBUBCJOFAMM-UHFFFAOYSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn(CC)c2)CC1 ZINC000928655627 613137790 /nfs/dbraw/zinc/13/77/90/613137790.db2.gz UXMOLKJLYZZPPW-UHFFFAOYSA-N 0 1 260.341 0.730 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccc(C#N)n2)CC1 ZINC000928651258 613137829 /nfs/dbraw/zinc/13/78/29/613137829.db2.gz RPWQEIWVKGJNAZ-UHFFFAOYSA-N 0 1 268.320 0.781 20 30 CCEDMN C#CCN1CCC(NC(=S)N[C@@H](C)COC)CC1 ZINC000905656970 613138281 /nfs/dbraw/zinc/13/82/81/613138281.db2.gz VMRVVLZHKUQJEJ-NSHDSACASA-N 0 1 269.414 0.583 20 30 CCEDMN C#CCN1CCC(Nc2nc(N)nc(Cl)c2N)CC1 ZINC000912343545 613139131 /nfs/dbraw/zinc/13/91/31/613139131.db2.gz TXSVKYIKMLYXTR-UHFFFAOYSA-N 0 1 280.763 0.804 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@]3(C)CCOC3)C2)C1 ZINC000982087608 613142596 /nfs/dbraw/zinc/14/25/96/613142596.db2.gz XRAMFWNHTMGVKN-HNNXBMFYSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3n[nH]nc3C)C2)C1 ZINC000981930128 613143625 /nfs/dbraw/zinc/14/36/25/613143625.db2.gz DCWTXPQQFOPVKB-UHFFFAOYSA-N 0 1 273.340 0.284 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cn(CC)nn3)C2)C1 ZINC000982090563 613145022 /nfs/dbraw/zinc/14/50/22/613145022.db2.gz BAPJHJKHDOZVHF-UHFFFAOYSA-N 0 1 287.367 0.469 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1COCCN1C ZINC000968815634 613145963 /nfs/dbraw/zinc/14/59/63/613145963.db2.gz BDIGEBQGMCSJRU-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cn(CC)nn1 ZINC000969092977 613149443 /nfs/dbraw/zinc/14/94/43/613149443.db2.gz BFWDANOZQYURJU-ZDUSSCGKSA-N 0 1 289.383 0.906 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)N[C@H](C)CN2CCN(C)CC2)C1 ZINC000847309973 613157912 /nfs/dbraw/zinc/15/79/12/613157912.db2.gz GZYOYIIRGLRBQO-CABCVRRESA-N 0 1 292.427 0.677 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CSc2nnc(C)[nH]2)C1 ZINC000923575343 613166172 /nfs/dbraw/zinc/16/61/72/613166172.db2.gz YCUUHKKKUDPZNR-LLVKDONJSA-N 0 1 293.396 0.419 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)NCc2cc(CC)[nH]n2)C1 ZINC000884164516 613186633 /nfs/dbraw/zinc/18/66/33/613186633.db2.gz IWKYIPMROLMGHS-ZDUSSCGKSA-N 0 1 289.383 0.869 20 30 CCEDMN C#CCN1CCC[C@H](N[C@@H]2C[C@@H](C)n3ncnc32)C1 ZINC000926869154 613193519 /nfs/dbraw/zinc/19/35/19/613193519.db2.gz UDOHLACETQSAEV-FRRDWIJNSA-N 0 1 259.357 0.971 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)NCCN(CC)CCO)cc1 ZINC000907129681 613197429 /nfs/dbraw/zinc/19/74/29/613197429.db2.gz WQQRVNWCROQXAQ-UHFFFAOYSA-N 0 1 296.392 0.260 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N[C@H]2CCC[N@H+](C)C2)c1 ZINC000817842794 613199385 /nfs/dbraw/zinc/19/93/85/613199385.db2.gz FDZLBLSEYOOKEH-HNNXBMFYSA-N 0 1 299.374 0.495 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N[C@H]2CCCN(C)C2)c1 ZINC000817842794 613199387 /nfs/dbraw/zinc/19/93/87/613199387.db2.gz FDZLBLSEYOOKEH-HNNXBMFYSA-N 0 1 299.374 0.495 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)Cn1nccc1C ZINC000860529303 613203364 /nfs/dbraw/zinc/20/33/64/613203364.db2.gz DHPQIQHYLNGTLN-ZDUSSCGKSA-N 0 1 261.325 0.832 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCc1cn(C)nn1 ZINC000850826594 613203410 /nfs/dbraw/zinc/20/34/10/613203410.db2.gz NYQXJHHTXRVHMN-ZDUSSCGKSA-N 0 1 276.340 0.389 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC(C)(F)CC2)CC1 ZINC000879380885 613205303 /nfs/dbraw/zinc/20/53/03/613205303.db2.gz ADUOZUSGFMOMOP-UHFFFAOYSA-N 0 1 281.375 0.588 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC[C@@](O)(CC)C2)CC1 ZINC000879310703 613205554 /nfs/dbraw/zinc/20/55/54/613205554.db2.gz LVPDJNMJLCVMLI-INIZCTEOSA-N 0 1 293.411 0.001 20 30 CCEDMN C#CCN1CCN(C(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC000878449449 613207403 /nfs/dbraw/zinc/20/74/03/613207403.db2.gz YLINHYGXZTXMKX-WFASDCNBSA-N 0 1 286.379 0.853 20 30 CCEDMN C#CCN1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000133770600 613207715 /nfs/dbraw/zinc/20/77/15/613207715.db2.gz ZRXXSDQDKJUNIR-LBPRGKRZSA-N 0 1 272.352 0.607 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000967187747 613215538 /nfs/dbraw/zinc/21/55/38/613215538.db2.gz XCAQWYUCFHYBOB-OCCSQVGLSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cn2ccnc2)C1 ZINC000968699707 613219009 /nfs/dbraw/zinc/21/90/09/613219009.db2.gz YYYGDGPGWCIAIN-CHWSQXEVSA-N 0 1 260.341 0.343 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2c[nH]nc2C)C[C@@H]1C ZINC000947592719 613231652 /nfs/dbraw/zinc/23/16/52/613231652.db2.gz CXPDDWJQELTYKO-CMPLNLGQSA-N 0 1 260.341 0.934 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnc3n[nH]nc32)[C@H](C)C1 ZINC000942434445 613239507 /nfs/dbraw/zinc/23/95/07/613239507.db2.gz XXBNJBPASQJRGT-ZYHUDNBSSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc3nccn3c2)C[C@H]1C ZINC000947542297 613241335 /nfs/dbraw/zinc/24/13/35/613241335.db2.gz HHPHXOLUCZARGT-TZMCWYRMSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(CC)n2)[C@@H](C)C1 ZINC000942037804 613242312 /nfs/dbraw/zinc/24/23/12/613242312.db2.gz RGPOVYKAEYDTIX-NWDGAFQWSA-N 0 1 275.356 0.371 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nccnc2N)[C@@H](C)C1 ZINC000941903921 613244269 /nfs/dbraw/zinc/24/42/69/613244269.db2.gz HLJMTNODMQLLOP-WDEREUQCSA-N 0 1 273.340 0.132 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nn(C)cc2C)C[C@H]1C ZINC000947303897 613244756 /nfs/dbraw/zinc/24/47/56/613244756.db2.gz BQTHDJWBHLNJAN-CHWSQXEVSA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)C2=CCOCC2)C1 ZINC000965936014 613251452 /nfs/dbraw/zinc/25/14/52/613251452.db2.gz LVFXTHHQXFGBIO-GXTWGEPZSA-N 0 1 262.353 0.793 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)c2cc3n(n2)CCO3)CC1 ZINC000948784784 613261214 /nfs/dbraw/zinc/26/12/14/613261214.db2.gz PJTQHSFTQVSJMC-UHFFFAOYSA-N 0 1 290.367 1.000 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)c2c[nH]c(=O)n2C)CC1 ZINC000949651933 613262735 /nfs/dbraw/zinc/26/27/35/613262735.db2.gz LKPUOAHREKGUTO-UHFFFAOYSA-N 0 1 278.356 0.850 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000940672225 613262824 /nfs/dbraw/zinc/26/28/24/613262824.db2.gz HWOIWUWIQKJSSF-OLZOCXBDSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C(C)(C)C1 ZINC000940946287 613262861 /nfs/dbraw/zinc/26/28/61/613262861.db2.gz LWFMLOWFDROVCE-RFQIPJPRSA-N 0 1 276.380 0.729 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000940785270 613262887 /nfs/dbraw/zinc/26/28/87/613262887.db2.gz IVMKNWBGIDBGJZ-OLZOCXBDSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]nc2C)C[C@@H]1C ZINC000947592720 613264989 /nfs/dbraw/zinc/26/49/89/613264989.db2.gz CXPDDWJQELTYKO-JQWIXIFHSA-N 0 1 260.341 0.934 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccncn2)C(C)(C)C1 ZINC000941108647 613272920 /nfs/dbraw/zinc/27/29/20/613272920.db2.gz ZIMQNKPLNGTCMO-ZDUSSCGKSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@@H]2CCOC2)C(C)(C)C1 ZINC000972979690 613280790 /nfs/dbraw/zinc/28/07/90/613280790.db2.gz JIEUTEMMCMDHJC-QWHCGFSZSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cc[nH]n2)C(C)(C)C1 ZINC000972982710 613281036 /nfs/dbraw/zinc/28/10/36/613281036.db2.gz MVORGZXHYSQDRM-GFCCVEGCSA-N 0 1 260.341 0.412 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C(C)(C)C1 ZINC000977628731 613282429 /nfs/dbraw/zinc/28/24/29/613282429.db2.gz NVHDHTNYRLBWPG-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CCC=CCC2)C1 ZINC000958677887 613285657 /nfs/dbraw/zinc/28/56/57/613285657.db2.gz WRQWJYQVTJIRHB-LSDHHAIUSA-N 0 1 276.380 0.775 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC000958414418 613285815 /nfs/dbraw/zinc/28/58/15/613285815.db2.gz ZERALRKUYBSNMJ-SMDDNHRTSA-N 0 1 290.367 0.189 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)n(C)c2C)C1 ZINC000958652524 613286192 /nfs/dbraw/zinc/28/61/92/613286192.db2.gz ANJDMKATAPCVMR-DZGCQCFKSA-N 0 1 289.379 0.298 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(CC)s2)C1 ZINC000958232682 613286215 /nfs/dbraw/zinc/28/62/15/613286215.db2.gz VSFLGSSNGGBNBW-WCQYABFASA-N 0 1 292.404 0.966 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC000958444492 613286381 /nfs/dbraw/zinc/28/63/81/613286381.db2.gz QARMCYLEYHDYRP-WCQYABFASA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccn(CC)c2C)C1 ZINC000958345481 613286616 /nfs/dbraw/zinc/28/66/16/613286616.db2.gz NVBCMKZAOZNSKY-DZGCQCFKSA-N 0 1 289.379 0.472 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC000958179400 613287565 /nfs/dbraw/zinc/28/75/65/613287565.db2.gz MDIYFVPYYNOWAI-SWLSCSKDSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2CCCC2)[C@H](O)C1 ZINC000957807038 613288240 /nfs/dbraw/zinc/28/82/40/613288240.db2.gz AQESLYBRGHQPQD-CHWSQXEVSA-N 0 1 250.342 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C(C)C)on2)[C@H](O)C1 ZINC000958287382 613288415 /nfs/dbraw/zinc/28/84/15/613288415.db2.gz DQSCJWUVFXSPRO-DGCLKSJQSA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ncc(C)cc2C)[C@H](O)C1 ZINC000958277114 613290525 /nfs/dbraw/zinc/29/05/25/613290525.db2.gz NLIFKFBCXRBOSD-ZIAGYGMSSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(CC)ccn2)C1 ZINC000958092619 613294401 /nfs/dbraw/zinc/29/44/01/613294401.db2.gz VKCGDHMCZCSBHA-ZFWWWQNUSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccn3ccccc23)C1 ZINC000958250209 613295792 /nfs/dbraw/zinc/29/57/92/613295792.db2.gz YNFZTQKPJHXNGD-BBRMVZONSA-N 0 1 297.358 0.595 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2sccc2OC)C1 ZINC000958606491 613296773 /nfs/dbraw/zinc/29/67/73/613296773.db2.gz PRPBEQCAQWBKEY-QWRGUYRKSA-N 0 1 294.376 0.412 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2cc(Cl)cn2)C(C)(C)C1 ZINC000975097833 613297704 /nfs/dbraw/zinc/29/77/04/613297704.db2.gz CQXAZWZKHMNNPL-LBPRGKRZSA-N 0 1 294.786 0.996 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)C(C)(C)C1 ZINC000977540075 613298080 /nfs/dbraw/zinc/29/80/80/613298080.db2.gz LZTZQJNKZQLJLB-STQMWFEESA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2CCCC(=O)N2C)C(C)(C)C1 ZINC000977540071 613299021 /nfs/dbraw/zinc/29/90/21/613299021.db2.gz LZTZQJNKZQLJLB-OLZOCXBDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC000958740981 613302212 /nfs/dbraw/zinc/30/22/12/613302212.db2.gz YDWCGNASLVUKQG-RMCMBSFLSA-N 0 1 288.391 0.321 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC000958631945 613302761 /nfs/dbraw/zinc/30/27/61/613302761.db2.gz ZOKSBVPPAFVAQT-ZBFHGGJFSA-N 0 1 299.374 0.615 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@]2(C)CCNC2=O)CC1 ZINC000981945246 613310004 /nfs/dbraw/zinc/31/00/04/613310004.db2.gz JGSZCXKYRVDDKL-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccn(C(C)C)n1 ZINC000961314513 613314528 /nfs/dbraw/zinc/31/45/28/613314528.db2.gz RRQYIGQGWSPMHM-FOLVSLTJSA-N 0 1 286.379 0.686 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC000962289291 613315390 /nfs/dbraw/zinc/31/53/90/613315390.db2.gz SCDHBSFZTVRODN-PBOSXPJTSA-N 0 1 284.363 0.194 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)cc(C)n1 ZINC000960716637 613318197 /nfs/dbraw/zinc/31/81/97/613318197.db2.gz ZUGHOHAYHJXKGC-NHAGDIPZSA-N 0 1 269.348 0.992 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2n[nH]nc2c1 ZINC000962506008 613319524 /nfs/dbraw/zinc/31/95/24/613319524.db2.gz HFCBYQHRZTUEFL-YABSGUDNSA-N 0 1 281.319 0.251 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnc1OCC ZINC000962442765 613320351 /nfs/dbraw/zinc/32/03/51/613320351.db2.gz KUPQIBHGNGABRC-WDNDVIMCSA-N 0 1 285.347 0.774 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2cnccc2c1 ZINC000961135711 613321379 /nfs/dbraw/zinc/32/13/79/613321379.db2.gz HYLJWQLLOKLMHK-FOLVSLTJSA-N 0 1 292.342 0.923 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1csc(COC)n1 ZINC000962988925 613322116 /nfs/dbraw/zinc/32/21/16/613322116.db2.gz MGLWQUQBDXCXQE-IWIIMEHWSA-N 0 1 291.376 0.583 20 30 CCEDMN C#CCNC(=O)CN1CCCN2c3ccccc3C[C@@H]2C1 ZINC000810052425 613328445 /nfs/dbraw/zinc/32/84/45/613328445.db2.gz ZAMRBNQCWQOGLR-OAHLLOKOSA-N 0 1 283.375 0.873 20 30 CCEDMN C#CCNC(=O)CN1C[C@H](c2cnn(C)c2)C(C)(C)C1 ZINC000846929189 613330649 /nfs/dbraw/zinc/33/06/49/613330649.db2.gz RWBJISVRVOXJSZ-CYBMUJFWSA-N 0 1 274.368 0.595 20 30 CCEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cnn(C)n2)C1 ZINC000968414774 613334108 /nfs/dbraw/zinc/33/41/08/613334108.db2.gz WXFMKXJQKHVFMU-WCQYABFASA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)CONC(=O)NCc1nc(CSC)n[nH]1 ZINC000889313487 613353379 /nfs/dbraw/zinc/35/33/79/613353379.db2.gz NHBRMHOCCOKKST-UHFFFAOYSA-N 0 1 271.346 0.975 20 30 CCEDMN C=C(C)CONC(=O)NCc1nnc(CSC)[nH]1 ZINC000889313487 613353380 /nfs/dbraw/zinc/35/33/80/613353380.db2.gz NHBRMHOCCOKKST-UHFFFAOYSA-N 0 1 271.346 0.975 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CN1CCCC1)C(=O)OC ZINC000825260119 613355781 /nfs/dbraw/zinc/35/57/81/613355781.db2.gz UCAKBRJEDKDVCL-LLVKDONJSA-N 0 1 254.330 0.706 20 30 CCEDMN C=C(C)C[C@H](NC(=O)Cc1nnc[nH]1)C(=O)OCC ZINC000916717610 613358748 /nfs/dbraw/zinc/35/87/48/613358748.db2.gz UCQYYPNTVKLNOC-VIFPVBQESA-N 0 1 266.301 0.361 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N1CCCN(CCO)CC1 ZINC000982135181 613360567 /nfs/dbraw/zinc/36/05/67/613360567.db2.gz WCUSQLZAYVIJQN-GJZGRUSLSA-N 0 1 296.411 0.884 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCc1n[nH]c(C)n1 ZINC000894584670 613362805 /nfs/dbraw/zinc/36/28/05/613362805.db2.gz KKCHEQUKBLEKHI-ZYHUDNBSSA-N 0 1 279.344 0.894 20 30 CCEDMN C=C(CO)C(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000854342122 613367027 /nfs/dbraw/zinc/36/70/27/613367027.db2.gz HRIHZBPFVUBWHQ-UHFFFAOYSA-N 0 1 253.258 0.030 20 30 CCEDMN C=C(Cl)CN1CC([C@@H](C)NC(=O)C2=NC(=O)N(C)C2)C1 ZINC000970369619 613371713 /nfs/dbraw/zinc/37/17/13/613371713.db2.gz SBFLTUOAJPWSFP-SECBINFHSA-N 0 1 298.774 0.928 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](C)[C@@H](NC(=O)Cc2nnc[nH]2)C1 ZINC000968516762 613375461 /nfs/dbraw/zinc/37/54/61/613375461.db2.gz FITDZYPMVCJOPP-KOLCDFICSA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H]2COC(=O)C2)C1 ZINC000968420021 613384792 /nfs/dbraw/zinc/38/47/92/613384792.db2.gz WQDJULABUHIOHU-GHMZBOCLSA-N 0 1 286.759 0.883 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC000969077599 613385514 /nfs/dbraw/zinc/38/55/14/613385514.db2.gz YDTBMSRHVLKRCM-BXUZGUMPSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC000969117852 613385518 /nfs/dbraw/zinc/38/55/18/613385518.db2.gz WAWNTWRHJXQFKN-NWDGAFQWSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnnn2C)C1 ZINC000968548777 613385966 /nfs/dbraw/zinc/38/59/66/613385966.db2.gz VQTPDJMGQIZTFI-SECBINFHSA-N 0 1 269.736 0.372 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)NCCCS(C)(=O)=O ZINC000876600413 613389933 /nfs/dbraw/zinc/38/99/33/613389933.db2.gz IEZDSPXVUPXCJZ-QMMMGPOBSA-N 0 1 268.766 0.007 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@H]1CC[C@H](C)S(=O)(=O)C1 ZINC000876965394 613390523 /nfs/dbraw/zinc/39/05/23/613390523.db2.gz GSXLBKDITCVZLA-GUBZILKMSA-N 0 1 294.804 0.538 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)c1ncn[nH]1)C(=O)OCC ZINC000870028063 613390752 /nfs/dbraw/zinc/39/07/52/613390752.db2.gz DMVWMMHLJMCIKB-ZETCQYMHSA-N 0 1 272.692 0.609 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)c1nc[nH]n1)C(=O)OCC ZINC000870028063 613390753 /nfs/dbraw/zinc/39/07/53/613390753.db2.gz DMVWMMHLJMCIKB-ZETCQYMHSA-N 0 1 272.692 0.609 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(=O)OCC ZINC000870035040 613390810 /nfs/dbraw/zinc/39/08/10/613390810.db2.gz MBNSKYIOQYLRFL-HRDYMLBCSA-N 0 1 290.703 0.842 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000878046976 613411790 /nfs/dbraw/zinc/41/17/90/613411790.db2.gz DCJCPIHKOAAQRO-MJBXVCDLSA-N 0 1 282.384 0.635 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@@H](Cn2ccnn2)C1 ZINC000878887513 613412378 /nfs/dbraw/zinc/41/23/78/613412378.db2.gz UYVUDYUBYAQVNW-VXGBXAGGSA-N 0 1 264.329 0.718 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(N(C)C(=O)Cc2ncn[nH]2)C1 ZINC000955389157 613428172 /nfs/dbraw/zinc/42/81/72/613428172.db2.gz ZHNZWCXDGUPGPD-UHFFFAOYSA-N 0 1 291.355 0.229 20 30 CCEDMN C=CCn1cc(CN[C@H](C)C[C@@H]2CCC(=O)N2)nn1 ZINC000926614927 613436235 /nfs/dbraw/zinc/43/62/35/613436235.db2.gz NHIAXTQUZXDCFQ-MNOVXSKESA-N 0 1 263.345 0.611 20 30 CCEDMN C=CC(C)(C)CCCNC(=O)C(=O)NC[C@@H]1COCCN1 ZINC000884815789 613446554 /nfs/dbraw/zinc/44/65/54/613446554.db2.gz SFYCCTDWNXRFAY-GFCCVEGCSA-N 0 1 297.399 0.200 20 30 CCEDMN C=CC(C)(C)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000908466953 613449456 /nfs/dbraw/zinc/44/94/56/613449456.db2.gz VAXRDGXDMISWCK-UHFFFAOYSA-N 0 1 274.298 0.704 20 30 CCEDMN C=CCC(F)(F)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000815491389 613455381 /nfs/dbraw/zinc/45/53/81/613455381.db2.gz OUJRWCAKIYNGNG-LLVKDONJSA-N 0 1 275.343 0.950 20 30 CCEDMN C=CCC1(O)CCN(C(=O)NC[C@H]2COCCN2)CC1 ZINC000892287880 613458345 /nfs/dbraw/zinc/45/83/45/613458345.db2.gz XUAUOSPQCGGATF-LBPRGKRZSA-N 0 1 283.372 0.087 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NCc1cn(Cc2ncon2)nn1 ZINC000906304240 613461110 /nfs/dbraw/zinc/46/11/10/613461110.db2.gz FWZCOLZQFQPGPZ-NEPJUHHUSA-N 0 1 290.327 0.533 20 30 CCEDMN C=CCCC(=O)N1CC[N@@H+](CCC(=O)[O-])[C@H](C)C1 ZINC000833373556 613462436 /nfs/dbraw/zinc/46/24/36/613462436.db2.gz SBXUINRTRRGHBI-LLVKDONJSA-N 0 1 254.330 0.960 20 30 CCEDMN C=CCCCC(=O)NC[C@H]1CN2CCN1CCC2 ZINC000854352800 613464845 /nfs/dbraw/zinc/46/48/45/613464845.db2.gz KPVNDACBHXORTF-ZDUSSCGKSA-N 0 1 251.374 0.849 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000910916685 613473684 /nfs/dbraw/zinc/47/36/84/613473684.db2.gz ICRAMMRPKGHION-RYUDHWBXSA-N 0 1 284.356 0.633 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000910916685 613473688 /nfs/dbraw/zinc/47/36/88/613473688.db2.gz ICRAMMRPKGHION-RYUDHWBXSA-N 0 1 284.356 0.633 20 30 CCEDMN C=CCCN1CCN(C(=O)Cc2cc(C)nn2C)CC1 ZINC000949269490 613504564 /nfs/dbraw/zinc/50/45/64/613504564.db2.gz WAPUQOGNPOUQJQ-UHFFFAOYSA-N 0 1 276.384 0.991 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC000896544560 613509930 /nfs/dbraw/zinc/50/99/30/613509930.db2.gz LBPZHRSEFFMOEE-BZPMIXESSA-N 0 1 269.389 0.064 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)COC)C2)C1 ZINC000972192844 613514264 /nfs/dbraw/zinc/51/42/64/613514264.db2.gz BVXVJJTYBZSZKJ-CQSZACIVSA-N 0 1 268.357 0.512 20 30 CCEDMN CC#CCCNC(=O)N1CCN(C)CCN(C)CC1 ZINC000894270987 613521683 /nfs/dbraw/zinc/52/16/83/613521683.db2.gz ANWIDAGEZYGAEQ-UHFFFAOYSA-N 0 1 266.389 0.289 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000970835862 613533160 /nfs/dbraw/zinc/53/31/60/613533160.db2.gz LEQLHMOCLLPBRJ-LLVKDONJSA-N 0 1 273.336 0.861 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cnc(C)[nH]2)C1 ZINC000969402143 613534920 /nfs/dbraw/zinc/53/49/20/613534920.db2.gz MWIMWEPQKJMHDQ-SNVBAGLBSA-N 0 1 260.341 0.792 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cc(C)n[nH]2)C1 ZINC000969356165 613537528 /nfs/dbraw/zinc/53/75/28/613537528.db2.gz SIONDPSKJJDAJM-NSHDSACASA-N 0 1 260.341 0.792 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3COC(=O)N3)C2)C1 ZINC000981742300 613542473 /nfs/dbraw/zinc/54/24/73/613542473.db2.gz KKRXYIRMBOBSNJ-LBPRGKRZSA-N 0 1 291.351 0.043 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3COCCO3)C2)C1 ZINC000981634494 613542867 /nfs/dbraw/zinc/54/28/67/613542867.db2.gz DRTPOPBSUIRZBS-CQSZACIVSA-N 0 1 292.379 0.350 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CC[N@@H+](CCC(=O)[O-])[C@H](C)C1 ZINC000833373803 613578932 /nfs/dbraw/zinc/57/89/32/613578932.db2.gz DJCSDJCWCANRNW-CHWSQXEVSA-N 0 1 298.383 0.975 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2nc(C)cc(=O)[nH]2)CC1 ZINC000886655414 613585711 /nfs/dbraw/zinc/58/57/11/613585711.db2.gz OMSQJSFZODHXDY-CYBMUJFWSA-N 0 1 292.383 0.940 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cc2nc[nH]n2)C1 ZINC000968520196 613593637 /nfs/dbraw/zinc/59/36/37/613593637.db2.gz DKOHENDZWGOOKT-NWDGAFQWSA-N 0 1 275.356 0.197 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cn(C)nn2)C(C)(C)C1 ZINC000940724422 613604575 /nfs/dbraw/zinc/60/45/75/613604575.db2.gz HRUKQVZEKDCKHM-ZDUSSCGKSA-N 0 1 289.383 0.669 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(C)coc2C)C1 ZINC000958040973 613618662 /nfs/dbraw/zinc/61/86/62/613618662.db2.gz HHHGITZMOXCUQT-KBPBESRZSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CC[N@H+]1C[C@H](NC(=O)c2[nH]nc(C)c2[O-])C(C)(C)C1 ZINC000973043658 613624103 /nfs/dbraw/zinc/62/41/03/613624103.db2.gz PNHZXQAXOZBACV-NSHDSACASA-N 0 1 290.367 0.887 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(F)cc2)C1 ZINC000957815899 613624721 /nfs/dbraw/zinc/62/47/21/613624721.db2.gz CXRNBBMTRWCFMO-HIFRSBDPSA-N 0 1 290.338 0.872 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(Cl)no2)C1 ZINC000958610910 613624788 /nfs/dbraw/zinc/62/47/88/613624788.db2.gz WQDLXLRWHKMLMQ-ZJUUUORDSA-N 0 1 297.742 0.374 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)C23CCC(CC2)C3)C1 ZINC000958187255 613625151 /nfs/dbraw/zinc/62/51/51/613625151.db2.gz NVSPELAWZUMIKB-DGNOSDGRSA-N 0 1 290.407 0.999 20 30 CCEDMN C=CCN(C)[C@H]1CCN(C(=O)c2cn(C)ccc2=O)C1 ZINC000971916601 613639489 /nfs/dbraw/zinc/63/94/89/613639489.db2.gz HDIHFOBBNWADDZ-LBPRGKRZSA-N 0 1 275.352 0.718 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ocnc1CC ZINC000961725414 613643039 /nfs/dbraw/zinc/64/30/39/613643039.db2.gz WTPBNWJQCDOFHX-PJXYFTJBSA-N 0 1 273.336 0.920 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897223173 613644471 /nfs/dbraw/zinc/64/44/71/613644471.db2.gz CJTDRFHCYWASAU-CYBMUJFWSA-N 0 1 296.367 0.285 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC000970424843 613663530 /nfs/dbraw/zinc/66/35/30/613663530.db2.gz KRWQEKJQUBQZKY-KGYLQXTDSA-N 0 1 279.384 0.333 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC000970735581 613669014 /nfs/dbraw/zinc/66/90/14/613669014.db2.gz SFJWPFMDJZZGDM-JSGCOSHPSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC000970602219 613670966 /nfs/dbraw/zinc/67/09/66/613670966.db2.gz NCHNONQLIVAWPG-NSHDSACASA-N 0 1 290.367 0.499 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cn3c(n2)COCC3)C1 ZINC000969960323 613672583 /nfs/dbraw/zinc/67/25/83/613672583.db2.gz DEUDCEUQCOXXII-NSHDSACASA-N 0 1 290.367 0.649 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@@H]2CCCC(=O)N2)CC1 ZINC000981587710 613688927 /nfs/dbraw/zinc/68/89/27/613688927.db2.gz OXDVVNFWBJMCQN-ZDUSSCGKSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@]2(C)CCNC(=O)C2)CC1 ZINC000981440374 613692534 /nfs/dbraw/zinc/69/25/34/613692534.db2.gz VBEBIQVVRRMNER-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966053622 613733093 /nfs/dbraw/zinc/73/30/93/613733093.db2.gz ZRZIROGGYRQIDV-TZMCWYRMSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cnnn2C)C1 ZINC000965979343 613735840 /nfs/dbraw/zinc/73/58/40/613735840.db2.gz DTZPBVYGYPAUPL-GHMZBOCLSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC3(CCNC(=O)O3)CC2)C1=O ZINC000932759739 613738986 /nfs/dbraw/zinc/73/89/86/613738986.db2.gz XVRMBFZTRWXPNS-GFCCVEGCSA-N 0 1 293.367 0.738 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCC(OC)OC)C1=O ZINC000852006627 613776687 /nfs/dbraw/zinc/77/66/87/613776687.db2.gz BWUBBAOPMLJHEG-NSHDSACASA-N 0 1 256.346 0.714 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965528539 613768193 /nfs/dbraw/zinc/76/81/93/613768193.db2.gz QRZGFZPPTZKMKW-JSGCOSHPSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000968661251 613772673 /nfs/dbraw/zinc/77/26/73/613772673.db2.gz WLTNYJIVYNQDAK-GXFFZTMASA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C2=COCCO2)C(C)(C)C1 ZINC000972770914 613806414 /nfs/dbraw/zinc/80/64/14/613806414.db2.gz AYWSXOHUSXJQRN-GFCCVEGCSA-N 0 1 266.341 0.887 20 30 CCEDMN C=CCN1C[C@@H](CNC(=O)c2cc(C3CC3)no2)[C@H](O)C1 ZINC000958444714 613817569 /nfs/dbraw/zinc/81/75/69/613817569.db2.gz RQXVGTDUMNNOAN-DGCLKSJQSA-N 0 1 291.351 0.761 20 30 CCEDMN C=CCNC(=O)CN(CCOC)C1CCOCC1 ZINC000879707171 613845038 /nfs/dbraw/zinc/84/50/38/613845038.db2.gz CWNMJJKTVJISAM-UHFFFAOYSA-N 0 1 256.346 0.416 20 30 CCEDMN C=CCNC(=O)CN[C@]1(CO)CCc2c1cccc2OC ZINC000880379390 613847578 /nfs/dbraw/zinc/84/75/78/613847578.db2.gz AKEQWXMDUMJOCT-INIZCTEOSA-N 0 1 290.363 0.721 20 30 CCEDMN C=CCNC(=O)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000132593603 613849434 /nfs/dbraw/zinc/84/94/34/613849434.db2.gz WPFZBYHEAKKNGQ-TXEJJXNPSA-N 0 1 255.362 0.923 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H]2CSC[C@@H]2C1 ZINC000879556640 613849514 /nfs/dbraw/zinc/84/95/14/613849514.db2.gz VISGVPKAJRWETI-MNOVXSKESA-N 0 1 283.397 0.683 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000825687581 613852068 /nfs/dbraw/zinc/85/20/68/613852068.db2.gz QETFOBNBSWRKQS-KXUCPTDWSA-N 0 1 283.328 0.039 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000823507519 613855126 /nfs/dbraw/zinc/85/51/26/613855126.db2.gz ZSRNRXXIEPHJCD-TUAOUCFPSA-N 0 1 281.356 0.894 20 30 CCEDMN CC(C)(C)N1CC[C@](F)(C(=O)OCC(=O)NCC#N)C1 ZINC000811448352 613943279 /nfs/dbraw/zinc/94/32/79/613943279.db2.gz UOXPWDLCUHAWTM-CYBMUJFWSA-N 0 1 285.319 0.382 20 30 CCEDMN CC(=O)NCc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834510566 613943416 /nfs/dbraw/zinc/94/34/16/613943416.db2.gz CTWXHKJTKKNXPO-ZIAGYGMSSA-N 0 1 286.335 0.164 20 30 CCEDMN CC(C)CCn1cc(C(=O)NCC#CCN(C)C)nn1 ZINC000913519043 614062546 /nfs/dbraw/zinc/06/25/46/614062546.db2.gz KWBOCEDBKZQLBY-UHFFFAOYSA-N 0 1 277.372 0.619 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C[C@@H](O)C2(O)CCCC2)CC1 ZINC000930116279 614071770 /nfs/dbraw/zinc/07/17/70/614071770.db2.gz VFGYHGCFHRYJLZ-HUUCEWRRSA-N 0 1 295.427 0.818 20 30 CCEDMN CC(=O)Nc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)ccc1F ZINC000834499968 614198399 /nfs/dbraw/zinc/19/83/99/614198399.db2.gz PFIHSTBHOLLEFW-ZWNOBZJWSA-N 0 1 290.298 0.625 20 30 CCEDMN CC(=O)Nc1ccc(C)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834499804 614200172 /nfs/dbraw/zinc/20/01/72/614200172.db2.gz MXUXQQKTLHGVAC-SMDDNHRTSA-N 0 1 286.335 0.795 20 30 CCEDMN CC(C)C[C@@]1(C(=O)NCC#CCN(C)C)CCNC1=O ZINC000913525509 614208935 /nfs/dbraw/zinc/20/89/35/614208935.db2.gz ZWIFCRMEABGUFE-OAHLLOKOSA-N 0 1 279.384 0.220 20 30 CCEDMN CC(C)[C@H](NC(=O)C(C)(C)C)C(=O)NCC#CCN(C)C ZINC000913521496 614229405 /nfs/dbraw/zinc/22/94/05/614229405.db2.gz BAUJUHYADSCAAR-ZDUSSCGKSA-N 0 1 295.427 0.855 20 30 CCEDMN CC(C)Cc1nnc(NC(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000841008761 614234035 /nfs/dbraw/zinc/23/40/35/614234035.db2.gz CPCJCEULZRPFAX-BDAKNGLRSA-N 0 1 294.384 0.970 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N2CC[C@](F)(C#N)C2)cc1=O ZINC000935572645 614235669 /nfs/dbraw/zinc/23/56/69/614235669.db2.gz ZJIYTIVXXPUEKX-AWEZNQCLSA-N 0 1 294.330 0.839 20 30 CCEDMN CC(C)(C#N)C(=O)N1CC[C@H]2[C@@H]1CCCN2CCO ZINC000889773179 614284522 /nfs/dbraw/zinc/28/45/22/614284522.db2.gz GPBGMJJDMLQMKO-RYUDHWBXSA-N 0 1 265.357 0.594 20 30 CCEDMN CC(C)N1C(=O)CC[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000874438544 614305719 /nfs/dbraw/zinc/30/57/19/614305719.db2.gz KBKQIKFNSKQLOD-LBPRGKRZSA-N 0 1 292.383 0.490 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000826713298 614327799 /nfs/dbraw/zinc/32/77/99/614327799.db2.gz SLCVYSDQCMXTIH-ZDUSSCGKSA-N 0 1 251.374 0.398 20 30 CCEDMN CC(C)n1ncc2cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cnc21 ZINC000834517531 614370971 /nfs/dbraw/zinc/37/09/71/614370971.db2.gz WSAXWHQZCRYROX-QWHCGFSZSA-N 0 1 298.350 0.854 20 30 CCEDMN CC1(C)Cc2nc(N)sc2C(=NNC2=NCCN2)C1 ZINC000841660495 614506688 /nfs/dbraw/zinc/50/66/88/614506688.db2.gz PZXDGXFMZIFKLM-UHFFFAOYSA-N 0 1 278.385 0.951 20 30 CCEDMN CCCn1nc(C)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1C ZINC000843460700 614542777 /nfs/dbraw/zinc/54/27/77/614542777.db2.gz QLCIJVCDGPCWHE-RYUDHWBXSA-N 0 1 275.356 0.751 20 30 CCEDMN CC1(C)CN(C(=O)C#Cc2ccc3c(c2)OCO3)CCN1 ZINC000121954879 614580884 /nfs/dbraw/zinc/58/08/84/614580884.db2.gz RFRHBVJWQQHQKD-UHFFFAOYSA-N 0 1 286.331 0.977 20 30 CCEDMN CCC/C=C\[C@@H](O)C(=O)NC1(C#N)CCN(C)CC1 ZINC000900607763 614609235 /nfs/dbraw/zinc/60/92/35/614609235.db2.gz HLDQBHUKWGRXMI-FOQNGQEVSA-N 0 1 265.357 0.808 20 30 CCEDMN CCCN1CCC[C@@H]1C(=O)N[C@H]1CCCN(O)C1=O ZINC000848139232 614664950 /nfs/dbraw/zinc/66/49/50/614664950.db2.gz SOWARHWHXAIRDB-WDEREUQCSA-N 0 1 269.345 0.357 20 30 CCEDMN CCC1(Cn2cc(C(=O)NC3=NO[C@H](C)C3)nn2)COC1 ZINC000831399295 614699026 /nfs/dbraw/zinc/69/90/26/614699026.db2.gz KTXNEVGRTVTQQO-SECBINFHSA-N 0 1 293.327 0.557 20 30 CCEDMN CCCCCCCNC(=O)C(=O)N1CCN[C@H](C)C1 ZINC000861786182 614901552 /nfs/dbraw/zinc/90/15/52/614901552.db2.gz RPAYGWGCRAMIGI-GFCCVEGCSA-N 0 1 269.389 0.893 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@@H]1CCOC[C@H]1OC ZINC000880429709 615021129 /nfs/dbraw/zinc/02/11/29/615021129.db2.gz WVELXUMEEACYRU-CHWSQXEVSA-N 0 1 283.372 0.484 20 30 CCEDMN CCC[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)NCCOC ZINC000813060211 615026363 /nfs/dbraw/zinc/02/63/63/615026363.db2.gz SOCNQLMBZBZVHH-MXWKQRLJSA-N 0 1 252.314 0.894 20 30 CCEDMN CCN1CCCN(C[C@H](O)CC2(C#N)CCC2)CC1=O ZINC000886164146 615122388 /nfs/dbraw/zinc/12/23/88/615122388.db2.gz CUSVLFRIUZVGTP-CYBMUJFWSA-N 0 1 279.384 0.985 20 30 CCEDMN CCOC(=O)CNC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000876575990 615193704 /nfs/dbraw/zinc/19/37/04/615193704.db2.gz AILTUCZYWSUWNC-AWEZNQCLSA-N 0 1 287.319 0.424 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@H](C)CO1 ZINC000892458919 615245623 /nfs/dbraw/zinc/24/56/23/615245623.db2.gz NLEAKEVMAABONU-TUAOUCFPSA-N 0 1 282.340 0.663 20 30 CCEDMN CCOCC[C@@H](O)CNCc1nc(C#N)cs1 ZINC000905655533 615299588 /nfs/dbraw/zinc/29/95/88/615299588.db2.gz NYRKJFPNUGULKZ-SNVBAGLBSA-N 0 1 255.343 0.892 20 30 CCEDMN CCOCc1nc(C)cc(NN=Cc2cnn(C)n2)n1 ZINC000905502732 615324034 /nfs/dbraw/zinc/32/40/34/615324034.db2.gz LENCTCSSIONREB-UHFFFAOYSA-N 0 1 275.316 0.896 20 30 CCEDMN CCN1[C@H](C)CN(C(=O)Cn2cnc(C#N)n2)C[C@@H]1C ZINC000912023599 615450047 /nfs/dbraw/zinc/45/00/47/615450047.db2.gz FHWSLICRUPJBDE-PHIMTYICSA-N 0 1 276.344 0.091 20 30 CCEDMN CCOc1ccc(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834506330 615512062 /nfs/dbraw/zinc/51/20/62/615512062.db2.gz RXXXKFSGQYRPBB-SMDDNHRTSA-N 0 1 289.335 0.692 20 30 CCEDMN CCc1c(C(=O)N[C@@H]2CNC[C@H]2C#N)[nH]c(C)c1C(C)=O ZINC000834489495 615605667 /nfs/dbraw/zinc/60/56/67/615605667.db2.gz MYAGPKFSQKGDAY-ZYHUDNBSSA-N 0 1 288.351 0.930 20 30 CCEDMN CCn1nc(C)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1Cl ZINC000843459747 615646876 /nfs/dbraw/zinc/64/68/76/615646876.db2.gz HSZGKIUKCVYZKG-DTWKUNHWSA-N 0 1 281.747 0.706 20 30 CCEDMN CN(C)CC#CCNC(=O)COc1ccccc1[N+](=O)[O-] ZINC000913514108 615858379 /nfs/dbraw/zinc/85/83/79/615858379.db2.gz FFTOILRRDLGJAF-UHFFFAOYSA-N 0 1 291.307 0.655 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)c1ccccc1 ZINC000913514727 615858474 /nfs/dbraw/zinc/85/84/74/615858474.db2.gz ZRLGPGGHUUUAPG-UHFFFAOYSA-N 0 1 273.336 0.098 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cc(C2CC2)n(C)n1 ZINC000931485875 615859083 /nfs/dbraw/zinc/85/90/83/615859083.db2.gz QZGNGQOERPQWRN-UHFFFAOYSA-N 0 1 275.356 0.984 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc(-n2nccn2)cc1 ZINC000929723706 615859178 /nfs/dbraw/zinc/85/91/78/615859178.db2.gz WUZVCGMSIXSBPA-UHFFFAOYSA-N 0 1 298.350 0.954 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1COc2ccccc2C1 ZINC000913515737 615859393 /nfs/dbraw/zinc/85/93/93/615859393.db2.gz LDGNCSOFUYQCRD-AWEZNQCLSA-N 0 1 272.348 0.919 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC000913516763 615859729 /nfs/dbraw/zinc/85/97/29/615859729.db2.gz DXFATKIXZNVCQD-CQSZACIVSA-N 0 1 291.395 0.316 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c[nH]nc1-c1ccncc1 ZINC000913519288 615860122 /nfs/dbraw/zinc/86/01/22/615860122.db2.gz UTFVAZMAYYIIGI-UHFFFAOYSA-N 0 1 283.335 0.767 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc([N+](=O)[O-])o1 ZINC000913514570 615860806 /nfs/dbraw/zinc/86/08/06/615860806.db2.gz VLNCUYHBBSSFBG-UHFFFAOYSA-N 0 1 251.242 0.483 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccn2nccc12 ZINC000913525194 615861124 /nfs/dbraw/zinc/86/11/24/615861124.db2.gz NJABKOWKDYCCGV-UHFFFAOYSA-N 0 1 256.309 0.629 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccn(C(F)F)n1 ZINC000913520180 615861463 /nfs/dbraw/zinc/86/14/63/615861463.db2.gz HISSIHPHQCRQDS-UHFFFAOYSA-N 0 1 256.256 0.573 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cncc2[nH]cnc21 ZINC000913523978 615861720 /nfs/dbraw/zinc/86/17/20/615861720.db2.gz UKOSUYHSHDMIFA-UHFFFAOYSA-N 0 1 257.297 0.253 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nnc(C(C)(C)C)o1 ZINC000905150903 615862416 /nfs/dbraw/zinc/86/24/16/615862416.db2.gz BMXSDWPBGBWRJT-UHFFFAOYSA-N 0 1 264.329 0.662 20 30 CCEDMN CCn1c2cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)ccc2[nH]c1=O ZINC000848417779 615924113 /nfs/dbraw/zinc/92/41/13/615924113.db2.gz FOVKDPZZZUFCSA-CMPLNLGQSA-N 0 1 299.334 0.603 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000896540010 615942076 /nfs/dbraw/zinc/94/20/76/615942076.db2.gz COWTWMYXXYFAGO-MBNYWOFBSA-N 0 1 266.389 0.677 20 30 CCEDMN CN(C)c1ccccc1NC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000841031134 616023426 /nfs/dbraw/zinc/02/34/26/616023426.db2.gz WRFCKGHLJXPXMJ-ZYHUDNBSSA-N 0 1 273.340 0.986 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC000972408750 616041619 /nfs/dbraw/zinc/04/16/19/616041619.db2.gz JBGIEQIWWXFSLC-NSHDSACASA-N 0 1 273.340 0.957 20 30 CCEDMN CN(CC(=O)N[C@H]1CNC[C@@H]1C#N)C(=O)c1cccs1 ZINC000834515646 616049267 /nfs/dbraw/zinc/04/92/67/616049267.db2.gz AMOGRITXONFIKM-UWVGGRQHSA-N 0 1 292.364 0.048 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCCC[C@@H]1C(=O)[O-] ZINC000820580228 616067353 /nfs/dbraw/zinc/06/73/53/616067353.db2.gz XQLBGLJLWFSWII-LLVKDONJSA-N 0 1 267.329 0.688 20 30 CCEDMN CN(CCC#N)C(=O)c1nnc2ccccc2c1O ZINC000118319619 616067576 /nfs/dbraw/zinc/06/75/76/616067576.db2.gz XTRLDRBULVUWBW-UHFFFAOYSA-N 0 1 256.265 0.909 20 30 CCEDMN C[N@@H+](CCCC(=O)[O-])CCCc1[nH]nc(N)c1C#N ZINC000820592062 616072011 /nfs/dbraw/zinc/07/20/11/616072011.db2.gz FQIJVRAHLSHGJG-UHFFFAOYSA-N 0 1 265.317 0.593 20 30 CCEDMN CN(CCN1CC2(C1)CCOCC2)C(=O)CSCC#N ZINC000829285701 616085395 /nfs/dbraw/zinc/08/53/95/616085395.db2.gz AAFRWIRNLJJMFE-UHFFFAOYSA-N 0 1 297.424 0.814 20 30 CCEDMN CN1C(=O)CC[C@H](NCc2csc(C#N)c2)C1=O ZINC000922200566 616131248 /nfs/dbraw/zinc/13/12/48/616131248.db2.gz DZADNOPERSIWSF-JTQLQIEISA-N 0 1 263.322 0.857 20 30 CCEDMN CN(C[C@@H]1CCN1C(=O)C#CC1CC1)C(=O)c1ccn[nH]1 ZINC000977423936 616139178 /nfs/dbraw/zinc/13/91/78/616139178.db2.gz QDZQFIJMMDDZCK-LBPRGKRZSA-N 0 1 286.335 0.496 20 30 CCEDMN CN1C(=O)[C@H]2CN(CC#Cc3ccc(F)cc3)C[C@H]2C1=O ZINC000879533205 616157747 /nfs/dbraw/zinc/15/77/47/616157747.db2.gz CLTBOLOBJFNNSO-OKILXGFUSA-N 0 1 286.306 0.724 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@@H]1CCN1C(=O)C#CC1CC1 ZINC000949140329 616210960 /nfs/dbraw/zinc/21/09/60/616210960.db2.gz ZONLGACTYZNYOG-KBPBESRZSA-N 0 1 289.379 0.211 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)Nc2ccc(C#N)cn2)C1 ZINC000889225082 616237823 /nfs/dbraw/zinc/23/78/23/616237823.db2.gz GWTFIPOPIPQZSC-LBPRGKRZSA-N 0 1 288.355 0.321 20 30 CCEDMN CN1CCN(c2ncccc2CNC(=O)C#CC2CC2)CC1 ZINC000837367862 616247665 /nfs/dbraw/zinc/24/76/65/616247665.db2.gz CVSJPPZIKRWDBO-UHFFFAOYSA-N 0 1 298.390 0.863 20 30 CCEDMN COC(=O)[C@@H]1CCCC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913524582 616283677 /nfs/dbraw/zinc/28/36/77/616283677.db2.gz SKMUFBCZOLQXLD-QWHCGFSZSA-N 0 1 280.368 0.647 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)N1CCN(C2CC2)[C@@H](C#N)C1 ZINC000925409651 616291332 /nfs/dbraw/zinc/29/13/32/616291332.db2.gz GKWCBUWSKTYKOY-OLZOCXBDSA-N 0 1 277.372 0.072 20 30 CCEDMN CN1C[C@@H](O)C[C@@H]1CNC(=O)c1ccccc1CC#N ZINC000924992547 616308470 /nfs/dbraw/zinc/30/84/70/616308470.db2.gz LSZZHLJOVUUNPG-OLZOCXBDSA-N 0 1 273.336 0.547 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)[C@]2(C#N)CCCOC2)C1 ZINC000865584311 616396201 /nfs/dbraw/zinc/39/62/01/616396201.db2.gz OXDLSXVESGCMKP-OCCSQVGLSA-N 0 1 281.356 0.144 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N1CCC[C@H](C#N)C1 ZINC000928211130 616469954 /nfs/dbraw/zinc/46/99/54/616469954.db2.gz DKYAVRNAMPPHEX-WZRBSPASSA-N 0 1 251.330 0.204 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000900770398 616587290 /nfs/dbraw/zinc/58/72/90/616587290.db2.gz DDFMJAOUKSNKAP-TVQRCGJNSA-N 0 1 290.323 0.601 20 30 CCEDMN COCCOc1ccccc1C=NNC1=NCCN1 ZINC000841661467 616597028 /nfs/dbraw/zinc/59/70/28/616597028.db2.gz PNMPAFXNRKXWAT-UHFFFAOYSA-N 0 1 262.313 0.595 20 30 CCEDMN CO[C@@H]1CC[C@@H](C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC000850760118 616679509 /nfs/dbraw/zinc/67/95/09/616679509.db2.gz VXBQTBSJRXFRQZ-FBIMIBRVSA-N 0 1 250.298 0.789 20 30 CCEDMN CO[C@@H]1CC[C@@H](C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC000850760120 616679908 /nfs/dbraw/zinc/67/99/08/616679908.db2.gz VXBQTBSJRXFRQZ-IEBDPFPHSA-N 0 1 250.298 0.789 20 30 CCEDMN COC[C@H](Cn1c(=O)[nH]cc(C#N)c1=O)C(C)C ZINC000877890990 616682142 /nfs/dbraw/zinc/68/21/42/616682142.db2.gz YLUZHSGOEMJOCS-JTQLQIEISA-N 0 1 251.286 0.327 20 30 CCEDMN CO[C@@H]1CC[C@H]1N(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000934129881 616712914 /nfs/dbraw/zinc/71/29/14/616712914.db2.gz VGGNGJQSUGYTCY-YRGRVCCFSA-N 0 1 265.357 0.904 20 30 CCEDMN CO[C@@H]1CC[C@H]1N(C)CC(=O)N(CCC#N)CCC#N ZINC000934123331 616712922 /nfs/dbraw/zinc/71/29/22/616712922.db2.gz CZBDBLYTTUAZDN-CHWSQXEVSA-N 0 1 278.356 0.752 20 30 CCEDMN CO[C@@H]1COCC[C@H]1N(C)CC(=O)NC1(C#N)CCC1 ZINC000880431626 616811957 /nfs/dbraw/zinc/81/19/57/616811957.db2.gz CMYFHLCUBBDISE-VXGBXAGGSA-N 0 1 281.356 0.285 20 30 CCEDMN COCc1nc(CNC(=O)C#Cc2cccs2)n[nH]1 ZINC000855516937 616919098 /nfs/dbraw/zinc/91/90/98/616919098.db2.gz HRSKJZNQQJPQKU-UHFFFAOYSA-N 0 1 276.321 0.681 20 30 CCEDMN COCc1nnc(CNC(=O)C#Cc2cccs2)[nH]1 ZINC000855516937 616919100 /nfs/dbraw/zinc/91/91/00/616919100.db2.gz HRSKJZNQQJPQKU-UHFFFAOYSA-N 0 1 276.321 0.681 20 30 CCEDMN COC(=O)c1cccc(C(=O)NCC#CCN(C)C)n1 ZINC000913516714 616924505 /nfs/dbraw/zinc/92/45/05/616924505.db2.gz BSRACWJLFRCKKU-UHFFFAOYSA-N 0 1 275.308 0.163 20 30 CCEDMN COCc1nc(Cl)cc(NN=Cc2cnnn2C)n1 ZINC000814974931 616937457 /nfs/dbraw/zinc/93/74/57/616937457.db2.gz KXXODUCKLIZZLQ-UHFFFAOYSA-N 0 1 281.707 0.851 20 30 CCEDMN COCCCn1nccc1C=NNC1=NC[C@H](C)N1 ZINC000802712063 616938616 /nfs/dbraw/zinc/93/86/16/616938616.db2.gz GYEROTWJVMRUSF-JTQLQIEISA-N 0 1 264.333 0.191 20 30 CCEDMN COCCN(CC#N)C(=O)c1cc(Br)[nH]n1 ZINC000854903407 616974387 /nfs/dbraw/zinc/97/43/87/616974387.db2.gz YZCJQSTULKZOML-UHFFFAOYSA-N 0 1 287.117 0.784 20 30 CCEDMN CO[C@H](C(=O)N[C@@H]1CNC[C@H]1C#N)c1ccccc1 ZINC000834490515 616985364 /nfs/dbraw/zinc/98/53/64/616985364.db2.gz VONABGNAJSIRFD-UPJWGTAASA-N 0 1 259.309 0.602 20 30 CCEDMN CO[C@](C)(C(=O)NCC#CCN(C)C)C(=O)OC(C)(C)C ZINC000913523713 617124826 /nfs/dbraw/zinc/12/48/26/617124826.db2.gz JPUMSNJNJUKWBJ-OAHLLOKOSA-N 0 1 298.383 0.414 20 30 CCEDMN COc1cc(C#N)ccc1NC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000841191950 617152703 /nfs/dbraw/zinc/15/27/03/617152703.db2.gz JSGCQSMOQVQLFX-CMPLNLGQSA-N 0 1 285.307 0.800 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)cc2CO)CCN1CCO ZINC000893277773 625416416 /nfs/dbraw/zinc/41/64/16/625416416.db2.gz VUABZOPVPCMMDG-GFCCVEGCSA-N 0 1 275.352 0.553 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CNCc2csnn2)C1 ZINC001026732135 625573851 /nfs/dbraw/zinc/57/38/51/625573851.db2.gz GXGAXRSWALZEJA-UWVGGRQHSA-N 0 1 279.369 0.636 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CNCc2ccon2)C1 ZINC001026732235 625574299 /nfs/dbraw/zinc/57/42/99/625574299.db2.gz HYXHKJJUZDATQI-QWRGUYRKSA-N 0 1 262.313 0.772 20 30 CCEDMN CCc1noc(CNC[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001026735416 625582511 /nfs/dbraw/zinc/58/25/11/625582511.db2.gz MZEKWRAHPWJNNI-GHMZBOCLSA-N 0 1 291.355 0.730 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](CNC(=O)c2c[nH]c(=O)n2C)C1 ZINC001023532776 623053537 /nfs/dbraw/zinc/05/35/37/623053537.db2.gz YFAVDIBQHJFCEI-LBPRGKRZSA-N 0 1 290.367 0.591 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)C1=COCCO1 ZINC001024307158 623277137 /nfs/dbraw/zinc/27/71/37/623277137.db2.gz OJXWGCXKYZJHIG-GFCCVEGCSA-N 0 1 264.325 0.479 20 30 CCEDMN Cc1nnc(CNC[C@H]2CCN(C(=O)C#CC3CC3)C2)[nH]1 ZINC001026835410 625635083 /nfs/dbraw/zinc/63/50/83/625635083.db2.gz WZKMNJHJWZCTSC-CYBMUJFWSA-N 0 1 287.367 0.465 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001024309908 623282205 /nfs/dbraw/zinc/28/22/05/623282205.db2.gz ARLWSKSKRQSDBR-LLVKDONJSA-N 0 1 276.340 0.953 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cnn2ccncc12 ZINC001024483735 623334100 /nfs/dbraw/zinc/33/41/00/623334100.db2.gz ZFHHKDJYSPQTRJ-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@H]1CNC(=O)c1cnn[n-]1 ZINC001024527500 623351106 /nfs/dbraw/zinc/35/11/06/623351106.db2.gz UOIJJHWFBYKSPU-NSHDSACASA-N 0 1 261.329 0.412 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1 ZINC001024769505 623435837 /nfs/dbraw/zinc/43/58/37/623435837.db2.gz ICTFWZXCJGSEFE-RYUDHWBXSA-N 0 1 265.357 0.422 20 30 CCEDMN O=C(NCC#CCO)N1CC2(CN(Cc3ccccc3)C2)C1 ZINC000923764145 623447698 /nfs/dbraw/zinc/44/76/98/623447698.db2.gz GBNJLRRKZFNODM-UHFFFAOYSA-N 0 1 299.374 0.510 20 30 CCEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1nonc1C ZINC001024825344 623452829 /nfs/dbraw/zinc/45/28/29/623452829.db2.gz FNSMAHAGLPHXML-LBPRGKRZSA-N 0 1 276.340 0.986 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnc3n2CCOC3)C1 ZINC001007532331 623661576 /nfs/dbraw/zinc/66/15/76/623661576.db2.gz RITFKRLWUYVSQI-GFCCVEGCSA-N 0 1 290.367 0.794 20 30 CCEDMN C[C@@H](NC(=O)c1[nH]ncc1F)[C@@H]1CCCN(CC#N)C1 ZINC001025521856 623822312 /nfs/dbraw/zinc/82/23/12/623822312.db2.gz DDLLMQQHZFFLBY-NXEZZACHSA-N 0 1 279.319 0.903 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(CC(=O)[O-])C(C)C ZINC000328228975 623870794 /nfs/dbraw/zinc/87/07/94/623870794.db2.gz CHERTHFLRCDTQZ-UHFFFAOYSA-N 0 1 269.345 0.446 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@H]1OCC ZINC001025695017 623871963 /nfs/dbraw/zinc/87/19/63/623871963.db2.gz GCHKUWVDSLAWEC-ODXJTPSBSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@H]1OCC ZINC001025695017 623871965 /nfs/dbraw/zinc/87/19/65/623871965.db2.gz GCHKUWVDSLAWEC-ODXJTPSBSA-N 0 1 262.353 0.481 20 30 CCEDMN N#Cc1ccccc1CN[C@@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC000969175515 624469542 /nfs/dbraw/zinc/46/95/42/624469542.db2.gz RJZXAKRZKKJLIB-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN C[C@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)C1CN(CC#N)C1 ZINC000970340040 624677830 /nfs/dbraw/zinc/67/78/30/624677830.db2.gz XKJNZEGGDXHYHG-GXSJLCMTSA-N 0 1 288.355 0.185 20 30 CCEDMN O=C(NCC#C[C@@H]1CCCCO1)[C@@H]1CCCc2n[nH]nc21 ZINC000891115843 624728544 /nfs/dbraw/zinc/72/85/44/624728544.db2.gz HZSCLJYEBYFLHD-NWDGAFQWSA-N 0 1 288.351 0.913 20 30 CCEDMN Cc1nnc(SCC(=O)NCC#C[C@@H]2CCCCO2)[nH]1 ZINC000891120526 624731286 /nfs/dbraw/zinc/73/12/86/624731286.db2.gz ZQOKGXUJGZPNSQ-NSHDSACASA-N 0 1 294.380 0.894 20 30 CCEDMN Cc1n[nH]c(SCC(=O)NCC#C[C@@H]2CCCCO2)n1 ZINC000891120526 624731293 /nfs/dbraw/zinc/73/12/93/624731293.db2.gz ZQOKGXUJGZPNSQ-NSHDSACASA-N 0 1 294.380 0.894 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCN(CC#N)[C@H](C)C2)[nH]n1 ZINC000947194344 624815102 /nfs/dbraw/zinc/81/51/02/624815102.db2.gz AGAGWKAEGIZCDH-NEPJUHHUSA-N 0 1 275.356 0.753 20 30 CCEDMN Cn1cnnc1CN[C@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001021981281 624858423 /nfs/dbraw/zinc/85/84/23/624858423.db2.gz WQEKZPBOJFINRI-PHIMTYICSA-N 0 1 299.338 0.065 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@@H](N(C)CC#N)C2)n[nH]1 ZINC001027318821 625826534 /nfs/dbraw/zinc/82/65/34/625826534.db2.gz XRERLLWXXNOGFD-LLVKDONJSA-N 0 1 261.329 0.778 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)c2c[nH]c(=O)n2C)C1 ZINC001027523558 625940295 /nfs/dbraw/zinc/94/02/95/625940295.db2.gz JJVYKILGANBYRQ-LLVKDONJSA-N 0 1 276.340 0.295 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000903489635 634587166 /nfs/dbraw/zinc/58/71/66/634587166.db2.gz CUALDWWXLRHJIE-VHSXEESVSA-N 0 1 280.353 0.324 20 30 CCEDMN COc1ncncc1/C=C1\C(=O)c2ncnn2C1C ZINC000905084377 634790571 /nfs/dbraw/zinc/79/05/71/634790571.db2.gz ISNSRBRAYCOVFX-MEVQIBDESA-N 0 1 257.253 0.918 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC001009764819 630751465 /nfs/dbraw/zinc/75/14/65/630751465.db2.gz VQNHETKUIWHOGS-GJZGRUSLSA-N 0 1 295.427 0.864 20 30 CCEDMN C=C(C)C[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1C[NH+](C)CCO1 ZINC001027804803 630933848 /nfs/dbraw/zinc/93/38/48/630933848.db2.gz IGCUIUIBMTYLQL-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001027834391 630958042 /nfs/dbraw/zinc/95/80/42/630958042.db2.gz ZKATZRRQDMAGGL-LBPRGKRZSA-N 0 1 256.309 0.714 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001027832845 630958543 /nfs/dbraw/zinc/95/85/43/630958543.db2.gz KNHMFANJXGBCTE-LLVKDONJSA-N 0 1 276.340 0.641 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001027832846 630958734 /nfs/dbraw/zinc/95/87/34/630958734.db2.gz KNHMFANJXGBCTE-NSHDSACASA-N 0 1 276.340 0.641 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001027851297 630981026 /nfs/dbraw/zinc/98/10/26/630981026.db2.gz KKTYOHIUSFBNOO-CQSZACIVSA-N 0 1 277.368 0.069 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@H](NC(=O)c3cnn[nH]3)C[C@@H]2C1 ZINC000980145075 630995469 /nfs/dbraw/zinc/99/54/69/630995469.db2.gz KGTGICAEPFOFEM-AXFHLTTASA-N 0 1 274.328 0.159 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCC[C@H](NCC#N)C2)[nH]n1 ZINC000981006382 631092051 /nfs/dbraw/zinc/09/20/51/631092051.db2.gz LINSKGMNBYLZTL-NWDGAFQWSA-N 0 1 275.356 0.801 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@H](C2OCCO2)C1 ZINC000932002524 631389150 /nfs/dbraw/zinc/38/91/50/631389150.db2.gz XJZLSPHBGJCCHW-RYUDHWBXSA-N 0 1 293.367 0.632 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(Cc3nnc[nH]3)CC2)c1 ZINC000894399470 631752895 /nfs/dbraw/zinc/75/28/95/631752895.db2.gz UJHXIRGQQRPKLX-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN C[C@@H](NC(=O)c1cscc1C#N)[C@H]1CN(C)CCN1C ZINC000896538522 632271039 /nfs/dbraw/zinc/27/10/39/632271039.db2.gz FFWBALLJYNESSR-ZWNOBZJWSA-N 0 1 292.408 0.984 20 30 CCEDMN C=C(Cl)CN(C)C1CCN(C(=O)Cn2cnnn2)CC1 ZINC000985252962 632400396 /nfs/dbraw/zinc/40/03/96/632400396.db2.gz CNFISXMDEGTFKU-UHFFFAOYSA-N 0 1 298.778 0.348 20 30 CCEDMN C[C@@]1(C#N)CCCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000897373352 632727791 /nfs/dbraw/zinc/72/77/91/632727791.db2.gz BYCUXIJTEJXUCP-ZFWWWQNUSA-N 0 1 277.368 0.859 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cn2ncnn2)[C@H]1C ZINC000986268518 632736807 /nfs/dbraw/zinc/73/68/07/632736807.db2.gz HVXWVMIPJLQAAQ-UWVGGRQHSA-N 0 1 284.751 0.005 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001008022997 650099735 /nfs/dbraw/zinc/09/97/35/650099735.db2.gz GUBGWBHRWCCDSP-RISCZKNCSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCCCNC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000189017819 632812459 /nfs/dbraw/zinc/81/24/59/632812459.db2.gz WNLUYWNLJVDLLN-CYBMUJFWSA-N 0 1 281.400 0.886 20 30 CCEDMN COc1ccc(C=Nn2c(=O)c(C)n[nH]c2=S)cc1O ZINC000263626112 633014055 /nfs/dbraw/zinc/01/40/55/633014055.db2.gz MOYKPURJZYBBOL-UHFFFAOYSA-N 0 1 292.320 0.832 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CC(N)=O)C1 ZINC000988426134 633018579 /nfs/dbraw/zinc/01/85/79/633018579.db2.gz AYSLCNNFCDEDEN-IUCAKERBSA-N 0 1 259.737 0.193 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cc(=O)n(C)o2)C1 ZINC000988719846 633091770 /nfs/dbraw/zinc/09/17/70/633091770.db2.gz OOJDFTXSQPJJNF-UWVGGRQHSA-N 0 1 299.758 0.923 20 30 CCEDMN C[C@@H]1C[C@H](NCc2ccn(C)n2)CN1C(=O)C#CC1CC1 ZINC000988824045 633117440 /nfs/dbraw/zinc/11/74/40/633117440.db2.gz FBEXMIOGELDQIN-DOMZBBRYSA-N 0 1 286.379 0.913 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CCCN(CCO)C2)c1 ZINC001008467763 650116083 /nfs/dbraw/zinc/11/60/83/650116083.db2.gz GVJJMLCTQCUQSB-AWEZNQCLSA-N 0 1 273.336 0.249 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001008490914 650117063 /nfs/dbraw/zinc/11/70/63/650117063.db2.gz WHBZNPRPELZNDL-RYUDHWBXSA-N 0 1 287.367 0.934 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001008725591 650126168 /nfs/dbraw/zinc/12/61/68/650126168.db2.gz HHEWKHXMKGTRHC-AWEZNQCLSA-N 0 1 289.379 0.923 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnc3cccnn32)C1 ZINC001008788443 650127650 /nfs/dbraw/zinc/12/76/50/650127650.db2.gz HXKOCAUYAHQUTB-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001008840086 650129180 /nfs/dbraw/zinc/12/91/80/650129180.db2.gz LMHKEMBTIMDVNS-NWDGAFQWSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc3n(n2)CCC3)C1 ZINC001014645898 633989461 /nfs/dbraw/zinc/98/94/61/633989461.db2.gz RNONCFSCABPUSC-LBPRGKRZSA-N 0 1 272.352 0.657 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N[C@@H]1CCCN(CC#N)C1 ZINC001009640756 650152777 /nfs/dbraw/zinc/15/27/77/650152777.db2.gz FUDANMIXKDLPJQ-KGLIPLIRSA-N 0 1 278.400 0.965 20 30 CCEDMN Cc1cnc2c(cnn2CC(=O)NCC#CCN(C)C)c1 ZINC000906634356 635016721 /nfs/dbraw/zinc/01/67/21/635016721.db2.gz ZRRVPVPZDVQLRZ-UHFFFAOYSA-N 0 1 285.351 0.421 20 30 CCEDMN C[C@@H]1CCCN1CCNS(=O)(=O)c1ccc(C#N)cn1 ZINC000907142888 635080492 /nfs/dbraw/zinc/08/04/92/635080492.db2.gz QAVQYHDKPGZTJL-LLVKDONJSA-N 0 1 294.380 0.716 20 30 CCEDMN C[C@H]1CCCN1CCNS(=O)(=O)c1ccc(C#N)cn1 ZINC000907142890 635080707 /nfs/dbraw/zinc/08/07/07/635080707.db2.gz QAVQYHDKPGZTJL-NSHDSACASA-N 0 1 294.380 0.716 20 30 CCEDMN N#Cc1sccc1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000908027684 635265958 /nfs/dbraw/zinc/26/59/58/635265958.db2.gz IGSPOLIELZDEPZ-LLVKDONJSA-N 0 1 290.392 0.739 20 30 CCEDMN C[C@H]1CN(C(=O)C2(C#N)CCSCC2)CCN1 ZINC000911812725 636406045 /nfs/dbraw/zinc/40/60/45/636406045.db2.gz VDYKSSNBZXHBDG-JTQLQIEISA-N 0 1 253.371 0.844 20 30 CCEDMN Cc1ncc(C(=O)N(C)C2CN(C(=O)C#CC3CC3)C2)[nH]1 ZINC000953896973 637263931 /nfs/dbraw/zinc/26/39/31/637263931.db2.gz WIRCIQMHLVZISI-UHFFFAOYSA-N 0 1 286.335 0.414 20 30 CCEDMN C[C@@H](CO)N(C)N=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000842539677 637337436 /nfs/dbraw/zinc/33/74/36/637337436.db2.gz CSYFUUALRJXFED-QMMMGPOBSA-N 0 1 253.258 0.947 20 30 CCEDMN N#CC1(CC(=O)N2CCN(CCCCCO)CC2)CC1 ZINC000913694387 637413997 /nfs/dbraw/zinc/41/39/97/637413997.db2.gz NNTSLGUBJPPRTR-UHFFFAOYSA-N 0 1 279.384 0.987 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C2=COCCO2)[C@H]1C ZINC000993027139 637668721 /nfs/dbraw/zinc/66/87/21/637668721.db2.gz ZROWXQCYGYFKDN-CHWSQXEVSA-N 0 1 278.352 0.867 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC000993247672 637757943 /nfs/dbraw/zinc/75/79/43/637757943.db2.gz LGBBOZARAQVDQK-RISCZKNCSA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)[C@@H]1C ZINC000993360688 637795396 /nfs/dbraw/zinc/79/53/96/637795396.db2.gz SELLHRMCWOZPKR-WCQYABFASA-N 0 1 289.383 0.648 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)[C@H]1C ZINC000993609974 637820547 /nfs/dbraw/zinc/82/05/47/637820547.db2.gz ZGPWLFNSEUBETP-TZMCWYRMSA-N 0 1 287.363 0.932 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CCCN(CCO)[C@H]2C)c1 ZINC000993776913 637847514 /nfs/dbraw/zinc/84/75/14/637847514.db2.gz YBGRIDWQBVEGGC-SWLSCSKDSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cn2nccc2C)[C@@H]1C ZINC000993892493 637853421 /nfs/dbraw/zinc/85/34/21/637853421.db2.gz RLBZMIZRYPBDBC-UONOGXRCSA-N 0 1 274.368 0.794 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnn(C)n2)[C@H]1C ZINC000993978459 637857697 /nfs/dbraw/zinc/85/76/97/637857697.db2.gz WYKBDPPFLYLHHL-NEPJUHHUSA-N 0 1 277.372 0.974 20 30 CCEDMN Cc1[nH]ncc1CN(C)S(=O)(=O)CCCC#N ZINC000918674606 638031474 /nfs/dbraw/zinc/03/14/74/638031474.db2.gz VIHKLSJPDDZLMO-UHFFFAOYSA-N 0 1 256.331 0.784 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000938989879 638285216 /nfs/dbraw/zinc/28/52/16/638285216.db2.gz FHAFOGUTJMRSLR-LBPRGKRZSA-N 0 1 286.335 0.083 20 30 CCEDMN O=C(C#CC1CC1)N1CC(NC(=O)c2[nH]nc3c2CCC3)C1 ZINC000995170568 638559107 /nfs/dbraw/zinc/55/91/07/638559107.db2.gz OPEHUWMSGWLGCS-UHFFFAOYSA-N 0 1 298.346 0.252 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC000942349693 638646532 /nfs/dbraw/zinc/64/65/32/638646532.db2.gz BNLFRRAHVFGPEE-SNVBAGLBSA-N 0 1 290.298 0.293 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000943353828 638717286 /nfs/dbraw/zinc/71/72/86/638717286.db2.gz ARPKBKCOSZQBQB-UWVGGRQHSA-N 0 1 290.327 0.075 20 30 CCEDMN CC1(C)CN(C(=O)CCc2cnc[nH]2)C[C@@H]1NCC#N ZINC000995890589 638804663 /nfs/dbraw/zinc/80/46/63/638804663.db2.gz HLJXASQARZCOKD-LBPRGKRZSA-N 0 1 275.356 0.692 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCNC2=O)CC1(C)C ZINC000996132677 638810331 /nfs/dbraw/zinc/81/03/31/638810331.db2.gz FVOPGIWLVNFRQV-WDEREUQCSA-N 0 1 299.802 0.702 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001014437396 650452132 /nfs/dbraw/zinc/45/21/32/650452132.db2.gz DCQFGMSOZQCVDB-SNVBAGLBSA-N 0 1 262.313 0.475 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)c2ccnn2C)C1 ZINC001014458807 650454134 /nfs/dbraw/zinc/45/41/34/650454134.db2.gz JEPKHRDKWHINMG-OLZOCXBDSA-N 0 1 274.368 0.737 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](NCc2ccn(C)n2)C1 ZINC000998746160 638938776 /nfs/dbraw/zinc/93/87/76/638938776.db2.gz KWOTZHCESQCNCM-AAEUAGOBSA-N 0 1 275.356 0.660 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc3n[nH]nc32)C1 ZINC001014528232 650459525 /nfs/dbraw/zinc/45/95/25/650459525.db2.gz LAQLOXZJKCKCEG-SNVBAGLBSA-N 0 1 271.324 0.948 20 30 CCEDMN CN(C(=O)c1ccc2[nH]nnc2c1)C1CC(NCC#N)C1 ZINC000999817682 638999445 /nfs/dbraw/zinc/99/94/45/638999445.db2.gz KJRHLMDFQSTUJY-UHFFFAOYSA-N 0 1 284.323 0.674 20 30 CCEDMN C#CCN1CC[C@@H](n2cc(CNC(=O)c3ccc[nH]3)nn2)C1 ZINC000999953295 639026148 /nfs/dbraw/zinc/02/61/48/639026148.db2.gz BQRZMCRBRTVFEV-CYBMUJFWSA-N 0 1 298.350 0.416 20 30 CCEDMN C#CCN1CC[C@H](n2cc(CNC(=O)c3ccoc3)nn2)C1 ZINC000999969029 639027348 /nfs/dbraw/zinc/02/73/48/639027348.db2.gz UPWNRDGVFFKCTM-AWEZNQCLSA-N 0 1 299.334 0.681 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CCC(=O)N2C)C1 ZINC000999985692 639029319 /nfs/dbraw/zinc/02/93/19/639029319.db2.gz SJFPCIBPUZYRCK-NWDGAFQWSA-N 0 1 299.802 0.940 20 30 CCEDMN C#CCN1CC[C@H](n2cc(CNC(=O)[C@@H]3CC34CC4)nn2)C1 ZINC001000029819 639035777 /nfs/dbraw/zinc/03/57/77/639035777.db2.gz IMMALXQQTKCZBF-KBPBESRZSA-N 0 1 299.378 0.574 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(-n3cnnn3)cc2)C1 ZINC001016190756 639065801 /nfs/dbraw/zinc/06/58/01/639065801.db2.gz OPZBNXWKSUEFSU-ZDUSSCGKSA-N 0 1 298.350 0.652 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2COCCN2C)CC1 ZINC001000594153 639120319 /nfs/dbraw/zinc/12/03/19/639120319.db2.gz KTIPZRBERWAQKJ-HNNXBMFYSA-N 0 1 291.395 0.089 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cccn3nnnc23)CC1 ZINC001000728309 639156681 /nfs/dbraw/zinc/15/66/81/639156681.db2.gz PXUBOPJEUPOREL-UHFFFAOYSA-N 0 1 296.334 0.119 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)c2cc(=O)n(C)cn2)CC1 ZINC001000797409 639167810 /nfs/dbraw/zinc/16/78/10/639167810.db2.gz XTJPZZVYYLDDAV-UHFFFAOYSA-N 0 1 288.351 0.328 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnn3ncccc23)CC1 ZINC001000790556 639167970 /nfs/dbraw/zinc/16/79/70/639167970.db2.gz OHIBZBTZZCVDFJ-UHFFFAOYSA-N 0 1 295.346 0.724 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2CCCNC2=O)CC1 ZINC001000800337 639168480 /nfs/dbraw/zinc/16/84/80/639168480.db2.gz KPLVDHQQKOMBPJ-CQSZACIVSA-N 0 1 289.379 0.284 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001014740886 650483594 /nfs/dbraw/zinc/48/35/94/650483594.db2.gz BDXBLNYHRHAAQC-LLVKDONJSA-N 0 1 286.339 0.733 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001016280629 639346729 /nfs/dbraw/zinc/34/67/29/639346729.db2.gz NFJNWMYSXAQAHA-LBPRGKRZSA-N 0 1 274.324 0.171 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2nc3ncc(C)cn3n2)C1 ZINC001014868121 650497599 /nfs/dbraw/zinc/49/75/99/650497599.db2.gz JELCUNMFHFOSBM-GFCCVEGCSA-N 0 1 298.350 0.260 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cc(OC)ncn2)CC1 ZINC001002830831 639464774 /nfs/dbraw/zinc/46/47/74/639464774.db2.gz DARCMCWUCIAOEW-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001014919825 650504137 /nfs/dbraw/zinc/50/41/37/650504137.db2.gz JNQIDJVURUKTNZ-WFASDCNBSA-N 0 1 279.384 0.669 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnn3cccnc23)CC1 ZINC001003411814 639539356 /nfs/dbraw/zinc/53/93/56/639539356.db2.gz WXQZEEOFHCVSKU-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnc3nc(C)nn32)CC1 ZINC001003960338 639657560 /nfs/dbraw/zinc/65/75/60/639657560.db2.gz ZWOTZKDCXIYNRR-UHFFFAOYSA-N 0 1 298.350 0.260 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CN2CCCCC2=O)C1 ZINC001015057580 650521819 /nfs/dbraw/zinc/52/18/19/650521819.db2.gz NSAYIBHJGSYDSM-ZDUSSCGKSA-N 0 1 277.368 0.213 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H]2CN(CC#N)C[C@H]2CC1 ZINC000946707299 639846023 /nfs/dbraw/zinc/84/60/23/639846023.db2.gz LVVJTGJSEZNLOQ-TXEJJXNPSA-N 0 1 288.355 0.421 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2C[C@H]2OCC)CC1 ZINC001005144912 639881438 /nfs/dbraw/zinc/88/14/38/639881438.db2.gz FRXFIUVNQXVSQY-ZIAGYGMSSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C2CN(C(C)=O)C2)CC1 ZINC001005315186 639899017 /nfs/dbraw/zinc/89/90/17/639899017.db2.gz YXOZCNVMVSVSSF-UHFFFAOYSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCCNC2=O)CC1 ZINC001005540464 639919069 /nfs/dbraw/zinc/91/90/69/639919069.db2.gz YOEDNHLEFJGWQH-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccnnc2C)CC1 ZINC001005668238 639950734 /nfs/dbraw/zinc/95/07/34/639950734.db2.gz OZJUECBTEALVQF-UHFFFAOYSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccc3nnnn3c2)CC1 ZINC001005713292 639953959 /nfs/dbraw/zinc/95/39/59/639953959.db2.gz PNOMOTWSMIROBO-UHFFFAOYSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2C[C@@H](C)CO2)CC1 ZINC001005727246 639955774 /nfs/dbraw/zinc/95/57/74/639955774.db2.gz BJJKYQLCAOCZFI-OCCSQVGLSA-N 0 1 264.369 0.967 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C1CCN(CC#N)CC1 ZINC001005808100 639970512 /nfs/dbraw/zinc/97/05/12/639970512.db2.gz IPPLBVSTRKZYAR-UHFFFAOYSA-N 0 1 262.317 0.173 20 30 CCEDMN N#C[C@H]1CCCN(C(=O)NCCCc2nc[nH]n2)C1 ZINC000923127703 640029586 /nfs/dbraw/zinc/02/95/86/640029586.db2.gz QQJHMYHVHMXEOU-SNVBAGLBSA-N 0 1 262.317 0.682 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)NCCCc1nc[nH]n1 ZINC000923145470 640040071 /nfs/dbraw/zinc/04/00/71/640040071.db2.gz ZPJPMGXHBAPDHH-VIFPVBQESA-N 0 1 250.306 0.538 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3c(c2)nnn3C)C1 ZINC001015300993 650552419 /nfs/dbraw/zinc/55/24/19/650552419.db2.gz RTYMEYCMBZGZIQ-LLVKDONJSA-N 0 1 286.339 0.353 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cn(CCC)nn2)CC1 ZINC001006202514 640205033 /nfs/dbraw/zinc/20/50/33/640205033.db2.gz UITKRDZJFBJLCC-UHFFFAOYSA-N 0 1 289.383 0.858 20 30 CCEDMN Cn1cc(C=NNc2ccccc2S(C)(=O)=O)nn1 ZINC000755728157 640333663 /nfs/dbraw/zinc/33/36/63/640333663.db2.gz PUOVFVHEKLQJRO-UHFFFAOYSA-N 0 1 279.325 0.665 20 30 CCEDMN Cn1ccnc(N=NCc2cn(-c3ccccc3)nn2)c1=O ZINC000755754439 640334998 /nfs/dbraw/zinc/33/49/98/640334998.db2.gz CXPKLYMWAZORKG-UHFFFAOYSA-N 0 1 295.306 0.807 20 30 CCEDMN N#CC1(CCCN2CCCNC(=O)C2)CCOCC1 ZINC000799121152 640545063 /nfs/dbraw/zinc/54/50/63/640545063.db2.gz PSAMECGHNRNUTP-UHFFFAOYSA-N 0 1 265.357 0.909 20 30 CCEDMN N#CCCNC(=O)CN[C@@]1(CO)CCCc2ccccc21 ZINC000799338286 640562943 /nfs/dbraw/zinc/56/29/43/640562943.db2.gz JJHCAZMPTLPERE-MRXNPFEDSA-N 0 1 287.363 0.830 20 30 CCEDMN N#Cc1cnn(C(=O)c2ccc3cncn3c2)c1N ZINC000806592636 640792280 /nfs/dbraw/zinc/79/22/80/640792280.db2.gz CCYPHIVKZNTLKM-UHFFFAOYSA-N 0 1 252.237 0.673 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC000808301501 640859403 /nfs/dbraw/zinc/85/94/03/640859403.db2.gz QCQWKPVMOJOXFK-JQWIXIFHSA-N 0 1 256.371 0.412 20 30 CCEDMN C[C@H](C#N)OCC[N@@H+]1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000808777428 640878853 /nfs/dbraw/zinc/87/88/53/640878853.db2.gz NCTSYMITMKRLKQ-ZJUUUORDSA-N 0 1 265.317 0.618 20 30 CCEDMN C[C@H](C#N)OCC[N@H+]1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000808777428 640878856 /nfs/dbraw/zinc/87/88/56/640878856.db2.gz NCTSYMITMKRLKQ-ZJUUUORDSA-N 0 1 265.317 0.618 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCc3nnnn3CC2)C1 ZINC001015731099 650618049 /nfs/dbraw/zinc/61/80/49/650618049.db2.gz JJOSVUXFTXORHG-NWDGAFQWSA-N 0 1 290.371 0.002 20 30 CCEDMN Cc1cc(NN=Cc2ccc(S(N)(=O)=O)cc2)ncn1 ZINC000811642237 640982832 /nfs/dbraw/zinc/98/28/32/640982832.db2.gz JRIULOJECONXJW-UHFFFAOYSA-N 0 1 291.336 0.878 20 30 CCEDMN Cc1nnsc1CN=Nc1ccc(S(N)(=O)=O)cn1 ZINC000814218825 641060030 /nfs/dbraw/zinc/06/00/30/641060030.db2.gz ZLQYADDUUBAKKJ-UHFFFAOYSA-N 0 1 298.353 0.335 20 30 CCEDMN Cn1cc(C=[NH+][N-]c2ccc(S(C)(=O)=O)cc2)c(=O)[nH]1 ZINC000814218040 641060585 /nfs/dbraw/zinc/06/05/85/641060585.db2.gz KIUNIWMMMVVXCO-UHFFFAOYSA-N 0 1 294.336 0.975 20 30 CCEDMN N#Cc1cscc1C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000815519939 641114816 /nfs/dbraw/zinc/11/48/16/641114816.db2.gz MHNRLHLNYBNFPJ-SECBINFHSA-N 0 1 290.308 0.347 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001015834863 650636716 /nfs/dbraw/zinc/63/67/16/650636716.db2.gz MMPLDUKHZMPGSF-GHMZBOCLSA-N 0 1 251.330 0.032 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CC)nn2C)C1 ZINC001015897273 650652470 /nfs/dbraw/zinc/65/24/70/650652470.db2.gz KRXSBZVAWDPPGQ-GFCCVEGCSA-N 0 1 262.357 0.973 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001015956298 650662348 /nfs/dbraw/zinc/66/23/48/650662348.db2.gz BAKRZWFSURPPCU-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N[C@@H]2CCN(CC#N)C2)cn1 ZINC001015971166 650666434 /nfs/dbraw/zinc/66/64/34/650666434.db2.gz ZBAFGKKAWGBVBV-LLVKDONJSA-N 0 1 299.338 0.138 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001015985160 650669732 /nfs/dbraw/zinc/66/97/32/650669732.db2.gz RALDABZCIBPIKG-NSHDSACASA-N 0 1 274.368 0.899 20 30 CCEDMN CC(C)(C(=O)N[C@H]1CCN(CC#N)C1)c1cnc[nH]1 ZINC001016002005 650673902 /nfs/dbraw/zinc/67/39/02/650673902.db2.gz SIHJVMZQJVQJFT-JTQLQIEISA-N 0 1 261.329 0.401 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnccc2N(C)C)C1 ZINC001016008172 650674988 /nfs/dbraw/zinc/67/49/88/650674988.db2.gz ANTUOXLWGIOXBI-LBPRGKRZSA-N 0 1 272.352 0.585 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001016129068 650686195 /nfs/dbraw/zinc/68/61/95/650686195.db2.gz OSHZWBZNNRYEJB-JTQLQIEISA-N 0 1 264.329 0.419 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001016364642 650721768 /nfs/dbraw/zinc/72/17/68/650721768.db2.gz QQJPPQQRJNVOTH-LLVKDONJSA-N 0 1 286.335 0.008 20 30 CCEDMN N#CCN1CCN(C(=O)c2nc[nH]c2C(F)(F)F)CC1 ZINC000828304088 642085670 /nfs/dbraw/zinc/08/56/70/642085670.db2.gz ZVNJLCHWAZMEAE-UHFFFAOYSA-N 0 1 287.245 0.710 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@H](NC(=O)Cc1nnc[nH]1)C2 ZINC000829486448 642166916 /nfs/dbraw/zinc/16/69/16/642166916.db2.gz WDLVKOWWHHFPJQ-GFCCVEGCSA-N 0 1 267.292 0.502 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cc(N3CCOCC3)ncn2)=NO1 ZINC000867063198 642179363 /nfs/dbraw/zinc/17/93/63/642179363.db2.gz QPGLMRHYLOJEOI-VIFPVBQESA-N 0 1 291.311 0.165 20 30 CCEDMN CC#CC(=O)N1CC[C@@](C)(NC(=O)C(F)(F)F)C1 ZINC000830807163 642295499 /nfs/dbraw/zinc/29/54/99/642295499.db2.gz DABHAUPPDAVNCH-SNVBAGLBSA-N 0 1 262.231 0.679 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cnc3n2CCOC3)=NO1 ZINC000831398843 642359635 /nfs/dbraw/zinc/35/96/35/642359635.db2.gz FNDVEPQRJVRGIO-ZETCQYMHSA-N 0 1 250.258 0.265 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)NCCCC#N ZINC000833621292 642540760 /nfs/dbraw/zinc/54/07/60/642540760.db2.gz ACXNCHRPFGTJLC-NSHDSACASA-N 0 1 282.344 0.481 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccnn1C1CCCC1 ZINC000834480816 642572693 /nfs/dbraw/zinc/57/26/93/642572693.db2.gz WTUVWQAFKYCXKX-PWSUYJOCSA-N 0 1 273.340 0.840 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CSCc1ccccn1 ZINC000834488835 642578711 /nfs/dbraw/zinc/57/87/11/642578711.db2.gz HBMKGLWXWAHJSR-ZYHUDNBSSA-N 0 1 276.365 0.543 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)cc([N+](=O)[O-])c1 ZINC000834493202 642583068 /nfs/dbraw/zinc/58/30/68/642583068.db2.gz BQKQWBHXAKEPNG-PWSUYJOCSA-N 0 1 274.280 0.745 20 30 CCEDMN Cc1nn(C(F)F)c(C)c1CC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834495429 642585608 /nfs/dbraw/zinc/58/56/08/642585608.db2.gz VMPUQGHGTIGNBM-KOLCDFICSA-N 0 1 297.309 0.665 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1=Cc2cc(F)ccc2OC1 ZINC000834499150 642587547 /nfs/dbraw/zinc/58/75/47/642587547.db2.gz FGDZJCKLGPXBEQ-DGCLKSJQSA-N 0 1 287.294 0.829 20 30 CCEDMN Cc1nn2c(nc(C)cc2C)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834500578 642588671 /nfs/dbraw/zinc/58/86/71/642588671.db2.gz UTFBYYCHVUDYDS-RYUDHWBXSA-N 0 1 298.350 0.496 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cncnc1-c1ccccc1 ZINC000834499794 642588788 /nfs/dbraw/zinc/58/87/88/642588788.db2.gz MTBZJFWZDJUZGA-JSGCOSHPSA-N 0 1 293.330 0.985 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccnc(C2CC2)c1 ZINC000834500312 642588911 /nfs/dbraw/zinc/58/89/11/642588911.db2.gz RWQKGOANHZSWIC-AAEUAGOBSA-N 0 1 256.309 0.800 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1OCCc2ccccc21 ZINC000834504366 642591001 /nfs/dbraw/zinc/59/10/01/642591001.db2.gz ALNHBQRSKLOOHW-XBFCOCLRSA-N 0 1 271.320 0.528 20 30 CCEDMN COc1ccc(CCC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834505711 642591914 /nfs/dbraw/zinc/59/19/14/642591914.db2.gz NCFVIMDCRAFPQH-GXTWGEPZSA-N 0 1 273.336 0.856 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000834506517 642594010 /nfs/dbraw/zinc/59/40/10/642594010.db2.gz TWNLUHXOWANXMK-UWVGGRQHSA-N 0 1 290.279 0.201 20 30 CCEDMN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834510891 642595091 /nfs/dbraw/zinc/59/50/91/642595091.db2.gz GPFUXMUXHXYWEA-GZMMTYOYSA-N 0 1 275.268 0.140 20 30 CCEDMN Cc1nn(C)c2sc(C(=O)N[C@H]3CNC[C@@H]3C#N)cc12 ZINC000834517376 642600192 /nfs/dbraw/zinc/60/01/92/642600192.db2.gz UUCYIIQLWHBDFL-WPRPVWTQSA-N 0 1 289.364 0.785 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(-c2cccs2)n[nH]1 ZINC000834521817 642603998 /nfs/dbraw/zinc/60/39/98/642603998.db2.gz UANPLDSNLRZPQU-KWQFWETISA-N 0 1 287.348 0.980 20 30 CCEDMN COC(=O)Cn1cc(CN=Nc2ncccc2C)nn1 ZINC000834974082 642644989 /nfs/dbraw/zinc/64/49/89/642644989.db2.gz WXUVLPCZMVRNTB-UHFFFAOYSA-N 0 1 274.284 0.601 20 30 CCEDMN COC(=O)Cn1cc(C=NNc2ncccc2C)nn1 ZINC000834974082 642644991 /nfs/dbraw/zinc/64/49/91/642644991.db2.gz WXUVLPCZMVRNTB-UHFFFAOYSA-N 0 1 274.284 0.601 20 30 CCEDMN Clc1cccc2c1OCC2=NNC1=NCCN1 ZINC000841658970 642829064 /nfs/dbraw/zinc/82/90/64/642829064.db2.gz GKCOGZLJPUTLSX-UHFFFAOYSA-N 0 1 250.689 0.985 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1=COCCO1 ZINC001017328603 650794916 /nfs/dbraw/zinc/79/49/16/650794916.db2.gz VNGNYIISTUDDRF-BETUJISGSA-N 0 1 276.336 0.573 20 30 CCEDMN COc1ncncc1C=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000842528884 642909557 /nfs/dbraw/zinc/90/95/57/642909557.db2.gz MOWQFCQVIMJOFK-PHIMTYICSA-N 0 1 274.328 0.679 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(F)c(F)c(F)c1 ZINC000843459173 643062896 /nfs/dbraw/zinc/06/28/96/643062896.db2.gz CLGPWUGLQVFZAC-XCBNKYQSSA-N 0 1 269.226 0.945 20 30 CCEDMN Cc1noc(-c2ccccc2C(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000843461531 643066044 /nfs/dbraw/zinc/06/60/44/643066044.db2.gz VGYZVJGJFYCCFG-GXFFZTMASA-N 0 1 297.318 0.886 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(F)c(F)c1 ZINC000843462426 643066302 /nfs/dbraw/zinc/06/63/02/643066302.db2.gz QEXFDNINMBFLAS-LDYMZIIASA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000843462185 643066387 /nfs/dbraw/zinc/06/63/87/643066387.db2.gz OTERPLGCPURRNZ-OIBJUYFYSA-N 0 1 278.243 0.575 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1cnn(-c2ccccc2)n1 ZINC000843462832 643067772 /nfs/dbraw/zinc/06/77/72/643067772.db2.gz SXFNBDQSQBQENV-RISCZKNCSA-N 0 1 296.334 0.038 20 30 CCEDMN Cc1ccc2oc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc2n1 ZINC000844194534 643177891 /nfs/dbraw/zinc/17/78/91/643177891.db2.gz JSFYPZAZGQZBNG-GXSJLCMTSA-N 0 1 270.292 0.978 20 30 CCEDMN Cc1cccn2cc(CC(=O)N[C@H]3CNC[C@@H]3C#N)nc12 ZINC000844195497 643179180 /nfs/dbraw/zinc/17/91/80/643179180.db2.gz VCWCZGRBOQJXFS-AAEUAGOBSA-N 0 1 283.335 0.413 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1nccc1C ZINC001018010925 650839595 /nfs/dbraw/zinc/83/95/95/650839595.db2.gz ZBLAXBXOVHEJQR-GASCZTMLSA-N 0 1 286.379 0.890 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1c[nH]c2ccccc12 ZINC000846678424 643441342 /nfs/dbraw/zinc/44/13/42/643441342.db2.gz TXZSGXKGZDSGQN-SMDDNHRTSA-N 0 1 268.320 0.938 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(-c2ccccn2)nc1 ZINC000846676958 643441572 /nfs/dbraw/zinc/44/15/72/643441572.db2.gz IPOGYGPPOOURCZ-DOMZBBRYSA-N 0 1 293.330 0.985 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCC(=O)N1 ZINC001018056985 650841645 /nfs/dbraw/zinc/84/16/45/650841645.db2.gz HAQOHHJAMMVPSZ-MELADBBJSA-N 0 1 289.379 0.354 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CCC2SCCS2)c1=O ZINC000853020831 644067720 /nfs/dbraw/zinc/06/77/20/644067720.db2.gz AJZLYKAGADZMMY-UHFFFAOYSA-N 0 1 269.351 0.604 20 30 CCEDMN Cn1ccc(CN[C@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001018682143 650896694 /nfs/dbraw/zinc/89/66/94/650896694.db2.gz LQLMFJSICASYAW-ZDUSSCGKSA-N 0 1 298.350 0.624 20 30 CCEDMN C[C@@H](CO)NN=C1C(=O)Nc2cc(O)c(Cl)cc21 ZINC000853314934 644098053 /nfs/dbraw/zinc/09/80/53/644098053.db2.gz PVASYDQFZJLIQF-YFKPBYRVSA-N 0 1 269.688 0.672 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)[C@H]1CCc2[nH]cnc2C1 ZINC000854648987 644242729 /nfs/dbraw/zinc/24/27/29/644242729.db2.gz NTZLFROWLSGBCW-WPRPVWTQSA-N 0 1 278.312 0.011 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2nnc(C)[nH]2)C1 ZINC001018825531 650913308 /nfs/dbraw/zinc/91/33/08/650913308.db2.gz SMXSYBDNPJHOGT-JTQLQIEISA-N 0 1 283.763 0.599 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001018934278 650923153 /nfs/dbraw/zinc/92/31/53/650923153.db2.gz KSVYIYBJRUFDLC-NEPJUHHUSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccn(C)c(=O)c2)C1 ZINC001019053945 650934060 /nfs/dbraw/zinc/93/40/60/650934060.db2.gz QFVKWAVPPAODEJ-LBPRGKRZSA-N 0 1 295.770 0.942 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@H]2CC[C@@H](C1)[S@]2=O ZINC000859886777 644736987 /nfs/dbraw/zinc/73/69/87/644736987.db2.gz RFFGAVYEBCPFOW-RHPZDQPFSA-N 0 1 256.371 0.900 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1cn(C2CC2)nn1 ZINC000860482389 644804493 /nfs/dbraw/zinc/80/44/93/644804493.db2.gz FTWOCXLREWTYCE-SNVBAGLBSA-N 0 1 296.290 0.969 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001019330213 650962665 /nfs/dbraw/zinc/96/26/65/650962665.db2.gz SBRWSTLFOOXUKD-VIFPVBQESA-N 0 1 284.747 0.682 20 30 CCEDMN N#C[C@]1(C(=O)NCCN2CC=CCC2)CCCOC1 ZINC000867921907 645682177 /nfs/dbraw/zinc/68/21/77/645682177.db2.gz QGMJHKYQHANYLG-CQSZACIVSA-N 0 1 263.341 0.685 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2CCC[N@H+](CCCO)C2)co1 ZINC000868636757 645797721 /nfs/dbraw/zinc/79/77/21/645797721.db2.gz NUIQNRJJBQBWEC-GFCCVEGCSA-N 0 1 277.324 0.728 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2CCCN(CCCO)C2)co1 ZINC000868636757 645797724 /nfs/dbraw/zinc/79/77/24/645797724.db2.gz NUIQNRJJBQBWEC-GFCCVEGCSA-N 0 1 277.324 0.728 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1C[C@H]2CCCC[C@@H](C1)C2=O ZINC000870940297 646054505 /nfs/dbraw/zinc/05/45/05/646054505.db2.gz HYUSCTYFPBKCDV-QZQCMHJZSA-N 0 1 289.379 1.000 20 30 CCEDMN C[C@@H]1C(N=Nc2ccccc2S(N)(=O)=O)CC[N@H+]1C ZINC000872370871 646252228 /nfs/dbraw/zinc/25/22/28/646252228.db2.gz GNMRJGHKYRFFSE-SECBINFHSA-N 0 1 282.369 0.826 20 30 CCEDMN C[C@@H]1C(N=Nc2ccccc2S(N)(=O)=O)CCN1C ZINC000872370871 646252235 /nfs/dbraw/zinc/25/22/35/646252235.db2.gz GNMRJGHKYRFFSE-SECBINFHSA-N 0 1 282.369 0.826 20 30 CCEDMN N#CCCN(CCN1CCOCC1)C(=O)CN1CCCC1 ZINC000874258217 646438694 /nfs/dbraw/zinc/43/86/94/646438694.db2.gz CWAFMLXCKFFGAM-UHFFFAOYSA-N 0 1 294.399 0.157 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1([C@H]2CCCCO2)CCC1 ZINC000876801302 646667574 /nfs/dbraw/zinc/66/75/74/646667574.db2.gz ASYKMHPLFFRNAY-JHJVBQTASA-N 0 1 277.368 0.954 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccccc1-c1cn[nH]c1 ZINC000876801415 646669202 /nfs/dbraw/zinc/66/92/02/646669202.db2.gz BXHMJCMXNJHJAG-YGRLFVJLSA-N 0 1 281.319 0.918 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccnn1CC1CCC1 ZINC000876801768 646669517 /nfs/dbraw/zinc/66/95/17/646669517.db2.gz INKVDOMQVUPNSM-NEPJUHHUSA-N 0 1 273.340 0.525 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cncc(N2CCCC2=O)c1 ZINC000876802947 646670057 /nfs/dbraw/zinc/67/00/57/646670057.db2.gz SVPVKYQTFXCHMR-WCQYABFASA-N 0 1 299.334 0.050 20 30 CCEDMN Cc1cc(C2(C(=O)N[C@H]3CNC[C@H]3C#N)CC2)on1 ZINC000876803597 646670076 /nfs/dbraw/zinc/67/00/76/646670076.db2.gz XILSBCLKZHIUTP-ZJUUUORDSA-N 0 1 260.297 0.242 20 30 CCEDMN COc1cncc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1C ZINC000876802211 646670091 /nfs/dbraw/zinc/67/00/91/646670091.db2.gz NAKGTIJAVKHXTA-ONGXEEELSA-N 0 1 260.297 0.240 20 30 CCEDMN N#CCCN1CCN(C[C@@H](O)CC2(CO)CCCC2)CC1 ZINC000878313347 646890915 /nfs/dbraw/zinc/89/09/15/646890915.db2.gz YHTBQRKYDXZJMJ-HNNXBMFYSA-N 0 1 295.427 0.821 20 30 CCEDMN C[C@@H]1CN(CC[C@@H]2CCOC2=O)C[C@@H](C)N1CC#N ZINC000878774128 646977478 /nfs/dbraw/zinc/97/74/78/646977478.db2.gz OVDCVFMCBYIRRR-JHJVBQTASA-N 0 1 265.357 0.858 20 30 CCEDMN N#Cc1ccc(CN2CCN(Cc3nnc[nH]3)CC2)o1 ZINC000878812653 646985663 /nfs/dbraw/zinc/98/56/63/646985663.db2.gz XRLFYMQUDKAQKE-UHFFFAOYSA-N 0 1 272.312 0.587 20 30 CCEDMN C#CCN(C[C@H](O)C[C@@](C)(O)C1CC1)C1CSC1 ZINC000878909267 646996828 /nfs/dbraw/zinc/99/68/28/646996828.db2.gz PUJQEROZWGHRFL-ZIAGYGMSSA-N 0 1 269.410 0.949 20 30 CCEDMN Cn1nnnc1CCN1CCN(c2ccc(C#N)cc2)CC1 ZINC000879586457 647078801 /nfs/dbraw/zinc/07/88/01/647078801.db2.gz DMMAFDGBSJMNCJ-UHFFFAOYSA-N 0 1 297.366 0.446 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnc[nH]2)C[C@@H](C)N1CC#N ZINC000880455749 647203973 /nfs/dbraw/zinc/20/39/73/647203973.db2.gz BTLWETNMAZVQLX-VXGBXAGGSA-N 0 1 275.356 0.787 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnn(C)c2OC)CC1 ZINC001006339671 647571001 /nfs/dbraw/zinc/57/10/01/647571001.db2.gz MBKFTZDKUDDNNQ-UHFFFAOYSA-N 0 1 290.367 0.598 20 30 CCEDMN C[C@@H]1CCc2[nH]nc(C(=O)N[C@H]3CNC[C@@H]3C#N)c2C1 ZINC000884250235 647681344 /nfs/dbraw/zinc/68/13/44/647681344.db2.gz ZZRVMKXJIZEUEY-PTRXPTGYSA-N 0 1 273.340 0.376 20 30 CCEDMN CN(CCCF)CC(=O)N(CCC#N)CCC#N ZINC000887137338 648074384 /nfs/dbraw/zinc/07/43/84/648074384.db2.gz DIAWIYVMQGGWRG-UHFFFAOYSA-N 0 1 254.309 0.934 20 30 CCEDMN C[C@@H](NC(=O)NCC#CCN(C)C)C(F)(F)F ZINC000931785904 649028245 /nfs/dbraw/zinc/02/82/45/649028245.db2.gz LZSKFSUHCFENIT-MRVPVSSYSA-N 0 1 251.252 0.801 20 30 CCEDMN C[C@@]1([C@H]2CCCN(CC(=O)NCCC#N)C2)COC(=O)N1 ZINC000932030223 649044411 /nfs/dbraw/zinc/04/44/11/649044411.db2.gz RCXSHFCJVRMSCH-FZMZJTMJSA-N 0 1 294.355 0.227 20 30 CCEDMN N#CC1(NC(=O)CN2CCCCCNC(=O)CC2)CCC1 ZINC000934154711 649159300 /nfs/dbraw/zinc/15/93/00/649159300.db2.gz NTMBBFZFYWSVBW-UHFFFAOYSA-N 0 1 292.383 0.541 20 30 CCEDMN CN(CC#N)C(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000194741647 649585189 /nfs/dbraw/zinc/58/51/89/649585189.db2.gz VCONPYZQWQJUEW-UHFFFAOYSA-N 0 1 288.332 0.667 20 30 CCEDMN COc1cc(C=NNC(=N)N)cc(Cl)c1OCC(N)=O ZINC000252453482 649826126 /nfs/dbraw/zinc/82/61/26/649826126.db2.gz LKKQWGUBJGOZNL-UHFFFAOYSA-N 0 1 299.718 0.030 20 30 CCEDMN COC[C@@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017409929 649843316 /nfs/dbraw/zinc/84/33/16/649843316.db2.gz SOYKLVLHSSIACK-LPWJVIDDSA-N 0 1 265.357 0.856 20 30 CCEDMN C[C@@H]1CN(c2cnc(C#N)c(C#N)n2)[C@@H](C)CN1C ZINC001164632028 719242339 /nfs/dbraw/zinc/24/23/39/719242339.db2.gz HPIZJYJVSCVJCS-ZJUUUORDSA-N 0 1 256.313 0.749 20 30 CCEDMN COc1ccnc(N2C[C@H]3OCCN(C)[C@@H]3C2)c1C#N ZINC001164669365 719386395 /nfs/dbraw/zinc/38/63/95/719386395.db2.gz UVQPBQJQGYNOIF-DGCLKSJQSA-N 0 1 274.324 0.481 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncc4c(C#N)c[nH]c4n3)C[C@H]21 ZINC001164670618 719419826 /nfs/dbraw/zinc/41/98/26/719419826.db2.gz PBLXOEQGIIBAHY-VXGBXAGGSA-N 0 1 284.323 0.349 20 30 CCEDMN Cc1nc(N2C[C@@H]3[C@H](C2)OCCN3C)ccc1CC#N ZINC001164666994 719453087 /nfs/dbraw/zinc/45/30/87/719453087.db2.gz FDFKNYCACNNHLU-KGLIPLIRSA-N 0 1 272.352 0.975 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)[C@@H](C)CC)CC1 ZINC001230288731 805591738 /nfs/dbraw/zinc/59/17/38/805591738.db2.gz WGCDUVVLAOTOFH-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCCn1cncn1 ZINC001266344465 790551288 /nfs/dbraw/zinc/55/12/88/790551288.db2.gz KEEYONHXJBLQBM-UHFFFAOYSA-N 0 1 263.345 0.130 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1ccc2c(c1)OCCO2 ZINC001266357227 790580156 /nfs/dbraw/zinc/58/01/56/790580156.db2.gz PVEHBWLHROCMEP-UHFFFAOYSA-N 0 1 288.347 0.682 20 30 CCEDMN CO[C@H](C)CN1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038175194 790588588 /nfs/dbraw/zinc/58/85/88/790588588.db2.gz MQKOSBRYPHQLJH-ZWNOBZJWSA-N 0 1 276.340 0.725 20 30 CCEDMN COC[C@@H](C)N1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038174469 790588743 /nfs/dbraw/zinc/58/87/43/790588743.db2.gz UCZKFWGPEYITQR-PWSUYJOCSA-N 0 1 276.340 0.725 20 30 CCEDMN C#CCCCN1CCN(c2nccnc2C#N)CC1 ZINC001209266014 790592093 /nfs/dbraw/zinc/59/20/93/790592093.db2.gz FDCSLSXXKDZGSU-UHFFFAOYSA-N 0 1 255.325 0.884 20 30 CCEDMN CCO[C@H](C)C(=O)NCCN(CC)CC#CCOC ZINC001266378049 790613309 /nfs/dbraw/zinc/61/33/09/790613309.db2.gz OHPUXRZMKKIQSH-CYBMUJFWSA-N 0 1 270.373 0.499 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cnns1 ZINC001266378211 790613823 /nfs/dbraw/zinc/61/38/23/790613823.db2.gz UFXRFPZRMDOMMJ-UHFFFAOYSA-N 0 1 282.369 0.240 20 30 CCEDMN C#CCC[N@H+]1C[C@H]2OCCN(C(=O)[C@H](CC)[NH+](C)C)[C@H]2C1 ZINC001083195720 790623272 /nfs/dbraw/zinc/62/32/72/790623272.db2.gz KXDKAUIFQMKQQF-SOUVJXGZSA-N 0 1 293.411 0.262 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC001266401025 790640214 /nfs/dbraw/zinc/64/02/14/790640214.db2.gz XJUWWDRSSSSPOV-OAHLLOKOSA-N 0 1 293.411 0.706 20 30 CCEDMN CC#CCN(CC)CCNC(=O)C(=O)Nc1cc(C)on1 ZINC001266437590 790702322 /nfs/dbraw/zinc/70/23/22/790702322.db2.gz FOTXMEMLEPUHRF-UHFFFAOYSA-N 0 1 292.339 0.383 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@H]1CNC(=O)CC ZINC001266443522 790721417 /nfs/dbraw/zinc/72/14/17/790721417.db2.gz PBIKWHGJGUJJBI-VXGBXAGGSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1COCCN1C ZINC001038181347 790739700 /nfs/dbraw/zinc/73/97/00/790739700.db2.gz RSHZGECMTARHRQ-OLZOCXBDSA-N 0 1 267.373 0.084 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)COCc1cc(C)on1 ZINC001266468221 790762653 /nfs/dbraw/zinc/76/26/53/790762653.db2.gz MHZADGNHWQRGNJ-CQSZACIVSA-N 0 1 291.351 0.713 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H](CC(=C)C)NC(C)=O ZINC001266485748 790778142 /nfs/dbraw/zinc/77/81/42/790778142.db2.gz IKXXQHXACLNLDZ-CABCVRRESA-N 0 1 291.395 0.671 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CN(C)C(C)=O ZINC001266478718 790773262 /nfs/dbraw/zinc/77/32/62/790773262.db2.gz SXHYWLUARVZFNJ-OKILXGFUSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN(CC(=O)NC)C1 ZINC001266525646 790871483 /nfs/dbraw/zinc/87/14/83/790871483.db2.gz KZJDREFYWPSNKY-NSHDSACASA-N 0 1 267.373 0.383 20 30 CCEDMN C=C(Br)C[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC000706469652 790959419 /nfs/dbraw/zinc/95/94/19/790959419.db2.gz XTBLNAIVOCOOCI-MRVPVSSYSA-N 0 1 250.092 0.416 20 30 CCEDMN C=C(Br)C[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC000706469652 790959424 /nfs/dbraw/zinc/95/94/24/790959424.db2.gz XTBLNAIVOCOOCI-MRVPVSSYSA-N 0 1 250.092 0.416 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1C[C@H](NCc2nccn2C)C1 ZINC001266591677 790995347 /nfs/dbraw/zinc/99/53/47/790995347.db2.gz SVTOKZFKGWAZFM-JOCQHMNTSA-N 0 1 274.368 0.816 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CNC(=O)C(CC)CC)C1 ZINC001211503945 791099085 /nfs/dbraw/zinc/09/90/85/791099085.db2.gz LPJAWXXCUNTPBJ-TZMCWYRMSA-N 0 1 293.411 0.609 20 30 CCEDMN C[C@@H](O)CCCN1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815912 805670938 /nfs/dbraw/zinc/67/09/38/805670938.db2.gz VCIFDLFIYOQGHU-OLZOCXBDSA-N 0 1 299.440 0.937 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CCN1CCC[C@H](C)O ZINC001231239193 805758088 /nfs/dbraw/zinc/75/80/88/805758088.db2.gz AGIXTYAGZCUXPT-UONOGXRCSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cc1ccon1 ZINC001231395037 805789271 /nfs/dbraw/zinc/78/92/71/805789271.db2.gz CNYJBNVHVNOKFN-ZDUSSCGKSA-N 0 1 261.325 0.773 20 30 CCEDMN CN(Cc1ccc(C#N)c(Cl)c1)C[C@H](O)CO ZINC001232112755 805901938 /nfs/dbraw/zinc/90/19/38/805901938.db2.gz JQWMDNXAWIOPEH-NSHDSACASA-N 0 1 254.717 0.997 20 30 CCEDMN CN(Cc1ccc(C#N)c(Cl)c1)C[C@@H](O)CO ZINC001232112753 805902535 /nfs/dbraw/zinc/90/25/35/805902535.db2.gz JQWMDNXAWIOPEH-LLVKDONJSA-N 0 1 254.717 0.997 20 30 CCEDMN N#C[C@H]1CN(Cc2c[nH]c3nccnc23)CCC1=O ZINC001232548267 805958583 /nfs/dbraw/zinc/95/85/83/805958583.db2.gz LKXOXZIJDUDEES-VIFPVBQESA-N 0 1 255.281 0.824 20 30 CCEDMN CN(CCNCC#Cc1ccccc1)C(=O)c1cn[nH]n1 ZINC001266757374 791216398 /nfs/dbraw/zinc/21/63/98/791216398.db2.gz YHSWVCJNQFYMRH-UHFFFAOYSA-N 0 1 283.335 0.518 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](OC)[C@@H]1CCOC1 ZINC001266789451 791239880 /nfs/dbraw/zinc/23/98/80/791239880.db2.gz YUTUTVNOQJVVJT-NEPJUHHUSA-N 0 1 290.791 0.838 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H](CC)NC(C)=O ZINC001266855596 791294083 /nfs/dbraw/zinc/29/40/83/791294083.db2.gz FHRNPYWMWBIRKO-ZIAGYGMSSA-N 0 1 279.384 0.505 20 30 CCEDMN C[C@H](CNC(=O)Cc1n[nH]c2c1CCCC2)NCC#N ZINC001266939896 791376636 /nfs/dbraw/zinc/37/66/36/791376636.db2.gz QLZPTDFDBJDLQC-SNVBAGLBSA-N 0 1 275.356 0.449 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](CNCc2nonc2C)C1 ZINC001267036451 791468489 /nfs/dbraw/zinc/46/84/89/791468489.db2.gz UFVRQIUZPMXQJB-GFCCVEGCSA-N 0 1 294.355 0.519 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](CNCc2cnon2)C1 ZINC001267039581 791471692 /nfs/dbraw/zinc/47/16/92/791471692.db2.gz UKZYKHPRSJAGDM-LBPRGKRZSA-N 0 1 276.340 0.667 20 30 CCEDMN CO[C@H](C)CN1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038139208 791526193 /nfs/dbraw/zinc/52/61/93/791526193.db2.gz HDJYLBHTVFUGSW-ZYHUDNBSSA-N 0 1 276.340 0.725 20 30 CCEDMN C[C@H](NCC#N)C1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC001267173846 791623928 /nfs/dbraw/zinc/62/39/28/791623928.db2.gz TYNLMLAYUUAETO-NSHDSACASA-N 0 1 275.356 0.692 20 30 CCEDMN C#CCN(C)CCNC(=O)CCc1c(C)nc[nH]c1=O ZINC001267205186 791669642 /nfs/dbraw/zinc/66/96/42/791669642.db2.gz FCTNJRHZUYELII-UHFFFAOYSA-N 0 1 276.340 0.104 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc2c(c1)ncn2C ZINC001267205279 791672060 /nfs/dbraw/zinc/67/20/60/791672060.db2.gz YBPGGIGMMCIXNI-UHFFFAOYSA-N 0 1 270.336 0.868 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cc(C)co1 ZINC001267211325 791680194 /nfs/dbraw/zinc/68/01/94/791680194.db2.gz QGSGCACFMWMVAQ-UHFFFAOYSA-N 0 1 264.325 0.899 20 30 CCEDMN CC#CCN(C)CCNC(=O)CNC(=O)C1CCCCC1 ZINC001267229217 791707837 /nfs/dbraw/zinc/70/78/37/791707837.db2.gz DRZPPKBBKVEISZ-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN CC#CCN(C)CCNC(=O)CNC(=O)c1ccsc1 ZINC001267231063 791710236 /nfs/dbraw/zinc/71/02/36/791710236.db2.gz NGGDWWHFEHVJCK-UHFFFAOYSA-N 0 1 293.392 0.549 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccccc1-n1ccnn1 ZINC001267232690 791712437 /nfs/dbraw/zinc/71/24/37/791712437.db2.gz BPBJOABJPPZNDS-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1C[C@H](O)COC ZINC001233946362 806153825 /nfs/dbraw/zinc/15/38/25/806153825.db2.gz AAYKAFGGKPOLFJ-KGLIPLIRSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H]1CC[N@H+]1CCOCCO ZINC001233946811 806155013 /nfs/dbraw/zinc/15/50/13/806155013.db2.gz DZCRKUGBFDCBDG-CQSZACIVSA-N 0 1 282.384 0.332 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1CCOCCO ZINC001233946811 806155017 /nfs/dbraw/zinc/15/50/17/806155017.db2.gz DZCRKUGBFDCBDG-CQSZACIVSA-N 0 1 282.384 0.332 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCNC(=O)NC ZINC001234112213 806183231 /nfs/dbraw/zinc/18/32/31/806183231.db2.gz QQCNERASJQPDOL-LBPRGKRZSA-N 0 1 282.388 0.414 20 30 CCEDMN COC[C@@H](O)C[N@H+]1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234161492 806192913 /nfs/dbraw/zinc/19/29/13/806192913.db2.gz LTEYJSXSNVJICZ-KGLIPLIRSA-N 0 1 282.384 0.186 20 30 CCEDMN COC[C@@H](O)CN1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234161492 806192918 /nfs/dbraw/zinc/19/29/18/806192918.db2.gz LTEYJSXSNVJICZ-KGLIPLIRSA-N 0 1 282.384 0.186 20 30 CCEDMN COC(=O)c1n[nH]c(C(=O)OC)c1O[C@H](C)CS ZINC001234194307 806202742 /nfs/dbraw/zinc/20/27/42/806202742.db2.gz NVTQKOZAYBLWIB-RXMQYKEDSA-N 0 1 274.298 0.680 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cnc(N(C)C)cn1 ZINC001038252856 793233395 /nfs/dbraw/zinc/23/33/95/793233395.db2.gz XKGAWNZOULKFLT-LBPRGKRZSA-N 0 1 289.383 0.923 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H]1C[C@H]1C ZINC001267247963 793240611 /nfs/dbraw/zinc/24/06/11/793240611.db2.gz ZVQDYDPNUZEIME-CHWSQXEVSA-N 0 1 252.358 0.730 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@]1(C)CCOC1 ZINC001267253268 793246965 /nfs/dbraw/zinc/24/69/65/793246965.db2.gz HQGQTJXXEBNCEZ-OAHLLOKOSA-N 0 1 282.384 0.501 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CN(C)C(=O)C1CC1 ZINC001234990782 806336906 /nfs/dbraw/zinc/33/69/06/806336906.db2.gz UJDPXLJLEFGAKQ-AWEZNQCLSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)COC(C)C ZINC001235124962 806375508 /nfs/dbraw/zinc/37/55/08/806375508.db2.gz HTRWMYJROVRDQJ-CYBMUJFWSA-N 0 1 299.415 0.540 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCN(C)CC(=O)NC ZINC001235229063 806391227 /nfs/dbraw/zinc/39/12/27/806391227.db2.gz MTETYGWTQMONFE-LBPRGKRZSA-N 0 1 285.388 0.152 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)Cc1cnc(C)cn1 ZINC001235683692 806490735 /nfs/dbraw/zinc/49/07/35/806490735.db2.gz NQEIZLKEHSWOIB-UHFFFAOYSA-N 0 1 292.383 0.926 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)Cc1cncn1C ZINC001235699493 806494762 /nfs/dbraw/zinc/49/47/62/806494762.db2.gz XJRIEPOQUDNJOW-UHFFFAOYSA-N 0 1 280.372 0.561 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)Cc1cncn1C ZINC001235699493 806494766 /nfs/dbraw/zinc/49/47/66/806494766.db2.gz XJRIEPOQUDNJOW-UHFFFAOYSA-N 0 1 280.372 0.561 20 30 CCEDMN CCC(=O)NC[C@H](CO)NCc1ccc(C#N)cc1 ZINC001278036056 806624309 /nfs/dbraw/zinc/62/43/09/806624309.db2.gz XEYHZOUDTVWMRC-CYBMUJFWSA-N 0 1 261.325 0.535 20 30 CCEDMN CCC(=O)NC[C@@H](CO)NCc1ccc(C#N)cc1 ZINC001278036057 806624347 /nfs/dbraw/zinc/62/43/47/806624347.db2.gz XEYHZOUDTVWMRC-ZDUSSCGKSA-N 0 1 261.325 0.535 20 30 CCEDMN C=CCCC(=O)NC[C@@H](CO)NCc1cncc(F)c1 ZINC001278054058 806641067 /nfs/dbraw/zinc/64/10/67/806641067.db2.gz MYHQDVJOSYFQBN-ZDUSSCGKSA-N 0 1 281.331 0.754 20 30 CCEDMN N#Cc1ncc([N-]S(=O)(=O)CC2CC[NH2+]CC2)cn1 ZINC001237515558 806676765 /nfs/dbraw/zinc/67/67/65/806676765.db2.gz PXWQLTSXOPPJFD-UHFFFAOYSA-N 0 1 281.341 0.090 20 30 CCEDMN CON(C)CCNCCS(=O)(=O)c1cccc(C#N)c1 ZINC001120591142 798880504 /nfs/dbraw/zinc/88/05/04/798880504.db2.gz UBIUJVHUSDSLEV-UHFFFAOYSA-N 0 1 297.380 0.415 20 30 CCEDMN C#CCN(C)c1nnc(-c2nc(C3CC3)n[nH]2)n1C ZINC001121253971 799021733 /nfs/dbraw/zinc/02/17/33/799021733.db2.gz DJKHHQFDLRXNFI-UHFFFAOYSA-N 0 1 257.301 0.547 20 30 CCEDMN C#CCN(C)c1nnc(-c2nnc(C3CC3)[nH]2)n1C ZINC001121253971 799021737 /nfs/dbraw/zinc/02/17/37/799021737.db2.gz DJKHHQFDLRXNFI-UHFFFAOYSA-N 0 1 257.301 0.547 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc(Cl)nc1 ZINC001121879974 799082108 /nfs/dbraw/zinc/08/21/08/799082108.db2.gz CPTQVFSQGFHQGF-UHFFFAOYSA-N 0 1 251.717 0.617 20 30 CCEDMN C#CCNCC(=O)N1CCCN(Cc2cscn2)CC1 ZINC001121951915 799105885 /nfs/dbraw/zinc/10/58/85/799105885.db2.gz RQBGOACIYOSWJD-UHFFFAOYSA-N 0 1 292.408 0.400 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@@H]1c1ccnn1C ZINC001122047544 799131116 /nfs/dbraw/zinc/13/11/16/799131116.db2.gz LNZFXVSHCMAMAC-CYBMUJFWSA-N 0 1 260.341 0.697 20 30 CCEDMN C#CCNCC(=O)N1CC(C)(C)[C@@H]1[C@@H]1CCCO1 ZINC001122081982 799138803 /nfs/dbraw/zinc/13/88/03/799138803.db2.gz KMIVZOXDNKEMRK-AAEUAGOBSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](CCC(=O)OC)C1 ZINC001122123060 799149606 /nfs/dbraw/zinc/14/96/06/799149606.db2.gz MINNAUOFMALCAB-LBPRGKRZSA-N 0 1 266.341 0.401 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1CCC[C@H](C(F)(F)F)O1 ZINC001122271748 799184367 /nfs/dbraw/zinc/18/43/67/799184367.db2.gz DVULTWHOSGZWTN-NXEZZACHSA-N 0 1 278.274 0.825 20 30 CCEDMN C=C(Br)CNCCNC(=O)COC ZINC001122317439 799195995 /nfs/dbraw/zinc/19/59/95/799195995.db2.gz ZBVCUTYMVWFTRE-UHFFFAOYSA-N 0 1 251.124 0.247 20 30 CCEDMN COCCNC(=O)[C@@H](C#N)C(=O)c1cc(C)[nH]c(=O)c1 ZINC001122414944 799227993 /nfs/dbraw/zinc/22/79/93/799227993.db2.gz MJXKNETZDAJCQT-JTQLQIEISA-N 0 1 277.280 0.181 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@H](F)CC ZINC001122533706 799257908 /nfs/dbraw/zinc/25/79/08/799257908.db2.gz QTOWHQQXTVHZQZ-NXEZZACHSA-N 0 1 258.293 0.986 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)COCC)C1 ZINC001149097399 799378782 /nfs/dbraw/zinc/37/87/82/799378782.db2.gz BOUJHKFQVXTJTR-CYBMUJFWSA-N 0 1 268.357 0.253 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2CCC(=O)O2)CC1 ZINC001123348716 799395868 /nfs/dbraw/zinc/39/58/68/799395868.db2.gz HIFQXPFQXGKJBS-LLVKDONJSA-N 0 1 251.282 0.333 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@H](Cc3nn[nH]n3)C2)nc1 ZINC001123915396 799503483 /nfs/dbraw/zinc/50/34/83/799503483.db2.gz VITFRXVQHCHVLS-GFCCVEGCSA-N 0 1 296.334 0.671 20 30 CCEDMN C#CCOCCN(C)C(=O)c1ccn(-c2ccncc2)n1 ZINC001124053988 799546587 /nfs/dbraw/zinc/54/65/87/799546587.db2.gz BEKIBWPFBMXDEO-UHFFFAOYSA-N 0 1 284.319 0.989 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)[C@@H]1CCOC1 ZINC001124501382 799609814 /nfs/dbraw/zinc/60/98/14/799609814.db2.gz KGLDUNIYVLNPAI-CQSZACIVSA-N 0 1 273.336 0.801 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1cnn(C)c1 ZINC001124606032 799618393 /nfs/dbraw/zinc/61/83/93/799618393.db2.gz AHZDQORKOSTIAV-UHFFFAOYSA-N 0 1 256.737 0.421 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)Cc1n[nH]c(C2CC2)n1 ZINC001137919910 799694844 /nfs/dbraw/zinc/69/48/44/799694844.db2.gz ZIDCSSHCOSWESX-VIFPVBQESA-N 0 1 264.329 0.525 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](OCC)c1ccccc1 ZINC001278201245 806770577 /nfs/dbraw/zinc/77/05/77/806770577.db2.gz CJUJWXZVJQTHDG-CABCVRRESA-N 0 1 290.363 0.464 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001278203012 806771294 /nfs/dbraw/zinc/77/12/94/806771294.db2.gz XQVZTBLGUWENRW-GOEBONIOSA-N 0 1 288.391 0.874 20 30 CCEDMN C#CCN1CCN(Cc2ccc(-c3nn[nH]n3)cc2)CC1 ZINC001139093414 799777480 /nfs/dbraw/zinc/77/74/80/799777480.db2.gz UDUXOEZEHVLLKH-UHFFFAOYSA-N 0 1 282.351 0.618 20 30 CCEDMN C=CCO[C@@H]1CO[C@]2(C1)CCCN(CC(=O)N(C)C)C2 ZINC001203014698 799889512 /nfs/dbraw/zinc/88/95/12/799889512.db2.gz MXAUAVIFJHMDSC-ZFWWWQNUSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@@H]2CCCO2)C1 ZINC001149248096 800238747 /nfs/dbraw/zinc/23/87/47/800238747.db2.gz ORBXZUINSFPQMV-UONOGXRCSA-N 0 1 282.384 0.949 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H](C)OCC)C1 ZINC001149272968 800240738 /nfs/dbraw/zinc/24/07/38/800240738.db2.gz QFNVCAQDWJSFPI-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CNC(=O)CCC)C1 ZINC001149291465 800243217 /nfs/dbraw/zinc/24/32/17/800243217.db2.gz CEEQOMJWCJOBTK-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001149405724 800256792 /nfs/dbraw/zinc/25/67/92/800256792.db2.gz DIIYHHQZHAVEKY-OLZOCXBDSA-N 0 1 263.341 0.376 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](C)n2cncn2)C1 ZINC001149549495 800275839 /nfs/dbraw/zinc/27/58/39/800275839.db2.gz OAJCHAKXEGFJOX-STQMWFEESA-N 0 1 293.371 0.232 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2ccnn2C)C1 ZINC001149632755 800285983 /nfs/dbraw/zinc/28/59/83/800285983.db2.gz VJMRXIMZMBVITO-AWEZNQCLSA-N 0 1 292.383 0.356 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@@H](CNC(=O)CC(C)(C)O)C1 ZINC001149660920 800288092 /nfs/dbraw/zinc/28/80/92/800288092.db2.gz UZEREXFPRQBELU-ZDUSSCGKSA-N 0 1 282.384 0.378 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)CC(C)(C)O)C1 ZINC001149660920 800288097 /nfs/dbraw/zinc/28/80/97/800288097.db2.gz UZEREXFPRQBELU-ZDUSSCGKSA-N 0 1 282.384 0.378 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CO[C@@H]2CCOC2)C1 ZINC001149721547 800294994 /nfs/dbraw/zinc/29/49/94/800294994.db2.gz OTPNDZFADRYUDF-KGLIPLIRSA-N 0 1 298.383 0.185 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H](OC)C(C)C)C1 ZINC001150254069 800326923 /nfs/dbraw/zinc/32/69/23/800326923.db2.gz JYFXEKHRDHGHKL-HUUCEWRRSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)[C@@H](C)OC)CC1 ZINC001150985467 800383780 /nfs/dbraw/zinc/38/37/80/800383780.db2.gz JCXWEWYSVPRQRW-CYBMUJFWSA-N 0 1 282.384 0.642 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ncnc2[nH]ccc21 ZINC001151867598 800466767 /nfs/dbraw/zinc/46/67/67/800466767.db2.gz XRCHAPRXBJXBIL-UHFFFAOYSA-N 0 1 253.225 0.757 20 30 CCEDMN N#Cc1ccnnc1NC(=O)c1cc2c[nH]cnc-2n1 ZINC001152990454 800577686 /nfs/dbraw/zinc/57/76/86/800577686.db2.gz XXCJWYRWHWKNEE-UHFFFAOYSA-N 0 1 265.236 0.823 20 30 CCEDMN C#CCOCCC(=O)Nc1ccc(O)c(S(N)(=O)=O)c1 ZINC001153032479 800583987 /nfs/dbraw/zinc/58/39/87/800583987.db2.gz DCFLMWAXUSJZRL-UHFFFAOYSA-N 0 1 298.320 0.018 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1snnc1C ZINC001153085666 800594897 /nfs/dbraw/zinc/59/48/97/800594897.db2.gz GSBFUTFYPCOCCY-UHFFFAOYSA-N 0 1 284.385 0.645 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccn2ncnc2c1 ZINC001155579353 801014120 /nfs/dbraw/zinc/01/41/20/801014120.db2.gz QHSTXSYTIMYWRV-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN N#Cc1c(F)cc(C(=O)N2CCC(N)=N2)cc1F ZINC001155670798 801035613 /nfs/dbraw/zinc/03/56/13/801035613.db2.gz UYBMVIKUBNOLQM-UHFFFAOYSA-N 0 1 250.208 0.597 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1ncnn1C(C)C ZINC001155725587 801043706 /nfs/dbraw/zinc/04/37/06/801043706.db2.gz WIUCSRNHVMDAKM-UHFFFAOYSA-N 0 1 295.387 0.658 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1cn(C(C)C)nn1 ZINC001155724804 801044217 /nfs/dbraw/zinc/04/42/17/801044217.db2.gz GLVODFKABZUSMT-UHFFFAOYSA-N 0 1 295.387 0.658 20 30 CCEDMN N#Cc1ccc(F)cc1CNCCCNC(=O)CC(N)=O ZINC001156007326 801092789 /nfs/dbraw/zinc/09/27/89/801092789.db2.gz YWQVJDMESIFOKP-UHFFFAOYSA-N 0 1 292.314 0.169 20 30 CCEDMN Cc1cc(NCCN2CCSCC2)nc(C#N)n1 ZINC001157043518 801291668 /nfs/dbraw/zinc/29/16/68/801291668.db2.gz QZJGVLJDEDJPFD-UHFFFAOYSA-N 0 1 263.370 0.539 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)C[C@H]1CCCCN1C(=O)CC ZINC001157423022 801377268 /nfs/dbraw/zinc/37/72/68/801377268.db2.gz SCHUCYGTVKYOJS-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN CCOCC(=O)N1CCCC[C@@H]1CN(C)CC#CCOC ZINC001157480728 801396704 /nfs/dbraw/zinc/39/67/04/801396704.db2.gz LWHRCKIRJTVASG-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN CC(C)C#CC(=O)NCCCNCc1nncs1 ZINC001157638604 801442917 /nfs/dbraw/zinc/44/29/17/801442917.db2.gz GZYKKJYPHZYPGZ-UHFFFAOYSA-N 0 1 266.370 0.793 20 30 CCEDMN CC(=O)CN(C)C(=O)[C@@H](N)Cc1ccccc1C#N ZINC001157863456 801506415 /nfs/dbraw/zinc/50/64/15/801506415.db2.gz ZAOSIKSYPFIHAW-ZDUSSCGKSA-N 0 1 259.309 0.475 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cc1ccnn1C ZINC001157881506 801510650 /nfs/dbraw/zinc/51/06/50/801510650.db2.gz XJVJGYKWQWVSDL-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN N#Cc1cc(F)c(Cl)nc1-n1nnnc1CN ZINC001158074601 801563127 /nfs/dbraw/zinc/56/31/27/801563127.db2.gz JOEYWIBKGYBCLT-UHFFFAOYSA-N 0 1 253.628 0.180 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1c[nH]c(=O)n1C ZINC001158311245 801619833 /nfs/dbraw/zinc/61/98/33/801619833.db2.gz YNYYHLUXZNZDEE-GFCCVEGCSA-N 0 1 290.367 0.685 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)OCCOC ZINC001158554330 801665411 /nfs/dbraw/zinc/66/54/11/801665411.db2.gz HTNNYUDZOTUBJL-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cc1nonc1C ZINC001158704109 801686942 /nfs/dbraw/zinc/68/69/42/801686942.db2.gz CEKAIEUMTLNNBZ-CYBMUJFWSA-N 0 1 290.367 0.867 20 30 CCEDMN C=C[C@@H](CO)Nc1nc(Cl)nc2c1CNCC2 ZINC001158813576 801709516 /nfs/dbraw/zinc/70/95/16/801709516.db2.gz UVLFSHGCZUDZIR-ZETCQYMHSA-N 0 1 254.721 0.735 20 30 CCEDMN C=CCCC(=O)NCCC1=CCN([C@H]2CCNC2=O)CC1 ZINC001159543827 801832308 /nfs/dbraw/zinc/83/23/08/801832308.db2.gz BSMISQWJLJWPFO-AWEZNQCLSA-N 0 1 291.395 0.980 20 30 CCEDMN CNC(=O)[C@H](C)N1CC=C(CCNC(=O)[C@H](C)C#N)CC1 ZINC001159587060 801836309 /nfs/dbraw/zinc/83/63/09/801836309.db2.gz GVNXVBQLZKNOBO-NEPJUHHUSA-N 0 1 292.383 0.419 20 30 CCEDMN C#CCNC(=O)CC(=O)NCCC1=CCN(CCF)CC1 ZINC001159891114 801886305 /nfs/dbraw/zinc/88/63/05/801886305.db2.gz HFMUXFCGUIEKGS-UHFFFAOYSA-N 0 1 295.358 0.234 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CC2OCCCO2)CC1 ZINC001159928634 801891672 /nfs/dbraw/zinc/89/16/72/801891672.db2.gz SCPKEQJOMBIJAQ-UHFFFAOYSA-N 0 1 292.379 0.911 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCCC[C@H]1c1noc(C)n1 ZINC001160548970 801968790 /nfs/dbraw/zinc/96/87/90/801968790.db2.gz KQDRAJOAQQROEQ-GWCFXTLKSA-N 0 1 262.313 0.782 20 30 CCEDMN C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)N1CCO[C@@H](C#N)C1 ZINC001160877832 802035223 /nfs/dbraw/zinc/03/52/23/802035223.db2.gz DISVWWZTXJYHEG-OUAUKWLOSA-N 0 1 269.345 0.268 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC(CC)(C(=O)OCC)CC1 ZINC001161129577 802096431 /nfs/dbraw/zinc/09/64/31/802096431.db2.gz GULGKRDEHJSGGN-AWEZNQCLSA-N 0 1 280.368 0.919 20 30 CCEDMN CO[C@@H](C)C1CN(C(=O)[C@@H](N)Cc2ccccc2C#N)C1 ZINC001161145720 802100732 /nfs/dbraw/zinc/10/07/32/802100732.db2.gz HTEJIPROVXTLOW-NHYWBVRUSA-N 0 1 287.363 0.921 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@]2(C)CCNC2=O)CC1 ZINC001161199548 802107114 /nfs/dbraw/zinc/10/71/14/802107114.db2.gz IMNUHJVLNRGTBK-INIZCTEOSA-N 0 1 289.379 0.284 20 30 CCEDMN C=CCn1cc(CNC(=O)CCN2CC[C@@H](F)C2)nn1 ZINC001162479905 802346315 /nfs/dbraw/zinc/34/63/15/802346315.db2.gz DVURQCUTGFVLSK-LLVKDONJSA-N 0 1 281.335 0.514 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H](C)CSC)C1 ZINC001278766737 808193545 /nfs/dbraw/zinc/19/35/45/808193545.db2.gz MLODHNNIHAWRBQ-YPMHNXCESA-N 0 1 270.398 0.172 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1cc(C)ncn1 ZINC001278854348 808336041 /nfs/dbraw/zinc/33/60/41/808336041.db2.gz BDLZZAVATPFYKC-ZDUSSCGKSA-N 0 1 290.367 0.173 20 30 CCEDMN Cc1ccc(C#N)nc1NCc1nnc2n1CCCNC2 ZINC001163012041 802456593 /nfs/dbraw/zinc/45/65/93/802456593.db2.gz UXQPYEYCJULNME-UHFFFAOYSA-N 0 1 283.339 0.959 20 30 CCEDMN CCc1nc(C#N)cc(NCc2nnc3n2CCCNC3)n1 ZINC001163017390 802460504 /nfs/dbraw/zinc/46/05/04/802460504.db2.gz XDSUXYQRJRGHKM-UHFFFAOYSA-N 0 1 298.354 0.608 20 30 CCEDMN N#CCc1ncc(NCc2nnc3n2CCCNC3)cn1 ZINC001163017240 802460534 /nfs/dbraw/zinc/46/05/34/802460534.db2.gz AOFHJQQCSZCZDU-UHFFFAOYSA-N 0 1 284.327 0.240 20 30 CCEDMN C#Cc1cnc(NS(=O)(=O)CC(C)C)c(C#C)n1 ZINC001259879510 808791369 /nfs/dbraw/zinc/79/13/69/808791369.db2.gz QSSFTOOYSOSPFE-UHFFFAOYSA-N 0 1 263.322 0.837 20 30 CCEDMN C#Cc1cccnc1NS(=O)(=O)C1CCOCC1 ZINC001259908111 808797441 /nfs/dbraw/zinc/79/74/41/808797441.db2.gz WNCKINNJMWNYNE-UHFFFAOYSA-N 0 1 266.322 0.984 20 30 CCEDMN CC[C@H](C)[C@H](NS(=O)(=O)C(F)F)C(=O)NO ZINC001259964780 808828328 /nfs/dbraw/zinc/82/83/28/808828328.db2.gz IJAYKZRUJSWAJT-WHFBIAKZSA-N 0 1 260.262 0.049 20 30 CCEDMN CCOC(=O)c1cn(-c2nc(C)ncc2C#N)nc1CN ZINC001163520237 802566313 /nfs/dbraw/zinc/56/63/13/802566313.db2.gz FUJABVUFOMBGNW-UHFFFAOYSA-N 0 1 286.295 0.478 20 30 CCEDMN CCOC(=O)c1cn(-c2cc(C)nc(C#N)n2)nc1CN ZINC001163523690 802567138 /nfs/dbraw/zinc/56/71/38/802567138.db2.gz BYQIGLUERQINBP-UHFFFAOYSA-N 0 1 286.295 0.478 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2nnnn2C)cc1 ZINC001163734598 802600892 /nfs/dbraw/zinc/60/08/92/802600892.db2.gz SIECUEQBHDJDRW-UHFFFAOYSA-N 0 1 298.350 0.101 20 30 CCEDMN CCN(C(=O)CN(C)CCCNC(=O)[C@H](C)C#N)C1CC1 ZINC001264971171 809682869 /nfs/dbraw/zinc/68/28/69/809682869.db2.gz KWYIUBGRZJLILG-GFCCVEGCSA-N 0 1 294.399 0.595 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCN(CCN2CCCC2=O)C1 ZINC001265276159 809742728 /nfs/dbraw/zinc/74/27/28/809742728.db2.gz ZRYUHZZEJCJRPM-ZDUSSCGKSA-N 0 1 295.383 0.002 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCCN[C@H](C)c1nnnn1C ZINC001164323418 802723388 /nfs/dbraw/zinc/72/33/88/802723388.db2.gz HIKDTPKGIXWZJW-NEPJUHHUSA-N 0 1 294.403 0.969 20 30 CCEDMN CN(Cc1ccc(N2CCNC[C@H]2C#N)nc1)C1CC1 ZINC001164662488 802777216 /nfs/dbraw/zinc/77/72/16/802777216.db2.gz YMNAACRPMJEQAU-CQSZACIVSA-N 0 1 271.368 0.978 20 30 CCEDMN CN(C1CN(c2ncc3c(C#N)c[nH]c3n2)C1)[C@@H]1CCOC1 ZINC001165205653 802796779 /nfs/dbraw/zinc/79/67/79/802796779.db2.gz WIAHQIWRZGXLOM-LLVKDONJSA-N 0 1 298.350 0.739 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1nc(Cl)c(F)cc1C#N ZINC001165436879 802840756 /nfs/dbraw/zinc/84/07/56/802840756.db2.gz LSWBRRKRDSWEMW-VIFPVBQESA-N 0 1 298.705 0.697 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1cc(CC#N)ccn1 ZINC001165438717 802842939 /nfs/dbraw/zinc/84/29/39/802842939.db2.gz KWCBVNUHPLZLFS-NSHDSACASA-N 0 1 260.297 0.099 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1ccc2c(C#N)c[nH]c2n1 ZINC001165439584 802844180 /nfs/dbraw/zinc/84/41/80/802844180.db2.gz RDLFFDQCPMVECO-LLVKDONJSA-N 0 1 285.307 0.386 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@]2(F)CCOC2)C1 ZINC001276421262 803045505 /nfs/dbraw/zinc/04/55/05/803045505.db2.gz HVNFAEYNHFCAAJ-BXUZGUMPSA-N 0 1 268.332 0.575 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)CCSC)C1 ZINC001205901434 803185377 /nfs/dbraw/zinc/18/53/77/803185377.db2.gz HFDXMULBIHBWGK-CHWSQXEVSA-N 0 1 284.425 0.826 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CNC(=O)CCC)C1 ZINC001206371500 803235029 /nfs/dbraw/zinc/23/50/29/803235029.db2.gz COSJPFPROSLBLD-CHWSQXEVSA-N 0 1 279.384 0.363 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H](C)OC)C1 ZINC001206525735 803260316 /nfs/dbraw/zinc/26/03/16/803260316.db2.gz XXZPKTPFLKTRAE-MGPQQGTHSA-N 0 1 282.384 0.498 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CO[C@H](C)CC)C1 ZINC001206878427 803297084 /nfs/dbraw/zinc/29/70/84/803297084.db2.gz MHWGGYNACNCUCX-JHJVBQTASA-N 0 1 252.358 0.871 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001206883316 803299388 /nfs/dbraw/zinc/29/93/88/803299388.db2.gz ACDBEEHTRHVFFE-XNRPHZJLSA-N 0 1 278.396 0.997 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)C2=CCOCC2)C1 ZINC001206896205 803300922 /nfs/dbraw/zinc/30/09/22/803300922.db2.gz ZBRHKJUKKMDNDM-UKRRQHHQSA-N 0 1 292.379 0.419 20 30 CCEDMN Cn1cc(CN2CCN([C@H]3CCOC3)CC2)cc1C#N ZINC001207103102 803354138 /nfs/dbraw/zinc/35/41/38/803354138.db2.gz LTOFKXCMKKEWGY-AWEZNQCLSA-N 0 1 274.368 0.803 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@]2(C1)CN(CC1CC1)CCO2 ZINC001276533475 803402465 /nfs/dbraw/zinc/40/24/65/803402465.db2.gz XESGEHMZKXCDPW-INIZCTEOSA-N 0 1 294.395 0.902 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CNC(=O)OC)C1 ZINC001207541870 803416780 /nfs/dbraw/zinc/41/67/80/803416780.db2.gz QUMSPLBUASOADH-JTQLQIEISA-N 0 1 289.763 0.676 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCC[C@@H](NCC(=C)Cl)C1 ZINC001207869026 803459945 /nfs/dbraw/zinc/45/99/45/803459945.db2.gz BXKRLMXMYMNQEW-GFCCVEGCSA-N 0 1 297.786 0.459 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCCc2c[nH]nn2)C1 ZINC001208106364 803489583 /nfs/dbraw/zinc/48/95/83/803489583.db2.gz UWTLQVMYAGJTBK-TZMCWYRMSA-N 0 1 289.383 0.587 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCNC(=O)C2CCC2)C1 ZINC001209399387 803601384 /nfs/dbraw/zinc/60/13/84/803601384.db2.gz VQSSGAVGBHJOIL-TZMCWYRMSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](NC(N)=O)C(C)(C)C)C1 ZINC001210298923 803647048 /nfs/dbraw/zinc/64/70/48/803647048.db2.gz UMENDAOAIRELPC-UTUOFQBUSA-N 0 1 294.399 0.139 20 30 CCEDMN C#CCCCS(=O)(=O)NCc1n[nH]c(C2CC2)n1 ZINC001211978228 814957822 /nfs/dbraw/zinc/95/78/22/814957822.db2.gz CTIIBDKSKOIKCK-UHFFFAOYSA-N 0 1 268.342 0.515 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)CCOC)C1 ZINC001211162766 803671513 /nfs/dbraw/zinc/67/15/13/803671513.db2.gz CJLKRYWZTUSPJX-RBSFLKMASA-N 0 1 296.411 0.745 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CN(CCOC)C[C@H]1OC ZINC001213961598 803707864 /nfs/dbraw/zinc/70/78/64/803707864.db2.gz JMHDBMNVPJBBFC-JHJVBQTASA-N 0 1 286.372 0.039 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1[C@H]2CN(CC(=O)NC)C[C@H]21 ZINC001276707964 803716241 /nfs/dbraw/zinc/71/62/41/803716241.db2.gz KPEYHUPEIQNCOQ-XDQVBPFNSA-N 0 1 293.411 0.629 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](COC)OC)C[C@H]1C ZINC001215496127 803814569 /nfs/dbraw/zinc/81/45/69/803814569.db2.gz OHDPBPBTZCRTGF-JLLWLGSASA-N 0 1 290.791 0.837 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](CC)OC)[C@H](OC)C1 ZINC001211994991 814969650 /nfs/dbraw/zinc/96/96/50/814969650.db2.gz QYJKWYCJZNNKPB-FRRDWIJNSA-N 0 1 270.373 0.803 20 30 CCEDMN C#CCCCCC(=O)N1CC[N@H+]2C[C@H](O)C[C@H]2C1 ZINC000405245988 803868242 /nfs/dbraw/zinc/86/82/42/803868242.db2.gz CRMOXQDRSUPYGZ-QWHCGFSZSA-N 0 1 250.342 0.457 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@H]2OCCN(C(=O)CCOC)[C@H]2C1 ZINC001217185397 803877614 /nfs/dbraw/zinc/87/76/14/803877614.db2.gz BKHOJYNNUQKOKF-UONOGXRCSA-N 0 1 298.383 0.137 20 30 CCEDMN C=CCOCCN1C[C@H]2OCCN(C(=O)CCOC)[C@H]2C1 ZINC001217185397 803877622 /nfs/dbraw/zinc/87/76/22/803877622.db2.gz BKHOJYNNUQKOKF-UONOGXRCSA-N 0 1 298.383 0.137 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001217420561 803889871 /nfs/dbraw/zinc/88/98/71/803889871.db2.gz NEAOVVGDWVQQQX-HZSPNIEDSA-N 0 1 282.384 0.899 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CC(=O)NCC)[C@H]2C1 ZINC001217690880 803899951 /nfs/dbraw/zinc/89/99/51/803899951.db2.gz WSGVQTGYIADJIQ-QWHCGFSZSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1OC ZINC001212024417 814980064 /nfs/dbraw/zinc/98/00/64/814980064.db2.gz SQQYSMGJDRTYMD-YNEHKIRRSA-N 0 1 270.373 0.803 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CCO[C@@H]2CN(CCCO)C[C@@H]21 ZINC001218518224 803980105 /nfs/dbraw/zinc/98/01/05/803980105.db2.gz VPXDLLKSFOYKDV-QLFBSQMISA-N 0 1 296.411 0.883 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(CCCF)C[C@H]1OC ZINC001212032345 814982175 /nfs/dbraw/zinc/98/21/75/814982175.db2.gz WRRHKXIOPPUWNM-VXGBXAGGSA-N 0 1 274.336 0.364 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)C#N)[C@H](OC)C1 ZINC001212039757 814983103 /nfs/dbraw/zinc/98/31/03/814983103.db2.gz RVCOPEOFJVLEQL-IJLUTSLNSA-N 0 1 251.330 0.538 20 30 CCEDMN Cc1[nH]c(NC(=O)C[C@H]2COCCN2)c(C#N)c1C ZINC001218554961 804002338 /nfs/dbraw/zinc/00/23/38/804002338.db2.gz CEWKFIRJBIFJRA-JTQLQIEISA-N 0 1 262.313 0.820 20 30 CCEDMN N#CCc1cccc(NC(=O)[C@H](N)CCCNC(N)=O)c1 ZINC001218988667 804176656 /nfs/dbraw/zinc/17/66/56/804176656.db2.gz ZHHBBBQRHSGPEX-GFCCVEGCSA-N 0 1 289.339 0.467 20 30 CCEDMN Cn1cncc1C[C@H]([NH3+])C(=O)Nc1ccc(C#N)cc1[O-] ZINC001218989161 804177409 /nfs/dbraw/zinc/17/74/09/804177409.db2.gz SGFATOPMYOWBPR-NSHDSACASA-N 0 1 285.307 0.506 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H](C)CCOC)[C@H]2C1 ZINC001219043818 804184532 /nfs/dbraw/zinc/18/45/32/804184532.db2.gz KEJBHNKMFLWESA-QLFBSQMISA-N 0 1 294.395 0.594 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCn2cccn2)[C@@H](O)C1 ZINC001219201161 804234827 /nfs/dbraw/zinc/23/48/27/804234827.db2.gz SXQTZEBUWJEPIB-OLZOCXBDSA-N 0 1 278.356 0.011 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C(/C)C2CC2)[C@@H](O)C1 ZINC001219281536 804254475 /nfs/dbraw/zinc/25/44/75/804254475.db2.gz JNWMUQONEXKGLF-ZOAGNIGNSA-N 0 1 262.353 0.527 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@@H](C)c2n[nH]c(C)n2)C[C@@H]1O ZINC001219344531 804278415 /nfs/dbraw/zinc/27/84/15/804278415.db2.gz OQNSBKQWJDOJSJ-WCQGTBRESA-N 0 1 293.371 0.302 20 30 CCEDMN CC/C=C\CCN1C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](O)C1 ZINC001219378696 804290822 /nfs/dbraw/zinc/29/08/22/804290822.db2.gz CJZFWUDBCUPMAD-BJBSMMKKSA-N 0 1 265.357 0.664 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2CC2(F)F)[C@@H](O)C1 ZINC001219566070 804352500 /nfs/dbraw/zinc/35/25/00/804352500.db2.gz PJPAUWURFSBTPE-AXFHLTTASA-N 0 1 290.310 0.006 20 30 CCEDMN CC/C=C/CCN1C[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001219591865 804362938 /nfs/dbraw/zinc/36/29/38/804362938.db2.gz YFGLPEDCPFPLSF-RYIMYRPNSA-N 0 1 276.380 0.917 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cnc2cc(C)ccn12 ZINC001219709564 804410877 /nfs/dbraw/zinc/41/08/77/804410877.db2.gz MFPRWHSGHMTLEB-AWEZNQCLSA-N 0 1 256.309 0.610 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC(F)F)[C@@H](O)C1 ZINC001220021055 804469620 /nfs/dbraw/zinc/46/96/20/804469620.db2.gz FCJQYMBVEUDZNG-ZJUUUORDSA-N 0 1 260.284 0.216 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N[C@@H]1CN(CC(=C)C)C[C@@H]1O ZINC001220075968 804495166 /nfs/dbraw/zinc/49/51/66/804495166.db2.gz MXGDILLLGPEMID-IJEWVQPXSA-N 0 1 296.411 0.953 20 30 CCEDMN Cc1cnc(=O)[nH]c1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001220123049 804504582 /nfs/dbraw/zinc/50/45/82/804504582.db2.gz UAMJBQHGKYUPGS-LBPRGKRZSA-N 0 1 297.318 0.871 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(CCCO)C[C@@H]1O ZINC001220198203 804533788 /nfs/dbraw/zinc/53/37/88/804533788.db2.gz HEJWLUGWOCJJFF-UPJWGTAASA-N 0 1 270.373 0.132 20 30 CCEDMN C[S@@](=O)CC[C@H](N)C(=O)NC1=CC(=O)CC(C)(C)C1 ZINC001220413202 804599209 /nfs/dbraw/zinc/59/92/09/804599209.db2.gz AJEXKNPNCJPZDK-JEOXALJRSA-N 0 1 286.397 0.472 20 30 CCEDMN C=CCCCNC(=O)CNC(=O)[C@@H](N)Cc1ccccc1 ZINC001220484721 804613215 /nfs/dbraw/zinc/61/32/15/804613215.db2.gz QNGFRUJBWOPSTL-AWEZNQCLSA-N 0 1 289.379 0.755 20 30 CCEDMN COC(=O)c1ccc(C(N)=NC(=O)[C@@H]2C[C@H]3C[C@H]3N2)cc1 ZINC001220577095 804629399 /nfs/dbraw/zinc/62/93/99/804629399.db2.gz CNSVNZJXPYDVFC-UTUOFQBUSA-N 0 1 287.319 0.456 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H]1CCC(=O)N[C@H]1c1ccccc1 ZINC001220727590 804656439 /nfs/dbraw/zinc/65/64/39/804656439.db2.gz LAINYSFFCUYYDZ-NOLJZWGESA-N 0 1 285.347 0.473 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1nc(N)cn1C ZINC001220868353 804686053 /nfs/dbraw/zinc/68/60/53/804686053.db2.gz GYDWEDQFSOENKA-JTQLQIEISA-N 0 1 263.345 0.383 20 30 CCEDMN C=C1CN(C(=O)NCC(C)(C)N2CCN(C)CC2)C1 ZINC001220984265 804714158 /nfs/dbraw/zinc/71/41/58/804714158.db2.gz LCANBXKNAWUNCY-UHFFFAOYSA-N 0 1 266.389 0.594 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2cnn(C)c2)[C@@H](O)C1 ZINC001221063726 804726738 /nfs/dbraw/zinc/72/67/38/804726738.db2.gz HDWBVTBLPSRBAK-KGLIPLIRSA-N 0 1 292.383 0.090 20 30 CCEDMN C#CC1(NC(=O)[C@@H](N)COC(C)=O)CCCCC1 ZINC001221276143 804761600 /nfs/dbraw/zinc/76/16/00/804761600.db2.gz RFFLXACOXVYHDL-NSHDSACASA-N 0 1 252.314 0.329 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC1(Cc2ccc(O)cc2)CC1 ZINC001221331151 804778820 /nfs/dbraw/zinc/77/88/20/804778820.db2.gz RRSUOQGQKIFOTL-AWEZNQCLSA-N 0 1 258.321 0.934 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1C[C@H]2CCN(CC#N)C[C@H]21 ZINC001221587727 804832028 /nfs/dbraw/zinc/83/20/28/804832028.db2.gz GFIKKAQHMWDZJF-MGPQQGTHSA-N 0 1 296.390 0.723 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCn1cc(Cl)cn1 ZINC001272178427 815038356 /nfs/dbraw/zinc/03/83/56/815038356.db2.gz JODHSVAZXBEUAI-UHFFFAOYSA-N 0 1 282.775 0.950 20 30 CCEDMN C=C1CN(C(=O)N[C@H](C)CN2CCN(C)CC2)C1 ZINC001221816740 804847797 /nfs/dbraw/zinc/84/77/97/804847797.db2.gz DKZLANARCDDFHK-GFCCVEGCSA-N 0 1 252.362 0.204 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](COC)OC)[C@@H]2C1 ZINC001221804341 804847985 /nfs/dbraw/zinc/84/79/85/804847985.db2.gz HBBPBMUSQZKYIF-JHJVBQTASA-N 0 1 268.357 0.367 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCCCC(N)=O)[C@@H]2C1 ZINC001221933687 804853981 /nfs/dbraw/zinc/85/39/81/804853981.db2.gz KWPITSVMNWDHMB-ZIAGYGMSSA-N 0 1 291.395 0.588 20 30 CCEDMN COCC#CCN1CC[C@@H]2CCN(C(=O)[C@@H](C)OC)C[C@@H]21 ZINC001222348658 804893825 /nfs/dbraw/zinc/89/38/25/804893825.db2.gz SUTVZANLJLCIPU-KFWWJZLASA-N 0 1 294.395 0.594 20 30 CCEDMN C=CCCCCC[N@H+]1CC[C@@H]1CNC(=O)[C@@H]1CNC(=O)N1 ZINC001276909768 804985133 /nfs/dbraw/zinc/98/51/33/804985133.db2.gz SMAQJFGQHXNQMS-OLZOCXBDSA-N 0 1 294.399 0.605 20 30 CCEDMN C#CCCCN1Cc2n[nH]c(C(=O)NCc3cnc[nH]3)c2C1 ZINC001276978653 805047060 /nfs/dbraw/zinc/04/70/60/805047060.db2.gz OBYPQGGGVSYMPL-UHFFFAOYSA-N 0 1 298.350 0.792 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)[C@H]1C ZINC001088658752 815051432 /nfs/dbraw/zinc/05/14/32/815051432.db2.gz SUGYKZJKLVIZES-YIYPIFLZSA-N 0 1 281.400 0.471 20 30 CCEDMN CC#CC[NH2+][C@@H]1CCCC[C@H]1NC(=O)CCc1nc[nH]n1 ZINC001225592200 805143583 /nfs/dbraw/zinc/14/35/83/805143583.db2.gz GHSKHMBARVQKSQ-CHWSQXEVSA-N 0 1 289.383 0.778 20 30 CCEDMN C=CCCC(=O)NC1CCN([C@H]2CCCNC2=O)CC1 ZINC001226527277 805243077 /nfs/dbraw/zinc/24/30/77/805243077.db2.gz GPFPGROULMLMKP-ZDUSSCGKSA-N 0 1 279.384 0.812 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCN([C@H]2CCCNC2=O)CC1 ZINC001226626266 805257626 /nfs/dbraw/zinc/25/76/26/805257626.db2.gz WZIZXFHTQPBYMI-JQWIXIFHSA-N 0 1 278.356 0.005 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cn(C)cn2)CC1 ZINC001226850244 805288302 /nfs/dbraw/zinc/28/83/02/805288302.db2.gz CJJPCHSJQNSPBT-UHFFFAOYSA-N 0 1 290.367 0.264 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCC1(NCC(=O)NCC#N)CC1 ZINC001277164368 805293478 /nfs/dbraw/zinc/29/34/78/805293478.db2.gz GSJBHHYMIVOPOV-CQSZACIVSA-N 0 1 292.383 0.467 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC(NC(=O)C#CC2CC2)CC1 ZINC001227298948 805342342 /nfs/dbraw/zinc/34/23/42/805342342.db2.gz GHBPDVSLQLZDNL-ZDUSSCGKSA-N 0 1 277.368 0.244 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)CCNC(=O)[C@H]1CCCN1C ZINC001075941233 815067824 /nfs/dbraw/zinc/06/78/24/815067824.db2.gz OXQGJQBATBLWHV-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN C[C@@H](CCNC(=O)[C@@H]1CCCN1C)NC(=O)C#CC1CC1 ZINC001075940838 815068421 /nfs/dbraw/zinc/06/84/21/815068421.db2.gz FBHNLEISXBZHOZ-JSGCOSHPSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)c2ccoc2)CC1 ZINC001227496624 805359723 /nfs/dbraw/zinc/35/97/23/805359723.db2.gz QIUGHHCAMVWKOF-UHFFFAOYSA-N 0 1 289.335 0.223 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2ccn(C)n2)CC1 ZINC001227577321 805368730 /nfs/dbraw/zinc/36/87/30/805368730.db2.gz IRFXLIGKNIOZFW-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN1CCC(NC(=O)CCNC(=O)[C@H]2C[C@H]2C)CC1 ZINC001227662245 805375671 /nfs/dbraw/zinc/37/56/71/805375671.db2.gz ZILZLTKXGDBUNV-OCCSQVGLSA-N 0 1 291.395 0.363 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1nc(O)cc(=O)[nH]1 ZINC001228393212 805443920 /nfs/dbraw/zinc/44/39/20/805443920.db2.gz XTVUCEVVWSDVCT-SSDOTTSWSA-N 0 1 252.226 0.222 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2n[nH]cc2F)CC1 ZINC001229048746 805500058 /nfs/dbraw/zinc/50/00/58/805500058.db2.gz LGVDGOSWCDWYHW-UHFFFAOYSA-N 0 1 294.330 0.393 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCc2cn[nH]c2)C1 ZINC001278432715 807163482 /nfs/dbraw/zinc/16/34/82/807163482.db2.gz GZQZUALXKUDGHF-CQSZACIVSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCc2ncccn2)C1 ZINC001278433093 807164984 /nfs/dbraw/zinc/16/49/84/807164984.db2.gz IRNSNAQOIOWKOC-HNNXBMFYSA-N 0 1 272.352 0.623 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC001088709072 815108876 /nfs/dbraw/zinc/10/88/76/815108876.db2.gz RHPBEGKRMSDXQG-BDAKNGLRSA-N 0 1 293.327 0.052 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(C)ccc2=O)[C@H]1C ZINC001088733235 815122863 /nfs/dbraw/zinc/12/28/63/815122863.db2.gz BPPUMJBPIJLRPK-OCCSQVGLSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCn4cncc4C3)[C@@H]2C1 ZINC001075961414 815128696 /nfs/dbraw/zinc/12/86/96/815128696.db2.gz NDILVXRSZTWTIY-OFQRWUPVSA-N 0 1 298.390 0.611 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCn4nccc43)[C@@H]2C1 ZINC001075963856 815129309 /nfs/dbraw/zinc/12/93/09/815129309.db2.gz YRILIOYJAGIUBR-SQWLQELKSA-N 0 1 298.390 0.926 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCCC(N)=O)[C@@H]1C ZINC001278533047 807567470 /nfs/dbraw/zinc/56/74/70/807567470.db2.gz MNXXXDLOJLKFFP-RYUDHWBXSA-N 0 1 265.357 0.244 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cn(C)nc1C ZINC001038114682 815134430 /nfs/dbraw/zinc/13/44/30/815134430.db2.gz SQHLVWDWKVHUDA-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCc1nc2ccccc2[nH]1 ZINC001251707676 807695216 /nfs/dbraw/zinc/69/52/16/807695216.db2.gz CFFOCFJXFARQLZ-UHFFFAOYSA-N 0 1 299.378 0.970 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1C[C@@H](O)C12CCC2 ZINC001251708177 807696734 /nfs/dbraw/zinc/69/67/34/807696734.db2.gz MEUJZWPEGVDQPW-VXGBXAGGSA-N 0 1 265.357 0.154 20 30 CCEDMN C[C@@H](NC(=O)NCC#CCN(C)C)[C@H](O)c1ccccc1 ZINC001251708153 807696969 /nfs/dbraw/zinc/69/69/69/807696969.db2.gz LXBJSQZHHURKCV-HIFRSBDPSA-N 0 1 289.379 0.973 20 30 CCEDMN CS[C@@H]1CCN(C(=O)NCC#CCN(C)C)C1 ZINC001251708687 807697084 /nfs/dbraw/zinc/69/70/84/807697084.db2.gz WYLKNHAFKJFSSD-LLVKDONJSA-N 0 1 255.387 0.698 20 30 CCEDMN Cc1ccccc1OC[C@H](O)CN[C@@H]1C(=O)N(O)C[C@@H]1C ZINC001251739881 807699424 /nfs/dbraw/zinc/69/94/24/807699424.db2.gz OGVMMFCYUWQEQM-SCRDCRAPSA-N 0 1 294.351 0.560 20 30 CCEDMN C=CCCC1(C(=O)NC[C@@H]2CN(CC(N)=O)C[C@H]2C)CC1 ZINC001102326439 815140859 /nfs/dbraw/zinc/14/08/59/815140859.db2.gz RLMXMNSLABRJOI-CHWSQXEVSA-N 0 1 293.411 0.902 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(c2cccnc2)CC1 ZINC001251832973 807714140 /nfs/dbraw/zinc/71/41/40/807714140.db2.gz WKXWNAYHLKRQON-OAHLLOKOSA-N 0 1 275.352 0.214 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(C)[C@@H](c2ccccc2)C1 ZINC001251847790 807718947 /nfs/dbraw/zinc/71/89/47/807718947.db2.gz MRMOSONNFUICMS-DLBZAZTESA-N 0 1 288.391 0.986 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@H](CO)c1ccc(OCC)nc1 ZINC001251880902 807730969 /nfs/dbraw/zinc/73/09/69/807730969.db2.gz JTQBFAHLVFBRSI-UONOGXRCSA-N 0 1 294.351 0.114 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(Br)no1 ZINC001251884844 807733481 /nfs/dbraw/zinc/73/34/81/807733481.db2.gz IGYMHKKXYKZZTN-MRVPVSSYSA-N 0 1 289.129 0.537 20 30 CCEDMN N#C[C@H]1CN(C[C@@H](O)COCc2ccccc2)CCC1=O ZINC001252283288 807801860 /nfs/dbraw/zinc/80/18/60/807801860.db2.gz DAVLWSUJPZZKNO-LSDHHAIUSA-N 0 1 288.347 0.979 20 30 CCEDMN CC(C)S(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001252445557 807861973 /nfs/dbraw/zinc/86/19/73/807861973.db2.gz CHGYMGNDMGYYCM-VIFPVBQESA-N 0 1 261.368 0.999 20 30 CCEDMN C=CCOC[C@H](O)CN1CCN(C)c2ncccc2C1 ZINC001252463719 807875991 /nfs/dbraw/zinc/87/59/91/807875991.db2.gz RNRACVRSHFWTMM-CQSZACIVSA-N 0 1 277.368 0.897 20 30 CCEDMN C=C[C@@](C)(O)CN1CCCn2cnc(COCCO)c2C1 ZINC001252550745 807908511 /nfs/dbraw/zinc/90/85/11/807908511.db2.gz PZRUYOLFSLSBEF-OAHLLOKOSA-N 0 1 295.383 0.535 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(c2nc(C)cc(OC)n2)CC1 ZINC001252556203 807909558 /nfs/dbraw/zinc/90/95/58/807909558.db2.gz DZINPWXQEKWBJY-HNNXBMFYSA-N 0 1 292.383 0.853 20 30 CCEDMN C=CCC[C@H](O)CN1CCO[C@@H]2C[C@@H](CO)C[C@@H]21 ZINC001252597272 807916878 /nfs/dbraw/zinc/91/68/78/807916878.db2.gz XPZVAJOHJRXWHP-XDQVBPFNSA-N 0 1 255.358 0.785 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cccc4ncnn43)[C@@H]2C1 ZINC001075998337 815166001 /nfs/dbraw/zinc/16/60/01/815166001.db2.gz MOTZYMLBTVHBCF-GXTWGEPZSA-N 0 1 295.346 0.509 20 30 CCEDMN C=C[C@H](O)CN1Cc2ccnn2C[C@@H](C(=O)NC(C)C)C1 ZINC001253576287 808082962 /nfs/dbraw/zinc/08/29/62/808082962.db2.gz LQUQBYYSYCNYKS-JSGCOSHPSA-N 0 1 292.383 0.386 20 30 CCEDMN C=C[C@@H](O)CN1CCN(c2cc(OC)ncn2)CC1 ZINC001253586310 808093173 /nfs/dbraw/zinc/09/31/73/808093173.db2.gz LGSNERQBMXMYQU-LLVKDONJSA-N 0 1 264.329 0.154 20 30 CCEDMN CCOC(=O)C[C@H](O)CN1CCC[C@@H](C#N)CC1 ZINC001253796772 808144915 /nfs/dbraw/zinc/14/49/15/808144915.db2.gz QFGNLMWTUZLBKI-NEPJUHHUSA-N 0 1 254.330 0.926 20 30 CCEDMN N#Cc1cccc(OCCN[C@@H]2CCS(=O)(=O)C2)c1 ZINC001254321898 808207391 /nfs/dbraw/zinc/20/73/91/808207391.db2.gz MUTBDQRTYAMTBO-GFCCVEGCSA-N 0 1 280.349 0.714 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](O)(CNC(=O)CCc2cccnc2)C1 ZINC001278792344 808258448 /nfs/dbraw/zinc/25/84/48/808258448.db2.gz XPJGSSUJQRJTLG-MRXNPFEDSA-N 0 1 287.363 0.200 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CCc2cccnc2)C1 ZINC001278792344 808258455 /nfs/dbraw/zinc/25/84/55/808258455.db2.gz XPJGSSUJQRJTLG-MRXNPFEDSA-N 0 1 287.363 0.200 20 30 CCEDMN COCC(=O)N[C@@H]1CCCN([C@@H]2CC[C@H](C#N)C2)C1 ZINC001254676042 808273353 /nfs/dbraw/zinc/27/33/53/808273353.db2.gz ILOGWAYKBMZDAC-YNEHKIRRSA-N 0 1 265.357 0.906 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CCCC(F)(F)F)C1 ZINC001278805668 808276158 /nfs/dbraw/zinc/27/61/58/808276158.db2.gz PIRLNPPUHGLWDN-LBPRGKRZSA-N 0 1 292.301 0.905 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H](C)C2CCCC2)C1 ZINC001278815435 808280281 /nfs/dbraw/zinc/28/02/81/808280281.db2.gz DKRCXANJWQCTPT-BBRMVZONSA-N 0 1 278.396 0.999 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)C1=CCCC1 ZINC001278855136 808337467 /nfs/dbraw/zinc/33/74/67/808337467.db2.gz NBAWJKRPOKUBFS-OAHLLOKOSA-N 0 1 294.395 0.498 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H]1CCCOCC1 ZINC001278855493 808338450 /nfs/dbraw/zinc/33/84/50/808338450.db2.gz QVUASZHZHHYYEN-CABCVRRESA-N 0 1 296.411 0.578 20 30 CCEDMN C#CCCCCC(=O)N(C)C[C@@H](O)CN(C)CC#C ZINC001278855581 808338512 /nfs/dbraw/zinc/33/85/12/808338512.db2.gz RYZNFCDYSGWGPE-AWEZNQCLSA-N 0 1 264.369 0.564 20 30 CCEDMN C=CCn1cnc2nc(N[C@H]3CCN(C)C3)[nH]c(=O)c21 ZINC001255427298 808364081 /nfs/dbraw/zinc/36/40/81/808364081.db2.gz RPGSMRZIIYJRKX-VIFPVBQESA-N 0 1 274.328 0.834 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C1(CCF)CC1 ZINC001278868590 808385553 /nfs/dbraw/zinc/38/55/53/808385553.db2.gz DIQGHXSFLAVFDC-CYBMUJFWSA-N 0 1 284.375 0.901 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CC(C)(C)COC ZINC001278868688 808387617 /nfs/dbraw/zinc/38/76/17/808387617.db2.gz GZTSFGIYNHZLPQ-CYBMUJFWSA-N 0 1 284.400 0.433 20 30 CCEDMN C=C(C)CCC(=O)NCC1(O)CN(CC2CCOCC2)C1 ZINC001278873023 808408932 /nfs/dbraw/zinc/40/89/32/808408932.db2.gz YHUSIQIKQKFHGN-UHFFFAOYSA-N 0 1 296.411 0.932 20 30 CCEDMN CC(C)N(CCN(C)CC#N)C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001278908457 808467044 /nfs/dbraw/zinc/46/70/44/808467044.db2.gz RMTXYCHIVFXKPH-GHMZBOCLSA-N 0 1 268.317 0.344 20 30 CCEDMN COc1ccc(CC(=O)NC2(C#N)CCN(C)CC2)nc1 ZINC001256220266 808482992 /nfs/dbraw/zinc/48/29/92/808482992.db2.gz SPTGCQJLABJEAT-UHFFFAOYSA-N 0 1 288.351 0.737 20 30 CCEDMN CCN(CCC#N)[C@@H](C)CC(=O)N1CCOCC1 ZINC001256342872 808507822 /nfs/dbraw/zinc/50/78/22/808507822.db2.gz AFOKNWSBIOHALI-LBPRGKRZSA-N 0 1 253.346 0.859 20 30 CCEDMN CC[C@@H](C)[C@@H](O)CNC(=O)NCC#CCN(C)C ZINC001256579562 808534719 /nfs/dbraw/zinc/53/47/19/808534719.db2.gz MFMXZDIWIBIBEM-NEPJUHHUSA-N 0 1 255.362 0.258 20 30 CCEDMN CO[C@H]1CCCN(C(=O)NCC#CCN(C)C)CC1 ZINC001256584978 808535821 /nfs/dbraw/zinc/53/58/21/808535821.db2.gz MHKQSDFPAIIHMD-ZDUSSCGKSA-N 0 1 267.373 0.762 20 30 CCEDMN COc1cncc(CNCCNC(=O)C#CC(C)(C)C)n1 ZINC001126915582 815230876 /nfs/dbraw/zinc/23/08/76/815230876.db2.gz FYHSRJLWUOBPJZ-UHFFFAOYSA-N 0 1 290.367 0.741 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnc4nccn4c3)[C@@H]2C1 ZINC001076041576 815236010 /nfs/dbraw/zinc/23/60/10/815236010.db2.gz LTQPOSKORSGPLT-GXTWGEPZSA-N 0 1 295.346 0.509 20 30 CCEDMN C=CCS(=O)(=O)N(CCOC)C1CCN(C)CC1 ZINC001259927242 808807362 /nfs/dbraw/zinc/80/73/62/808807362.db2.gz WAABNCMYDGJHSI-UHFFFAOYSA-N 0 1 276.402 0.545 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2cn(C)c(C)n2)cc[nH]1 ZINC001260542201 808862194 /nfs/dbraw/zinc/86/21/94/808862194.db2.gz PCMZOMWPLVBOSR-UHFFFAOYSA-N 0 1 276.321 0.328 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3n[nH]nc3C)[C@@H]2C1 ZINC001076119643 815245841 /nfs/dbraw/zinc/24/58/41/815245841.db2.gz PFMHNNOMROTUOB-NWDGAFQWSA-N 0 1 273.340 0.283 20 30 CCEDMN C=C[C@H](COC)NC[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001261437032 808977055 /nfs/dbraw/zinc/97/70/55/808977055.db2.gz RUPAZPSFYZVEKV-TZMCWYRMSA-N 0 1 299.392 0.914 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc(C(N)=O)co3)[C@@H]2C1 ZINC001076231647 815262012 /nfs/dbraw/zinc/26/20/12/815262012.db2.gz MKBSTIKRFSZYAG-CMPLNLGQSA-N 0 1 289.335 0.711 20 30 CCEDMN C[C@H]1C(=O)N(NC(=O)c2csc(C#N)c2)C(=O)N1C ZINC001262152211 809207012 /nfs/dbraw/zinc/20/70/12/809207012.db2.gz LIWJMGDHPUKAES-LURJTMIESA-N 0 1 278.293 0.547 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CC(N2CCN(C)CC2)C1 ZINC001262173246 809220874 /nfs/dbraw/zinc/22/08/74/809220874.db2.gz YOKOPFCFHFRBMB-UHFFFAOYSA-N 0 1 273.327 0.656 20 30 CCEDMN C#CCN(C(=O)c1c[nH]c(C)cc1=O)C1CCN(C)CC1 ZINC001262344999 809283711 /nfs/dbraw/zinc/28/37/11/809283711.db2.gz YASHAXWRJCTCLY-UHFFFAOYSA-N 0 1 287.363 0.853 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H]3CCC(=O)N3)[C@@H]2C1 ZINC001076354723 815282145 /nfs/dbraw/zinc/28/21/45/815282145.db2.gz IHRLGNYGYDJQTJ-BFHYXJOUSA-N 0 1 289.379 0.211 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)N1CCNC[C@H]1COC ZINC001262581495 809355866 /nfs/dbraw/zinc/35/58/66/809355866.db2.gz URDNLZOIKASYJS-NEPJUHHUSA-N 0 1 255.362 0.971 20 30 CCEDMN C#CCCCNC(=O)N1CCNC[C@H]1CCOC ZINC001262581025 809356177 /nfs/dbraw/zinc/35/61/77/809356177.db2.gz GPLKDVQWHMJPBK-GFCCVEGCSA-N 0 1 253.346 0.420 20 30 CCEDMN COc1c(F)nccc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC001263105235 809446123 /nfs/dbraw/zinc/44/61/23/809446123.db2.gz IMVMESJNOZUALZ-CBAPKCEASA-N 0 1 264.260 0.071 20 30 CCEDMN N#Cc1cccc(CN2CCC(NC(=O)CON)CC2)c1 ZINC001263151118 809460948 /nfs/dbraw/zinc/46/09/48/809460948.db2.gz SJTYNZKQMNFRAG-UHFFFAOYSA-N 0 1 288.351 0.529 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@@](O)(C(F)(F)F)C1 ZINC000383078325 809558796 /nfs/dbraw/zinc/55/87/96/809558796.db2.gz SLKYDYABXPKYNZ-IUCAKERBSA-N 0 1 252.236 0.914 20 30 CCEDMN C[C@@H]1CCC[C@](C#N)(NC(=O)[C@H]2CN3CCN2C[C@@H]3C)C1 ZINC001263732767 809560001 /nfs/dbraw/zinc/56/00/01/809560001.db2.gz PUUSQYQTIVGIHU-CTASWTNQSA-N 0 1 290.411 0.963 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001263896161 809602139 /nfs/dbraw/zinc/60/21/39/809602139.db2.gz QJIZYIQIYKXESD-FZMZJTMJSA-N 0 1 278.356 0.785 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3[nH]cnc3C)[C@@H]2C1 ZINC001076501087 815304075 /nfs/dbraw/zinc/30/40/75/815304075.db2.gz WHTVVLCMUJKOEV-GXTWGEPZSA-N 0 1 272.352 0.427 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)c1cccnn1 ZINC001264109901 809631284 /nfs/dbraw/zinc/63/12/84/809631284.db2.gz FRMQAKSWJTUHQE-UHFFFAOYSA-N 0 1 290.367 0.520 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2ccc(=O)[nH]c2)[C@H]1C ZINC001264157670 809634534 /nfs/dbraw/zinc/63/45/34/809634534.db2.gz OENSBKYEENDHSX-OCCSQVGLSA-N 0 1 287.363 0.932 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001076525291 815307338 /nfs/dbraw/zinc/30/73/38/815307338.db2.gz FKKCMRMZVBUIDL-QWHCGFSZSA-N 0 1 271.320 0.567 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2ccsn2)C1 ZINC001264633114 809668598 /nfs/dbraw/zinc/66/85/98/809668598.db2.gz VTKYQWJHZZVCPL-GFCCVEGCSA-N 0 1 293.392 0.675 20 30 CCEDMN C[C@@H](NCC#N)[C@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001265089899 809706525 /nfs/dbraw/zinc/70/65/25/809706525.db2.gz SZTZAWQYWIVJDU-ZYHUDNBSSA-N 0 1 291.355 0.622 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H]2CCO[C@@H]2C)CC1 ZINC001265264842 809734399 /nfs/dbraw/zinc/73/43/99/809734399.db2.gz FZSMZJLCXBNABE-KGLIPLIRSA-N 0 1 281.400 0.331 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](NCc2nccn2C)C1 ZINC001265470578 809785880 /nfs/dbraw/zinc/78/58/80/809785880.db2.gz MJZROGBSYMJEKP-GMTAPVOTSA-N 0 1 261.329 0.317 20 30 CCEDMN Cc1cc(CN[C@H]2C[C@@H](NC(=O)[C@H](C)C#N)C2)on1 ZINC001265532748 809798480 /nfs/dbraw/zinc/79/84/80/809798480.db2.gz FAORRHYJLGICOH-IEBDPFPHSA-N 0 1 262.313 0.880 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@@H](CNCC#N)C1 ZINC001265619896 809815282 /nfs/dbraw/zinc/81/52/82/809815282.db2.gz WCECIRWUUWDPKE-JTQLQIEISA-N 0 1 262.317 0.079 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2CC(OC)C2)[C@@H](O)C1 ZINC001083550960 815319727 /nfs/dbraw/zinc/31/97/27/815319727.db2.gz LNRSGCVYSWRKAX-TUUUFIMRSA-N 0 1 268.357 0.149 20 30 CCEDMN C=CCN(C)CCCNC(=O)CC1CS(=O)(=O)C1 ZINC001265880279 809882645 /nfs/dbraw/zinc/88/26/45/809882645.db2.gz FFINILNPNOEFPZ-UHFFFAOYSA-N 0 1 274.386 0.045 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1oncc1C ZINC001265948341 809903150 /nfs/dbraw/zinc/90/31/50/809903150.db2.gz PWJDDJHBLZZVAH-UHFFFAOYSA-N 0 1 294.355 0.337 20 30 CCEDMN N#CCSCC(=O)N1CC[C@H](CNCc2ccon2)C1 ZINC001265958638 809905344 /nfs/dbraw/zinc/90/53/44/809905344.db2.gz XYNUREVPWMPYRE-LLVKDONJSA-N 0 1 294.380 0.869 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](CNCc2nnc(C)o2)C1 ZINC001265964240 809907264 /nfs/dbraw/zinc/90/72/64/809907264.db2.gz TVBCSBJYWVECKG-LBPRGKRZSA-N 0 1 294.355 0.519 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CNC(=O)C2CC2)C1 ZINC001266205219 809962075 /nfs/dbraw/zinc/96/20/75/809962075.db2.gz MCILMINONKJVAR-GFCCVEGCSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCCN(CCn2cncn2)C1 ZINC001266214800 809965163 /nfs/dbraw/zinc/96/51/63/809965163.db2.gz GOVQFJRCLCNEFZ-AWEZNQCLSA-N 0 1 289.383 0.662 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)[C@@H](C)OCC#C)C1 ZINC001266217726 809967476 /nfs/dbraw/zinc/96/74/76/809967476.db2.gz GBAZQYBZUYIKGW-KGLIPLIRSA-N 0 1 262.353 0.629 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)[C@@H]2CC2(F)F)C1 ZINC001279478743 809982310 /nfs/dbraw/zinc/98/23/10/809982310.db2.gz CGFJERGVEZBARL-CMPLNLGQSA-N 0 1 274.311 0.771 20 30 CCEDMN COCC#CCN1CC[C@@H]2[C@H]1CCCN2C(C)=O ZINC001279530619 809985333 /nfs/dbraw/zinc/98/53/33/809985333.db2.gz WFDDNRHQDKUAKQ-ZIAGYGMSSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C3CC3)CC2)[C@@H](O)C1 ZINC001083552219 815338649 /nfs/dbraw/zinc/33/86/49/815338649.db2.gz WKYAMHXAJKMKAD-OLZOCXBDSA-N 0 1 262.353 0.361 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(F)nc2)C1 ZINC001076814839 815381067 /nfs/dbraw/zinc/38/10/67/815381067.db2.gz WYUFVFSHYZCUNL-VXGBXAGGSA-N 0 1 277.299 0.019 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)sn2)C1 ZINC001076935760 815417889 /nfs/dbraw/zinc/41/78/89/815417889.db2.gz MTYHTWDWMUYNKA-VXGBXAGGSA-N 0 1 281.381 0.803 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)COC)[C@H](OC)C1 ZINC001213171430 811086444 /nfs/dbraw/zinc/08/64/44/811086444.db2.gz HZZWCOSKVZNEQN-CHWSQXEVSA-N 0 1 282.384 0.498 20 30 CCEDMN COCC#CC[N@H+]1CC[C@H](CNC(=O)[C@@H](C)SC)C1 ZINC001267290517 811106455 /nfs/dbraw/zinc/10/64/55/811106455.db2.gz ZUJBJWOCRACMBQ-CHWSQXEVSA-N 0 1 284.425 0.826 20 30 CCEDMN COCC(=O)N(C)CCNCC#Cc1ccccc1 ZINC001267338679 811175093 /nfs/dbraw/zinc/17/50/93/811175093.db2.gz OQGLHTBAMHRLJM-UHFFFAOYSA-N 0 1 260.337 0.733 20 30 CCEDMN C#CCN[C@H](CNC(=O)Cn1cccn1)c1ccccc1 ZINC001267351054 811196179 /nfs/dbraw/zinc/19/61/79/811196179.db2.gz ONAGMMOXOFRQHX-OAHLLOKOSA-N 0 1 282.347 0.963 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CCN(C(=O)C(C)(C)C(N)=O)C[C@@H]21 ZINC001042102463 811210350 /nfs/dbraw/zinc/21/03/50/811210350.db2.gz NEGDVEXNCXFYJP-RYUDHWBXSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)C(C)(C)C(N)=O)C[C@@H]21 ZINC001042102463 811210355 /nfs/dbraw/zinc/21/03/55/811210355.db2.gz NEGDVEXNCXFYJP-RYUDHWBXSA-N 0 1 277.368 0.054 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(C#N)[nH]1 ZINC001125776323 811319114 /nfs/dbraw/zinc/31/91/14/811319114.db2.gz LQJBNCDGFRVRKS-UHFFFAOYSA-N 0 1 252.705 0.958 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001077066449 815441659 /nfs/dbraw/zinc/44/16/59/815441659.db2.gz PIDYJDOLAFMRMZ-UWVGGRQHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001077066449 815441662 /nfs/dbraw/zinc/44/16/62/815441662.db2.gz PIDYJDOLAFMRMZ-UWVGGRQHSA-N 0 1 295.343 0.020 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CCNC(=O)C=C(C)C)C1 ZINC001267502023 811381839 /nfs/dbraw/zinc/38/18/39/811381839.db2.gz OJTGPTLKZBCLFQ-AWEZNQCLSA-N 0 1 291.395 0.530 20 30 CCEDMN CC1(C)[C@H](NC(=O)c2ncn[nH]2)[C@H]2CCCN(CC#N)[C@@H]21 ZINC001087307536 811400547 /nfs/dbraw/zinc/40/05/47/811400547.db2.gz BEPHKFYZEKSAFC-MXWKQRLJSA-N 0 1 288.355 0.547 20 30 CCEDMN CC1(C)[C@H](NC(=O)c2nc[nH]n2)[C@H]2CCCN(CC#N)[C@@H]21 ZINC001087307536 811400552 /nfs/dbraw/zinc/40/05/52/811400552.db2.gz BEPHKFYZEKSAFC-MXWKQRLJSA-N 0 1 288.355 0.547 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001267582213 811480222 /nfs/dbraw/zinc/48/02/22/811480222.db2.gz IDXBUWKFZXVRAJ-CYBMUJFWSA-N 0 1 291.395 0.316 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CNC(=O)C(C)(C)C)C1 ZINC001267621934 811580299 /nfs/dbraw/zinc/58/02/99/811580299.db2.gz CHPZLGZTXWRNCI-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)CC(=O)NCC)C1 ZINC001267643554 811608933 /nfs/dbraw/zinc/60/89/33/811608933.db2.gz SIPWNZRYHGHPSN-UHFFFAOYSA-N 0 1 253.346 0.279 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccsn2)C1 ZINC001077206319 815461328 /nfs/dbraw/zinc/46/13/28/815461328.db2.gz QPVIFHMWFRPGLD-GHMZBOCLSA-N 0 1 267.354 0.494 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCC2(F)F)C1 ZINC001077203735 815461351 /nfs/dbraw/zinc/46/13/51/815461351.db2.gz JAQXDZZZUAGWCX-HBNTYKKESA-N 0 1 272.295 0.216 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnn(C)c3)[C@@H]2C1 ZINC001075533740 811616711 /nfs/dbraw/zinc/61/67/11/811616711.db2.gz FNJZHZOWBGEGJX-WCQYABFASA-N 0 1 258.325 0.200 20 30 CCEDMN CC#CC[NH+]1CC(CNC(=O)C[N@@H+](C)C2CCC2)C1 ZINC001267684155 811650147 /nfs/dbraw/zinc/65/01/47/811650147.db2.gz WZWYQPUEYNMSRP-UHFFFAOYSA-N 0 1 263.385 0.542 20 30 CCEDMN C#CCN1C[C@@H](O)[C@H](NC(=O)c2ccc3cncn3c2C)C1 ZINC001077276304 815470699 /nfs/dbraw/zinc/47/06/99/815470699.db2.gz WTZIQTRGAUUGNS-HUUCEWRRSA-N 0 1 298.346 0.051 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cncn2C)C1 ZINC001267745273 811731860 /nfs/dbraw/zinc/73/18/60/811731860.db2.gz YOQLRRLFVQOGNS-GFCCVEGCSA-N 0 1 278.356 0.427 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC[C@H]1CC[N@@H+]1CC(=C)C ZINC001038369931 811839253 /nfs/dbraw/zinc/83/92/53/811839253.db2.gz YAWDNNSPWOFYBY-CABCVRRESA-N 0 1 275.396 0.851 20 30 CCEDMN C#CCCCC(=O)N1CC(n2cc(CNCC)nn2)C1 ZINC001105225029 811867596 /nfs/dbraw/zinc/86/75/96/811867596.db2.gz IBWSAPPRVFYWEP-UHFFFAOYSA-N 0 1 275.356 0.574 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCn3cncn3)[C@@H]2C1 ZINC001075555710 811932880 /nfs/dbraw/zinc/93/28/80/811932880.db2.gz KTRHHZMCRBYJRB-UONOGXRCSA-N 0 1 287.367 0.224 20 30 CCEDMN C[C@@H](CNCC#N)CNC(=O)c1ccc2[nH]nnc2c1 ZINC001268012741 811950489 /nfs/dbraw/zinc/95/04/89/811950489.db2.gz PZYBDBCGBWZILR-VIFPVBQESA-N 0 1 272.312 0.437 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cncc(C#C)c1 ZINC001038685382 811961386 /nfs/dbraw/zinc/96/13/86/811961386.db2.gz SUHOCCMNLSJARL-OAHLLOKOSA-N 0 1 267.332 0.890 20 30 CCEDMN O=C(NCCNCC#Cc1ccc(F)cc1)c1cncnc1 ZINC001126093320 812008461 /nfs/dbraw/zinc/00/84/61/812008461.db2.gz BUJLMGSBXNGUCV-UHFFFAOYSA-N 0 1 298.321 0.987 20 30 CCEDMN Cc1cc(C(=O)N(C)CCN(C)c2ccnc(C#N)n2)n[nH]1 ZINC001105340307 812051045 /nfs/dbraw/zinc/05/10/45/812051045.db2.gz SHDQZBWBDZCOCL-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001027887953 812118637 /nfs/dbraw/zinc/11/86/37/812118637.db2.gz AZYMFRATWOXULH-FRRDWIJNSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001027986725 812195349 /nfs/dbraw/zinc/19/53/49/812195349.db2.gz DNXVWQKEDIOWJR-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(OC)n(C)n1 ZINC001028015888 812214416 /nfs/dbraw/zinc/21/44/16/812214416.db2.gz MQODTCMPOODLDC-LLVKDONJSA-N 0 1 278.356 0.809 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cn(C)nc1OC ZINC001028069603 812245505 /nfs/dbraw/zinc/24/55/05/812245505.db2.gz MIYHGBZNZPDROF-GFCCVEGCSA-N 0 1 290.367 0.646 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnc(C)n1C ZINC001028102062 812276996 /nfs/dbraw/zinc/27/69/96/812276996.db2.gz WPSOUXVULMGHEN-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001028139306 812306973 /nfs/dbraw/zinc/30/69/73/812306973.db2.gz KJLBIEUCTOITRZ-FRRDWIJNSA-N 0 1 277.368 0.102 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H](C)OCC1CC1 ZINC001268231831 812395977 /nfs/dbraw/zinc/39/59/77/812395977.db2.gz GOHKMWMQTDPACV-CQSZACIVSA-N 0 1 296.411 0.842 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c[nH]nc2C(C)C)[C@@H](O)C1 ZINC001083596139 815523640 /nfs/dbraw/zinc/52/36/40/815523640.db2.gz JLSDWIHCVDUAGF-OLZOCXBDSA-N 0 1 290.367 0.331 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C[C@@H]1CCC(=O)N1 ZINC001268253269 812419051 /nfs/dbraw/zinc/41/90/51/812419051.db2.gz OFVSGPVRBZBBPS-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN C=CCCCN1CC(NC(=O)CCn2ccnn2)C1 ZINC001268280834 812442106 /nfs/dbraw/zinc/44/21/06/812442106.db2.gz NLVLXMZLVQPVNO-UHFFFAOYSA-N 0 1 263.345 0.435 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001028248670 812492225 /nfs/dbraw/zinc/49/22/25/812492225.db2.gz AXZKVAJTYIKKAD-STQMWFEESA-N 0 1 286.379 0.728 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001028248670 812492230 /nfs/dbraw/zinc/49/22/30/812492230.db2.gz AXZKVAJTYIKKAD-STQMWFEESA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(=O)[nH]c1 ZINC001038983610 812527865 /nfs/dbraw/zinc/52/78/65/812527865.db2.gz ATKMYIPZRUAWPH-GFCCVEGCSA-N 0 1 259.309 0.615 20 30 CCEDMN C[C@]1(C#N)CN(S(=O)(=O)c2ccccc2O)CCO1 ZINC000907499015 815537916 /nfs/dbraw/zinc/53/79/16/815537916.db2.gz WIYXSCSNUXEIFI-LBPRGKRZSA-N 0 1 282.321 0.695 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cccnc1C(N)=O ZINC001028330306 812625272 /nfs/dbraw/zinc/62/52/72/812625272.db2.gz FDHPIJRGHXRBFT-NSHDSACASA-N 0 1 288.351 0.561 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c[nH]c3ccccc23)[C@@H](O)C1 ZINC001083612523 815541593 /nfs/dbraw/zinc/54/15/93/815541593.db2.gz XTVLQESMSIUGDB-CVEARBPZSA-N 0 1 297.358 0.966 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001028334676 812626761 /nfs/dbraw/zinc/62/67/61/812626761.db2.gz GLHOGPQWIMHETI-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001028334676 812626766 /nfs/dbraw/zinc/62/67/66/812626766.db2.gz GLHOGPQWIMHETI-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cc(N(C)C)ccn1 ZINC001028342789 812631671 /nfs/dbraw/zinc/63/16/71/812631671.db2.gz GJGHWQHQSXAAHO-CQSZACIVSA-N 0 1 286.379 0.975 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@@H](C)CN2CCOCC2)C1 ZINC001268485345 812665376 /nfs/dbraw/zinc/66/53/76/812665376.db2.gz QPJMQOXZWZUDTD-ZDUSSCGKSA-N 0 1 281.400 0.331 20 30 CCEDMN C=CC[NH2+]CCN(CC)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001268491107 812684770 /nfs/dbraw/zinc/68/47/70/812684770.db2.gz LCYATNLRSVNZRQ-UHFFFAOYSA-N 0 1 296.327 0.134 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)c1cc(C)on1 ZINC001268510564 812744136 /nfs/dbraw/zinc/74/41/36/812744136.db2.gz MLPRSOPISOQABM-SNAWJCMRSA-N 0 1 292.339 0.161 20 30 CCEDMN CCN(CC)C(=O)CNC/C=C\CNC(=O)C#CC1CC1 ZINC001268536625 812780296 /nfs/dbraw/zinc/78/02/96/812780296.db2.gz LVXMKELUYVHKNZ-WAYWQWQTSA-N 0 1 291.395 0.530 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CC=CCC2)[C@@H](O)C1 ZINC001083336499 812884341 /nfs/dbraw/zinc/88/43/41/812884341.db2.gz ZJRPHVOUWPCZMH-MJBXVCDLSA-N 0 1 262.353 0.527 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)[C@H](C)n2cccn2)[C@@H](O)C1 ZINC001083346889 812895510 /nfs/dbraw/zinc/89/55/10/812895510.db2.gz DSBMHDCKHONUDO-XQQFMLRXSA-N 0 1 278.356 0.182 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001028592204 812910570 /nfs/dbraw/zinc/91/05/70/812910570.db2.gz ZKVVDYGDRAPSNR-ZIAGYGMSSA-N 0 1 298.390 0.653 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCOC2)[C@@H](O)C1 ZINC001083362518 812910921 /nfs/dbraw/zinc/91/09/21/812910921.db2.gz LOVJAPCEEBEFDQ-UPJWGTAASA-N 0 1 268.357 0.150 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H](C)CNCc1snnc1C ZINC001268646488 812974362 /nfs/dbraw/zinc/97/43/62/812974362.db2.gz UPQTZRFXXSHWAA-KOLCDFICSA-N 0 1 296.396 0.479 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CN(C)CCN1CCNC1=O ZINC001268730265 813045131 /nfs/dbraw/zinc/04/51/31/813045131.db2.gz NHEMTKPSNWFEEU-CYBMUJFWSA-N 0 1 294.399 0.108 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCc1cnn(C)c1C ZINC001268732785 813050229 /nfs/dbraw/zinc/05/02/29/813050229.db2.gz OOQMFCVBWRSPOT-GFCCVEGCSA-N 0 1 276.384 0.731 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCc1cnn(C)n1 ZINC001268748580 813058825 /nfs/dbraw/zinc/05/88/25/813058825.db2.gz ZQJRECYSPAXRMC-GFCCVEGCSA-N 0 1 277.372 0.208 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CNC(=O)c1ccccc1 ZINC001268755303 813066633 /nfs/dbraw/zinc/06/66/33/813066633.db2.gz VNRMYNNSVXRMHD-ZDUSSCGKSA-N 0 1 287.363 0.486 20 30 CCEDMN CC#CC[N@@H+](C)C[C@H](C)NC(=O)c1cc(C(N)=O)cs1 ZINC001268760869 813073283 /nfs/dbraw/zinc/07/32/83/813073283.db2.gz YDECSGONFORNFC-JTQLQIEISA-N 0 1 293.392 0.920 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc(C(N)=O)cs1 ZINC001268760869 813073289 /nfs/dbraw/zinc/07/32/89/813073289.db2.gz YDECSGONFORNFC-JTQLQIEISA-N 0 1 293.392 0.920 20 30 CCEDMN COCC#CC[NH+]1CC(CNC(=O)C[N@H+](C)C2CCC2)C1 ZINC001268838731 813110077 /nfs/dbraw/zinc/11/00/77/813110077.db2.gz UPKHUZJKILDWAG-UHFFFAOYSA-N 0 1 293.411 0.169 20 30 CCEDMN C=C(C)CN1C(=O)COCC12CN(C(=O)c1cnc[nH]1)C2 ZINC001268870162 813125701 /nfs/dbraw/zinc/12/57/01/813125701.db2.gz MQCCHDZTZRJHNT-UHFFFAOYSA-N 0 1 290.323 0.039 20 30 CCEDMN CCCN1C[C@@H]2C[C@H]1CN2C(=O)CSCC#N ZINC001268926616 813147392 /nfs/dbraw/zinc/14/73/92/813147392.db2.gz LFHDMWJKMWDULC-QWRGUYRKSA-N 0 1 253.371 0.938 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001269019442 813179836 /nfs/dbraw/zinc/17/98/36/813179836.db2.gz YNIPUNVFPPYYNM-SMDDNHRTSA-N 0 1 293.371 0.131 20 30 CCEDMN N#CCCC(=O)NC12CC(C(=O)NCc3cnc[nH]3)(C1)C2 ZINC001269024530 813180579 /nfs/dbraw/zinc/18/05/79/813180579.db2.gz OVVFFZFJANUHEM-UHFFFAOYSA-N 0 1 287.323 0.369 20 30 CCEDMN N#CCCC(=O)N1Cc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001269025301 813181811 /nfs/dbraw/zinc/18/18/11/813181811.db2.gz LYHCJKABFNCGBC-UHFFFAOYSA-N 0 1 285.307 0.568 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCOC[C@H]2CCCO2)C1 ZINC001269143038 813238573 /nfs/dbraw/zinc/23/85/73/813238573.db2.gz VRQOIIXYGPDRCL-HUUCEWRRSA-N 0 1 294.395 0.786 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2C(=O)c2[nH]nnc2C)C1=O ZINC001269351772 813334207 /nfs/dbraw/zinc/33/42/07/813334207.db2.gz RZZANLUVAXRNJK-CQSZACIVSA-N 0 1 289.339 0.506 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H](COC)OC)C1 ZINC001269345062 813335264 /nfs/dbraw/zinc/33/52/64/813335264.db2.gz JDLYXTGYCTUFEE-KBPBESRZSA-N 0 1 282.384 0.594 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)c2ccon2)CC1 ZINC001269379372 813348986 /nfs/dbraw/zinc/34/89/86/813348986.db2.gz IQVSZVPMYFKFOA-JTQLQIEISA-N 0 1 292.339 0.217 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)C=C2CCC2)CC1 ZINC001269398296 813356668 /nfs/dbraw/zinc/35/66/68/813356668.db2.gz KNIJUWARGRLRSS-GFCCVEGCSA-N 0 1 289.379 0.473 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2CCC(=O)N2)C1 ZINC001269764623 813499009 /nfs/dbraw/zinc/49/90/09/813499009.db2.gz ZYRJETURCKNTRJ-GFCCVEGCSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCOCCN1CC(N(C)C(=O)[C@H]2CCCCN2C)C1 ZINC001269812698 813518216 /nfs/dbraw/zinc/51/82/16/813518216.db2.gz PTNUSRXTNOEUSV-OAHLLOKOSA-N 0 1 295.427 0.816 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC[C@H]1CCN(CC#N)C1 ZINC001028933859 813674228 /nfs/dbraw/zinc/67/42/28/813674228.db2.gz VAGIHCYLPZMYQN-GFCCVEGCSA-N 0 1 287.367 0.723 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)C#Cc1ccncc1)C2 ZINC001270336816 813723406 /nfs/dbraw/zinc/72/34/06/813723406.db2.gz XCWGLXZYIJXPGW-KGLIPLIRSA-N 0 1 255.321 0.596 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](CNC(=O)[C@@H]2C[NH+](CC)CCO2)C1 ZINC001028996329 813736787 /nfs/dbraw/zinc/73/67/87/813736787.db2.gz DWQIJTPHOJOLQE-GJZGRUSLSA-N 0 1 293.411 0.169 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCn1ccnc1 ZINC001270506989 813789137 /nfs/dbraw/zinc/78/91/37/813789137.db2.gz FWBNNBOXWOGORQ-MCIONIFRSA-N 0 1 287.367 0.718 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H](C)NC(C)=O)C1 ZINC001270538533 813801075 /nfs/dbraw/zinc/80/10/75/813801075.db2.gz QCBIBAHUQMCKKK-BXUZGUMPSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cncc(F)c2)[C@@H](O)C1 ZINC001083402351 813844574 /nfs/dbraw/zinc/84/45/74/813844574.db2.gz BHGIYSXONFXCSR-OLZOCXBDSA-N 0 1 277.299 0.019 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCCn2cncn2)C1 ZINC001270643271 813864883 /nfs/dbraw/zinc/86/48/83/813864883.db2.gz UTGOWRMVRCFHGC-CQSZACIVSA-N 0 1 275.356 0.272 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@H](C)CC(N)=O)C1 ZINC001270666126 813880806 /nfs/dbraw/zinc/88/08/06/813880806.db2.gz RVSUZJVGMIJRBH-CHWSQXEVSA-N 0 1 297.399 0.281 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)n2cccc2)[C@@H](O)C1 ZINC001083408957 813952885 /nfs/dbraw/zinc/95/28/85/813952885.db2.gz GQFOKRFEOOUFGX-MCIONIFRSA-N 0 1 275.352 0.234 20 30 CCEDMN Cc1cc(N[C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)c(C#N)cn1 ZINC001059276898 814052494 /nfs/dbraw/zinc/05/24/94/814052494.db2.gz ODRDXNRCVXSCBK-XYPYZODXSA-N 0 1 297.322 0.175 20 30 CCEDMN Cc1cc(N[C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)c(C#N)cn1 ZINC001059276898 814052496 /nfs/dbraw/zinc/05/24/96/814052496.db2.gz ODRDXNRCVXSCBK-XYPYZODXSA-N 0 1 297.322 0.175 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nc2C)[C@H]1CC ZINC001087521282 814054379 /nfs/dbraw/zinc/05/43/79/814054379.db2.gz VIFKRVIDAYDHSL-UONOGXRCSA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)/C=C/c2ccco2)C1 ZINC001271108355 814153716 /nfs/dbraw/zinc/15/37/16/814153716.db2.gz BKNPMQNDMFXQFA-LLYBFZRZSA-N 0 1 274.320 0.479 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@@H](C)n2cccn2)C1 ZINC001271120793 814163807 /nfs/dbraw/zinc/16/38/07/814163807.db2.gz OHQPLBVULLWCLW-HIFRSBDPSA-N 0 1 290.367 0.020 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)C2=NC(=O)N(C)C2)CCN1CC#N ZINC001087713459 814195374 /nfs/dbraw/zinc/19/53/74/814195374.db2.gz OMJREVKETQZBQG-GXSJLCMTSA-N 0 1 277.328 0.232 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1C[C@H]2CCN(CC#N)C[C@H]2C1 ZINC001087908776 814267972 /nfs/dbraw/zinc/26/79/72/814267972.db2.gz NDTKISSZSPXUQS-RDBSUJKOSA-N 0 1 276.384 0.384 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2C[C@@]2(C(=O)NCc2cnc[nH]2)C1 ZINC001271220281 814339827 /nfs/dbraw/zinc/33/98/27/814339827.db2.gz GBUUKBNNGYIXJQ-IMSIIYSGSA-N 0 1 287.323 0.034 20 30 CCEDMN C[C@@H](C#N)C(=O)N1Cc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001271222436 814342266 /nfs/dbraw/zinc/34/22/66/814342266.db2.gz YSEKQXYUOCOOBZ-VIFPVBQESA-N 0 1 285.307 0.424 20 30 CCEDMN CN1CC[C@@]2(CCN(C(=O)c3ccc(C#N)cc3O)C2)C1=O ZINC001271838418 814605136 /nfs/dbraw/zinc/60/51/36/814605136.db2.gz NCHHHFQIDQQYHN-INIZCTEOSA-N 0 1 299.330 0.958 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@]2(CO)CCCN(CC#N)C2)c1C ZINC001271948496 814643219 /nfs/dbraw/zinc/64/32/19/814643219.db2.gz APTWCXXDPMGUGY-CQSZACIVSA-N 0 1 291.355 0.107 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)Cc2cnc(C)o2)C1 ZINC001271964105 814654160 /nfs/dbraw/zinc/65/41/60/814654160.db2.gz ADWLGICQQYPGMU-HNNXBMFYSA-N 0 1 293.367 0.655 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H](C)n1cccn1 ZINC001271969405 814656745 /nfs/dbraw/zinc/65/67/45/814656745.db2.gz JDFZEOLBRLGYSP-AWEZNQCLSA-N 0 1 292.383 0.484 20 30 CCEDMN CN1CC2(C1)CN(C(=O)COc1cccc(C#N)c1)C2 ZINC001271976496 814658773 /nfs/dbraw/zinc/65/87/73/814658773.db2.gz VEPKAMJGGXMVBD-UHFFFAOYSA-N 0 1 271.320 0.711 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)c2n[nH]cc2F)C1 ZINC001271984211 814663368 /nfs/dbraw/zinc/66/33/68/814663368.db2.gz ZXWNJPCDEGDZGI-AWEZNQCLSA-N 0 1 296.346 0.682 20 30 CCEDMN CCOC1CC(C(=O)N(C)CCN(C)CC#CCOC)C1 ZINC001272005641 814700876 /nfs/dbraw/zinc/70/08/76/814700876.db2.gz VACVPKLDPUKBFF-UHFFFAOYSA-N 0 1 296.411 0.842 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001059692393 814720163 /nfs/dbraw/zinc/72/01/63/814720163.db2.gz ORTKBTGOEKFMNL-AOOOYVTPSA-N 0 1 283.295 0.444 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001059692393 814720167 /nfs/dbraw/zinc/72/01/67/814720167.db2.gz ORTKBTGOEKFMNL-AOOOYVTPSA-N 0 1 283.295 0.444 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2nnc(C)[nH]2)[C@H]1C ZINC001088560855 814901858 /nfs/dbraw/zinc/90/18/58/814901858.db2.gz MFCUNDDYDLUPQP-PWSUYJOCSA-N 0 1 275.356 0.258 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@@H](CC)C2=O)CC1 ZINC000348908005 815636995 /nfs/dbraw/zinc/63/69/95/815636995.db2.gz HHXAEBMERSVPIF-NSHDSACASA-N 0 1 251.330 0.967 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cn(C)nc1CC ZINC001038385837 815638409 /nfs/dbraw/zinc/63/84/09/815638409.db2.gz RNEMGIKUMXOLND-GFCCVEGCSA-N 0 1 274.368 0.810 20 30 CCEDMN COc1cc(CN2C[C@@H](O)C[C@]2(C)CO)ccc1C#N ZINC000698217676 815718307 /nfs/dbraw/zinc/71/83/07/815718307.db2.gz XZTUQDIPFQBPSX-DZGCQCFKSA-N 0 1 276.336 0.884 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc3c2ncn3C)[C@H]1C ZINC001088849645 816070534 /nfs/dbraw/zinc/07/05/34/816070534.db2.gz ALXGMVAVCGNSBF-YPMHNXCESA-N 0 1 297.362 0.794 20 30 CCEDMN N#Cc1ccc(CN2CC(NC(=O)c3cnn[nH]3)C2)cc1 ZINC001030600668 816139517 /nfs/dbraw/zinc/13/95/17/816139517.db2.gz IELPKXWOGWDDHO-UHFFFAOYSA-N 0 1 282.307 0.291 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@H]1C ZINC001089005125 816209891 /nfs/dbraw/zinc/20/98/91/816209891.db2.gz UPUTYGLCDJZLHG-YPMHNXCESA-N 0 1 285.351 0.878 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccnc2C(N)=O)[C@H]1C ZINC001089055717 816226024 /nfs/dbraw/zinc/22/60/24/816226024.db2.gz YWKWREJPXUGTPR-PWSUYJOCSA-N 0 1 288.351 0.559 20 30 CCEDMN C#Cc1ccc(C(=O)NC2CN(Cc3n[nH]c(C)n3)C2)cc1 ZINC001030776889 816282721 /nfs/dbraw/zinc/28/27/21/816282721.db2.gz FIVBZMYSDUVDDT-UHFFFAOYSA-N 0 1 295.346 0.709 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CCNCc2cnon2)C1 ZINC001272384251 816379330 /nfs/dbraw/zinc/37/93/30/816379330.db2.gz NZIFXRHOXKQFAZ-QWRGUYRKSA-N 0 1 277.328 0.557 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@](C)(c2ccccc2)C1 ZINC001121875249 816464713 /nfs/dbraw/zinc/46/47/13/816464713.db2.gz MQUUSPDRDRJENG-INIZCTEOSA-N 0 1 272.348 0.983 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CCC1CCOCC1)C2 ZINC001272515927 816540824 /nfs/dbraw/zinc/54/08/24/816540824.db2.gz ZMYFFKKTIZODJC-UHFFFAOYSA-N 0 1 292.379 0.350 20 30 CCEDMN C=C(C)CN1CC[C@@]2(CCCN2C[C@H]2CCC(=O)N2)C1=O ZINC001272525270 816548792 /nfs/dbraw/zinc/54/87/92/816548792.db2.gz QVGVSNRGFULBND-CJNGLKHVSA-N 0 1 291.395 0.908 20 30 CCEDMN CN(C)C(=O)CN1CC[C@@H]2[C@H]1CCC(=O)N2CCCC#N ZINC001272534772 816557416 /nfs/dbraw/zinc/55/74/16/816557416.db2.gz OHOYPAHXOLXLNI-CHWSQXEVSA-N 0 1 292.383 0.444 20 30 CCEDMN CC#CC[N@H+]1CC[C@](C)(NC(=O)[C@H]2CN(C)C[C@@H](C)O2)C1 ZINC001046414190 816570915 /nfs/dbraw/zinc/57/09/15/816570915.db2.gz GPVXZQFQNRDVPH-FMKPAKJESA-N 0 1 293.411 0.309 20 30 CCEDMN N#CCN1CC(NC(=O)c2nnc3ccccc3c2O)C1 ZINC001031034969 816586774 /nfs/dbraw/zinc/58/67/74/816586774.db2.gz HITVOUIJKSETFY-UHFFFAOYSA-N 0 1 283.291 0.273 20 30 CCEDMN C#CCN1C(=O)C[C@]2(CCCN(Cc3cccn3C)C2)C1=O ZINC001272573061 816598779 /nfs/dbraw/zinc/59/87/79/816598779.db2.gz VZZUPFPGASXPRS-KRWDZBQOSA-N 0 1 299.374 0.999 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H](C)C1CC1 ZINC000176523530 816634822 /nfs/dbraw/zinc/63/48/22/816634822.db2.gz OOAGTSIAWWDMKW-MWLCHTKSSA-N 0 1 252.314 0.894 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)co2)C1 ZINC001077538210 816753346 /nfs/dbraw/zinc/75/33/46/816753346.db2.gz WPWFCQTVCZYJEM-VXGBXAGGSA-N 0 1 264.325 0.939 20 30 CCEDMN Cc1cc(NCC[C@@H](C)NC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001106412587 816762277 /nfs/dbraw/zinc/76/22/77/816762277.db2.gz PKWCVPPAZDWPEH-SECBINFHSA-N 0 1 299.338 0.422 20 30 CCEDMN Cc1cc(NCC[C@@H](C)NC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001106412587 816762283 /nfs/dbraw/zinc/76/22/83/816762283.db2.gz PKWCVPPAZDWPEH-SECBINFHSA-N 0 1 299.338 0.422 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCNC(=O)COC ZINC001235004376 816793197 /nfs/dbraw/zinc/79/31/97/816793197.db2.gz IHRSZVCTUMKAOC-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN C[C@@H](CCNc1ccnc(C#N)n1)NC(=O)Cc1cnc[nH]1 ZINC001106597909 816819225 /nfs/dbraw/zinc/81/92/25/816819225.db2.gz BKQFHUFMYZHEIH-JTQLQIEISA-N 0 1 299.338 0.043 20 30 CCEDMN CN1C[C@]2(CCN(Cc3cccc(C#N)c3)C2)OCC1=O ZINC001272650683 816933850 /nfs/dbraw/zinc/93/38/50/816933850.db2.gz BJPUHEKEFCXWQL-INIZCTEOSA-N 0 1 285.347 0.991 20 30 CCEDMN CCN(CCNc1ncccc1C#N)C(=O)Cc1ncn[nH]1 ZINC001106838395 816945521 /nfs/dbraw/zinc/94/55/21/816945521.db2.gz HTEDEPRZQCNBBW-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnn(C)c2C)C1 ZINC001031550213 817126930 /nfs/dbraw/zinc/12/69/30/817126930.db2.gz OSZPWMHVGOGOAK-UHFFFAOYSA-N 0 1 262.357 0.966 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)NC[C@@H](C)N2CCN(C)CC2)C1 ZINC001123885260 817154147 /nfs/dbraw/zinc/15/41/47/817154147.db2.gz DABFKZVNNKVHJQ-HUUCEWRRSA-N 0 1 292.427 0.677 20 30 CCEDMN CC(C)NCc1cn([C@H]2CCN(C(=O)[C@@H](C)C#N)C2)nn1 ZINC001089581010 817208697 /nfs/dbraw/zinc/20/86/97/817208697.db2.gz YNUIMLGBHCPMJW-AAEUAGOBSA-N 0 1 290.371 0.709 20 30 CCEDMN C#CC[N@H+]1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC001089620957 817275243 /nfs/dbraw/zinc/27/52/43/817275243.db2.gz JFQXKCZDIWOPOG-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC001089620957 817275247 /nfs/dbraw/zinc/27/52/47/817275247.db2.gz JFQXKCZDIWOPOG-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN COC(=O)[C@H]1CN(Cc2ccc(C#N)cc2[O-])C[C@@H]1[NH3+] ZINC001236349802 817278393 /nfs/dbraw/zinc/27/83/93/817278393.db2.gz HOQLYTWGWKBTRT-RYUDHWBXSA-N 0 1 275.308 0.196 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001031717224 817282719 /nfs/dbraw/zinc/28/27/19/817282719.db2.gz HSCVKXHAUSXQPU-UHFFFAOYSA-N 0 1 286.339 0.591 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1COC(=O)N1 ZINC001089637242 817287686 /nfs/dbraw/zinc/28/76/86/817287686.db2.gz KYXYAVMRLWOKDI-RYUDHWBXSA-N 0 1 293.367 0.335 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)NC(=O)CC)[C@H](OC)C1 ZINC001212312997 817364631 /nfs/dbraw/zinc/36/46/31/817364631.db2.gz AZTIDCLRTBZUJW-JHJVBQTASA-N 0 1 297.399 0.293 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc(CN(C)C)on2)C1 ZINC001031800279 817372722 /nfs/dbraw/zinc/37/27/22/817372722.db2.gz YUUZASCUOQYGHC-UHFFFAOYSA-N 0 1 292.383 0.974 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ncnc3[nH]ccc32)C1 ZINC001031817008 817390912 /nfs/dbraw/zinc/39/09/12/817390912.db2.gz YQLJKIFAKNCSBC-UHFFFAOYSA-N 0 1 283.335 0.594 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CC(=O)N[C@@H](CC)C2)C1 ZINC001031871315 817433871 /nfs/dbraw/zinc/43/38/71/817433871.db2.gz WAVPNHVOOAWSDX-OLZOCXBDSA-N 0 1 279.384 0.525 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C[C@H]2COC(=O)C2)CC1 ZINC001127702797 817468777 /nfs/dbraw/zinc/46/87/77/817468777.db2.gz HSSNOQGXNQMUMF-SNVBAGLBSA-N 0 1 265.313 0.044 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCNCc1cnnn1CC ZINC001124488630 817487994 /nfs/dbraw/zinc/48/79/94/817487994.db2.gz SLBPFQZFWZKBPC-LBPRGKRZSA-N 0 1 295.387 0.485 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@@H]1CCOC1 ZINC001124494058 817490009 /nfs/dbraw/zinc/49/00/09/817490009.db2.gz DLFPRPOOMPVJGS-OAHLLOKOSA-N 0 1 272.348 0.780 20 30 CCEDMN C=CCCC(=O)NCCNCC(=O)N(C)c1nccs1 ZINC001124640740 817531739 /nfs/dbraw/zinc/53/17/39/817531739.db2.gz PEHDJCJCBGBOEY-UHFFFAOYSA-N 0 1 296.396 0.778 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(C)nc(C)n2)C1 ZINC001032050599 817581586 /nfs/dbraw/zinc/58/15/86/817581586.db2.gz CQMZLEVBUHLBTK-UHFFFAOYSA-N 0 1 260.341 0.941 20 30 CCEDMN Cc1ccccc1NC(=O)CNCCNC(=O)[C@H](C)C#N ZINC001124888403 817616071 /nfs/dbraw/zinc/61/60/71/817616071.db2.gz JBVAPLZNLDNCGT-GFCCVEGCSA-N 0 1 288.351 0.799 20 30 CCEDMN Cc1nc([C@H](C)NCCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001124896213 817621929 /nfs/dbraw/zinc/62/19/29/817621929.db2.gz NFYAQMYXBQXTCC-YUMQZZPRSA-N 0 1 250.306 0.040 20 30 CCEDMN Cc1nc(C)c(CNCCNC(=O)[C@@H](C)C#N)o1 ZINC001124896683 817623506 /nfs/dbraw/zinc/62/35/06/817623506.db2.gz PETZTUHZQBYDOZ-QMMMGPOBSA-N 0 1 250.302 0.657 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cccn(C)c2=O)C1 ZINC001032136094 817662568 /nfs/dbraw/zinc/66/25/68/817662568.db2.gz BUEUWJRXNQOUIU-UHFFFAOYSA-N 0 1 275.352 0.623 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ncccc2OC)C1 ZINC001032152084 817665240 /nfs/dbraw/zinc/66/52/40/817665240.db2.gz FJCKKYQUUADGPT-UHFFFAOYSA-N 0 1 273.336 0.775 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCc3nnc(C)n3C2)C1 ZINC001032202701 817696993 /nfs/dbraw/zinc/69/69/93/817696993.db2.gz SCOLMKAYBYLGEB-ZDUSSCGKSA-N 0 1 289.383 0.383 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2C[C@@H]3C[C@H]2CN3CCCO)c1 ZINC001032337249 817901641 /nfs/dbraw/zinc/90/16/41/817901641.db2.gz UOAZRZDCMCRYLZ-RYUDHWBXSA-N 0 1 274.324 0.167 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2cnns2)C1 ZINC001107773051 818000114 /nfs/dbraw/zinc/00/01/14/818000114.db2.gz GWNUERHNDLDYTC-CYBMUJFWSA-N 0 1 296.396 0.935 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2ccnn2C)C1 ZINC001107798987 818015287 /nfs/dbraw/zinc/01/52/87/818015287.db2.gz WNCBBIQGSYSWEN-HNNXBMFYSA-N 0 1 292.383 0.817 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2CC(C)C)C1 ZINC001077702059 818030666 /nfs/dbraw/zinc/03/06/66/818030666.db2.gz BIKUVTNLJYRTJG-KBUPBQIOSA-N 0 1 278.396 0.853 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3ccoc3)C2)OCC1=O ZINC001272983140 818236229 /nfs/dbraw/zinc/23/62/29/818236229.db2.gz KWUAHINQFOHDST-OAHLLOKOSA-N 0 1 274.320 0.716 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2CC2)[C@@H](O)C1 ZINC001090000933 818254190 /nfs/dbraw/zinc/25/41/90/818254190.db2.gz JODIJIQFZWCAJH-STQMWFEESA-N 0 1 250.342 0.361 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CCC2)[C@@H](O)C1 ZINC001090007301 818262551 /nfs/dbraw/zinc/26/25/51/818262551.db2.gz QUUNYUDMUKXWBE-OLZOCXBDSA-N 0 1 250.342 0.361 20 30 CCEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2nccnc2N)C1 ZINC001032964693 818393358 /nfs/dbraw/zinc/39/33/58/818393358.db2.gz KCLWAZPLLRPVKD-NSHDSACASA-N 0 1 275.356 0.781 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](N(C)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033016142 818426542 /nfs/dbraw/zinc/42/65/42/818426542.db2.gz QKPZBQDSTIXDPK-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033016142 818426547 /nfs/dbraw/zinc/42/65/47/818426547.db2.gz QKPZBQDSTIXDPK-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN N#Cc1cccnc1N1CCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001061383497 818430112 /nfs/dbraw/zinc/43/01/12/818430112.db2.gz XULUPEXTSCPMSC-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cccnc1N1CCC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC001061383497 818430117 /nfs/dbraw/zinc/43/01/17/818430117.db2.gz XULUPEXTSCPMSC-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001033120403 818544755 /nfs/dbraw/zinc/54/47/55/818544755.db2.gz QTCDETSANJQKPH-KGLIPLIRSA-N 0 1 291.395 0.409 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033121963 818548543 /nfs/dbraw/zinc/54/85/43/818548543.db2.gz DIIMHPNSUIYLNH-RYUDHWBXSA-N 0 1 265.357 0.183 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033121963 818548549 /nfs/dbraw/zinc/54/85/49/818548549.db2.gz DIIMHPNSUIYLNH-RYUDHWBXSA-N 0 1 265.357 0.183 20 30 CCEDMN COc1nc(C)nc(NC(=N)N2CCN(C)CC2)c1C#N ZINC001168736868 818554021 /nfs/dbraw/zinc/55/40/21/818554021.db2.gz SBTJJPCGICAZKW-UHFFFAOYSA-N 0 1 289.343 0.259 20 30 CCEDMN C[C@H]1C[C@H](NCC#N)CCN1C(=O)CCc1cnc[nH]1 ZINC001044627259 818612748 /nfs/dbraw/zinc/61/27/48/818612748.db2.gz OMARNPYYBHWHKS-NWDGAFQWSA-N 0 1 275.356 0.835 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033202157 818647217 /nfs/dbraw/zinc/64/72/17/818647217.db2.gz FNSWMYWAQPFMRV-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033202155 818647712 /nfs/dbraw/zinc/64/77/12/818647712.db2.gz FNSWMYWAQPFMRV-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C)nc2C)[C@@H](O)C1 ZINC001090122740 818665440 /nfs/dbraw/zinc/66/54/40/818665440.db2.gz JJPOPTBFZHDWHE-STQMWFEESA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001033236370 818669092 /nfs/dbraw/zinc/66/90/92/818669092.db2.gz CMPLPBXQQJYKQK-SECBINFHSA-N 0 1 293.327 0.005 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCN(C(N)=O)C2)C1 ZINC001033250119 818675463 /nfs/dbraw/zinc/67/54/63/818675463.db2.gz WMGKTVJRJNRWIJ-OLZOCXBDSA-N 0 1 294.399 0.496 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001033275372 818687160 /nfs/dbraw/zinc/68/71/60/818687160.db2.gz MDDLARQSPNAQLG-AWEZNQCLSA-N 0 1 287.363 0.886 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001033290751 818693627 /nfs/dbraw/zinc/69/36/27/818693627.db2.gz XKOJIOOQZMQJOV-FZMZJTMJSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001033298569 818698266 /nfs/dbraw/zinc/69/82/66/818698266.db2.gz JUKXSRJIDUKYCA-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccn3nnnc3c2)C1 ZINC001033317879 818707114 /nfs/dbraw/zinc/70/71/14/818707114.db2.gz DMNVFWYCIJLVKX-GFCCVEGCSA-N 0 1 286.339 0.457 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001033325742 818710933 /nfs/dbraw/zinc/71/09/33/818710933.db2.gz ZFNMNXBYXDDVDN-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCc3nc[nH]c32)C1 ZINC001033415997 818764924 /nfs/dbraw/zinc/76/49/24/818764924.db2.gz LJKOMFZJTBOIBV-OLZOCXBDSA-N 0 1 286.379 0.996 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cn2ccc(C)n2)C1 ZINC001033437123 818777631 /nfs/dbraw/zinc/77/76/31/818777631.db2.gz DPMMLIZPOIFWEK-ZDUSSCGKSA-N 0 1 262.357 0.910 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001033436571 818783698 /nfs/dbraw/zinc/78/36/98/818783698.db2.gz ZMYASOQSLKYAEF-NSHDSACASA-N 0 1 289.335 0.575 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(N(C)C)nn2)C1 ZINC001033590349 818841363 /nfs/dbraw/zinc/84/13/63/818841363.db2.gz RSEHXVKOTYLOHK-LBPRGKRZSA-N 0 1 289.383 0.875 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CN2CCCCCC2=O)C1 ZINC001033644141 818866976 /nfs/dbraw/zinc/86/69/76/818866976.db2.gz IMHJPMUMAXAALX-CQSZACIVSA-N 0 1 291.395 0.555 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2c(C)noc2C)C1 ZINC001033658916 818881703 /nfs/dbraw/zinc/88/17/03/818881703.db2.gz XUDLEVAEJBYUBQ-CYBMUJFWSA-N 0 1 275.352 1.000 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033790354 818940517 /nfs/dbraw/zinc/94/05/17/818940517.db2.gz BVQXMBMSTZGVHE-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033790354 818940523 /nfs/dbraw/zinc/94/05/23/818940523.db2.gz BVQXMBMSTZGVHE-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033862553 818972723 /nfs/dbraw/zinc/97/27/23/818972723.db2.gz HBNSXVRYKVXSNO-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CC[C@@H](C(N)=O)O2)C1 ZINC001033952238 819010337 /nfs/dbraw/zinc/01/03/37/819010337.db2.gz QZPXAUXNYIIQQM-RWMBFGLXSA-N 0 1 295.383 0.128 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)C2CC(=O)NC(=O)C2)C1 ZINC001033986343 819020950 /nfs/dbraw/zinc/02/09/50/819020950.db2.gz PMIANSCEZHAJIW-LBPRGKRZSA-N 0 1 293.367 0.148 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)C2CC(=O)NC(=O)C2)C1 ZINC001033986343 819020958 /nfs/dbraw/zinc/02/09/58/819020958.db2.gz PMIANSCEZHAJIW-LBPRGKRZSA-N 0 1 293.367 0.148 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001034026046 819033429 /nfs/dbraw/zinc/03/34/29/819033429.db2.gz NQFDUOHECPCCLF-GJZGRUSLSA-N 0 1 291.395 0.553 20 30 CCEDMN C=CCN1CC[C@@H](N2CCCC[C@H](NC(C)=O)C2)C1=O ZINC001034065328 819052033 /nfs/dbraw/zinc/05/20/33/819052033.db2.gz PUGWQSOOQNJWIQ-UONOGXRCSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](n2ccnn2)C1 ZINC001128829414 819053043 /nfs/dbraw/zinc/05/30/43/819053043.db2.gz DBAHRVIIXUWRLZ-XQQFMLRXSA-N 0 1 288.355 0.355 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCOC2)C1 ZINC001034124730 819073475 /nfs/dbraw/zinc/07/34/75/819073475.db2.gz WOJIVGGLWCMFNG-QWHCGFSZSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001034109172 819079497 /nfs/dbraw/zinc/07/94/97/819079497.db2.gz JIVZRQNCUCKCBT-KGLIPLIRSA-N 0 1 280.368 0.396 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2c[nH]c(C#N)c2)[C@H](O)C1 ZINC001090194857 819123152 /nfs/dbraw/zinc/12/31/52/819123152.db2.gz NSYJVUYURSJTON-ZIAGYGMSSA-N 0 1 286.335 0.075 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cnn(CC)n2)C1 ZINC001034202061 819123378 /nfs/dbraw/zinc/12/33/78/819123378.db2.gz PZSVABJTMDADHU-CYBMUJFWSA-N 0 1 289.383 0.906 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001034242623 819136659 /nfs/dbraw/zinc/13/66/59/819136659.db2.gz PYEBOFBAHMMGGG-OAHLLOKOSA-N 0 1 288.395 0.875 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CCCNC2=O)C1 ZINC001034258205 819140617 /nfs/dbraw/zinc/14/06/17/819140617.db2.gz UUXLQXVCFIWLBG-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](O)C1 ZINC001090201965 819145754 /nfs/dbraw/zinc/14/57/54/819145754.db2.gz VAGFMGSEGWCKRQ-WDEREUQCSA-N 0 1 294.355 0.165 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001034266877 819148294 /nfs/dbraw/zinc/14/82/94/819148294.db2.gz ATOKAPDSVGTQOG-ZDUSSCGKSA-N 0 1 288.351 0.790 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001034304055 819153908 /nfs/dbraw/zinc/15/39/08/819153908.db2.gz NBNGHUDKMUIDKA-NSHDSACASA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccc(C)o2)[C@H](O)C1 ZINC001090204339 819157546 /nfs/dbraw/zinc/15/75/46/819157546.db2.gz PSIMHIVNPNBGOE-LSDHHAIUSA-N 0 1 290.363 0.705 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001034302414 819159511 /nfs/dbraw/zinc/15/95/11/819159511.db2.gz SRUMOROFCJFLKI-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001034358698 819176159 /nfs/dbraw/zinc/17/61/59/819176159.db2.gz TZZPRBUTFPYTKM-IGQOVBAYSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001034347894 819179536 /nfs/dbraw/zinc/17/95/36/819179536.db2.gz UWFOGFQUQUECQS-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC001034348634 819179732 /nfs/dbraw/zinc/17/97/32/819179732.db2.gz PLNXGTRLWLEAEH-LLVKDONJSA-N 0 1 276.340 0.343 20 30 CCEDMN N#CCN1CCCC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001034379294 819188049 /nfs/dbraw/zinc/18/80/49/819188049.db2.gz AKQWHOGKGKGBGK-VIFPVBQESA-N 0 1 265.292 0.657 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccnnc2)C1 ZINC001034411666 819199459 /nfs/dbraw/zinc/19/94/59/819199459.db2.gz UUKUOEZAUIGMSO-CYBMUJFWSA-N 0 1 258.325 0.694 20 30 CCEDMN C=CCN1CCCC[C@@H](NC(=O)c2cnon2)C1 ZINC001034452746 819209889 /nfs/dbraw/zinc/20/98/89/819209889.db2.gz CLSULSFPFQKTPF-SNVBAGLBSA-N 0 1 250.302 0.840 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001034467449 819210009 /nfs/dbraw/zinc/21/00/09/819210009.db2.gz MLIAPIVKMRDIOM-GFCCVEGCSA-N 0 1 288.351 0.331 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](NC(=O)Cc2ccnn2C)[C@@H](O)C1 ZINC001090229158 819280184 /nfs/dbraw/zinc/28/01/84/819280184.db2.gz VATWTYYKTNMJLR-KGLIPLIRSA-N 0 1 292.383 0.090 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nccn2CC)[C@@H](O)C1 ZINC001090231162 819282433 /nfs/dbraw/zinc/28/24/33/819282433.db2.gz NXPQBEVLEWDPIB-NEPJUHHUSA-N 0 1 278.356 0.254 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2[nH]ccc2C)[C@H](O)C1 ZINC001090239630 819291876 /nfs/dbraw/zinc/29/18/76/819291876.db2.gz DVKYFZJNDWIPLC-CHWSQXEVSA-N 0 1 275.352 0.511 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](CNC(=O)c3cnn[nH]3)C2)nc1 ZINC001061777459 819295546 /nfs/dbraw/zinc/29/55/46/819295546.db2.gz RVUDSDMLEFROQW-LLVKDONJSA-N 0 1 297.322 0.328 20 30 CCEDMN Cc1cc(CNC[C@H]2CCCN2C(=O)[C@@H](C)C#N)nn1C ZINC001034886162 819355201 /nfs/dbraw/zinc/35/52/01/819355201.db2.gz GJGVLCLEASRWRU-SMDDNHRTSA-N 0 1 289.383 0.969 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2ccncn2)C1 ZINC001035547824 819561754 /nfs/dbraw/zinc/56/17/54/819561754.db2.gz NTNNMEXDNQWXQA-CYBMUJFWSA-N 0 1 290.367 0.873 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)Cn1cccn1)CC2 ZINC001035648789 819590986 /nfs/dbraw/zinc/59/09/86/819590986.db2.gz QBYSTAATTYUEQA-UHFFFAOYSA-N 0 1 274.368 0.994 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnc(C)n1C)CC2 ZINC001035779488 819622786 /nfs/dbraw/zinc/62/27/86/819622786.db2.gz SDGLNJFMTAEKGR-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1n[nH]cc1F)CC2 ZINC001035790747 819624991 /nfs/dbraw/zinc/62/49/91/819624991.db2.gz HSNJJVUNQSBYTB-UHFFFAOYSA-N 0 1 276.315 0.720 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@]1(C)CCNC1=O)CC2 ZINC001035795015 819626638 /nfs/dbraw/zinc/62/66/38/819626638.db2.gz OMUUUCIFTIBULD-OAHLLOKOSA-N 0 1 289.379 0.070 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@@H]1CCC(=O)N1)CC2 ZINC001035817711 819629776 /nfs/dbraw/zinc/62/97/76/819629776.db2.gz PVXJAGZARNNSGE-ZDUSSCGKSA-N 0 1 291.395 0.766 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)Cc1nnc[nH]1)CC2 ZINC001035834181 819633877 /nfs/dbraw/zinc/63/38/77/819633877.db2.gz DIKFMAYGLUCNGT-UHFFFAOYSA-N 0 1 275.356 0.458 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)Cn1ccnc1)CC2 ZINC001035860715 819638115 /nfs/dbraw/zinc/63/81/15/819638115.db2.gz FNPZDMGRFOVUGO-UHFFFAOYSA-N 0 1 286.379 0.831 20 30 CCEDMN C#CCCCS(=O)(=O)NCCN1CCCCC1 ZINC000710260996 819823644 /nfs/dbraw/zinc/82/36/44/819823644.db2.gz JSVATHWPVXZLJG-UHFFFAOYSA-N 0 1 258.387 0.805 20 30 CCEDMN C#CCCCS(=O)(=O)N(C)CCN1CCCC1 ZINC000710284905 819825306 /nfs/dbraw/zinc/82/53/06/819825306.db2.gz BZBFYLWAXHCZRJ-UHFFFAOYSA-N 0 1 258.387 0.757 20 30 CCEDMN C=CCCSCCNC(=O)[C@]1(COC)CNCCO1 ZINC000710841098 819848759 /nfs/dbraw/zinc/84/87/59/819848759.db2.gz DHZFNZHEHSWHMV-CYBMUJFWSA-N 0 1 288.413 0.417 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CNC(=O)[C@@H]2CCCN2C)CCC1 ZINC001062711788 820038877 /nfs/dbraw/zinc/03/88/77/820038877.db2.gz GGSMUHKYKULFJL-NEPJUHHUSA-N 0 1 292.383 0.395 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2nc(C)oc2C)[C@@H](O)C1 ZINC001083886192 820079600 /nfs/dbraw/zinc/07/96/00/820079600.db2.gz NSKATTXLBCXMPS-NEPJUHHUSA-N 0 1 279.340 0.642 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(CCC)on2)[C@@H](O)C1 ZINC001083904146 820210351 /nfs/dbraw/zinc/21/03/51/820210351.db2.gz DPVNADNIRQDDIZ-KGLIPLIRSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2ccnn2CCC)[C@H](O)C1 ZINC001090296881 820220884 /nfs/dbraw/zinc/22/08/84/820220884.db2.gz DESGAQPNQAXXCW-GXTWGEPZSA-N 0 1 292.383 0.644 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnn2CCC)[C@H](O)C1 ZINC001090296881 820220893 /nfs/dbraw/zinc/22/08/93/820220893.db2.gz DESGAQPNQAXXCW-GXTWGEPZSA-N 0 1 292.383 0.644 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCNc1ccccc1 ZINC001118285047 820290790 /nfs/dbraw/zinc/29/07/90/820290790.db2.gz RRTBHFCFAAIZJE-YPMHNXCESA-N 0 1 258.325 0.716 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCOC2)C1 ZINC001079484136 820402422 /nfs/dbraw/zinc/40/24/22/820402422.db2.gz BCZJMILXBVQMKE-MGPQQGTHSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cccn3nnnc23)C1 ZINC001079766184 820463425 /nfs/dbraw/zinc/46/34/25/820463425.db2.gz VQGXLPJFAHDGEQ-ZYHUDNBSSA-N 0 1 286.339 0.360 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cnn3ccccc23)C1 ZINC001079874764 820479308 /nfs/dbraw/zinc/47/93/08/820479308.db2.gz CNGFKWKXGWQXGV-UKRRQHHQSA-N 0 1 296.374 0.947 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001079991764 820497527 /nfs/dbraw/zinc/49/75/27/820497527.db2.gz RGAJRVZOLYJFRJ-MGPQQGTHSA-N 0 1 286.379 0.515 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2CC)C1 ZINC001080032719 820506897 /nfs/dbraw/zinc/50/68/97/820506897.db2.gz PCNPWMAWNYKJEQ-DGCLKSJQSA-N 0 1 274.368 0.666 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001080452142 820573477 /nfs/dbraw/zinc/57/34/77/820573477.db2.gz CODKGBACCPZWSW-BXKDBHETSA-N 0 1 276.340 0.350 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2OCC[C@H]2C)C1 ZINC001080464345 820580818 /nfs/dbraw/zinc/58/08/18/820580818.db2.gz SVRHCOWWVDNESP-LPWJVIDDSA-N 0 1 250.342 0.481 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cncc(OC)n2)C1 ZINC001080563115 820594895 /nfs/dbraw/zinc/59/48/95/820594895.db2.gz AKFQKQCUIYUCBR-ZYHUDNBSSA-N 0 1 276.340 0.721 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001080712539 820624578 /nfs/dbraw/zinc/62/45/78/820624578.db2.gz PGDTXFQGKLMBOJ-DGCLKSJQSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CCCO2)[C@H](OC)C1 ZINC001081326044 820728332 /nfs/dbraw/zinc/72/83/32/820728332.db2.gz HBGKLKRPWUJEQG-JHJVBQTASA-N 0 1 266.341 0.004 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CN2CCCC2=O)[C@H](OC)C1 ZINC001081339517 820743321 /nfs/dbraw/zinc/74/33/21/820743321.db2.gz XZLPDOSAFIXKSZ-CHWSQXEVSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnccc2C)[C@H](OC)C1 ZINC001081478178 820770258 /nfs/dbraw/zinc/77/02/58/820770258.db2.gz LPBXUMYOOQVILO-HUUCEWRRSA-N 0 1 287.363 0.842 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnn(CC)n2)[C@H](OC)C1 ZINC001081625696 820797956 /nfs/dbraw/zinc/79/79/56/820797956.db2.gz HAGSFILEAIHYOL-CHWSQXEVSA-N 0 1 293.371 0.303 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccncc2C)[C@H](OC)C1 ZINC001081636236 820800654 /nfs/dbraw/zinc/80/06/54/820800654.db2.gz RSTZTGMGWLUDKA-HUUCEWRRSA-N 0 1 287.363 0.842 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCO)C[C@H]2OC)cc1 ZINC001082012858 820880271 /nfs/dbraw/zinc/88/02/71/820880271.db2.gz MYWIVIACUYLZHW-HUUCEWRRSA-N 0 1 288.347 0.089 20 30 CCEDMN C=CC[NH+]1C[C@@H](NC(=O)C[N@@H+]2CC[C@@H](C)C2)[C@H](OC)C1 ZINC001082135149 820904183 /nfs/dbraw/zinc/90/41/83/820904183.db2.gz QPHQPRQCIMVIRT-MGPQQGTHSA-N 0 1 281.400 0.330 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C(F)=C(C)C)[C@H](O)C1 ZINC001099767042 821044025 /nfs/dbraw/zinc/04/40/25/821044025.db2.gz UIQRUGKGZJSFRJ-GHMZBOCLSA-N 0 1 256.321 0.987 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C[C@H]3CCCO3)[C@H]2C1 ZINC001083010836 821103904 /nfs/dbraw/zinc/10/39/04/821103904.db2.gz BGABWSNMNWFEST-QLFBSQMISA-N 0 1 292.379 0.490 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H]3[C@@H]4CCC[C@@H]43)[C@H]2C1 ZINC001083039721 821122934 /nfs/dbraw/zinc/12/29/34/821122934.db2.gz NKXYSRQVGKUIRP-ZVDSWSACSA-N 0 1 288.391 0.967 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)Cc3cccnc3)[C@H]2C1 ZINC001083050842 821126267 /nfs/dbraw/zinc/12/62/67/821126267.db2.gz DWOHTHTZPKKDGK-JKSUJKDBSA-N 0 1 299.374 0.559 20 30 CCEDMN COC[C@H](C)N1C[C@H]2OCCN(C(=O)C#CC3CC3)[C@H]2C1 ZINC001083077280 821132958 /nfs/dbraw/zinc/13/29/58/821132958.db2.gz AMVLPVXOIBEGNN-AEGPPILISA-N 0 1 292.379 0.346 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3cc[nH]c3)[C@H]2C1 ZINC001083090619 821139635 /nfs/dbraw/zinc/13/96/35/821139635.db2.gz HXAYRVAWYFIEQD-UONOGXRCSA-N 0 1 273.336 0.563 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(Cl)cn2)[C@@H](O)C1 ZINC001083974905 821175189 /nfs/dbraw/zinc/17/51/89/821175189.db2.gz MDQHWXYTVHXESV-OLZOCXBDSA-N 0 1 293.754 0.533 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1C[C@H]2CCN(CC#N)C[C@H]21 ZINC001084231201 821247765 /nfs/dbraw/zinc/24/77/65/821247765.db2.gz XDMLLJFNBXNLEQ-FRRDWIJNSA-N 0 1 262.357 0.137 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3cc[nH]c(=O)c3)[C@@H]2C1 ZINC001084275061 821259939 /nfs/dbraw/zinc/25/99/39/821259939.db2.gz KFXQPSDFKHCDMN-ZIAGYGMSSA-N 0 1 285.347 0.957 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3nnc(C)[nH]3)[C@@H]2C1 ZINC001084336203 821273852 /nfs/dbraw/zinc/27/38/52/821273852.db2.gz LSHHWFQAIRMIMV-CHWSQXEVSA-N 0 1 287.367 0.212 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)c3c[nH]cc4ncnc3-4)[C@@H]2C1 ZINC001084360264 821278802 /nfs/dbraw/zinc/27/88/02/821278802.db2.gz ZHSYUGMJAJGKGH-BXUZGUMPSA-N 0 1 295.346 0.737 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1C[C@H]2CCN(CC#N)C[C@H]21 ZINC001084455730 821290491 /nfs/dbraw/zinc/29/04/91/821290491.db2.gz HRDKGUXWDBPAFG-MGPQQGTHSA-N 0 1 276.384 0.527 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN(CC#CC)C[C@H]1O ZINC001099803830 821308669 /nfs/dbraw/zinc/30/86/69/821308669.db2.gz DOYUHAFJKXZNMI-UONOGXRCSA-N 0 1 262.353 0.365 20 30 CCEDMN N#C[C@@H]1CCCN(C(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3)C1 ZINC001119544671 821361610 /nfs/dbraw/zinc/36/16/10/821361610.db2.gz GQFFHUNXDNQCQV-RWMBFGLXSA-N 0 1 290.367 0.101 20 30 CCEDMN CC[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001099830126 821391471 /nfs/dbraw/zinc/39/14/71/821391471.db2.gz NHHBTVIQOKOWGN-RYUDHWBXSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cccnn2)CC1 ZINC001119678431 821416812 /nfs/dbraw/zinc/41/68/12/821416812.db2.gz DEYFTQWVBLUIFW-UHFFFAOYSA-N 0 1 273.340 0.373 20 30 CCEDMN C#CCN1CCC(C(=O)N2C3CCC2(CO)CC3)CC1 ZINC001119714901 821422306 /nfs/dbraw/zinc/42/23/06/821422306.db2.gz LLQFPFAOQCBINC-UHFFFAOYSA-N 0 1 276.380 0.848 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCCC2)C[C@H](C)N1CC#N ZINC000822439664 821484939 /nfs/dbraw/zinc/48/49/39/821484939.db2.gz WIOXHVGGMLJPDH-BETUJISGSA-N 0 1 264.373 0.527 20 30 CCEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1C[C@@H](O)COC ZINC001231170912 821502935 /nfs/dbraw/zinc/50/29/35/821502935.db2.gz VPCFXONMESZYPY-QWHCGFSZSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(CC#CC)C[C@@H]1O ZINC001099960398 821650060 /nfs/dbraw/zinc/65/00/60/821650060.db2.gz UBOXUGFDORGFEL-STQMWFEESA-N 0 1 264.369 0.773 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001085597485 821841118 /nfs/dbraw/zinc/84/11/18/821841118.db2.gz YWOPWTDKVWXHFH-STQMWFEESA-N 0 1 287.367 0.886 20 30 CCEDMN C[C@H](CNc1ncccc1C#N)NC(=O)c1cnn[nH]1 ZINC001108204470 821902396 /nfs/dbraw/zinc/90/23/96/821902396.db2.gz JEMSOZAPJLJWKW-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cn2cccnc2n1 ZINC001085696025 821905982 /nfs/dbraw/zinc/90/59/82/821905982.db2.gz YAZNDYYMKJZMTO-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)C[C@H]2CCN2C[C@H](C)O)c1 ZINC001085809982 821962062 /nfs/dbraw/zinc/96/20/62/821962062.db2.gz RSWYQVHKBIHATM-SWLSCSKDSA-N 0 1 287.363 0.590 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cncc(OC)n1 ZINC001085857325 821981748 /nfs/dbraw/zinc/98/17/48/821981748.db2.gz VPZZZQOVKWTCBW-LBPRGKRZSA-N 0 1 288.351 0.655 20 30 CCEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cnn(C)n1 ZINC001085874135 821990117 /nfs/dbraw/zinc/99/01/17/821990117.db2.gz SLWCHAJWLUOXJH-NSHDSACASA-N 0 1 263.345 0.538 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cc(C)on2)[C@H](O)C1 ZINC001100004642 822000792 /nfs/dbraw/zinc/00/07/92/822000792.db2.gz ZSIPFURUZKDUAX-UONOGXRCSA-N 0 1 293.367 0.653 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCC(=O)N(C)C1 ZINC001085987827 822051579 /nfs/dbraw/zinc/05/15/79/822051579.db2.gz NNHPLPOTCPTHKU-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H]1C[C@H](NCC#N)C1 ZINC001086326995 822208214 /nfs/dbraw/zinc/20/82/14/822208214.db2.gz KYCVRKFJVNCUSR-IJLUTSLNSA-N 0 1 250.346 0.089 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCn2cccn2)[C@H](O)C1 ZINC001100117646 822324153 /nfs/dbraw/zinc/32/41/53/822324153.db2.gz MIAATCAESYKSBW-ZIAGYGMSSA-N 0 1 292.383 0.401 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)OCCC=C ZINC001114003068 837399256 /nfs/dbraw/zinc/39/92/56/837399256.db2.gz SLOKALWKPWQTFU-IGQOVBAYSA-N 0 1 262.353 0.647 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@@H](CC)C(N)=O)C[C@H]21 ZINC001114025291 837414162 /nfs/dbraw/zinc/41/41/62/837414162.db2.gz KBXBPYSAYGPBKQ-SRRSOLGSSA-N 0 1 265.357 0.263 20 30 CCEDMN Cc1nn(C)cc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C#N ZINC001114067819 837421879 /nfs/dbraw/zinc/42/18/79/837421879.db2.gz XHJRMLYAGPGIST-QQUHWDOBSA-N 0 1 287.367 0.435 20 30 CCEDMN Cc1nccnc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C#N ZINC001114067983 837422860 /nfs/dbraw/zinc/42/28/60/837422860.db2.gz ZJOHFHGJJPDXOT-WUWHUORYSA-N 0 1 285.351 0.491 20 30 CCEDMN CC[C@@H](C(N)=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114258528 837490318 /nfs/dbraw/zinc/49/03/18/837490318.db2.gz UMTUVJWOIXDYDJ-KZVDOYCCSA-N 0 1 291.395 0.346 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)CC)C[C@@H]1n1ccnn1 ZINC001129503409 837518342 /nfs/dbraw/zinc/51/83/42/837518342.db2.gz UZUQPPSUQAINGK-NEPJUHHUSA-N 0 1 261.329 0.053 20 30 CCEDMN CN1CCN(C(=N)Nc2nnc(Cl)cc2C#N)CC1 ZINC001157915097 837695990 /nfs/dbraw/zinc/69/59/90/837695990.db2.gz OYFBAZRXKAFKTP-UHFFFAOYSA-N 0 1 279.735 0.596 20 30 CCEDMN C=CCNC(=O)CN(C)CCCN(C)C(=O)[C@H]1C[C@H]1C ZINC001273482141 844059792 /nfs/dbraw/zinc/05/97/92/844059792.db2.gz QCIIYNOWZGNBCO-OLZOCXBDSA-N 0 1 281.400 0.725 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)CC[C@H]1CNCC#N ZINC001183896180 844106564 /nfs/dbraw/zinc/10/65/64/844106564.db2.gz CLTKDANBWQWQTF-QWRGUYRKSA-N 0 1 261.329 0.621 20 30 CCEDMN C[Si](C)(C)C#Cc1ccc(-n2nnnc2CN)cn1 ZINC001168923486 836056577 /nfs/dbraw/zinc/05/65/77/836056577.db2.gz KWBWPBVDDWRAOI-UHFFFAOYSA-N 0 1 272.388 0.745 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+][C@H]1CC[C@H](C[N+](=O)[O-])C1 ZINC001168988949 836102713 /nfs/dbraw/zinc/10/27/13/836102713.db2.gz VGQNEOGBBUGBKX-XKNYDFJKSA-N 0 1 257.290 0.258 20 30 CCEDMN N#CNC(=Nc1ncc(F)cc1C(N)=O)c1ccncc1 ZINC001156325935 836290518 /nfs/dbraw/zinc/29/05/18/836290518.db2.gz IHQJVTGQLDFLEJ-UHFFFAOYSA-N 0 1 284.254 0.864 20 30 CCEDMN CCOC(=O)C1(Nc2ccnc(C#N)n2)CCN(C)CC1 ZINC001169491464 836323523 /nfs/dbraw/zinc/32/35/23/836323523.db2.gz RWZDPZIUAPZERH-UHFFFAOYSA-N 0 1 289.339 0.788 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCCCNCc1ncnn1C ZINC001169849067 836460137 /nfs/dbraw/zinc/46/01/37/836460137.db2.gz UDCLHOUDEPBBQG-VXGBXAGGSA-N 0 1 292.387 0.739 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)CC)C2 ZINC001109074973 836610336 /nfs/dbraw/zinc/61/03/36/836610336.db2.gz UXPAQMWDLICJMN-WOPDTQHZSA-N 0 1 265.357 0.420 20 30 CCEDMN C[C@@H](CN(C)c1ccc(C#N)nn1)NC(=O)Cc1cnc[nH]1 ZINC001109254725 836647522 /nfs/dbraw/zinc/64/75/22/836647522.db2.gz FXNQGGMQLVHKDX-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN Cc1cc(C(=O)N(C)CCCNc2cncc(C#N)n2)n[nH]1 ZINC001109376754 836666827 /nfs/dbraw/zinc/66/68/27/836666827.db2.gz GKURJSRPBIBBMF-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCO ZINC001109566911 836698302 /nfs/dbraw/zinc/69/83/02/836698302.db2.gz XNRFZTFQKZMIGQ-HOSILWTGSA-N 0 1 296.411 0.929 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001109566911 836698305 /nfs/dbraw/zinc/69/83/05/836698305.db2.gz XNRFZTFQKZMIGQ-HOSILWTGSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cnn(C)n1)C2 ZINC001109724693 836718696 /nfs/dbraw/zinc/71/86/96/836718696.db2.gz FWTTUFOXZYOYHZ-RDBSUJKOSA-N 0 1 289.383 0.655 20 30 CCEDMN N#Cc1ccc(NC[C@H](NC(=O)c2cnn[nH]2)C2CC2)nc1 ZINC001110012441 836763033 /nfs/dbraw/zinc/76/30/33/836763033.db2.gz HLKHOVSQMBLCRW-NSHDSACASA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncc(CC)c2)[C@H](O)C1 ZINC001090434633 836773008 /nfs/dbraw/zinc/77/30/08/836773008.db2.gz AFUMTSXWQJVILB-HUUCEWRRSA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccc(=O)[nH]c2)[C@H](O)C1 ZINC001090439793 836799890 /nfs/dbraw/zinc/79/98/90/836799890.db2.gz WTRQWDZSXDUYOR-QWHCGFSZSA-N 0 1 291.351 0.067 20 30 CCEDMN C=CCCN1CCN(C(=O)CNC(=O)CCC)CC1 ZINC001112660963 836849317 /nfs/dbraw/zinc/84/93/17/836849317.db2.gz WUVDXXJDNIBRHH-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C=CCCCCCN1CCN(C(=O)CC(N)=O)CC1 ZINC001112732518 836889216 /nfs/dbraw/zinc/88/92/16/836889216.db2.gz OXEIPEPAAPEDMQ-UHFFFAOYSA-N 0 1 267.373 0.752 20 30 CCEDMN N#CCNCCCNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001170075690 836899672 /nfs/dbraw/zinc/89/96/72/836899672.db2.gz QZPFLQUMBAUONX-UHFFFAOYSA-N 0 1 284.323 0.705 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC001113022678 837000104 /nfs/dbraw/zinc/00/01/04/837000104.db2.gz NYUVRRSUHOOZGA-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001113114570 837027371 /nfs/dbraw/zinc/02/73/71/837027371.db2.gz JVZZDGZUVWWRIY-JGVFFNPUSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001113114570 837027379 /nfs/dbraw/zinc/02/73/79/837027379.db2.gz JVZZDGZUVWWRIY-JGVFFNPUSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)Cc1cnc[nH]1)[C@@H](C)Nc1ccnc(C#N)n1 ZINC001113313350 837084065 /nfs/dbraw/zinc/08/40/65/837084065.db2.gz HFTXAPRFENDXFV-NXEZZACHSA-N 0 1 299.338 0.041 20 30 CCEDMN C#CCN(CC#C)c1ncnc2[nH]cc(S(C)(=O)=O)c21 ZINC001157559229 837116207 /nfs/dbraw/zinc/11/62/07/837116207.db2.gz VXAQMXLXANQJTD-UHFFFAOYSA-N 0 1 288.332 0.434 20 30 CCEDMN C#CCN1C[C@@H]2C(NC(=O)/C=C/c3ccco3)[C@@H]2C1 ZINC001113796379 837246032 /nfs/dbraw/zinc/24/60/32/837246032.db2.gz SIORCNWFMWLORB-DXQZDJPLSA-N 0 1 256.305 0.972 20 30 CCEDMN C[Si](C)(C)C#CNc1[nH]c(=O)[nH]c2nc(=O)[nH]c1-2 ZINC001170216686 837256540 /nfs/dbraw/zinc/25/65/40/837256540.db2.gz MXSZQBOSTHAISG-UHFFFAOYSA-N 0 1 263.333 0.966 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)N(C)c1cnc(C#N)cn1 ZINC001113898333 837358374 /nfs/dbraw/zinc/35/83/74/837358374.db2.gz REMPFIXPHHVJRT-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCOCC ZINC001113922023 837369033 /nfs/dbraw/zinc/36/90/33/837369033.db2.gz HKDCTHCFGWHVDV-IMRBUKKESA-N 0 1 250.342 0.483 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)OCC ZINC001113931269 837373858 /nfs/dbraw/zinc/37/38/58/837373858.db2.gz AGPDYMIGCVQLRR-WUHRBBMRSA-N 0 1 250.342 0.481 20 30 CCEDMN Cc1cnc(CN)n1-c1ncc([N+](=O)[O-])cc1C#N ZINC001158164166 837952878 /nfs/dbraw/zinc/95/28/78/837952878.db2.gz HPZZDMCMEOTHCA-UHFFFAOYSA-N 0 1 258.241 0.814 20 30 CCEDMN N#C[C@@H](Nc1cc(Br)cc2cncn21)C(N)=O ZINC001170543428 838008487 /nfs/dbraw/zinc/00/84/87/838008487.db2.gz ZJOJPUYPVCCFGW-MRVPVSSYSA-N 0 1 294.112 0.886 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2csc(C)n2)[C@H](O)C1 ZINC001090501371 838152729 /nfs/dbraw/zinc/15/27/29/838152729.db2.gz XAGCGJIJWVQFPQ-CMPLNLGQSA-N 0 1 281.381 0.803 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)COCCC)C[C@H](C)O2 ZINC001131641448 838162924 /nfs/dbraw/zinc/16/29/24/838162924.db2.gz FKEQBIPFRLXQFD-HOCLYGCPSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCC(=O)N(C)C)CC[C@H]1C ZINC001132429446 838395727 /nfs/dbraw/zinc/39/57/27/838395727.db2.gz LZVXLYBEZTYLFW-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc2[nH]c(=O)[nH]c2n1 ZINC001132923121 838519940 /nfs/dbraw/zinc/51/99/40/838519940.db2.gz FFFLMWWTVSQGDE-UHFFFAOYSA-N 0 1 295.730 0.735 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1c[nH]c(=O)cn1 ZINC001133016029 838535049 /nfs/dbraw/zinc/53/50/49/838535049.db2.gz LSJZWNSERKHLSZ-UHFFFAOYSA-N 0 1 297.318 0.161 20 30 CCEDMN C[C@@H]1CCN(C(=O)C2=NC(=O)N(C)C2)C[C@H]1CNCC#N ZINC001133087181 838552165 /nfs/dbraw/zinc/55/21/65/838552165.db2.gz LFULVTBDTKYTMS-GHMZBOCLSA-N 0 1 291.355 0.337 20 30 CCEDMN C[C@H]1CCN(C(=O)CN2CCCC2)C[C@H]1CNCC#N ZINC001133309924 838594164 /nfs/dbraw/zinc/59/41/64/838594164.db2.gz IUELCZLFXIIOMZ-UONOGXRCSA-N 0 1 278.400 0.680 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1cnn(C)n1 ZINC001134201481 838909382 /nfs/dbraw/zinc/90/93/82/838909382.db2.gz PMGNJAUGJCKQFY-OLZOCXBDSA-N 0 1 291.399 0.992 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCNC(=O)C1CCC1 ZINC001135194490 839175197 /nfs/dbraw/zinc/17/51/97/839175197.db2.gz OTMLEFOANCMJHY-UHFFFAOYSA-N 0 1 287.791 0.751 20 30 CCEDMN N#Cc1ccc(CNCCNC(=O)c2cnon2)c(F)c1 ZINC001135577656 839307617 /nfs/dbraw/zinc/30/76/17/839307617.db2.gz XUMSJSGVRIWLTG-UHFFFAOYSA-N 0 1 289.270 0.600 20 30 CCEDMN CC[C@](N)(CO)Nc1nccc(C#N)c1C(OC)OC ZINC001170860232 839453133 /nfs/dbraw/zinc/45/31/33/839453133.db2.gz UKVJFILUDZGRER-CYBMUJFWSA-N 0 1 280.328 0.714 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCCN1CCOCC1 ZINC001136261111 839576700 /nfs/dbraw/zinc/57/67/00/839576700.db2.gz OEMGDKXMTAVIPJ-CYBMUJFWSA-N 0 1 268.357 0.253 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(Cl)[nH]2)[C@@H](O)C1 ZINC001090550229 839631520 /nfs/dbraw/zinc/63/15/20/839631520.db2.gz PEKUFSMKTAPELT-PWSUYJOCSA-N 0 1 295.770 0.856 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccn(C)c2C)[C@H](O)C1 ZINC001090555581 839639946 /nfs/dbraw/zinc/63/99/46/839639946.db2.gz MFFRHBSNUAEWCK-ZIAGYGMSSA-N 0 1 277.368 0.685 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)nn2CC)[C@H](O)C1 ZINC001090583487 839655319 /nfs/dbraw/zinc/65/53/19/839655319.db2.gz BAUWCKZQPRZZNP-TZMCWYRMSA-N 0 1 292.383 0.562 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnoc2CC)[C@H](O)C1 ZINC001090614739 839678328 /nfs/dbraw/zinc/67/83/28/839678328.db2.gz ZYUSZYSKVPCRAO-NWDGAFQWSA-N 0 1 279.340 0.588 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cscn2)[C@@H](O)C1 ZINC001090628025 839686785 /nfs/dbraw/zinc/68/67/85/839686785.db2.gz JELIVRUNDWULOF-STQMWFEESA-N 0 1 293.392 0.260 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ncccn2)[C@H](O)C1 ZINC001090663389 839713102 /nfs/dbraw/zinc/71/31/02/839713102.db2.gz AHCDOJHLPAOHBP-VXGBXAGGSA-N 0 1 276.340 0.218 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nccs2)[C@@H](O)C1 ZINC001090670338 839717314 /nfs/dbraw/zinc/71/73/14/839717314.db2.gz DNBBIHNBJCGQKM-MNOVXSKESA-N 0 1 281.381 0.884 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cccnn2)[C@@H](O)C1 ZINC001090667613 839717721 /nfs/dbraw/zinc/71/77/21/839717721.db2.gz MHEVBUJVKPKECR-YPMHNXCESA-N 0 1 276.340 0.218 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C(C)C)nn2)[C@@H](O)C1 ZINC001090687181 839737469 /nfs/dbraw/zinc/73/74/69/839737469.db2.gz UJDDITISCBSPDE-YPMHNXCESA-N 0 1 293.371 0.210 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cn(C)nc2Cl)[C@H](O)C1 ZINC001090718880 839752603 /nfs/dbraw/zinc/75/26/03/839752603.db2.gz JLMOHLUCFAHIQT-WDEREUQCSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C)nc2Cl)[C@H](O)C1 ZINC001090718880 839752611 /nfs/dbraw/zinc/75/26/11/839752611.db2.gz JLMOHLUCFAHIQT-WDEREUQCSA-N 0 1 298.774 0.425 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cn(CC)cn2)[C@@H](O)C1 ZINC001090732201 839761891 /nfs/dbraw/zinc/76/18/91/839761891.db2.gz AJGQVJXYDQICTB-OCCSQVGLSA-N 0 1 292.383 0.644 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccc(C)nc2)[C@@H](O)C1 ZINC001090812123 839829365 /nfs/dbraw/zinc/82/93/65/839829365.db2.gz CFIRBFGJIWGPLS-CABCVRRESA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccn2CC)[C@@H](O)C1 ZINC001090812645 839829855 /nfs/dbraw/zinc/82/98/55/839829855.db2.gz HPKPXRNWGIIZIG-JSGCOSHPSA-N 0 1 277.368 0.859 20 30 CCEDMN N#Cc1ccc(C[N@@H+]2CC[C@H]3CNC(=O)[C@H]3C2)nc1 ZINC001144163275 839966513 /nfs/dbraw/zinc/96/65/13/839966513.db2.gz YZFPWLFFRBFFIW-AAEUAGOBSA-N 0 1 256.309 0.521 20 30 CCEDMN N#Cc1ccc(CN2CC[C@H]3CNC(=O)[C@H]3C2)nc1 ZINC001144163275 839966518 /nfs/dbraw/zinc/96/65/18/839966518.db2.gz YZFPWLFFRBFFIW-AAEUAGOBSA-N 0 1 256.309 0.521 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)COC)[C@@H]2C1 ZINC001187295526 844700296 /nfs/dbraw/zinc/70/02/96/844700296.db2.gz BRHSFXRVIVFNPM-RWMBFGLXSA-N 0 1 250.342 0.435 20 30 CCEDMN COc1cccc(C[C@H](N)C(=O)N2CC(C)(C#N)C2)c1 ZINC001144933412 840195997 /nfs/dbraw/zinc/19/59/97/840195997.db2.gz PQXRGZCQIXTNTP-ZDUSSCGKSA-N 0 1 273.336 0.937 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)c3cc(CC)n[nH]3)C[C@]2(C)C1 ZINC001091496346 840240060 /nfs/dbraw/zinc/24/00/60/840240060.db2.gz FGEYOEWJCJMGQX-WBMJQRKESA-N 0 1 286.379 0.999 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(CN(C(C)=O)C2)C1 ZINC001146861312 840384321 /nfs/dbraw/zinc/38/43/21/840384321.db2.gz QGMAIMKUBHEDLR-LLVKDONJSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)c3cc(OC)no3)C[C@]2(C)C1 ZINC001091613532 840476595 /nfs/dbraw/zinc/47/65/95/840476595.db2.gz CKBLMSFFVMBWBS-ABAIWWIYSA-N 0 1 289.335 0.710 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1cncn1C ZINC001147900470 840719399 /nfs/dbraw/zinc/71/93/99/840719399.db2.gz OFRIMGJHFAYNDA-UHFFFAOYSA-N 0 1 256.737 0.421 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H]2CCN(C(C)=O)[C@@H]2C1 ZINC001186613338 844574618 /nfs/dbraw/zinc/57/46/18/844574618.db2.gz VPZFNKIHWAPRNV-LSDHHAIUSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@H](C)COC)C2)C1 ZINC001148511702 840814646 /nfs/dbraw/zinc/81/46/46/840814646.db2.gz OGQFARILRXJVMN-ZDUSSCGKSA-N 0 1 264.369 0.827 20 30 CCEDMN Cc1ccc(C(=O)n2nc(N)c(C#N)c2N)c(O)c1 ZINC001148491867 840821520 /nfs/dbraw/zinc/82/15/20/840821520.db2.gz FSUPLHLBKMHCTD-UHFFFAOYSA-N 0 1 257.253 0.622 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](NC(C)=O)C(C)C)C2)C1 ZINC001148635149 840874312 /nfs/dbraw/zinc/87/43/12/840874312.db2.gz CAABBZXCGLCXAG-CQSZACIVSA-N 0 1 291.395 0.315 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)C3CCC3)[C@@H]2C1 ZINC001186637618 844584132 /nfs/dbraw/zinc/58/41/32/844584132.db2.gz XQDKFWMASCIHEN-LSDHHAIUSA-N 0 1 276.380 0.969 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H]1CN(CC#CC)CCCO1 ZINC001149622615 841010244 /nfs/dbraw/zinc/01/02/44/841010244.db2.gz CHXJYRQLTGBUOK-GDBMZVCRSA-N 0 1 294.395 0.544 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H]2CN(CC#N)C[C@H]2C)c1C ZINC001092513977 841032210 /nfs/dbraw/zinc/03/22/10/841032210.db2.gz RPJVKJWAZKKAFJ-BXKDBHETSA-N 0 1 275.356 0.848 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C(C)(C)COC)[C@@H](O)C1 ZINC001099921249 841085772 /nfs/dbraw/zinc/08/57/72/841085772.db2.gz MFEHHTCTOOFFNP-NEPJUHHUSA-N 0 1 270.373 0.396 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)CCc3cnc[nH]3)CC[C@H]21 ZINC001036734107 841151668 /nfs/dbraw/zinc/15/16/68/841151668.db2.gz MVBAVWFGJUPYJL-GXTWGEPZSA-N 0 1 287.367 0.789 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2ccon2)[C@H](O)C1 ZINC001099923795 841183125 /nfs/dbraw/zinc/18/31/25/841183125.db2.gz JSXOVLLHJLMOPD-ZIAGYGMSSA-N 0 1 291.351 0.182 20 30 CCEDMN C#CCNC(=O)c1ccccc1N1CCN(C)CC1 ZINC001186907375 844620800 /nfs/dbraw/zinc/62/08/00/844620800.db2.gz PMBLOEPFSQXPEL-UHFFFAOYSA-N 0 1 257.337 0.801 20 30 CCEDMN CN1CC(C(=O)N2CC[C@H]3[C@H](CCN3CC#N)C2)=NC1=O ZINC001036810253 841241844 /nfs/dbraw/zinc/24/18/44/841241844.db2.gz YKVHKTKGYVERSQ-PWSUYJOCSA-N 0 1 289.339 0.186 20 30 CCEDMN N#CCSCC(=O)NCCNc1ncnc2[nH]cnc21 ZINC001093526557 841308145 /nfs/dbraw/zinc/30/81/45/841308145.db2.gz LJBDGOXXYGRQIN-UHFFFAOYSA-N 0 1 291.340 0.089 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCNc2nccnc2C#N)c1C ZINC001093536437 841319164 /nfs/dbraw/zinc/31/91/64/841319164.db2.gz YCCBYGMWBOUHNG-UHFFFAOYSA-N 0 1 285.311 0.530 20 30 CCEDMN Cc1cc(NCCNC(=O)CN2CCCC2)c(C#N)cn1 ZINC001093652708 841404575 /nfs/dbraw/zinc/40/45/75/841404575.db2.gz VSTQAQPHWQBICJ-UHFFFAOYSA-N 0 1 287.367 0.308 20 30 CCEDMN Cc1nnc(CNC[C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)o1 ZINC001093678174 841425442 /nfs/dbraw/zinc/42/54/42/841425442.db2.gz IVKIEUSRXJGELH-CKYFFXLPSA-N 0 1 291.355 0.722 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)CCc2c[nH]nn2)cn1 ZINC001094129766 841541213 /nfs/dbraw/zinc/54/12/13/841541213.db2.gz KIZCKGJRZWTQTP-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)CCc2cnn[nH]2)cn1 ZINC001094129766 841541222 /nfs/dbraw/zinc/54/12/22/841541222.db2.gz KIZCKGJRZWTQTP-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCCNc1ccncc1C#N ZINC001094339562 841608845 /nfs/dbraw/zinc/60/88/45/841608845.db2.gz ADUXQNVRBKIOFQ-AWEZNQCLSA-N 0 1 287.367 0.388 20 30 CCEDMN Cc1cc(CC(=O)NCCCNc2nccnc2C#N)[nH]n1 ZINC001094364253 841621534 /nfs/dbraw/zinc/62/15/34/841621534.db2.gz BIXKSEDGYQHKHD-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN N#Cc1cnccc1NCCCNC(=O)CCc1nc[nH]n1 ZINC001094363607 841622525 /nfs/dbraw/zinc/62/25/25/841622525.db2.gz SIDLOKMZUQPAHS-UHFFFAOYSA-N 0 1 299.338 0.044 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2nnc(C)[nH]2)nc1 ZINC001171589483 841733097 /nfs/dbraw/zinc/73/30/97/841733097.db2.gz CNYTYERNCYZBDY-UHFFFAOYSA-N 0 1 298.350 0.399 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2ncccn2)cn1 ZINC001171590229 841734317 /nfs/dbraw/zinc/73/43/17/841734317.db2.gz XJMVZRTULRNANH-UHFFFAOYSA-N 0 1 295.346 0.763 20 30 CCEDMN CN1CCC(C#N)(N[C@H]2CCN(C3CC3)C(=O)C2)CC1 ZINC001172006420 841785381 /nfs/dbraw/zinc/78/53/81/841785381.db2.gz YOCHLAVFOYPPQP-LBPRGKRZSA-N 0 1 276.384 0.717 20 30 CCEDMN CN1CCC(C#N)(N[C@@H]2CCN(C3CC3)C(=O)C2)CC1 ZINC001172006419 841785589 /nfs/dbraw/zinc/78/55/89/841785589.db2.gz YOCHLAVFOYPPQP-GFCCVEGCSA-N 0 1 276.384 0.717 20 30 CCEDMN C[C@@H](Cc1ccc(C#N)cc1)n1cnc(C[C@H](N)C(N)=O)c1 ZINC001172308462 841915055 /nfs/dbraw/zinc/91/50/55/841915055.db2.gz KNLKUDJIBXWPFG-NHYWBVRUSA-N 0 1 297.362 0.914 20 30 CCEDMN COC(=O)[C@H]1CCC[C@H]([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)CC1 ZINC001172803141 841979806 /nfs/dbraw/zinc/97/98/06/841979806.db2.gz ZYUXETYQHUGFRR-BJDJZHNGSA-N 0 1 284.356 0.934 20 30 CCEDMN N#CCC1CCC(N2CCO[C@@H](C(N)=O)C2)CC1 ZINC001173337498 842045729 /nfs/dbraw/zinc/04/57/29/842045729.db2.gz MGYBILIELYJYDH-HTAVTVPLSA-N 0 1 251.330 0.645 20 30 CCEDMN N#C[C@@H]1CN([C@H]2CCC[C@H](n3ncnn3)C2)CCC1=O ZINC001173767001 842157942 /nfs/dbraw/zinc/15/79/42/842157942.db2.gz YXLVGDSOSZUBSD-WOPDTQHZSA-N 0 1 274.328 0.571 20 30 CCEDMN C#CC[NH2+]CCCC[C@H](C)NC(=O)c1[n-]nnc1C ZINC001174614350 842197521 /nfs/dbraw/zinc/19/75/21/842197521.db2.gz FKAKCNRITPBTMU-JTQLQIEISA-N 0 1 263.345 0.625 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1cnn(CC)n1 ZINC001177269676 842540682 /nfs/dbraw/zinc/54/06/82/842540682.db2.gz VCZVOZMPXXEYIC-LBPRGKRZSA-N 0 1 295.387 0.485 20 30 CCEDMN Cc1nc(CC(=O)Nc2cc(C#N)c(C#N)cc2N)n[nH]1 ZINC001177439987 842606093 /nfs/dbraw/zinc/60/60/93/842606093.db2.gz RAUDDUHJHGQIAA-UHFFFAOYSA-N 0 1 281.279 0.620 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)C#Cc1ccccn1 ZINC001177807171 842684152 /nfs/dbraw/zinc/68/41/52/842684152.db2.gz KFYOBEQUOUIBSS-UHFFFAOYSA-N 0 1 298.302 0.649 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1CCC(=O)NC1=O ZINC001177916539 842706895 /nfs/dbraw/zinc/70/68/95/842706895.db2.gz IJULBZLOEWXKTE-WPRPVWTQSA-N 0 1 291.332 0.352 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC1(CCO)COC1 ZINC001177915190 842707649 /nfs/dbraw/zinc/70/76/49/842707649.db2.gz KQAVXBYSKUOWQH-JTQLQIEISA-N 0 1 280.349 0.698 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H]1CNC(=O)O1 ZINC001177917852 842708914 /nfs/dbraw/zinc/70/89/14/842708914.db2.gz MORGQEDPUBETTL-DTWKUNHWSA-N 0 1 279.321 0.655 20 30 CCEDMN CC(C)(C(=O)Nc1nc[nH]c1C#N)c1cnccn1 ZINC001178191470 842785488 /nfs/dbraw/zinc/78/54/88/842785488.db2.gz MQESGSYYIAJRIU-UHFFFAOYSA-N 0 1 256.269 0.988 20 30 CCEDMN CC(C)N1CCN(CC(=O)N2CCC[C@H](C#N)C2)CC1 ZINC001180347484 843148852 /nfs/dbraw/zinc/14/88/52/843148852.db2.gz UXWGKDWCBYJZDF-CQSZACIVSA-N 0 1 278.400 0.775 20 30 CCEDMN O=C(NCC#C[C@H]1CCCCO1)c1n[nH]cc1[N+](=O)[O-] ZINC001180363330 843163714 /nfs/dbraw/zinc/16/37/14/843163714.db2.gz TUNMUNRNFXPOPX-SECBINFHSA-N 0 1 278.268 0.620 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@H](NCc2conc2C)C1 ZINC001182504505 843847609 /nfs/dbraw/zinc/84/76/09/843847609.db2.gz DWDLTVDRBDNYCV-GXTWGEPZSA-N 0 1 291.351 0.712 20 30 CCEDMN C#CC(C)(C)C(=O)NC1(C(=O)OCC)CCN(C)CC1 ZINC001182638984 843870700 /nfs/dbraw/zinc/87/07/00/843870700.db2.gz SXIWUARXSTWKDR-UHFFFAOYSA-N 0 1 280.368 0.790 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@@H]1CCN1C(C)C ZINC001182862313 843931102 /nfs/dbraw/zinc/93/11/02/843931102.db2.gz WXVCWRZEJIRLGF-NSHDSACASA-N 0 1 254.330 0.705 20 30 CCEDMN CC#CC[N@@H+]1C[C@@H]2CCN(C(=O)CCCC(=O)NC)[C@@H]2C1 ZINC001187645916 844739385 /nfs/dbraw/zinc/73/93/85/844739385.db2.gz IEVIBBMMDZMROE-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCCC(=O)NC)[C@@H]2C1 ZINC001187645916 844739393 /nfs/dbraw/zinc/73/93/93/844739393.db2.gz IEVIBBMMDZMROE-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN Cc1cc(C#N)nc(NC2(CNC(=O)c3cnn[nH]3)CC2)n1 ZINC001110396176 844759718 /nfs/dbraw/zinc/75/97/18/844759718.db2.gz MEKPSFRKOWMYLP-UHFFFAOYSA-N 0 1 298.310 0.149 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1CC[C@H]2CN(CC#N)C[C@H]21 ZINC001188107699 844832144 /nfs/dbraw/zinc/83/21/44/844832144.db2.gz WPXJTKTWCKLAKL-BFHYXJOUSA-N 0 1 296.390 0.723 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1ncc(C(F)(F)F)[nH]1 ZINC001188281109 844863888 /nfs/dbraw/zinc/86/38/88/844863888.db2.gz PWXNZKVACBWPCI-ZCFIWIBFSA-N 0 1 273.218 0.366 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)CC)C1 ZINC001188563836 844907513 /nfs/dbraw/zinc/90/75/13/844907513.db2.gz CKLUZOOSNHVOAR-RYUDHWBXSA-N 0 1 267.373 0.620 20 30 CCEDMN N#Cc1ncc(NS(=O)(=O)c2ccoc2)cn1 ZINC001188576255 844922719 /nfs/dbraw/zinc/92/27/19/844922719.db2.gz HZTJLUQIVDRGRF-UHFFFAOYSA-N 0 1 250.239 0.742 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)CCCC)C1 ZINC001188942183 845003713 /nfs/dbraw/zinc/00/37/13/845003713.db2.gz SCUZOELSWFMTSO-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2cncnc2C)C1 ZINC001189042288 845028080 /nfs/dbraw/zinc/02/80/80/845028080.db2.gz SXMDJOBVUDURIV-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cncnc2C)C1 ZINC001189042288 845028084 /nfs/dbraw/zinc/02/80/84/845028084.db2.gz SXMDJOBVUDURIV-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](CC)OC)C1 ZINC001189259842 845098588 /nfs/dbraw/zinc/09/85/88/845098588.db2.gz BRYPCNPADZPASM-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCOC2)C1 ZINC001189490628 845146868 /nfs/dbraw/zinc/14/68/68/845146868.db2.gz XAIBMBMNQFTDAP-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCOCCN1C[C@@H]2CCN(C(=O)c3cnon3)[C@@H]2C1 ZINC001189492117 845147807 /nfs/dbraw/zinc/14/78/07/845147807.db2.gz MPNFKMMOVZPNBK-WCQYABFASA-N 0 1 292.339 0.419 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC2(F)F)C1 ZINC001189996301 845307071 /nfs/dbraw/zinc/30/70/71/845307071.db2.gz SXKWMNAYKHFNST-NWDGAFQWSA-N 0 1 286.322 0.824 20 30 CCEDMN N#CC1(CNS(=O)(=O)c2ncc(F)cc2F)CC1 ZINC001190207780 845368409 /nfs/dbraw/zinc/36/84/09/845368409.db2.gz WFUVUHKIFKWKFX-UHFFFAOYSA-N 0 1 273.264 0.942 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)C2CCCC2)C1 ZINC001190319694 845385382 /nfs/dbraw/zinc/38/53/82/845385382.db2.gz RIANEIOMZLTJCT-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)c2ccoc2)C1 ZINC001190319627 845386655 /nfs/dbraw/zinc/38/66/55/845386655.db2.gz QIUWALYKWNKITE-CYBMUJFWSA-N 0 1 289.335 0.175 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ccns2)C1 ZINC001190421708 845401136 /nfs/dbraw/zinc/40/11/36/845401136.db2.gz AWMWJHUNTCZKND-GFCCVEGCSA-N 0 1 293.392 0.939 20 30 CCEDMN N#Cc1cnc(CNS(=O)(=O)c2ncc[nH]2)s1 ZINC001190755513 845472180 /nfs/dbraw/zinc/47/21/80/845472180.db2.gz VKFKLNDEEJGCNB-UHFFFAOYSA-N 0 1 269.311 0.216 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(CC)CCNC2=O)C1 ZINC001190765047 845491270 /nfs/dbraw/zinc/49/12/70/845491270.db2.gz FWTOZVOXYOYBRY-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001190829804 845512744 /nfs/dbraw/zinc/51/27/44/845512744.db2.gz RSFAMIWYEHRETE-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ccsn2)C1 ZINC001190848051 845521306 /nfs/dbraw/zinc/52/13/06/845521306.db2.gz LGOMLKKGZFBJRW-LBPRGKRZSA-N 0 1 293.392 0.939 20 30 CCEDMN C=CCCCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cn[nH]c2)C1 ZINC001191218093 845609149 /nfs/dbraw/zinc/60/91/49/845609149.db2.gz RKOVZECIBNBHDT-ZIAGYGMSSA-N 0 1 292.383 0.931 20 30 CCEDMN COC(=O)c1cncc(C(=O)Nc2nc[nH]c2C#N)c1 ZINC001191213535 845609339 /nfs/dbraw/zinc/60/93/39/845609339.db2.gz OHELJIZWBVRPMU-UHFFFAOYSA-N 0 1 271.236 0.715 20 30 CCEDMN CC(C)(O)C#Cc1ccc(C(=O)NCc2nnc[nH]2)cc1 ZINC001191188882 845613517 /nfs/dbraw/zinc/61/35/17/845613517.db2.gz LCARCFGLKLYNKR-UHFFFAOYSA-N 0 1 284.319 0.857 20 30 CCEDMN C=C(C)CS(=O)(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001191438626 845654306 /nfs/dbraw/zinc/65/43/06/845654306.db2.gz CFFPYHQMUXOYRC-UHFFFAOYSA-N 0 1 293.352 0.862 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCc2nccn2C)C1 ZINC001191480235 845658370 /nfs/dbraw/zinc/65/83/70/845658370.db2.gz VGWSDAHTGVVITL-CQSZACIVSA-N 0 1 288.395 0.909 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC(F)(F)F)C1 ZINC001191523443 845673874 /nfs/dbraw/zinc/67/38/74/845673874.db2.gz FOMMAMJITQWJEG-RKDXNWHRSA-N 0 1 264.247 0.123 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCCCOC)C1 ZINC001191631021 845699452 /nfs/dbraw/zinc/69/94/52/845699452.db2.gz NQVYIQFXMOHBSI-CHWSQXEVSA-N 0 1 270.373 0.541 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCN(C(=O)[C@@H](CCC)OC)C1 ZINC001191694062 845719055 /nfs/dbraw/zinc/71/90/55/845719055.db2.gz AANOWPZPARLAGF-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CCC)OC)C1 ZINC001191694062 845719057 /nfs/dbraw/zinc/71/90/57/845719057.db2.gz AANOWPZPARLAGF-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1C[N@@H+](CCCCCC)C[C@H]1O ZINC001191777043 845725170 /nfs/dbraw/zinc/72/51/70/845725170.db2.gz DJGFCYQIYARLGS-ZIAGYGMSSA-N 0 1 284.400 0.931 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001191798452 845739441 /nfs/dbraw/zinc/73/94/41/845739441.db2.gz FYQLTFGIOBGPGO-STQMWFEESA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCCCC(=O)N1CC[C@@H](N(C)[C@H]2CCNC2=O)C1 ZINC001192073786 845781028 /nfs/dbraw/zinc/78/10/28/845781028.db2.gz XCPNADSJXKSBQA-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN Cc1ncc(C(=O)Nc2cn[nH]c2C(N)=O)cc1C#N ZINC001192286288 845816128 /nfs/dbraw/zinc/81/61/28/845816128.db2.gz KSWNMYUKTMVDMQ-UHFFFAOYSA-N 0 1 270.252 0.336 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ncccc2O)C1 ZINC001192420879 845839276 /nfs/dbraw/zinc/83/92/76/845839276.db2.gz ZPBYYSRSVVTQGP-DGCLKSJQSA-N 0 1 291.351 0.528 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001192420879 845839283 /nfs/dbraw/zinc/83/92/83/845839283.db2.gz ZPBYYSRSVVTQGP-DGCLKSJQSA-N 0 1 291.351 0.528 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001192503804 845857074 /nfs/dbraw/zinc/85/70/74/845857074.db2.gz MMMZBKWYPTXLJP-VXGBXAGGSA-N 0 1 256.321 0.311 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[N@H+](CCCC=C)C[C@H]1O ZINC001192518310 845862874 /nfs/dbraw/zinc/86/28/74/845862874.db2.gz QKCNRZRWOKWHOA-ZIAGYGMSSA-N 0 1 264.369 0.917 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COC2CCOCC2)C1 ZINC001192622534 845887948 /nfs/dbraw/zinc/88/79/48/845887948.db2.gz NVKOSJLNJIHICR-AWEZNQCLSA-N 0 1 294.395 0.738 20 30 CCEDMN CCOC(=O)C(C#N)NC(=O)c1cnccc1C#N ZINC001192981291 845943294 /nfs/dbraw/zinc/94/32/94/845943294.db2.gz JLIAFHFXVPJNBR-SNVBAGLBSA-N 0 1 258.237 0.138 20 30 CCEDMN CN(C)C(=O)c1cccc(NS(=O)(=O)CC#N)c1 ZINC001192979088 845961304 /nfs/dbraw/zinc/96/13/04/845961304.db2.gz XMVADMRDSDGHLB-UHFFFAOYSA-N 0 1 267.310 0.654 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1nccnc1Br ZINC001193104645 845979196 /nfs/dbraw/zinc/97/91/96/845979196.db2.gz LPIOYKRKDSJRKC-YFKPBYRVSA-N 0 1 291.130 0.893 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cccc2c1CCNC2=O ZINC001193160319 846009899 /nfs/dbraw/zinc/00/98/99/846009899.db2.gz BYVWXGCJYIDZDU-QMMMGPOBSA-N 0 1 279.321 0.626 20 30 CCEDMN COC(=O)[C@H](C)N(C)C(=O)c1ccc(O)c(C#N)c1 ZINC001193503884 846099722 /nfs/dbraw/zinc/09/97/22/846099722.db2.gz IKBVLNXJNUNWAB-QMMMGPOBSA-N 0 1 262.265 0.897 20 30 CCEDMN N#Cc1cc(C(=O)N2CCS(=O)(=O)CC2)ccc1O ZINC001193506457 846101232 /nfs/dbraw/zinc/10/12/32/846101232.db2.gz MRBPPJYTVGGMFQ-UHFFFAOYSA-N 0 1 280.305 0.134 20 30 CCEDMN N#Cc1cc(C(=O)N2CCO[C@H](C#N)C2)ccc1O ZINC001193504115 846101809 /nfs/dbraw/zinc/10/18/09/846101809.db2.gz NGRLRBMWKAXYEB-LLVKDONJSA-N 0 1 257.249 0.628 20 30 CCEDMN CN1CCOC[C@H]1CNC(=O)c1ccc(O)c(C#N)c1 ZINC001193514631 846103091 /nfs/dbraw/zinc/10/30/91/846103091.db2.gz FXVROVQJPZVBJE-GFCCVEGCSA-N 0 1 275.308 0.324 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C(C)(C)C)C1 ZINC001193610143 846121457 /nfs/dbraw/zinc/12/14/57/846121457.db2.gz MEVHVUIPZMGRJX-STQMWFEESA-N 0 1 293.411 0.703 20 30 CCEDMN C[C@H]1C(=O)NCCN1C(=O)c1cccc(C#N)c1O ZINC001193644340 846134726 /nfs/dbraw/zinc/13/47/26/846134726.db2.gz VAJXSWCQDVYXNZ-QMMMGPOBSA-N 0 1 259.265 0.224 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C2CCC2)C1 ZINC001194023095 846199225 /nfs/dbraw/zinc/19/92/25/846199225.db2.gz AIKGMTMWAQUBEU-BPLDGKMQSA-N 0 1 296.411 0.787 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001194048919 846204452 /nfs/dbraw/zinc/20/44/52/846204452.db2.gz QRCMERUCMHVLHH-LBPRGKRZSA-N 0 1 288.351 0.283 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](CCCC)NC(N)=O)C1 ZINC001194448781 846292418 /nfs/dbraw/zinc/29/24/18/846292418.db2.gz LPQHDLAHESRVSE-OLZOCXBDSA-N 0 1 294.399 0.379 20 30 CCEDMN COCCn1cncc1C(=O)Nc1nc[nH]c1C#N ZINC001194637215 846336416 /nfs/dbraw/zinc/33/64/16/846336416.db2.gz NEJBQNVZDMLFHR-UHFFFAOYSA-N 0 1 260.257 0.377 20 30 CCEDMN C=CCC[N@H+](CCNC(=O)OC(C)(C)C)C[C@H](O)CO ZINC001195393325 846528440 /nfs/dbraw/zinc/52/84/40/846528440.db2.gz FTNMYKFLFIWHBN-LBPRGKRZSA-N 0 1 288.388 0.742 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)CCCCN2[C@H](CC)C(N)=O ZINC001273703862 846595515 /nfs/dbraw/zinc/59/55/15/846595515.db2.gz LHSAIHSZDWGWNB-IUODEOHRSA-N 0 1 277.368 0.341 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@](C)(C=C)CC)C1 ZINC001195924550 846649926 /nfs/dbraw/zinc/64/99/26/846649926.db2.gz WUJQJVYXNZIQLL-UMVBOHGHSA-N 0 1 264.369 0.773 20 30 CCEDMN N#Cc1ccc(NC(=O)c2cc(=O)cc(C(N)=O)o2)c(O)c1 ZINC001196200021 846704166 /nfs/dbraw/zinc/70/41/66/846704166.db2.gz FJMRHRUZYGBABU-UHFFFAOYSA-N 0 1 299.242 0.568 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccnc(CO)c1 ZINC001196248188 846712242 /nfs/dbraw/zinc/71/22/42/846712242.db2.gz ZMBDHJDVZDSGRJ-AWEZNQCLSA-N 0 1 275.352 0.954 20 30 CCEDMN C#CCCN1CCC[C@@H](NC[C@H](O)C(F)(F)F)C1=O ZINC001250992316 846727943 /nfs/dbraw/zinc/72/79/43/846727943.db2.gz FCNFUBVRSGBGCP-ZJUUUORDSA-N 0 1 278.274 0.514 20 30 CCEDMN C[C@H](C#N)OCCN1CCC[C@H](c2nnc(N)o2)C1 ZINC001196526341 846761281 /nfs/dbraw/zinc/76/12/81/846761281.db2.gz ORXFQPHLMNWHDX-ZJUUUORDSA-N 0 1 265.317 0.760 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](C)CC(N)=O)CC1 ZINC001196754343 846798829 /nfs/dbraw/zinc/79/88/29/846798829.db2.gz SBKSENXRJRGFGY-NSHDSACASA-N 0 1 253.346 0.218 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCCOC)C1 ZINC001197559168 846934597 /nfs/dbraw/zinc/93/45/97/846934597.db2.gz ONZKSMGJTQDCBG-BFHYXJOUSA-N 0 1 284.400 0.787 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1[nH]nc2c1CC[C@@H]2C ZINC001198463534 847115673 /nfs/dbraw/zinc/11/56/73/847115673.db2.gz NSNCZFGCISUVOR-CBAPKCEASA-N 0 1 276.296 0.644 20 30 CCEDMN C=CS(=O)(=O)Nc1c(C#N)cnc2c(C#N)cnn21 ZINC001198574392 847128888 /nfs/dbraw/zinc/12/88/88/847128888.db2.gz YZVSAYGLGWVUFQ-UHFFFAOYSA-N 0 1 274.265 0.358 20 30 CCEDMN C=C[C@H](C(=O)N[C@@H]1CN(CCO)C[C@H]1O)c1ccccc1 ZINC001198955361 847198805 /nfs/dbraw/zinc/19/88/05/847198805.db2.gz ATHXGAYVFBPGRD-RRFJBIMHSA-N 0 1 290.363 0.110 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCNC(=O)NC)C2 ZINC001110527622 847218370 /nfs/dbraw/zinc/21/83/70/847218370.db2.gz JEOMFHCKDXDCBH-UPJWGTAASA-N 0 1 292.383 0.050 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cnc(-c2cnccn2)nc1 ZINC001199287371 847283899 /nfs/dbraw/zinc/28/38/99/847283899.db2.gz YJERLXGSXJZDIV-UHFFFAOYSA-N 0 1 292.262 0.781 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2cn[nH]c2)CCCO1 ZINC001199921935 847481346 /nfs/dbraw/zinc/48/13/46/847481346.db2.gz ACYVSTJGZFFXBP-GFCCVEGCSA-N 0 1 298.774 0.983 20 30 CCEDMN C=CCN1CC[C@]2(CCN([C@H](COC)C(=O)OC)C2)C1=O ZINC001273803320 847531005 /nfs/dbraw/zinc/53/10/05/847531005.db2.gz FQAKKCDMYDTVLZ-IUODEOHRSA-N 0 1 296.367 0.285 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC(NCc2cnon2)CC1 ZINC001200335235 847608226 /nfs/dbraw/zinc/60/82/26/847608226.db2.gz YYBBUSCELTUCSV-CQSZACIVSA-N 0 1 294.355 0.477 20 30 CCEDMN C=CC[NH2+]C[C@H]1CN(C(=O)c2ncccc2[O-])CCCO1 ZINC001200330302 847608478 /nfs/dbraw/zinc/60/84/78/847608478.db2.gz VGMRNZYZVPIYSL-LBPRGKRZSA-N 0 1 291.351 0.794 20 30 CCEDMN CCN(C(=O)CNC/C=C/CNC(=O)[C@H](C)C#N)C1CC1 ZINC001273911745 847825660 /nfs/dbraw/zinc/82/56/60/847825660.db2.gz VIYYNVFBYYAEGX-ZYOFXKKJSA-N 0 1 292.383 0.419 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1cnc(C)nc1 ZINC001153149083 847934827 /nfs/dbraw/zinc/93/48/27/847934827.db2.gz RWQMNPXUBUTKJG-NSHDSACASA-N 0 1 278.356 0.582 20 30 CCEDMN C=C[C@](C)(O)CN1CCO[C@@]2(CCCN(C(C)=O)C2)C1 ZINC001252549440 848001618 /nfs/dbraw/zinc/00/16/18/848001618.db2.gz FCQGQENNYUPCKZ-GJZGRUSLSA-N 0 1 282.384 0.637 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(COC)CCCC1 ZINC001114381562 848029149 /nfs/dbraw/zinc/02/91/49/848029149.db2.gz YJEWNDRUYXYERV-WDNDVIMCSA-N 0 1 276.380 0.873 20 30 CCEDMN COCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001095331294 848230663 /nfs/dbraw/zinc/23/06/63/848230663.db2.gz KVFQPALBNWCAJX-RDBSUJKOSA-N 0 1 288.351 0.868 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CCO)c[nH]1 ZINC001095337440 848250217 /nfs/dbraw/zinc/25/02/17/848250217.db2.gz WJURBFNLKSFUQB-AGIUHOORSA-N 0 1 274.324 0.214 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccnn1C)C2 ZINC001095366768 848267201 /nfs/dbraw/zinc/26/72/01/848267201.db2.gz SKKXGOIZSIHQKL-RDBSUJKOSA-N 0 1 274.368 0.870 20 30 CCEDMN C=CCNC(=O)[C@@H]1CC12CCN(CC(=O)NC)CC2 ZINC001274071463 848340205 /nfs/dbraw/zinc/34/02/05/848340205.db2.gz HUXYDHRAIBKXJA-NSHDSACASA-N 0 1 265.357 0.137 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C[C@@H](O)C(F)(F)F ZINC001274536843 848497703 /nfs/dbraw/zinc/49/77/03/848497703.db2.gz OWLUHYUJOWADLA-GMTAPVOTSA-N 0 1 290.285 0.608 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CCNC(=O)C1 ZINC001275100414 848637117 /nfs/dbraw/zinc/63/71/17/848637117.db2.gz KPLKYJMQURXLJU-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN Cc1cc(CNC[C@H](C)NC(=O)[C@H](C)C#N)nn1C ZINC001275098743 848638303 /nfs/dbraw/zinc/63/83/03/848638303.db2.gz JSYRITPWNKPKTK-ZJUUUORDSA-N 0 1 263.345 0.483 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CCNC(=O)CC1 ZINC001275128562 848645391 /nfs/dbraw/zinc/64/53/91/848645391.db2.gz IQNUJAMIVBJISS-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1cscn1 ZINC001275521711 848748442 /nfs/dbraw/zinc/74/84/42/848748442.db2.gz VYEUAZBORIIOKA-NSHDSACASA-N 0 1 281.381 0.843 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCCOC1 ZINC001275519610 848749539 /nfs/dbraw/zinc/74/95/39/848749539.db2.gz ABNVZDYFXNJXIG-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCCN(C(N)=O)C1 ZINC001275599361 848771787 /nfs/dbraw/zinc/77/17/87/848771787.db2.gz FWYGPDWJLKFCFR-OLZOCXBDSA-N 0 1 294.399 0.237 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCCN(C(N)=O)C1 ZINC001275599362 848772411 /nfs/dbraw/zinc/77/24/11/848772411.db2.gz FWYGPDWJLKFCFR-QWHCGFSZSA-N 0 1 294.399 0.237 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001275689641 848792608 /nfs/dbraw/zinc/79/26/08/848792608.db2.gz KATJHWFNPVQYKS-STQMWFEESA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnn2ccncc12 ZINC001275849340 848845643 /nfs/dbraw/zinc/84/56/43/848845643.db2.gz DFBVIVGPNUDRGV-NSHDSACASA-N 0 1 271.324 0.413 20 30 CCEDMN C#CC[N@H+](C)[C@@H](C)CNC(=O)c1ccc2nnn(C)c2c1 ZINC001275988331 848883099 /nfs/dbraw/zinc/88/30/99/848883099.db2.gz YGJUALKAKKBGST-NSHDSACASA-N 0 1 285.351 0.652 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccc2nnn(C)c2c1 ZINC001275988331 848883102 /nfs/dbraw/zinc/88/31/02/848883102.db2.gz YGJUALKAKKBGST-NSHDSACASA-N 0 1 285.351 0.652 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCN(C)C1=O)C2 ZINC001095587389 848994496 /nfs/dbraw/zinc/99/44/96/848994496.db2.gz KABWAWAUGPOXAF-MQYQWHSLSA-N 0 1 291.395 0.762 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCC(=O)N1)C2 ZINC001095584128 848994802 /nfs/dbraw/zinc/99/48/02/848994802.db2.gz FHKQPRWNMRRYKZ-MQYQWHSLSA-N 0 1 289.379 0.400 20 30 CCEDMN CN(CCCNC(=O)c1ncn[nH]1)c1ccc(C#N)nc1 ZINC001095608725 848998802 /nfs/dbraw/zinc/99/88/02/848998802.db2.gz NMRHKGUITPERRW-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN CN(CCCNC(=O)c1nc[nH]n1)c1ccc(C#N)nc1 ZINC001095608725 848998810 /nfs/dbraw/zinc/99/88/10/848998810.db2.gz NMRHKGUITPERRW-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001095624773 849001916 /nfs/dbraw/zinc/00/19/16/849001916.db2.gz OJVXYZICDZXYAP-ZOBORPQBSA-N 0 1 276.384 0.716 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC(=O)NC1)C2 ZINC001095664593 849004664 /nfs/dbraw/zinc/00/46/64/849004664.db2.gz FSFHGFFZOYTVRJ-CRWXNKLISA-N 0 1 289.379 0.257 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)n(C)c1)C2 ZINC001095679149 849005970 /nfs/dbraw/zinc/00/59/70/849005970.db2.gz FMMXMXYICJUZFP-ILXRZTDVSA-N 0 1 299.374 0.744 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](COC)OC)C2 ZINC001111000604 849040454 /nfs/dbraw/zinc/04/04/54/849040454.db2.gz OBOAIRQBMKYFCA-MQYQWHSLSA-N 0 1 282.384 0.945 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccc(=O)[nH]c1)C2 ZINC001095775073 849137860 /nfs/dbraw/zinc/13/78/60/849137860.db2.gz KUGXCVJMMTZHMM-RDBSUJKOSA-N 0 1 285.347 0.684 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC(=O)N(C)C)C2 ZINC001111288755 849154101 /nfs/dbraw/zinc/15/41/01/849154101.db2.gz LKCMIWWIICVVFA-MCIONIFRSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOCC(F)(F)F ZINC001114510217 849228911 /nfs/dbraw/zinc/22/89/11/849228911.db2.gz MKNARWDVIGRWPX-IAZYJMLFSA-N 0 1 290.285 0.635 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccnc(C)n1 ZINC001114616443 849320140 /nfs/dbraw/zinc/32/01/40/849320140.db2.gz PLEYJVOGZWTVOO-QLPKVWCKSA-N 0 1 298.390 0.787 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccccn1 ZINC001114665247 849354170 /nfs/dbraw/zinc/35/41/70/849354170.db2.gz DJGSDWATAZKGPC-FOLVSLTJSA-N 0 1 269.348 0.694 20 30 CCEDMN C=C[C@H](O)CN1Cc2c(cnn2C)[C@@H](COCC)C1 ZINC001253577756 849528204 /nfs/dbraw/zinc/52/82/04/849528204.db2.gz YSJCFKIJWXFXOY-NEPJUHHUSA-N 0 1 265.357 0.903 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C1=COCCO1 ZINC001038030858 849652867 /nfs/dbraw/zinc/65/28/67/849652867.db2.gz GONBYZBHLHHRIK-LLVKDONJSA-N 0 1 250.298 0.088 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CCN1CCOC ZINC001038267243 849835552 /nfs/dbraw/zinc/83/55/52/849835552.db2.gz QVXZSKHOELXCPH-MJBXVCDLSA-N 0 1 282.384 0.805 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnc2n1CCC2 ZINC001038357038 849864132 /nfs/dbraw/zinc/86/41/32/849864132.db2.gz GBMFPVKMIBIKLK-GFCCVEGCSA-N 0 1 272.352 0.657 20 30 CCEDMN C=CCN1CC[C@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001038420043 849890012 /nfs/dbraw/zinc/89/00/12/849890012.db2.gz YKEHTVYPCQYHBE-STQMWFEESA-N 0 1 251.374 0.847 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC001038474170 849914764 /nfs/dbraw/zinc/91/47/64/849914764.db2.gz DIOWYAXSJABOMD-HUUCEWRRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001038561305 849942430 /nfs/dbraw/zinc/94/24/30/849942430.db2.gz GCIREFYQBIIPGE-OLZOCXBDSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001038561305 849942442 /nfs/dbraw/zinc/94/24/42/849942442.db2.gz GCIREFYQBIIPGE-OLZOCXBDSA-N 0 1 279.384 0.669 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)NC[C@@H]3CCN3CC#N)c2C1 ZINC001038859942 850051990 /nfs/dbraw/zinc/05/19/90/850051990.db2.gz NFTWNOMYEPEJFE-MNOVXSKESA-N 0 1 287.367 0.862 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC(=O)N(C)C1 ZINC001039383325 850182180 /nfs/dbraw/zinc/18/21/80/850182180.db2.gz VHSVRAPQTSDNQJ-MJBXVCDLSA-N 0 1 289.379 0.163 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1nccn1 ZINC001039381713 850182517 /nfs/dbraw/zinc/18/25/17/850182517.db2.gz XMJJGTUPEDYHSG-OLZOCXBDSA-N 0 1 275.356 0.529 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1nccc1C ZINC001039445179 850191008 /nfs/dbraw/zinc/19/10/08/850191008.db2.gz GEEHWKQIWBUZSJ-CABCVRRESA-N 0 1 286.379 0.890 20 30 CCEDMN N#CCN1CCC[C@@]2(CCN(C(=O)Cc3ncn[nH]3)C2)C1 ZINC001040488240 850295786 /nfs/dbraw/zinc/29/57/86/850295786.db2.gz JDNKIQKOZULSHX-CQSZACIVSA-N 0 1 288.355 0.185 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC[C@@]2(CCN(CC#N)C2)C1 ZINC001041408176 850445142 /nfs/dbraw/zinc/44/51/42/850445142.db2.gz LJOGAKPKNXWRBM-GOEBONIOSA-N 0 1 290.411 0.919 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cn[nH]c3)C[C@@H]21 ZINC001041948920 850537457 /nfs/dbraw/zinc/53/74/57/850537457.db2.gz ACEQPONWVRLKEU-AAEUAGOBSA-N 0 1 258.325 0.579 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H]3CCN(CC#N)[C@H]3C2)[nH]1 ZINC001041978839 850546885 /nfs/dbraw/zinc/54/68/85/850546885.db2.gz PPZPFMRPCDQAFP-AAEUAGOBSA-N 0 1 273.340 0.778 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cn(C)cn3)C[C@@H]21 ZINC001042020323 850558312 /nfs/dbraw/zinc/55/83/12/850558312.db2.gz VQVJDYDSQMZQEP-HIFRSBDPSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cncnc3)C[C@@H]21 ZINC001042024637 850559705 /nfs/dbraw/zinc/55/97/05/850559705.db2.gz ZAKNNOXTIOOFLN-JSGCOSHPSA-N 0 1 270.336 0.646 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cc3nnc(C)o3)C[C@@H]21 ZINC001042046923 850567806 /nfs/dbraw/zinc/56/78/06/850567806.db2.gz RCUNUIDVWDGPCK-STQMWFEESA-N 0 1 288.351 0.477 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cncn3C)C[C@@H]21 ZINC001042138092 850583837 /nfs/dbraw/zinc/58/38/37/850583837.db2.gz KRTNRHRUEJRVHQ-HIFRSBDPSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnco3)C[C@H]21 ZINC001042170672 850586552 /nfs/dbraw/zinc/58/65/52/850586552.db2.gz TVJPGPCDKAIDAL-NWDGAFQWSA-N 0 1 259.309 0.844 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H]1CNCc1nccn1C ZINC001044962138 851194992 /nfs/dbraw/zinc/19/49/92/851194992.db2.gz FKTNAEAPHYRKPZ-NEPJUHHUSA-N 0 1 275.356 0.660 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)C2=CCOCC2)CC1 ZINC001045414839 851254549 /nfs/dbraw/zinc/25/45/49/851254549.db2.gz IBDPAPKLUIFGNZ-UHFFFAOYSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001045528056 851272923 /nfs/dbraw/zinc/27/29/23/851272923.db2.gz OGFSRGAGGBQSSD-ITGUQSILSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccnnc1)C2 ZINC001096061518 851336606 /nfs/dbraw/zinc/33/66/06/851336606.db2.gz YGLMOKSYRJHFRX-RDBSUJKOSA-N 0 1 270.336 0.835 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@](C)(NC(=O)C3CC3)C2)C1=O ZINC001046092418 851368094 /nfs/dbraw/zinc/36/80/94/851368094.db2.gz IUSMLXOJZSMOGZ-CJNGLKHVSA-N 0 1 291.395 0.764 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc(C)nn2C)C1 ZINC001046175335 851409003 /nfs/dbraw/zinc/40/90/03/851409003.db2.gz HNRQCYKVMFISSG-OAHLLOKOSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCCOC2)C1 ZINC001046187272 851411956 /nfs/dbraw/zinc/41/19/56/851411956.db2.gz BJFKMHIJCLJDGF-JSGCOSHPSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001046325308 851467789 /nfs/dbraw/zinc/46/77/89/851467789.db2.gz VLOBNKGRWQFAGA-UBHSHLNASA-N 0 1 250.342 0.790 20 30 CCEDMN C[C@@]1(NC(=O)c2[nH]nc3c2CCC3)CCN(CC#N)C1 ZINC001046440311 851505262 /nfs/dbraw/zinc/50/52/62/851505262.db2.gz NXSBGEAAZOKDQW-CQSZACIVSA-N 0 1 273.340 0.616 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2c[nH]nc2C)C1 ZINC001046528867 851535347 /nfs/dbraw/zinc/53/53/47/851535347.db2.gz SOTWGKSNZIFKPE-CQSZACIVSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC001046569125 851551426 /nfs/dbraw/zinc/55/14/26/851551426.db2.gz GFYKFENJJXLKJO-XJKSGUPXSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cccc(=O)n2C)C1 ZINC001046606659 851562924 /nfs/dbraw/zinc/56/29/24/851562924.db2.gz ITQPPXYYQUSPJX-OAHLLOKOSA-N 0 1 273.336 0.213 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001046625933 851569796 /nfs/dbraw/zinc/56/97/96/851569796.db2.gz LNTPSEPQSLFBGB-AWEZNQCLSA-N 0 1 276.340 0.494 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cnn3cc(C)cnc23)C1 ZINC001046667734 851580900 /nfs/dbraw/zinc/58/09/00/851580900.db2.gz YDQZAGRSOKMBHD-INIZCTEOSA-N 0 1 297.362 0.865 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cccnn2)C1 ZINC001046722005 851598115 /nfs/dbraw/zinc/59/81/15/851598115.db2.gz NBYFTQZRFHMLKH-AWEZNQCLSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001046804291 851616572 /nfs/dbraw/zinc/61/65/72/851616572.db2.gz CUYAIBOJMSETKF-HIFRSBDPSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(N(C)C)cn2)C1 ZINC001046860750 851634141 /nfs/dbraw/zinc/63/41/41/851634141.db2.gz OZDGQKFKTNXVJY-INIZCTEOSA-N 0 1 286.379 0.975 20 30 CCEDMN N#CCN1CCC(F)(F)[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001046950672 851649558 /nfs/dbraw/zinc/64/95/58/851649558.db2.gz LBEIOFGPHPRJQH-QMMMGPOBSA-N 0 1 284.270 0.015 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001047363976 851737954 /nfs/dbraw/zinc/73/79/54/851737954.db2.gz AMPYXZZDCRDAER-BJDJZHNGSA-N 0 1 288.338 0.967 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H](C)c2ccnn2C)C1 ZINC001047385519 851744722 /nfs/dbraw/zinc/74/47/22/851744722.db2.gz QHGYMDYMODZBLV-UBHSHLNASA-N 0 1 292.383 0.213 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CCC)[nH]n2)C1 ZINC001047479588 851780545 /nfs/dbraw/zinc/78/05/45/851780545.db2.gz TURBUGAYQWOIAC-KBPBESRZSA-N 0 1 290.367 0.113 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)c2c[nH]c(=O)cc2C)C1 ZINC001047525434 851802622 /nfs/dbraw/zinc/80/26/22/851802622.db2.gz UASBLAKKJVCIJB-STQMWFEESA-N 0 1 291.351 0.399 20 30 CCEDMN CC(C)c1cc(C(=O)N(C)[C@H]2CN(CC#N)C[C@@H]2O)n[nH]1 ZINC001047537629 851807080 /nfs/dbraw/zinc/80/70/80/851807080.db2.gz UTYZYRUIIYVPBX-STQMWFEESA-N 0 1 291.355 0.174 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2CCCOC2)C1 ZINC001047629518 851842179 /nfs/dbraw/zinc/84/21/79/851842179.db2.gz JEGQPCVEWHNAQN-IHRRRGAJSA-N 0 1 282.384 0.493 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCc3nc[nH]c3C1)C2 ZINC001096296944 851908418 /nfs/dbraw/zinc/90/84/18/851908418.db2.gz OYKPTYUIYXVUBE-MPTQWLOMSA-N 0 1 298.390 0.869 20 30 CCEDMN C=C(C)C[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nnc[nH]1)C2 ZINC001096308426 851914693 /nfs/dbraw/zinc/91/46/93/851914693.db2.gz JMRCNTDVYCUKSY-WOPDTQHZSA-N 0 1 275.356 0.645 20 30 CCEDMN C[C@H]1CN(C(=O)C#CC2CC2)C[C@@H]1CNCc1cnon1 ZINC001048253243 851922668 /nfs/dbraw/zinc/92/26/68/851922668.db2.gz OCYNFGXOZYRCAU-AAEUAGOBSA-N 0 1 288.351 0.667 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001096337488 851935657 /nfs/dbraw/zinc/93/56/57/851935657.db2.gz CBHQVPVNPIBLCX-IUCAKERBSA-N 0 1 285.311 0.207 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@H]3CN(CC#N)C[C@H]3C2)[nH]1 ZINC001048726584 852035429 /nfs/dbraw/zinc/03/54/29/852035429.db2.gz JWBLOGRZHCFJHY-PHIMTYICSA-N 0 1 259.313 0.246 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CN(Cc3cncn3C)C[C@H]2C1 ZINC001048728186 852036564 /nfs/dbraw/zinc/03/65/64/852036564.db2.gz WUBPATRMCMJMDF-RWMBFGLXSA-N 0 1 287.367 0.470 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CN(CC(N)=O)C[C@H]2C1 ZINC001049035369 852145550 /nfs/dbraw/zinc/14/55/50/852145550.db2.gz LJDRPWKWUXYKTC-TYNCELHUSA-N 0 1 279.384 0.464 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@H]3[C@H]2CCN3CC#N)[nH]1 ZINC001049381451 852253208 /nfs/dbraw/zinc/25/32/08/852253208.db2.gz KXWAMKWLTXCGOC-QWHCGFSZSA-N 0 1 273.340 0.921 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCC[C@@H]3[C@H]2CC[N@@H+]3CCO)c1 ZINC001049401595 852260940 /nfs/dbraw/zinc/26/09/40/852260940.db2.gz NWZUAZONMXHWCS-ZIAGYGMSSA-N 0 1 288.351 0.558 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCC[C@@H]3[C@@H]2CC[N@@H+]3CCO)c1 ZINC001049401593 852262951 /nfs/dbraw/zinc/26/29/51/852262951.db2.gz NWZUAZONMXHWCS-KGLIPLIRSA-N 0 1 288.351 0.558 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1coc(OC)n1 ZINC001049622593 852322017 /nfs/dbraw/zinc/32/20/17/852322017.db2.gz WAMUCTJACZGJHB-QWHCGFSZSA-N 0 1 289.335 0.995 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ncccn1 ZINC001049799416 852375287 /nfs/dbraw/zinc/37/52/87/852375287.db2.gz VPYKUMUPMKHQPI-OLZOCXBDSA-N 0 1 270.336 0.789 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](Nc1ncnc2[nH]cnc21)C1CC1 ZINC001096599055 852398122 /nfs/dbraw/zinc/39/81/22/852398122.db2.gz VFFWKNQGPOMLDY-WPRPVWTQSA-N 0 1 299.338 0.819 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN1CCOCC1)C2 ZINC001096976489 852476059 /nfs/dbraw/zinc/47/60/59/852476059.db2.gz IOVTYUZHHOZRQA-KFWWJZLASA-N 0 1 291.395 0.063 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1COC(=O)C1)C2 ZINC001096955752 852477888 /nfs/dbraw/zinc/47/78/88/852477888.db2.gz OHPIDRFFRGLNGW-LOWDOPEQSA-N 0 1 276.336 0.294 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CCNC(=O)C1)C2 ZINC001097321808 852527716 /nfs/dbraw/zinc/52/77/16/852527716.db2.gz WKCLGHQCRWQMKT-NFFDBFGFSA-N 0 1 291.395 0.810 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCC(=O)NC1)C2 ZINC001097400588 852533004 /nfs/dbraw/zinc/53/30/04/852533004.db2.gz OLZAETWCGXEZFW-LPWJVIDDSA-N 0 1 277.368 0.420 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccn3nnnc3c1)C2 ZINC001097635681 852551941 /nfs/dbraw/zinc/55/19/41/852551941.db2.gz FQZAVFMSLUKSRI-UPJWGTAASA-N 0 1 298.350 0.645 20 30 CCEDMN C[C@@H](CNC(=O)[C@H]1CCCN1C)Nc1ncccc1C#N ZINC001097729927 852592674 /nfs/dbraw/zinc/59/26/74/852592674.db2.gz PAAPMIYBZYSNLZ-WCQYABFASA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@H](CNC(=O)[C@H]1CCCN1C)Nc1ccncc1C#N ZINC001097730677 852595525 /nfs/dbraw/zinc/59/55/25/852595525.db2.gz WYXAVUWYZCWOSK-BXUZGUMPSA-N 0 1 287.367 0.386 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CCNC1=O)C2 ZINC001097837050 852631914 /nfs/dbraw/zinc/63/19/14/852631914.db2.gz FVAPUHBGFRVAOQ-NFFDBFGFSA-N 0 1 289.379 0.257 20 30 CCEDMN N#CCN1CC2(C1)CC[C@@H](CNC(=O)c1[nH]ncc1F)O2 ZINC001053658118 852774521 /nfs/dbraw/zinc/77/45/21/852774521.db2.gz QTSKBWOPCCHILZ-VIFPVBQESA-N 0 1 293.302 0.036 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)Cc1cc(C)n[nH]1)CO2 ZINC001053772135 852815078 /nfs/dbraw/zinc/81/50/78/852815078.db2.gz GUMUNZIBZLIFJH-CYBMUJFWSA-N 0 1 290.367 0.406 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCC[C@@]2(CCN(CC#N)C2)C1 ZINC001054100644 852884041 /nfs/dbraw/zinc/88/40/41/852884041.db2.gz GFGNUOFGEOBWMS-ZBFHGGJFSA-N 0 1 290.411 0.919 20 30 CCEDMN COC(=O)CC[C@H](N[C@@H]1CC[C@H](C#N)C1)C(N)=O ZINC001254647859 852900006 /nfs/dbraw/zinc/90/00/06/852900006.db2.gz ITVHZFQFBUUWJX-AEJSXWLSSA-N 0 1 253.302 0.075 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cn2nccn2)C[C@H]1C ZINC001054700010 852998307 /nfs/dbraw/zinc/99/83/07/852998307.db2.gz XNPUEMVCXICNIV-MWLCHTKSSA-N 0 1 283.763 0.467 20 30 CCEDMN C#CCCCC(=O)NC1CCN(CCNC(=O)CC)CC1 ZINC001055473610 853074465 /nfs/dbraw/zinc/07/44/65/853074465.db2.gz XNSANYIZOYMXEH-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCCCCC(=O)NCCN1CCC(NC(C)=O)CC1 ZINC001055563545 853086521 /nfs/dbraw/zinc/08/65/21/853086521.db2.gz HNKQYAAETPSKEQ-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN Cc1cc(C(=O)N2CCN(c3nccnc3C#N)CC2)n[nH]1 ZINC001055738249 853111279 /nfs/dbraw/zinc/11/12/79/853111279.db2.gz LPCDCMHXVQSTAW-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN C=CCN1CCOC[C@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001050852454 853462401 /nfs/dbraw/zinc/46/24/01/853462401.db2.gz FYWJCHGFRKYPEB-GFCCVEGCSA-N 0 1 278.356 0.643 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCO[C@H](CNCc2ccccn2)C1 ZINC001051495463 853596770 /nfs/dbraw/zinc/59/67/70/853596770.db2.gz VBMUBDWARCCOTG-GXTWGEPZSA-N 0 1 288.351 0.558 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCO[C@@H](CNCc2cnns2)C1 ZINC001051495212 853597282 /nfs/dbraw/zinc/59/72/82/853597282.db2.gz SHJBSQKBRMAIDU-UWVGGRQHSA-N 0 1 295.368 0.015 20 30 CCEDMN C=C(C)CN1CCN([C@@H]2CCN(C(=O)[C@@H](C)OC)C2)CC1 ZINC001051990491 853671684 /nfs/dbraw/zinc/67/16/84/853671684.db2.gz CDQSUEWGSLJHLK-HUUCEWRRSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001052312451 853729503 /nfs/dbraw/zinc/72/95/03/853729503.db2.gz YNQLGCFVIYYREP-LLVKDONJSA-N 0 1 276.340 0.641 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H](NC(=O)c3cnn[nH]3)C2)nc1 ZINC001058268401 853808058 /nfs/dbraw/zinc/80/80/58/853808058.db2.gz JDWGWVFOBPSBMB-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001058314407 853823276 /nfs/dbraw/zinc/82/32/76/853823276.db2.gz JCHZWKMDBQEARM-UKRRQHHQSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001058314407 853823277 /nfs/dbraw/zinc/82/32/77/853823277.db2.gz JCHZWKMDBQEARM-UKRRQHHQSA-N 0 1 299.378 0.742 20 30 CCEDMN Cc1cc(N2CC[C@@H](NC(=O)c3ncn[nH]3)C2)c(C#N)cn1 ZINC001058422746 853843758 /nfs/dbraw/zinc/84/37/58/853843758.db2.gz MMTITVCSAKKHNC-LLVKDONJSA-N 0 1 297.322 0.389 20 30 CCEDMN Cc1cc(N2CC[C@@H](NC(=O)c3nc[nH]n3)C2)c(C#N)cn1 ZINC001058422746 853843766 /nfs/dbraw/zinc/84/37/66/853843766.db2.gz MMTITVCSAKKHNC-LLVKDONJSA-N 0 1 297.322 0.389 20 30 CCEDMN N#Cc1cnccc1NCC1CC(NC(=O)c2cnn[nH]2)C1 ZINC001067900909 853864026 /nfs/dbraw/zinc/86/40/26/853864026.db2.gz IYNBFSYHOQAQKK-UHFFFAOYSA-N 0 1 297.322 0.114 20 30 CCEDMN Cc1ccc(C#N)c(N[C@@H](C)CNC(=O)Cc2nnc[nH]2)n1 ZINC001098056049 853878346 /nfs/dbraw/zinc/87/83/46/853878346.db2.gz CLWXERSXALBMLT-JTQLQIEISA-N 0 1 299.338 0.539 20 30 CCEDMN C[C@H]1[C@H](Nc2cncc(C#N)n2)CCN1C(=O)c1ccn[nH]1 ZINC001068748992 853921828 /nfs/dbraw/zinc/92/18/28/853921828.db2.gz AEKMHELSDGSXQW-GXSJLCMTSA-N 0 1 297.322 0.786 20 30 CCEDMN C[C@@H]1C[C@@H](Nc2ccnc(C#N)n2)CN1C(=O)c1ccn[nH]1 ZINC001069018545 853939621 /nfs/dbraw/zinc/93/96/21/853939621.db2.gz PHTBIRAULJHLKT-NXEZZACHSA-N 0 1 297.322 0.208 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)C1CC(Nc2cncc(C#N)n2)C1 ZINC001069708566 853971496 /nfs/dbraw/zinc/97/14/96/853971496.db2.gz JCQXBSYOFLONAK-UHFFFAOYSA-N 0 1 297.322 0.786 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)C1CC(Nc2ccnc(C#N)n2)C1 ZINC001069708637 853971832 /nfs/dbraw/zinc/97/18/32/853971832.db2.gz MPYXHDZGMNJFKT-UHFFFAOYSA-N 0 1 297.322 0.208 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C(C)(C)F)C[C@@H]1n1ccnn1 ZINC001070122860 854026395 /nfs/dbraw/zinc/02/63/95/854026395.db2.gz OPXFLKSKMCFZEI-MNOVXSKESA-N 0 1 279.319 0.001 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)C[C@@H]1n1ccnn1 ZINC001070257052 854038360 /nfs/dbraw/zinc/03/83/60/854038360.db2.gz FTAWQOABMCDMSB-FQKPHLNHSA-N 0 1 299.378 0.299 20 30 CCEDMN C=CCCN1CCOC[C@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070578153 854078237 /nfs/dbraw/zinc/07/82/37/854078237.db2.gz AXVIDRRMJFHHDJ-NSHDSACASA-N 0 1 279.344 0.390 20 30 CCEDMN C=CCCN1CCOC[C@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070578153 854078242 /nfs/dbraw/zinc/07/82/42/854078242.db2.gz AXVIDRRMJFHHDJ-NSHDSACASA-N 0 1 279.344 0.390 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cc[nH]n2)CC[C@H]1C ZINC001071403730 854177843 /nfs/dbraw/zinc/17/78/43/854177843.db2.gz CJTVTPCVRZIQFP-YPMHNXCESA-N 0 1 260.341 0.555 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2ccnn2C)CC[C@@H]1C ZINC001071466799 854210231 /nfs/dbraw/zinc/21/02/31/854210231.db2.gz HMKJYJZLCIGUQU-KBPBESRZSA-N 0 1 288.395 0.955 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CC[C@@H](C)N(CCO)C2)c1 ZINC001071813659 854299792 /nfs/dbraw/zinc/29/97/92/854299792.db2.gz CWDQVTQEFVHRSV-DOMZBBRYSA-N 0 1 287.363 0.638 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)CC[C@H]1C ZINC001071825576 854302278 /nfs/dbraw/zinc/30/22/78/854302278.db2.gz ZCJJSRFXHVAXOG-MNOVXSKESA-N 0 1 290.367 0.882 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CC[C@@H](C)N(CCO)C2)cn1 ZINC001072001747 854334425 /nfs/dbraw/zinc/33/44/25/854334425.db2.gz GHOGAFXSWIUTER-DOMZBBRYSA-N 0 1 287.363 0.638 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001072217870 854359516 /nfs/dbraw/zinc/35/95/16/854359516.db2.gz BWUHPLMVKRQSTB-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001072217870 854359520 /nfs/dbraw/zinc/35/95/20/854359520.db2.gz BWUHPLMVKRQSTB-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cncnc3C)C2)C1 ZINC001072512896 854404041 /nfs/dbraw/zinc/40/40/41/854404041.db2.gz NGKPJSINTNSGEZ-UHFFFAOYSA-N 0 1 270.336 0.566 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccnn3C)C2)C1 ZINC001072533113 854409239 /nfs/dbraw/zinc/40/92/39/854409239.db2.gz HFMFYXGADCESMV-UHFFFAOYSA-N 0 1 258.325 0.201 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3coc(C)n3)C2)C1 ZINC001072648253 854437794 /nfs/dbraw/zinc/43/77/94/854437794.db2.gz XRYIZCMNPJJXJN-UHFFFAOYSA-N 0 1 259.309 0.764 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn4c(n3)CCC4)C2)C1 ZINC001072750385 854456936 /nfs/dbraw/zinc/45/69/36/854456936.db2.gz RJEXMEDPXOCDHW-UHFFFAOYSA-N 0 1 284.363 0.610 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cn(C)nc3C)C2)C1 ZINC001072820431 854472547 /nfs/dbraw/zinc/47/25/47/854472547.db2.gz WMMPFFODFIGUQB-UHFFFAOYSA-N 0 1 286.379 0.439 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cccnn3)C2)C1 ZINC001073071319 854524878 /nfs/dbraw/zinc/52/48/78/854524878.db2.gz RACLVIWOSBBBMF-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCCN3C(C)=O)C2)C1 ZINC001073139157 854536280 /nfs/dbraw/zinc/53/62/80/854536280.db2.gz RCYHTFMXLMHBOJ-AWEZNQCLSA-N 0 1 289.379 0.165 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3nonc3C)C2)C1 ZINC001073488160 854564783 /nfs/dbraw/zinc/56/47/83/854564783.db2.gz SZCJBQQGGPFACL-UHFFFAOYSA-N 0 1 260.297 0.159 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cc(CC)n[nH]2)C1 ZINC001073539262 854583687 /nfs/dbraw/zinc/58/36/87/854583687.db2.gz GMBFYWMRODXLHZ-ZDUSSCGKSA-N 0 1 292.383 0.979 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ncoc2C)C1 ZINC001073547177 854588736 /nfs/dbraw/zinc/58/87/36/854588736.db2.gz CAYBINIBAGSMEM-LBPRGKRZSA-N 0 1 279.340 0.990 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnn(CC)c2)C1 ZINC001073556949 854595924 /nfs/dbraw/zinc/59/59/24/854595924.db2.gz UAGYVTNPESBXLO-CQSZACIVSA-N 0 1 292.383 0.910 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H]2CC2(F)F)C1 ZINC001073595418 854608688 /nfs/dbraw/zinc/60/86/88/854608688.db2.gz MWPVTGKNEAKBAP-RYUDHWBXSA-N 0 1 286.322 0.872 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2n[nH]cc2C)C1 ZINC001073598190 854609089 /nfs/dbraw/zinc/60/90/89/854609089.db2.gz RYFSMDYDQVQOBI-LBPRGKRZSA-N 0 1 278.356 0.725 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001074184810 854689899 /nfs/dbraw/zinc/68/98/99/854689899.db2.gz RXSIMGDLZFIBNT-KGLIPLIRSA-N 0 1 290.367 0.819 20 30 CCEDMN COc1cc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)[C@H]2C)on1 ZINC001074568506 854750005 /nfs/dbraw/zinc/75/00/05/854750005.db2.gz QFWFKLXSMDFYGN-NHCYSSNCSA-N 0 1 292.339 0.922 20 30 CCEDMN N#CCN1C[C@@H]2CCC[C@]2(NC(=O)Cc2cnc[nH]2)C1 ZINC001099052194 854909867 /nfs/dbraw/zinc/90/98/67/854909867.db2.gz UGUSKWONAIKRHQ-FZMZJTMJSA-N 0 1 273.340 0.446 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cn(CC)nn2)C1 ZINC001099099399 854913958 /nfs/dbraw/zinc/91/39/58/854913958.db2.gz UIWSNFQOVPJMFQ-WFASDCNBSA-N 0 1 287.367 0.516 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC(F)(F)F)[C@H](O)C1 ZINC001099723385 854976712 /nfs/dbraw/zinc/97/67/12/854976712.db2.gz HCYGUPFMDJHGGP-NXEZZACHSA-N 0 1 278.274 0.514 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCCCC)[C@@H](O)C1 ZINC001099754573 854985472 /nfs/dbraw/zinc/98/54/72/854985472.db2.gz HKCDZOUUNAVVCG-OLZOCXBDSA-N 0 1 270.373 0.541 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCC(F)(F)F)[C@H](O)C1 ZINC001099764386 854988423 /nfs/dbraw/zinc/98/84/23/854988423.db2.gz VEFJRYSCQRAYMZ-GHMZBOCLSA-N 0 1 292.301 0.904 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CCN(CC=C)C[C@@H]1O ZINC001099787647 854994405 /nfs/dbraw/zinc/99/44/05/854994405.db2.gz YQWQBNWJTKKFJK-KGLIPLIRSA-N 0 1 264.369 0.917 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)CC(C)(C)O)[C@H](O)C1 ZINC001099809259 854998701 /nfs/dbraw/zinc/99/87/01/854998701.db2.gz FFZOMCRGTVBDFU-NWDGAFQWSA-N 0 1 270.373 0.275 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C(C)(C)C=C)[C@H](O)C1 ZINC001099944289 855040262 /nfs/dbraw/zinc/04/02/62/855040262.db2.gz LLYLNBPFGVCCTN-VXGBXAGGSA-N 0 1 252.358 0.936 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CF)CCC2)[C@@H](O)C1 ZINC001100012799 855070731 /nfs/dbraw/zinc/07/07/31/855070731.db2.gz CXBAOFXHQBHSKT-RYUDHWBXSA-N 0 1 270.348 0.864 20 30 CCEDMN Cc1nsc(N(C)CCNC(=O)c2cnn[nH]2)c1C#N ZINC001100400452 855160636 /nfs/dbraw/zinc/16/06/36/855160636.db2.gz DXYCOZCDBIIZTC-UHFFFAOYSA-N 0 1 291.340 0.308 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCCN1c1ncnc2[nH]cnc21 ZINC001100809751 855228908 /nfs/dbraw/zinc/22/89/08/855228908.db2.gz ILWBNHXEZFZMRL-NXEZZACHSA-N 0 1 299.338 0.598 20 30 CCEDMN N#Cc1cccnc1N(CCNC(=O)c1cnn[nH]1)C1CC1 ZINC001101467778 855290089 /nfs/dbraw/zinc/29/00/89/855290089.db2.gz VJVYIVJQHUSVKX-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CN(CC(=O)NC)C[C@H]1C ZINC001101920668 855392225 /nfs/dbraw/zinc/39/22/25/855392225.db2.gz DTTJASMPLHVPQW-CHWSQXEVSA-N 0 1 279.384 0.220 20 30 CCEDMN CN(CCNc1cncc(C#N)n1)C(=O)Cc1c[nH]cn1 ZINC001101975828 855402730 /nfs/dbraw/zinc/40/27/30/855402730.db2.gz SCCKJJLKTQPOKV-UHFFFAOYSA-N 0 1 285.311 0.184 20 30 CCEDMN C#CC[N@H+]1C[C@@H](C)[C@H](CCNC(=O)c2[n-]nnc2C)C1 ZINC001103301060 855509106 /nfs/dbraw/zinc/50/91/06/855509106.db2.gz OIOSVNKXIUVRIR-ZYHUDNBSSA-N 0 1 275.356 0.434 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)c2[n-]nnc2C)C1 ZINC001103301060 855509109 /nfs/dbraw/zinc/50/91/09/855509109.db2.gz OIOSVNKXIUVRIR-ZYHUDNBSSA-N 0 1 275.356 0.434 20 30 CCEDMN C=CCCC(=O)N[C@]12CCC[C@H]1CN([C@H](C)C(N)=O)C2 ZINC001111648676 855583526 /nfs/dbraw/zinc/58/35/26/855583526.db2.gz JAWXCJFSSDWXHF-XUJVJEKNSA-N 0 1 279.384 0.797 20 30 CCEDMN N#Cc1cccnc1NC1(CNC(=O)c2ncn[nH]2)CCC1 ZINC001111830273 855596113 /nfs/dbraw/zinc/59/61/13/855596113.db2.gz SKASRZPGMLKMBE-UHFFFAOYSA-N 0 1 297.322 0.836 20 30 CCEDMN N#Cc1cccnc1NC1(CNC(=O)c2nc[nH]n2)CCC1 ZINC001111830273 855596119 /nfs/dbraw/zinc/59/61/19/855596119.db2.gz SKASRZPGMLKMBE-UHFFFAOYSA-N 0 1 297.322 0.836 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCN1CCCC1=O ZINC001115256123 855655213 /nfs/dbraw/zinc/65/52/13/855655213.db2.gz HJLNITXRGVDWQU-VIKVFOODSA-N 0 1 289.379 0.069 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)CCOC ZINC001115262389 855656585 /nfs/dbraw/zinc/65/65/85/855656585.db2.gz BCFDNJDDQZXPFC-BYNSBNAKSA-N 0 1 294.395 0.355 20 30 CCEDMN C[C@H](CN(C)C(=O)c1ccn[nH]1)Nc1cnc(C#N)cn1 ZINC001115656700 855686267 /nfs/dbraw/zinc/68/62/67/855686267.db2.gz PMSXOECJDJLZON-SECBINFHSA-N 0 1 285.311 0.644 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@@H](C)Nc2nccnc2C#N)[nH]1 ZINC001115657028 855686290 /nfs/dbraw/zinc/68/62/90/855686290.db2.gz XWRBHPQSLUQMEO-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN CC[C@H](C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001116025644 855712106 /nfs/dbraw/zinc/71/21/06/855712106.db2.gz QLSJNQTZMPIMTJ-ZWVWWYOOSA-N 0 1 265.313 0.675 20 30 CCEDMN C=CCO[C@@H]1CCN([C@H](C)C(=O)NC[C@@H]2CCCO2)C1 ZINC001116555788 855788010 /nfs/dbraw/zinc/78/80/10/855788010.db2.gz IOFROSUWWFWRLZ-HZSPNIEDSA-N 0 1 282.384 0.947 20 30 CCEDMN C=CCO[C@@H]1CCN([C@@H](C)C(=O)NC[C@@H]2CCCO2)C1 ZINC001116555789 855788024 /nfs/dbraw/zinc/78/80/24/855788024.db2.gz IOFROSUWWFWRLZ-MELADBBJSA-N 0 1 282.384 0.947 20 30 CCEDMN CC(=Cc1cn(C)nn1)c1nc(C)c(C#N)c(=O)[nH]1 ZINC001116857067 855850300 /nfs/dbraw/zinc/85/03/00/855850300.db2.gz FVJVDJAOERJOMV-DAXSKMNVSA-N 0 1 256.269 0.639 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)[C@@H](C)n1cnc(C#N)n1 ZINC001116903160 855861216 /nfs/dbraw/zinc/86/12/16/855861216.db2.gz PSKSXQBIOCPXHN-MRVPVSSYSA-N 0 1 259.273 0.059 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@H]1CCN(C2CC2)C1)C1CC1 ZINC001117121774 855918046 /nfs/dbraw/zinc/91/80/46/855918046.db2.gz HWHBFKWXINFFJY-NSHDSACASA-N 0 1 277.368 0.516 20 30 CCEDMN C#CCOCCN(C)[C@@H](C)C(=O)N1CCCCC1 ZINC001117993208 856129920 /nfs/dbraw/zinc/12/99/20/856129920.db2.gz PKKAGQOBFAOKRC-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CC[C@@H](NC(=O)c1ccc2cncn2c1C)C(=O)OC ZINC001117859008 856092053 /nfs/dbraw/zinc/09/20/53/856092053.db2.gz ZAVUJBQNGCHUES-CYBMUJFWSA-N 0 1 285.303 0.937 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)C(=O)N1CCC(C#N)CC1 ZINC001118504833 856320748 /nfs/dbraw/zinc/32/07/48/856320748.db2.gz TTYQBNZAVQNNNR-UHFFFAOYSA-N 0 1 275.312 0.130 20 30 CCEDMN C=CCOCCNC(=O)C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC001118632967 856374755 /nfs/dbraw/zinc/37/47/55/856374755.db2.gz PQSILLFMUSITAX-LBPRGKRZSA-N 0 1 297.399 0.294 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2cc(C)nc(CO)n2)C1 ZINC001119417261 856673287 /nfs/dbraw/zinc/67/32/87/856673287.db2.gz NVGNRPUYUCUYBF-GFCCVEGCSA-N 0 1 260.341 0.787 20 30 CCEDMN C#C[C@H](NC[C@@H]1[C@H](C(=O)OC)C1(F)F)[C@@H]1CCCO1 ZINC001119513465 856713180 /nfs/dbraw/zinc/71/31/80/856713180.db2.gz RJOXXIDXGIYMPO-VPOLOUISSA-N 0 1 273.279 0.811 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@]12CC[N@@H+](C1)CCC2)C(=O)[O-] ZINC001119579364 856753453 /nfs/dbraw/zinc/75/34/53/856753453.db2.gz ATZKTXONQDTZSE-FZMZJTMJSA-N 0 1 282.340 0.244 20 30 CCEDMN C=CCC[N@H+](C)CCNC(=O)c1[nH]c(=O)[nH]c(=O)c1OC ZINC001492816968 912294188 /nfs/dbraw/zinc/29/41/88/912294188.db2.gz NJJAGDZLJWJQTD-UHFFFAOYSA-N 0 1 296.327 0.134 20 30 CCEDMN C=CCC[N@@H+](C)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001492816968 912294203 /nfs/dbraw/zinc/29/42/03/912294203.db2.gz NJJAGDZLJWJQTD-UHFFFAOYSA-N 0 1 296.327 0.134 20 30 CCEDMN C=CCC[N@H+](C)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001492816968 912294217 /nfs/dbraw/zinc/29/42/17/912294217.db2.gz NJJAGDZLJWJQTD-UHFFFAOYSA-N 0 1 296.327 0.134 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@](C)(CNCc2cnon2)C1 ZINC001393143645 912419119 /nfs/dbraw/zinc/41/91/19/912419119.db2.gz LSZDGBOPJVAYLW-GXFFZTMASA-N 0 1 277.328 0.557 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C[C@H]2CC(=O)NC2=O)CC1 ZINC001323628879 912453115 /nfs/dbraw/zinc/45/31/15/912453115.db2.gz QNPRVTAWHNCKEY-VIFPVBQESA-N 0 1 299.758 0.030 20 30 CCEDMN CN1CCN(C(C)(C)CNC(=O)CCCC#N)CC1 ZINC001324042347 912642188 /nfs/dbraw/zinc/64/21/88/912642188.db2.gz NOSIAPONFVGPDF-UHFFFAOYSA-N 0 1 266.389 0.822 20 30 CCEDMN CS(=O)(=O)C[C@@H]1CCN(CCCSCC#N)C1 ZINC001324153190 912700093 /nfs/dbraw/zinc/70/00/93/912700093.db2.gz LBNXXXUOFAGDCH-LLVKDONJSA-N 0 1 276.427 1.000 20 30 CCEDMN COC(=O)CCCCC(=O)NC1(C#N)CCN(C)CC1 ZINC001324262118 912747687 /nfs/dbraw/zinc/74/76/87/912747687.db2.gz OHVLQNRHNTWYSR-UHFFFAOYSA-N 0 1 281.356 0.824 20 30 CCEDMN N#CCC[C@H](C#N)CN1CCN(CCCCO)CC1 ZINC001324296487 912765376 /nfs/dbraw/zinc/76/53/76/912765376.db2.gz FMHCIOMXQVZBMV-CQSZACIVSA-N 0 1 264.373 0.820 20 30 CCEDMN CC#CCN1CCC[C@@](C)(CNC(=O)c2nnn(C)n2)C1 ZINC001324956345 913117323 /nfs/dbraw/zinc/11/73/23/913117323.db2.gz ARVUSLDJYWHOHA-AWEZNQCLSA-N 0 1 290.371 0.065 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)/C=C/c2ccco2)C1 ZINC001325052754 913171250 /nfs/dbraw/zinc/17/12/50/913171250.db2.gz BHKBSRKSAAGQNH-MOEXGYKKSA-N 0 1 288.347 0.869 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)C[C@@H]1CCCO1 ZINC001394732355 913378424 /nfs/dbraw/zinc/37/84/24/913378424.db2.gz ZOIBLWWRCLWVKE-NEPJUHHUSA-N 0 1 290.791 0.717 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC001325399737 913387761 /nfs/dbraw/zinc/38/77/61/913387761.db2.gz QJBKSKZKJRECPA-BETUJISGSA-N 0 1 277.368 0.259 20 30 CCEDMN CCn1ccnc1C(C#N)C(=O)[C@H](C)NC(=O)OC ZINC001344863726 891395479 /nfs/dbraw/zinc/39/54/79/891395479.db2.gz JWRNRHJOZCKXKU-IUCAKERBSA-N 0 1 264.285 0.824 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)[C@@H]2CC2(F)F)CC1 ZINC001325794532 913578361 /nfs/dbraw/zinc/57/83/61/913578361.db2.gz OSSXEVPKTGCIIE-NSHDSACASA-N 0 1 286.326 0.335 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)CC[C@@H](C)OC)C1 ZINC001325831221 913600612 /nfs/dbraw/zinc/60/06/12/913600612.db2.gz AZKFXRNLVASNLB-HIFRSBDPSA-N 0 1 284.400 0.931 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001481052085 892116990 /nfs/dbraw/zinc/11/69/90/892116990.db2.gz JWIFQFCJDHLYMK-DOMZBBRYSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)C2CCC2)C1 ZINC001481073929 892132789 /nfs/dbraw/zinc/13/27/89/892132789.db2.gz WSIIRMWOZILTTK-STQMWFEESA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481083819 892141592 /nfs/dbraw/zinc/14/15/92/892141592.db2.gz PXOFSVIBORFEPG-SNVBAGLBSA-N 0 1 279.344 0.415 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481083819 892141598 /nfs/dbraw/zinc/14/15/98/892141598.db2.gz PXOFSVIBORFEPG-SNVBAGLBSA-N 0 1 279.344 0.415 20 30 CCEDMN CC[C@@H](OC)C(=O)NCCN(CC#CCOC)C1CC1 ZINC001481160836 892252250 /nfs/dbraw/zinc/25/22/50/892252250.db2.gz RGNVYQPILLKUTG-CQSZACIVSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)CNC(C)=O)C1 ZINC001481388791 892608260 /nfs/dbraw/zinc/60/82/60/892608260.db2.gz DOMYUCFXQVVZDK-ZDUSSCGKSA-N 0 1 267.373 0.621 20 30 CCEDMN CC(C)N1CCC[C@H]1C(=O)[C@@H](C#N)C(=O)NC1CC1 ZINC001342049591 892661354 /nfs/dbraw/zinc/66/13/54/892661354.db2.gz SXTXBYIPBLEIRH-NEPJUHHUSA-N 0 1 263.341 0.847 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(C(N)=O)co1 ZINC001028127450 892873134 /nfs/dbraw/zinc/87/31/34/892873134.db2.gz IPCGJIWQOMLYJG-NSHDSACASA-N 0 1 277.324 0.759 20 30 CCEDMN C=CCN1CC(CNC(=O)CCn2c(C)csc2=O)C1 ZINC001481629611 892929786 /nfs/dbraw/zinc/92/97/86/892929786.db2.gz PJDFSRKCZRKRJA-UHFFFAOYSA-N 0 1 295.408 0.842 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001481691841 893014535 /nfs/dbraw/zinc/01/45/35/893014535.db2.gz KPASZFCJMHOLNL-QWHCGFSZSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCOCCN1CC[C@@H](N(C)C(=O)c2n[nH]nc2C)C1 ZINC001481734265 893103360 /nfs/dbraw/zinc/10/33/60/893103360.db2.gz DXZIREXDTVOOEK-GFCCVEGCSA-N 0 1 293.371 0.462 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H](C)C#N)NCc1nccn1C ZINC001481796677 893164941 /nfs/dbraw/zinc/16/49/41/893164941.db2.gz GPXNVOGOBFCDBN-QWRGUYRKSA-N 0 1 263.345 0.564 20 30 CCEDMN C=CCC[C@@H](C)N1CCO[C@H](CNC(=O)CNC(C)=O)C1 ZINC001482178469 893464230 /nfs/dbraw/zinc/46/42/30/893464230.db2.gz KCCSVVAIHSSQCO-TZMCWYRMSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)[C@H]2CCC(=O)N2)C1 ZINC001482185694 893477787 /nfs/dbraw/zinc/47/77/87/893477787.db2.gz VNTRVJAUWYKCRK-CHWSQXEVSA-N 0 1 295.383 0.048 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)[C@H](C)CNCc1cnns1 ZINC001482276495 893744839 /nfs/dbraw/zinc/74/48/39/893744839.db2.gz AKVUOLAYXQQTIP-ZWNOBZJWSA-N 0 1 298.412 0.802 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)CCCC(=O)NC)CC1 ZINC001284901485 893755588 /nfs/dbraw/zinc/75/55/88/893755588.db2.gz QFFULQOKNHQCPS-UHFFFAOYSA-N 0 1 291.395 0.674 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ccc2n[nH]nc2n1 ZINC001496714023 894015735 /nfs/dbraw/zinc/01/57/35/894015735.db2.gz FQZWKOKBUXHRKA-UHFFFAOYSA-N 0 1 286.339 0.380 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)CC1CCOCC1 ZINC001482586732 894295470 /nfs/dbraw/zinc/29/54/70/894295470.db2.gz VTEUVTZLMDUFCZ-UHFFFAOYSA-N 0 1 296.411 0.843 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1ccsn1 ZINC001482605340 894394764 /nfs/dbraw/zinc/39/47/64/894394764.db2.gz YURSFSFEOKPMLA-UHFFFAOYSA-N 0 1 281.381 0.797 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H]1CN(C)C(=O)CN1CCCC1 ZINC001396477058 913895859 /nfs/dbraw/zinc/89/58/59/913895859.db2.gz HSXMLTDHUZNWBF-OLZOCXBDSA-N 0 1 292.383 0.301 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC001326347449 913898727 /nfs/dbraw/zinc/89/87/27/913898727.db2.gz FDJYIPOTVBRTOM-CYBMUJFWSA-N 0 1 277.328 0.395 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)Cn1cccn1 ZINC001482980072 894752041 /nfs/dbraw/zinc/75/20/41/894752041.db2.gz GQWOHBQNPYQUPA-NSCUHMNNSA-N 0 1 268.748 0.898 20 30 CCEDMN O=C(C#CC1CC1)NC/C=C/CNCC(=O)N1CCCC1 ZINC001483005983 894797603 /nfs/dbraw/zinc/79/76/03/894797603.db2.gz JUMVTLHMBKOQTE-OWOJBTEDSA-N 0 1 289.379 0.284 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001506621430 894878740 /nfs/dbraw/zinc/87/87/40/894878740.db2.gz LMVOVTPMWIATJE-RYUDHWBXSA-N 0 1 279.384 0.361 20 30 CCEDMN Cc1cc(CNC[C@@H](C)NC(=O)CSCC#N)ncn1 ZINC001483109899 894921671 /nfs/dbraw/zinc/92/16/71/894921671.db2.gz LWXPBAODHQVBPB-LLVKDONJSA-N 0 1 293.396 0.636 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](C)NC(=O)C(C)(C)F)C1=O ZINC001483305456 895429440 /nfs/dbraw/zinc/42/94/40/895429440.db2.gz HUWGEIOEKUKSHH-NWDGAFQWSA-N 0 1 299.390 0.958 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)C[C@H](C)NC(=O)C#CC1CC1 ZINC001483336176 895472548 /nfs/dbraw/zinc/47/25/48/895472548.db2.gz KPBIBGMRZSLZBR-JQWIXIFHSA-N 0 1 265.357 0.100 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCn2cncc2C1 ZINC001483355582 895492751 /nfs/dbraw/zinc/49/27/51/895492751.db2.gz IFKUJALYVFCWAP-CHWSQXEVSA-N 0 1 274.368 0.515 20 30 CCEDMN C#CCCCC(=O)N(C)CCCN[C@H](C)c1nnnn1C ZINC001483462704 895586908 /nfs/dbraw/zinc/58/69/08/895586908.db2.gz GMEJZGUNMXVZLN-GFCCVEGCSA-N 0 1 292.387 0.513 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)Cn1nccc1C ZINC001483684098 895848903 /nfs/dbraw/zinc/84/89/03/895848903.db2.gz RIZZJPWTYZUGTE-CYBMUJFWSA-N 0 1 262.357 0.958 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)Cn1ccnc1 ZINC001483704461 895882685 /nfs/dbraw/zinc/88/26/85/895882685.db2.gz ANUPEYTWVQSSGZ-CYBMUJFWSA-N 0 1 278.356 0.276 20 30 CCEDMN C=CCCOCC(=O)NC[C@H]1CCN1Cc1n[nH]c(C)n1 ZINC001483708463 895888698 /nfs/dbraw/zinc/88/86/98/895888698.db2.gz XGKLJWRBZOITEE-GFCCVEGCSA-N 0 1 293.371 0.396 20 30 CCEDMN C=CCCC(=O)NCC1(NCC(=O)NCC)CC1 ZINC001483721107 895912851 /nfs/dbraw/zinc/91/28/51/895912851.db2.gz OBWJBIOYHXUTEI-UHFFFAOYSA-N 0 1 253.346 0.327 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)/C=C(\C)CC)CC1 ZINC001483732966 895935523 /nfs/dbraw/zinc/93/55/23/895935523.db2.gz MIGYIFKNPRMIFO-XSNHNAGMSA-N 0 1 291.395 0.719 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C2(CC)CC2)CC1 ZINC001483766275 896031220 /nfs/dbraw/zinc/03/12/20/896031220.db2.gz WFWGFJFWNTWGLU-UHFFFAOYSA-N 0 1 279.384 0.717 20 30 CCEDMN C=CCOCCN1CC(N(C)C(=O)Cc2ccn[nH]2)C1 ZINC001483856537 896078899 /nfs/dbraw/zinc/07/88/99/896078899.db2.gz BPAXFNRKENUGBT-UHFFFAOYSA-N 0 1 278.356 0.297 20 30 CCEDMN C#CCC[N@@H+]1CC[C@](C)(NC(=O)COCC(F)F)C1 ZINC001484055754 896178776 /nfs/dbraw/zinc/17/87/76/896178776.db2.gz VYVVJRORNQOUCV-ZDUSSCGKSA-N 0 1 274.311 0.872 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCOCCOC)C1 ZINC001484072702 896182998 /nfs/dbraw/zinc/18/29/98/896182998.db2.gz AGWCBGSMNGOCCL-CQSZACIVSA-N 0 1 268.357 0.253 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCC1(C)CCN(CC#N)CC1 ZINC001484132291 896208937 /nfs/dbraw/zinc/20/89/37/896208937.db2.gz NRYLYMVOMCMLGB-CYBMUJFWSA-N 0 1 278.400 0.822 20 30 CCEDMN C[C@@]1(CNC(=O)CCc2nc[nH]n2)CCCN(CC#N)C1 ZINC001484155581 896209948 /nfs/dbraw/zinc/20/99/48/896209948.db2.gz XZQNYCVCJOPRRG-AWEZNQCLSA-N 0 1 290.371 0.479 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CCc2ccco2)C1 ZINC001484203818 896232960 /nfs/dbraw/zinc/23/29/60/896232960.db2.gz LIPGKWYRJMGRFX-OAHLLOKOSA-N 0 1 276.336 0.398 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@@H](CC)SC)C1 ZINC001484214545 896249848 /nfs/dbraw/zinc/24/98/48/896249848.db2.gz KMQJTKDIRQHFCG-OCCSQVGLSA-N 0 1 284.425 0.704 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@](O)(CNC(=O)Cc2cncs2)C1 ZINC001484222818 896252414 /nfs/dbraw/zinc/25/24/14/896252414.db2.gz DBXLSFUVBQEESP-CQSZACIVSA-N 0 1 295.408 0.815 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@](O)(CNC(=O)Cc2cncs2)C1 ZINC001484222817 896254870 /nfs/dbraw/zinc/25/48/70/896254870.db2.gz DBXLSFUVBQEESP-AWEZNQCLSA-N 0 1 295.408 0.815 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ocnc2CC)C1 ZINC001484237194 896267611 /nfs/dbraw/zinc/26/76/11/896267611.db2.gz UDAANYQDTDYAPO-CQSZACIVSA-N 0 1 277.324 0.037 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2sccc2OC)C1 ZINC001484246718 896274860 /nfs/dbraw/zinc/27/48/60/896274860.db2.gz BRVQKNVRKLCQTJ-AWEZNQCLSA-N 0 1 294.376 0.557 20 30 CCEDMN C[C@H](NCC#N)[C@H]1CCN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001484344789 896349922 /nfs/dbraw/zinc/34/99/22/896349922.db2.gz ANWQTXVOSBHZMB-UWVGGRQHSA-N 0 1 277.328 0.089 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CN(C(=O)C(C)(C)F)C[C@@H]2C1 ZINC001484400694 896375835 /nfs/dbraw/zinc/37/58/35/896375835.db2.gz ALQARUQYBKQYHL-TXEJJXNPSA-N 0 1 297.374 0.427 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)c2cc(C)n[nH]2)C1 ZINC001484427232 896394307 /nfs/dbraw/zinc/39/43/07/896394307.db2.gz CAMQIZGHMBVQQB-HNNXBMFYSA-N 0 1 292.383 0.851 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1COCCN1Cc1n[nH]c(C)n1 ZINC001484588947 896485199 /nfs/dbraw/zinc/48/51/99/896485199.db2.gz KUUIECRYZWMICX-GFCCVEGCSA-N 0 1 293.371 0.396 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2cocn2)CCO1 ZINC001484670384 896526853 /nfs/dbraw/zinc/52/68/53/896526853.db2.gz CKDFQTPQOLVTHE-JTQLQIEISA-N 0 1 285.731 0.858 20 30 CCEDMN C#CCN1CCOC[C@@H]1CNC(=O)CN1CCC(C)CC1 ZINC001326659193 914081281 /nfs/dbraw/zinc/08/12/81/914081281.db2.gz WVCZUFTZWGGKLW-HNNXBMFYSA-N 0 1 293.411 0.169 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)[C@@H](C)C#N)CCO1 ZINC001484671216 896527909 /nfs/dbraw/zinc/52/79/09/896527909.db2.gz MWIVPKHTPUYCCM-GXSJLCMTSA-N 0 1 271.748 0.716 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCN(C)C(=O)[C@@H](F)CC ZINC001484755016 896587775 /nfs/dbraw/zinc/58/77/75/896587775.db2.gz NMDTZPBIXVPGGH-STQMWFEESA-N 0 1 299.390 0.653 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](CC)OC ZINC001485051292 896735315 /nfs/dbraw/zinc/73/53/15/896735315.db2.gz MDJPQMAWMVFKJY-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN C=CC[N@H+](C)C[C@@H]1CCCN1C(=O)CC[NH+]1CCOCC1 ZINC001485074233 896756421 /nfs/dbraw/zinc/75/64/21/896756421.db2.gz UYFIWMZFYXPGKJ-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN CN(C(=O)CCc1nc[nH]n1)C1CCC(NCC#N)CC1 ZINC001485237069 896880923 /nfs/dbraw/zinc/88/09/23/896880923.db2.gz RNDZOVUNLFLOCM-UHFFFAOYSA-N 0 1 290.371 0.620 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1scnc1COC ZINC001485361081 896986565 /nfs/dbraw/zinc/98/65/65/896986565.db2.gz YCGZKROQTXXCMV-JTQLQIEISA-N 0 1 281.381 0.973 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2COCCN2CCCC)C1 ZINC001031874999 897090580 /nfs/dbraw/zinc/09/05/80/897090580.db2.gz CJAYXPFHOBJZSD-OAHLLOKOSA-N 0 1 295.427 0.721 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1[C@H]2CN([C@H](C)C(N)=O)C[C@H]21 ZINC001485595300 897137744 /nfs/dbraw/zinc/13/77/44/897137744.db2.gz VAHMFYDEBHQCRG-KKOKHZNYSA-N 0 1 265.357 0.120 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001032404437 897466650 /nfs/dbraw/zinc/46/66/50/897466650.db2.gz IBEGMDADLXDRHY-SDDRHHMPSA-N 0 1 285.351 0.638 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)NC(=O)Cc1nnc[nH]1 ZINC001107920768 897496945 /nfs/dbraw/zinc/49/69/45/897496945.db2.gz DGHJDPRDVZQXJY-SECBINFHSA-N 0 1 285.311 0.231 20 30 CCEDMN N#Cc1nccnc1NC[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001060820953 897532110 /nfs/dbraw/zinc/53/21/10/897532110.db2.gz OXWSAOADBOSMBT-JTQLQIEISA-N 0 1 297.322 0.646 20 30 CCEDMN C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCNC1=O ZINC001032443521 897573885 /nfs/dbraw/zinc/57/38/85/897573885.db2.gz QYAOMDMFELHLFE-AGIUHOORSA-N 0 1 277.368 0.374 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001032457433 897593135 /nfs/dbraw/zinc/59/31/35/897593135.db2.gz WWFHPVFXBXXCMX-IGQOVBAYSA-N 0 1 284.363 0.822 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)CCNC(=O)C1 ZINC001032473752 897616843 /nfs/dbraw/zinc/61/68/43/897616843.db2.gz BDOSJELFDYWKNF-HEHGZKQESA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001032535417 897715243 /nfs/dbraw/zinc/71/52/43/897715243.db2.gz JBVZRJHUPYLDCE-BYNSBNAKSA-N 0 1 298.390 0.832 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)nc[nH]c1=O ZINC001032581820 897772558 /nfs/dbraw/zinc/77/25/58/897772558.db2.gz MZOKUNRTHVYKEU-RYUDHWBXSA-N 0 1 286.335 0.413 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cnn(C)n1 ZINC001032631724 897850891 /nfs/dbraw/zinc/85/08/91/897850891.db2.gz VUNOBLJXWODQDM-KBPBESRZSA-N 0 1 289.383 0.609 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCO[C@H]1C=C ZINC001032638047 897868894 /nfs/dbraw/zinc/86/88/94/897868894.db2.gz GXDMNXAKKLTNDZ-XQLPTFJDSA-N 0 1 274.364 0.886 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCNC(=O)c2ncn[nH]2)C1 ZINC001077832737 897893879 /nfs/dbraw/zinc/89/38/79/897893879.db2.gz CNPJVHTVYVKKQC-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCNC(=O)c2nc[nH]n2)C1 ZINC001077832737 897893884 /nfs/dbraw/zinc/89/38/84/897893884.db2.gz CNPJVHTVYVKKQC-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCn1cc(C(=O)N2C[C@@H]3C[C@H]2CN3CC2CC2)nn1 ZINC001032671615 897913990 /nfs/dbraw/zinc/91/39/90/897913990.db2.gz YYYHGOQHUUTZQD-STQMWFEESA-N 0 1 287.367 0.773 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cncc(OC)n1 ZINC001032666412 897916297 /nfs/dbraw/zinc/91/62/97/897916297.db2.gz NWAUMZHWNVNKMR-RYUDHWBXSA-N 0 1 288.351 0.960 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ncccn1 ZINC001032693876 897936275 /nfs/dbraw/zinc/93/62/75/897936275.db2.gz WNBIVYRGPIOTLO-RYUDHWBXSA-N 0 1 258.325 0.951 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2oncc2C)C1 ZINC001077937102 898078068 /nfs/dbraw/zinc/07/80/68/898078068.db2.gz GADHISDNBCUKIH-GHMZBOCLSA-N 0 1 265.313 0.334 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3cc(C)n(C)n3)C2)C1=O ZINC001272880752 898114899 /nfs/dbraw/zinc/11/48/99/898114899.db2.gz MOOXWAYUIKUJCE-INIZCTEOSA-N 0 1 286.379 0.786 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2ccccc2)C1 ZINC001078019185 898183743 /nfs/dbraw/zinc/18/37/43/898183743.db2.gz HOOZINIFRCGXTR-NUEKZKHPSA-N 0 1 286.375 0.975 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2ccccc2)C1 ZINC001078036667 898195361 /nfs/dbraw/zinc/19/53/61/898195361.db2.gz HOOZINIFRCGXTR-FVQBIDKESA-N 0 1 286.375 0.975 20 30 CCEDMN C=CCCCC(=O)NCC[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001078097219 898232528 /nfs/dbraw/zinc/23/25/28/898232528.db2.gz IFIFMHPGHNBPIO-NSHDSACASA-N 0 1 293.371 0.715 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H](C)NC(=O)[C@@H]1CCCCN1C ZINC001078136563 898263384 /nfs/dbraw/zinc/26/33/84/898263384.db2.gz PNOMAXPVNPGIRZ-AGIUHOORSA-N 0 1 294.399 0.641 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccn2CC)C1 ZINC001078185534 898283481 /nfs/dbraw/zinc/28/34/81/898283481.db2.gz BXXZWGWNLGSHCV-TZMCWYRMSA-N 0 1 275.352 0.306 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1ccn(-c2ccncc2)n1 ZINC001116787819 898283523 /nfs/dbraw/zinc/28/35/23/898283523.db2.gz XMHRNIKFVLGNCE-UHFFFAOYSA-N 0 1 285.307 0.299 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccn2C(C)C)C1 ZINC001078188191 898284417 /nfs/dbraw/zinc/28/44/17/898284417.db2.gz WNQJEGZEMLWWHR-UKRRQHHQSA-N 0 1 289.379 0.867 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2c(C)cccc2F)C1 ZINC001078279937 898327667 /nfs/dbraw/zinc/32/76/67/898327667.db2.gz HCTDGYNVAJIQTA-ZIAGYGMSSA-N 0 1 290.338 0.932 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H](CC)SC ZINC001485987058 898573202 /nfs/dbraw/zinc/57/32/02/898573202.db2.gz IYMHNTVPTBGZHA-MNOVXSKESA-N 0 1 258.387 0.218 20 30 CCEDMN C=CCC[NH2+][C@H](CO)CNC(=O)c1ncccc1[O-] ZINC001485990258 898580713 /nfs/dbraw/zinc/58/07/13/898580713.db2.gz SGYYYWKGTDLMJI-JTQLQIEISA-N 0 1 265.313 0.044 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CCc1ccncc1 ZINC001486010610 898605332 /nfs/dbraw/zinc/60/53/32/898605332.db2.gz CWFRIZIVPYWQCT-CQSZACIVSA-N 0 1 275.352 0.104 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CNC(=O)c1cccc2nc[nH]c21 ZINC001397557053 914268189 /nfs/dbraw/zinc/26/81/89/914268189.db2.gz YCWQAFSDUMNLRH-UWVGGRQHSA-N 0 1 299.334 0.957 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@]2(NC(=O)CCC)CCC[C@@H]12 ZINC001486134723 898680795 /nfs/dbraw/zinc/68/07/95/898680795.db2.gz GQXSLNGDPHMCHE-CJNGLKHVSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)C[C@@H](O)CNCc1ccn(C)n1 ZINC001486295866 898762591 /nfs/dbraw/zinc/76/25/91/898762591.db2.gz NSBFRAONZRMDFS-ZDUSSCGKSA-N 0 1 294.399 0.541 20 30 CCEDMN C=CCCC(=O)N[C@@H](CO)CNC(=O)c1[nH]nc(C)c1C ZINC001287754516 898838881 /nfs/dbraw/zinc/83/88/81/898838881.db2.gz IFSHRXWUIHLGGK-LLVKDONJSA-N 0 1 294.355 0.200 20 30 CCEDMN CO[C@@H](C)C(=O)NC[C@H](CO)NCc1ccc(C#N)cc1 ZINC001410580669 899479595 /nfs/dbraw/zinc/47/95/95/899479595.db2.gz MBNROIDUDYNNEV-SMDDNHRTSA-N 0 1 291.351 0.160 20 30 CCEDMN C=C(Cl)CN[C@H](CO)CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001410676635 899526318 /nfs/dbraw/zinc/52/63/18/899526318.db2.gz KZRZTWFGATWWFV-HBNTYKKESA-N 0 1 298.774 0.332 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1nccc1C ZINC001134523696 899835797 /nfs/dbraw/zinc/83/57/97/899835797.db2.gz KHWZQDWRWSMVBF-UHFFFAOYSA-N 0 1 256.737 0.650 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001191911369 900019866 /nfs/dbraw/zinc/01/98/66/900019866.db2.gz FLGIBTZOVXGRQV-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cccc2c1CNC2=O ZINC001193140154 900033067 /nfs/dbraw/zinc/03/30/67/900033067.db2.gz SZKJNGWDFCTQLH-SSDOTTSWSA-N 0 1 265.294 0.584 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)CCc2cn(C)nn2)CC1 ZINC001327173916 914404976 /nfs/dbraw/zinc/40/49/76/914404976.db2.gz VORROJCWNMNVIK-ZDUSSCGKSA-N 0 1 289.383 0.352 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccnc(C)n2)C1 ZINC001194072552 900044556 /nfs/dbraw/zinc/04/45/56/900044556.db2.gz RGWSOZKYKTWCFK-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@H](C)CC)C1 ZINC001489148622 900438257 /nfs/dbraw/zinc/43/82/57/900438257.db2.gz DBGRNTQURQREJS-ZIAGYGMSSA-N 0 1 266.385 0.951 20 30 CCEDMN CC#CCN(CCO)[C@@H]1CCCN(C(=O)C(F)F)C1 ZINC001489152849 900443045 /nfs/dbraw/zinc/44/30/45/900443045.db2.gz NKEBIGDRICYOAH-LLVKDONJSA-N 0 1 274.311 0.560 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)C(=O)NCCCC#N)CN1C1CC1 ZINC001327274202 914458785 /nfs/dbraw/zinc/45/87/85/914458785.db2.gz FNCXSVYNXRUDRR-GHMZBOCLSA-N 0 1 278.356 0.148 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H](F)C(C)C ZINC001490237515 900575648 /nfs/dbraw/zinc/57/56/48/900575648.db2.gz PVLAJUQYUYXWJJ-CYBMUJFWSA-N 0 1 285.363 0.168 20 30 CCEDMN C[C@H](C(=O)N(C)C)N(CCCNC(=O)[C@@H](C)C#N)C1CC1 ZINC001490368276 900610035 /nfs/dbraw/zinc/61/00/35/900610035.db2.gz YUKKGRAMRWPBIZ-NWDGAFQWSA-N 0 1 294.399 0.593 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)COC(C)C)CC1 ZINC001490483580 900638179 /nfs/dbraw/zinc/63/81/79/900638179.db2.gz CARVXVXIABQVDY-UHFFFAOYSA-N 0 1 281.400 0.169 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)CNC(=O)CC)CC1 ZINC001490612364 900677070 /nfs/dbraw/zinc/67/70/70/900677070.db2.gz FZSCBOKJUSGQTL-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001294737860 900870764 /nfs/dbraw/zinc/87/07/64/900870764.db2.gz MEIZUIWHSHYVCB-LLVKDONJSA-N 0 1 272.308 0.154 20 30 CCEDMN C=C[C@H](COC)NC(=O)CCCCc1cn[nH]n1 ZINC001320323616 900923614 /nfs/dbraw/zinc/92/36/14/900923614.db2.gz JGICVSBYTHXQFY-SNVBAGLBSA-N 0 1 252.318 0.835 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@@H]1CCCN(C)C1 ZINC001322969269 901020192 /nfs/dbraw/zinc/02/01/92/901020192.db2.gz QDQRLSICKOHPLV-GFCCVEGCSA-N 0 1 265.357 0.117 20 30 CCEDMN CC(C)c1cc(C(=O)N(C)CCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001398016563 914502669 /nfs/dbraw/zinc/50/26/69/914502669.db2.gz STQXMXHVRHHNBW-JTQLQIEISA-N 0 1 291.355 0.881 20 30 CCEDMN N#CCCn1ccc(NC(=O)Cc2n[nH]c(C3CC3)n2)n1 ZINC001411813084 901265914 /nfs/dbraw/zinc/26/59/14/901265914.db2.gz YOMIACAECLHEAN-UHFFFAOYSA-N 0 1 285.311 0.973 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001492867779 914523559 /nfs/dbraw/zinc/52/35/59/914523559.db2.gz QPIQZDDBADKSTR-NSHDSACASA-N 0 1 260.341 0.196 20 30 CCEDMN C#CCCCC(=O)NCC(C)(C)[NH+]1CCN(C)CC1 ZINC001326350719 901388154 /nfs/dbraw/zinc/38/81/54/901388154.db2.gz INACQQDVQNJIQI-UHFFFAOYSA-N 0 1 265.401 0.932 20 30 CCEDMN C#CCCCC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001326350719 901388163 /nfs/dbraw/zinc/38/81/63/901388163.db2.gz INACQQDVQNJIQI-UHFFFAOYSA-N 0 1 265.401 0.932 20 30 CCEDMN N#CC1(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)CCOCC1 ZINC001412060727 901436443 /nfs/dbraw/zinc/43/64/43/901436443.db2.gz SBCBWVQZCNUMHJ-SNVBAGLBSA-N 0 1 290.327 0.226 20 30 CCEDMN Cc1cc(CCC(=O)N2CCN(CCC#N)CC2)n[nH]1 ZINC001412465914 901745404 /nfs/dbraw/zinc/74/54/04/901745404.db2.gz CVBWOZAKUBTQTD-UHFFFAOYSA-N 0 1 275.356 0.709 20 30 CCEDMN CN(CC(=O)N1CCC[C@@](C)(C#N)C1)[C@@H]1CCC[C@H]1O ZINC001412729580 901995220 /nfs/dbraw/zinc/99/52/20/901995220.db2.gz OFLRWVGPLYSCQB-NFAWXSAZSA-N 0 1 279.384 0.984 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCF ZINC001114701524 902261607 /nfs/dbraw/zinc/26/16/07/902261607.db2.gz KECHMBOLOOLMTK-IMRBUKKESA-N 0 1 268.332 0.432 20 30 CCEDMN N#Cc1cccc([C@@H](O)CN2CCC(NC(N)=O)CC2)c1 ZINC001412950322 902379281 /nfs/dbraw/zinc/37/92/81/902379281.db2.gz PMNGOXCKZRIOEB-AWEZNQCLSA-N 0 1 288.351 0.724 20 30 CCEDMN N#CC1(c2ccc(C(=O)NCc3n[nH]c(CO)n3)cc2)CC1 ZINC001413310364 902862698 /nfs/dbraw/zinc/86/26/98/902862698.db2.gz PACSDHXVGBFUHK-UHFFFAOYSA-N 0 1 297.318 0.782 20 30 CCEDMN N#CC1(c2ccc(C(=O)NCc3nnc(CO)[nH]3)cc2)CC1 ZINC001413310364 902862712 /nfs/dbraw/zinc/86/27/12/902862712.db2.gz PACSDHXVGBFUHK-UHFFFAOYSA-N 0 1 297.318 0.782 20 30 CCEDMN N#Cc1cnccc1N1CCC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001058183414 903082472 /nfs/dbraw/zinc/08/24/72/903082472.db2.gz MRDALVCZWVZGFO-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cnccc1N1CCC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001058183414 903082481 /nfs/dbraw/zinc/08/24/81/903082481.db2.gz MRDALVCZWVZGFO-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)NCc1cc(C)ncn1 ZINC001491414691 903562869 /nfs/dbraw/zinc/56/28/69/903562869.db2.gz MTOMHUNUPJXNMM-GFCCVEGCSA-N 0 1 278.356 0.582 20 30 CCEDMN CN1CC2(C1)CN(C(=O)c1[nH]nc3cc(C#N)ccc31)C2 ZINC001156884939 903764559 /nfs/dbraw/zinc/76/45/59/903764559.db2.gz XUIDOGUCFNARCQ-UHFFFAOYSA-N 0 1 281.319 0.822 20 30 CCEDMN CN1CC2(C1)CN(C(=O)c1n[nH]c3cc(C#N)ccc31)C2 ZINC001156884939 903764571 /nfs/dbraw/zinc/76/45/71/903764571.db2.gz XUIDOGUCFNARCQ-UHFFFAOYSA-N 0 1 281.319 0.822 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001329529849 903807328 /nfs/dbraw/zinc/80/73/28/903807328.db2.gz CXAPSIZVPSVUME-SNVBAGLBSA-N 0 1 264.329 0.199 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](NC(=O)C(C)(C)F)CC1 ZINC001280693268 904139565 /nfs/dbraw/zinc/13/95/65/904139565.db2.gz SUMGJSDSNISJQU-GFCCVEGCSA-N 0 1 297.374 0.455 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)Cn1ccnc1 ZINC001316609227 904250673 /nfs/dbraw/zinc/25/06/73/904250673.db2.gz BLRKTHMBIRMLEJ-AWEZNQCLSA-N 0 1 292.383 0.618 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](NC(=O)CC)C[C@H]1C ZINC001281643538 904318436 /nfs/dbraw/zinc/31/84/36/904318436.db2.gz BVUNBYCYTMCNEI-NEPJUHHUSA-N 0 1 267.373 0.668 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)COCCCOC ZINC001281965142 904380665 /nfs/dbraw/zinc/38/06/65/904380665.db2.gz NZNHDIJVGIYQPL-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C[C@@H](NCC#N)[C@@H](C)NC(=O)c1cncc2nc[nH]c21 ZINC001282129222 904420961 /nfs/dbraw/zinc/42/09/61/904420961.db2.gz QMHBIKZPZZQNPS-RKDXNWHRSA-N 0 1 272.312 0.578 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1cccn1C ZINC001282353719 904451529 /nfs/dbraw/zinc/45/15/29/904451529.db2.gz FPTRUALAJWUDTO-ZDUSSCGKSA-N 0 1 277.368 0.725 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001282431963 904474862 /nfs/dbraw/zinc/47/48/62/904474862.db2.gz CMXKMHPILXNDPV-CHWSQXEVSA-N 0 1 295.427 0.997 20 30 CCEDMN C=CCOCCN1CC([C@@H](C)NC(=O)c2cccnn2)C1 ZINC001282738919 904534103 /nfs/dbraw/zinc/53/41/03/904534103.db2.gz IBBFBOAIRMBHGK-GFCCVEGCSA-N 0 1 290.367 0.729 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)Cc2c[nH]cn2)C1 ZINC001282740685 904536824 /nfs/dbraw/zinc/53/68/24/904536824.db2.gz ODKZRWWOQYWYEK-LLVKDONJSA-N 0 1 260.341 0.412 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)COCC)C2)C1 ZINC001282775412 904539214 /nfs/dbraw/zinc/53/92/14/904539214.db2.gz RECKONRMSIVRIQ-HNNXBMFYSA-N 0 1 282.384 0.902 20 30 CCEDMN C=C(Cl)CN(CC)CCNC(=O)CCc1c[nH]nn1 ZINC001377337409 904787918 /nfs/dbraw/zinc/78/79/18/904787918.db2.gz QEMPJCHAODBLHN-UHFFFAOYSA-N 0 1 285.779 0.928 20 30 CCEDMN C=C(Cl)CN(CC)CCNC(=O)CCc1cnn[nH]1 ZINC001377337409 904787924 /nfs/dbraw/zinc/78/79/24/904787924.db2.gz QEMPJCHAODBLHN-UHFFFAOYSA-N 0 1 285.779 0.928 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H](C)NCc1ncnn1CCF ZINC001377415812 904859275 /nfs/dbraw/zinc/85/92/75/904859275.db2.gz ISBXHXCYXXTTNW-MNOVXSKESA-N 0 1 296.350 0.392 20 30 CCEDMN C=C1CCC(C(=O)NC[C@H](CO)NCCF)CC1 ZINC001283803664 905025220 /nfs/dbraw/zinc/02/52/20/905025220.db2.gz KLNXAXWGINOWTI-GFCCVEGCSA-N 0 1 258.337 0.769 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1CC2CCC1CC2 ZINC001283830737 905045667 /nfs/dbraw/zinc/04/56/67/905045667.db2.gz FSSWESKMZFRUBN-HOAMVYINSA-N 0 1 264.369 0.513 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001283889249 905075622 /nfs/dbraw/zinc/07/56/22/905075622.db2.gz NLRQDPNYLXMQDO-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001283889249 905075641 /nfs/dbraw/zinc/07/56/41/905075641.db2.gz NLRQDPNYLXMQDO-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001284237382 905218827 /nfs/dbraw/zinc/21/88/27/905218827.db2.gz AFYQJMUBZGJSIJ-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1c[nH]c(C(N)=O)c1 ZINC001377913940 905242964 /nfs/dbraw/zinc/24/29/64/905242964.db2.gz QIPRGUOWELSCFD-RKDXNWHRSA-N 0 1 298.774 0.963 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H](O)CNCC(=C)Cl ZINC001284397416 905270337 /nfs/dbraw/zinc/27/03/37/905270337.db2.gz GIGXKALQQXRLEU-GFCCVEGCSA-N 0 1 272.776 0.951 20 30 CCEDMN C=CCCCC(=O)NCC1CN(C(=O)c2[nH]nnc2C)C1 ZINC001284990441 905520343 /nfs/dbraw/zinc/52/03/43/905520343.db2.gz WSSSWFBVKJOCFY-UHFFFAOYSA-N 0 1 291.355 0.658 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001285234135 905581213 /nfs/dbraw/zinc/58/12/13/905581213.db2.gz FUPCOOONWPUXGC-LLVKDONJSA-N 0 1 291.355 0.480 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC[C@H](C)NC(=O)CN1CCCC1 ZINC001285731914 905748629 /nfs/dbraw/zinc/74/86/29/905748629.db2.gz XISASSZWADGTIB-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN Cc1ncc(CN2C[C@@H]3[C@@H](CNC(=O)[C@@H](C)C#N)[C@@H]3C2)o1 ZINC001378686278 905761052 /nfs/dbraw/zinc/76/10/52/905761052.db2.gz LRGGJLXGLZPDMT-NZPIUUIZSA-N 0 1 288.351 0.937 20 30 CCEDMN C=CCCC(=O)N[C@H](C)C1CN(C(=O)c2[nH]nnc2C)C1 ZINC001286186573 905862509 /nfs/dbraw/zinc/86/25/09/905862509.db2.gz UXSWLYMOFNCLNO-SECBINFHSA-N 0 1 291.355 0.656 20 30 CCEDMN C=CCCCC(=O)N[C@H](CO)CNC(=O)c1[nH]ncc1F ZINC001287839629 905978210 /nfs/dbraw/zinc/97/82/10/905978210.db2.gz XBDQYCOMAZMDQA-VIFPVBQESA-N 0 1 298.318 0.112 20 30 CCEDMN CCCC(=O)NC[C@H](O)CNCc1ccccc1C#N ZINC001379154152 906022373 /nfs/dbraw/zinc/02/23/73/906022373.db2.gz KQBBPRLFYLPHCW-CQSZACIVSA-N 0 1 275.352 0.925 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cccn1C ZINC001379164948 906025684 /nfs/dbraw/zinc/02/56/84/906025684.db2.gz JUJZPLYGTKTLKO-SNVBAGLBSA-N 0 1 271.748 0.458 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccsn1 ZINC001379227039 906076116 /nfs/dbraw/zinc/07/61/16/906076116.db2.gz PCWKLZKDJWLGSO-QMMMGPOBSA-N 0 1 275.761 0.576 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)NCCCc1nc[nH]n1 ZINC001335093733 906558248 /nfs/dbraw/zinc/55/82/48/906558248.db2.gz JLGNPHQKIAUECG-NSHDSACASA-N 0 1 261.329 0.935 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001295039697 906666179 /nfs/dbraw/zinc/66/61/79/906666179.db2.gz ZAWHNZDKLXXGTJ-JTQLQIEISA-N 0 1 277.328 0.492 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001295039697 906666189 /nfs/dbraw/zinc/66/61/89/906666189.db2.gz ZAWHNZDKLXXGTJ-JTQLQIEISA-N 0 1 277.328 0.492 20 30 CCEDMN COC1(C(=O)Nc2cc(C#N)ccc2O)CS(=O)(=O)C1 ZINC001295829065 906810127 /nfs/dbraw/zinc/81/01/27/906810127.db2.gz XGRCPFWHZCWWOL-UHFFFAOYSA-N 0 1 296.304 0.016 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CCNC(=O)[C@@H]1CCCN1C ZINC001295835621 906811551 /nfs/dbraw/zinc/81/15/51/906811551.db2.gz NHXJKKHMRFFCAQ-KGLIPLIRSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)NC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001416930706 907116632 /nfs/dbraw/zinc/11/66/32/907116632.db2.gz LFHPGUPBBVORIQ-ZETCQYMHSA-N 0 1 299.762 0.818 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)NC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001416930706 907116643 /nfs/dbraw/zinc/11/66/43/907116643.db2.gz LFHPGUPBBVORIQ-ZETCQYMHSA-N 0 1 299.762 0.818 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)NC(=O)[C@H]1CCCN1C ZINC001298749556 907317791 /nfs/dbraw/zinc/31/77/91/907317791.db2.gz DDALEBXJLUAGIO-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001298778548 907331193 /nfs/dbraw/zinc/33/11/93/907331193.db2.gz VXMJAWBQCSZARU-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN Cc1nnc(CN[C@@H](C)CCNC(=O)C#CC(C)C)[nH]1 ZINC001492019460 907678405 /nfs/dbraw/zinc/67/84/05/907678405.db2.gz ACFJYUSNBGPYAA-NSHDSACASA-N 0 1 277.372 0.757 20 30 CCEDMN COCC#CCN(C)CCNC(=O)Cc1ccncc1C ZINC001492252984 907803966 /nfs/dbraw/zinc/80/39/66/907803966.db2.gz QFHLODKCDHLZCB-UHFFFAOYSA-N 0 1 289.379 0.630 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)[C@H](C)Oc2ccccn2)C1 ZINC001493254056 907856881 /nfs/dbraw/zinc/85/68/81/907856881.db2.gz GUDGYEIVTLAFBZ-UONOGXRCSA-N 0 1 287.363 0.920 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc1 ZINC001301577004 907973848 /nfs/dbraw/zinc/97/38/48/907973848.db2.gz PIGIJACWUWZFCL-UHFFFAOYSA-N 0 1 269.260 0.799 20 30 CCEDMN CC(C)c1nc(CN[C@H](C)CNC(=O)C#CC2CC2)n[nH]1 ZINC001317238552 907988292 /nfs/dbraw/zinc/98/82/92/907988292.db2.gz KTXVHFZDDNNEBW-LLVKDONJSA-N 0 1 289.383 0.936 20 30 CCEDMN C=CCOCC(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001306873637 908272136 /nfs/dbraw/zinc/27/21/36/908272136.db2.gz JFDWVBRHJXKUHH-VIFPVBQESA-N 0 1 266.301 0.127 20 30 CCEDMN C=CCOCC(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001306873637 908272149 /nfs/dbraw/zinc/27/21/49/908272149.db2.gz JFDWVBRHJXKUHH-VIFPVBQESA-N 0 1 266.301 0.127 20 30 CCEDMN CC(C)(C#N)CCN1CC[C@H]2OCC(=O)N[C@@H]2C1 ZINC001307821566 908352846 /nfs/dbraw/zinc/35/28/46/908352846.db2.gz OKIRAYYKDYFXLN-GHMZBOCLSA-N 0 1 251.330 0.516 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@H](F)C(C)C)C1 ZINC001316743590 908520583 /nfs/dbraw/zinc/52/05/83/908520583.db2.gz HAUYBCRDEAVTEO-UONOGXRCSA-N 0 1 284.375 0.899 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)C(C)(C)C)C1 ZINC001317532801 908603616 /nfs/dbraw/zinc/60/36/16/908603616.db2.gz OKQSQZKVLKGBLS-OLZOCXBDSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCCN(C)CCCNC(=O)[C@H](C)CS(C)(=O)=O ZINC001316869206 908657140 /nfs/dbraw/zinc/65/71/40/908657140.db2.gz FLIGBQNSYNQSKL-GFCCVEGCSA-N 0 1 288.413 0.129 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)C[C@H]1CCCCO1 ZINC001313438391 908665970 /nfs/dbraw/zinc/66/59/70/908665970.db2.gz LUZQKAQMMMLGGR-CYBMUJFWSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)C[C@@H](C)COC)CC1 ZINC001316971901 908705145 /nfs/dbraw/zinc/70/51/45/908705145.db2.gz IFFWSZBBCNCLQQ-OAHLLOKOSA-N 0 1 295.427 0.416 20 30 CCEDMN C=CCC1(C(=O)NCCN2CCN(CCO)CC2)CCC1 ZINC001316967153 908705992 /nfs/dbraw/zinc/70/59/92/908705992.db2.gz SWHSFZVGJHXDNM-UHFFFAOYSA-N 0 1 295.427 0.459 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CCc2cncn2C)CC1 ZINC001316936505 908854295 /nfs/dbraw/zinc/85/42/95/908854295.db2.gz ANRYDEBRPIARDQ-UHFFFAOYSA-N 0 1 288.395 0.909 20 30 CCEDMN C=CCN1CCN(CCNC(=O)CCCF)CC1 ZINC001316967164 908872885 /nfs/dbraw/zinc/87/28/85/908872885.db2.gz UESDZMMBNSANDG-UHFFFAOYSA-N 0 1 257.353 0.656 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@]2(F)CCOC2)CC1 ZINC001316967082 908876600 /nfs/dbraw/zinc/87/66/00/908876600.db2.gz PXJRDVYBBOVTDR-OAHLLOKOSA-N 0 1 299.390 0.425 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@@H](NCc2nnc(C)[nH]2)C1 ZINC001317107657 908985669 /nfs/dbraw/zinc/98/56/69/908985669.db2.gz LXKDRBRXYYZUQJ-PHIMTYICSA-N 0 1 279.344 0.053 20 30 CCEDMN CC#CC[NH2+]C1CCC(NC(=O)c2[n-]nnc2C)CC1 ZINC001317219354 909050701 /nfs/dbraw/zinc/05/07/01/909050701.db2.gz YIVGKUKHEBQJNT-UHFFFAOYSA-N 0 1 275.356 0.767 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H](C)NCc1cncs1 ZINC001317243847 909082235 /nfs/dbraw/zinc/08/22/35/909082235.db2.gz YDPNECJPYVNSFA-LLVKDONJSA-N 0 1 281.381 0.777 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H]1CCO[C@H](C)C1 ZINC001317465481 909243564 /nfs/dbraw/zinc/24/35/64/909243564.db2.gz AICOFOCWTPEZOE-KGLIPLIRSA-N 0 1 282.384 0.499 20 30 CCEDMN CCN(C)C(=O)CN1CC[C@H](CNC(=O)C#CC2CC2)C1 ZINC001317524041 909291337 /nfs/dbraw/zinc/29/13/37/909291337.db2.gz XHCQWRZQMNQFNR-CQSZACIVSA-N 0 1 291.395 0.316 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN([C@@H]2CCNC2=O)C1 ZINC001317521719 909292139 /nfs/dbraw/zinc/29/21/39/909292139.db2.gz HBLPPKUMLBLOAJ-NWDGAFQWSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@H](C)CC(N)=O)c1ccccc1 ZINC001317721540 909476781 /nfs/dbraw/zinc/47/67/81/909476781.db2.gz HGUQTBMEUWQHPS-OCCSQVGLSA-N 0 1 287.363 0.578 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@H]1CCN(C(=O)CCCF)C1 ZINC001317848822 909526188 /nfs/dbraw/zinc/52/61/88/909526188.db2.gz PPDNTBUYGLEYBS-CYBMUJFWSA-N 0 1 299.390 0.819 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC1CN(C[C@@H](C)CC)C1 ZINC001318024865 909588548 /nfs/dbraw/zinc/58/85/48/909588548.db2.gz PLOSJBISGUTGCT-RYUDHWBXSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2c(C)n[nH]c2C)C1 ZINC001318301634 909695208 /nfs/dbraw/zinc/69/52/08/909695208.db2.gz DGXYNLRXWDSCFJ-ZDUSSCGKSA-N 0 1 274.368 0.783 20 30 CCEDMN C#CCCCCC(=O)N(C)[C@@H]1CCN([C@H](CC)C(N)=O)C1 ZINC001318400371 909745588 /nfs/dbraw/zinc/74/55/88/909745588.db2.gz PCBATJUQYOUUEN-ZIAGYGMSSA-N 0 1 293.411 0.977 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(C)C(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001318423749 909749235 /nfs/dbraw/zinc/74/92/35/909749235.db2.gz GGNVXCLXKFRQAU-AWEZNQCLSA-N 0 1 287.363 0.886 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001318423749 909749240 /nfs/dbraw/zinc/74/92/40/909749240.db2.gz GGNVXCLXKFRQAU-AWEZNQCLSA-N 0 1 287.363 0.886 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CCc2cn(C)nn2)C1 ZINC001318452860 909766792 /nfs/dbraw/zinc/76/67/92/909766792.db2.gz ILCXMWPEOTWLAM-AWEZNQCLSA-N 0 1 289.383 0.304 20 30 CCEDMN Cc1cc(CNCCNC(=O)C2N=CC=CC2=O)[nH]n1 ZINC001318566787 909818485 /nfs/dbraw/zinc/81/84/85/909818485.db2.gz QCMHDTJBGGWZJW-OUKQBFOZSA-N 0 1 275.312 0.334 20 30 CCEDMN N#CCN(CC#N)C(=O)c1nnc2ccccc2c1O ZINC001318576688 909822169 /nfs/dbraw/zinc/82/21/69/909822169.db2.gz OXCKBEZATJEDEB-UHFFFAOYSA-N 0 1 267.248 0.825 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C(N)=O)CCCC1 ZINC001319372191 910108460 /nfs/dbraw/zinc/10/84/60/910108460.db2.gz GRKHQMKWVWGELF-UHFFFAOYSA-N 0 1 259.737 0.243 20 30 CCEDMN COCC#CC[NH2+][C@@H](CNC(=O)c1[n-]nnc1C)C(C)C ZINC001319927498 910354570 /nfs/dbraw/zinc/35/45/70/910354570.db2.gz GMKJIFAJMSGJPL-LBPRGKRZSA-N 0 1 293.371 0.107 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H]1C[C@H]1C ZINC001319970519 910383729 /nfs/dbraw/zinc/38/37/29/910383729.db2.gz VLORSLXXUXQUIL-CHWSQXEVSA-N 0 1 252.358 0.682 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001320029453 910422333 /nfs/dbraw/zinc/42/23/33/910422333.db2.gz ZTRQPQVDDXETBP-CYBMUJFWSA-N 0 1 295.427 0.951 20 30 CCEDMN C=CCO[C@@H]1CC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001320040171 910429877 /nfs/dbraw/zinc/42/98/77/910429877.db2.gz WCGOBUUNECNLLE-GFCCVEGCSA-N 0 1 274.324 0.800 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cc(Cl)no1 ZINC001320073115 910449372 /nfs/dbraw/zinc/44/93/72/910449372.db2.gz QYUQMLIHSKSHGO-UHFFFAOYSA-N 0 1 299.758 0.982 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)C)CC[C@@H]21 ZINC001320098104 910463812 /nfs/dbraw/zinc/46/38/12/910463812.db2.gz VGKMXENCHLXXSV-KGLIPLIRSA-N 0 1 293.411 0.867 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@H]1CC1(F)F ZINC001320213190 910524700 /nfs/dbraw/zinc/52/47/00/910524700.db2.gz FPSCIPAYEQYVCA-JGVFFNPUSA-N 0 1 268.691 0.461 20 30 CCEDMN CO[C@H]1CCC[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC001338836612 921588226 /nfs/dbraw/zinc/58/82/26/921588226.db2.gz JBIKVIACHWVHOQ-NEPJUHHUSA-N 0 1 265.357 0.906 20 30 CCEDMN C#CCN(C)CCNC(=O)c1nccn2cc(C)nc12 ZINC001492489991 910856878 /nfs/dbraw/zinc/85/68/78/910856878.db2.gz GXOZLPLNUUKIGB-UHFFFAOYSA-N 0 1 271.324 0.333 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCCOCC2)CC1 ZINC001320913477 910928884 /nfs/dbraw/zinc/92/88/84/910928884.db2.gz NRVOYANSVWYNHR-GFCCVEGCSA-N 0 1 265.357 0.907 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)Cn1cnnn1 ZINC001391849051 911421147 /nfs/dbraw/zinc/42/11/47/911421147.db2.gz CQIOJQRAXYFCFI-SNVBAGLBSA-N 0 1 284.751 0.006 20 30 CCEDMN C#CCC[NH2+]C[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001321673343 911440116 /nfs/dbraw/zinc/44/01/16/911440116.db2.gz GVMKKXGUYANOAI-SNVBAGLBSA-N 0 1 288.351 0.791 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CNC(=O)NC ZINC001392072360 911561803 /nfs/dbraw/zinc/56/18/03/911561803.db2.gz HJMCFHUELQZWMI-VIFPVBQESA-N 0 1 276.768 0.104 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cnn(C)c1C ZINC001322116517 911661307 /nfs/dbraw/zinc/66/13/07/911661307.db2.gz KHXOOCQKNPPYIH-NSHDSACASA-N 0 1 262.357 0.802 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)NCCN(C)CCOC)CC1 ZINC001322608660 911882018 /nfs/dbraw/zinc/88/20/18/911882018.db2.gz NOIBFVNCPDNNFM-UHFFFAOYSA-N 0 1 297.399 0.105 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H](C)NC(=O)Cc1nnc[nH]1 ZINC001392706847 912104276 /nfs/dbraw/zinc/10/42/76/912104276.db2.gz BKIUVRDQUMIZHH-SECBINFHSA-N 0 1 271.752 0.536 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)C(=O)NCC1CC1)C1CC1 ZINC001323089640 912108877 /nfs/dbraw/zinc/10/88/77/912108877.db2.gz NHVWQPQNNFSBPE-GFCCVEGCSA-N 0 1 299.802 0.750 20 30 CCEDMN N#CCC[C@H](C#N)CNC[C@H]1CC[C@@H](C(N)=O)O1 ZINC001327975528 914897939 /nfs/dbraw/zinc/89/79/39/914897939.db2.gz KGWHTAUBWNCYSB-MXWKQRLJSA-N 0 1 250.302 0.052 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)CN(C)C(C)=O)C[C@@H]1C ZINC001328239608 915050587 /nfs/dbraw/zinc/05/05/87/915050587.db2.gz HTNGXGLXIYYHDL-GXTWGEPZSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2[nH]ccc2C)CC1 ZINC001328531532 915258026 /nfs/dbraw/zinc/25/80/26/915258026.db2.gz YOYHSVFJFLSRJD-UHFFFAOYSA-N 0 1 289.379 0.903 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@](O)(CC#N)C2)CC1 ZINC001328592401 915292617 /nfs/dbraw/zinc/29/26/17/915292617.db2.gz SWYXRNGPIYXJPU-OAHLLOKOSA-N 0 1 275.352 0.209 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)C[C@H]1CCCN1C(C)=O ZINC001328702752 915364130 /nfs/dbraw/zinc/36/41/30/915364130.db2.gz JVZNMKWDNUEJQZ-DGCLKSJQSA-N 0 1 267.373 0.620 20 30 CCEDMN CC(=O)N1CCC[C@H]([C@@H]2CCN(CC(=O)NCC#N)C2)C1 ZINC001328806220 915442153 /nfs/dbraw/zinc/44/21/53/915442153.db2.gz ICNPTULERDCUCG-UONOGXRCSA-N 0 1 292.383 0.207 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1CC(CNCc2ccon2)C1 ZINC001328852859 915475766 /nfs/dbraw/zinc/47/57/66/915475766.db2.gz UTSAYHSWKPVXGU-ABIUDSBCSA-N 0 1 293.367 0.986 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)NC(=O)CC)C1 ZINC001418039578 921778420 /nfs/dbraw/zinc/77/84/20/921778420.db2.gz JUSJMOBIIBKEAA-QWRGUYRKSA-N 0 1 287.791 0.844 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)[C@@H](C)NCc1ccn(C)n1 ZINC001328993921 915576284 /nfs/dbraw/zinc/57/62/84/915576284.db2.gz ADYSNVMUXKPDAA-VXGBXAGGSA-N 0 1 280.372 0.606 20 30 CCEDMN CCn1cc(CN[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)nn1 ZINC001418050355 921788521 /nfs/dbraw/zinc/78/85/21/921788521.db2.gz LKRSPSGMVQNYOI-DCAQKATOSA-N 0 1 276.344 0.194 20 30 CCEDMN C=C[C@H](COC)NC(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC001329120100 915687025 /nfs/dbraw/zinc/68/70/25/915687025.db2.gz YPHIMSRWJLQXCP-IONNQARKSA-N 0 1 253.306 0.674 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCCN(C=O)CC2)CCOCC1 ZINC001329246812 915772638 /nfs/dbraw/zinc/77/26/38/915772638.db2.gz CIZCVWYGRLRPBM-CQSZACIVSA-N 0 1 295.383 0.222 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@H](C)SC ZINC001329392024 915870330 /nfs/dbraw/zinc/87/03/30/915870330.db2.gz IPTWIJKRKAZQFZ-NEPJUHHUSA-N 0 1 272.414 0.824 20 30 CCEDMN C#Cc1cncc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)c1 ZINC001329452794 915926060 /nfs/dbraw/zinc/92/60/60/915926060.db2.gz KHEBBMUIWBZGHG-NSHDSACASA-N 0 1 267.292 0.811 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C1(COC)CCC1 ZINC001329488487 915956122 /nfs/dbraw/zinc/95/61/22/915956122.db2.gz LJVSJVBQYVVNBP-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN N#CC1(C[C@H](O)CNCc2ccc(C(N)=O)o2)CC1 ZINC001329597987 916054041 /nfs/dbraw/zinc/05/40/41/916054041.db2.gz ZCLDILLCMNQHKC-VIFPVBQESA-N 0 1 263.297 0.523 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)C1CN(CC=C)C1 ZINC001329996856 916343416 /nfs/dbraw/zinc/34/34/16/916343416.db2.gz GUSQKSOZLVPAEP-GFCCVEGCSA-N 0 1 250.342 0.649 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001330001206 916351974 /nfs/dbraw/zinc/35/19/74/916351974.db2.gz UZAOPTCXJQCMOC-QWRGUYRKSA-N 0 1 267.373 0.524 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C(N)=O)nc1 ZINC001401673609 916457003 /nfs/dbraw/zinc/45/70/03/916457003.db2.gz XBUXRPCBDADRMQ-SECBINFHSA-N 0 1 296.758 0.641 20 30 CCEDMN C[C@@H](C(N)=O)[N@@H+](C)C1CCN(C(=O)C#CC(C)(C)C)CC1 ZINC001331017159 917025312 /nfs/dbraw/zinc/02/53/12/917025312.db2.gz VOCYCDUICVIGKP-LBPRGKRZSA-N 0 1 293.411 0.833 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1ccc(C)nn1 ZINC001331122089 917107019 /nfs/dbraw/zinc/10/70/19/917107019.db2.gz AQXHMYAPUARYFA-UHFFFAOYSA-N 0 1 290.367 0.487 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CC[C@H](N2CC=CC2)C1 ZINC001331387551 917299968 /nfs/dbraw/zinc/29/99/68/917299968.db2.gz MNPPKRUTISHUHL-ZDUSSCGKSA-N 0 1 277.368 0.494 20 30 CCEDMN C=C[C@@H](OC(=O)C[N@@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC001588710701 983933664 /nfs/dbraw/zinc/93/36/64/983933664.db2.gz HVXILGFQVFKXJS-DTWKUNHWSA-N 0 1 259.327 0.606 20 30 CCEDMN C=C[C@@H](OC(=O)C[N@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC001588710701 983933667 /nfs/dbraw/zinc/93/36/67/983933667.db2.gz HVXILGFQVFKXJS-DTWKUNHWSA-N 0 1 259.327 0.606 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@H](C)NCc1ocnc1C ZINC001331441248 917337716 /nfs/dbraw/zinc/33/77/16/917337716.db2.gz XNPIXHPDDVPLDX-LBPRGKRZSA-N 0 1 293.367 0.959 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CCc1ccco1 ZINC001331670212 917499801 /nfs/dbraw/zinc/49/98/01/917499801.db2.gz XUTVAWQGXQXYAT-GFCCVEGCSA-N 0 1 264.325 0.302 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1C(C)(C)C1(C)C ZINC001331698496 917526848 /nfs/dbraw/zinc/52/68/48/917526848.db2.gz WEGIBLCLIBIJPZ-JTQLQIEISA-N 0 1 252.358 0.369 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cc2ccc(F)cn2)CC1 ZINC001331714382 917538328 /nfs/dbraw/zinc/53/83/28/917538328.db2.gz AZAYNIHEAZTOQO-UHFFFAOYSA-N 0 1 276.315 0.867 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1c(F)cccc1OC ZINC001331726760 917551112 /nfs/dbraw/zinc/55/11/12/917551112.db2.gz UTOXUDAKMMBYIF-JTQLQIEISA-N 0 1 280.299 0.148 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H]1CN(C(=O)CCOC)CCO1 ZINC001403414630 917704214 /nfs/dbraw/zinc/70/42/14/917704214.db2.gz IJGGLFPLHZJVAD-NWDGAFQWSA-N 0 1 290.791 0.981 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@]2(NC(=O)CC)CCC[C@@H]12 ZINC001332063710 917833369 /nfs/dbraw/zinc/83/33/69/917833369.db2.gz INVRWLBLILGIAV-DOMZBBRYSA-N 0 1 279.384 0.812 20 30 CCEDMN N#Cc1ccc(CN[C@H](CO)CNC(=O)C(F)F)s1 ZINC001403631014 917861969 /nfs/dbraw/zinc/86/19/69/917861969.db2.gz ZNHOLTWJDSZDIU-ZETCQYMHSA-N 0 1 289.307 0.452 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)CC[NH+]1CCN(C(C)C)CC1 ZINC001332165703 917925976 /nfs/dbraw/zinc/92/59/76/917925976.db2.gz XPJCTCKQSGSVNT-UHFFFAOYSA-N 0 1 297.399 0.502 20 30 CCEDMN Cc1cccc2nc(C(=O)N[C@H]3CNC[C@@H]3C#N)cn21 ZINC001332179258 917938347 /nfs/dbraw/zinc/93/83/47/917938347.db2.gz JVAFHXGXXJMQIW-QWRGUYRKSA-N 0 1 269.308 0.484 20 30 CCEDMN COCC#CC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC001332455984 918172642 /nfs/dbraw/zinc/17/26/42/918172642.db2.gz SNDZHNBTPMYKAW-VXGBXAGGSA-N 0 1 268.382 0.332 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H]1CCCC12CC2 ZINC001332647749 918348439 /nfs/dbraw/zinc/34/84/39/918348439.db2.gz NXNYCVMOJGFTEK-ZIAGYGMSSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CCc1cncs1 ZINC001332663023 918365025 /nfs/dbraw/zinc/36/50/25/918365025.db2.gz JMKGRVOEKQDOJW-GFCCVEGCSA-N 0 1 295.408 0.460 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccc(Cl)cn1 ZINC001332678655 918374352 /nfs/dbraw/zinc/37/43/52/918374352.db2.gz RARIYZHHFSCAJC-LBPRGKRZSA-N 0 1 295.770 0.733 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC([C@H](C)NC(=O)Cc2cnc[nH]2)C1 ZINC001404686503 918427407 /nfs/dbraw/zinc/42/74/07/918427407.db2.gz YIKROAYJCLHXFT-ZJUUUORDSA-N 0 1 289.339 0.075 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CN(Cc2n[nH]c(C3CC3)n2)C1 ZINC001404753908 918454203 /nfs/dbraw/zinc/45/42/03/918454203.db2.gz ZUIZEUMKIOUHMN-MRVPVSSYSA-N 0 1 274.328 0.142 20 30 CCEDMN CN(C(=O)C#CC1CC1)C1CC(NCc2ccn(C)n2)C1 ZINC001333061502 918629714 /nfs/dbraw/zinc/62/97/14/918629714.db2.gz MMLXBLPGIBIUCM-UHFFFAOYSA-N 0 1 286.379 0.913 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001333074412 918635272 /nfs/dbraw/zinc/63/52/72/918635272.db2.gz WLZISGYPJVUNJL-QWRGUYRKSA-N 0 1 294.355 0.906 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001333074412 918635286 /nfs/dbraw/zinc/63/52/86/918635286.db2.gz WLZISGYPJVUNJL-QWRGUYRKSA-N 0 1 294.355 0.906 20 30 CCEDMN C=CCCCC(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001333280631 918771190 /nfs/dbraw/zinc/77/11/90/918771190.db2.gz AVECFLCYLSUICD-UHFFFAOYSA-N 0 1 265.317 0.366 20 30 CCEDMN CC[C@H](C(N)=O)N1CC=C(CNC(=O)[C@@H](C)C#N)CC1 ZINC001333310908 918792288 /nfs/dbraw/zinc/79/22/88/918792288.db2.gz LWAGRRFCQDRLFR-CMPLNLGQSA-N 0 1 278.356 0.158 20 30 CCEDMN CCCn1ncnc1CNC[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001405638001 918839329 /nfs/dbraw/zinc/83/93/29/918839329.db2.gz IHWUCIDDOFNXLZ-RYUDHWBXSA-N 0 1 292.387 0.690 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)NCc1cc(N)ccn1 ZINC001333404359 918874302 /nfs/dbraw/zinc/87/43/02/918874302.db2.gz NIPZIQBQXNBUSP-NSHDSACASA-N 0 1 276.340 0.751 20 30 CCEDMN C#CCCCS(=O)(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001333489202 918947199 /nfs/dbraw/zinc/94/71/99/918947199.db2.gz HJKDJCARXYPFRU-JTQLQIEISA-N 0 1 298.368 0.141 20 30 CCEDMN C#CCCCS(=O)(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001333489202 918947213 /nfs/dbraw/zinc/94/72/13/918947213.db2.gz HJKDJCARXYPFRU-JTQLQIEISA-N 0 1 298.368 0.141 20 30 CCEDMN C#CCN(CC1CC1)C(=O)NCc1n[nH]c(=O)n1C ZINC001333508034 918958223 /nfs/dbraw/zinc/95/82/23/918958223.db2.gz OKJGDIQQLVOYMO-UHFFFAOYSA-N 0 1 263.301 0.076 20 30 CCEDMN C=CC(C)(C)CCCNC(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001333652205 919072019 /nfs/dbraw/zinc/07/20/19/919072019.db2.gz WQONCCCOJXZNCP-UHFFFAOYSA-N 0 1 293.371 0.838 20 30 CCEDMN N#Cc1cncnc1N1CCC(c2nc[nH]n2)CC1 ZINC001333768395 919148299 /nfs/dbraw/zinc/14/82/99/919148299.db2.gz RSHLLYGHEYFDTK-UHFFFAOYSA-N 0 1 255.285 0.850 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001406615690 919395446 /nfs/dbraw/zinc/39/54/46/919395446.db2.gz XOKMIHSUYLLHHI-WUHRBBMRSA-N 0 1 292.383 0.251 20 30 CCEDMN C=C1CCC(CNC(=O)C(=O)N2CC[C@@H](F)[C@H](N)C2)CC1 ZINC001335269980 920080419 /nfs/dbraw/zinc/08/04/19/920080419.db2.gz SYMNSNPAYJDYPH-CHWSQXEVSA-N 0 1 297.374 0.747 20 30 CCEDMN Cn1nnc2c1CC[C@@H](NC[C@@H](C#N)CCC#N)C2 ZINC001335501003 920241313 /nfs/dbraw/zinc/24/13/13/920241313.db2.gz QHLOYMRCWKRRCO-GHMZBOCLSA-N 0 1 258.329 0.706 20 30 CCEDMN C=CCCOCCNC(=O)N1CCNC[C@@H]1CCOC ZINC001335505687 920241340 /nfs/dbraw/zinc/24/13/40/920241340.db2.gz UBBMQWMBXCMQNI-ZDUSSCGKSA-N 0 1 285.388 0.599 20 30 CCEDMN CCOC(=O)[C@H](COC)NS(=O)(=O)CC(C)(C)C#N ZINC001414329059 920288179 /nfs/dbraw/zinc/28/81/79/920288179.db2.gz CGBVNABEEDTWMB-VIFPVBQESA-N 0 1 292.357 0.034 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)cn1 ZINC001335584465 920297644 /nfs/dbraw/zinc/29/76/44/920297644.db2.gz KNIJJJWSFTVHRN-SNVBAGLBSA-N 0 1 268.280 0.701 20 30 CCEDMN N#CC1(C(=O)NCc2nnc[nH]2)CCSCC1 ZINC001336021663 920569282 /nfs/dbraw/zinc/56/92/82/920569282.db2.gz MLDLBAWECNSGGN-UHFFFAOYSA-N 0 1 251.315 0.458 20 30 CCEDMN CCN(CCNC(=O)[C@H]1CCCCN1C)C(=O)[C@H](C)C#N ZINC001415691546 920806508 /nfs/dbraw/zinc/80/65/08/920806508.db2.gz DWQVQPSJTCWGFY-CHWSQXEVSA-N 0 1 294.399 0.595 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCC1(C#N)CCOCC1 ZINC001336808451 921026714 /nfs/dbraw/zinc/02/67/14/921026714.db2.gz OTXDHQTWAJRJEP-LBPRGKRZSA-N 0 1 265.357 0.907 20 30 CCEDMN C#CCN(CC)c1nnc(-c2c[nH]nn2)n1CC=C ZINC001340503114 922101505 /nfs/dbraw/zinc/10/15/05/922101505.db2.gz XXIUYHAJFPDLCS-UHFFFAOYSA-N 0 1 257.301 0.709 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCCc2c1ccc(=O)n2C ZINC001340557711 922123535 /nfs/dbraw/zinc/12/35/35/922123535.db2.gz PHICQHIPFLUAEB-LBPRGKRZSA-N 0 1 273.336 0.102 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC(C)(C)C(=O)OC)CC1 ZINC001340766218 922204125 /nfs/dbraw/zinc/20/41/25/922204125.db2.gz IABGFJGGJGDVNK-UHFFFAOYSA-N 0 1 295.383 0.582 20 30 CCEDMN C#CCNCC(=O)NC1(c2cc(C)no2)CCOCC1 ZINC001340865873 922249805 /nfs/dbraw/zinc/24/98/05/922249805.db2.gz JCKHSGKLYGQCNZ-UHFFFAOYSA-N 0 1 277.324 0.328 20 30 CCEDMN C#CC[NH2+]CC(=O)Nc1ccc([C@H]2C[NH2+]CCO2)cc1 ZINC001342129363 922821418 /nfs/dbraw/zinc/82/14/18/922821418.db2.gz HDXWXWYKUWTBFS-CQSZACIVSA-N 0 1 273.336 0.509 20 30 CCEDMN CCc1nnc([C@@H](C)N[C@H]2C[C@@H](NC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001419461866 922853937 /nfs/dbraw/zinc/85/39/37/922853937.db2.gz ODDGVSCGLBSERL-ZRUFSTJUSA-N 0 1 290.371 0.825 20 30 CCEDMN C#CCNCC(=O)N1CCC(c2cncn2C)CC1 ZINC001342253433 922877552 /nfs/dbraw/zinc/87/75/52/922877552.db2.gz JSZPXHHZJQSNQL-UHFFFAOYSA-N 0 1 260.341 0.349 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)C[C@@H]2CCN2C[C@@H](O)COC)C1 ZINC001479243981 922881049 /nfs/dbraw/zinc/88/10/49/922881049.db2.gz HDQQKFWYHWQMMG-UONOGXRCSA-N 0 1 296.411 0.883 20 30 CCEDMN N#C[C@H](C(=O)C[C@H]1CCCC(=O)N1)c1ccncn1 ZINC001342693712 923057412 /nfs/dbraw/zinc/05/74/12/923057412.db2.gz NVMHHUNFOPYKBA-ZJUUUORDSA-N 0 1 258.281 0.712 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001419783136 923093486 /nfs/dbraw/zinc/09/34/86/923093486.db2.gz AIDAYGNLHPTJDM-GHMZBOCLSA-N 0 1 287.791 0.702 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2cccnc2n1 ZINC001343469290 923331120 /nfs/dbraw/zinc/33/11/20/923331120.db2.gz WJIMODUGTIBPNJ-JQWIXIFHSA-N 0 1 267.292 0.471 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc2c[nH]ccc-2n1 ZINC001343468237 923332976 /nfs/dbraw/zinc/33/29/76/923332976.db2.gz SIJPZOBVDKQLPV-BXKDBHETSA-N 0 1 255.281 0.404 20 30 CCEDMN N#CC(C(=O)CCCCC(N)=O)C(=O)Nc1ccccn1 ZINC001343477752 923339694 /nfs/dbraw/zinc/33/96/94/923339694.db2.gz LWPUGMIRYCZDMA-JTQLQIEISA-N 0 1 288.307 0.775 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccc(OC)nc1 ZINC001420157363 923353583 /nfs/dbraw/zinc/35/35/83/923353583.db2.gz MASLFJGPPSJOQE-LLVKDONJSA-N 0 1 299.758 0.523 20 30 CCEDMN C=C(C)Cn1c(CO)nnc1N1CCN(CC)[C@@H](C)C1 ZINC001343603132 923387849 /nfs/dbraw/zinc/38/78/49/923387849.db2.gz OGBKEHOTOLTLSR-LBPRGKRZSA-N 0 1 279.388 0.877 20 30 CCEDMN C#CCN(C)c1nnc(-c2c[nH]nn2)n1CC(C)C ZINC001343608599 923390592 /nfs/dbraw/zinc/39/05/92/923390592.db2.gz RPRYSJQIVBRVLM-UHFFFAOYSA-N 0 1 259.317 0.789 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)Cc1ccc(C(N)=O)cc1 ZINC001420191203 923392198 /nfs/dbraw/zinc/39/21/98/923392198.db2.gz KFYSBZWHTXLFGL-NSHDSACASA-N 0 1 288.351 0.493 20 30 CCEDMN C#CCN1CCC(OC(=O)Cc2ccn(C)n2)CC1 ZINC001344373933 923588878 /nfs/dbraw/zinc/58/88/78/923588878.db2.gz XLAXVGMHQPLGFX-UHFFFAOYSA-N 0 1 261.325 0.603 20 30 CCEDMN C[C@@H]1CCC[N@@H+](CCNC(=O)C(=O)NCCCC#N)C1 ZINC001345019064 923763708 /nfs/dbraw/zinc/76/37/08/923763708.db2.gz MJHSRPBRQANFPM-GFCCVEGCSA-N 0 1 280.372 0.254 20 30 CCEDMN C#CCN1CCC(OC(=O)Cn2cc([N+](=O)[O-])cn2)CC1 ZINC001345673316 923943553 /nfs/dbraw/zinc/94/35/53/923943553.db2.gz LIUWTYVQLKMAHK-UHFFFAOYSA-N 0 1 292.295 0.432 20 30 CCEDMN N#Cc1ncc(N2CCN(C3CCOCC3)CC2)nc1C#N ZINC001421083310 924142932 /nfs/dbraw/zinc/14/29/32/924142932.db2.gz VHFDSGXOSAMFFK-UHFFFAOYSA-N 0 1 298.350 0.521 20 30 CCEDMN CO[C@@H]1C[C@H]1C(=O)C(C#N)C(=O)NC1CCCC1 ZINC001346325468 924161636 /nfs/dbraw/zinc/16/16/36/924161636.db2.gz XWPXKRMNTLGZDE-OUAUKWLOSA-N 0 1 250.298 0.789 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001346438402 924211526 /nfs/dbraw/zinc/21/15/26/924211526.db2.gz WEVVPKYQLFCBQO-KGLIPLIRSA-N 0 1 280.416 0.723 20 30 CCEDMN C#CCCCCNC(=O)C(=O)NCc1cc(C)[nH]n1 ZINC001346462433 924223449 /nfs/dbraw/zinc/22/34/49/924223449.db2.gz NNQWJXKEZBFDDR-UHFFFAOYSA-N 0 1 262.313 0.254 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NCCCc1nc[nH]n1 ZINC001347272204 924411470 /nfs/dbraw/zinc/41/14/70/924411470.db2.gz XDJNSBIKLTWWCP-VIFPVBQESA-N 0 1 253.306 0.238 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNC(=O)[C@@H]1CCCCN1C ZINC001349453173 924964044 /nfs/dbraw/zinc/96/40/44/924964044.db2.gz QTSUMGXFGITQOH-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCOCCCOC)C1 ZINC001479967989 924982117 /nfs/dbraw/zinc/98/21/17/924982117.db2.gz VBLUUUOUKUOPFT-ZDUSSCGKSA-N 0 1 268.357 0.253 20 30 CCEDMN Cc1c(C(=O)NCCN2CC=CC2)cnn1CCC#N ZINC001350358636 925178659 /nfs/dbraw/zinc/17/86/59/925178659.db2.gz YMTJQDGXTYGLOK-UHFFFAOYSA-N 0 1 273.340 0.707 20 30 CCEDMN C#C[C@H](NC[C@@H]1CCCS1(=O)=O)[C@H]1CCCO1 ZINC001351319093 925403877 /nfs/dbraw/zinc/40/38/77/925403877.db2.gz HFZUZTIMCZTWHE-SDDRHHMPSA-N 0 1 257.355 0.334 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001353639611 925893479 /nfs/dbraw/zinc/89/34/79/925893479.db2.gz VGFHGVSFXHUVOA-KYZUINATSA-N 0 1 277.328 0.394 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](c2nnc(C3CC3)[nH]2)C1 ZINC001353690363 925904252 /nfs/dbraw/zinc/90/42/52/925904252.db2.gz ZFFJJVVDMSFIGC-LBPRGKRZSA-N 0 1 287.367 0.611 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CC=C(C(=O)[O-])C1 ZINC001354057967 926000695 /nfs/dbraw/zinc/00/06/95/926000695.db2.gz LTHNBZATVMJQMU-RYUDHWBXSA-N 0 1 262.309 0.374 20 30 CCEDMN CCN(CCNC(=O)CSCC#N)C(=O)c1ccn[nH]1 ZINC001355991279 926334380 /nfs/dbraw/zinc/33/43/80/926334380.db2.gz YMTWQPNZEOTXPR-UHFFFAOYSA-N 0 1 295.368 0.245 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CO)c1cc(F)ccc1F ZINC001356482452 926389323 /nfs/dbraw/zinc/38/93/23/926389323.db2.gz BKRCUMPDRWLQON-LBPRGKRZSA-N 0 1 268.263 0.337 20 30 CCEDMN C#CC[NH2+]CC(=O)Nc1ccc(N2CC[NH+](C)CC2)cc1 ZINC001357128405 926486396 /nfs/dbraw/zinc/48/63/96/926486396.db2.gz JLZMFZLDBJKVLB-UHFFFAOYSA-N 0 1 286.379 0.600 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc2c(o1)CCCC2 ZINC001357446679 926534702 /nfs/dbraw/zinc/53/47/02/926534702.db2.gz UPPZODAXADHQLX-GHMZBOCLSA-N 0 1 259.309 1.000 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H](C)CNC(=O)Cc2cnc[nH]2)C1 ZINC001358368964 926682557 /nfs/dbraw/zinc/68/25/57/926682557.db2.gz HUMSCJFDXUGFHC-LLVKDONJSA-N 0 1 290.367 0.929 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CCNC(=O)C1 ZINC001421392494 926723220 /nfs/dbraw/zinc/72/32/20/926723220.db2.gz MRISWNREUWFTGC-QWRGUYRKSA-N 0 1 287.791 0.750 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCn1ccnn1 ZINC001421883590 926974155 /nfs/dbraw/zinc/97/41/55/926974155.db2.gz ARZAGNXWIMLHCR-LLVKDONJSA-N 0 1 285.779 0.857 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](CCC)NC(N)=O ZINC001422596254 927395315 /nfs/dbraw/zinc/39/53/15/927395315.db2.gz LZFZMDQJSKFGGR-NXEZZACHSA-N 0 1 290.795 0.670 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CN(C)C(C)=O ZINC001423034225 927639334 /nfs/dbraw/zinc/63/93/34/927639334.db2.gz RVXBTPNEXXZBCT-JTQLQIEISA-N 0 1 275.780 0.654 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cn1cncn1 ZINC001423180257 927717611 /nfs/dbraw/zinc/71/76/11/927717611.db2.gz YHLCQLCHAWIEHY-JTQLQIEISA-N 0 1 271.752 0.467 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](CO)NCc1ccccc1F ZINC001423194598 927730788 /nfs/dbraw/zinc/73/07/88/927730788.db2.gz VSCKYWHYFGTBSQ-CMPLNLGQSA-N 0 1 279.315 0.552 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cnn(C)c2N)CC1 ZINC001423205597 927738344 /nfs/dbraw/zinc/73/83/44/927738344.db2.gz RPXINMJHCSWXFO-UHFFFAOYSA-N 0 1 283.763 0.607 20 30 CCEDMN N#Cc1ccc(CNC[C@]2(O)CCS(=O)(=O)C2)cc1F ZINC001423203703 927740262 /nfs/dbraw/zinc/74/02/62/927740262.db2.gz JSCCKYKCDYZPRQ-CYBMUJFWSA-N 0 1 298.339 0.337 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC001362361215 927921966 /nfs/dbraw/zinc/92/19/66/927921966.db2.gz XCQFSLSWVBPHKN-VIFPVBQESA-N 0 1 285.311 0.728 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CC(NC(=O)CN2CCCC2)C1 ZINC001423788845 927980558 /nfs/dbraw/zinc/98/05/58/927980558.db2.gz OUXFAKBMCQWFPS-HIFPTAJRSA-N 0 1 292.383 0.253 20 30 CCEDMN N#Cc1ccsc1C(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC001362760407 928453552 /nfs/dbraw/zinc/45/35/52/928453552.db2.gz KFHYNXRYAUFWBC-QMMMGPOBSA-N 0 1 290.308 0.347 20 30 CCEDMN N#CCOc1ccccc1C(=O)NCc1n[nH]c(CO)n1 ZINC001362998441 928742810 /nfs/dbraw/zinc/74/28/10/928742810.db2.gz FRFPSNXLDZHFIC-UHFFFAOYSA-N 0 1 287.279 0.129 20 30 CCEDMN N#CCOc1ccccc1C(=O)NCc1nnc(CO)[nH]1 ZINC001362998441 928742813 /nfs/dbraw/zinc/74/28/13/928742813.db2.gz FRFPSNXLDZHFIC-UHFFFAOYSA-N 0 1 287.279 0.129 20 30 CCEDMN CS(=O)(=O)C[C@H]1CC[N@@H+](C[C@@H](O)CC2(C#N)CC2)C1 ZINC001363254721 929031317 /nfs/dbraw/zinc/03/13/17/929031317.db2.gz GKRPBJUCLAVZLF-RYUDHWBXSA-N 0 1 286.397 0.408 20 30 CCEDMN CS(=O)(=O)C[C@H]1CCN(C[C@@H](O)CC2(C#N)CC2)C1 ZINC001363254721 929031325 /nfs/dbraw/zinc/03/13/25/929031325.db2.gz GKRPBJUCLAVZLF-RYUDHWBXSA-N 0 1 286.397 0.408 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@]2(CCOC2=O)C1 ZINC001363315887 929107498 /nfs/dbraw/zinc/10/74/98/929107498.db2.gz SVGHAMLAORSJOG-WFASDCNBSA-N 0 1 293.367 0.634 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@]2(CCOC2=O)C1 ZINC001363315887 929107504 /nfs/dbraw/zinc/10/75/04/929107504.db2.gz SVGHAMLAORSJOG-WFASDCNBSA-N 0 1 293.367 0.634 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCC[C@H](Cc3nn[nH]n3)C2)n1 ZINC001363437366 929229981 /nfs/dbraw/zinc/22/99/81/929229981.db2.gz VRFBTSNZULWXFV-SNVBAGLBSA-N 0 1 297.322 0.561 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC001363519129 929322382 /nfs/dbraw/zinc/32/23/82/929322382.db2.gz LQQQNDRZADKSFE-UHFFFAOYSA-N 0 1 286.295 0.993 20 30 CCEDMN CC(C)CN(Cc1nn[nH]n1)C(=O)c1ccc(C#N)[nH]1 ZINC001363550170 929352884 /nfs/dbraw/zinc/35/28/84/929352884.db2.gz YNACNVKZRIUCFH-UHFFFAOYSA-N 0 1 273.300 0.698 20 30 CCEDMN N#Cc1c(NC(=O)CCCc2nn[nH]n2)n[nH]c1C1CC1 ZINC001363557046 929362605 /nfs/dbraw/zinc/36/26/05/929362605.db2.gz HAPZZJFBSPMZTE-UHFFFAOYSA-N 0 1 286.299 0.633 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@H+]1CC[C@@]3(O)C[C@H]3C1)cc2=O ZINC001363807040 929597271 /nfs/dbraw/zinc/59/72/71/929597271.db2.gz NXAOIGLZHZLOLZ-IINYFYTJSA-N 0 1 285.307 0.263 20 30 CCEDMN C[C@H](CN(C)C(=O)[C@@H](C)C#N)NC(=O)c1[nH]ncc1F ZINC001443999934 929763455 /nfs/dbraw/zinc/76/34/55/929763455.db2.gz WSEFGYVZJYLXEC-JGVFFNPUSA-N 0 1 281.291 0.285 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CNCC(=O)Nc1ccccc1 ZINC001445536278 930164356 /nfs/dbraw/zinc/16/43/56/930164356.db2.gz XSUULFOOVSBVAH-NEPJUHHUSA-N 0 1 288.351 0.879 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CN(C)C(C)=O ZINC001373730599 930318489 /nfs/dbraw/zinc/31/84/89/930318489.db2.gz YLMPYVJLGAZGET-NSHDSACASA-N 0 1 275.780 0.702 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CCn1ccnn1 ZINC001373749278 930328983 /nfs/dbraw/zinc/32/89/83/930328983.db2.gz LJPZUPSQCRUFBB-NSHDSACASA-N 0 1 285.779 0.905 20 30 CCEDMN N#Cc1ccc(F)c(CNC[C@H](O)CNC(=O)C2CC2)c1 ZINC001374567341 930562027 /nfs/dbraw/zinc/56/20/27/930562027.db2.gz SDLOBMNGSSEJRW-ZDUSSCGKSA-N 0 1 291.326 0.674 20 30 CCEDMN C[C@H](CNCc1n[nH]c(C(C)(C)C)n1)NC(=O)[C@@H](C)C#N ZINC001374740220 930644178 /nfs/dbraw/zinc/64/41/78/930644178.db2.gz ZRTCJXNSGWIFFJ-VHSXEESVSA-N 0 1 292.387 0.856 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H](C)C#N)NC(=O)Cc1cnc[nH]1 ZINC001375220650 930824114 /nfs/dbraw/zinc/82/41/14/930824114.db2.gz PTKOADMJKIVXSC-UWVGGRQHSA-N 0 1 277.328 0.123 20 30 CCEDMN CC[C@H](C)NC(=O)CNC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001375401044 930877628 /nfs/dbraw/zinc/87/76/28/930877628.db2.gz AQYHYTQQRBLFAI-QWRGUYRKSA-N 0 1 280.372 0.299 20 30 CCEDMN Cc1cccnc1CN[C@@H](CO)CNC(=O)[C@H](C)C#N ZINC001448820752 930996153 /nfs/dbraw/zinc/99/61/53/930996153.db2.gz HBUNKKWRKGQITM-VXGBXAGGSA-N 0 1 276.340 0.116 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC(CC)(CC)c1nn[nH]n1 ZINC001449020656 931053244 /nfs/dbraw/zinc/05/32/44/931053244.db2.gz HBLFQTOVIXFOAF-VIFPVBQESA-N 0 1 265.317 0.370 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)[C@@]2(C#N)CCCOC2)n[nH]1 ZINC001449100360 931068470 /nfs/dbraw/zinc/06/84/70/931068470.db2.gz DHRPUCLVFBZAAQ-QPUJVOFHSA-N 0 1 263.301 0.611 20 30 CCEDMN N#C[C@@]1(C(=O)NCc2n[nH]c(C3CC3)n2)CCCOC1 ZINC001450200370 931244725 /nfs/dbraw/zinc/24/47/25/931244725.db2.gz YQNRBXYWULXZGK-ZDUSSCGKSA-N 0 1 275.312 0.619 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cnc(OC)nc1 ZINC001376839647 931299929 /nfs/dbraw/zinc/29/99/29/931299929.db2.gz IUVKAHIIFSXVLM-VIFPVBQESA-N 0 1 284.747 0.946 20 30 CCEDMN CCc1[nH]nc(C(=O)N(CC#N)CCOC)c1[N+](=O)[O-] ZINC001450844996 931346052 /nfs/dbraw/zinc/34/60/52/931346052.db2.gz KSJWHWHQTDSJMP-UHFFFAOYSA-N 0 1 281.272 0.492 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](C)NC(=O)CN1CCCC1 ZINC001451223558 931401638 /nfs/dbraw/zinc/40/16/38/931401638.db2.gz ZKKBVZWXSIZTCJ-VXGBXAGGSA-N 0 1 280.372 0.205 20 30 CCEDMN C#C[C@H]1CCCC[N@@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC001602673636 971241201 /nfs/dbraw/zinc/24/12/01/971241201.db2.gz YYHGRBGARHCBTJ-QWRGUYRKSA-N 0 1 250.298 0.160 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cc(-c2nn[nH]n2)c[nH]1 ZINC001573282195 946686587 /nfs/dbraw/zinc/68/65/87/946686587.db2.gz GLRWIRCSRBKKMB-NSHDSACASA-N 0 1 299.338 0.022 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H](O)C[C@@H](O)c1ccccc1 ZINC001602695785 971312926 /nfs/dbraw/zinc/31/29/26/971312926.db2.gz SMRUFQYHABDJFD-ZIAGYGMSSA-N 0 1 277.320 0.491 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H](O)C[C@@H](O)c1ccccc1 ZINC001602695785 971312931 /nfs/dbraw/zinc/31/29/31/971312931.db2.gz SMRUFQYHABDJFD-ZIAGYGMSSA-N 0 1 277.320 0.491 20 30 CCEDMN C#CC[N@@H+](CCC(=O)NC1CCCC1)CC(=O)[O-] ZINC001602700899 971323558 /nfs/dbraw/zinc/32/35/58/971323558.db2.gz NIEUGUXSEFWGRW-UHFFFAOYSA-N 0 1 252.314 0.455 20 30 CCEDMN C#CC[N@H+](CCC(=O)NC1CCCC1)CC(=O)[O-] ZINC001602700899 971323560 /nfs/dbraw/zinc/32/35/60/971323560.db2.gz NIEUGUXSEFWGRW-UHFFFAOYSA-N 0 1 252.314 0.455 20 30 CCEDMN C=CCC[C@@H](O)C[N@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001602719084 971381646 /nfs/dbraw/zinc/38/16/46/971381646.db2.gz OPLOGFRJCXRLMO-LOWVWBTDSA-N 0 1 255.314 0.347 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC001602723092 971393155 /nfs/dbraw/zinc/39/31/55/971393155.db2.gz LBMPXTBEFDPQDP-VWYCJHECSA-N 0 1 297.355 0.429 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+](CC)[C@@H](C)C(=O)[O-] ZINC001589719345 950402761 /nfs/dbraw/zinc/40/27/61/950402761.db2.gz DCRQRXHKXXYBAX-MNOVXSKESA-N 0 1 269.345 0.790 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CC)[C@@H](C)C(=O)[O-] ZINC001589719345 950402766 /nfs/dbraw/zinc/40/27/66/950402766.db2.gz DCRQRXHKXXYBAX-MNOVXSKESA-N 0 1 269.345 0.790 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@H]1CC[C@H]1C(=O)[O-] ZINC000397133645 951534274 /nfs/dbraw/zinc/53/42/74/951534274.db2.gz AKMKVUTVPSFCGW-WDEREUQCSA-N 0 1 252.314 0.169 20 30 CCEDMN C[C@@H]1CC[N@H+](Cn2cccc(C#N)c2=O)C[C@@H]1C(=O)[O-] ZINC001589356062 954143775 /nfs/dbraw/zinc/14/37/75/954143775.db2.gz YUGGJGRBKNMYFO-PWSUYJOCSA-N 0 1 275.308 0.720 20 30 CCEDMN C[C@@H]1CC[N@@H+](Cn2cccc(C#N)c2=O)C[C@@H]1C(=O)[O-] ZINC001589356062 954143786 /nfs/dbraw/zinc/14/37/86/954143786.db2.gz YUGGJGRBKNMYFO-PWSUYJOCSA-N 0 1 275.308 0.720 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N(CC)CCC#N ZINC001589441065 954716453 /nfs/dbraw/zinc/71/64/53/954716453.db2.gz QJSHCAKQBOBNGJ-JTQLQIEISA-N 0 1 255.318 0.544 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N(CC)CCC#N ZINC001589441065 954716458 /nfs/dbraw/zinc/71/64/58/954716458.db2.gz QJSHCAKQBOBNGJ-JTQLQIEISA-N 0 1 255.318 0.544 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC001593968838 956591567 /nfs/dbraw/zinc/59/15/67/956591567.db2.gz XLIPTSOKCATNAL-SMDDNHRTSA-N 0 1 297.355 0.170 20 30 CCEDMN C#CCC[N@@H+]1C[C@H](C(=O)[O-])C[C@@H](c2cnn(C)c2)C1 ZINC001588390764 958014217 /nfs/dbraw/zinc/01/42/17/958014217.db2.gz HIIUVDXQNOJAMS-VXGBXAGGSA-N 0 1 261.325 0.934 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000087612205 958021084 /nfs/dbraw/zinc/02/10/84/958021084.db2.gz SYPGMHLTTTVKCN-CYBMUJFWSA-N 0 1 287.319 0.700 20 30 CCEDMN N#CCCNC(=O)C[N@H+]1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000087612205 958021099 /nfs/dbraw/zinc/02/10/99/958021099.db2.gz SYPGMHLTTTVKCN-CYBMUJFWSA-N 0 1 287.319 0.700 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)NCC2(C(=O)[O-])CCC2)C1 ZINC001573327354 958488363 /nfs/dbraw/zinc/48/83/63/958488363.db2.gz LMRPGMTVILWODL-GFCCVEGCSA-N 0 1 293.367 0.638 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)NCC2(C(=O)[O-])CCC2)C1 ZINC001573327354 958488372 /nfs/dbraw/zinc/48/83/72/958488372.db2.gz LMRPGMTVILWODL-GFCCVEGCSA-N 0 1 293.367 0.638 20 30 CCEDMN N#Cc1cccc(C[N@@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)n1 ZINC001574490233 961712396 /nfs/dbraw/zinc/71/23/96/961712396.db2.gz XRNPFPFZWHPTBK-HZMBPMFUSA-N 0 1 273.292 0.486 20 30 CCEDMN C[N@H+]1CC[C@@H]2CN(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@@H]2C1 ZINC001574030646 962010273 /nfs/dbraw/zinc/01/02/73/962010273.db2.gz RQFCVDLMWSOKPY-SUNKGSAMSA-N 0 1 279.340 0.401 20 30 CCEDMN C=C(Cl)C[N@@H+]1CCC[C@@H](NC(=O)CNC(=O)[O-])CC1 ZINC001573338724 962879354 /nfs/dbraw/zinc/87/93/54/962879354.db2.gz HFOYFLZVHQHLEA-SNVBAGLBSA-N 0 1 289.763 0.977 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@@H]2[C@@H](CNC(=O)CNC(=O)[O-])[C@@H]2C1 ZINC001573339707 962894314 /nfs/dbraw/zinc/89/43/14/962894314.db2.gz WMPYFHWQPHPILB-OWUUHHOZSA-N 0 1 287.747 0.301 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001573344308 962915789 /nfs/dbraw/zinc/91/57/89/962915789.db2.gz SMBHVIDSHVDTII-LADJIXMOSA-N 0 1 284.743 0.506 20 30 CCEDMN C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001573344308 962915795 /nfs/dbraw/zinc/91/57/95/962915795.db2.gz SMBHVIDSHVDTII-LADJIXMOSA-N 0 1 284.743 0.506 20 30 CCEDMN CC[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)[C@@H](C)C(=O)[O-] ZINC001603724764 974163761 /nfs/dbraw/zinc/16/37/61/974163761.db2.gz WZQOKPXGVVXYBM-TVQRCGJNSA-N 0 1 267.329 0.590 20 30 CCEDMN CC[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)[C@@H](C)C(=O)[O-] ZINC001603724764 974163765 /nfs/dbraw/zinc/16/37/65/974163765.db2.gz WZQOKPXGVVXYBM-TVQRCGJNSA-N 0 1 267.329 0.590 20 30 CCEDMN C[C@@](CC(=O)[O-])([NH2+]CC(=O)NCCC#N)c1ccccn1 ZINC001592323806 977738812 /nfs/dbraw/zinc/73/88/12/977738812.db2.gz YGOJVPIFJJNKFG-AWEZNQCLSA-N 0 1 290.323 0.391 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CC[N@@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588369582 983315519 /nfs/dbraw/zinc/31/55/19/983315519.db2.gz QFXLWDWGUIICTE-GHMZBOCLSA-N 0 1 267.329 0.056 20 30 CCEDMN C#C[C@H](CO)[NH2+]Cc1oc(Br)cc1C(=O)[O-] ZINC001588370594 983320386 /nfs/dbraw/zinc/32/03/86/983320386.db2.gz IGWIWEZUTZZQAD-ZCFIWIBFSA-N 0 1 288.097 0.824 20 30 CCEDMN C#CC[C@H]([NH2+]Cc1nn(C)c(C)c1Cl)C(=O)[O-] ZINC001588424343 983455262 /nfs/dbraw/zinc/45/52/62/983455262.db2.gz VNNSZIXIKFEAAH-QMMMGPOBSA-N 0 1 255.705 0.948 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)[O-] ZINC001596299378 983723304 /nfs/dbraw/zinc/72/33/04/983723304.db2.gz FHDUMXGXQHTCGJ-OBJOEFQTSA-N 0 1 293.367 0.934 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1C[C@H](C)O[C@@]2(CCO[C@H]2C)C1 ZINC001588663957 983797860 /nfs/dbraw/zinc/79/78/60/983797860.db2.gz MIHVHFUBTNGGOI-KQXIARHKSA-N 0 1 255.314 0.894 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+](C)CCN1C(=O)c2ccccc2C1=O ZINC001588664778 983804997 /nfs/dbraw/zinc/80/49/97/983804997.db2.gz VVKFCXKQSAWUAX-GFCCVEGCSA-N 0 1 288.303 0.854 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@@H+]1C[C@@H](O)[C@H](Oc2ccccc2)C1 ZINC001588669121 983809682 /nfs/dbraw/zinc/80/96/82/983809682.db2.gz GIKQHPDJUPSCOJ-YNEHKIRRSA-N 0 1 263.293 0.750 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1C[C@@H](O)[C@H](Oc2ccccc2)C1 ZINC001588669121 983809686 /nfs/dbraw/zinc/80/96/86/983809686.db2.gz GIKQHPDJUPSCOJ-YNEHKIRRSA-N 0 1 263.293 0.750 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC001588729836 983994234 /nfs/dbraw/zinc/99/42/34/983994234.db2.gz NYBPPUQADGWNCP-CHWSQXEVSA-N 0 1 296.367 0.587 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@H]1CSC[NH2+]1)C(=O)[O-] ZINC001588794329 984226356 /nfs/dbraw/zinc/22/63/56/984226356.db2.gz RBYUSQMAIDRQKU-RKDXNWHRSA-N 0 1 258.343 0.575 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@H]1CSC[NH2+]1)C(=O)[O-] ZINC001588794328 984226389 /nfs/dbraw/zinc/22/63/89/984226389.db2.gz RBYUSQMAIDRQKU-IUCAKERBSA-N 0 1 258.343 0.575 20 30 CCEDMN C=CCN1CC[C@H]([NH2+][C@@](C)(Cn2cccn2)C(=O)[O-])C1=O ZINC001588839456 984347319 /nfs/dbraw/zinc/34/73/19/984347319.db2.gz RTAVZAMQNWQMSX-FZMZJTMJSA-N 0 1 292.339 0.103 20 30 CCEDMN CC(=NN1CC[NH+](C)CC1)c1cc(C(=O)[O-])co1 ZINC001589010949 984984918 /nfs/dbraw/zinc/98/49/18/984984918.db2.gz LQGHGZPOVWGIPS-UHFFFAOYSA-N 0 1 251.286 0.949 20 30 CCEDMN C[N@H+](CCc1cn(CC(=O)[O-])nn1)[C@@H]1CCC[C@H]1C#N ZINC001598502919 992459526 /nfs/dbraw/zinc/45/95/26/992459526.db2.gz SJKDTMHDKJKEAL-CMPLNLGQSA-N 0 1 277.328 0.529 20 30 CCEDMN C[N@@H+](CCc1cn(CC(=O)[O-])nn1)[C@@H]1CCC[C@H]1C#N ZINC001598502919 992459532 /nfs/dbraw/zinc/45/95/32/992459532.db2.gz SJKDTMHDKJKEAL-CMPLNLGQSA-N 0 1 277.328 0.529 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)c2coc(C#N)c2)C[C@]1(C)C(=O)[O-] ZINC001598572002 993211365 /nfs/dbraw/zinc/21/13/65/993211365.db2.gz CHNPRWROJWFMPM-GXFFZTMASA-N 0 1 278.264 0.855 20 30 CCEDMN C[N@H+]1CCN(C(=O)CCCCC#N)C[C@H](C(=O)[O-])C1 ZINC001598605413 993642650 /nfs/dbraw/zinc/64/26/50/993642650.db2.gz JPTVYGZRTWOVDJ-LLVKDONJSA-N 0 1 267.329 0.545 20 30 CCEDMN C[N@H+]1CCN(Cc2cc(C#N)ccn2)C[C@@H](C(=O)[O-])C1 ZINC001598619559 993975499 /nfs/dbraw/zinc/97/54/99/993975499.db2.gz MWJGTHBNLQJLQL-LBPRGKRZSA-N 0 1 274.324 0.401 20 30 CCEDMN CNC(=O)[C@@H]1CC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC001598638372 994276778 /nfs/dbraw/zinc/27/67/78/994276778.db2.gz AXVUEHTXZDGSSK-CHWSQXEVSA-N 0 1 287.319 0.752 20 30 CCEDMN CNC(=O)[C@H]1CC[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC001598639583 994297743 /nfs/dbraw/zinc/29/77/43/994297743.db2.gz YMDCYMDMFHBBBF-STQMWFEESA-N 0 1 287.319 0.752 20 30 CCEDMN C[C@@](O)(C[N@@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1)C(=O)[O-] ZINC001593396213 995138209 /nfs/dbraw/zinc/13/82/09/995138209.db2.gz IYENNQTYCKCRPJ-UKRRQHHQSA-N 0 1 290.319 0.767 20 30 CCEDMN C[C@@](O)(C[N@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1)C(=O)[O-] ZINC001593396213 995138212 /nfs/dbraw/zinc/13/82/12/995138212.db2.gz IYENNQTYCKCRPJ-UKRRQHHQSA-N 0 1 290.319 0.767 20 30 CCEDMN CC1(C#N)CC[NH+]([C@H]2CCN(CCC(=O)[O-])C2=O)CC1 ZINC001593743381 996367980 /nfs/dbraw/zinc/36/79/80/996367980.db2.gz WEPXYLVFCAPSBM-NSHDSACASA-N 0 1 279.340 0.688 20 30 CCEDMN C[C@]1(CO)C[C@@H](O)C[N@@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC001594031773 997610294 /nfs/dbraw/zinc/61/02/94/997610294.db2.gz GXFFONHUOFWWTE-IAQYHMDHSA-N 0 1 290.319 0.574 20 30 CCEDMN C[C@]1(CO)C[C@@H](O)C[N@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC001594031773 997610304 /nfs/dbraw/zinc/61/03/04/997610304.db2.gz GXFFONHUOFWWTE-IAQYHMDHSA-N 0 1 290.319 0.574 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)[C@H]1C[C@@H](C(N)=O)C1 ZINC000599645989 361770794 /nfs/dbraw/zinc/77/07/94/361770794.db2.gz XOOCYMAAGJHDHV-UHIISALHSA-N 0 1 280.372 0.237 20 30 CCEDMN CC(C)(C#N)CCCN1CCO[C@@H](CC(N)=O)C1 ZINC000599665207 361779380 /nfs/dbraw/zinc/77/93/80/361779380.db2.gz RVVWAXYUGPSSSG-NSHDSACASA-N 0 1 253.346 0.893 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H]2CCC[C@]2(CO)C1 ZINC000599635057 361767110 /nfs/dbraw/zinc/76/71/10/361767110.db2.gz HXPKDDFBQOWSFD-SMDDNHRTSA-N 0 1 281.356 0.093 20 30 CCEDMN CNS(=O)(=O)C[C@@H]1CCCN(CCCC#N)C1 ZINC000599689744 361787434 /nfs/dbraw/zinc/78/74/34/361787434.db2.gz GIQNDOVXXSKGLB-LLVKDONJSA-N 0 1 259.375 0.551 20 30 CCEDMN CC1(C#N)CN(C(=O)c2ccc(NS(C)(=O)=O)nc2)C1 ZINC000599872746 361823640 /nfs/dbraw/zinc/82/36/40/361823640.db2.gz NBTRFBNCUUVQFD-UHFFFAOYSA-N 0 1 294.336 0.439 20 30 CCEDMN N#Cc1ccsc1C(=O)N1CC[C@H](N2CC[C@H](O)C2)C1 ZINC000599938036 361835014 /nfs/dbraw/zinc/83/50/14/361835014.db2.gz KSTMVZNUYXWNJO-RYUDHWBXSA-N 0 1 291.376 0.901 20 30 CCEDMN N#Cc1ccsc1C(=O)N1CC[C@H](N2CC[C@@H](O)C2)C1 ZINC000599938035 361835068 /nfs/dbraw/zinc/83/50/68/361835068.db2.gz KSTMVZNUYXWNJO-NWDGAFQWSA-N 0 1 291.376 0.901 20 30 CCEDMN CC(C)(C)CN(CCC#N)C(=O)CCc1nn[nH]n1 ZINC000601039069 362138504 /nfs/dbraw/zinc/13/85/04/362138504.db2.gz AOWQHLUJNZQBQT-UHFFFAOYSA-N 0 1 264.333 0.921 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)C2(C#N)CC3(CC3)C2)C1 ZINC000601150906 362159894 /nfs/dbraw/zinc/15/98/94/362159894.db2.gz HYOMTTFNBWPMMQ-LBPRGKRZSA-N 0 1 276.384 0.432 20 30 CCEDMN N#CCCN1CCN(c2nc(N)nc3c2CCCC3)CC1 ZINC000601261834 362193895 /nfs/dbraw/zinc/19/38/95/362193895.db2.gz OAXOCAJSKJEPSC-UHFFFAOYSA-N 0 1 286.383 0.804 20 30 CCEDMN Cc1cc(F)cc(C#N)c1S(=O)(=O)NCCN(C)C ZINC000601283328 362202984 /nfs/dbraw/zinc/20/29/84/362202984.db2.gz WFCHZYZGPBUHQC-UHFFFAOYSA-N 0 1 285.344 0.846 20 30 CCEDMN CN1CCOC[C@@H]1C(=O)NC1(c2nnc[nH]2)CCC1 ZINC000329170685 529780106 /nfs/dbraw/zinc/78/01/06/529780106.db2.gz JRIHCUFVUNCESY-SECBINFHSA-N 0 1 265.317 0.471 20 30 CCEDMN CN1CCO[C@H](CNC(=O)c2cccn3c(O)nnc23)C1 ZINC000329086071 529787838 /nfs/dbraw/zinc/78/78/38/529787838.db2.gz GQIRLYDYWNULAL-SECBINFHSA-N 0 1 291.311 0.070 20 30 CCEDMN CN1C[C@H](NC(=O)NCCN2CC=CCC2)CCC1=O ZINC000329987495 529827241 /nfs/dbraw/zinc/82/72/41/529827241.db2.gz GDOGCIBIAFAZEX-GFCCVEGCSA-N 0 1 280.372 0.373 20 30 CCEDMN CN(CC1CCOCC1)C(=O)N[C@H]1CCc2ncnn2C1 ZINC000329019087 529865921 /nfs/dbraw/zinc/86/59/21/529865921.db2.gz RUEZRZFAUFKUPR-LBPRGKRZSA-N 0 1 293.371 0.865 20 30 CCEDMN CC1(C)CCCN(C(=O)CCc2nn[nH]n2)[C@H]1C#N ZINC000601532463 362302906 /nfs/dbraw/zinc/30/29/06/362302906.db2.gz BQIUOSIEJQJGTK-VIFPVBQESA-N 0 1 262.317 0.673 20 30 CCEDMN N#CCCN1CCN(S(=O)(=O)c2ccccc2O)CC1 ZINC000601536740 362303812 /nfs/dbraw/zinc/30/38/12/362303812.db2.gz WGFOKEPOEMRLNQ-UHFFFAOYSA-N 0 1 295.364 0.612 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H](C)N(C)C)CC1 ZINC000602089521 362480822 /nfs/dbraw/zinc/48/08/22/362480822.db2.gz UQBVUIVENQOEDT-CHWSQXEVSA-N 0 1 266.389 0.629 20 30 CCEDMN N#Cc1ccc2c(c1)N(C(=O)CCCc1nn[nH]n1)CC2 ZINC000602125412 362507179 /nfs/dbraw/zinc/50/71/79/362507179.db2.gz KDNGGHYWHDDOAM-UHFFFAOYSA-N 0 1 282.307 0.983 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)NCCN2CC[C@@H](O)C2)C1 ZINC000602329511 362587810 /nfs/dbraw/zinc/58/78/10/362587810.db2.gz ZTGHKDJWQJLQOT-QJPTWQEYSA-N 0 1 266.345 0.044 20 30 CCEDMN CC(C)(CO)N1CCN(Cc2cccc(C#N)n2)CC1 ZINC000602476599 362636978 /nfs/dbraw/zinc/63/69/78/362636978.db2.gz YDGMOOSICYAQGZ-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN CCN1CCC[C@@H](NS(=O)(=O)CCCC#N)C1 ZINC000111332030 260273611 /nfs/dbraw/zinc/27/36/11/260273611.db2.gz ALVXPLVDWWMVCC-LLVKDONJSA-N 0 1 259.375 0.694 20 30 CCEDMN CCOCCOC[C@@H](O)CNCc1cccc(C#N)n1 ZINC000602629015 362721359 /nfs/dbraw/zinc/72/13/59/362721359.db2.gz DEQLHSHMAUYZPA-AWEZNQCLSA-N 0 1 279.340 0.457 20 30 CCEDMN Cc1cc(CN2CCN(C)C[C@@H]2CO)ccc1C#N ZINC000602714614 362767259 /nfs/dbraw/zinc/76/72/59/362767259.db2.gz HPROPCHFUDCSHG-OAHLLOKOSA-N 0 1 259.353 0.975 20 30 CCEDMN Cc1cc(N2CCN(CCO)[C@H](C)C2)cc(C#N)n1 ZINC000343036507 137110824 /nfs/dbraw/zinc/11/08/24/137110824.db2.gz XBIKKNCJULQDIP-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN CCN1C[C@@H](C)N(C(=O)c2cnn(C)c2C#N)[C@H](C)C1 ZINC000344419429 137193742 /nfs/dbraw/zinc/19/37/42/137193742.db2.gz JDRPSZAHPGBKJV-GHMZBOCLSA-N 0 1 275.356 0.846 20 30 CCEDMN CN(C)CCNS(=O)(=O)Cc1ccc(C#N)cc1 ZINC000020024206 348076049 /nfs/dbraw/zinc/07/60/49/348076049.db2.gz QDLOPCUKUBBFHG-UHFFFAOYSA-N 0 1 267.354 0.539 20 30 CCEDMN C[C@@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)o2)CCN1C ZINC000344537873 137199797 /nfs/dbraw/zinc/19/97/97/137199797.db2.gz KPRRIKIWCCDNKE-NXEZZACHSA-N 0 1 283.353 0.912 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2=CCOCC2)[nH]1 ZINC000602883794 362862669 /nfs/dbraw/zinc/86/26/69/362862669.db2.gz XYUVGWRYHMQQEI-UHFFFAOYSA-N 0 1 261.285 0.182 20 30 CCEDMN Cc1nnc(CN(C)C(=O)N=c2[nH]n(C)cc2C#N)s1 ZINC000602889696 362867078 /nfs/dbraw/zinc/86/70/78/362867078.db2.gz ZNEKATSHOFEJMF-UHFFFAOYSA-N 0 1 291.340 0.538 20 30 CCEDMN C[C@@H]1C[C@H](CO)CN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602888396 362867181 /nfs/dbraw/zinc/86/71/81/362867181.db2.gz UKZDEZYRDPPXAC-ZJUUUORDSA-N 0 1 277.328 0.196 20 30 CCEDMN CO[C@@H]1CCCC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602889043 362867254 /nfs/dbraw/zinc/86/72/54/362867254.db2.gz WBVHREPVIGHBMI-GHMZBOCLSA-N 0 1 277.328 0.793 20 30 CCEDMN C[C@@H]1COC2(CCC2)CN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000602887730 362867397 /nfs/dbraw/zinc/86/73/97/362867397.db2.gz QWPTYECXVNEJTJ-SNVBAGLBSA-N 0 1 289.339 0.889 20 30 CCEDMN C[C@H](C(=O)NC[C@@H]1CCCN(CC#N)C1)N(C)C ZINC000602897327 362873098 /nfs/dbraw/zinc/87/30/98/362873098.db2.gz UDMPJYRNGLBNEA-NEPJUHHUSA-N 0 1 252.362 0.288 20 30 CCEDMN COc1ccc(C(=O)N=c2[nH]n(C(C)C)cc2C#N)nn1 ZINC000345233710 137240599 /nfs/dbraw/zinc/24/05/99/137240599.db2.gz GCEYIQPGBINION-UHFFFAOYSA-N 0 1 286.295 0.808 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(C(=O)NCCC)CC1 ZINC000042686580 348288668 /nfs/dbraw/zinc/28/86/68/348288668.db2.gz QAUXJVKCYPMRDB-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN CNS(=O)(=O)C[C@@H]1CCCN(CC2(CC#N)CC2)C1 ZINC000602916559 362885030 /nfs/dbraw/zinc/88/50/30/362885030.db2.gz HYIHRJGKTVYVRW-GFCCVEGCSA-N 0 1 285.413 0.941 20 30 CCEDMN COc1ccc(C(C)=O)cc1CC(=O)N=C1NCC(=O)N1 ZINC000050222505 348470582 /nfs/dbraw/zinc/47/05/82/348470582.db2.gz RIHWPDJFADWDFD-UHFFFAOYSA-N 0 1 289.291 0.883 20 30 CCEDMN Cn1nc(C(=O)Nc2nc(-c3ccccn3)n[nH]2)ccc1=O ZINC000053525708 348531254 /nfs/dbraw/zinc/53/12/54/348531254.db2.gz XFSKRKHQTWJUQM-UHFFFAOYSA-N 0 1 297.278 0.597 20 30 CCEDMN N#Cc1ccc(N2CCN(CCn3cccn3)CC2)nc1 ZINC000064248551 348697779 /nfs/dbraw/zinc/69/77/79/348697779.db2.gz GQZBDOUSJZMPGZ-UHFFFAOYSA-N 0 1 282.351 0.972 20 30 CCEDMN N#Cc1cccc(NC(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)c1 ZINC000077208715 349102010 /nfs/dbraw/zinc/10/20/10/349102010.db2.gz XNQOLKOHOMYDSN-CYBMUJFWSA-N 0 1 298.346 0.850 20 30 CCEDMN N#Cc1ccc(CC(=O)NCCCc2nc[nH]n2)cc1 ZINC000080626562 349223681 /nfs/dbraw/zinc/22/36/81/349223681.db2.gz QJJXQROLMLTPFA-UHFFFAOYSA-N 0 1 269.308 0.968 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2cccc(C#N)c2)[C@H](C)CN1 ZINC000086468783 349340083 /nfs/dbraw/zinc/34/00/83/349340083.db2.gz ZIDFSWJTBBLDRD-GHMZBOCLSA-N 0 1 279.365 0.929 20 30 CCEDMN N#Cc1ccnc(N2CCN(CC3(CO)COC3)CC2)c1 ZINC000089102620 349374738 /nfs/dbraw/zinc/37/47/38/349374738.db2.gz UZMLXEJFVMZVMG-UHFFFAOYSA-N 0 1 288.351 0.084 20 30 CCEDMN N#Cc1cc(F)cc(NC(=O)NCC[N@@H+]2CC[C@H](O)C2)c1 ZINC000603063807 362950451 /nfs/dbraw/zinc/95/04/51/362950451.db2.gz CVPDEODCCXHDTC-ZDUSSCGKSA-N 0 1 292.314 0.885 20 30 CCEDMN N#Cc1cc(F)cc(NC(=O)NCCN2CC[C@H](O)C2)c1 ZINC000603063807 362950454 /nfs/dbraw/zinc/95/04/54/362950454.db2.gz CVPDEODCCXHDTC-ZDUSSCGKSA-N 0 1 292.314 0.885 20 30 CCEDMN CC(C)(O)CN1CCN(c2cccnc2C#N)CC1 ZINC000130032651 350228526 /nfs/dbraw/zinc/22/85/26/350228526.db2.gz JPYGLBPCRZCZTH-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN C=CCC1(NC(=O)Cc2n[nH]c(C)n2)CCOCC1 ZINC000618251255 365959696 /nfs/dbraw/zinc/95/96/96/365959696.db2.gz GHUVLAFYUIWMGF-UHFFFAOYSA-N 0 1 264.329 0.897 20 30 CCEDMN N#Cc1ccc(CN[C@@H]2CCS(=O)(=O)C2)s1 ZINC000135495914 350398332 /nfs/dbraw/zinc/39/83/32/350398332.db2.gz HMALICPDXAFDCL-MRVPVSSYSA-N 0 1 256.352 0.896 20 30 CCEDMN C[C@@H](C(=O)N1C[C@H](C)N(CC#N)[C@@H](C)C1)N(C)C ZINC000603088895 362956440 /nfs/dbraw/zinc/95/64/40/362956440.db2.gz FQYAJDIOHDGCEX-SRVKXCTJSA-N 0 1 252.362 0.381 20 30 CCEDMN C#CCN(CC(=O)NC(=O)N[C@@H](C)COC)C1CCCC1 ZINC000155728151 350622185 /nfs/dbraw/zinc/62/21/85/350622185.db2.gz HSPIPJWMVWXYRF-LBPRGKRZSA-N 0 1 295.383 0.725 20 30 CCEDMN CCN1[C@H](C)CN(C(=O)[C@@H](C)n2cnc(C#N)n2)C[C@@H]1C ZINC000603141214 362973960 /nfs/dbraw/zinc/97/39/60/362973960.db2.gz COZGDFVJBHEPFA-GRYCIOLGSA-N 0 1 290.371 0.652 20 30 CCEDMN N#Cc1cccc(S(=O)(=O)CCN[C@H]2CCOC2)c1 ZINC000166377912 350798551 /nfs/dbraw/zinc/79/85/51/350798551.db2.gz LYRARJWRVWXSOS-LBPRGKRZSA-N 0 1 280.349 0.710 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)Cc1ccc(C#N)cc1 ZINC000168807903 350831698 /nfs/dbraw/zinc/83/16/98/350831698.db2.gz KRWQANXNKOMGDT-LLVKDONJSA-N 0 1 281.381 0.928 20 30 CCEDMN C[C@@H](CNC(=O)c1cc(C#N)c[nH]1)N1CCN(C)CC1 ZINC000175705588 351139696 /nfs/dbraw/zinc/13/96/96/351139696.db2.gz IVUHLYGRYIFYFY-NSHDSACASA-N 0 1 275.356 0.252 20 30 CCEDMN C[C@@H]1CCNC(=O)[C@@H]1NCC(=O)Nc1cccc(C#N)c1 ZINC000193585774 351834260 /nfs/dbraw/zinc/83/42/60/351834260.db2.gz VKGXSYLHFSLYMY-QMTHXVAHSA-N 0 1 286.335 0.611 20 30 CCEDMN N#CCCCCN1CCN(CC(=O)NC2CC2)CC1 ZINC000198734203 351922161 /nfs/dbraw/zinc/92/21/61/351922161.db2.gz LTQVXGHYONDJDW-UHFFFAOYSA-N 0 1 264.373 0.576 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1ccc(C#N)s1 ZINC000230340529 352112649 /nfs/dbraw/zinc/11/26/49/352112649.db2.gz AASNASBXLOMFJH-UHFFFAOYSA-N 0 1 273.383 0.802 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(O)(CC)CC1 ZINC000266583704 352712033 /nfs/dbraw/zinc/71/20/33/352712033.db2.gz JEFIGMBUIVZHPB-NSHDSACASA-N 0 1 283.372 0.624 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@@](C)(C(=O)OC)C1 ZINC000268313247 352824415 /nfs/dbraw/zinc/82/44/15/352824415.db2.gz CIDHHPLAUCHEIB-QMTHXVAHSA-N 0 1 297.355 0.272 20 30 CCEDMN COCCN1CCCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000272516951 353070134 /nfs/dbraw/zinc/07/01/34/353070134.db2.gz YZESZEBWJBTISS-UHFFFAOYSA-N 0 1 276.340 0.681 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C2(C(N)=O)CCCC2)CC1 ZINC000272266660 353048428 /nfs/dbraw/zinc/04/84/28/353048428.db2.gz BWCDCXSLFMRMMT-UHFFFAOYSA-N 0 1 291.395 0.588 20 30 CCEDMN C=C(C)CNC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000274996581 353178262 /nfs/dbraw/zinc/17/82/62/353178262.db2.gz FWYBBAJPYBSRMY-LLVKDONJSA-N 0 1 268.361 0.202 20 30 CCEDMN C#CCCN1CCN(c2cc(NC[C@H](C)O)ncn2)CC1 ZINC000294448554 353889208 /nfs/dbraw/zinc/88/92/08/353889208.db2.gz PTVJHHWNVZRASW-ZDUSSCGKSA-N 0 1 289.383 0.415 20 30 CCEDMN CNC(=O)CCN1CCN(c2ccc(C#N)cn2)CC1 ZINC000299101623 353990934 /nfs/dbraw/zinc/99/09/34/353990934.db2.gz WPJBDSZYSBRHEB-UHFFFAOYSA-N 0 1 273.340 0.211 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)C[C@H]1CCC[C@@H]1O ZINC000305371915 354145484 /nfs/dbraw/zinc/14/54/84/354145484.db2.gz XUMJBOXQPWVWDA-OSAQELSMSA-N 0 1 279.384 0.888 20 30 CCEDMN CN1CCC2(CCCN2C(=O)N[C@@H]2CC[S@@](=O)C2)CC1 ZINC000329097826 354252652 /nfs/dbraw/zinc/25/26/52/354252652.db2.gz YOVCVQFTSYFNGS-MPBGBICISA-N 0 1 299.440 0.982 20 30 CCEDMN Cn1nc(C(=O)NC[C@H]2CN(C)CCN2C)cc1C1CC1 ZINC000329504438 354257437 /nfs/dbraw/zinc/25/74/37/354257437.db2.gz ABGXEDLJGKSUIB-LBPRGKRZSA-N 0 1 291.399 0.848 20 30 CCEDMN COC1(C)CN(C(=O)N[C@H]2CCc3nc(C)nn3C2)C1 ZINC000329570716 354258414 /nfs/dbraw/zinc/25/84/14/354258414.db2.gz QKGIWTXRVYBOBM-JTQLQIEISA-N 0 1 279.344 0.536 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)N2CCS(=O)(=O)CC2)CCN1C ZINC000329775495 354260340 /nfs/dbraw/zinc/26/03/40/354260340.db2.gz NDDBATCFHMUVQH-MNOVXSKESA-N 0 1 289.401 0.114 20 30 CCEDMN CCOC(=O)[C@H](C)[C@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000619203980 366216657 /nfs/dbraw/zinc/21/66/57/366216657.db2.gz MSEUQIGLPUXKSG-BDAKNGLRSA-N 0 1 293.327 0.423 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC([C@H]3CCOC3)C2)[nH]1 ZINC000619320240 366235808 /nfs/dbraw/zinc/23/58/08/366235808.db2.gz XQGVWXRGRUFZEB-VIFPVBQESA-N 0 1 275.312 0.214 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1cncc(C#N)c1)c1nnc[nH]1 ZINC000336815322 355190376 /nfs/dbraw/zinc/19/03/76/355190376.db2.gz HODBYDBADDLEQN-SSDOTTSWSA-N 0 1 278.297 0.111 20 30 CCEDMN C=CCOCCCC(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000173431354 188854752 /nfs/dbraw/zinc/85/47/52/188854752.db2.gz HRWDJFVMMUGGFR-UHFFFAOYSA-N 0 1 297.399 0.202 20 30 CCEDMN C[C@@H](C(=O)N1CCN(C)[C@H](C)[C@H]1C)n1cnc(C#N)n1 ZINC000336991285 355242166 /nfs/dbraw/zinc/24/21/66/355242166.db2.gz LJABNAVOIKETKF-MXWKQRLJSA-N 0 1 276.344 0.262 20 30 CCEDMN N#Cc1ncn(CC(=O)NCc2nc3ccc(F)cc3[nH]2)n1 ZINC000426866882 235346403 /nfs/dbraw/zinc/34/64/03/235346403.db2.gz OSSGVODUJCIATA-UHFFFAOYSA-N 0 1 299.269 0.482 20 30 CCEDMN C=CCOCCCN1C[C@H]2[C@@H](CCS2(=O)=O)C1 ZINC000353131082 356161713 /nfs/dbraw/zinc/16/17/13/356161713.db2.gz YFIUMIOPIDBXEA-RYUDHWBXSA-N 0 1 259.371 0.698 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)c2ccnc(C#N)c2)C1 ZINC000619435016 366251276 /nfs/dbraw/zinc/25/12/76/366251276.db2.gz DYJBUCMLDXBSAG-CYBMUJFWSA-N 0 1 288.351 0.794 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1ccccc1CC#N)c1nnc[nH]1 ZINC000619573044 366286573 /nfs/dbraw/zinc/28/65/73/366286573.db2.gz CJBSNWGXQQMCSU-SECBINFHSA-N 0 1 291.336 0.910 20 30 CCEDMN N#CCN1CCC(NC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000609331998 363490441 /nfs/dbraw/zinc/49/04/41/363490441.db2.gz SXFBDUFRKTXZNB-UHFFFAOYSA-N 0 1 284.323 0.676 20 30 CCEDMN Cc1cc(CNC(=O)NC2CCN(CC#N)CC2)n[nH]1 ZINC000610014493 363533454 /nfs/dbraw/zinc/53/34/54/363533454.db2.gz VDCUKHXPAMJBPU-UHFFFAOYSA-N 0 1 276.344 0.505 20 30 CCEDMN Cc1nnc(SCC(=O)N[C@@]2(C#N)CCSC2)[nH]1 ZINC000610414603 363556644 /nfs/dbraw/zinc/55/66/44/363556644.db2.gz YMZLMMAHCPEQDT-SNVBAGLBSA-N 0 1 283.382 0.721 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N[C@@]2(C#N)CCSC2)n1 ZINC000610414603 363556650 /nfs/dbraw/zinc/55/66/50/363556650.db2.gz YMZLMMAHCPEQDT-SNVBAGLBSA-N 0 1 283.382 0.721 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)N[C@H]1CCCN(C)C1 ZINC000619708222 366330482 /nfs/dbraw/zinc/33/04/82/366330482.db2.gz MGKPYSQLTRXKKZ-NEPJUHHUSA-N 0 1 288.417 0.397 20 30 CCEDMN CN(C(=O)c1cnn(C)c1C#N)[C@H]1CN2CCC1CC2 ZINC000356003984 356683257 /nfs/dbraw/zinc/68/32/57/356683257.db2.gz QZMSFJIJMYOHDS-ZDUSSCGKSA-N 0 1 273.340 0.458 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC(C3CCOCC3)C2)[nH]1 ZINC000619727554 366344346 /nfs/dbraw/zinc/34/43/46/366344346.db2.gz FHONWWCYYYJJJU-UHFFFAOYSA-N 0 1 289.339 0.604 20 30 CCEDMN C[C@H]1CN2CCCC[C@H]2CN1C(=O)c1cnn(C)c1C#N ZINC000356143559 356710839 /nfs/dbraw/zinc/71/08/39/356710839.db2.gz BLOFJFIPDCIJAT-RYUDHWBXSA-N 0 1 287.367 0.991 20 30 CCEDMN N#Cc1cnc(C(=O)N2CCc3[nH]nnc3C2)c(Cl)c1 ZINC000345995861 283229140 /nfs/dbraw/zinc/22/91/40/283229140.db2.gz INVFIPKWMYAFIP-UHFFFAOYSA-N 0 1 288.698 0.923 20 30 CCEDMN CC(=O)NCCCN[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000360058694 356941560 /nfs/dbraw/zinc/94/15/60/356941560.db2.gz DKTYBWFKEJYKBZ-CYBMUJFWSA-N 0 1 274.324 0.200 20 30 CCEDMN C[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)C(=O)NC(C)(C)C ZINC000610527987 363572308 /nfs/dbraw/zinc/57/23/08/363572308.db2.gz DQJYRZQYANGQNW-MRVPVSSYSA-N 0 1 292.343 0.138 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCSCC2)[nH]1 ZINC000610528183 363572615 /nfs/dbraw/zinc/57/26/15/363572615.db2.gz UPADEUADDLYCOX-UHFFFAOYSA-N 0 1 251.315 0.294 20 30 CCEDMN CN1CCN(C(=O)CNCc2cc(C#N)cs2)CC1 ZINC000610540354 363574174 /nfs/dbraw/zinc/57/41/74/363574174.db2.gz APHOVSHDZKJKGV-UHFFFAOYSA-N 0 1 278.381 0.483 20 30 CCEDMN COC[C@@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610528265 363574265 /nfs/dbraw/zinc/57/42/65/363574265.db2.gz ZRRFAZOEUAVNJT-SNVBAGLBSA-N 0 1 277.328 0.604 20 30 CCEDMN CC(C)C[C@H](CCO)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610562447 363576052 /nfs/dbraw/zinc/57/60/52/363576052.db2.gz UGNKSTLALVRCGU-NSHDSACASA-N 0 1 293.371 0.880 20 30 CCEDMN CN(CC(=O)NC(C)(C)C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610561758 363576220 /nfs/dbraw/zinc/57/62/20/363576220.db2.gz CQTKLWPFJGNFJQ-UHFFFAOYSA-N 0 1 292.343 0.092 20 30 CCEDMN Cn1cc(CN2CCC[C@H](N3CCNC3=O)C2)cc1C#N ZINC000364588128 357122800 /nfs/dbraw/zinc/12/28/00/357122800.db2.gz HJRQAMWBPWFVRY-ZDUSSCGKSA-N 0 1 287.367 0.886 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H](CO)c2ccccc2)[nH]1 ZINC000610564639 363579005 /nfs/dbraw/zinc/57/90/05/363579005.db2.gz SLPHRELGRCHXFR-CYBMUJFWSA-N 0 1 299.334 0.611 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC(c3ncc[nH]3)CC2)[nH]1 ZINC000610564306 363579749 /nfs/dbraw/zinc/57/97/49/363579749.db2.gz HJCWKFBPRPUUBQ-UHFFFAOYSA-N 0 1 299.338 0.848 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2(CO)CCCCC2)[nH]1 ZINC000610634655 363589208 /nfs/dbraw/zinc/58/92/08/363589208.db2.gz YBGFQOLWQZOLQX-UHFFFAOYSA-N 0 1 291.355 0.778 20 30 CCEDMN CC(C)(O)CN1CCN(c2cncc(C#N)n2)CC1 ZINC000401462571 357800241 /nfs/dbraw/zinc/80/02/41/357800241.db2.gz RNQOBKROBQFWDW-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN COCCS(=O)(=O)Nc1ccc(C#N)c(C)n1 ZINC000451086710 236133288 /nfs/dbraw/zinc/13/32/88/236133288.db2.gz GJOHKYIXMHMDFO-UHFFFAOYSA-N 0 1 255.299 0.072 20 30 CCEDMN C[C@H]1C[C@@H](O)CN(CC(=O)NCc2ccc(C#N)cc2)C1 ZINC000452066690 236215828 /nfs/dbraw/zinc/21/58/28/236215828.db2.gz KWGVAKDOOYPDNP-SWLSCSKDSA-N 0 1 287.363 0.877 20 30 CCEDMN C[C@H]1CN(CCO)CCN1CCOc1ccccc1C#N ZINC000452113215 236221892 /nfs/dbraw/zinc/22/18/92/236221892.db2.gz IAIUQQCNRRYXCG-AWEZNQCLSA-N 0 1 289.379 0.935 20 30 CCEDMN Cc1onc(CC(=O)N2CC[C@]3(CC[N@H+](C)C3)C2)c1C#N ZINC000329247675 202250015 /nfs/dbraw/zinc/25/00/15/202250015.db2.gz WHLKAYCPVHAANI-HNNXBMFYSA-N 0 1 288.351 0.951 20 30 CCEDMN C=CCOCC[N@H+]1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000294881622 199228551 /nfs/dbraw/zinc/22/85/51/199228551.db2.gz AEJVXLQIIZZYTB-JTQLQIEISA-N 0 1 253.302 0.745 20 30 CCEDMN CC[C@H](O)CNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000567875280 358603544 /nfs/dbraw/zinc/60/35/44/358603544.db2.gz APXXKNNRDODRIN-NSHDSACASA-N 0 1 283.353 0.087 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1c1ccc(C#N)c(N)n1 ZINC000570292471 358842351 /nfs/dbraw/zinc/84/23/51/358842351.db2.gz BBABIIVWTDDWOR-SNVBAGLBSA-N 0 1 261.329 0.038 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@@H+]1[C@@H]2CC[C@H]1CCN(C)C2 ZINC000574141540 359031977 /nfs/dbraw/zinc/03/19/77/359031977.db2.gz GVRJBQIJOQJDHS-FRRDWIJNSA-N 0 1 251.374 0.846 20 30 CCEDMN CN1CCN(C[C@@H](O)COc2cccc(F)c2C#N)CC1 ZINC000576163772 359045667 /nfs/dbraw/zinc/04/56/67/359045667.db2.gz AJPQRAVPCARIPY-GFCCVEGCSA-N 0 1 293.342 0.684 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@H]2CN(CC(C)C)CCO2)n1 ZINC000573784131 358998111 /nfs/dbraw/zinc/99/81/11/358998111.db2.gz MWANKOASMDLVKQ-AWEZNQCLSA-N 0 1 289.383 0.847 20 30 CCEDMN COC[C@H](C)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000588969676 359354369 /nfs/dbraw/zinc/35/43/69/359354369.db2.gz DIAHLBHCLNWNPK-JTQLQIEISA-N 0 1 283.353 0.351 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2cnn3ccccc23)[nH]1 ZINC000588259734 359319652 /nfs/dbraw/zinc/31/96/52/359319652.db2.gz LLNIJEAOAQCVMS-UHFFFAOYSA-N 0 1 295.306 0.683 20 30 CCEDMN CCC[C@@H](O)CC(=O)NC1(C#N)CCN(C)CC1 ZINC000611004534 363646792 /nfs/dbraw/zinc/64/67/92/363646792.db2.gz DGVWGOYFWQCDPP-LLVKDONJSA-N 0 1 253.346 0.642 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCc2cn[nH]c2C1 ZINC000611009236 363647787 /nfs/dbraw/zinc/64/77/87/363647787.db2.gz VOKJSMGLEKEHGG-VIFPVBQESA-N 0 1 277.328 0.168 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCN(CC)[C@H](C)C1 ZINC000611092088 363659651 /nfs/dbraw/zinc/65/96/51/363659651.db2.gz IMSDMBHLQUXWAP-VXGBXAGGSA-N 0 1 282.388 0.413 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)CC1(C#N)CC1 ZINC000590225172 359431366 /nfs/dbraw/zinc/43/13/66/359431366.db2.gz JFAIIWCTWKWWLL-GFCCVEGCSA-N 0 1 264.373 0.432 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)c2cccc(C#N)n2)n[nH]1 ZINC000589523823 359388916 /nfs/dbraw/zinc/38/89/16/359388916.db2.gz PEDIOOZFWVUBNY-ZETCQYMHSA-N 0 1 256.269 0.871 20 30 CCEDMN N#CCCCC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000589702122 359399616 /nfs/dbraw/zinc/39/96/16/359399616.db2.gz PGXZZVPXOUIRAZ-AWEZNQCLSA-N 0 1 294.399 0.203 20 30 CCEDMN N#Cc1ccsc1C(=O)N[C@H](CO)CN1CCCC1 ZINC000590371585 359445811 /nfs/dbraw/zinc/44/58/11/359445811.db2.gz NVSLSRBCDAIHRG-NSHDSACASA-N 0 1 279.365 0.806 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N2CC(C#N)C2)cn1 ZINC000590487477 359476783 /nfs/dbraw/zinc/47/67/83/359476783.db2.gz KYGBKIAMTJGHHG-UHFFFAOYSA-N 0 1 256.269 0.406 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)CC3(CC#N)CC3)[C@@H]2C1 ZINC000590757799 359517111 /nfs/dbraw/zinc/51/71/11/359517111.db2.gz AOUGLEJSBWBBDS-VXGBXAGGSA-N 0 1 283.397 0.646 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1Cc1ccnc(C#N)c1 ZINC000590765802 359520881 /nfs/dbraw/zinc/52/08/81/359520881.db2.gz FNSKGUREQGJLRQ-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CCCO1 ZINC000590819822 359527552 /nfs/dbraw/zinc/52/75/52/359527552.db2.gz WTTSYUJVZKCINW-GFCCVEGCSA-N 0 1 291.355 0.992 20 30 CCEDMN CC(C)(C)OCCCn1c(=O)[nH]cc(C#N)c1=O ZINC000592354372 359823679 /nfs/dbraw/zinc/82/36/79/359823679.db2.gz CWCDOJJRUYJLQG-UHFFFAOYSA-N 0 1 251.286 0.613 20 30 CCEDMN CO[C@@H](CS(=O)(=O)Nc1ccc(C#N)cn1)C(C)C ZINC000592381692 359829114 /nfs/dbraw/zinc/82/91/14/359829114.db2.gz YEWDDICTHOFSMU-NSHDSACASA-N 0 1 283.353 0.788 20 30 CCEDMN CCCN(C(=O)C(=O)N(C)C[C@@H](C)C#N)[C@H]1CCN(C)C1 ZINC000592440483 359841747 /nfs/dbraw/zinc/84/17/47/359841747.db2.gz PLYGLULLAYPHFG-STQMWFEESA-N 0 1 294.399 0.547 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@@H](C(F)F)C1 ZINC000611180010 363674951 /nfs/dbraw/zinc/67/49/51/363674951.db2.gz PORBBCRRQZXMRS-RKDXNWHRSA-N 0 1 275.299 0.974 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592646466 359908014 /nfs/dbraw/zinc/90/80/14/359908014.db2.gz OWIMEHGLWXOPBC-JTQLQIEISA-N 0 1 262.313 0.942 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cc([N+](=O)[O-])cn2C)[nH]n1C ZINC000592646082 359908449 /nfs/dbraw/zinc/90/84/49/359908449.db2.gz GDCNXRSVUZUYKV-UHFFFAOYSA-N 0 1 288.267 0.521 20 30 CCEDMN COC(=O)[C@@H]1CNCCCN1C(=O)c1ccc(C#N)cc1 ZINC000592557274 359887395 /nfs/dbraw/zinc/88/73/95/359887395.db2.gz XWTHYTVHQKJMED-ZDUSSCGKSA-N 0 1 287.319 0.535 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000592848480 359954469 /nfs/dbraw/zinc/95/44/69/359954469.db2.gz XQIYIRWWTXVTLD-HNNXBMFYSA-N 0 1 297.318 0.962 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)[C@H]2CCCN2C2CC2)C1 ZINC000592850655 359955406 /nfs/dbraw/zinc/95/54/06/359955406.db2.gz XMHPCCNYVOMONS-TZMCWYRMSA-N 0 1 263.341 0.490 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(C2(O)CC2)CC1 ZINC000593048338 360020442 /nfs/dbraw/zinc/02/04/42/360020442.db2.gz BXMHSOPESKOKIR-NSHDSACASA-N 0 1 295.383 0.624 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@H](C)CCO ZINC000593107662 360040846 /nfs/dbraw/zinc/04/08/46/360040846.db2.gz MGISKQWJSBPPLV-VXGBXAGGSA-N 0 1 255.362 0.697 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@@H](C)CCO ZINC000593107659 360041090 /nfs/dbraw/zinc/04/10/90/360041090.db2.gz MGISKQWJSBPPLV-NEPJUHHUSA-N 0 1 255.362 0.697 20 30 CCEDMN N#Cc1ccc(N2CCN(Cc3nnc[nH]3)CC2)cn1 ZINC000593507579 360102487 /nfs/dbraw/zinc/10/24/87/360102487.db2.gz IDAIQUKZGBQUKJ-UHFFFAOYSA-N 0 1 269.312 0.394 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCN([C@H]2CCNC(=O)CC2)CC1 ZINC000593738274 360144412 /nfs/dbraw/zinc/14/44/12/360144412.db2.gz AHIURYLDDGWVMR-CHWSQXEVSA-N 0 1 292.383 0.349 20 30 CCEDMN CN1C[C@H]2[C@@H](CCN2S(=O)(=O)c2ccsc2C#N)C1 ZINC000593597805 360119256 /nfs/dbraw/zinc/11/92/56/360119256.db2.gz KOZOCOCVFQXEOE-UWVGGRQHSA-N 0 1 297.405 0.944 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000593636905 360125434 /nfs/dbraw/zinc/12/54/34/360125434.db2.gz ZBMPJLZPAZEVAR-RYUDHWBXSA-N 0 1 267.373 0.840 20 30 CCEDMN CC[C@@H](CC#N)NCCC(=O)N(C)CC(=O)OC ZINC000594009487 360233055 /nfs/dbraw/zinc/23/30/55/360233055.db2.gz WCHNFAFASZRMGE-JTQLQIEISA-N 0 1 255.318 0.290 20 30 CCEDMN N#CCC(=O)N1CCN(CC[C@H]2CCCO2)CC1 ZINC000594003501 360233573 /nfs/dbraw/zinc/23/35/73/360233573.db2.gz NWTKCYNEQVEQAZ-GFCCVEGCSA-N 0 1 251.330 0.613 20 30 CCEDMN C[C@H](O)CN(CC(=O)N[C@@](C)(C#N)C1CC1)C1CC1 ZINC000594055366 360243331 /nfs/dbraw/zinc/24/33/31/360243331.db2.gz LDERKJYAULDWPP-HZMBPMFUSA-N 0 1 265.357 0.640 20 30 CCEDMN CN(C)CC(=O)N1CCN(C(=O)CCCCC#N)CC1 ZINC000594227592 360260292 /nfs/dbraw/zinc/26/02/92/360260292.db2.gz AJBKZIFMYATOPA-UHFFFAOYSA-N 0 1 280.372 0.303 20 30 CCEDMN COCCN(CCC#N)C(=O)CN1CC[C@@H](C)C1 ZINC000594274327 360267278 /nfs/dbraw/zinc/26/72/78/360267278.db2.gz XQESNZJOSAIEHP-GFCCVEGCSA-N 0 1 253.346 0.717 20 30 CCEDMN Cc1cc(CNC(=O)N=c2cc(C)n(CCC#N)[nH]2)n[nH]1 ZINC000611481869 363720782 /nfs/dbraw/zinc/72/07/82/363720782.db2.gz KFDAGMCZRGYXFP-UHFFFAOYSA-N 0 1 287.327 0.880 20 30 CCEDMN N#Cc1nccc(N2CCN([C@@H]3CCC[C@H]3O)CC2)n1 ZINC000594548803 360329395 /nfs/dbraw/zinc/32/93/95/360329395.db2.gz FOBQDSZODHNTMJ-VXGBXAGGSA-N 0 1 273.340 0.384 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@H]2C(C)(C)O)[nH]1 ZINC000611503833 363727996 /nfs/dbraw/zinc/72/79/96/363727996.db2.gz YIXCNUSLBXPTTH-SNVBAGLBSA-N 0 1 277.328 0.481 20 30 CCEDMN CCOC(=O)c1c(C)[nH]nc1CN1CC[C@@](O)(CC#N)C1 ZINC000595563316 360581487 /nfs/dbraw/zinc/58/14/87/360581487.db2.gz QMZXZHFLSHFWHP-AWEZNQCLSA-N 0 1 292.339 0.745 20 30 CCEDMN CC1(C)NC(=O)N(CCN2CCC[C@@H](CC#N)C2)C1=O ZINC000595612501 360596702 /nfs/dbraw/zinc/59/67/02/360596702.db2.gz XLZBZKFMEAXOAD-NSHDSACASA-N 0 1 278.356 0.942 20 30 CCEDMN C=CCN([C@H](C)COC)[C@@H](C)C(=O)NC(=O)NCC ZINC000595646420 360605106 /nfs/dbraw/zinc/60/51/06/360605106.db2.gz JBEYVTAMZAYXLB-MNOVXSKESA-N 0 1 271.361 0.744 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@@H]2COC[C@@]2(C)C1 ZINC000595757974 360643368 /nfs/dbraw/zinc/64/33/68/360643368.db2.gz LPQKCGMPBLTQCP-YRGRVCCFSA-N 0 1 277.368 0.906 20 30 CCEDMN C[C@@H](O)[C@H]1CCCN1CC(=O)NC1(C#N)CCC1 ZINC000595766216 360645894 /nfs/dbraw/zinc/64/58/94/360645894.db2.gz NDEQLARBGOXQCD-GHMZBOCLSA-N 0 1 251.330 0.394 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H]1[C@H](C)O ZINC000595766908 360646031 /nfs/dbraw/zinc/64/60/31/360646031.db2.gz SJHUHYGFAYDSAQ-RWMBFGLXSA-N 0 1 267.373 0.840 20 30 CCEDMN C[C@H](O)[C@H]1CCCN1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000595774002 360649758 /nfs/dbraw/zinc/64/97/58/360649758.db2.gz YZNNBASNQKQTEF-ZKYQVNSYSA-N 0 1 265.357 0.640 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@]2(C)COC[C@]2(C)C1 ZINC000595687467 360621455 /nfs/dbraw/zinc/62/14/55/360621455.db2.gz AHPNXXWEPDGKMJ-TUKIKUTGSA-N 0 1 295.383 0.745 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@@H](C)C1O ZINC000595747609 360638073 /nfs/dbraw/zinc/63/80/73/360638073.db2.gz AIIPMZDQCWINOJ-HFAKWTLXSA-N 0 1 279.384 0.744 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](C)[C@](C)(CO)C1 ZINC000595879812 360688594 /nfs/dbraw/zinc/68/85/94/360688594.db2.gz XKPWSDASUKWFDV-IPYPFGDCSA-N 0 1 281.400 0.945 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CC[C@@H]2C[C@@H]2C1 ZINC000595808121 360662387 /nfs/dbraw/zinc/66/23/87/360662387.db2.gz LJYRJKOUVJJXPO-CHWSQXEVSA-N 0 1 260.341 0.984 20 30 CCEDMN CC[C@H](CO)N1CCN(c2c(C#N)cnn2C)CC1 ZINC000596143128 360809681 /nfs/dbraw/zinc/80/96/81/360809681.db2.gz XJQHSKPAWFFXIW-GFCCVEGCSA-N 0 1 263.345 0.185 20 30 CCEDMN CC(C)(C)c1nc(CNc2cc(C#N)cnn2)n[nH]1 ZINC000596265570 360858412 /nfs/dbraw/zinc/85/84/12/360858412.db2.gz SKOHBMXGKPPWJM-UHFFFAOYSA-N 0 1 257.301 0.798 20 30 CCEDMN COCc1nc(CNc2cc(C#N)cc(C)n2)n[nH]1 ZINC000596435468 360894917 /nfs/dbraw/zinc/89/49/17/360894917.db2.gz DQBPIPIQAKGIQW-UHFFFAOYSA-N 0 1 258.285 0.560 20 30 CCEDMN COCc1nnc(CNc2cc(C#N)cc(C)n2)[nH]1 ZINC000596435468 360894920 /nfs/dbraw/zinc/89/49/20/360894920.db2.gz DQBPIPIQAKGIQW-UHFFFAOYSA-N 0 1 258.285 0.560 20 30 CCEDMN CN(C)C1(C(=O)N2CCN(c3ncccc3C#N)CC2)CC1 ZINC000596695406 360941957 /nfs/dbraw/zinc/94/19/57/360941957.db2.gz VOHRCBRVYXERRS-UHFFFAOYSA-N 0 1 299.378 0.696 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000597302475 361057189 /nfs/dbraw/zinc/05/71/89/361057189.db2.gz XZXADAPGXQQEOM-OLZOCXBDSA-N 0 1 265.357 0.717 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000597335358 361064557 /nfs/dbraw/zinc/06/45/57/361064557.db2.gz BNGKGCCCTWSGQM-GFCCVEGCSA-N 0 1 265.357 0.859 20 30 CCEDMN C=CCC[C@H](NC(=O)Cc1n[nH]c(C)n1)C(=O)OCC ZINC000597732942 361216402 /nfs/dbraw/zinc/21/64/02/361216402.db2.gz MVKSRGNEFKDGES-JTQLQIEISA-N 0 1 280.328 0.670 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC(CC#N)CC2)[nH]1 ZINC000611621277 363750802 /nfs/dbraw/zinc/75/08/02/363750802.db2.gz MMWODHBIMFMBTA-UHFFFAOYSA-N 0 1 272.312 0.871 20 30 CCEDMN CCN1CCCN(C[C@H](O)CC(C)(C)C#N)CC1=O ZINC000598616175 361460476 /nfs/dbraw/zinc/46/04/76/361460476.db2.gz NANLJTGHVOMBFJ-GFCCVEGCSA-N 0 1 267.373 0.841 20 30 CCEDMN CCO[C@H](C(=O)NC1(C#N)CCN(C)CC1)C1CC1 ZINC000599380527 361676885 /nfs/dbraw/zinc/67/68/85/361676885.db2.gz RMHUITVZAAIVJA-LBPRGKRZSA-N 0 1 265.357 0.906 20 30 CCEDMN C=C[C@H](O)C(=O)NCCN(C)Cc1ccc(F)cc1 ZINC000611971145 363819837 /nfs/dbraw/zinc/81/98/37/363819837.db2.gz KAZYMADXJLDNGB-ZDUSSCGKSA-N 0 1 266.316 0.921 20 30 CCEDMN COC(=O)C[C@@]1(NCc2ccnc(C#N)c2)CCOC1 ZINC000613392720 364235436 /nfs/dbraw/zinc/23/54/36/364235436.db2.gz NXMSNINDKQADGA-AWEZNQCLSA-N 0 1 275.308 0.765 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2(CO)CCCC2)[nH]1 ZINC000613773210 364366796 /nfs/dbraw/zinc/36/67/96/364366796.db2.gz XMVOOFVXYKJOCD-UHFFFAOYSA-N 0 1 277.328 0.388 20 30 CCEDMN C=CCCn1cc(C(=O)N2CCC3(CN(C)C3)C2)nn1 ZINC000614033461 364466551 /nfs/dbraw/zinc/46/65/51/364466551.db2.gz LFIZZJWRJBLAKK-UHFFFAOYSA-N 0 1 275.356 0.632 20 30 CCEDMN COC1CCC(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000614990852 364802930 /nfs/dbraw/zinc/80/29/30/364802930.db2.gz UHPOFLLSKLSJRO-UHFFFAOYSA-N 0 1 262.313 0.857 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)[C@H](C)[C@@H](C)O1 ZINC000615025477 364822408 /nfs/dbraw/zinc/82/24/08/364822408.db2.gz RGUJDGYGFSODBV-OPRDCNLKSA-N 0 1 277.328 0.743 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000615084643 364844603 /nfs/dbraw/zinc/84/46/03/364844603.db2.gz XMSVSSWRJAANRV-SWLSCSKDSA-N 0 1 282.384 0.635 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)N1CC[C@](F)(C#N)C1 ZINC000615546091 365000333 /nfs/dbraw/zinc/00/03/33/365000333.db2.gz FQAPJKREFGUALT-JSGCOSHPSA-N 0 1 297.378 0.269 20 30 CCEDMN Cc1cc(CNC(=O)N2CC[C@@](F)(C#N)C2)n[nH]1 ZINC000615539896 364997467 /nfs/dbraw/zinc/99/74/67/364997467.db2.gz LWFUQHWJUGXHPP-LLVKDONJSA-N 0 1 251.265 0.865 20 30 CCEDMN CC(C)N(Cc1cnc2c(C#N)cnn2c1)C[C@H](O)CO ZINC000566241705 291382220 /nfs/dbraw/zinc/38/22/20/291382220.db2.gz IBHQJEWVQYRKFX-ZDUSSCGKSA-N 0 1 289.339 0.165 20 30 CCEDMN C=CCNC(=O)[C@H](C)N[C@@H]1CCO[C@@H]1c1nc(C)no1 ZINC000626146084 368457304 /nfs/dbraw/zinc/45/73/04/368457304.db2.gz OQRVYUHYIASQFS-GDPRMGEGSA-N 0 1 280.328 0.488 20 30 CCEDMN C=CCN1CC[C@H](N2CCCC[C@@H]2CNC(C)=O)C1=O ZINC000626158930 368464294 /nfs/dbraw/zinc/46/42/94/368464294.db2.gz DEGYGEXTULKCRS-KGLIPLIRSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)N1CCNC[C@H]1C(C)C ZINC000627427651 368996083 /nfs/dbraw/zinc/99/60/83/368996083.db2.gz KMIYUHFMIIHLRP-ZDUSSCGKSA-N 0 1 297.399 0.152 20 30 CCEDMN C=CC[C@H](CO)CNCc1cn(C[C@@H]2CCOC2)nn1 ZINC000631108722 370386503 /nfs/dbraw/zinc/38/65/03/370386503.db2.gz ZTLYROLOHYYGRJ-STQMWFEESA-N 0 1 280.372 0.589 20 30 CCEDMN C=CCC[C@@H](CO)NCc1cn(C[C@@H]2CCOC2)nn1 ZINC000631153755 370404375 /nfs/dbraw/zinc/40/43/75/370404375.db2.gz IGKCDJYDXSRPLH-STQMWFEESA-N 0 1 280.372 0.731 20 30 CCEDMN C=CCC(C)(C)CNC(=O)NCCN1CC[C@H](O)C1 ZINC000637170775 373974120 /nfs/dbraw/zinc/97/41/20/373974120.db2.gz VEZGOJJZNCGLQL-LBPRGKRZSA-N 0 1 269.389 0.955 20 30 CCEDMN C=CCOCCCNC(=O)c1cnc(CN)nc1 ZINC000638415928 375014695 /nfs/dbraw/zinc/01/46/95/375014695.db2.gz RKBWFOONPDGUPR-UHFFFAOYSA-N 0 1 250.302 0.258 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639821388 376030968 /nfs/dbraw/zinc/03/09/68/376030968.db2.gz JMWYBZKYFMFQSD-SNVBAGLBSA-N 0 1 250.302 0.311 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@](C)(CO)COC ZINC000639953513 376151153 /nfs/dbraw/zinc/15/11/53/376151153.db2.gz XZQRODXEUMAPSF-CYBMUJFWSA-N 0 1 256.346 0.174 20 30 CCEDMN C=CCCS(=O)(=O)NCc1n[nH]c(=O)n1C1CC1 ZINC000641653498 377367656 /nfs/dbraw/zinc/36/76/56/377367656.db2.gz JXEHVEBUKDZDJO-UHFFFAOYSA-N 0 1 272.330 0.314 20 30 CCEDMN N#Cc1ccccc1OCCN1CCC[C@@](O)(CO)C1 ZINC000313723279 249001859 /nfs/dbraw/zinc/00/18/59/249001859.db2.gz LCKFAMQLIHINKO-HNNXBMFYSA-N 0 1 276.336 0.756 20 30 CCEDMN C#CCn1ccc(CN(CC)c2nc3[nH]ncc3c(=O)[nH]2)n1 ZINC000278803421 194162192 /nfs/dbraw/zinc/16/21/92/194162192.db2.gz HTCGSYSLPZYUPM-UHFFFAOYSA-N 0 1 297.322 0.915 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@@](C)(OC)C1 ZINC000279210380 194334225 /nfs/dbraw/zinc/33/42/25/194334225.db2.gz BSZMNMPDZFKQOM-BXUZGUMPSA-N 0 1 283.372 0.888 20 30 CCEDMN CN1CCN(CCNC(=O)[C@@]2(O)CCSC2)CC1 ZINC000328965764 581112947 /nfs/dbraw/zinc/11/29/47/581112947.db2.gz MMXDZUBMGHUYHT-GFCCVEGCSA-N 0 1 273.402 0.058 20 30 CCEDMN C[C@@H](NC(=O)N[C@@H](C)c1nnc[nH]1)[C@H]1CCOC1 ZINC000329260783 533105621 /nfs/dbraw/zinc/10/56/21/533105621.db2.gz CXNAHRGDMOUGOC-VGMNWLOBSA-N 0 1 253.306 0.794 20 30 CCEDMN CCc1nc(CN2CCN(c3ncccc3C#N)CC2)n[nH]1 ZINC000185152573 388788819 /nfs/dbraw/zinc/78/88/19/388788819.db2.gz CJBOZGDNDMECCM-UHFFFAOYSA-N 0 1 297.366 0.956 20 30 CCEDMN C=CCCOCCN1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000288109168 388858995 /nfs/dbraw/zinc/85/89/95/388858995.db2.gz BDQCSAURKUOATO-NSHDSACASA-N 0 1 278.312 0.465 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC000288670600 388864819 /nfs/dbraw/zinc/86/48/19/388864819.db2.gz VZFNLTJQTUEIKC-YPMHNXCESA-N 0 1 279.384 0.668 20 30 CCEDMN C#Cc1ccc(CN2CCC[C@]3(C2)NC(=O)NC3=O)cc1 ZINC000289533746 388871357 /nfs/dbraw/zinc/87/13/57/388871357.db2.gz FHDJJSRLLZICGX-MRXNPFEDSA-N 0 1 283.331 0.842 20 30 CCEDMN C=CCCCCNC(=O)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000286760166 388845416 /nfs/dbraw/zinc/84/54/16/388845416.db2.gz XLQUMXZADZZZCY-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C[C@@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)NC(N)=O ZINC000037502307 388846606 /nfs/dbraw/zinc/84/66/06/388846606.db2.gz PBGBEMLPFAEAHF-DTWKUNHWSA-N 0 1 260.297 0.792 20 30 CCEDMN CN(CCNS(=O)(=O)c1cncc(C#N)c1)C1CC1 ZINC000289743788 388888216 /nfs/dbraw/zinc/88/82/16/388888216.db2.gz JVRCPVAOYRVWIT-UHFFFAOYSA-N 0 1 280.353 0.326 20 30 CCEDMN C#Cc1ccccc1CC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000290609403 388908866 /nfs/dbraw/zinc/90/88/66/388908866.db2.gz XAIYGWXNMIMDTG-HNNXBMFYSA-N 0 1 299.418 0.963 20 30 CCEDMN C=C[C@@H](CO)NCc1cc(C(=O)OC)c(C)n1C ZINC000291089386 388917026 /nfs/dbraw/zinc/91/70/26/388917026.db2.gz XCFWULRZFBIXJC-JTQLQIEISA-N 0 1 252.314 0.757 20 30 CCEDMN CCCN(CCO)CC(=O)N[C@](C)(C#N)C(C)C ZINC000049825560 388923935 /nfs/dbraw/zinc/92/39/35/388923935.db2.gz ZZHSGYHLSNBRSM-CYBMUJFWSA-N 0 1 255.362 0.745 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](C(=O)NCCCC)C1 ZINC000051062268 388926311 /nfs/dbraw/zinc/92/63/11/388926311.db2.gz ZFDOCZHVXUJSEP-CYBMUJFWSA-N 0 1 281.400 0.917 20 30 CCEDMN C[C@@H](O)CN(C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000051837692 388927694 /nfs/dbraw/zinc/92/76/94/388927694.db2.gz QECFVISMFKFQHR-GFCCVEGCSA-N 0 1 267.373 0.984 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1CCSC[C@H]1CC(=O)OC ZINC000292705611 388954555 /nfs/dbraw/zinc/95/45/55/388954555.db2.gz DPMTZLKGEDSWQT-LLVKDONJSA-N 0 1 284.381 0.106 20 30 CCEDMN CCc1nc(CNC(=O)c2ccc(C#N)c(C)n2)n[nH]1 ZINC000295230700 388996024 /nfs/dbraw/zinc/99/60/24/388996024.db2.gz BPMCGWYONJDQQB-UHFFFAOYSA-N 0 1 270.296 0.872 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](O)CSC)C1=O ZINC000337199737 389053180 /nfs/dbraw/zinc/05/31/80/389053180.db2.gz BEXHALFZWWDIOM-QWRGUYRKSA-N 0 1 258.387 0.429 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](O)COC(C)C)C1=O ZINC000337202467 389053707 /nfs/dbraw/zinc/05/37/07/389053707.db2.gz FDLGKMOFLBXNSI-QWHCGFSZSA-N 0 1 270.373 0.491 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H](C)CN2CCOCC2)C1=O ZINC000337225447 389057348 /nfs/dbraw/zinc/05/73/48/389057348.db2.gz FIIICMJJSKRSTE-ZIAGYGMSSA-N 0 1 281.400 0.426 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCCCC1)N(C)CCOCCO ZINC000337493809 389062989 /nfs/dbraw/zinc/06/29/89/389062989.db2.gz POJWDOZFFTVXPF-CYBMUJFWSA-N 0 1 297.399 0.658 20 30 CCEDMN N#CCC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000337685581 389065704 /nfs/dbraw/zinc/06/57/04/389065704.db2.gz ITEZQEIVGCJPBQ-LBPRGKRZSA-N 0 1 251.330 0.471 20 30 CCEDMN C=CCCCCCN1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000193400501 389069215 /nfs/dbraw/zinc/06/92/15/389069215.db2.gz LIMQLCINZQRPDF-LBPRGKRZSA-N 0 1 265.357 0.375 20 30 CCEDMN C[C@H](CC#N)N(C)C[C@@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000305078289 389083812 /nfs/dbraw/zinc/08/38/12/389083812.db2.gz WGPCJVMQJDWYCH-YIYPIFLZSA-N 0 1 269.389 0.690 20 30 CCEDMN C[C@H]1OCC[C@@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000094177400 389039342 /nfs/dbraw/zinc/03/93/42/389039342.db2.gz DQHUEBYNYBUEAS-MNOVXSKESA-N 0 1 251.330 0.516 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)[C@H]2COCCN2C)C1 ZINC000328894081 389143864 /nfs/dbraw/zinc/14/38/64/389143864.db2.gz AOJIRNOFABPZJU-ZIAGYGMSSA-N 0 1 299.415 0.630 20 30 CCEDMN Cc1nc(C(=O)N[C@@H](C)c2nnc[nH]2)c(=O)[nH]c1C ZINC000328926105 389145397 /nfs/dbraw/zinc/14/53/97/389145397.db2.gz FCQJNNCUTJUKBP-ZETCQYMHSA-N 0 1 262.273 0.983 20 30 CCEDMN Cc1cc(NC(=O)CN2CCOC[C@@H]2c2nnc[nH]2)on1 ZINC000328931562 389145836 /nfs/dbraw/zinc/14/58/36/389145836.db2.gz ZROHFVHXGLZNGT-SECBINFHSA-N 0 1 292.299 0.763 20 30 CCEDMN C[C@H]1CN(CCOCCC#N)CCN1CCOCCC#N ZINC000339026757 389122463 /nfs/dbraw/zinc/12/24/63/389122463.db2.gz WMOFKQPTPFWACA-HNNXBMFYSA-N 0 1 294.399 0.853 20 30 CCEDMN N#CCCCS(=O)(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000339048387 389123244 /nfs/dbraw/zinc/12/32/44/389123244.db2.gz MNDCZCNMYYINAT-SNVBAGLBSA-N 0 1 257.359 0.446 20 30 CCEDMN N#Cc1ccccc1OC[C@H](O)C[N@H+]1CC[C@@H](O)C1 ZINC000227053251 389149507 /nfs/dbraw/zinc/14/95/07/389149507.db2.gz MKBHRLYQNJFXLX-CHWSQXEVSA-N 0 1 262.309 0.365 20 30 CCEDMN COCC1(CNC(=O)N2CCn3ncnc3C2)CCC1 ZINC000328725066 389134469 /nfs/dbraw/zinc/13/44/69/389134469.db2.gz TUBRJHLMTNDJKZ-UHFFFAOYSA-N 0 1 279.344 0.824 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)N2C[C@@H]3COC[C@H](C2)O3)n[nH]1 ZINC000328744960 389135224 /nfs/dbraw/zinc/13/52/24/389135224.db2.gz PAXNPNRSKZJTDL-WQAKAFBOSA-N 0 1 294.355 0.663 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC(OCCO)CC1 ZINC000339778593 389139981 /nfs/dbraw/zinc/13/99/81/389139981.db2.gz DUFZDZQXNPVIFT-CYBMUJFWSA-N 0 1 297.399 0.468 20 30 CCEDMN O=C(NCCN1CCC1)N[C@@H]1CCO[C@@H]1C1CC1 ZINC000328855016 389141516 /nfs/dbraw/zinc/14/15/16/389141516.db2.gz WRILQPZQHYSFBR-VXGBXAGGSA-N 0 1 253.346 0.763 20 30 CCEDMN CCN1CC[C@@H](NS(=O)(=O)CC2(C#N)CC2)C1 ZINC000329637959 389180330 /nfs/dbraw/zinc/18/03/30/389180330.db2.gz VWAZGFRSDZHMKJ-SNVBAGLBSA-N 0 1 257.359 0.304 20 30 CCEDMN CC(C)[C@@H]1CN(C)CCN1C(=O)NC[C@H]1COCCO1 ZINC000329848701 389205848 /nfs/dbraw/zinc/20/58/48/389205848.db2.gz PRLMJCHUGVTWPQ-STQMWFEESA-N 0 1 285.388 0.588 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(CC(F)F)CC2)CC1 ZINC000129930752 389195470 /nfs/dbraw/zinc/19/54/70/389195470.db2.gz TZMKJOVOWWPXIK-UHFFFAOYSA-N 0 1 299.365 0.741 20 30 CCEDMN C=CCN(CCOC)C(=O)NC[C@H]1CN(C)CCN1C ZINC000358481971 389152593 /nfs/dbraw/zinc/15/25/93/389152593.db2.gz ZHCIOCDJUOUYJI-ZDUSSCGKSA-N 0 1 284.404 0.076 20 30 CCEDMN [O-]C(=[NH+]CCC1(O)CCC1)N1CCn2ccnc2C1 ZINC000329314047 389156093 /nfs/dbraw/zinc/15/60/93/389156093.db2.gz CJNKHSYGEBOGOS-UHFFFAOYSA-N 0 1 264.329 0.918 20 30 CCEDMN N#CCC(=O)N=c1ccn(CCc2ccncc2)[nH]1 ZINC000358626446 389156471 /nfs/dbraw/zinc/15/64/71/389156471.db2.gz IQFURCXDGGISHG-UHFFFAOYSA-N 0 1 255.281 0.795 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N[C@@H]2CCCCNC2=O)C1 ZINC000329406192 389162037 /nfs/dbraw/zinc/16/20/37/389162037.db2.gz AUYZNUACGRSLJG-VXGBXAGGSA-N 0 1 297.403 0.245 20 30 CCEDMN C#CCNC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000125065725 389163305 /nfs/dbraw/zinc/16/33/05/389163305.db2.gz LYXVOIRDYNZKHT-UHFFFAOYSA-N 0 1 273.317 0.585 20 30 CCEDMN C[NH+]1CCC(NC(=O)N2CCn3cncc3C2)CC1 ZINC000329505562 389167473 /nfs/dbraw/zinc/16/74/73/389167473.db2.gz AKHFWDZXHKHRKU-UHFFFAOYSA-N 0 1 263.345 0.707 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](C)N(C)C[C@H]1C ZINC000330583616 389243241 /nfs/dbraw/zinc/24/32/41/389243241.db2.gz KQULZEBHGQKMME-CHWSQXEVSA-N 0 1 266.389 0.773 20 30 CCEDMN COC(=O)[C@@H]1CN(C(=O)N[C@H](C)Cn2cccn2)CCO1 ZINC000330584647 389244308 /nfs/dbraw/zinc/24/43/08/389244308.db2.gz MHZVKCRLPUAGMO-MNOVXSKESA-N 0 1 296.327 0.059 20 30 CCEDMN C=CCCC[C@@H](C)N=c1[nH]c2c(c(=O)[nH]c(=O)n2C)n1C ZINC000342791206 389252895 /nfs/dbraw/zinc/25/28/95/389252895.db2.gz SWAXQWUUTOVJOM-SECBINFHSA-N 0 1 291.355 0.951 20 30 CCEDMN C[C@H]1[C@H](NC(=O)C(=O)NCCCCC#N)CCCN1C ZINC000343307034 389262827 /nfs/dbraw/zinc/26/28/27/389262827.db2.gz XOXXUFVXGXNSNK-NWDGAFQWSA-N 0 1 280.372 0.395 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@H]([C@H]2CCCO2)C1 ZINC000245538314 389212191 /nfs/dbraw/zinc/21/21/91/389212191.db2.gz MVNVDRLNUIFFIS-KGLIPLIRSA-N 0 1 295.383 0.628 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(CCOc2ccccc2C#N)C1 ZINC000342351871 389212974 /nfs/dbraw/zinc/21/29/74/389212974.db2.gz HIBPLXWPZRGKKX-CYBMUJFWSA-N 0 1 288.351 0.940 20 30 CCEDMN Cc1cc(C[C@H](C)[NH+]=C([O-])N2CCn3ncnc3C2)[nH]n1 ZINC000329947416 389213343 /nfs/dbraw/zinc/21/33/43/389213343.db2.gz HAEJFQBBMCLFFF-VIFPVBQESA-N 0 1 289.343 0.670 20 30 CCEDMN CC[C@H](C(=O)NC[C@H]1CN(C)CCN1C)N1CCCC1=O ZINC000329961241 389214438 /nfs/dbraw/zinc/21/44/38/389214438.db2.gz RNJOUTGDGSUPRC-QWHCGFSZSA-N 0 1 296.415 0.590 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CC)[C@H]1CCOC1 ZINC000361591071 389221104 /nfs/dbraw/zinc/22/11/04/389221104.db2.gz LFTKJWDHFMAFQM-JTQLQIEISA-N 0 1 255.318 0.109 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)CC[C@@H]1CCCO1 ZINC000342609602 389229095 /nfs/dbraw/zinc/22/90/95/389229095.db2.gz VJGQONOKRYVFSZ-NSHDSACASA-N 0 1 269.345 0.499 20 30 CCEDMN N#CCCN(CCC#N)C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000330441590 389229569 /nfs/dbraw/zinc/22/95/69/389229569.db2.gz DPEDBZOFIKLWAV-JTQLQIEISA-N 0 1 272.312 0.566 20 30 CCEDMN N#CCCN(CCC#N)C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000330441590 389229571 /nfs/dbraw/zinc/22/95/71/389229571.db2.gz DPEDBZOFIKLWAV-JTQLQIEISA-N 0 1 272.312 0.566 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@@H](C)C(=O)NC(=O)NC(C)C)C1 ZINC000330442573 389230229 /nfs/dbraw/zinc/23/02/29/389230229.db2.gz VRGIYIMYYZAOBC-VHSXEESVSA-N 0 1 285.344 0.308 20 30 CCEDMN C[C@@H](NC(=O)N1CCN(CC(C)(C)O)CC1)c1cn[nH]c1 ZINC000330477310 389233624 /nfs/dbraw/zinc/23/36/24/389233624.db2.gz WCYQIKRFEKXLBQ-LLVKDONJSA-N 0 1 295.387 0.773 20 30 CCEDMN C=CCCS(=O)(=O)N[C@H](C(=O)OC)c1ccn(C)n1 ZINC000345633979 389310993 /nfs/dbraw/zinc/31/09/93/389310993.db2.gz DBBCXFFYZZSNEP-JTQLQIEISA-N 0 1 287.341 0.130 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H]1[C@H]1CCCO1 ZINC000366949736 389313197 /nfs/dbraw/zinc/31/31/97/389313197.db2.gz ALJUAKBHIJFSDQ-NWDGAFQWSA-N 0 1 281.356 0.642 20 30 CCEDMN C[C@H]1[C@H](C)N(CC(=O)NC2(C#N)CCC2)CCN1C ZINC000347009673 389343548 /nfs/dbraw/zinc/34/35/48/389343548.db2.gz GFGLCWRDCQDOTE-RYUDHWBXSA-N 0 1 264.373 0.573 20 30 CCEDMN C[C@@H](O)CCN(C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000346269384 389325664 /nfs/dbraw/zinc/32/56/64/389325664.db2.gz DXHHMPUSQCMESW-MNOVXSKESA-N 0 1 253.346 0.640 20 30 CCEDMN CCC[C@H](NC(=O)CSCC#N)c1nn[nH]n1 ZINC000343722248 389270239 /nfs/dbraw/zinc/27/02/39/389270239.db2.gz GSYJRCTWXSORRY-ZETCQYMHSA-N 0 1 254.319 0.414 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N(C)Cc1nnc[nH]1 ZINC000330994697 389276276 /nfs/dbraw/zinc/27/62/76/389276276.db2.gz UDXQMWZWHZGTJC-MFKMUULPSA-N 0 1 278.360 0.679 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)N2C[C@@H]3CC[C@@H](O)[C@@H]3C2)cc1 ZINC000344030590 389277990 /nfs/dbraw/zinc/27/79/90/389277990.db2.gz BZFDAIBKIZEEOZ-GBJTYRQASA-N 0 1 285.347 0.787 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)CC2(O)CCCC2)CC1 ZINC000152337358 389288974 /nfs/dbraw/zinc/28/89/74/389288974.db2.gz AUVNXKBJLPTCHL-UHFFFAOYSA-N 0 1 293.411 0.001 20 30 CCEDMN CC[C@@H](C#N)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000263815119 389302239 /nfs/dbraw/zinc/30/22/39/389302239.db2.gz OKLZCSRWBYNDHH-QMMMGPOBSA-N 0 1 273.300 0.207 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)C[C@H]1CCC[C@@H]1O ZINC000159559066 389369310 /nfs/dbraw/zinc/36/93/10/389369310.db2.gz KQROTQYTBQMMJQ-KGLIPLIRSA-N 0 1 292.383 0.735 20 30 CCEDMN N#CC1(NC(=O)CN2CCC(CCC(N)=O)CC2)CCC1 ZINC000347020754 389345221 /nfs/dbraw/zinc/34/52/21/389345221.db2.gz UQGPFZRPLFVZRH-UHFFFAOYSA-N 0 1 292.383 0.526 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N(CCC#N)CCC#N ZINC000347727593 389356651 /nfs/dbraw/zinc/35/66/51/389356651.db2.gz RTUVGXZVVKULMP-ZDUSSCGKSA-N 0 1 278.356 0.611 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000370813809 389415967 /nfs/dbraw/zinc/41/59/67/389415967.db2.gz CAYOLSZDBSWEGM-GFCCVEGCSA-N 0 1 298.306 0.594 20 30 CCEDMN C=CCN1CC[C@@H](N2CCc3cc[nH]c(=O)c3C2)C1=O ZINC000281414405 389616518 /nfs/dbraw/zinc/61/65/18/389616518.db2.gz RHZSEXGPMUETNM-CYBMUJFWSA-N 0 1 273.336 0.932 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(C)[nH]n2)C1=O ZINC000281768439 389624192 /nfs/dbraw/zinc/62/41/92/389624192.db2.gz QRDQGBBLSZQOSN-LLVKDONJSA-N 0 1 262.313 0.577 20 30 CCEDMN CC(C)(CC#N)CS(=O)(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000425218849 389642119 /nfs/dbraw/zinc/64/21/19/389642119.db2.gz ZHNLHDSHIKMMPL-SNVBAGLBSA-N 0 1 297.384 0.864 20 30 CCEDMN N#Cc1cnn2c1[nH]c(CSc1cn[nH]n1)cc2=O ZINC000177368037 389581477 /nfs/dbraw/zinc/58/14/77/389581477.db2.gz OCYAWMYSEVUHDC-UHFFFAOYSA-N 0 1 273.281 0.717 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@H](C3CC3)C2)CC1 ZINC000375326325 389665867 /nfs/dbraw/zinc/66/58/67/389665867.db2.gz CSCJFXNNOFJDBJ-HNNXBMFYSA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCN(CCC)[C@@H](CC(=O)NCCC)C(N)=O ZINC000180599878 389672929 /nfs/dbraw/zinc/67/29/29/389672929.db2.gz PMQXHXRXJOEAAY-NSHDSACASA-N 0 1 255.362 0.655 20 30 CCEDMN C[C@H]1CNCCN1C(=O)C(=O)Nc1ccc(F)cc1C#N ZINC000415353467 389676465 /nfs/dbraw/zinc/67/64/65/389676465.db2.gz QWXJRSUIDQWNBM-VIFPVBQESA-N 0 1 290.298 0.456 20 30 CCEDMN C[C@H]1CNCCN1C(=O)C(=O)Nc1cccc(C#N)c1 ZINC000415350022 389676628 /nfs/dbraw/zinc/67/66/28/389676628.db2.gz YFHDKENXTJSJFE-JTQLQIEISA-N 0 1 272.308 0.317 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C#N)cc2)CCN1 ZINC000415432266 389683473 /nfs/dbraw/zinc/68/34/73/389683473.db2.gz LHUBXAZQBAAOKN-JTQLQIEISA-N 0 1 272.308 0.317 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2cccc(C#N)c2)C[C@H]1O ZINC000351818011 389689469 /nfs/dbraw/zinc/68/94/69/389689469.db2.gz XNESHDJHOGFDNS-SMDDNHRTSA-N 0 1 273.336 0.487 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000416392763 389738567 /nfs/dbraw/zinc/73/85/67/389738567.db2.gz TUGKUSQJOWIABL-MWLCHTKSSA-N 0 1 257.359 0.397 20 30 CCEDMN C=CCC[C@H](O)CN1C[C@H]2[C@@H](CCS2(=O)=O)C1 ZINC000352989593 389843818 /nfs/dbraw/zinc/84/38/18/389843818.db2.gz PWOROFPJOYPSOD-SRVKXCTJSA-N 0 1 259.371 0.432 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000442658352 389853374 /nfs/dbraw/zinc/85/33/74/389853374.db2.gz ZUQVYJVTGXHILT-TZMCWYRMSA-N 0 1 253.390 0.951 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2c[nH]c(C(N)=O)c2)cc1O ZINC000442675084 389855805 /nfs/dbraw/zinc/85/58/05/389855805.db2.gz XVEFECJRFFWSEF-UHFFFAOYSA-N 0 1 270.248 0.943 20 30 CCEDMN COC(=O)CNC(=O)CCN(C)CC(C)(C)C#N ZINC000433302622 389908291 /nfs/dbraw/zinc/90/82/91/389908291.db2.gz JLBRLLUUBNWVRD-UHFFFAOYSA-N 0 1 255.318 0.147 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@H]1CCCN1CCOC ZINC000435430047 389957265 /nfs/dbraw/zinc/95/72/65/389957265.db2.gz XPYCHOJYTWBHJW-GFCCVEGCSA-N 0 1 276.402 0.593 20 30 CCEDMN C=CCc1ccccc1OC[C@@H](O)CN1C[C@@H](O)[C@@H](O)C1 ZINC000444705590 389914706 /nfs/dbraw/zinc/91/47/06/389914706.db2.gz NKQVLCGDZMHQDD-SOUVJXGZSA-N 0 1 293.363 0.192 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)N(C)C2CC2)[nH]1 ZINC000446920254 389943292 /nfs/dbraw/zinc/94/32/92/389943292.db2.gz YYRVXJVZDYIHOK-UHFFFAOYSA-N 0 1 275.312 0.317 20 30 CCEDMN COC[C@H](NCC(=O)N[C@](C)(C#N)C(C)C)[C@H]1CCCO1 ZINC000434794149 389946683 /nfs/dbraw/zinc/94/66/83/389946683.db2.gz JYLCYTAGSANMBE-GZBFAFLISA-N 0 1 297.399 0.824 20 30 CCEDMN CN(C[C@@H]1CCCN1C)S(=O)(=O)CCCC#N ZINC000420763125 390030517 /nfs/dbraw/zinc/03/05/17/390030517.db2.gz IYYLHOKIDVFABV-NSHDSACASA-N 0 1 259.375 0.646 20 30 CCEDMN C[C@@H]1NC(=O)N(CCN(C)Cc2cccc(C#N)c2)C1=O ZINC000459300247 390072002 /nfs/dbraw/zinc/07/20/02/390072002.db2.gz VJZLIPAYHPZQHF-NSHDSACASA-N 0 1 286.335 0.930 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000468947763 390077157 /nfs/dbraw/zinc/07/71/57/390077157.db2.gz LVCVLHXUZPBJPF-RRFJBIMHSA-N 0 1 295.427 0.720 20 30 CCEDMN C#CCN(C)C(=O)NC[C@H](C)CN1CC[NH+](C)CC1 ZINC000491351314 390112229 /nfs/dbraw/zinc/11/22/29/390112229.db2.gz SUEQMKLVGBONDB-ZDUSSCGKSA-N 0 1 266.389 0.145 20 30 CCEDMN C#C[C@@H](CC)NC(=O)C(=O)N=c1[nH]n(C(C)C)cc1C#N ZINC000491362553 390112313 /nfs/dbraw/zinc/11/23/13/390112313.db2.gz SSRSNBQSZPMGCP-NSHDSACASA-N 0 1 287.323 0.224 20 30 CCEDMN C[C@]1(O)CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000579007939 390134731 /nfs/dbraw/zinc/13/47/31/390134731.db2.gz HYCNTXVZZKWWFU-ZDUSSCGKSA-N 0 1 257.297 0.558 20 30 CCEDMN C=CCN(CC1(O)CCS(=O)(=O)CC1)C1CC1 ZINC000540054599 390216429 /nfs/dbraw/zinc/21/64/29/390216429.db2.gz ZSJMTPKOKFAMJB-UHFFFAOYSA-N 0 1 259.371 0.577 20 30 CCEDMN CCN1CCN([C@H]2CCN(C(=O)NC[C@H](C)C#N)C2)CC1 ZINC000541019561 390234647 /nfs/dbraw/zinc/23/46/47/390234647.db2.gz KSPLMVAHAMSDSN-KGLIPLIRSA-N 0 1 293.415 0.567 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@H](CN2CCOC2=O)C1 ZINC000496075861 390294401 /nfs/dbraw/zinc/29/44/01/390294401.db2.gz JEXXKCJMTPBZCM-VXGBXAGGSA-N 0 1 281.356 0.451 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC([N@H+]2CCOC[C@H]2C)CC1 ZINC000582219626 390270076 /nfs/dbraw/zinc/27/00/76/390270076.db2.gz NHDKLHNWMSIWSN-ZIAGYGMSSA-N 0 1 295.427 0.862 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@H]2CCCc3[nH]ncc32)nn1 ZINC000644785880 390313491 /nfs/dbraw/zinc/31/34/91/390313491.db2.gz FGTLRIUKGRUGQC-LLVKDONJSA-N 0 1 286.339 0.924 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)NCc1n[nH]c(C2CC2)n1 ZINC000645523853 390440578 /nfs/dbraw/zinc/44/05/78/390440578.db2.gz QOPLNEPFPROWED-JTQLQIEISA-N 0 1 277.328 0.256 20 30 CCEDMN C=CCn1cc(CNc2nc(N)nc3c2CCCC3)nn1 ZINC000645260087 390398551 /nfs/dbraw/zinc/39/85/51/390398551.db2.gz HPLCZDKKOUGGID-UHFFFAOYSA-N 0 1 285.355 0.579 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000562193152 390488514 /nfs/dbraw/zinc/48/85/14/390488514.db2.gz GWYGAGMOYXUYNE-UHFFFAOYSA-N 0 1 283.291 0.572 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCC#Cc1cccc(F)c1 ZINC000564076665 390495062 /nfs/dbraw/zinc/49/50/62/390495062.db2.gz PQLUPZMBYRQCDO-INIZCTEOSA-N 0 1 289.354 0.973 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)CN1CCC(C)CC1 ZINC000649185693 390514754 /nfs/dbraw/zinc/51/47/54/390514754.db2.gz JQPOFWFTCCHYCZ-ZDUSSCGKSA-N 0 1 252.358 0.609 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCN(C)C(C)(C)C1 ZINC000565606933 390528644 /nfs/dbraw/zinc/52/86/44/390528644.db2.gz KVLWSRRCQLGIJS-NSHDSACASA-N 0 1 282.388 0.413 20 30 CCEDMN CCNC(=O)NC(=O)CN1CCC(CCC#N)CC1 ZINC000566172947 390590952 /nfs/dbraw/zinc/59/09/52/390590952.db2.gz KAFUWTNIVLWPOK-UHFFFAOYSA-N 0 1 266.345 0.848 20 30 CCEDMN COC(=O)[C@H]1CC[N@@H+](Cc2cnc3c(C#N)cnn3c2)C1 ZINC000565951464 390561702 /nfs/dbraw/zinc/56/17/02/390561702.db2.gz UPNABFQEMZWDTF-NSHDSACASA-N 0 1 285.307 0.596 20 30 CCEDMN COC(=O)[C@H]1CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000565951464 390561706 /nfs/dbraw/zinc/56/17/06/390561706.db2.gz UPNABFQEMZWDTF-NSHDSACASA-N 0 1 285.307 0.596 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N(C)Cc1cnc[nH]1 ZINC000567367896 390695648 /nfs/dbraw/zinc/69/56/48/390695648.db2.gz DKFWXJLTHXMTRT-UHFFFAOYSA-N 0 1 264.329 0.793 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@](C)(CO)[C@](C)(CO)C1 ZINC000528752598 390774751 /nfs/dbraw/zinc/77/47/51/390774751.db2.gz ZBGGMQPLUXYIOD-IYBDPMFKSA-N 0 1 296.411 0.500 20 30 CCEDMN C[C@@H]1CN(CC#CCN2C(=O)c3ccccc3C2=O)C[C@H]1O ZINC000528431723 390744202 /nfs/dbraw/zinc/74/42/02/390744202.db2.gz QYHSNSOCZPCCEI-IUODEOHRSA-N 0 1 298.342 0.599 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNc1ccncc1C(N)=O ZINC000578012257 390859089 /nfs/dbraw/zinc/85/90/89/390859089.db2.gz SGTQDYJWFMLBGX-MFKMUULPSA-N 0 1 261.325 0.996 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000529909784 390872922 /nfs/dbraw/zinc/87/29/22/390872922.db2.gz XZUZTAQTKSYKPA-GFCCVEGCSA-N 0 1 268.357 0.247 20 30 CCEDMN COC(=O)CN(C(=O)C(C)C#N)C1CCOCC1 ZINC000531303477 390878378 /nfs/dbraw/zinc/87/83/78/390878378.db2.gz OJGILBOJXKQDDY-SECBINFHSA-N 0 1 254.286 0.327 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]C[C@@H]2CCC[NH2+]C2)o1 ZINC000575073139 390832870 /nfs/dbraw/zinc/83/28/70/390832870.db2.gz SLHDANBRISMSML-SECBINFHSA-N 0 1 269.326 0.429 20 30 CCEDMN CCN1CCN(C[C@H](O)COc2ccccc2C#N)CC1 ZINC000034674247 397375663 /nfs/dbraw/zinc/37/56/63/397375663.db2.gz FSGNNKZMBZYEKT-HNNXBMFYSA-N 0 1 289.379 0.935 20 30 CCEDMN CO[C@@H]1COC[C@@H]1N[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000333825227 285796894 /nfs/dbraw/zinc/79/68/94/285796894.db2.gz JWRSSDVDVSFVRE-FRRDWIJNSA-N 0 1 295.383 0.721 20 30 CCEDMN N#Cc1cnc(N2CCN([C@H]3CCC[C@H]3O)CC2)cn1 ZINC000368382958 144221494 /nfs/dbraw/zinc/22/14/94/144221494.db2.gz LSDLYMMEUHGIMH-QWHCGFSZSA-N 0 1 273.340 0.384 20 30 CCEDMN N#Cc1csc(CNC[C@H]2CC[C@@H](CO)O2)n1 ZINC000552064796 286151047 /nfs/dbraw/zinc/15/10/47/286151047.db2.gz PSHXVTIRUYALLN-ZJUUUORDSA-N 0 1 253.327 0.644 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)N1CCNC[C@@H]1CCC ZINC000659735086 413831194 /nfs/dbraw/zinc/83/11/94/413831194.db2.gz HYYDCTNGCIZZGC-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](OC)[C@H]2CCOC2)C1=O ZINC000660463328 414340027 /nfs/dbraw/zinc/34/00/27/414340027.db2.gz ARDIXJGVZDWKEX-IHRRRGAJSA-N 0 1 282.384 0.757 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CN2CCCC2=O)C1 ZINC000662059397 414689305 /nfs/dbraw/zinc/68/93/05/414689305.db2.gz JBHDZNBGUWKKOO-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N1CCO[C@H]2CCCC[C@H]21 ZINC000662165875 414694915 /nfs/dbraw/zinc/69/49/15/414694915.db2.gz SVSFIXJCLINRIQ-UPJWGTAASA-N 0 1 268.357 0.293 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N([C@@H](C)C1CC1)C1CC1 ZINC000662167267 414695217 /nfs/dbraw/zinc/69/52/17/414695217.db2.gz XQINLSLNKLVSPK-CMPLNLGQSA-N 0 1 252.358 0.912 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC[C@H]1Cc2ccccc2O1 ZINC000662167003 414695355 /nfs/dbraw/zinc/69/53/55/414695355.db2.gz NDMXOQKSNGGHDW-CHWSQXEVSA-N 0 1 276.336 0.243 20 30 CCEDMN C=CC[C@H]1CCCC[C@H]1NC(=O)CNC(=O)CN ZINC000662600246 414731615 /nfs/dbraw/zinc/73/16/15/414731615.db2.gz FHAAZRCJSBXDAX-WDEREUQCSA-N 0 1 253.346 0.312 20 30 CCEDMN C=CCn1cc(CNC(=O)c2cc(C(C)(C)N)no2)nn1 ZINC000662818499 414815903 /nfs/dbraw/zinc/81/59/03/414815903.db2.gz ZDXHPVQKAYQSQO-UHFFFAOYSA-N 0 1 290.327 0.576 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)CC(F)F ZINC000685900720 545865947 /nfs/dbraw/zinc/86/59/47/545865947.db2.gz WHRIQHHTHFGOMJ-UHFFFAOYSA-N 0 1 253.230 0.209 20 30 CCEDMN Cc1cnc(NC(=O)NC[C@H]2CN(C)CCN2C)o1 ZINC000330034359 228023011 /nfs/dbraw/zinc/02/30/11/228023011.db2.gz WIPPAEPZDUHMPA-JTQLQIEISA-N 0 1 267.333 0.555 20 30 CCEDMN NC(=O)[C@@H]1CN(C(=O)N[C@H]2CCCc3cn[nH]c32)CCO1 ZINC000330114578 228042771 /nfs/dbraw/zinc/04/27/71/228042771.db2.gz DZQGQGSZNKUQFD-UWVGGRQHSA-N 0 1 293.327 0.937 20 30 CCEDMN [O-]C(NC[C@H](O)C1CC1)=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330244675 228078150 /nfs/dbraw/zinc/07/81/50/228078150.db2.gz DJGVRHAJIIPIEW-MNOVXSKESA-N 0 1 264.329 0.472 20 30 CCEDMN [O-]C(N[C@@H]1CCn2ccnc2C1)=[NH+]C[C@H](O)C1CC1 ZINC000330244675 228078151 /nfs/dbraw/zinc/07/81/51/228078151.db2.gz DJGVRHAJIIPIEW-MNOVXSKESA-N 0 1 264.329 0.472 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)NCCN(C)C1CC1 ZINC000331749633 228123813 /nfs/dbraw/zinc/12/38/13/228123813.db2.gz AHTSAUHFFQKPIT-JTQLQIEISA-N 0 1 274.390 0.006 20 30 CCEDMN O=C(NCc1n[nH]c(=O)[n-]1)[C@H]1CCC[N@H+]1C1CC1 ZINC000330330048 228148603 /nfs/dbraw/zinc/14/86/03/228148603.db2.gz WTKWFKUQAWQEHT-MRVPVSSYSA-N 0 1 251.290 0.594 20 30 CCEDMN C[C@H](CNC(=O)[C@@]1(O)CCSC1)N1CCN(C)CC1 ZINC000328936169 545020371 /nfs/dbraw/zinc/02/03/71/545020371.db2.gz COVICGIHAINBRC-DGCLKSJQSA-N 0 1 287.429 0.447 20 30 CCEDMN Cc1cnn(CC(=O)NC[C@@H]2CN(C)CCN2C)c1 ZINC000329402567 545022601 /nfs/dbraw/zinc/02/26/01/545022601.db2.gz ZJSMGVBZTJGLHY-GFCCVEGCSA-N 0 1 265.361 0.394 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CCCN(CC)CC1 ZINC000298181064 260147578 /nfs/dbraw/zinc/14/75/78/260147578.db2.gz VCWQQNWSYYYHDK-UHFFFAOYSA-N 0 1 281.400 0.965 20 30 CCEDMN C[C@@H]1[C@H](C)OCCN1CCC(=O)N(C)CCC#N ZINC000092801778 260272053 /nfs/dbraw/zinc/27/20/53/260272053.db2.gz ZVXYXTMDBWGGHT-NEPJUHHUSA-N 0 1 253.346 0.858 20 30 CCEDMN C#CCN(CC#C)C(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000490892964 261239122 /nfs/dbraw/zinc/23/91/22/261239122.db2.gz POXRZPHAFAOZMD-UHFFFAOYSA-N 0 1 296.374 0.542 20 30 CCEDMN CCN(CCNS(=O)(=O)N(C)CCC#N)C1CC1 ZINC000102932978 261272902 /nfs/dbraw/zinc/27/29/02/261272902.db2.gz XUYWFJHRPIQIEW-UHFFFAOYSA-N 0 1 274.390 0.151 20 30 CCEDMN C#CCN(CC#N)C(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000491022531 261300548 /nfs/dbraw/zinc/30/05/48/261300548.db2.gz RUADMAORJJMRLW-UHFFFAOYSA-N 0 1 297.362 0.432 20 30 CCEDMN CCN(CC(=O)N[C@@](C)(C#N)C1CC1)[C@@H]1CCOC1 ZINC000361579014 261347349 /nfs/dbraw/zinc/34/73/49/261347349.db2.gz UBXJQPJIMDUELU-OCCSQVGLSA-N 0 1 265.357 0.906 20 30 CCEDMN N#CCCOCCN1CCOC2(CCOCC2)C1 ZINC000339024655 262585053 /nfs/dbraw/zinc/58/50/53/262585053.db2.gz RDGNDKKTZKODLE-UHFFFAOYSA-N 0 1 254.330 0.798 20 30 CCEDMN C[C@H](NCC(=O)N[C@](C)(C#N)C1CC1)c1cn[nH]c1 ZINC000273955441 263020692 /nfs/dbraw/zinc/02/06/92/263020692.db2.gz FFQJYKWAQRAOAY-TVQRCGJNSA-N 0 1 261.329 0.869 20 30 CCEDMN CCc1[nH]nc(C(=O)N2CCOC[C@@H]2C#N)c1[N+](=O)[O-] ZINC000278438190 263048009 /nfs/dbraw/zinc/04/80/09/263048009.db2.gz FBHABRPRVHERGL-ZETCQYMHSA-N 0 1 279.256 0.245 20 30 CCEDMN C=CCN1C[C@@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1 ZINC000340215760 263095904 /nfs/dbraw/zinc/09/59/04/263095904.db2.gz SSCWPVHZISRSRX-NWDGAFQWSA-N 0 1 270.373 0.491 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000299291964 263238289 /nfs/dbraw/zinc/23/82/89/263238289.db2.gz JTNYRRFVYZMESM-VIFPVBQESA-N 0 1 287.327 0.407 20 30 CCEDMN Cc1nc(CN(C)C[C@H]2CCCN2C(=O)[C@H](C)C#N)n[nH]1 ZINC000960498992 649854874 /nfs/dbraw/zinc/85/48/74/649854874.db2.gz DZFJAEDYWCUAIT-ZYHUDNBSSA-N 0 1 290.371 0.696 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NCCN1CCCC(C)(C)C1 ZINC000416475505 263722482 /nfs/dbraw/zinc/72/24/82/263722482.db2.gz JTWZSQJZHQFPKY-NSHDSACASA-N 0 1 273.402 0.940 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@H](CO)C1 ZINC000124485874 263867529 /nfs/dbraw/zinc/86/75/29/263867529.db2.gz QUCNEVSXFBYFML-MNOVXSKESA-N 0 1 269.345 0.091 20 30 CCEDMN COC[C@H](C)CNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000330690606 264004178 /nfs/dbraw/zinc/00/41/78/264004178.db2.gz FUGLKQHUBVKXDB-VXGBXAGGSA-N 0 1 272.393 0.018 20 30 CCEDMN CS[C@H](CO)[C@H](C)NC(=O)NC[C@H]1CCC[N@H+]1C ZINC000330797932 264040648 /nfs/dbraw/zinc/04/06/48/264040648.db2.gz BARGNQMJVFPEJW-HBNTYKKESA-N 0 1 275.418 0.697 20 30 CCEDMN CCS(=O)(=O)NCCCN1CCC(C)(C#N)CC1 ZINC000330780129 264036263 /nfs/dbraw/zinc/03/62/63/264036263.db2.gz NIAYELQGCPMZBU-UHFFFAOYSA-N 0 1 273.402 0.941 20 30 CCEDMN Cn1cccc1CNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000330934310 264079957 /nfs/dbraw/zinc/07/99/57/264079957.db2.gz IBVOUQVYSLMFHT-CYBMUJFWSA-N 0 1 279.388 0.275 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000330942290 264083424 /nfs/dbraw/zinc/08/34/24/264083424.db2.gz DPTSLSUDTFRWQP-GXTWGEPZSA-N 0 1 269.389 0.066 20 30 CCEDMN CC(C)N(C[C@H](C)O)C(=O)NC[C@H]1CN(C)CCN1C ZINC000330952376 264086452 /nfs/dbraw/zinc/08/64/52/264086452.db2.gz IQZZHWYURZSVBK-STQMWFEESA-N 0 1 286.420 0.237 20 30 CCEDMN C=CCC1(C(=O)NCCN2CCC(O)CC2)CCOCC1 ZINC000424861277 264245941 /nfs/dbraw/zinc/24/59/41/264245941.db2.gz DJBZUJDXPUITCV-UHFFFAOYSA-N 0 1 296.411 0.932 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN([C@H](CC)CO)CC1 ZINC000075959628 264334526 /nfs/dbraw/zinc/33/45/26/264334526.db2.gz NCOMICZUWCUXSM-OAHLLOKOSA-N 0 1 295.427 0.576 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000425462953 264341125 /nfs/dbraw/zinc/34/11/25/264341125.db2.gz GMUMKCIQWBCFMB-MELADBBJSA-N 0 1 293.415 0.614 20 30 CCEDMN C#C[C@H](NC(=O)NCCCN1CCC[C@H]1C(N)=O)C(C)C ZINC000281548469 264483682 /nfs/dbraw/zinc/48/36/82/264483682.db2.gz YVIZPICBPATURE-STQMWFEESA-N 0 1 294.399 0.283 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000134929942 264534867 /nfs/dbraw/zinc/53/48/67/264534867.db2.gz MFCRKIUDUWLTSQ-OAHLLOKOSA-N 0 1 281.400 0.821 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000352255875 265952848 /nfs/dbraw/zinc/95/28/48/265952848.db2.gz CJMQATXLGIJTSE-BXUZGUMPSA-N 0 1 280.372 0.382 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)[C@@H](C)[C@H]1C ZINC000356995189 266227268 /nfs/dbraw/zinc/22/72/68/266227268.db2.gz GEQWDGBGXZWJCQ-WDEREUQCSA-N 0 1 268.361 0.023 20 30 CCEDMN CC#CCNC(=O)C(=O)Nc1cc2cn[nH]c2cc1C ZINC000361767394 266881839 /nfs/dbraw/zinc/88/18/39/266881839.db2.gz BIGUTSMAOUUFIZ-UHFFFAOYSA-N 0 1 270.292 0.949 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC3(C2)CCCOC3)CC1 ZINC000368556256 267185160 /nfs/dbraw/zinc/18/51/60/267185160.db2.gz KAQPBNJVQNPBSY-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C=CCCCN1CCN(CC(=O)NCC=C)CC1 ZINC000117871753 267224106 /nfs/dbraw/zinc/22/41/06/267224106.db2.gz XZQFXDXEGZGISV-UHFFFAOYSA-N 0 1 251.374 0.872 20 30 CCEDMN C=CCCCN1CCN2C(=O)N(CC)C(=O)[C@H]2C1 ZINC000369436754 267242667 /nfs/dbraw/zinc/24/26/67/267242667.db2.gz JIFLHKRBHXULIW-LLVKDONJSA-N 0 1 251.330 0.921 20 30 CCEDMN Cc1ccc(NCCN2C[C@H]3CC[C@@H](C2)O3)nc1C#N ZINC000520727727 268026398 /nfs/dbraw/zinc/02/63/98/268026398.db2.gz SLUFIUOPHJBPMJ-BETUJISGSA-N 0 1 272.352 0.959 20 30 CCEDMN CN1CC[C@@H]2OCCN(C(=O)c3cnccc3C#N)[C@@H]2C1 ZINC000332206773 277153060 /nfs/dbraw/zinc/15/30/60/277153060.db2.gz HPSUIVIVWXMQHC-KGLIPLIRSA-N 0 1 286.335 0.498 20 30 CCEDMN C[C@@H]1CO[C@@H](C)CN1CCC(=O)N(C)CCC#N ZINC000035784634 288382900 /nfs/dbraw/zinc/38/29/00/288382900.db2.gz JZQUUVLZXNIUQA-NEPJUHHUSA-N 0 1 253.346 0.858 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCO[C@@H]2CCN(C)C[C@@H]21 ZINC000332672083 288384089 /nfs/dbraw/zinc/38/40/89/288384089.db2.gz INSSCYRKRLKIPT-OUCADQQQSA-N 0 1 265.357 0.858 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000339281090 328004472 /nfs/dbraw/zinc/00/44/72/328004472.db2.gz JUUQYZGIJDTPRO-LURJTMIESA-N 0 1 274.202 0.793 20 30 CCEDMN N#C[C@]1(C(=O)NCCc2nc[nH]n2)CC12CCCC2 ZINC000571176518 328089602 /nfs/dbraw/zinc/08/96/02/328089602.db2.gz DGGGJSBWVVJQOW-ZDUSSCGKSA-N 0 1 259.313 0.937 20 30 CCEDMN C=CCN1CC[C@@H](N2CCSC[C@@H](C(=O)OC)C2)C1=O ZINC000412084484 328103383 /nfs/dbraw/zinc/10/33/83/328103383.db2.gz TUPNYQCQRAIKBJ-NWDGAFQWSA-N 0 1 298.408 0.611 20 30 CCEDMN C=CCCCS(=O)(=O)N[C@H](CF)C(=O)OC ZINC000292312662 328269552 /nfs/dbraw/zinc/26/95/52/328269552.db2.gz NKLVNIVLURTMSI-MRVPVSSYSA-N 0 1 253.295 0.383 20 30 CCEDMN C[C@@H](C#N)NC(=O)[C@H]1CCC[N@H+]1C1CCOCC1 ZINC000340456793 328279911 /nfs/dbraw/zinc/27/99/11/328279911.db2.gz UNRYGTBSSBUNBQ-CMPLNLGQSA-N 0 1 251.330 0.658 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN(CC)CC)C1=O ZINC000281599404 328499309 /nfs/dbraw/zinc/49/93/09/328499309.db2.gz DBMQNZJGPAYOMD-GFCCVEGCSA-N 0 1 267.373 0.574 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(CC(=O)OC)CC1 ZINC000345337546 328564839 /nfs/dbraw/zinc/56/48/39/328564839.db2.gz YIXOANFHCHPHLH-LLVKDONJSA-N 0 1 268.357 0.952 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000418894462 329681058 /nfs/dbraw/zinc/68/10/58/329681058.db2.gz ZGDQQGLUHPDGFA-PHIMTYICSA-N 0 1 253.346 0.278 20 30 CCEDMN N#CC1(NC(=O)CN2CCN(C3CCC3)CC2)CCC1 ZINC000527378013 328689657 /nfs/dbraw/zinc/68/96/57/328689657.db2.gz WNLRECSHJXSHNA-UHFFFAOYSA-N 0 1 276.384 0.719 20 30 CCEDMN CNS(=O)(=O)NC1CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC000407991958 326916203 /nfs/dbraw/zinc/91/62/03/326916203.db2.gz AJKSTSFYYKTSHB-JQWIXIFHSA-N 0 1 286.401 0.197 20 30 CCEDMN N#CCOc1ccc(CN2CCN(CCO)CC2)cc1 ZINC000077253167 327131701 /nfs/dbraw/zinc/13/17/01/327131701.db2.gz DJJZTWBTYCDPBB-UHFFFAOYSA-N 0 1 275.352 0.699 20 30 CCEDMN C#CCOCCC(=O)NC[C@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000371052783 327246937 /nfs/dbraw/zinc/24/69/37/327246937.db2.gz GIDKFGMSSFUTNP-STQMWFEESA-N 0 1 266.341 0.006 20 30 CCEDMN C[N@H+]1C[C@@H]2CCCN(S(=O)(=O)CC3(C#N)CC3)[C@@H]2C1 ZINC000583436807 327363250 /nfs/dbraw/zinc/36/32/50/327363250.db2.gz HLDJUCYQBJOVGY-NWDGAFQWSA-N 0 1 283.397 0.646 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCNC(C)(C)C1 ZINC000570201570 327575548 /nfs/dbraw/zinc/57/55/48/327575548.db2.gz SRBMUBUDBAYNLH-RYUDHWBXSA-N 0 1 267.373 0.971 20 30 CCEDMN CNS(=O)(=O)c1cc(NC(=O)CCCC#N)ccc1O ZINC000360453089 328906789 /nfs/dbraw/zinc/90/67/89/328906789.db2.gz BCNWHOQUOYZWRK-UHFFFAOYSA-N 0 1 297.336 0.933 20 30 CCEDMN C#CCCS(=O)(=O)N1CCCN(C)C[C@@H]1CC ZINC000377889489 329033796 /nfs/dbraw/zinc/03/37/96/329033796.db2.gz OVVWRTAGRICIGG-LBPRGKRZSA-N 0 1 258.387 0.756 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@H]2CCC[C@@H](C1)C2O ZINC000172040116 329083753 /nfs/dbraw/zinc/08/37/53/329083753.db2.gz SSDQMPVKUGPTDG-QYJAPNMZSA-N 0 1 281.356 0.091 20 30 CCEDMN C=CCOCCCC(=O)NCCN1CCN(C)CC1 ZINC000173176973 329144664 /nfs/dbraw/zinc/14/46/64/329144664.db2.gz ACZMJESMHTXCEU-UHFFFAOYSA-N 0 1 269.389 0.333 20 30 CCEDMN C=C(C)CN(C)CC(=O)NC(=O)N[C@H](C)COC ZINC000181307869 329230781 /nfs/dbraw/zinc/23/07/81/329230781.db2.gz YCHQTESHOZALKW-SNVBAGLBSA-N 0 1 257.334 0.355 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCN(C)CC1)n1cccn1 ZINC000221237014 329248656 /nfs/dbraw/zinc/24/86/56/329248656.db2.gz XNUAOPRJWFBWBF-NSHDSACASA-N 0 1 261.329 0.548 20 30 CCEDMN CNC(=O)NC(=O)[C@@H](C)N1CCC(CC#N)CC1 ZINC000397217966 329344693 /nfs/dbraw/zinc/34/46/93/329344693.db2.gz HSEYLTXWCGGIQC-SECBINFHSA-N 0 1 252.318 0.456 20 30 CCEDMN C=CCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000230303544 329365502 /nfs/dbraw/zinc/36/55/02/329365502.db2.gz DPVQUCNAZBMFSS-JTQLQIEISA-N 0 1 251.286 0.576 20 30 CCEDMN C=C(C)CN1CCC[C@@H](S(=O)(=O)N(C)CCOC)C1 ZINC000190374594 329395611 /nfs/dbraw/zinc/39/56/11/329395611.db2.gz KFNRAAFJVBAEQD-CYBMUJFWSA-N 0 1 290.429 0.935 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)NCC1(CC#N)CC1 ZINC000418830987 329592537 /nfs/dbraw/zinc/59/25/37/329592537.db2.gz OORKVRDSALLJLD-LBPRGKRZSA-N 0 1 292.383 0.397 20 30 CCEDMN C=CC[N@@H+](CC(=O)NCC(=O)[O-])Cc1ccccc1 ZINC000262269142 330003247 /nfs/dbraw/zinc/00/32/47/330003247.db2.gz UJDHMATUSNUGDR-UHFFFAOYSA-N 0 1 262.309 0.875 20 30 CCEDMN C=CC[N@H+](CC(=O)NCC(=O)[O-])Cc1ccccc1 ZINC000262269142 330003249 /nfs/dbraw/zinc/00/32/49/330003249.db2.gz UJDHMATUSNUGDR-UHFFFAOYSA-N 0 1 262.309 0.875 20 30 CCEDMN C=CCOCC(=O)NC1CC([N@@H+](CC(=O)[O-])CC2CC2)C1 ZINC000262735663 330029063 /nfs/dbraw/zinc/02/90/63/330029063.db2.gz VJZKJAHEOIMCQK-UHFFFAOYSA-N 0 1 296.367 0.633 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)N2CCC(C)(C#N)CC2)C[C@H](C)N1 ZINC000423389626 330200333 /nfs/dbraw/zinc/20/03/33/330200333.db2.gz VGPLHODIJIYBDT-RYUDHWBXSA-N 0 1 292.383 0.347 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](COCC)C1 ZINC000081520530 330212563 /nfs/dbraw/zinc/21/25/63/330212563.db2.gz USSQXSZOLSZNDT-NSHDSACASA-N 0 1 269.345 0.357 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@H]2Cc3ccccc3CN2)nn1 ZINC000424203032 330327114 /nfs/dbraw/zinc/32/71/14/330327114.db2.gz BFTDPHVNNSWSII-OAHLLOKOSA-N 0 1 297.362 0.795 20 30 CCEDMN CCO[C@H]1C[C@@H](N(C)CC(=O)NCC#N)C12CCC2 ZINC000121000021 330394241 /nfs/dbraw/zinc/39/42/41/330394241.db2.gz RFWMNXXZOZOKLH-NEPJUHHUSA-N 0 1 265.357 0.906 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(OCCCOC)CC1 ZINC000122578375 330426267 /nfs/dbraw/zinc/42/62/67/330426267.db2.gz PMVXPWLBPKSTQD-ZDUSSCGKSA-N 0 1 282.384 0.642 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NC[C@@H]1CCC[N@H+]1CCCO ZINC000452368226 331063349 /nfs/dbraw/zinc/06/33/49/331063349.db2.gz QOBUHDZDCZDPLK-JSGCOSHPSA-N 0 1 267.373 0.889 20 30 CCEDMN C=CC(C)(C)CNC(=O)CN1CCN(CC)CC1 ZINC000452778829 331077201 /nfs/dbraw/zinc/07/72/01/331077201.db2.gz ZNYDTVUBUAGPFH-UHFFFAOYSA-N 0 1 253.390 0.952 20 30 CCEDMN C=C(C)CCNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000454657747 331150613 /nfs/dbraw/zinc/15/06/13/331150613.db2.gz QHYLBYUINDRVIL-ZDUSSCGKSA-N 0 1 268.405 0.888 20 30 CCEDMN C=C(CC)CNC(=O)NCCN1CCCOCC1 ZINC000454998164 331158523 /nfs/dbraw/zinc/15/85/23/331158523.db2.gz HTDTWMIXQKYODO-UHFFFAOYSA-N 0 1 255.362 0.974 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC[C@@](O)(CO)C1 ZINC000459646069 331291997 /nfs/dbraw/zinc/29/19/97/331291997.db2.gz HVBFJRJIHFPKMS-ZFWWWQNUSA-N 0 1 282.384 0.395 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)c1ccc(=O)n(C)c1 ZINC000490616329 332095761 /nfs/dbraw/zinc/09/57/61/332095761.db2.gz KFSZQFKQOXXSOA-CYBMUJFWSA-N 0 1 274.320 0.640 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000490615815 332095827 /nfs/dbraw/zinc/09/58/27/332095827.db2.gz HTUQYGWKIFLFGB-TZMCWYRMSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCN1CCC(C(=O)NCCC[S@](C)=O)CC1 ZINC000490650284 332099748 /nfs/dbraw/zinc/09/97/48/332099748.db2.gz MBHLARLUSBMPPG-SFHVURJKSA-N 0 1 270.398 0.216 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](C(=O)OC)[C@H]1C ZINC000490715887 332107963 /nfs/dbraw/zinc/10/79/63/332107963.db2.gz ZXYGDRBMEDRKQE-OUAUKWLOSA-N 0 1 252.314 0.008 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CCN(C)C[C@@H]2C)nc1 ZINC000490720386 332108975 /nfs/dbraw/zinc/10/89/75/332108975.db2.gz RCLGWWFQNWVQLO-LBPRGKRZSA-N 0 1 272.352 0.585 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(C2(C)OCCO2)CC1 ZINC000490865331 332121655 /nfs/dbraw/zinc/12/16/55/332121655.db2.gz AUALTXHFFATMEZ-GFCCVEGCSA-N 0 1 280.368 0.599 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2cnn(C)c2)CC1 ZINC000490875580 332124004 /nfs/dbraw/zinc/12/40/04/332124004.db2.gz QPSZJGZDCVJMRU-UHFFFAOYSA-N 0 1 261.325 0.809 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)CCc1nnc[nH]1 ZINC000490877126 332124319 /nfs/dbraw/zinc/12/43/19/332124319.db2.gz WRAGEYCXPKRLGP-LLVKDONJSA-N 0 1 262.313 0.378 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@]2(C)CO)CC1 ZINC000491013166 332138707 /nfs/dbraw/zinc/13/87/07/332138707.db2.gz IQCBVCUGBXBFBJ-HNNXBMFYSA-N 0 1 264.369 0.705 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)c1ccnc2c1nnn2C ZINC000491201135 332164658 /nfs/dbraw/zinc/16/46/58/332164658.db2.gz FPLLZRHQUBWKRB-NSHDSACASA-N 0 1 299.334 0.618 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)C[C@@]1(O)CCc2ccccc21 ZINC000491386604 332202415 /nfs/dbraw/zinc/20/24/15/332202415.db2.gz VTMGBBJTGMGTLS-GUYCJALGSA-N 0 1 286.375 0.890 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)CCCOC(C)C)CC1 ZINC000491428321 332210022 /nfs/dbraw/zinc/21/00/22/332210022.db2.gz OEQNMGFPQXZSJW-UHFFFAOYSA-N 0 1 295.427 0.511 20 30 CCEDMN C#CCNC(=O)NC[C@@H]1C[C@H](O)CN1Cc1ccccc1 ZINC000491524275 332229306 /nfs/dbraw/zinc/22/93/06/332229306.db2.gz KUNCWRRIPIIPKW-GJZGRUSLSA-N 0 1 287.363 0.554 20 30 CCEDMN C#C[C@H](CC)NC(=O)NCCCCN1CCOCC1 ZINC000491536007 332231598 /nfs/dbraw/zinc/23/15/98/332231598.db2.gz GWVRCENNEFWCCQ-CYBMUJFWSA-N 0 1 267.373 0.810 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000491627198 332250790 /nfs/dbraw/zinc/25/07/90/332250790.db2.gz JXJRBOQCJKNWRU-UONOGXRCSA-N 0 1 280.416 0.723 20 30 CCEDMN C#CCN(C)C(=O)NCCCCN1CCOCC1 ZINC000491689664 332286938 /nfs/dbraw/zinc/28/69/38/332286938.db2.gz JWVDRXVERIAKJC-UHFFFAOYSA-N 0 1 253.346 0.373 20 30 CCEDMN CN(CC#N)CN1C[C@]2(CN3CCC2CC3)OC1=O ZINC000496463516 332550285 /nfs/dbraw/zinc/55/02/85/332550285.db2.gz XKKXBABYIJPDPI-ZDUSSCGKSA-N 0 1 264.329 0.316 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@H](C(=O)NC)C(C)C ZINC000183652113 333121411 /nfs/dbraw/zinc/12/14/11/333121411.db2.gz AXAQDQMGQXTXTM-AAEUAGOBSA-N 0 1 282.388 0.355 20 30 CCEDMN CNC(=O)[C@@H](NCC(=O)N(CCC#N)CCC#N)C(C)C ZINC000183685720 333121730 /nfs/dbraw/zinc/12/17/30/333121730.db2.gz NXQRQFZOHXLOBP-ZDUSSCGKSA-N 0 1 293.371 0.003 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCCN1CCC1 ZINC000521855477 333193414 /nfs/dbraw/zinc/19/34/14/333193414.db2.gz GNVQFAUCOQCTCS-QWHCGFSZSA-N 0 1 267.373 0.973 20 30 CCEDMN N#CC1(NC(=O)CCN2CCN(c3ccccn3)CC2)CC1 ZINC000525311497 333408146 /nfs/dbraw/zinc/40/81/46/333408146.db2.gz WEIWFQZKAJKLFZ-UHFFFAOYSA-N 0 1 299.378 0.766 20 30 CCEDMN CC1(C#N)CCN(C(=O)NC[C@@H]2COCCN2)CC1 ZINC000529375610 333483900 /nfs/dbraw/zinc/48/39/00/333483900.db2.gz KGNZXXZEERRBFF-LLVKDONJSA-N 0 1 266.345 0.310 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)C[C@H](C)C(=O)NC ZINC000246265702 334247501 /nfs/dbraw/zinc/24/75/01/334247501.db2.gz VGRKZVUKUWIDEC-NEPJUHHUSA-N 0 1 282.388 0.308 20 30 CCEDMN Cc1nc(CN2CCN(c3cccnc3C#N)CC2)n[nH]1 ZINC000576321338 335189231 /nfs/dbraw/zinc/18/92/31/335189231.db2.gz HQPZBNXOEBXXAG-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN C[C@@]1(C[N-]S(=O)(=O)c2ccc(C#N)o2)CCC[NH2+]C1 ZINC000576958716 335270217 /nfs/dbraw/zinc/27/02/17/335270217.db2.gz NCDUOWLMVLKCSI-GFCCVEGCSA-N 0 1 283.353 0.819 20 30 CCEDMN N#Cc1cccc(CC(=O)NC2(c3nn[nH]n3)CC2)c1 ZINC000577002003 335277103 /nfs/dbraw/zinc/27/71/03/335277103.db2.gz ITXPSMRNWNCOGN-UHFFFAOYSA-N 0 1 268.280 0.419 20 30 CCEDMN CC[C@@H]1[C@@H](CO)CCN1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000385712926 336154839 /nfs/dbraw/zinc/15/48/39/336154839.db2.gz RDIGEAYFJLJHNY-KYOSRNDESA-N 0 1 279.384 0.888 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2ccc(C#N)o2)C1 ZINC000340046325 523865840 /nfs/dbraw/zinc/86/58/40/523865840.db2.gz QKMZLRCTDXSJET-SNVBAGLBSA-N 0 1 263.297 0.602 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](C(=O)OC)[C@@H]1C ZINC000347110762 526634534 /nfs/dbraw/zinc/63/45/34/526634534.db2.gz NWUHRRICNFJSHM-DCAQKATOSA-N 0 1 254.330 0.561 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000667423154 545146918 /nfs/dbraw/zinc/14/69/18/545146918.db2.gz IMBJEEXLRBPODD-QWHCGFSZSA-N 0 1 268.357 0.247 20 30 CCEDMN C=C[C@@H](CO)NC(=O)C(=O)Nc1cc2cn[nH]c2cc1C ZINC000354658197 527425078 /nfs/dbraw/zinc/42/50/78/527425078.db2.gz SAVOLCIMZPUHPE-JTQLQIEISA-N 0 1 288.307 0.473 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000352570054 527491472 /nfs/dbraw/zinc/49/14/72/527491472.db2.gz BFKVAMIBIUYXIG-STQMWFEESA-N 0 1 290.429 0.669 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000668284180 545170818 /nfs/dbraw/zinc/17/08/18/545170818.db2.gz FDERFNFYWZXDSI-GFCCVEGCSA-N 0 1 277.324 0.286 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCCc1nc(C)n[nH]1 ZINC000668391527 545176131 /nfs/dbraw/zinc/17/61/31/545176131.db2.gz RARRCUFXSJXRGH-LLVKDONJSA-N 0 1 279.344 0.243 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@H](C(=O)OC)C1 ZINC000107821068 527611892 /nfs/dbraw/zinc/61/18/92/527611892.db2.gz IDUUDZISQNTJMM-LBPRGKRZSA-N 0 1 266.341 0.682 20 30 CCEDMN C=C[C@](C)(O)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000668670904 545186403 /nfs/dbraw/zinc/18/64/03/545186403.db2.gz NIMRMPSFTICBKG-HIFRSBDPSA-N 0 1 283.416 0.312 20 30 CCEDMN CC1CCN(CC(=O)NCCNc2cnccn2)CC1 ZINC000330000608 528227419 /nfs/dbraw/zinc/22/74/19/528227419.db2.gz WSZINGRNPGWRFH-UHFFFAOYSA-N 0 1 277.372 0.999 20 30 CCEDMN C[C@@H](C(=O)NCc1ccc(C(=O)NO)cc1)N(C)C ZINC000671996545 545261475 /nfs/dbraw/zinc/26/14/75/545261475.db2.gz JFQSYWBCAQSUCX-VIFPVBQESA-N 0 1 265.313 0.372 20 30 CCEDMN C=C[C@H](C)NC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000674934376 545319027 /nfs/dbraw/zinc/31/90/27/545319027.db2.gz ZEEQJISYNGEHDL-UONOGXRCSA-N 0 1 296.415 0.267 20 30 CCEDMN CC[C@@H](O)CN1CCN(c2cnccc2C#N)CC1 ZINC000271337964 535813362 /nfs/dbraw/zinc/81/33/62/535813362.db2.gz FNTAMDMACNQYNC-CYBMUJFWSA-N 0 1 260.341 0.846 20 30 CCEDMN CCOCCCNC(=O)C1CCN(CC#CCOC)CC1 ZINC000677817271 545373550 /nfs/dbraw/zinc/37/35/50/545373550.db2.gz MNLAWKWPBWCPEG-UHFFFAOYSA-N 0 1 296.411 0.891 20 30 CCEDMN COc1cc(CN2CCC[C@](O)(CO)C2)ccc1C#N ZINC000313692170 536759101 /nfs/dbraw/zinc/75/91/01/536759101.db2.gz WCBQMSADDQRWTN-OAHLLOKOSA-N 0 1 276.336 0.886 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)N[C@@H]3[C@H]4CN(CC#N)C[C@H]43)c2C1 ZINC000962179496 649893972 /nfs/dbraw/zinc/89/39/72/649893972.db2.gz RKHWVVKDPIVRAP-PQFRYHKHSA-N 0 1 299.378 0.718 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cnc(N(C)C)s2)N1 ZINC000779798484 581206075 /nfs/dbraw/zinc/20/60/75/581206075.db2.gz OQOZDWREUANGFO-SSDOTTSWSA-N 0 1 252.347 0.480 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cc([N+](=O)[O-])cn2C)=NO1 ZINC000777435709 581115233 /nfs/dbraw/zinc/11/52/33/581115233.db2.gz IPPTWSUYDNXAAT-ZCFIWIBFSA-N 0 1 252.230 0.785 20 30 CCEDMN CCOc1cnc(C(=O)NC2=NO[C@H](C)C2)cn1 ZINC000779040407 581173226 /nfs/dbraw/zinc/17/32/26/581173226.db2.gz VSDHIFPPINGDTL-SSDOTTSWSA-N 0 1 250.258 0.727 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCN(c2ncccc2C#N)CC1 ZINC000790070401 581175594 /nfs/dbraw/zinc/17/55/94/581175594.db2.gz QCXTZGORXMYODS-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN COCCN(C)c1ccc(C=NN2CCOCC2)cn1 ZINC000790143169 581178105 /nfs/dbraw/zinc/17/81/05/581178105.db2.gz YSWCUZMCYSPDAL-UHFFFAOYSA-N 0 1 278.356 0.830 20 30 CCEDMN COc1cccc(C=Nn2c(=O)c(C)n[nH]c2=S)n1 ZINC000790148036 581178404 /nfs/dbraw/zinc/17/84/04/581178404.db2.gz PVSNCXCONACUEX-UHFFFAOYSA-N 0 1 277.309 0.521 20 30 CCEDMN C=C(CO)C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000791326128 581215069 /nfs/dbraw/zinc/21/50/69/581215069.db2.gz JGVWNIGAZJZGTA-UHFFFAOYSA-N 0 1 275.352 0.711 20 30 CCEDMN C=C(C)CNC(=S)N1CCN(CCO)C(C)(C)C1 ZINC000780224309 581223085 /nfs/dbraw/zinc/22/30/85/581223085.db2.gz BXOWGMMSWRIILL-UHFFFAOYSA-N 0 1 271.430 0.826 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738462335 581333850 /nfs/dbraw/zinc/33/38/50/581333850.db2.gz YYXNFNODPQJHTK-WDEREUQCSA-N 0 1 267.329 0.056 20 30 CCEDMN Cc1cnn(C)c1C=NNc1ccccc1S(N)(=O)=O ZINC000794935885 581391480 /nfs/dbraw/zinc/39/14/80/581391480.db2.gz MFWFAWCSCYHKHV-UHFFFAOYSA-N 0 1 293.352 0.822 20 30 CCEDMN Cc1nc(COC(=O)[C@@H](O)c2ccc(C#N)cc2)n[nH]1 ZINC000795512883 581410375 /nfs/dbraw/zinc/41/03/75/581410375.db2.gz DGCUZFLOVSHGHW-LBPRGKRZSA-N 0 1 272.264 0.762 20 30 CCEDMN C[C@@H]1C(=O)CC[C@@H]1CC(=O)C(C#N)C(=O)NC1CC1 ZINC000796637833 581446714 /nfs/dbraw/zinc/44/67/14/581446714.db2.gz WZXAAOYVOKLDFC-IQJOONFLSA-N 0 1 262.309 0.979 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)C[N@H+]2CCC[C@H]2C(=O)[O-])cc1 ZINC000739128425 581509060 /nfs/dbraw/zinc/50/90/60/581509060.db2.gz DCMACJOYDSNEJD-JSGCOSHPSA-N 0 1 290.319 0.847 20 30 CCEDMN Cn1nc(COC(=O)c2cccc(CC#N)c2)[nH]c1=O ZINC000765442603 581515105 /nfs/dbraw/zinc/51/51/05/581515105.db2.gz FLVKTBLBOGIHFM-UHFFFAOYSA-N 0 1 272.264 0.531 20 30 CCEDMN CCC[N@@H+](CC(=O)NCCC#N)[C@H]1CCN(C(=O)[O-])C1 ZINC000739355204 581539886 /nfs/dbraw/zinc/53/98/86/581539886.db2.gz WEVLMXIENHRZOM-NSHDSACASA-N 0 1 282.344 0.481 20 30 CCEDMN COC(C)(C)CC=Nn1c(=O)c(C)n[nH]c1=S ZINC000765992737 581545639 /nfs/dbraw/zinc/54/56/39/581545639.db2.gz GDFJRQFFPPEBJE-UHFFFAOYSA-N 0 1 256.331 0.884 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000739413768 581549323 /nfs/dbraw/zinc/54/93/23/581549323.db2.gz BZEQYXXNOFVPRS-VXGBXAGGSA-N 0 1 296.371 0.727 20 30 CCEDMN C#C[C@H](CC)NC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000753890451 581563770 /nfs/dbraw/zinc/56/37/70/581563770.db2.gz RLQHSJZXVNKIJC-LLVKDONJSA-N 0 1 297.318 0.734 20 30 CCEDMN C(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1cnc2cnccn12 ZINC000753934348 581565864 /nfs/dbraw/zinc/56/58/64/581565864.db2.gz HMJRKGBIDIZYDU-TXEJJXNPSA-N 0 1 283.339 0.923 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000767101547 581596539 /nfs/dbraw/zinc/59/65/39/581596539.db2.gz DBDPXGTUUZGRII-JTQLQIEISA-N 0 1 261.329 0.955 20 30 CCEDMN N#CCNC(=O)C[N@@H+](C1CC1)C1CCN(C(=O)[O-])CC1 ZINC000740305186 581662405 /nfs/dbraw/zinc/66/24/05/581662405.db2.gz GHPJSCZKRGVJFP-UHFFFAOYSA-N 0 1 280.328 0.233 20 30 CCEDMN CC(C)OCCCn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000735903488 581670872 /nfs/dbraw/zinc/67/08/72/581670872.db2.gz HCRYLUOXRBZNOB-UHFFFAOYSA-N 0 1 276.304 0.332 20 30 CCEDMN C=CCNC(=O)C[N@@H+](CCC(=O)[O-])C[C@@H]1CCCO1 ZINC000736106714 581696849 /nfs/dbraw/zinc/69/68/49/581696849.db2.gz IVMOXDKXJNUARR-NSHDSACASA-N 0 1 270.329 0.244 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@](C)(COC)C2=O)CC1 ZINC000761255503 581712928 /nfs/dbraw/zinc/71/29/28/581712928.db2.gz XRPAKHFVDKUTJB-CQSZACIVSA-N 0 1 281.356 0.594 20 30 CCEDMN C=CCNC(=O)CN1CCN(Cc2ccccc2O)CC1 ZINC000770510018 581748975 /nfs/dbraw/zinc/74/89/75/581748975.db2.gz VOWHNMBGCJCMJL-UHFFFAOYSA-N 0 1 289.379 0.812 20 30 CCEDMN C=CCNC(=O)COC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000770565698 581753904 /nfs/dbraw/zinc/75/39/04/581753904.db2.gz MFTZUZFHXSPJNE-UHFFFAOYSA-N 0 1 286.291 0.931 20 30 CCEDMN COc1cc(C=NOCC(N)=O)cc([N+](=O)[O-])c1O ZINC000771575689 581809759 /nfs/dbraw/zinc/80/97/59/581809759.db2.gz WFTLGGOSUNUMBY-UHFFFAOYSA-N 0 1 269.213 0.145 20 30 CCEDMN C[C@@H]1CN(Cc2ccccc2)CC1=NOCC(N)=O ZINC000771579350 581810455 /nfs/dbraw/zinc/81/04/55/581810455.db2.gz NHBALWUHMXVOPP-LLVKDONJSA-N 0 1 261.325 0.996 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CC[C@H]2NC(=O)NC2=O)c1 ZINC000759717642 581817418 /nfs/dbraw/zinc/81/74/18/581817418.db2.gz KIYQDXLKWZBVGV-MRVPVSSYSA-N 0 1 288.263 0.191 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2ccn(C)c(=O)c2)CC1 ZINC000772113059 581843036 /nfs/dbraw/zinc/84/30/36/581843036.db2.gz ISNCRGVXNSXZKH-UHFFFAOYSA-N 0 1 288.347 0.774 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)N(C)C2CC2)CC1 ZINC000772112465 581843611 /nfs/dbraw/zinc/84/36/11/581843611.db2.gz BUTWBKAQKVCKAP-UHFFFAOYSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000760958740 581881201 /nfs/dbraw/zinc/88/12/01/581881201.db2.gz ARUHBAICFAEHGO-NSHDSACASA-N 0 1 261.329 0.042 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC000760958740 581881203 /nfs/dbraw/zinc/88/12/03/581881203.db2.gz ARUHBAICFAEHGO-NSHDSACASA-N 0 1 261.329 0.042 20 30 CCEDMN CCOC(=O)[C@@H]1CSCCN1C(=O)C(C)C#N ZINC000746117334 581894486 /nfs/dbraw/zinc/89/44/86/581894486.db2.gz VSDNJHKBSUPTMJ-BDAKNGLRSA-N 0 1 256.327 0.653 20 30 CCEDMN C=CCN1CC(=O)N(C[C@@H]2CN3CCC[C@@H]3CO2)C1=O ZINC000761201797 581894757 /nfs/dbraw/zinc/89/47/57/581894757.db2.gz QYHIREDTOYESIM-NEPJUHHUSA-N 0 1 279.340 0.300 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)C[C@@H](C)C(=O)[O-] ZINC000737078797 581897741 /nfs/dbraw/zinc/89/77/41/581897741.db2.gz CISGTBLDEGQRLD-GHMZBOCLSA-N 0 1 269.345 0.647 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000737094542 581902695 /nfs/dbraw/zinc/90/26/95/581902695.db2.gz SHFMIMYIPAKNKS-LLVKDONJSA-N 0 1 267.329 0.545 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(NC(C)=O)c1 ZINC000746513999 581917951 /nfs/dbraw/zinc/91/79/51/581917951.db2.gz RANRFTHSEUMKBQ-UHFFFAOYSA-N 0 1 259.309 0.484 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(C(=O)N(C)C)c1 ZINC000746514377 581917964 /nfs/dbraw/zinc/91/79/64/581917964.db2.gz XYMGINAONPQKHH-UHFFFAOYSA-N 0 1 273.336 0.227 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)Cc1[nH]nc2ccccc21 ZINC000746587050 581919860 /nfs/dbraw/zinc/91/98/60/581919860.db2.gz UWIDIWQAOHJXNL-JTQLQIEISA-N 0 1 285.303 0.787 20 30 CCEDMN C#CCCCCC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000773341154 581922422 /nfs/dbraw/zinc/92/24/22/581922422.db2.gz MLZIVKBOQHJEHK-SNVBAGLBSA-N 0 1 263.301 0.293 20 30 CCEDMN Cc1cn2ccnc(C(=O)N=C3C[C@@H](C)ON3)c2n1 ZINC000762191025 581935102 /nfs/dbraw/zinc/93/51/02/581935102.db2.gz AKYNBAWUNCWERN-MRVPVSSYSA-N 0 1 259.269 0.890 20 30 CCEDMN CCOCCONC(=O)Cc1noc(C)c1C#N ZINC000775237214 582015235 /nfs/dbraw/zinc/01/52/35/582015235.db2.gz UPROKRLCLFMXEX-UHFFFAOYSA-N 0 1 253.258 0.482 20 30 CCEDMN C#C[C@@H](NC(=O)CCSc1nnc(C)[nH]1)[C@@H]1CCCO1 ZINC000775328799 582018304 /nfs/dbraw/zinc/01/83/04/582018304.db2.gz KADDDZSJLDVMNC-MNOVXSKESA-N 0 1 294.380 0.892 20 30 CCEDMN C#C[C@H](NC(=O)[C@H](C)CN1CCOCC1)[C@@H]1CCCO1 ZINC000775344772 582019898 /nfs/dbraw/zinc/01/98/98/582019898.db2.gz BUCXWWIASQWAEI-RDBSUJKOSA-N 0 1 280.368 0.252 20 30 CCEDMN COCC#CCSc1nc(O)cc(=O)n1CCOC ZINC000775677128 582033695 /nfs/dbraw/zinc/03/36/95/582033695.db2.gz GYRHVVDNPDKGPI-UHFFFAOYSA-N 0 1 284.337 0.337 20 30 CCEDMN C#C[C@H](NCCn1nc2ccccn2c1=O)[C@H]1CCCO1 ZINC000775800108 582040350 /nfs/dbraw/zinc/04/03/50/582040350.db2.gz QUVXJCNIHMKRRK-QWHCGFSZSA-N 0 1 286.335 0.266 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@H]1C[C@]12CCOC2 ZINC000764754834 582067399 /nfs/dbraw/zinc/06/73/99/582067399.db2.gz AXOJGHHUYGXFHZ-BREBYQMCSA-N 0 1 250.298 0.646 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)cn1 ZINC000765109435 582089836 /nfs/dbraw/zinc/08/98/36/582089836.db2.gz KMKJHYZGSRZGSB-NSHDSACASA-N 0 1 282.307 0.596 20 30 CCEDMN C=C(Br)CNC(=O)NC[C@@H]1CCN1C ZINC000914418427 613237023 /nfs/dbraw/zinc/23/70/23/613237023.db2.gz KNVXYVPJHFSKRL-QMMMGPOBSA-N 0 1 262.151 0.898 20 30 CCEDMN CCOCC[C@H](O)CNCc1nc(C#N)cs1 ZINC000905655532 615301130 /nfs/dbraw/zinc/30/11/30/615301130.db2.gz NYRKJFPNUGULKZ-JTQLQIEISA-N 0 1 255.343 0.892 20 30 CCEDMN C#CCOCCN1C[C@H](C)O[C@@]2(CCO[C@H]2C)C1 ZINC000851998944 612985126 /nfs/dbraw/zinc/98/51/26/612985126.db2.gz CZXUZMOMVYKZPJ-IHRRRGAJSA-N 0 1 253.342 0.905 20 30 CCEDMN C#CCCCN[C@@H](C(N)=O)c1ccc(-c2nn[nH]n2)cc1 ZINC000819693396 599384110 /nfs/dbraw/zinc/38/41/10/599384110.db2.gz RINALAYWZJQLGN-GFCCVEGCSA-N 0 1 284.323 0.396 20 30 CCEDMN C#CCSCC(=O)N1CC[C@H](c2[nH]ncc2N)C1 ZINC000907424088 613011020 /nfs/dbraw/zinc/01/10/20/613011020.db2.gz MPNWFIVCADAPIO-VIFPVBQESA-N 0 1 264.354 0.674 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](c2ccn(C)n2)C1 ZINC000932140376 612948062 /nfs/dbraw/zinc/94/80/62/612948062.db2.gz YUTIKHSKITWDFR-OLZOCXBDSA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@@H](Cc2ccccc2)[C@H](O)C1 ZINC000934440477 612948183 /nfs/dbraw/zinc/94/81/83/612948183.db2.gz WDGORGBFACKSQO-FVQBIDKESA-N 0 1 286.375 0.660 20 30 CCEDMN C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C[C@@H]1C(=O)[O-] ZINC000828433070 601506459 /nfs/dbraw/zinc/50/64/59/601506459.db2.gz QZIFQSOERLODMC-NEPJUHHUSA-N 0 1 293.367 0.982 20 30 CCEDMN C#CCSCCNC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000806845378 613016212 /nfs/dbraw/zinc/01/62/12/613016212.db2.gz LVPBYFPHIVZXCJ-STQMWFEESA-N 0 1 299.440 0.449 20 30 CCEDMN C[C@@H](Nc1ccnc(C#N)c1)[C@H]1CN(C)CC[N@@H+]1C ZINC000912336182 617179488 /nfs/dbraw/zinc/17/94/88/617179488.db2.gz PJZQXLCJIHBWCA-BXUZGUMPSA-N 0 1 259.357 0.421 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CCCCC[C@@H]1C(=O)[O-] ZINC000080992809 605852505 /nfs/dbraw/zinc/85/25/05/605852505.db2.gz LQGQOXYAQBRFQD-SNVBAGLBSA-N 0 1 283.328 0.327 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCCCC[C@@H]1C(=O)[O-] ZINC000080992809 605852508 /nfs/dbraw/zinc/85/25/08/605852508.db2.gz LQGQOXYAQBRFQD-SNVBAGLBSA-N 0 1 283.328 0.327 20 30 CCEDMN C#CCCOC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000859210426 613050468 /nfs/dbraw/zinc/05/04/68/613050468.db2.gz JUGLXPUSSWEXJW-SECBINFHSA-N 0 1 264.285 0.906 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)N(C)Cc1cnc[nH]1 ZINC000859018070 613050004 /nfs/dbraw/zinc/05/00/04/613050004.db2.gz MUOGCJHYLCJPJA-LLVKDONJSA-N 0 1 264.329 0.980 20 30 CCEDMN C#CCCS(=O)(=O)NCc1ccc2cncn2c1 ZINC000841538239 613054511 /nfs/dbraw/zinc/05/45/11/613054511.db2.gz IZYQKMMFYWMTEA-UHFFFAOYSA-N 0 1 263.322 0.777 20 30 CCEDMN C#CC[C@H](NC(=O)CN(C)[C@@H]1CCSC1)C(=O)OC ZINC000837949983 613067807 /nfs/dbraw/zinc/06/78/07/613067807.db2.gz GSQSBIHITKHPSL-MNOVXSKESA-N 0 1 284.381 0.105 20 30 CCEDMN C#CC[C@H](NS(=O)(=O)C[C@H](OC)C(C)C)C(=O)OC ZINC000827683489 613071143 /nfs/dbraw/zinc/07/11/43/613071143.db2.gz INJJISUKIZZLEO-QWRGUYRKSA-N 0 1 291.369 0.142 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2sccc2-c2nn[nH]n2)=NO1 ZINC000824891635 608108829 /nfs/dbraw/zinc/10/88/29/608108829.db2.gz BKXIWUWDRXANLP-RXMQYKEDSA-N 0 1 278.297 0.780 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](CO)Cc1ccc(F)cc1 ZINC000912402899 612956617 /nfs/dbraw/zinc/95/66/17/612956617.db2.gz JSRLSCHPMYVDPX-ZDUSSCGKSA-N 0 1 278.327 0.316 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)C[N@@H+]1CCC[C@H](C(=O)OCC)C1 ZINC000907773952 613079099 /nfs/dbraw/zinc/07/90/99/613079099.db2.gz HWVPQDMFXJTSDO-OLZOCXBDSA-N 0 1 280.368 0.742 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)CN1CCC[C@H](C(=O)OCC)C1 ZINC000907773952 613079101 /nfs/dbraw/zinc/07/91/01/613079101.db2.gz HWVPQDMFXJTSDO-OLZOCXBDSA-N 0 1 280.368 0.742 20 30 CCEDMN C#CCNCC(=O)NC[C@H](O)c1ccccc1Cl ZINC000912364618 612956851 /nfs/dbraw/zinc/95/68/51/612956851.db2.gz JKBYUAYZGQCKRS-LBPRGKRZSA-N 0 1 266.728 0.712 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCOC2)C1 ZINC000971250643 613090367 /nfs/dbraw/zinc/09/03/67/613090367.db2.gz PBMAWZIMGMUKME-STQMWFEESA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2OCC[C@H]2C)C1 ZINC000972357524 613093515 /nfs/dbraw/zinc/09/35/15/613093515.db2.gz GIPBGUHASKXAHM-AGIUHOORSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cccc(=O)[nH]2)C1 ZINC000971283729 613095351 /nfs/dbraw/zinc/09/53/51/613095351.db2.gz ZXGPKQLJWNOSEU-NSHDSACASA-N 0 1 259.309 0.567 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)N[C@H]2CCN(CCOC)C2)C1 ZINC000847322603 613104754 /nfs/dbraw/zinc/10/47/54/613104754.db2.gz YHOKPWSSDSBJPA-KGLIPLIRSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCN(CC)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000858748099 613106766 /nfs/dbraw/zinc/10/67/66/613106766.db2.gz NVZWZZUCXIUUNE-UHFFFAOYSA-N 0 1 281.337 0.548 20 30 CCEDMN COc1cc(NC(=O)NCC#CCN(C)C)n(C)n1 ZINC000932430365 617202769 /nfs/dbraw/zinc/20/27/69/617202769.db2.gz IYECTTPSLVYVLM-UHFFFAOYSA-N 0 1 265.317 0.115 20 30 CCEDMN CSC1([C@H](C#N)N(C)C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC000876456078 617205732 /nfs/dbraw/zinc/20/57/32/617205732.db2.gz DRYAXCZDUGSXIW-HLTSFMKQSA-N 0 1 269.326 0.898 20 30 CCEDMN CC(C)(C)OC[C@@H]1CCCN1CC(=O)NCC#N ZINC000932172914 614013625 /nfs/dbraw/zinc/01/36/25/614013625.db2.gz YHXBRRMTHRZUPN-NSHDSACASA-N 0 1 253.346 0.906 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC000806917086 612980579 /nfs/dbraw/zinc/98/05/79/612980579.db2.gz YKKQDOTZAVJSME-NWDGAFQWSA-N 0 1 277.368 0.257 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@H]1CNC(=O)c1cnn[nH]1 ZINC000937466411 617288829 /nfs/dbraw/zinc/28/88/29/617288829.db2.gz CSAFLPFMJKEZGZ-UWVGGRQHSA-N 0 1 290.327 0.075 20 30 CCEDMN CSc1nsc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000841144162 617286590 /nfs/dbraw/zinc/28/65/90/617286590.db2.gz YBAJCFNQIMSONJ-NTSWFWBYSA-N 0 1 284.370 0.493 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC000939395055 617291011 /nfs/dbraw/zinc/29/10/11/617291011.db2.gz CABJHZLIMBXDIT-VHSXEESVSA-N 0 1 275.312 0.242 20 30 CCEDMN C#CC1(O)CN(C(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC000890527977 612958549 /nfs/dbraw/zinc/95/85/49/612958549.db2.gz SVSTUHHHPBVDAZ-JOYOIKCWSA-N 0 1 259.309 0.282 20 30 CCEDMN C#CC1(O)CN(C(=O)c2cc(F)cc(F)c2O)C1 ZINC000890528484 612959144 /nfs/dbraw/zinc/95/91/44/612959144.db2.gz LWSVQEIFQLELED-UHFFFAOYSA-N 0 1 253.204 0.491 20 30 CCEDMN C#CC1CCN(CC(=O)N2CCOC[C@@H]2C2CC2)CC1 ZINC000830345605 612964345 /nfs/dbraw/zinc/96/43/45/612964345.db2.gz KDLWHUBCFTWWJF-OAHLLOKOSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CC1CCN([C@H](C)C(=O)NC(=O)NC2CC2)CC1 ZINC000830311356 612965203 /nfs/dbraw/zinc/96/52/03/612965203.db2.gz LJCFDDAUZSYYJT-SNVBAGLBSA-N 0 1 263.341 0.708 20 30 CCEDMN C#CCC1(NCc2ccc(S(N)(=O)=O)o2)CCC1 ZINC000922998595 612970697 /nfs/dbraw/zinc/97/06/97/612970697.db2.gz KAVBOIWWXKLNHE-UHFFFAOYSA-N 0 1 268.338 0.963 20 30 CCEDMN C#CCC1(O)CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000880479420 612974212 /nfs/dbraw/zinc/97/42/12/612974212.db2.gz UFUAEWOIIBRMGO-ZDUSSCGKSA-N 0 1 277.368 0.597 20 30 CCEDMN C#CCCCC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000840505589 612974814 /nfs/dbraw/zinc/97/48/14/612974814.db2.gz YVSAXNBAPQFJLG-GFCCVEGCSA-N 0 1 266.341 0.353 20 30 CCEDMN C#CCOCCC(=O)N1CCN([C@H](C)CC)CC1 ZINC000837005694 612978301 /nfs/dbraw/zinc/97/83/01/612978301.db2.gz SHOVMFSQYBXRQO-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCOCCN1CCN(C[C@H]2CCCO2)CC1 ZINC000851714869 612983332 /nfs/dbraw/zinc/98/33/32/612983332.db2.gz VSAJBSSDFJZILA-CQSZACIVSA-N 0 1 252.358 0.433 20 30 CCEDMN C#CCCCS(=O)(=O)N1CCN[C@H](C(C)C)C1 ZINC000851899026 612983548 /nfs/dbraw/zinc/98/35/48/612983548.db2.gz ZMVIYBSLCJCEKL-LBPRGKRZSA-N 0 1 258.387 0.659 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC)C[C@H]1CC ZINC000836950428 612994809 /nfs/dbraw/zinc/99/48/09/612994809.db2.gz YQKGFEPQKPQNNP-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(C)C[C@H]1C(C)C ZINC000825981016 612995055 /nfs/dbraw/zinc/99/50/55/612995055.db2.gz NYQUNJZTJNGSAJ-STQMWFEESA-N 0 1 252.358 0.823 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCc1cc(N(C)C)ccn1 ZINC000927697480 613001791 /nfs/dbraw/zinc/00/17/91/613001791.db2.gz MZXVGAXWIJQEFP-LLVKDONJSA-N 0 1 261.325 0.802 20 30 CCEDMN C#CCCN1CCCN(C(=O)C(C)(C)C(N)=O)CC1 ZINC000981383102 613002244 /nfs/dbraw/zinc/00/22/44/613002244.db2.gz HNCSVEXIPCHRAC-UHFFFAOYSA-N 0 1 265.357 0.056 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cn(CC)nn2)CC1 ZINC000983048545 613006371 /nfs/dbraw/zinc/00/63/71/613006371.db2.gz CTFOUCSRKXRTCG-UHFFFAOYSA-N 0 1 275.356 0.469 20 30 CCEDMN C#CCOc1ccccc1CN1CC[C@@H](O)[C@H](CO)C1 ZINC000840975449 613007866 /nfs/dbraw/zinc/00/78/66/613007866.db2.gz NVIKSFGNMCVUQX-LSDHHAIUSA-N 0 1 275.348 0.874 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2cnn(C)n2)C1 ZINC000968418723 613016007 /nfs/dbraw/zinc/01/60/07/613016007.db2.gz NBHHBGVHCZEKEG-DGCLKSJQSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2nonc2C)C1 ZINC000968699447 613016727 /nfs/dbraw/zinc/01/67/27/613016727.db2.gz XENOKFFZRHEBSP-ZYHUDNBSSA-N 0 1 276.340 0.842 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2cnccn2)C1 ZINC000964774743 613024837 /nfs/dbraw/zinc/02/48/37/613024837.db2.gz QWZIQFCYRCSOMR-GXTWGEPZSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000858304656 613035949 /nfs/dbraw/zinc/03/59/49/613035949.db2.gz FFSUFJUFYQXFJB-JQWIXIFHSA-N 0 1 278.356 0.987 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)c1cncc2nc[nH]c21 ZINC000899152157 613037721 /nfs/dbraw/zinc/03/77/21/613037721.db2.gz PUSSAFXPIVPKNB-VIFPVBQESA-N 0 1 258.281 0.726 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)c2cnon2)C(C)(C)C1 ZINC000977389509 613039048 /nfs/dbraw/zinc/03/90/48/613039048.db2.gz ZAJDNPJBZKHCLD-NSHDSACASA-N 0 1 262.313 0.533 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)C(=O)N(C)Cc2cnc[nH]2)C1 ZINC000850683781 613043400 /nfs/dbraw/zinc/04/34/00/613043400.db2.gz XETOFYRFFLHFFT-GFCCVEGCSA-N 0 1 288.351 0.630 20 30 CCEDMN C#CC[C@@H]1NC(=O)N(Cc2n[nH]c(C3CC3)n2)C1=O ZINC000927722614 613048539 /nfs/dbraw/zinc/04/85/39/613048539.db2.gz SZIVTGKRNIWALO-QMMMGPOBSA-N 0 1 259.269 0.126 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@@H]1CCCCN1CC ZINC000808291460 613054339 /nfs/dbraw/zinc/05/43/39/613054339.db2.gz MTVIFRRDVMTCBM-LBPRGKRZSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)CN1CCC(C)CC1 ZINC000799077694 613055938 /nfs/dbraw/zinc/05/59/38/613055938.db2.gz NZYGAGCLDPMHAT-CYBMUJFWSA-N 0 1 252.358 0.609 20 30 CCEDMN C#CC[C@H](CO)NCc1c2c(nn1C)CCSC2 ZINC000883125509 613058559 /nfs/dbraw/zinc/05/85/59/613058559.db2.gz KYUQRSPSJSWKGV-SNVBAGLBSA-N 0 1 265.382 0.683 20 30 CCEDMN C#CC[C@H](COC)NCc1ccc(C(=O)N(C)C)[nH]1 ZINC000858335462 613066337 /nfs/dbraw/zinc/06/63/37/613066337.db2.gz VNSQJJNWKJENMR-GFCCVEGCSA-N 0 1 263.341 0.844 20 30 CCEDMN C#CCN(C(=O)NCc1nc(CSC)n[nH]1)C1CC1 ZINC000891088348 613067628 /nfs/dbraw/zinc/06/76/28/613067628.db2.gz WMALJJVCYVDKAI-UHFFFAOYSA-N 0 1 279.369 0.975 20 30 CCEDMN C#CCN(C(=O)NCc1nnc(CSC)[nH]1)C1CC1 ZINC000891088348 613067629 /nfs/dbraw/zinc/06/76/29/613067629.db2.gz WMALJJVCYVDKAI-UHFFFAOYSA-N 0 1 279.369 0.975 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)Cc1ccc(C(=O)[O-])cc1 ZINC000911404724 613073330 /nfs/dbraw/zinc/07/33/30/613073330.db2.gz FZWQSFZUSJQNSJ-UHFFFAOYSA-N 0 1 288.347 0.999 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H](C/C=C/C)C(=O)[O-] ZINC000909038230 613075883 /nfs/dbraw/zinc/07/58/83/613075883.db2.gz VENKSKPDOCMYGN-FUEXJSSKSA-N 0 1 281.356 0.660 20 30 CCEDMN C#CCn1cc(CNC(=O)CN(C)[C@H]2CCSC2)cn1 ZINC000834611672 613076722 /nfs/dbraw/zinc/07/67/22/613076722.db2.gz BXFGEZBSSATNDB-ZDUSSCGKSA-N 0 1 292.408 0.570 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cccc(CC(=O)[O-])c1 ZINC000911404570 613077867 /nfs/dbraw/zinc/07/78/67/613077867.db2.gz ABKWMMZXPAMZQY-UHFFFAOYSA-N 0 1 288.347 0.999 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000820429250 613080793 /nfs/dbraw/zinc/08/07/93/613080793.db2.gz WDXHFRMYAZWRJU-CHWSQXEVSA-N 0 1 265.357 0.372 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cnn(C)c2)C1 ZINC000971168293 613081731 /nfs/dbraw/zinc/08/17/31/613081731.db2.gz CTRAQTPDRJOGTC-CYBMUJFWSA-N 0 1 260.341 0.129 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC000972704141 613082791 /nfs/dbraw/zinc/08/27/91/613082791.db2.gz NXGPFWRCIQKWEQ-OLZOCXBDSA-N 0 1 292.383 0.086 20 30 CCEDMN C#C[C@@H](CC)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000849390313 613084708 /nfs/dbraw/zinc/08/47/08/613084708.db2.gz ZVDCMSZMHLKXDF-QMMMGPOBSA-N 0 1 269.282 0.680 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000820665828 613085204 /nfs/dbraw/zinc/08/52/04/613085204.db2.gz CGAFNIDUXLIYLV-OLZOCXBDSA-N 0 1 295.383 0.535 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc3c(cnn3C)c2)C1 ZINC000972613731 613087559 /nfs/dbraw/zinc/08/75/59/613087559.db2.gz XGEGGPQYOHAAES-CQSZACIVSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn(CCOC)c2)C1 ZINC000980285369 613087712 /nfs/dbraw/zinc/08/77/12/613087712.db2.gz KUKLTOYZDKTNIU-CQSZACIVSA-N 0 1 290.367 0.309 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nccc(C)n2)C1 ZINC000971436105 613088497 /nfs/dbraw/zinc/08/84/97/613088497.db2.gz NBOUAFIRCCDLIR-GFCCVEGCSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cn2cccc(C)c2=O)C1 ZINC000971531766 613090735 /nfs/dbraw/zinc/09/07/35/613090735.db2.gz KTIYIHRRRWWWSB-AWEZNQCLSA-N 0 1 287.363 0.323 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2ncccc2OC)C1 ZINC000972178797 613090781 /nfs/dbraw/zinc/09/07/81/613090781.db2.gz FZGFBIUNLMBHLD-ZDUSSCGKSA-N 0 1 287.363 0.799 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC000971893771 613091890 /nfs/dbraw/zinc/09/18/90/613091890.db2.gz SNQRXNXSQMURDB-CABCVRRESA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)N2CCCCC2=O)C1 ZINC000980468161 613092446 /nfs/dbraw/zinc/09/24/46/613092446.db2.gz VDIQETTUJDYTBW-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc3[nH]c(=O)[nH]c3n2)C1 ZINC000972183964 613095185 /nfs/dbraw/zinc/09/51/85/613095185.db2.gz UJQAZZUTHPVQNS-JTQLQIEISA-N 0 1 299.334 0.443 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(C)nc2OC)C1 ZINC000972071233 613096170 /nfs/dbraw/zinc/09/61/70/613096170.db2.gz WAKNYRGYHZYXAB-NSHDSACASA-N 0 1 276.340 0.208 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn3ccc(C)nc23)C1 ZINC000972749623 613096794 /nfs/dbraw/zinc/09/67/94/613096794.db2.gz WKPJATQOWKMCLM-ZDUSSCGKSA-N 0 1 297.362 0.817 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2CCC3(CC2)OCCO3)C1 ZINC000847029013 613105895 /nfs/dbraw/zinc/10/58/95/613105895.db2.gz JYLBHIGCLRZDCS-CQSZACIVSA-N 0 1 292.379 0.697 20 30 CCEDMN C#CCN(CC)c1nnc([C@@H]2CN3CCC[C@@H]3CO2)n1C ZINC000828243375 613107183 /nfs/dbraw/zinc/10/71/83/613107183.db2.gz RIYWROKICPFXNC-OLZOCXBDSA-N 0 1 289.383 0.810 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)N[C@H]1CCCN(C)C1 ZINC000837785743 613110484 /nfs/dbraw/zinc/11/04/84/613110484.db2.gz ZSFKVKJIPPZOTJ-NWDGAFQWSA-N 0 1 251.330 0.124 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000857869303 613111149 /nfs/dbraw/zinc/11/11/49/613111149.db2.gz MMXYOZJLGBQBRV-RTXFEEFZSA-N 0 1 273.336 0.936 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000834552615 613114138 /nfs/dbraw/zinc/11/41/38/613114138.db2.gz QOVGCNVTSAUWNT-QMMMGPOBSA-N 0 1 282.321 0.133 20 30 CCEDMN C#C[C@H](C)N(C)S(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000915033655 613114470 /nfs/dbraw/zinc/11/44/70/613114470.db2.gz YWXRUIQXZLOTIY-STQMWFEESA-N 0 1 270.398 0.756 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000820435070 613116027 /nfs/dbraw/zinc/11/60/27/613116027.db2.gz DUNJDPRLKYUSCE-RWMBFGLXSA-N 0 1 265.357 0.249 20 30 CCEDMN C#CC[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCC[N@H+]2C(C)C)C1 ZINC000969660013 613117362 /nfs/dbraw/zinc/11/73/62/613117362.db2.gz MFTLDRJSDSAGNF-UKRRQHHQSA-N 0 1 277.412 0.929 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C2(CO)CC2)CC1 ZINC000808127436 613127960 /nfs/dbraw/zinc/12/79/60/613127960.db2.gz FJIDLVPFGBODJS-UHFFFAOYSA-N 0 1 250.342 0.315 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@H](CCF)C2)CC1 ZINC000863078067 613129014 /nfs/dbraw/zinc/12/90/14/613129014.db2.gz PCFXKOIKCUHTNH-AWEZNQCLSA-N 0 1 282.359 0.919 20 30 CCEDMN C#CCN1CCC(C(=O)OCCOCCOCC)CC1 ZINC000922446538 613131887 /nfs/dbraw/zinc/13/18/87/613131887.db2.gz TVPNSCCEHYQGDH-UHFFFAOYSA-N 0 1 283.368 0.928 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)OC(C)(C)C)CC1 ZINC000902946480 613132263 /nfs/dbraw/zinc/13/22/63/613132263.db2.gz YVGPTJDPOIGSBR-UHFFFAOYSA-N 0 1 266.341 0.542 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C2\SCC(=O)N2C)CC1 ZINC000928649310 613132305 /nfs/dbraw/zinc/13/23/05/613132305.db2.gz YWNQZFRNPBENHV-ZROIWOOFSA-N 0 1 293.392 0.247 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCNC(=O)C2CC2)CC1 ZINC000928657235 613132950 /nfs/dbraw/zinc/13/29/50/613132950.db2.gz SRTDNFLLAQYHRT-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCC(NC(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000921437487 613133411 /nfs/dbraw/zinc/13/34/11/613133411.db2.gz YOYSICLTXYQXGX-BETUJISGSA-N 0 1 279.384 0.903 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC2(C)COC2)CC1 ZINC000918411136 613134029 /nfs/dbraw/zinc/13/40/29/613134029.db2.gz HLJNVENWUSQYMA-UHFFFAOYSA-N 0 1 265.357 0.420 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@]2(C)CCC(=O)N2)CC1 ZINC000922813913 613134338 /nfs/dbraw/zinc/13/43/38/613134338.db2.gz YSEWGTOEAAUUHZ-HNNXBMFYSA-N 0 1 292.383 0.052 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2nonc2C)CC1 ZINC000923986055 613134481 /nfs/dbraw/zinc/13/44/81/613134481.db2.gz KOKXUJNYQLZRMU-UHFFFAOYSA-N 0 1 277.328 0.275 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)Cn2cncn2)CC1 ZINC000915705047 613135026 /nfs/dbraw/zinc/13/50/26/613135026.db2.gz VETHDCCRXPSYIX-LBPRGKRZSA-N 0 1 290.371 0.063 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ccc(=O)n(C)c2)CC1 ZINC000902940246 613135383 /nfs/dbraw/zinc/13/53/83/613135383.db2.gz OHHSKUSGOSUVEX-UHFFFAOYSA-N 0 1 288.351 0.604 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CO[C@@H](C3CC3)C2)CC1 ZINC000923463735 613135431 /nfs/dbraw/zinc/13/54/31/613135431.db2.gz SBSHCQADZLHFOJ-HUUCEWRRSA-N 0 1 291.395 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCOC[C@H]2C)CC1 ZINC000928652737 613136022 /nfs/dbraw/zinc/13/60/22/613136022.db2.gz KKWNCBRNCHNJEQ-TZMCWYRMSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1CCC(NC(=O)c2[nH]c(=O)[nH]c2C)CC1 ZINC000928649411 613137194 /nfs/dbraw/zinc/13/71/94/613137194.db2.gz KEILHHGXKRVEFP-UHFFFAOYSA-N 0 1 262.313 0.251 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn3cccnc3n2)CC1 ZINC000928657535 613137512 /nfs/dbraw/zinc/13/75/12/613137512.db2.gz MSUDJKSRJFLNEJ-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cncc(Cl)n2)CC1 ZINC000900666228 613137774 /nfs/dbraw/zinc/13/77/74/613137774.db2.gz FYMJNHYTGMHOAQ-UHFFFAOYSA-N 0 1 278.743 0.957 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cn3nccc3C)C2)C1 ZINC000982044540 613141586 /nfs/dbraw/zinc/14/15/86/613141586.db2.gz SEMSYKDVGJQOQS-UHFFFAOYSA-N 0 1 286.379 0.749 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1COC(=O)N1 ZINC000968847031 613148579 /nfs/dbraw/zinc/14/85/79/613148579.db2.gz SLVPDXGJQKBCAO-RYUDHWBXSA-N 0 1 279.340 0.089 20 30 CCEDMN C#C[C@H](NS(=O)(=O)CCN(CC)CC)[C@@H]1CCCO1 ZINC000810065303 613151418 /nfs/dbraw/zinc/15/14/18/613151418.db2.gz QZKHCKGYNICHFX-STQMWFEESA-N 0 1 288.413 0.428 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)NCCCC(=O)OCC)C1 ZINC000847031743 613158839 /nfs/dbraw/zinc/15/88/39/613158839.db2.gz UOWSWUVUEPEUDT-ZDUSSCGKSA-N 0 1 280.368 0.791 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1cc(C(F)F)[nH]n1 ZINC000880277881 613162216 /nfs/dbraw/zinc/16/22/16/613162216.db2.gz XXGVUBQDXYWDOS-ZETCQYMHSA-N 0 1 255.224 0.822 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2ccnc(C(=O)N(C)C)c2)C1 ZINC000884612257 613164866 /nfs/dbraw/zinc/16/48/66/613164866.db2.gz IIAXOOOWNDUTOQ-CQSZACIVSA-N 0 1 286.379 0.715 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H](OC)[C@H]1CCOC1 ZINC000850826306 613176350 /nfs/dbraw/zinc/17/63/50/613176350.db2.gz LWHBCUCNJMJCHW-MJBXVCDLSA-N 0 1 281.352 0.679 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)C2CN(CCOC)C2)cn1 ZINC000970753501 613183335 /nfs/dbraw/zinc/18/33/35/613183335.db2.gz KBCRCQKPFCEBOL-LBPRGKRZSA-N 0 1 287.363 0.759 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N1CCSC[C@H](C(=O)[O-])C1 ZINC000910150563 613196360 /nfs/dbraw/zinc/19/63/60/613196360.db2.gz TWUSRDYPDCKIMM-NEPJUHHUSA-N 0 1 296.392 0.360 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCSC[C@H](C(=O)[O-])C1 ZINC000910150563 613196361 /nfs/dbraw/zinc/19/63/61/613196361.db2.gz TWUSRDYPDCKIMM-NEPJUHHUSA-N 0 1 296.392 0.360 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)[N-]OC1CC[NH+](C)CC1 ZINC000846646267 613200348 /nfs/dbraw/zinc/20/03/48/613200348.db2.gz BMKJEPPMTBEQAC-ZDUSSCGKSA-N 0 1 265.357 0.226 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CCCC)C(=O)[O-] ZINC000909505027 613200916 /nfs/dbraw/zinc/20/09/16/613200916.db2.gz FAMZORJRTYFWHK-RYUDHWBXSA-N 0 1 266.341 0.844 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CCCC)C(=O)[O-] ZINC000909505027 613200917 /nfs/dbraw/zinc/20/09/17/613200917.db2.gz FAMZORJRTYFWHK-RYUDHWBXSA-N 0 1 266.341 0.844 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CC1CC1)C(=O)[O-] ZINC000910378824 613201914 /nfs/dbraw/zinc/20/19/14/613201914.db2.gz BVGGQWVAFSFJBK-NEPJUHHUSA-N 0 1 264.325 0.454 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CC1CC1)C(=O)[O-] ZINC000910378824 613201915 /nfs/dbraw/zinc/20/19/15/613201915.db2.gz BVGGQWVAFSFJBK-NEPJUHHUSA-N 0 1 264.325 0.454 20 30 CCEDMN C#Cc1cccc(NC(=O)NCCN2CC[C@H](O)C2)c1 ZINC000860377588 613204497 /nfs/dbraw/zinc/20/44/97/613204497.db2.gz RQUXVXHEZAJFTB-AWEZNQCLSA-N 0 1 273.336 0.856 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968626608 613213934 /nfs/dbraw/zinc/21/39/34/613213934.db2.gz BLLGMSPOLCQLSJ-KGLIPLIRSA-N 0 1 287.363 0.308 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230922 613216669 /nfs/dbraw/zinc/21/66/69/613216669.db2.gz HKMSVJQWSUBLKH-MNOVXSKESA-N 0 1 276.340 0.199 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@H]2CCCCN2CCO)c1 ZINC000833553514 613217774 /nfs/dbraw/zinc/21/77/74/613217774.db2.gz VOKDXOVUCDILDO-OAHLLOKOSA-N 0 1 287.363 0.640 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@H]2CN3CCN2CCC3)c1 ZINC000854353435 613218217 /nfs/dbraw/zinc/21/82/17/613218217.db2.gz ZBAQHTVHCCSSKY-HNNXBMFYSA-N 0 1 284.363 0.183 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@H]2CN(CC#CC)C[C@H]2O)c1 ZINC000958391027 613218514 /nfs/dbraw/zinc/21/85/14/613218514.db2.gz WTSNBNNVRJNCRJ-JKSUJKDBSA-N 0 1 297.358 0.109 20 30 CCEDMN COc1ccnc(C=NNCCN2CCCCC2)n1 ZINC000842524823 617324963 /nfs/dbraw/zinc/32/49/63/617324963.db2.gz WLYHLPQEGLRXEV-UHFFFAOYSA-N 0 1 263.345 0.895 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@]2(C)CCNC2=O)C(C)(C)C1 ZINC000941029387 613229756 /nfs/dbraw/zinc/22/97/56/613229756.db2.gz UQNIBXTYXSIRHV-WBMJQRKESA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2c[nH]nc2C)[C@@H](C)C1 ZINC000942546201 613231254 /nfs/dbraw/zinc/23/12/54/613231254.db2.gz RHLQGLSJDULLMZ-GXFFZTMASA-N 0 1 260.341 0.792 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3n[nH]nc3n2)[C@@H](C)C1 ZINC000942106632 613236403 /nfs/dbraw/zinc/23/64/03/613236403.db2.gz PQMUUBUNTKNRGX-WDEREUQCSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3nnnn3c2)[C@H](C)C1 ZINC000942468747 613236411 /nfs/dbraw/zinc/23/64/11/613236411.db2.gz ZYRAFUOFTUCAHS-DGCLKSJQSA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CCC)nn2)C[C@H]1C ZINC000947871637 613240753 /nfs/dbraw/zinc/24/07/53/613240753.db2.gz AGMGANVEJAREFV-CHWSQXEVSA-N 0 1 289.383 0.904 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2OC)[C@@H](C)C1 ZINC000944348271 613241984 /nfs/dbraw/zinc/24/19/84/613241984.db2.gz UKMCGRWKPVVHIK-WCQYABFASA-N 0 1 290.367 0.502 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(CC)n2)C[C@H]1C ZINC000947287573 613242051 /nfs/dbraw/zinc/24/20/51/613242051.db2.gz XILBHDJFYLWHJP-VXGBXAGGSA-N 0 1 275.356 0.514 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2conc2COC)[C@@H](C)C1 ZINC000944135578 613243366 /nfs/dbraw/zinc/24/33/66/613243366.db2.gz NMJFZURJIDWUGM-WCQYABFASA-N 0 1 291.351 0.894 20 30 CCEDMN COc1ccnc(OC)c1NC(=O)NCC#CCN(C)C ZINC000932419884 617326936 /nfs/dbraw/zinc/32/69/36/617326936.db2.gz UXODILVGZHMEEH-UHFFFAOYSA-N 0 1 292.339 0.785 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000957561951 613261628 /nfs/dbraw/zinc/26/16/28/613261628.db2.gz PHQZVKVSIKSBOT-UHFFFAOYSA-N 0 1 290.367 0.504 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn[nH]2)C(C)(C)C1 ZINC000940591985 613267657 /nfs/dbraw/zinc/26/76/57/613267657.db2.gz YGQMCRCZJVHNIU-LBPRGKRZSA-N 0 1 260.341 0.873 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)n2)C(C)(C)C1 ZINC000941096460 613275843 /nfs/dbraw/zinc/27/58/43/613275843.db2.gz HVLVGLAQLCPAAE-LBPRGKRZSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2coc(COC)n2)[C@H](C)C1 ZINC000943797036 613276543 /nfs/dbraw/zinc/27/65/43/613276543.db2.gz GORRRWOCTILILX-NEPJUHHUSA-N 0 1 291.351 0.894 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2n[nH]c3c2CCC3)[C@@H](C)C1 ZINC000942217347 613277103 /nfs/dbraw/zinc/27/71/03/613277103.db2.gz AGLDDNDJZJQZJU-AAEUAGOBSA-N 0 1 286.379 0.972 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccnc2N)C(C)(C)C1 ZINC000940634107 613277953 /nfs/dbraw/zinc/27/79/53/613277953.db2.gz GYNYNYJHANYFJG-NSHDSACASA-N 0 1 287.367 0.522 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nonc2C)C[C@H]1C ZINC000948093893 613278655 /nfs/dbraw/zinc/27/86/55/613278655.db2.gz BPKORTJEUXEZNP-KOLCDFICSA-N 0 1 262.313 0.594 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CC(C)(C)C2)C1 ZINC000958042231 613285779 /nfs/dbraw/zinc/28/57/79/613285779.db2.gz RKUWTTLZPGVACY-QWHCGFSZSA-N 0 1 264.369 0.465 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cnn(C(C)C)c2)C1 ZINC000958583339 613287118 /nfs/dbraw/zinc/28/71/18/613287118.db2.gz HALUPWOFQXOLKU-GXTWGEPZSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c[nH]nc2C(C)C)[C@H](O)C1 ZINC000958202318 613288570 /nfs/dbraw/zinc/28/85/70/613288570.db2.gz CTFGCVBAWVPUCD-DGCLKSJQSA-N 0 1 290.367 0.189 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C)o2)[C@H](O)C1 ZINC000957852320 613289086 /nfs/dbraw/zinc/28/90/86/613289086.db2.gz VTIZGWKQXAVZKY-VXGBXAGGSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2coc(C)c2)[C@H](O)C1 ZINC000958011156 613290124 /nfs/dbraw/zinc/29/01/24/613290124.db2.gz UEBYIPTYRGUILJ-CHWSQXEVSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(C)coc2C)C1 ZINC000958041232 613294238 /nfs/dbraw/zinc/29/42/38/613294238.db2.gz KGBCIYGZBXZBIE-STQMWFEESA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2C)C1 ZINC000957943633 613296117 /nfs/dbraw/zinc/29/61/17/613296117.db2.gz KDGJLXQBFWIQHL-STQMWFEESA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2conc2C2CC2)C1 ZINC000958385099 613296295 /nfs/dbraw/zinc/29/62/95/613296295.db2.gz NMSLTPIQBJJJQM-AAEUAGOBSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2ccc(=O)[nH]n2)C(C)(C)C1 ZINC000972772521 613300021 /nfs/dbraw/zinc/30/00/21/613300021.db2.gz YPWFEWYWEUCZLK-NSHDSACASA-N 0 1 274.324 0.256 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cn[nH]c(=O)c2)C(C)(C)C1 ZINC000974685583 613301062 /nfs/dbraw/zinc/30/10/62/613301062.db2.gz IESQGZRZZVLZHH-NSHDSACASA-N 0 1 274.324 0.256 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2csc(=O)[nH]2)C(C)(C)C1 ZINC000974944883 613301962 /nfs/dbraw/zinc/30/19/62/613301962.db2.gz JYWQODCZNLLKFY-JTQLQIEISA-N 0 1 279.365 0.922 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)cc(F)c2)C1 ZINC000958423327 613302344 /nfs/dbraw/zinc/30/23/44/613302344.db2.gz MORXEITUKYNMOT-HIFRSBDPSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(CC)o2)C1 ZINC000958187422 613302842 /nfs/dbraw/zinc/30/28/42/613302842.db2.gz QCCWZSZJRJLETK-YPMHNXCESA-N 0 1 276.336 0.498 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cc(CC)nn1C ZINC000961317513 613314183 /nfs/dbraw/zinc/31/41/83/613314183.db2.gz OVFCEKSMIXCILE-FOLVSLTJSA-N 0 1 286.379 0.205 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)N1CCCCC1=O ZINC000962758962 613316199 /nfs/dbraw/zinc/31/61/99/613316199.db2.gz YVZPFADNBJHSJA-RMRHIDDWSA-N 0 1 289.379 0.067 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2oc(=O)[nH]c2c1 ZINC000960403917 613319821 /nfs/dbraw/zinc/31/98/21/613319821.db2.gz PFOSRFYRAFSINZ-YABSGUDNSA-N 0 1 297.314 0.827 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC000960544219 613320740 /nfs/dbraw/zinc/32/07/40/613320740.db2.gz AXKZUMIXIULCEH-FOCJUVANSA-N 0 1 298.390 0.759 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(CC)nc1C ZINC000961920015 613321016 /nfs/dbraw/zinc/32/10/16/613321016.db2.gz XSSSOWJFIWXZEC-WDNDVIMCSA-N 0 1 272.352 0.505 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(CC)c1 ZINC000958422254 613321247 /nfs/dbraw/zinc/32/12/47/613321247.db2.gz ABDQDAPSCIGMGF-ITGUQSILSA-N 0 1 258.325 0.196 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncnc1CC ZINC000962603949 613321532 /nfs/dbraw/zinc/32/15/32/613321532.db2.gz HOYQDRUUQPMOHS-IMRBUKKESA-N 0 1 270.336 0.332 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(C(F)(F)F)n1 ZINC000960816461 613321596 /nfs/dbraw/zinc/32/15/96/613321596.db2.gz PRDCUFAXXBBVQQ-MBTKJCJQSA-N 0 1 299.252 0.987 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2ccccc2n1 ZINC000960292363 613321599 /nfs/dbraw/zinc/32/15/99/613321599.db2.gz WDHHSHGGKDYZEJ-ATCWAGBWSA-N 0 1 292.342 0.923 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncn2ccc(C)cc12 ZINC000960680112 613322040 /nfs/dbraw/zinc/32/20/40/613322040.db2.gz JNKFNBYHHFYEOP-NHAGDIPZSA-N 0 1 294.358 0.936 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](CCO)c1ccccc1F ZINC000830356189 613327047 /nfs/dbraw/zinc/32/70/47/613327047.db2.gz VVOYXRCHFSGUQY-AWEZNQCLSA-N 0 1 278.327 0.978 20 30 CCEDMN C#CCNC(=O)CN1CCC(CO)(c2ccccc2)CC1 ZINC000814646184 613328558 /nfs/dbraw/zinc/32/85/58/613328558.db2.gz CTSGLCAUYYSVSM-UHFFFAOYSA-N 0 1 286.375 0.762 20 30 CCEDMN C#CCNC(=O)C[N@H+]1CCN(C(=O)[O-])CC12CCCCC2 ZINC000823456686 613329072 /nfs/dbraw/zinc/32/90/72/613329072.db2.gz PDLYQAGOQZPJDZ-UHFFFAOYSA-N 0 1 293.367 0.734 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCN(C(=O)[O-])CC12CCCCC2 ZINC000823456686 613329074 /nfs/dbraw/zinc/32/90/74/613329074.db2.gz PDLYQAGOQZPJDZ-UHFFFAOYSA-N 0 1 293.367 0.734 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCC=C(C)C)CC1 ZINC000851750356 613329624 /nfs/dbraw/zinc/32/96/24/613329624.db2.gz DQCIXXZGTNVHLT-UHFFFAOYSA-N 0 1 263.385 0.710 20 30 CCEDMN C#CCNC(=O)CN[C@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000879376647 613331096 /nfs/dbraw/zinc/33/10/96/613331096.db2.gz HSMHEQSYZXIDQG-SECBINFHSA-N 0 1 273.292 0.933 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)C1CN([C@H]2CCOC2)C1)C(=O)OC ZINC000899165209 613355795 /nfs/dbraw/zinc/35/57/95/613355795.db2.gz UZEIUNWIGVXOEA-QWHCGFSZSA-N 0 1 296.367 0.331 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(C[C@@H]2CC[N@@H+]2CC)C1=O ZINC000925098837 613356823 /nfs/dbraw/zinc/35/68/23/613356823.db2.gz WAZJLHKOGQUVHK-QWRGUYRKSA-N 0 1 251.330 0.967 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(C[C@@H]2CCN2CC)C1=O ZINC000925098837 613356824 /nfs/dbraw/zinc/35/68/24/613356824.db2.gz WAZJLHKOGQUVHK-QWRGUYRKSA-N 0 1 251.330 0.967 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)NCCCCN1CCOCC1 ZINC000883122135 613357361 /nfs/dbraw/zinc/35/73/61/613357361.db2.gz CFFXDMRBCKBVGZ-CQSZACIVSA-N 0 1 299.415 0.725 20 30 CCEDMN C=C(C)C[C@H](NC(=O)C1CN([C@@H]2CCOC2)C1)C(=O)OC ZINC000899165208 613358564 /nfs/dbraw/zinc/35/85/64/613358564.db2.gz UZEIUNWIGVXOEA-OLZOCXBDSA-N 0 1 296.367 0.331 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1CC[N@H+]2CC[C@H]1C2 ZINC000615575870 613359300 /nfs/dbraw/zinc/35/93/00/613359300.db2.gz XUGLBFGSVYAZMF-STQMWFEESA-N 0 1 265.357 0.374 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1CCN2CC[C@H]1C2 ZINC000615575870 613359301 /nfs/dbraw/zinc/35/93/01/613359301.db2.gz XUGLBFGSVYAZMF-STQMWFEESA-N 0 1 265.357 0.374 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCCN(CCO)CC1 ZINC000982179209 613360877 /nfs/dbraw/zinc/36/08/77/613360877.db2.gz PNKJWUMQZJKSPN-KBPBESRZSA-N 0 1 282.384 0.494 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc(C)c1[O-] ZINC000958583622 613383271 /nfs/dbraw/zinc/38/32/71/613383271.db2.gz MKBISISISDCPEO-MYJAWHEDSA-N 0 1 296.758 0.836 20 30 CCEDMN C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc(C)c1[O-] ZINC000958583622 613383272 /nfs/dbraw/zinc/38/32/72/613383272.db2.gz MKBISISISDCPEO-MYJAWHEDSA-N 0 1 296.758 0.836 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cn(CC)nn2)C1 ZINC000969428934 613384863 /nfs/dbraw/zinc/38/48/63/613384863.db2.gz HILYIEVXFPLXMO-LLVKDONJSA-N 0 1 297.790 0.784 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCC(=O)N2C)C1 ZINC000969679736 613385166 /nfs/dbraw/zinc/38/51/66/613385166.db2.gz RIEMPOHZHRGSBF-GHMZBOCLSA-N 0 1 285.775 0.550 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC000970296802 613386012 /nfs/dbraw/zinc/38/60/12/613386012.db2.gz KVSQBMSBPRUJKJ-MRVPVSSYSA-N 0 1 255.709 0.361 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N1CCS(=O)(=O)C[C@H](C)C1 ZINC000876911213 613387717 /nfs/dbraw/zinc/38/77/17/613387717.db2.gz YEEADGTXUUETEZ-PSASIEDQSA-N 0 1 294.804 0.349 20 30 CCEDMN C=C(Cl)C[C@H]1NC(=O)N(Cc2n[nH]c(C)n2)C1=O ZINC000900148904 613391053 /nfs/dbraw/zinc/39/10/53/613391053.db2.gz KNDREOCLEVOUFW-SSDOTTSWSA-N 0 1 269.692 0.676 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)C1 ZINC000865595096 613393713 /nfs/dbraw/zinc/39/37/13/613393713.db2.gz AHYGWLMOZIAXQT-SECBINFHSA-N 0 1 263.301 0.456 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H](NC(=O)c3cnn[nH]3)C2)C1 ZINC000938240746 613394227 /nfs/dbraw/zinc/39/42/27/613394227.db2.gz PJMNVVJGPCEINU-JTQLQIEISA-N 0 1 289.339 0.492 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CC[C@](C)(C(=O)OC)C1 ZINC000878191960 613399191 /nfs/dbraw/zinc/39/91/91/613399191.db2.gz WQXVNRIAFIDPOP-GWCFXTLKSA-N 0 1 255.314 0.989 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC2(CC1)CN(CCO)CCO2 ZINC000949433209 613430076 /nfs/dbraw/zinc/43/00/76/613430076.db2.gz IORALQXVPQKYJV-UHFFFAOYSA-N 0 1 296.411 0.884 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC000942160142 613435896 /nfs/dbraw/zinc/43/58/96/613435896.db2.gz PXABBCOFMZBMPM-SECBINFHSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC000942160142 613435898 /nfs/dbraw/zinc/43/58/98/613435898.db2.gz PXABBCOFMZBMPM-SECBINFHSA-N 0 1 277.328 0.348 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913746126 613456550 /nfs/dbraw/zinc/45/65/50/613456550.db2.gz KUHROSXJHNWYRD-NEPJUHHUSA-N 0 1 291.355 0.887 20 30 CCEDMN C=CCCCCN1CCN2[C@@H](CNS2(=O)=O)C1 ZINC000933095441 613465849 /nfs/dbraw/zinc/46/58/49/613465849.db2.gz GXABXAUIAWCWGE-NSHDSACASA-N 0 1 259.375 0.177 20 30 CCEDMN C=CCCCN(CC)S(=O)(=O)C[C@H]1CNCCO1 ZINC000903583824 613466895 /nfs/dbraw/zinc/46/68/95/613466895.db2.gz GYCWZDVPJZHYII-GFCCVEGCSA-N 0 1 276.402 0.593 20 30 CCEDMN C=CCCC[C@H](C)NC(=O)Cn1cnc(-c2nn[nH]n2)n1 ZINC000823894367 613472701 /nfs/dbraw/zinc/47/27/01/613472701.db2.gz OJELXSGINOVWML-VIFPVBQESA-N 0 1 290.331 0.319 20 30 CCEDMN C=CCCN1CC(N(CC)C(=O)c2cn[nH]c(=O)c2)C1 ZINC000950570833 613480858 /nfs/dbraw/zinc/48/08/58/613480858.db2.gz CVEFEITYWCHSTA-UHFFFAOYSA-N 0 1 276.340 0.905 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)c3cc[nH]n3)CC2)C1 ZINC000941324217 613482241 /nfs/dbraw/zinc/48/22/41/613482241.db2.gz AKIAYNPWXXYPGL-UHFFFAOYSA-N 0 1 289.383 0.428 20 30 CCEDMN C=C[C@H](CO)NC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000925175839 613491527 /nfs/dbraw/zinc/49/15/27/613491527.db2.gz INNOIALYDKRKEU-GRYCIOLGSA-N 0 1 285.413 0.412 20 30 CCEDMN C=C[C@H](CO)NS(=O)(=O)c1occc1Br ZINC000917719335 613493047 /nfs/dbraw/zinc/49/30/47/613493047.db2.gz SKUAIKMKBOLLJY-ZCFIWIBFSA-N 0 1 296.142 0.867 20 30 CCEDMN C=C[C@H](O)C(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000928085054 613497498 /nfs/dbraw/zinc/49/74/98/613497498.db2.gz YLRUTXKJGIYUGX-AXFHLTTASA-N 0 1 256.371 0.229 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCN(C(=O)CC)C2)CC1 ZINC000957098107 613505770 /nfs/dbraw/zinc/50/57/70/613505770.db2.gz ZGFJNUNEHWFUNX-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCCN1CCN(C(=O)c2cnn3cc[nH]c23)CC1 ZINC000957462363 613512126 /nfs/dbraw/zinc/51/21/26/613512126.db2.gz PCKGURUJIKZXTI-UHFFFAOYSA-N 0 1 273.340 0.996 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000970649926 613532350 /nfs/dbraw/zinc/53/23/50/613532350.db2.gz QUGDCNFDZLGWOJ-SNVBAGLBSA-N 0 1 288.351 0.187 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cnc3cccnn32)C1 ZINC000970545587 613534993 /nfs/dbraw/zinc/53/49/93/613534993.db2.gz NLMIUTHXTKZVSD-GFCCVEGCSA-N 0 1 297.362 0.803 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)C3(C(N)=O)CC3)C2)C1 ZINC000981976440 613541314 /nfs/dbraw/zinc/54/13/14/613541314.db2.gz ULRCHHUOTALJLC-UHFFFAOYSA-N 0 1 289.379 0.200 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3CCOC3)C2)C1 ZINC000981661205 613541928 /nfs/dbraw/zinc/54/19/28/613541928.db2.gz WBHCDUHPHMNYPF-CQSZACIVSA-N 0 1 276.380 0.971 20 30 CCEDMN CC#CC[N@@H+]1CCCC2(CN(C(=O)Cc3nnc[n-]3)C2)C1 ZINC000982110361 613542237 /nfs/dbraw/zinc/54/22/37/613542237.db2.gz NEMQFTJOVJDGEE-UHFFFAOYSA-N 0 1 287.367 0.295 20 30 CCEDMN CC#CC[N@H+]1CCCC2(CN(C(=O)Cc3nnc[n-]3)C2)C1 ZINC000982110361 613542239 /nfs/dbraw/zinc/54/22/39/613542239.db2.gz NEMQFTJOVJDGEE-UHFFFAOYSA-N 0 1 287.367 0.295 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966043355 613571664 /nfs/dbraw/zinc/57/16/64/613571664.db2.gz CKZAPQWOYHQHLJ-YPMHNXCESA-N 0 1 289.383 0.506 20 30 CCEDMN C=CCCOCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-] ZINC000833374780 613572977 /nfs/dbraw/zinc/57/29/77/613572977.db2.gz DOTQINFCRLYEJK-JTQLQIEISA-N 0 1 267.285 0.114 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@H]2[C@H]1CCCN2CCO ZINC000908006021 613581128 /nfs/dbraw/zinc/58/11/28/613581128.db2.gz DPSKSZVEEGPHHP-QWHCGFSZSA-N 0 1 288.413 0.423 20 30 CCEDMN CC(=O)N1CCc2cc(C(=O)N[C@H]3CNC[C@H]3C#N)ccc21 ZINC000834511973 613588141 /nfs/dbraw/zinc/58/81/41/613588141.db2.gz QJHCMKIHVYBXBR-KGLIPLIRSA-N 0 1 298.346 0.437 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2n[nH]c(C)n2)CC1 ZINC000931628958 613591082 /nfs/dbraw/zinc/59/10/82/613591082.db2.gz FYOCFCDPGWNCGE-LBPRGKRZSA-N 0 1 265.361 0.562 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CCO[C@H]([C@@H](C)NC(=O)[O-])C1 ZINC000823496299 613591087 /nfs/dbraw/zinc/59/10/87/613591087.db2.gz HLYBNJNPARSGEK-WOPDTQHZSA-N 0 1 272.345 0.670 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CCO[C@H]([C@@H](C)NC(=O)[O-])C1 ZINC000823496299 613591090 /nfs/dbraw/zinc/59/10/90/613591090.db2.gz HLYBNJNPARSGEK-WOPDTQHZSA-N 0 1 272.345 0.670 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000967776059 613593932 /nfs/dbraw/zinc/59/39/32/613593932.db2.gz GFXZQJSJLAWJHZ-VQJWOFKYSA-N 0 1 276.380 0.729 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cncnc2)C1 ZINC000965970122 613593958 /nfs/dbraw/zinc/59/39/58/613593958.db2.gz XWEARQNNHQHFLQ-DZGCQCFKSA-N 0 1 286.379 0.869 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)C2CC3(CCC3)C2)[C@H](O)C1 ZINC000958328128 613614992 /nfs/dbraw/zinc/61/49/92/613614992.db2.gz AHUQJMRXFUWJKR-HUUCEWRRSA-N 0 1 290.407 0.999 20 30 CCEDMN C=CCN(C)[C@@H]1CCN(C(=O)c2cc(=O)c(OC)c[nH]2)C1 ZINC000971946439 613618952 /nfs/dbraw/zinc/61/89/52/613618952.db2.gz MUYHTRKVFZVFLG-LLVKDONJSA-N 0 1 291.351 0.716 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ncoc2CC)C1 ZINC000957945228 613619286 /nfs/dbraw/zinc/61/92/86/613619286.db2.gz XIOIJBUIIIVSQF-RYUDHWBXSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C2=COCCO2)C(C)(C)C1 ZINC000972781540 613620678 /nfs/dbraw/zinc/62/06/78/613620678.db2.gz BFNZZQIFTJGFQB-ZDUSSCGKSA-N 0 1 278.352 0.725 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2ccn(C)n2)C(C)(C)C1 ZINC000974588636 613621008 /nfs/dbraw/zinc/62/10/08/613621008.db2.gz CTNFQFBHQWAIOQ-AWEZNQCLSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2c[nH]cn2)C(C)(C)C1 ZINC000975081313 613621096 /nfs/dbraw/zinc/62/10/96/613621096.db2.gz GDQRIESIINJWAO-ZDUSSCGKSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CC[N@H+]1C[C@H](NC(=O)c2ncn[n-]2)C(C)(C)C1 ZINC000977374418 613624023 /nfs/dbraw/zinc/62/40/23/613624023.db2.gz WGESCCQUVFDHGL-JTQLQIEISA-N 0 1 261.329 0.268 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(F)s2)C1 ZINC000958740192 613624967 /nfs/dbraw/zinc/62/49/67/613624967.db2.gz KTLDUUQYLPGWFO-MNOVXSKESA-N 0 1 296.367 0.933 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)C2=COCCC2)C1 ZINC000957927258 613625039 /nfs/dbraw/zinc/62/50/39/613625039.db2.gz PZCVPBNZNLRTHQ-KGLIPLIRSA-N 0 1 278.352 0.113 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ncccc1F ZINC000961598019 613635386 /nfs/dbraw/zinc/63/53/86/613635386.db2.gz DCPMTFYPKZLJEE-ATCWAGBWSA-N 0 1 287.338 0.833 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000961305082 613636433 /nfs/dbraw/zinc/63/64/33/613636433.db2.gz RNNZVLYCPHAHND-MZQXFSNTSA-N 0 1 286.375 0.480 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]nc1C1CC1 ZINC000962193585 613638417 /nfs/dbraw/zinc/63/84/17/613638417.db2.gz SDWDPVQERZIVQE-NHAGDIPZSA-N 0 1 284.363 0.970 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C)[nH]c1=O ZINC000961897916 613639439 /nfs/dbraw/zinc/63/94/39/613639439.db2.gz ZWUCTFQSDXAVOA-WDNDVIMCSA-N 0 1 285.347 0.779 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc[n+]([O-])cc1 ZINC000958165154 613639446 /nfs/dbraw/zinc/63/94/46/613639446.db2.gz OFMJUZZCXWMDIK-WDNDVIMCSA-N 0 1 271.320 0.003 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(C(N)=O)c1 ZINC000960610322 613640654 /nfs/dbraw/zinc/64/06/54/613640654.db2.gz LLLDBUJZBRYHMO-FICVDOATSA-N 0 1 297.358 0.469 20 30 CCEDMN CC(=NNCC(=O)[O-])[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000814959744 613654612 /nfs/dbraw/zinc/65/46/12/613654612.db2.gz BDDNSXJUYPZHOV-AWEZNQCLSA-N 0 1 291.351 0.938 20 30 CCEDMN CC(=NNCC(=O)[O-])[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000814959744 613654614 /nfs/dbraw/zinc/65/46/14/613654614.db2.gz BDDNSXJUYPZHOV-AWEZNQCLSA-N 0 1 291.351 0.938 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCCNC2=O)C1 ZINC000969885859 613668640 /nfs/dbraw/zinc/66/86/40/613668640.db2.gz ZOUOKQHMQYFFCM-CMPLNLGQSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(OC)n(C)n2)C1 ZINC000970118487 613671053 /nfs/dbraw/zinc/67/10/53/613671053.db2.gz XYBODDIEZJUCIK-JTQLQIEISA-N 0 1 278.356 0.665 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](OC)c2cnn(C)c2)CC1 ZINC000981692454 613693306 /nfs/dbraw/zinc/69/33/06/613693306.db2.gz CASSCHIDRHSEHD-CQSZACIVSA-N 0 1 292.383 0.828 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000968336797 613729783 /nfs/dbraw/zinc/72/97/83/613729783.db2.gz XCDYNJMGRSEORT-MFKMUULPSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC000965831208 613732131 /nfs/dbraw/zinc/73/21/31/613732131.db2.gz SUPNSCPVQCEALB-PWSUYJOCSA-N 0 1 277.372 0.750 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC3=C(CCC(=O)N3)C2)C1=O ZINC000852295615 613739450 /nfs/dbraw/zinc/73/94/50/613739450.db2.gz GLQRTNUBXWRCES-CYBMUJFWSA-N 0 1 275.352 0.643 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966884946 613770490 /nfs/dbraw/zinc/77/04/90/613770490.db2.gz UUVYOBGNCIBPSN-JQWIXIFHSA-N 0 1 276.340 0.808 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)CN2CCCNC2=O)C1 ZINC000967207572 613771604 /nfs/dbraw/zinc/77/16/04/613771604.db2.gz QOWQLOBDOGBQQY-QWHCGFSZSA-N 0 1 294.399 0.414 20 30 CCEDMN C=CCN1CC[C@H](N(C)CC[C@@H]2CCOC2=O)C1=O ZINC000799177478 613776812 /nfs/dbraw/zinc/77/68/12/613776812.db2.gz QVPZKEAWYKENET-NEPJUHHUSA-N 0 1 266.341 0.658 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H]2CCCN2C(C)=O)C1=O ZINC000960352982 613777199 /nfs/dbraw/zinc/77/71/99/613777199.db2.gz AGXODAQFKNQUEO-KGLIPLIRSA-N 0 1 279.384 0.716 20 30 CCEDMN CC(=O)NC[C@@H]1CN(Cc2cccc(C#N)c2)C[C@@H]1O ZINC000957779956 613797419 /nfs/dbraw/zinc/79/74/19/613797419.db2.gz IGAQMWSUPWLBRR-CABCVRRESA-N 0 1 273.336 0.487 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CN(C)CCO2)C(C)(C)C1 ZINC000972991432 613807642 /nfs/dbraw/zinc/80/76/42/613807642.db2.gz KOLGLXAGSQDKHZ-QWHCGFSZSA-N 0 1 281.400 0.330 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C(C)(C)C1 ZINC000975009334 613811826 /nfs/dbraw/zinc/81/18/26/613811826.db2.gz HNDJFAKLKPWGEG-LLVKDONJSA-N 0 1 278.356 0.752 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)no2)C1 ZINC000957860071 613824475 /nfs/dbraw/zinc/82/44/75/613824475.db2.gz ZKAJVBMWGHLLHW-QWRGUYRKSA-N 0 1 265.313 0.192 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974761406 613827787 /nfs/dbraw/zinc/82/77/87/613827787.db2.gz CHHIUDPXXHNVKR-RYUDHWBXSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCNC(=O)CN1CCC(C2OCCO2)CC1 ZINC000110455394 613844958 /nfs/dbraw/zinc/84/49/58/613844958.db2.gz XIDHECGILNHDGD-UHFFFAOYSA-N 0 1 254.330 0.374 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC000897320923 613846908 /nfs/dbraw/zinc/84/69/08/613846908.db2.gz HPVZXWYHQBGMEC-SECBINFHSA-N 0 1 276.340 0.567 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)[C@@H]1CC[C@@H]1OC ZINC000934126221 613851756 /nfs/dbraw/zinc/85/17/56/613851756.db2.gz UQIDKNVITCSXAE-AXFHLTTASA-N 0 1 269.345 0.496 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCc2nccc(N)c2C1 ZINC000931507829 613855303 /nfs/dbraw/zinc/85/53/03/613855303.db2.gz CTNSJEJTVQKSPH-JTQLQIEISA-N 0 1 260.341 0.713 20 30 CCEDMN C=CCNC(=O)[C@H](C)OC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000798895015 613855929 /nfs/dbraw/zinc/85/59/29/613855929.db2.gz RKQCOQHVXISVNV-ZETCQYMHSA-N 0 1 267.241 0.770 20 30 CCEDMN C=CCOCCCNC(=O)NC[C@@H]1CCN1CC ZINC000883723224 613880109 /nfs/dbraw/zinc/88/01/09/613880109.db2.gz QVTRCILRXFTCKA-LBPRGKRZSA-N 0 1 255.362 0.973 20 30 CCEDMN C=CCOC[C@@H](NC(=O)c1[nH]ncc1F)C(=O)OC ZINC000907926556 613881872 /nfs/dbraw/zinc/88/18/72/613881872.db2.gz AOQOUOUJFSSGAV-MRVPVSSYSA-N 0 1 271.248 0.023 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CCC[N@@H+]1CCC)C(=O)[O-] ZINC000909765728 613882413 /nfs/dbraw/zinc/88/24/13/613882413.db2.gz DJTQCELMXQQPCA-RYUDHWBXSA-N 0 1 284.356 0.633 20 30 CCEDMN C=CCOC[C@H](NC(=O)c1[nH]ncc1F)C(=O)OC ZINC000907926557 613882823 /nfs/dbraw/zinc/88/28/23/613882823.db2.gz AOQOUOUJFSSGAV-QMMMGPOBSA-N 0 1 271.248 0.023 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@@](C)(O)C(F)(F)F ZINC000819222668 613885702 /nfs/dbraw/zinc/88/57/02/613885702.db2.gz UMYNURKJJJXVGD-MRVPVSSYSA-N 0 1 275.292 0.490 20 30 CCEDMN CC(C)Nc1ncccc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834490327 613984306 /nfs/dbraw/zinc/98/43/06/613984306.db2.gz UEKXXYBWWYIIEC-ZYHUDNBSSA-N 0 1 273.340 0.743 20 30 CCEDMN CC(C)(C)n1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000848418672 614062342 /nfs/dbraw/zinc/06/23/42/614062342.db2.gz LUQGXGDRVUIHEP-ONGXEEELSA-N 0 1 261.329 0.479 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)CON=CC2CC2)C1 ZINC000853735232 614098947 /nfs/dbraw/zinc/09/89/47/614098947.db2.gz PADUDKHJSOHVLB-CQSZACIVSA-N 0 1 297.399 0.872 20 30 CCEDMN CC(=O)Nc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1C ZINC000834500701 614203980 /nfs/dbraw/zinc/20/39/80/614203980.db2.gz WLHPDJKRVYMQFT-FZMZJTMJSA-N 0 1 286.335 0.795 20 30 CCEDMN CC(=O)Nc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1C ZINC000834500703 614204518 /nfs/dbraw/zinc/20/45/18/614204518.db2.gz WLHPDJKRVYMQFT-RISCZKNCSA-N 0 1 286.335 0.795 20 30 CCEDMN CC(C)C[C@H]1CN(C)CCN1C(=O)Cn1cnc(C#N)n1 ZINC000886595573 614225975 /nfs/dbraw/zinc/22/59/75/614225975.db2.gz LIAAABPEEJAQQN-LBPRGKRZSA-N 0 1 290.371 0.338 20 30 CCEDMN CC(=O)c1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1O ZINC000834498623 614252258 /nfs/dbraw/zinc/25/22/58/614252258.db2.gz AVEXSDFAAVISOH-PWSUYJOCSA-N 0 1 273.292 0.436 20 30 CCEDMN CC(C)c1ncncc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000843462518 614329874 /nfs/dbraw/zinc/32/98/74/614329874.db2.gz QQIDJRCQKMHJIE-MWLCHTKSSA-N 0 1 259.313 0.441 20 30 CCEDMN CC(C)(C)C(=O)NCCCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834498589 614343139 /nfs/dbraw/zinc/34/31/39/614343139.db2.gz AFIVHPASGZJBJU-GHMZBOCLSA-N 0 1 280.372 0.157 20 30 CCEDMN CCC1(Cn2cc(C(=O)NC3=NO[C@@H](C)C3)nn2)COC1 ZINC000831399296 614699117 /nfs/dbraw/zinc/69/91/17/614699117.db2.gz KTXNEVGRTVTQQO-VIFPVBQESA-N 0 1 293.327 0.557 20 30 CCEDMN CC[N@@H+](C)C[C@@H]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820333105 614865468 /nfs/dbraw/zinc/86/54/68/614865468.db2.gz RNEIETOKXTYHFR-SMDDNHRTSA-N 0 1 281.356 0.791 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](C)[C@H](CC(=O)OC)C1 ZINC000932108678 615021818 /nfs/dbraw/zinc/02/18/18/615021818.db2.gz AYKCWJZGYVMTEC-CHWSQXEVSA-N 0 1 295.383 0.880 20 30 CCEDMN CCC[C@H](NC(=O)C#CC1CC[NH2+]CC1)c1nn[n-]n1 ZINC000852850028 615044267 /nfs/dbraw/zinc/04/42/67/615044267.db2.gz NIMHUFDLCMEMDF-NSHDSACASA-N 0 1 276.344 0.160 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)CCC(=O)[O-] ZINC000818193828 615064465 /nfs/dbraw/zinc/06/44/65/615064465.db2.gz YKXHPVFVYNLQLT-SNVBAGLBSA-N 0 1 255.318 0.401 20 30 CCEDMN CCN1CCN(C(=O)[C@H]2NCCc3cc(C#N)ccc32)CC1 ZINC000876707029 615153216 /nfs/dbraw/zinc/15/32/16/615153216.db2.gz OVCHEFUBMBJQGH-INIZCTEOSA-N 0 1 298.390 0.909 20 30 CCEDMN CCOC(=O)CC[C@@H](O)CNCc1nc(C#N)cs1 ZINC000905655933 615182410 /nfs/dbraw/zinc/18/24/10/615182410.db2.gz TWVZIGVGPQUHJM-SNVBAGLBSA-N 0 1 283.353 0.809 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)NCC#C[C@H]1CCCCO1 ZINC000896459453 615316661 /nfs/dbraw/zinc/31/66/61/615316661.db2.gz MOWWJKDWEQUGJY-UONOGXRCSA-N 0 1 279.384 0.952 20 30 CCEDMN CCO[C@@H]1C[C@@H](N[C@H]2CCCN(O)C2=O)[C@H]1OC ZINC000895194429 615436278 /nfs/dbraw/zinc/43/62/78/615436278.db2.gz CVZYBPWZYITWJU-LNFKQOIKSA-N 0 1 258.318 0.149 20 30 CCEDMN CC[C@@H](O)CNN=Cc1c(C)nn(C)c1N1CCOCC1 ZINC000811656776 615542268 /nfs/dbraw/zinc/54/22/68/615542268.db2.gz WALPVPOQRRHJCZ-GFCCVEGCSA-N 0 1 295.387 0.260 20 30 CCEDMN CCc1ccc(CC(=O)N[C@@H]2CNC[C@H]2C#N)nc1 ZINC000884249402 615672090 /nfs/dbraw/zinc/67/20/90/615672090.db2.gz KWSBBRDBYYHXIM-DGCLKSJQSA-N 0 1 258.325 0.414 20 30 CCEDMN CCc1cccc(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834504925 615683943 /nfs/dbraw/zinc/68/39/43/615683943.db2.gz GQQWVXWESUKVSO-GXTWGEPZSA-N 0 1 273.336 0.856 20 30 CCEDMN CCc1nc(C#N)cc(N2CCN(CCCCO)CC2)n1 ZINC000853373143 615724301 /nfs/dbraw/zinc/72/43/01/615724301.db2.gz MXJFTVHJQXKMCT-UHFFFAOYSA-N 0 1 289.383 0.805 20 30 CCEDMN CCn1nncc1CN[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000967942780 615757320 /nfs/dbraw/zinc/75/73/20/615757320.db2.gz GNSPRGFNSVMCNF-WDEREUQCSA-N 0 1 276.344 0.148 20 30 CCEDMN CCc1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000834495064 615772155 /nfs/dbraw/zinc/77/21/55/615772155.db2.gz ROSGKHDKBWKJMN-SFYZADRCSA-N 0 1 250.327 0.547 20 30 CCEDMN CCc1noc(CCCC(=O)C(C#N)C(=O)NC2CC2)n1 ZINC000916927570 615837485 /nfs/dbraw/zinc/83/74/85/615837485.db2.gz LZIDRQPFPVIBDF-SNVBAGLBSA-N 0 1 290.323 0.942 20 30 CCEDMN CN(C)CC#CCNC(=O)C1CC2(CSC2)C1 ZINC000913525396 615857591 /nfs/dbraw/zinc/85/75/91/615857591.db2.gz VKEFTFCLPNRJRP-UHFFFAOYSA-N 0 1 252.383 0.811 20 30 CCEDMN CN(C)CC#CCNC(=O)CCCc1nc(C2CC2)no1 ZINC000913519107 615857827 /nfs/dbraw/zinc/85/78/27/615857827.db2.gz NQPLBRCJWDQPBC-UHFFFAOYSA-N 0 1 290.367 0.951 20 30 CCEDMN CN(C)CC#CCNC(=O)CC(F)(F)C(F)F ZINC000913525401 615857901 /nfs/dbraw/zinc/85/79/01/615857901.db2.gz VUKUWPANKNHLMD-UHFFFAOYSA-N 0 1 254.227 0.958 20 30 CCEDMN CN(C)CC#CCNC(=O)CSCC(F)(F)F ZINC000913520079 615858404 /nfs/dbraw/zinc/85/84/04/615858404.db2.gz BQCOQECSNOVYDS-UHFFFAOYSA-N 0 1 268.304 0.963 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)c1cccs1 ZINC000913514689 615858527 /nfs/dbraw/zinc/85/85/27/615858527.db2.gz YWJPYQBYCCFUQO-UHFFFAOYSA-N 0 1 279.365 0.159 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccnc2ccnn21 ZINC000934978879 615858817 /nfs/dbraw/zinc/85/88/17/615858817.db2.gz CRPUYQJNDOJAHQ-UHFFFAOYSA-N 0 1 272.312 0.416 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@]1(c2ccccc2)C[C@@H](O)C1 ZINC000913525317 615859642 /nfs/dbraw/zinc/85/96/42/615859642.db2.gz RUYFCCCKRREWLV-WOVMCDHWSA-N 0 1 286.375 0.760 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(Cn2cccn2)o1 ZINC000913517224 615860933 /nfs/dbraw/zinc/86/09/33/615860933.db2.gz XWMUYBDIRKHXOJ-UHFFFAOYSA-N 0 1 286.335 0.819 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(C(=O)NC2CC2)cc1 ZINC000913520314 615860937 /nfs/dbraw/zinc/86/09/37/615860937.db2.gz MXKRHCUDRQKKOX-UHFFFAOYSA-N 0 1 299.374 0.874 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC000913521054 615860968 /nfs/dbraw/zinc/86/09/68/615860968.db2.gz JRYILJSOIHFGTA-UHFFFAOYSA-N 0 1 283.335 0.767 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc(C(F)(F)F)nc1 ZINC000913521133 615861687 /nfs/dbraw/zinc/86/16/87/615861687.db2.gz LZOYZWUFOFFKBV-UHFFFAOYSA-N 0 1 286.257 0.790 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncc(Br)cn1 ZINC000827971723 615861967 /nfs/dbraw/zinc/86/19/67/615861967.db2.gz FSKMCAAFOPKAEZ-UHFFFAOYSA-N 0 1 297.156 0.534 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(C2CC2)c1N ZINC000913524219 615861984 /nfs/dbraw/zinc/86/19/84/615861984.db2.gz DJJVTPIROQESMX-UHFFFAOYSA-N 0 1 261.329 0.095 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CNCC(=O)NC1CC1 ZINC000865410114 616012041 /nfs/dbraw/zinc/01/20/41/616012041.db2.gz IJWPHLHZMUOUBB-UHFFFAOYSA-N 0 1 272.352 0.992 20 30 CCEDMN CN(C)c1ccccc1NC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000841031129 616023404 /nfs/dbraw/zinc/02/34/04/616023404.db2.gz WRFCKGHLJXPXMJ-CMPLNLGQSA-N 0 1 273.340 0.986 20 30 CCEDMN CN(C)c1ccncc1C(=O)N[C@@H]1CCCN(O)C1=O ZINC000820476212 616030409 /nfs/dbraw/zinc/03/04/09/616030409.db2.gz JRHODIBHRHRXHJ-SNVBAGLBSA-N 0 1 278.312 0.258 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)[C@H]2CCCC[N@H+]2C)C1 ZINC000971795897 616041307 /nfs/dbraw/zinc/04/13/07/616041307.db2.gz ZDOPWZZPSHFCRH-QWHCGFSZSA-N 0 1 264.373 0.527 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)[C@H]2CCCCN2C)C1 ZINC000971795897 616041308 /nfs/dbraw/zinc/04/13/08/616041308.db2.gz ZDOPWZZPSHFCRH-QWHCGFSZSA-N 0 1 264.373 0.527 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000820579786 616067426 /nfs/dbraw/zinc/06/74/26/616067426.db2.gz HGILUQZUMSAMIY-SNVBAGLBSA-N 0 1 253.302 0.155 20 30 CCEDMN CN(CCCS(N)(=O)=O)C[C@H](O)c1cccc(C#N)c1 ZINC000844369282 616076146 /nfs/dbraw/zinc/07/61/46/616076146.db2.gz JYZDIDGHYRNNQT-ZDUSSCGKSA-N 0 1 297.380 0.202 20 30 CCEDMN CN(CCN1CC2(C1)CCOCC2)c1ccnc(C#N)n1 ZINC000866257049 616085652 /nfs/dbraw/zinc/08/56/52/616085652.db2.gz FBNSANHMMWIQOM-UHFFFAOYSA-N 0 1 287.367 0.897 20 30 CCEDMN CN(Cc1cncc(C#N)c1)[C@H]1CCN2CCO[C@H]1C2 ZINC000930596858 616094042 /nfs/dbraw/zinc/09/40/42/616094042.db2.gz DSILKZVQRXLSHR-GJZGRUSLSA-N 0 1 272.352 0.858 20 30 CCEDMN CN(Cc1c[nH]nn1)C[C@@H](O)c1cccc(C#N)c1 ZINC000844372446 616098164 /nfs/dbraw/zinc/09/81/64/616098164.db2.gz YZZQOBGUIDARLD-CYBMUJFWSA-N 0 1 257.297 0.842 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC2(C1)CCCN(CC#N)C2 ZINC000981848629 616182954 /nfs/dbraw/zinc/18/29/54/616182954.db2.gz TYFTTWKJWYOBDK-AWEZNQCLSA-N 0 1 290.411 0.919 20 30 CCEDMN CN(C(=O)[C@](C)(C#N)CC(=O)[O-])[C@H]1CCC[N@@H+](C)C1 ZINC000820620841 616205872 /nfs/dbraw/zinc/20/58/72/616205872.db2.gz VCSGBHWECHKNKZ-GWCFXTLKSA-N 0 1 267.329 0.544 20 30 CCEDMN CN1CCN(C)CCN(C(=O)NCCCC#N)CC1 ZINC000894131990 616235653 /nfs/dbraw/zinc/23/56/53/616235653.db2.gz ZQVJBKIEFMYJCP-UHFFFAOYSA-N 0 1 267.377 0.179 20 30 CCEDMN CNc1ncc(C(=O)NCC#CCN(C)C)s1 ZINC000913524245 616274735 /nfs/dbraw/zinc/27/47/35/616274735.db2.gz FKRQREGNZCPSOL-UHFFFAOYSA-N 0 1 252.343 0.480 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)NCc1ccc(C#N)cn1 ZINC000922103438 616293953 /nfs/dbraw/zinc/29/39/53/616293953.db2.gz HETXLZYYJDWISM-GFCCVEGCSA-N 0 1 259.313 0.457 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCc1ccc(C#N)cc1F ZINC000900589275 616320817 /nfs/dbraw/zinc/32/08/17/616320817.db2.gz BNWIZMMCXUMOGJ-WFASDCNBSA-N 0 1 291.326 0.769 20 30 CCEDMN C[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820620726 616343881 /nfs/dbraw/zinc/34/38/81/616343881.db2.gz RGYSHXKMMOIQEB-JMSVASOKSA-N 0 1 293.367 0.934 20 30 CCEDMN COC(=O)C1(C#N)CCN(CCCC(=O)N(C)C)CC1 ZINC000846957114 616345469 /nfs/dbraw/zinc/34/54/69/616345469.db2.gz KLPYQSLOCRBCPK-UHFFFAOYSA-N 0 1 281.356 0.634 20 30 CCEDMN COCCN1CC[C@H](NS(=O)(=O)CC(C)(C)C#N)C1 ZINC000914407869 616426874 /nfs/dbraw/zinc/42/68/74/616426874.db2.gz ZMSRYQDGBRBTJH-NSHDSACASA-N 0 1 289.401 0.176 20 30 CCEDMN CN1C[C@H](O)C[C@H]1CNC(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000924994132 616488018 /nfs/dbraw/zinc/48/80/18/616488018.db2.gz YFZBDTWKDKOZKK-JHMRWPNWSA-N 0 1 291.395 0.888 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCC#C[C@H]1CCCCO1 ZINC000891106681 616497081 /nfs/dbraw/zinc/49/70/81/616497081.db2.gz LMHYZZWWZSPSEI-UMVBOHGHSA-N 0 1 280.368 0.130 20 30 CCEDMN COC(=O)CCCONC(=O)c1ccc(C#N)c(O)c1 ZINC000811557349 616540103 /nfs/dbraw/zinc/54/01/03/616540103.db2.gz AYMSBJCOXOHZMU-UHFFFAOYSA-N 0 1 278.264 0.878 20 30 CCEDMN COC(=O)CC[C@H]1CCCN(CC(=O)NCCC#N)C1 ZINC000880038362 616578398 /nfs/dbraw/zinc/57/83/98/616578398.db2.gz VVIZSLQERDNWLB-GFCCVEGCSA-N 0 1 281.356 0.681 20 30 CCEDMN COC(=O)[C@H](CC1CCOCC1)NC(=O)C(C)C#N ZINC000881739928 616593697 /nfs/dbraw/zinc/59/36/97/616593697.db2.gz MKRXPVLXLGVSCX-KOLCDFICSA-N 0 1 268.313 0.621 20 30 CCEDMN COC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)C(C)C#N ZINC000924864583 616604609 /nfs/dbraw/zinc/60/46/09/616604609.db2.gz ICMNFTUJXVQEPJ-GUBZILKMSA-N 0 1 254.286 0.373 20 30 CCEDMN COC(=O)C[C@@H]1CN([C@@H](C)C(=O)N(C)CCC#N)C[C@H]1C ZINC000932110973 616667620 /nfs/dbraw/zinc/66/76/20/616667620.db2.gz KFKGDEILBGDBGT-FRRDWIJNSA-N 0 1 295.383 0.878 20 30 CCEDMN COC(=O)[C@](C)(Cn1cccn1)NC(=O)C(C)C#N ZINC000890954768 616750012 /nfs/dbraw/zinc/75/00/12/616750012.db2.gz PGDSTVJSUQXZIP-CABZTGNLSA-N 0 1 264.285 0.091 20 30 CCEDMN CO[C@@H]1COCC[C@@H]1N(C)CC(=O)N[C@](C)(C#N)C(C)C ZINC000880424054 616797364 /nfs/dbraw/zinc/79/73/64/616797364.db2.gz HERSWLSWMQURCJ-GZBFAFLISA-N 0 1 297.399 0.777 20 30 CCEDMN COCCCn1nccc1C=NNC1=NC[C@@H](C)N1 ZINC000802712064 616938838 /nfs/dbraw/zinc/93/88/38/616938838.db2.gz GYEROTWJVMRUSF-SNVBAGLBSA-N 0 1 264.333 0.191 20 30 CCEDMN COC[C@@H]1CNCCN1C(=O)Nc1ccn(CCC#N)n1 ZINC000904346584 616967544 /nfs/dbraw/zinc/96/75/44/616967544.db2.gz UQIFKWKAQNJTHN-NSHDSACASA-N 0 1 292.343 0.249 20 30 CCEDMN COCCN(CCF)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000859349537 617011568 /nfs/dbraw/zinc/01/15/68/617011568.db2.gz ASGWPJNEYYXFQE-ZDUSSCGKSA-N 0 1 288.363 0.976 20 30 CCEDMN CON(C)C(=O)[C@@H](C)NC[C@@H](O)c1ccc(C#N)cc1 ZINC000863586575 617053655 /nfs/dbraw/zinc/05/36/55/617053655.db2.gz IGLVDASUHTZDBA-ZWNOBZJWSA-N 0 1 277.324 0.590 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001007925923 625387729 /nfs/dbraw/zinc/38/77/29/625387729.db2.gz OWKSUZBZGRGMTI-AWEZNQCLSA-N 0 1 288.395 0.875 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)C(N)=O)CCN1CC#Cc1ccccc1 ZINC000947671151 625388837 /nfs/dbraw/zinc/38/88/37/625388837.db2.gz KWXKFAHGVMIWCB-UKRRQHHQSA-N 0 1 299.374 0.493 20 30 CCEDMN CN1CC(C(=O)N2C[C@@H]3CC[C@@H](NCC#N)[C@@H]3C2)=NC1=O ZINC001026349461 625408669 /nfs/dbraw/zinc/40/86/69/625408669.db2.gz FZEAIOIIUBZANZ-HBNTYKKESA-N 0 1 289.339 0.089 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccc(C#N)cc2CO)CC1 ZINC000892312565 622830055 /nfs/dbraw/zinc/83/00/55/622830055.db2.gz FCCIIGGLCHAXRW-GFCCVEGCSA-N 0 1 275.352 0.553 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)CC1CC(O)C1 ZINC000091498763 622840424 /nfs/dbraw/zinc/84/04/24/622840424.db2.gz GOZNJWZHMJIPAU-OVGLSYRBSA-N 0 1 265.357 0.498 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001023682476 623105461 /nfs/dbraw/zinc/10/54/61/623105461.db2.gz AUGJJZHDNWVCFU-ZDUSSCGKSA-N 0 1 287.363 0.758 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@H]1CCCCN1CC#N ZINC001024365679 623300096 /nfs/dbraw/zinc/30/00/96/623300096.db2.gz FOUBMBMYWBVTQQ-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001024467031 623331946 /nfs/dbraw/zinc/33/19/46/623331946.db2.gz BJWJHKUORDEWHF-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001024490285 623336134 /nfs/dbraw/zinc/33/61/34/623336134.db2.gz KZLYAUGZNDAOKY-MJBXVCDLSA-N 0 1 282.384 0.947 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024522078 623348569 /nfs/dbraw/zinc/34/85/69/623348569.db2.gz ZGWNJXNPALASJJ-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001024775940 623439625 /nfs/dbraw/zinc/43/96/25/623439625.db2.gz MJLXHWRTMURLPS-LBPRGKRZSA-N 0 1 285.351 0.880 20 30 CCEDMN C#CC[N@@H+](C)CCCNS(=O)(=O)c1ccccc1[O-] ZINC000328232630 623870670 /nfs/dbraw/zinc/87/06/70/623870670.db2.gz DTAKKVTWZSKNFP-UHFFFAOYSA-N 0 1 282.365 0.626 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](CNCc2cnn(C)n2)C1 ZINC001026992177 625682913 /nfs/dbraw/zinc/68/29/13/625682913.db2.gz IGJSTVHPAJXQJD-GFCCVEGCSA-N 0 1 291.399 0.965 20 30 CCEDMN Cc1[nH]ncc1C1CCN(C(=O)NCC#CCO)CC1 ZINC000923766510 623899469 /nfs/dbraw/zinc/89/94/69/623899469.db2.gz DTAGXMQSHAQIHA-UHFFFAOYSA-N 0 1 276.340 0.603 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2COCCO2)C1 ZINC001006797212 624510346 /nfs/dbraw/zinc/51/03/46/624510346.db2.gz MBVBTJUEOFHSNP-NWDGAFQWSA-N 0 1 254.330 0.168 20 30 CCEDMN O=C(NCC#C[C@H]1CCCCO1)[C@H]1CCCc2n[nH]nc21 ZINC000891115842 624728315 /nfs/dbraw/zinc/72/83/15/624728315.db2.gz HZSCLJYEBYFLHD-NEPJUHHUSA-N 0 1 288.351 0.913 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000970688837 624732323 /nfs/dbraw/zinc/73/23/23/624732323.db2.gz IEBBZGSMCYBSII-SNVBAGLBSA-N 0 1 270.296 0.286 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CN(CC)CCO2)C1 ZINC001009592292 625860486 /nfs/dbraw/zinc/86/04/86/625860486.db2.gz HFBXJAIXGRPTLZ-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001027461886 625896872 /nfs/dbraw/zinc/89/68/72/625896872.db2.gz RFIWLSNNMYFBET-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN N#Cc1cnccc1NS(=O)(=O)CCCCF ZINC000903150836 634538850 /nfs/dbraw/zinc/53/88/50/634538850.db2.gz YFCDMKPOOCQDTH-UHFFFAOYSA-N 0 1 257.290 0.867 20 30 CCEDMN N#C[C@@H]1CCC[N@H+](CCC(=O)N2CC[NH2+]CC2)C1 ZINC000903564258 634608684 /nfs/dbraw/zinc/60/86/84/634608684.db2.gz SCNGFCCPLBMFTI-LBPRGKRZSA-N 0 1 250.346 0.044 20 30 CCEDMN C=C(C)C[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1C[NH+](C)CCO1 ZINC001027804802 630932942 /nfs/dbraw/zinc/93/29/42/630932942.db2.gz IGCUIUIBMTYLQL-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)C1=CCOCC1 ZINC001027840209 630968913 /nfs/dbraw/zinc/96/89/13/630968913.db2.gz FSVOBCNNDTVFNQ-AWEZNQCLSA-N 0 1 262.353 0.937 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)C1=CCOCC1 ZINC001027840209 630968917 /nfs/dbraw/zinc/96/89/17/630968917.db2.gz FSVOBCNNDTVFNQ-AWEZNQCLSA-N 0 1 262.353 0.937 20 30 CCEDMN N#CCN[C@H]1CCC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC000981316785 631268023 /nfs/dbraw/zinc/26/80/23/631268023.db2.gz WQVXTLJZQQIYMX-IUCAKERBSA-N 0 1 265.292 0.703 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC000968231470 650009449 /nfs/dbraw/zinc/00/94/49/650009449.db2.gz HYCVBYOKDJXBMD-VHSXEESVSA-N 0 1 277.328 0.089 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@H]1NC(=O)c1[nH]ncc1F ZINC000968193344 650009512 /nfs/dbraw/zinc/00/95/12/650009512.db2.gz UBCKTCNKOJNOCB-WCBMZHEXSA-N 0 1 265.292 0.513 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2cc(Br)[nH]n2)C1 ZINC000968835738 650031968 /nfs/dbraw/zinc/03/19/68/650031968.db2.gz MALUNFZGYJGKEU-SSDOTTSWSA-N 0 1 298.144 0.500 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000896541332 632273953 /nfs/dbraw/zinc/27/39/53/632273953.db2.gz JGFPXBZRBAFBSP-QMTHXVAHSA-N 0 1 290.367 0.824 20 30 CCEDMN C[C@@H](NC(=O)c1cccc(C#N)n1)[C@H]1CN(C)CCN1C ZINC000896542068 632274272 /nfs/dbraw/zinc/27/42/72/632274272.db2.gz NQUJVMMALASNQT-BXUZGUMPSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@@H](NC(=O)c1cc(C#N)cn1C)[C@@H]1CN(C)CCN1C ZINC000896557973 632287313 /nfs/dbraw/zinc/28/73/13/632287313.db2.gz WUDNQRJSTRRJII-RISCZKNCSA-N 0 1 289.383 0.261 20 30 CCEDMN Cc1cc(C#N)nc(N2CC[C@H]3[C@@H]2CCC[N@H+]3CCO)n1 ZINC000897222665 632425869 /nfs/dbraw/zinc/42/58/69/632425869.db2.gz WRJVQITVEWFFTL-KBPBESRZSA-N 0 1 287.367 0.692 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)[C@H](C)N2C(=O)CCC2=O)C1 ZINC001007446214 650078713 /nfs/dbraw/zinc/07/87/13/650078713.db2.gz FYNUSPSDKAPJEK-NWDGAFQWSA-N 0 1 293.367 0.291 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)N2C(=O)CCC2=O)C1 ZINC001007446214 650078715 /nfs/dbraw/zinc/07/87/15/650078715.db2.gz FYNUSPSDKAPJEK-NWDGAFQWSA-N 0 1 293.367 0.291 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC001007312438 650074184 /nfs/dbraw/zinc/07/41/84/650074184.db2.gz OYZADTBBTSCRLN-LBPRGKRZSA-N 0 1 275.356 0.260 20 30 CCEDMN CN1CC(C(=O)N[C@@H](CC#N)C(F)(F)F)=NC1=O ZINC000928802783 632600722 /nfs/dbraw/zinc/60/07/22/632600722.db2.gz JUGACTZOBAWUPW-LURJTMIESA-N 0 1 262.191 0.700 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CN2CN=NC2=O)[C@H]1C ZINC000986154083 632723553 /nfs/dbraw/zinc/72/35/53/632723553.db2.gz XGSRYGVDSLNXAG-VHSXEESVSA-N 0 1 299.762 0.315 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ncn(C)n2)[C@H]1C ZINC000986232291 632733454 /nfs/dbraw/zinc/73/34/54/632733454.db2.gz MVYADNPUQBUXDI-UWVGGRQHSA-N 0 1 283.763 0.760 20 30 CCEDMN C[C@@H]1[C@H](NCc2ccn(C)n2)CCN1C(=O)C#CC1CC1 ZINC000986275632 632737937 /nfs/dbraw/zinc/73/79/37/632737937.db2.gz GWYJLOKLDKGOOX-IUODEOHRSA-N 0 1 286.379 0.913 20 30 CCEDMN C[C@H]1[C@H](NCc2ccccc2C#N)CCN1C(=O)C(N)=O ZINC000986784744 632798555 /nfs/dbraw/zinc/79/85/55/632798555.db2.gz YEXJVJVNBBPHNK-GXFFZTMASA-N 0 1 286.335 0.123 20 30 CCEDMN O=C1CN(N=Cc2cc(C(F)(F)F)n[nH]2)C(=O)N1 ZINC000799474030 632817205 /nfs/dbraw/zinc/81/72/05/632817205.db2.gz ACNHBZFJSDNJJD-UHFFFAOYSA-N 0 1 261.163 0.314 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](NCC#N)[C@H]2C)CC1 ZINC000987573871 632881617 /nfs/dbraw/zinc/88/16/17/632881617.db2.gz CZORQDYOCZAPII-UKRRQHHQSA-N 0 1 288.395 0.434 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC001008189931 650105033 /nfs/dbraw/zinc/10/50/33/650105033.db2.gz PDOOXXZZMKNCJV-JTQLQIEISA-N 0 1 264.329 0.506 20 30 CCEDMN O=C(CN1CCCC1)N[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000937979545 633096924 /nfs/dbraw/zinc/09/69/24/633096924.db2.gz DVWXNBDZHQRSFL-AWEZNQCLSA-N 0 1 289.379 0.213 20 30 CCEDMN N#CC[C@@H]1CC[C@H](NC(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000897889839 633251282 /nfs/dbraw/zinc/25/12/82/633251282.db2.gz YAIPGQNYWBLJSP-FPMFFAJLSA-N 0 1 277.368 0.906 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCCN(CCCO)CC2)nc1 ZINC000989512278 633322258 /nfs/dbraw/zinc/32/22/58/633322258.db2.gz CZXLNMCMEDIVSW-UHFFFAOYSA-N 0 1 287.363 0.593 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cnn(C)n2)C1 ZINC000989549898 633326672 /nfs/dbraw/zinc/32/66/72/633326672.db2.gz MOWDWNVPFMRGJW-UWVGGRQHSA-N 0 1 283.763 0.760 20 30 CCEDMN C[C@@H]1C[C@H](NCC#N)CN1C(=O)c1ccc2[nH]nnc2c1 ZINC000989783454 633353297 /nfs/dbraw/zinc/35/32/97/633353297.db2.gz VSTOPZJKNYDXIX-KOLCDFICSA-N 0 1 284.323 0.674 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@]12CCC[C@H]1N(CC#N)CC2 ZINC000990024990 633423652 /nfs/dbraw/zinc/42/36/52/633423652.db2.gz GFQWELCXYCUFPQ-NFAWXSAZSA-N 0 1 276.384 0.717 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cncnc3)CCC[C@H]12 ZINC000990354882 633515494 /nfs/dbraw/zinc/51/54/94/633515494.db2.gz NWZROLUNJPTMPK-DZGCQCFKSA-N 0 1 270.336 0.837 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnn(C)c3N)CCC[C@@H]12 ZINC000990354499 633515564 /nfs/dbraw/zinc/51/55/64/633515564.db2.gz MNFLBKYDLAVGDY-IUODEOHRSA-N 0 1 287.367 0.362 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cc(=O)n(C)o3)CCC[C@H]12 ZINC000990434891 633531437 /nfs/dbraw/zinc/53/14/37/633531437.db2.gz HOALUXUYEAIWKK-WFASDCNBSA-N 0 1 289.335 0.338 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2ccnnc2)C1 ZINC001008638439 650121720 /nfs/dbraw/zinc/12/17/20/650121720.db2.gz BUIZJPUVBFXTFH-CYBMUJFWSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccnnc2)C1 ZINC001008638439 650121722 /nfs/dbraw/zinc/12/17/22/650121722.db2.gz BUIZJPUVBFXTFH-CYBMUJFWSA-N 0 1 258.325 0.694 20 30 CCEDMN C[C@@H](NC(=O)c1cnccc1C#N)[C@@H]1CN(C)CCN1C ZINC000900811894 634097217 /nfs/dbraw/zinc/09/72/17/634097217.db2.gz PDZHCVLTFRVHDM-RISCZKNCSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@H](NC(=O)c1cnccc1C#N)[C@H]1CN(C)CCN1C ZINC000900811895 634098469 /nfs/dbraw/zinc/09/84/69/634098469.db2.gz PDZHCVLTFRVHDM-SMDDNHRTSA-N 0 1 287.367 0.317 20 30 CCEDMN Cn1ccc(S(=O)(=O)N[C@@H](CC#N)C(F)(F)F)n1 ZINC000901771346 634275251 /nfs/dbraw/zinc/27/52/51/634275251.db2.gz DLKLHMDOBAVCPM-LURJTMIESA-N 0 1 282.247 0.543 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2nonc2C)C1 ZINC001009724696 650156881 /nfs/dbraw/zinc/15/68/81/650156881.db2.gz OXRMPRPHPUDBLW-NSHDSACASA-N 0 1 262.313 0.596 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc(C)cn2)C1 ZINC001009997058 650177088 /nfs/dbraw/zinc/17/70/88/650177088.db2.gz BCOVXTUCYWUNGR-LBPRGKRZSA-N 0 1 258.325 0.612 20 30 CCEDMN Cc1c(C(=O)N2CCC3(CN(C)C3)C2)cnn1CCC#N ZINC000907762098 635200979 /nfs/dbraw/zinc/20/09/79/635200979.db2.gz AJJSYSVNILUKGB-UHFFFAOYSA-N 0 1 287.367 0.883 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3OCCO[C@H]3C)CCC[C@@H]12 ZINC000991120599 635300136 /nfs/dbraw/zinc/30/01/36/635300136.db2.gz RJSXQQULQAXNMQ-KNCOVGOOSA-N 0 1 292.379 0.537 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CC2CCOCC2)C1 ZINC001010396065 650217097 /nfs/dbraw/zinc/21/70/97/650217097.db2.gz APNZWDZFAWDOHW-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC001010510285 650235255 /nfs/dbraw/zinc/23/52/55/650235255.db2.gz FJAJXAQPCNREHO-GFCCVEGCSA-N 0 1 260.341 0.474 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC001010510285 650235261 /nfs/dbraw/zinc/23/52/61/650235261.db2.gz FJAJXAQPCNREHO-GFCCVEGCSA-N 0 1 260.341 0.474 20 30 CCEDMN N#Cc1ccc(NCC(=O)N2CC(N3CCCC3)C2)nc1 ZINC000912325278 636555373 /nfs/dbraw/zinc/55/53/73/636555373.db2.gz VRIOPCMSSQIYOX-UHFFFAOYSA-N 0 1 285.351 0.672 20 30 CCEDMN N#Cc1cccnc1NCCOC(=O)CCc1nc[nH]n1 ZINC000151247895 636790156 /nfs/dbraw/zinc/79/01/56/636790156.db2.gz PXSJRHCEQCGDRK-UHFFFAOYSA-N 0 1 286.295 0.659 20 30 CCEDMN Cc1[nH]c(C(=O)NCC#CCN(C)C)c(C)c1C=O ZINC000913524399 636833680 /nfs/dbraw/zinc/83/36/80/636833680.db2.gz LUWLZQGGBJVKGJ-UHFFFAOYSA-N 0 1 261.325 0.739 20 30 CCEDMN Cc1oc(S(C)(=O)=O)cc1C(=O)NCC#CCN(C)C ZINC000913524450 636833840 /nfs/dbraw/zinc/83/38/40/636833840.db2.gz MYQINGACCFWXNL-UHFFFAOYSA-N 0 1 298.364 0.286 20 30 CCEDMN Cc1ccc(-n2cc(C(=O)NCC#CCN(C)C)nn2)cc1 ZINC000913516963 636834950 /nfs/dbraw/zinc/83/49/50/636834950.db2.gz MXBDJMBYFWPXNR-UHFFFAOYSA-N 0 1 297.362 0.871 20 30 CCEDMN C[C@@H]1C[C@H](C(=O)NCC#CCN(C)C)CCC1=O ZINC000913520091 636835849 /nfs/dbraw/zinc/83/58/49/636835849.db2.gz CAZSSBRDEKECDH-VXGBXAGGSA-N 0 1 250.342 0.673 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H](C)C1CCOCC1 ZINC000165391727 636902951 /nfs/dbraw/zinc/90/29/51/636902951.db2.gz MVMWRHKKXNAJRL-WCQYABFASA-N 0 1 296.367 0.911 20 30 CCEDMN CCCNC(=O)CCCC(=O)C(C#N)C(=O)NC(C)C ZINC000173982445 637237792 /nfs/dbraw/zinc/23/77/92/637237792.db2.gz DIDULKNGVHMXJT-NSHDSACASA-N 0 1 281.356 0.916 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2n[nH]c3c2CCC3)C1 ZINC001015071161 637342843 /nfs/dbraw/zinc/34/28/43/637342843.db2.gz TVOYKOZEQVHMQT-LLVKDONJSA-N 0 1 272.352 0.726 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)Cc3cnc[nH]3)CCC[C@H]12 ZINC000992279134 637353289 /nfs/dbraw/zinc/35/32/89/637353289.db2.gz XYRNGJIFUBUETM-GXTWGEPZSA-N 0 1 273.340 0.589 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001007121513 637536540 /nfs/dbraw/zinc/53/65/40/637536540.db2.gz NQWOOSOLOSWHGB-JTQLQIEISA-N 0 1 264.329 0.804 20 30 CCEDMN C[C@@H]1CN(C(=O)N[C@@H]2CCCN(C)C2)C[C@H](C)N1CC#N ZINC000914313775 637588993 /nfs/dbraw/zinc/58/89/93/637588993.db2.gz FQVUIZDAKSXEEA-HZSPNIEDSA-N 0 1 293.415 0.708 20 30 CCEDMN N=C(N)NN=C1c2cccc(C(F)(F)F)c2NC1=O ZINC000915050832 637723281 /nfs/dbraw/zinc/72/32/81/637723281.db2.gz KJSZIPYPSBAFHY-UHFFFAOYSA-N 0 1 271.202 0.845 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCCN(CC#N)[C@@H]2C)[nH]n1 ZINC000993207040 637740353 /nfs/dbraw/zinc/74/03/53/637740353.db2.gz UQRFZVQDABSGMO-YPMHNXCESA-N 0 1 275.356 0.753 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2ccnn2C)[C@@H]1C ZINC000993292606 637765558 /nfs/dbraw/zinc/76/55/58/637765558.db2.gz XQLJHZCZWWYWHA-DZGCQCFKSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CN2CCCCC2=O)[C@H]1C ZINC000993512897 637816064 /nfs/dbraw/zinc/81/60/64/637816064.db2.gz AYOHYRDIVIKXLE-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2nnn(C)n2)[C@@H]1C ZINC000993685185 637830616 /nfs/dbraw/zinc/83/06/16/637830616.db2.gz GTIVCQPLLGSUFE-WDEREUQCSA-N 0 1 278.360 0.369 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cncn2C)[C@H]1C ZINC000994207217 637876131 /nfs/dbraw/zinc/87/61/31/637876131.db2.gz JXDJUYMUVPTGCP-OCCSQVGLSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cncn2C)[C@@H]1C ZINC000994207214 637876466 /nfs/dbraw/zinc/87/64/66/637876466.db2.gz JXDJUYMUVPTGCP-GXTWGEPZSA-N 0 1 274.368 0.565 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC000994430891 637937616 /nfs/dbraw/zinc/93/76/16/637937616.db2.gz FFCXKIOBVIPTES-ZYHUDNBSSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC000994430891 637937619 /nfs/dbraw/zinc/93/76/19/637937619.db2.gz FFCXKIOBVIPTES-ZYHUDNBSSA-N 0 1 290.367 0.882 20 30 CCEDMN Cc1cc(C)n(CCC(=O)NC2(C#N)CCN(C)CC2)n1 ZINC000917125811 637953438 /nfs/dbraw/zinc/95/34/38/637953438.db2.gz DADFZJPYTDMGJX-UHFFFAOYSA-N 0 1 289.383 0.994 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)[nH]1 ZINC000939397801 638358735 /nfs/dbraw/zinc/35/87/35/638358735.db2.gz QXPQQMIJSXGWDR-MWLCHTKSSA-N 0 1 289.339 0.551 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCCC2)C[C@H]1NCC#N ZINC000939753973 638401172 /nfs/dbraw/zinc/40/11/72/638401172.db2.gz KKFCKSHZRBAOOD-VXGBXAGGSA-N 0 1 250.346 0.042 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC000942605903 638678146 /nfs/dbraw/zinc/67/81/46/638678146.db2.gz RDFBHZLCHSQCTN-ZJUUUORDSA-N 0 1 277.328 0.089 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2nc[nH]n2)CC1(C)C ZINC000996421531 638818551 /nfs/dbraw/zinc/81/85/51/638818551.db2.gz GALHJJFUKLRQKA-SECBINFHSA-N 0 1 283.763 0.997 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(CNCc2cnon2)CC1 ZINC000997623204 638878869 /nfs/dbraw/zinc/87/88/69/638878869.db2.gz GTCGFBFDWMQUSS-JTQLQIEISA-N 0 1 277.328 0.557 20 30 CCEDMN C[C@H](NC(=O)c1cnn[nH]1)C1CCN(CC#N)CC1 ZINC000997656089 638879546 /nfs/dbraw/zinc/87/95/46/638879546.db2.gz CLHQTBLNTLAFFE-VIFPVBQESA-N 0 1 262.317 0.159 20 30 CCEDMN C=C1CCC(C(=O)N2CC(NC(=O)c3ncn[nH]3)C2)CC1 ZINC000997815275 638884387 /nfs/dbraw/zinc/88/43/87/638884387.db2.gz NDGCMNICCGQKHB-UHFFFAOYSA-N 0 1 289.339 0.492 20 30 CCEDMN C=C1CCC(C(=O)N2CC(NC(=O)c3nc[nH]n3)C2)CC1 ZINC000997815275 638884389 /nfs/dbraw/zinc/88/43/89/638884389.db2.gz NDGCMNICCGQKHB-UHFFFAOYSA-N 0 1 289.339 0.492 20 30 CCEDMN Cc1cc(CN[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)nn1C ZINC000998746217 638938836 /nfs/dbraw/zinc/93/88/36/638938836.db2.gz LKWFIBINXWLZNE-DGCLKSJQSA-N 0 1 289.383 0.969 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cc2nnc(C)[nH]2)C1 ZINC000999139767 638962529 /nfs/dbraw/zinc/96/25/29/638962529.db2.gz VHAMLFLBFJNJKE-LLVKDONJSA-N 0 1 297.790 0.989 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001000170155 639047471 /nfs/dbraw/zinc/04/74/71/639047471.db2.gz MGLLFHKHMYUART-RISCZKNCSA-N 0 1 299.802 0.846 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cc[n+]([O-])cc2)CC1 ZINC001000415603 639086024 /nfs/dbraw/zinc/08/60/24/639086024.db2.gz OFOIOKVPYQYDCV-UHFFFAOYSA-N 0 1 285.347 0.705 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2COCCO2)CC1 ZINC001000471139 639096240 /nfs/dbraw/zinc/09/62/40/639096240.db2.gz QMBNAENSEFLFAN-CQSZACIVSA-N 0 1 278.352 0.173 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001000578015 639125451 /nfs/dbraw/zinc/12/54/51/639125451.db2.gz ZNNSVEPDLFAZPA-CQSZACIVSA-N 0 1 289.379 0.284 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cnnn2C)CC1 ZINC001000640528 639145767 /nfs/dbraw/zinc/14/57/67/639145767.db2.gz QTUNLDKAEQUGBJ-UHFFFAOYSA-N 0 1 273.340 0.200 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CO[C@@H](C)C2)CC1 ZINC001000864154 639180682 /nfs/dbraw/zinc/18/06/82/639180682.db2.gz XCFNQXRYRWFXRC-JSGCOSHPSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCn3ccnc3C2)CC1 ZINC001000880000 639183317 /nfs/dbraw/zinc/18/33/17/639183317.db2.gz RZJBNJCHIWEHHQ-HNNXBMFYSA-N 0 1 298.390 0.827 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnc3nccn3c2)CC1 ZINC001000946555 639192438 /nfs/dbraw/zinc/19/24/38/639192438.db2.gz OHKXFFAHTIVAQN-UHFFFAOYSA-N 0 1 295.346 0.724 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2csnn2)CC1 ZINC001001010784 639209393 /nfs/dbraw/zinc/20/93/93/639209393.db2.gz VSEVXYFZZVQXKU-UHFFFAOYSA-N 0 1 276.365 0.923 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCNC(=O)CC2)CC1 ZINC001000996358 639211834 /nfs/dbraw/zinc/21/18/34/639211834.db2.gz ZACDFOZYPCYCSJ-CQSZACIVSA-N 0 1 289.379 0.284 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CC[C@@H](C)O2)CC1 ZINC001001168393 639245291 /nfs/dbraw/zinc/24/52/91/639245291.db2.gz FTCJKIYXPXSLPV-TZMCWYRMSA-N 0 1 262.353 0.935 20 30 CCEDMN C#CCN1CCC(CNC(=O)c2c[nH]c(C)cc2=O)CC1 ZINC001002004885 639362358 /nfs/dbraw/zinc/36/23/58/639362358.db2.gz DEEBIGIUIBYBLU-UHFFFAOYSA-N 0 1 287.363 0.758 20 30 CCEDMN Cc1nn(C)c(CNCCn2cnc(C#N)n2)c1Cl ZINC000922123281 639494654 /nfs/dbraw/zinc/49/46/54/639494654.db2.gz MKFJOTNJVBWZEX-UHFFFAOYSA-N 0 1 279.735 0.635 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2c(C)ncn2C)CC1 ZINC001003086523 639504062 /nfs/dbraw/zinc/50/40/62/639504062.db2.gz YJXGSTSEXWWJPX-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2ccc(=O)[nH]c2)CC1 ZINC001003320822 639529637 /nfs/dbraw/zinc/52/96/37/639529637.db2.gz FOFDQBXFNDIXJJ-UHFFFAOYSA-N 0 1 287.363 0.934 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(C)c(C)n[nH]c2=O)CC1 ZINC001003411877 639539134 /nfs/dbraw/zinc/53/91/34/639539134.db2.gz XZLJDVRCHHZVIV-UHFFFAOYSA-N 0 1 288.351 0.626 20 30 CCEDMN N#CCCNC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000922228991 639588037 /nfs/dbraw/zinc/58/80/37/639588037.db2.gz PHRLDTDFYZVFLR-QWRGUYRKSA-N 0 1 268.386 0.779 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001014982574 650511365 /nfs/dbraw/zinc/51/13/65/650511365.db2.gz FVGYCKJMZVUTSH-STQMWFEESA-N 0 1 274.368 0.822 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001014983031 650511522 /nfs/dbraw/zinc/51/15/22/650511522.db2.gz HKLZUYAYMHNVEA-CHWSQXEVSA-N 0 1 274.368 0.822 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001015024753 650515991 /nfs/dbraw/zinc/51/59/91/650515991.db2.gz DBGUEKNUCAFPBN-JXQTWKCFSA-N 0 1 291.395 0.492 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001015056498 650520128 /nfs/dbraw/zinc/52/01/28/650520128.db2.gz DLLWGCWCGAZIIK-MRVPVSSYSA-N 0 1 255.709 0.361 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCC(=O)N(C)C2)CC1 ZINC001004216745 639731381 /nfs/dbraw/zinc/73/13/81/639731381.db2.gz ZLXFIQOMPUYXDT-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CN2CCCC2=O)CC1 ZINC001005092840 639875235 /nfs/dbraw/zinc/87/52/35/639875235.db2.gz RDOFENQVKJIGNS-UHFFFAOYSA-N 0 1 277.368 0.165 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2C[C@@H]2OCC)CC1 ZINC001005144910 639881687 /nfs/dbraw/zinc/88/16/87/639881687.db2.gz FRXFIUVNQXVSQY-KGLIPLIRSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cn[nH]c2)CC1 ZINC001005139927 639881914 /nfs/dbraw/zinc/88/19/14/639881914.db2.gz XUMYHDDHUPDEMP-UHFFFAOYSA-N 0 1 260.341 0.969 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cn(C)cn2)CC1 ZINC001005251973 639893820 /nfs/dbraw/zinc/89/38/20/639893820.db2.gz QFBHVOLEJCGYMZ-UHFFFAOYSA-N 0 1 274.368 0.980 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccc3n[nH]nc3n2)CC1 ZINC001005472864 639911731 /nfs/dbraw/zinc/91/17/31/639911731.db2.gz HMZJTGADQYCTKP-UHFFFAOYSA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@@H]2CCNC2=O)CC1 ZINC001005491242 639912390 /nfs/dbraw/zinc/91/23/90/639912390.db2.gz HUGMSBWQCVWAGY-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001015214248 650539947 /nfs/dbraw/zinc/53/99/47/650539947.db2.gz COOAQIWBRIKPBL-LBPRGKRZSA-N 0 1 296.334 0.595 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCN(C)C2=O)CC1 ZINC001005842376 639986353 /nfs/dbraw/zinc/98/63/53/639986353.db2.gz WHKNYCKHKFINAJ-ZDUSSCGKSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@]2(F)CCOC2)CC1 ZINC001005882404 640007251 /nfs/dbraw/zinc/00/72/51/640007251.db2.gz MTAANMFCBUBMDX-AWEZNQCLSA-N 0 1 268.332 0.671 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3nccn3c2)C1 ZINC001015278090 650549151 /nfs/dbraw/zinc/54/91/51/650549151.db2.gz KMUYZDQIVLGHGF-GFCCVEGCSA-N 0 1 271.324 0.719 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001015350102 650557644 /nfs/dbraw/zinc/55/76/44/650557644.db2.gz DFGVLXVGYOVRPS-AWEZNQCLSA-N 0 1 288.395 0.739 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001015366648 650559284 /nfs/dbraw/zinc/55/92/84/650559284.db2.gz SBDBNUVCNCDKPB-MGPQQGTHSA-N 0 1 286.379 0.737 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001015402768 650562774 /nfs/dbraw/zinc/56/27/74/650562774.db2.gz JEIMDKDNUBMGJF-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN Oc1ccc(C=NNc2ncnc3nc[nH]c32)nc1 ZINC000755661535 640330894 /nfs/dbraw/zinc/33/08/94/640330894.db2.gz MHPIBTRZKGHOID-UHFFFAOYSA-N 0 1 255.241 0.900 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(OC)ccn2)C1 ZINC001015485301 650571636 /nfs/dbraw/zinc/57/16/36/650571636.db2.gz VYJZHSCLMDWQEU-GFCCVEGCSA-N 0 1 273.336 0.918 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ncccc2F)C1 ZINC001015614388 650592408 /nfs/dbraw/zinc/59/24/08/650592408.db2.gz YFACOOQQYQLDSL-LBPRGKRZSA-N 0 1 275.327 0.977 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCc3cnn(C)c3C2)C1 ZINC001015613239 650592523 /nfs/dbraw/zinc/59/25/23/650592523.db2.gz CMYNQOLZCYYMKQ-GXTWGEPZSA-N 0 1 288.395 0.902 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001015621026 650594383 /nfs/dbraw/zinc/59/43/83/650594383.db2.gz QMWSMQBWNBABFC-MNOVXSKESA-N 0 1 273.340 0.048 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001015656433 650602282 /nfs/dbraw/zinc/60/22/82/650602282.db2.gz MMDMHRIZWLIRRK-VIFPVBQESA-N 0 1 250.302 0.116 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(C(N)=O)co2)C1 ZINC001015686296 650608956 /nfs/dbraw/zinc/60/89/56/650608956.db2.gz YODJBFLUHQIRID-LLVKDONJSA-N 0 1 275.308 0.206 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cccnc2C)C1 ZINC001015697995 650610878 /nfs/dbraw/zinc/61/08/78/650610878.db2.gz FEMXDZJPMKDSJE-AWEZNQCLSA-N 0 1 257.337 0.756 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCN(CC#CC)C1 ZINC001015726540 650618013 /nfs/dbraw/zinc/61/80/13/650618013.db2.gz OUTPXAAMHRRGKR-MCIONIFRSA-N 0 1 262.353 0.791 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001015763447 650625066 /nfs/dbraw/zinc/62/50/66/650625066.db2.gz YAWYWAKRFDIJTG-SNVBAGLBSA-N 0 1 268.748 0.895 20 30 CCEDMN Cn1cc(C=Nn2c(C(F)(F)F)n[nH]c2=S)c(=O)[nH]1 ZINC000814213306 641059983 /nfs/dbraw/zinc/05/99/83/641059983.db2.gz HMWWAHJMDXJZCF-UHFFFAOYSA-N 0 1 292.246 0.907 20 30 CCEDMN Cn1cc(C=NNc2ccc(-n3cccn3)nn2)c(=O)[nH]1 ZINC000814218629 641060161 /nfs/dbraw/zinc/06/01/61/641060161.db2.gz PPTBCSYFTPWKHS-UHFFFAOYSA-N 0 1 284.283 0.547 20 30 CCEDMN C[C@@H](CC(=O)C(C#N)C(=O)NC1CC1)n1cccn1 ZINC000819945675 641441987 /nfs/dbraw/zinc/44/19/87/641441987.db2.gz WTNHYHFGXUQYDT-ONGXEEELSA-N 0 1 260.297 0.822 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001015957562 650662797 /nfs/dbraw/zinc/66/27/97/650662797.db2.gz XQQFELTVRPDOKF-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)c1[nH]nc2c1CCCCC2 ZINC000820476209 641509774 /nfs/dbraw/zinc/50/97/74/641509774.db2.gz JQOBOQURIIJNKA-LLVKDONJSA-N 0 1 292.339 0.789 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001016046548 650677666 /nfs/dbraw/zinc/67/76/66/650677666.db2.gz OGKFARLIDLJJHV-OLZOCXBDSA-N 0 1 265.357 0.374 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC001016223402 650699341 /nfs/dbraw/zinc/69/93/41/650699341.db2.gz VPOKYNBAOUSKCT-CYBMUJFWSA-N 0 1 273.336 0.062 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2c(C)n[nH]c2C)C1 ZINC001016235874 650702262 /nfs/dbraw/zinc/70/22/62/650702262.db2.gz UOBFQODIASXOCC-ZDUSSCGKSA-N 0 1 274.368 0.783 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2c(C)n[nH]c2C)C1 ZINC001016238398 650702352 /nfs/dbraw/zinc/70/23/52/650702352.db2.gz OPKQJLQTJKCWEY-GFCCVEGCSA-N 0 1 262.357 0.946 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1ccc(F)cc1C#N ZINC000826384326 641932515 /nfs/dbraw/zinc/93/25/15/641932515.db2.gz QVKQHEXUPIYGTK-UHFFFAOYSA-N 0 1 294.253 0.994 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001016266156 650709348 /nfs/dbraw/zinc/70/93/48/650709348.db2.gz JNGCHGFEDLLMEX-JTQLQIEISA-N 0 1 262.313 0.104 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](C2CC2)N2CCOCC2)C1 ZINC001016312649 650715830 /nfs/dbraw/zinc/71/58/30/650715830.db2.gz ZIYFLXMJWSORMS-GJZGRUSLSA-N 0 1 293.411 0.474 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccnc2C(N)=O)C1 ZINC001016319645 650717246 /nfs/dbraw/zinc/71/72/46/650717246.db2.gz JOPFVWMWEUWOJR-SNVBAGLBSA-N 0 1 274.324 0.171 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN([C@H](C)CC(C)C)CC1 ZINC000828524992 642102731 /nfs/dbraw/zinc/10/27/31/642102731.db2.gz UYKKPXXPLXRHGQ-CYBMUJFWSA-N 0 1 287.429 0.506 20 30 CCEDMN C[S@@](=O)CCN1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000828567285 642105271 /nfs/dbraw/zinc/10/52/71/642105271.db2.gz ZTGGSSUEIVLASL-HXPMCKFVSA-N 0 1 279.365 0.785 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C2 ZINC000829485594 642165482 /nfs/dbraw/zinc/16/54/82/642165482.db2.gz GNRXISLWRYGESQ-JHJVBQTASA-N 0 1 271.276 0.807 20 30 CCEDMN COc1csc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834479893 642572676 /nfs/dbraw/zinc/57/26/76/642572676.db2.gz JAJPTTGZWNIENW-VXNVDRBHSA-N 0 1 251.311 0.598 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(-c2ccco2)[nH]c1=O ZINC000834493092 642580910 /nfs/dbraw/zinc/58/09/10/642580910.db2.gz AJQOCMKHBAMVMC-CABZTGNLSA-N 0 1 298.302 0.889 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCOCc1ccccc1 ZINC000834490402 642581980 /nfs/dbraw/zinc/58/19/80/642581980.db2.gz UZPZDWFLHDYWSS-UONOGXRCSA-N 0 1 273.336 0.821 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1c[nH]nc1-c1ccncc1 ZINC000834491103 642582315 /nfs/dbraw/zinc/58/23/15/642582315.db2.gz ZWCNEVKBIOJTKA-ZYHUDNBSSA-N 0 1 282.307 0.313 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC000834498994 642586391 /nfs/dbraw/zinc/58/63/91/642586391.db2.gz CXDSWTUYKBRKPD-RYUDHWBXSA-N 0 1 282.307 0.313 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1nc2cccnc2s1 ZINC000834499188 642587298 /nfs/dbraw/zinc/58/72/98/642587298.db2.gz FUCSAVFASKLWNB-IONNQARKSA-N 0 1 273.321 0.533 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccnn1-c1ccccc1 ZINC000834499438 642587964 /nfs/dbraw/zinc/58/79/64/642587964.db2.gz ILXWPHHALJZNQC-YPMHNXCESA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1c[nH]c(=O)c2ccccc12 ZINC000834499227 642588306 /nfs/dbraw/zinc/58/83/06/642588306.db2.gz GJBIPHUXTIQZFH-RNCFNFMXSA-N 0 1 282.303 0.782 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ncccc1Br ZINC000834500947 642590380 /nfs/dbraw/zinc/59/03/80/642590380.db2.gz YJWKADHOBJTHDY-CBAPKCEASA-N 0 1 295.140 0.685 20 30 CCEDMN Cc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1[N+](=O)[O-] ZINC000834506618 642593365 /nfs/dbraw/zinc/59/33/65/642593365.db2.gz UNIKSZJBHQQYGH-QWRGUYRKSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1COc2ccccc2O1 ZINC000834510910 642595876 /nfs/dbraw/zinc/59/58/76/642595876.db2.gz HDJQFDKDVVTOTD-NRUUGDAUSA-N 0 1 273.292 0.054 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000834515957 642598695 /nfs/dbraw/zinc/59/86/95/642598695.db2.gz DKWQKSZODHGTJH-CABZTGNLSA-N 0 1 290.279 0.201 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1n[nH]c2c1CCCC2 ZINC000834516164 642598976 /nfs/dbraw/zinc/59/89/76/642598976.db2.gz FUAATMWWUALAPD-KCJUWKMLSA-N 0 1 259.313 0.130 20 30 CCEDMN Cc1nn(C)c2sc(C(=O)N[C@@H]3CNC[C@H]3C#N)cc12 ZINC000834517373 642600502 /nfs/dbraw/zinc/60/05/02/642600502.db2.gz UUCYIIQLWHBDFL-PSASIEDQSA-N 0 1 289.364 0.785 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(-c2cccnc2)on1 ZINC000834520991 642601446 /nfs/dbraw/zinc/60/14/46/642601446.db2.gz AYOKIQVGUJSDQM-PWSUYJOCSA-N 0 1 283.291 0.578 20 30 CCEDMN Cc1nccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c1Cl ZINC000841178391 642806507 /nfs/dbraw/zinc/80/65/07/642806507.db2.gz CQVUUEZWCFUKTC-WPRPVWTQSA-N 0 1 279.731 0.698 20 30 CCEDMN Cc1cc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)nn1C ZINC000841633558 642827692 /nfs/dbraw/zinc/82/76/92/642827692.db2.gz POIXEJQLQJSDQC-RYUDHWBXSA-N 0 1 260.345 0.922 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(=O)[nH]n1 ZINC001017323791 650793825 /nfs/dbraw/zinc/79/38/25/650793825.db2.gz JXUXPNYROCXHOL-TXEJJXNPSA-N 0 1 286.335 0.494 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CN1CCCC1=O ZINC001017339946 650795341 /nfs/dbraw/zinc/79/53/41/650795341.db2.gz DJMJVTPKLYZXAG-OKILXGFUSA-N 0 1 291.395 0.860 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@@H]1C(=O)OC ZINC001017412071 650800799 /nfs/dbraw/zinc/80/07/99/650800799.db2.gz MPXFKEXGQKGPBZ-RQJABVFESA-N 0 1 290.363 0.494 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(F)c(F)cc1F ZINC000843459081 643063757 /nfs/dbraw/zinc/06/37/57/643063757.db2.gz CHFDBOYZSWTKDE-KGFZYKRKSA-N 0 1 269.226 0.945 20 30 CCEDMN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000843460321 643065122 /nfs/dbraw/zinc/06/51/22/643065122.db2.gz NOTWXJBKHUGTQY-UWVGGRQHSA-N 0 1 274.280 0.745 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nnc1C ZINC001017787416 650822092 /nfs/dbraw/zinc/82/20/92/650822092.db2.gz SRYIYGCOWILKCE-PHIMTYICSA-N 0 1 259.313 0.035 20 30 CCEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)N[C@H]1CCCN(O)C1=O ZINC000848136936 643607535 /nfs/dbraw/zinc/60/75/35/643607535.db2.gz UTMWMTCNNYLIBV-MXWKQRLJSA-N 0 1 269.345 0.356 20 30 CCEDMN N#CCCNC(=O)CN1C[C@]23COC[C@@]2(C1)CCCC3 ZINC000852760451 643996573 /nfs/dbraw/zinc/99/65/73/643996573.db2.gz ZYTNDTKWPIKHNC-GASCZTMLSA-N 0 1 277.368 0.909 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc(Cn2ccnn2)c1 ZINC000852827795 644014087 /nfs/dbraw/zinc/01/40/87/644014087.db2.gz PRJTZJQNCMVXLI-ZIAGYGMSSA-N 0 1 296.334 0.168 20 30 CCEDMN N#Cc1ccc(CCNC(=O)c2[nH]nc3c2CNCC3)cc1 ZINC000852844508 644019854 /nfs/dbraw/zinc/01/98/54/644019854.db2.gz VKWUEFNUFWUEJP-UHFFFAOYSA-N 0 1 295.346 0.900 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1COC[C@@H]1c1ccccc1 ZINC000852875177 644036419 /nfs/dbraw/zinc/03/64/19/644036419.db2.gz GJHNMSMDMVLHGB-KBXIAJHMSA-N 0 1 285.347 0.644 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NCc2n[nH]c(C3CC3)n2)C1 ZINC001018632609 650886994 /nfs/dbraw/zinc/88/69/94/650886994.db2.gz PEGLIRZPCKXFSK-KOLCDFICSA-N 0 1 288.355 0.532 20 30 CCEDMN Cn1nncc1CN[C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC001018739149 650902649 /nfs/dbraw/zinc/90/26/49/650902649.db2.gz XRZMBLXSSCJHGN-NSHDSACASA-N 0 1 299.338 0.019 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001019120047 650940011 /nfs/dbraw/zinc/94/00/11/650940011.db2.gz LOGYLURDVOJLEG-NEPJUHHUSA-N 0 1 299.802 0.988 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001019182746 650944585 /nfs/dbraw/zinc/94/45/85/650944585.db2.gz IVMYEWBOEWLJBS-GWCFXTLKSA-N 0 1 285.775 0.456 20 30 CCEDMN N#CC(C(=O)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2)c1ccccn1 ZINC000860468513 644803547 /nfs/dbraw/zinc/80/35/47/644803547.db2.gz SMBOIEWSYMLZSN-MZYWCHDMSA-N 0 1 298.298 0.978 20 30 CCEDMN Cc1ccc(C(=O)NCCN2CC[C@@H](O)C2)cc1C#N ZINC000863319267 645078625 /nfs/dbraw/zinc/07/86/25/645078625.db2.gz JLMCYNCFXLKYGU-CQSZACIVSA-N 0 1 273.336 0.663 20 30 CCEDMN C[C@H]1C(N=Nc2nccc(N3CCOCC3)n2)CCN1C ZINC000872356946 646250957 /nfs/dbraw/zinc/25/09/57/646250957.db2.gz KETMCLYSEWTXDL-NSHDSACASA-N 0 1 290.371 0.805 20 30 CCEDMN Fc1cnccc1NN=Cc1cn(C[C@@H]2CCOC2)nn1 ZINC000872388853 646255366 /nfs/dbraw/zinc/25/53/66/646255366.db2.gz ZMCFSRODWXXZLL-JTQLQIEISA-N 0 1 290.302 0.717 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccnc1C1CC1 ZINC000876801623 646669173 /nfs/dbraw/zinc/66/91/73/646669173.db2.gz GQQGFSWBMFGVRY-PWSUYJOCSA-N 0 1 256.309 0.800 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc2cc(=O)[nH]cc21 ZINC000876802169 646670684 /nfs/dbraw/zinc/67/06/84/646670684.db2.gz MINVWVHIAPWZAO-ZWNOBZJWSA-N 0 1 282.303 0.782 20 30 CCEDMN C[C@]1(C(=O)N[C@@H]2CNC[C@@H]2C#N)COc2ccccc2O1 ZINC000876803908 646671892 /nfs/dbraw/zinc/67/18/92/646671892.db2.gz ZDLCOVVTWKZRQX-FIXISWKDSA-N 0 1 287.319 0.444 20 30 CCEDMN Cn1nnnc1CCN1CCC(C#N)(c2ccccn2)CC1 ZINC000878557214 646935694 /nfs/dbraw/zinc/93/56/94/646935694.db2.gz CIIMWYLGVSTOFU-UHFFFAOYSA-N 0 1 297.366 0.705 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2ccc(CN3CCOCC3)o2)NO1 ZINC000879329555 647047630 /nfs/dbraw/zinc/04/76/30/647047630.db2.gz YZBTZLNMRREZCU-JTQLQIEISA-N 0 1 293.323 0.964 20 30 CCEDMN N#CCOc1ccc(CN2CC[C@@H](O)[C@@H](O)C2)cc1 ZINC000879611310 647081709 /nfs/dbraw/zinc/08/17/09/647081709.db2.gz FUZBYLJCKNYAGG-KGLIPLIRSA-N 0 1 262.309 0.516 20 30 CCEDMN N#CCSCCCN1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879930051 647128130 /nfs/dbraw/zinc/12/81/30/647128130.db2.gz BPEWTNBOCVYYTQ-RYUDHWBXSA-N 0 1 288.438 1.000 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN(C[C@@H](C)O)C[C@H]2C)n1 ZINC000882208549 647382683 /nfs/dbraw/zinc/38/26/83/647382683.db2.gz WGVYCNMLFWQWKA-GHMZBOCLSA-N 0 1 275.356 0.548 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCCC(=O)N2C)CC1 ZINC001006357392 647576018 /nfs/dbraw/zinc/57/60/18/647576018.db2.gz WMWPZHUAJVKVCW-CQSZACIVSA-N 0 1 291.395 0.553 20 30 CCEDMN N#CC(C(=O)C[C@@H]1COC(=O)C1)C(=O)NC1CCCC1 ZINC000133478342 647610490 /nfs/dbraw/zinc/61/04/90/647610490.db2.gz MSJBYAVAXPLFJA-GXSJLCMTSA-N 0 1 278.308 0.707 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(C(F)(F)F)ccn1 ZINC000884250388 647680645 /nfs/dbraw/zinc/68/06/45/647680645.db2.gz CYRFNIAXDNOBRU-OIBJUYFYSA-N 0 1 284.241 0.942 20 30 CCEDMN Cc1cnc(Cl)c(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000884251120 647682583 /nfs/dbraw/zinc/68/25/83/647682583.db2.gz VZEJNBRUAFAIBG-SCZZXKLOSA-N 0 1 264.716 0.885 20 30 CCEDMN N#Cc1ncn(CCNCc2ccc(Cl)cn2)n1 ZINC000886113874 647904411 /nfs/dbraw/zinc/90/44/11/647904411.db2.gz BREWYKDEFXLYNP-UHFFFAOYSA-N 0 1 262.704 0.988 20 30 CCEDMN C[C@@H](C#N)Cn1[nH]c2c(c1=O)CC1(CC2)OCCO1 ZINC000887284907 648087512 /nfs/dbraw/zinc/08/75/12/648087512.db2.gz DSFMAUYTLVGFSH-UWVGGRQHSA-N 0 1 263.297 0.887 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)c2c[nH]cc3ncnc2-3)CCO1 ZINC000887690800 648143422 /nfs/dbraw/zinc/14/34/22/648143422.db2.gz UDJUWEGFHRFAJD-CYBMUJFWSA-N 0 1 271.280 0.713 20 30 CCEDMN C[C@]1(C(=O)NCCNC(O)=C2N=CC=CC2=O)C=CCC1 ZINC000932530753 649079383 /nfs/dbraw/zinc/07/93/83/649079383.db2.gz JIYSDMXMPMDAFF-LHNRBYRGSA-N 0 1 289.335 0.985 20 30 CCEDMN N#CC1(C[C@@H](O)CN2C3CCC2(C(N)=O)CC3)CCC1 ZINC000932936717 649101364 /nfs/dbraw/zinc/10/13/64/649101364.db2.gz CFJSMIDKZOOGHP-MQYJIDSJSA-N 0 1 277.368 0.914 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000935843966 649265845 /nfs/dbraw/zinc/26/58/45/649265845.db2.gz YINPFYYWJQXSCJ-OAHLLOKOSA-N 0 1 298.390 0.986 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccnc(C)n2)CC1 ZINC001006426353 649347833 /nfs/dbraw/zinc/34/78/33/649347833.db2.gz RFDYRDIAHOITFB-UHFFFAOYSA-N 0 1 272.352 0.955 20 30 CCEDMN C[C@H](OC[C@H]1CCCCO1)C(=O)C(C#N)C(=O)NC1CC1 ZINC000249826935 649752820 /nfs/dbraw/zinc/75/28/20/649752820.db2.gz KXZUZVSHQSBJJK-CYZMBNFOSA-N 0 1 294.351 0.948 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncc(C#N)cc3F)C[C@@H]21 ZINC001164670586 719416890 /nfs/dbraw/zinc/41/68/90/719416890.db2.gz OXKKYVDUDSVHGV-RYUDHWBXSA-N 0 1 262.288 0.612 20 30 CCEDMN Cc1nc(C#N)cnc1N1C[C@@H]2OCCN(C)[C@H]2C1 ZINC001164673712 719422994 /nfs/dbraw/zinc/42/29/94/719422994.db2.gz UAOMKGWTQVUGPK-RYUDHWBXSA-N 0 1 259.313 0.176 20 30 CCEDMN Cc1nc(N2C[C@H]3OCCN(C)[C@H]3C2)cnc1C#N ZINC001164668771 719423549 /nfs/dbraw/zinc/42/35/49/719423549.db2.gz KTPLKLJNXRNVQC-NWDGAFQWSA-N 0 1 259.313 0.176 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)c2cn[nH]c2)CC1 ZINC001266257579 790343111 /nfs/dbraw/zinc/34/31/11/790343111.db2.gz MCBQCMGVYVQJOD-UHFFFAOYSA-N 0 1 277.372 0.333 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCO[C@H]1c1ccncc1 ZINC001266275312 790379980 /nfs/dbraw/zinc/37/99/80/790379980.db2.gz UVUVLROVELLBOB-CABCVRRESA-N 0 1 287.363 0.840 20 30 CCEDMN CC#CCN(C)CCNC(=O)Cc1cn2c(n1)CCCC2 ZINC001266277056 790383223 /nfs/dbraw/zinc/38/32/23/790383223.db2.gz MCXFFLRLNISAOY-UHFFFAOYSA-N 0 1 288.395 0.833 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H](C)OCC2CC2)CC1 ZINC001266281755 790393311 /nfs/dbraw/zinc/39/33/11/790393311.db2.gz ZEHQFNDKMBPTFQ-AWEZNQCLSA-N 0 1 295.427 0.721 20 30 CCEDMN COCC#CCN(C)CCNC(=O)Cc1cnc(C)o1 ZINC001266282804 790395851 /nfs/dbraw/zinc/39/58/51/790395851.db2.gz SCBUCGCNLYLWGW-UHFFFAOYSA-N 0 1 279.340 0.223 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2cn(C)cn2)CC1 ZINC001266283290 790399295 /nfs/dbraw/zinc/39/92/95/790399295.db2.gz LFUUNZRHVMNMDM-UHFFFAOYSA-N 0 1 291.399 0.344 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2cc[nH]c2)CC1 ZINC001266285774 790406148 /nfs/dbraw/zinc/40/61/48/790406148.db2.gz MONIROHQFXLRFF-UHFFFAOYSA-N 0 1 276.384 0.938 20 30 CCEDMN C#Cc1cncc(C(=O)NCCN2CCN(CC=C)CC2)c1 ZINC001266292402 790433821 /nfs/dbraw/zinc/43/38/21/790433821.db2.gz HOEAQHHEYSDKLU-UHFFFAOYSA-N 0 1 298.390 0.596 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H]2C[C@@]23CCOC3)CC1 ZINC001266294905 790444527 /nfs/dbraw/zinc/44/45/27/790444527.db2.gz OUEJIBFEPZOTRS-GDBMZVCRSA-N 0 1 293.411 0.333 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)CNc1ccc(C#N)nn1 ZINC001104225944 790452832 /nfs/dbraw/zinc/45/28/32/790452832.db2.gz GIVOMVPGCLDLRL-SNVBAGLBSA-N 0 1 299.338 0.478 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnn2c1CCCC2 ZINC001266343886 790551477 /nfs/dbraw/zinc/55/14/77/790551477.db2.gz BGPKJINSQXBQHZ-UHFFFAOYSA-N 0 1 260.341 0.514 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@@H]1CNC(=O)C1CCOCC1 ZINC001266443435 790721544 /nfs/dbraw/zinc/72/15/44/790721544.db2.gz OEUXRZHWTOLXSD-OAHLLOKOSA-N 0 1 294.395 0.643 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)C1CCOCC1 ZINC001266443435 790721548 /nfs/dbraw/zinc/72/15/48/790721548.db2.gz OEUXRZHWTOLXSD-OAHLLOKOSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCN(C)C[C@H]1CCCN(C(=O)CS(C)(=O)=O)C1 ZINC001266452805 790740146 /nfs/dbraw/zinc/74/01/46/790740146.db2.gz RQLSOFFNCLNYNA-GFCCVEGCSA-N 0 1 288.413 0.387 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CO[C@H]1CCOC1 ZINC001266465644 790758527 /nfs/dbraw/zinc/75/85/27/790758527.db2.gz ZBMPEDHFXDPTCR-OLZOCXBDSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](CNC(=O)CC2CC2)C1 ZINC001266497232 790791188 /nfs/dbraw/zinc/79/11/88/790791188.db2.gz CPJXVLMIUZTCQS-GXTWGEPZSA-N 0 1 291.395 0.363 20 30 CCEDMN Cc1ncc(CNCCNC(=O)c2cc(C#N)c[nH]2)cn1 ZINC001125360655 790800022 /nfs/dbraw/zinc/80/00/22/790800022.db2.gz IASXDMBCSJNOGH-UHFFFAOYSA-N 0 1 284.323 0.504 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)/C=C(\C)CC)C1 ZINC001266510407 790827239 /nfs/dbraw/zinc/82/72/39/790827239.db2.gz BLHLGJDFESPVRC-KADHNRKRSA-N 0 1 291.395 0.530 20 30 CCEDMN CNC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001266514256 790844924 /nfs/dbraw/zinc/84/49/24/790844924.db2.gz OJQLDTSNNXPAJG-OLZOCXBDSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCN(CCNC(=O)c1nccn1CC)C1CC1 ZINC001266590764 790992645 /nfs/dbraw/zinc/99/26/45/790992645.db2.gz PHYIAOIBWCFIAT-UHFFFAOYSA-N 0 1 260.341 0.730 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CCCOC)C1 ZINC001149256028 791113094 /nfs/dbraw/zinc/11/30/94/791113094.db2.gz JIFORMVRTIBZPU-ZDUSSCGKSA-N 0 1 270.373 0.806 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)COC)C[C@H](C)O2 ZINC001098549346 791122671 /nfs/dbraw/zinc/12/26/71/791122671.db2.gz QJFIVWDQXKRPJA-ZDUSSCGKSA-N 0 1 280.368 0.348 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)CC2(O)CCC2)C1 ZINC001279479554 791139515 /nfs/dbraw/zinc/13/95/15/791139515.db2.gz JJPZBGZOBTZIHI-CQSZACIVSA-N 0 1 282.384 0.421 20 30 CCEDMN COCC#CCN1CC[C@H]1CN(C)C(=O)c1ccn[nH]1 ZINC001230811545 805670700 /nfs/dbraw/zinc/67/07/00/805670700.db2.gz QUEJERPTGBHFFL-LBPRGKRZSA-N 0 1 276.340 0.206 20 30 CCEDMN CN(C[C@@H]1CCN1CCCO)C(=O)CSCC#N ZINC001230818688 805672222 /nfs/dbraw/zinc/67/22/22/805672222.db2.gz BGRNQNLUUUOHTK-NSHDSACASA-N 0 1 271.386 0.158 20 30 CCEDMN N#Cc1cccc(CN2CC3(C2)CCOC[C@@H]3C(N)=O)c1 ZINC001277479404 805708610 /nfs/dbraw/zinc/70/86/10/805708610.db2.gz WIJQQQAEILIVLQ-CQSZACIVSA-N 0 1 285.347 0.882 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@@H+](C)CCCNC(=O)CC1CC1 ZINC001231153164 805741577 /nfs/dbraw/zinc/74/15/77/805741577.db2.gz HEXVDGPTZOOZBO-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CC1CC1 ZINC001231153164 805741584 /nfs/dbraw/zinc/74/15/84/805741584.db2.gz HEXVDGPTZOOZBO-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN CCOCCN1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231294525 805771135 /nfs/dbraw/zinc/77/11/35/805771135.db2.gz YXANWMYMOFXGKF-VXGBXAGGSA-N 0 1 253.346 0.715 20 30 CCEDMN N#C[C@@H]1CN(Cc2nc3ccccn3n2)CCC1=O ZINC001233070469 806015868 /nfs/dbraw/zinc/01/58/68/806015868.db2.gz OUOIQLWTKPNLKP-SNVBAGLBSA-N 0 1 255.281 0.644 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)C2CC(OCC)C2)C1 ZINC001279482258 791158831 /nfs/dbraw/zinc/15/88/31/791158831.db2.gz ZSUBPSBJLNRTFB-XUJLQICISA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@]1(F)CCOC1 ZINC001266815461 791260437 /nfs/dbraw/zinc/26/04/37/791260437.db2.gz UNENAYBNQBHQDF-NSHDSACASA-N 0 1 264.728 0.916 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@]1(C)CCNC1=O ZINC001266855510 791294611 /nfs/dbraw/zinc/29/46/11/791294611.db2.gz DHUJRXJKWXSJJE-XJKSGUPXSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H](CC)NC(C)=O ZINC001266855594 791294716 /nfs/dbraw/zinc/29/47/16/791294716.db2.gz FHRNPYWMWBIRKO-UONOGXRCSA-N 0 1 279.384 0.505 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)[C@@H]1CCCN(CC(=O)NC)C1 ZINC001266892615 791324851 /nfs/dbraw/zinc/32/48/51/791324851.db2.gz OGXVYYBDACZMPO-QWHCGFSZSA-N 0 1 281.400 0.915 20 30 CCEDMN C[C@](O)(CNCC#CCO)C(F)(F)C(F)(F)F ZINC000724026218 791378072 /nfs/dbraw/zinc/37/80/72/791378072.db2.gz XDMGLAPQVOJIKP-ZETCQYMHSA-N 0 1 261.190 0.520 20 30 CCEDMN C=CCOCCCC(=O)N(C)C[C@@H]1CCN1C[C@H](C)O ZINC001233490439 806063362 /nfs/dbraw/zinc/06/33/62/806063362.db2.gz QXZQWZWBYWMXOU-KBPBESRZSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cn3cccn3)C[C@H]21 ZINC001041939047 791464519 /nfs/dbraw/zinc/46/45/19/791464519.db2.gz NUXZRQGMCWNUMS-UONOGXRCSA-N 0 1 272.352 0.439 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](CNCc2cnon2)C1 ZINC001267039580 791471736 /nfs/dbraw/zinc/47/17/36/791471736.db2.gz UKZYKHPRSJAGDM-GFCCVEGCSA-N 0 1 276.340 0.667 20 30 CCEDMN C=CCC[C@H](C)N(C)CCNC(=O)[C@H](C)S(C)(=O)=O ZINC001267202608 791666852 /nfs/dbraw/zinc/66/68/52/791666852.db2.gz DDHJMZVSEVEHRU-RYUDHWBXSA-N 0 1 290.429 0.822 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cccc2ncnn21 ZINC001267205587 791671682 /nfs/dbraw/zinc/67/16/82/791671682.db2.gz QJHLVXZUCVBSCW-UHFFFAOYSA-N 0 1 271.324 0.414 20 30 CCEDMN C#CCN(C)CCNC(=O)CCc1nc(C(C)C)no1 ZINC001267209314 791676063 /nfs/dbraw/zinc/67/60/63/791676063.db2.gz STQRVBLDDAGTLW-UHFFFAOYSA-N 0 1 278.356 0.807 20 30 CCEDMN COCC#CCN(C)CCNC(=O)Cc1ccc(C)nc1 ZINC001267230511 791709722 /nfs/dbraw/zinc/70/97/22/791709722.db2.gz QCAMDTCKVLRORK-UHFFFAOYSA-N 0 1 289.379 0.630 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cc1cc(C)n[nH]1 ZINC001233675202 806105793 /nfs/dbraw/zinc/10/57/93/806105793.db2.gz WJKUIICIOYYMRY-AWEZNQCLSA-N 0 1 274.368 0.817 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CC(=O)NCC ZINC001277801212 806108747 /nfs/dbraw/zinc/10/87/47/806108747.db2.gz SGFWKEMLFQZVHQ-JTQLQIEISA-N 0 1 275.780 0.702 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1ccoc1 ZINC001233925441 806148824 /nfs/dbraw/zinc/14/88/24/806148824.db2.gz URACUNJDGVANKG-UHFFFAOYSA-N 0 1 279.340 0.634 20 30 CCEDMN CC(C)C#CC(=O)N(C)C[C@H]1CCN1CCCO ZINC001234130615 806188417 /nfs/dbraw/zinc/18/84/17/806188417.db2.gz NQZOUBIUENPRNG-CYBMUJFWSA-N 0 1 252.358 0.561 20 30 CCEDMN COC(=O)c1n[nH]c(C(=O)OC)c1O[C@@H]1C=CC(=O)C1 ZINC001234192840 806200561 /nfs/dbraw/zinc/20/05/61/806200561.db2.gz GEVYRAPURIPNJK-SSDOTTSWSA-N 0 1 280.236 0.259 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CCN1CCOC(C)C ZINC001234265125 806213706 /nfs/dbraw/zinc/21/37/06/806213706.db2.gz HISZULYAULQSJH-LSDHHAIUSA-N 0 1 296.411 0.982 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@H](C)OCC ZINC001234302878 806220778 /nfs/dbraw/zinc/22/07/78/806220778.db2.gz ZBEYKNYGJKMUCS-STQMWFEESA-N 0 1 299.415 0.540 20 30 CCEDMN CCc1cc(C(=O)NCCN(CC)CC#CCOC)[nH]n1 ZINC001267235750 793220105 /nfs/dbraw/zinc/22/01/05/793220105.db2.gz GCRLPXQVTURWHK-UHFFFAOYSA-N 0 1 292.383 0.674 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)CCCOC ZINC001234398748 806239315 /nfs/dbraw/zinc/23/93/15/806239315.db2.gz WAWIONVYFAQKQJ-UHFFFAOYSA-N 0 1 285.388 0.153 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)/C(C)=C/CC ZINC001234925309 806315271 /nfs/dbraw/zinc/31/52/71/806315271.db2.gz WGBHVVQYVVPXHW-MDWZMJQESA-N 0 1 279.384 0.530 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CN(C)C(=O)C(C)C ZINC001234923835 806315593 /nfs/dbraw/zinc/31/55/93/806315593.db2.gz OQKPVBATLSQRQX-ZDUSSCGKSA-N 0 1 281.400 0.820 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)/C(C)=C/CC ZINC001234984377 806327792 /nfs/dbraw/zinc/32/77/92/806327792.db2.gz PPXINZZCBIOTJS-KADHNRKRSA-N 0 1 293.411 0.919 20 30 CCEDMN N#CC1(C(=O)N2CC3(C2)CN(C2CC2)C3)CCOCC1 ZINC001277920304 806368582 /nfs/dbraw/zinc/36/85/82/806368582.db2.gz XXBKOYPDVNVIJD-UHFFFAOYSA-N 0 1 275.352 0.613 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@H]1CCOC1 ZINC001235246311 806393337 /nfs/dbraw/zinc/39/33/37/806393337.db2.gz PLHMOYRFINWZMW-STQMWFEESA-N 0 1 297.399 0.152 20 30 CCEDMN N#CCCN1CC2(CCN2C(=O)[C@H]2CC3CCN2CC3)C1 ZINC001277936361 806403090 /nfs/dbraw/zinc/40/30/90/806403090.db2.gz GLZDVAIIGMVNHM-CQSZACIVSA-N 0 1 288.395 0.671 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@H](CC)OC ZINC001235386244 806414360 /nfs/dbraw/zinc/41/43/60/806414360.db2.gz KLWUWJJYQXFINQ-OLZOCXBDSA-N 0 1 299.415 0.540 20 30 CCEDMN COCC#CCN1CCC(C)(NC(=O)[C@H](C)COC)CC1 ZINC001278039219 806625211 /nfs/dbraw/zinc/62/52/11/806625211.db2.gz HNCMUTYLKDCEHD-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@]2(F)CCOC2)CC1 ZINC001278071693 806649325 /nfs/dbraw/zinc/64/93/25/806649325.db2.gz OUGNUHYQTZHWTP-CQSZACIVSA-N 0 1 268.332 0.719 20 30 CCEDMN Cc1ccncc1CN[C@@H](CO)CNC(=O)C#CC1CC1 ZINC001278076988 806652104 /nfs/dbraw/zinc/65/21/04/806652104.db2.gz DKAMXPFRMZSKDA-OAHLLOKOSA-N 0 1 287.363 0.370 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1ccc2cccnc2c1 ZINC001278099433 806668060 /nfs/dbraw/zinc/66/80/60/806668060.db2.gz KQLKZRVCMPDHCM-HNNXBMFYSA-N 0 1 297.358 0.477 20 30 CCEDMN COC[C@@H]1CNCCN1C(=O)NCC1(CC#N)CC1 ZINC001120536874 798851723 /nfs/dbraw/zinc/85/17/23/798851723.db2.gz QFPASVDPUDSUGO-NSHDSACASA-N 0 1 266.345 0.310 20 30 CCEDMN N#CC1(CNC[C@H](O)C2(O)CCCC2)CCOCC1 ZINC001120566842 798868339 /nfs/dbraw/zinc/86/83/39/798868339.db2.gz REQMGLAJKMGAFW-LBPRGKRZSA-N 0 1 268.357 0.562 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1C[C@@H]2CCCC[C@H]12 ZINC001278120115 806698403 /nfs/dbraw/zinc/69/84/03/806698403.db2.gz QZGRTUZXKAJTFH-XDQVBPFNSA-N 0 1 264.369 0.513 20 30 CCEDMN N#CCNC1CC2(C1)CC(NC(=O)CCc1cnc[nH]1)C2 ZINC001121206545 799015297 /nfs/dbraw/zinc/01/52/97/799015297.db2.gz RVJGRYRSKJDTQU-UHFFFAOYSA-N 0 1 287.367 0.883 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCCc2cc(N)ccc21 ZINC001121934049 799100076 /nfs/dbraw/zinc/10/00/76/799100076.db2.gz XEOSUMHXNPSFRR-AWEZNQCLSA-N 0 1 257.337 0.985 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CC(C)(C)C)C(=O)OC ZINC001122086901 799139948 /nfs/dbraw/zinc/13/99/48/799139948.db2.gz YRPXAIMILRDWOY-JTQLQIEISA-N 0 1 254.330 0.303 20 30 CCEDMN COC(=O)c1cc([N-]S(=O)(=O)CC[NH3+])ccc1C#N ZINC001237826389 806720697 /nfs/dbraw/zinc/72/06/97/806720697.db2.gz ODMKETYQPJARFJ-UHFFFAOYSA-N 0 1 283.309 0.045 20 30 CCEDMN COC[C@@H]1CNCCN1C(=O)c1ccc(C#N)cc1 ZINC001122333256 799202174 /nfs/dbraw/zinc/20/21/74/799202174.db2.gz XPPSPLUVWGGZDR-ZDUSSCGKSA-N 0 1 259.309 0.619 20 30 CCEDMN COCC[C@@H]1CNCCN1C(=O)c1coc(C#N)c1 ZINC001122402872 799224034 /nfs/dbraw/zinc/22/40/34/799224034.db2.gz PVCUSNRSZXFJDX-LLVKDONJSA-N 0 1 263.297 0.602 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COC(F)(F)F ZINC001122547234 799260565 /nfs/dbraw/zinc/26/05/65/799260565.db2.gz OEUVLOFIYJQKRT-LURJTMIESA-N 0 1 252.192 0.756 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1ccsc1C ZINC001278165264 806736401 /nfs/dbraw/zinc/73/64/01/806736401.db2.gz UWKJXKCYEBEDMD-LBPRGKRZSA-N 0 1 266.366 0.299 20 30 CCEDMN CCN1C[C@@H]2C(C(=O)N3CC(CC#N)C3)=NO[C@@H]2C1 ZINC001278167841 806737748 /nfs/dbraw/zinc/73/77/48/806737748.db2.gz NBCPYMABALDTAK-WDEREUQCSA-N 0 1 262.313 0.065 20 30 CCEDMN C=CCC1(S(=O)(=O)NC[C@]2(O)CCNC[C@@H]2F)CC1 ZINC001238063929 806747074 /nfs/dbraw/zinc/74/70/74/806747074.db2.gz KCWHYGHGMNGMOT-CMPLNLGQSA-N 0 1 292.376 0.077 20 30 CCEDMN O=C(Cn1cccn1)NCCNCC#Cc1ccccc1 ZINC001123514867 799424757 /nfs/dbraw/zinc/42/47/57/799424757.db2.gz HZYMACWWYOEOOB-UHFFFAOYSA-N 0 1 282.347 0.641 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCn1cncn1 ZINC001123660367 799450759 /nfs/dbraw/zinc/45/07/59/799450759.db2.gz YNUIDGTZDVETOQ-UHFFFAOYSA-N 0 1 257.725 0.127 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C[C@H](C)c1ccco1 ZINC001278187614 806749606 /nfs/dbraw/zinc/74/96/06/806749606.db2.gz VAIWHXFMBJOHKV-NWDGAFQWSA-N 0 1 264.325 0.473 20 30 CCEDMN N#Cc1ccc(F)c(CNCCNC(=O)c2ccn[nH]2)c1 ZINC001123897579 799497161 /nfs/dbraw/zinc/49/71/61/799497161.db2.gz JEUVHDBRBNAWTG-UHFFFAOYSA-N 0 1 287.298 0.940 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)Cc1ccc(C)c(C)c1 ZINC001278193762 806756242 /nfs/dbraw/zinc/75/62/42/806756242.db2.gz CPZKMQJVTVSYRT-MRXNPFEDSA-N 0 1 288.391 0.936 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cc(=O)c(OC)co1 ZINC001124773202 799634873 /nfs/dbraw/zinc/63/48/73/799634873.db2.gz OMSNNNIEMXETNY-UHFFFAOYSA-N 0 1 296.323 0.057 20 30 CCEDMN C=CCOC[C@@H](NC(=O)CCc1cc(C)[nH]n1)C(=O)OC ZINC001139175462 799791626 /nfs/dbraw/zinc/79/16/26/799791626.db2.gz FYLIKSOERMUFMC-GFCCVEGCSA-N 0 1 295.339 0.511 20 30 CCEDMN C#CC[C@@H](NC(=O)c1cccc(F)c1O)C(=O)OC ZINC001141998024 800055438 /nfs/dbraw/zinc/05/54/38/800055438.db2.gz FUYOEQDTRXYOJG-SNVBAGLBSA-N 0 1 265.240 0.826 20 30 CCEDMN CN1CC(C(=O)NC2(C#N)Cc3ccccc3C2)C1 ZINC001142605265 800137063 /nfs/dbraw/zinc/13/70/63/800137063.db2.gz SKLVSBBQDVJMPK-UHFFFAOYSA-N 0 1 255.321 0.725 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H](C)SC)C1 ZINC001149237849 800193525 /nfs/dbraw/zinc/19/35/25/800193525.db2.gz UNMLITPHSRBZIX-STQMWFEESA-N 0 1 284.425 0.968 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)c1[nH]nc2ncccc21 ZINC001149395273 800255005 /nfs/dbraw/zinc/25/50/05/800255005.db2.gz JAAPPUQFPPEUJB-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN N#Cc1nc[nH]c1NC(=O)c1[nH]nc2ncccc21 ZINC001149393497 800255236 /nfs/dbraw/zinc/25/52/36/800255236.db2.gz LPIJIJNZYXGIRG-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1n[nH]c2ncccc12 ZINC001149393497 800255240 /nfs/dbraw/zinc/25/52/40/800255240.db2.gz LPIJIJNZYXGIRG-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)Cc2cc[nH]n2)C1 ZINC001149458120 800267361 /nfs/dbraw/zinc/26/73/61/800267361.db2.gz NCXBVHDCLKHGMK-CQSZACIVSA-N 0 1 290.367 0.183 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CCn2ccnn2)C1 ZINC001149602366 800280578 /nfs/dbraw/zinc/28/05/78/800280578.db2.gz XQYGETXBXZVVGJ-CYBMUJFWSA-N 0 1 293.371 0.061 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H](OC)C2CC2)C1 ZINC001149647506 800286519 /nfs/dbraw/zinc/28/65/19/800286519.db2.gz YXERQQDEJQXCAD-CABCVRRESA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@@H](CNC(=O)CC(C)(C)O)C1 ZINC001149660324 800288536 /nfs/dbraw/zinc/28/85/36/800288536.db2.gz MXBXDHCFSOZHTH-ZDUSSCGKSA-N 0 1 282.384 0.378 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)CC2(O)CCC2)C1 ZINC001149674032 800289891 /nfs/dbraw/zinc/28/98/91/800289891.db2.gz RUBMVWUVTZDDDO-CQSZACIVSA-N 0 1 294.395 0.522 20 30 CCEDMN CC(C)(C)C#CC(=O)NC[C@@H]1CN(CCO)CCCO1 ZINC001149688526 800291709 /nfs/dbraw/zinc/29/17/09/800291709.db2.gz XUPVPNWCKFHDRC-CYBMUJFWSA-N 0 1 282.384 0.235 20 30 CCEDMN N#Cc1cnc(NC(=O)c2n[nH]c3cccnc32)cn1 ZINC001153143186 800601177 /nfs/dbraw/zinc/60/11/77/800601177.db2.gz CMLSBOKWNFKVGE-UHFFFAOYSA-N 0 1 265.236 0.872 20 30 CCEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1cn(C)nn1 ZINC001153150841 800603090 /nfs/dbraw/zinc/60/30/90/800603090.db2.gz OWJCDYLYFRXRBZ-LLVKDONJSA-N 0 1 263.345 0.279 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)N1CCOCC1 ZINC001153841617 800675910 /nfs/dbraw/zinc/67/59/10/800675910.db2.gz YDDGEHFIIMGQBG-NSHDSACASA-N 0 1 275.780 0.165 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001154322098 800758708 /nfs/dbraw/zinc/75/87/08/800758708.db2.gz VIPXJWDAWYKIQB-LLVKDONJSA-N 0 1 291.351 0.457 20 30 CCEDMN N#Cc1ccc2[nH]c(C(=O)Nc3nonc3N)cc(=O)c2c1 ZINC001154552680 800799216 /nfs/dbraw/zinc/79/92/16/800799216.db2.gz ZPVPKXCKZIWKFH-UHFFFAOYSA-N 0 1 296.246 0.617 20 30 CCEDMN Cc1conc1CNCCCNC(=O)CSCC#N ZINC001154814075 800850165 /nfs/dbraw/zinc/85/01/65/800850165.db2.gz AGZHZPQBROKIMW-UHFFFAOYSA-N 0 1 282.369 0.836 20 30 CCEDMN COC[C@H](NC(=O)C#Cc1cccs1)c1nn[nH]n1 ZINC001155069426 800902760 /nfs/dbraw/zinc/90/27/60/800902760.db2.gz PSADNYDNTUEEAJ-VIFPVBQESA-N 0 1 277.309 0.117 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cc(CC#N)ccn1 ZINC001155083446 800905341 /nfs/dbraw/zinc/90/53/41/800905341.db2.gz AYBBLLFTUBTMCT-UHFFFAOYSA-N 0 1 285.307 0.969 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H](O)c2cnc[nH]2)nc1 ZINC001155083067 800905970 /nfs/dbraw/zinc/90/59/70/800905970.db2.gz HOBQFLVBZIWNTR-LBPRGKRZSA-N 0 1 256.265 0.249 20 30 CCEDMN Cc1ncc(C#N)c(NCc2noc3c2CNCC3)n1 ZINC001155497315 800990991 /nfs/dbraw/zinc/99/09/91/800990991.db2.gz LFYFWIDSTSMERG-UHFFFAOYSA-N 0 1 270.296 0.324 20 30 CCEDMN Cc1cc(NCc2noc3c2CNCC3)nc(C#N)n1 ZINC001155497744 800991148 /nfs/dbraw/zinc/99/11/48/800991148.db2.gz MFNRUNLSVYNRKF-UHFFFAOYSA-N 0 1 270.296 0.324 20 30 CCEDMN C=CCCC(=O)NCCCNCc1nncn1C ZINC001155579510 801014157 /nfs/dbraw/zinc/01/41/57/801014157.db2.gz DRMABLMZJSRIQI-UHFFFAOYSA-N 0 1 251.334 0.377 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1nnc(C(C)C)[nH]1 ZINC001155724922 801043781 /nfs/dbraw/zinc/04/37/81/801043781.db2.gz INICBESFMFEVFX-UHFFFAOYSA-N 0 1 295.387 0.727 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1nnc(C2CC2)[nH]1 ZINC001155841683 801063572 /nfs/dbraw/zinc/06/35/72/801063572.db2.gz IKAUBUIHTJTBTM-VIFPVBQESA-N 0 1 276.344 0.438 20 30 CCEDMN C=C(Cl)CN(CC)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001155924783 801078573 /nfs/dbraw/zinc/07/85/73/801078573.db2.gz QOTPVOXWIOFJNB-SECBINFHSA-N 0 1 271.752 0.997 20 30 CCEDMN C=C(Cl)CN(CC)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001155924783 801078580 /nfs/dbraw/zinc/07/85/80/801078580.db2.gz QOTPVOXWIOFJNB-SECBINFHSA-N 0 1 271.752 0.997 20 30 CCEDMN Cc1cnc(NCCNC(=O)C(F)(F)F)c(C#N)n1 ZINC001156203324 801129349 /nfs/dbraw/zinc/12/93/49/801129349.db2.gz ONFANKIYWNIOIG-UHFFFAOYSA-N 0 1 273.218 0.747 20 30 CCEDMN Cc1ccc(-n2c(C)ncc(CN)c2=N)nc1C#N ZINC001156829491 801244013 /nfs/dbraw/zinc/24/40/13/801244013.db2.gz NINQYJNRTVYQOV-UHFFFAOYSA-N 0 1 254.297 0.694 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ncc2c(C#N)c[nH]c2n1 ZINC001156830437 801245709 /nfs/dbraw/zinc/24/57/09/801245709.db2.gz JCMFMWRYMVXEDD-UHFFFAOYSA-N 0 1 280.295 0.262 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1ccn(C)n1 ZINC001156896718 801257377 /nfs/dbraw/zinc/25/73/77/801257377.db2.gz RDZKPGZDMCRZDV-CYBMUJFWSA-N 0 1 292.383 0.510 20 30 CCEDMN COCC#CC[NH2+]CCCNC(=O)c1ncccc1[O-] ZINC001156981813 801279368 /nfs/dbraw/zinc/27/93/68/801279368.db2.gz NWUBCBWLNLFJCM-UHFFFAOYSA-N 0 1 277.324 0.147 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1nncs1 ZINC001157202512 801324137 /nfs/dbraw/zinc/32/41/37/801324137.db2.gz SRSLDIFOKJFQAE-GFCCVEGCSA-N 0 1 284.385 0.461 20 30 CCEDMN O=C(C#CC1CC1)NCCCNCc1nnnn1C1CC1 ZINC001157705221 801459005 /nfs/dbraw/zinc/45/90/05/801459005.db2.gz QWDRSKQTHQKJAQ-UHFFFAOYSA-N 0 1 288.355 0.017 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@]12C[C@H]1COC2 ZINC001157989188 801547702 /nfs/dbraw/zinc/54/77/02/801547702.db2.gz OCCMFQSIEVQYHD-LZWOXQAQSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCNC1=O ZINC001158310591 801619508 /nfs/dbraw/zinc/61/95/08/801619508.db2.gz ANLLNODIXYLSAE-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1C[C@H]1C(N)=O ZINC001158487095 801659064 /nfs/dbraw/zinc/65/90/64/801659064.db2.gz PYBSDFWUGMWOGB-MCIONIFRSA-N 0 1 291.395 0.444 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1nc[nH]n1 ZINC001158612204 801671940 /nfs/dbraw/zinc/67/19/40/801671940.db2.gz XKVMIQRADFZPAW-GFCCVEGCSA-N 0 1 275.356 0.755 20 30 CCEDMN CN(C1CN(c2ccc(C#N)cn2)C1)[C@H]1CCOC1 ZINC001158727309 801696112 /nfs/dbraw/zinc/69/61/12/801696112.db2.gz XDUNRMPHJPDDBB-LBPRGKRZSA-N 0 1 258.325 0.863 20 30 CCEDMN N#Cc1cc(Cl)nnc1NC[C@@H]1COCCN1 ZINC001159042279 801746946 /nfs/dbraw/zinc/74/69/46/801746946.db2.gz DURNNSHRGSQGGW-MRVPVSSYSA-N 0 1 253.693 0.402 20 30 CCEDMN N#Cc1cnc2cc[nH]c(NC[C@H]3COCCN3)c1-2 ZINC001159043617 801748243 /nfs/dbraw/zinc/74/82/43/801748243.db2.gz FJNLMUXDQPEIKI-JTQLQIEISA-N 0 1 257.297 0.835 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2ccc(=O)[nH]n2)CC1 ZINC001159181566 801770043 /nfs/dbraw/zinc/77/00/43/801770043.db2.gz INRIYDVBAVQYJI-UHFFFAOYSA-N 0 1 286.335 0.567 20 30 CCEDMN COc1nc(-n2[n-]c(=O)c(CC[NH3+])c2C)ncc1C#N ZINC001159293937 801787358 /nfs/dbraw/zinc/78/73/58/801787358.db2.gz SRCAXZOZOIFCIX-UHFFFAOYSA-N 0 1 274.284 0.058 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cnns2)CC1 ZINC001159388974 801799588 /nfs/dbraw/zinc/79/95/88/801799588.db2.gz CKWBPJLCRUJJRD-UHFFFAOYSA-N 0 1 276.365 0.923 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2csnn2)CC1 ZINC001160798790 802020640 /nfs/dbraw/zinc/02/06/40/802020640.db2.gz RFTPBBAGLOYZAW-UHFFFAOYSA-N 0 1 276.365 0.923 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cnc(CC#N)nc1 ZINC001162536905 802361146 /nfs/dbraw/zinc/36/11/46/802361146.db2.gz AQNTVACHQKKZRD-UHFFFAOYSA-N 0 1 286.295 0.364 20 30 CCEDMN N#CCc1cncc(NCc2nnc3n2CCCNC3)c1 ZINC001163021707 802463275 /nfs/dbraw/zinc/46/32/75/802463275.db2.gz CWDMDINQRDZHDH-UHFFFAOYSA-N 0 1 283.339 0.845 20 30 CCEDMN C=CCS(=O)(=O)Nc1ncccc1C(=O)OC ZINC001259922640 808804460 /nfs/dbraw/zinc/80/44/60/808804460.db2.gz PCSBPVQYMSLFFX-UHFFFAOYSA-N 0 1 256.283 0.796 20 30 CCEDMN COCc1nc(CNc2cc(C#N)nc(C)n2)n[nH]1 ZINC001163681229 802593337 /nfs/dbraw/zinc/59/33/37/802593337.db2.gz MENUBRUQHKIDDX-UHFFFAOYSA-N 0 1 259.273 0.533 20 30 CCEDMN COCc1nnc(CNc2cc(C#N)nc(C)n2)[nH]1 ZINC001163681229 802593339 /nfs/dbraw/zinc/59/33/39/802593339.db2.gz MENUBRUQHKIDDX-UHFFFAOYSA-N 0 1 259.273 0.533 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCNCc1nnnn1C ZINC001164214359 802689155 /nfs/dbraw/zinc/68/91/55/802689155.db2.gz WLVXTJLYGYHLSG-WDEREUQCSA-N 0 1 280.376 0.264 20 30 CCEDMN N#CCNCc1ccc(CNC(=O)c2ncn[nH]2)c(F)c1 ZINC001279723449 809995119 /nfs/dbraw/zinc/99/51/19/809995119.db2.gz XMTDTLHMLQRTEN-UHFFFAOYSA-N 0 1 288.286 0.487 20 30 CCEDMN N#CCNCc1ccc(CNC(=O)c2nc[nH]n2)c(F)c1 ZINC001279723449 809995122 /nfs/dbraw/zinc/99/51/22/809995122.db2.gz XMTDTLHMLQRTEN-UHFFFAOYSA-N 0 1 288.286 0.487 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1ncc(F)cc1C#N ZINC001165435885 802840417 /nfs/dbraw/zinc/84/04/17/802840417.db2.gz FXUJDMFKNIVLTJ-SNVBAGLBSA-N 0 1 264.260 0.044 20 30 CCEDMN COCc1nn(-c2nc(C)ncc2C#N)c2c1CNC2 ZINC001165632087 802857251 /nfs/dbraw/zinc/85/72/51/802857251.db2.gz MUUSEIPKYICBFR-UHFFFAOYSA-N 0 1 270.296 0.592 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)Cc2cncn2C)C1 ZINC001276453569 803075593 /nfs/dbraw/zinc/07/55/93/803075593.db2.gz NFHYINMLBRKCAW-LLVKDONJSA-N 0 1 262.357 0.585 20 30 CCEDMN CCCC(=O)N[C@@H]1CN(CC#CCOC)C[C@H]1C ZINC001205753322 803170618 /nfs/dbraw/zinc/17/06/18/803170618.db2.gz HJTOCODLJKEQEI-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCC2CC2)C1 ZINC001206471974 803248346 /nfs/dbraw/zinc/24/83/46/803248346.db2.gz BPWXXAXQTZVGGN-MBNYWOFBSA-N 0 1 264.369 0.871 20 30 CCEDMN C=C(C)CCC(=O)NC[C@]1(O)CCN(CC#CC)C1 ZINC001271153467 814220666 /nfs/dbraw/zinc/22/06/66/814220666.db2.gz UVMLNDSBVOOMFE-OAHLLOKOSA-N 0 1 264.369 0.919 20 30 CCEDMN C=CCOCC(=O)N1CC[C@]2(C1)CN(CCC)CCO2 ZINC001276533026 803399411 /nfs/dbraw/zinc/39/94/11/803399411.db2.gz RBMLWAYQXNMQSS-OAHLLOKOSA-N 0 1 282.384 0.902 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCn2ccnn2)C1 ZINC001207491263 803412120 /nfs/dbraw/zinc/41/21/20/803412120.db2.gz DCMNQQOLGWWHCB-CHWSQXEVSA-N 0 1 275.356 0.128 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@@H](NCc2cnnn2C)C1 ZINC001207684597 803436663 /nfs/dbraw/zinc/43/66/63/803436663.db2.gz PWHDAOVLULUSCF-GFCCVEGCSA-N 0 1 293.371 0.098 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@@H](NCc2ccn(C)n2)C1 ZINC001207685213 803437360 /nfs/dbraw/zinc/43/73/60/803437360.db2.gz YVBKNCVBJXORSJ-CQSZACIVSA-N 0 1 292.383 0.703 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)COCCOC)C1 ZINC001207695022 803439309 /nfs/dbraw/zinc/43/93/09/803439309.db2.gz ZZSXLBLLLFMIGJ-LBPRGKRZSA-N 0 1 290.791 0.983 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2nc(C)no2)C1 ZINC001208255262 803499849 /nfs/dbraw/zinc/49/98/49/803499849.db2.gz XQBLSPZBRDLSMX-ZYHUDNBSSA-N 0 1 276.340 0.380 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1CCC[C@H](NCC#N)C1 ZINC001208264039 803501244 /nfs/dbraw/zinc/50/12/44/803501244.db2.gz HWNHGYXCWBEESU-QWHCGFSZSA-N 0 1 284.379 0.770 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN([C@H](CC)C(N)=O)C[C@H]1C ZINC001208374741 803510464 /nfs/dbraw/zinc/51/04/64/803510464.db2.gz VKMWBXHPGXZZEM-MGPQQGTHSA-N 0 1 293.411 0.880 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](OC)C(C)C)C1 ZINC001208500231 803518242 /nfs/dbraw/zinc/51/82/42/803518242.db2.gz KHFMWMUVSFZLFM-JHJVBQTASA-N 0 1 252.358 0.727 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)Oc2cccnc2)C1 ZINC001208562921 803522783 /nfs/dbraw/zinc/52/27/83/803522783.db2.gz UBRMQUVJXBIDCN-UMVBOHGHSA-N 0 1 287.363 0.919 20 30 CCEDMN C=CCN1C[C@H](NC(=O)CNC(=O)CC)C(C)(C)C1 ZINC001276569971 803525538 /nfs/dbraw/zinc/52/55/38/803525538.db2.gz JSORGQDERXESSE-NSHDSACASA-N 0 1 267.373 0.525 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CNC(=O)[C@@H](C)CC)C1 ZINC001211077379 803667420 /nfs/dbraw/zinc/66/74/20/803667420.db2.gz UOAYVWVLQZDGOQ-BFHYXJOUSA-N 0 1 293.411 0.609 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001213273386 803688221 /nfs/dbraw/zinc/68/82/21/803688221.db2.gz MXYDISPEQVMDQH-GHMZBOCLSA-N 0 1 256.346 0.006 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H](CC)OCC)[C@H]2C1 ZINC001217451210 803891504 /nfs/dbraw/zinc/89/15/04/803891504.db2.gz VOAYOMRZTBBJKE-RRFJBIMHSA-N 0 1 294.395 0.736 20 30 CCEDMN C=CCOCC(=O)N1CCO[C@@H]2CN([C@H](C)COC)C[C@@H]21 ZINC001217538000 803895603 /nfs/dbraw/zinc/89/56/03/803895603.db2.gz MZFFWDYDFMZFQI-HZSPNIEDSA-N 0 1 298.383 0.136 20 30 CCEDMN C#CCC[N@@H+]1C[C@H]2OCCN(C(=O)COCCCC)[C@H]2C1 ZINC001217591193 803897827 /nfs/dbraw/zinc/89/78/27/803897827.db2.gz ZJQGKWWHQKTINS-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)COCCCC)[C@H]2C1 ZINC001217591193 803897835 /nfs/dbraw/zinc/89/78/35/803897835.db2.gz ZJQGKWWHQKTINS-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN COCCCN1C[C@H]2OCCN(C(=O)C#CC(C)C)[C@H]2C1 ZINC001217839681 803919760 /nfs/dbraw/zinc/91/97/60/803919760.db2.gz PCUCZXOSNARBHZ-LSDHHAIUSA-N 0 1 294.395 0.594 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1Oc1ccc(Cl)cc1CNN ZINC001218199381 803935877 /nfs/dbraw/zinc/93/58/77/803935877.db2.gz FDNDITMONLSLQK-SKDRFNHKSA-N 0 1 266.732 0.794 20 30 CCEDMN Cc1nonc1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001218563921 804009645 /nfs/dbraw/zinc/00/96/45/804009645.db2.gz UAKZHBQAQVOBIY-NSHDSACASA-N 0 1 271.280 0.758 20 30 CCEDMN N#Cc1nc(N)c(NC(=O)[C@@H](N)c2ccccc2)nc1C#N ZINC001218595494 804027781 /nfs/dbraw/zinc/02/77/81/804027781.db2.gz NOIZDGCYTFIQJQ-NSHDSACASA-N 0 1 293.290 0.441 20 30 CCEDMN Cc1nc(C#N)c(NC(=O)[C@@H]2C[C@@H](F)CCN2)o1 ZINC001218649854 804060936 /nfs/dbraw/zinc/06/09/36/804060936.db2.gz LCBIJUAHGQCGBE-YUMQZZPRSA-N 0 1 252.249 0.883 20 30 CCEDMN CN(CCN(C)c1ncccc1C#N)C(=O)Cc1ncn[nH]1 ZINC001105470608 814989447 /nfs/dbraw/zinc/98/94/47/814989447.db2.gz YKTLGSRVYKTULH-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCC(=O)N3)[C@@H]2C1 ZINC001075917189 814995347 /nfs/dbraw/zinc/99/53/47/814995347.db2.gz GMZBLSCMBWITTK-BFHYXJOUSA-N 0 1 289.379 0.211 20 30 CCEDMN C[C@]1(C(=O)N([O-])C(=N)c2ccccc2N)CCC[NH2+]1 ZINC001218966111 804166961 /nfs/dbraw/zinc/16/69/61/804166961.db2.gz PRUPAXGVVHXSPJ-CYBMUJFWSA-N 0 1 262.313 0.954 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCCC)[C@@H](O)C1 ZINC001219203161 804233656 /nfs/dbraw/zinc/23/36/56/804233656.db2.gz XHDUOCGRCHSJDD-OLZOCXBDSA-N 0 1 270.373 0.541 20 30 CCEDMN CCCCCCN1C[C@@H](NC(=O)CSCC#N)[C@@H](O)C1 ZINC001219218838 804240327 /nfs/dbraw/zinc/24/03/27/804240327.db2.gz ZTUNENGFTKONBQ-OLZOCXBDSA-N 0 1 299.440 0.985 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CCOC(C)C)C[C@@H]1O ZINC001219588831 804361584 /nfs/dbraw/zinc/36/15/84/804361584.db2.gz LRNCSEXSRGHEBE-KGLIPLIRSA-N 0 1 282.384 0.232 20 30 CCEDMN C[C@H](O)CCCN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219598024 804367249 /nfs/dbraw/zinc/36/72/49/804367249.db2.gz FAVDSUJNAOAOMO-MJBXVCDLSA-N 0 1 296.411 0.358 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN([C@@H](C)COC)C[C@@H]1O ZINC001219689859 804405465 /nfs/dbraw/zinc/40/54/65/804405465.db2.gz MOIQAOATPKAWFR-CFVMTHIKSA-N 0 1 296.411 0.951 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COCC2CCCC2)[C@@H](O)C1 ZINC001219824513 804429240 /nfs/dbraw/zinc/42/92/40/804429240.db2.gz CTFVCVMXYNZQIX-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccc(CC(=O)OCC)cc1 ZINC001220009551 804470394 /nfs/dbraw/zinc/47/03/94/804470394.db2.gz RJDLJLYJDNEBPK-INIZCTEOSA-N 0 1 288.347 0.759 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCc1cn[nH]c1 ZINC001220147676 804514535 /nfs/dbraw/zinc/51/45/35/804514535.db2.gz KLCWZTLNAKNDGM-ZDUSSCGKSA-N 0 1 269.308 0.468 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)NCC(=O)NCCCCC#N ZINC001220191357 804531775 /nfs/dbraw/zinc/53/17/75/804531775.db2.gz FJWQLKSVBGHEEB-NSHDSACASA-N 0 1 298.387 0.055 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@](C)(C=C)CC)[C@@H](O)C1 ZINC001220293848 804563805 /nfs/dbraw/zinc/56/38/05/804563805.db2.gz WUJQJVYXNZIQLL-IPYPFGDCSA-N 0 1 264.369 0.773 20 30 CCEDMN N[C@@H](C(=O)N/C=C/C(=O)C(F)(F)F)C(F)(F)F ZINC001220343716 804579866 /nfs/dbraw/zinc/57/98/66/804579866.db2.gz ZNYYYAIIXBXZGW-QPHDTYRISA-N 0 1 264.125 0.637 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H]1CCO[C@H]1C ZINC001272138823 815027366 /nfs/dbraw/zinc/02/73/66/815027366.db2.gz ZRLSYLYNVCNHKD-KBPBESRZSA-N 0 1 282.384 0.451 20 30 CCEDMN C[C@@H]1CCN[C@@H](C(=O)N=C(N)c2ccc(C(N)=O)cc2)C1 ZINC001220643725 804641877 /nfs/dbraw/zinc/64/18/77/804641877.db2.gz QIZQJWKGWQGFKI-BXKDBHETSA-N 0 1 288.351 0.406 20 30 CCEDMN NC(=O)c1ccc(C(N)=NC(=O)Cc2ccc(N)cc2)cc1 ZINC001220643595 804643080 /nfs/dbraw/zinc/64/30/80/804643080.db2.gz LNSYNLAKFLONJF-UHFFFAOYSA-N 0 1 296.330 0.842 20 30 CCEDMN C=C1CC=C(F)C=C1NC(=O)[C@@H](N)COC(C)=O ZINC001220797157 804668680 /nfs/dbraw/zinc/66/86/80/804668680.db2.gz KQNQTVANRZXASB-JTQLQIEISA-N 0 1 254.261 0.690 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)Cc1cncn1C ZINC001272152000 815031200 /nfs/dbraw/zinc/03/12/00/815031200.db2.gz LKDRNFNUDJIDKG-UHFFFAOYSA-N 0 1 292.383 0.003 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](OC)C2CCCC2)[C@@H](O)C1 ZINC001220869282 804685207 /nfs/dbraw/zinc/68/52/07/804685207.db2.gz CDWUUEHKJWHXIM-ILXRZTDVSA-N 0 1 294.395 0.376 20 30 CCEDMN C#CCNCC(=O)Nc1c(C)n(C)n(-c2ccccc2)c1=O ZINC000316362996 804696992 /nfs/dbraw/zinc/69/69/92/804696992.db2.gz KNMJEXOYFLHRKX-UHFFFAOYSA-N 0 1 298.346 0.646 20 30 CCEDMN C=CCC[C@H](NC(=O)NCC[N@H+](C)C1CC1)C(=O)[O-] ZINC000316537066 804707487 /nfs/dbraw/zinc/70/74/87/804707487.db2.gz WYWXWUQQGSVPSE-NSHDSACASA-N 0 1 269.345 0.799 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@@H]2O)CCCC1 ZINC001221196886 804744139 /nfs/dbraw/zinc/74/41/39/804744139.db2.gz OIZXQDQASAAVSI-MJBXVCDLSA-N 0 1 296.411 0.665 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H](C)OC)[C@@H]2C1 ZINC001221427258 804814984 /nfs/dbraw/zinc/81/49/84/804814984.db2.gz XOWKJFKEVDQVCI-MGPQQGTHSA-N 0 1 264.369 0.967 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)C2CC2)CC1 ZINC001222180698 804877973 /nfs/dbraw/zinc/87/79/73/804877973.db2.gz NHGLZTOYKXEJDR-UHFFFAOYSA-N 0 1 264.369 0.874 20 30 CCEDMN C[C@@H](C(N)=O)N1CCC(CNC(=O)C#CC(C)(C)C)CC1 ZINC001223143529 804957436 /nfs/dbraw/zinc/95/74/36/804957436.db2.gz USPAAYDQYTZLNA-LBPRGKRZSA-N 0 1 293.411 0.738 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)[C@@H](F)CC)CC1 ZINC001224074600 805006877 /nfs/dbraw/zinc/00/68/77/805006877.db2.gz IJEUWDXZZDYBNO-ZDUSSCGKSA-N 0 1 297.374 0.312 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CCC(=O)NCCC ZINC001276957072 805015967 /nfs/dbraw/zinc/01/59/67/805015967.db2.gz QQXYSYWFIPHHRJ-ZDUSSCGKSA-N 0 1 279.384 0.507 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2)[C@H]1C ZINC001088644985 815047083 /nfs/dbraw/zinc/04/70/83/815047083.db2.gz ZMMWUEZLBBWAGY-FRRDWIJNSA-N 0 1 277.368 0.257 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccn(C)c(=O)c2)[C@H]1C ZINC001088669936 815054108 /nfs/dbraw/zinc/05/41/08/815054108.db2.gz QYQGQIKUSAQYDF-OCCSQVGLSA-N 0 1 287.363 0.601 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCC(=O)NCC)CC1 ZINC001226555726 805245497 /nfs/dbraw/zinc/24/54/97/805245497.db2.gz ZTCZBZCKSUFQNI-UHFFFAOYSA-N 0 1 279.384 0.507 20 30 CCEDMN CCCC[C@@H](C(N)=O)N1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226641173 805260739 /nfs/dbraw/zinc/26/07/39/805260739.db2.gz WPTNKNHJEDBXLV-AAEUAGOBSA-N 0 1 294.399 0.771 20 30 CCEDMN C#C[C@@H](Oc1nc2[nH]cnc2c(=S)[nH]1)C(=O)OCC ZINC001226787521 805282616 /nfs/dbraw/zinc/28/26/16/805282616.db2.gz ZZQHNTKKZIGYEP-ZCFIWIBFSA-N 0 1 278.293 0.585 20 30 CCEDMN C[C@@H](CCCNCC#N)NC(=O)CCc1nc[nH]n1 ZINC001277156090 805283842 /nfs/dbraw/zinc/28/38/42/805283842.db2.gz IHUDCPGVDJLKNY-JTQLQIEISA-N 0 1 264.333 0.135 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1cc(=O)[nH]c(OC)n1 ZINC001227002254 805308430 /nfs/dbraw/zinc/30/84/30/805308430.db2.gz OLBDSJXEQYPJAB-QMMMGPOBSA-N 0 1 266.253 0.525 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1CCN([C@@H](CC)C(N)=O)CC1 ZINC001227124287 805324541 /nfs/dbraw/zinc/32/45/41/805324541.db2.gz PNZAULHCAOFIER-WFASDCNBSA-N 0 1 297.399 0.158 20 30 CCEDMN CC(C)C#CC(=O)NC1CCN([C@@H](C)C(=O)N(C)C)CC1 ZINC001227266301 805337702 /nfs/dbraw/zinc/33/77/02/805337702.db2.gz XXQOZEPLUPWXPO-ZDUSSCGKSA-N 0 1 293.411 0.703 20 30 CCEDMN C[N@H+]1[C@@H]2C[C@@H](Oc3[n-]c(=O)nc4cc[nH]c43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227810421 805393893 /nfs/dbraw/zinc/39/38/93/805393893.db2.gz VOMLHMFBISXQBY-MOGLMSHVSA-N 0 1 288.307 0.655 20 30 CCEDMN C[N@@H+]1[C@@H]2C[C@@H](Oc3[n-]c(=O)nc4cc[nH]c43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227810421 805393899 /nfs/dbraw/zinc/39/38/99/805393899.db2.gz VOMLHMFBISXQBY-MOGLMSHVSA-N 0 1 288.307 0.655 20 30 CCEDMN CCc1nc(CNC(=O)NCC#CCN(C)C)co1 ZINC001228488246 805451643 /nfs/dbraw/zinc/45/16/43/805451643.db2.gz QWSBYBSNFKPXIL-UHFFFAOYSA-N 0 1 264.329 0.601 20 30 CCEDMN CC[C@@H](C)[C@@H](CNC(=O)NCC#CCN(C)C)OC ZINC001228720761 805474494 /nfs/dbraw/zinc/47/44/94/805474494.db2.gz BGMNFVFXKNZZKE-CHWSQXEVSA-N 0 1 269.389 0.912 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1nnccc1C(=O)OC ZINC001228788437 805480237 /nfs/dbraw/zinc/48/02/37/805480237.db2.gz NYDIXTSZOYIESR-LURJTMIESA-N 0 1 264.237 0.861 20 30 CCEDMN C#CCN1CCC(NC(=O)COc2cnn(C)c2)CC1 ZINC001228864327 805483972 /nfs/dbraw/zinc/48/39/72/805483972.db2.gz IBKWAFMVGSDGIC-UHFFFAOYSA-N 0 1 276.340 0.013 20 30 CCEDMN COC[C@@H](NC(=O)NCC#CCN(C)C)c1ccco1 ZINC001229431176 805532877 /nfs/dbraw/zinc/53/28/77/805532877.db2.gz FTYHEGZGDQEWAH-GFCCVEGCSA-N 0 1 279.340 0.831 20 30 CCEDMN C#CCN1CCC(NC(=O)COCc2ccncc2)CC1 ZINC001229748854 805556255 /nfs/dbraw/zinc/55/62/55/805556255.db2.gz VYKNABQFXWTLPJ-UHFFFAOYSA-N 0 1 287.363 0.812 20 30 CCEDMN CCC[NH2+]C([S-])/N=C/COCCOCCOCCO ZINC001244908382 807062939 /nfs/dbraw/zinc/06/29/39/807062939.db2.gz CPBHRVUSYFRYNR-UHFFFAOYSA-N 0 1 293.409 0.476 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCC#N)C[C@H]1CNC(=O)C1(C)CC1 ZINC001101989086 815098759 /nfs/dbraw/zinc/09/87/59/815098759.db2.gz GYOQIAWHPIPROE-VXGBXAGGSA-N 0 1 292.383 0.110 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCn4nccc43)[C@@H]2C1 ZINC001075963855 815128771 /nfs/dbraw/zinc/12/87/71/815128771.db2.gz YRILIOYJAGIUBR-OFQRWUPVSA-N 0 1 298.390 0.926 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)C(=O)NCC2CC2)[C@@H]1C ZINC001278526696 807565413 /nfs/dbraw/zinc/56/54/13/807565413.db2.gz MBXPWQKGQYJXNF-GXTWGEPZSA-N 0 1 291.395 0.505 20 30 CCEDMN N#C[C@H]1CN(C[C@H](O)COc2cccc(F)c2)CCC1=O ZINC001250804479 807616039 /nfs/dbraw/zinc/61/60/39/807616039.db2.gz VDLGIEVZENNGKT-AAEUAGOBSA-N 0 1 292.310 0.980 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@H]1[C@H]1CCCOC1 ZINC001251711521 807696991 /nfs/dbraw/zinc/69/69/91/807696991.db2.gz DISLUCIWWUJIHO-KBPBESRZSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCOC[C@H](O)CN1CC(N2CCC(F)CC2)C1 ZINC001251850987 807719555 /nfs/dbraw/zinc/71/95/55/807719555.db2.gz AXIUJMCPHBSMFI-CQSZACIVSA-N 0 1 270.348 0.115 20 30 CCEDMN C#CCOC[C@H](O)CNCc1nc2ccccc2[nH]1 ZINC001251877638 807731024 /nfs/dbraw/zinc/73/10/24/807731024.db2.gz RTRQYHUCURXAPV-LLVKDONJSA-N 0 1 259.309 0.663 20 30 CCEDMN C#CCOC[C@H](O)CNCC(=O)c1ccc(O)cc1 ZINC001251902997 807742743 /nfs/dbraw/zinc/74/27/43/807742743.db2.gz CCZARORNRJLOAV-CYBMUJFWSA-N 0 1 263.293 0.175 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cnc(Cl)cn1 ZINC001251904175 807743688 /nfs/dbraw/zinc/74/36/88/807743688.db2.gz WGDYIGURSJQMPR-SNVBAGLBSA-N 0 1 255.705 0.230 20 30 CCEDMN CC(C)S(=O)(=O)Nc1c(C#N)cnc2c(C#N)cnn21 ZINC001252432849 807848143 /nfs/dbraw/zinc/84/81/43/807848143.db2.gz GPXZILNGFMNOSB-UHFFFAOYSA-N 0 1 290.308 0.623 20 30 CCEDMN C=CCOC[C@@H](O)CN1Cc2cn(C)nc2[C@H](COC)C1 ZINC001252463174 807875682 /nfs/dbraw/zinc/87/56/82/807875682.db2.gz MCIIMRNVZFBCFN-KBPBESRZSA-N 0 1 295.383 0.529 20 30 CCEDMN C=CCOC[C@H](O)CN1CCCC[C@H]1C(=O)NCCC ZINC001252465794 807877262 /nfs/dbraw/zinc/87/72/62/807877262.db2.gz JGRIUEORYKOVPX-KGLIPLIRSA-N 0 1 284.400 0.931 20 30 CCEDMN C=CCOC[C@H](O)CN1CCS[C@@H](C(=O)OCC)C1 ZINC001252474150 807885245 /nfs/dbraw/zinc/88/52/45/807885245.db2.gz VLHUQQBOKRVLEH-VXGBXAGGSA-N 0 1 289.397 0.530 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cc(OC)ccn1 ZINC001252482727 807888627 /nfs/dbraw/zinc/88/86/27/807888627.db2.gz NXOQUGXRAKNZQS-GFCCVEGCSA-N 0 1 252.314 0.743 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cc(OCC2CC2)ncn1 ZINC001252496949 807894267 /nfs/dbraw/zinc/89/42/67/807894267.db2.gz GVFZIUHIVHMKRN-CQSZACIVSA-N 0 1 293.367 0.919 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(CC(=O)NC(C)C)CC1 ZINC001252555572 807909095 /nfs/dbraw/zinc/90/90/95/807909095.db2.gz XZBUNZHULFHFFH-AWEZNQCLSA-N 0 1 269.389 0.066 20 30 CCEDMN C=C[C@](C)(O)CN1Cc2ccnn2CC[C@H]1CO ZINC001252557611 807910936 /nfs/dbraw/zinc/91/09/36/807910936.db2.gz NYZKFPBKFLLOEW-STQMWFEESA-N 0 1 251.330 0.387 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2ncncn2)CC1 ZINC001252604217 807918893 /nfs/dbraw/zinc/91/88/93/807918893.db2.gz PBFDYGQNTCHABV-LBPRGKRZSA-N 0 1 263.345 0.321 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1n[nH]cc1C ZINC001126853187 815164169 /nfs/dbraw/zinc/16/41/69/815164169.db2.gz YKJUQUKAWOSRMF-UHFFFAOYSA-N 0 1 287.161 0.946 20 30 CCEDMN C=C[C@@H](O)CN1CCN(Cc2ccccc2)[C@@H](CO)C1 ZINC001253567006 808082212 /nfs/dbraw/zinc/08/22/12/808082212.db2.gz JGZUOWZIWMFYSS-HZPDHXFCSA-N 0 1 276.380 0.712 20 30 CCEDMN C=C[C@H](O)CN1CC[C@@H](O)[C@H](NC(=O)OC(C)(C)C)C1 ZINC001253585696 808090471 /nfs/dbraw/zinc/09/04/71/808090471.db2.gz YVAHPHFQQYAVBS-QJPTWQEYSA-N 0 1 286.372 0.493 20 30 CCEDMN C=C[C@@H](O)CN[C@@H](CC(=O)OCc1ccccc1)C(N)=O ZINC001253601545 808095024 /nfs/dbraw/zinc/09/50/24/808095024.db2.gz SADWTLQRIYGEMI-OLZOCXBDSA-N 0 1 292.335 0.110 20 30 CCEDMN COCC#CCN1CC[C@@](O)(CNC(=O)C2CC=CC2)C1 ZINC001278767677 808195619 /nfs/dbraw/zinc/19/56/19/808195619.db2.gz VBQYHRURGFXWAB-MRXNPFEDSA-N 0 1 292.379 0.155 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@@H](C)OCC2CC2)C1 ZINC001278767671 808196260 /nfs/dbraw/zinc/19/62/60/808196260.db2.gz UZXDGZPCLRLHNI-CJNGLKHVSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCC(F)(F)C2)[C@@H](O)C1 ZINC001083511311 815186429 /nfs/dbraw/zinc/18/64/29/815186429.db2.gz MPMDYJBTULXADU-UTUOFQBUSA-N 0 1 286.322 0.606 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001278787644 808249024 /nfs/dbraw/zinc/24/90/24/808249024.db2.gz UAHOTUNNEYRGIQ-INIZCTEOSA-N 0 1 298.346 0.363 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccn(C)c2C)C1 ZINC001278805950 808275505 /nfs/dbraw/zinc/27/55/05/808275505.db2.gz SZDOAQOPAYKCCV-OAHLLOKOSA-N 0 1 275.352 0.133 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C2(CF)CCC2)C1 ZINC001278806045 808276736 /nfs/dbraw/zinc/27/67/36/808276736.db2.gz UKHWQNPXEQZMNX-OAHLLOKOSA-N 0 1 282.359 0.703 20 30 CCEDMN CC(C)(C)CCN1CC(O)(CNC(=O)CSCC#N)C1 ZINC001278837793 808313536 /nfs/dbraw/zinc/31/35/36/808313536.db2.gz KXXLIZAQXAKNDG-UHFFFAOYSA-N 0 1 299.440 0.842 20 30 CCEDMN COC(=O)c1ccc(C(=NO)N[C@H]2CCN(C)C2)cc1 ZINC001255411906 808353864 /nfs/dbraw/zinc/35/38/64/808353864.db2.gz LXYGFDPCUSMCAR-LBPRGKRZSA-N 0 1 277.324 0.903 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C[C@@H](C=C)CC ZINC001278869832 808395220 /nfs/dbraw/zinc/39/52/20/808395220.db2.gz YKEAUEWSKURRJW-KBPBESRZSA-N 0 1 266.385 0.973 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cc(Cl)no1 ZINC001278882209 808424147 /nfs/dbraw/zinc/42/41/47/808424147.db2.gz CASDQOYLUQIXHE-VIFPVBQESA-N 0 1 285.731 0.326 20 30 CCEDMN CC(=O)NC[C@H]1CCCCN1C(=O)NCC#CCN(C)C ZINC001256584522 808537098 /nfs/dbraw/zinc/53/70/98/808537098.db2.gz FTBJGHMRYZJYBP-CQSZACIVSA-N 0 1 294.399 0.252 20 30 CCEDMN C[C@@H](O)C1CCN(C(=O)NCC#CCN(C)C)CC1 ZINC001256585241 808537458 /nfs/dbraw/zinc/53/74/58/808537458.db2.gz OWYCDAIOHQONIK-GFCCVEGCSA-N 0 1 267.373 0.354 20 30 CCEDMN CC(C)(C)[C@H](CNCC#N)NC(=O)c1cnn[nH]1 ZINC001278977421 808570992 /nfs/dbraw/zinc/57/09/92/808570992.db2.gz BQWBZXVAVVYNTM-VIFPVBQESA-N 0 1 250.306 0.062 20 30 CCEDMN C=CCN1CCN(C2CCN(S(C)(=O)=O)CC2)CC1 ZINC001257237419 808604446 /nfs/dbraw/zinc/60/44/46/808604446.db2.gz BUDPCOYITAUGSK-UHFFFAOYSA-N 0 1 287.429 0.214 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnc[nH]2)C[C@H]1CNCC#N ZINC001279102593 808687975 /nfs/dbraw/zinc/68/79/75/808687975.db2.gz HWUXHPFTNTVXRO-NWDGAFQWSA-N 0 1 275.356 0.550 20 30 CCEDMN C=CCS(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001259924838 808805152 /nfs/dbraw/zinc/80/51/52/808805152.db2.gz ORFQEVDPOCIREQ-VIFPVBQESA-N 0 1 259.352 0.776 20 30 CCEDMN C=C[C@@H](COC)NC[C@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001261437030 808977019 /nfs/dbraw/zinc/97/70/19/808977019.db2.gz RUPAZPSFYZVEKV-JSGCOSHPSA-N 0 1 299.392 0.914 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCN(C)C3=O)[C@@H]2C1 ZINC001076152274 815252913 /nfs/dbraw/zinc/25/29/13/815252913.db2.gz BONJXVKGIQSQBH-MELADBBJSA-N 0 1 289.379 0.021 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc3cc[nH]c3n2)[C@@H](O)C1 ZINC001083546533 815278681 /nfs/dbraw/zinc/27/86/81/815278681.db2.gz SRCTYQAANCMVJM-KGLIPLIRSA-N 0 1 298.346 0.361 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)Cc3cnc[nH]3)[C@@H]2C1 ZINC001076343482 815279497 /nfs/dbraw/zinc/27/94/97/815279497.db2.gz FDPHTPNAACUDFX-KCQAQPDRSA-N 0 1 286.379 0.754 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1CSc2ccccc2O1 ZINC001263134222 809456295 /nfs/dbraw/zinc/45/62/95/809456295.db2.gz OKNRWUPHUVPSNM-LLVKDONJSA-N 0 1 276.361 0.879 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)c1cnn2ccncc12 ZINC001263293072 809504463 /nfs/dbraw/zinc/50/44/63/809504463.db2.gz BEDOMUYRHWVCBT-GFCCVEGCSA-N 0 1 284.319 0.984 20 30 CCEDMN C#CCOCCC(=O)OC[C@@H](C)CN1CCOCC1 ZINC001263610918 809540329 /nfs/dbraw/zinc/54/03/29/809540329.db2.gz DMRSJDUHMHQEAG-ZDUSSCGKSA-N 0 1 269.341 0.538 20 30 CCEDMN CC[C@](C)(C#N)NC(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001263723141 809557792 /nfs/dbraw/zinc/55/77/92/809557792.db2.gz OWIRJFKHYAMJHM-GMXVVIOVSA-N 0 1 250.346 0.183 20 30 CCEDMN C[C@@H]1CCC[C@](C#N)(NC(=O)[C@H]2CN3CCN2C[C@H]3C)C1 ZINC001263732769 809560106 /nfs/dbraw/zinc/56/01/06/809560106.db2.gz PUUSQYQTIVGIHU-KQTLUZQSSA-N 0 1 290.411 0.963 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C[C@H]2CCCO2)C1 ZINC001264072724 809627173 /nfs/dbraw/zinc/62/71/73/809627173.db2.gz CZJNLZMNNYZWCO-HUUCEWRRSA-N 0 1 294.395 0.474 20 30 CCEDMN COCC#CCN1CC[C@H](NC(=O)CCOC)[C@H]1C ZINC001264128672 809632632 /nfs/dbraw/zinc/63/26/32/809632632.db2.gz BSDLBIWONRSXBL-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCNC(N)=O ZINC001264378673 809647235 /nfs/dbraw/zinc/64/72/35/809647235.db2.gz OLMKXJCQFIBRTA-LLVKDONJSA-N 0 1 268.361 0.154 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2ncoc2C)C1 ZINC001264628471 809666541 /nfs/dbraw/zinc/66/65/41/809666541.db2.gz OQLFFCXRZGPJDP-CYBMUJFWSA-N 0 1 291.351 0.515 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C=C1CCC1 ZINC001264975831 809685293 /nfs/dbraw/zinc/68/52/93/809685293.db2.gz GGCMDMSXJVYNOF-UHFFFAOYSA-N 0 1 279.384 0.837 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@]12C[C@H]1CCC2 ZINC001265013702 809692248 /nfs/dbraw/zinc/69/22/48/809692248.db2.gz BFEMBQKSFLKGGN-CZUORRHYSA-N 0 1 293.411 0.917 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H](C)OCCOCC)CC1 ZINC001265199894 809722571 /nfs/dbraw/zinc/72/25/71/809722571.db2.gz FDHFPIMEQOPJLY-AWEZNQCLSA-N 0 1 296.411 0.984 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cncnc2)CC1 ZINC001265257437 809733526 /nfs/dbraw/zinc/73/35/26/809733526.db2.gz DPNPRCXTFAVDJK-UHFFFAOYSA-N 0 1 275.356 0.010 20 30 CCEDMN C=CCN1CCN(CCNC(=O)Cc2cc(C)on2)CC1 ZINC001265258107 809733555 /nfs/dbraw/zinc/73/35/55/809733555.db2.gz ZBSIWAPSZOHXMB-UHFFFAOYSA-N 0 1 292.383 0.445 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)[C@@]2(C)CCOC2)CC1 ZINC001265265002 809734510 /nfs/dbraw/zinc/73/45/10/809734510.db2.gz LXJHRFBWDNVNQG-INIZCTEOSA-N 0 1 293.411 0.170 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H]2CCO[C@@H]2C)CC1 ZINC001265264844 809734678 /nfs/dbraw/zinc/73/46/78/809734678.db2.gz FZSMZJLCXBNABE-ZIAGYGMSSA-N 0 1 281.400 0.331 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCn2ccccc2=O)C1 ZINC001265296855 809750009 /nfs/dbraw/zinc/75/00/09/809750009.db2.gz FQGJZFBGSDQERG-AWEZNQCLSA-N 0 1 287.363 0.452 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001265387312 809770677 /nfs/dbraw/zinc/77/06/77/809770677.db2.gz XOOSYRDIIQWNJE-LBPRGKRZSA-N 0 1 290.367 0.841 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](CNC(=O)CCC=C)C1 ZINC001265578690 809807294 /nfs/dbraw/zinc/80/72/94/809807294.db2.gz ZXQNJKXWGFBGJS-AWEZNQCLSA-N 0 1 291.395 0.530 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)NCc1cc(C)n(C)n1 ZINC001265771085 809848446 /nfs/dbraw/zinc/84/84/46/809848446.db2.gz PJCLNYRTXFWEPU-IAQYHMDHSA-N 0 1 294.399 0.650 20 30 CCEDMN C[C@H](CNC(=O)[C@@H]1CCNC1=O)NCC#Cc1ccccc1 ZINC001265808469 809858261 /nfs/dbraw/zinc/85/82/61/809858261.db2.gz FCEYERVYJOKFER-UKRRQHHQSA-N 0 1 299.374 0.269 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)c1cc[nH]c1C ZINC001265822012 809864483 /nfs/dbraw/zinc/86/44/83/809864483.db2.gz GLIAAJSUTFVTKF-UHFFFAOYSA-N 0 1 290.367 0.124 20 30 CCEDMN CC(C)C#CC(=O)NCCCN(C)[C@@H](C)C(=O)N(C)C ZINC001265842824 809872692 /nfs/dbraw/zinc/87/26/92/809872692.db2.gz LBDOFHUFQILAMK-ZDUSSCGKSA-N 0 1 281.400 0.561 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCN(C)[C@H]2CCNC2=O)cc1 ZINC001265900103 809889129 /nfs/dbraw/zinc/88/91/29/809889129.db2.gz OYZUGQPEAPXFDA-HNNXBMFYSA-N 0 1 299.374 0.608 20 30 CCEDMN C=CCNC(=O)CNC[C@@H](O)c1ccc(F)cc1 ZINC000393819698 809915082 /nfs/dbraw/zinc/91/50/82/809915082.db2.gz NYLBXSDLAZDPOU-GFCCVEGCSA-N 0 1 252.289 0.751 20 30 CCEDMN C[C@H]1C[C@H]1C(=O)NCCCN(CC(=O)NCC#N)C1CC1 ZINC001266118891 809939498 /nfs/dbraw/zinc/93/94/98/809939498.db2.gz WKPQFKBUJQIORG-WCQYABFASA-N 0 1 292.383 0.253 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H](C)NCc1cnon1 ZINC001266130669 809944022 /nfs/dbraw/zinc/94/40/22/809944022.db2.gz PLVMWEUWNRARFE-BDAKNGLRSA-N 0 1 251.290 0.214 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)NCc1cnn(C)n1 ZINC001266141271 809946886 /nfs/dbraw/zinc/94/68/86/809946886.db2.gz YRJZKNSKIXVZFM-LBPRGKRZSA-N 0 1 277.372 0.459 20 30 CCEDMN C=CC(C)(C)CC(=O)NCC[C@H](C)NCc1nnnn1C ZINC001266147335 809948141 /nfs/dbraw/zinc/94/81/41/809948141.db2.gz YXMNUYAGDJVASM-NSHDSACASA-N 0 1 294.403 0.797 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)[C@@H](C)OCC#C)C1 ZINC001266217728 809966971 /nfs/dbraw/zinc/96/69/71/809966971.db2.gz GBAZQYBZUYIKGW-ZIAGYGMSSA-N 0 1 262.353 0.629 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)C(F)F)C1 ZINC001279452999 809980123 /nfs/dbraw/zinc/98/01/23/809980123.db2.gz BHBGDSGZORKAPD-LBPRGKRZSA-N 0 1 260.284 0.218 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)C[C@H]2CCCOC2)C1 ZINC001279528607 809986352 /nfs/dbraw/zinc/98/63/52/809986352.db2.gz CPFOUDQZRDSERZ-GDBMZVCRSA-N 0 1 296.411 0.932 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CC(N)=O)CCC1 ZINC001280473466 810023688 /nfs/dbraw/zinc/02/36/88/810023688.db2.gz SFWTWSZROZHIFN-UHFFFAOYSA-N 0 1 259.737 0.243 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@H]2CCCO2)C1 ZINC001076758689 815363069 /nfs/dbraw/zinc/36/30/69/815363069.db2.gz DKZSSHPYXKFFQI-JHJVBQTASA-N 0 1 268.357 0.293 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cncs2)C1 ZINC001076781209 815372910 /nfs/dbraw/zinc/37/29/10/815372910.db2.gz SVKBCDKJSDSEHG-NXEZZACHSA-N 0 1 267.354 0.494 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001076828924 815386663 /nfs/dbraw/zinc/38/66/63/815386663.db2.gz SEOQMBUMICQMIV-CHWSQXEVSA-N 0 1 278.356 0.080 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2C(C)(C)C2(C)C)C1 ZINC001076851724 815392833 /nfs/dbraw/zinc/39/28/33/815392833.db2.gz XEZYJALMJIYUNX-VXGBXAGGSA-N 0 1 278.396 0.853 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H]2CCCCO2)C1 ZINC001076870856 815402172 /nfs/dbraw/zinc/40/21/72/815402172.db2.gz HMBWCAHXQCATIB-MGPQQGTHSA-N 0 1 280.368 0.130 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCN(CCF)C1 ZINC001267291207 811108129 /nfs/dbraw/zinc/10/81/29/811108129.db2.gz BIRRTXWFPZGBJX-WCQYABFASA-N 0 1 258.337 0.721 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN([C@H]2CCNC2=O)C1 ZINC001267299243 811117100 /nfs/dbraw/zinc/11/71/00/811117100.db2.gz WPQBYNCRUDODEH-RYUDHWBXSA-N 0 1 279.384 0.525 20 30 CCEDMN CCCN(CC#N)CCNC(=O)CCc1c[nH]nn1 ZINC001267317781 811145704 /nfs/dbraw/zinc/14/57/04/811145704.db2.gz LRODINRTYOYDIG-UHFFFAOYSA-N 0 1 264.333 0.089 20 30 CCEDMN CCCN(CC#N)CCNC(=O)CCc1cnn[nH]1 ZINC001267317781 811145710 /nfs/dbraw/zinc/14/57/10/811145710.db2.gz LRODINRTYOYDIG-UHFFFAOYSA-N 0 1 264.333 0.089 20 30 CCEDMN C#CCN(CCNC(=O)c1ccc2nncn2c1)C1CC1 ZINC001267335565 811172200 /nfs/dbraw/zinc/17/22/00/811172200.db2.gz ZRPUBQUWQVHPOL-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@@H](C)CNc2cncc(C#N)n2)[nH]1 ZINC001104501619 811187466 /nfs/dbraw/zinc/18/74/66/811187466.db2.gz XUHFGAZPGSTFKC-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN C#CCCCCC(=O)N(C)CCNCc1n[nH]c(C)n1 ZINC001267365505 811217428 /nfs/dbraw/zinc/21/74/28/811217428.db2.gz ZSBRABZTMBSAQD-UHFFFAOYSA-N 0 1 277.372 0.855 20 30 CCEDMN C#CCN[C@H](CNC(=O)Cn1ccnc1)c1ccccc1 ZINC001267381358 811250773 /nfs/dbraw/zinc/25/07/73/811250773.db2.gz AYFPQEBZDFFZJN-OAHLLOKOSA-N 0 1 282.347 0.963 20 30 CCEDMN Cc1noc(CNC[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001023895160 811279172 /nfs/dbraw/zinc/27/91/72/811279172.db2.gz LEFLSCJXUMCCTK-CMPLNLGQSA-N 0 1 291.355 0.866 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cn[nH]c1 ZINC001114950022 811345292 /nfs/dbraw/zinc/34/52/92/811345292.db2.gz TZPSUZMVCXNOIN-IMRBUKKESA-N 0 1 258.325 0.022 20 30 CCEDMN C#CCCNCc1cn([C@@H]2CCN(C(=O)CC)C2)nn1 ZINC001098588941 811379358 /nfs/dbraw/zinc/37/93/58/811379358.db2.gz QJSBHXAZCDBKMH-CYBMUJFWSA-N 0 1 275.356 0.574 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)n1cncn1)c1ccccc1 ZINC001267521357 811399874 /nfs/dbraw/zinc/39/98/74/811399874.db2.gz SNHTVPOQMMOGER-HIFRSBDPSA-N 0 1 297.362 0.919 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](NC(=O)c3cnn[nH]3)C2)nc1 ZINC001058599396 811425632 /nfs/dbraw/zinc/42/56/32/811425632.db2.gz PAVYSRSZTXWXSK-SNVBAGLBSA-N 0 1 283.295 0.080 20 30 CCEDMN C=CC(C)(C)CC(=O)N(C)CCN1CCN(CCO)CC1 ZINC001267572381 811463347 /nfs/dbraw/zinc/46/33/47/811463347.db2.gz JXJLDSBNBCTVHL-UHFFFAOYSA-N 0 1 297.443 0.657 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2cn[nH]c2)CC1 ZINC001267573886 811466328 /nfs/dbraw/zinc/46/63/28/811466328.db2.gz OJEFWDMSAMECRJ-UHFFFAOYSA-N 0 1 277.372 0.285 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001267582214 811479960 /nfs/dbraw/zinc/47/99/60/811479960.db2.gz IDXBUWKFZXVRAJ-ZDUSSCGKSA-N 0 1 291.395 0.316 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCC[N@H+](CCOCCO)C1 ZINC001267624681 811584023 /nfs/dbraw/zinc/58/40/23/811584023.db2.gz KWFCGNOIXWCISZ-AWEZNQCLSA-N 0 1 282.384 0.379 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCCN(CCOCCO)C1 ZINC001267624681 811584030 /nfs/dbraw/zinc/58/40/30/811584030.db2.gz KWFCGNOIXWCISZ-AWEZNQCLSA-N 0 1 282.384 0.379 20 30 CCEDMN C=CCn1cccc1C(=O)NC[C@H]1CCN1CCCO ZINC001038345224 811670331 /nfs/dbraw/zinc/67/03/31/811670331.db2.gz NVTKJEIYVRASKX-CYBMUJFWSA-N 0 1 277.368 0.861 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3nonc3C)[C@H]2C1 ZINC001083291162 811705250 /nfs/dbraw/zinc/70/52/50/811705250.db2.gz SETROYPJHXEUPE-NWDGAFQWSA-N 0 1 292.339 0.479 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CO[C@@H]2CCOC2)C1 ZINC001267741875 811723169 /nfs/dbraw/zinc/72/31/69/811723169.db2.gz PYDPPFZCJLSYKO-VXGBXAGGSA-N 0 1 254.330 0.168 20 30 CCEDMN C[C@H](CNc1cnc(C#N)cn1)N(C)C(=O)Cc1c[nH]cn1 ZINC001104826176 811735712 /nfs/dbraw/zinc/73/57/12/811735712.db2.gz SHDDXIIRWWALCE-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cn(CC)nn3)C[C@@H]21 ZINC001042291047 811787907 /nfs/dbraw/zinc/78/79/07/811787907.db2.gz AVCDFQZYPBUPDO-OCCSQVGLSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cn(CC)nn3)C[C@@H]21 ZINC001042291047 811787911 /nfs/dbraw/zinc/78/79/11/811787911.db2.gz AVCDFQZYPBUPDO-OCCSQVGLSA-N 0 1 287.367 0.468 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@@](C)(CNCC#N)C1CC1 ZINC001267860727 811840642 /nfs/dbraw/zinc/84/06/42/811840642.db2.gz QNKFOLAFBLBHRK-ABAIWWIYSA-N 0 1 289.383 0.986 20 30 CCEDMN C=CCCNCc1cn(C2CN(C(=O)C#CC3CC3)C2)nn1 ZINC001105238296 811870797 /nfs/dbraw/zinc/87/07/97/811870797.db2.gz UTPRLRBLVAIGJF-UHFFFAOYSA-N 0 1 299.378 0.741 20 30 CCEDMN C=CCC[C@@H](C)N1CCO[C@@H](CNC(=O)COC)C1 ZINC001268018834 811961572 /nfs/dbraw/zinc/96/15/72/811961572.db2.gz RHXXPNCSVMVRFM-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN Cc1nnsc1CNCCNC(=O)c1c[nH]c(C#N)c1 ZINC001125988098 811967718 /nfs/dbraw/zinc/96/77/18/811967718.db2.gz LLSBUIYMTOLYNJ-UHFFFAOYSA-N 0 1 290.352 0.566 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)COC(C)C)CC2 ZINC001268043683 812082145 /nfs/dbraw/zinc/08/21/45/812082145.db2.gz MGXWQERDZUASGA-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H](C)CC(N)=O)CC2 ZINC001268046396 812092261 /nfs/dbraw/zinc/09/22/61/812092261.db2.gz YSZBPPONBKJUGU-GFCCVEGCSA-N 0 1 279.384 0.608 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCc2nccn21 ZINC001027931760 812149694 /nfs/dbraw/zinc/14/96/94/812149694.db2.gz KCWAMDKJWHAUKG-ZIAGYGMSSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnc2n1CCOC2 ZINC001027945970 812156839 /nfs/dbraw/zinc/15/68/39/812156839.db2.gz LMIOPMUGAPHMPS-GFCCVEGCSA-N 0 1 288.351 0.241 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)[C@@H](C)CNCc1cnoc1C ZINC001268063112 812173509 /nfs/dbraw/zinc/17/35/09/812173509.db2.gz LGFOBQCOEVEDPP-AAEUAGOBSA-N 0 1 293.367 0.958 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCn2nccc21 ZINC001027978218 812187606 /nfs/dbraw/zinc/18/76/06/812187606.db2.gz IEVSTHNAWAHKDB-ZIAGYGMSSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001027977468 812187644 /nfs/dbraw/zinc/18/76/44/812187644.db2.gz SQVFNWWGJIFLMW-ZDUSSCGKSA-N 0 1 273.336 0.213 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCN(C)c2nccnc2C#N)[nH]1 ZINC001105352975 812245787 /nfs/dbraw/zinc/24/57/87/812245787.db2.gz HJXVJCGOTPSJCR-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCN(C)c2ccc(C#N)nn2)[nH]1 ZINC001105353535 812247206 /nfs/dbraw/zinc/24/72/06/812247206.db2.gz YQTFHOOAXNYXMI-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001028116043 812289045 /nfs/dbraw/zinc/28/90/45/812289045.db2.gz AITIRPONPJIXLA-NSHDSACASA-N 0 1 276.340 0.343 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCO[C@H]1C=C ZINC001028141410 812310229 /nfs/dbraw/zinc/31/02/29/812310229.db2.gz CQMKYNLKDNFRRE-MCIONIFRSA-N 0 1 262.353 0.791 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cnn(C)c3)[C@@H]2C1 ZINC001075609120 812349930 /nfs/dbraw/zinc/34/99/30/812349930.db2.gz XWIKOUMBHHYPIH-UONOGXRCSA-N 0 1 272.352 0.129 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2n[nH]c3c2CCC3)[C@@H](O)C1 ZINC001083596805 815524883 /nfs/dbraw/zinc/52/48/83/815524883.db2.gz PHOPUEBQEZHNPE-OLZOCXBDSA-N 0 1 290.367 0.249 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)CCOC(C)C ZINC001268233912 812394444 /nfs/dbraw/zinc/39/44/44/812394444.db2.gz RMLUSBGBAHXUFY-UHFFFAOYSA-N 0 1 284.400 0.842 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cn(CCOC)nc1C ZINC001268237205 812399372 /nfs/dbraw/zinc/39/93/72/812399372.db2.gz DYZRXRGOYPIXNY-UHFFFAOYSA-N 0 1 292.383 0.475 20 30 CCEDMN C[C@@H]1C[C@H](CN2CC(NC(=O)CSCC#N)C2)CCO1 ZINC001268245256 812410393 /nfs/dbraw/zinc/41/03/93/812410393.db2.gz DRSNJIIQXFKBIM-VXGBXAGGSA-N 0 1 297.424 0.859 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](O)CNCc1nccn1C ZINC001268321602 812485274 /nfs/dbraw/zinc/48/52/74/812485274.db2.gz ULAZLTMOEBEKGQ-CYBMUJFWSA-N 0 1 292.383 0.180 20 30 CCEDMN C#CCCCC(=O)NCCN[C@@H](C)c1n[nH]c(CC)n1 ZINC001126343609 812529260 /nfs/dbraw/zinc/52/92/60/812529260.db2.gz BZWFVPAIOABSHH-NSHDSACASA-N 0 1 277.372 0.937 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)[C@@H]1CN(C(C)=O)CCO1 ZINC001028294530 812593882 /nfs/dbraw/zinc/59/38/82/812593882.db2.gz DZLVZIYNFYHJRB-KGLIPLIRSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001028327686 812620164 /nfs/dbraw/zinc/62/01/64/812620164.db2.gz AYIPHSWGUXBYOK-TZMCWYRMSA-N 0 1 286.379 0.728 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@@H]1CCCN1CC#N ZINC001028331156 812621679 /nfs/dbraw/zinc/62/16/79/812621679.db2.gz DHXVHYAVQJKOJW-KBPBESRZSA-N 0 1 278.400 0.965 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001028337586 812628500 /nfs/dbraw/zinc/62/85/00/812628500.db2.gz OQYXMCFTCNTGRZ-UONOGXRCSA-N 0 1 277.368 0.211 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC[C@H]2CCN(CCF)C2)c1 ZINC001028468429 812735556 /nfs/dbraw/zinc/73/55/56/812735556.db2.gz VWKCENIFSAKMGH-SNVBAGLBSA-N 0 1 264.304 0.908 20 30 CCEDMN CC(C)(C)C#CC(=O)NC/C=C\CNCC(=O)NC1CC1 ZINC001268537264 812780621 /nfs/dbraw/zinc/78/06/21/812780621.db2.gz SHVVZEUULRDCPP-PLNGDYQASA-N 0 1 291.395 0.577 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cnc(C)cn1 ZINC001127024039 815559223 /nfs/dbraw/zinc/55/92/23/815559223.db2.gz VZXZPXXUTAFEOK-UHFFFAOYSA-N 0 1 276.340 0.031 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)[C@@H](O)C1 ZINC001083394225 812935842 /nfs/dbraw/zinc/93/58/42/812935842.db2.gz PTOFISCYXXMTLX-BJJPWKGXSA-N 0 1 264.369 0.770 20 30 CCEDMN C#Cc1ccccc1CC(=O)NC/C=C/CNCC(=O)NC ZINC001268618036 812949900 /nfs/dbraw/zinc/94/99/00/812949900.db2.gz LTSXPGOEGSQIFM-VOTSOKGWSA-N 0 1 299.374 0.218 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)Cc1cnn(C)c1 ZINC001268724442 813038762 /nfs/dbraw/zinc/03/87/62/813038762.db2.gz NCUUBFWEPFXTHK-GFCCVEGCSA-N 0 1 262.357 0.422 20 30 CCEDMN CCc1n[nH]cc1C(=O)N[C@H](C)CN(C)CC#CCOC ZINC001268735837 813055681 /nfs/dbraw/zinc/05/56/81/813055681.db2.gz OJZMXJHTCZCPCW-GFCCVEGCSA-N 0 1 292.383 0.672 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H](CCC(C)C)NC(C)=O ZINC001268763794 813073600 /nfs/dbraw/zinc/07/36/00/813073600.db2.gz MXGOVHSVAXLLMO-ZFWWWQNUSA-N 0 1 295.427 0.997 20 30 CCEDMN C=CCNC(=O)[C@@H]1CC12CCN(C(=O)c1cnc[nH]1)CC2 ZINC001268868061 813124088 /nfs/dbraw/zinc/12/40/88/813124088.db2.gz PBXBMVWHCIXKPF-NSHDSACASA-N 0 1 288.351 0.954 20 30 CCEDMN C=CCN1C[C@@]2(F)CN(C(=O)c3cnc[nH]3)C[C@@]2(F)C1=O ZINC001268867656 813124116 /nfs/dbraw/zinc/12/41/16/813124116.db2.gz LHHNPXGTXQIQNK-CHWSQXEVSA-N 0 1 296.277 0.310 20 30 CCEDMN CCC#CC(=O)N1CCc2c(n[nH]c2C(=O)N(C)C)C1 ZINC001268873426 813128034 /nfs/dbraw/zinc/12/80/34/813128034.db2.gz NEGURCYBJDCNHU-UHFFFAOYSA-N 0 1 274.324 0.410 20 30 CCEDMN C=CCOCCN1C[C@@H]2C[C@H]1CN2C(=O)C1=CCOCC1 ZINC001268940021 813150262 /nfs/dbraw/zinc/15/02/62/813150262.db2.gz YTMITJRFNFEIIC-GJZGRUSLSA-N 0 1 292.379 0.821 20 30 CCEDMN C#CC(=O)N1CCC[C@]2(CCCN(CC(=O)N(C)C)C2)C1 ZINC001268942458 813152570 /nfs/dbraw/zinc/15/25/70/813152570.db2.gz SRZCSOZNNAJTKQ-INIZCTEOSA-N 0 1 291.395 0.412 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)c3ccn[nH]3)C2)C1=O ZINC001269131402 813230927 /nfs/dbraw/zinc/23/09/27/813230927.db2.gz AIGKUKWMQSKFFK-CQSZACIVSA-N 0 1 272.308 0.108 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2C(=O)c2ccn[nH]2)C1=O ZINC001269131939 813231934 /nfs/dbraw/zinc/23/19/34/813231934.db2.gz PMMNMMRTEIBVPC-CQSZACIVSA-N 0 1 274.324 0.803 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N[C@@H]1CCN(CC#N)C1 ZINC001269134059 813233059 /nfs/dbraw/zinc/23/30/59/813233059.db2.gz DXHMQWGDOZISBY-NEPJUHHUSA-N 0 1 270.352 0.380 20 30 CCEDMN C=CCCN1CC[C@@H](NC(=O)CCCS(C)(=O)=O)C1 ZINC001269177618 813250163 /nfs/dbraw/zinc/25/01/63/813250163.db2.gz KIKUMLLQBNRJTM-GFCCVEGCSA-N 0 1 288.413 0.578 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cn(CC)nn2)C1 ZINC001269182870 813252576 /nfs/dbraw/zinc/25/25/76/813252576.db2.gz OPQBIDAKOYSPQQ-GFCCVEGCSA-N 0 1 293.371 0.305 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)[C@H](OC)c1cnn(C)c1 ZINC001269266872 813297300 /nfs/dbraw/zinc/29/73/00/813297300.db2.gz LPGAHWMDQCLIBK-UONOGXRCSA-N 0 1 292.383 0.874 20 30 CCEDMN N#Cc1ccc(CNC2(CNC(=O)CC(N)=O)CC2)s1 ZINC001269387929 813352074 /nfs/dbraw/zinc/35/20/74/813352074.db2.gz BTZGCIXOTMGHPJ-UHFFFAOYSA-N 0 1 292.364 0.234 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC1(NCc2cncs2)CC1 ZINC001269411411 813361475 /nfs/dbraw/zinc/36/14/75/813361475.db2.gz GGMGDWXSEVKWHU-NSHDSACASA-N 0 1 293.392 0.920 20 30 CCEDMN C=C1CC(C)(C(=O)NCC2(N[C@H]3CCNC3=O)CC2)C1 ZINC001269489227 813389226 /nfs/dbraw/zinc/38/92/26/813389226.db2.gz KPYAAPQDOAIVEB-NSHDSACASA-N 0 1 277.368 0.470 20 30 CCEDMN CC(C)N1CCO[C@H](C(=O)NC[C@@H]2CCN(CC#N)C2)C1 ZINC001028757808 813446748 /nfs/dbraw/zinc/44/67/48/813446748.db2.gz JVVIVROIERKEEN-KBPBESRZSA-N 0 1 294.399 0.057 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001225884464 813668107 /nfs/dbraw/zinc/66/81/07/813668107.db2.gz OIMGTXPBCJBSAD-TZMCWYRMSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCCC(=O)N[C@]1(C)CCN([C@@H](CC)C(N)=O)C1 ZINC001270558075 813809586 /nfs/dbraw/zinc/80/95/86/813809586.db2.gz PTTFDHRSFQHHBL-SMDDNHRTSA-N 0 1 267.373 0.797 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)c2ccnn2C)C1 ZINC001270630475 813859582 /nfs/dbraw/zinc/85/95/82/813859582.db2.gz YUJSBAAEHSEMSL-ZDUSSCGKSA-N 0 1 292.383 0.817 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CC[C@@](C)(CNCC#N)C1 ZINC001270698755 813897599 /nfs/dbraw/zinc/89/75/99/813897599.db2.gz WNIFNYWNVOLWCU-JSGCOSHPSA-N 0 1 264.373 0.432 20 30 CCEDMN CC#CC(=O)N1C[C@@H]2CCN(COCCOC)CC[C@@H]2C1 ZINC001270716732 813904886 /nfs/dbraw/zinc/90/48/86/813904886.db2.gz OAOMTUONMCRLIL-GASCZTMLSA-N 0 1 294.395 0.801 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnccn2)[C@H]1CC ZINC001087489543 813950160 /nfs/dbraw/zinc/95/01/60/813950160.db2.gz DMVFDDIFDFPRCY-WCQYABFASA-N 0 1 258.325 0.693 20 30 CCEDMN N#Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCCO)c[nH]1 ZINC001029278164 814034865 /nfs/dbraw/zinc/03/48/65/814034865.db2.gz QWVIULYWXWVIGN-OKILXGFUSA-N 0 1 288.351 0.558 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCc4cn[nH]c43)[C@@H]2C1 ZINC001075792653 814036740 /nfs/dbraw/zinc/03/67/40/814036740.db2.gz NUVZCULFGFPOEW-RRFJBIMHSA-N 0 1 298.390 0.996 20 30 CCEDMN CC1(CNC(=O)c2cnn[nH]2)CCN(CC#N)CC1 ZINC001270892135 814051937 /nfs/dbraw/zinc/05/19/37/814051937.db2.gz CCJSLDGBRUHCEF-UHFFFAOYSA-N 0 1 262.317 0.160 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)cn1 ZINC001059277044 814054621 /nfs/dbraw/zinc/05/46/21/814054621.db2.gz TUNOWXBLHLTUOE-XYPYZODXSA-N 0 1 283.295 0.444 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)cn1 ZINC001059277044 814054628 /nfs/dbraw/zinc/05/46/28/814054628.db2.gz TUNOWXBLHLTUOE-XYPYZODXSA-N 0 1 283.295 0.444 20 30 CCEDMN COCC#CCN1CC[C@](O)(CNC(=O)CCC(C)C)C1 ZINC001271108850 814155242 /nfs/dbraw/zinc/15/52/42/814155242.db2.gz FMGOQMURMOQBPD-INIZCTEOSA-N 0 1 296.411 0.625 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2[nH]cnc2C)[C@H]1CC ZINC001087851028 814238452 /nfs/dbraw/zinc/23/84/52/814238452.db2.gz FYERYRODCUZKHI-NWDGAFQWSA-N 0 1 260.341 0.934 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@]1(O)CCN([C@H](CC)C(N)=O)C1 ZINC001271175465 814315234 /nfs/dbraw/zinc/31/52/34/814315234.db2.gz PCKITXKRNQNXPM-ABAIWWIYSA-N 0 1 297.399 0.016 20 30 CCEDMN CCN1C[C@@H](NC(=O)CSCC#N)[C@H](OC)C1 ZINC001211888715 814320095 /nfs/dbraw/zinc/32/00/95/814320095.db2.gz LFYIKPJHMRYCJU-NXEZZACHSA-N 0 1 257.359 0.078 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H]2C[C@]21C(=O)NCc1cnc[nH]1 ZINC001271221976 814339729 /nfs/dbraw/zinc/33/97/29/814339729.db2.gz QSNOMXJYOVCGPG-ISTVAULSSA-N 0 1 287.323 0.177 20 30 CCEDMN CSC[C@H](C)C(=O)NCCN1CCC(NCC#N)CC1 ZINC001271904455 814625636 /nfs/dbraw/zinc/62/56/36/814625636.db2.gz BCDIGIZOSREIGS-LBPRGKRZSA-N 0 1 298.456 0.679 20 30 CCEDMN CC(C)[C@@H]1C[C@H]1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001271931555 814633821 /nfs/dbraw/zinc/63/38/21/814633821.db2.gz CRSPBERTYJPHCS-LSDHHAIUSA-N 0 1 292.427 0.972 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)[C@H](C)COC)C1 ZINC001271950734 814647039 /nfs/dbraw/zinc/64/70/39/814647039.db2.gz QGNNLTVBLGTOBU-OCCSQVGLSA-N 0 1 270.373 0.398 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCOC2)[C@H]1C ZINC001088501417 814748430 /nfs/dbraw/zinc/74/84/30/814748430.db2.gz VMXJTAYSWRZYRT-UPJWGTAASA-N 0 1 250.342 0.625 20 30 CCEDMN C=CCCCN(C)CCN(C)C(=O)C1CS(=O)(=O)C1 ZINC001272027287 814753681 /nfs/dbraw/zinc/75/36/81/814753681.db2.gz GKJXQDXXRDXQPH-UHFFFAOYSA-N 0 1 288.413 0.387 20 30 CCEDMN N#Cc1ccc2ncc(CN[C@]3(CO)CCOC3)n2c1 ZINC000342767855 814759020 /nfs/dbraw/zinc/75/90/20/814759020.db2.gz GRWAYXVTTIMSMN-AWEZNQCLSA-N 0 1 272.308 0.447 20 30 CCEDMN CN(C(=O)c1c[nH]c(C#N)c1)C1CN(C[C@@H]2CCOC2)C1 ZINC001042630123 814848403 /nfs/dbraw/zinc/84/84/03/814848403.db2.gz ABSWKRYUNCEMAA-NSHDSACASA-N 0 1 288.351 0.679 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(OC)no2)[C@H]1C ZINC001088534411 814856980 /nfs/dbraw/zinc/85/69/80/814856980.db2.gz ROVFSAAWLLQHHN-MNOVXSKESA-N 0 1 277.324 0.899 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2OCCCO2)[C@H]1C ZINC001088540652 814860245 /nfs/dbraw/zinc/86/02/45/814860245.db2.gz MEDZNSVSZNAUSL-OLZOCXBDSA-N 0 1 280.368 0.742 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)SC)[C@H](OC)C1 ZINC001211912649 814867665 /nfs/dbraw/zinc/86/76/65/814867665.db2.gz XZSYZDPSHWLSFB-QJPTWQEYSA-N 0 1 270.398 0.577 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2nnc(C)o2)[C@H]1C ZINC001088553463 814887365 /nfs/dbraw/zinc/88/73/65/814887365.db2.gz IIPCFCGTXZWQFP-KOLCDFICSA-N 0 1 262.313 0.133 20 30 CCEDMN N#Cc1cnccc1N[C@H]1C[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001059847769 814894784 /nfs/dbraw/zinc/89/47/84/814894784.db2.gz RRWCIFHPJGUFJT-TXEJJXNPSA-N 0 1 296.334 0.400 20 30 CCEDMN C=CCN(C)CCN(C)C(=O)COc1c(C)occc1=O ZINC001272062225 814899472 /nfs/dbraw/zinc/89/94/72/814899472.db2.gz ZZJQNVGJTKGLEB-UHFFFAOYSA-N 0 1 294.351 0.903 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(N(C)C)cn2)[C@H]1C ZINC001088566265 814920530 /nfs/dbraw/zinc/92/05/30/814920530.db2.gz FUGVBVADLSZEMT-NEPJUHHUSA-N 0 1 289.383 0.921 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cccc(OC)n1 ZINC001127039625 815590280 /nfs/dbraw/zinc/59/02/80/815590280.db2.gz NKUNVFQGHSQAMU-LBPRGKRZSA-N 0 1 291.351 0.334 20 30 CCEDMN N#C[C@H](C(=O)NC1CC1)C(=O)[C@H]1Cc2ccccc2C(=O)O1 ZINC000129409151 815614186 /nfs/dbraw/zinc/61/41/86/815614186.db2.gz HQUPIHHUMHSFTH-QWHCGFSZSA-N 0 1 298.298 0.756 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cn(C(C)C)cn2)C1 ZINC001077445255 815652353 /nfs/dbraw/zinc/65/23/53/815652353.db2.gz HMLDCOKELYASGD-TZMCWYRMSA-N 0 1 292.383 0.815 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)[C@@H]2CCCN2C)C1 ZINC001030153836 815842899 /nfs/dbraw/zinc/84/28/99/815842899.db2.gz DTWPLXXCMMWEDD-NSHDSACASA-N 0 1 257.765 0.634 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001030313656 815972978 /nfs/dbraw/zinc/97/29/78/815972978.db2.gz CLIGCNHASHYKGH-LBPRGKRZSA-N 0 1 272.352 0.653 20 30 CCEDMN C#C[C@@H](NC[C@H](O)C1(O)CCOCC1)c1ccccc1 ZINC001120590119 816153405 /nfs/dbraw/zinc/15/34/05/816153405.db2.gz WLKJQOZMFLRIQC-CABCVRRESA-N 0 1 275.348 0.853 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001045924603 816193024 /nfs/dbraw/zinc/19/30/24/816193024.db2.gz MSQYJXFZYYXIIS-WFASDCNBSA-N 0 1 292.383 0.336 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2C)[C@H]1C ZINC001089012701 816210688 /nfs/dbraw/zinc/21/06/88/816210688.db2.gz JNKSBIXBPOVPTQ-HZSPNIEDSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(F)ccc2C)[C@@H](O)C1 ZINC001083680688 816290010 /nfs/dbraw/zinc/29/00/10/816290010.db2.gz PGRNISMJWYFVLV-CABCVRRESA-N 0 1 290.338 0.932 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2c[nH]nc2C)[C@@H](O)C1 ZINC001083686857 816398020 /nfs/dbraw/zinc/39/80/20/816398020.db2.gz OJHCMLGSDAZFTR-NEPJUHHUSA-N 0 1 264.329 0.069 20 30 CCEDMN C=CCN1C(=O)COCC12CN(CCCSC)C2 ZINC001272458957 816447868 /nfs/dbraw/zinc/44/78/68/816447868.db2.gz DNHICHLSRAEMAG-UHFFFAOYSA-N 0 1 270.398 0.839 20 30 CCEDMN C#Cc1cncc(C(=O)NC2CN(CC3CCOCC3)C2)c1 ZINC001030929710 816449277 /nfs/dbraw/zinc/44/92/77/816449277.db2.gz WONGNSISVSKIQG-UHFFFAOYSA-N 0 1 299.374 0.904 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001046240207 816453400 /nfs/dbraw/zinc/45/34/00/816453400.db2.gz QBGUVLPHQPJYRY-WFASDCNBSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H]2CC=CCC2)[C@@H](O)C1 ZINC001083702322 816480312 /nfs/dbraw/zinc/48/03/12/816480312.db2.gz CLTBESYURPOLOB-KFWWJZLASA-N 0 1 276.380 0.917 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](O)CNCc1cnc(C)cn1 ZINC001272496144 816505933 /nfs/dbraw/zinc/50/59/33/816505933.db2.gz LWAIGJGECVEBET-ZDUSSCGKSA-N 0 1 292.383 0.564 20 30 CCEDMN C#CCN1C(=O)C[C@@]2(CCCN(Cc3cc[nH]n3)C2)C1=O ZINC001272537265 816561788 /nfs/dbraw/zinc/56/17/88/816561788.db2.gz GTBRBAIKXAMQEI-OAHLLOKOSA-N 0 1 286.335 0.384 20 30 CCEDMN C=CCN1C[C@@]2(F)CN(Cc3c[nH]nn3)C[C@@]2(F)C1=O ZINC001272567851 816588324 /nfs/dbraw/zinc/58/83/24/816588324.db2.gz BXIVQRXWNTUERZ-NWDGAFQWSA-N 0 1 283.282 0.065 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CCN1CCOC ZINC001234266237 816589147 /nfs/dbraw/zinc/58/91/47/816589147.db2.gz OBDXXSDAZRQQFX-QWHCGFSZSA-N 0 1 268.357 0.204 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@H]1CCN1CCOC ZINC001234266235 816590420 /nfs/dbraw/zinc/59/04/20/816590420.db2.gz OBDXXSDAZRQQFX-CHWSQXEVSA-N 0 1 268.357 0.204 20 30 CCEDMN N#CCCCN1CC[C@@]2(CCCN2Cc2c[nH]nn2)C1=O ZINC001272570167 816590441 /nfs/dbraw/zinc/59/04/41/816590441.db2.gz QAIYHQRKCFYRQM-AWEZNQCLSA-N 0 1 288.355 0.675 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001046462048 816597300 /nfs/dbraw/zinc/59/73/00/816597300.db2.gz SUDMJUKSJDFWEI-ZBFHGGJFSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@]2(C)C=CCC2)C1 ZINC001077528820 816620278 /nfs/dbraw/zinc/62/02/78/816620278.db2.gz FAMHHMMRLMZZCV-NFAWXSAZSA-N 0 1 262.353 0.527 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC1CN(C[C@@H]2CCOC2)C1 ZINC001031088553 816635054 /nfs/dbraw/zinc/63/50/54/816635054.db2.gz BNMMVHVXNWTQEV-QEJZJMRPSA-N 0 1 294.395 0.805 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H](C)OC)C[C@H]1C(F)(F)F ZINC001099222013 816752586 /nfs/dbraw/zinc/75/25/86/816752586.db2.gz YHGVQTSSZRMTMP-OPRDCNLKSA-N 0 1 278.274 0.633 20 30 CCEDMN CCN(CCNc1ncnc2[nH]cnc21)C(=O)[C@H](C)C#N ZINC001106707296 816861241 /nfs/dbraw/zinc/86/12/41/816861241.db2.gz DIOWFUYTUPIYLS-SECBINFHSA-N 0 1 287.327 0.725 20 30 CCEDMN CCn1ccnc1C(C#N)C(=O)c1nnn(C)c1C ZINC001123337585 816922576 /nfs/dbraw/zinc/92/25/76/816922576.db2.gz IHDCQQZRHJLXSJ-SECBINFHSA-N 0 1 258.285 0.830 20 30 CCEDMN CCn1ccnc1[C@H](C#N)C(=O)c1nnn(C)c1C ZINC001123337585 816922580 /nfs/dbraw/zinc/92/25/80/816922580.db2.gz IHDCQQZRHJLXSJ-SECBINFHSA-N 0 1 258.285 0.830 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H](NC=O)C(C)C)CC1 ZINC001123348687 816932635 /nfs/dbraw/zinc/93/26/35/816932635.db2.gz FXJNYHRZJIBHEG-ZDUSSCGKSA-N 0 1 266.341 0.398 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)NC[C@H](C)N2CCN(C)CC2)C1 ZINC001123885259 817153440 /nfs/dbraw/zinc/15/34/40/817153440.db2.gz DABFKZVNNKVHJQ-GJZGRUSLSA-N 0 1 292.427 0.677 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1C[N@H+](CC2CC2)C[C@H]1OC ZINC001212262312 817275781 /nfs/dbraw/zinc/27/57/81/817275781.db2.gz LBUHVOXPHKVQEV-ZIAGYGMSSA-N 0 1 280.368 0.252 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)NCC1CN(CC#N)C1)C2 ZINC001031789847 817367720 /nfs/dbraw/zinc/36/77/20/817367720.db2.gz PNBQBZAIYWTMSC-LBPRGKRZSA-N 0 1 287.367 0.395 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(CN(C)C)on2)C1 ZINC001031799458 817375446 /nfs/dbraw/zinc/37/54/46/817375446.db2.gz GDFQADIOXMWMMT-UHFFFAOYSA-N 0 1 278.356 0.584 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cccc3ncnn32)C1 ZINC001031820554 817394727 /nfs/dbraw/zinc/39/47/27/817394727.db2.gz XRAVALBZENUSMQ-UHFFFAOYSA-N 0 1 285.351 0.967 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccc3ncnn32)C1 ZINC001031820942 817395586 /nfs/dbraw/zinc/39/55/86/817395586.db2.gz SPYTXGLLOOXNEK-UHFFFAOYSA-N 0 1 271.324 0.577 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(C(=O)c2cn[nH]n2)C1 ZINC001089832327 817416077 /nfs/dbraw/zinc/41/60/77/817416077.db2.gz UFDLYHZBECXETQ-ABHRYQDASA-N 0 1 299.378 0.610 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CC3(CC3)C2)[C@@H](O)C1 ZINC001083768409 817419321 /nfs/dbraw/zinc/41/93/21/817419321.db2.gz ZYDOWEQBBGVOAU-OLZOCXBDSA-N 0 1 262.353 0.361 20 30 CCEDMN CCN(CCNc1ncccc1C#N)C(=O)c1[nH]nnc1C ZINC001106919649 817443018 /nfs/dbraw/zinc/44/30/18/817443018.db2.gz OHEHINFXFRRNPY-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN N#Cc1ccc(CNCCNC(=O)[C@H]2CCOC2)c(F)c1 ZINC001124500868 817493672 /nfs/dbraw/zinc/49/36/72/817493672.db2.gz FONRQDPFAWACSB-ZDUSSCGKSA-N 0 1 291.326 0.940 20 30 CCEDMN Cc1cccc(NC(=O)CNCCNC(=O)[C@@H](C)C#N)c1 ZINC001124888293 817616188 /nfs/dbraw/zinc/61/61/88/817616188.db2.gz GAESSVMQPSJGHV-LBPRGKRZSA-N 0 1 288.351 0.799 20 30 CCEDMN Cc1nn(C)c(Cl)c1CNCCNC(=O)[C@H](C)C#N ZINC001124889560 817617377 /nfs/dbraw/zinc/61/73/77/817617377.db2.gz RJJQDAFBMGKSBE-MRVPVSSYSA-N 0 1 283.763 0.747 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCC(=O)Nc1ccccc1F ZINC001124908594 817633562 /nfs/dbraw/zinc/63/35/62/817633562.db2.gz NWTCGVTYHGBXKW-SNVBAGLBSA-N 0 1 292.314 0.630 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccc(-n3cnnn3)c2)C1 ZINC001032180892 817679216 /nfs/dbraw/zinc/67/92/16/817679216.db2.gz ODBZOPJPOZRBNS-UHFFFAOYSA-N 0 1 298.350 0.510 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1ccn[nH]1)Nc1ccc(C#N)nn1 ZINC001115656401 817688738 /nfs/dbraw/zinc/68/87/38/817688738.db2.gz IKISQFPVYVUKGY-VIFPVBQESA-N 0 1 285.311 0.644 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001032204064 817698925 /nfs/dbraw/zinc/69/89/25/817698925.db2.gz HXCGDLPRWHUNRW-GFCCVEGCSA-N 0 1 275.356 0.075 20 30 CCEDMN O=C(C#CC1CC1)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107157953 817797007 /nfs/dbraw/zinc/79/70/07/817797007.db2.gz FWDOWKOYHSVRTI-UPHRSURJSA-N 0 1 296.334 0.802 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)[C@]12C[C@H]1COC2 ZINC001127743763 817820790 /nfs/dbraw/zinc/82/07/90/817820790.db2.gz SDVCGQKTSNGYLI-HOCLYGCPSA-N 0 1 285.347 0.801 20 30 CCEDMN N#Cc1cccnc1NCC=CCNC(=O)c1ncn[nH]1 ZINC001107286833 817824287 /nfs/dbraw/zinc/82/42/87/817824287.db2.gz GIZQKMVTAPQPLO-OWOJBTEDSA-N 0 1 283.295 0.469 20 30 CCEDMN N#Cc1cccnc1NCC=CCNC(=O)c1nc[nH]n1 ZINC001107286833 817824300 /nfs/dbraw/zinc/82/43/00/817824300.db2.gz GIZQKMVTAPQPLO-OWOJBTEDSA-N 0 1 283.295 0.469 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H](C)CCNCc1cn(C)nn1 ZINC001272747501 817829241 /nfs/dbraw/zinc/82/92/41/817829241.db2.gz MXRNGCRUPBQPCS-SMDDNHRTSA-N 0 1 295.387 0.127 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1O ZINC001077694009 818011602 /nfs/dbraw/zinc/01/16/02/818011602.db2.gz ALLDALIXVNRBNZ-SYQHCUMBSA-N 0 1 280.368 0.315 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2[nH]c(C)nc2C)[C@@H](O)C1 ZINC001083786062 818012982 /nfs/dbraw/zinc/01/29/82/818012982.db2.gz IHAVDDWFFWOOJK-NEPJUHHUSA-N 0 1 278.356 0.378 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@@H](NC(=O)c2[n-]nnc2C)C12CCC2 ZINC001078695916 818035508 /nfs/dbraw/zinc/03/55/08/818035508.db2.gz SDQFDNZPMILYHT-WDEREUQCSA-N 0 1 273.340 0.377 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CCNC(=O)Cc1cnc[nH]1 ZINC001078752082 818043087 /nfs/dbraw/zinc/04/30/87/818043087.db2.gz IAIMTNXWFTZTMX-LBPRGKRZSA-N 0 1 290.367 0.767 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](N(C)C(C)=O)C2)C1=O ZINC001032852561 818310651 /nfs/dbraw/zinc/31/06/51/818310651.db2.gz OQNRCFIFRCMULV-OLZOCXBDSA-N 0 1 265.357 0.326 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccsc2)[C@H](O)C1 ZINC001090047318 818343432 /nfs/dbraw/zinc/34/34/32/818343432.db2.gz RUXMSEIYHLJNDL-UONOGXRCSA-N 0 1 292.404 0.865 20 30 CCEDMN C#CC[N@H+]1CC[C@H](N(C)C(=O)c2cc(CC)n[nH]2)C1 ZINC001032921639 818360598 /nfs/dbraw/zinc/36/05/98/818360598.db2.gz QSFLHYSMNQDFQR-LBPRGKRZSA-N 0 1 260.341 0.752 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cc(C)nn2C)C1 ZINC001032934153 818375095 /nfs/dbraw/zinc/37/50/95/818375095.db2.gz CIGCHJLJCZLDRZ-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C)n2C)[C@@H](O)C1 ZINC001090065314 818443468 /nfs/dbraw/zinc/44/34/68/818443468.db2.gz QAWRPXAUJVTHDR-OCCSQVGLSA-N 0 1 277.368 0.685 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cccn2C)[C@H](O)C1 ZINC001090088406 818488432 /nfs/dbraw/zinc/48/84/32/818488432.db2.gz OEFBNTNTIDDQBT-WCQYABFASA-N 0 1 263.341 0.376 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccn2C)[C@H](O)C1 ZINC001090088406 818488436 /nfs/dbraw/zinc/48/84/36/818488436.db2.gz OEFBNTNTIDDQBT-WCQYABFASA-N 0 1 263.341 0.376 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2nnn(C(C)(C)C)n2)C1 ZINC001033095482 818512394 /nfs/dbraw/zinc/51/23/94/818512394.db2.gz CLTGRTRSFXITBH-NSHDSACASA-N 0 1 292.387 0.760 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2ccc3nn[n-]c3n2)C1 ZINC001033134534 818562161 /nfs/dbraw/zinc/56/21/61/818562161.db2.gz XEXCRNWYKWESPE-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001033134534 818562167 /nfs/dbraw/zinc/56/21/67/818562167.db2.gz XEXCRNWYKWESPE-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCNC2=O)C1 ZINC001033140556 818563688 /nfs/dbraw/zinc/56/36/88/818563688.db2.gz YLXQZWNPDLWWFP-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033144391 818568889 /nfs/dbraw/zinc/56/88/89/818568889.db2.gz CCDDNABFWLPVAX-GFCCVEGCSA-N 0 1 290.367 0.998 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033169121 818597772 /nfs/dbraw/zinc/59/77/72/818597772.db2.gz GLQPSTLWAMIHNC-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@H](CNc1cnc(C#N)cn1)NC(=O)c1[nH]ncc1F ZINC001108091703 818626882 /nfs/dbraw/zinc/62/68/82/818626882.db2.gz WQWHDPATNXUYAF-SSDOTTSWSA-N 0 1 289.274 0.441 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCc3cncn3C2)C1 ZINC001033204913 818650172 /nfs/dbraw/zinc/65/01/72/818650172.db2.gz APOKCWKPEYVKHS-ZFWWWQNUSA-N 0 1 286.379 0.611 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc(=O)n(C)c2)C1 ZINC001033226121 818663434 /nfs/dbraw/zinc/66/34/34/818663434.db2.gz VLTNFQQISCWLCE-AWEZNQCLSA-N 0 1 287.363 0.555 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H](N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001033236371 818668085 /nfs/dbraw/zinc/66/80/85/818668085.db2.gz CMPLPBXQQJYKQK-VIFPVBQESA-N 0 1 293.327 0.005 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2cn(C)ccc2=O)C1 ZINC001033253423 818677702 /nfs/dbraw/zinc/67/77/02/818677702.db2.gz ORZBVZISDIOFKQ-ZDUSSCGKSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2coc(OC)n2)C1 ZINC001033305240 818700889 /nfs/dbraw/zinc/70/08/89/818700889.db2.gz UZJVKYFNUDBLAH-NSHDSACASA-N 0 1 277.324 0.853 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033339982 818724819 /nfs/dbraw/zinc/72/48/19/818724819.db2.gz CHVYXJRLKLVZFI-NEPJUHHUSA-N 0 1 265.357 0.183 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCNC(=O)[C@H]1C[C@@H]1C ZINC001128631654 818753213 /nfs/dbraw/zinc/75/32/13/818753213.db2.gz CWWQOUKIVFJCCI-ONGXEEELSA-N 0 1 287.791 0.607 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CC2=CCOCC2)C1 ZINC001033384151 818755297 /nfs/dbraw/zinc/75/52/97/818755297.db2.gz QEWKGVSMIBHLNU-AWEZNQCLSA-N 0 1 262.353 0.889 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2ccnc3c2ncn3C)C1 ZINC001033418445 818764234 /nfs/dbraw/zinc/76/42/34/818764234.db2.gz ZANUCAVNMOGHBZ-LBPRGKRZSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCO[C@H]2C=C)C1 ZINC001033433851 818769079 /nfs/dbraw/zinc/76/90/79/818769079.db2.gz XULSCGWMHUKROT-MJBXVCDLSA-N 0 1 262.353 0.743 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001033425303 818772562 /nfs/dbraw/zinc/77/25/62/818772562.db2.gz IIAPOAGGJOXSAF-HOCLYGCPSA-N 0 1 283.375 0.962 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001033425303 818772570 /nfs/dbraw/zinc/77/25/70/818772570.db2.gz IIAPOAGGJOXSAF-HOCLYGCPSA-N 0 1 283.375 0.962 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cn2nccc2C)C1 ZINC001033477676 818790106 /nfs/dbraw/zinc/79/01/06/818790106.db2.gz FFTFDHOXYQVSIS-CYBMUJFWSA-N 0 1 260.341 0.357 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@]2(C)CCN(C(C)=O)C2)C1 ZINC001033561084 818832797 /nfs/dbraw/zinc/83/27/97/818832797.db2.gz FUYREAMKMYEIRH-ZBFHGGJFSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001033572664 818835127 /nfs/dbraw/zinc/83/51/27/818835127.db2.gz XUBMYHJMBRSAFX-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001033615915 818852757 /nfs/dbraw/zinc/85/27/57/818852757.db2.gz DCCLTICPSQSRTG-KGLIPLIRSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2[nH]cnc2C)C1 ZINC001033618059 818854209 /nfs/dbraw/zinc/85/42/09/818854209.db2.gz FHHXYSCHIRWGJA-LBPRGKRZSA-N 0 1 260.341 0.427 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CN2CCCCCC2=O)C1 ZINC001033644140 818866343 /nfs/dbraw/zinc/86/63/43/818866343.db2.gz IMHJPMUMAXAALX-AWEZNQCLSA-N 0 1 291.395 0.555 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001033705258 818892614 /nfs/dbraw/zinc/89/26/14/818892614.db2.gz GVMXTYKUAOXGDX-RYUDHWBXSA-N 0 1 280.372 0.248 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CC23CCOCC3)C1 ZINC001033719365 818904727 /nfs/dbraw/zinc/90/47/27/818904727.db2.gz NRBUMOFOGUDINQ-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2COC(=O)N2)C1 ZINC001033815482 818955474 /nfs/dbraw/zinc/95/54/74/818955474.db2.gz JIMIDLIDHXMQCU-NEPJUHHUSA-N 0 1 279.340 0.041 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)C1 ZINC001033875351 818971954 /nfs/dbraw/zinc/97/19/54/818971954.db2.gz RGWTYNYEYXTMIL-RBSFLKMASA-N 0 1 295.427 0.814 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2C)[C@H](O)C1 ZINC001090150704 818986991 /nfs/dbraw/zinc/98/69/91/818986991.db2.gz OUNTVIAEXLGTPA-QWHCGFSZSA-N 0 1 290.367 0.215 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001033959858 819012340 /nfs/dbraw/zinc/01/23/40/819012340.db2.gz JDXUCQUPJZTVSF-XQQFMLRXSA-N 0 1 277.368 0.054 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033939615 819014737 /nfs/dbraw/zinc/01/47/37/819014737.db2.gz BVUQYHDRZFODFQ-NSHDSACASA-N 0 1 278.356 0.848 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cnon2)C1 ZINC001033998135 819029101 /nfs/dbraw/zinc/02/91/01/819029101.db2.gz YVDVELFVYGMSNG-SNVBAGLBSA-N 0 1 250.302 0.792 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001034031684 819035498 /nfs/dbraw/zinc/03/54/98/819035498.db2.gz KUYAOPMOGZZIEC-GJZGRUSLSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001034051892 819040182 /nfs/dbraw/zinc/04/01/82/819040182.db2.gz CZQHIDKPHQDBFF-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C=C2CCC2)[C@@H](O)C1 ZINC001090188085 819066692 /nfs/dbraw/zinc/06/66/92/819066692.db2.gz AOGMUMAHOCJDBG-KBPBESRZSA-N 0 1 262.353 0.671 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnn(C)c2C)C1 ZINC001034105175 819075666 /nfs/dbraw/zinc/07/56/66/819075666.db2.gz PGWBNMUQEZJSOX-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnc(C)[nH]2)C1 ZINC001034134153 819090371 /nfs/dbraw/zinc/09/03/71/819090371.db2.gz ZQWWTLUASONTSC-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CCC(=O)N2)C1 ZINC001034132013 819090463 /nfs/dbraw/zinc/09/04/63/819090463.db2.gz FJXNOCWTVTZCGC-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC001034153654 819099687 /nfs/dbraw/zinc/09/96/87/819099687.db2.gz GBPLGSXQKFJKKP-CYBMUJFWSA-N 0 1 274.368 0.865 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc2n[nH]nc2n1 ZINC001128875759 819115662 /nfs/dbraw/zinc/11/56/62/819115662.db2.gz VDNPXAVRTRTZGQ-UHFFFAOYSA-N 0 1 280.719 0.425 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C2=CCOCC2)C1 ZINC001034179327 819116845 /nfs/dbraw/zinc/11/68/45/819116845.db2.gz ZORZNUDQZAHZCW-CQSZACIVSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC001034205374 819120155 /nfs/dbraw/zinc/12/01/55/819120155.db2.gz WDOIKASIRXFBBU-AWEZNQCLSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001034249548 819134781 /nfs/dbraw/zinc/13/47/81/819134781.db2.gz LCFHLUHZYSNUJY-ZNMIVQPWSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2c(C)ncn2C)C1 ZINC001034257928 819139676 /nfs/dbraw/zinc/13/96/76/819139676.db2.gz RRTMLIVYYZBKAB-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CC[N@H+]1CCCC[C@H](NC(=O)[C@H]2CCCNC2=O)C1 ZINC001034253056 819143532 /nfs/dbraw/zinc/14/35/32/819143532.db2.gz DPRPOUZEHSCFJB-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2csnc2C)[C@@H](O)C1 ZINC001090204284 819153643 /nfs/dbraw/zinc/15/36/43/819153643.db2.gz OOBBWWPQMMFMLC-NEPJUHHUSA-N 0 1 281.381 0.803 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001034287960 819155459 /nfs/dbraw/zinc/15/54/59/819155459.db2.gz JQYSBPDKVCXGBG-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(C)n2)[C@@H](O)C1 ZINC001090206073 819162722 /nfs/dbraw/zinc/16/27/22/819162722.db2.gz MSRGVYVZXXJCGX-JQWIXIFHSA-N 0 1 265.313 0.334 20 30 CCEDMN C=CC[N@H+]1CCCC[C@@H](NC(=O)[C@H]2CCNC2=O)C1 ZINC001034340518 819172822 /nfs/dbraw/zinc/17/28/22/819172822.db2.gz PZQIRHJAUHBGEV-NEPJUHHUSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2c(C)cnn2C)C1 ZINC001034358223 819176392 /nfs/dbraw/zinc/17/63/92/819176392.db2.gz ODBUTGYPVKFNBY-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001034400081 819196075 /nfs/dbraw/zinc/19/60/75/819196075.db2.gz VWJXAKJZLDWMNZ-XQQFMLRXSA-N 0 1 277.368 0.102 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccoc2)[C@@H](O)C1 ZINC001090214123 819199412 /nfs/dbraw/zinc/19/94/12/819199412.db2.gz VBCQEYRFNFBZBN-STQMWFEESA-N 0 1 264.325 0.560 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001034440969 819205518 /nfs/dbraw/zinc/20/55/18/819205518.db2.gz AUDPWNKMCWSRSY-AWEZNQCLSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001034509433 819226300 /nfs/dbraw/zinc/22/63/00/819226300.db2.gz WFTJCRDBXGUETP-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccc3nnnn3c2)CC1 ZINC001045500837 819264900 /nfs/dbraw/zinc/26/49/00/819264900.db2.gz KZEGQMBAWVHYBW-UHFFFAOYSA-N 0 1 298.350 0.342 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H]1CNCc1cnns1 ZINC001034885966 819355918 /nfs/dbraw/zinc/35/59/18/819355918.db2.gz DCKRVJNAPNEEBE-NXEZZACHSA-N 0 1 279.369 0.778 20 30 CCEDMN C#CCN1CCO[C@@H](CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001035323723 819469884 /nfs/dbraw/zinc/46/98/84/819469884.db2.gz NGSZOXZNVGKYGN-LBPRGKRZSA-N 0 1 276.340 0.090 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCCn1ccnn1 ZINC001129169234 819485541 /nfs/dbraw/zinc/48/55/41/819485541.db2.gz ULPKTXOYQNYGLV-UHFFFAOYSA-N 0 1 271.752 0.517 20 30 CCEDMN C=CCN1CCO[C@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001035402151 819508777 /nfs/dbraw/zinc/50/87/77/819508777.db2.gz JAIWLAIWLGETBR-JHJVBQTASA-N 0 1 290.367 0.516 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CCOC1)CC2 ZINC001035664634 819597489 /nfs/dbraw/zinc/59/74/89/819597489.db2.gz ZLQQLZWKVWLLKM-ZDUSSCGKSA-N 0 1 262.353 0.581 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)C1CN(C(C)=O)C1)CC2 ZINC001035694214 819607866 /nfs/dbraw/zinc/60/78/66/819607866.db2.gz SJYYHNGVQOXRGL-UHFFFAOYSA-N 0 1 291.395 0.575 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccc(C)nn1)CC2 ZINC001035704164 819611677 /nfs/dbraw/zinc/61/16/77/819611677.db2.gz FRAIRHAFGSCMRI-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1cnnn1C)CC2 ZINC001035699568 819611727 /nfs/dbraw/zinc/61/17/27/819611727.db2.gz IQQRUNJYRBHGLP-UHFFFAOYSA-N 0 1 287.367 0.376 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnc[nH]c1=O)CC2 ZINC001035730275 819616713 /nfs/dbraw/zinc/61/67/13/819616713.db2.gz YTTWQQWXIONIBE-UHFFFAOYSA-N 0 1 286.335 0.353 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CCC(=O)N1C)CC2 ZINC001035772782 819627861 /nfs/dbraw/zinc/62/78/61/819627861.db2.gz KCGRZJKSHFDZRF-CYBMUJFWSA-N 0 1 289.379 0.165 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)Cc3ccn[nH]3)CC[C@H]21 ZINC001036677288 819990407 /nfs/dbraw/zinc/99/04/07/819990407.db2.gz ZBXGHGLTKWPRGB-DGCLKSJQSA-N 0 1 273.340 0.399 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ncccc2F)[C@@H](O)C1 ZINC001083890191 820101580 /nfs/dbraw/zinc/10/15/80/820101580.db2.gz ULYPOQWTGFFUQP-NEPJUHHUSA-N 0 1 279.315 0.572 20 30 CCEDMN C=CCCCC(=O)NC1(CNC(=O)c2cnn[nH]2)CCC1 ZINC001063585554 820158529 /nfs/dbraw/zinc/15/85/29/820158529.db2.gz VMLIJNYRHUZHQL-UHFFFAOYSA-N 0 1 291.355 0.930 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccns2)[C@H](O)C1 ZINC001090303124 820230714 /nfs/dbraw/zinc/23/07/14/820230714.db2.gz JCLDTQJOCPIURK-NXEZZACHSA-N 0 1 267.354 0.494 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn3c2CCC3)[C@H](O)C1 ZINC001090307567 820240825 /nfs/dbraw/zinc/24/08/25/820240825.db2.gz AZUNYYCONOJWEN-GXTWGEPZSA-N 0 1 290.367 0.180 20 30 CCEDMN N#Cc1nccc(NC[C@@H]2CCCN2C(=O)c2ccn[nH]2)n1 ZINC001064866738 820261049 /nfs/dbraw/zinc/26/10/49/820261049.db2.gz CBCUSAADYFNMTK-JTQLQIEISA-N 0 1 297.322 0.210 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(CC)n2)[C@@H](O)C1 ZINC001090327436 820264985 /nfs/dbraw/zinc/26/49/85/820264985.db2.gz ROCJYXUOROBJNZ-HIFRSBDPSA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccccc2)[C@@H](O)C1 ZINC001090364070 820299951 /nfs/dbraw/zinc/29/99/51/820299951.db2.gz GBABDANTLAETOR-GJZGRUSLSA-N 0 1 274.364 0.967 20 30 CCEDMN CC(=O)N1CCN(CCCCCNC(=O)[C@@H](C)C#N)CC1 ZINC001079164836 820320633 /nfs/dbraw/zinc/32/06/33/820320633.db2.gz UEIGAHLEWFEHMM-ZDUSSCGKSA-N 0 1 294.399 0.597 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001079389014 820373601 /nfs/dbraw/zinc/37/36/01/820373601.db2.gz BMBAPUKZACFSEQ-ZYHUDNBSSA-N 0 1 274.324 0.256 20 30 CCEDMN CCN(C(=O)Cc1ccn[nH]1)C1CN(C(=O)[C@H](C)C#N)C1 ZINC001079542689 820422555 /nfs/dbraw/zinc/42/25/55/820422555.db2.gz BTRRMQSNJBNBJS-SNVBAGLBSA-N 0 1 289.339 0.171 20 30 CCEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2ncn(C)n2)C1 ZINC001079657953 820445295 /nfs/dbraw/zinc/44/52/95/820445295.db2.gz CPTYGYRRPGKSDG-GHMZBOCLSA-N 0 1 263.345 0.441 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001079800326 820472359 /nfs/dbraw/zinc/47/23/59/820472359.db2.gz RIUUFXUVICXZES-HZSPNIEDSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3ccncc23)C1 ZINC001079859414 820479262 /nfs/dbraw/zinc/47/92/62/820479262.db2.gz VWFFFIGPHLGQHF-TZMCWYRMSA-N 0 1 297.362 0.803 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(CN(C)C)on2)C1 ZINC001080012151 820499146 /nfs/dbraw/zinc/49/91/46/820499146.db2.gz QHFMTIRGLPPWTB-BXUZGUMPSA-N 0 1 292.383 0.972 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCSCC)[C@H](O)C1 ZINC001099706870 820499550 /nfs/dbraw/zinc/49/95/50/820499550.db2.gz JCEPXDKWXMRCBF-CHWSQXEVSA-N 0 1 284.425 0.704 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001079977250 820500356 /nfs/dbraw/zinc/50/03/56/820500356.db2.gz MLFMKVDQBNFTRL-HZSPNIEDSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001080051403 820507863 /nfs/dbraw/zinc/50/78/63/820507863.db2.gz UDXKLVVLHMIXSK-ZYHUDNBSSA-N 0 1 290.367 0.360 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cn3cccnc3n2)C1 ZINC001080037271 820510198 /nfs/dbraw/zinc/51/01/98/820510198.db2.gz JIHDNWXNEIFYRB-VXGBXAGGSA-N 0 1 285.351 0.965 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001080122439 820517746 /nfs/dbraw/zinc/51/77/46/820517746.db2.gz ALPDOSFKKRWOAE-BXUZGUMPSA-N 0 1 274.368 0.341 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC001080758291 820633420 /nfs/dbraw/zinc/63/34/20/820633420.db2.gz VVOQMQSJBSRLTO-TZMCWYRMSA-N 0 1 274.368 0.515 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC001080920133 820655110 /nfs/dbraw/zinc/65/51/10/820655110.db2.gz HIISFWADKBPODX-ZIAGYGMSSA-N 0 1 287.363 0.308 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001081032194 820669494 /nfs/dbraw/zinc/66/94/94/820669494.db2.gz JQEKWPKCCHWNME-ZWNOBZJWSA-N 0 1 288.351 0.417 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cn[nH]c2)[C@H](OC)C1 ZINC001081393368 820754764 /nfs/dbraw/zinc/75/47/64/820754764.db2.gz PTSGAFLNDXFKNA-VXGBXAGGSA-N 0 1 264.329 0.415 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cc(C)on2)[C@H](OC)C1 ZINC001081454018 820764534 /nfs/dbraw/zinc/76/45/34/820764534.db2.gz FTYFPXSDJBSWIG-ZIAGYGMSSA-N 0 1 293.367 0.917 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN(C(C)C)C[C@H]2OC)c1 ZINC001082118203 820899917 /nfs/dbraw/zinc/89/99/17/820899917.db2.gz LTAZJKAKUSKBRI-HUUCEWRRSA-N 0 1 287.363 0.900 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2OCC[C@H]2C)[C@H](OC)C1 ZINC001082148953 820909059 /nfs/dbraw/zinc/90/90/59/820909059.db2.gz ZYPZFSFVZGMLPN-SYQHCUMBSA-N 0 1 280.368 0.250 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)Cn2nccc2C)[C@H](OC)C1 ZINC001082187214 820915099 /nfs/dbraw/zinc/91/50/99/820915099.db2.gz FYUJVFSGFCLBPC-ZIAGYGMSSA-N 0 1 292.383 0.583 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2[nH]cnc2C)[C@H](OC)C1 ZINC001082399732 820958432 /nfs/dbraw/zinc/95/84/32/820958432.db2.gz KXAPHMPNPTUZNW-VXGBXAGGSA-N 0 1 276.340 0.170 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2COCCO2)C[C@H]1C ZINC001082430281 820970842 /nfs/dbraw/zinc/97/08/42/820970842.db2.gz BRIGOTOSRVZZIB-YUSALJHKSA-N 0 1 288.775 0.591 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCC(=O)N2)C[C@H]1C ZINC001082448102 820978406 /nfs/dbraw/zinc/97/84/06/820978406.db2.gz DFEKELDEJDUYCO-FBIMIBRVSA-N 0 1 285.775 0.454 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccncc2CC)[C@@H](O)C1 ZINC001090376684 821012134 /nfs/dbraw/zinc/01/21/34/821012134.db2.gz ALHMSAZSVZUJDR-CABCVRRESA-N 0 1 289.379 0.995 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCNC2=O)C[C@H]1C ZINC001082683136 821021045 /nfs/dbraw/zinc/02/10/45/821021045.db2.gz VNNJEXPCYZPZIP-DVVUODLYSA-N 0 1 285.775 0.312 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC001119015694 821030523 /nfs/dbraw/zinc/03/05/23/821030523.db2.gz XBSMUQAGDLOSQY-MXWKQRLJSA-N 0 1 262.313 0.712 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CCC)[C@H]2C1 ZINC001082980213 821087879 /nfs/dbraw/zinc/08/78/79/821087879.db2.gz PLIYSQMIZHJVFI-QWHCGFSZSA-N 0 1 250.342 0.721 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)[C@H]3CCCOC3)[C@H]2C1 ZINC001083022960 821113313 /nfs/dbraw/zinc/11/33/13/821113313.db2.gz JGRWZPKUYCCBHG-SOUVJXGZSA-N 0 1 294.395 0.901 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H]3CC3(C)C)[C@H]2C1 ZINC001083053611 821129826 /nfs/dbraw/zinc/12/98/26/821129826.db2.gz FSTSJIYWDDQLRS-HZSPNIEDSA-N 0 1 276.380 0.967 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(OCC)n2)[C@@H](O)C1 ZINC001090395407 821144631 /nfs/dbraw/zinc/14/46/31/821144631.db2.gz BNRCJGCOTMMPAU-JQWIXIFHSA-N 0 1 295.339 0.424 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCCF)C[C@@H]2O)cn1 ZINC001083970290 821176047 /nfs/dbraw/zinc/17/60/47/821176047.db2.gz MXGPVXHPHRWYSS-KGLIPLIRSA-N 0 1 291.326 0.197 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2cccc(F)c2)[C@@H](O)C1 ZINC001084029087 821178886 /nfs/dbraw/zinc/17/88/86/821178886.db2.gz SEKPTCUVYWHUHO-CABCVRRESA-N 0 1 290.338 0.553 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CC3CCC2CC3)[C@@H](O)C1 ZINC001084096295 821196721 /nfs/dbraw/zinc/19/67/21/821196721.db2.gz GJAVTWSXQWZHIA-PEHJNBARSA-N 0 1 290.407 0.997 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3cc[nH]n3)[C@@H]2C1 ZINC001084249215 821239129 /nfs/dbraw/zinc/23/91/29/821239129.db2.gz PDGUBXIWBWOEAT-TZMCWYRMSA-N 0 1 272.352 0.508 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3ccn[nH]3)[C@@H]2C1 ZINC001084249215 821239149 /nfs/dbraw/zinc/23/91/49/821239149.db2.gz PDGUBXIWBWOEAT-TZMCWYRMSA-N 0 1 272.352 0.508 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H]3CCOC3)[C@@H]2C1 ZINC001084224351 821247957 /nfs/dbraw/zinc/24/79/57/821247957.db2.gz YFCFBXNTRWTQFM-HZSPNIEDSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)Cc3cc(C)n[nH]3)[C@@H]2C1 ZINC001084272886 821260012 /nfs/dbraw/zinc/26/00/12/821260012.db2.gz HZVDFBBFFJHDEJ-TZMCWYRMSA-N 0 1 272.352 0.427 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CC3OCCCO3)[C@@H]2C1 ZINC001084302754 821264125 /nfs/dbraw/zinc/26/41/25/821264125.db2.gz GQHRHKJHBGHBPJ-ZIAGYGMSSA-N 0 1 292.379 0.696 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CO[C@H]3CCOC3)[C@@H]2C1 ZINC001084339505 821275930 /nfs/dbraw/zinc/27/59/30/821275930.db2.gz CRTKGDYWRDXVQK-HZSPNIEDSA-N 0 1 280.368 0.511 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCN(C)C3=O)[C@@H]2C1 ZINC001084430391 821282339 /nfs/dbraw/zinc/28/23/39/821282339.db2.gz NGYBPZMNIUFYOS-BFHYXJOUSA-N 0 1 291.395 0.574 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCNC3=O)[C@@H]2C1 ZINC001084427965 821285070 /nfs/dbraw/zinc/28/50/70/821285070.db2.gz XRPGGPBIKHDWRZ-FRRDWIJNSA-N 0 1 277.368 0.231 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCCNC3=O)[C@@H]2C1 ZINC001084427965 821285078 /nfs/dbraw/zinc/28/50/78/821285078.db2.gz XRPGGPBIKHDWRZ-FRRDWIJNSA-N 0 1 277.368 0.231 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc4n(n3)CCO4)[C@@H]2C1 ZINC001084410450 821289683 /nfs/dbraw/zinc/28/96/83/821289683.db2.gz JVAZPECEOZTEPT-DGCLKSJQSA-N 0 1 288.351 0.608 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C(F)F)CC2)[C@@H](O)C1 ZINC001099836359 821408428 /nfs/dbraw/zinc/40/84/28/821408428.db2.gz YUYIHJSOBZUKMB-MNOVXSKESA-N 0 1 286.322 0.606 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCC(=O)N(C)C3)[C@@H]2C1 ZINC001084854216 821411043 /nfs/dbraw/zinc/41/10/43/821411043.db2.gz CFAQNTJRRWDHOY-HZSPNIEDSA-N 0 1 291.395 0.574 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)[C@H]3CCOC3)C[C@@H]21 ZINC001084898466 821425253 /nfs/dbraw/zinc/42/52/53/821425253.db2.gz PCIFGPMVZRGNMB-RDBSUJKOSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3CCOC3)C[C@@H]21 ZINC001084898466 821425258 /nfs/dbraw/zinc/42/52/58/821425258.db2.gz PCIFGPMVZRGNMB-RDBSUJKOSA-N 0 1 262.353 0.579 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccnn2C)[C@@H](O)C1 ZINC001099861073 821454805 /nfs/dbraw/zinc/45/48/05/821454805.db2.gz MOGZJIDNLZCBDQ-KGLIPLIRSA-N 0 1 292.383 0.090 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCc1cc(C#N)ccc1F ZINC000822304775 821478793 /nfs/dbraw/zinc/47/87/93/821478793.db2.gz ZKLATXADFQFUHH-SWLSCSKDSA-N 0 1 291.326 0.769 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(C(F)(F)F)nn1C ZINC000823675062 821530033 /nfs/dbraw/zinc/53/00/33/821530033.db2.gz YMMGNVQDCBZTJG-UHFFFAOYSA-N 0 1 288.273 0.734 20 30 CCEDMN CC(C)NC(=O)N1CCC[C@H]1C(=O)NCC#CCN(C)C ZINC000823675016 821530339 /nfs/dbraw/zinc/53/03/39/821530339.db2.gz SVGDDWRGWHODFM-ZDUSSCGKSA-N 0 1 294.399 0.250 20 30 CCEDMN C[C@]1(NC(=O)c2ncn[nH]2)CCN(c2ccncc2C#N)C1 ZINC001065273331 821553030 /nfs/dbraw/zinc/55/30/30/821553030.db2.gz OAGWQIKBQBPKBS-AWEZNQCLSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@]1(NC(=O)c2nc[nH]n2)CCN(c2ccncc2C#N)C1 ZINC001065273331 821553037 /nfs/dbraw/zinc/55/30/37/821553037.db2.gz OAGWQIKBQBPKBS-AWEZNQCLSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@]1(NC(=O)c2ncn[nH]2)CCN(c2ncccc2C#N)C1 ZINC001065273157 821553725 /nfs/dbraw/zinc/55/37/25/821553725.db2.gz KLHCYYUKSKCQEI-AWEZNQCLSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@]1(NC(=O)c2nc[nH]n2)CCN(c2ncccc2C#N)C1 ZINC001065273157 821553728 /nfs/dbraw/zinc/55/37/28/821553728.db2.gz KLHCYYUKSKCQEI-AWEZNQCLSA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(Cc1cnc(N)nc1)CC2 ZINC001273304236 821587504 /nfs/dbraw/zinc/58/75/04/821587504.db2.gz KWKOIKWDOLZTGQ-CYBMUJFWSA-N 0 1 299.378 0.410 20 30 CCEDMN N#CCSCC(=O)NCCCNCc1nncs1 ZINC001154814145 821671961 /nfs/dbraw/zinc/67/19/61/821671961.db2.gz DKQCSMADBRITDN-UHFFFAOYSA-N 0 1 285.398 0.391 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCCO1 ZINC001085473093 821726989 /nfs/dbraw/zinc/72/69/89/821726989.db2.gz YWYSPIXRBVXCKD-QWHCGFSZSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCCO1 ZINC001085473093 821727001 /nfs/dbraw/zinc/72/70/01/821727001.db2.gz YWYSPIXRBVXCKD-QWHCGFSZSA-N 0 1 250.342 0.721 20 30 CCEDMN CC#CCN1CC[C@H]1CN(C)C(=O)c1cc(C)[nH]n1 ZINC001085502737 821752280 /nfs/dbraw/zinc/75/22/80/821752280.db2.gz FRZTVLIRHIPQRU-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1COCCN1C ZINC001085555316 821785567 /nfs/dbraw/zinc/78/55/67/821785567.db2.gz QJDIETVZLBZXNB-KBPBESRZSA-N 0 1 281.400 0.426 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001085550245 821785624 /nfs/dbraw/zinc/78/56/24/821785624.db2.gz OLTMECDAUJEQBV-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1COC(=O)N1 ZINC001085571162 821809263 /nfs/dbraw/zinc/80/92/63/821809263.db2.gz YEOUTPJGYGUGPS-QWRGUYRKSA-N 0 1 267.329 0.204 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N(C)C[C@H]1CCN1CCO ZINC001085601582 821839166 /nfs/dbraw/zinc/83/91/66/821839166.db2.gz ATDVRIYGNGDZMM-MCIONIFRSA-N 0 1 282.384 0.493 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001085597272 821841612 /nfs/dbraw/zinc/84/16/12/821841612.db2.gz ULDAQDYIWILFCK-KBPBESRZSA-N 0 1 286.379 0.996 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001085597484 821843600 /nfs/dbraw/zinc/84/36/00/821843600.db2.gz YWOPWTDKVWXHFH-QWHCGFSZSA-N 0 1 287.367 0.886 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cncn1C ZINC001085701923 821909585 /nfs/dbraw/zinc/90/95/85/821909585.db2.gz CPYOLSCOKLYFDV-GFCCVEGCSA-N 0 1 260.341 0.590 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCNC1=O ZINC001085763769 821943560 /nfs/dbraw/zinc/94/35/60/821943560.db2.gz JNWJAKDISDPFQC-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(C(N)=O)[nH]1 ZINC001085820836 821968827 /nfs/dbraw/zinc/96/88/27/821968827.db2.gz LJZYUUZHZJUMAU-LLVKDONJSA-N 0 1 290.367 0.836 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)C[C@H]1CCN1CCO ZINC001085870143 821986909 /nfs/dbraw/zinc/98/69/09/821986909.db2.gz KJXNGFIVKPXMBB-RDBSUJKOSA-N 0 1 282.384 0.493 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@@H]2CCN2C[C@H](C)O)nc1 ZINC001085934377 822023194 /nfs/dbraw/zinc/02/31/94/822023194.db2.gz ZVLLHBVAPXNZDB-JSGCOSHPSA-N 0 1 287.363 0.590 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1cn(C(C)(C)C)nn1 ZINC001155848069 822264957 /nfs/dbraw/zinc/26/49/57/822264957.db2.gz GTYKRCDKXSHIJM-NSHDSACASA-N 0 1 292.387 0.789 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CCOC)CCC2)[C@H](O)C1 ZINC001100090656 822268133 /nfs/dbraw/zinc/26/81/33/822268133.db2.gz YNXCGOMFRGWWOX-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN Cc1nc([C@@H](C)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)n[nH]1 ZINC001114255557 837489301 /nfs/dbraw/zinc/48/93/01/837489301.db2.gz KWUOXNIAQMEJKS-JWFUOXDNSA-N 0 1 299.378 0.634 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN[C@@H](C)c1ncccn1 ZINC001157874292 837529577 /nfs/dbraw/zinc/52/95/77/837529577.db2.gz MSSUMKFLPSWHCU-QWHCGFSZSA-N 0 1 290.367 0.672 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1cnc[nH]c1=O ZINC001130079185 837681999 /nfs/dbraw/zinc/68/19/99/837681999.db2.gz WLHIHNLAINYTDN-UHFFFAOYSA-N 0 1 297.318 0.573 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1nc(C)c(C)[nH]c1=O ZINC001130124085 837696139 /nfs/dbraw/zinc/69/61/39/837696139.db2.gz DHMNVGUCSCOQRI-UHFFFAOYSA-N 0 1 284.747 0.871 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CS(=O)(=O)CC(C)C ZINC001130337506 837770223 /nfs/dbraw/zinc/77/02/23/837770223.db2.gz WFIXTJQQRJJETL-UHFFFAOYSA-N 0 1 296.820 0.516 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cn2ccnc2cn1 ZINC001156201803 835993794 /nfs/dbraw/zinc/99/37/94/835993794.db2.gz FOIVXQYHCNUNNT-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CCCC(N)=O)C1 ZINC001108436590 836113199 /nfs/dbraw/zinc/11/31/99/836113199.db2.gz VLMPMNUTUSPZOB-HNNXBMFYSA-N 0 1 297.399 0.425 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN(C)C(=O)C1CC1)C2 ZINC001109366097 836661929 /nfs/dbraw/zinc/66/19/29/836661929.db2.gz CNHGHRNSBRDZNJ-RDBSUJKOSA-N 0 1 291.395 0.762 20 30 CCEDMN CN(CCCNc1ccc(C#N)nn1)C(=O)c1ccn[nH]1 ZINC001109370790 836663962 /nfs/dbraw/zinc/66/39/62/836663962.db2.gz FQXAPLDAWWQASD-UHFFFAOYSA-N 0 1 285.311 0.646 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncc(CC)c2)[C@@H](O)C1 ZINC001090434626 836771623 /nfs/dbraw/zinc/77/16/23/836771623.db2.gz AFUMTSXWQJVILB-CABCVRRESA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCCCN1CCN(C(=O)CNC(C)=O)CC1 ZINC001112599598 836808403 /nfs/dbraw/zinc/80/84/03/836808403.db2.gz LQXRJGBLNVTQAE-UHFFFAOYSA-N 0 1 253.346 0.233 20 30 CCEDMN C=CCCCN1CCN(C(=O)C2=COCCO2)CC1 ZINC001112600976 836812441 /nfs/dbraw/zinc/81/24/41/836812441.db2.gz WMJFKPPDPLYDTJ-UHFFFAOYSA-N 0 1 266.341 0.985 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](C)NC(C)=O)CC1 ZINC001112603297 836816293 /nfs/dbraw/zinc/81/62/93/836816293.db2.gz OPRWLMGMGGMSIW-NSHDSACASA-N 0 1 253.346 0.231 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC001114952472 836888122 /nfs/dbraw/zinc/88/81/22/836888122.db2.gz VOKQIVRHWPIXTF-XEZLXBQYSA-N 0 1 273.340 0.158 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113114426 837027793 /nfs/dbraw/zinc/02/77/93/837027793.db2.gz HKDJHKKVZMLAKT-DTWKUNHWSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113114426 837027805 /nfs/dbraw/zinc/02/78/05/837027805.db2.gz HKDJHKKVZMLAKT-DTWKUNHWSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](Nc1nccnc1C#N)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001113115235 837030269 /nfs/dbraw/zinc/03/02/69/837030269.db2.gz XZWSVLYVWGXETE-SFYZADRCSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](Nc1nccnc1C#N)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001113115235 837030272 /nfs/dbraw/zinc/03/02/72/837030272.db2.gz XZWSVLYVWGXETE-SFYZADRCSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](NC(N)=O)C(C)(C)C)CC1 ZINC001113542156 837157322 /nfs/dbraw/zinc/15/73/22/837157322.db2.gz QARVXHVQOVRPAS-LBPRGKRZSA-N 0 1 296.415 0.790 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1cn(CC)nn1 ZINC001131624220 838160031 /nfs/dbraw/zinc/16/00/31/838160031.db2.gz BCZQCZDLVQTXCZ-UHFFFAOYSA-N 0 1 271.752 0.299 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001131630101 838161595 /nfs/dbraw/zinc/16/15/95/838161595.db2.gz BERHIQMZVAPADA-GHMZBOCLSA-N 0 1 282.775 0.982 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)COCC)C[C@H](C)O2 ZINC001131640045 838163279 /nfs/dbraw/zinc/16/32/79/838163279.db2.gz XUCNDHMWSSXGLQ-DZGCQCFKSA-N 0 1 280.368 0.348 20 30 CCEDMN N#Cc1cc2c(nc1N1CCN3CC[C@H]3C1)CCOC2 ZINC001158430739 838169147 /nfs/dbraw/zinc/16/91/47/838169147.db2.gz JFQOICSGPMHUPW-ZDUSSCGKSA-N 0 1 270.336 0.920 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cn(C)c(=O)[nH]1 ZINC001158438327 838180412 /nfs/dbraw/zinc/18/04/12/838180412.db2.gz UPWONXKKUJRWLQ-GFCCVEGCSA-N 0 1 290.367 0.685 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCn2cncn2)CC[C@@H]1C ZINC001131813276 838235697 /nfs/dbraw/zinc/23/56/97/838235697.db2.gz NRSWSKXYJRMECY-QWHCGFSZSA-N 0 1 275.356 0.271 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CN(C)C(C)=O)CC[C@H]1C ZINC001131833843 838244006 /nfs/dbraw/zinc/24/40/06/838244006.db2.gz FZBNFLIBAJTYSD-TZMCWYRMSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COCCOC)CC[C@@H]1C ZINC001131908058 838264476 /nfs/dbraw/zinc/26/44/76/838264476.db2.gz GFLZLYKCDXYFQO-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN C[C@H]1CC[C@H](NC(=O)CCc2nc[nH]n2)CN1CC#N ZINC001131954420 838275957 /nfs/dbraw/zinc/27/59/57/838275957.db2.gz CKMVFVZPBOSAGX-QWRGUYRKSA-N 0 1 276.344 0.230 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](CNCC#N)[C@@H](C)C1 ZINC001184912314 844310439 /nfs/dbraw/zinc/31/04/39/844310439.db2.gz HXFOKSOSMZLQEX-GXSJLCMTSA-N 0 1 276.344 0.325 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2(C(=O)NC)CC2)CC[C@@H]1C ZINC001132349284 838369072 /nfs/dbraw/zinc/36/90/72/838369072.db2.gz ZCVSGSYBLJGWTD-RYUDHWBXSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCC(=O)NCC)CC[C@H]1C ZINC001132432552 838394975 /nfs/dbraw/zinc/39/49/75/838394975.db2.gz DBSISUDKKHQSPT-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCC(N)=O)CC[C@@H]1C ZINC001132536613 838430393 /nfs/dbraw/zinc/43/03/93/838430393.db2.gz DDBYNDYGMGRMHP-NWDGAFQWSA-N 0 1 265.357 0.244 20 30 CCEDMN C[C@H]1CCN(C(=O)CCc2nc[nH]n2)C[C@H]1CNCC#N ZINC001132754306 838486128 /nfs/dbraw/zinc/48/61/28/838486128.db2.gz DWGJGYFHLRPERB-NWDGAFQWSA-N 0 1 290.371 0.335 20 30 CCEDMN COC[C@@H](OC)C(=O)NCCNCC#Cc1ccccc1 ZINC001133517780 838659245 /nfs/dbraw/zinc/65/92/45/838659245.db2.gz TXDXEGRUKQAGJF-OAHLLOKOSA-N 0 1 290.363 0.405 20 30 CCEDMN C#Cc1cncc(C(=O)NCCNCc2conc2C)c1 ZINC001133593122 838676818 /nfs/dbraw/zinc/67/68/18/838676818.db2.gz PCYPSMMUGHCRPS-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN CNc1ccc(C#N)cc1NC(=O)[C@H]1CN(C)CCN1C ZINC001185255945 844379987 /nfs/dbraw/zinc/37/99/87/844379987.db2.gz SJMULDXUAJGQIQ-CQSZACIVSA-N 0 1 287.367 0.784 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)N(CC#N)Cc2ccccc2)C1 ZINC001185258019 844384039 /nfs/dbraw/zinc/38/40/39/844384039.db2.gz OYSZGAQDMGADRP-HNNXBMFYSA-N 0 1 286.379 0.785 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CC(=O)N(CCC)C1 ZINC001134507440 838991900 /nfs/dbraw/zinc/99/19/00/838991900.db2.gz CPTOUYVWBQPFJA-LLVKDONJSA-N 0 1 287.791 0.703 20 30 CCEDMN O=C(C[C@H]1CCC(=O)N1)NCCNCC#Cc1ccccc1 ZINC001135215538 839184173 /nfs/dbraw/zinc/18/41/73/839184173.db2.gz BCWKMSJNPDGLJM-OAHLLOKOSA-N 0 1 299.374 0.413 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)N1CC[NH+](C)CC1 ZINC001135438140 839249781 /nfs/dbraw/zinc/24/97/81/839249781.db2.gz RPOGESNRMFTJME-UHFFFAOYSA-N 0 1 260.297 0.355 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(CC#CC)C[C@H]2O)C1 ZINC001090555334 839639987 /nfs/dbraw/zinc/63/99/87/839639987.db2.gz JAANMPNASUIPCC-UONOGXRCSA-N 0 1 276.380 0.917 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CCN(CC=C)C[C@H]2O)c1 ZINC001090560595 839644738 /nfs/dbraw/zinc/64/47/38/839644738.db2.gz VXMWQVKPEQWOFK-LSDHHAIUSA-N 0 1 285.347 0.414 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]c(=O)cc2C)[C@H](O)C1 ZINC001090567635 839648877 /nfs/dbraw/zinc/64/88/77/839648877.db2.gz WUSSKXNYAFVJEH-CHWSQXEVSA-N 0 1 291.351 0.447 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(CC)nc2C)[C@H](O)C1 ZINC001090610476 839671958 /nfs/dbraw/zinc/67/19/58/839671958.db2.gz SETOPMOURGAVFI-UONOGXRCSA-N 0 1 292.383 0.562 20 30 CCEDMN O=C(C#Cc1cccs1)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001136655778 839696407 /nfs/dbraw/zinc/69/64/07/839696407.db2.gz HHOHPBCJXKTSKV-JTQLQIEISA-N 0 1 289.320 0.213 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ncccn2)[C@H](O)C1 ZINC001090663387 839713206 /nfs/dbraw/zinc/71/32/06/839713206.db2.gz AHCDOJHLPAOHBP-NWDGAFQWSA-N 0 1 276.340 0.218 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CCC)on2)[C@@H](O)C1 ZINC001090692884 839739755 /nfs/dbraw/zinc/73/97/55/839739755.db2.gz PABMFYPVAQDNNR-JSGCOSHPSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2c(C)n[nH]c2C)[C@@H](O)C1 ZINC001090802030 839823770 /nfs/dbraw/zinc/82/37/70/839823770.db2.gz ITIFTWNRPSPMDH-KGLIPLIRSA-N 0 1 292.383 0.306 20 30 CCEDMN Cc1[nH]c(=O)ccc1C(=O)NC1(C#N)CCN(C)CC1 ZINC001144003272 839910451 /nfs/dbraw/zinc/91/04/51/839910451.db2.gz HVAHRHDRBCCBHQ-UHFFFAOYSA-N 0 1 274.324 0.813 20 30 CCEDMN NC(=O)c1[nH]ncc1NC(=O)C=CC(=O)c1ccccc1 ZINC001144703331 840120250 /nfs/dbraw/zinc/12/02/50/840120250.db2.gz SDZCOSCEPNAITB-VOTSOKGWSA-N 0 1 284.275 0.886 20 30 CCEDMN C=C(Cl)C[NH2+]C1CC(CNC(=O)C2=NC(=O)N(C)C2)C1 ZINC001091217071 840144671 /nfs/dbraw/zinc/14/46/71/840144671.db2.gz KVFNRZDUEHGBLU-UHFFFAOYSA-N 0 1 298.774 0.976 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCCNCc1cnnn1C ZINC001149117845 840253374 /nfs/dbraw/zinc/25/33/74/840253374.db2.gz VAJNAVSMXIGZEK-UHFFFAOYSA-N 0 1 297.362 0.245 20 30 CCEDMN C[C@H](C[C@H](C)NCC#N)NC(=O)c1ccc2[nH]nnc2c1 ZINC001146250425 840273803 /nfs/dbraw/zinc/27/38/03/840273803.db2.gz LUGFHSVXTJWTNC-VHSXEESVSA-N 0 1 286.339 0.968 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2ccc(O)cc2F)c1N ZINC001186318659 844534193 /nfs/dbraw/zinc/53/41/93/844534193.db2.gz ONAIAYXFBATZMK-UHFFFAOYSA-N 0 1 261.216 0.452 20 30 CCEDMN C=C[C@@H](O)C(=O)Nc1ccc(OCc2nn[nH]n2)cc1 ZINC001147000478 840442121 /nfs/dbraw/zinc/44/21/21/840442121.db2.gz JQNAHNSDNKZTFX-SNVBAGLBSA-N 0 1 275.268 0.264 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)c3cn[nH]c3)C2)C1 ZINC001147034018 840475160 /nfs/dbraw/zinc/47/51/60/840475160.db2.gz KKLXLVUFBNOUBY-UHFFFAOYSA-N 0 1 288.351 0.207 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c2c(nn1C)CCC2 ZINC001147628484 840638955 /nfs/dbraw/zinc/63/89/55/840638955.db2.gz NXGXTKWBURZCPU-UHFFFAOYSA-N 0 1 282.775 0.981 20 30 CCEDMN C=C(Br)CNC[C@H](O)CO[C@H](C)COC ZINC000234766129 840681329 /nfs/dbraw/zinc/68/13/29/840681329.db2.gz OVQKVFGLXSTMEE-ZJUUUORDSA-N 0 1 282.178 0.897 20 30 CCEDMN O=C(C=Cc1ccc(F)cc1)C(=O)Nc1nn[nH]n1 ZINC001147751589 840682949 /nfs/dbraw/zinc/68/29/49/840682949.db2.gz CFVVRHAHXOGSGD-ZZXKWVIFSA-N 0 1 261.216 0.560 20 30 CCEDMN C=CCCCC(=O)N1CC2(C1)CCN([C@H]1CCNC1=O)C2 ZINC001148193935 840750629 /nfs/dbraw/zinc/75/06/29/840750629.db2.gz NHTVDGHHRLKZSU-ZDUSSCGKSA-N 0 1 291.395 0.766 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN[C@H](C)c2n[nH]c(C)n2)nc1 ZINC001148376579 840796018 /nfs/dbraw/zinc/79/60/18/840796018.db2.gz KUIJUHGHKQKDBJ-SNVBAGLBSA-N 0 1 298.350 0.570 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](NC(C)=O)C(C)C ZINC001148466058 840810998 /nfs/dbraw/zinc/81/09/98/840810998.db2.gz SVMTTXUZKDCTTB-LLVKDONJSA-N 0 1 275.780 0.605 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCOCCCOC)C2)C1 ZINC001148563519 840840729 /nfs/dbraw/zinc/84/07/29/840840729.db2.gz WVDGYKXJGPQVCV-UHFFFAOYSA-N 0 1 294.395 0.597 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C[C@H]1C)CCN(CC(N)=O)CC2 ZINC001086909994 840937837 /nfs/dbraw/zinc/93/78/37/840937837.db2.gz JRPYHNRBINLJRL-VXGBXAGGSA-N 0 1 292.383 0.334 20 30 CCEDMN CCOCCN1CCCO[C@H](CNC(=O)C#CC2CC2)C1 ZINC001149702227 841146534 /nfs/dbraw/zinc/14/65/34/841146534.db2.gz ZXSFFUICNZDXKT-OAHLLOKOSA-N 0 1 294.395 0.643 20 30 CCEDMN CO[C@@H](C)C[N@H+]1C[C@@H]2CCN(C(=O)CSCC#N)[C@@H]2C1 ZINC001186916942 844621756 /nfs/dbraw/zinc/62/17/56/844621756.db2.gz BNMXVTGXINQVBE-RWMBFGLXSA-N 0 1 297.424 0.811 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H]3[C@H](CCN3CC(N)=O)C2)C1 ZINC001036815232 841250828 /nfs/dbraw/zinc/25/08/28/841250828.db2.gz ASHMXUJLLWHUOQ-OLZOCXBDSA-N 0 1 291.395 0.751 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)c2[nH]ncc2F)nn1 ZINC001094103764 841544675 /nfs/dbraw/zinc/54/46/75/841544675.db2.gz HSNKFXBJHVBHEI-UHFFFAOYSA-N 0 1 275.247 0.052 20 30 CCEDMN Cc1cc(C#N)nc(NCCNC(=O)c2[nH]ncc2F)n1 ZINC001094104373 841546962 /nfs/dbraw/zinc/54/69/62/841546962.db2.gz KJDDFHOQVSBKMB-UHFFFAOYSA-N 0 1 289.274 0.361 20 30 CCEDMN C=CCOCC(=O)NCCCNc1ncnc2[nH]cnc21 ZINC001094335933 841608765 /nfs/dbraw/zinc/60/87/65/841608765.db2.gz HDYKHWSOZUBZJV-UHFFFAOYSA-N 0 1 290.327 0.425 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)c1ccc2[nH]nnc2c1 ZINC000716878614 841691384 /nfs/dbraw/zinc/69/13/84/841691384.db2.gz MVKSIOHWXLWJKY-JTQLQIEISA-N 0 1 258.281 0.726 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2ccon2)cn1 ZINC001171594415 841738353 /nfs/dbraw/zinc/73/83/53/841738353.db2.gz COBFJPDBQNADBB-UHFFFAOYSA-N 0 1 284.319 0.961 20 30 CCEDMN C[C@H](CCCC#N)N1CC(N2CCNC(=O)C2)C1 ZINC001172503117 841936267 /nfs/dbraw/zinc/93/62/67/841936267.db2.gz YDKDEOUYUDCXGR-LLVKDONJSA-N 0 1 250.346 0.185 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnccn1)C2 ZINC001095124943 842062374 /nfs/dbraw/zinc/06/23/74/842062374.db2.gz KACJNQWFKVVZCL-DYEKYZERSA-N 0 1 270.336 0.835 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCOC1)C2 ZINC001095219676 842109291 /nfs/dbraw/zinc/10/92/91/842109291.db2.gz KNDYSLNYPMMZLA-NDBYEHHHSA-N 0 1 250.342 0.930 20 30 CCEDMN N#Cc1nc2ccc(NC(=O)Cc3nn[nH]n3)cc2s1 ZINC001176842544 842427840 /nfs/dbraw/zinc/42/78/40/842427840.db2.gz MOZNQNGBMCPJBM-UHFFFAOYSA-N 0 1 285.292 0.862 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)Cc1ccc(-n2cnnn2)cc1 ZINC001176863139 842431527 /nfs/dbraw/zinc/43/15/27/842431527.db2.gz WHJBYFDFTYZERJ-UHFFFAOYSA-N 0 1 294.278 0.438 20 30 CCEDMN Cn1cc(NC(=O)[C@@H]2CCc3[nH]cnc3C2)c(C#N)n1 ZINC001177172708 842510466 /nfs/dbraw/zinc/51/04/66/842510466.db2.gz LCZPCGYOYHCRDC-MRVPVSSYSA-N 0 1 270.296 0.758 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1nncs1 ZINC001177260513 842538201 /nfs/dbraw/zinc/53/82/01/842538201.db2.gz PFVZCZIPBJDSGS-UHFFFAOYSA-N 0 1 284.385 0.727 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1cc(OC)no1 ZINC001177273794 842543412 /nfs/dbraw/zinc/54/34/12/842543412.db2.gz ZCLGKWNWQSLKHO-LLVKDONJSA-N 0 1 297.355 0.870 20 30 CCEDMN CO/N=C(\C(=O)NCc1cc(C)[nH]n1)c1ccco1 ZINC001177353438 842561915 /nfs/dbraw/zinc/56/19/15/842561915.db2.gz NIKBIRDXYLVREB-WJDWOHSUSA-N 0 1 262.269 0.978 20 30 CCEDMN CO/N=C(\C(=O)N1CC2(CN(C)C2)C1)c1ccco1 ZINC001177352509 842562330 /nfs/dbraw/zinc/56/23/30/842562330.db2.gz ZQASKPFDTKEKMB-KAMYIIQDSA-N 0 1 263.297 0.404 20 30 CCEDMN Cc1nc(CC(=O)NCc2ccc(C#N)c(F)c2)n[nH]1 ZINC001177441567 842605184 /nfs/dbraw/zinc/60/51/84/842605184.db2.gz VIOUJBIFUDSBNP-UHFFFAOYSA-N 0 1 273.271 0.983 20 30 CCEDMN O=C(C#Cc1ccccn1)Nc1n[nH]c2nccnc12 ZINC001177799016 842679064 /nfs/dbraw/zinc/67/90/64/842679064.db2.gz PWHLCCBGNIOWQN-UHFFFAOYSA-N 0 1 264.248 0.738 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCCCCNCc1cnon1 ZINC001178053375 842738063 /nfs/dbraw/zinc/73/80/63/842738063.db2.gz QHFDXVURFLDFPC-LLVKDONJSA-N 0 1 279.344 0.995 20 30 CCEDMN N#Cc1cccnc1NC1(CNC(=O)Cc2nnc[nH]2)CC1 ZINC001110224217 842920309 /nfs/dbraw/zinc/92/03/09/842920309.db2.gz MRAIYSOONOPQCZ-UHFFFAOYSA-N 0 1 297.322 0.375 20 30 CCEDMN N#CCNCCCCCCNC(=O)CN1CCCC1 ZINC001180270456 843137724 /nfs/dbraw/zinc/13/77/24/843137724.db2.gz WNFLEGQTFGDJTQ-UHFFFAOYSA-N 0 1 266.389 0.872 20 30 CCEDMN CC(C)N1CCN(CC(=O)NCCCCC#N)CC1 ZINC001180351038 843150088 /nfs/dbraw/zinc/15/00/88/843150088.db2.gz NCGNQYHPYOFYFG-UHFFFAOYSA-N 0 1 266.389 0.822 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)[C@H](C)N1CCCC1 ZINC001180586919 843222185 /nfs/dbraw/zinc/22/21/85/843222185.db2.gz ZTVYVMLVKNTRBI-IUCAKERBSA-N 0 1 260.359 0.058 20 30 CCEDMN N#CNC(=NC(=O)[C@@H]1CN2CCC1CC2)c1ccncc1 ZINC001182017385 843695139 /nfs/dbraw/zinc/69/51/39/843695139.db2.gz KIUOZSSATZVJJX-CYBMUJFWSA-N 0 1 283.335 0.767 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCN(C)C(=O)CC ZINC001273474886 843797788 /nfs/dbraw/zinc/79/77/88/843797788.db2.gz KHGOQRYNJZAPFT-LBPRGKRZSA-N 0 1 267.373 0.315 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1cncn1)C2 ZINC001110288547 843799991 /nfs/dbraw/zinc/79/99/91/843799991.db2.gz QRERGMXKDCPNRE-UPJWGTAASA-N 0 1 275.356 0.576 20 30 CCEDMN N#CC1(NC(=O)C(O)C(F)(F)F)CCOCC1 ZINC001183202356 843984436 /nfs/dbraw/zinc/98/44/36/843984436.db2.gz VLJJSPQZUGLCCA-LURJTMIESA-N 0 1 252.192 0.099 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2CCN(C(=O)CNC(=O)OC)[C@@H]2C1 ZINC001187038532 844638597 /nfs/dbraw/zinc/63/85/97/844638597.db2.gz RADSNFJKQOYSLB-WDEREUQCSA-N 0 1 267.329 0.061 20 30 CCEDMN COCC#CC(=O)Nc1ccc2nc(CO)[nH]c2c1 ZINC001187131739 844656103 /nfs/dbraw/zinc/65/61/03/844656103.db2.gz SSMXPUNUXAEFFW-UHFFFAOYSA-N 0 1 259.265 0.644 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@H](C)OC)[C@@H]2C1 ZINC001187261769 844691076 /nfs/dbraw/zinc/69/10/76/844691076.db2.gz FJEHJIQSSXDCAT-RWMBFGLXSA-N 0 1 250.342 0.577 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001187320192 844705878 /nfs/dbraw/zinc/70/58/78/844705878.db2.gz MUWKAIVGGOMOPJ-LSDHHAIUSA-N 0 1 292.379 0.372 20 30 CCEDMN CC(C)C[C@H](NC(=O)c1ccncc1O)C(=O)NO ZINC001188644369 844931285 /nfs/dbraw/zinc/93/12/85/844931285.db2.gz CJPCOSHCLQRCNS-VIFPVBQESA-N 0 1 267.285 0.437 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)CC(N)=O)[C@@H]2C1 ZINC001188801640 844980383 /nfs/dbraw/zinc/98/03/83/844980383.db2.gz VVHSBWPBXVDCME-RWMBFGLXSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001188959248 845007016 /nfs/dbraw/zinc/00/70/16/845007016.db2.gz POMKVVRAJFPJCE-LLVKDONJSA-N 0 1 251.355 0.409 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnns2)C1 ZINC001189068591 845033285 /nfs/dbraw/zinc/03/32/85/845033285.db2.gz UBPKVPMIOGIIJM-JTQLQIEISA-N 0 1 264.354 0.708 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](CC)NC(C)=O)[C@@H]2C1 ZINC001189194542 845073374 /nfs/dbraw/zinc/07/33/74/845073374.db2.gz GZOLZFDIZLGKNS-BFHYXJOUSA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COCCC)C1 ZINC001189224844 845081701 /nfs/dbraw/zinc/08/17/01/845081701.db2.gz YGNONACWGRCIMQ-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@H]2CCCNC2=O)C1 ZINC001189279102 845090872 /nfs/dbraw/zinc/09/08/72/845090872.db2.gz FVRPMXANERBHDK-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@H](C)OCCOC)[C@@H]2C1 ZINC001189303303 845097465 /nfs/dbraw/zinc/09/74/65/845097465.db2.gz LPPHZQXETQOZFP-MELADBBJSA-N 0 1 282.384 0.757 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2cc(C)n[nH]2)C1 ZINC001189611178 845179443 /nfs/dbraw/zinc/17/94/43/845179443.db2.gz JYBSKQMMJLUILG-AWEZNQCLSA-N 0 1 274.368 0.817 20 30 CCEDMN N#C[C@@H](NC(=O)c1ccc(CN2CCCCC2)o1)C(N)=O ZINC001189752151 845199116 /nfs/dbraw/zinc/19/91/16/845199116.db2.gz RZOBHGKXBOQKNB-LLVKDONJSA-N 0 1 290.323 0.373 20 30 CCEDMN COC(=O)c1cc(S(=O)(=O)NCCC#N)oc1Cl ZINC001189771174 845204465 /nfs/dbraw/zinc/20/44/65/845204465.db2.gz GRJSLYWEXNLHAY-UHFFFAOYSA-N 0 1 292.700 0.912 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001189910014 845262300 /nfs/dbraw/zinc/26/23/00/845262300.db2.gz KXCGOPKGMMSPHT-NEPJUHHUSA-N 0 1 265.357 0.196 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001189909409 845263015 /nfs/dbraw/zinc/26/30/15/845263015.db2.gz CKQXYTDPESFGLB-NSHDSACASA-N 0 1 291.355 0.347 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001189909409 845263024 /nfs/dbraw/zinc/26/30/24/845263024.db2.gz CKQXYTDPESFGLB-NSHDSACASA-N 0 1 291.355 0.347 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CC2(F)F)C1 ZINC001189996302 845307221 /nfs/dbraw/zinc/30/72/21/845307221.db2.gz SXKWMNAYKHFNST-RYUDHWBXSA-N 0 1 286.322 0.824 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccnc3ccnn32)C1 ZINC001190621716 845436838 /nfs/dbraw/zinc/43/68/38/845436838.db2.gz KLQHOQCTRRLWMR-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN COCc1[nH]nc2c1CN(C(=O)c1ccc(C#N)cn1)C2 ZINC001190583441 845439150 /nfs/dbraw/zinc/43/91/50/845439150.db2.gz BAXCDYPHJNIRBX-UHFFFAOYSA-N 0 1 283.291 0.979 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2cn(C)nc2C)C1 ZINC001190683814 845463204 /nfs/dbraw/zinc/46/32/04/845463204.db2.gz BEPPSLUVWDQKIQ-OAHLLOKOSA-N 0 1 288.395 0.827 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@]2(CC)CCNC2=O)C1 ZINC001190765048 845491609 /nfs/dbraw/zinc/49/16/09/845491609.db2.gz FWTOZVOXYOYBRY-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCN(C(=O)CCOC[C@H]2CCCO2)C1 ZINC001191121170 845587503 /nfs/dbraw/zinc/58/75/03/845587503.db2.gz YYMZRDHRXSCVBJ-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCOC[C@H]2CCCO2)C1 ZINC001191121170 845587508 /nfs/dbraw/zinc/58/75/08/845587508.db2.gz YYMZRDHRXSCVBJ-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN CC[C@H](C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)CSCC#N)C1 ZINC001191156637 845598151 /nfs/dbraw/zinc/59/81/51/845598151.db2.gz MVWYOXRSYXPUEC-QJPTWQEYSA-N 0 1 285.413 0.451 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001191489734 845660591 /nfs/dbraw/zinc/66/05/91/845660591.db2.gz HLQKNDFDNAKTQK-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001191614884 845703146 /nfs/dbraw/zinc/70/31/46/845703146.db2.gz PVQDIYYPQOBPSO-YNEHKIRRSA-N 0 1 288.363 0.489 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2c[nH]c(=O)n2C)C1 ZINC001191721036 845716928 /nfs/dbraw/zinc/71/69/28/845716928.db2.gz WIDBSYGNHYCAQF-NSHDSACASA-N 0 1 276.340 0.295 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@H](OC)C(C)C)C1 ZINC001192163280 845795066 /nfs/dbraw/zinc/79/50/66/845795066.db2.gz UTWFQVMAQIWEOR-GJZGRUSLSA-N 0 1 296.411 0.840 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001192376717 845831668 /nfs/dbraw/zinc/83/16/68/845831668.db2.gz CQBBYMBZIZTITQ-MJBXVCDLSA-N 0 1 291.395 0.267 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](CC)NC(C)=O)C1 ZINC001192464463 845855304 /nfs/dbraw/zinc/85/53/04/845855304.db2.gz MEKNOBIXQNLQDL-STQMWFEESA-N 0 1 265.357 0.067 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001192801496 845911754 /nfs/dbraw/zinc/91/17/54/845911754.db2.gz QKJRDFPNESGRSV-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN CC(C)=CCN1C[C@@H](O)[C@H](NC(=O)C#CC2CC2)C1 ZINC001192821102 845916087 /nfs/dbraw/zinc/91/60/87/845916087.db2.gz HITJNEASTGXPKK-ZIAGYGMSSA-N 0 1 262.353 0.527 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(CCCCCF)C[C@H]1O ZINC001192821748 845917124 /nfs/dbraw/zinc/91/71/24/845917124.db2.gz PRUCSNJNFBIVHW-ZIAGYGMSSA-N 0 1 282.359 0.701 20 30 CCEDMN COc1ncnc(Cl)c1NS(=O)(=O)CC#N ZINC001192934755 845940440 /nfs/dbraw/zinc/94/04/40/845940440.db2.gz DVFQFQHMGZUVEX-UHFFFAOYSA-N 0 1 262.678 0.404 20 30 CCEDMN N#CCS(=O)(=O)Nc1cccc2c1CCC(=O)N2 ZINC001192986359 845944797 /nfs/dbraw/zinc/94/47/97/845944797.db2.gz ZIIYPELYRWFXKN-UHFFFAOYSA-N 0 1 265.294 0.837 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc2[nH]c(=O)oc2c1 ZINC001192972077 845957323 /nfs/dbraw/zinc/95/73/23/845957323.db2.gz JEDMDCUONZXTIF-UHFFFAOYSA-N 0 1 253.239 0.799 20 30 CCEDMN Cn1cnc2ccc(NS(=O)(=O)CC#N)cc21 ZINC001192979119 845961765 /nfs/dbraw/zinc/96/17/65/845961765.db2.gz ZOSGBNAMNCRCFM-UHFFFAOYSA-N 0 1 250.283 0.839 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc(C(=O)NC2CC2)cc1 ZINC001192979004 845961785 /nfs/dbraw/zinc/96/17/85/845961785.db2.gz STMLVENMBJJPFI-UHFFFAOYSA-N 0 1 279.321 0.844 20 30 CCEDMN Cc1cc(NS(=O)(=O)[C@@H](C)C#N)n2ncnc2n1 ZINC001193105912 845979985 /nfs/dbraw/zinc/97/99/85/845979985.db2.gz GMJRRTZLCBUVCU-ZETCQYMHSA-N 0 1 266.286 0.087 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@H](C)COC)C1 ZINC001193155683 846007773 /nfs/dbraw/zinc/00/77/73/846007773.db2.gz LFBWVOBMOSFRJM-OLZOCXBDSA-N 0 1 252.358 0.825 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC(=O)NCC2CC2)C1 ZINC001193358887 846061479 /nfs/dbraw/zinc/06/14/79/846061479.db2.gz TWYTVRUBTIRZFZ-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001193365238 846063475 /nfs/dbraw/zinc/06/34/75/846063475.db2.gz BRGWQYLSKXTWSA-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN CS(=O)(=O)CCNC(=O)c1ccc(O)c(C#N)c1 ZINC001193495110 846093574 /nfs/dbraw/zinc/09/35/74/846093574.db2.gz XFSBCQTUXZQMMP-UHFFFAOYSA-N 0 1 268.294 0.038 20 30 CCEDMN COC(=O)N1CCN(C(=O)c2ccc(O)c(C#N)c2)CC1 ZINC001193495054 846093624 /nfs/dbraw/zinc/09/36/24/846093624.db2.gz UZUDVTFTTDUCDR-UHFFFAOYSA-N 0 1 289.291 0.788 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCC(=O)NCCC)C1 ZINC001193532322 846095168 /nfs/dbraw/zinc/09/51/68/846095168.db2.gz MVQDRQPEOUMQCG-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCC(=O)NCC)C1 ZINC001193543489 846099930 /nfs/dbraw/zinc/09/99/30/846099930.db2.gz UGXYGFZKIURHMQ-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C[C@@]1(CO)CN(C(=O)c2ccc(O)c(C#N)c2)CC[C@@H]1O ZINC001193505085 846101400 /nfs/dbraw/zinc/10/14/00/846101400.db2.gz CJDBRVKBCKHUAP-ZFWWWQNUSA-N 0 1 290.319 0.469 20 30 CCEDMN CC(=O)N1C[C@H]2C[C@@]2(NC(=O)c2ccc(O)c(C#N)c2)C1 ZINC001193512382 846103563 /nfs/dbraw/zinc/10/35/63/846103563.db2.gz NJRLXEGCDVFIJW-IUODEOHRSA-N 0 1 285.303 0.614 20 30 CCEDMN CN1CCC[C@H](NC(=O)c2ccc(O)c(C#N)c2)C1=O ZINC001193515941 846103626 /nfs/dbraw/zinc/10/36/26/846103626.db2.gz VJNFPMHTFDJOFV-NSHDSACASA-N 0 1 273.292 0.614 20 30 CCEDMN Cc1cc(NC(=O)c2ccc(O)c(C#N)c2)n(N)c(=O)c1 ZINC001193514247 846103750 /nfs/dbraw/zinc/10/37/50/846103750.db2.gz DMJHKGLHSPAQRW-UHFFFAOYSA-N 0 1 284.275 0.700 20 30 CCEDMN C[C@@]1(CO)CN(C(=O)c2cccc(C#N)c2O)CC[C@H]1O ZINC001193642946 846134658 /nfs/dbraw/zinc/13/46/58/846134658.db2.gz UEJTYFXXLYMOFA-DOMZBBRYSA-N 0 1 290.319 0.469 20 30 CCEDMN CC(C)(C)N(C[C@@H](O)CO)C(=O)c1cccc(C#N)c1O ZINC001193642973 846135048 /nfs/dbraw/zinc/13/50/48/846135048.db2.gz UOGAIVTVZCEWCJ-LLVKDONJSA-N 0 1 292.335 0.858 20 30 CCEDMN COc1ccc(C#N)c(S(=O)(=O)N[C@@H](C)CN(C)C)c1 ZINC001193688497 846143710 /nfs/dbraw/zinc/14/37/10/846143710.db2.gz IPMOMWHBSVBCNA-JTQLQIEISA-N 0 1 297.380 0.795 20 30 CCEDMN CN(C)c1cncc(C(=O)Nc2nc[nH]c2C#N)c1 ZINC001193801109 846156892 /nfs/dbraw/zinc/15/68/92/846156892.db2.gz IKLLGEYIFOIERV-UHFFFAOYSA-N 0 1 256.269 0.995 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CNC(=O)[C@H](C)CC)C1 ZINC001194081474 846213610 /nfs/dbraw/zinc/21/36/10/846213610.db2.gz PXJXQOGNHSENBM-KGLIPLIRSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1cc(=O)n(C)[n-]1 ZINC001194210526 846241347 /nfs/dbraw/zinc/24/13/47/846241347.db2.gz AONITKWGGKVQDX-JTQLQIEISA-N 0 1 264.329 0.506 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@](C)(NC(C)=O)C(C)C)C1 ZINC001194367302 846276637 /nfs/dbraw/zinc/27/66/37/846276637.db2.gz DTZHHGAUGBRFET-GDBMZVCRSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](NC(C)=O)C(C)(C)C)C1 ZINC001194523386 846317391 /nfs/dbraw/zinc/31/73/91/846317391.db2.gz UPFGNFHNTBMJKU-ZIAGYGMSSA-N 0 1 293.411 0.703 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(COC)CC2)C1 ZINC001194661417 846349392 /nfs/dbraw/zinc/34/93/92/846349392.db2.gz LEAGROLTSKJYSR-VXGBXAGGSA-N 0 1 268.357 0.150 20 30 CCEDMN COC[C@@H](C)N1CCCN(C(=O)CSCC#N)CC1 ZINC001194919326 846417307 /nfs/dbraw/zinc/41/73/07/846417307.db2.gz RSHMLVXQYBDNAB-GFCCVEGCSA-N 0 1 285.413 0.812 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC(C)(C)COC)C1 ZINC001194924229 846419649 /nfs/dbraw/zinc/41/96/49/846419649.db2.gz PPZQGQOPPUPXBD-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001195021519 846441178 /nfs/dbraw/zinc/44/11/78/846441178.db2.gz WLYCVROCBFYBQR-BZPMIXESSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCC[C@@H](C)[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2[n-]nnc2C)C1 ZINC001195198765 846478703 /nfs/dbraw/zinc/47/87/03/846478703.db2.gz ZWVCBGCGEJLIFC-YUSALJHKSA-N 0 1 293.371 0.243 20 30 CCEDMN C=CCC[C@@H](C)[N@H+]1C[C@@H](O)[C@H](NC(=O)c2[n-]nnc2C)C1 ZINC001195198765 846478706 /nfs/dbraw/zinc/47/87/06/846478706.db2.gz ZWVCBGCGEJLIFC-YUSALJHKSA-N 0 1 293.371 0.243 20 30 CCEDMN C[C@@]1(CO)CCN(C(=O)c2ccc(C#N)cc2O)C[C@H]1O ZINC001195741165 846619323 /nfs/dbraw/zinc/61/93/23/846619323.db2.gz PXWZQUNLUSLMFZ-HIFRSBDPSA-N 0 1 290.319 0.469 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN3C(=O)OC[C@H]3C2)c(O)c1 ZINC001195740938 846620058 /nfs/dbraw/zinc/62/00/58/846620058.db2.gz FZDKMLKHVOSVEV-SNVBAGLBSA-N 0 1 287.275 0.540 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2conc2C)C1 ZINC001195799958 846628443 /nfs/dbraw/zinc/62/84/43/846628443.db2.gz JVSXAKOLDRSVHB-CHWSQXEVSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2conc2C)C1 ZINC001195799958 846628446 /nfs/dbraw/zinc/62/84/46/846628446.db2.gz JVSXAKOLDRSVHB-CHWSQXEVSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(CCC=C)CC2)C1 ZINC001195906369 846647500 /nfs/dbraw/zinc/64/75/00/846647500.db2.gz XYWHNBBUUIQAEY-ZIAGYGMSSA-N 0 1 276.380 0.917 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001195923399 846649793 /nfs/dbraw/zinc/64/97/93/846649793.db2.gz AFDXOLCUJJOCCM-BZPMIXESSA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCCN1CCC[C@H](NC[C@H](O)C(F)(F)F)C1=O ZINC001250992314 846727639 /nfs/dbraw/zinc/72/76/39/846727639.db2.gz FCNFUBVRSGBGCP-UWVGGRQHSA-N 0 1 278.274 0.514 20 30 CCEDMN C=CCN1CCCN(C(=O)COCC(F)F)CC1 ZINC001196619548 846780216 /nfs/dbraw/zinc/78/02/16/846780216.db2.gz FFPSQOWRKJEPIQ-UHFFFAOYSA-N 0 1 262.300 0.988 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2cn[nH]c2)C1 ZINC001196797517 846801242 /nfs/dbraw/zinc/80/12/42/846801242.db2.gz BXALNTPYRLHGPR-CHWSQXEVSA-N 0 1 278.356 0.080 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC[C@H](C)CC)C1 ZINC001197150284 846856955 /nfs/dbraw/zinc/85/69/55/846856955.db2.gz YKJSMKDUHFCEBV-MGPQQGTHSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC001197310412 846891356 /nfs/dbraw/zinc/89/13/56/846891356.db2.gz BFYVBRZDKCKMHZ-DGCLKSJQSA-N 0 1 293.371 0.039 20 30 CCEDMN Cc1cc(C(=O)N2CCNC[C@@H]2C#N)nn1-c1ccncc1 ZINC001197534142 846929524 /nfs/dbraw/zinc/92/95/24/846929524.db2.gz KMYPCQXDOMZOMA-ZDUSSCGKSA-N 0 1 296.334 0.513 20 30 CCEDMN C#CCOC[C@@H](O)CNc1ccncc1C(F)(F)F ZINC001251819254 847124660 /nfs/dbraw/zinc/12/46/60/847124660.db2.gz LFMPSGXDWFMQEK-VIFPVBQESA-N 0 1 274.242 0.945 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(Cl)ccn1 ZINC001251821216 847131116 /nfs/dbraw/zinc/13/11/16/847131116.db2.gz JULIYWTWUWKHEN-LBPRGKRZSA-N 0 1 254.717 0.835 20 30 CCEDMN CC[N@@H+](C)C(C)(C)C(=O)N1CCCO[C@@H](CNCC#N)C1 ZINC001200860342 847701177 /nfs/dbraw/zinc/70/11/77/847701177.db2.gz XBSZRPXZHASHCI-ZDUSSCGKSA-N 0 1 296.415 0.447 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ccc(OC)nn1 ZINC001252488533 847986305 /nfs/dbraw/zinc/98/63/05/847986305.db2.gz PCSQCECLCANQTA-NSHDSACASA-N 0 1 253.302 0.138 20 30 CCEDMN N=C(NCC1(O)CCOCC1)c1ccc(Cl)cn1 ZINC001252973496 848117702 /nfs/dbraw/zinc/11/77/02/848117702.db2.gz VMFYGQDBXFCBRP-UHFFFAOYSA-N 0 1 269.732 0.982 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN([C@H](C)C(C)=O)CC2 ZINC001273984025 848198336 /nfs/dbraw/zinc/19/83/36/848198336.db2.gz NXIIPBAPQXNKRJ-YPMHNXCESA-N 0 1 262.353 0.815 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CCO)[nH]1 ZINC001095329397 848225249 /nfs/dbraw/zinc/22/52/49/848225249.db2.gz KDXLOBQPEKYWDR-WXHSDQCUSA-N 0 1 274.324 0.214 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CN(C(C)=O)C1)C2 ZINC001095348506 848260201 /nfs/dbraw/zinc/26/02/01/848260201.db2.gz LCWZLPYELVHAQB-RDBSUJKOSA-N 0 1 277.368 0.372 20 30 CCEDMN CCNC(=O)CN1C(=O)CC[C@@H]2[C@H]1CCN2CCCC#N ZINC001274040852 848317803 /nfs/dbraw/zinc/31/78/03/848317803.db2.gz YLPSDXBDNUCARK-CHWSQXEVSA-N 0 1 292.383 0.492 20 30 CCEDMN C=C(C)CN1CC[C@@]2(CCCN(CC(N)=O)CC2)C1=O ZINC001274061757 848334944 /nfs/dbraw/zinc/33/49/44/848334944.db2.gz HQYWLPWKZOVIDM-HNNXBMFYSA-N 0 1 279.384 0.752 20 30 CCEDMN CC#CC[C@H](CO)NCc1ccc(C(=O)OC)o1 ZINC000717786655 848391326 /nfs/dbraw/zinc/39/13/26/848391326.db2.gz MAMSNHLVHPNDFQ-SNVBAGLBSA-N 0 1 251.282 0.930 20 30 CCEDMN C=C(C)CN1C[C@@]2(CCN(Cc3nnc[nH]3)C2)OCC1=O ZINC001274356691 848460585 /nfs/dbraw/zinc/46/05/85/848460585.db2.gz WKDZPPVCDNKBIZ-AWEZNQCLSA-N 0 1 291.355 0.184 20 30 CCEDMN C#Cc1ccc(C(=O)NC/C=C/CNCCF)cn1 ZINC001274517999 848493552 /nfs/dbraw/zinc/49/35/52/848493552.db2.gz AVGIXXZANNYSRG-ONEGZZNKSA-N 0 1 261.300 0.908 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CNCc1cnns1 ZINC001274733484 848555318 /nfs/dbraw/zinc/55/53/18/848555318.db2.gz WJRWPCPMGKAAJV-SNVBAGLBSA-N 0 1 266.370 0.936 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC1(CO)CCN(CC=C)CC1 ZINC001274911014 848591807 /nfs/dbraw/zinc/59/18/07/848591807.db2.gz ZDRKYTGAKUTGFY-CYBMUJFWSA-N 0 1 280.368 0.154 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC1(CO)CCN(CC=C)CC1 ZINC001274911015 848592163 /nfs/dbraw/zinc/59/21/63/848592163.db2.gz ZDRKYTGAKUTGFY-ZDUSSCGKSA-N 0 1 280.368 0.154 20 30 CCEDMN C#CC[C@@H](CO)NCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000717841528 848612746 /nfs/dbraw/zinc/61/27/46/848612746.db2.gz QFJFWXNDGNPIJX-ZDUSSCGKSA-N 0 1 292.360 0.306 20 30 CCEDMN Cc1cc(CNC[C@H](C)NC(=O)[C@@H](C)C#N)on1 ZINC001275099183 848638291 /nfs/dbraw/zinc/63/82/91/848638291.db2.gz RCOZEFCCONRMOS-WPRPVWTQSA-N 0 1 250.302 0.737 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cnc2n1CCC2 ZINC001275114188 848641467 /nfs/dbraw/zinc/64/14/67/848641467.db2.gz HBUGNICEHBZCMJ-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN CCc1nc([C@H](C)NC[C@H](C)NC(=O)C#CC2CC2)n[nH]1 ZINC001275149623 848650934 /nfs/dbraw/zinc/65/09/34/848650934.db2.gz GAFMJQWGIYAENM-QWRGUYRKSA-N 0 1 289.383 0.936 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cccn(C)c1=O ZINC001275699450 848796197 /nfs/dbraw/zinc/79/61/97/848796197.db2.gz XSIBYRHCUPPRLT-NSHDSACASA-N 0 1 261.325 0.069 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CC1(O)CCC1 ZINC001275827469 848836558 /nfs/dbraw/zinc/83/65/58/848836558.db2.gz IVFKYLLRKYZISU-LBPRGKRZSA-N 0 1 252.358 0.751 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)COCC(F)F ZINC001275888219 848857760 /nfs/dbraw/zinc/85/77/60/848857760.db2.gz VUEPSDWBKCTLQA-NSHDSACASA-N 0 1 292.326 0.354 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)CCCF ZINC001275904190 848862839 /nfs/dbraw/zinc/86/28/39/848862839.db2.gz DECZHEZUFMSLMG-LBPRGKRZSA-N 0 1 258.337 0.822 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1CC12CCOCC2 ZINC001276021339 848893241 /nfs/dbraw/zinc/89/32/41/848893241.db2.gz OBSMPUSLMLNHPP-OLZOCXBDSA-N 0 1 264.369 0.873 20 30 CCEDMN C=C(C)CCC(=O)N(C)CCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001276025544 848894809 /nfs/dbraw/zinc/89/48/09/848894809.db2.gz MNZWAVATXWHCBS-UHFFFAOYSA-N 0 1 295.387 0.403 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]13C[C@H]1COC3)C2 ZINC001095443530 848915278 /nfs/dbraw/zinc/91/52/78/848915278.db2.gz STWGTJQCLWJVHU-KBRXKUPHSA-N 0 1 262.353 0.930 20 30 CCEDMN CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001095634515 849001039 /nfs/dbraw/zinc/00/10/39/849001039.db2.gz RPNLVHASJSLDAT-ILXRZTDVSA-N 0 1 299.374 0.744 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001095634515 849001045 /nfs/dbraw/zinc/00/10/45/849001045.db2.gz RPNLVHASJSLDAT-ILXRZTDVSA-N 0 1 299.374 0.744 20 30 CCEDMN CC[C@H](C)[N@@H+](CCC[NH3+])C[C@@H](S)OP(=O)([O-])[O-] ZINC001257435750 849047136 /nfs/dbraw/zinc/04/71/36/849047136.db2.gz BWKCAUWCNFAZBO-DTWKUNHWSA-N 0 1 286.334 0.801 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](CNc2ncnc3[nH]cnc32)C1 ZINC001114748337 849385000 /nfs/dbraw/zinc/38/50/00/849385000.db2.gz CUYGKOWBVILJFO-OPRDCNLKSA-N 0 1 299.338 0.771 20 30 CCEDMN CCC1(C(=O)N[C@@H]2[C@H]3CN(CC#CCOC)C[C@H]32)CC1 ZINC001114790306 849395652 /nfs/dbraw/zinc/39/56/52/849395652.db2.gz UBZYHWARRUVSPS-WDNDVIMCSA-N 0 1 276.380 0.873 20 30 CCEDMN COCC#CC[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCC[N@H+]2C)C1 ZINC001276360953 849451009 /nfs/dbraw/zinc/45/10/09/849451009.db2.gz NHQYFPPAHJKVCX-UKRRQHHQSA-N 0 1 293.411 0.167 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)C(=O)c1ccn[nH]1 ZINC001066891425 849674996 /nfs/dbraw/zinc/67/49/96/849674996.db2.gz NUXSROXJNYYXAF-SNVBAGLBSA-N 0 1 277.328 0.490 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001038611053 849700444 /nfs/dbraw/zinc/70/04/44/849700444.db2.gz NWYLBCYLWZFQAG-LLVKDONJSA-N 0 1 276.340 0.871 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001038641739 849790708 /nfs/dbraw/zinc/79/07/08/849790708.db2.gz ZONAHSFNGOKHEQ-NWDGAFQWSA-N 0 1 287.367 0.438 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnn2ncccc12 ZINC001038344898 849859505 /nfs/dbraw/zinc/85/95/05/849859505.db2.gz JWZAFBHKEWZMQZ-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)[C@H]1CCCCN1C ZINC001038411271 849883515 /nfs/dbraw/zinc/88/35/15/849883515.db2.gz SKPMZDUUNMZVQX-UONOGXRCSA-N 0 1 263.385 0.685 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001038419244 849888989 /nfs/dbraw/zinc/88/89/89/849888989.db2.gz ROXYWZISVOIFDA-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)NC[C@H]1CCN1CC#N)C2 ZINC001038432400 849896329 /nfs/dbraw/zinc/89/63/29/849896329.db2.gz VDVBWSFLBMQMNG-VXGBXAGGSA-N 0 1 287.367 0.537 20 30 CCEDMN Cc1c(C(=O)NC[C@H]2CCN2CC#N)ccc2cncn21 ZINC001038444197 849901788 /nfs/dbraw/zinc/90/17/88/849901788.db2.gz YWMXLHHDZMSLFZ-GFCCVEGCSA-N 0 1 283.335 0.970 20 30 CCEDMN O=C(NC[C@H]1CCN1CC#Cc1ccccc1)c1cnn[nH]1 ZINC001038456242 849908151 /nfs/dbraw/zinc/90/81/51/849908151.db2.gz KBROQXSZDPJDIR-CQSZACIVSA-N 0 1 295.346 0.661 20 30 CCEDMN C=C(Cl)CN1CC[C@H]1CNC(=O)c1nc[nH]n1 ZINC001038827350 850031152 /nfs/dbraw/zinc/03/11/52/850031152.db2.gz XHMIHWPXCTVOPD-QMMMGPOBSA-N 0 1 255.709 0.361 20 30 CCEDMN C=C(Cl)CN1CC[C@H]1CNC(=O)c1ncn[nH]1 ZINC001038827350 850031156 /nfs/dbraw/zinc/03/11/56/850031156.db2.gz XHMIHWPXCTVOPD-QMMMGPOBSA-N 0 1 255.709 0.361 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCc2nncn2C1 ZINC001039049157 850139328 /nfs/dbraw/zinc/13/93/28/850139328.db2.gz WQAGXKFCOPPJAV-STQMWFEESA-N 0 1 289.383 0.607 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C)c(=O)c1 ZINC001039395763 850183967 /nfs/dbraw/zinc/18/39/67/850183967.db2.gz JMZALXXVPDFYOA-CABCVRRESA-N 0 1 299.374 0.697 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCNC1=O ZINC001039390105 850184927 /nfs/dbraw/zinc/18/49/27/850184927.db2.gz VVYIHMGCIFKCNM-HZSPNIEDSA-N 0 1 291.395 0.764 20 30 CCEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(C)n1 ZINC001039419703 850187371 /nfs/dbraw/zinc/18/73/71/850187371.db2.gz IWUXCBNIWFZYNN-NEPJUHHUSA-N 0 1 290.371 0.465 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC#N ZINC001039526896 850209631 /nfs/dbraw/zinc/20/96/31/850209631.db2.gz KDSHOOKYUJWTQH-MJBXVCDLSA-N 0 1 276.384 0.669 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)[C@]3(C)CNC(=O)C3)C2)C1 ZINC001041499608 850455502 /nfs/dbraw/zinc/45/55/02/850455502.db2.gz XNFUQZWWYYYMPG-HOTGVXAUSA-N 0 1 289.379 0.070 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001041633506 850478778 /nfs/dbraw/zinc/47/87/78/850478778.db2.gz VZVUBTHOUQEPMQ-HOTGVXAUSA-N 0 1 293.411 0.998 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cnn(C)c3)C[C@H]21 ZINC001041927428 850529270 /nfs/dbraw/zinc/52/92/70/850529270.db2.gz HYROHIJYLPLJND-UKRRQHHQSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccon3)C[C@H]21 ZINC001041951379 850537834 /nfs/dbraw/zinc/53/78/34/850537834.db2.gz CAMBJXZMNXPLRG-WCQYABFASA-N 0 1 259.309 0.844 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3nccnc3N)C[C@H]21 ZINC001041986459 850550105 /nfs/dbraw/zinc/55/01/05/850550105.db2.gz QSELTRMTDFJTMU-VXGBXAGGSA-N 0 1 285.351 0.228 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C[C@@H]21 ZINC001042015069 850557203 /nfs/dbraw/zinc/55/72/03/850557203.db2.gz BOKAJHVJHCWLOE-RYUDHWBXSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cn4c(n3)CCC4)C[C@@H]21 ZINC001042065378 850571573 /nfs/dbraw/zinc/57/15/73/850571573.db2.gz RYGYRQYKLWHOEZ-HIFRSBDPSA-N 0 1 298.390 0.999 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)nc2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC001042130237 850582225 /nfs/dbraw/zinc/58/22/25/850582225.db2.gz LHHRBPGVVSHKMZ-BXKDBHETSA-N 0 1 297.322 0.326 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)nc2)C[C@H]1NC(=O)c1nc[nH]n1 ZINC001042130237 850582235 /nfs/dbraw/zinc/58/22/35/850582235.db2.gz LHHRBPGVVSHKMZ-BXKDBHETSA-N 0 1 297.322 0.326 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ncccn3)C[C@H]21 ZINC001042277594 850606829 /nfs/dbraw/zinc/60/68/29/850606829.db2.gz OJCZETZQQPNVOH-CHWSQXEVSA-N 0 1 270.336 0.646 20 30 CCEDMN CN(C(=O)c1cccc2nn[nH]c21)C1CN(CC#N)C1 ZINC001042776709 850757589 /nfs/dbraw/zinc/75/75/89/850757589.db2.gz UQUVBEHBGRIIQV-UHFFFAOYSA-N 0 1 270.296 0.238 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCNC2=O)C1 ZINC001043534594 850893220 /nfs/dbraw/zinc/89/32/20/850893220.db2.gz NCFWNCBEDYTAMS-LBPRGKRZSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001043576403 850899530 /nfs/dbraw/zinc/89/95/30/850899530.db2.gz SPMJQRJCSXCBCU-ZDUSSCGKSA-N 0 1 279.384 0.574 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001043784938 850936345 /nfs/dbraw/zinc/93/63/45/850936345.db2.gz SRBIOWZIUUYSGQ-HNNXBMFYSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnccn2)CC1 ZINC001045353033 851240940 /nfs/dbraw/zinc/24/09/40/851240940.db2.gz NJQWENWAWOTFCU-UHFFFAOYSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C2=COCCO2)C1 ZINC001046113227 851381578 /nfs/dbraw/zinc/38/15/78/851381578.db2.gz FLOLGQXUZQSXQG-CYBMUJFWSA-N 0 1 250.298 0.088 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCO2)C1 ZINC001046121550 851383102 /nfs/dbraw/zinc/38/31/02/851383102.db2.gz JCHNJHFQTGBFRQ-GXTWGEPZSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnnn2CC)C1 ZINC001046297708 851459511 /nfs/dbraw/zinc/45/95/11/851459511.db2.gz CIAYHUXNAWQSTP-CQSZACIVSA-N 0 1 275.356 0.516 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001046363954 851477101 /nfs/dbraw/zinc/47/71/01/851477101.db2.gz HBRQWGHCHFNFPV-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnc[nH]c2=O)C1 ZINC001046407052 851492257 /nfs/dbraw/zinc/49/22/57/851492257.db2.gz DUXTWQFFLITWHU-AWEZNQCLSA-N 0 1 274.324 0.400 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)c3ncn[nH]3)C2)nn1 ZINC001046431509 851499562 /nfs/dbraw/zinc/49/95/62/851499562.db2.gz HAEXRCHLMGOXCD-WAAGHKOSSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)c3nc[nH]n3)C2)nn1 ZINC001046431509 851499570 /nfs/dbraw/zinc/49/95/70/851499570.db2.gz HAEXRCHLMGOXCD-WAAGHKOSSA-N 0 1 298.310 0.087 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001046507065 851526471 /nfs/dbraw/zinc/52/64/71/851526471.db2.gz GBFLIQSDVJYISU-TTZDDIAXSA-N 0 1 292.379 0.252 20 30 CCEDMN CC#CC[N@H+]1CC[C@](C)(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001046622234 851567850 /nfs/dbraw/zinc/56/78/50/851567850.db2.gz UYPKWZXTQMEJJX-ZENOOKHLSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001046622234 851567861 /nfs/dbraw/zinc/56/78/61/851567861.db2.gz UYPKWZXTQMEJJX-ZENOOKHLSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc3nncn3c2)C1 ZINC001046698592 851585328 /nfs/dbraw/zinc/58/53/28/851585328.db2.gz INAIXWCBTMMQIO-HNNXBMFYSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2nc3ncccn3n2)C1 ZINC001046714241 851592985 /nfs/dbraw/zinc/59/29/85/851592985.db2.gz MGJMKPHPXKXBPM-AWEZNQCLSA-N 0 1 286.339 0.505 20 30 CCEDMN C[C@@]1(NC(=O)[C@@H]2CCc3[nH]nnc3C2)CCN(CC#N)C1 ZINC001046772285 851609965 /nfs/dbraw/zinc/60/99/65/851609965.db2.gz SIWDXOQLABUKEM-QMTHXVAHSA-N 0 1 288.355 0.014 20 30 CCEDMN C[C@@]1(NC(=O)[C@@H]2CCc3nn[nH]c3C2)CCN(CC#N)C1 ZINC001046772285 851609974 /nfs/dbraw/zinc/60/99/74/851609974.db2.gz SIWDXOQLABUKEM-QMTHXVAHSA-N 0 1 288.355 0.014 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccn(C)c2)C1 ZINC001047347149 851730398 /nfs/dbraw/zinc/73/03/98/851730398.db2.gz MAKYLPSSZYMVOB-KBPBESRZSA-N 0 1 275.352 0.166 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cncnc2)C1 ZINC001047363818 851737351 /nfs/dbraw/zinc/73/73/51/851737351.db2.gz FMERAVDZRIYOLT-KBPBESRZSA-N 0 1 290.367 0.099 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@]2(C)C=CCC2)C1 ZINC001047489690 851783007 /nfs/dbraw/zinc/78/30/07/851783007.db2.gz YLSRWKZVMFEPBQ-DZKIICNBSA-N 0 1 276.380 0.870 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccncn2)C1 ZINC001047569994 851819562 /nfs/dbraw/zinc/81/95/62/851819562.db2.gz HTSFURYZQQQDSM-STQMWFEESA-N 0 1 276.340 0.170 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CN(Cc3ccnn3C)C[C@H]2C1 ZINC001048726450 852037171 /nfs/dbraw/zinc/03/71/71/852037171.db2.gz FABYHFNSRADGQL-UPJWGTAASA-N 0 1 287.367 0.470 20 30 CCEDMN C#CCC[N@@H+]1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001048780291 852057073 /nfs/dbraw/zinc/05/70/73/852057073.db2.gz GKRSVMSYYBTIRS-BETUJISGSA-N 0 1 285.347 0.814 20 30 CCEDMN C#CCC[N@H+]1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001048780291 852057078 /nfs/dbraw/zinc/05/70/78/852057078.db2.gz GKRSVMSYYBTIRS-BETUJISGSA-N 0 1 285.347 0.814 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CNC(C)=O)C[C@@H]1C ZINC001381113192 907074285 /nfs/dbraw/zinc/07/42/85/907074285.db2.gz ZFXRLCXENPZBJI-KWQFWETISA-N 0 1 273.764 0.312 20 30 CCEDMN C#CC[N@H+]1C[C@H]2CN(C(=O)Cc3ccc(C)nc3)C[C@H]2C1 ZINC001049216119 852196109 /nfs/dbraw/zinc/19/61/09/852196109.db2.gz ULOLOZZZAMTUKY-IYBDPMFKSA-N 0 1 283.375 0.956 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccnn1C ZINC001049374601 852246851 /nfs/dbraw/zinc/24/68/51/852246851.db2.gz SGXBKLVOYKLQCE-CHWSQXEVSA-N 0 1 272.352 0.732 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cn(C)nn1 ZINC001049462036 852287228 /nfs/dbraw/zinc/28/72/28/852287228.db2.gz NDMAMXBTMTZBRD-UONOGXRCSA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@H]1CCOC1 ZINC001049505399 852298038 /nfs/dbraw/zinc/29/80/38/852298038.db2.gz OLQCLVLGJBLJGV-MJBXVCDLSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001049505714 852298153 /nfs/dbraw/zinc/29/81/53/852298153.db2.gz RQCXZRXXWSTUNQ-MGPQQGTHSA-N 0 1 289.379 0.163 20 30 CCEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cn[nH]n1 ZINC001049577449 852317003 /nfs/dbraw/zinc/31/70/03/852317003.db2.gz ZXOJXQSCRJKIAX-OLZOCXBDSA-N 0 1 273.340 0.507 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@]1(F)CCOC1 ZINC001049672365 852343488 /nfs/dbraw/zinc/34/34/88/852343488.db2.gz LMMUHUCSUJRHFW-GZBFAFLISA-N 0 1 280.343 0.814 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cn(C)c(=O)[nH]1 ZINC001049688890 852350808 /nfs/dbraw/zinc/35/08/08/852350808.db2.gz GGURYCIHXAGUCA-CHWSQXEVSA-N 0 1 288.351 0.438 20 30 CCEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049785267 852370810 /nfs/dbraw/zinc/37/08/10/852370810.db2.gz YFQASBYQBFLMJS-OLZOCXBDSA-N 0 1 275.356 0.680 20 30 CCEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1nc[nH]n1 ZINC001049790180 852373305 /nfs/dbraw/zinc/37/33/05/852373305.db2.gz AKQNDHUQGUNIGY-RYUDHWBXSA-N 0 1 273.340 0.507 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1nc[nH]n1 ZINC001049790181 852373493 /nfs/dbraw/zinc/37/34/93/852373493.db2.gz AKQNDHUQGUNIGY-VXGBXAGGSA-N 0 1 273.340 0.507 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1nc[nH]n1 ZINC001049800078 852374907 /nfs/dbraw/zinc/37/49/07/852374907.db2.gz YRCKNLXXMCSNOR-GHMZBOCLSA-N 0 1 259.313 0.117 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC(=O)N(C)C1)C2 ZINC001096614791 852407478 /nfs/dbraw/zinc/40/74/78/852407478.db2.gz AVRWFMOPDCUMDZ-CRWXNKLISA-N 0 1 291.395 0.762 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)[C@H](C)OC)[C@@H]2C1 ZINC001049989149 852414893 /nfs/dbraw/zinc/41/48/93/852414893.db2.gz DWTAJHVUZICHRA-MELADBBJSA-N 0 1 264.369 0.967 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001096886094 852462284 /nfs/dbraw/zinc/46/22/84/852462284.db2.gz GMUAQXSTAVWMFX-FVCCEPFGSA-N 0 1 262.357 0.326 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nnn(C)c1C)C2 ZINC001096908923 852464325 /nfs/dbraw/zinc/46/43/25/852464325.db2.gz HLUUMPPWMUSNOO-UTUOFQBUSA-N 0 1 275.356 0.645 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nnn(C)c1C)C2 ZINC001096908923 852464330 /nfs/dbraw/zinc/46/43/30/852464330.db2.gz HLUUMPPWMUSNOO-UTUOFQBUSA-N 0 1 275.356 0.645 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1COC(=O)C1)C2 ZINC001096955442 852477322 /nfs/dbraw/zinc/47/73/22/852477322.db2.gz GRQJDSPIZKMOTN-LPWJVIDDSA-N 0 1 278.352 0.847 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cncnc1)C2 ZINC001096989340 852480483 /nfs/dbraw/zinc/48/04/83/852480483.db2.gz WWOJEQBBAKIQGW-MCIONIFRSA-N 0 1 270.336 0.835 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnnn1C)C2 ZINC001097024505 852490768 /nfs/dbraw/zinc/49/07/68/852490768.db2.gz MGFTVWWXLPJFOC-UTUOFQBUSA-N 0 1 275.356 0.726 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1nccn1)C2 ZINC001097146431 852507849 /nfs/dbraw/zinc/50/78/49/852507849.db2.gz FAYOIVPDCSVMFC-UPJWGTAASA-N 0 1 273.340 0.023 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1[C@@H]3COC[C@@H]31)C2 ZINC001097657204 852559878 /nfs/dbraw/zinc/55/98/78/852559878.db2.gz XWDFTHZPJXGKPD-PRFQISJJSA-N 0 1 262.353 0.786 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCN(C)C1=O)C2 ZINC001097673587 852570589 /nfs/dbraw/zinc/57/05/89/852570589.db2.gz WMCONGRRIOUMFQ-XQHKEYJVSA-N 0 1 277.368 0.372 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H](C)Nc2ccncc2C#N)c1C ZINC001097761064 852601930 /nfs/dbraw/zinc/60/19/30/852601930.db2.gz ZPLWJNDDSCVYQW-SECBINFHSA-N 0 1 298.350 0.946 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(C)c(=O)[nH]1)C2 ZINC001097786232 852622010 /nfs/dbraw/zinc/62/20/10/852622010.db2.gz PSGWGGVGWNGSGU-FOGDFJRCSA-N 0 1 276.340 0.647 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)c2[nH]ncc2F)CC1 ZINC001052688193 852640177 /nfs/dbraw/zinc/64/01/77/852640177.db2.gz NVJCWVOOPGIGQU-VIFPVBQESA-N 0 1 265.292 0.657 20 30 CCEDMN CC#CCN1CC2(C1)CN(C(=O)c1cc(C)[nH]n1)CCO2 ZINC001053175200 852702712 /nfs/dbraw/zinc/70/27/12/852702712.db2.gz ZSMNDBGIZWBMOP-UHFFFAOYSA-N 0 1 288.351 0.268 20 30 CCEDMN C=CCCN1CC2(C1)CN(C(=O)[C@H]1CCCN1C)CCO2 ZINC001053190386 852706882 /nfs/dbraw/zinc/70/68/82/852706882.db2.gz SRZICITTWIATBJ-CQSZACIVSA-N 0 1 293.411 0.570 20 30 CCEDMN C=CCCN1CC2(C1)CN(C(=O)c1[nH]nnc1C)CCO2 ZINC001053368108 852734241 /nfs/dbraw/zinc/73/42/41/852734241.db2.gz IGIVZDXFHOFRDY-UHFFFAOYSA-N 0 1 291.355 0.216 20 30 CCEDMN C#CCN1CC2(C1)C[C@@H](NC(=O)c1[nH]nc(C)c1C)CO2 ZINC001053760921 852810100 /nfs/dbraw/zinc/81/01/00/852810100.db2.gz PCUMOGGZTHJXPE-GFCCVEGCSA-N 0 1 288.351 0.233 20 30 CCEDMN C#CCN1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCCCN1C)CO2 ZINC001053847881 852832436 /nfs/dbraw/zinc/83/24/36/852832436.db2.gz NWDNOBNVNCVBEG-KGLIPLIRSA-N 0 1 291.395 0.063 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)c1[nH]ncc1F)CO2 ZINC001053917568 852845541 /nfs/dbraw/zinc/84/55/41/852845541.db2.gz PDBVFNXYOPLLIM-SECBINFHSA-N 0 1 280.303 0.308 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CCC[C@@]2(CCN(CC#N)C2)C1 ZINC001054100643 852884584 /nfs/dbraw/zinc/88/45/84/852884584.db2.gz GFGNUOFGEOBWMS-HOCLYGCPSA-N 0 1 290.411 0.919 20 30 CCEDMN C=C(Br)CN[C@@H]1CN(C(=O)COC)C[C@H]1C ZINC001054303196 852912821 /nfs/dbraw/zinc/91/28/21/852912821.db2.gz KSEJONFSVWZBBY-PSASIEDQSA-N 0 1 291.189 0.978 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cnn(C)c2N)C[C@H]1C ZINC001054592855 852976204 /nfs/dbraw/zinc/97/62/04/852976204.db2.gz BXMHUHAJKUUIIN-KCJUWKMLSA-N 0 1 297.790 0.805 20 30 CCEDMN C[C@@H]1CN(C(=O)c2cccc3nn[nH]c32)C[C@@H]1NCC#N ZINC001054675788 852994724 /nfs/dbraw/zinc/99/47/24/852994724.db2.gz YTUIFVDBBAKFJO-SKDRFNHKSA-N 0 1 284.323 0.532 20 30 CCEDMN C[C@@H](CNC(=O)c1ncn[nH]1)Nc1ncc(C#N)cc1F ZINC001097994948 853075816 /nfs/dbraw/zinc/07/58/16/853075816.db2.gz AEMYIXOBPJHARH-ZETCQYMHSA-N 0 1 289.274 0.441 20 30 CCEDMN C[C@@H](CNC(=O)c1nc[nH]n1)Nc1ncc(C#N)cc1F ZINC001097994948 853075822 /nfs/dbraw/zinc/07/58/22/853075822.db2.gz AEMYIXOBPJHARH-ZETCQYMHSA-N 0 1 289.274 0.441 20 30 CCEDMN Cc1cc(N[C@H](C)CNC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001097995361 853083418 /nfs/dbraw/zinc/08/34/18/853083418.db2.gz PWEXFANFYKSBSA-SECBINFHSA-N 0 1 285.311 0.032 20 30 CCEDMN Cc1cc(N[C@H](C)CNC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001097995361 853083423 /nfs/dbraw/zinc/08/34/23/853083423.db2.gz PWEXFANFYKSBSA-SECBINFHSA-N 0 1 285.311 0.032 20 30 CCEDMN CC(C)C(=O)NC1CCN(CCNC(=O)[C@H](C)C#N)CC1 ZINC001055629810 853090280 /nfs/dbraw/zinc/09/02/80/853090280.db2.gz LAOFFBFJLOSWEH-GFCCVEGCSA-N 0 1 294.399 0.499 20 30 CCEDMN Cc1cc(C(=O)N2CCN(c3ccncc3C#N)CC2)n[nH]1 ZINC001055737419 853111159 /nfs/dbraw/zinc/11/11/59/853111159.db2.gz BJKMTABOCLQQGJ-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN O=C(C#CC1CC1)N1CCN(c2ncnc3[nH]cnc32)CC1 ZINC001055795440 853119253 /nfs/dbraw/zinc/11/92/53/853119253.db2.gz SOQHQRPILBQOJU-UHFFFAOYSA-N 0 1 296.334 0.415 20 30 CCEDMN C#CC[N@H+](C)CCOCCN(C)C(=O)[C@H]1CCCOC1 ZINC001264105078 853261163 /nfs/dbraw/zinc/26/11/63/853261163.db2.gz WACPRCRRKLQKJW-AWEZNQCLSA-N 0 1 282.384 0.453 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3nnn(C)c3C)[C@@H]2C1 ZINC001050007681 853290869 /nfs/dbraw/zinc/29/08/69/853290869.db2.gz KRWGDTCIQIRAEL-QWHCGFSZSA-N 0 1 287.367 0.293 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3cn(C)cn3)[C@@H]2C1 ZINC001050020603 853294742 /nfs/dbraw/zinc/29/47/42/853294742.db2.gz KBPNJLZXRQGFNJ-DZGCQCFKSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H]3CCCNC3=O)[C@@H]2C1 ZINC001050069696 853307674 /nfs/dbraw/zinc/30/76/74/853307674.db2.gz OKXJWSDAUJGXFQ-BFHYXJOUSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3nc(C)c[nH]3)[C@@H]2C1 ZINC001050160052 853326942 /nfs/dbraw/zinc/32/69/42/853326942.db2.gz QIETVHPYZXIBIS-QWHCGFSZSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cn(CC)nn3)[C@@H]2C1 ZINC001050174288 853332294 /nfs/dbraw/zinc/33/22/94/853332294.db2.gz PQOFGFALZAJDJT-GXTWGEPZSA-N 0 1 287.367 0.468 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]([C@@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001050259703 853347372 /nfs/dbraw/zinc/34/73/72/853347372.db2.gz LGFDCBGXYFOZLI-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCC(=O)N1)C2 ZINC001098026342 853398294 /nfs/dbraw/zinc/39/82/94/853398294.db2.gz SXQFYSIBKANFEK-SYQHCUMBSA-N 0 1 289.379 0.400 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC3(C2)CCN(CC(N)=O)CC3)C1 ZINC001050657263 853410219 /nfs/dbraw/zinc/41/02/19/853410219.db2.gz NPPQOPOSIKXPDN-UHFFFAOYSA-N 0 1 291.395 0.752 20 30 CCEDMN Cc1ncoc1CNC[C@@H]1CN(C(=O)[C@H](C)C#N)CCO1 ZINC001051494405 853597355 /nfs/dbraw/zinc/59/73/55/853597355.db2.gz IIQBKPCPCBBBAE-ZYHUDNBSSA-N 0 1 292.339 0.460 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)CSC)C2)CC1 ZINC001051978059 853668487 /nfs/dbraw/zinc/66/84/87/853668487.db2.gz LCNBDYMFJFYGGJ-AWEZNQCLSA-N 0 1 295.452 0.591 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N2CCN(CCO)CC2)C1 ZINC001052060578 853678851 /nfs/dbraw/zinc/67/88/51/853678851.db2.gz IIOZKIDCBPBWBS-CQSZACIVSA-N 0 1 295.427 0.409 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CC2CC2)C[C@H]1c1cn(C)cn1 ZINC001070458564 854061825 /nfs/dbraw/zinc/06/18/25/854061825.db2.gz GAPMVSCQEFSFSI-DZGCQCFKSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C(C)C)C[C@H]1c1cn(C)cn1 ZINC001070469547 854064360 /nfs/dbraw/zinc/06/43/60/854064360.db2.gz AETFYMUZCRURBC-GXTWGEPZSA-N 0 1 274.368 0.593 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2cccc(C#CCO)c2)n1 ZINC000392317626 854087003 /nfs/dbraw/zinc/08/70/03/854087003.db2.gz KPNPIPLCFHZDFW-UHFFFAOYSA-N 0 1 284.319 0.429 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)C(C)C)C[C@H](C)O2 ZINC001071134834 854126939 /nfs/dbraw/zinc/12/69/39/854126939.db2.gz YGSXXDFASFFGBG-ZFWWWQNUSA-N 0 1 264.369 0.967 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@]2(CCN(CC#N)C2)O1 ZINC001071139441 854128508 /nfs/dbraw/zinc/12/85/08/854128508.db2.gz UYWCNDYOGWAQQW-FZMZJTMJSA-N 0 1 289.339 0.239 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@@H](C)O2 ZINC001071167347 854132240 /nfs/dbraw/zinc/13/22/40/854132240.db2.gz XHPMBNJSPMHDKW-DOMZBBRYSA-N 0 1 288.351 0.348 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cc(C)[nH]n2)CC[C@@H]1C ZINC001071428085 854189904 /nfs/dbraw/zinc/18/99/04/854189904.db2.gz WBMOSQXOAXXKHJ-QWHCGFSZSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cc(C)n[nH]2)CC[C@@H]1C ZINC001071428085 854189908 /nfs/dbraw/zinc/18/99/08/854189908.db2.gz WBMOSQXOAXXKHJ-QWHCGFSZSA-N 0 1 274.368 0.863 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C2CN(C(C)=O)C2)CC[C@H]1C ZINC001071459628 854206127 /nfs/dbraw/zinc/20/61/27/854206127.db2.gz FOHVGPUTIFNYJJ-BXUZGUMPSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C2CN(C(C)=O)C2)CC[C@H]1C ZINC001071459632 854206378 /nfs/dbraw/zinc/20/63/78/854206378.db2.gz FOHVGPUTIFNYJJ-RISCZKNCSA-N 0 1 279.384 0.620 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cncnc2)CC[C@H]1C ZINC001071470926 854213385 /nfs/dbraw/zinc/21/33/85/854213385.db2.gz QDYRPZGGFAXZKP-TZMCWYRMSA-N 0 1 272.352 0.621 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cnnn2C)CC[C@H]1C ZINC001071481748 854216123 /nfs/dbraw/zinc/21/61/23/854216123.db2.gz NQDQGRRUJHKERD-MNOVXSKESA-N 0 1 263.345 0.584 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](NC(=O)c2cnn[n-]2)CC[C@H]1C ZINC001071631756 854251376 /nfs/dbraw/zinc/25/13/76/854251376.db2.gz RARRDSWVOOMIOS-MNOVXSKESA-N 0 1 261.329 0.411 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cccc(=O)n2C)CC[C@H]1C ZINC001071813847 854300222 /nfs/dbraw/zinc/30/02/22/854300222.db2.gz FCLFTARLSGELAT-CHWSQXEVSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2nonc2C)CC[C@@H]1C ZINC001071950211 854324359 /nfs/dbraw/zinc/32/43/59/854324359.db2.gz GKALMIIAWBVXSL-CMPLNLGQSA-N 0 1 276.340 0.523 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cccc(=O)[nH]3)C2)C1 ZINC001072580955 854421092 /nfs/dbraw/zinc/42/10/92/854421092.db2.gz PANNFQGLRJGFBT-UHFFFAOYSA-N 0 1 271.320 0.568 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3c[nH]c(C#N)c3)C2)C1 ZINC001072639727 854436524 /nfs/dbraw/zinc/43/65/24/854436524.db2.gz AWFZYFJQOQIQEJ-UHFFFAOYSA-N 0 1 268.320 0.667 20 30 CCEDMN C#CC[N@H+]1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001072653604 854439350 /nfs/dbraw/zinc/43/93/50/854439350.db2.gz JSEAWNWIMQIJNH-UHFFFAOYSA-N 0 1 271.320 0.568 20 30 CCEDMN C#CC[N@@H+]1CCC2(CN(C(=O)c3ncccc3[O-])C2)C1 ZINC001072653604 854439353 /nfs/dbraw/zinc/43/93/53/854439353.db2.gz JSEAWNWIMQIJNH-UHFFFAOYSA-N 0 1 271.320 0.568 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCCc4cn[nH]c43)C2)C1 ZINC001072735918 854455791 /nfs/dbraw/zinc/45/57/91/854455791.db2.gz WQPJDMCJNPSRMC-CQSZACIVSA-N 0 1 298.390 0.997 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CC[C@@H](OC)C3)C2)C1 ZINC001072868022 854483618 /nfs/dbraw/zinc/48/36/18/854483618.db2.gz XJAVYUPHHNQQSD-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)CN3CCCC3)C2)C1 ZINC001073429892 854557522 /nfs/dbraw/zinc/55/75/22/854557522.db2.gz ZROUBGYECGKNOO-UHFFFAOYSA-N 0 1 262.357 0.140 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C2CC2)C1 ZINC001073512604 854571894 /nfs/dbraw/zinc/57/18/94/854571894.db2.gz MUFWGOLOYZIXPR-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN C=C(C)CN1CCCO[C@@H](CNC(=O)c2cnsn2)C1 ZINC001073541469 854583925 /nfs/dbraw/zinc/58/39/25/854583925.db2.gz PYVCMWXRDCTMJT-NSHDSACASA-N 0 1 296.396 0.935 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2ccnn2C)C1 ZINC001073557063 854595862 /nfs/dbraw/zinc/59/58/62/854595862.db2.gz WJSAMUYDZKXCFX-ZDUSSCGKSA-N 0 1 290.367 0.264 20 30 CCEDMN C=C(C)CN1CCCO[C@@H](CNC(=O)c2ncn(C)n2)C1 ZINC001073587433 854606458 /nfs/dbraw/zinc/60/64/58/854606458.db2.gz QLLLCMDTXLAKFS-LBPRGKRZSA-N 0 1 293.371 0.212 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001073734244 854637822 /nfs/dbraw/zinc/63/78/22/854637822.db2.gz QGIAXWQAHNTLFF-BYNSBNAKSA-N 0 1 292.379 0.109 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnc(C)n2C)C1 ZINC001073746132 854640073 /nfs/dbraw/zinc/64/00/73/854640073.db2.gz PPKGOOHRYMEBLS-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2conc2C)C1 ZINC001073752147 854640342 /nfs/dbraw/zinc/64/03/42/854640342.db2.gz QLGBYBGKAJFLRJ-LBPRGKRZSA-N 0 1 279.340 0.990 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@@H]21 ZINC001074199305 854693801 /nfs/dbraw/zinc/69/38/01/854693801.db2.gz AEFWKKVGZWPMDK-UONOGXRCSA-N 0 1 290.367 0.440 20 30 CCEDMN C#CCN1CCO[C@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@H]21 ZINC001074193952 854694286 /nfs/dbraw/zinc/69/42/86/854694286.db2.gz FUOKGWGYXFTODL-KGLIPLIRSA-N 0 1 288.351 0.267 20 30 CCEDMN C#CCN1CCO[C@@H]2CCN(C(=O)CN3CCCC3)C[C@H]21 ZINC001074405055 854726509 /nfs/dbraw/zinc/72/65/09/854726509.db2.gz LLODSNFDMJVKBK-HUUCEWRRSA-N 0 1 291.395 0.017 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2COC(=O)N2)[C@H]1C ZINC001074633399 854759649 /nfs/dbraw/zinc/75/96/49/854759649.db2.gz FTZZLUROEZKXSA-LPEHRKFASA-N 0 1 287.747 0.426 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCCC(=O)N2)[C@H]1C ZINC001074700639 854767786 /nfs/dbraw/zinc/76/77/86/854767786.db2.gz YVCBJQHYSVPQBJ-SDDRHHMPSA-N 0 1 299.802 0.987 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2coc(OC)n2)C1 ZINC001098961910 854900518 /nfs/dbraw/zinc/90/05/18/854900518.db2.gz CHBWSKWKOFPNHQ-NHYWBVRUSA-N 0 1 289.335 0.901 20 30 CCEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC(N)=O ZINC001098993636 854903243 /nfs/dbraw/zinc/90/32/43/854903243.db2.gz PSJOIQIMEFGBNN-LBPRGKRZSA-N 0 1 279.384 0.492 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC(=O)NCC ZINC001099033535 854906973 /nfs/dbraw/zinc/90/69/73/854906973.db2.gz FXHPZLPVLMQHHE-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C(C)CN1CC(C)(C)CC[C@@H]1CNC(=O)C(N)=O ZINC001099203785 854921188 /nfs/dbraw/zinc/92/11/88/854921188.db2.gz RTTMVENYRXLPOG-LLVKDONJSA-N 0 1 267.373 0.655 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC(C)(C)C)[C@H](O)C1 ZINC001099673479 854963582 /nfs/dbraw/zinc/96/35/82/854963582.db2.gz WZMBJKZNFDHWDC-CHWSQXEVSA-N 0 1 266.385 0.997 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C(\C)C2CC2)[C@@H](O)C1 ZINC001099710050 854972208 /nfs/dbraw/zinc/97/22/08/854972208.db2.gz RTVSBPMIDQNTOQ-INXSZFJKSA-N 0 1 276.380 0.917 20 30 CCEDMN C[C@H](CCNC(=O)Cc1cnc[nH]1)Nc1ccc(C#N)nn1 ZINC001099712678 854974224 /nfs/dbraw/zinc/97/42/24/854974224.db2.gz GCXCNDZTWDEBPW-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCC2CC2)[C@@H](O)C1 ZINC001099749059 854985861 /nfs/dbraw/zinc/98/58/61/854985861.db2.gz GNQXHECBHHYPPQ-OLZOCXBDSA-N 0 1 252.358 0.914 20 30 CCEDMN C[C@H](CCNC(=O)c1cnn[nH]1)Nc1ccc(C#N)nc1 ZINC001099777132 854992984 /nfs/dbraw/zinc/99/29/84/854992984.db2.gz GGQOCMRPCSEBAU-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN CC(C)OCCN1CC[C@H](NC(=O)C#CC2CC2)[C@H](O)C1 ZINC001099826479 855003856 /nfs/dbraw/zinc/00/38/56/855003856.db2.gz LITOOPVACMRLAW-LSDHHAIUSA-N 0 1 294.395 0.376 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccon2)[C@@H](O)C1 ZINC001099915988 855030156 /nfs/dbraw/zinc/03/01/56/855030156.db2.gz HGYHYLHJLOZVAF-STQMWFEESA-N 0 1 279.340 0.345 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccon2)[C@H](O)C1 ZINC001099915985 855030198 /nfs/dbraw/zinc/03/01/98/855030198.db2.gz HGYHYLHJLOZVAF-CHWSQXEVSA-N 0 1 279.340 0.345 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(CCF)CC2)[C@@H](O)C1 ZINC001099932997 855037263 /nfs/dbraw/zinc/03/72/63/855037263.db2.gz HRTFRADKERSGHL-OLZOCXBDSA-N 0 1 282.359 0.701 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CC(NCc2ccn(C)n2)C1 ZINC001100191430 855114468 /nfs/dbraw/zinc/11/44/68/855114468.db2.gz KHCRSJRNJFNIQQ-XSRFYTQQSA-N 0 1 275.356 0.564 20 30 CCEDMN Cc1cc(CC(=O)NCC[C@@H]2CN(CC#N)C[C@H]2C)[nH]n1 ZINC001102849449 855474145 /nfs/dbraw/zinc/47/41/45/855474145.db2.gz XCUYRXUFDDSBQL-DGCLKSJQSA-N 0 1 289.383 0.858 20 30 CCEDMN Cc1nc(CC(=O)NCC[C@@H]2CN(CC#N)C[C@H]2C)n[nH]1 ZINC001102966631 855481624 /nfs/dbraw/zinc/48/16/24/855481624.db2.gz JHVAQCANGMFENQ-ZYHUDNBSSA-N 0 1 290.371 0.253 20 30 CCEDMN CC[C@H](CNC(=O)[C@H](C)C#N)Nc1ncnc2[nH]cnc21 ZINC001103029661 855491267 /nfs/dbraw/zinc/49/12/67/855491267.db2.gz XWBHHZREEYUTQT-RKDXNWHRSA-N 0 1 287.327 0.819 20 30 CCEDMN CC[C@@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)nc1 ZINC001103170385 855500785 /nfs/dbraw/zinc/50/07/85/855500785.db2.gz GPRDFYINIYKNMI-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN CC[C@@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)nc1 ZINC001103170385 855500787 /nfs/dbraw/zinc/50/07/87/855500787.db2.gz GPRDFYINIYKNMI-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@H](CNC(=O)Cc1nnc[nH]1)CNc1ccc(C#N)cn1 ZINC001104074732 855567546 /nfs/dbraw/zinc/56/75/46/855567546.db2.gz DIGSBXYJRIZQTP-JTQLQIEISA-N 0 1 299.338 0.478 20 30 CCEDMN C#CCCCC(=O)N[C@]12CCC[C@H]1CN([C@@H](C)C(N)=O)C2 ZINC001111729460 855590641 /nfs/dbraw/zinc/59/06/41/855590641.db2.gz QAYKDTJNYUACBC-XEZPLFJOSA-N 0 1 291.395 0.634 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H]1CN(C)CCN1C ZINC000692928624 855594960 /nfs/dbraw/zinc/59/49/60/855594960.db2.gz VKTXXLPHBQSDTC-CYBMUJFWSA-N 0 1 251.374 0.542 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nccs1 ZINC001115006968 855634518 /nfs/dbraw/zinc/63/45/18/855634518.db2.gz BZOZIAICNYZMQK-GDNZZTSVSA-N 0 1 291.376 0.453 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]cnc1C ZINC001115356909 855666005 /nfs/dbraw/zinc/66/60/05/855666005.db2.gz SGVVQSSRABJVSK-IMRBUKKESA-N 0 1 288.351 0.028 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@@H](C)Nc2cnc(C#N)cn2)n[nH]1 ZINC001115622702 855682425 /nfs/dbraw/zinc/68/24/25/855682425.db2.gz VWSBTQJPQBEIEI-SNVBAGLBSA-N 0 1 299.338 0.952 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCC[C@H]2CO)CC1 ZINC001116468412 855771321 /nfs/dbraw/zinc/77/13/21/855771321.db2.gz JYEWSYUSFYFGRL-AWEZNQCLSA-N 0 1 264.369 0.705 20 30 CCEDMN N#CCCNC(=O)CN[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001116727030 855820701 /nfs/dbraw/zinc/82/07/01/855820701.db2.gz AWWWLJUNFDEVER-CYBMUJFWSA-N 0 1 284.323 0.514 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCc2ncccn2)CC1 ZINC001117705984 856052402 /nfs/dbraw/zinc/05/24/02/856052402.db2.gz PLKVMFNAHYGXEP-UHFFFAOYSA-N 0 1 273.340 0.513 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cc2cncs2)CC1 ZINC001117950766 856117943 /nfs/dbraw/zinc/11/79/43/856117943.db2.gz IGOMJMKEVICYJM-UHFFFAOYSA-N 0 1 264.354 0.790 20 30 CCEDMN CN(Cc1cnc[nH]1)S(=O)(=O)CCCCC#N ZINC001118440118 856298302 /nfs/dbraw/zinc/29/83/02/856298302.db2.gz FDRHRKZDTFMCDQ-UHFFFAOYSA-N 0 1 256.331 0.865 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CCc1nc[nH]n1)C(=O)OCC ZINC001119340261 856641537 /nfs/dbraw/zinc/64/15/37/856641537.db2.gz VNXJSOZZLPKOQD-JTQLQIEISA-N 0 1 280.328 0.751 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCc1nc(CSC)n[nH]1 ZINC001119444240 856687631 /nfs/dbraw/zinc/68/76/31/856687631.db2.gz WSVJRVTXQACXEC-VIFPVBQESA-N 0 1 297.384 0.505 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCc1nnc(CSC)[nH]1 ZINC001119444240 856687633 /nfs/dbraw/zinc/68/76/33/856687633.db2.gz WSVJRVTXQACXEC-VIFPVBQESA-N 0 1 297.384 0.505 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncoc1[C@H]1CCCO1 ZINC001119596906 856768648 /nfs/dbraw/zinc/76/86/48/856768648.db2.gz ZOJVXUGONQATNF-LLVKDONJSA-N 0 1 277.324 0.821 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H]2CCC3(COC3)O2)C1 ZINC001119795956 856880087 /nfs/dbraw/zinc/88/00/87/856880087.db2.gz GAWXVOPVAPOYKQ-NEPJUHHUSA-N 0 1 252.314 0.285 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cnc[nH]c2=O)CC1 ZINC001392917567 912261630 /nfs/dbraw/zinc/26/16/30/912261630.db2.gz LOORTLMPHIOGPG-UHFFFAOYSA-N 0 1 282.731 0.787 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN(CC(=O)N(C)C)CC1 ZINC001393601822 912673487 /nfs/dbraw/zinc/67/34/87/912673487.db2.gz OUTBJLDEUZTMSG-NEPJUHHUSA-N 0 1 280.372 0.205 20 30 CCEDMN C#CCN(C(=O)CNC(=O)CC)C1CCN(CC#C)CC1 ZINC001324376975 912813492 /nfs/dbraw/zinc/81/34/92/912813492.db2.gz KQFFPIJWNJVMLI-UHFFFAOYSA-N 0 1 289.379 0.072 20 30 CCEDMN C#CCCCC(=O)NCC1(C)CCN([C@@H](C)C(N)=O)CC1 ZINC001324854410 913063862 /nfs/dbraw/zinc/06/38/62/913063862.db2.gz IONSJAXKRHNHLC-ZDUSSCGKSA-N 0 1 293.411 0.882 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2c(C)noc2C)C1 ZINC001325065378 913182047 /nfs/dbraw/zinc/18/20/47/913182047.db2.gz CLHNNCNLQYHNCK-OAHLLOKOSA-N 0 1 291.351 0.481 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CNC(=O)OC ZINC001394456830 913183392 /nfs/dbraw/zinc/18/33/92/913183392.db2.gz BRTRPPTUJRYXOP-VIFPVBQESA-N 0 1 277.752 0.531 20 30 CCEDMN CCCCC(=O)NC[C@]1(O)CCN(CC#CCOC)C1 ZINC001325070257 913186220 /nfs/dbraw/zinc/18/62/20/913186220.db2.gz WQCPYUJSBFPKJW-OAHLLOKOSA-N 0 1 282.384 0.379 20 30 CCEDMN COCC#CCN1CC[C@@](O)(CNC(=O)[C@H](C)C2CC2)C1 ZINC001325085988 913198243 /nfs/dbraw/zinc/19/82/43/913198243.db2.gz NQQRLZQYPKKERJ-CZUORRHYSA-N 0 1 294.395 0.235 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C2=CCCC2)C1 ZINC001325088034 913204241 /nfs/dbraw/zinc/20/42/41/913204241.db2.gz YKOZLRWLCJCRMO-HNNXBMFYSA-N 0 1 262.353 0.673 20 30 CCEDMN CC(C)C(=O)NC[C@@H](CO)NCc1ccc(F)c(C#N)c1 ZINC001394572220 913275620 /nfs/dbraw/zinc/27/56/20/913275620.db2.gz NVIRHIHDHWJQSL-ZDUSSCGKSA-N 0 1 293.342 0.920 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)C1=COCCC1 ZINC001394732387 913397457 /nfs/dbraw/zinc/39/74/57/913397457.db2.gz SKEXHCFWFFOLTH-GFCCVEGCSA-N 0 1 288.775 0.842 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC001325627554 913498939 /nfs/dbraw/zinc/49/89/39/913498939.db2.gz NMOINSRUTRRLEI-VXGBXAGGSA-N 0 1 279.384 0.810 20 30 CCEDMN CCN1CC[C@@H](N(C)[C@@H](C)CNC(=O)C#CC2CC2)C1=O ZINC001282384398 891417079 /nfs/dbraw/zinc/41/70/79/891417079.db2.gz KOQBBNLIUGLAIJ-GXTWGEPZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc(COC)o1 ZINC001480889079 891647335 /nfs/dbraw/zinc/64/73/35/891647335.db2.gz JEAGXRCZGQHKFI-UHFFFAOYSA-N 0 1 250.298 0.721 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccc(OC)o1 ZINC001480928348 891852128 /nfs/dbraw/zinc/85/21/28/891852128.db2.gz SHFDKBNDAKSVJW-UHFFFAOYSA-N 0 1 280.324 0.600 20 30 CCEDMN C#CCCCC(=O)NCCN(CC)Cc1n[nH]c(C)n1 ZINC001480971912 891999207 /nfs/dbraw/zinc/99/92/07/891999207.db2.gz UZRDJCUMMOITKE-UHFFFAOYSA-N 0 1 277.372 0.855 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001480995515 892030531 /nfs/dbraw/zinc/03/05/31/892030531.db2.gz SXHWPIANCIPKLJ-AWEZNQCLSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cccc(-n2cncn2)n1 ZINC001481008598 892051824 /nfs/dbraw/zinc/05/18/24/892051824.db2.gz RYERWHUHEPVCJY-UHFFFAOYSA-N 0 1 298.350 0.347 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ncccc1OC ZINC001481013565 892056881 /nfs/dbraw/zinc/05/68/81/892056881.db2.gz HZEYYXBCUVPURW-UHFFFAOYSA-N 0 1 261.325 0.775 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](CO)N[C@H]1CCN(CC)C1=O ZINC001283759386 892365130 /nfs/dbraw/zinc/36/51/30/892365130.db2.gz GXFLVWSNNJYAJC-STQMWFEESA-N 0 1 297.399 0.030 20 30 CCEDMN CN(CCNCc1cnnn1C)C(=O)C#CC(C)(C)C ZINC001481228957 892396692 /nfs/dbraw/zinc/39/66/92/892396692.db2.gz IDEVCSHTNFEAOE-UHFFFAOYSA-N 0 1 277.372 0.413 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCNCc1oc(C)nc1C ZINC001481229938 892401815 /nfs/dbraw/zinc/40/18/15/892401815.db2.gz ZZMDHACVIAALIG-LBPRGKRZSA-N 0 1 293.367 0.878 20 30 CCEDMN C=C(Cl)CN1CC[C@H]1CNC(=O)CCc1c[nH]nn1 ZINC001420862550 892466373 /nfs/dbraw/zinc/46/63/73/892466373.db2.gz AAHSJDIWQKJLII-NSHDSACASA-N 0 1 283.763 0.680 20 30 CCEDMN C=C(Cl)CN1CC[C@H]1CNC(=O)CCc1cnn[nH]1 ZINC001420862550 892466382 /nfs/dbraw/zinc/46/63/82/892466382.db2.gz AAHSJDIWQKJLII-NSHDSACASA-N 0 1 283.763 0.680 20 30 CCEDMN C#CCCCCC(=O)NCCNCC(=O)Nc1ccon1 ZINC001125951159 892545142 /nfs/dbraw/zinc/54/51/42/892545142.db2.gz JGVIHAVVBFKGRY-UHFFFAOYSA-N 0 1 292.339 0.513 20 30 CCEDMN C[C@H](NCC#N)C1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001481326391 892583217 /nfs/dbraw/zinc/58/32/17/892583217.db2.gz JKTLSKJCGRJKGQ-JTQLQIEISA-N 0 1 261.329 0.764 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)C[C@H](C)COC)CC1 ZINC001481471666 892716377 /nfs/dbraw/zinc/71/63/77/892716377.db2.gz CPQKDHNQSARFBR-HNNXBMFYSA-N 0 1 297.443 0.921 20 30 CCEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2cnnn2C)C1 ZINC001481483850 892734833 /nfs/dbraw/zinc/73/48/33/892734833.db2.gz JCRONQDYSXVPAH-LLVKDONJSA-N 0 1 297.790 0.962 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001481580999 892861470 /nfs/dbraw/zinc/86/14/70/892861470.db2.gz KLKLRLFVITVOGT-SNVBAGLBSA-N 0 1 278.356 0.894 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CCN2CCCC2=O)C1 ZINC001481660831 892975888 /nfs/dbraw/zinc/97/58/88/892975888.db2.gz IJVTVRVLRNPRPP-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C[C@H](OC1CCCCC1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC001326057832 913723943 /nfs/dbraw/zinc/72/39/43/913723943.db2.gz MEVSUUXDEJXEOR-GMXVVIOVSA-N 0 1 265.357 0.952 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@H]1CCN(CCn2cccn2)C1 ZINC001481718442 893081868 /nfs/dbraw/zinc/08/18/68/893081868.db2.gz BIVDUJVYMNASBS-STQMWFEESA-N 0 1 275.356 0.575 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@H]1CCN(CCOCC(F)F)C1 ZINC001481719645 893086270 /nfs/dbraw/zinc/08/62/70/893086270.db2.gz IXNKXMNWMSYYDA-QWRGUYRKSA-N 0 1 289.326 0.960 20 30 CCEDMN COCC#CCN1CC[C@H](N(C)C(=O)Cc2ccc[nH]2)C1 ZINC001481751640 893112580 /nfs/dbraw/zinc/11/25/80/893112580.db2.gz MKIBSFAXUZDHOS-HNNXBMFYSA-N 0 1 289.379 0.740 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H](C)C#N)NCc1ccc(OC)nn1 ZINC001481796065 893164799 /nfs/dbraw/zinc/16/47/99/893164799.db2.gz BTJKJRKGPAYFEP-WDEREUQCSA-N 0 1 291.355 0.629 20 30 CCEDMN C[C@@H](CNCC#N)CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001482135208 893421260 /nfs/dbraw/zinc/42/12/60/893421260.db2.gz FWYIISSRCRICCH-UWVGGRQHSA-N 0 1 276.344 0.090 20 30 CCEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)CC(N)=O)C1 ZINC001482186044 893477307 /nfs/dbraw/zinc/47/73/07/893477307.db2.gz XNXHTFBSIBRGQW-RYUDHWBXSA-N 0 1 283.372 0.034 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1cccn1C ZINC001284481906 893571284 /nfs/dbraw/zinc/57/12/84/893571284.db2.gz JQPNERJNBCYVDF-CYBMUJFWSA-N 0 1 277.368 0.413 20 30 CCEDMN C=CCOCC(=O)N(C)[C@@H](C)CNCc1nccn1C ZINC001482266433 893709740 /nfs/dbraw/zinc/70/97/40/893709740.db2.gz XGMZAVYKUTTWPC-LBPRGKRZSA-N 0 1 280.372 0.559 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](C)Cc1c[nH]cn1 ZINC001482633329 894432498 /nfs/dbraw/zinc/43/24/98/894432498.db2.gz DPLOHSNDZJIASS-LBPRGKRZSA-N 0 1 262.357 0.612 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)C1(C)CCCC1 ZINC001483040596 894825576 /nfs/dbraw/zinc/82/55/76/894825576.db2.gz GMGGVPWQPWCSPB-VOTSOKGWSA-N 0 1 291.395 0.578 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](NC(=O)[C@H](C)C#N)[C@H]2C)n[nH]1 ZINC001396609019 913916710 /nfs/dbraw/zinc/91/67/10/913916710.db2.gz SOLRJPGEZZRRKP-IEBDPFPHSA-N 0 1 289.339 0.597 20 30 CCEDMN C[C@H](CNCC#Cc1ccc(Cl)cc1)NC(=O)C(N)=O ZINC001483163229 895045515 /nfs/dbraw/zinc/04/55/15/895045515.db2.gz DHDCOXMUCBTTBR-SNVBAGLBSA-N 0 1 293.754 0.271 20 30 CCEDMN C#CC1CCN(C(=O)C(=O)N[C@H]2CCN(C3CC3)C2)CC1 ZINC001326397753 913934179 /nfs/dbraw/zinc/93/41/79/913934179.db2.gz PIFFRKALFFQPCN-ZDUSSCGKSA-N 0 1 289.379 0.211 20 30 CCEDMN C=C(Cl)CN(C)[C@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001409659009 895320054 /nfs/dbraw/zinc/32/00/54/895320054.db2.gz PFIMLHHDTVQPCI-SECBINFHSA-N 0 1 271.752 0.536 20 30 CCEDMN C=C(C)CCN(C)C[C@H](C)NC(=O)[C@@H](C)S(C)(=O)=O ZINC001483351601 895488118 /nfs/dbraw/zinc/48/81/18/895488118.db2.gz ALFZQECROSZORK-NWDGAFQWSA-N 0 1 290.429 0.822 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@]23CCC[C@H]2OCC3)[C@@H](O)C1 ZINC001083634598 895492600 /nfs/dbraw/zinc/49/26/00/895492600.db2.gz YOQFHHFYQAJNRV-CTASWTNQSA-N 0 1 292.379 0.130 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cnc(C)n1C ZINC001483377379 895517784 /nfs/dbraw/zinc/51/77/84/895517784.db2.gz JCICVLSHCDPAHP-NSHDSACASA-N 0 1 262.357 0.802 20 30 CCEDMN CC#CC[N@@H+](C)C[C@H](C)NC(=O)[C@@H](CC(C)C)NC(C)=O ZINC001483408220 895545067 /nfs/dbraw/zinc/54/50/67/895545067.db2.gz HBBNGIDZCKGDGP-DZGCQCFKSA-N 0 1 295.427 0.997 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](CC(C)C)NC(C)=O ZINC001483408220 895545073 /nfs/dbraw/zinc/54/50/73/895545073.db2.gz HBBNGIDZCKGDGP-DZGCQCFKSA-N 0 1 295.427 0.997 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H](CNCc1ccn(C)n1)C1CC1 ZINC001483550970 895638641 /nfs/dbraw/zinc/63/86/41/895638641.db2.gz SNGMQYJWRBMCMX-GXFFZTMASA-N 0 1 275.356 0.564 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@]2(CCN(C(C)=O)C2)C1 ZINC001483828378 896066134 /nfs/dbraw/zinc/06/61/34/896066134.db2.gz QOUWQMOJTJULBP-HNNXBMFYSA-N 0 1 277.368 0.070 20 30 CCEDMN C#CCN(C(=O)CSCC#N)C1CCN(CC#C)CC1 ZINC001483981261 896140759 /nfs/dbraw/zinc/14/07/59/896140759.db2.gz VZYJWMOWNPNTSN-UHFFFAOYSA-N 0 1 289.404 0.803 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCC1(C)CCN(CC#N)CC1 ZINC001484132292 896206090 /nfs/dbraw/zinc/20/60/90/896206090.db2.gz NRYLYMVOMCMLGB-ZDUSSCGKSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H](C)c2ccccc2)C1 ZINC001484249540 896283360 /nfs/dbraw/zinc/28/33/60/896283360.db2.gz XRDPARPPRGVNSO-RHSMWYFYSA-N 0 1 286.375 0.976 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)C[C@H](C)OC)C1 ZINC001484265364 896291723 /nfs/dbraw/zinc/29/17/23/896291723.db2.gz ZJCSOHIYLBFRER-STQMWFEESA-N 0 1 284.400 0.929 20 30 CCEDMN N#CCNC[C@H]1CN(C(=O)c2cccc3n[nH]cc32)CCO1 ZINC001484688460 896543421 /nfs/dbraw/zinc/54/34/21/896543421.db2.gz KOKHUVUITRCDTE-NSHDSACASA-N 0 1 299.334 0.517 20 30 CCEDMN CCO[C@H](C)C(=O)N(C)CCC[N@@H+](C)CC#CCOC ZINC001484733718 896573739 /nfs/dbraw/zinc/57/37/39/896573739.db2.gz NLBGFMJHIZCXSH-CQSZACIVSA-N 0 1 284.400 0.842 20 30 CCEDMN CC#CC[N@H+](C)CCCN(C)C(=O)[C@@]1(CC)CCNC1=O ZINC001484745665 896576112 /nfs/dbraw/zinc/57/61/12/896576112.db2.gz OJUWXHPNDYVDJB-INIZCTEOSA-N 0 1 293.411 0.706 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)CCCN(C)CC(=O)NC(N)=O ZINC001484752742 896590295 /nfs/dbraw/zinc/59/02/95/896590295.db2.gz GTDSROYINCZSOR-UHFFFAOYSA-N 0 1 298.387 0.174 20 30 CCEDMN C=C(Cl)CN[C@@]1(CO)CCCN(C(=O)c2nc[nH]n2)C1 ZINC001484851823 896630387 /nfs/dbraw/zinc/63/03/87/896630387.db2.gz VIWJEHLWNCTYFI-LBPRGKRZSA-N 0 1 299.762 0.114 20 30 CCEDMN C=C(Cl)CN[C@]1(CO)CCCN(C(=O)c2nc[nH]n2)C1 ZINC001484851822 896631639 /nfs/dbraw/zinc/63/16/39/896631639.db2.gz VIWJEHLWNCTYFI-GFCCVEGCSA-N 0 1 299.762 0.114 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](NC(=O)C(F)F)C[C@@H]1C ZINC001484967078 896688211 /nfs/dbraw/zinc/68/82/11/896688211.db2.gz DOUXMJPLMNQXTC-VHSXEESVSA-N 0 1 289.326 0.523 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)C[C@@H](C)C2CC2)CC1 ZINC001485027939 896725274 /nfs/dbraw/zinc/72/52/74/896725274.db2.gz ZUNWMVQZKZTNFD-CYBMUJFWSA-N 0 1 278.396 0.999 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H]1CN(C)[C@H]1CCNC1=O ZINC001485050351 896737543 /nfs/dbraw/zinc/73/75/43/896737543.db2.gz MPFGHYYBRFCRHO-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C1(C(=O)NC)CC1 ZINC001485198540 896845257 /nfs/dbraw/zinc/84/52/57/896845257.db2.gz KKEGQNIZPMTBEC-UWVGGRQHSA-N 0 1 287.791 0.748 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C(=O)NCC1CC1 ZINC001485208583 896866235 /nfs/dbraw/zinc/86/62/35/896866235.db2.gz GSXDSUKVVRPUBY-NXEZZACHSA-N 0 1 287.791 0.748 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cc(OC)no1 ZINC001485294287 896924888 /nfs/dbraw/zinc/92/48/88/896924888.db2.gz VMJNBUVCEMYOHY-SECBINFHSA-N 0 1 251.286 0.367 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnc(Cl)n1C ZINC001485330290 896953235 /nfs/dbraw/zinc/95/32/35/896953235.db2.gz FTTVBKHHBJXJND-VIFPVBQESA-N 0 1 268.748 0.757 20 30 CCEDMN CCn1cnc(C(=O)NC[C@@H](C)N(C)CC#CCOC)c1 ZINC001485378781 897008062 /nfs/dbraw/zinc/00/80/62/897008062.db2.gz ZHGFJJOEMNMZDX-CYBMUJFWSA-N 0 1 292.383 0.603 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H]1CCCN1C(C)=O ZINC001485391711 897016075 /nfs/dbraw/zinc/01/60/75/897016075.db2.gz YYSRGICSSBHGHD-DGCLKSJQSA-N 0 1 265.357 0.067 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2COCCN2CCCC)C1 ZINC001031874998 897090913 /nfs/dbraw/zinc/09/09/13/897090913.db2.gz CJAYXPFHOBJZSD-HNNXBMFYSA-N 0 1 295.427 0.721 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1[C@H]2CN([C@@H](C)C(N)=O)C[C@H]21 ZINC001485595299 897137091 /nfs/dbraw/zinc/13/70/91/897137091.db2.gz VAHMFYDEBHQCRG-FIQHERPVSA-N 0 1 265.357 0.120 20 30 CCEDMN CC#CC[N@@H+](C)CCOCCNC(=O)CC1CC1 ZINC001485769885 897235550 /nfs/dbraw/zinc/23/55/50/897235550.db2.gz IPUIURFVHYPWQY-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)CC1CC1 ZINC001485769885 897235567 /nfs/dbraw/zinc/23/55/67/897235567.db2.gz IPUIURFVHYPWQY-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN CC[C@@H](C#N)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001326763979 914150237 /nfs/dbraw/zinc/15/02/37/914150237.db2.gz ZWSQRTMMLAASJC-LBPRGKRZSA-N 0 1 266.389 0.678 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C1CN(C(C)=O)C1 ZINC001032375424 897361090 /nfs/dbraw/zinc/36/10/90/897361090.db2.gz IQFFKDDEWOVWPA-KBPBESRZSA-N 0 1 277.368 0.326 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](F)c2ccccc2)C1 ZINC001077750971 897409299 /nfs/dbraw/zinc/40/92/99/897409299.db2.gz UIDRFPOZMBNPMB-RBSFLKMASA-N 0 1 290.338 0.882 20 30 CCEDMN CO[C@H](C)CN1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001032397211 897440733 /nfs/dbraw/zinc/44/07/33/897440733.db2.gz OSFGFFQLKPDWBM-XBFCOCLRSA-N 0 1 262.353 0.720 20 30 CCEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)CC(=O)NC)C1 ZINC001107892245 897449184 /nfs/dbraw/zinc/44/91/84/897449184.db2.gz VTOGKCQSULUUIV-OAHLLOKOSA-N 0 1 297.399 0.296 20 30 CCEDMN Cc1nc(NC[C@@H](C)NC(=O)Cc2nnc[nH]2)ccc1C#N ZINC001107921116 897507472 /nfs/dbraw/zinc/50/74/72/897507472.db2.gz XDJKVANRZYXMNL-SECBINFHSA-N 0 1 299.338 0.539 20 30 CCEDMN C=CCn1cccc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2C[C@@H](C)O ZINC001032447655 897577552 /nfs/dbraw/zinc/57/75/52/897577552.db2.gz RVPITFPGDBNANT-RDBSUJKOSA-N 0 1 289.379 0.954 20 30 CCEDMN C=CCn1cccc1C(=O)N1C[C@@H]2C[C@H]1CN2C[C@@H](C)O ZINC001032447655 897577569 /nfs/dbraw/zinc/57/75/69/897577569.db2.gz RVPITFPGDBNANT-RDBSUJKOSA-N 0 1 289.379 0.954 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCCN1C ZINC001032478164 897623275 /nfs/dbraw/zinc/62/32/75/897623275.db2.gz ZXAMKCPWVBKOAT-MELADBBJSA-N 0 1 261.369 0.389 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)N1C[C@@H]3C[C@H]1CN3CC#N)C2 ZINC001032485509 897641094 /nfs/dbraw/zinc/64/10/94/897641094.db2.gz SAAXFUXSPGNJAM-AVGNSLFASA-N 0 1 299.378 0.632 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001032529193 897710213 /nfs/dbraw/zinc/71/02/13/897710213.db2.gz VJEKICHMXNBWKZ-OLHNTFIZSA-N 0 1 286.375 0.718 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC001077814145 897729821 /nfs/dbraw/zinc/72/98/21/897729821.db2.gz VVZRGLHACQDHRO-REWJHTLYSA-N 0 1 264.369 0.770 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)CCC(=O)NC1 ZINC001032579917 897768785 /nfs/dbraw/zinc/76/87/85/897768785.db2.gz FEEAXRFLDKUMDR-XEZPLFJOSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC[C@@H](C)O1 ZINC001032640865 897885434 /nfs/dbraw/zinc/88/54/34/897885434.db2.gz SAGASJDRYLVMEF-ZOBORPQBSA-N 0 1 262.353 0.862 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2cc[nH]c12 ZINC001032752428 898086212 /nfs/dbraw/zinc/08/62/12/898086212.db2.gz LHCXQJHNUUWXQE-RYUDHWBXSA-N 0 1 283.335 0.584 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cncn1C ZINC001032759694 898120585 /nfs/dbraw/zinc/12/05/85/898120585.db2.gz ZIHZXAXEJNJSSO-GJZGRUSLSA-N 0 1 286.379 0.661 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cn1ccccc1=O ZINC001032774525 898162023 /nfs/dbraw/zinc/16/20/23/898162023.db2.gz IQCGYJJMPGKZMW-KBPBESRZSA-N 0 1 285.347 0.157 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001078290636 898335126 /nfs/dbraw/zinc/33/51/26/898335126.db2.gz NSSKTLWZZHXRSB-NWANDNLSSA-N 0 1 294.395 0.234 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H]3C[C@H]2CN3CC#N)CC1 ZINC001032802019 898381444 /nfs/dbraw/zinc/38/14/44/898381444.db2.gz PJQBUPRIIQNVNE-GJZGRUSLSA-N 0 1 286.379 0.140 20 30 CCEDMN C=CCCC(=O)NC[C@H](CO)NCc1cccnc1 ZINC001485980719 898563160 /nfs/dbraw/zinc/56/31/60/898563160.db2.gz YEQQLWICOPAFBZ-CYBMUJFWSA-N 0 1 263.341 0.615 20 30 CCEDMN C=CCCC(=O)NC[C@@H](CO)NCc1cccnc1 ZINC001485980720 898563381 /nfs/dbraw/zinc/56/33/81/898563381.db2.gz YEQQLWICOPAFBZ-ZDUSSCGKSA-N 0 1 263.341 0.615 20 30 CCEDMN Cc1nsc(C)c1CN[C@H](CO)CNC(=O)[C@H](C)C#N ZINC001485982312 898566150 /nfs/dbraw/zinc/56/61/50/898566150.db2.gz LAHYMQZXPWEPAW-KCJUWKMLSA-N 0 1 296.396 0.486 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C[C@@H](C)CC(C)C ZINC001486049115 898615614 /nfs/dbraw/zinc/61/56/14/898615614.db2.gz BXENJHQQJFDVDQ-STQMWFEESA-N 0 1 254.374 0.759 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C(C)(C)C1CCCC1 ZINC001486003619 898598396 /nfs/dbraw/zinc/59/83/96/898598396.db2.gz GKTTWKSKULRLLM-ZDUSSCGKSA-N 0 1 266.385 0.903 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc2ccn(C)c2c1 ZINC001486052069 898639610 /nfs/dbraw/zinc/63/96/10/898639610.db2.gz XSYQFBFEFUDQDD-HNNXBMFYSA-N 0 1 299.374 0.882 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@]12CCC[C@H]1N(CC(=O)N(C)C)CC2 ZINC001486136924 898680522 /nfs/dbraw/zinc/68/05/22/898680522.db2.gz DQQLYGZTWYHOPO-LALPHHSUSA-N 0 1 292.383 0.347 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001494125364 898688492 /nfs/dbraw/zinc/68/84/92/898688492.db2.gz OCXKORKJYKVDLH-LLVKDONJSA-N 0 1 276.340 0.451 20 30 CCEDMN C[C@@H](N[C@@H]1C[C@H](CNC(=O)[C@@H](C)C#N)C1)c1nncn1C ZINC001397568618 914276154 /nfs/dbraw/zinc/27/61/54/914276154.db2.gz LFQJHPRGYADQAF-WHOHXGKFSA-N 0 1 290.371 0.520 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CN(C)C(=O)C(C)C ZINC001486261635 898742736 /nfs/dbraw/zinc/74/27/36/898742736.db2.gz JROMNAMVEVDGBI-SNVBAGLBSA-N 0 1 293.205 0.960 20 30 CCEDMN C#CCN(C)CCN(C(=O)Cc1cnc[nH]1)C(C)C ZINC001486376569 898844001 /nfs/dbraw/zinc/84/40/01/898844001.db2.gz QYGBKXGATXBZGM-UHFFFAOYSA-N 0 1 262.357 0.754 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1C[C@@H](N[C@@H](C)c2nncn2C)C1 ZINC001397624388 914306727 /nfs/dbraw/zinc/30/67/27/914306727.db2.gz LFQJHPRGYADQAF-WRWGMCAJSA-N 0 1 290.371 0.520 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001327079458 914357787 /nfs/dbraw/zinc/35/77/87/914357787.db2.gz IGRSKONVKBBPPB-ZJUUUORDSA-N 0 1 295.343 0.392 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@H](C)CN(C)C(=O)c1ccn[nH]1 ZINC001487715940 900107942 /nfs/dbraw/zinc/10/79/42/900107942.db2.gz IPQDKMBTSFCKGF-MNOVXSKESA-N 0 1 294.355 0.578 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H](C)NC(=O)Cc1cnc[nH]1 ZINC001487732444 900117638 /nfs/dbraw/zinc/11/76/38/900117638.db2.gz KGJDLCNIHKNNNA-LLVKDONJSA-N 0 1 294.355 0.118 20 30 CCEDMN C=CCCC(=O)N[C@@H]1C[C@H](C)N(C(=O)c2[nH]nnc2C)C1 ZINC001488203022 900244271 /nfs/dbraw/zinc/24/42/71/900244271.db2.gz OFZOMVUJJFVZLT-GXSJLCMTSA-N 0 1 291.355 0.799 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@]1(C)CCC(=O)N1 ZINC001488655735 900334515 /nfs/dbraw/zinc/33/45/15/900334515.db2.gz AXKBBUFHGMHRRK-DOMZBBRYSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCCC(=O)NCCC1(CNC(=O)c2cnn[nH]2)CC1 ZINC001489054047 900430473 /nfs/dbraw/zinc/43/04/73/900430473.db2.gz YOFRLSQAALAVST-UHFFFAOYSA-N 0 1 291.355 0.787 20 30 CCEDMN Cc1nc(COC(=O)CC2(C#N)CCOCC2)n[nH]1 ZINC001327255662 914443492 /nfs/dbraw/zinc/44/34/92/914443492.db2.gz SNHXGBZWFYOIGE-UHFFFAOYSA-N 0 1 264.285 0.867 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H]1CCN1C(=O)c1ccn[nH]1 ZINC001489780576 900520070 /nfs/dbraw/zinc/52/00/70/900520070.db2.gz DTVFBVNIINECFU-NXEZZACHSA-N 0 1 275.312 0.242 20 30 CCEDMN C=CCCCC(=O)NC[C@H]1C[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001489844071 900525033 /nfs/dbraw/zinc/52/50/33/900525033.db2.gz IWLFRUOVKDCWNZ-PHIMTYICSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCCC(=O)NC[C@H]1C[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001489844071 900525045 /nfs/dbraw/zinc/52/50/45/900525045.db2.gz IWLFRUOVKDCWNZ-PHIMTYICSA-N 0 1 291.355 0.786 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H](NCC#N)C2CC2)c1C ZINC001490645249 900673415 /nfs/dbraw/zinc/67/34/15/900673415.db2.gz OXQRHAVBUJWDJV-NSHDSACASA-N 0 1 261.329 0.648 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC001411994032 901382442 /nfs/dbraw/zinc/38/24/42/901382442.db2.gz YUXORFQSBQKTIH-SSDOTTSWSA-N 0 1 273.300 0.584 20 30 CCEDMN N#CC1(C(=O)N2CCC[C@@H](c3nc[nH]n3)C2)CCOCC1 ZINC001412540039 901807245 /nfs/dbraw/zinc/80/72/45/901807245.db2.gz BUWPPGPQCGHOHX-LLVKDONJSA-N 0 1 289.339 0.831 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NC1(C#N)CCOCC1 ZINC001326781324 901892727 /nfs/dbraw/zinc/89/27/27/901892727.db2.gz MLMOTQNBVHKAAQ-SNVBAGLBSA-N 0 1 262.313 0.777 20 30 CCEDMN COC(=O)[C@H]1Cc2ccc(O)cc2CN1C(=O)C(C)C#N ZINC001412798522 902132786 /nfs/dbraw/zinc/13/27/86/902132786.db2.gz NOBQVPGHFVXJRI-TVQRCGJNSA-N 0 1 288.303 0.978 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(C[C@H](C)O)C2)nc1 ZINC001009271304 902599588 /nfs/dbraw/zinc/59/95/88/902599588.db2.gz VUTDLYVVGJZXED-GXTWGEPZSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)[C@@H]1CCCN(CC(N)=O)C1 ZINC001491287756 903490591 /nfs/dbraw/zinc/49/05/91/903490591.db2.gz IEJBHPXIHGUEKV-ZIAGYGMSSA-N 0 1 293.411 0.882 20 30 CCEDMN C=CC[N@H+]1C[C@@H](NC(=O)[C@@H](C)Cc2c[nH]cn2)[C@H](OC)C1 ZINC001213559628 903837214 /nfs/dbraw/zinc/83/72/14/903837214.db2.gz NOZSHDFAZGVDJZ-IACUBPJLSA-N 0 1 292.383 0.590 20 30 CCEDMN CN(CCCN(C)[C@H]1CCNC1=O)C(=O)C#CC1CC1 ZINC001280815269 904158986 /nfs/dbraw/zinc/15/89/86/904158986.db2.gz ZVODIZDGAIQQSW-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCc2cncnc2)CC1 ZINC001327825491 914790243 /nfs/dbraw/zinc/79/02/43/914790243.db2.gz AVCYYKBLOBTMKU-UHFFFAOYSA-N 0 1 273.340 0.513 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@H]([NH2+]Cc2nc(=O)n(C)[n-]2)C1 ZINC001327826071 914790632 /nfs/dbraw/zinc/79/06/32/914790632.db2.gz BMBFKBLVIYFOHE-WDEREUQCSA-N 0 1 293.371 0.011 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@H](C)NC(=O)c1ncn[nH]1 ZINC001281440572 904285182 /nfs/dbraw/zinc/28/51/82/904285182.db2.gz ZLKYAQRJAJKZJQ-UWVGGRQHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@H](C)NC(=O)c1nc[nH]n1 ZINC001281440572 904285192 /nfs/dbraw/zinc/28/51/92/904285192.db2.gz ZLKYAQRJAJKZJQ-UWVGGRQHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cscn2)CC1 ZINC001281800129 904348086 /nfs/dbraw/zinc/34/80/86/904348086.db2.gz USZNGFQQHMWUFX-UHFFFAOYSA-N 0 1 281.381 0.886 20 30 CCEDMN C=CCCC(=O)NC1CC(CN[C@H](C)c2nnnn2C)C1 ZINC001281991642 904384599 /nfs/dbraw/zinc/38/45/99/904384599.db2.gz MCNWXSBMPSCGGJ-VOMCLLRMSA-N 0 1 292.387 0.722 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1cscn1 ZINC001282364558 904456776 /nfs/dbraw/zinc/45/67/76/904456776.db2.gz NZKQIWKWDITCTB-NSHDSACASA-N 0 1 281.381 0.843 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(C(N)=O)s1 ZINC001282470236 904486119 /nfs/dbraw/zinc/48/61/19/904486119.db2.gz WVKXNFICSMJSMQ-SNVBAGLBSA-N 0 1 293.392 0.920 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)C(C)(C)N1CCOCC1 ZINC001282466414 904486582 /nfs/dbraw/zinc/48/65/82/904486582.db2.gz BRJXENMXMCUEPJ-AWEZNQCLSA-N 0 1 295.427 0.557 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1(CCO)CN(CC(C)C)C1 ZINC001282510916 904499248 /nfs/dbraw/zinc/49/92/48/904499248.db2.gz IXVCSZJCORFMKM-CQSZACIVSA-N 0 1 284.400 0.523 20 30 CCEDMN C=CCN1C[C@H](NC(=O)CNC(=O)OC)C(C)(C)C1 ZINC001282793595 904545017 /nfs/dbraw/zinc/54/50/17/904545017.db2.gz ZDEHFYKKCRMGOG-JTQLQIEISA-N 0 1 269.345 0.355 20 30 CCEDMN C#CC[C@@H]1CCCN(CCS(=O)(=O)CCC(N)=O)C1 ZINC001327865814 914822071 /nfs/dbraw/zinc/82/20/71/914822071.db2.gz SOMCIUFFMSCFFY-GFCCVEGCSA-N 0 1 286.397 0.012 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCNC(=O)Cc1nnc[nH]1 ZINC001283035657 904678186 /nfs/dbraw/zinc/67/81/86/904678186.db2.gz AWWQEWHKSPRXDN-UHFFFAOYSA-N 0 1 279.344 0.182 20 30 CCEDMN C[C@@H](CCCNCc1cn(C)nn1)NC(=O)C#CC1CC1 ZINC001283173002 904729706 /nfs/dbraw/zinc/72/97/06/904729706.db2.gz HRHSCELDBNKNJP-LBPRGKRZSA-N 0 1 289.383 0.603 20 30 CCEDMN C#CC[N@@H+](C)CCOCCNC(=O)c1c[nH]cc1C ZINC001283422614 904843212 /nfs/dbraw/zinc/84/32/12/904843212.db2.gz PJLNSDMOHOTGFO-UHFFFAOYSA-N 0 1 263.341 0.635 20 30 CCEDMN CC#CC[N@@H+](C)CCOCCNC(=O)Cn1cc[nH+]c1C ZINC001283425018 904844769 /nfs/dbraw/zinc/84/47/69/904844769.db2.gz MNURHWIIKPIOTL-UHFFFAOYSA-N 0 1 292.383 0.279 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CN(C(=O)[C@@H]2CCCCN2C)C1 ZINC001398813309 914851764 /nfs/dbraw/zinc/85/17/64/914851764.db2.gz KYEJMFJAVDVIII-AAEUAGOBSA-N 0 1 292.383 0.205 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(CCNC(=O)C1CC1)C1CC1 ZINC001377521211 904966740 /nfs/dbraw/zinc/96/67/40/904966740.db2.gz ZNFQJWMSZOQNTG-NSHDSACASA-N 0 1 292.383 0.253 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cccs1 ZINC001283733371 904977182 /nfs/dbraw/zinc/97/71/82/904977182.db2.gz MAGLVWWEVFEBAD-SNVBAGLBSA-N 0 1 252.339 0.452 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cccs1 ZINC001283733370 904979147 /nfs/dbraw/zinc/97/91/47/904979147.db2.gz MAGLVWWEVFEBAD-JTQLQIEISA-N 0 1 252.339 0.452 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CC(C)(C)C1CC1 ZINC001283758017 904996574 /nfs/dbraw/zinc/99/65/74/904996574.db2.gz ZAYSHBKVYWMJCX-GFCCVEGCSA-N 0 1 252.358 0.513 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cccc(C(C)C)n1 ZINC001283771532 905010066 /nfs/dbraw/zinc/01/00/66/905010066.db2.gz QTFFTLVXWDOUQL-CYBMUJFWSA-N 0 1 289.379 0.909 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H](C)Cc1ccco1 ZINC001283812322 905026450 /nfs/dbraw/zinc/02/64/50/905026450.db2.gz GNZNGVUREKUPKI-QWHCGFSZSA-N 0 1 278.352 0.548 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1C[C@H]1c1ccccc1 ZINC001283826615 905040438 /nfs/dbraw/zinc/04/04/38/905040438.db2.gz XPEPPMWBIRQOAT-QLFBSQMISA-N 0 1 272.348 0.490 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H](C)CNC(=O)Cc2nnc[nH]2)C1 ZINC001284335461 905252591 /nfs/dbraw/zinc/25/25/91/905252591.db2.gz JFMOBAPODHDWGX-JTQLQIEISA-N 0 1 291.355 0.324 20 30 CCEDMN C=CCCC(=O)N(C)CCNC(=O)Cc1n[nH]c(C)n1 ZINC001284521356 905355360 /nfs/dbraw/zinc/35/53/60/905355360.db2.gz UWVOTRIEEOCJBY-UHFFFAOYSA-N 0 1 279.344 0.196 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@H]1CCN(C)C(=O)C1)C(C)C ZINC001284537687 905366116 /nfs/dbraw/zinc/36/61/16/905366116.db2.gz QDYVJXQNXXXSBU-AWEZNQCLSA-N 0 1 293.411 0.657 20 30 CCEDMN C=CCOCC(=O)N[C@@H](CC)CNC(=O)c1[nH]ncc1F ZINC001285093509 905547778 /nfs/dbraw/zinc/54/77/78/905547778.db2.gz FMPMNPTUXOMJPN-VIFPVBQESA-N 0 1 298.318 0.376 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CC[C@H](NCc2cnon2)CC1 ZINC001378437945 905622544 /nfs/dbraw/zinc/62/25/44/905622544.db2.gz UXOJTICZJNFMCS-DCAQKATOSA-N 0 1 277.328 0.746 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](O)CNC(=O)CN1CCCC1 ZINC001285439010 905630492 /nfs/dbraw/zinc/63/04/92/905630492.db2.gz UYUYDSCKITUAMR-ZDUSSCGKSA-N 0 1 297.399 0.032 20 30 CCEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NC(=O)Cc1nnc[nH]1 ZINC001287633667 905941384 /nfs/dbraw/zinc/94/13/84/905941384.db2.gz GGTGZHJQVSAECO-LLVKDONJSA-N 0 1 293.371 0.667 20 30 CCEDMN N#CCSCC(=O)N1CCC[C@H]1c1nnc[nH]1 ZINC001333408672 906009573 /nfs/dbraw/zinc/00/95/73/906009573.db2.gz AAZHAYHEIIQFCW-QMMMGPOBSA-N 0 1 251.315 0.725 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CCC(F)F ZINC001379229692 906078456 /nfs/dbraw/zinc/07/84/56/906078456.db2.gz IOOYSHNJMUUIRY-QMMMGPOBSA-N 0 1 270.707 0.851 20 30 CCEDMN C=C(C)CCC(=O)NCCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001292718369 906330874 /nfs/dbraw/zinc/33/08/74/906330874.db2.gz PBWJMRBYRYTGCJ-NXEZZACHSA-N 0 1 269.301 0.240 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H]1CCC(=O)N1 ZINC001379569771 906354490 /nfs/dbraw/zinc/35/44/90/906354490.db2.gz ZCDIRSHDBDSMCY-UWVGGRQHSA-N 0 1 273.764 0.502 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H](O)C2CCC2)CC1 ZINC001292880291 906362443 /nfs/dbraw/zinc/36/24/43/906362443.db2.gz GOUIGDKZBBPGRS-LLVKDONJSA-N 0 1 251.330 0.252 20 30 CCEDMN Cc1cc(CNC[C@H](NC(=O)[C@H](C)C#N)C2CC2)ncn1 ZINC001379845318 906503324 /nfs/dbraw/zinc/50/33/24/906503324.db2.gz BMLIRVZWWKMYIP-YGRLFVJLSA-N 0 1 287.367 0.929 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001295207012 906697098 /nfs/dbraw/zinc/69/70/98/906697098.db2.gz MTWILNBIUYGRLJ-AOOOYVTPSA-N 0 1 291.355 0.784 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@H]1C[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001295207012 906697105 /nfs/dbraw/zinc/69/71/05/906697105.db2.gz MTWILNBIUYGRLJ-AOOOYVTPSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CNC(C)=O)C[C@H]1C ZINC001381113190 907074516 /nfs/dbraw/zinc/07/45/16/907074516.db2.gz ZFXRLCXENPZBJI-KCJUWKMLSA-N 0 1 273.764 0.312 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(C(=O)NC)CC2)CC1 ZINC001337778938 921292429 /nfs/dbraw/zinc/29/24/29/921292429.db2.gz IBEAJTLYOXZIBU-UHFFFAOYSA-N 0 1 291.395 0.316 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CS(=O)(=O)CC ZINC001381447874 907206829 /nfs/dbraw/zinc/20/68/29/907206829.db2.gz HWUQYLDZJSCWFI-UWVGGRQHSA-N 0 1 296.820 0.656 20 30 CCEDMN C=CCCC(=O)NC/C=C\CNC(=O)Cc1n[nH]c(C)n1 ZINC001298301251 907214108 /nfs/dbraw/zinc/21/41/08/907214108.db2.gz OPZWULYLKXZRAY-WAYWQWQTSA-N 0 1 291.355 0.410 20 30 CCEDMN N#Cc1cccc(CN[C@@H](CO)CNC(=O)C(F)F)c1 ZINC001382168214 907586154 /nfs/dbraw/zinc/58/61/54/907586154.db2.gz OQGVHPDXACJUJP-LLVKDONJSA-N 0 1 283.278 0.390 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCCN(C(=O)C(C)C)C1 ZINC001491908914 907629794 /nfs/dbraw/zinc/62/97/94/907629794.db2.gz JTNCFQSYAAIGAZ-UONOGXRCSA-N 0 1 293.411 0.703 20 30 CCEDMN C=C(C)CCC(=O)NCC[C@@H](C)NCc1nnnn1C ZINC001492029528 907696437 /nfs/dbraw/zinc/69/64/37/907696437.db2.gz HLLBTNBLDWMWSN-LLVKDONJSA-N 0 1 280.376 0.551 20 30 CCEDMN C#CC[N@H+](C)CCNC(=O)COCc1ccc(OC)nc1 ZINC001492302662 907836533 /nfs/dbraw/zinc/83/65/33/907836533.db2.gz CKOMSPTWNMFQHT-UHFFFAOYSA-N 0 1 291.351 0.288 20 30 CCEDMN C#CCN(C)CCNC(=O)COCc1ccc(OC)nc1 ZINC001492302662 907836546 /nfs/dbraw/zinc/83/65/46/907836546.db2.gz CKOMSPTWNMFQHT-UHFFFAOYSA-N 0 1 291.351 0.288 20 30 CCEDMN CC#CC[N@@H+](C)CCNC(=O)[C@@H](CC1CCC1)NC(C)=O ZINC001492387722 907888966 /nfs/dbraw/zinc/88/89/66/907888966.db2.gz YGBWNICKBXLQNC-OAHLLOKOSA-N 0 1 293.411 0.753 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H](CC1CCC1)NC(C)=O ZINC001492387722 907888969 /nfs/dbraw/zinc/88/89/69/907888969.db2.gz YGBWNICKBXLQNC-OAHLLOKOSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(-c2ccco2)n[nH]1 ZINC001492425501 907914455 /nfs/dbraw/zinc/91/44/55/907914455.db2.gz FAFNMQVFDIJQFH-UHFFFAOYSA-N 0 1 272.308 0.965 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)N(C)CCCNC(=O)c1ncn[nH]1 ZINC001492476691 907941784 /nfs/dbraw/zinc/94/17/84/907941784.db2.gz PYGFDTFLNIICQG-WDEREUQCSA-N 0 1 293.371 0.841 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)N(C)CCCNC(=O)c1nc[nH]n1 ZINC001492476691 907941791 /nfs/dbraw/zinc/94/17/91/907941791.db2.gz PYGFDTFLNIICQG-WDEREUQCSA-N 0 1 293.371 0.841 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(C(=O)c2ccn[nH]2)CC1(C)C ZINC001383368385 907984610 /nfs/dbraw/zinc/98/46/10/907984610.db2.gz WTBGQJJNTLRLJA-MWLCHTKSSA-N 0 1 289.339 0.536 20 30 CCEDMN C=C[C@H](COC)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC001338152274 921380580 /nfs/dbraw/zinc/38/05/80/921380580.db2.gz UOTUUCRSMORGFR-SECBINFHSA-N 0 1 290.327 0.106 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC001317449183 908244786 /nfs/dbraw/zinc/24/47/86/908244786.db2.gz KRQNVFGPHJUWLG-LBPRGKRZSA-N 0 1 274.368 0.760 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CCc1ccccn1 ZINC001317458272 908250945 /nfs/dbraw/zinc/25/09/45/908250945.db2.gz FWCKMQWRCBBJSL-UHFFFAOYSA-N 0 1 289.379 0.712 20 30 CCEDMN N#Cc1cccc([C@@H](O)CN2C[C@H](O)C[C@H](O)C2)c1 ZINC001307522050 908322026 /nfs/dbraw/zinc/32/20/26/908322026.db2.gz PMALIDRCWXPSJW-MJBXVCDLSA-N 0 1 262.309 0.019 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1CCCOCC1 ZINC001317473871 908385924 /nfs/dbraw/zinc/38/59/24/908385924.db2.gz BOZJXQRRFCWRSY-CYBMUJFWSA-N 0 1 252.358 0.874 20 30 CCEDMN N#Cc1cncc(CN2C[C@H](CO)[C@@H](CCO)C2)c1 ZINC001308161694 908390719 /nfs/dbraw/zinc/39/07/19/908390719.db2.gz CVZVTKMSBHOCQZ-UONOGXRCSA-N 0 1 261.325 0.376 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001385469317 908429580 /nfs/dbraw/zinc/42/95/80/908429580.db2.gz NZHZBWKRXZZUAE-UWVGGRQHSA-N 0 1 275.312 0.290 20 30 CCEDMN C#CCN(CC)CCNC(=O)C[C@@H]1COC(=O)C1 ZINC001317475309 908442127 /nfs/dbraw/zinc/44/21/27/908442127.db2.gz MHOTXOHSJUQHMW-NSHDSACASA-N 0 1 252.314 0.011 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@H](CC)OC)C1 ZINC001316743222 908509973 /nfs/dbraw/zinc/50/99/73/908509973.db2.gz DIMZHMJIVZJADX-KBPBESRZSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CC[N@H+](CCO)[C@H]1CCCN(C(=O)[C@@H]2CCCOC2)C1 ZINC001316743973 908561763 /nfs/dbraw/zinc/56/17/63/908561763.db2.gz LFKBAASOEXJCON-CABCVRRESA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H]2CCCOC2)C1 ZINC001316743973 908561775 /nfs/dbraw/zinc/56/17/75/908561775.db2.gz LFKBAASOEXJCON-CABCVRRESA-N 0 1 294.395 0.332 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001386036349 908581976 /nfs/dbraw/zinc/58/19/76/908581976.db2.gz YPKWEFXBXUPMTM-SCZZXKLOSA-N 0 1 275.312 0.209 20 30 CCEDMN Cn1cc(CN2CC[C@H](CNC(=O)C#CC3CC3)C2)cn1 ZINC001317522175 908588502 /nfs/dbraw/zinc/58/85/02/908588502.db2.gz HFVXIFJLXXFKRE-CQSZACIVSA-N 0 1 286.379 0.772 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1C ZINC001341642585 908644092 /nfs/dbraw/zinc/64/40/92/908644092.db2.gz JRCSIAXMMZHCIE-NEPJUHHUSA-N 0 1 259.309 0.845 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H](O)c1ccco1 ZINC001313438894 908666293 /nfs/dbraw/zinc/66/62/93/908666293.db2.gz QKNVBCPNNHKAOB-LLVKDONJSA-N 0 1 265.313 0.177 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)C(C)(F)F)n1 ZINC001316717823 908711181 /nfs/dbraw/zinc/71/11/81/908711181.db2.gz PGUJQJULKJBNJF-UHFFFAOYSA-N 0 1 284.266 0.176 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(C)=O)CC(C)(C)C1 ZINC001316808152 908779557 /nfs/dbraw/zinc/77/95/57/908779557.db2.gz QHHSABJCUSKRAI-GXTWGEPZSA-N 0 1 293.411 0.751 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@@H](C)COC)CC1 ZINC001316957723 908869009 /nfs/dbraw/zinc/86/90/09/908869009.db2.gz PYBPXALQMCTWQJ-AWEZNQCLSA-N 0 1 283.416 0.579 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H](C)OCC)CC1 ZINC001316962485 908872788 /nfs/dbraw/zinc/87/27/88/908872788.db2.gz LTWNQXQPZHRHIP-ZDUSSCGKSA-N 0 1 269.389 0.331 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ccnn2C)C1 ZINC001316972385 908888623 /nfs/dbraw/zinc/88/86/23/908888623.db2.gz SWTMPVPVMUQICL-GFCCVEGCSA-N 0 1 278.356 0.427 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COc2cccnc2)C1 ZINC001316989691 908901686 /nfs/dbraw/zinc/90/16/86/908901686.db2.gz HGRGKUOKXFFUBG-LBPRGKRZSA-N 0 1 261.325 0.837 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCCC(=O)NC)C1 ZINC001316993548 908907103 /nfs/dbraw/zinc/90/71/03/908907103.db2.gz CEMFOZSAULIMLO-GFCCVEGCSA-N 0 1 267.373 0.669 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)Cn1nccc1C ZINC001317179493 909033717 /nfs/dbraw/zinc/03/37/17/909033717.db2.gz UDBXSWWVIBCGHG-AWEZNQCLSA-N 0 1 274.368 0.795 20 30 CCEDMN CCN(CCNC(=O)[C@H](C)C#N)C(=O)Cc1ccn[nH]1 ZINC001387304817 909097797 /nfs/dbraw/zinc/09/77/97/909097797.db2.gz ZLCYPIBRFAOMTR-SNVBAGLBSA-N 0 1 277.328 0.077 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H](C)[C@H]1CCCO1 ZINC001317458864 909238449 /nfs/dbraw/zinc/23/84/49/909238449.db2.gz DVCUGEGGNCHXDU-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN CCc1ccnc(C(=O)NCCN(C)CC#CCOC)c1 ZINC001317446632 909214102 /nfs/dbraw/zinc/21/41/02/909214102.db2.gz HOTLFXVXBYPGJR-UHFFFAOYSA-N 0 1 289.379 0.955 20 30 CCEDMN C#CCN(CC)CCNC(=O)COCc1ncc(C)o1 ZINC001317484017 909257171 /nfs/dbraw/zinc/25/71/71/909257171.db2.gz HJTQVIUKRBYHHR-UHFFFAOYSA-N 0 1 279.340 0.571 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CCc1cncn1C ZINC001317491748 909262204 /nfs/dbraw/zinc/26/22/04/909262204.db2.gz ABFYHVAUVBVVIN-UHFFFAOYSA-N 0 1 276.384 0.814 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1ccn(C)n1 ZINC001317489648 909263264 /nfs/dbraw/zinc/26/32/64/909263264.db2.gz BKJDLIXGFZWNOI-UHFFFAOYSA-N 0 1 278.356 0.122 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cc(C2CC2)n[nH]1 ZINC001317486975 909263549 /nfs/dbraw/zinc/26/35/49/909263549.db2.gz LZOBYHXLKUTQRF-UHFFFAOYSA-N 0 1 260.341 0.972 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)[C@H]1CCO[C@@H]1C ZINC001317544059 909308795 /nfs/dbraw/zinc/30/87/95/909308795.db2.gz GLGGPOLHGQGIIL-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN(CCNC(=O)[C@H](C)NC(=O)CC)C1CC1 ZINC001317551749 909327435 /nfs/dbraw/zinc/32/74/35/909327435.db2.gz OJNKDZKOIYDECN-NSHDSACASA-N 0 1 265.357 0.115 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)[C@@]2(C#N)CC23CCCC3)C1 ZINC001417641973 921488449 /nfs/dbraw/zinc/48/84/49/921488449.db2.gz QGYYAYNFCBMTTL-CJNGLKHVSA-N 0 1 290.411 0.822 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CNC(=O)c2ncn[nH]2)CCCC1 ZINC001388236717 909422824 /nfs/dbraw/zinc/42/28/24/909422824.db2.gz GMGADDXBFUYJIV-VIFPVBQESA-N 0 1 290.327 0.123 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CNC(=O)c2nc[nH]n2)CCCC1 ZINC001388236717 909422833 /nfs/dbraw/zinc/42/28/33/909422833.db2.gz GMGADDXBFUYJIV-VIFPVBQESA-N 0 1 290.327 0.123 20 30 CCEDMN C#CCN[C@H](CNC(=O)C1=COCCO1)c1ccccc1 ZINC001317715052 909475652 /nfs/dbraw/zinc/47/56/52/909475652.db2.gz WUBGELLFCWQSSQ-CQSZACIVSA-N 0 1 286.331 0.955 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)C(C)(C)COC)CC1 ZINC001317820526 909509564 /nfs/dbraw/zinc/50/95/64/909509564.db2.gz TWBOBFLECQCQRT-UHFFFAOYSA-N 0 1 297.443 0.921 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)COC(C)C)CC1 ZINC001317816227 909511237 /nfs/dbraw/zinc/51/12/37/909511237.db2.gz AVGHWFTXDQEZQQ-UHFFFAOYSA-N 0 1 283.416 0.673 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC1CN(C[C@H](C)CC)C1 ZINC001318024867 909586847 /nfs/dbraw/zinc/58/68/47/909586847.db2.gz PLOSJBISGUTGCT-VXGBXAGGSA-N 0 1 252.358 0.871 20 30 CCEDMN C=C(Cl)CNC1CC(CNC(=O)CS(C)(=O)=O)C1 ZINC001388877517 909620098 /nfs/dbraw/zinc/62/00/98/909620098.db2.gz VBYLEWZATWNRNG-UHFFFAOYSA-N 0 1 294.804 0.268 20 30 CCEDMN C=CCN1CC(CNC(=O)CNC(=O)C2CCCCC2)C1 ZINC001318171404 909654470 /nfs/dbraw/zinc/65/44/70/909654470.db2.gz PCZGFPFSOVVMIK-UHFFFAOYSA-N 0 1 293.411 0.917 20 30 CCEDMN C=CCOCCN1C[C@@H]2C[C@H]1CN2C(=O)CCCOC ZINC001318188478 909659559 /nfs/dbraw/zinc/65/95/59/909659559.db2.gz XQMXPADBNZYHBQ-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)CC(N)=O ZINC001318209246 909664212 /nfs/dbraw/zinc/66/42/12/909664212.db2.gz KPIRACWBNWZNGB-WOPDTQHZSA-N 0 1 265.357 0.359 20 30 CCEDMN C=CCOCCN1C[C@@H]2C[C@H]1CN2C(=O)CCCC(N)=O ZINC001318232639 909669012 /nfs/dbraw/zinc/66/90/12/909669012.db2.gz JHEDEARRWAJPSY-STQMWFEESA-N 0 1 295.383 0.130 20 30 CCEDMN C#CCNC(=O)NCc1ccccc1N1CCN(C)CC1 ZINC001338574782 921514704 /nfs/dbraw/zinc/51/47/04/921514704.db2.gz MHVGILXHTBHZQQ-UHFFFAOYSA-N 0 1 286.379 0.871 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CCn2cccn2)C1 ZINC001318461067 909771311 /nfs/dbraw/zinc/77/13/11/909771311.db2.gz WMABZGFKSCAHJP-CQSZACIVSA-N 0 1 274.368 0.829 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CCc2cnn(C)c2)C1 ZINC001318492832 909777144 /nfs/dbraw/zinc/77/71/44/909777144.db2.gz TYOJKSMCJBHKJF-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)COC[C@H]2CCCO2)C1 ZINC001318490609 909777362 /nfs/dbraw/zinc/77/73/62/909777362.db2.gz DCDVMRCRQNAGEY-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN N#CCNC[C@H]1CCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001319069862 909977277 /nfs/dbraw/zinc/97/72/77/909977277.db2.gz OUBPURITDAVUEN-NEPJUHHUSA-N 0 1 287.367 0.934 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)CNCc1nnnn1C ZINC001319193118 910028501 /nfs/dbraw/zinc/02/85/01/910028501.db2.gz BLWZCDYOEIFPOE-LLVKDONJSA-N 0 1 280.376 0.408 20 30 CCEDMN C#CCC[NH2+]C[C@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001319448338 910156468 /nfs/dbraw/zinc/15/64/68/910156468.db2.gz KREPWILLAYEJNZ-NSHDSACASA-N 0 1 261.325 0.861 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C(C)(C)C)CC1 ZINC001319500099 910179519 /nfs/dbraw/zinc/17/95/19/910179519.db2.gz QOCCSEDSYDGNIQ-UHFFFAOYSA-N 0 1 252.358 0.969 20 30 CCEDMN N#CCNCC[C@H]1CCCCN1C(=O)CCc1c[nH]nn1 ZINC001319779187 910290095 /nfs/dbraw/zinc/29/00/95/910290095.db2.gz RYSKTLVQISNCRL-CYBMUJFWSA-N 0 1 290.371 0.622 20 30 CCEDMN N#CCNCC[C@H]1CCCCN1C(=O)CCc1cnn[nH]1 ZINC001319779187 910290110 /nfs/dbraw/zinc/29/01/10/910290110.db2.gz RYSKTLVQISNCRL-CYBMUJFWSA-N 0 1 290.371 0.622 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2c[nH]c(C#N)c2)CC1 ZINC001319900174 910338216 /nfs/dbraw/zinc/33/82/16/910338216.db2.gz VORASMSFRRTKGT-UHFFFAOYSA-N 0 1 257.297 0.604 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](NCc1nncn1C)C(C)C ZINC001319907417 910342799 /nfs/dbraw/zinc/34/27/99/910342799.db2.gz WGZYKENUIDUOGI-CYBMUJFWSA-N 0 1 291.399 0.849 20 30 CCEDMN C#CCCCC(=O)NC[C@H](NCc1nncn1C)C(C)C ZINC001319907419 910343390 /nfs/dbraw/zinc/34/33/90/910343390.db2.gz WGZYKENUIDUOGI-ZDUSSCGKSA-N 0 1 291.399 0.849 20 30 CCEDMN Cc1nc[nH]c1C=NNC1CCS(=O)(=O)CC1 ZINC001319971130 910384852 /nfs/dbraw/zinc/38/48/52/910384852.db2.gz QWVKDYVPTNDBQN-UHFFFAOYSA-N 0 1 256.331 0.219 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CCc1cncnc1 ZINC001320018906 910416436 /nfs/dbraw/zinc/41/64/36/910416436.db2.gz WADSJCCBRLEJMF-UHFFFAOYSA-N 0 1 274.368 0.823 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](OC)c1cnn(C)c1 ZINC001320028980 910420704 /nfs/dbraw/zinc/42/07/04/910420704.db2.gz JIYVCXGCWVIVTB-ZDUSSCGKSA-N 0 1 278.356 0.131 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cc(C(N)=O)c[nH]1 ZINC001320068293 910445492 /nfs/dbraw/zinc/44/54/92/910445492.db2.gz YOEYFHBXWRMJBQ-UHFFFAOYSA-N 0 1 276.340 0.141 20 30 CCEDMN COCC#CC[N@H+]1CC[C@H]2CN(C(=O)COC)CC[C@H]21 ZINC001320098758 910464004 /nfs/dbraw/zinc/46/40/04/910464004.db2.gz YLLDHTYRRFVTGK-UONOGXRCSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCOCCN(C)[C@H](C)C(=O)NC[C@H]1CCCO1 ZINC001320281777 910578992 /nfs/dbraw/zinc/57/89/92/910578992.db2.gz KYIGXEIHUXSADP-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@@H](OCC=C)C2)CC1 ZINC001320897168 910919785 /nfs/dbraw/zinc/91/97/85/910919785.db2.gz BLHZQIJHXIGQDI-OAHLLOKOSA-N 0 1 291.395 0.041 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)C[C@H]1CCOC1 ZINC001322133093 911672849 /nfs/dbraw/zinc/67/28/49/911672849.db2.gz DDUAKCXWXIAUKT-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@]1(C)CCNC(=O)C1 ZINC001322183944 911699420 /nfs/dbraw/zinc/69/94/20/911699420.db2.gz WETCUVNWRMDWJZ-IUODEOHRSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnn2ncccc12 ZINC001322178230 911700056 /nfs/dbraw/zinc/70/00/56/911700056.db2.gz XMHJEKSZYDRUBW-NSHDSACASA-N 0 1 271.324 0.413 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccc2c(c1)nnn2C ZINC001322194727 911700753 /nfs/dbraw/zinc/70/07/53/911700753.db2.gz VWKXGOCJTRRLKB-LLVKDONJSA-N 0 1 285.351 0.652 20 30 CCEDMN Cc1cc(NC(=O)CNC[C@H](C)NC(=O)[C@H](C)C#N)on1 ZINC001392549178 911919077 /nfs/dbraw/zinc/91/90/77/911919077.db2.gz JFEANUJHKXISKC-SCZZXKLOSA-N 0 1 293.327 0.176 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@@H](C)NCc1nnnn1C1CC1 ZINC001399308786 915111742 /nfs/dbraw/zinc/11/17/42/915111742.db2.gz AXMWZHWCRQRAMS-NXEZZACHSA-N 0 1 291.359 0.152 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC1CC1)NCc1nncn1C ZINC001328342413 915124355 /nfs/dbraw/zinc/12/43/55/915124355.db2.gz BINVGKJWWFBXJD-NSHDSACASA-N 0 1 275.356 0.213 20 30 CCEDMN C=C(C)C[C@H](NC(=O)C[C@@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC001339554425 921758889 /nfs/dbraw/zinc/75/88/89/921758889.db2.gz PZGITNLVOVDRLB-QWRGUYRKSA-N 0 1 254.330 0.864 20 30 CCEDMN CN(CCNC(=O)Cc1nnc[nH]1)Cc1ccccc1C#N ZINC001399636354 915277996 /nfs/dbraw/zinc/27/79/96/915277996.db2.gz VMUOIJOHVZJKAF-UHFFFAOYSA-N 0 1 298.350 0.467 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cc2ncccc2F)CC1 ZINC001339566192 921761701 /nfs/dbraw/zinc/76/17/01/921761701.db2.gz PZAAWRXGRHDIJR-UHFFFAOYSA-N 0 1 276.315 0.867 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](C)OC ZINC001328707494 915372497 /nfs/dbraw/zinc/37/24/97/915372497.db2.gz QAUAZDISYNFBIP-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)C[C@H]1CCCN1C(C)=O ZINC001328702754 915361664 /nfs/dbraw/zinc/36/16/64/915361664.db2.gz JVZNMKWDNUEJQZ-WCQYABFASA-N 0 1 267.373 0.620 20 30 CCEDMN C[C@@H](NC[C@@H](O)CC(C)(C)C#N)c1ncnn1C ZINC001328989877 915572931 /nfs/dbraw/zinc/57/29/31/915572931.db2.gz PHWLFAFMQBMLQT-ZJUUUORDSA-N 0 1 251.334 0.766 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1cc[nH]c(=O)c1 ZINC001329411847 915890703 /nfs/dbraw/zinc/89/07/03/915890703.db2.gz CQNTZVQRAQEXJJ-LBPRGKRZSA-N 0 1 291.351 0.487 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC001329458423 915932393 /nfs/dbraw/zinc/93/23/93/915932393.db2.gz SNXLWOGTCXQIKD-NWDGAFQWSA-N 0 1 291.355 0.592 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cnc2n[nH]nc2c1 ZINC001329458869 915932811 /nfs/dbraw/zinc/93/28/11/915932811.db2.gz FTBSISRMIUDELJ-JTQLQIEISA-N 0 1 286.339 0.426 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H](C)c1cnn(C)c1 ZINC001329626650 916075886 /nfs/dbraw/zinc/07/58/86/916075886.db2.gz AIBVQVRBBKKUFQ-RYUDHWBXSA-N 0 1 262.357 0.593 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001329628547 916080173 /nfs/dbraw/zinc/08/01/73/916080173.db2.gz SJGZVKIONHBBIR-BBRMVZONSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CC[C@@H]1CCOC1 ZINC001401508134 916295206 /nfs/dbraw/zinc/29/52/06/916295206.db2.gz OOZGQTWUAXPGET-NEPJUHHUSA-N 0 1 290.791 0.622 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(C3CC3)C2)C1 ZINC001329989238 916340605 /nfs/dbraw/zinc/34/06/05/916340605.db2.gz CEJKCKVWWREHSS-STQMWFEESA-N 0 1 289.379 0.211 20 30 CCEDMN C[C@H](CNCc1ccccc1C#N)NC(=O)c1cn(C)nn1 ZINC001401621529 916396019 /nfs/dbraw/zinc/39/60/19/916396019.db2.gz GTIJSIQYFFDYEK-LLVKDONJSA-N 0 1 298.350 0.595 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)NC(C)=O)C1CC1 ZINC001401819959 916612254 /nfs/dbraw/zinc/61/22/54/916612254.db2.gz FDBWECPOMJBFNF-CABZTGNLSA-N 0 1 287.791 0.748 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H]1CCCO1 ZINC001492910027 916984062 /nfs/dbraw/zinc/98/40/62/916984062.db2.gz HIMKWONELRASJN-ZDUSSCGKSA-N 0 1 268.357 0.253 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ocnc1C(F)F ZINC001331040658 917042435 /nfs/dbraw/zinc/04/24/35/917042435.db2.gz FZMLUCIGIVPKQW-PHDIDXHHSA-N 0 1 256.212 0.454 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CNCc1nnc2n1CCC2 ZINC001331118563 917102365 /nfs/dbraw/zinc/10/23/65/917102365.db2.gz CGEYRMRKGQQYKY-SNVBAGLBSA-N 0 1 263.345 0.615 20 30 CCEDMN COc1cncc(CN[C@H](C)CNC(=O)[C@@H](C)C#N)n1 ZINC001402847978 917279767 /nfs/dbraw/zinc/27/97/67/917279767.db2.gz FRODWRJYRYNBFP-VHSXEESVSA-N 0 1 277.328 0.239 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@H](C)NCc1conc1C ZINC001331437874 917335505 /nfs/dbraw/zinc/33/55/05/917335505.db2.gz CXAUXNPSCIUCHG-WCQYABFASA-N 0 1 293.367 0.958 20 30 CCEDMN CCCc1cc(C(=O)N(C)C[C@@H](C)NCC#N)n[nH]1 ZINC001331516589 917389311 /nfs/dbraw/zinc/38/93/11/917389311.db2.gz BHWUDOYGFNZNHC-SNVBAGLBSA-N 0 1 263.345 0.936 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc(F)c1 ZINC001331665949 917494198 /nfs/dbraw/zinc/49/41/98/917494198.db2.gz MAVUGECBOOHMEK-GFCCVEGCSA-N 0 1 250.273 0.139 20 30 CCEDMN C=CCCC(=O)NC[C@@H](CO)N[C@@H](C)C(=O)NC(C)(C)C ZINC001331686011 917512265 /nfs/dbraw/zinc/51/22/65/917512265.db2.gz VDSYSOPXJAOFDO-RYUDHWBXSA-N 0 1 299.415 0.323 20 30 CCEDMN O=C(C#CC1CC1)NC[C@@H](CO)NCc1ccsc1 ZINC001331711698 917538626 /nfs/dbraw/zinc/53/86/26/917538626.db2.gz JJMJSFUZWXEENR-ZDUSSCGKSA-N 0 1 278.377 0.728 20 30 CCEDMN O=C(C#CC1CC1)NC[C@H](CO)NCc1ccsc1 ZINC001331711695 917539572 /nfs/dbraw/zinc/53/95/72/917539572.db2.gz JJMJSFUZWXEENR-CYBMUJFWSA-N 0 1 278.377 0.728 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1nccc2ccsc21 ZINC001331724713 917549378 /nfs/dbraw/zinc/54/93/78/917549378.db2.gz HRIQLXMCOQEDMB-LLVKDONJSA-N 0 1 289.360 0.610 20 30 CCEDMN CC[C@H](F)C(=O)NC[C@@H](CO)NCC#Cc1ccccc1 ZINC001331772806 917593657 /nfs/dbraw/zinc/59/36/57/917593657.db2.gz RPLVTWSZDOCSLW-GJZGRUSLSA-N 0 1 292.354 0.853 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(OC)c(C)c1 ZINC001331805532 917622838 /nfs/dbraw/zinc/62/28/38/917622838.db2.gz QFLWCRVHSKSWES-AWEZNQCLSA-N 0 1 290.363 0.707 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CC1CCC(OC)CC1 ZINC001331843445 917656884 /nfs/dbraw/zinc/65/68/84/917656884.db2.gz VHSYKHBMOKROSX-SHARSMKWSA-N 0 1 296.411 0.672 20 30 CCEDMN CN(CCNC(=O)c1cn(C)nn1)Cc1cccc(C#N)c1 ZINC001403552532 917807691 /nfs/dbraw/zinc/80/76/91/917807691.db2.gz YZFPDTALRFZVEG-UHFFFAOYSA-N 0 1 298.350 0.549 20 30 CCEDMN CC[C@@H](F)CN[C@H](CO)CNC(=O)c1c[nH]c(C#N)c1 ZINC001403645030 917876684 /nfs/dbraw/zinc/87/66/84/917876684.db2.gz LLUZARXVXBGEQH-PWSUYJOCSA-N 0 1 282.319 0.315 20 30 CCEDMN C=CC[C@H](NC(=O)[C@H]1CC[N@@H+](C)[C@@H]1CC)C(=O)[O-] ZINC001332172588 917931146 /nfs/dbraw/zinc/93/11/46/917931146.db2.gz OYXZLBSLFAGMLT-GARJFASQSA-N 0 1 254.330 0.862 20 30 CCEDMN C=C(C)Cn1[nH]c(C)c([C@H]2CCS(=O)(=O)C2)c1=O ZINC001332221429 917963722 /nfs/dbraw/zinc/96/37/22/917963722.db2.gz GZOFIFJLRRQMEX-QWRGUYRKSA-N 0 1 270.354 0.832 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001332647104 918348108 /nfs/dbraw/zinc/34/81/08/918348108.db2.gz HGUBZZPQDNNCRS-DGAVXFQQSA-N 0 1 264.369 0.417 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ncc(C)cc1C ZINC001332648450 918353959 /nfs/dbraw/zinc/35/39/59/918353959.db2.gz TYRBEQOIJXJUBA-AWEZNQCLSA-N 0 1 289.379 0.696 20 30 CCEDMN C#CCN(C)CCN(C(=O)c1ccn(C)n1)C(C)C ZINC001332740595 918413071 /nfs/dbraw/zinc/41/30/71/918413071.db2.gz NNMLOCYMXIEHMG-UHFFFAOYSA-N 0 1 262.357 0.836 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cnc(C)o2)CC1 ZINC001332796398 918446077 /nfs/dbraw/zinc/44/60/77/918446077.db2.gz ANZPROCCDPYUAU-UHFFFAOYSA-N 0 1 276.340 0.880 20 30 CCEDMN COCCN(CCNC(=O)C#CC(C)C)CCOC ZINC001332914532 918528852 /nfs/dbraw/zinc/52/88/52/918528852.db2.gz VNZPLLBWWAKQSD-UHFFFAOYSA-N 0 1 270.373 0.357 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1CC(N2CCN(C)CC2)C1 ZINC001333282174 918772431 /nfs/dbraw/zinc/77/24/31/918772431.db2.gz NDNNXVPLMAWKEO-UHFFFAOYSA-N 0 1 297.402 0.669 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H]1CN(C)C(=O)Cc1ccn[nH]1 ZINC001406457844 919282958 /nfs/dbraw/zinc/28/29/58/919282958.db2.gz BZHIGCHZHVOJBU-PWSUYJOCSA-N 0 1 289.339 0.171 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN(CCO)CC2)CCC1 ZINC001334034302 919332238 /nfs/dbraw/zinc/33/22/38/919332238.db2.gz VRBGISYKDAOXBO-ZDUSSCGKSA-N 0 1 267.373 0.041 20 30 CCEDMN C[C@H](CN(C)Cc1ccccc1C#N)NC(=O)c1cnn[nH]1 ZINC001406601677 919381954 /nfs/dbraw/zinc/38/19/54/919381954.db2.gz JTXVUAIYDHLNKD-LLVKDONJSA-N 0 1 298.350 0.927 20 30 CCEDMN C[S@@](=O)c1ccc(CN[C@@H]2CCCN(O)C2=O)cc1 ZINC001334374749 919536716 /nfs/dbraw/zinc/53/67/16/919536716.db2.gz MLUMLBGUUNCGNU-CWTRNNRKSA-N 0 1 282.365 0.894 20 30 CCEDMN C=C(C)Cn1nnnc1N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC001334454768 919597442 /nfs/dbraw/zinc/59/74/42/919597442.db2.gz UCUUDYWFEHETNL-TXEJJXNPSA-N 0 1 280.376 0.141 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CNC(=O)CC)C[C@@H]2C1 ZINC001407555633 919805511 /nfs/dbraw/zinc/80/55/11/919805511.db2.gz NEPCDFBRPHEZTN-TXEJJXNPSA-N 0 1 299.802 0.655 20 30 CCEDMN CCN(CCNC(=O)[C@@H]1CCCCN1C)C(=O)[C@@H](C)C#N ZINC001415691549 920806236 /nfs/dbraw/zinc/80/62/36/920806236.db2.gz DWQVQPSJTCWGFY-STQMWFEESA-N 0 1 294.399 0.595 20 30 CCEDMN COCCOCCN1CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC001336774398 920998651 /nfs/dbraw/zinc/99/86/51/920998651.db2.gz PIDNDFDRRTUPNG-HUUCEWRRSA-N 0 1 281.400 0.959 20 30 CCEDMN COCC(=O)NC[C@@H](C)NCc1cc(C#N)ccc1OC ZINC001418189980 921884420 /nfs/dbraw/zinc/88/44/20/921884420.db2.gz DSMCSFAZAVCRJR-LLVKDONJSA-N 0 1 291.351 0.808 20 30 CCEDMN N#Cc1nc(NC(=O)[C@@H]2CCC[N@@H+]2C2CC2)[n-]c1C#N ZINC001418236711 921947761 /nfs/dbraw/zinc/94/77/61/921947761.db2.gz LSWBUWIYJCTQHS-NSHDSACASA-N 0 1 270.296 0.718 20 30 CCEDMN N#Cc1nc(NC(=O)[C@@H]2CCC[N@H+]2C2CC2)[n-]c1C#N ZINC001418236711 921947766 /nfs/dbraw/zinc/94/77/66/921947766.db2.gz LSWBUWIYJCTQHS-NSHDSACASA-N 0 1 270.296 0.718 20 30 CCEDMN Cc1cc(Cl)nc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC001340268185 922033980 /nfs/dbraw/zinc/03/39/80/922033980.db2.gz ZUPQZRLIYVOOIS-PSASIEDQSA-N 0 1 264.716 0.885 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@](COC)(C(=O)OCC)C1 ZINC001340450979 922086841 /nfs/dbraw/zinc/08/68/41/922086841.db2.gz JADMOEPVIQZIKB-HNNXBMFYSA-N 0 1 296.367 0.028 20 30 CCEDMN C=CCn1c([C@H](O)COC)nnc1N1CCN(CC)CC1 ZINC001340658513 922156909 /nfs/dbraw/zinc/15/69/09/922156909.db2.gz JIWFBUUMKHXNDB-GFCCVEGCSA-N 0 1 295.387 0.286 20 30 CCEDMN C#CCNCC(=O)N(C)[C@H]1C[C@](C)(OC)C1(C)C ZINC001340753206 922199717 /nfs/dbraw/zinc/19/97/17/922199717.db2.gz NQBMQYZFLILQNT-FZMZJTMJSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCNCC(=O)NCc1ccc2c(c1)CCCN2 ZINC001340937164 922282345 /nfs/dbraw/zinc/28/23/45/922282345.db2.gz VUXLXRZZZKYGLI-UHFFFAOYSA-N 0 1 257.337 0.884 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](C[C@H]2CCOC2)C1 ZINC001341292528 922410474 /nfs/dbraw/zinc/41/04/74/922410474.db2.gz BYCWNQQJUVCLKC-ZIAGYGMSSA-N 0 1 264.369 0.874 20 30 CCEDMN C=CCCCN(CC)C(=O)[C@@H](N)CCS(C)(=O)=O ZINC001341487049 922511415 /nfs/dbraw/zinc/51/14/15/922511415.db2.gz ZBTAAKMKVGSUNA-NSHDSACASA-N 0 1 276.402 0.563 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccnn1C1CCC1 ZINC001341641717 922602099 /nfs/dbraw/zinc/60/20/99/922602099.db2.gz CNLMDCWSUNVHOO-ONGXEEELSA-N 0 1 259.313 0.449 20 30 CCEDMN Cc1cc(CN[C@@H](CNC(=O)[C@@H](C)C#N)C2CC2)ncn1 ZINC001419254708 922736077 /nfs/dbraw/zinc/73/60/77/922736077.db2.gz ZLPTYOITHLPZBB-HZMBPMFUSA-N 0 1 287.367 0.929 20 30 CCEDMN CCNC(=O)CN1CCC(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001419387729 922794578 /nfs/dbraw/zinc/79/45/78/922794578.db2.gz OBXZVQYZWFVKQL-LBPRGKRZSA-N 0 1 294.399 0.500 20 30 CCEDMN CC#CCCNC(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC001342086136 922797911 /nfs/dbraw/zinc/79/79/11/922797911.db2.gz JJBRRVHITJRSLV-UHFFFAOYSA-N 0 1 262.313 0.118 20 30 CCEDMN C#CCNCC(=O)N1CCC(OC[C@H]2CCCO2)CC1 ZINC001342107276 922806790 /nfs/dbraw/zinc/80/67/90/922806790.db2.gz NEYFZRZHIFXNLU-CQSZACIVSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CC[NH2+]CC(=O)N1CCC[C@@H]1c1ncc(OC)c(=O)[n-]1 ZINC001342175179 922848043 /nfs/dbraw/zinc/84/80/43/922848043.db2.gz XDWIPVNQMWLZBI-SNVBAGLBSA-N 0 1 290.323 0.077 20 30 CCEDMN C#CCNCC(=O)NC[C@]12OCCC[C@H]1C2(F)F ZINC001342220253 922865123 /nfs/dbraw/zinc/86/51/23/922865123.db2.gz OSRFHFXDDRMYGW-KOLCDFICSA-N 0 1 258.268 0.140 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](n1cccn1)C(F)(F)F ZINC001342298781 922899335 /nfs/dbraw/zinc/89/93/35/922899335.db2.gz NWHSKIKUWBXCSL-SECBINFHSA-N 0 1 274.246 0.326 20 30 CCEDMN C#CC1CCN(c2nnc(-c3c[nH]nn3)n2CC)CC1 ZINC001342595334 923016345 /nfs/dbraw/zinc/01/63/45/923016345.db2.gz GYPQBHLEMIDCRD-UHFFFAOYSA-N 0 1 271.328 0.933 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)C[C@H]1CCC(=O)NC1 ZINC001342727242 923072461 /nfs/dbraw/zinc/07/24/61/923072461.db2.gz OEBUFTXUZAMOHU-ZJUUUORDSA-N 0 1 265.313 0.136 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CC[C@H](c2nc(CC)no2)C1 ZINC001342809843 923095527 /nfs/dbraw/zinc/09/55/27/923095527.db2.gz GXYGDBXAZZZSLG-WDEREUQCSA-N 0 1 276.340 0.607 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1)C1CC1 ZINC001343102932 923202040 /nfs/dbraw/zinc/20/20/40/923202040.db2.gz DJNRJOITRUHMTC-NWDGAFQWSA-N 0 1 291.395 0.905 20 30 CCEDMN C=C(Cl)CN(C)CCN(C)C(=O)c1[nH]nnc1C ZINC001419991664 923217487 /nfs/dbraw/zinc/21/74/87/923217487.db2.gz KBKSBEPARNNMGS-UHFFFAOYSA-N 0 1 271.752 0.869 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@@H]1c1noc(C)n1 ZINC001343197525 923233325 /nfs/dbraw/zinc/23/33/25/923233325.db2.gz SNUHGQNMAHODTC-LLVKDONJSA-N 0 1 262.313 0.654 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc2c[nH]ccc-2n1 ZINC001343468242 923332806 /nfs/dbraw/zinc/33/28/06/923332806.db2.gz SIJPZOBVDKQLPV-SKDRFNHKSA-N 0 1 255.281 0.404 20 30 CCEDMN CCOC1CC2(C[C@@H]2C(=O)C(C#N)C(=O)NC)C1 ZINC001343500011 923351921 /nfs/dbraw/zinc/35/19/21/923351921.db2.gz HZLKFUXITKCNIO-LIVJGEBJSA-N 0 1 250.298 0.646 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cn(CCOC)nn2)CC1 ZINC001344373094 923586744 /nfs/dbraw/zinc/58/67/44/923586744.db2.gz JQCGUFAWLISTBM-UHFFFAOYSA-N 0 1 292.339 0.179 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCNC[C@@H]1COC)C(C)C ZINC001346442036 924214070 /nfs/dbraw/zinc/21/40/70/924214070.db2.gz WBCCLUKUVPZZBN-VXGBXAGGSA-N 0 1 253.346 0.274 20 30 CCEDMN Cc1cc(C)n(CCN2CC[C@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001421237015 924233012 /nfs/dbraw/zinc/23/30/12/924233012.db2.gz BVESXRINICPLSA-RISCZKNCSA-N 0 1 289.383 0.850 20 30 CCEDMN C=CCCN(C)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001346766911 924306802 /nfs/dbraw/zinc/30/68/02/924306802.db2.gz MXXYNAWHGQUPPD-OLZOCXBDSA-N 0 1 268.405 0.838 20 30 CCEDMN C=CCN(C(=O)[C@@H]1C[N@H+]2CCN1C[C@@H]2C)[C@@H](C)COC ZINC001347109365 924373843 /nfs/dbraw/zinc/37/38/43/924373843.db2.gz KWKMVXSEMMMUFU-IHRRRGAJSA-N 0 1 281.400 0.424 20 30 CCEDMN C=CCN(C(=O)[C@@H]1CN2CCN1C[C@@H]2C)[C@@H](C)COC ZINC001347109365 924373852 /nfs/dbraw/zinc/37/38/52/924373852.db2.gz KWKMVXSEMMMUFU-IHRRRGAJSA-N 0 1 281.400 0.424 20 30 CCEDMN C=CC(C)(C)CNC(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001347147726 924384794 /nfs/dbraw/zinc/38/47/94/924384794.db2.gz DWZPZBCQDCGTLH-RYUDHWBXSA-N 0 1 251.374 0.703 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)[C@H]2CN3CCN2C[C@@H]3C)C1 ZINC001347341948 924427272 /nfs/dbraw/zinc/42/72/72/924427272.db2.gz CYVOUSLOOXWQMC-BFHYXJOUSA-N 0 1 263.385 0.799 20 30 CCEDMN CCC[C@H](C#N)NC(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001347553725 924478109 /nfs/dbraw/zinc/47/81/09/924478109.db2.gz IBBJQAQQMHAVEU-QJPTWQEYSA-N 0 1 250.346 0.183 20 30 CCEDMN C=CCN(C)c1nnc(-c2c[nH]nn2)n1C[C@@H]1CCCO1 ZINC001347942966 924563112 /nfs/dbraw/zinc/56/31/12/924563112.db2.gz CODRHAHZOICXDX-JTQLQIEISA-N 0 1 289.343 0.864 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@@H](C)OC)C1 ZINC001479898605 924607653 /nfs/dbraw/zinc/60/76/53/924607653.db2.gz NYKLTKVKZGJQPE-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN C#Cc1ccc(N2CC[N@@H+](C)C[C@H](C(=O)OC)C2)nc1 ZINC001348213498 924620852 /nfs/dbraw/zinc/62/08/52/924620852.db2.gz DNVBNQPPNDZMCS-ZDUSSCGKSA-N 0 1 273.336 0.604 20 30 CCEDMN C#Cc1ccc(N2CCN(C)C[C@H](C(=O)OC)C2)nc1 ZINC001348213498 924620854 /nfs/dbraw/zinc/62/08/54/924620854.db2.gz DNVBNQPPNDZMCS-ZDUSSCGKSA-N 0 1 273.336 0.604 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)N(C)CC(C)(C)C#N ZINC001348683867 924773572 /nfs/dbraw/zinc/77/35/72/924773572.db2.gz BIFKMBDYTCHMEY-NWDGAFQWSA-N 0 1 264.373 0.383 20 30 CCEDMN C#C[C@H](NC[C@H]1CCCS1(=O)=O)[C@@H]1CCCO1 ZINC001351319095 925404167 /nfs/dbraw/zinc/40/41/67/925404167.db2.gz HFZUZTIMCZTWHE-WOPDTQHZSA-N 0 1 257.355 0.334 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001352964557 925684774 /nfs/dbraw/zinc/68/47/74/925684774.db2.gz XYEBXGNCEWWCGX-LLVKDONJSA-N 0 1 291.355 0.421 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001353094733 925727553 /nfs/dbraw/zinc/72/75/53/925727553.db2.gz UQKYVKKSXWBBQS-LLVKDONJSA-N 0 1 289.339 0.233 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001353094733 925727557 /nfs/dbraw/zinc/72/75/57/925727557.db2.gz UQKYVKKSXWBBQS-LLVKDONJSA-N 0 1 289.339 0.233 20 30 CCEDMN C#CCNCC(=O)N1CCC(C(=O)N[C@H](C)C(C)C)CC1 ZINC001353259138 925776055 /nfs/dbraw/zinc/77/60/55/925776055.db2.gz DJTVCZCGXNOTCH-CYBMUJFWSA-N 0 1 293.411 0.609 20 30 CCEDMN C=CCC1(O)CN(C(=O)C(C)(C)NC(=O)C(F)(F)F)C1 ZINC001353962991 925977025 /nfs/dbraw/zinc/97/70/25/925977025.db2.gz KGGQUVOZFCKBCB-UHFFFAOYSA-N 0 1 294.273 0.593 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)CNC(=O)CCc1nc[nH]n1 ZINC001354322091 926084460 /nfs/dbraw/zinc/08/44/60/926084460.db2.gz JYDVQVHGRVYALC-NSHDSACASA-N 0 1 293.371 0.715 20 30 CCEDMN C=CCCCC(=O)N1CC[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001354929093 926184518 /nfs/dbraw/zinc/18/45/18/926184518.db2.gz YILFWRXLRABKOP-NSHDSACASA-N 0 1 291.355 0.739 20 30 CCEDMN CCN1CCN(c2nnc(C(C)(C)C#N)n2C)CC1 ZINC001355334237 926242011 /nfs/dbraw/zinc/24/20/11/926242011.db2.gz MVNRSANMYFHURE-UHFFFAOYSA-N 0 1 262.361 0.758 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)CCNC(=O)c2ncn[nH]2)C1 ZINC001355823028 926317344 /nfs/dbraw/zinc/31/73/44/926317344.db2.gz BDGOUMAEBBTBRJ-UHFFFAOYSA-N 0 1 277.328 0.349 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)CCNC(=O)c2nc[nH]n2)C1 ZINC001355823028 926317356 /nfs/dbraw/zinc/31/73/56/926317356.db2.gz BDGOUMAEBBTBRJ-UHFFFAOYSA-N 0 1 277.328 0.349 20 30 CCEDMN N#CCC[C@@H](C#N)CNC1CC(N2CCOCC2)C1 ZINC001356619800 926412113 /nfs/dbraw/zinc/41/21/13/926412113.db2.gz UPDBXOYXGTVYKK-HSBZDZAISA-N 0 1 262.357 0.883 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)CCc1c[nH]nn1 ZINC001358543674 926741482 /nfs/dbraw/zinc/74/14/82/926741482.db2.gz YRKGSNNXTYJNBY-JTQLQIEISA-N 0 1 293.371 0.570 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)CCc1cnn[nH]1 ZINC001358543674 926741491 /nfs/dbraw/zinc/74/14/91/926741491.db2.gz YRKGSNNXTYJNBY-JTQLQIEISA-N 0 1 293.371 0.570 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2cc(C)n[nH]2)CCO1 ZINC001421794308 926911061 /nfs/dbraw/zinc/91/10/61/926911061.db2.gz CYUYHLAQELOMBH-NSHDSACASA-N 0 1 298.774 0.901 20 30 CCEDMN COC(=O)C1(C#N)CCN(CCC2OCCCO2)CC1 ZINC001421805390 926918332 /nfs/dbraw/zinc/91/83/32/926918332.db2.gz CAWUCJKYQYZZKA-UHFFFAOYSA-N 0 1 282.340 0.918 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)c2ccc(C#N)cn2)n1 ZINC001361764528 927312455 /nfs/dbraw/zinc/31/24/55/927312455.db2.gz XEGGSMWXUWSGPI-UHFFFAOYSA-N 0 1 288.336 0.864 20 30 CCEDMN CSCc1nnc(CNC(=O)c2ccc(C#N)cn2)[nH]1 ZINC001361764528 927312471 /nfs/dbraw/zinc/31/24/71/927312471.db2.gz XEGGSMWXUWSGPI-UHFFFAOYSA-N 0 1 288.336 0.864 20 30 CCEDMN N#Cc1sccc1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC001361786380 927328358 /nfs/dbraw/zinc/32/83/58/927328358.db2.gz XGMRVZOWTFTSJI-MRVPVSSYSA-N 0 1 290.308 0.347 20 30 CCEDMN N#Cc1sccc1C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001361786380 927328373 /nfs/dbraw/zinc/32/83/73/927328373.db2.gz XGMRVZOWTFTSJI-MRVPVSSYSA-N 0 1 290.308 0.347 20 30 CCEDMN C[C@H](CNCc1ccccc1C#N)NC(=O)c1cnon1 ZINC001422576850 927382286 /nfs/dbraw/zinc/38/22/86/927382286.db2.gz WORZJUYVCQTUHL-SNVBAGLBSA-N 0 1 285.307 0.849 20 30 CCEDMN CN(C(=O)Cc1cccc(OCC#N)c1)c1nn[nH]n1 ZINC001361903677 927434244 /nfs/dbraw/zinc/43/42/44/927434244.db2.gz JIRLYVXZCVFRHR-UHFFFAOYSA-N 0 1 272.268 0.308 20 30 CCEDMN CC(C)(C#N)CC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC001361986377 927497405 /nfs/dbraw/zinc/49/74/05/927497405.db2.gz ZAAZNTJTSWMGOS-QWHCGFSZSA-N 0 1 279.384 0.984 20 30 CCEDMN C[C@@H]1CC(NC(=O)Cc2n[nH]c(C3CCOCC3)n2)=NO1 ZINC001362304363 927851031 /nfs/dbraw/zinc/85/10/31/927851031.db2.gz GSZUTAWDMZKCBR-MRVPVSSYSA-N 0 1 293.327 0.480 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)Cc1nnc(C)[nH]1 ZINC001423451059 927864726 /nfs/dbraw/zinc/86/47/26/927864726.db2.gz HUSHAHVIOWVKJI-LLVKDONJSA-N 0 1 297.790 0.989 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@@H](O)CN(C)CCC(F)(F)F ZINC001423504493 927897880 /nfs/dbraw/zinc/89/78/80/927897880.db2.gz OXITVIYRRGPALA-UWVGGRQHSA-N 0 1 295.305 0.850 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1COC2(CN(CC3CCC3)C2)C1 ZINC001424360459 928169942 /nfs/dbraw/zinc/16/99/42/928169942.db2.gz VIWRYCAUDHCYSX-WCQYABFASA-N 0 1 277.368 0.906 20 30 CCEDMN Cc1cc(C(=O)NCCN2CCC(O)CC2)ncc1C#N ZINC001362696135 928375400 /nfs/dbraw/zinc/37/54/00/928375400.db2.gz QCHSJHFWQJBPGM-UHFFFAOYSA-N 0 1 288.351 0.448 20 30 CCEDMN Cc1cc(C(=O)NCc2n[nH]c(=O)n2C)cc(C)c1C#N ZINC001362962306 928700921 /nfs/dbraw/zinc/70/09/21/928700921.db2.gz RFOFYAZCJATUCM-UHFFFAOYSA-N 0 1 285.307 0.939 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CC[C@@H](O)c2ccccc2)c1=O ZINC001363185388 928954193 /nfs/dbraw/zinc/95/41/93/928954193.db2.gz PCTBWCQNLWLHLT-GFCCVEGCSA-N 0 1 271.276 0.532 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCN(C(=O)[C@@H]2CCCCN2C)C1 ZINC001427403179 929017410 /nfs/dbraw/zinc/01/74/10/929017410.db2.gz BERZPWWGPWNMJN-XQQFMLRXSA-N 0 1 292.383 0.347 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)NC[C@H](O)c2cnc[nH]2)cc1 ZINC001363416752 929204333 /nfs/dbraw/zinc/20/43/33/929204333.db2.gz QKPDZEMFHLJDMB-STQMWFEESA-N 0 1 286.291 0.165 20 30 CCEDMN N#CCOc1cccc(CNS(=O)(=O)c2ncc[nH]2)c1 ZINC001363761804 929553801 /nfs/dbraw/zinc/55/38/01/929553801.db2.gz INYJOENXAZPAJI-UHFFFAOYSA-N 0 1 292.320 0.791 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CNCc2cn(C)nn2)CCCC1 ZINC001444060323 929781849 /nfs/dbraw/zinc/78/18/49/929781849.db2.gz SONWORNZTQVLOY-LLVKDONJSA-N 0 1 290.371 0.493 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cnc(C)n1C ZINC001445231826 930071873 /nfs/dbraw/zinc/07/18/73/930071873.db2.gz LKYHURCVZLPIDI-SNVBAGLBSA-N 0 1 286.763 0.161 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)CNC(C)=O)C1 ZINC001373131034 930083581 /nfs/dbraw/zinc/08/35/81/930083581.db2.gz BQVNPMUVZQEGMP-LBPRGKRZSA-N 0 1 287.791 0.703 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H]1CCCC(=O)N1C ZINC001445645436 930193552 /nfs/dbraw/zinc/19/35/52/930193552.db2.gz BQTIAZXWDDUHCU-WDEREUQCSA-N 0 1 287.791 0.844 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CC(=O)N(C)C1)C1CC1 ZINC001445958806 930295279 /nfs/dbraw/zinc/29/52/79/930295279.db2.gz VVRBGGNFAQJJGS-NEPJUHHUSA-N 0 1 299.802 0.702 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NCC(=O)NC(C)(C)C)CC1 ZINC001446164807 930358022 /nfs/dbraw/zinc/35/80/22/930358022.db2.gz VGMWXZHRWVTAGU-JTQLQIEISA-N 0 1 280.372 0.299 20 30 CCEDMN CCN(CCNC(=O)[C@H](C)C#N)C(=O)[C@@H]1CCCCN1C ZINC001373936401 930373665 /nfs/dbraw/zinc/37/36/65/930373665.db2.gz DYXNJWULGAOUHY-OLZOCXBDSA-N 0 1 294.399 0.595 20 30 CCEDMN COc1ccc(CNC2(CNC(=O)[C@@H](C)C#N)CC2)cn1 ZINC001375401536 930876427 /nfs/dbraw/zinc/87/64/27/930876427.db2.gz DFDZFBRCGLBZDE-NSHDSACASA-N 0 1 288.351 0.988 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCN1CCC(O)CC1 ZINC001449702098 931179014 /nfs/dbraw/zinc/17/90/14/931179014.db2.gz LXSYISVSNICQAP-LBPRGKRZSA-N 0 1 270.373 0.541 20 30 CCEDMN Cc1onc(CC(=O)NO[C@@H](CO)C(C)C)c1C#N ZINC001450098853 931232572 /nfs/dbraw/zinc/23/25/72/931232572.db2.gz NRRZRYSDWOLLME-NSHDSACASA-N 0 1 267.285 0.462 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@]2(C(=O)[O-])C[C@H]2C)CC1 ZINC001602702074 971328848 /nfs/dbraw/zinc/32/88/48/971328848.db2.gz GVBHXWBOLLCQKO-RISCZKNCSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@]2(C(=O)[O-])C[C@H]2C)CC1 ZINC001602702074 971328853 /nfs/dbraw/zinc/32/88/53/971328853.db2.gz GVBHXWBOLLCQKO-RISCZKNCSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)Cc1ccccc1C(=O)[O-] ZINC000328150884 971449981 /nfs/dbraw/zinc/44/99/81/971449981.db2.gz FEQOLBXDSDPTNW-UHFFFAOYSA-N 0 1 288.347 0.999 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])CC(=O)N(CCC#N)CCC#N ZINC000037933204 948848725 /nfs/dbraw/zinc/84/87/25/948848725.db2.gz ICZQGRJEYHCVQH-UHFFFAOYSA-N 0 1 266.301 0.049 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCC[N@H+](C)[C@H](C)CC ZINC000220298033 949559197 /nfs/dbraw/zinc/55/91/97/949559197.db2.gz LDYISCMNJZKJQF-LLVKDONJSA-N 0 1 269.345 0.446 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000328288417 951124828 /nfs/dbraw/zinc/12/48/28/951124828.db2.gz QUXZXUGPRIXDKF-STQMWFEESA-N 0 1 295.383 0.694 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](N2CCOCC2)C1 ZINC000382769294 971832236 /nfs/dbraw/zinc/83/22/36/971832236.db2.gz UOHLXSGHHJYHKP-VXGBXAGGSA-N 0 1 254.330 0.422 20 30 CCEDMN C[C@H](C[N@@H+](C)CC(=O)NCc1cccc(C#N)c1)C(=O)[O-] ZINC001589032620 955527174 /nfs/dbraw/zinc/52/71/74/955527174.db2.gz ICDQMCJKDHHTLC-LLVKDONJSA-N 0 1 289.335 0.827 20 30 CCEDMN N#CC1(C[C@@H](O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)CCOCC1 ZINC001594604441 956162995 /nfs/dbraw/zinc/16/29/95/956162995.db2.gz VFFROTLEPFOWQZ-QWHCGFSZSA-N 0 1 296.367 0.854 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)C[C@@H](C)n1cc[nH+]c1CC ZINC001588400473 958082095 /nfs/dbraw/zinc/08/20/95/958082095.db2.gz YKWYULIIEZONMD-LLVKDONJSA-N 0 1 277.324 0.943 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H](O)CC[C@@H](O)c1ccccc1 ZINC001588424140 958210153 /nfs/dbraw/zinc/21/01/53/958210153.db2.gz JZHGIVNGGVOYTF-LSDHHAIUSA-N 0 1 291.347 0.881 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H](O)CC[C@@H](O)c1ccccc1 ZINC001588424140 958210169 /nfs/dbraw/zinc/21/01/69/958210169.db2.gz JZHGIVNGGVOYTF-LSDHHAIUSA-N 0 1 291.347 0.881 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@@](C)(C(=O)[O-])C2)C1=O ZINC001588464260 958490268 /nfs/dbraw/zinc/49/02/68/958490268.db2.gz LDZWSXBPNHNXLG-GXFFZTMASA-N 0 1 252.314 0.570 20 30 CCEDMN C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CNC(=O)[O-])C[C@H]1C ZINC001573338459 962875455 /nfs/dbraw/zinc/87/54/55/962875455.db2.gz BKMQENPIZVBUDQ-ZJUUUORDSA-N 0 1 289.763 0.976 20 30 CCEDMN C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CNC(=O)[O-])C[C@H]1C ZINC001573338459 962875463 /nfs/dbraw/zinc/87/54/63/962875463.db2.gz BKMQENPIZVBUDQ-ZJUUUORDSA-N 0 1 289.763 0.976 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001573344307 962914719 /nfs/dbraw/zinc/91/47/19/962914719.db2.gz SMBHVIDSHVDTII-APLZJWDSSA-N 0 1 284.743 0.506 20 30 CCEDMN C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001573344307 962914728 /nfs/dbraw/zinc/91/47/28/962914728.db2.gz SMBHVIDSHVDTII-APLZJWDSSA-N 0 1 284.743 0.506 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](CS(C)(=O)=O)C1 ZINC000310104724 963382183 /nfs/dbraw/zinc/38/21/83/963382183.db2.gz COQWPRYLFGSEMF-VHSXEESVSA-N 0 1 261.343 0.382 20 30 CCEDMN C#C[C@H]1CCC[N@@H+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])C1 ZINC001588382740 983374029 /nfs/dbraw/zinc/37/40/29/983374029.db2.gz GXXDNEUTMPEDHM-STQMWFEESA-N 0 1 280.368 0.947 20 30 CCEDMN C#C[C@H]1CCC[N@H+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])C1 ZINC001588382740 983374032 /nfs/dbraw/zinc/37/40/32/983374032.db2.gz GXXDNEUTMPEDHM-STQMWFEESA-N 0 1 280.368 0.947 20 30 CCEDMN C#CC[N@H+](CCc1cn(CC(=O)[O-])nn1)CC1CC1 ZINC001588469375 983501745 /nfs/dbraw/zinc/50/17/45/983501745.db2.gz HJQNHWRDFIRYTO-UHFFFAOYSA-N 0 1 262.313 0.250 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)C1 ZINC001588476230 983510692 /nfs/dbraw/zinc/51/06/92/983510692.db2.gz LVIAKAPFMVBCSN-SDDRHHMPSA-N 0 1 279.340 0.246 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)C1 ZINC001588476230 983510693 /nfs/dbraw/zinc/51/06/93/983510693.db2.gz LVIAKAPFMVBCSN-SDDRHHMPSA-N 0 1 279.340 0.246 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCC[N@H+](C)CC(=O)[O-] ZINC001588529303 983580661 /nfs/dbraw/zinc/58/06/61/983580661.db2.gz VZBSLZHCTUOCPZ-UHFFFAOYSA-N 0 1 274.320 0.343 20 30 CCEDMN C=C(C)C[N@@H+](CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])C1CC1 ZINC001588568034 983648996 /nfs/dbraw/zinc/64/89/96/983648996.db2.gz ZVTJPFFZAMYLJS-VXGBXAGGSA-N 0 1 266.341 0.864 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[N@H+](CCO)C(C)(C)C1 ZINC001588615138 983710310 /nfs/dbraw/zinc/71/03/10/983710310.db2.gz LEOBERNUTVCFPV-UHFFFAOYSA-N 0 1 284.356 0.323 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[N@@H+](CCO)C(C)(C)C1 ZINC001588615138 983710313 /nfs/dbraw/zinc/71/03/13/983710313.db2.gz LEOBERNUTVCFPV-UHFFFAOYSA-N 0 1 284.356 0.323 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)[C@@](C)(C(=O)[O-])C1 ZINC001596299333 983723200 /nfs/dbraw/zinc/72/32/00/983723200.db2.gz CFEBBMAVRRMPLY-FZMZJTMJSA-N 0 1 281.356 0.791 20 30 CCEDMN C=C(Cl)C[N@H+](C)CCCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001588642382 983764209 /nfs/dbraw/zinc/76/42/09/983764209.db2.gz TWPWXEDTJZLDKJ-VHSXEESVSA-N 0 1 274.748 0.898 20 30 CCEDMN C=C(Cl)C[N@@H+](C)CCCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001588642382 983764213 /nfs/dbraw/zinc/76/42/13/983764213.db2.gz TWPWXEDTJZLDKJ-VHSXEESVSA-N 0 1 274.748 0.898 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](n2ccnn2)CC1 ZINC001588662959 983792498 /nfs/dbraw/zinc/79/24/98/983792498.db2.gz IWXMOHXSUFEEQT-WDEREUQCSA-N 0 1 250.302 0.944 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](NC(=O)N(C)C)C1 ZINC001588663787 983796832 /nfs/dbraw/zinc/79/68/32/983796832.db2.gz PNCGBCAGGIPWPX-ZJUUUORDSA-N 0 1 255.318 0.361 20 30 CCEDMN C=CC[C@@H](OC(=O)C[N@@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC001588753237 984083636 /nfs/dbraw/zinc/08/36/36/984083636.db2.gz CXAQTVDSSLMQKV-NXEZZACHSA-N 0 1 273.354 0.996 20 30 CCEDMN C=CC[C@@H](OC(=O)C[N@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC001588753237 984083643 /nfs/dbraw/zinc/08/36/43/984083643.db2.gz CXAQTVDSSLMQKV-NXEZZACHSA-N 0 1 273.354 0.996 20 30 CCEDMN C=CCC[C@H](CO)[NH2+]C1CCN(CC(=O)[O-])CC1 ZINC001588778110 984159390 /nfs/dbraw/zinc/15/93/90/984159390.db2.gz SUCMZTAZTYNPNY-GFCCVEGCSA-N 0 1 256.346 0.452 20 30 CCEDMN C=CCC[C@H](O)C[NH2+][C@@H](C(=O)[O-])c1ccnn1C ZINC001588778743 984162538 /nfs/dbraw/zinc/16/25/38/984162538.db2.gz KXRRGECTRCVYST-GXSJLCMTSA-N 0 1 253.302 0.463 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1C[C@@H](OC)C[C@@]1(C)C(=O)[O-] ZINC001588825498 984300541 /nfs/dbraw/zinc/30/05/41/984300541.db2.gz RGNSBAHJAINPLN-WFASDCNBSA-N 0 1 296.367 0.751 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H](OC)C[C@@]1(C)C(=O)[O-] ZINC001588825498 984300547 /nfs/dbraw/zinc/30/05/47/984300547.db2.gz RGNSBAHJAINPLN-WFASDCNBSA-N 0 1 296.367 0.751 20 30 CCEDMN C=CCOC[C@H]([NH2+]Cc1cnc2n1CCOC2)C(=O)[O-] ZINC001588866997 984429307 /nfs/dbraw/zinc/42/93/07/984429307.db2.gz SRXVACZLPWUVGP-NSHDSACASA-N 0 1 281.312 0.159 20 30 CCEDMN C=CCOCCN1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC001588868848 984435977 /nfs/dbraw/zinc/43/59/77/984435977.db2.gz DJCNILZVESTKJQ-GFCCVEGCSA-N 0 1 256.346 0.670 20 30 CCEDMN C=CCOCC[N@H+]1CCN(CCC(=O)[O-])C[C@H]1C ZINC001588868848 984435979 /nfs/dbraw/zinc/43/59/79/984435979.db2.gz DJCNILZVESTKJQ-GFCCVEGCSA-N 0 1 256.346 0.670 20 30 CCEDMN C=CCOCC[N@@H+]1CCN(CCC(=O)[O-])C[C@H]1C ZINC001588868848 984435981 /nfs/dbraw/zinc/43/59/81/984435981.db2.gz DJCNILZVESTKJQ-GFCCVEGCSA-N 0 1 256.346 0.670 20 30 CCEDMN CC#CCC[N@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001588927323 984597816 /nfs/dbraw/zinc/59/78/16/984597816.db2.gz SSJHGDUGLGBPFK-MNOVXSKESA-N 0 1 253.298 0.738 20 30 CCEDMN CC#CCC[N@@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001588927323 984597821 /nfs/dbraw/zinc/59/78/21/984597821.db2.gz SSJHGDUGLGBPFK-MNOVXSKESA-N 0 1 253.298 0.738 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)CC(C)(C)C#N)C[C@@H]1C(=O)[O-] ZINC001598572256 993216464 /nfs/dbraw/zinc/21/64/64/993216464.db2.gz HYGNQURLYJWVAN-RKDXNWHRSA-N 0 1 254.286 0.627 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)c2cccc(C#N)n2)C[C@H]1C(=O)[O-] ZINC001598573113 993231538 /nfs/dbraw/zinc/23/15/38/993231538.db2.gz HHQMCTHRGKKCBH-KOLCDFICSA-N 0 1 275.264 0.267 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2ccc(C#N)cc2)C[C@H](C(=O)[O-])C1 ZINC001598611177 993776235 /nfs/dbraw/zinc/77/62/35/993776235.db2.gz OVRCNACANZSTFD-CYBMUJFWSA-N 0 1 287.319 0.647 20 30 CCEDMN COC(=O)CC[C@H](C(=O)[O-])[N@@H+](C)CC(C)(C)C#N ZINC001598845706 996563905 /nfs/dbraw/zinc/56/39/05/996563905.db2.gz DYOFTLBMLRYBNL-SECBINFHSA-N 0 1 256.302 0.874 20 30 CCEDMN COCC[N@H+](CCO)Cc1cccc(C(=O)[O-])c1C#N ZINC001599239200 998004980 /nfs/dbraw/zinc/00/49/80/998004980.db2.gz YZUZLPIATMINFX-UHFFFAOYSA-N 0 1 278.308 0.697 20 30 CCEDMN COCC[N@@H+](CCO)Cc1cccc(C(=O)[O-])c1C#N ZINC001599239200 998004989 /nfs/dbraw/zinc/00/49/89/998004989.db2.gz YZUZLPIATMINFX-UHFFFAOYSA-N 0 1 278.308 0.697 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@@H]2CC[C@@H](O)[C@@H]21)C1CC1 ZINC000599680915 361783370 /nfs/dbraw/zinc/78/33/70/361783370.db2.gz JRMYNMHFLIDHAJ-QMGNLALYSA-N 0 1 277.368 0.640 20 30 CCEDMN CN(Cc1ccc(C#N)o1)C[C@H](O)CN1CCOCC1 ZINC000093583377 349546499 /nfs/dbraw/zinc/54/64/99/349546499.db2.gz YBAHUQMXHKYNGK-LBPRGKRZSA-N 0 1 279.340 0.276 20 30 CCEDMN N#Cc1cccc2c1CN(C(=O)NC[C@@H]1COCCN1)C2 ZINC000599941923 361837632 /nfs/dbraw/zinc/83/76/32/361837632.db2.gz VYQKGUWHJYTTAQ-CYBMUJFWSA-N 0 1 286.335 0.572 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCC(N2CCOCC2)CC1 ZINC000600106128 361868998 /nfs/dbraw/zinc/86/89/98/361868998.db2.gz AHWCFVVSJZWQPK-GFCCVEGCSA-N 0 1 265.357 0.859 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCC(N2CCOCC2)CC1 ZINC000600106741 361869414 /nfs/dbraw/zinc/86/94/14/361869414.db2.gz HHTVJCKOXUTBEL-GFCCVEGCSA-N 0 1 265.357 0.859 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000352246465 529490750 /nfs/dbraw/zinc/49/07/50/529490750.db2.gz PYGSBPAXPPCRGG-YPMHNXCESA-N 0 1 253.346 0.763 20 30 CCEDMN N#C[C@@]1(C(=O)NCCN2CC[C@@H](O)C2)CC12CCCC2 ZINC000600531527 362004621 /nfs/dbraw/zinc/00/46/21/362004621.db2.gz GIQUFGQTILBWRU-IUODEOHRSA-N 0 1 277.368 0.643 20 30 CCEDMN CN1CCN(Cc2cn([C@@H]3CC[C@@H](C#N)C3)nn2)CC1 ZINC000600812860 362068276 /nfs/dbraw/zinc/06/82/76/362068276.db2.gz GXBGTBAYDBFIEF-TZMCWYRMSA-N 0 1 274.372 0.890 20 30 CCEDMN N#CC1(CC(=O)NC[C@@H]2COCCN2)CCCCC1 ZINC000600863760 362082031 /nfs/dbraw/zinc/08/20/31/362082031.db2.gz TVGSYMMZCQMURW-GFCCVEGCSA-N 0 1 265.357 0.955 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC000601028623 362134081 /nfs/dbraw/zinc/13/40/81/362134081.db2.gz RKKRQUUVQNTZIK-LLVKDONJSA-N 0 1 276.344 0.040 20 30 CCEDMN N#CC1(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)CC2(CC2)C1 ZINC000601361376 362243505 /nfs/dbraw/zinc/24/35/05/362243505.db2.gz ITTKGXPFJGHCBG-JTQLQIEISA-N 0 1 286.339 0.990 20 30 CCEDMN CN1CCO[C@@H](CNC(=O)c2cccn3c(O)nnc23)C1 ZINC000329086072 529782088 /nfs/dbraw/zinc/78/20/88/529782088.db2.gz GQIRLYDYWNULAL-VIFPVBQESA-N 0 1 291.311 0.070 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)[C@@H]1CCC[C@H]1O ZINC000352670854 529826429 /nfs/dbraw/zinc/82/64/29/529826429.db2.gz GYRQILYYGHXQJC-CHWSQXEVSA-N 0 1 278.356 0.488 20 30 CCEDMN CN(CCNC(=O)N[C@@H]1CC[S@@](=O)C1)C1CC1 ZINC000330023084 529852650 /nfs/dbraw/zinc/85/26/50/529852650.db2.gz IDNVNFYNUHKVNW-VVVCHXIZSA-N 0 1 259.375 0.105 20 30 CCEDMN CN(CCNC(=O)N[C@@H]1CC[S@](=O)C1)C1CC1 ZINC000330023085 529852745 /nfs/dbraw/zinc/85/27/45/529852745.db2.gz IDNVNFYNUHKVNW-XLFHBGCDSA-N 0 1 259.375 0.105 20 30 CCEDMN CN(CC1(O)CCC1)C([O-])=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330275718 529854835 /nfs/dbraw/zinc/85/48/35/529854835.db2.gz LXDMLJLXWWBHOF-LLVKDONJSA-N 0 1 278.356 0.959 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2cnc3ccc(C#N)cn23)CC1 ZINC000188722784 231874461 /nfs/dbraw/zinc/87/44/61/231874461.db2.gz VZBAYINLUGKHHS-CYBMUJFWSA-N 0 1 299.378 0.704 20 30 CCEDMN C[N@H+]1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(C#N)cc1 ZINC000118104822 349897461 /nfs/dbraw/zinc/89/74/61/349897461.db2.gz WBZWQHCVXDPMFR-CYBMUJFWSA-N 0 1 286.335 0.707 20 30 CCEDMN CN1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(C#N)cc1 ZINC000118104822 349897467 /nfs/dbraw/zinc/89/74/67/349897467.db2.gz WBZWQHCVXDPMFR-CYBMUJFWSA-N 0 1 286.335 0.707 20 30 CCEDMN N#CC1(C(=O)NCCN2CC[C@H](O)C2)CC2(CC2)C1 ZINC000601685893 362356223 /nfs/dbraw/zinc/35/62/23/362356223.db2.gz AYLWDGPSRNZQOP-NSHDSACASA-N 0 1 263.341 0.253 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CCc1nc[nH]n1)C(=O)OC ZINC000601781159 362389446 /nfs/dbraw/zinc/38/94/46/362389446.db2.gz HGDAJRYULOAWKS-SECBINFHSA-N 0 1 266.301 0.361 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@@H](C)CN1CCOCC1)C(=O)OC ZINC000601787123 362394326 /nfs/dbraw/zinc/39/43/26/362394326.db2.gz JYWMKZFOEBSESH-QWHCGFSZSA-N 0 1 298.383 0.579 20 30 CCEDMN CC(=O)N[C@@H]1C(C)=NN(Cc2cccc(C#N)c2)C1=O ZINC000601944643 362431958 /nfs/dbraw/zinc/43/19/58/362431958.db2.gz NPGJVMLWUQWVAU-CYBMUJFWSA-N 0 1 270.292 0.781 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN1CC(Cc2ccco2)C1 ZINC000601966321 362440451 /nfs/dbraw/zinc/44/04/51/362440451.db2.gz ZKLPNWGPEUKGRY-CQSZACIVSA-N 0 1 277.368 0.960 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)C(C)(C)CN1CCOCC1 ZINC000602078482 362473092 /nfs/dbraw/zinc/47/30/92/362473092.db2.gz JGWJHTZCHFQRJX-GFCCVEGCSA-N 0 1 267.373 0.963 20 30 CCEDMN CN(CC1(C#N)CC1)C1CCN(S(C)(=O)=O)CC1 ZINC000408109923 260235658 /nfs/dbraw/zinc/23/56/58/260235658.db2.gz IOSRTHHMLLYTNM-UHFFFAOYSA-N 0 1 271.386 0.646 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)NCCCC#N ZINC000602131696 362511959 /nfs/dbraw/zinc/51/19/59/362511959.db2.gz UJUMCEOVPNFPIE-UHFFFAOYSA-N 0 1 253.346 0.765 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CC1 ZINC000602200784 362554738 /nfs/dbraw/zinc/55/47/38/362554738.db2.gz SOLPNMOGQJXBCX-GFCCVEGCSA-N 0 1 287.367 0.572 20 30 CCEDMN C=CCCSCCNC(=O)NCCN1CC[C@@H](O)C1 ZINC000602335457 362590214 /nfs/dbraw/zinc/59/02/14/362590214.db2.gz YUGKQHLBVASDQD-GFCCVEGCSA-N 0 1 287.429 0.662 20 30 CCEDMN C[C@@]1(C(=O)NCc2ccc(CC#N)cc2)CNCCO1 ZINC000602515096 362651615 /nfs/dbraw/zinc/65/16/15/362651615.db2.gz UCWVJUDAGAVEJC-HNNXBMFYSA-N 0 1 273.336 0.747 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccon1 ZINC001017428004 649848786 /nfs/dbraw/zinc/84/87/86/649848786.db2.gz BIAWBLDBYRYDNK-OKILXGFUSA-N 0 1 273.336 0.916 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2cccc(C#N)n2)[C@@H](C)C1 ZINC000602647631 362731928 /nfs/dbraw/zinc/73/19/28/362731928.db2.gz IVJOTHHXLQFHHV-STQMWFEESA-N 0 1 274.368 0.840 20 30 CCEDMN N#Cc1cccc(CN2CC(N3CC[C@@H](O)C3)C2)n1 ZINC000602714255 362764412 /nfs/dbraw/zinc/76/44/12/362764412.db2.gz XVTUXISPFJREIR-CQSZACIVSA-N 0 1 258.325 0.204 20 30 CCEDMN COC(=O)CNC(=O)CNCc1ccc(C#N)c(C)c1 ZINC000602750655 362784415 /nfs/dbraw/zinc/78/44/15/362784415.db2.gz RCIASANSKBUFAP-UHFFFAOYSA-N 0 1 275.308 0.246 20 30 CCEDMN C[C@@H]1CN(C[C@H]2CCc3ncnn32)C[C@@H](C)N1CC#N ZINC000602850826 362837197 /nfs/dbraw/zinc/83/71/97/362837197.db2.gz SOCBQXHONMPKMM-JHJVBQTASA-N 0 1 274.372 0.684 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cc(C(F)F)[nH]n2)CCO1 ZINC000602850499 362837268 /nfs/dbraw/zinc/83/72/68/362837268.db2.gz WKTYWFIAADVPHY-LURJTMIESA-N 0 1 256.212 0.712 20 30 CCEDMN C[C@@H]1OCC[C@H]1CN1CCN(C(=O)CC#N)CC1 ZINC000602840628 362829052 /nfs/dbraw/zinc/82/90/52/362829052.db2.gz JXBNITZGCPBCEX-RYUDHWBXSA-N 0 1 251.330 0.469 20 30 CCEDMN CCC[C@H](NC(=O)c1ccc(C#N)o1)c1nn[nH]n1 ZINC000342345286 137089484 /nfs/dbraw/zinc/08/94/84/137089484.db2.gz CERMPZCLKQBVQP-QMMMGPOBSA-N 0 1 260.257 0.936 20 30 CCEDMN N#Cc1cccc(CN[C@H]2COC[C@@H]2n2ccnn2)c1 ZINC000344456082 137195769 /nfs/dbraw/zinc/19/57/69/137195769.db2.gz NXQPDJHUYLFANO-KBPBESRZSA-N 0 1 269.308 0.879 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)Cc1cccc(C#N)c1 ZINC000344906958 137220727 /nfs/dbraw/zinc/22/07/27/137220727.db2.gz BGSAYHBBPPLRLZ-LLVKDONJSA-N 0 1 281.381 0.928 20 30 CCEDMN C[C@H](N(C)C(=O)N=c1[nH]n(C)cc1C#N)C(C)(C)O ZINC000602883916 362862337 /nfs/dbraw/zinc/86/23/37/362862337.db2.gz ZCSDHMSORUPMTD-QMMMGPOBSA-N 0 1 265.317 0.337 20 30 CCEDMN C=CCNC(=O)CN1CCC(C(=O)N(C)C)CC1 ZINC000041106393 348247259 /nfs/dbraw/zinc/24/72/59/348247259.db2.gz YUJXXJHTRFDBAN-UHFFFAOYSA-N 0 1 253.346 0.089 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCCC(F)(F)C2)[nH]1 ZINC000602887702 362866272 /nfs/dbraw/zinc/86/62/72/362866272.db2.gz QGRUCQJMNOHDCW-UHFFFAOYSA-N 0 1 269.255 0.977 20 30 CCEDMN Cc1cccc2nc(CNC(=O)Cn3cnc(C#N)n3)[nH]c21 ZINC000345934391 137260957 /nfs/dbraw/zinc/26/09/57/137260957.db2.gz QINGLOFTVRGVAM-UHFFFAOYSA-N 0 1 295.306 0.651 20 30 CCEDMN CC(C)N(CC(N)=O)C[C@H](O)COc1ccccc1C#N ZINC000042428867 348279851 /nfs/dbraw/zinc/27/98/51/348279851.db2.gz LTNSMDAXGQMBNM-ZDUSSCGKSA-N 0 1 291.351 0.494 20 30 CCEDMN CCS(=O)(=O)NCCCN(C)[C@@H]1CCC[C@@H]1C#N ZINC000602980832 362920751 /nfs/dbraw/zinc/92/07/51/362920751.db2.gz WFPUIGRAWKKEOQ-VXGBXAGGSA-N 0 1 273.402 0.940 20 30 CCEDMN O=C1CN=C(NC(O)=C2CC(=O)Nc3cc(F)ccc32)N1 ZINC000050223252 348470792 /nfs/dbraw/zinc/47/07/92/348470792.db2.gz ATHHDCKGZIKYJN-QMMMGPOBSA-N 0 1 290.254 0.694 20 30 CCEDMN CCN(CCCN1C(=O)CNC1=O)C[C@H](C)C#N ZINC000066424547 348742830 /nfs/dbraw/zinc/74/28/30/348742830.db2.gz GKDBBWONLJCUIS-SNVBAGLBSA-N 0 1 252.318 0.410 20 30 CCEDMN N#CCNC(=O)CN1CCN(CCc2cccs2)CC1 ZINC000076669250 349089562 /nfs/dbraw/zinc/08/95/62/349089562.db2.gz MVVFKIUVHWLJRL-UHFFFAOYSA-N 0 1 292.408 0.548 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCC1CCN(CC#N)CC1 ZINC000081733837 349281367 /nfs/dbraw/zinc/28/13/67/349281367.db2.gz WOMBSQKSEWKDJI-CQSZACIVSA-N 0 1 278.400 0.822 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2ccccc2C#N)[C@H](C)CN1 ZINC000086468787 349340019 /nfs/dbraw/zinc/34/00/19/349340019.db2.gz JRRWMVKXHGKAFY-GHMZBOCLSA-N 0 1 279.365 0.929 20 30 CCEDMN C[C@@H](O)[C@@H]1CCCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000089927319 349413193 /nfs/dbraw/zinc/41/31/93/349413193.db2.gz MUSCXROKACJAKJ-ZIAGYGMSSA-N 0 1 292.383 0.735 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)CC(=O)N(C)C1CC1 ZINC000089943484 349415877 /nfs/dbraw/zinc/41/58/77/349415877.db2.gz DLMIBSXXLVBUBT-UHFFFAOYSA-N 0 1 290.371 0.349 20 30 CCEDMN C[C@H](C(=O)N1C[C@@H](C)N(CC#N)[C@@H](C)C1)N(C)C ZINC000603089904 362957368 /nfs/dbraw/zinc/95/73/68/362957368.db2.gz FQYAJDIOHDGCEX-GRYCIOLGSA-N 0 1 252.362 0.381 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2c[nH]nn2)C[C@H](C)N1CC#N ZINC000603092094 362958758 /nfs/dbraw/zinc/95/87/58/362958758.db2.gz MLKDUGIIVLDDDC-QWRGUYRKSA-N 0 1 276.344 0.182 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnn[nH]2)C[C@H](C)N1CC#N ZINC000603092094 362958760 /nfs/dbraw/zinc/95/87/60/362958760.db2.gz MLKDUGIIVLDDDC-QWRGUYRKSA-N 0 1 276.344 0.182 20 30 CCEDMN C[C@@H]1CN(C(=O)C2(N(C)C)CC2)C[C@@H](C)N1CC#N ZINC000603093532 362959694 /nfs/dbraw/zinc/95/96/94/362959694.db2.gz QVASYYTVEWOKIJ-VXGBXAGGSA-N 0 1 264.373 0.525 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@@H](C)N1CC#N ZINC000603102459 362963825 /nfs/dbraw/zinc/96/38/25/362963825.db2.gz UPUFHBZQXIXWBX-GHMZBOCLSA-N 0 1 261.329 0.397 20 30 CCEDMN CCOCC[C@@H](O)CN1CCN([C@H](C#N)C(C)C)CC1 ZINC000618289741 365974755 /nfs/dbraw/zinc/97/47/55/365974755.db2.gz UTZAYTVRPXEPAJ-HUUCEWRRSA-N 0 1 283.416 0.940 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)CN1CCC(C)CC1 ZINC000156078890 350625757 /nfs/dbraw/zinc/62/57/57/350625757.db2.gz OLSINQHJKBGHHN-CYBMUJFWSA-N 0 1 253.346 0.763 20 30 CCEDMN COCC[N@@H+](C)CCNC(=O)Cc1ccc(C#N)cc1 ZINC000110511258 186299879 /nfs/dbraw/zinc/29/98/79/186299879.db2.gz RPTDHTUYRFWZPR-UHFFFAOYSA-N 0 1 275.352 0.795 20 30 CCEDMN CCNCc1cn(CC(=O)N[C@](C)(C#N)C(C)C)nn1 ZINC000603171268 362982124 /nfs/dbraw/zinc/98/21/24/362982124.db2.gz NAUXLAJOFDTMSQ-CYBMUJFWSA-N 0 1 278.360 0.442 20 30 CCEDMN CC(=O)NCCCN1CCN(c2cc(C#N)ccn2)CC1 ZINC000164461915 350779265 /nfs/dbraw/zinc/77/92/65/350779265.db2.gz QCGPDWWBKLOBNZ-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN C[C@H](CO)NCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000166370121 350798713 /nfs/dbraw/zinc/79/87/13/350798713.db2.gz OXHDNWWBUFLUCT-SNVBAGLBSA-N 0 1 268.338 0.302 20 30 CCEDMN CNCc1cn([C@H](C)C(=O)N[C@@](C)(C#N)C(C)C)nn1 ZINC000603178633 362985957 /nfs/dbraw/zinc/98/59/57/362985957.db2.gz XHRDRSLCMGLXFK-MFKMUULPSA-N 0 1 278.360 0.613 20 30 CCEDMN CNCc1cn([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)nn1 ZINC000603189465 362990778 /nfs/dbraw/zinc/99/07/78/362990778.db2.gz BNQRRWFFWUFDKP-CQSZACIVSA-N 0 1 296.334 0.847 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)C#Cc1ccccc1 ZINC000175134690 351119868 /nfs/dbraw/zinc/11/98/68/351119868.db2.gz SBBNPYGSMQHCRJ-OAHLLOKOSA-N 0 1 285.391 0.790 20 30 CCEDMN CCNCc1cn(CC(=O)N(CC)CCC#N)nn1 ZINC000603209410 363000391 /nfs/dbraw/zinc/00/03/91/363000391.db2.gz PWKAZTJIOLXAAI-UHFFFAOYSA-N 0 1 264.333 0.150 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)Cn1cc(CNC)nn1 ZINC000603210083 363000657 /nfs/dbraw/zinc/00/06/57/363000657.db2.gz UBDOHFJKZIJZNX-JTQLQIEISA-N 0 1 264.333 0.006 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)Cn1cc([C@H](C)NC)nn1 ZINC000603209111 363000678 /nfs/dbraw/zinc/00/06/78/363000678.db2.gz OEDQNNKFAGGQPZ-MNOVXSKESA-N 0 1 278.360 0.567 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@]2(C)C(=O)OC)CC1 ZINC000187688054 351552620 /nfs/dbraw/zinc/55/26/20/351552620.db2.gz DHVOSMRMOZEJKN-INIZCTEOSA-N 0 1 292.379 0.886 20 30 CCEDMN CCN1CCC[C@H]1CNS(=O)(=O)c1ccc(C#N)nc1 ZINC000122043237 187012019 /nfs/dbraw/zinc/01/20/19/187012019.db2.gz WABQFEWFZNIUGS-LBPRGKRZSA-N 0 1 294.380 0.716 20 30 CCEDMN CC(C)NC(=O)CNCc1cnc2ccc(C#N)cn12 ZINC000123186454 187076432 /nfs/dbraw/zinc/07/64/32/187076432.db2.gz QMPUFINNGZXHGZ-UHFFFAOYSA-N 0 1 271.324 0.820 20 30 CCEDMN C[C@@H](O)CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000123672523 187104220 /nfs/dbraw/zinc/10/42/20/187104220.db2.gz LCQNZZDOWZXAFN-ZCFIWIBFSA-N 0 1 276.264 0.496 20 30 CCEDMN C#C[C@@H](C)Oc1nc[nH]c(=O)c1I ZINC000235235800 352180917 /nfs/dbraw/zinc/18/09/17/352180917.db2.gz IHHOVCMOKRFMJW-RXMQYKEDSA-N 0 1 290.060 0.775 20 30 CCEDMN C[C@@H](O)CCN(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000253192521 352528682 /nfs/dbraw/zinc/52/86/82/352528682.db2.gz NJFKYJMNTUFHEE-ZWNOBZJWSA-N 0 1 253.346 0.498 20 30 CCEDMN C=CCCOCC(=O)NC1(C#N)CCN(C)CC1 ZINC000604437359 363196378 /nfs/dbraw/zinc/19/63/78/363196378.db2.gz DVCLRCDXDBWPQD-UHFFFAOYSA-N 0 1 251.330 0.683 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2C(=O)OCC)CC1 ZINC000274396495 353125628 /nfs/dbraw/zinc/12/56/28/353125628.db2.gz JLYWVTQICFLBTK-CQSZACIVSA-N 0 1 292.379 0.886 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1ccc(C#N)cc1)c1nn[nH]n1 ZINC000274791006 353162127 /nfs/dbraw/zinc/16/21/27/353162127.db2.gz PWUYJEIUSVHVNB-SNVBAGLBSA-N 0 1 284.323 0.876 20 30 CCEDMN Cn1cc(CNCC2(S(C)(=O)=O)CCC2)cc1C#N ZINC000279828786 353317829 /nfs/dbraw/zinc/31/78/29/353317829.db2.gz QBSSVIFYGJFMRV-UHFFFAOYSA-N 0 1 281.381 0.954 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(C[C@@H](C)O)C[C@H]2C)n1 ZINC000286843360 353614102 /nfs/dbraw/zinc/61/41/02/353614102.db2.gz LWJSHKOOKFDNGY-VXGBXAGGSA-N 0 1 275.356 0.548 20 30 CCEDMN CCNC(=O)CN1CCN(C[C@@H](C)CCC#N)CC1 ZINC000618887384 366113570 /nfs/dbraw/zinc/11/35/70/366113570.db2.gz WKPUZLJEFJRCDE-ZDUSSCGKSA-N 0 1 266.389 0.680 20 30 CCEDMN CCc1nc(CNC(=O)COc2ccc(C#N)cc2)n[nH]1 ZINC000295460571 353895709 /nfs/dbraw/zinc/89/57/09/353895709.db2.gz XHWVWIPXRQCPCE-UHFFFAOYSA-N 0 1 285.307 0.934 20 30 CCEDMN C#CCNC(=O)CCN1CC(C(=O)OC(C)(C)C)C1 ZINC000295836593 353921395 /nfs/dbraw/zinc/92/13/95/353921395.db2.gz HPCVAMLMNHRKEY-UHFFFAOYSA-N 0 1 266.341 0.399 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NCc1cc(C)no1 ZINC000296356360 353944303 /nfs/dbraw/zinc/94/43/03/353944303.db2.gz NLHGCPKNEVSQTJ-UHFFFAOYSA-N 0 1 264.329 0.737 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2C(=O)OC)CC1 ZINC000299442838 354016240 /nfs/dbraw/zinc/01/62/40/354016240.db2.gz HPCPZBPXMGNDQF-CYBMUJFWSA-N 0 1 278.352 0.496 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN(CC(C)(C)O)CC1 ZINC000306069048 354171944 /nfs/dbraw/zinc/17/19/44/354171944.db2.gz ZREHCJKMFPTPBQ-LLVKDONJSA-N 0 1 256.346 0.103 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(CC)(CO)CC1 ZINC000305714011 354153106 /nfs/dbraw/zinc/15/31/06/354153106.db2.gz GJCHWQLBOOZXHA-GFCCVEGCSA-N 0 1 252.358 0.609 20 30 CCEDMN CCc1nc(C#N)cc(NC[C@H]2CN(C)CCN2C)n1 ZINC000308183107 354183150 /nfs/dbraw/zinc/18/31/50/354183150.db2.gz XBIDPRQVTPNTIP-LBPRGKRZSA-N 0 1 274.372 0.568 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H]2CCN(C3CC3)C2)ccn1 ZINC000331581046 354612464 /nfs/dbraw/zinc/61/24/64/354612464.db2.gz WMIPDYQBRLDIAJ-NSHDSACASA-N 0 1 256.309 0.920 20 30 CCEDMN C[C@@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)CCCC#N ZINC000331741307 354617604 /nfs/dbraw/zinc/61/76/04/354617604.db2.gz ATBWVJJUTNVXOD-ZYHUDNBSSA-N 0 1 271.386 0.692 20 30 CCEDMN C=CCOCCN1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000339136536 355492176 /nfs/dbraw/zinc/49/21/76/355492176.db2.gz WUNGVNZRCBTIEO-ZDUSSCGKSA-N 0 1 288.413 0.689 20 30 CCEDMN N#Cc1cccc(N2CCN(CCCCO)CC2)n1 ZINC000339220790 355501767 /nfs/dbraw/zinc/50/17/67/355501767.db2.gz RPVQCWFFEUMCJM-UHFFFAOYSA-N 0 1 260.341 0.848 20 30 CCEDMN N#Cc1cnc(N2CC[C@@H](c3nc[nH]n3)C2)c([N+](=O)[O-])c1 ZINC000425291178 235282186 /nfs/dbraw/zinc/28/21/86/235282186.db2.gz YXSMNLZAJOAPOW-SECBINFHSA-N 0 1 285.267 0.973 20 30 CCEDMN COCC[N@H+]1CC[C@H](Nc2cc(C)ncc2C#N)C1 ZINC000425225599 235274723 /nfs/dbraw/zinc/27/47/23/235274723.db2.gz LSKPIVQLYQCCBZ-ZDUSSCGKSA-N 0 1 260.341 0.816 20 30 CCEDMN CN(CCC(=O)Nc1cccc(C#N)c1)[C@H]1CCNC1=O ZINC000340220171 355526494 /nfs/dbraw/zinc/52/64/94/355526494.db2.gz CSQZDWSXYGDMGB-ZDUSSCGKSA-N 0 1 286.335 0.707 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000345543107 291070675 /nfs/dbraw/zinc/07/06/75/291070675.db2.gz KMPOSLDKVLIBQD-QWRGUYRKSA-N 0 1 267.329 0.384 20 30 CCEDMN N#Cc1ccc([C@@H](NCCCn2ccnn2)C(N)=O)cc1 ZINC000342752419 355737964 /nfs/dbraw/zinc/73/79/64/355737964.db2.gz ORSNKZLGWHHPPH-CYBMUJFWSA-N 0 1 284.323 0.356 20 30 CCEDMN N#CC1(NC(=O)CN2CCCC[C@H]2CC(N)=O)CCC1 ZINC000346858184 355874122 /nfs/dbraw/zinc/87/41/22/355874122.db2.gz VVWPGRAWZZBQOR-NSHDSACASA-N 0 1 278.356 0.279 20 30 CCEDMN CO[C@]1(CNCc2nc(C#N)cs2)CCOC1 ZINC000352899123 356105615 /nfs/dbraw/zinc/10/56/15/356105615.db2.gz QERXFWFCTDUXFC-NSHDSACASA-N 0 1 253.327 0.910 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)CNC(=O)c1ccc(C#N)cc1 ZINC000353404289 356216124 /nfs/dbraw/zinc/21/61/24/356216124.db2.gz NLANRIRFVXYBOQ-UHFFFAOYSA-N 0 1 298.306 0.065 20 30 CCEDMN C#CCCN1CCN(C(=O)c2cnccc2N(C)C)CC1 ZINC000273602904 192227317 /nfs/dbraw/zinc/22/73/17/192227317.db2.gz PGMJNYOICWDTNL-UHFFFAOYSA-N 0 1 286.379 0.929 20 30 CCEDMN CCN(CCC#N)C(=O)CN(CCCO)C1CC1 ZINC000610133035 363538332 /nfs/dbraw/zinc/53/83/32/363538332.db2.gz YCRFLKWBSBSLJP-UHFFFAOYSA-N 0 1 253.346 0.595 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2ccccc2CO)[nH]1 ZINC000610528127 363572909 /nfs/dbraw/zinc/57/29/09/363572909.db2.gz PMAUHFHGKNVFRN-UHFFFAOYSA-N 0 1 285.307 0.528 20 30 CCEDMN CC[C@@H](C)NC(=O)[C@@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610528236 363574222 /nfs/dbraw/zinc/57/42/22/363574222.db2.gz YAQHARYHEOXJDR-RKDXNWHRSA-N 0 1 292.343 0.138 20 30 CCEDMN CCCCN(CCOC)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610562525 363576658 /nfs/dbraw/zinc/57/66/58/363576658.db2.gz WSHOEYCVXQOQBJ-UHFFFAOYSA-N 0 1 279.344 0.994 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H]2CCCOC2)[nH]1 ZINC000610564970 363579483 /nfs/dbraw/zinc/57/94/83/363579483.db2.gz UUGMXQUQLRYYDQ-VIFPVBQESA-N 0 1 263.301 0.262 20 30 CCEDMN CO[C@@H](CNC(=O)N=c1[nH]n(C)cc1C#N)C(C)C ZINC000610569873 363581060 /nfs/dbraw/zinc/58/10/60/363581060.db2.gz FGTJHLCBRIZKLE-JTQLQIEISA-N 0 1 265.317 0.506 20 30 CCEDMN CS(=O)(=O)N1CC[C@@H](NCc2ccc(C#N)cc2F)C1 ZINC000400162181 357787545 /nfs/dbraw/zinc/78/75/45/357787545.db2.gz WMGPZIYPHZZGPR-GFCCVEGCSA-N 0 1 297.355 0.821 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](C)c1nnc2ccccn21 ZINC000294381953 199018354 /nfs/dbraw/zinc/01/83/54/199018354.db2.gz BNUCCCMRUQOOQW-NSHDSACASA-N 0 1 271.324 0.519 20 30 CCEDMN C#CCNC(=O)CCN[C@H](C)c1nnc2ccccn21 ZINC000294381946 199018393 /nfs/dbraw/zinc/01/83/93/199018393.db2.gz BNUCCCMRUQOOQW-LLVKDONJSA-N 0 1 271.324 0.519 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2CCCCN2CCO)o1 ZINC000452369509 236236522 /nfs/dbraw/zinc/23/65/22/236236522.db2.gz DTSVGTZYBLEESA-LLVKDONJSA-N 0 1 277.324 0.728 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N1C[C@@H](C)[C@H](CO)C1 ZINC000484725896 358284038 /nfs/dbraw/zinc/28/40/38/358284038.db2.gz JKTPVZOLFLHSAG-UKTARXLSSA-N 0 1 281.400 0.990 20 30 CCEDMN N#Cc1cnn2cc(CN(CCO)C3CC3)cnc12 ZINC000568632548 358691674 /nfs/dbraw/zinc/69/16/74/358691674.db2.gz SKJWJOBHLVGPLN-UHFFFAOYSA-N 0 1 257.297 0.558 20 30 CCEDMN C[C@@H]1COCC[C@H]1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000588259043 359319990 /nfs/dbraw/zinc/31/99/90/359319990.db2.gz VJQDVBUYBVGIIS-ZJUUUORDSA-N 0 1 277.328 0.508 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)CCCCCC#N)C1 ZINC000588360268 359323995 /nfs/dbraw/zinc/32/39/95/359323995.db2.gz QECJIOMUGMMVEY-CYBMUJFWSA-N 0 1 266.389 0.822 20 30 CCEDMN CC[C@@H](CNC(=O)N=c1[nH]n(C)cc1C#N)OC ZINC000589332354 359378863 /nfs/dbraw/zinc/37/88/63/359378863.db2.gz CMISISQRFLXDFR-VIFPVBQESA-N 0 1 251.290 0.260 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCc2cn[nH]c2C1 ZINC000611008592 363647693 /nfs/dbraw/zinc/64/76/93/363647693.db2.gz CHKYNMMQRWFQFS-SNVBAGLBSA-N 0 1 261.329 0.528 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3cncc(C#N)c3)[C@H]2C1 ZINC000589928834 359410560 /nfs/dbraw/zinc/41/05/60/359410560.db2.gz GDFYNHNSCMZTND-YPMHNXCESA-N 0 1 292.364 0.278 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3ccc(C#N)cc3)[C@@H]2C1 ZINC000589925693 359410699 /nfs/dbraw/zinc/41/06/99/359410699.db2.gz ODHOXFNYAXXYHQ-TZMCWYRMSA-N 0 1 291.376 0.883 20 30 CCEDMN N#CC1(CC(=O)NCCCCN2CCOCC2)CC1 ZINC000590154945 359426634 /nfs/dbraw/zinc/42/66/34/359426634.db2.gz FBRTWEHTMZGQBX-UHFFFAOYSA-N 0 1 265.357 0.909 20 30 CCEDMN N#CC1(CC(=O)N2CC[C@H](CN3CCOCC3)C2)CC1 ZINC000590277704 359433741 /nfs/dbraw/zinc/43/37/41/359433741.db2.gz LVTGVQGIJXYCII-CYBMUJFWSA-N 0 1 277.368 0.861 20 30 CCEDMN N#CCCn1ccc(=NC(=O)[C@H]2CCCc3n[nH]nc32)[nH]1 ZINC000590439012 359463326 /nfs/dbraw/zinc/46/33/26/359463326.db2.gz MQDHIVWWVDOPDJ-VIFPVBQESA-N 0 1 285.311 0.395 20 30 CCEDMN CC(C)(CO)N1CCN(C(=O)CC2(C#N)CC2)CC1 ZINC000590317928 359438606 /nfs/dbraw/zinc/43/86/06/359438606.db2.gz BTZAKKGOJNNORF-UHFFFAOYSA-N 0 1 265.357 0.595 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000590819352 359526738 /nfs/dbraw/zinc/52/67/38/359526738.db2.gz HGOVTTHYWLDSHC-MNOVXSKESA-N 0 1 275.312 0.569 20 30 CCEDMN CC1(C)CN(C(=O)N[C@@H]2CC[C@H](C#N)C2)CC[N@H+]1CCO ZINC000591881104 359674536 /nfs/dbraw/zinc/67/45/36/359674536.db2.gz UNYZAXHSPUUERC-QWHCGFSZSA-N 0 1 294.399 0.777 20 30 CCEDMN CC1(C)CN(C(=O)N[C@@H]2CC[C@H](C#N)C2)CCN1CCO ZINC000591881104 359674543 /nfs/dbraw/zinc/67/45/43/359674543.db2.gz UNYZAXHSPUUERC-QWHCGFSZSA-N 0 1 294.399 0.777 20 30 CCEDMN N#Cc1cnccc1CN[C@H](CO)C1CCOCC1 ZINC000592100730 359755583 /nfs/dbraw/zinc/75/55/83/359755583.db2.gz MRPMOTSCLHNXOM-CQSZACIVSA-N 0 1 261.325 0.830 20 30 CCEDMN C[C@@H]1CN(Cc2ccncc2C#N)CCN1CCO ZINC000592107207 359756023 /nfs/dbraw/zinc/75/60/23/359756023.db2.gz GHOSQXLRXFRTEZ-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN CCCN(CCO)Cc1cnc2c(C#N)cnn2c1 ZINC000563154721 291200425 /nfs/dbraw/zinc/20/04/25/291200425.db2.gz UMCXPHUVZCSZQZ-UHFFFAOYSA-N 0 1 259.313 0.805 20 30 CCEDMN C[C@H]1CCCN1C(=O)CCN1CCN(CCC#N)CC1 ZINC000611176123 363672600 /nfs/dbraw/zinc/67/26/00/363672600.db2.gz WEQKEPHPVIYFCT-AWEZNQCLSA-N 0 1 278.400 0.919 20 30 CCEDMN CCCN1C[C@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)CC1=O ZINC000592649224 359909033 /nfs/dbraw/zinc/90/90/33/359909033.db2.gz LGNLCBVTQARYIV-SNVBAGLBSA-N 0 1 289.339 0.219 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)c2csc(=NC3CC3)[nH]2)C1 ZINC000592848422 359953219 /nfs/dbraw/zinc/95/32/19/359953219.db2.gz WPLCDZMLFINQQV-CYBMUJFWSA-N 0 1 292.364 0.630 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC([C@H](C)CO)CC1 ZINC000593084075 360030592 /nfs/dbraw/zinc/03/05/92/360030592.db2.gz MHYVJMBWYVWSKH-LLVKDONJSA-N 0 1 283.372 0.339 20 30 CCEDMN N#Cc1cc(N2CC(N3CC[C@@H](O)C3)C2)ccc1[N+](=O)[O-] ZINC000593504576 360101397 /nfs/dbraw/zinc/10/13/97/360101397.db2.gz QLZADRAUOQLNPD-CYBMUJFWSA-N 0 1 288.307 0.722 20 30 CCEDMN N#Cc1ncccc1N1CCN(Cc2nnc[nH]2)CC1 ZINC000593508917 360102087 /nfs/dbraw/zinc/10/20/87/360102087.db2.gz XBEABONARVNAEF-UHFFFAOYSA-N 0 1 269.312 0.394 20 30 CCEDMN CC(C)(CO)N1CCN(Cc2ccc(C#N)cn2)CC1 ZINC000593966218 360223761 /nfs/dbraw/zinc/22/37/61/360223761.db2.gz MTLYGJLIOJIYTN-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN CCN1CCCN(C(=O)C(=O)N2CC[C@](C)(C#N)C2)CC1 ZINC000595132088 360441625 /nfs/dbraw/zinc/44/16/25/360441625.db2.gz RPDLWMWCBZSIMD-OAHLLOKOSA-N 0 1 292.383 0.303 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)N=c2ccn(CCC#N)[nH]2)n1 ZINC000611519220 363732361 /nfs/dbraw/zinc/73/23/61/363732361.db2.gz DYEKMSVAMHXGIP-UHFFFAOYSA-N 0 1 288.315 0.009 20 30 CCEDMN CCN1CCN(C(=O)Cc2noc(C)c2C#N)C[C@H]1C ZINC000611528971 363734755 /nfs/dbraw/zinc/73/47/55/363734755.db2.gz KXTLGIJPEHMUQC-SNVBAGLBSA-N 0 1 276.340 0.950 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N1C[C@@H](C)NC[C@@H]1C ZINC000595284015 360480464 /nfs/dbraw/zinc/48/04/64/360480464.db2.gz MSDVYCLHCVZLFG-NEPJUHHUSA-N 0 1 299.440 0.621 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)N(C)C[C@@H](C)C#N)CCN1 ZINC000595410578 360524104 /nfs/dbraw/zinc/52/41/04/360524104.db2.gz FIGYPESVCXEBCG-NWDGAFQWSA-N 0 1 288.417 0.252 20 30 CCEDMN N#Cc1ccc(CCN2CCN3C(=O)NC[C@H]3C2)cc1 ZINC000595345740 360502710 /nfs/dbraw/zinc/50/27/10/360502710.db2.gz QLFJWZSJQNVVLC-AWEZNQCLSA-N 0 1 270.336 0.810 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)Cc1n[nH]c(=O)o1 ZINC000595552627 360577042 /nfs/dbraw/zinc/57/70/42/360577042.db2.gz XYZILJMYZDIJRR-GFCCVEGCSA-N 0 1 281.316 0.261 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@@H]1CCO[C@@H]1C(=O)OC ZINC000595668542 360614516 /nfs/dbraw/zinc/61/45/16/360614516.db2.gz CYPLJZLJMOJIRW-XQQFMLRXSA-N 0 1 298.383 0.720 20 30 CCEDMN CN1CC(=O)N(CCN2CCC[C@@H](CC#N)C2)C1=O ZINC000595623147 360601744 /nfs/dbraw/zinc/60/17/44/360601744.db2.gz IVMNQKUEMOQQBU-NSHDSACASA-N 0 1 264.329 0.506 20 30 CCEDMN C[C@@H]1NC(=O)N(CCN2CCC[C@H](CC#N)C2)C1=O ZINC000595623544 360602193 /nfs/dbraw/zinc/60/21/93/360602193.db2.gz SWUGBNXQSFXELV-WDEREUQCSA-N 0 1 264.329 0.552 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCC[C@@H]1[C@@H](C)O ZINC000595769763 360647528 /nfs/dbraw/zinc/64/75/28/360647528.db2.gz LQMQMGBCPCRQGW-BZPMIXESSA-N 0 1 267.373 0.886 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)NC(=O)NC1CCCCC1 ZINC000595864040 360683551 /nfs/dbraw/zinc/68/35/51/360683551.db2.gz NPDXBLGIDKZOTC-GHMZBOCLSA-N 0 1 283.372 0.670 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@H](C(=O)OC)[C@@H](C)C1 ZINC000595824101 360668629 /nfs/dbraw/zinc/66/86/29/360668629.db2.gz MOSVSRVMHFBTIW-QJPTWQEYSA-N 0 1 268.357 0.808 20 30 CCEDMN N#Cc1ccc(CCNC(=O)[C@@H]2CNCCO2)cc1 ZINC000595937090 360714587 /nfs/dbraw/zinc/71/45/87/360714587.db2.gz CZBPPDWVPVCRGO-ZDUSSCGKSA-N 0 1 259.309 0.205 20 30 CCEDMN CCc1nc(C#N)cc(N[C@@H](C)CN2CCN(C)CC2)n1 ZINC000596122184 360802870 /nfs/dbraw/zinc/80/28/70/360802870.db2.gz JVCQDNNMWQXAPM-LBPRGKRZSA-N 0 1 288.399 0.380 20 30 CCEDMN Cc1nc([C@H]2CCN(c3cc(C#N)cnn3)C2)n[nH]1 ZINC000596274251 360863070 /nfs/dbraw/zinc/86/30/70/360863070.db2.gz PUZGKSBACCGNFX-JTQLQIEISA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@H]2CCN(c3cc(C#N)cnn3)C2)[nH]1 ZINC000596274251 360863080 /nfs/dbraw/zinc/86/30/80/360863080.db2.gz PUZGKSBACCGNFX-JTQLQIEISA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nc(C(=O)N2CC[C@H]3OCCN[C@H]3C2)ccc1C#N ZINC000563627595 291243726 /nfs/dbraw/zinc/24/37/26/291243726.db2.gz FUHDUHBNQRAADC-UONOGXRCSA-N 0 1 286.335 0.465 20 30 CCEDMN C=CCCn1cc(CNCC2(C(=O)OC)CC2)nn1 ZINC000597085791 361006332 /nfs/dbraw/zinc/00/63/32/361006332.db2.gz LJBJULOQILKXPQ-UHFFFAOYSA-N 0 1 264.329 0.897 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CC[C@H](N2CCN(CC)CC2)C1 ZINC000597164122 361020151 /nfs/dbraw/zinc/02/01/51/361020151.db2.gz DSLNENIGLLOSCP-DZGCQCFKSA-N 0 1 281.400 0.162 20 30 CCEDMN N#Cc1cnn2cc(CN3CCc4n[nH]nc4C3)cnc12 ZINC000597249781 361041501 /nfs/dbraw/zinc/04/15/01/361041501.db2.gz LIURRMHUXQNABS-UHFFFAOYSA-N 0 1 280.295 0.277 20 30 CCEDMN Cc1cc(=NC(=O)[C@H]2CCc3[nH]cnc3C2)[nH]n1CCC#N ZINC000597459534 361102913 /nfs/dbraw/zinc/10/29/13/361102913.db2.gz BHPVLGBBIFZMOZ-NSHDSACASA-N 0 1 298.350 0.994 20 30 CCEDMN N#C[C@@H]1CSCCN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000597476449 361107287 /nfs/dbraw/zinc/10/72/87/361107287.db2.gz LKMYFMLFQHWPGL-NXEZZACHSA-N 0 1 276.365 0.982 20 30 CCEDMN CN1CC2(C1)CCN(C(=O)c1ccnc(C#N)c1)C2 ZINC000597569297 361141897 /nfs/dbraw/zinc/14/18/97/361141897.db2.gz URVJIJXKGKTTDI-UHFFFAOYSA-N 0 1 256.309 0.731 20 30 CCEDMN N#Cc1ccncc1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000597623689 361165533 /nfs/dbraw/zinc/16/55/33/361165533.db2.gz CFQZNPCMCVQVCS-ZDUSSCGKSA-N 0 1 285.351 0.073 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N(C)CC1CN(C)C1 ZINC000598160357 361345621 /nfs/dbraw/zinc/34/56/21/361345621.db2.gz LIMMAHYBGDOFCA-UHFFFAOYSA-N 0 1 258.325 0.895 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)CNc1ccc(C#N)cc1 ZINC000598165458 361347005 /nfs/dbraw/zinc/34/70/05/361347005.db2.gz XKSXFMHMLXBVPU-UHFFFAOYSA-N 0 1 272.352 0.990 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](C)[C@H](CO)C1 ZINC000598652103 361473138 /nfs/dbraw/zinc/47/31/38/361473138.db2.gz XDKJUVOALGCOSD-RDBSUJKOSA-N 0 1 281.400 0.945 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000612697511 363994398 /nfs/dbraw/zinc/99/43/98/363994398.db2.gz WJNDFCMVDZAGPT-SMDDNHRTSA-N 0 1 290.367 0.854 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000613252116 364180477 /nfs/dbraw/zinc/18/04/77/364180477.db2.gz BBJKQDUDPFLKRO-NXEZZACHSA-N 0 1 264.329 0.525 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2c3ccccc3C[C@@H]2O)[nH]1 ZINC000614182115 364523991 /nfs/dbraw/zinc/52/39/91/364523991.db2.gz CLVKQFKJWWJLKO-STQMWFEESA-N 0 1 297.318 0.493 20 30 CCEDMN C[NH+](C)C[C@](C)(O)CNC(=O)c1cc([O-])cc(C#N)c1 ZINC000614301673 364566579 /nfs/dbraw/zinc/56/65/79/364566579.db2.gz SQNLQXPJCBWBHW-CQSZACIVSA-N 0 1 277.324 0.306 20 30 CCEDMN C=CCOCC(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000615089828 364844473 /nfs/dbraw/zinc/84/44/73/364844473.db2.gz NKHIZRMVKMBETI-CYBMUJFWSA-N 0 1 282.384 0.901 20 30 CCEDMN N#CC1(NC(=O)CN[C@]2(CO)CCc3ccccc32)CCC1 ZINC000615226157 364889632 /nfs/dbraw/zinc/88/96/32/364889632.db2.gz FWTOEKCZUPBADA-KRWDZBQOSA-N 0 1 299.374 0.972 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000616100128 365177718 /nfs/dbraw/zinc/17/77/18/365177718.db2.gz HGFPHOJHZYPKBQ-VHSXEESVSA-N 0 1 264.329 0.668 20 30 CCEDMN CNC(=O)[C@H]1CCCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000566245798 291383299 /nfs/dbraw/zinc/38/32/99/291383299.db2.gz SYRNWPDFVMJHEA-LBPRGKRZSA-N 0 1 298.350 0.559 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCOC2CCOCC2)C1=O ZINC000625388770 368177013 /nfs/dbraw/zinc/17/70/13/368177013.db2.gz ZDNRZQKUVBMBBO-CQSZACIVSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CC[C@@H]1CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)C1 ZINC000625703704 368298541 /nfs/dbraw/zinc/29/85/41/368298541.db2.gz ONXLBCWHZDGATJ-NWDGAFQWSA-N 0 1 267.373 0.477 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCN(CCCF)CC1 ZINC000626072789 368420865 /nfs/dbraw/zinc/42/08/65/368420865.db2.gz LXYDAKBOOSFAJC-GFCCVEGCSA-N 0 1 257.353 0.654 20 30 CCEDMN C=CCN(C(=O)NC[C@H]1COCCN1)[C@H](C)COC ZINC000627191735 368862020 /nfs/dbraw/zinc/86/20/20/368862020.db2.gz VYIGAMHCSKKWRY-NEPJUHHUSA-N 0 1 271.361 0.207 20 30 CCEDMN C=CCN(C(=O)NC[C@H]1COCCN1)[C@@H](C)COC ZINC000627191737 368862354 /nfs/dbraw/zinc/86/23/54/368862354.db2.gz VYIGAMHCSKKWRY-RYUDHWBXSA-N 0 1 271.361 0.207 20 30 CCEDMN C=CCCN(C)CC(=O)Nc1ccc(S(N)(=O)=O)cc1 ZINC000276479721 193348546 /nfs/dbraw/zinc/34/85/46/193348546.db2.gz SPVZOCOVVUHBLL-UHFFFAOYSA-N 0 1 297.380 0.780 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCCN1CC[C@H](O)C1 ZINC000629915259 369826424 /nfs/dbraw/zinc/82/64/24/369826424.db2.gz HJACHNDNFCJRRA-GXTWGEPZSA-N 0 1 270.373 0.398 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCN2CCC[C@H]2C(N)=O)C1 ZINC000633675133 371671476 /nfs/dbraw/zinc/67/14/76/371671476.db2.gz JUVNLJWQHLXHDV-LBPRGKRZSA-N 0 1 279.384 0.799 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)NCCN1CC[C@H](O)C1 ZINC000637118222 373939557 /nfs/dbraw/zinc/93/95/57/373939557.db2.gz ITWQUELFTRTFAP-NEPJUHHUSA-N 0 1 255.362 0.707 20 30 CCEDMN C=CCCn1cc(Cn2cc([C@H](C)NC)nn2)nn1 ZINC000641182477 376854054 /nfs/dbraw/zinc/85/40/54/376854054.db2.gz PXHQWUPXWNRGEA-JTQLQIEISA-N 0 1 261.333 0.774 20 30 CCEDMN COc1cc(C#N)ccc1CN1CCC[C@](O)(CO)C1 ZINC000459636522 249048069 /nfs/dbraw/zinc/04/80/69/249048069.db2.gz REVKWPPMHORMHJ-OAHLLOKOSA-N 0 1 276.336 0.886 20 30 CCEDMN C[C@@H]1CN(c2ccnc(C#N)n2)[C@@H](C)CN1CCO ZINC000516663299 249175943 /nfs/dbraw/zinc/17/59/43/249175943.db2.gz KPYRUUXRJYBZJW-MNOVXSKESA-N 0 1 261.329 0.240 20 30 CCEDMN C=CCCn1cc(CNC[C@@H]2CN(C)CCN2C)nn1 ZINC000657358421 412968044 /nfs/dbraw/zinc/96/80/44/412968044.db2.gz WGBQSXSESKORMW-CQSZACIVSA-N 0 1 278.404 0.190 20 30 CCEDMN C[C@@H](CCC[NH3+])[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000571537434 291821208 /nfs/dbraw/zinc/82/12/08/291821208.db2.gz ICLZNNJVXJOWKB-QMMMGPOBSA-N 0 1 257.315 0.557 20 30 CCEDMN CO[C@H](C)CS(=O)(=O)Nc1ccc(C#N)c(C)n1 ZINC000451093511 533101871 /nfs/dbraw/zinc/10/18/71/533101871.db2.gz WBVONJBDFUENGM-MRVPVSSYSA-N 0 1 269.326 0.460 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000284303175 388775782 /nfs/dbraw/zinc/77/57/82/388775782.db2.gz OSPVVJTYTQICCN-MNOVXSKESA-N 0 1 267.329 0.869 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000185097762 388786728 /nfs/dbraw/zinc/78/67/28/388786728.db2.gz NGXFWLMBISBGMR-ZDUSSCGKSA-N 0 1 281.400 0.821 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)CSCC#N)C1 ZINC000041776891 388863582 /nfs/dbraw/zinc/86/35/82/388863582.db2.gz KXGYMXYQLMDJAM-LBPRGKRZSA-N 0 1 285.413 0.716 20 30 CCEDMN C#CCOc1ccc(CNCCS(=O)(=O)N(C)C)cc1 ZINC000289568907 388873055 /nfs/dbraw/zinc/87/30/55/388873055.db2.gz LPVLKDSDKTXQDD-UHFFFAOYSA-N 0 1 296.392 0.680 20 30 CCEDMN C=C[C@@H](CO)N[C@H]1CCCc2ccc(S(N)(=O)=O)cc21 ZINC000289904081 388897095 /nfs/dbraw/zinc/89/70/95/388897095.db2.gz UAKPBYGBYMMDTF-FZMZJTMJSA-N 0 1 296.392 0.848 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)c1cccc(S(=O)(=O)NC)c1 ZINC000290004221 388899450 /nfs/dbraw/zinc/89/94/50/388899450.db2.gz ZIVAOPOFDFZHOV-ZYHUDNBSSA-N 0 1 284.381 0.792 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@H]1COC[C@@H]1OC ZINC000290214544 388902237 /nfs/dbraw/zinc/90/22/37/388902237.db2.gz VMQKYOMCAVFKSA-AVGNSLFASA-N 0 1 268.357 0.579 20 30 CCEDMN CCC(C#N)(CC)C(=O)NC[C@H]1CN(C)CCN1C ZINC000068808260 388949189 /nfs/dbraw/zinc/94/91/89/388949189.db2.gz UFMPFYHEEIWORT-LBPRGKRZSA-N 0 1 266.389 0.678 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(C2OCCO2)CC1 ZINC000171238109 335060504 /nfs/dbraw/zinc/06/05/04/335060504.db2.gz ZQDYFYHHKQHSEV-NSHDSACASA-N 0 1 266.341 0.209 20 30 CCEDMN C#CCNC(=O)CC[N@H+](CC(=O)OC)C1CCCC1 ZINC000292740264 388954107 /nfs/dbraw/zinc/95/41/07/388954107.db2.gz HFOUVHYYMMMDFC-UHFFFAOYSA-N 0 1 266.341 0.544 20 30 CCEDMN C#CCNC(=O)CCN(CC(=O)OC)C1CCCC1 ZINC000292740264 388954109 /nfs/dbraw/zinc/95/41/09/388954109.db2.gz HFOUVHYYMMMDFC-UHFFFAOYSA-N 0 1 266.341 0.544 20 30 CCEDMN C#CC[N@@H+](C)CCCNS(=O)(=O)c1ccsc1 ZINC000292720869 388954743 /nfs/dbraw/zinc/95/47/43/388954743.db2.gz ANNQJLBCOXVJEX-UHFFFAOYSA-N 0 1 272.395 0.982 20 30 CCEDMN C#CCN(C)C[C@H](O)COc1ccc(NC(C)=O)cc1 ZINC000192225122 389029080 /nfs/dbraw/zinc/02/90/80/389029080.db2.gz LLXLNKQUHFRMRD-AWEZNQCLSA-N 0 1 276.336 0.950 20 30 CCEDMN C=CCNC(=O)CNCc1ccc(N(C)CCOC)nc1 ZINC000191567722 389013285 /nfs/dbraw/zinc/01/32/85/389013285.db2.gz NMOZVMMFYPTRAW-UHFFFAOYSA-N 0 1 292.383 0.556 20 30 CCEDMN CCN(CCOCCO)[C@H](C)C(=O)N(C)CCC#N ZINC000337431997 389061090 /nfs/dbraw/zinc/06/10/90/389061090.db2.gz GAVXURHBERGBBL-GFCCVEGCSA-N 0 1 271.361 0.078 20 30 CCEDMN CCN(CCOCCO)[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000337438163 389061290 /nfs/dbraw/zinc/06/12/90/389061290.db2.gz KRYDKNRURUUUEX-ZFWWWQNUSA-N 0 1 299.415 0.760 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](C)[C@@H]1CO ZINC000305262427 389086154 /nfs/dbraw/zinc/08/61/54/389086154.db2.gz SQBJRXWJIHQBQH-OLZOCXBDSA-N 0 1 267.373 0.841 20 30 CCEDMN C[C@@H](C#N)CN(C)C[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000093697238 389038328 /nfs/dbraw/zinc/03/83/28/389038328.db2.gz JERDAWDUDIQVIC-RYUDHWBXSA-N 0 1 273.402 0.749 20 30 CCEDMN C[C@H]1OCC[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000094177398 389039393 /nfs/dbraw/zinc/03/93/93/389039393.db2.gz DQHUEBYNYBUEAS-GHMZBOCLSA-N 0 1 251.330 0.516 20 30 CCEDMN C=CCCCCNC(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000355154572 389043657 /nfs/dbraw/zinc/04/36/57/389043657.db2.gz WINILUNCLACORU-GFCCVEGCSA-N 0 1 279.384 0.812 20 30 CCEDMN C[C@H](CNC(=O)c1ccc(C#N)cn1)N1CCN(C)CC1 ZINC000107781812 389097049 /nfs/dbraw/zinc/09/70/49/389097049.db2.gz AMJGOVIPUHEEBP-GFCCVEGCSA-N 0 1 287.367 0.319 20 30 CCEDMN CS(=O)(=O)N1CCCN(CCCCC#N)CC1 ZINC000195051934 389114910 /nfs/dbraw/zinc/11/49/10/389114910.db2.gz QWQKNTHHRZGODY-UHFFFAOYSA-N 0 1 259.375 0.648 20 30 CCEDMN C=CCCS(=O)(=O)N1CCO[C@@H]2CCN(CC)C[C@@H]21 ZINC000339017592 389121831 /nfs/dbraw/zinc/12/18/31/389121831.db2.gz QDSBIHDZLOQLKQ-QWHCGFSZSA-N 0 1 288.413 0.687 20 30 CCEDMN N#CCCOCC[NH+]1CCC(O)(C[NH+]2CCOCC2)CC1 ZINC000339064306 389123766 /nfs/dbraw/zinc/12/37/66/389123766.db2.gz DUXOXYDKIHTXRC-UHFFFAOYSA-N 0 1 297.399 0.076 20 30 CCEDMN [O-]C(NC1(C(F)F)CC1)=[NH+][C@H]1CCc2ncnn2C1 ZINC000328694222 389131768 /nfs/dbraw/zinc/13/17/68/389131768.db2.gz FYVHRABLELHTCG-ZETCQYMHSA-N 0 1 271.271 0.894 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC000357803798 389138155 /nfs/dbraw/zinc/13/81/55/389138155.db2.gz QLGQBUNOTTXPDX-VXGBXAGGSA-N 0 1 253.346 0.619 20 30 CCEDMN CCN1CCN(CC(=O)N(CCC#N)CC2CC2)CC1 ZINC000360122283 389191463 /nfs/dbraw/zinc/19/14/63/389191463.db2.gz CWJPSDCNCKKNRW-UHFFFAOYSA-N 0 1 278.400 0.776 20 30 CCEDMN CC(=O)N1CCCC[C@@H]1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000329165300 389152394 /nfs/dbraw/zinc/15/23/94/389152394.db2.gz HJUZXKFEGFLLDD-ZIAGYGMSSA-N 0 1 296.415 0.590 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC2(O)CCCCC2)C1 ZINC000329386246 389161218 /nfs/dbraw/zinc/16/12/18/389161218.db2.gz SJLGZGRLDSFSSM-CYBMUJFWSA-N 0 1 298.431 0.431 20 30 CCEDMN CC(=O)N1CC(NC(=O)c2csc(=NC3CC3)[nH]2)C1 ZINC000329426594 389163160 /nfs/dbraw/zinc/16/31/60/389163160.db2.gz HBZDZYPWHNEHRF-UHFFFAOYSA-N 0 1 280.353 0.675 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1cccnc1C#N)N1CCCC1 ZINC000235127532 389165042 /nfs/dbraw/zinc/16/50/42/389165042.db2.gz ISQBBNOZNDGCDN-NSHDSACASA-N 0 1 294.380 0.716 20 30 CCEDMN C[C@H]1CN(C)CC[N@@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000235589786 389166456 /nfs/dbraw/zinc/16/64/56/389166456.db2.gz NQLZZDGDOQUHQF-DZGCQCFKSA-N 0 1 289.379 0.934 20 30 CCEDMN C[C@H]1CN(C)CCN1C[C@@H](O)COc1ccccc1C#N ZINC000235589786 389166464 /nfs/dbraw/zinc/16/64/64/389166464.db2.gz NQLZZDGDOQUHQF-DZGCQCFKSA-N 0 1 289.379 0.934 20 30 CCEDMN CC1CC(C#N)(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC000125757875 389167251 /nfs/dbraw/zinc/16/72/51/389167251.db2.gz XFNAEWJVDWZTIA-LXVYMNJGSA-N 0 1 264.373 0.288 20 30 CCEDMN O=C(NCCn1cnnc1C1CC1)N1CCOCC1 ZINC000329534975 389169385 /nfs/dbraw/zinc/16/93/85/389169385.db2.gz LCEWMFJTDRAPME-UHFFFAOYSA-N 0 1 265.317 0.402 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)NC[C@@H](C)S(N)(=O)=O ZINC000330583578 389243192 /nfs/dbraw/zinc/24/31/92/389243192.db2.gz KOLJRKRZNSIGDW-SSDOTTSWSA-N 0 1 288.373 0.823 20 30 CCEDMN C[C@@H]1CN(C)[C@@H](C)CN1CC(=O)N(CCC#N)CCC#N ZINC000330602382 389245841 /nfs/dbraw/zinc/24/58/41/389245841.db2.gz WNENEBLDXBAMJB-UONOGXRCSA-N 0 1 291.399 0.667 20 30 CCEDMN C[C@H]1CN(CC(=O)N(CCC#N)CCC#N)[C@@H](C)CN1C ZINC000330602380 389246032 /nfs/dbraw/zinc/24/60/32/389246032.db2.gz WNENEBLDXBAMJB-KBPBESRZSA-N 0 1 291.399 0.667 20 30 CCEDMN C=CCCC[C@H](C)N=c1[nH]c2c(c(=O)[nH]c(=O)n2C)n1C ZINC000342791207 389252768 /nfs/dbraw/zinc/25/27/68/389252768.db2.gz SWAXQWUUTOVJOM-VIFPVBQESA-N 0 1 291.355 0.951 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)CC[C@@H](C)O ZINC000135414447 389257416 /nfs/dbraw/zinc/25/74/16/389257416.db2.gz KPEWGHWXURSEEY-YPMHNXCESA-N 0 1 255.362 0.744 20 30 CCEDMN CC1CCN(CC(=O)N[C@@H]2CC(=O)N(C)C2)CC1 ZINC000329934400 389212783 /nfs/dbraw/zinc/21/27/83/389212783.db2.gz QNNCXJGXAIWRQC-LLVKDONJSA-N 0 1 253.346 0.906 20 30 CCEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)N1CCn2ncnc2C1 ZINC000329972225 389215564 /nfs/dbraw/zinc/21/55/64/389215564.db2.gz WBESCYBJLZKOLI-SNVBAGLBSA-N 0 1 287.327 0.808 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N(C)C[C@H](C)O ZINC000361515340 389218589 /nfs/dbraw/zinc/21/85/89/389218589.db2.gz XIOOXQYJTSCJHE-DMDPSCGWSA-N 0 1 255.362 0.742 20 30 CCEDMN CC(=O)NC[C@@H]1CN(C(=O)[C@@H]2CCCN2C2CC2)CCO1 ZINC000330229676 389218738 /nfs/dbraw/zinc/21/87/38/389218738.db2.gz QEWNBCNFBLEVIK-KGLIPLIRSA-N 0 1 295.383 0.817 20 30 CCEDMN C=CCNC(=O)C[N@H+](C)CCCn1cc[nH+]c1C ZINC000136295380 389265756 /nfs/dbraw/zinc/26/57/56/389265756.db2.gz WPCFQWLHWWMEJX-UHFFFAOYSA-N 0 1 250.346 0.816 20 30 CCEDMN C[C@H](Cn1cncn1)NC(=O)NCC(C)(C)C(N)=O ZINC000330421859 389227768 /nfs/dbraw/zinc/22/77/68/389227768.db2.gz AWRZGRLGSJPGOZ-MRVPVSSYSA-N 0 1 268.321 0.732 20 30 CCEDMN CCn1nncc1C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000330426948 389228324 /nfs/dbraw/zinc/22/83/24/389228324.db2.gz GXDBOAQXHJBNDF-LBPRGKRZSA-N 0 1 295.387 0.959 20 30 CCEDMN C=CCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000362193774 389231422 /nfs/dbraw/zinc/23/14/22/389231422.db2.gz XDQHYMLWPVBNHA-UHFFFAOYSA-N 0 1 284.337 0.558 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@@H](C)C(=O)NC(=O)NC(C)(C)C)C1 ZINC000330456843 389231682 /nfs/dbraw/zinc/23/16/82/389231682.db2.gz OHXFWZACRNMJRS-VHSXEESVSA-N 0 1 299.371 0.699 20 30 CCEDMN CC[C@H](CO)N(CC(=O)NCC#N)Cc1ccccc1 ZINC000342633345 389232364 /nfs/dbraw/zinc/23/23/64/389232364.db2.gz NBQPTPLPQHRJCM-CQSZACIVSA-N 0 1 275.352 0.899 20 30 CCEDMN CC(=O)NC[C@H]1CCCN(C(=O)NCc2cn[nH]c2C)C1 ZINC000330469984 389233039 /nfs/dbraw/zinc/23/30/39/389233039.db2.gz LZYKPSMEWVTIHN-GFCCVEGCSA-N 0 1 293.371 0.980 20 30 CCEDMN CNC(=O)CCCN(C)CC(=O)NC1(C#N)CCCCC1 ZINC000155108195 389317327 /nfs/dbraw/zinc/31/73/27/389317327.db2.gz CNDDFSWWSDOCAY-UHFFFAOYSA-N 0 1 294.399 0.787 20 30 CCEDMN C=C1CCN([C@@H](C)C(=O)N2CCC(C(N)=O)CC2)CC1 ZINC000264433731 389328201 /nfs/dbraw/zinc/32/82/01/389328201.db2.gz CYSOOOYBDXTXAQ-LBPRGKRZSA-N 0 1 279.384 0.751 20 30 CCEDMN CC[C@@H]1CN([C@H](C)C(=O)NC2(C#N)CCC2)C[C@H]1O ZINC000346509532 389330840 /nfs/dbraw/zinc/33/08/40/389330840.db2.gz USVPZUZLSWZJJA-IJLUTSLNSA-N 0 1 265.357 0.640 20 30 CCEDMN N#Cc1ccc(NCCCN2CCC[C@@H]2C(N)=O)nc1 ZINC000264549964 389335840 /nfs/dbraw/zinc/33/58/40/389335840.db2.gz CNEWKYSHOYFREN-GFCCVEGCSA-N 0 1 273.340 0.705 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000343915302 389276264 /nfs/dbraw/zinc/27/62/64/389276264.db2.gz NUZFKFTVQYVWSL-HOCLYGCPSA-N 0 1 297.443 0.967 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)N2C[C@@H]3CC[C@H](O)[C@@H]3C2)cc1 ZINC000344030592 389277827 /nfs/dbraw/zinc/27/78/27/389277827.db2.gz BZFDAIBKIZEEOZ-LJISPDSOSA-N 0 1 285.347 0.787 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@@H](S(=O)(=O)NC)C1 ZINC000366166076 389294573 /nfs/dbraw/zinc/29/45/73/389294573.db2.gz XOHJJZIUDKSEQX-NWDGAFQWSA-N 0 1 276.402 0.327 20 30 CCEDMN Cc1nnc(SCC(=O)N[C@@H](C#N)C2CC2)[nH]1 ZINC000345465124 389305959 /nfs/dbraw/zinc/30/59/59/389305959.db2.gz VDLISVXMVXXSIN-QMMMGPOBSA-N 0 1 251.315 0.624 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N[C@@H](C#N)C2CC2)n1 ZINC000345465124 389305962 /nfs/dbraw/zinc/30/59/62/389305962.db2.gz VDLISVXMVXXSIN-QMMMGPOBSA-N 0 1 251.315 0.624 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@@H+](C)C[C@@H]1CCC[C@@H]1O ZINC000159870336 389375386 /nfs/dbraw/zinc/37/53/86/389375386.db2.gz YETMTTFVIMMAFI-SRVKXCTJSA-N 0 1 283.372 0.480 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cc(O)cc([N+](=O)[O-])c2)CCO1 ZINC000269296118 389412878 /nfs/dbraw/zinc/41/28/78/389412878.db2.gz RPMWIXUXLVWMOJ-NSHDSACASA-N 0 1 277.236 0.665 20 30 CCEDMN C=CCNC(=O)CNC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000271949276 389449980 /nfs/dbraw/zinc/44/99/80/389449980.db2.gz DGTSTUXGKMDVLY-SNVBAGLBSA-N 0 1 277.328 0.389 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000334977467 389514059 /nfs/dbraw/zinc/51/40/59/389514059.db2.gz JNAFYGGNEVAIME-SNVBAGLBSA-N 0 1 269.308 0.907 20 30 CCEDMN COC(=O)[C@@H]1C[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C[C@H]1C ZINC000373808392 389517562 /nfs/dbraw/zinc/51/75/62/389517562.db2.gz YWNIUCYISLRSSQ-GRYCIOLGSA-N 0 1 281.356 0.488 20 30 CCEDMN COC(=O)[C@@H]1CN([C@@H](C)C(=O)N(C)CCC#N)C[C@H]1C ZINC000373808392 389517567 /nfs/dbraw/zinc/51/75/67/389517567.db2.gz YWNIUCYISLRSSQ-GRYCIOLGSA-N 0 1 281.356 0.488 20 30 CCEDMN C=C(CC)CNC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000413614824 389542368 /nfs/dbraw/zinc/54/23/68/389542368.db2.gz QUQRJMUPLPILNP-LBPRGKRZSA-N 0 1 283.372 0.699 20 30 CCEDMN C#CCCN1CCN(Cc2ncnn2CCF)CC1 ZINC000281668612 389622595 /nfs/dbraw/zinc/62/25/95/389622595.db2.gz PJJKHCOZTKUBLX-UHFFFAOYSA-N 0 1 265.336 0.389 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CC[C@@H]2CCN(C)C[C@@H]21 ZINC000336281344 389629345 /nfs/dbraw/zinc/62/93/45/389629345.db2.gz AFWIZGATNSVQBL-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCOCC(=O)NC1CCN([C@H]2CCN(C)C2=O)CC1 ZINC000425300775 389644965 /nfs/dbraw/zinc/64/49/65/389644965.db2.gz ADRBJIYIHZGGPN-ZDUSSCGKSA-N 0 1 295.383 0.000 20 30 CCEDMN N#Cc1nccnc1N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000375771362 389710914 /nfs/dbraw/zinc/71/09/14/389710914.db2.gz KFKHJJPYMVGSLJ-STQMWFEESA-N 0 1 273.340 0.384 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000375815483 389715972 /nfs/dbraw/zinc/71/59/72/389715972.db2.gz PJGALTGGNWNUFE-MELADBBJSA-N 0 1 281.400 0.208 20 30 CCEDMN C=CCNC(=O)CNC(=O)NCC[N@@H+]1CCCC[C@H]1CC ZINC000180655759 389673182 /nfs/dbraw/zinc/67/31/82/389673182.db2.gz HGMOWOZVWSRVMJ-CYBMUJFWSA-N 0 1 296.415 0.852 20 30 CCEDMN C#CCOc1ccc(CNCC(=O)N2CCOCC2)cc1 ZINC000181032255 389679427 /nfs/dbraw/zinc/67/94/27/389679427.db2.gz NATKQQHVOPCKOK-UHFFFAOYSA-N 0 1 288.347 0.647 20 30 CCEDMN CO[C@@H]1CN(CCOc2cccc(C#N)c2)C[C@H]1O ZINC000395984374 389777227 /nfs/dbraw/zinc/77/72/27/389777227.db2.gz BJGQLQGUXDCILQ-ZIAGYGMSSA-N 0 1 262.309 0.629 20 30 CCEDMN CNC(=O)[C@]1(C)CCN(CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000430265912 389791530 /nfs/dbraw/zinc/79/15/30/389791530.db2.gz WOTYVZBFQFUMOS-CABCVRRESA-N 0 1 294.399 0.499 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1ccc(C#N)nc1)c1nnc[nH]1 ZINC000183207964 389728728 /nfs/dbraw/zinc/72/87/28/389728728.db2.gz PIVNIQFOZNRLRU-SSDOTTSWSA-N 0 1 278.297 0.111 20 30 CCEDMN CC(C)N1CCC[C@H](NS(=O)(=O)[C@@H](C)C#N)C1 ZINC000416464414 389746209 /nfs/dbraw/zinc/74/62/09/389746209.db2.gz HNAXXTZXZCUEHQ-QWRGUYRKSA-N 0 1 259.375 0.691 20 30 CCEDMN CC1CCN(CC(=O)N2CCOC[C@@H]2C#N)CC1 ZINC000408310059 389841974 /nfs/dbraw/zinc/84/19/74/389841974.db2.gz PPBIUUKBFUETLL-LBPRGKRZSA-N 0 1 251.330 0.469 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000418886426 389884986 /nfs/dbraw/zinc/88/49/86/389884986.db2.gz LCVOOAWCQJXUNG-TXEJJXNPSA-N 0 1 265.357 0.422 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@H](C)N(CCO)C[C@@H]1C ZINC000419321547 389891201 /nfs/dbraw/zinc/89/12/01/389891201.db2.gz GECPSCUFVLQKGW-GJZGRUSLSA-N 0 1 297.443 0.798 20 30 CCEDMN C=CCOCCCN1CCC[C@H]1C(=O)NCC(=O)OC ZINC000419348280 389891842 /nfs/dbraw/zinc/89/18/42/389891842.db2.gz AVKRBBYZHDEAAJ-LBPRGKRZSA-N 0 1 284.356 0.333 20 30 CCEDMN CC(C)N(CCO)CC(=O)NCc1cccc(C#N)c1 ZINC000434709135 389944131 /nfs/dbraw/zinc/94/41/31/389944131.db2.gz WQECOPASNANXFY-UHFFFAOYSA-N 0 1 275.352 0.877 20 30 CCEDMN CC#CCCNC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000436426610 389976474 /nfs/dbraw/zinc/97/64/74/389976474.db2.gz DATUJMBFTMJWMS-ZDUSSCGKSA-N 0 1 281.400 0.886 20 30 CCEDMN C[C@H](CC#N)NC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000438814705 390010710 /nfs/dbraw/zinc/01/07/10/390010710.db2.gz QSAFANFLCQQZJP-SNVBAGLBSA-N 0 1 298.306 0.046 20 30 CCEDMN CC(C)c1nnc(Cn2c(N)nc(C#N)c2C#N)[nH]1 ZINC000488285920 390092598 /nfs/dbraw/zinc/09/25/98/390092598.db2.gz ZMTIESACEWZGQV-UHFFFAOYSA-N 0 1 256.273 0.329 20 30 CCEDMN C[C@@H]1[C@H](CO)CCN1CC(=O)NCc1ccc(C#N)cc1 ZINC000488770227 390096215 /nfs/dbraw/zinc/09/62/15/390096215.db2.gz UPIKAFWEXMJVNF-DOMZBBRYSA-N 0 1 287.363 0.877 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NCCc2nc[nH]n2)cc1F ZINC000489559252 390101044 /nfs/dbraw/zinc/10/10/44/390101044.db2.gz GOLAMGAGHOVVDL-UHFFFAOYSA-N 0 1 295.299 0.336 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H](C)n1cnc(C#N)n1 ZINC000490996888 390109180 /nfs/dbraw/zinc/10/91/80/390109180.db2.gz QBJCHDVYHRMDSM-RYUDHWBXSA-N 0 1 287.323 0.352 20 30 CCEDMN C#CCN(C)C(=O)NC[C@@H](C)CN1CC[NH+](C)CC1 ZINC000491351313 390112171 /nfs/dbraw/zinc/11/21/71/390112171.db2.gz SUEQMKLVGBONDB-CYBMUJFWSA-N 0 1 266.389 0.145 20 30 CCEDMN C#C[C@H](CC)NC(=O)NCCN1CCCOCC1 ZINC000491656498 390115523 /nfs/dbraw/zinc/11/55/23/390115523.db2.gz BNCRCEAXCPGXRF-GFCCVEGCSA-N 0 1 253.346 0.420 20 30 CCEDMN C#C[C@@H](NCCS(=O)(=O)c1ccc(C#N)cn1)C(C)C ZINC000491807550 390116920 /nfs/dbraw/zinc/11/69/20/390116920.db2.gz CJTNYLHITIYHOW-CYBMUJFWSA-N 0 1 291.376 0.974 20 30 CCEDMN CCOC(=O)CCC(=O)NC1(C#N)CCN(C)CC1 ZINC000536789954 390171135 /nfs/dbraw/zinc/17/11/35/390171135.db2.gz HWIWMBVDCPAELV-UHFFFAOYSA-N 0 1 267.329 0.434 20 30 CCEDMN CNC(=O)[C@@H](C)C[N@@H+](C)Cc1cnc2c(C#N)cnn2c1 ZINC000579475385 390177293 /nfs/dbraw/zinc/17/72/93/390177293.db2.gz OIOMMDOQBBDOPL-JTQLQIEISA-N 0 1 286.339 0.415 20 30 CCEDMN CNC(=O)[C@@H](C)CN(C)Cc1cnc2c(C#N)cnn2c1 ZINC000579475385 390177294 /nfs/dbraw/zinc/17/72/94/390177294.db2.gz OIOMMDOQBBDOPL-JTQLQIEISA-N 0 1 286.339 0.415 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000540427198 390219847 /nfs/dbraw/zinc/21/98/47/390219847.db2.gz UFDQYALROXJQLI-JTQLQIEISA-N 0 1 285.311 0.430 20 30 CCEDMN COCC#CCN[C@H](C(N)=O)[C@H](C)c1ccccc1 ZINC000644706971 390288473 /nfs/dbraw/zinc/28/84/73/390288473.db2.gz CTOPBYXUNXJARS-OCCSQVGLSA-N 0 1 260.337 0.883 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)NCC1(C#N)CC1 ZINC000583802591 390311774 /nfs/dbraw/zinc/31/17/74/390311774.db2.gz IVAQNUIVXSPBAZ-NWDGAFQWSA-N 0 1 280.372 0.387 20 30 CCEDMN C[C@@H](C#N)CN(C)CN1C[C@@]2(CN3CCC2CC3)OC1=O ZINC000496820069 390369073 /nfs/dbraw/zinc/36/90/73/390369073.db2.gz NDHDDTZCFAKXLQ-SWLSCSKDSA-N 0 1 292.383 0.952 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)NCc1ccc2cncn2c1 ZINC000645541162 390443587 /nfs/dbraw/zinc/44/35/87/390443587.db2.gz UHHOUOKTOYWLCV-CYBMUJFWSA-N 0 1 286.335 0.908 20 30 CCEDMN Cc1nnc([C@@H](C)NS(=O)(=O)c2ccc(C#N)o2)o1 ZINC000554586679 390434778 /nfs/dbraw/zinc/43/47/78/390434778.db2.gz PWGPROBGLFBXJZ-ZCFIWIBFSA-N 0 1 282.281 0.882 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCC#Cc1cccc(F)c1 ZINC000564076666 390495249 /nfs/dbraw/zinc/49/52/49/390495249.db2.gz PQLUPZMBYRQCDO-MRXNPFEDSA-N 0 1 289.354 0.973 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1n[nH]nc1-c1ccccc1 ZINC000649182818 390512968 /nfs/dbraw/zinc/51/29/68/390512968.db2.gz FQRWPAGGSPPFEX-GFCCVEGCSA-N 0 1 284.319 0.976 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H](C)COC)CC1 ZINC000527355893 390702870 /nfs/dbraw/zinc/70/28/70/390702870.db2.gz GMEGMUMTUBNWEL-ZDUSSCGKSA-N 0 1 269.389 0.189 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCC[C@H](O)C2)CC1 ZINC000527379314 390704807 /nfs/dbraw/zinc/70/48/07/390704807.db2.gz CGGYOWFZNMXOOQ-RYUDHWBXSA-N 0 1 265.357 0.642 20 30 CCEDMN N#CCC(=O)N1CCN([C@@H]2CCCC[C@@H]2O)CC1 ZINC000568175697 390712297 /nfs/dbraw/zinc/71/22/97/390712297.db2.gz FTAYAWOHSMJKLX-NEPJUHHUSA-N 0 1 251.330 0.348 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C[C@H](O)CO)C(C)C ZINC000569955400 390716844 /nfs/dbraw/zinc/71/68/44/390716844.db2.gz CATVFOFNOGCONK-ZDUSSCGKSA-N 0 1 272.389 0.475 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN3C(=O)CC[C@@H]3C2)CC1 ZINC000528434144 390744153 /nfs/dbraw/zinc/74/41/53/390744153.db2.gz VQIKYRXHHUAXKQ-NEPJUHHUSA-N 0 1 263.341 0.348 20 30 CCEDMN C[C@H](C#N)CNC(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000530596904 390876994 /nfs/dbraw/zinc/87/69/94/390876994.db2.gz APEWKODJQDQEEG-ZJUUUORDSA-N 0 1 299.334 0.957 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1ccc(C#N)cc1F ZINC000530955704 390877988 /nfs/dbraw/zinc/87/79/88/390877988.db2.gz MIDFUEPQAAZIQE-UHFFFAOYSA-N 0 1 285.344 0.879 20 30 CCEDMN CCS(=O)(=O)C[C@H](C)NCc1cc(C#N)n(C)c1 ZINC000191034623 130174128 /nfs/dbraw/zinc/17/41/28/130174128.db2.gz TYSZEJHOLQFHQA-JTQLQIEISA-N 0 1 269.370 0.810 20 30 CCEDMN N#Cc1ccc(CN2CCN(CCO)CC2)cc1F ZINC000191909854 130327186 /nfs/dbraw/zinc/32/71/86/130327186.db2.gz VTADMBISZLIVKV-UHFFFAOYSA-N 0 1 263.316 0.807 20 30 CCEDMN C[C@H](CNC(=O)c1ccc(C#N)cc1)N1CCN(C)CC1 ZINC000263743625 131321065 /nfs/dbraw/zinc/32/10/65/131321065.db2.gz IRLXGJVJRXEUIE-CYBMUJFWSA-N 0 1 286.379 0.924 20 30 CCEDMN C[C@@H](O)[C@@H]1CCN(CC(=O)NCc2ccc(C#N)cc2)C1 ZINC000356442110 144003017 /nfs/dbraw/zinc/00/30/17/144003017.db2.gz BBMYKGMHRVLGBR-IUODEOHRSA-N 0 1 287.363 0.877 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)N[C@H]2CC[C@@H]2C)[nH]1 ZINC000357310019 144080965 /nfs/dbraw/zinc/08/09/65/144080965.db2.gz NLTKMXXBMKYGCV-ONGXEEELSA-N 0 1 289.339 0.611 20 30 CCEDMN N#Cc1ncccc1N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000368185787 144210806 /nfs/dbraw/zinc/21/08/06/144210806.db2.gz WGYGKHRHZONKOU-LSDHHAIUSA-N 0 1 272.352 0.989 20 30 CCEDMN CCc1nc([C@@H](C)NC(=O)NC[C@H](C)C#N)n[nH]1 ZINC000541946867 397575359 /nfs/dbraw/zinc/57/53/59/397575359.db2.gz VEAAVWPZZXDHFG-HTQZYQBOSA-N 0 1 250.306 0.887 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000649537607 397630624 /nfs/dbraw/zinc/63/06/24/397630624.db2.gz AMUANFDIENOUGG-SNVBAGLBSA-N 0 1 251.286 0.604 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+](CC(=O)[O-])CC2CC2)C1=O ZINC000650510013 397725641 /nfs/dbraw/zinc/72/56/41/397725641.db2.gz GQQYGQXDPHAZNX-LLVKDONJSA-N 0 1 252.314 0.570 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+](CC(=O)[O-])CC2CC2)C1=O ZINC000650510013 397725644 /nfs/dbraw/zinc/72/56/44/397725644.db2.gz GQQYGQXDPHAZNX-LLVKDONJSA-N 0 1 252.314 0.570 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@H](N)COC(C)C ZINC000659326033 413417349 /nfs/dbraw/zinc/41/73/49/413417349.db2.gz VNCDBQNILDHDIF-SRVKXCTJSA-N 0 1 256.346 0.446 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCn1cccn1 ZINC000490891133 411821366 /nfs/dbraw/zinc/82/13/66/411821366.db2.gz BGSFNHMLWINDAA-CYBMUJFWSA-N 0 1 261.325 0.914 20 30 CCEDMN C=CCCn1cc(CN2CCN(C[C@H](C)O)C[C@@H]2C)nn1 ZINC000653623768 412326154 /nfs/dbraw/zinc/32/61/54/412326154.db2.gz NNPBXOWNJSWJIQ-KBPBESRZSA-N 0 1 293.415 0.741 20 30 CCEDMN CNS(=O)(=O)N(CCN(C)C)Cc1cccc(C#N)c1 ZINC000285255869 196233581 /nfs/dbraw/zinc/23/35/81/196233581.db2.gz SGUNDLYXXQWNSM-UHFFFAOYSA-N 0 1 296.396 0.386 20 30 CCEDMN CN1CCC(NS(=O)(=O)c2ccccc2C#N)CC1 ZINC000037247460 173060545 /nfs/dbraw/zinc/06/05/45/173060545.db2.gz BFQUNOSGYYQKNC-UHFFFAOYSA-N 0 1 279.365 0.931 20 30 CCEDMN CC(=O)c1[nH]c(CCN2CC[C@@](O)(CC#N)C2)nc1C ZINC000660901212 414524734 /nfs/dbraw/zinc/52/47/34/414524734.db2.gz VXJWRGFIWLEQFN-AWEZNQCLSA-N 0 1 276.340 0.814 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H]2NC(=O)CC[C@@H]2C1 ZINC000661604824 414650952 /nfs/dbraw/zinc/65/09/52/414650952.db2.gz BYJRMGZVDKKHNG-TUAOUCFPSA-N 0 1 265.357 0.278 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCO[C@@H]1CCCC[C@@H]1C ZINC000662166636 414695209 /nfs/dbraw/zinc/69/52/09/414695209.db2.gz AUURTJNZNZPCRX-BFHYXJOUSA-N 0 1 284.400 0.834 20 30 CCEDMN Cc1ccc(C#N)c(N2CCCN(CCO)CC2)n1 ZINC000071349548 176132745 /nfs/dbraw/zinc/13/27/45/176132745.db2.gz MYAARUPJXGOONA-UHFFFAOYSA-N 0 1 260.341 0.766 20 30 CCEDMN O=C(NCCN1CC=CCC1)c1cn([C@@H]2CCOC2)nn1 ZINC000329011221 227258028 /nfs/dbraw/zinc/25/80/28/227258028.db2.gz OQWGSKBZKAJEBM-GFCCVEGCSA-N 0 1 291.355 0.806 20 30 CCEDMN Cc1cc(=NC(=O)[C@H]2CCc3[nH]nnc3C2)[nH]n1CCC#N ZINC000329020555 227260928 /nfs/dbraw/zinc/26/09/28/227260928.db2.gz HSLVUFVNERPFBZ-JTQLQIEISA-N 0 1 299.338 0.389 20 30 CCEDMN Cc1cc(=NC(=O)[C@H]2CCc3nn[nH]c3C2)[nH]n1CCC#N ZINC000329020555 227260932 /nfs/dbraw/zinc/26/09/32/227260932.db2.gz HSLVUFVNERPFBZ-JTQLQIEISA-N 0 1 299.338 0.389 20 30 CCEDMN O=C(NCC1(O)CCC1)c1cccn2c(O)nnc12 ZINC000329098151 227295793 /nfs/dbraw/zinc/29/57/93/227295793.db2.gz LVTBXUIBRINAME-UHFFFAOYSA-N 0 1 262.269 0.655 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)[C@@H]2CC(=O)N(C)C2)n1 ZINC000329104275 227300460 /nfs/dbraw/zinc/30/04/60/227300460.db2.gz NXYBAKKIZQMBCD-MRVPVSSYSA-N 0 1 251.290 0.090 20 30 CCEDMN O=C(NCCN1CC=CCC1)NC1CCOCC1 ZINC000329983049 228006562 /nfs/dbraw/zinc/00/65/62/228006562.db2.gz ATZFWZQKBRISAE-UHFFFAOYSA-N 0 1 253.346 0.931 20 30 CCEDMN N#CC1CCN(C(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)CC1 ZINC000331378166 228096452 /nfs/dbraw/zinc/09/64/52/228096452.db2.gz HSPALJQPIPXDCU-GFCCVEGCSA-N 0 1 290.367 0.101 20 30 CCEDMN O=C(CN1CN=NC1=O)NCCN1CC=CCC1 ZINC000330094168 228038067 /nfs/dbraw/zinc/03/80/67/228038067.db2.gz SNEFJUGJRUJWMR-UHFFFAOYSA-N 0 1 251.290 0.202 20 30 CCEDMN O=C(NCCn1cncn1)N1CCOC[C@@H](C2CCC2)C1 ZINC000330178932 228057395 /nfs/dbraw/zinc/05/73/95/228057395.db2.gz FAJFXKNPUKQKAZ-ZDUSSCGKSA-N 0 1 293.371 0.941 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)CC1(CC#N)CC1 ZINC000331738980 228122752 /nfs/dbraw/zinc/12/27/52/228122752.db2.gz DOKJHWGIFAWJIH-UHFFFAOYSA-N 0 1 259.375 0.503 20 30 CCEDMN CN(C)S(=O)(=O)N(CC#N)CCN1CCCCC1 ZINC000352045907 228176782 /nfs/dbraw/zinc/17/67/82/228176782.db2.gz BIVOARRLZBSTFS-UHFFFAOYSA-N 0 1 274.390 0.104 20 30 CCEDMN C#CCC(CC#C)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000287684287 544967217 /nfs/dbraw/zinc/96/72/17/544967217.db2.gz IFVGYZHAUFVFCN-HNNXBMFYSA-N 0 1 288.391 0.830 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(OCCCO)CC1 ZINC000305014817 544986497 /nfs/dbraw/zinc/98/64/97/544986497.db2.gz LPWRZIVLKNUSOP-LBPRGKRZSA-N 0 1 270.373 0.541 20 30 CCEDMN C[C@@H](Cn1cncn1)NC(=O)N1CCN(C2CCC2)CC1 ZINC000329381296 545022318 /nfs/dbraw/zinc/02/23/18/545022318.db2.gz NXYAHBAAYLSVJE-LBPRGKRZSA-N 0 1 292.387 0.751 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCCNC(=O)C2CC2)C1 ZINC000329432793 545022909 /nfs/dbraw/zinc/02/29/09/545022909.db2.gz IFJDCLURLOZFGL-GFCCVEGCSA-N 0 1 297.403 0.102 20 30 CCEDMN Cc1cc(NC(=O)NCc2c[nH]nn2)nn1CCC#N ZINC000611481913 420495285 /nfs/dbraw/zinc/49/52/85/420495285.db2.gz YKJGUWUUEKHTFQ-UHFFFAOYSA-N 0 1 274.288 0.545 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N(C)[C@H]1CCCN(C)C1 ZINC000407978160 260141127 /nfs/dbraw/zinc/14/11/27/260141127.db2.gz WJACJHJRROCPIN-RYUDHWBXSA-N 0 1 288.417 0.491 20 30 CCEDMN CNS(=O)(=O)C[C@@H]1CCCN1[C@H]1CC[C@@H](C#N)C1 ZINC000407989656 260153606 /nfs/dbraw/zinc/15/36/06/260153606.db2.gz IMECOOPUWJZWEJ-WOPDTQHZSA-N 0 1 271.386 0.692 20 30 CCEDMN CN(C)C1CN(C(=O)c2ccccc2OCC#N)C1 ZINC000134996813 260916381 /nfs/dbraw/zinc/91/63/81/260916381.db2.gz ZONVBWGFLMDTGW-UHFFFAOYSA-N 0 1 259.309 0.975 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@@H](O)C[C@@H]1CO ZINC000249278890 261248599 /nfs/dbraw/zinc/24/85/99/261248599.db2.gz FMPNEDXZNUNACH-XQQFMLRXSA-N 0 1 268.357 0.003 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1COCCN1CC ZINC000490934637 261254182 /nfs/dbraw/zinc/25/41/82/261254182.db2.gz JTNYGYINHDOREW-KGLIPLIRSA-N 0 1 280.368 0.348 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC(C)(O)CC1 ZINC000177335077 261348288 /nfs/dbraw/zinc/34/82/88/261348288.db2.gz IDXAYZPWDFVPIG-LLVKDONJSA-N 0 1 253.346 0.594 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@H](C(N)=O)C(C)(C)C ZINC000109331539 262197803 /nfs/dbraw/zinc/19/78/03/262197803.db2.gz BCKPQTMDAPBJDR-PWSUYJOCSA-N 0 1 282.388 0.484 20 30 CCEDMN C=CCNC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000186938398 262330820 /nfs/dbraw/zinc/33/08/20/262330820.db2.gz OANAWCQLCVULGR-LLVKDONJSA-N 0 1 255.362 0.659 20 30 CCEDMN C=CCN1CC[C@@H](N[C@@]2(CC(=O)OC)CCOC2)C1=O ZINC000337217091 262436143 /nfs/dbraw/zinc/43/61/43/262436143.db2.gz ZZISXCRMXGSKEP-BXUZGUMPSA-N 0 1 282.340 0.085 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000272453098 263004829 /nfs/dbraw/zinc/00/48/29/263004829.db2.gz BEMYGBFLUFBYMG-JTQLQIEISA-N 0 1 277.236 0.665 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000274837846 263031043 /nfs/dbraw/zinc/03/10/43/263031043.db2.gz RRIVJGUWGOIBTJ-LBPRGKRZSA-N 0 1 279.252 0.959 20 30 CCEDMN Cc1[nH]ncc1CCCNS(=O)(=O)CCCC#N ZINC000289015734 263106882 /nfs/dbraw/zinc/10/68/82/263106882.db2.gz VDACDYYQYIAQTI-UHFFFAOYSA-N 0 1 270.358 0.874 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)c1ncnc2[nH]cnc21 ZINC000299545149 263246307 /nfs/dbraw/zinc/24/63/07/263246307.db2.gz XQFCTWHUEIRNKE-UHFFFAOYSA-N 0 1 285.311 0.352 20 30 CCEDMN CCc1[nH]nc(C(=O)N(CC#N)CC#N)c1[N+](=O)[O-] ZINC000340668335 263277472 /nfs/dbraw/zinc/27/74/72/263277472.db2.gz GNYGUTRQGKSWDM-UHFFFAOYSA-N 0 1 262.229 0.370 20 30 CCEDMN C=CCCn1cc(C(=O)NCc2n[nH]c(CC)n2)nn1 ZINC000424843874 263826923 /nfs/dbraw/zinc/82/69/23/263826923.db2.gz CILLXBKDWADIEK-UHFFFAOYSA-N 0 1 275.316 0.465 20 30 CCEDMN CCN(C)C(=O)N[C@H]1CCc2nc(COC)nn2C1 ZINC000330672418 264014292 /nfs/dbraw/zinc/01/42/92/264014292.db2.gz NWDGKRSNTHMLOU-VIFPVBQESA-N 0 1 267.333 0.605 20 30 CCEDMN CC1(C)NC(=O)N(CCN[C@H]2CCC[C@@H]2C#N)C1=O ZINC000330834394 264050392 /nfs/dbraw/zinc/05/03/92/264050392.db2.gz KVCOPWZQZFUCCY-ZJUUUORDSA-N 0 1 264.329 0.803 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N(C)Cc2cc[nH]n2)C1 ZINC000330859444 264055667 /nfs/dbraw/zinc/05/56/67/264055667.db2.gz UISWWUPUSGCJKO-NSHDSACASA-N 0 1 281.360 0.476 20 30 CCEDMN N#C[C@@H]1CCC[C@H]1NC[C@H](O)Cn1cc([N+](=O)[O-])cn1 ZINC000330846635 264067438 /nfs/dbraw/zinc/06/74/38/264067438.db2.gz OWOBHMVOCIVFHK-ZMLRMANQSA-N 0 1 279.300 0.434 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)CCCC#N ZINC000330894634 264077930 /nfs/dbraw/zinc/07/79/30/264077930.db2.gz MDGNJGRPWQUGET-LBPRGKRZSA-N 0 1 252.362 0.432 20 30 CCEDMN CC#CCCCC(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000330971007 264091630 /nfs/dbraw/zinc/09/16/30/264091630.db2.gz GYPHFNMDMNBGEW-UHFFFAOYSA-N 0 1 289.383 0.951 20 30 CCEDMN CCN1CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000052857743 264121846 /nfs/dbraw/zinc/12/18/46/264121846.db2.gz WKDOZSHBSKUKPT-GFCCVEGCSA-N 0 1 252.362 0.384 20 30 CCEDMN N#Cc1cccc(NC(=O)NCC(=O)N2CCNCC2)c1 ZINC000040508010 264283996 /nfs/dbraw/zinc/28/39/96/264283996.db2.gz BUWRCCIINVXUAJ-UHFFFAOYSA-N 0 1 287.323 0.112 20 30 CCEDMN C=CCC[C@H](NC(=O)c1ncn[nH]1)C(=O)OCC ZINC000456625294 264286990 /nfs/dbraw/zinc/28/69/90/264286990.db2.gz JQBQPXCTMVHULB-QMMMGPOBSA-N 0 1 252.274 0.432 20 30 CCEDMN C=CCC[C@H](NC(=O)c1nc[nH]n1)C(=O)OCC ZINC000456625294 264286991 /nfs/dbraw/zinc/28/69/91/264286991.db2.gz JQBQPXCTMVHULB-QMMMGPOBSA-N 0 1 252.274 0.432 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CC(C)(C)O)C1CC1 ZINC000189909040 264336498 /nfs/dbraw/zinc/33/64/98/264336498.db2.gz BDBNYSYORWQXJM-UHFFFAOYSA-N 0 1 269.345 0.234 20 30 CCEDMN C=C(C)CNC(=S)N1CCN([C@@H](CC)CO)CC1 ZINC000743016846 581800457 /nfs/dbraw/zinc/80/04/57/581800457.db2.gz BRJPNCUSXAVCOV-LBPRGKRZSA-N 0 1 271.430 0.826 20 30 CCEDMN C=CCOC[C@@H](NC(=O)CCc1cnc[nH]1)C(=O)OC ZINC000357670057 266343588 /nfs/dbraw/zinc/34/35/88/266343588.db2.gz FIJBUWSMYGIRHB-LLVKDONJSA-N 0 1 281.312 0.203 20 30 CCEDMN N#CC1(C(=O)N2CC[C@@H](CN3CCOCC3)C2)CCC1 ZINC000363266509 267083505 /nfs/dbraw/zinc/08/35/05/267083505.db2.gz RTQMWAGWTXPRJE-ZDUSSCGKSA-N 0 1 277.368 0.861 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CCCCNC2=O)CC1 ZINC000368982518 267215729 /nfs/dbraw/zinc/21/57/29/267215729.db2.gz AWKCDURFLHXJOX-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN CN1CCO[C@H]2CN(c3nc4ccccn4c3C#N)C[C@H]21 ZINC000329433193 277132010 /nfs/dbraw/zinc/13/20/10/277132010.db2.gz ICUGLEYHHQQOLO-OLZOCXBDSA-N 0 1 283.335 0.725 20 30 CCEDMN CCN(CC(=O)N[C@@](C)(C#N)C(C)C)C[C@@H](C)O ZINC000352213090 279055950 /nfs/dbraw/zinc/05/59/50/279055950.db2.gz SVSIRVGOHPZFOY-YPMHNXCESA-N 0 1 255.362 0.744 20 30 CCEDMN C=CCNC(=S)NC[C@H]1CN(CC(C)C)CCO1 ZINC000747749882 581951796 /nfs/dbraw/zinc/95/17/96/581951796.db2.gz TZNVZNLALMISFR-LBPRGKRZSA-N 0 1 271.430 0.993 20 30 CCEDMN C[C@@H]1CN(CCCNc2ccc(C#N)c(N)n2)C[C@@H](C)O1 ZINC000580094553 327696724 /nfs/dbraw/zinc/69/67/24/327696724.db2.gz OQQQJKPNWSMEHP-VXGBXAGGSA-N 0 1 289.383 0.869 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C[C@H]1CCC(=O)N1)C(C)C ZINC000412061476 328103627 /nfs/dbraw/zinc/10/36/27/328103627.db2.gz AGWJJQQCFDJWLF-CYBMUJFWSA-N 0 1 294.399 0.738 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1C[C@H](C(=O)[O-])CC[C@H]1C ZINC000397083573 329325112 /nfs/dbraw/zinc/32/51/12/329325112.db2.gz RMEBGMJVEUPMSN-NXEZZACHSA-N 0 1 283.328 0.183 20 30 CCEDMN CCNC(=O)NC(=O)[C@H](C)N[C@@H](C)c1cnn(CC)c1 ZINC000331324178 328479050 /nfs/dbraw/zinc/47/90/50/328479050.db2.gz MAIDTLWSZZIVHM-UWVGGRQHSA-N 0 1 281.360 0.992 20 30 CCEDMN C[C@@H]1CN(CCCNc2ncncc2C#N)C[C@H](C)O1 ZINC000573362849 327138238 /nfs/dbraw/zinc/13/82/38/327138238.db2.gz BLUWHYOSUXTUIA-TXEJJXNPSA-N 0 1 275.356 0.681 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CN2CC(C)(C#N)C2)C[C@@H](C)O1 ZINC000569678110 327534372 /nfs/dbraw/zinc/53/43/72/327534372.db2.gz QLQPLVLJTQHUMU-UPJWGTAASA-N 0 1 267.373 0.302 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)[C@H](C)CN1CCOCC1 ZINC000570162300 327573068 /nfs/dbraw/zinc/57/30/68/327573068.db2.gz YBGPNNZMPXEIAR-UPJWGTAASA-N 0 1 268.357 0.414 20 30 CCEDMN Cc1ccc(C)c(OC[C@@H](O)CNCC#CCO)c1C ZINC000570451741 327592544 /nfs/dbraw/zinc/59/25/44/327592544.db2.gz UTIITDIDCPDTJV-HNNXBMFYSA-N 0 1 277.364 0.937 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCO[C@@H](C)C2)CC1 ZINC000171253655 329073402 /nfs/dbraw/zinc/07/34/02/329073402.db2.gz VUEMUEXDHWYIOL-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CNC1(C(N)=O)CCCCC1 ZINC000177124273 329181222 /nfs/dbraw/zinc/18/12/22/329181222.db2.gz VJGQNOKTXWZHKA-CQSZACIVSA-N 0 1 294.399 0.819 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2cc(OC)ncn2)CC1 ZINC000184079038 329255752 /nfs/dbraw/zinc/25/57/52/329255752.db2.gz PTOUFBCTERCSPK-ZDUSSCGKSA-N 0 1 292.383 0.934 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2cc(OC)ncn2)CC1 ZINC000184079015 329255962 /nfs/dbraw/zinc/25/59/62/329255962.db2.gz PTOUFBCTERCSPK-CYBMUJFWSA-N 0 1 292.383 0.934 20 30 CCEDMN CC#CCCCC(=O)NCCN1CCN(C)CC1 ZINC000184945219 329266026 /nfs/dbraw/zinc/26/60/26/329266026.db2.gz WBEBOKJAVWVLCI-UHFFFAOYSA-N 0 1 251.374 0.544 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCSC2(CCOCC2)C1 ZINC000186845467 329350815 /nfs/dbraw/zinc/35/08/15/329350815.db2.gz ZRWGROYREBNWDQ-LBPRGKRZSA-N 0 1 282.409 0.722 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCSC2(CCOCC2)C1 ZINC000186845467 329350816 /nfs/dbraw/zinc/35/08/16/329350816.db2.gz ZRWGROYREBNWDQ-LBPRGKRZSA-N 0 1 282.409 0.722 20 30 CCEDMN C[C@H](C#N)CNC[C@@H](O)CN1C(=O)c2ccccc2C1=O ZINC000572356802 329999991 /nfs/dbraw/zinc/99/99/91/329999991.db2.gz WEDCQILWFPINGA-GHMZBOCLSA-N 0 1 287.319 0.393 20 30 CCEDMN C[C@@H](C#N)CNC[C@H](O)CN1C(=O)c2ccccc2C1=O ZINC000572356804 329999994 /nfs/dbraw/zinc/99/99/94/329999994.db2.gz WEDCQILWFPINGA-QWRGUYRKSA-N 0 1 287.319 0.393 20 30 CCEDMN C[C@@H](O)[C@@H]1CCCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000527135647 330225684 /nfs/dbraw/zinc/22/56/84/330225684.db2.gz COWLDRPFLMQTTK-VXGBXAGGSA-N 0 1 265.357 0.642 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1cc([N+](=O)[O-])cn1C ZINC000328020805 330271363 /nfs/dbraw/zinc/27/13/63/330271363.db2.gz SIOIAWTXFJQBJU-UHFFFAOYSA-N 0 1 278.312 0.618 20 30 CCEDMN C=CCn1cc(CN[C@H](C)Cc2cnccn2)nn1 ZINC000424299488 330336702 /nfs/dbraw/zinc/33/67/02/330336702.db2.gz VJOODBOFNBMVGR-LLVKDONJSA-N 0 1 258.329 0.975 20 30 CCEDMN CC(C)C[C@H](CN(C)C)NS(=O)(=O)[C@H](C)C#N ZINC000088477131 330376777 /nfs/dbraw/zinc/37/67/77/330376777.db2.gz JVJGRJLJIVSPLL-GHMZBOCLSA-N 0 1 261.391 0.794 20 30 CCEDMN C=C(C)CCNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000454487548 331147346 /nfs/dbraw/zinc/14/73/46/331147346.db2.gz PBFSGTNMZMAYLQ-GFCCVEGCSA-N 0 1 254.378 0.498 20 30 CCEDMN C=CCCCS(=O)(=O)NCCCN1CCC(O)CC1 ZINC000489443458 332052721 /nfs/dbraw/zinc/05/27/21/332052721.db2.gz IITQNKULFGPJAK-UHFFFAOYSA-N 0 1 290.429 0.719 20 30 CCEDMN C#CCN(CC(=O)NCC(=O)OC)C1CCCCC1 ZINC000490594625 332094255 /nfs/dbraw/zinc/09/42/55/332094255.db2.gz UMMZKXFIWKRPPT-UHFFFAOYSA-N 0 1 266.341 0.544 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCCCNC(N)=O ZINC000490615705 332095779 /nfs/dbraw/zinc/09/57/79/332095779.db2.gz HGNQHTNGUGDCOP-GFCCVEGCSA-N 0 1 281.356 0.466 20 30 CCEDMN C#CC[N@H+](CCCS(N)(=O)=O)C1CCCCC1 ZINC000490680115 332103324 /nfs/dbraw/zinc/10/33/24/332103324.db2.gz XNZZQOBTMGBJMO-UHFFFAOYSA-N 0 1 258.387 0.933 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)CC(C)(C)OC)CC1 ZINC000490854007 332121147 /nfs/dbraw/zinc/12/11/47/332121147.db2.gz WZJLMRKJMFMJMW-UHFFFAOYSA-N 0 1 281.400 0.121 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](C#N)COC)CC1 ZINC000490897259 332126806 /nfs/dbraw/zinc/12/68/06/332126806.db2.gz ASSROQVOJJNBQU-LBPRGKRZSA-N 0 1 250.298 0.413 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC2(O)CCC2)CC1 ZINC000490948029 332132063 /nfs/dbraw/zinc/13/20/63/332132063.db2.gz COORTMUJMWMXGF-UHFFFAOYSA-N 0 1 264.369 0.705 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cncn2C)CC1 ZINC000491068252 332145156 /nfs/dbraw/zinc/14/51/56/332145156.db2.gz NFRYBWLNOSUNKX-UHFFFAOYSA-N 0 1 260.341 0.382 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC000491095361 332148661 /nfs/dbraw/zinc/14/86/61/332148661.db2.gz KDQTWTZQIOQXIC-CQSZACIVSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCNC(=O)CCN[C@@H]1CCN(c2ccccc2)C1=O ZINC000491097019 332149347 /nfs/dbraw/zinc/14/93/47/332149347.db2.gz YPVBOTRWBAWVHN-CQSZACIVSA-N 0 1 285.347 0.521 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)NCCCC)CC1 ZINC000491154634 332157718 /nfs/dbraw/zinc/15/77/18/332157718.db2.gz KVGYWEPKCKIJBV-UHFFFAOYSA-N 0 1 279.384 0.364 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CC1OCCO1 ZINC000491181293 332161706 /nfs/dbraw/zinc/16/17/06/332161706.db2.gz RUKUWNMBNORUSL-LLVKDONJSA-N 0 1 253.298 0.390 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@H](C)[C@@H](OC)C2)C1=O ZINC000491325255 332189635 /nfs/dbraw/zinc/18/96/35/332189635.db2.gz AXUSABPTGNQUPO-SRVKXCTJSA-N 0 1 264.325 0.104 20 30 CCEDMN C#CCNC(=O)CCNCCS(=O)(=O)c1ccccc1 ZINC000491385483 332202258 /nfs/dbraw/zinc/20/22/58/332202258.db2.gz RRJZKRWOQDOEPS-UHFFFAOYSA-N 0 1 294.376 0.189 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@@H](OC(C)C)C2)C1=O ZINC000491641742 332261115 /nfs/dbraw/zinc/26/11/15/332261115.db2.gz SQVUTMJTXUUFLN-NEPJUHHUSA-N 0 1 264.325 0.246 20 30 CCEDMN C#CCNC(=O)CCNCc1nc(C)c(C)s1 ZINC000491642982 332262032 /nfs/dbraw/zinc/26/20/32/332262032.db2.gz COXRYDPLHZHKHS-UHFFFAOYSA-N 0 1 251.355 0.989 20 30 CCEDMN C#CCN(C(=O)N[C@@H]1CCN(CCOC)C1)C1CC1 ZINC000491658223 332269334 /nfs/dbraw/zinc/26/93/34/332269334.db2.gz HHEJNLMLVDIETK-GFCCVEGCSA-N 0 1 265.357 0.514 20 30 CCEDMN C#C[C@H](CC)NC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000491669738 332277017 /nfs/dbraw/zinc/27/70/17/332277017.db2.gz NWTVHMSOAWGGQF-CHWSQXEVSA-N 0 1 266.389 0.333 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1n[nH]c(CC)c1[N+](=O)[O-] ZINC000491776784 332342231 /nfs/dbraw/zinc/34/22/31/332342231.db2.gz ZWQSQGRURLJNCN-QMMMGPOBSA-N 0 1 278.268 0.355 20 30 CCEDMN C#CCN(C)CCCNC(=O)C1C[C@@H]2COC[C@H](C1)C2=O ZINC000491785741 332348636 /nfs/dbraw/zinc/34/86/36/332348636.db2.gz SUYLILNJYJKNKO-AGUYFDCRSA-N 0 1 292.379 0.299 20 30 CCEDMN C#CCNC(=O)CCNCC(=O)Nc1cccc(CC)c1 ZINC000491794495 332354441 /nfs/dbraw/zinc/35/44/41/332354441.db2.gz QXFQTFWQXFVOAM-UHFFFAOYSA-N 0 1 287.363 0.917 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC[C@H](CO)CC1 ZINC000339745443 335148123 /nfs/dbraw/zinc/14/81/23/335148123.db2.gz DQFUFIAVMBUQPA-AWEZNQCLSA-N 0 1 292.383 0.737 20 30 CCEDMN CN(C)CCCN(CCC#N)C(=O)CCS(C)(=O)=O ZINC000137045265 334167988 /nfs/dbraw/zinc/16/79/88/334167988.db2.gz WZGAHBKVRJLBKD-UHFFFAOYSA-N 0 1 289.401 0.115 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)CC[C@H](C)O ZINC000245452655 334194330 /nfs/dbraw/zinc/19/43/30/334194330.db2.gz QOGAPVGBUYCWDK-RYUDHWBXSA-N 0 1 255.362 0.697 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@@H](c2nccn2C)C1 ZINC000550645160 334225987 /nfs/dbraw/zinc/22/59/87/334225987.db2.gz WCFICECNZOJNEN-CHWSQXEVSA-N 0 1 289.383 0.970 20 30 CCEDMN C[C@H](C#N)CNC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000248443209 334301984 /nfs/dbraw/zinc/30/19/84/334301984.db2.gz LIRYMFVMRSPLEO-JHJVBQTASA-N 0 1 282.388 0.945 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C[C@H](C)O)C[C@@H]1C ZINC000249208616 334330092 /nfs/dbraw/zinc/33/00/92/334330092.db2.gz CGVAUFCXUYIWOA-KBPBESRZSA-N 0 1 296.415 0.135 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@H]([C@@H](C)O)C1 ZINC000089932121 334353903 /nfs/dbraw/zinc/35/39/03/334353903.db2.gz ITEMJOAOYKFTJZ-TUAOUCFPSA-N 0 1 283.372 0.480 20 30 CCEDMN CC[C@H](CC#N)NC[C@H](O)COC[C@H]1CCCO1 ZINC000568181215 334801100 /nfs/dbraw/zinc/80/11/00/334801100.db2.gz NVWLUMDNWLSGKS-FRRDWIJNSA-N 0 1 256.346 0.825 20 30 CCEDMN N#Cc1cc(N2CCC[C@H](c3n[nH]c(=O)o3)C2)ncn1 ZINC000576629657 335227863 /nfs/dbraw/zinc/22/78/63/335227863.db2.gz CHHNNJOYNAOTMR-QMMMGPOBSA-N 0 1 272.268 0.821 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CC[C@@H](CO)[C@H](O)C1 ZINC000577418905 335350666 /nfs/dbraw/zinc/35/06/66/335350666.db2.gz MEXXHRRAATVOOO-MELADBBJSA-N 0 1 282.384 0.251 20 30 CCEDMN C=CCCCCN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000577696615 335389616 /nfs/dbraw/zinc/38/96/16/335389616.db2.gz MHFBPPBXLMQMIL-UHFFFAOYSA-N 0 1 295.427 0.819 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(F)cc1C#N)N(C)C ZINC000451927273 534073806 /nfs/dbraw/zinc/07/38/06/534073806.db2.gz JCMVLSXOHPLLCC-VIFPVBQESA-N 0 1 285.344 0.926 20 30 CCEDMN C=C(C)CN(CC)CC(=O)N(C)CC(=O)NCCC ZINC000052890429 518599724 /nfs/dbraw/zinc/59/97/24/518599724.db2.gz YEWYJEVZYLYDFO-UHFFFAOYSA-N 0 1 269.389 0.869 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC000333224776 534753901 /nfs/dbraw/zinc/75/39/01/534753901.db2.gz ASFIZTBIPOIJDA-LPEHRKFASA-N 0 1 259.313 0.718 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC000333224776 534753908 /nfs/dbraw/zinc/75/39/08/534753908.db2.gz ASFIZTBIPOIJDA-LPEHRKFASA-N 0 1 259.313 0.718 20 30 CCEDMN [O-]C(N[C@@H]1CCc2ncnn2C1)=[NH+][C@@H]1CCCOCC1 ZINC000329229844 534760798 /nfs/dbraw/zinc/76/07/98/534760798.db2.gz JPCVBPAKYMNZRK-GHMZBOCLSA-N 0 1 279.344 0.666 20 30 CCEDMN O=C(N[C@@H]1CCc2ncnn2C1)N[C@@H]1CCCOCC1 ZINC000329229844 534760801 /nfs/dbraw/zinc/76/08/01/534760801.db2.gz JPCVBPAKYMNZRK-GHMZBOCLSA-N 0 1 279.344 0.666 20 30 CCEDMN N#Cc1cnc(NCCCN2CCC[C@H]2C(N)=O)c(F)c1 ZINC000413136262 534826394 /nfs/dbraw/zinc/82/63/94/534826394.db2.gz NUKKDSLYUOFIQO-LBPRGKRZSA-N 0 1 291.330 0.844 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC2CC(OCC)C2)CC1 ZINC000352095750 526536927 /nfs/dbraw/zinc/53/69/27/526536927.db2.gz AIXIZYNGFUWQJN-UHFFFAOYSA-N 0 1 295.427 0.721 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](c2cnn(C)c2)C1 ZINC000116316174 526633711 /nfs/dbraw/zinc/63/37/11/526633711.db2.gz HUYDMSJBMJHUFU-RYUDHWBXSA-N 0 1 262.357 0.900 20 30 CCEDMN CC(=O)N1CC[C@H](NC(=O)N[C@@H]2CCc3c[nH]nc3C2)C1 ZINC000329987805 526663416 /nfs/dbraw/zinc/66/34/16/526663416.db2.gz SWEBNDLIVDEPHW-NEPJUHHUSA-N 0 1 291.355 0.391 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)Nc1nnc(C)[nH]1 ZINC000668211029 545167636 /nfs/dbraw/zinc/16/76/36/545167636.db2.gz TZGSNOXRURJIAG-SECBINFHSA-N 0 1 251.290 0.523 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)Nc1nc(C)n[nH]1 ZINC000668211029 545167637 /nfs/dbraw/zinc/16/76/37/545167637.db2.gz TZGSNOXRURJIAG-SECBINFHSA-N 0 1 251.290 0.523 20 30 CCEDMN C=CC[C@@H](C)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000668854103 545192779 /nfs/dbraw/zinc/19/27/79/545192779.db2.gz CDDILJIVLUHNDL-MRVPVSSYSA-N 0 1 284.337 0.734 20 30 CCEDMN CCN1CCCN(C(=O)N[C@@H]2CC[S@](=O)C2)CC1 ZINC000330248286 529015275 /nfs/dbraw/zinc/01/52/75/529015275.db2.gz MDQQFFBQFCHHSC-ZMZPIMSZSA-N 0 1 273.402 0.449 20 30 CCEDMN CCN1CCN(CC(=O)N[C@](C)(C#N)C2CC2)[C@H](C)C1 ZINC000352661501 529138844 /nfs/dbraw/zinc/13/88/44/529138844.db2.gz XSDKZIMZXKHJPZ-IUODEOHRSA-N 0 1 278.400 0.821 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCc2cn[nH]c2C1 ZINC000676795799 545352432 /nfs/dbraw/zinc/35/24/32/545352432.db2.gz PGYJGYIZHVBULA-UHFFFAOYSA-N 0 1 260.341 0.968 20 30 CCEDMN CN(C)S(=O)(=O)CCNCc1ccc(C#N)s1 ZINC000230988127 536086385 /nfs/dbraw/zinc/08/63/85/536086385.db2.gz GCPMWTIBKYHNKW-UHFFFAOYSA-N 0 1 273.383 0.601 20 30 CCEDMN CC#CCCNC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000681509800 545454067 /nfs/dbraw/zinc/45/40/67/545454067.db2.gz IPFHDZRNXPMUCI-UHFFFAOYSA-N 0 1 280.416 0.725 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCc2[nH]cnc21 ZINC000961736140 649880930 /nfs/dbraw/zinc/88/09/30/649880930.db2.gz INMXPGFJFSTSHI-DCQANWLSSA-N 0 1 285.351 0.400 20 30 CCEDMN C[C@@H]1OC(=O)N[C@H]1C(=O)Nc1cc(C#N)ccc1O ZINC000788662818 581120804 /nfs/dbraw/zinc/12/08/04/581120804.db2.gz ZRNDNLZGOAPAPK-QUBYGPBYSA-N 0 1 261.237 0.699 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)c1[nH]nc2c1CCCCC2 ZINC000789171912 581136135 /nfs/dbraw/zinc/13/61/35/581136135.db2.gz TVEXUVJKJJSTJW-JTQLQIEISA-N 0 1 289.335 0.973 20 30 CCEDMN C=CCOc1ccc(CNC[C@H]2CC(C(N)=O)=NO2)cc1 ZINC000789560634 581151785 /nfs/dbraw/zinc/15/17/85/581151785.db2.gz LGLULOCUHMHIKL-CYBMUJFWSA-N 0 1 289.335 0.971 20 30 CCEDMN CNS(=O)(=O)CCN[C@@H](C)c1ccc(C#N)c(F)c1 ZINC000784057974 581165473 /nfs/dbraw/zinc/16/54/73/581165473.db2.gz ZULHSZSDQUGTQR-VIFPVBQESA-N 0 1 285.344 0.897 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)N[C@H]2CCc3nc[nH]c3C2)cc1 ZINC000780986796 581254693 /nfs/dbraw/zinc/25/46/93/581254693.db2.gz QDLQESVFWZTGIG-SWLSCSKDSA-N 0 1 296.330 0.988 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@H]1[C@H]1CCCO1 ZINC000792923749 581276292 /nfs/dbraw/zinc/27/62/92/581276292.db2.gz GAYXUIKMUDGDIT-NWDGAFQWSA-N 0 1 251.330 0.660 20 30 CCEDMN Cn1ncc(C(=O)C(C#N)C(=O)Nc2ccccc2)n1 ZINC000793123303 581284511 /nfs/dbraw/zinc/28/45/11/581284511.db2.gz GZAJSDVPPFKLPT-JTQLQIEISA-N 0 1 269.264 0.776 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738461148 581333761 /nfs/dbraw/zinc/33/37/61/581333761.db2.gz OMBKLBUCKPDSND-GHMZBOCLSA-N 0 1 267.329 0.199 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738461148 581333763 /nfs/dbraw/zinc/33/37/63/581333763.db2.gz OMBKLBUCKPDSND-GHMZBOCLSA-N 0 1 267.329 0.199 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738462327 581333897 /nfs/dbraw/zinc/33/38/97/581333897.db2.gz YYXNFNODPQJHTK-GHMZBOCLSA-N 0 1 267.329 0.056 20 30 CCEDMN COCCCNC(=O)[C@@H](C#N)C(=O)[C@H](F)C(C)C ZINC000784487740 581386215 /nfs/dbraw/zinc/38/62/15/581386215.db2.gz ZXPVYYBWWUIGJF-VHSXEESVSA-N 0 1 258.293 0.842 20 30 CCEDMN CC1([C@H]2CCCN(CC(=O)NCCC#N)C2)OCCO1 ZINC000784578024 581389104 /nfs/dbraw/zinc/38/91/04/581389104.db2.gz KLANMOPTMONLES-LBPRGKRZSA-N 0 1 281.356 0.491 20 30 CCEDMN C[C@H](O)[C@@H]1C[C@H](C)CCN1CC(=O)NCCC#N ZINC000785545456 581411924 /nfs/dbraw/zinc/41/19/24/581411924.db2.gz GCDAOJFIJOUWJO-WOPDTQHZSA-N 0 1 253.346 0.498 20 30 CCEDMN CC(C)c1n[nH]c(COC(=O)[C@H](C)n2cnc(C#N)n2)n1 ZINC000795576961 581413868 /nfs/dbraw/zinc/41/38/68/581413868.db2.gz GPTUBLKRLZFXAD-QMMMGPOBSA-N 0 1 289.299 0.696 20 30 CCEDMN CC(C)c1nc(COC(=O)[C@H](C)n2cnc(C#N)n2)n[nH]1 ZINC000795576961 581413869 /nfs/dbraw/zinc/41/38/69/581413869.db2.gz GPTUBLKRLZFXAD-QMMMGPOBSA-N 0 1 289.299 0.696 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@H]1CCCOCC1 ZINC000786306036 581436690 /nfs/dbraw/zinc/43/66/90/581436690.db2.gz JMMHDCADYCTRFL-GXSJLCMTSA-N 0 1 250.298 0.791 20 30 CCEDMN C[C@@H]1C(=O)CC[C@H]1CC(=O)C(C#N)C(=O)NC1CC1 ZINC000796637829 581446756 /nfs/dbraw/zinc/44/67/56/581446756.db2.gz WZXAAOYVOKLDFC-ATZCPNFKSA-N 0 1 262.309 0.979 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cnc3c(cnn3C)c2)=NO1 ZINC000765372416 581509789 /nfs/dbraw/zinc/50/97/89/581509789.db2.gz KRWCCGQLUPPYGK-ZETCQYMHSA-N 0 1 259.269 0.820 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2ccc(N3CCCC3)nn2)NO1 ZINC000765370007 581510105 /nfs/dbraw/zinc/51/01/05/581510105.db2.gz TZUJDGMZOYJMDK-VIFPVBQESA-N 0 1 275.312 0.929 20 30 CCEDMN C[C@@H]1CC(NC(=O)CN(C)[C@@H]2CCSC2)=NO1 ZINC000765384093 581510495 /nfs/dbraw/zinc/51/04/95/581510495.db2.gz LGOKTKAIRRDQMC-RKDXNWHRSA-N 0 1 257.359 0.662 20 30 CCEDMN O=C1N=C(NN=Cc2cc(-c3ccccc3)[nH]n2)NC1=O ZINC000765592789 581527260 /nfs/dbraw/zinc/52/72/60/581527260.db2.gz VRHXOTUAEBOQHO-UHFFFAOYSA-N 0 1 282.263 0.013 20 30 CCEDMN C#CCOCCNC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000753233309 581532707 /nfs/dbraw/zinc/53/27/07/581532707.db2.gz WLUZDZSESDHSGE-UHFFFAOYSA-N 0 1 288.263 0.851 20 30 CCEDMN CC[C@H](O)[C@H]1CCCCN1CC(=O)NCCC#N ZINC000733995948 581538231 /nfs/dbraw/zinc/53/82/31/581538231.db2.gz BRYLBDKYHWNGRL-NEPJUHHUSA-N 0 1 253.346 0.642 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](c2nc(C3CC3)n[nH]2)C1 ZINC000766256651 581559782 /nfs/dbraw/zinc/55/97/82/581559782.db2.gz LWKLMZGEIGYAKT-GFCCVEGCSA-N 0 1 287.367 0.611 20 30 CCEDMN CC(C)(NC(=O)c1ccc(C#N)nc1)c1c[nH]nn1 ZINC000734409507 581560154 /nfs/dbraw/zinc/56/01/54/581560154.db2.gz BMVSUAVVXGXMBZ-UHFFFAOYSA-N 0 1 256.269 0.736 20 30 CCEDMN CN(C(=O)[O-])[C@@H]1CCC[N@H+](CC(=O)NCCC#N)C1 ZINC000739581956 581574887 /nfs/dbraw/zinc/57/48/87/581574887.db2.gz AEDWGBKJJKOXCT-SNVBAGLBSA-N 0 1 268.317 0.090 20 30 CCEDMN CN(C(=O)[O-])[C@@H]1CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000739581956 581574891 /nfs/dbraw/zinc/57/48/91/581574891.db2.gz AEDWGBKJJKOXCT-SNVBAGLBSA-N 0 1 268.317 0.090 20 30 CCEDMN N#CC(C(=O)CCC1CC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000734712940 581583172 /nfs/dbraw/zinc/58/31/72/581583172.db2.gz RJLXKEYQUYPKPY-NSHDSACASA-N 0 1 298.364 0.142 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](C)C(=O)NCC=C)CC1 ZINC000735937971 581673221 /nfs/dbraw/zinc/67/32/21/581673221.db2.gz QJOHDQVTTBNBTN-LBPRGKRZSA-N 0 1 278.352 0.566 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)CCCNC(=O)[O-])CC1 ZINC000736076511 581694784 /nfs/dbraw/zinc/69/47/84/581694784.db2.gz IEAHCQXYSYBANR-UHFFFAOYSA-N 0 1 267.329 0.202 20 30 CCEDMN C#CC[N@H+](CCC(=O)NCC(=O)[O-])C1CCCCC1 ZINC000736077353 581695502 /nfs/dbraw/zinc/69/55/02/581695502.db2.gz RCAXAGDRHLUKGD-UHFFFAOYSA-N 0 1 266.341 0.845 20 30 CCEDMN CCc1nc(COC(=O)c2cc(C#N)cn2C)n[nH]1 ZINC000753951414 581714037 /nfs/dbraw/zinc/71/40/37/581714037.db2.gz SOYZFRCSXVUOBB-UHFFFAOYSA-N 0 1 259.269 0.934 20 30 CCEDMN N#C[C@H](C(=O)CCc1nc[nH]n1)C(=O)NC1CCCCC1 ZINC000755908613 581757305 /nfs/dbraw/zinc/75/73/05/581757305.db2.gz ACPBQMAMDSACEJ-LLVKDONJSA-N 0 1 289.339 0.895 20 30 CCEDMN O=S1(=O)C=C(NNc2[nH+]cnc3[n-]cnc32)CCC1 ZINC000731925629 581791964 /nfs/dbraw/zinc/79/19/64/581791964.db2.gz NPPURKYYIOCPAR-UHFFFAOYSA-N 0 1 280.313 0.329 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCCNc1ccc(C#N)cn1 ZINC000732269462 581812275 /nfs/dbraw/zinc/81/22/75/581812275.db2.gz RZLWCIMAWNCDNU-ZDUSSCGKSA-N 0 1 287.367 0.966 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CC2CCCCC2)CC1 ZINC000761026560 581883302 /nfs/dbraw/zinc/88/33/02/581883302.db2.gz ZMAIZARHZBXXEW-UHFFFAOYSA-N 0 1 299.440 0.652 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])CC(=O)NCc1cccc(C#N)c1 ZINC000737013212 581885914 /nfs/dbraw/zinc/88/59/14/581885914.db2.gz DIAKUOXKFGMOQE-UHFFFAOYSA-N 0 1 289.335 0.971 20 30 CCEDMN CCC[N@@H+](CC(=O)[O-])CC(=O)NCc1cccc(C#N)c1 ZINC000737013212 581885915 /nfs/dbraw/zinc/88/59/15/581885915.db2.gz DIAKUOXKFGMOQE-UHFFFAOYSA-N 0 1 289.335 0.971 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])CC(=O)N[C@](C)(C#N)C(C)C ZINC000737013262 581885963 /nfs/dbraw/zinc/88/59/63/581885963.db2.gz QOVHAYAXCVBOKY-CYBMUJFWSA-N 0 1 269.345 0.837 20 30 CCEDMN CCC[N@@H+](CC(=O)[O-])CC(=O)N[C@](C)(C#N)C(C)C ZINC000737013262 581885965 /nfs/dbraw/zinc/88/59/65/581885965.db2.gz QOVHAYAXCVBOKY-CYBMUJFWSA-N 0 1 269.345 0.837 20 30 CCEDMN C#C[C@@H](NC(=O)c1[nH]nc2c1CCC2)[C@H]1CCCO1 ZINC000772884149 581895674 /nfs/dbraw/zinc/89/56/74/581895674.db2.gz JPISZLGLSHTFFJ-ZYHUDNBSSA-N 0 1 259.309 0.809 20 30 CCEDMN C#CCCNC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000733355558 581902596 /nfs/dbraw/zinc/90/25/96/581902596.db2.gz AMDJGDKKBOFNHG-ZDUSSCGKSA-N 0 1 267.373 0.666 20 30 CCEDMN NS(=O)(=O)c1ccccc1N=NCc1cc[nH]n1 ZINC000773120423 581909717 /nfs/dbraw/zinc/90/97/17/581909717.db2.gz IBJUZDPXPORMDG-UHFFFAOYSA-N 0 1 265.298 0.503 20 30 CCEDMN C#CCNCC(=O)NCCO[C@@H]1CCCC[C@@H]1C ZINC000746513987 581917829 /nfs/dbraw/zinc/91/78/29/581917829.db2.gz QFSGZCQXQRIIFE-QWHCGFSZSA-N 0 1 252.358 0.921 20 30 CCEDMN CN1CCC(=Nn2c(C(F)(F)F)n[nH]c2=S)C1=O ZINC000761750937 581919702 /nfs/dbraw/zinc/91/97/02/581919702.db2.gz ZEWQEQJWDSZNTP-UHFFFAOYSA-N 0 1 279.247 0.652 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1cc(CC)[nH]n1)[C@@H]1CCCO1 ZINC000773312459 581921216 /nfs/dbraw/zinc/92/12/16/581921216.db2.gz TXMORFSSMHKHMI-OLZOCXBDSA-N 0 1 276.340 0.952 20 30 CCEDMN C#CCCCCC(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000773389309 581926448 /nfs/dbraw/zinc/92/64/48/581926448.db2.gz LOCKNZNLSAYEJV-UHFFFAOYSA-N 0 1 289.383 0.951 20 30 CCEDMN CON=CC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000773816756 581942788 /nfs/dbraw/zinc/94/27/88/581942788.db2.gz QUPRABXBXIZFBB-UHFFFAOYSA-N 0 1 269.345 0.189 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@]2(CCCOC2)C1 ZINC000747532022 581944922 /nfs/dbraw/zinc/94/49/22/581944922.db2.gz HCUFJKVTCFKVTG-AWEZNQCLSA-N 0 1 250.342 0.628 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC2(CCN(C)C2=O)CC1 ZINC000773921937 581947240 /nfs/dbraw/zinc/94/72/40/581947240.db2.gz CPWQDZUUWHNMSE-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C[N@H+](CC(=O)NCc1cccc(C#N)c1)C(C)(C)C(=O)[O-] ZINC000737394100 581952000 /nfs/dbraw/zinc/95/20/00/581952000.db2.gz YQQWVWUDIIBRPZ-UHFFFAOYSA-N 0 1 289.335 0.969 20 30 CCEDMN C[N@@H+](CC(=O)NCc1cccc(C#N)c1)C(C)(C)C(=O)[O-] ZINC000737394100 581952002 /nfs/dbraw/zinc/95/20/02/581952002.db2.gz YQQWVWUDIIBRPZ-UHFFFAOYSA-N 0 1 289.335 0.969 20 30 CCEDMN COCC#CCN1CCC([C@@H](O)c2nccn2C)CC1 ZINC000762926610 581972632 /nfs/dbraw/zinc/97/26/32/581972632.db2.gz LXQZSOLUXWDTEG-CQSZACIVSA-N 0 1 277.368 0.815 20 30 CCEDMN CS(=O)(=O)NCCC(=O)Nc1cc(C#N)ccc1O ZINC000748410371 581974095 /nfs/dbraw/zinc/97/40/95/581974095.db2.gz JBORWSIUKOXLBS-UHFFFAOYSA-N 0 1 283.309 0.142 20 30 CCEDMN CNC(=O)CC[N@@H+](C)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737536171 581975993 /nfs/dbraw/zinc/97/59/93/581975993.db2.gz GGHBCDMBVLLTKA-ZDUSSCGKSA-N 0 1 275.308 0.752 20 30 CCEDMN C#CCN1CCN(C(=O)CN(CC=C)C(C)C)CC1 ZINC000748813601 581988075 /nfs/dbraw/zinc/98/80/75/581988075.db2.gz NULFQENZJPTAGI-UHFFFAOYSA-N 0 1 263.385 0.660 20 30 CCEDMN C#CCCN1CCN(C[C@@H]2CC3(CC3)C(=O)O2)CC1 ZINC000763737328 582012857 /nfs/dbraw/zinc/01/28/57/582012857.db2.gz KMDBTKPJGIHJAD-ZDUSSCGKSA-N 0 1 262.353 0.723 20 30 CCEDMN Cn1c(=O)c2ccc(C(=O)NCC#N)cc2[nH]c1=S ZINC000749609215 582015226 /nfs/dbraw/zinc/01/52/26/582015226.db2.gz WTXBQBXQDCIEHD-UHFFFAOYSA-N 0 1 274.305 0.476 20 30 CCEDMN C=CCNC(=S)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000749828516 582024712 /nfs/dbraw/zinc/02/47/12/582024712.db2.gz MEXLKFGBGTZWMA-LBPRGKRZSA-N 0 1 269.414 0.701 20 30 CCEDMN C#C[C@H](N[C@@H]1CCCS(=O)(=O)C1)[C@@H]1CCCO1 ZINC000775572485 582029440 /nfs/dbraw/zinc/02/94/40/582029440.db2.gz AZZNGAFWLYKPNS-WOPDTQHZSA-N 0 1 257.355 0.334 20 30 CCEDMN N#Cc1ccc(N2CCN(C[C@@H](O)C3CC3)CC2)cn1 ZINC000750027299 582030543 /nfs/dbraw/zinc/03/05/43/582030543.db2.gz GPSHNQBUJCVOSP-OAHLLOKOSA-N 0 1 272.352 0.846 20 30 CCEDMN C#C[C@H]([NH2+]Cc1cc(=O)n2[n-]ccc2n1)[C@@H]1CCCO1 ZINC000775790241 582038196 /nfs/dbraw/zinc/03/81/96/582038196.db2.gz HILAGYDGWHYLBR-RYUDHWBXSA-N 0 1 272.308 0.293 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC(=O)NC(C)C)[C@@H]1CCCO1 ZINC000775794368 582038707 /nfs/dbraw/zinc/03/87/07/582038707.db2.gz QFEVJZQTJNEGLC-WOPDTQHZSA-N 0 1 281.356 0.380 20 30 CCEDMN C#C[C@H]([NH2+]CCC[N-]C(=O)C(F)(F)F)[C@H]1CCCO1 ZINC000775799316 582039434 /nfs/dbraw/zinc/03/94/34/582039434.db2.gz KHVZBOXSASNNEQ-VHSXEESVSA-N 0 1 278.274 0.825 20 30 CCEDMN C#C[C@H](NCCCS(=O)(=O)CCOC)[C@H]1CCCO1 ZINC000775797580 582039767 /nfs/dbraw/zinc/03/97/67/582039767.db2.gz DTTCQHPKQQBBME-QWHCGFSZSA-N 0 1 289.397 0.208 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)CC(C)(C)NC(=O)[O-])CC1 ZINC000738457617 582052573 /nfs/dbraw/zinc/05/25/73/582052573.db2.gz QZNKEXQUZROPIW-UHFFFAOYSA-N 0 1 281.356 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)[C@@H](NC(=O)[O-])C(C)C ZINC000738459826 582053121 /nfs/dbraw/zinc/05/31/21/582053121.db2.gz FQXLKSPYMZVQTI-NEPJUHHUSA-N 0 1 282.340 0.919 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](CNC(=O)[O-])C1 ZINC000738496200 582056767 /nfs/dbraw/zinc/05/67/67/582056767.db2.gz UJFXXYRQYAFYKP-UWVGGRQHSA-N 0 1 255.318 0.267 20 30 CCEDMN CS(=O)(=O)NN=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000764701697 582063033 /nfs/dbraw/zinc/06/30/33/582063033.db2.gz QGEZETVBEOMZNQ-UHFFFAOYSA-N 0 1 259.243 0.184 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738605451 582065787 /nfs/dbraw/zinc/06/57/87/582065787.db2.gz VSEIGVPFSFKZOU-RYUDHWBXSA-N 0 1 296.371 0.821 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738605451 582065790 /nfs/dbraw/zinc/06/57/90/582065790.db2.gz VSEIGVPFSFKZOU-RYUDHWBXSA-N 0 1 296.371 0.821 20 30 CCEDMN C[C@H](CNC(=O)[O-])[N@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000738941780 582092247 /nfs/dbraw/zinc/09/22/47/582092247.db2.gz UTTOJIYYQQNPFF-NOZJJQNGSA-N 0 1 282.344 0.383 20 30 CCEDMN C[C@H](CNC(=O)[O-])[N@@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000738941780 582092250 /nfs/dbraw/zinc/09/22/50/582092250.db2.gz UTTOJIYYQQNPFF-NOZJJQNGSA-N 0 1 282.344 0.383 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](CCC(=O)OCC)C1 ZINC000752052463 582099780 /nfs/dbraw/zinc/09/97/80/582099780.db2.gz JCXQYILYOOVPJV-CYBMUJFWSA-N 0 1 280.368 0.791 20 30 CCEDMN C[C@@H]1CCCC[N@H+]1CCNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820235001 597634941 /nfs/dbraw/zinc/63/49/41/597634941.db2.gz GJQDNUMWATWMPZ-BXUZGUMPSA-N 0 1 281.356 0.982 20 30 CCEDMN O=C([O-])CCN[NH+]=Cc1cnc(N2CCOCC2)s1 ZINC000821524954 597742071 /nfs/dbraw/zinc/74/20/71/597742071.db2.gz UCUAHKURDXLBFD-UHFFFAOYSA-N 0 1 284.341 0.378 20 30 CCEDMN C#CCOCCNC(=O)[C@@H]1CCN1C1CCCC1 ZINC000821882402 612988393 /nfs/dbraw/zinc/98/83/93/612988393.db2.gz ZSICDHIQDSTDQK-ZDUSSCGKSA-N 0 1 250.342 0.769 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N1CC[N@@H+]2CCCC[C@H]2C1 ZINC000819821023 598225398 /nfs/dbraw/zinc/22/53/98/598225398.db2.gz CBKUHCVQOPLGON-FZMZJTMJSA-N 0 1 279.340 0.688 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N1CC[N@H+]2CCCC[C@H]2C1 ZINC000819821023 598225399 /nfs/dbraw/zinc/22/53/99/598225399.db2.gz CBKUHCVQOPLGON-FZMZJTMJSA-N 0 1 279.340 0.688 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)[C@H]1CN2CCC1CC2 ZINC000823256854 612998867 /nfs/dbraw/zinc/99/88/67/612998867.db2.gz RGDVYCUZYSTNMC-YPMHNXCESA-N 0 1 250.342 0.577 20 30 CCEDMN C#CC1(F)CN(C(=O)CSc2nnc(C)[nH]2)C1 ZINC000881943106 612946648 /nfs/dbraw/zinc/94/66/48/612946648.db2.gz PXKSOEJJDXUKSL-UHFFFAOYSA-N 0 1 254.290 0.389 20 30 CCEDMN C#CC1(F)CN(C(=O)CSc2nc(C)n[nH]2)C1 ZINC000881943106 612946650 /nfs/dbraw/zinc/94/66/50/612946650.db2.gz PXKSOEJJDXUKSL-UHFFFAOYSA-N 0 1 254.290 0.389 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC001007203247 649914691 /nfs/dbraw/zinc/91/46/91/649914691.db2.gz IQFBMZSYMVFHKS-CQSZACIVSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC2(CC1)C[C@@H](O)CCO2 ZINC000933066168 612947555 /nfs/dbraw/zinc/94/75/55/612947555.db2.gz MFWFGQDBUCIWRK-OLZOCXBDSA-N 0 1 280.368 0.130 20 30 CCEDMN C#CC1(F)CN(C(=O)NCc2n[nH]c(C3CC3)n2)C1 ZINC000883720804 612947671 /nfs/dbraw/zinc/94/76/71/612947671.db2.gz YGLILVTWKWWVIH-UHFFFAOYSA-N 0 1 263.276 0.549 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(C2(O)CC2)CC1 ZINC000844364710 612949667 /nfs/dbraw/zinc/94/96/67/612949667.db2.gz VZUADTDAUKJXCN-NSHDSACASA-N 0 1 250.342 0.361 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2CN(CC#N)CC[C@H]2C)c1C ZINC000965627159 649935325 /nfs/dbraw/zinc/93/53/25/649935325.db2.gz RVZNKBQVWAFLJJ-BXKDBHETSA-N 0 1 275.356 0.990 20 30 CCEDMN C#CC1(O)CCN(CCc2nnn(C(C)(C)C)n2)CC1 ZINC000931151844 612952171 /nfs/dbraw/zinc/95/21/71/612952171.db2.gz XDNIGPJKPVDIFV-UHFFFAOYSA-N 0 1 277.372 0.431 20 30 CCEDMN N#CCNC1CC2(C1)CC(NC(=O)CN1CCCC1)C2 ZINC000965922964 649941295 /nfs/dbraw/zinc/94/12/95/649941295.db2.gz QDYVLALXGHDOST-UHFFFAOYSA-N 0 1 276.384 0.623 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000863800708 613037009 /nfs/dbraw/zinc/03/70/09/613037009.db2.gz MJVPUXIASMLHGC-WDEREUQCSA-N 0 1 261.325 0.984 20 30 CCEDMN N#Cc1cccc([C@H](C(=O)[O-])[N@H+]2CC[C@H](CO)C2)c1 ZINC000833074329 604768207 /nfs/dbraw/zinc/76/82/07/604768207.db2.gz VQMGXDOEUTWPMI-WCQYABFASA-N 0 1 260.293 0.998 20 30 CCEDMN C[C@H](C(=O)[O-])[N@@H+](C)CCCc1[nH]nc(N)c1C#N ZINC000819889052 604924425 /nfs/dbraw/zinc/92/44/25/604924425.db2.gz JTYOOHAIPUADGR-SSDOTTSWSA-N 0 1 251.290 0.201 20 30 CCEDMN C[C@H]1C[C@H](CNC(=O)[O-])C[N@H+]1CCC(=O)N(C)CCC#N ZINC000833828728 605751150 /nfs/dbraw/zinc/75/11/50/605751150.db2.gz DTIOBHOINNBEBK-NWDGAFQWSA-N 0 1 296.371 0.727 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)CCCCc1cn[nH]n1 ZINC000799080967 613055875 /nfs/dbraw/zinc/05/58/75/613055875.db2.gz CYULHDJJAVIMPQ-GFCCVEGCSA-N 0 1 264.329 0.408 20 30 CCEDMN C#CC[C@H](NC(=O)C[N@H+](C)CC(C)(C)C)C(=O)[O-] ZINC000910050655 613067757 /nfs/dbraw/zinc/06/77/57/613067757.db2.gz MWKQHIULLVLOOQ-JTQLQIEISA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCN(C(=O)N[C@H](C)CN1CCN(C)CC1)C(C)C ZINC000917224010 613067806 /nfs/dbraw/zinc/06/78/06/613067806.db2.gz PLKDNNPOSDRLIR-CQSZACIVSA-N 0 1 280.416 0.676 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCc2ccc(O)cn2)o1 ZINC000826322816 607886817 /nfs/dbraw/zinc/88/68/17/607886817.db2.gz CNEYIZTUJDXDPI-UHFFFAOYSA-N 0 1 286.255 0.710 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@H](CC(=C)C)C(=O)[O-] ZINC000909037642 613076947 /nfs/dbraw/zinc/07/69/47/613076947.db2.gz KBRKVRSAWLGWQC-GFCCVEGCSA-N 0 1 281.356 0.660 20 30 CCEDMN C#CCNCC(=O)NC[C@H](CO)Cc1ccc(F)cc1 ZINC000912402898 612956582 /nfs/dbraw/zinc/95/65/82/612956582.db2.gz JSRLSCHPMYVDPX-CYBMUJFWSA-N 0 1 278.327 0.316 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC000972068398 613081174 /nfs/dbraw/zinc/08/11/74/613081174.db2.gz WXLKHGNDLVUVEV-QWHCGFSZSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC000971362153 613096562 /nfs/dbraw/zinc/09/65/62/613096562.db2.gz UVLDYVXWGRUCHG-JTQLQIEISA-N 0 1 262.313 0.203 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)NCc1n[nH]c(=O)n1C ZINC000896197854 613102166 /nfs/dbraw/zinc/10/21/66/613102166.db2.gz JIXGUWLQCIHFBP-SECBINFHSA-N 0 1 263.301 0.218 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N(C)CC(=O)OCC)C1 ZINC000847028413 613105956 /nfs/dbraw/zinc/10/59/56/613105956.db2.gz YAZAIHIGIUQQBN-GFCCVEGCSA-N 0 1 266.341 0.353 20 30 CCEDMN C#CCN(C[C@@H](O)COCCOC)C1CSC1 ZINC000878909717 613108110 /nfs/dbraw/zinc/10/81/10/613108110.db2.gz AWQQQRDZEWKWOT-GFCCVEGCSA-N 0 1 259.371 0.061 20 30 CCEDMN C#CCN(Cc1[nH]ncc1C)[C@@H]1CCS(=O)(=O)C1 ZINC000811458268 613109199 /nfs/dbraw/zinc/10/91/99/613109199.db2.gz SHXHSKOISGSCAB-LLVKDONJSA-N 0 1 267.354 0.340 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)NCCS(=O)(=O)C(C)(C)C ZINC000876662399 613388065 /nfs/dbraw/zinc/38/80/65/613388065.db2.gz OGQJVXIYICPEGJ-SECBINFHSA-N 0 1 296.820 0.786 20 30 CCEDMN C=CCCC[N@H+](CC)CCS(=O)(=O)CC(=O)[O-] ZINC000903713035 613466850 /nfs/dbraw/zinc/46/68/50/613466850.db2.gz XYBHZWGTYRHZLU-UHFFFAOYSA-N 0 1 263.359 0.774 20 30 CCEDMN CC(C)(C)OC(=O)COCC(=O)C(C#N)C(=O)NC1CC1 ZINC000842684722 613969127 /nfs/dbraw/zinc/96/91/27/613969127.db2.gz JNUNQBPIJJUREP-JTQLQIEISA-N 0 1 296.323 0.332 20 30 CCEDMN C#CCC1(NCc2coc(S(N)(=O)=O)c2)CCC1 ZINC000933295616 612970924 /nfs/dbraw/zinc/97/09/24/612970924.db2.gz WHLRMXYEJBUUET-UHFFFAOYSA-N 0 1 268.338 0.963 20 30 CCEDMN C#CCC1(O)CC[NH+](CCC[N-]C(=O)C(F)(F)F)CC1 ZINC000880481185 612973232 /nfs/dbraw/zinc/97/32/32/612973232.db2.gz NMLVWIJIXJTOFK-UHFFFAOYSA-N 0 1 292.301 0.905 20 30 CCEDMN C#CCCCCNC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000840323393 612977401 /nfs/dbraw/zinc/97/74/01/612977401.db2.gz BFHVCOXRBWJUNK-CYBMUJFWSA-N 0 1 295.383 0.536 20 30 CCEDMN CC(C)C#CC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000855027677 614325820 /nfs/dbraw/zinc/32/58/20/614325820.db2.gz GBRIOAWJPURSDV-UHFFFAOYSA-N 0 1 261.329 0.108 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1F ZINC000834510417 617235004 /nfs/dbraw/zinc/23/50/04/617235004.db2.gz AQGQOCKUZZKXQF-KOLCDFICSA-N 0 1 263.272 0.676 20 30 CCEDMN CSCc1n[nH]c(CNc2ccnc(C#N)c2)n1 ZINC000884694914 617258562 /nfs/dbraw/zinc/25/85/62/617258562.db2.gz BFOSEYJZAOZVMK-UHFFFAOYSA-N 0 1 260.326 0.968 20 30 CCEDMN CSCc1nnc(CNc2ccnc(C#N)c2)[nH]1 ZINC000884694914 617258564 /nfs/dbraw/zinc/25/85/64/617258564.db2.gz BFOSEYJZAOZVMK-UHFFFAOYSA-N 0 1 260.326 0.968 20 30 CCEDMN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834510382 617282446 /nfs/dbraw/zinc/28/24/46/617282446.db2.gz ANCOGKLUSPRYCW-LDYMZIIASA-N 0 1 290.279 0.445 20 30 CCEDMN CSc1nsc(NC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000841144165 617286835 /nfs/dbraw/zinc/28/68/35/617286835.db2.gz YBAJCFNQIMSONJ-WDSKDSINSA-N 0 1 284.370 0.493 20 30 CCEDMN C#CCC1(O)CCN(C[C@H](O)C(F)(F)F)CC1 ZINC000880481267 612973497 /nfs/dbraw/zinc/97/34/97/612973497.db2.gz QCBGDURIZLEZKH-VIFPVBQESA-N 0 1 251.248 0.760 20 30 CCEDMN C#CCOCCNC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000836396571 612986768 /nfs/dbraw/zinc/98/67/68/612986768.db2.gz IEWMVUJYDBBIDU-TXEJJXNPSA-N 0 1 253.346 0.370 20 30 CCEDMN COc1cccc([C@H](OC)C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000852827292 617310028 /nfs/dbraw/zinc/31/00/28/617310028.db2.gz FWVHYVXMPKEOGI-YUTCNCBUSA-N 0 1 289.335 0.610 20 30 CCEDMN C#CCCN(CCOC)C(=O)CCCc1nn[nH]n1 ZINC000824749311 612986952 /nfs/dbraw/zinc/98/69/52/612986952.db2.gz XCDZPNLBAVOBMN-UHFFFAOYSA-N 0 1 265.317 0.021 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000854568910 612994216 /nfs/dbraw/zinc/99/42/16/612994216.db2.gz GXMINQNWLGGSQI-KBPBESRZSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN([C@@H](C)CC)CC1 ZINC000837003909 612999899 /nfs/dbraw/zinc/99/98/99/612999899.db2.gz BZYYFWLWUPXTDN-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1[C@H](C)CN(C)[C@@H](C)[C@@H]1C ZINC000844646304 613000919 /nfs/dbraw/zinc/00/09/19/613000919.db2.gz KYCSLFADIOYZRR-MROQNXINSA-N 0 1 252.358 0.964 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnc3n[nH]nc3c2)CC1 ZINC000981345268 613006564 /nfs/dbraw/zinc/00/65/64/613006564.db2.gz QSVLBDSDCWUUPC-UHFFFAOYSA-N 0 1 298.350 0.524 20 30 CCEDMN C#CCSCC(=O)N1CC[C@@H]2[C@H]1CCCN2CCO ZINC000889743884 613010880 /nfs/dbraw/zinc/01/08/80/613010880.db2.gz RCIKBLVCGZOSEC-CHWSQXEVSA-N 0 1 282.409 0.410 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000858468385 613021332 /nfs/dbraw/zinc/02/13/32/613021332.db2.gz GAAIVGRGAXDBEW-NWDGAFQWSA-N 0 1 290.367 0.995 20 30 CCEDMN C#CCCNS(=O)(=O)c1occc1C(=O)OC ZINC000866785467 613048313 /nfs/dbraw/zinc/04/83/13/613048313.db2.gz WZZRLXJSTSLKLW-UHFFFAOYSA-N 0 1 257.267 0.368 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)Cc1[nH]nc2ccccc21 ZINC000799076996 613056101 /nfs/dbraw/zinc/05/61/01/613056101.db2.gz WASXMRHYQURAFB-LLVKDONJSA-N 0 1 271.320 0.996 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000854546171 613057511 /nfs/dbraw/zinc/05/75/11/613057511.db2.gz YQOAFFSFSZJQKF-SECBINFHSA-N 0 1 264.237 0.414 20 30 CCEDMN C#CC[C@H](CO)NCc1cc2c(cc1OC)OCO2 ZINC000883124913 613058562 /nfs/dbraw/zinc/05/85/62/613058562.db2.gz TZWMFPAGPZXARX-LLVKDONJSA-N 0 1 263.293 0.898 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000875928879 613062680 /nfs/dbraw/zinc/06/26/80/613062680.db2.gz XGBLLACEVNYKPV-HZSPNIEDSA-N 0 1 297.399 0.121 20 30 CCEDMN C#CC[C@H](NC(=O)CN1[C@H](C)CC[C@H]1C)C(=O)OC ZINC000837943521 613067937 /nfs/dbraw/zinc/06/79/37/613067937.db2.gz RLHUGVJACZASCT-UTUOFQBUSA-N 0 1 266.341 0.540 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(CCC)[C@@H](C)C(=O)[O-] ZINC000909037715 613074004 /nfs/dbraw/zinc/07/40/04/613074004.db2.gz LLPBFQSVLQRFPL-LBPRGKRZSA-N 0 1 283.372 0.836 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC000971904014 613081345 /nfs/dbraw/zinc/08/13/45/613081345.db2.gz NUECXCUBBRMOJX-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC000971970433 613082779 /nfs/dbraw/zinc/08/27/79/613082779.db2.gz VOLPUQNSIAMRTE-JEWKUQAESA-N 0 1 274.364 0.576 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn3ncccc23)C1 ZINC000971697692 613087677 /nfs/dbraw/zinc/08/76/77/613087677.db2.gz UGPUAUWKBIYMAS-GFCCVEGCSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnnn2CC)C1 ZINC000971497060 613087717 /nfs/dbraw/zinc/08/77/17/613087717.db2.gz QQZZJZKROQOADF-LLVKDONJSA-N 0 1 261.329 0.078 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2snnc2CC)C1 ZINC000971884839 613088660 /nfs/dbraw/zinc/08/86/60/613088660.db2.gz BZIVKXKTCPSNPW-SNVBAGLBSA-N 0 1 278.381 0.880 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2CCC(C(N)=O)CC2)C1 ZINC000971835932 613089156 /nfs/dbraw/zinc/08/91/56/613089156.db2.gz HNLAMSNSIYWQPZ-RUXDESIVSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2ncccn2)C1 ZINC000972595016 613089966 /nfs/dbraw/zinc/08/99/66/613089966.db2.gz YBCDEOAHGROREG-ZDUSSCGKSA-N 0 1 272.352 0.575 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)c2ccnn2C)C1 ZINC000971555436 613091233 /nfs/dbraw/zinc/09/12/33/613091233.db2.gz FSEYSOHCMTWWQI-STQMWFEESA-N 0 1 274.368 0.690 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC000971635800 613091549 /nfs/dbraw/zinc/09/15/49/613091549.db2.gz COGMJHKPSCHEGX-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC000972385028 613091876 /nfs/dbraw/zinc/09/18/76/613091876.db2.gz SOWQZVSSLUHXPF-DGAVXFQQSA-N 0 1 262.353 0.720 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC000971992182 613092000 /nfs/dbraw/zinc/09/20/00/613092000.db2.gz VFUKXYNODOGGKQ-BFHYXJOUSA-N 0 1 286.379 0.690 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC000980270637 613092240 /nfs/dbraw/zinc/09/22/40/613092240.db2.gz QNTNERDLPQZMOQ-CABCVRRESA-N 0 1 283.375 0.962 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2[nH]cnc2CC)C1 ZINC000980282541 613093400 /nfs/dbraw/zinc/09/34/00/613093400.db2.gz HZQLVSXRWAXFSS-NSHDSACASA-N 0 1 260.341 0.752 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c(OC)cc[nH]c2=O)C1 ZINC000972740447 613093478 /nfs/dbraw/zinc/09/34/78/613093478.db2.gz NFBYGJVWOGHQHR-NSHDSACASA-N 0 1 289.335 0.575 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c(C)cnn2C)C1 ZINC000972124218 613093568 /nfs/dbraw/zinc/09/35/68/613093568.db2.gz JLHUCLVYPLNROE-LBPRGKRZSA-N 0 1 260.341 0.508 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)[C@]2(C)C[C@@H](O)CN2C)C1 ZINC000912046819 613105524 /nfs/dbraw/zinc/10/55/24/613105524.db2.gz INUIFVYMFZVPAT-BZPMIXESSA-N 0 1 250.342 0.313 20 30 CCEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)NCCCOC)C1 ZINC000847024841 613106947 /nfs/dbraw/zinc/10/69/47/613106947.db2.gz UAWLFJZRSDREQN-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(Cc1ccccc1)C(=O)CCc1nn[nH]n1 ZINC000868316466 613108815 /nfs/dbraw/zinc/10/88/15/613108815.db2.gz JIGFUSFAHGSARE-UHFFFAOYSA-N 0 1 269.308 0.794 20 30 CCEDMN C#C[C@H](C)NS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000915040361 613118965 /nfs/dbraw/zinc/11/89/65/613118965.db2.gz BZSKEVOUHNJYFI-RYUDHWBXSA-N 0 1 256.371 0.413 20 30 CCEDMN C#C[C@H](C)OC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000860560387 613119246 /nfs/dbraw/zinc/11/92/46/613119246.db2.gz HKVHTBBECHLUTN-QMMMGPOBSA-N 0 1 268.294 0.632 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NCCC[N@H+]1CCC[C@H]1C(N)=O ZINC000875725051 613123759 /nfs/dbraw/zinc/12/37/59/613123759.db2.gz MSNWNEFGOPVPGC-OLZOCXBDSA-N 0 1 294.399 0.427 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000875725051 613123761 /nfs/dbraw/zinc/12/37/61/613123761.db2.gz MSNWNEFGOPVPGC-OLZOCXBDSA-N 0 1 294.399 0.427 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NCC[N@H+]1CC[C@H](O)C1 ZINC000875725219 613123769 /nfs/dbraw/zinc/12/37/69/613123769.db2.gz PMNDHLIHDCFUFK-NEPJUHHUSA-N 0 1 253.346 0.154 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NCCN1CC[C@H](O)C1 ZINC000875725219 613123770 /nfs/dbraw/zinc/12/37/70/613123770.db2.gz PMNDHLIHDCFUFK-NEPJUHHUSA-N 0 1 253.346 0.154 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CC[C@H]2OC)CC1 ZINC000889353946 613128517 /nfs/dbraw/zinc/12/85/17/613128517.db2.gz WSXKYBFAUQFHRB-UONOGXRCSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2CNC(C)=O)CC1 ZINC000944072756 613129147 /nfs/dbraw/zinc/12/91/47/613129147.db2.gz LJCSNHYPXLGEJW-OAHLLOKOSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](O)CC(C)(C)C2)CC1 ZINC000827720994 613129797 /nfs/dbraw/zinc/12/97/97/613129797.db2.gz SBXKIVZPCSYRMP-AWEZNQCLSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCC(C(=O)N2[C@H](C)C(=O)N[C@@H](C)[C@H]2C)CC1 ZINC000911785816 613130067 /nfs/dbraw/zinc/13/00/67/613130067.db2.gz JCHFNYRIXWMFAK-YNEHKIRRSA-N 0 1 291.395 0.456 20 30 CCEDMN C#CCN1CCC(C(=O)NCC[S@](=O)C(C)(C)C)CC1 ZINC000112300057 613130444 /nfs/dbraw/zinc/13/04/44/613130444.db2.gz JHVUXELHAMESSJ-HXUWFJFHSA-N 0 1 298.452 0.995 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(C)COC(C)(C)OC2)CC1 ZINC000928655409 613132572 /nfs/dbraw/zinc/13/25/72/613132572.db2.gz WIGNGYXGOWQDBF-UHFFFAOYSA-N 0 1 294.395 0.989 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H]2CCCO2)CC1 ZINC000928649453 613133280 /nfs/dbraw/zinc/13/32/80/613133280.db2.gz MEVVURKVIIJDRN-CYBMUJFWSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2c(C)nn(C)c2C)CC1 ZINC000928659270 613133297 /nfs/dbraw/zinc/13/32/97/613133297.db2.gz JBRCTGGDMFRNNW-UHFFFAOYSA-N 0 1 288.395 0.793 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2ccc([N+](=O)[O-])n2)CC1 ZINC000928656698 613133334 /nfs/dbraw/zinc/13/33/34/613133334.db2.gz BTBXGGVUTLJAFL-UHFFFAOYSA-N 0 1 291.311 0.005 20 30 CCEDMN C#CCN1CCC(NC(=O)COc2ccc(O)cc2)CC1 ZINC000928655164 613133470 /nfs/dbraw/zinc/13/34/70/613133470.db2.gz MJTPFHVASJFCGW-UHFFFAOYSA-N 0 1 288.347 0.985 20 30 CCEDMN C#C[C@H](NC(=O)CN1CCN(CC)CC1)C1CCOCC1 ZINC000856108955 613133580 /nfs/dbraw/zinc/13/35/80/613133580.db2.gz HMGVBLDJAXXHEH-HNNXBMFYSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCOCC)CC1 ZINC000914008046 613133706 /nfs/dbraw/zinc/13/37/06/613133706.db2.gz UIMVGAASBPGOQF-UHFFFAOYSA-N 0 1 253.346 0.420 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCOC(F)(F)F)CC1 ZINC000922150949 613133815 /nfs/dbraw/zinc/13/38/15/613133815.db2.gz WFYGLDRYYUDIDM-UHFFFAOYSA-N 0 1 293.289 0.920 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCC(C)(C)O)CC1 ZINC000922061118 613133953 /nfs/dbraw/zinc/13/39/53/613133953.db2.gz WULKSCPMAJYZBZ-UHFFFAOYSA-N 0 1 267.373 0.544 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H]2CC[C@@H](C)O2)CC1 ZINC000922822657 613134271 /nfs/dbraw/zinc/13/42/71/613134271.db2.gz SWFIHCHZNHRHAV-TZMCWYRMSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)c2cn[nH]c2)CC1 ZINC000921664515 613134847 /nfs/dbraw/zinc/13/48/47/613134847.db2.gz OSQHDMAHDWVMDI-NSHDSACASA-N 0 1 275.356 0.868 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2c(C)cnn2C)CC1 ZINC000931892164 613135417 /nfs/dbraw/zinc/13/54/17/613135417.db2.gz XZXIRLKLXAGSLT-UHFFFAOYSA-N 0 1 275.356 0.948 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCn3nccc32)CC1 ZINC000928648972 613135875 /nfs/dbraw/zinc/13/58/75/613135875.db2.gz FFPWWLMVCAELTK-CQSZACIVSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC000928657880 613136433 /nfs/dbraw/zinc/13/64/33/613136433.db2.gz COCXMNUZFWYLLO-VXGBXAGGSA-N 0 1 264.325 0.009 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](O)/C=C\CCC)CC1 ZINC000928660707 613136504 /nfs/dbraw/zinc/13/65/04/613136504.db2.gz NWAMJLFYCZMBJF-LMVHVUTASA-N 0 1 264.369 0.917 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cncc(OC)n2)CC1 ZINC000928652638 613137583 /nfs/dbraw/zinc/13/75/83/613137583.db2.gz GLLNZLBRPCHKOQ-UHFFFAOYSA-N 0 1 274.324 0.313 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnc3c2ncn3C)CC1 ZINC000928653677 613137652 /nfs/dbraw/zinc/13/76/52/613137652.db2.gz WFOUTVDIADGXNM-UHFFFAOYSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn(C)c2CC)CC1 ZINC000928655038 613137672 /nfs/dbraw/zinc/13/76/72/613137672.db2.gz JAAMWNZUMDDIEB-UHFFFAOYSA-N 0 1 274.368 0.810 20 30 CCEDMN C#CCN1CCC(Nc2ncc([N+](=O)[O-])cn2)CC1 ZINC000895807442 613138864 /nfs/dbraw/zinc/13/88/64/613138864.db2.gz OIJUQZQVNJKLHW-UHFFFAOYSA-N 0 1 261.285 0.894 20 30 CCEDMN C#CCN1CCC(Nc2ncccc2C(=O)NC)CC1 ZINC000895809392 613139104 /nfs/dbraw/zinc/13/91/04/613139104.db2.gz UGIRZCCGDYGQRO-UHFFFAOYSA-N 0 1 272.352 0.951 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@@H]1CCN1C)C1CCOCC1 ZINC000923326535 613140588 /nfs/dbraw/zinc/14/05/88/613140588.db2.gz LMNVXQGBOVPWJV-STQMWFEESA-N 0 1 265.357 0.418 20 30 CCEDMN C#C[C@H](NC(=O)NCc1cc(N)ccn1)[C@@H]1CCCO1 ZINC000891813211 613141318 /nfs/dbraw/zinc/14/13/18/613141318.db2.gz IRYWJENVLXWZMM-STQMWFEESA-N 0 1 274.324 0.644 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CCC(=O)N3C)C2)C1 ZINC000981933873 613141937 /nfs/dbraw/zinc/14/19/37/613141937.db2.gz XMUWIBDDYBNGIO-ZDUSSCGKSA-N 0 1 289.379 0.165 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H](CC)OC)C2)C1 ZINC000981658280 613142541 /nfs/dbraw/zinc/14/25/41/613142541.db2.gz GKNRTIYQVKOPPU-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CCCO3)C2)C1 ZINC000981606202 613143298 /nfs/dbraw/zinc/14/32/98/613143298.db2.gz AGWGOMFJTXOKRT-CYBMUJFWSA-N 0 1 262.353 0.723 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cn(C)cn3)C2)C1 ZINC000981713901 613144586 /nfs/dbraw/zinc/14/45/86/613144586.db2.gz KBSKRFGWSZDADF-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cccnn3)C2)C1 ZINC000982080519 613144680 /nfs/dbraw/zinc/14/46/80/613144680.db2.gz BLWHJYRPLNKLKK-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)[C@H]1CCCO1 ZINC000832418296 613145705 /nfs/dbraw/zinc/14/57/05/613145705.db2.gz NRNQDEVHQLSZOD-UHIISALHSA-N 0 1 273.336 0.812 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1COCCN1C ZINC000968815636 613146104 /nfs/dbraw/zinc/14/61/04/613146104.db2.gz BDIGEBQGMCSJRU-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1COCCO1 ZINC000968758882 613149004 /nfs/dbraw/zinc/14/90/04/613149004.db2.gz UZRUYCQMOJUUAE-UONOGXRCSA-N 0 1 280.368 0.396 20 30 CCEDMN C#C[C@H](NS(=O)(=O)N=[S@](C)(=O)CC)[C@H]1CCCO1 ZINC000867124523 613152113 /nfs/dbraw/zinc/15/21/13/613152113.db2.gz CJBMKWPNKWUFPT-YAXFVEMYSA-N 0 1 294.398 0.119 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000898436848 613156572 /nfs/dbraw/zinc/15/65/72/613156572.db2.gz QKMAYOZQJMAFBN-JSGCOSHPSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](N(C)C(=O)c2n[nH]c(C)c2[O-])CC1 ZINC000948303889 613164156 /nfs/dbraw/zinc/16/41/56/613164156.db2.gz RYEDPJZUJLHXAU-GFCCVEGCSA-N 0 1 290.367 0.983 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](N(C)C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000948303889 613164157 /nfs/dbraw/zinc/16/41/57/613164157.db2.gz RYEDPJZUJLHXAU-GFCCVEGCSA-N 0 1 290.367 0.983 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](N(C)C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000948303889 613164158 /nfs/dbraw/zinc/16/41/58/613164158.db2.gz RYEDPJZUJLHXAU-GFCCVEGCSA-N 0 1 290.367 0.983 20 30 CCEDMN C#C[C@](C)(CC)NC[C@](O)(C(N)=O)c1ccccc1 ZINC000852103945 613164413 /nfs/dbraw/zinc/16/44/13/613164413.db2.gz WQNIXGSRNVIVQV-HUUCEWRRSA-N 0 1 260.337 0.751 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H]1COC(=O)CSCC(=O)[O-] ZINC000819700728 613176464 /nfs/dbraw/zinc/17/64/64/613176464.db2.gz GFXWMBXZQULYMH-SNVBAGLBSA-N 0 1 271.338 0.445 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)CSCC(=O)[O-] ZINC000819700728 613176465 /nfs/dbraw/zinc/17/64/65/613176465.db2.gz GFXWMBXZQULYMH-SNVBAGLBSA-N 0 1 271.338 0.445 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(C)CCN(C)CC2)cn1 ZINC000888992413 613169522 /nfs/dbraw/zinc/16/95/22/613169522.db2.gz KISSEEYAEGOLIA-UHFFFAOYSA-N 0 1 286.379 0.382 20 30 CCEDMN C#Cc1ccc(C(=O)NC2(c3nnc[nH]3)CCC2)cn1 ZINC000797757563 613177110 /nfs/dbraw/zinc/17/71/10/613177110.db2.gz JFTRBCIMOKLNAF-UHFFFAOYSA-N 0 1 267.292 0.990 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CN3CCN2CCC3)nc1 ZINC000854353714 613181651 /nfs/dbraw/zinc/18/16/51/613181651.db2.gz DGPPIKIIMDVFNZ-AWEZNQCLSA-N 0 1 284.363 0.183 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC000923559947 613188978 /nfs/dbraw/zinc/18/89/78/613188978.db2.gz WDEHAVVOWFEQCF-NWDGAFQWSA-N 0 1 287.367 0.438 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)[C@H](C)Cc2cnc[nH]2)C1 ZINC000923556647 613189610 /nfs/dbraw/zinc/18/96/10/613189610.db2.gz YDXQHIWDWJGYDL-OLZOCXBDSA-N 0 1 274.368 0.802 20 30 CCEDMN C#Cc1ccc(CNC(=O)NC[C@@H]2COCCN2)cc1 ZINC000861635315 613193907 /nfs/dbraw/zinc/19/39/07/613193907.db2.gz IZUYSGXFZKQJTK-CQSZACIVSA-N 0 1 273.336 0.456 20 30 CCEDMN C#Cc1ccc(NC(=O)CCN(C)[C@@H]2CCNC2=O)cc1 ZINC000930106350 613195059 /nfs/dbraw/zinc/19/50/59/613195059.db2.gz WPJKWESULOUROZ-CQSZACIVSA-N 0 1 285.347 0.817 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NCC(C)(C)NC(=O)[O-] ZINC000823450695 613198716 /nfs/dbraw/zinc/19/87/16/613198716.db2.gz GMTNNFNFOWGBRI-JTQLQIEISA-N 0 1 267.329 0.246 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCC(C)(C)NC(=O)[O-] ZINC000823450695 613198717 /nfs/dbraw/zinc/19/87/17/613198717.db2.gz GMTNNFNFOWGBRI-JTQLQIEISA-N 0 1 267.329 0.246 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N[C@@H](C)c2nnc[nH]2)c1 ZINC000123791230 613203109 /nfs/dbraw/zinc/20/31/09/613203109.db2.gz WWQGVDVCOKNKMH-VIFPVBQESA-N 0 1 283.291 0.602 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC(=O)Cc2cc(C)n[nH]2)c1 ZINC000123817673 613203539 /nfs/dbraw/zinc/20/35/39/613203539.db2.gz NTWOZFOGNROACI-UHFFFAOYSA-N 0 1 296.330 0.997 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)CCSCC(=O)[O-] ZINC000833364186 613203502 /nfs/dbraw/zinc/20/35/02/613203502.db2.gz GQQUNDYAXDTKPD-NSHDSACASA-N 0 1 285.365 0.835 20 30 CCEDMN C#CCN1CCN(C(=O)CN(CC)[C@@H]2CCCOC2)CC1 ZINC000844405190 613205419 /nfs/dbraw/zinc/20/54/19/613205419.db2.gz UEIJXAXJZJJFFW-OAHLLOKOSA-N 0 1 293.411 0.265 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCCN(C[C@@H](C)O)CC2)c1 ZINC000981923094 613212860 /nfs/dbraw/zinc/21/28/60/613212860.db2.gz IZEGCEBKASCUPV-CYBMUJFWSA-N 0 1 287.363 0.592 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC000966839039 613213967 /nfs/dbraw/zinc/21/39/67/613213967.db2.gz CNRHYXRSJFAZDF-OCCSQVGLSA-N 0 1 288.395 0.974 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C2CN(C(C)=O)C2)C(C)(C)C1 ZINC000940709989 613224807 /nfs/dbraw/zinc/22/48/07/613224807.db2.gz RMZXDHCTGSQTKO-CQSZACIVSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000940785271 613229897 /nfs/dbraw/zinc/22/98/97/613229897.db2.gz IVMKNWBGIDBGJZ-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C)ncn2)[C@@H](C)C1 ZINC000941962006 613232861 /nfs/dbraw/zinc/23/28/61/613232861.db2.gz BZNNEDFVPMMIAK-WCQYABFASA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nccc(C)n2)[C@@H](C)C1 ZINC000942008321 613243823 /nfs/dbraw/zinc/24/38/23/613243823.db2.gz HIEFBYWDSGZQDN-WCQYABFASA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nnn(C)c2C)C[C@@H]1C ZINC000947158369 613244835 /nfs/dbraw/zinc/24/48/35/613244835.db2.gz WBGBOYXRXXMQQI-CMPLNLGQSA-N 0 1 275.356 0.339 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CCNC2=O)C1 ZINC000951137668 613245732 /nfs/dbraw/zinc/24/57/32/613245732.db2.gz LBSWEQUMRMZBPS-ZDUSSCGKSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CCC(=O)N2)CC1 ZINC000957388660 613258978 /nfs/dbraw/zinc/25/89/78/613258978.db2.gz PSBUVQLVUMIJLC-LBPRGKRZSA-N 0 1 265.357 0.375 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CCC(=O)N(C)C2)CC1 ZINC000957791948 613259966 /nfs/dbraw/zinc/25/99/66/613259966.db2.gz YKYMREIFTUYKRW-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nc2OC)[C@H](C)C1 ZINC000942556198 613273575 /nfs/dbraw/zinc/27/35/75/613273575.db2.gz WZKSPDFALNXONV-YPMHNXCESA-N 0 1 290.367 0.502 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CCC)nn2)[C@@H](C)C1 ZINC000943797320 613273774 /nfs/dbraw/zinc/27/37/74/613273774.db2.gz HIAQDFFRRGEIDP-STQMWFEESA-N 0 1 289.383 0.762 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CC)nn2)C[C@@H]1C ZINC000947866682 613273826 /nfs/dbraw/zinc/27/38/26/613273826.db2.gz DXOPLUDQYUBEIC-RYUDHWBXSA-N 0 1 275.356 0.514 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nc2OC)[C@@H](C)C1 ZINC000942556195 613273929 /nfs/dbraw/zinc/27/39/29/613273929.db2.gz WZKSPDFALNXONV-AAEUAGOBSA-N 0 1 290.367 0.502 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncn2C)C(C)(C)C1 ZINC000940871274 613275080 /nfs/dbraw/zinc/27/50/80/613275080.db2.gz YLJCKEGIDIUIHI-ZDUSSCGKSA-N 0 1 274.368 0.884 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2C)[C@@H](C)C1 ZINC000941825269 613275322 /nfs/dbraw/zinc/27/53/22/613275322.db2.gz WQXLLRUOZLERAB-FZMZJTMJSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nc3ncccn3n2)C[C@H]1C ZINC000947831847 613277520 /nfs/dbraw/zinc/27/75/20/613277520.db2.gz BHDGDWBEMUYETP-NEPJUHHUSA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncnc3[nH]ccc32)[C@@H](C)C1 ZINC000942288168 613277541 /nfs/dbraw/zinc/27/75/41/613277541.db2.gz PISMDRJUDFRRPU-AAEUAGOBSA-N 0 1 297.362 0.983 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccnc2N)[C@@H](C)C1 ZINC000941903920 613278097 /nfs/dbraw/zinc/27/80/97/613278097.db2.gz HLJMTNODMQLLOP-QWRGUYRKSA-N 0 1 273.340 0.132 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CCOC2)C(C)(C)C1 ZINC000972843340 613281977 /nfs/dbraw/zinc/28/19/77/613281977.db2.gz FFSDRSXCTCTYPQ-VXGBXAGGSA-N 0 1 250.342 0.483 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(F)ccc2F)C1 ZINC000958145303 613285954 /nfs/dbraw/zinc/28/59/54/613285954.db2.gz JFTUIZILAKCYSC-IINYFYTJSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc(C)n2)C1 ZINC000957878817 613286658 /nfs/dbraw/zinc/28/66/58/613286658.db2.gz TZCANNGFPPBGBF-GXTWGEPZSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cnc(C3CC3)o2)C1 ZINC000958148938 613287157 /nfs/dbraw/zinc/28/71/57/613287157.db2.gz MCLLFMAHEABVEL-NWDGAFQWSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2C(C)(C)C2(C)C)[C@H](O)C1 ZINC000957960893 613288201 /nfs/dbraw/zinc/28/82/01/613288201.db2.gz IOZLYQRREDAKBG-VXGBXAGGSA-N 0 1 278.396 0.711 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)sn2)[C@H](O)C1 ZINC000958009728 613288921 /nfs/dbraw/zinc/28/89/21/613288921.db2.gz IYAOYGWKWGGCAD-ZYHUDNBSSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(F)cc2)[C@H](O)C1 ZINC000957811703 613288967 /nfs/dbraw/zinc/28/89/67/613288967.db2.gz JKMMYGXTUZCLLM-TZMCWYRMSA-N 0 1 276.311 0.481 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C)c(C)n2)[C@H](O)C1 ZINC000958266750 613289044 /nfs/dbraw/zinc/28/90/44/613289044.db2.gz CEFIDVMUQHWLHE-UKRRQHHQSA-N 0 1 287.363 0.354 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@@H]1C(=O)NCC1(C)C ZINC000931666924 613293062 /nfs/dbraw/zinc/29/30/62/613293062.db2.gz HXYLDXXEFGDDIE-GFCCVEGCSA-N 0 1 267.373 0.525 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(C)nsc2C)C1 ZINC000958736993 613293992 /nfs/dbraw/zinc/29/39/92/613293992.db2.gz WHYWTXNMVWKROV-RYUDHWBXSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC000958179521 613294627 /nfs/dbraw/zinc/29/46/27/613294627.db2.gz OGBPMKMXZBYSMZ-WFASDCNBSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(F)s2)C1 ZINC000958739452 613295140 /nfs/dbraw/zinc/29/51/40/613295140.db2.gz BRFIVYDOEFNJSJ-UWVGGRQHSA-N 0 1 282.340 0.543 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)nc(C)c2)C1 ZINC000958100961 613302380 /nfs/dbraw/zinc/30/23/80/613302380.db2.gz JWMCOTOAJABXPU-CABCVRRESA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cn(C(C)C)cn2)C1 ZINC000958282336 613303338 /nfs/dbraw/zinc/30/33/38/613303338.db2.gz ZESOPDPHXLPOAT-OCCSQVGLSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc(CC)n2)C1 ZINC000958149258 613303390 /nfs/dbraw/zinc/30/33/90/613303390.db2.gz QARACQFMQPVBAT-DOMZBBRYSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2csc(C)n2)C1 ZINC000958338467 613303913 /nfs/dbraw/zinc/30/39/13/613303913.db2.gz CYCGWXXNHCMURJ-PWSUYJOCSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cnn(C(C)C)c2)C1 ZINC000958583341 613304146 /nfs/dbraw/zinc/30/41/46/613304146.db2.gz HALUPWOFQXOLKU-OCCSQVGLSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2nc(C)c(C)s2)C1 ZINC000958693429 613304762 /nfs/dbraw/zinc/30/47/62/613304762.db2.gz FCLWLFZECGEOTE-NEPJUHHUSA-N 0 1 293.392 0.416 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCNC2=O)CC1 ZINC000981749685 613309447 /nfs/dbraw/zinc/30/94/47/613309447.db2.gz GAYBMLWXDUPGHH-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC12CCOCC2 ZINC000963139973 613315054 /nfs/dbraw/zinc/31/50/54/613315054.db2.gz NWFSYCQJHCKLLU-RFQIPJPRSA-N 0 1 274.364 0.483 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)n1cccn1 ZINC000958289255 613315222 /nfs/dbraw/zinc/31/52/22/613315222.db2.gz OQBOYWJCOFHKOR-NDBYEHHHSA-N 0 1 258.325 0.124 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC000962289290 613316746 /nfs/dbraw/zinc/31/67/46/613316746.db2.gz SCDHBSFZTVRODN-MUYACECFSA-N 0 1 284.363 0.194 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)n(C)nc1Cl ZINC000962418478 613317602 /nfs/dbraw/zinc/31/76/02/613317602.db2.gz HAQWLRRYVYIMGN-IAZYJMLFSA-N 0 1 292.770 0.675 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C#N)c[nH]1 ZINC000958499662 613318104 /nfs/dbraw/zinc/31/81/04/613318104.db2.gz HDFFGCHHSSSKHU-PJXYFTJBSA-N 0 1 254.293 0.180 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000962280326 613319826 /nfs/dbraw/zinc/31/98/26/613319826.db2.gz MMVDHCSMPCBHQQ-GDGBQDQQSA-N 0 1 298.302 0.222 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2nsnc21 ZINC000962180523 613320290 /nfs/dbraw/zinc/32/02/90/613320290.db2.gz YGHSIVCTBVAABR-PJXYFTJBSA-N 0 1 298.371 0.985 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(C(F)F)n1 ZINC000960099115 613320410 /nfs/dbraw/zinc/32/04/10/613320410.db2.gz DIYPMVRXWVFLJG-JZYVYDRUSA-N 0 1 280.278 0.571 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(N(C)C)c1 ZINC000961932477 613320461 /nfs/dbraw/zinc/32/04/61/613320461.db2.gz NVBKXUHQHKVZGA-NHAGDIPZSA-N 0 1 284.363 0.441 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2ccc(C)cn21 ZINC000961083182 613321500 /nfs/dbraw/zinc/32/15/00/613321500.db2.gz VYBOGHFMSSOBCB-VIKVFOODSA-N 0 1 294.358 0.936 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nnc2ccccc2n1 ZINC000961175143 613322455 /nfs/dbraw/zinc/32/24/55/613322455.db2.gz QVCXTWLCKKPFNP-YABSGUDNSA-N 0 1 293.330 0.318 20 30 CCEDMN C#CCNC(=O)C1CCN(C/C=C/C(=O)OCC)CC1 ZINC000929418789 613323324 /nfs/dbraw/zinc/32/33/24/613323324.db2.gz WQBCSILUSGREAV-AATRIKPKSA-N 0 1 278.352 0.567 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)CSc1nnc(C)[nH]1 ZINC000882895901 613354591 /nfs/dbraw/zinc/35/45/91/613354591.db2.gz XCASIBJFUSFMEF-VIFPVBQESA-N 0 1 270.358 0.649 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)CSc1nc(C)n[nH]1 ZINC000882895901 613354592 /nfs/dbraw/zinc/35/45/92/613354592.db2.gz XCASIBJFUSFMEF-VIFPVBQESA-N 0 1 270.358 0.649 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)N[C@H]1CC[N@H+](CCOC)C1)C(=O)[O-] ZINC000908945709 613355830 /nfs/dbraw/zinc/35/58/30/613355830.db2.gz FGYSZQDSABLRKZ-NWDGAFQWSA-N 0 1 299.371 0.426 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)NCCC[NH+]1CCOCC1)C(=O)[O-] ZINC000908737432 613355872 /nfs/dbraw/zinc/35/58/72/613355872.db2.gz KMEOOPZMTSTHTL-GFCCVEGCSA-N 0 1 299.371 0.427 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000929875881 613360356 /nfs/dbraw/zinc/36/03/56/613360356.db2.gz DCUKULWRUOBMMS-NWDGAFQWSA-N 0 1 276.402 0.185 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCc2nn(CC)c(=O)cc2C1 ZINC000929753133 613360387 /nfs/dbraw/zinc/36/03/87/613360387.db2.gz MAJLFXZMQZNAIA-CYBMUJFWSA-N 0 1 263.341 0.558 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H]([N@H+]2CC[C@@H](O)C2)C1 ZINC000908200127 613361020 /nfs/dbraw/zinc/36/10/20/613361020.db2.gz HZYVYXFERBKDCT-KBXIAJHMSA-N 0 1 294.395 0.635 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCc1nc[nH]n1 ZINC000906819281 613361427 /nfs/dbraw/zinc/36/14/27/613361427.db2.gz SURHVWPWWGVVQJ-ONGXEEELSA-N 0 1 250.302 0.445 20 30 CCEDMN C=C(C)[C@H](CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])OCC ZINC000833366755 613362295 /nfs/dbraw/zinc/36/22/95/613362295.db2.gz CQYMZTBGSSMFND-RYUDHWBXSA-N 0 1 295.339 0.893 20 30 CCEDMN C=C(CN(C)C)C(=O)N1C[C@H](C)[S@](=O)[C@@H](CC)C1 ZINC000804434484 613366377 /nfs/dbraw/zinc/36/63/77/613366377.db2.gz ULKSGXDBKFKFAL-PZROIBLQSA-N 0 1 272.414 0.862 20 30 CCEDMN C=C(CN(C)C)C(=O)NCC[S@@](=O)CC(F)(F)F ZINC000855270290 613366551 /nfs/dbraw/zinc/36/65/51/613366551.db2.gz JHFFPLXRWRSPMN-GOSISDBHSA-N 0 1 286.319 0.531 20 30 CCEDMN C=C(Cl)CN1CC(N(CC)C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC000950052976 613371086 /nfs/dbraw/zinc/37/10/86/613371086.db2.gz REVAEHHXRHJLDI-GHMZBOCLSA-N 0 1 287.747 0.937 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(=O)n(C)o2)C1 ZINC000968515930 613385379 /nfs/dbraw/zinc/38/53/79/613385379.db2.gz NKQUCKUOXAKGEK-SECBINFHSA-N 0 1 285.731 0.535 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)[C@H]1CNCCO1)C(=O)OCC ZINC000877144563 613390818 /nfs/dbraw/zinc/39/08/18/613390818.db2.gz PRWLOIRMDIOYQF-VHSXEESVSA-N 0 1 290.747 0.165 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H](N(C)[C@@H](C)C(N)=O)C2)C1 ZINC000972277116 613394203 /nfs/dbraw/zinc/39/42/03/613394203.db2.gz XFHPFVGDZIOYIB-RYUDHWBXSA-N 0 1 279.384 0.749 20 30 CCEDMN C=CC[C@@H](CO)CNCc1nc(Br)nn1C ZINC000894370235 613403159 /nfs/dbraw/zinc/40/31/59/613403159.db2.gz IEGUMCUPSMXQBW-MRVPVSSYSA-N 0 1 289.177 0.852 20 30 CCEDMN C=CC[C@@H](CO)CNCc1cn(C[C@H]2CCCO2)nn1 ZINC000865520621 613403239 /nfs/dbraw/zinc/40/32/39/613403239.db2.gz UYTANTMBJFHJOE-TZMCWYRMSA-N 0 1 280.372 0.731 20 30 CCEDMN C=C1CN(C(=O)CN2CCC[C@@H](C(=O)OCC)C2)C1 ZINC000912445761 613415402 /nfs/dbraw/zinc/41/54/02/613415402.db2.gz FVYXVZRKFYFMET-GFCCVEGCSA-N 0 1 266.341 0.660 20 30 CCEDMN C=CC[C@H](CO)CNCc1cn(C[C@@H]2CCCO2)nn1 ZINC000865520619 613416238 /nfs/dbraw/zinc/41/62/38/613416238.db2.gz UYTANTMBJFHJOE-JSGCOSHPSA-N 0 1 280.372 0.731 20 30 CCEDMN C=CC[C@H](CO)NC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000925160992 613417368 /nfs/dbraw/zinc/41/73/68/613417368.db2.gz YSDORMGWHRJEHM-JHJVBQTASA-N 0 1 299.440 0.802 20 30 CCEDMN C=C1c2ccccc2C(=O)N1CC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000846678444 613419585 /nfs/dbraw/zinc/41/95/85/613419585.db2.gz UMPOYFXKZILQOB-BXUZGUMPSA-N 0 1 296.330 0.341 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000845620278 613444577 /nfs/dbraw/zinc/44/45/77/613444577.db2.gz QPWUVELGZFEUJK-MNOVXSKESA-N 0 1 285.344 0.239 20 30 CCEDMN C=CC1(S(=O)(=O)[N-]C(=O)C2([NH3+])CCCC2)CC1 ZINC000901063687 613450374 /nfs/dbraw/zinc/45/03/74/613450374.db2.gz LVEXBLOPSNCWER-UHFFFAOYSA-N 0 1 258.343 0.423 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@H](c2[nH]ncc2N)C1 ZINC000907418369 613464069 /nfs/dbraw/zinc/46/40/69/613464069.db2.gz SXBDMLZSNHHLBE-FOGDFJRCSA-N 0 1 276.340 0.899 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCc1nc(CSC)n[nH]1 ZINC000884393724 613471165 /nfs/dbraw/zinc/47/11/65/613471165.db2.gz JZSNHBWEKYCBQB-BDAKNGLRSA-N 0 1 297.384 0.810 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCc1nnc(CSC)[nH]1 ZINC000884393724 613471166 /nfs/dbraw/zinc/47/11/66/613471166.db2.gz JZSNHBWEKYCBQB-BDAKNGLRSA-N 0 1 297.384 0.810 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC000910914020 613473918 /nfs/dbraw/zinc/47/39/18/613473918.db2.gz BOEAPUFPONHPSK-MNOVXSKESA-N 0 1 254.330 0.864 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000844025054 613479875 /nfs/dbraw/zinc/47/98/75/613479875.db2.gz ULGDCQSEDNFKQJ-VNHYZAJKSA-N 0 1 284.400 0.881 20 30 CCEDMN C=C[C@H](C)ONC(=O)c1[nH]c(=O)[nH]c(=O)c1OC ZINC000846556177 613488513 /nfs/dbraw/zinc/48/85/13/613488513.db2.gz YVSUSIJFVUOYMI-YFKPBYRVSA-N 0 1 255.230 0.132 20 30 CCEDMN C=C[C@H](CO)NC[C@H](O)c1cc(Br)no1 ZINC000878995399 613492361 /nfs/dbraw/zinc/49/23/61/613492361.db2.gz QEYQPSYIDCGJED-RQJHMYQMSA-N 0 1 277.118 0.607 20 30 CCEDMN C=CCCN1CCN(C(=O)CN2CCCNC2=O)CC1 ZINC000949083842 613503835 /nfs/dbraw/zinc/50/38/35/613503835.db2.gz OFBJYEKVHVRFFA-UHFFFAOYSA-N 0 1 280.372 0.122 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC000950998460 613504571 /nfs/dbraw/zinc/50/45/71/613504571.db2.gz OQSIYNSLMOMNGU-IINYFYTJSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@H]2CCC(=O)N2C)CC1 ZINC000949613628 613504675 /nfs/dbraw/zinc/50/46/75/613504675.db2.gz FVHYXGPZNLCJFE-CYBMUJFWSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCC[C@H](C(N)=O)C2)CC1 ZINC000951107624 613506184 /nfs/dbraw/zinc/50/61/84/613506184.db2.gz BTBWZZUWWPCKMD-UONOGXRCSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC000949039891 613506188 /nfs/dbraw/zinc/50/61/88/613506188.db2.gz UHFHLNDBXGLIHP-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCCCC(=O)N2)CC1 ZINC000950444518 613508018 /nfs/dbraw/zinc/50/80/18/613508018.db2.gz HUUURLVDEIBLSD-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCc3nncn3C2)CC1 ZINC000957754290 613508459 /nfs/dbraw/zinc/50/84/59/613508459.db2.gz HVGAFKVZVKCFEE-ZDUSSCGKSA-N 0 1 289.383 0.561 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000844025052 613508910 /nfs/dbraw/zinc/50/89/10/613508910.db2.gz ULGDCQSEDNFKQJ-GUTXKFCHSA-N 0 1 284.400 0.881 20 30 CCEDMN C=CCCN1CCN(C(=O)c2ccc(=O)n(CC)n2)CC1 ZINC000952299262 613510128 /nfs/dbraw/zinc/51/01/28/613510128.db2.gz GCXDPPQHAMEZEH-UHFFFAOYSA-N 0 1 290.367 0.597 20 30 CCEDMN CC#CC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829242700 613516956 /nfs/dbraw/zinc/51/69/56/613516956.db2.gz WNPMWIXDFJUJFZ-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC000969795951 613536963 /nfs/dbraw/zinc/53/69/63/613536963.db2.gz KEALWVUCCOBBQK-STQMWFEESA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCC[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])[C@@H]2C1 ZINC000977980009 613562219 /nfs/dbraw/zinc/56/22/19/613562219.db2.gz MPVOWRHZBGDVRA-CNDDSTCGSA-N 0 1 290.367 0.907 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC000977980009 613562220 /nfs/dbraw/zinc/56/22/20/613562220.db2.gz MPVOWRHZBGDVRA-CNDDSTCGSA-N 0 1 290.367 0.907 20 30 CCEDMN C=CCC[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC000977980009 613562221 /nfs/dbraw/zinc/56/22/21/613562221.db2.gz MPVOWRHZBGDVRA-CNDDSTCGSA-N 0 1 290.367 0.907 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC000965964345 613571910 /nfs/dbraw/zinc/57/19/10/613571910.db2.gz PGQRKRMRIJCVTL-HIFRSBDPSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964900932 613575643 /nfs/dbraw/zinc/57/56/43/613575643.db2.gz FTYCDKPEPLXRGC-DGCLKSJQSA-N 0 1 288.351 0.646 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833373903 613578966 /nfs/dbraw/zinc/57/89/66/613578966.db2.gz ILLRPZZIFMUZBC-QWHCGFSZSA-N 0 1 298.383 0.975 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@@H](C)[C@@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC000929049950 613589925 /nfs/dbraw/zinc/58/99/25/613589925.db2.gz NQWXSCINHUIQAV-SRVKXCTJSA-N 0 1 299.371 0.424 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@@H](C)[C@@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC000929049950 613589927 /nfs/dbraw/zinc/58/99/27/613589927.db2.gz NQWXSCINHUIQAV-SRVKXCTJSA-N 0 1 299.371 0.424 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cc2nonc2C)C1 ZINC000968493793 613593998 /nfs/dbraw/zinc/59/39/98/613593998.db2.gz XKNWWPNSPFXVFA-SMDDNHRTSA-N 0 1 290.367 0.770 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977344852 613608438 /nfs/dbraw/zinc/60/84/38/613608438.db2.gz GYWUUTUCYZJQMF-QWHCGFSZSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)sn2)C1 ZINC000958014704 613612863 /nfs/dbraw/zinc/61/28/63/613612863.db2.gz ICAYJWBJJPTHKS-WCQYABFASA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(Cl)o2)C1 ZINC000957883191 613612882 /nfs/dbraw/zinc/61/28/82/613612882.db2.gz UEADOGHPCCHMPE-WDEREUQCSA-N 0 1 296.754 0.979 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2c[nH]nc2CC)[C@H](O)C1 ZINC000958202353 613614876 /nfs/dbraw/zinc/61/48/76/613614876.db2.gz DEOLOJQOUNLJSP-BXUZGUMPSA-N 0 1 290.367 0.018 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(C)ccn2C)C1 ZINC000958008893 613618751 /nfs/dbraw/zinc/61/87/51/613618751.db2.gz CLLSMVLHHJZFOW-KBPBESRZSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975032184 613622657 /nfs/dbraw/zinc/62/26/57/613622657.db2.gz VTALOEMFRQTVNU-BLLLJJGKSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@]1(C)CCOC1 ZINC000962144969 613636515 /nfs/dbraw/zinc/63/65/15/613636515.db2.gz PBVRNLBYPKAQLO-JLNYLFASSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(OC)n1 ZINC000961001606 613641331 /nfs/dbraw/zinc/64/13/31/613641331.db2.gz HFUSLUVWURMJMK-PJXYFTJBSA-N 0 1 286.335 0.169 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc2n[nH]nc21 ZINC000960986943 613641434 /nfs/dbraw/zinc/64/14/34/613641434.db2.gz KSHFXWJINAPMDC-GDNZZTSVSA-N 0 1 296.334 0.036 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnoc1CC ZINC000961909852 613642276 /nfs/dbraw/zinc/64/22/76/613642276.db2.gz CUMQGENFVIUCCQ-IMRBUKKESA-N 0 1 273.336 0.920 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc(C)c[nH]1 ZINC000961998866 613643061 /nfs/dbraw/zinc/64/30/61/613643061.db2.gz GCTQJVBAFDXOCD-GDNZZTSVSA-N 0 1 258.325 0.401 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@H]1C[N@@H+](C2CC2)C[C@H]1C ZINC000909141212 613643303 /nfs/dbraw/zinc/64/33/03/613643303.db2.gz CPOPPHXPMWFSES-PWSUYJOCSA-N 0 1 281.356 0.751 20 30 CCEDMN C=CCN(CC(=O)[O-])c1nc(N2CCOCC2)cc[nH+]1 ZINC000910402927 613644101 /nfs/dbraw/zinc/64/41/01/613644101.db2.gz WIAFZOGGYQXISY-UHFFFAOYSA-N 0 1 278.312 0.390 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000897223174 613644258 /nfs/dbraw/zinc/64/42/58/613644258.db2.gz CJTDRFHCYWASAU-ZDUSSCGKSA-N 0 1 296.367 0.285 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000817681062 613648134 /nfs/dbraw/zinc/64/81/34/613648134.db2.gz KONQJWIIHRLILD-VXGBXAGGSA-N 0 1 266.341 0.982 20 30 CCEDMN CC(=NNc1ccc(-n2ccnc2)nn1)c1cnnn1C ZINC000814914781 613657109 /nfs/dbraw/zinc/65/71/09/613657109.db2.gz IJGWCEZZGJDUGE-UHFFFAOYSA-N 0 1 283.299 0.627 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC000969870568 613663731 /nfs/dbraw/zinc/66/37/31/613663731.db2.gz YJLHKTBOJQNESK-MDZLAQPJSA-N 0 1 268.357 0.413 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000970037291 613664847 /nfs/dbraw/zinc/66/48/47/613664847.db2.gz JLSCWJPZCOJFBO-LLVKDONJSA-N 0 1 275.352 0.621 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2n[nH]cc2F)C1 ZINC000970376722 613667584 /nfs/dbraw/zinc/66/75/84/613667584.db2.gz MGHZLXPMRBJTPK-MRVPVSSYSA-N 0 1 252.293 0.785 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC000970805654 613668785 /nfs/dbraw/zinc/66/87/85/613668785.db2.gz FEIMRPWQMZHKAN-WCQYABFASA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccn(CCOC)n2)C1 ZINC000970128335 613672845 /nfs/dbraw/zinc/67/28/45/613672845.db2.gz UXOMLCMYWKCQRY-LBPRGKRZSA-N 0 1 292.383 0.766 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cncc(OC)n2)C1 ZINC000970537332 613673160 /nfs/dbraw/zinc/67/31/60/613673160.db2.gz XVGYIGGUFKINPU-JTQLQIEISA-N 0 1 276.340 0.721 20 30 CCEDMN C=CC[NH+]1CCCCC[C@@H]1CNC(=O)[C@H]1C[N@@H+](C)CCO1 ZINC000968797493 613684286 /nfs/dbraw/zinc/68/42/86/613684286.db2.gz YCZXRWMPMYMTSM-HUUCEWRRSA-N 0 1 295.427 0.864 20 30 CCEDMN C=CCN1CCCN(C(=O)COC2CCOCC2)CC1 ZINC000982064253 613689272 /nfs/dbraw/zinc/68/92/72/613689272.db2.gz BMHHVWZJPMRJAK-UHFFFAOYSA-N 0 1 282.384 0.902 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@H]2CCC(=O)N2)CC1 ZINC000982190861 613689966 /nfs/dbraw/zinc/68/99/66/613689966.db2.gz UHLOZMHCFVRQIW-GFCCVEGCSA-N 0 1 265.357 0.375 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC000981276586 613690634 /nfs/dbraw/zinc/69/06/34/613690634.db2.gz OYZFVINQRLZLRM-GFCCVEGCSA-N 0 1 265.357 0.185 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC000964961422 613728511 /nfs/dbraw/zinc/72/85/11/613728511.db2.gz IXQBMNFJQVCQPH-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN2CCCC2)C1=O ZINC000822657732 613737764 /nfs/dbraw/zinc/73/77/64/613737764.db2.gz RBBRVUFKCSXEHY-GFCCVEGCSA-N 0 1 265.357 0.328 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](O)CC2(O)CCCC2)C1=O ZINC000878549543 613738476 /nfs/dbraw/zinc/73/84/76/613738476.db2.gz HLHCYZFULOOZEM-ZIAGYGMSSA-N 0 1 296.411 0.761 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC([C@H](F)C(N)=O)CC2)C1=O ZINC000879045291 613738993 /nfs/dbraw/zinc/73/89/93/613738993.db2.gz XTLJBSUFGOYZFG-NEPJUHHUSA-N 0 1 283.347 0.309 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H]3[C@@H](C(=O)OCC)[C@H]3C2)C1=O ZINC000932058277 613739809 /nfs/dbraw/zinc/73/98/09/613739809.db2.gz GRZXAICOQMZWJQ-XJFOESAGSA-N 0 1 292.379 0.904 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](O)C2(O)CCCC2)C1=O ZINC000930310495 613777246 /nfs/dbraw/zinc/77/72/46/613777246.db2.gz GXTYVJCVSGPYGA-QWHCGFSZSA-N 0 1 282.384 0.371 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](O)CC(C)(C)C2)C1=O ZINC000879624007 613778891 /nfs/dbraw/zinc/77/88/91/613778891.db2.gz KOBBOBFGSQOAMB-RYUDHWBXSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974944317 613808822 /nfs/dbraw/zinc/80/88/22/613808822.db2.gz JBPGSFRLWHTELC-VXGBXAGGSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000879402320 613846682 /nfs/dbraw/zinc/84/66/82/613846682.db2.gz JYFRHPKPEVPLMP-ZANVPECISA-N 0 1 276.340 0.494 20 30 CCEDMN C=CCNC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833376400 613849409 /nfs/dbraw/zinc/84/94/09/613849409.db2.gz DECBXSCNNGNXJY-JTQLQIEISA-N 0 1 255.318 0.363 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H](C)[C@H](CC(=O)OC)C1 ZINC000932110160 613855339 /nfs/dbraw/zinc/85/53/39/613855339.db2.gz BEZOIJFBUJPDSF-GRYCIOLGSA-N 0 1 268.357 0.808 20 30 CCEDMN C=CCOC(=O)[C@@H](N)CC(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC000884471739 613877571 /nfs/dbraw/zinc/87/75/71/613877571.db2.gz FIDYSXSHJMGSDI-QWHCGFSZSA-N 0 1 297.399 0.232 20 30 CCEDMN C=CCOC[C@@H](NC(=O)Cn1cc[nH+]c1C(C)C)C(=O)[O-] ZINC000909771329 613881655 /nfs/dbraw/zinc/88/16/55/613881655.db2.gz NFERGZXXCDJXBR-LLVKDONJSA-N 0 1 295.339 0.779 20 30 CCEDMN C=CCONC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000922766335 613884183 /nfs/dbraw/zinc/88/41/83/613884183.db2.gz AFHVXRDUELNDRR-GHMZBOCLSA-N 0 1 271.386 0.983 20 30 CCEDMN CC(C)(C)N1CCC[C@H](C(=O)N[C@@H]2CNC[C@H]2C#N)C1=O ZINC000884249058 613925488 /nfs/dbraw/zinc/92/54/88/613925488.db2.gz CGMZKVROCNEXKS-IJLUTSLNSA-N 0 1 292.383 0.251 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CO[C@@H](C)c1nccn1C ZINC000805855318 613933979 /nfs/dbraw/zinc/93/39/79/613933979.db2.gz GQMLUKNPXZHXBR-WDEREUQCSA-N 0 1 292.339 0.731 20 30 CCEDMN CC(C)Oc1cncc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834479911 614058070 /nfs/dbraw/zinc/05/80/70/614058070.db2.gz JJHUDBRTZBOIQG-DGCLKSJQSA-N 0 1 274.324 0.710 20 30 CCEDMN CC(C)(C)n1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1=O ZINC000870941285 614063656 /nfs/dbraw/zinc/06/36/56/614063656.db2.gz UEYIDFURYQLTLF-CMPLNLGQSA-N 0 1 288.351 0.445 20 30 CCEDMN CC(C)S(=O)(=O)NN=Cc1ccnn1-c1ccncc1 ZINC000853299630 614069181 /nfs/dbraw/zinc/06/91/81/614069181.db2.gz QBOPZNUXLKCEOQ-UHFFFAOYSA-N 0 1 293.352 0.929 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)CON=CC2CC2)C1 ZINC000853735231 614097614 /nfs/dbraw/zinc/09/76/14/614097614.db2.gz PADUDKHJSOHVLB-AWEZNQCLSA-N 0 1 297.399 0.872 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)[C@H](O)c2c[nH]cn2)CC1 ZINC000865091101 614187314 /nfs/dbraw/zinc/18/73/14/614187314.db2.gz RRYPOWHXSPUAPR-QWHCGFSZSA-N 0 1 291.355 0.135 20 30 CCEDMN CC(=O)Nc1cccc(CC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834485268 614204155 /nfs/dbraw/zinc/20/41/55/614204155.db2.gz UMDZJNUQCMIKLT-OCCSQVGLSA-N 0 1 286.335 0.415 20 30 CCEDMN CC(C)Cc1nnc(NC(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000841008764 614233950 /nfs/dbraw/zinc/23/39/50/614233950.db2.gz CPCJCEULZRPFAX-RKDXNWHRSA-N 0 1 294.384 0.970 20 30 CCEDMN CC(C)(C(=O)[O-])[C@@H]1CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000135030973 614306815 /nfs/dbraw/zinc/30/68/15/614306815.db2.gz LVFIHQCCVLJTNK-LLVKDONJSA-N 0 1 281.356 0.839 20 30 CCEDMN CC(C)(C)C#CC(=O)NCCN1CCCOCC1 ZINC000837567607 614315466 /nfs/dbraw/zinc/31/54/66/614315466.db2.gz OTNCIFKUBSAXKL-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN CC(C)C#CC(=O)NCCCCN1CCOCC1 ZINC000822187309 614327250 /nfs/dbraw/zinc/32/72/50/614327250.db2.gz BOPUKBYBGKPUMB-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN CC(C)N1CCO[C@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC000972297728 614367139 /nfs/dbraw/zinc/36/71/39/614367139.db2.gz MHBVZNZHCYIFLC-TZMCWYRMSA-N 0 1 265.357 0.858 20 30 CCEDMN CCC(C#N)(CC)C(=O)NC[C@@H]1C[C@H](O)CN1C ZINC000924995051 614470531 /nfs/dbraw/zinc/47/05/31/614470531.db2.gz CKXAMHJMEJXTMW-QWRGUYRKSA-N 0 1 253.346 0.498 20 30 CCEDMN CCCN(CCO[C@H](C)C#N)[C@@H]1CC(=O)N(C)C1=O ZINC000801955928 614582680 /nfs/dbraw/zinc/58/26/80/614582680.db2.gz XZFPGNXUKRXXKN-GHMZBOCLSA-N 0 1 267.329 0.384 20 30 CCEDMN CC1(C)CNC(=O)[C@H]1NCc1cnc2c(C#N)cnn2c1 ZINC000895318069 614698453 /nfs/dbraw/zinc/69/84/53/614698453.db2.gz RGGYUUZMPQEJLN-LLVKDONJSA-N 0 1 284.323 0.215 20 30 CCEDMN CCCCCNC(=O)[C@@H](C#N)C(=O)CS(C)(=O)=O ZINC000920107140 614923388 /nfs/dbraw/zinc/92/33/88/614923388.db2.gz QANNKFXFSKVSDT-VIFPVBQESA-N 0 1 274.342 0.046 20 30 CCEDMN CCC[C@@H](NC(=O)C#CC1CC[NH2+]CC1)c1nn[n-]n1 ZINC000852850027 614990182 /nfs/dbraw/zinc/99/01/82/614990182.db2.gz NIMHUFDLCMEMDF-LLVKDONJSA-N 0 1 276.344 0.160 20 30 CCEDMN CCNS(=O)(=O)CCNCc1ccc(C#N)c(F)c1 ZINC000817407406 615105231 /nfs/dbraw/zinc/10/52/31/615105231.db2.gz MWMBZIJAHWXJDZ-UHFFFAOYSA-N 0 1 285.344 0.726 20 30 CCEDMN CC[N@H+]1CCC[C@@H]1CNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820348013 615132613 /nfs/dbraw/zinc/13/26/13/615132613.db2.gz GDGXAIAFUBUWDN-ZWNOBZJWSA-N 0 1 267.329 0.591 20 30 CCEDMN CC[N@H+]1CCC[C@H]1CN(C)C(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820348547 615143869 /nfs/dbraw/zinc/14/38/69/615143869.db2.gz YDYQQBTXSRHUNE-SMDDNHRTSA-N 0 1 281.356 0.934 20 30 CCEDMN CCOC(=O)C(C)(C)CC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834498792 615150145 /nfs/dbraw/zinc/15/01/45/615150145.db2.gz BPKPLVBXSWJFEO-VHSXEESVSA-N 0 1 267.329 0.194 20 30 CCEDMN CCOC(=O)C1(C(=O)N[C@H]2CNC[C@@H]2C#N)CCCC1 ZINC000843459732 615155073 /nfs/dbraw/zinc/15/50/73/615155073.db2.gz HQLLUSFBLFQGAK-QWRGUYRKSA-N 0 1 279.340 0.338 20 30 CCEDMN CCN1CCO[C@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC000972297998 615191579 /nfs/dbraw/zinc/19/15/79/615191579.db2.gz PBAHIYPTGQRCDQ-DGCLKSJQSA-N 0 1 251.330 0.469 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)CNc1ccc(C#N)cn1 ZINC000922159313 615243372 /nfs/dbraw/zinc/24/33/72/615243372.db2.gz OKNBZPJHLDOVQO-GFCCVEGCSA-N 0 1 273.340 0.576 20 30 CCEDMN CCOC(=O)[C@@H]1CCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000932647972 615293323 /nfs/dbraw/zinc/29/33/23/615293323.db2.gz BLXSOKUVLJFXHE-NWDGAFQWSA-N 0 1 281.356 0.632 20 30 CCEDMN CCN1CC[C@H]1CNc1ccc(S(N)(=O)=O)cc1C#N ZINC000882960791 615327923 /nfs/dbraw/zinc/32/79/23/615327923.db2.gz WHJWIZFLIVBPAN-NSHDSACASA-N 0 1 294.380 0.712 20 30 CCEDMN CCOC(=O)[C@H](C)C1CN(C[C@@H](O)CN(C)CCC#N)C1 ZINC000871992522 615337140 /nfs/dbraw/zinc/33/71/40/615337140.db2.gz HLIJERKKVVJYPM-OCCSQVGLSA-N 0 1 297.399 0.324 20 30 CCEDMN CCN1C[C@@H](C)N(C(=O)NCC#CCO)C[C@H]1C ZINC000923774820 615337570 /nfs/dbraw/zinc/33/75/70/615337570.db2.gz CGFHPMVQOAZISW-VXGBXAGGSA-N 0 1 253.346 0.106 20 30 CCEDMN CCOC(=O)[C@@H]1[C@H](C)C[C@@H](C)N(CC(=O)NCC#N)[C@H]1C ZINC000934161549 615388695 /nfs/dbraw/zinc/38/86/95/615388695.db2.gz DMFQHNJMUNNENX-NRWUCQMLSA-N 0 1 295.383 0.924 20 30 CCEDMN CCc1ccc(C#CC(=O)NCc2n[nH]c(CO)n2)cc1 ZINC000912942147 615660324 /nfs/dbraw/zinc/66/03/24/615660324.db2.gz FUPVHXBBYMRWGV-UHFFFAOYSA-N 0 1 284.319 0.527 20 30 CCEDMN CCc1ccc(C#CC(=O)NCc2nnc(CO)[nH]2)cc1 ZINC000912942147 615660327 /nfs/dbraw/zinc/66/03/27/615660327.db2.gz FUPVHXBBYMRWGV-UHFFFAOYSA-N 0 1 284.319 0.527 20 30 CCEDMN CCc1nc([C@@H](C)N(CC#N)CCC(=O)OC)n[nH]1 ZINC000879472065 615752499 /nfs/dbraw/zinc/75/24/99/615752499.db2.gz OTDWNDCWWWADCV-SECBINFHSA-N 0 1 265.317 0.817 20 30 CCEDMN CN(C)C1(C(=O)N2CCN(c3ccc(C#N)nc3)CC2)CC1 ZINC000834732520 615840852 /nfs/dbraw/zinc/84/08/52/615840852.db2.gz GESGAAAVVUPKPV-UHFFFAOYSA-N 0 1 299.378 0.696 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc2c(c1)nnn2C ZINC000931808793 615858854 /nfs/dbraw/zinc/85/88/54/615858854.db2.gz MAIATNDEZDQHRF-UHFFFAOYSA-N 0 1 286.339 0.655 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1C[C@]12C(=O)Nc1ccccc12 ZINC000827972224 615859426 /nfs/dbraw/zinc/85/94/26/615859426.db2.gz WKBGTAXISVAJGI-SUMWQHHRSA-N 0 1 297.358 0.578 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1C[C@@]12C(=O)Nc1ccccc12 ZINC000827972223 615859769 /nfs/dbraw/zinc/85/97/69/615859769.db2.gz WKBGTAXISVAJGI-GUYCJALGSA-N 0 1 297.358 0.578 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCO[C@H]1c1nccn1C ZINC000913522810 615859823 /nfs/dbraw/zinc/85/98/23/615859823.db2.gz ZLTHSSRGGFLTOE-CHWSQXEVSA-N 0 1 290.367 0.179 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1COc2ccccc2C1 ZINC000913515738 615860012 /nfs/dbraw/zinc/86/00/12/615860012.db2.gz LDGNCSOFUYQCRD-CQSZACIVSA-N 0 1 272.348 0.919 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@](C)(O)Cc1ccccc1 ZINC000913518905 615860273 /nfs/dbraw/zinc/86/02/73/615860273.db2.gz FXCTYKJZLLLEKZ-MRXNPFEDSA-N 0 1 274.364 0.661 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(C(N)=O)c(Cl)n1 ZINC000913522464 615860590 /nfs/dbraw/zinc/86/05/90/615860590.db2.gz KLKLLENQJUEHGM-UHFFFAOYSA-N 0 1 294.742 0.129 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc([S@](C)=O)cc1 ZINC000913515946 615860713 /nfs/dbraw/zinc/86/07/13/615860713.db2.gz UKLRZICXKGQIGV-IBGZPJMESA-N 0 1 278.377 0.719 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc2n(n1)CCCC2=O ZINC000913524579 615860894 /nfs/dbraw/zinc/86/08/94/615860894.db2.gz SIYWGHXJMWVKCS-UHFFFAOYSA-N 0 1 274.324 0.154 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(C2CC2)nn1C ZINC000827972268 615860984 /nfs/dbraw/zinc/86/09/84/615860984.db2.gz XVKSHYGPWTUDLH-UHFFFAOYSA-N 0 1 260.341 0.592 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2cccnn21 ZINC000913520403 615861225 /nfs/dbraw/zinc/86/12/25/615861225.db2.gz OJYDXLLKKQVVAZ-UHFFFAOYSA-N 0 1 256.309 0.629 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(n1)OCCO2 ZINC000913523965 615861432 /nfs/dbraw/zinc/86/14/32/615861432.db2.gz UCNGNIAXOKNKRO-UHFFFAOYSA-N 0 1 275.308 0.148 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2c1NC(=O)CO2 ZINC000913524105 615861555 /nfs/dbraw/zinc/86/15/55/615861555.db2.gz ZZUMSVCIKLYAAE-UHFFFAOYSA-N 0 1 287.319 0.312 20 30 CCEDMN CN(C)CC#CCNC(=O)c1coc(C2CCOCC2)n1 ZINC000913520962 615861905 /nfs/dbraw/zinc/86/19/05/615861905.db2.gz GHYGQSGWBONJCL-UHFFFAOYSA-N 0 1 291.351 0.863 20 30 CCEDMN CCc1onc(C)c1CNC[C@@H](O)CN(C)CCC#N ZINC000905633574 615892602 /nfs/dbraw/zinc/89/26/02/615892602.db2.gz ZBYKBICOQGTJDL-GFCCVEGCSA-N 0 1 280.372 0.841 20 30 CCEDMN CN(C)c1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc(Cl)n1 ZINC000834488654 616004569 /nfs/dbraw/zinc/00/45/69/616004569.db2.gz FSVWRWLHHUZDBZ-VHSXEESVSA-N 0 1 293.758 0.642 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN1CC[C@H](NC(N)=O)C1 ZINC000876816149 616011695 /nfs/dbraw/zinc/01/16/95/616011695.db2.gz ICLDXHCSCDYRGZ-ZDUSSCGKSA-N 0 1 287.367 0.867 20 30 CCEDMN C[N@H+](CC(=O)NCC(=O)[O-])Cc1cccc(C#N)c1 ZINC000820562728 616046841 /nfs/dbraw/zinc/04/68/41/616046841.db2.gz TZUFDAQYZUCNIG-UHFFFAOYSA-N 0 1 261.281 0.191 20 30 CCEDMN C[N@@H+](CC(=O)NCC(=O)[O-])Cc1cccc(C#N)c1 ZINC000820562728 616046842 /nfs/dbraw/zinc/04/68/42/616046842.db2.gz TZUFDAQYZUCNIG-UHFFFAOYSA-N 0 1 261.281 0.191 20 30 CCEDMN C[N@@H+](CC(C)(CO)CO)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000833692682 616052352 /nfs/dbraw/zinc/05/23/52/616052352.db2.gz VKRZYGAVBBGTIJ-ZDUSSCGKSA-N 0 1 292.335 0.607 20 30 CCEDMN CN(CCNS(=O)(=O)c1ccc(C#N)cn1)C1CC1 ZINC000906869190 616096749 /nfs/dbraw/zinc/09/67/49/616096749.db2.gz ZYBBRPMYXUPJGY-UHFFFAOYSA-N 0 1 280.353 0.326 20 30 CCEDMN CN(C[C@H](O)c1ccc(C#N)cc1)C[C@H]1COCCO1 ZINC000844362256 616162897 /nfs/dbraw/zinc/16/28/97/616162897.db2.gz WSQAKCKECWAHOB-GJZGRUSLSA-N 0 1 276.336 0.939 20 30 CCEDMN CN1C(=O)CS/C1=C\C(=O)NC1(C#N)CCN(C)CC1 ZINC000874438104 616165483 /nfs/dbraw/zinc/16/54/83/616165483.db2.gz HHADSNLMIYTELT-GHXNOFRVSA-N 0 1 294.380 0.137 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CC2(C1)CCCN(CC#N)C2 ZINC000981848630 616179266 /nfs/dbraw/zinc/17/92/66/616179266.db2.gz TYFTTWKJWYOBDK-CQSZACIVSA-N 0 1 290.411 0.919 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N1CC[C@@H]2[C@H]1CCCN2C ZINC000872666657 616200787 /nfs/dbraw/zinc/20/07/87/616200787.db2.gz XVLDDNBRZYGJCD-VXGBXAGGSA-N 0 1 286.401 0.245 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@H]1CCCCCN1CC#N ZINC000968780349 616211372 /nfs/dbraw/zinc/21/13/72/616211372.db2.gz DFOACJBWWYKVJL-KGLIPLIRSA-N 0 1 278.400 0.965 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2cc(C#N)ccc2F)C1 ZINC000827237914 616238761 /nfs/dbraw/zinc/23/87/61/616238761.db2.gz YWUOPKDSCUAUHE-GFCCVEGCSA-N 0 1 290.342 0.673 20 30 CCEDMN CN1CC[C@@H]1CNc1ccc(C#N)cc1CS(N)(=O)=O ZINC000895767393 616305210 /nfs/dbraw/zinc/30/52/10/616305210.db2.gz MBTXVEKPQAVLFA-GFCCVEGCSA-N 0 1 294.380 0.463 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCC1CCC(C#N)CC1 ZINC000907994277 616319840 /nfs/dbraw/zinc/31/98/40/616319840.db2.gz ZBQQJQVARLXZBN-DVTFPSANSA-N 0 1 279.384 0.888 20 30 CCEDMN CN1C[C@@H](O)C[C@H]1CNC(=O)c1cc(C#N)ccc1F ZINC000924977256 616330335 /nfs/dbraw/zinc/33/03/35/616330335.db2.gz COMHHAGAIQHJGK-QWRGUYRKSA-N 0 1 277.299 0.492 20 30 CCEDMN COCC[N@@H+]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@@H]1C ZINC000820730701 616364792 /nfs/dbraw/zinc/36/47/92/616364792.db2.gz YIDJICWNDFGWBS-SMDDNHRTSA-N 0 1 297.355 0.170 20 30 CCEDMN COCC[N@H+]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@@H]1C ZINC000820730701 616364798 /nfs/dbraw/zinc/36/47/98/616364798.db2.gz YIDJICWNDFGWBS-SMDDNHRTSA-N 0 1 297.355 0.170 20 30 CCEDMN CN1CC[C@H](C(=O)N[C@H]2CNC[C@H]2C#N)c2ccccc21 ZINC000876803952 616379163 /nfs/dbraw/zinc/37/91/63/616379163.db2.gz ZWAFZARWZSFBGQ-XBFCOCLRSA-N 0 1 284.363 0.838 20 30 CCEDMN CN1CC[C@H]1CNC(=O)N1CCN(C2CC2)[C@H](C#N)C1 ZINC000925409652 616424131 /nfs/dbraw/zinc/42/41/31/616424131.db2.gz GKWCBUWSKTYKOY-QWHCGFSZSA-N 0 1 277.372 0.072 20 30 CCEDMN COC(=O)C1=NO[C@@]2(CCN(CCO[C@@H](C)C#N)C2)C1 ZINC000879490694 616435719 /nfs/dbraw/zinc/43/57/19/616435719.db2.gz UUVGSNYLZVTWFG-GWCFXTLKSA-N 0 1 281.312 0.309 20 30 CCEDMN CN1C[C@H](O)C[C@@H]1CNC(=O)c1cc(C#N)ccc1F ZINC000924977250 616460406 /nfs/dbraw/zinc/46/04/06/616460406.db2.gz COMHHAGAIQHJGK-GHMZBOCLSA-N 0 1 277.299 0.492 20 30 CCEDMN COC1(OC)CCOC[C@H]1NCCO[C@H](C)C#N ZINC000931674118 616481835 /nfs/dbraw/zinc/48/18/35/616481835.db2.gz DRKTUTJLOAGHQH-GHMZBOCLSA-N 0 1 258.318 0.283 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N1CCC(C)(C#N)CC1 ZINC000907257615 616495353 /nfs/dbraw/zinc/49/53/53/616495353.db2.gz FVIORWNBIRIJKK-BXUZGUMPSA-N 0 1 265.357 0.594 20 30 CCEDMN CNC(=O)C1CN(C[C@@H](O)CC2(C#N)CCC2)C1 ZINC000886138730 616555839 /nfs/dbraw/zinc/55/58/39/616555839.db2.gz SBELHPQRARBLEG-NSHDSACASA-N 0 1 251.330 0.109 20 30 CCEDMN CNC(=O)CC[N@@H+](C)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830988095 616579672 /nfs/dbraw/zinc/57/96/72/616579672.db2.gz SKULWTDKLPZNJF-ZDUSSCGKSA-N 0 1 275.308 0.752 20 30 CCEDMN COC(=O)[C@H](NC(=O)C(C)C#N)C1CCOCC1 ZINC000881764415 616630574 /nfs/dbraw/zinc/63/05/74/616630574.db2.gz JCCQMANHGDLGGB-PSASIEDQSA-N 0 1 254.286 0.230 20 30 CCEDMN CNC(=O)C[C@@H](NC[C@H](O)CC#N)c1ccccc1 ZINC000930302770 616671489 /nfs/dbraw/zinc/67/14/89/616671489.db2.gz ACPHLUFRKJYWSM-CHWSQXEVSA-N 0 1 261.325 0.728 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1NCC(=O)N[C@@](C)(C#N)C(C)C ZINC000934041454 616673479 /nfs/dbraw/zinc/67/34/79/616673479.db2.gz AQOJSWNVNJMJMN-BZPMIXESSA-N 0 1 283.372 0.434 20 30 CCEDMN CNC(=O)NC[C@H]1CN(CC2CCC(C#N)CC2)CCO1 ZINC000931168339 616762103 /nfs/dbraw/zinc/76/21/03/616762103.db2.gz XXXZGTIMJXYRPD-RUXDESIVSA-N 0 1 294.399 0.946 20 30 CCEDMN CO[C@@H]1COCC[C@@H]1N(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000880424764 616797592 /nfs/dbraw/zinc/79/75/92/616797592.db2.gz LHBZGYCGOWDXBD-GZBFAFLISA-N 0 1 295.383 0.531 20 30 CCEDMN COC[C@@H](C)N1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC000980838685 616799089 /nfs/dbraw/zinc/79/90/89/616799089.db2.gz VYODJTSCFNJVCC-VXGBXAGGSA-N 0 1 253.346 0.715 20 30 CCEDMN COC(=O)c1[nH]c(CN=Nc2cnnn2C)cc1C ZINC000814982271 616808319 /nfs/dbraw/zinc/80/83/19/616808319.db2.gz TVTSBHDFEZOABB-UHFFFAOYSA-N 0 1 262.273 0.684 20 30 CCEDMN COC(=O)N1CCC(C(=O)NCC#CCN(C)C)CC1 ZINC000913523863 616813082 /nfs/dbraw/zinc/81/30/82/616813082.db2.gz PIZSKDPLXZFUIN-UHFFFAOYSA-N 0 1 281.356 0.146 20 30 CCEDMN COCC1CN(C[C@H](O)COc2ccccc2C#N)C1 ZINC000905972745 616823884 /nfs/dbraw/zinc/82/38/84/616823884.db2.gz TTXABSSWEOHZGX-AWEZNQCLSA-N 0 1 276.336 0.876 20 30 CCEDMN COC(=O)c1ccc(C(=O)NCC#CCN(C)C)cn1 ZINC000913516305 616881778 /nfs/dbraw/zinc/88/17/78/616881778.db2.gz KHTXYBQPANAJQA-UHFFFAOYSA-N 0 1 275.308 0.163 20 30 CCEDMN COCc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834511945 616895649 /nfs/dbraw/zinc/89/56/49/616895649.db2.gz QCZGGJNPBLNYCM-OLZOCXBDSA-N 0 1 259.309 0.674 20 30 CCEDMN COCc1cncc(C(=O)NCC#CCN(C)C)c1 ZINC000913520978 616914054 /nfs/dbraw/zinc/91/40/54/616914054.db2.gz GRUPDTKCCQWIPW-UHFFFAOYSA-N 0 1 261.325 0.523 20 30 CCEDMN COC(=O)[C@@H](CC1CCOCC1)NC(=O)C(C)C#N ZINC000881739927 616957305 /nfs/dbraw/zinc/95/73/05/616957305.db2.gz MKRXPVLXLGVSCX-GXSJLCMTSA-N 0 1 268.313 0.621 20 30 CCEDMN COC(=O)[C@@H](NC(=O)C(C)C#N)C1CCOCC1 ZINC000881764416 617014004 /nfs/dbraw/zinc/01/40/04/617014004.db2.gz JCCQMANHGDLGGB-SCZZXKLOSA-N 0 1 254.286 0.230 20 30 CCEDMN COCCN(C[C@H](O)CC1(C#N)CCC1)[C@H](C)C(N)=O ZINC000885999125 617027059 /nfs/dbraw/zinc/02/70/59/617027059.db2.gz FJAOPENNTCQRBT-VXGBXAGGSA-N 0 1 283.372 0.253 20 30 CCEDMN CON(C)C(=O)CNCc1cnc2ccc(C#N)cn12 ZINC000895041192 617038355 /nfs/dbraw/zinc/03/83/55/617038355.db2.gz LIDGEFVQNJNRAV-UHFFFAOYSA-N 0 1 273.296 0.315 20 30 CCEDMN CO[C@H]1CCC[C@@H](C(=O)NCC#CCN(C)C)C1 ZINC000913522807 617097397 /nfs/dbraw/zinc/09/73/97/617097397.db2.gz ZKGMYRXLAGDAHN-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN CON=Cc1ccc(C(=O)NCCN2CC[C@@H](O)C2)cc1 ZINC000863328569 617106826 /nfs/dbraw/zinc/10/68/26/617106826.db2.gz YCRWXNRZKGTQAB-CQSZACIVSA-N 0 1 291.351 0.463 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)[C@@H]1CCCO1 ZINC001026026152 625322825 /nfs/dbraw/zinc/32/28/25/625322825.db2.gz HUMFYWMHVAPCGT-DGTMBMJNSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC12CC(OCC)C2 ZINC001026039983 625324387 /nfs/dbraw/zinc/32/43/87/625324387.db2.gz QEZAYZMMKGEKSW-VCAPWORMSA-N 0 1 288.391 0.871 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@H]2CC[C@@H](NCC#N)[C@H]2C1 ZINC001026328670 625399512 /nfs/dbraw/zinc/39/95/12/625399512.db2.gz PZJKEHDRWWIGFZ-GARJFASQSA-N 0 1 274.328 0.077 20 30 CCEDMN CCc1nnc(CNC[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC001026732028 625577880 /nfs/dbraw/zinc/57/78/80/625577880.db2.gz FUGMOFXIAKESEU-QWRGUYRKSA-N 0 1 291.355 0.730 20 30 CCEDMN Cc1nnc(CNC[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001026732947 625579062 /nfs/dbraw/zinc/57/90/62/625579062.db2.gz PKRFVEKZZOSHJV-ONGXEEELSA-N 0 1 293.396 0.944 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CNCc2nncs2)C1 ZINC001026732748 625579204 /nfs/dbraw/zinc/57/92/04/625579204.db2.gz MZSOVRIMVHDXAR-ZJUUUORDSA-N 0 1 279.369 0.636 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2coc(COC)n2)C1 ZINC001023676859 623101212 /nfs/dbraw/zinc/10/12/12/623101212.db2.gz VYBUYCNVVWRDML-LBPRGKRZSA-N 0 1 291.351 0.896 20 30 CCEDMN O=C(C#CC1CC1)N1CCC[C@@H](CNCc2cnon2)C1 ZINC001023981061 623186586 /nfs/dbraw/zinc/18/65/86/623186586.db2.gz IGJWTBIEGILMMZ-ZDUSSCGKSA-N 0 1 288.351 0.811 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001024417255 623318004 /nfs/dbraw/zinc/31/80/04/623318004.db2.gz SDJRZANMXJDXHZ-GFCCVEGCSA-N 0 1 291.351 0.586 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001024488718 623336082 /nfs/dbraw/zinc/33/60/82/623336082.db2.gz UKXSOUQIRYATPR-KKUMJFAQSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024520129 623344145 /nfs/dbraw/zinc/34/41/45/623344145.db2.gz DGGRETPIYCOOOU-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024522077 623349132 /nfs/dbraw/zinc/34/91/32/623349132.db2.gz ZGWNJXNPALASJJ-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CC[N@H+]1CCCC[C@@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001024532506 623354382 /nfs/dbraw/zinc/35/43/82/623354382.db2.gz IFVKUFHYBUYANQ-SECBINFHSA-N 0 1 293.327 0.053 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001024782066 623440789 /nfs/dbraw/zinc/44/07/89/623440789.db2.gz GGKNSLNOGIKJDL-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN Cc1cc(CN2CCN(C)[C@@H](CO)C2)ccc1C#N ZINC000931401480 625665052 /nfs/dbraw/zinc/66/50/52/625665052.db2.gz KQCLSTSAPHYINH-OAHLLOKOSA-N 0 1 259.353 0.975 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001007454056 623643599 /nfs/dbraw/zinc/64/35/99/623643599.db2.gz VRAMQALFCYKCQG-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN Cc1cc(CN2CCN(C)[C@H](CO)C2)ccc1C#N ZINC000931401478 625666198 /nfs/dbraw/zinc/66/61/98/625666198.db2.gz KQCLSTSAPHYINH-HNNXBMFYSA-N 0 1 259.353 0.975 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)S(C)(=O)=O)C1 ZINC001019048363 623788088 /nfs/dbraw/zinc/78/80/88/623788088.db2.gz XYCKHBQHMKJZJK-UWVGGRQHSA-N 0 1 294.804 0.363 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC(OCC)C1 ZINC001025763312 623880232 /nfs/dbraw/zinc/88/02/32/623880232.db2.gz YAJRLTCZGQBNMM-TWASESMYSA-N 0 1 262.353 0.481 20 30 CCEDMN C[C@@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)C1CN(CC#N)C1 ZINC000969666730 624529596 /nfs/dbraw/zinc/52/95/96/624529596.db2.gz DUMUHMLQLDWCML-ZYHUDNBSSA-N 0 1 287.367 0.790 20 30 CCEDMN C[C@@H](NC(=O)c1ccn2cncc2c1)C1CN(CC#N)C1 ZINC000970065197 624585532 /nfs/dbraw/zinc/58/55/32/624585532.db2.gz TZAOBDLMHHRXTE-LLVKDONJSA-N 0 1 283.335 0.908 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@H](N(C)CC#N)C2)[nH]1 ZINC001027334139 625835378 /nfs/dbraw/zinc/83/53/78/625835378.db2.gz IUDWXNAARNQZHE-NSHDSACASA-N 0 1 261.329 0.778 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001027461887 625897283 /nfs/dbraw/zinc/89/72/83/625897283.db2.gz RFIWLSNNMYFBET-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN CN(CC#N)[C@H]1CCCN(C(=O)[C@@H]2CCCCN2C)C1 ZINC001027464441 625898014 /nfs/dbraw/zinc/89/80/14/625898014.db2.gz GIWOCBIOQMQGGA-KBPBESRZSA-N 0 1 278.400 0.917 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@H](N(C)CC#N)C1 ZINC001027518042 625933616 /nfs/dbraw/zinc/93/36/16/625933616.db2.gz OFHWKJGXZLLWRD-JTQLQIEISA-N 0 1 262.317 0.173 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001027521381 625937917 /nfs/dbraw/zinc/93/79/17/625937917.db2.gz BZBAVBFFZMNVFU-NEPJUHHUSA-N 0 1 281.400 0.995 20 30 CCEDMN O[C@H](CNCC#Cc1ccccc1)C1(O)CCOCC1 ZINC000905031023 634789223 /nfs/dbraw/zinc/78/92/23/634789223.db2.gz KDDPJVMGRSVSKV-OAHLLOKOSA-N 0 1 275.348 0.530 20 30 CCEDMN COc1nccc(/C=C2/C(=O)c3ncnn3C2C)n1 ZINC000905087065 634791473 /nfs/dbraw/zinc/79/14/73/634791473.db2.gz VIDIYCGCYGNUDH-JGESFRBXSA-N 0 1 257.253 0.918 20 30 CCEDMN C#CCN(C)[C@@H]1CCCN(C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001027643769 630828686 /nfs/dbraw/zinc/82/86/86/630828686.db2.gz QPRBVHYETIPZIR-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CCOCC2)C1 ZINC001009878826 630836474 /nfs/dbraw/zinc/83/64/74/630836474.db2.gz YJJGWAALENAQHF-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@@H]1CC[N@H+]2CCCC[C@@H]12 ZINC000220625621 630909236 /nfs/dbraw/zinc/90/92/36/630909236.db2.gz CMRZTHBFFYQKEG-NEPJUHHUSA-N 0 1 281.356 0.895 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001027775599 630909632 /nfs/dbraw/zinc/90/96/32/630909632.db2.gz YDIHFCKOSMFJDU-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001027849605 630979477 /nfs/dbraw/zinc/97/94/77/630979477.db2.gz BVTOOBCDPSBGOZ-NSHDSACASA-N 0 1 277.324 0.196 20 30 CCEDMN C[C@@H](O)CN1CCCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000980917524 631080893 /nfs/dbraw/zinc/08/08/93/631080893.db2.gz OTJPCSPVVIZPPV-LLVKDONJSA-N 0 1 276.340 0.415 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](NCc2n[nH]c(C3CC3)n2)C1 ZINC000967944327 650005978 /nfs/dbraw/zinc/00/59/78/650005978.db2.gz PEGLIRZPCKXFSK-GXSJLCMTSA-N 0 1 288.355 0.532 20 30 CCEDMN Cc1cc(CN[C@@H]2CCN(C(=O)C#CC3CC3)C2)nn1C ZINC000968626777 650024099 /nfs/dbraw/zinc/02/40/99/650024099.db2.gz BHXYRDFNCNHBAD-CQSZACIVSA-N 0 1 286.379 0.832 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000968633663 650024630 /nfs/dbraw/zinc/02/46/30/650024630.db2.gz ZOIHFARPBADOFE-HZMBPMFUSA-N 0 1 298.350 0.922 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@@H]1NC(=O)CN1CCCC1 ZINC000968651427 650025105 /nfs/dbraw/zinc/02/51/05/650025105.db2.gz IQULHQFFXPHTGB-OLZOCXBDSA-N 0 1 264.373 0.432 20 30 CCEDMN Cc1[nH]nc(CN2CCN(C(=O)CC#N)CC2)c1C ZINC000895065249 631915261 /nfs/dbraw/zinc/91/52/61/631915261.db2.gz BBZDNMHYSFYEEZ-UHFFFAOYSA-N 0 1 261.329 0.584 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC001006708493 650048737 /nfs/dbraw/zinc/04/87/37/650048737.db2.gz XKFFHVGIPGDZEM-GFCCVEGCSA-N 0 1 265.357 0.375 20 30 CCEDMN O=C1OC[C@@]2(CNCC#Cc3ccccc3)COCCN12 ZINC000895824725 632161009 /nfs/dbraw/zinc/16/10/09/632161009.db2.gz JIHTYOVOQYBLAM-MRXNPFEDSA-N 0 1 286.331 0.849 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)cn1)[C@@H]1C[N@@H+](C)CCN1C ZINC000896560439 632286569 /nfs/dbraw/zinc/28/65/69/632286569.db2.gz QECZLMOGVXJIPF-RISCZKNCSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)cn1)[C@@H]1CN(C)CCN1C ZINC000896560439 632286576 /nfs/dbraw/zinc/28/65/76/632286576.db2.gz QECZLMOGVXJIPF-RISCZKNCSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@H](NC(=O)c1cc(C#N)cn1C)[C@@H]1CN(C)CCN1C ZINC000896557972 632286771 /nfs/dbraw/zinc/28/67/71/632286771.db2.gz WUDNQRJSTRRJII-FZMZJTMJSA-N 0 1 289.383 0.261 20 30 CCEDMN CN1CCN(CCNC(=O)/C=C/c2ccccc2C#N)CC1 ZINC000067511608 632482181 /nfs/dbraw/zinc/48/21/81/632482181.db2.gz AAPYXHKSHYWTDT-VOTSOKGWSA-N 0 1 298.390 0.935 20 30 CCEDMN N#CC[C@@H](NC(=O)C1CN([C@@H]2CCOC2)C1)C(F)(F)F ZINC000928799277 632591292 /nfs/dbraw/zinc/59/12/92/632591292.db2.gz AHAHGAGLDVVBFN-NXEZZACHSA-N 0 1 291.273 0.668 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CCC(N(C)CC(N)=O)CC1 ZINC000985619791 632595547 /nfs/dbraw/zinc/59/55/47/632595547.db2.gz VUQAFKABYLPIGV-OAHLLOKOSA-N 0 1 281.400 0.997 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001007525724 650081653 /nfs/dbraw/zinc/08/16/53/650081653.db2.gz XRXSEDIICDWVKF-IHRRRGAJSA-N 0 1 280.368 0.394 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001007547177 650083646 /nfs/dbraw/zinc/08/36/46/650083646.db2.gz LVZAFXACGLYWLZ-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CN2CCCC2=O)[C@@H]1C ZINC000985958191 632684539 /nfs/dbraw/zinc/68/45/39/632684539.db2.gz PFHLFBHXSUCBIV-VXGBXAGGSA-N 0 1 299.802 0.940 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2COCCN2CC)C1 ZINC001007707798 650088383 /nfs/dbraw/zinc/08/83/83/650088383.db2.gz SZLPIVFMOIUJHI-LSDHHAIUSA-N 0 1 295.427 0.864 20 30 CCEDMN C=CCC[N@H+]1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001007799671 650094519 /nfs/dbraw/zinc/09/45/19/650094519.db2.gz JXFZEODMPYZPMM-VIFPVBQESA-N 0 1 293.327 0.053 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cncn2C)C1 ZINC001007814274 650095057 /nfs/dbraw/zinc/09/50/57/650095057.db2.gz WKAMIDPAUOYFRF-GFCCVEGCSA-N 0 1 260.341 0.638 20 30 CCEDMN C[C@@H]1C[C@@H](NCC#N)CN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000988870819 633122816 /nfs/dbraw/zinc/12/28/16/633122816.db2.gz NVXVHDLWYZBNEA-NXEZZACHSA-N 0 1 284.323 0.674 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cn2cncn2)C1 ZINC000989752244 633351539 /nfs/dbraw/zinc/35/15/39/633351539.db2.gz LOSZDZZJPVOMQC-WDEREUQCSA-N 0 1 283.763 0.610 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC001007063003 633358625 /nfs/dbraw/zinc/35/86/25/633358625.db2.gz KQAXVPPWTMQGSG-ZDUSSCGKSA-N 0 1 274.368 0.865 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC001007063003 633358633 /nfs/dbraw/zinc/35/86/33/633358633.db2.gz KQAXVPPWTMQGSG-ZDUSSCGKSA-N 0 1 274.368 0.865 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ccn[nH]3)CCC[C@@H]12 ZINC000989970335 633395030 /nfs/dbraw/zinc/39/50/30/633395030.db2.gz OMIBFPNCUDRPER-TZMCWYRMSA-N 0 1 258.325 0.770 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001008438284 650114669 /nfs/dbraw/zinc/11/46/69/650114669.db2.gz WIIBWJGRWRDAKW-RISCZKNCSA-N 0 1 265.357 0.422 20 30 CCEDMN CC#CCN1CC[C@]2(NC(=O)c3ncn(C)n3)CCC[C@H]12 ZINC000990564615 633554502 /nfs/dbraw/zinc/55/45/02/633554502.db2.gz AVMMNASCFMCBGU-SWLSCSKDSA-N 0 1 287.367 0.565 20 30 CCEDMN CS(=O)(=O)C[C@H](O)CN[C@@H]1CCc2ccc(C#N)cc21 ZINC000927028117 633578308 /nfs/dbraw/zinc/57/83/08/633578308.db2.gz VZHNFKWGHXIRQA-TZMCWYRMSA-N 0 1 294.376 0.541 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CC(N3CCCC3)C2)c1 ZINC000898605411 633631726 /nfs/dbraw/zinc/63/17/26/633631726.db2.gz XBNGYUFLTIGTAZ-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001008840087 650129086 /nfs/dbraw/zinc/12/90/86/650129086.db2.gz LMHKEMBTIMDVNS-RYUDHWBXSA-N 0 1 265.357 0.422 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1cccc2c1OCCO2 ZINC000841071738 634161866 /nfs/dbraw/zinc/16/18/66/634161866.db2.gz ACSGORONKAJDAR-MWLCHTKSSA-N 0 1 288.307 0.691 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cn(C)c(=O)n2C)C1 ZINC001009650981 650153370 /nfs/dbraw/zinc/15/33/70/650153370.db2.gz XAVFBUCESJYUDW-NSHDSACASA-N 0 1 278.356 0.104 20 30 CCEDMN C[C@H](NC(=O)c1ccsc1C#N)[C@H]1CN(C)CCN1C ZINC000906780820 635035565 /nfs/dbraw/zinc/03/55/65/635035565.db2.gz SFLAOKZJMRLVFF-CMPLNLGQSA-N 0 1 292.408 0.984 20 30 CCEDMN CCc1cc(C(=O)N2CCN(C(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001010405724 650220007 /nfs/dbraw/zinc/22/00/07/650220007.db2.gz PXFKJLABMVDWTA-SNVBAGLBSA-N 0 1 289.339 0.416 20 30 CCEDMN C[C@H]1CN(C(=O)C2=NC(=O)N(C)C2)C[C@H](C)N1CC#N ZINC000911712533 636346289 /nfs/dbraw/zinc/34/62/89/636346289.db2.gz CFJHJNVPTHQKGR-UWVGGRQHSA-N 0 1 277.328 0.184 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010638871 650248980 /nfs/dbraw/zinc/24/89/80/650248980.db2.gz LMWAJQUMLOJOKN-VIFPVBQESA-N 0 1 250.302 0.414 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CCN(CCn3cccn3)C2)[nH]1 ZINC001010654363 650250398 /nfs/dbraw/zinc/25/03/98/650250398.db2.gz GGHYPJNXDNFWEH-ZDUSSCGKSA-N 0 1 298.350 0.587 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC(NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000991368976 636493497 /nfs/dbraw/zinc/49/34/97/636493497.db2.gz BBICCSFHQWCILI-QMMMGPOBSA-N 0 1 298.306 0.058 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2nccn2CC)C1 ZINC001010816243 650267001 /nfs/dbraw/zinc/26/70/01/650267001.db2.gz SLGPQXGXIFAFSW-GFCCVEGCSA-N 0 1 260.341 0.730 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@@H](N3CCC3)C2)n1 ZINC000912906479 636696000 /nfs/dbraw/zinc/69/60/00/636696000.db2.gz FMYASXDSVOKZFM-GFCCVEGCSA-N 0 1 256.309 0.873 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)N2CC[C@@H](N3CCC3)C2)cc1 ZINC000912912113 636700066 /nfs/dbraw/zinc/70/00/66/636700066.db2.gz WXAFKOCLCGFCEM-CABCVRRESA-N 0 1 285.347 0.898 20 30 CCEDMN O=C(C#Cc1ccccc1)N1CCOC[C@H]1c1nn[nH]n1 ZINC000913496314 636829639 /nfs/dbraw/zinc/82/96/39/636829639.db2.gz XNPGHDDHFVYMFX-LBPRGKRZSA-N 0 1 283.291 0.151 20 30 CCEDMN C[C@@H]1C(=O)CC[C@@H]1CC(=O)NCC#CCN(C)C ZINC000913522051 636835676 /nfs/dbraw/zinc/83/56/76/636835676.db2.gz XVLHXMNRYIAWOB-NWDGAFQWSA-N 0 1 250.342 0.673 20 30 CCEDMN C[C@H](CNC(=O)OC(C)(C)C)C(=O)NCC#CCN(C)C ZINC000913522297 636835749 /nfs/dbraw/zinc/83/57/49/636835749.db2.gz CTHRWTPOMROWDM-GFCCVEGCSA-N 0 1 297.399 0.828 20 30 CCEDMN C[C@@H]1C[C@@H](C(=O)NCC#CCN(C)C)CCC1=O ZINC000913520088 636835884 /nfs/dbraw/zinc/83/58/84/636835884.db2.gz CAZSSBRDEKECDH-NEPJUHHUSA-N 0 1 250.342 0.673 20 30 CCEDMN COC(=O)Cc1cccc(C(=O)NCC#CCN(C)C)c1 ZINC000913520934 636835836 /nfs/dbraw/zinc/83/58/36/636835836.db2.gz DWCYDNKFCWUMKO-UHFFFAOYSA-N 0 1 288.347 0.697 20 30 CCEDMN C#CCCCNC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000173686907 637226216 /nfs/dbraw/zinc/22/62/16/637226216.db2.gz KBPYPWJXKZOZPK-HNNXBMFYSA-N 0 1 294.443 0.971 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cn(CC=C)nn3)CCC[C@H]12 ZINC000992303027 637360948 /nfs/dbraw/zinc/36/09/48/637360948.db2.gz JCKOKDMOXABDMA-HOCLYGCPSA-N 0 1 299.378 0.824 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ncccn3)CCC[C@@H]12 ZINC000992352899 637372368 /nfs/dbraw/zinc/37/23/68/637372368.db2.gz UOGMTNVROGIKOF-DOMZBBRYSA-N 0 1 270.336 0.837 20 30 CCEDMN C[C@@H]1CN(C(=O)NC[C@@H]2CCC[N@H+]2C)C[C@H](C)N1CC#N ZINC000914391712 637614470 /nfs/dbraw/zinc/61/44/70/637614470.db2.gz MCTSRNRXRRERST-MJBXVCDLSA-N 0 1 293.415 0.708 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ncn(C)n2)[C@@H]1C ZINC000993258666 637759410 /nfs/dbraw/zinc/75/94/10/637759410.db2.gz CREGMXJRGSFWCI-RYUDHWBXSA-N 0 1 277.372 0.974 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cncnc2)[C@@H]1C ZINC000993293843 637766497 /nfs/dbraw/zinc/76/64/97/637766497.db2.gz YHVWNFRNYQOICX-GXTWGEPZSA-N 0 1 272.352 0.621 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2ccn(C)n2)[C@H]1C ZINC000993319829 637781582 /nfs/dbraw/zinc/78/15/82/637781582.db2.gz XEGXAEHSWXQEQM-OCCSQVGLSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cnc[nH]c2=O)[C@@H]1C ZINC000993462014 637810552 /nfs/dbraw/zinc/81/05/52/637810552.db2.gz AENQCWPTWGZEPS-CMPLNLGQSA-N 0 1 274.324 0.398 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@@H]1C ZINC000993463222 637810638 /nfs/dbraw/zinc/81/06/38/637810638.db2.gz MDZMJNRAPOLMEO-WDEREUQCSA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CN2CCCNC2=O)[C@@H]1C ZINC000993538491 637814582 /nfs/dbraw/zinc/81/45/82/637814582.db2.gz ALAJAMVEORESGF-QWHCGFSZSA-N 0 1 292.383 0.004 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@@H]1C ZINC000993724550 637835475 /nfs/dbraw/zinc/83/54/75/637835475.db2.gz AOAHEISFQMTGDT-NWDGAFQWSA-N 0 1 290.367 0.732 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc3c2ncn3C)C1 ZINC001015686115 637852435 /nfs/dbraw/zinc/85/24/35/637852435.db2.gz VNSKPPNCGLVTLA-NSHDSACASA-N 0 1 285.351 0.958 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(CCO)[C@@H]2C)nc1 ZINC000994237412 637878476 /nfs/dbraw/zinc/87/84/76/637878476.db2.gz FXLOFNLGSUPMLX-OCCSQVGLSA-N 0 1 287.363 0.638 20 30 CCEDMN Cc1[nH]ncc1CN(C)S(=O)(=O)N(C)[C@@H](C)CC#N ZINC000918689667 638031494 /nfs/dbraw/zinc/03/14/94/638031494.db2.gz VRNILOXMIAUHIP-VIFPVBQESA-N 0 1 285.373 0.629 20 30 CCEDMN CCS(=O)(=O)CCNC[C@@H](O)c1ccc(C#N)cc1 ZINC000233822665 638208330 /nfs/dbraw/zinc/20/83/30/638208330.db2.gz YGENDZCZFACAAO-CYBMUJFWSA-N 0 1 282.365 0.616 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC000939395132 638358112 /nfs/dbraw/zinc/35/81/12/638358112.db2.gz CNBIGVGFGVRQGD-GXSJLCMTSA-N 0 1 289.339 0.551 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)[nH]1 ZINC000939397800 638358499 /nfs/dbraw/zinc/35/84/99/638358499.db2.gz QXPQQMIJSXGWDR-KOLCDFICSA-N 0 1 289.339 0.551 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CCN(CC#N)CC2(C)C)n[nH]1 ZINC000940732058 638489840 /nfs/dbraw/zinc/48/98/40/638489840.db2.gz FTQHFRQYFUVZHW-NSHDSACASA-N 0 1 290.371 0.396 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H](NCc2ccn(C)n2)C(C)(C)C1 ZINC000995577380 638778798 /nfs/dbraw/zinc/77/87/98/638778798.db2.gz CLTQGZHWUHJOCT-AAEUAGOBSA-N 0 1 289.383 0.906 20 30 CCEDMN CC1(C)CN(C(=O)CCc2nc[nH]n2)C[C@@H]1NCC#N ZINC000995622820 638781260 /nfs/dbraw/zinc/78/12/60/638781260.db2.gz ACGXZGKDKKVQSQ-JTQLQIEISA-N 0 1 276.344 0.087 20 30 CCEDMN C#C[C@H](C)NC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000186045745 638795543 /nfs/dbraw/zinc/79/55/43/638795543.db2.gz ZIKLYINTUFHIOI-YNEHKIRRSA-N 0 1 267.373 0.807 20 30 CCEDMN O=C(C#CC1CC1)N1CCC(NCc2cnon2)CC1 ZINC000996394623 638817268 /nfs/dbraw/zinc/81/72/68/638817268.db2.gz QEZLNKIBEXRNKO-UHFFFAOYSA-N 0 1 274.324 0.564 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC(NC(=O)C(C)(C)c2cnc[nH]2)C1 ZINC000996449397 638819611 /nfs/dbraw/zinc/81/96/11/638819611.db2.gz HPBGXRXBNQZZOE-VIFPVBQESA-N 0 1 289.339 0.174 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001015991465 638864619 /nfs/dbraw/zinc/86/46/19/638864619.db2.gz BLPPEMFSHVUNTN-WDEREUQCSA-N 0 1 275.356 0.286 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC000999159395 638963630 /nfs/dbraw/zinc/96/36/30/638963630.db2.gz AIKOTYOYPFACLN-OLZOCXBDSA-N 0 1 287.367 0.934 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC000999300344 638973026 /nfs/dbraw/zinc/97/30/26/638973026.db2.gz XPEGAOYQEFOOII-VXGBXAGGSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC000999789753 639000711 /nfs/dbraw/zinc/00/07/11/639000711.db2.gz IOMYJKKBAONALO-BXUZGUMPSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CCNC2=O)C1 ZINC000999883427 639016030 /nfs/dbraw/zinc/01/60/30/639016030.db2.gz QPJNPJRVBLYMAW-QWRGUYRKSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cc2nnc[nH]2)C1 ZINC001000642052 639146422 /nfs/dbraw/zinc/14/64/22/639146422.db2.gz BLEBBIRSUFOJRY-SNVBAGLBSA-N 0 1 283.763 0.680 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn(C3CCC3)nn2)CC1 ZINC001000688130 639152319 /nfs/dbraw/zinc/15/23/19/639152319.db2.gz YPDWJOCNFIJFEJ-UHFFFAOYSA-N 0 1 299.378 0.998 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn(C)nc2CC)CC1 ZINC001000814755 639175409 /nfs/dbraw/zinc/17/54/09/639175409.db2.gz WPFNELLRSXVJHS-UHFFFAOYSA-N 0 1 286.379 0.978 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC001000854606 639177242 /nfs/dbraw/zinc/17/72/42/639177242.db2.gz LABUTIWYUPRDSJ-CQSZACIVSA-N 0 1 289.379 0.236 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CCC[C@H](NCC#N)C1 ZINC001000929068 639198897 /nfs/dbraw/zinc/19/88/97/639198897.db2.gz WZCMOBGEPGGUCF-KGLIPLIRSA-N 0 1 294.399 0.059 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2coc(C(N)=O)c2)CC1 ZINC001000996371 639211994 /nfs/dbraw/zinc/21/19/94/639211994.db2.gz ZTGFJWLVEPAKOC-UHFFFAOYSA-N 0 1 287.319 0.374 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2c[nH]c(=O)n2C)CC1 ZINC001001048234 639217085 /nfs/dbraw/zinc/21/70/85/639217085.db2.gz YUVNGBXCGFPTAW-UHFFFAOYSA-N 0 1 288.351 0.511 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001014695792 650478810 /nfs/dbraw/zinc/47/88/10/650478810.db2.gz UGLRTTATBHULQR-NSHDSACASA-N 0 1 263.345 0.597 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001014700417 650479086 /nfs/dbraw/zinc/47/90/86/650479086.db2.gz GUPHGLDFCYNSAW-NWDGAFQWSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2conc2C)CC1 ZINC001001109262 639234786 /nfs/dbraw/zinc/23/47/86/639234786.db2.gz KCVKHFBVWHQAOG-UHFFFAOYSA-N 0 1 259.309 0.978 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2n[nH]cc2F)CC1 ZINC001001120501 639238139 /nfs/dbraw/zinc/23/81/39/639238139.db2.gz UZEMEXFVQFQQHO-UHFFFAOYSA-N 0 1 262.288 0.544 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)c2ncn[n-]2)CC1 ZINC001001278165 639274648 /nfs/dbraw/zinc/27/46/48/639274648.db2.gz BMADCFVZKXFQAE-UHFFFAOYSA-N 0 1 259.313 0.190 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCc3n[nH]nc3C2)CC1 ZINC001001367492 639291381 /nfs/dbraw/zinc/29/13/81/639291381.db2.gz YKSHQQWVDSMCFZ-ZDUSSCGKSA-N 0 1 299.378 0.291 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001014755216 650485926 /nfs/dbraw/zinc/48/59/26/650485926.db2.gz XIPHGHJAUYBNHC-CQSZACIVSA-N 0 1 274.368 0.485 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCOC2)CC1 ZINC001002442351 639411465 /nfs/dbraw/zinc/41/14/65/639411465.db2.gz IIUNKNFWMVTTDX-GFCCVEGCSA-N 0 1 250.342 0.627 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H]1CN(C)C(=O)c1ccn[nH]1 ZINC001002918994 639477709 /nfs/dbraw/zinc/47/77/09/639477709.db2.gz CJPRQJMKTPKQAO-MNOVXSKESA-N 0 1 289.339 0.632 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC001003228448 639519831 /nfs/dbraw/zinc/51/98/31/639519831.db2.gz XDAMNSVDDJRICQ-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2cc(C)nn2C)CC1 ZINC001003302839 639527719 /nfs/dbraw/zinc/52/77/19/639527719.db2.gz CLMYTYCIJSUPEY-UHFFFAOYSA-N 0 1 288.395 0.875 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn3c(n2)COCC3)C1 ZINC001014939315 650506043 /nfs/dbraw/zinc/50/60/43/650506043.db2.gz CTOJHTSYPDPRGD-NSHDSACASA-N 0 1 276.340 0.403 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cn3c(n2)COCC3)C1 ZINC001014938588 650506685 /nfs/dbraw/zinc/50/66/85/650506685.db2.gz VNGBVLPXDFYVPQ-GFCCVEGCSA-N 0 1 290.367 0.794 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001014975325 650510001 /nfs/dbraw/zinc/51/00/01/650510001.db2.gz LRZQPBCCJDLILW-LBPRGKRZSA-N 0 1 261.325 0.375 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2nnnc2C(C)C)CC1 ZINC001003755339 639608660 /nfs/dbraw/zinc/60/86/60/639608660.db2.gz WBGUEJYLMWZVKU-UHFFFAOYSA-N 0 1 290.371 0.010 20 30 CCEDMN C=CCn1cc(C(=O)NC2CCN(CC#CC)CC2)nn1 ZINC001003755140 639608732 /nfs/dbraw/zinc/60/87/32/639608732.db2.gz PUWYRVSZFXTTKJ-UHFFFAOYSA-N 0 1 287.367 0.682 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001014980347 650511384 /nfs/dbraw/zinc/51/13/84/650511384.db2.gz MDNGATLAKULRIJ-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001015056503 650520726 /nfs/dbraw/zinc/52/07/26/650520726.db2.gz DLLWGCWCGAZIIK-QMMMGPOBSA-N 0 1 255.709 0.361 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CN2CCCCC2=O)C1 ZINC001015073021 650524807 /nfs/dbraw/zinc/52/48/07/650524807.db2.gz IROWJSCDLSTJDW-LBPRGKRZSA-N 0 1 265.357 0.375 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc(C)nn2C)CC1 ZINC001005150109 639882615 /nfs/dbraw/zinc/88/26/15/639882615.db2.gz INZGWZGMALPNIQ-UHFFFAOYSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2ncn(C)n2)CC1 ZINC001005355636 639905218 /nfs/dbraw/zinc/90/52/18/639905218.db2.gz JEJKBSFIJWQCIX-UHFFFAOYSA-N 0 1 275.356 0.375 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(=O)c(OC)co2)C1 ZINC001015278787 650549054 /nfs/dbraw/zinc/54/90/54/650549054.db2.gz QCEHYBNPIPPMRT-LLVKDONJSA-N 0 1 290.319 0.476 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC001006199822 640204301 /nfs/dbraw/zinc/20/43/01/640204301.db2.gz ZOQIQLGXOINVDI-UHFFFAOYSA-N 0 1 288.351 0.283 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(-n3cnnn3)cn2)C1 ZINC001015383673 650560312 /nfs/dbraw/zinc/56/03/12/650560312.db2.gz XJSVSDPWVNQACF-NSHDSACASA-N 0 1 299.338 0.047 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]nc2[C@@H]2CCOC2)C1 ZINC001015403142 650562697 /nfs/dbraw/zinc/56/26/97/650562697.db2.gz AFJIVOLDXAIUQY-NEPJUHHUSA-N 0 1 290.367 0.904 20 30 CCEDMN Cc1cc(COCC(=O)C(C#N)C(=O)NC(C)C)on1 ZINC000802042642 640684071 /nfs/dbraw/zinc/68/40/71/640684071.db2.gz UUBJNDJKVJRXLM-NSHDSACASA-N 0 1 279.296 0.733 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001015713895 650614666 /nfs/dbraw/zinc/61/46/66/650614666.db2.gz SLXPBMLMBAJFSV-JTQLQIEISA-N 0 1 276.340 0.494 20 30 CCEDMN CN(C)c1ncc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)n1C ZINC000811646261 640982986 /nfs/dbraw/zinc/98/29/86/640982986.db2.gz SCGZSRFDXWMTAA-TXEJJXNPSA-N 0 1 289.387 0.680 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cn(C)nc2C(F)F)N1 ZINC000812227472 640996296 /nfs/dbraw/zinc/99/62/96/640996296.db2.gz DMAZPZFCRKIWCH-LURJTMIESA-N 0 1 256.260 0.629 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001015775661 650627324 /nfs/dbraw/zinc/62/73/24/650627324.db2.gz ROZJQOCSFLXCNT-NSHDSACASA-N 0 1 268.748 0.913 20 30 CCEDMN Cn1ncc(CN=Nc2ccc([N+](=O)[O-])cn2)c1N ZINC000814805374 641076732 /nfs/dbraw/zinc/07/67/32/641076732.db2.gz CMSZFTDSYMZUCB-UHFFFAOYSA-N 0 1 261.245 0.752 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(CCC=C)nn2)C1 ZINC001015820735 650634711 /nfs/dbraw/zinc/63/47/11/650634711.db2.gz MGLLAXBKFKJLHW-CYBMUJFWSA-N 0 1 287.367 0.682 20 30 CCEDMN C[C@H](C(=O)N[C@@H]1CCCN(O)C1=O)N1CCCCCC1 ZINC000816759172 641215672 /nfs/dbraw/zinc/21/56/72/641215672.db2.gz GXPVWSKYXSIARH-VXGBXAGGSA-N 0 1 283.372 0.747 20 30 CCEDMN N#CC1(NC(=O)C[N@@H+]2CC[C@H](C(=O)[O-])C2)CCCCC1 ZINC000819084117 641378322 /nfs/dbraw/zinc/37/83/22/641378322.db2.gz AWJPKRGCZWWAND-NSHDSACASA-N 0 1 279.340 0.736 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC001015921041 650657553 /nfs/dbraw/zinc/65/75/53/650657553.db2.gz XZJBKKDMZSFHIA-NSHDSACASA-N 0 1 261.329 0.125 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001015937335 650659760 /nfs/dbraw/zinc/65/97/60/650659760.db2.gz BBYZZBGDODQRQK-GFCCVEGCSA-N 0 1 276.340 0.865 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)N[C@H]3CCN(O)C3=O)c2C1 ZINC000820120431 641458658 /nfs/dbraw/zinc/45/86/58/641458658.db2.gz NXMVAHLCCXWOBY-XCBNKYQSSA-N 0 1 278.312 0.254 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)N[C@H]1CCN(O)C1=O ZINC000820148421 641473736 /nfs/dbraw/zinc/47/37/36/641473736.db2.gz FORGCGAFOVMCHW-QMMMGPOBSA-N 0 1 266.301 0.561 20 30 CCEDMN O=C(Cc1[nH]nc2ccccc21)N[C@@H]1CCN(O)C1=O ZINC000820175476 641479325 /nfs/dbraw/zinc/47/93/25/641479325.db2.gz YPOLPTPKPOSNNN-SNVBAGLBSA-N 0 1 274.280 0.212 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)c1cc(F)cc(F)c1O ZINC000820544377 641514010 /nfs/dbraw/zinc/51/40/10/641514010.db2.gz JCYVTTQKYZAUBX-SECBINFHSA-N 0 1 286.234 0.780 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001016006671 650673283 /nfs/dbraw/zinc/67/32/83/650673283.db2.gz HRSXOKNFPSSWOT-NSHDSACASA-N 0 1 275.356 0.533 20 30 CCEDMN N#CC1(NC(=O)CN2CCCC2)CCSCC1 ZINC000821318815 641583735 /nfs/dbraw/zinc/58/37/35/641583735.db2.gz MJRLMBUQGCBPCE-UHFFFAOYSA-N 0 1 253.371 0.988 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cccnc2OCC#C)C1 ZINC001016013327 650674677 /nfs/dbraw/zinc/67/46/77/650674677.db2.gz LWJKRGGNJCGVIX-AWEZNQCLSA-N 0 1 297.358 0.921 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2c(C)csc2=O)C1 ZINC001016226765 650700423 /nfs/dbraw/zinc/70/04/23/650700423.db2.gz RZWJZBMUFKAXNQ-LLVKDONJSA-N 0 1 281.381 0.595 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001016375393 650722912 /nfs/dbraw/zinc/72/29/12/650722912.db2.gz MXTLVYYKUSKHAU-OAHLLOKOSA-N 0 1 299.374 0.542 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001016376628 650723297 /nfs/dbraw/zinc/72/32/97/650723297.db2.gz YYQFYJZZYZQPAV-AWEZNQCLSA-N 0 1 287.363 0.705 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1CSCCS1 ZINC000834479622 642571126 /nfs/dbraw/zinc/57/11/26/642571126.db2.gz GXIGYSVRSSLWSX-HRDYMLBCSA-N 0 1 257.384 0.063 20 30 CCEDMN Cc1ccc(F)c(OCC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834479239 642571156 /nfs/dbraw/zinc/57/11/56/642571156.db2.gz BJAKYHWPPFOZKF-PWSUYJOCSA-N 0 1 277.299 0.741 20 30 CCEDMN Cn1cc(C(=O)N[C@H]2CNC[C@H]2C#N)c(C(C)(C)C)n1 ZINC000834484065 642574464 /nfs/dbraw/zinc/57/44/64/642574464.db2.gz DGYKYEUJHFYSQI-KOLCDFICSA-N 0 1 275.356 0.559 20 30 CCEDMN Cc1nc(C(=O)N[C@H]2CNC[C@H]2C#N)nn1-c1ccccc1 ZINC000834484440 642575189 /nfs/dbraw/zinc/57/51/89/642575189.db2.gz IVHFACXMLCBPRR-YPMHNXCESA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC000834489193 642579570 /nfs/dbraw/zinc/57/95/70/642579570.db2.gz KOBZYTNEHJZPIF-SUZMYJTESA-N 0 1 275.283 0.357 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1CCC(=O)c2ccccc21 ZINC000834499591 642589222 /nfs/dbraw/zinc/58/92/22/642589222.db2.gz KALDFOUKGACCPU-LERXQTSPSA-N 0 1 283.331 0.974 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1CCCN1c1nccs1 ZINC000834505152 642591942 /nfs/dbraw/zinc/59/19/42/642591942.db2.gz IRHPDBRUCKRQDS-MXWKQRLJSA-N 0 1 291.380 0.340 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCC(=O)c1ccccc1 ZINC000834505148 642592395 /nfs/dbraw/zinc/59/23/95/642592395.db2.gz IPPOEXNZZPRRAC-OLZOCXBDSA-N 0 1 271.320 0.877 20 30 CCEDMN Cc1ccc(O[C@H](C)C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834506391 642594186 /nfs/dbraw/zinc/59/41/86/642594186.db2.gz SHVCJKHENOROBF-DYEKYZERSA-N 0 1 273.336 0.990 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCc1ccc(F)cc1 ZINC000834511031 642595322 /nfs/dbraw/zinc/59/53/22/642595322.db2.gz HZNVWSZHUUNLPH-AAEUAGOBSA-N 0 1 261.300 0.986 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cncc(Br)c1 ZINC000834510686 642595783 /nfs/dbraw/zinc/59/57/83/642595783.db2.gz DXBMIPYOYCEUTO-PSASIEDQSA-N 0 1 295.140 0.685 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)OCCO2 ZINC000834510523 642596161 /nfs/dbraw/zinc/59/61/61/642596161.db2.gz BYJLUDMLNSEGCF-GHMZBOCLSA-N 0 1 273.292 0.299 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc2c(c(Cl)c1)OCO2 ZINC000834511959 642596481 /nfs/dbraw/zinc/59/64/81/642596481.db2.gz QFEZBROFRLUFSZ-WPRPVWTQSA-N 0 1 293.710 0.910 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COc1ccc(Cl)cc1 ZINC000834511755 642597012 /nfs/dbraw/zinc/59/70/12/642597012.db2.gz NSEUIEIJAIEQJB-BXKDBHETSA-N 0 1 279.727 0.947 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(Br)o1 ZINC000834512325 642597222 /nfs/dbraw/zinc/59/72/22/642597222.db2.gz UWRJNQYKVBOMDX-RNFRBKRXSA-N 0 1 284.113 0.883 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCc1nc2ccccc2[nH]1 ZINC000834512583 642598730 /nfs/dbraw/zinc/59/87/30/642598730.db2.gz YYEPMRXGAJBBMD-GWCFXTLKSA-N 0 1 283.335 0.723 20 30 CCEDMN C[C@H](Oc1cccc(F)c1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834515836 642599083 /nfs/dbraw/zinc/59/90/83/642599083.db2.gz CDJYBXRFUASMGA-OUJBWJOFSA-N 0 1 277.299 0.821 20 30 CCEDMN Cc1noc(C(C)C)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834516875 642599862 /nfs/dbraw/zinc/59/98/62/642599862.db2.gz MZMZKCFGLITJDV-ZJUUUORDSA-N 0 1 262.313 0.948 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc2ccccc2[nH]c1=O ZINC000834517254 642600002 /nfs/dbraw/zinc/60/00/02/642600002.db2.gz SWJITEBXJUAFKA-GXFFZTMASA-N 0 1 282.303 0.782 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC000834517823 642602155 /nfs/dbraw/zinc/60/21/55/642602155.db2.gz ZOUCRRDTZLYEOS-BZPMIXESSA-N 0 1 298.346 0.267 20 30 CCEDMN N#CCN1CCC2(C[C@@H]2NC(=O)CN2CCCC2)CC1 ZINC001016974962 650774756 /nfs/dbraw/zinc/77/47/56/650774756.db2.gz ODQQEXRMNJINQL-ZDUSSCGKSA-N 0 1 276.384 0.576 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccc(Cl)nc1 ZINC000841075864 642801958 /nfs/dbraw/zinc/80/19/58/642801958.db2.gz NGFGUFUIRMVWJQ-VXNVDRBHSA-N 0 1 265.704 0.968 20 30 CCEDMN Cc1cn2cc(NC(=O)N[C@@H]3CNC[C@H]3C#N)ccc2n1 ZINC000841099980 642803549 /nfs/dbraw/zinc/80/35/49/642803549.db2.gz QXQGBPYJUOURFL-ZYHUDNBSSA-N 0 1 284.323 0.876 20 30 CCEDMN N#Cc1c[nH]c(=O)n(C[C@H]2CC2(Cl)Cl)c1=O ZINC000842616833 642917470 /nfs/dbraw/zinc/91/74/70/642917470.db2.gz WOUWWLXCHPAHST-ZCFIWIBFSA-N 0 1 260.080 0.602 20 30 CCEDMN C#CCC[N@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(C)c1[O-] ZINC001017480882 650804866 /nfs/dbraw/zinc/80/48/66/650804866.db2.gz URXMDXNZKHFBBI-TXEJJXNPSA-N 0 1 288.351 0.736 20 30 CCEDMN C#CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(C)c1[O-] ZINC001017480882 650804867 /nfs/dbraw/zinc/80/48/67/650804867.db2.gz URXMDXNZKHFBBI-TXEJJXNPSA-N 0 1 288.351 0.736 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1ccnn1 ZINC001017507412 650807491 /nfs/dbraw/zinc/80/74/91/650807491.db2.gz WOHNUCCORZUDLP-OKILXGFUSA-N 0 1 289.383 0.920 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000843459629 643062648 /nfs/dbraw/zinc/06/26/48/643062648.db2.gz HEWKWLJGPQYUJV-IONNQARKSA-N 0 1 275.268 0.018 20 30 CCEDMN Cc1ccc(/C=C/C(=O)N[C@@H]2CNC[C@H]2C#N)cn1 ZINC000843459500 643063601 /nfs/dbraw/zinc/06/36/01/643063601.db2.gz FNFUEILIHDWMLO-IJWDBEHRSA-N 0 1 256.309 0.631 20 30 CCEDMN Cc1cccnc1/C=C\C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000843461368 643066542 /nfs/dbraw/zinc/06/65/42/643066542.db2.gz UKPRVGFQLHOBMP-YBLMTZRHSA-N 0 1 256.309 0.631 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccc2c(c1)CCCO2 ZINC000843461889 643066702 /nfs/dbraw/zinc/06/67/02/643066702.db2.gz XUHYVVPEHWBOFH-KBPBESRZSA-N 0 1 285.347 0.782 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000843462183 643066950 /nfs/dbraw/zinc/06/69/50/643066950.db2.gz OTERPLGCPURRNZ-GMSGAONNSA-N 0 1 278.243 0.575 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCNC1=O ZINC001017612010 650813393 /nfs/dbraw/zinc/81/33/93/650813393.db2.gz DEDAFSAYVMXWAB-MELADBBJSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCC(=O)N1 ZINC001017644962 650815369 /nfs/dbraw/zinc/81/53/69/650815369.db2.gz BEGCKKKDPJIAJL-HZSPNIEDSA-N 0 1 289.379 0.354 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cn1cnc2ccccc21 ZINC000844193264 643178030 /nfs/dbraw/zinc/17/80/30/643178030.db2.gz CQJDIMMWYDEREQ-JQWIXIFHSA-N 0 1 269.308 0.264 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CNc1cccc(Cl)c1 ZINC000844195624 643179325 /nfs/dbraw/zinc/17/93/25/643179325.db2.gz XNLOCRNKDRTGGZ-BXKDBHETSA-N 0 1 278.743 0.980 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CNC(=O)C1 ZINC001017763951 650820466 /nfs/dbraw/zinc/82/04/66/650820466.db2.gz KTUQXLSTHOEPLC-ZENOOKHLSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CC[N@H+](CCN1C[C@H]2CC[C@@H](C1)O2)CC(=O)[O-] ZINC000846262628 643394783 /nfs/dbraw/zinc/39/47/83/643394783.db2.gz HFRMFLYSFQYMSC-TXEJJXNPSA-N 0 1 254.330 0.422 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)[C@@H]1CCO[C@H]1c1ccncc1 ZINC000846677603 643441430 /nfs/dbraw/zinc/44/14/30/643441430.db2.gz NXMWDAHZFJBHRA-CRWXNKLISA-N 0 1 286.335 0.387 20 30 CCEDMN N#CCOc1ccc(Cl)cc1CNC(CO)CO ZINC000846938727 643471843 /nfs/dbraw/zinc/47/18/43/643471843.db2.gz QTUFNGLHJAKRSO-UHFFFAOYSA-N 0 1 270.716 0.685 20 30 CCEDMN Cc1cc(NC(=O)NCC#CCN(C)C)ccc1C(N)=O ZINC000930219571 643533534 /nfs/dbraw/zinc/53/35/34/643533534.db2.gz KGUFYYIKSVACNU-UHFFFAOYSA-N 0 1 288.351 0.780 20 30 CCEDMN N#CCc1ccccc1CC(=O)NCCc1nc[nH]n1 ZINC000848152819 643610792 /nfs/dbraw/zinc/61/07/92/643610792.db2.gz MGXJUNOPULHNAY-UHFFFAOYSA-N 0 1 269.308 0.772 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1(C(F)(F)F)CCOCC1 ZINC000852876136 644037216 /nfs/dbraw/zinc/03/72/16/644037216.db2.gz WFQNNBMGBMNMJJ-RKDXNWHRSA-N 0 1 291.273 0.573 20 30 CCEDMN C[C@@H]1CCCCN1CC(N)=NOCC(=O)N(C)C1CC1 ZINC000111179336 644092193 /nfs/dbraw/zinc/09/21/93/644092193.db2.gz JYADLJVAFXRXIP-LLVKDONJSA-N 0 1 282.388 0.770 20 30 CCEDMN N#C[C@H](CO)NC(=O)C(F)(F)c1ccccc1F ZINC000854870289 644268122 /nfs/dbraw/zinc/26/81/22/644268122.db2.gz CXMLNUWSQACIKM-SSDOTTSWSA-N 0 1 258.199 0.918 20 30 CCEDMN Cc1nccnc1CN[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001018830905 650914327 /nfs/dbraw/zinc/91/43/27/650914327.db2.gz NYHWRUZIZLFPDG-AWEZNQCLSA-N 0 1 284.363 0.889 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@]2(CC)CCNC2=O)C1 ZINC001018989999 650927213 /nfs/dbraw/zinc/92/72/13/650927213.db2.gz BNZFDOJTYGLJML-SMDDNHRTSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001019194109 650945933 /nfs/dbraw/zinc/94/59/33/650945933.db2.gz SJBAIOLMQGMJMJ-NEPJUHHUSA-N 0 1 299.802 0.846 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@H]2CC[C@@H](C1)[S@]2=O ZINC000859886774 644738960 /nfs/dbraw/zinc/73/89/60/644738960.db2.gz RFFGAVYEBCPFOW-JJHQXXDFSA-N 0 1 256.371 0.900 20 30 CCEDMN C[C@H](C#N)COC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000860616352 644816168 /nfs/dbraw/zinc/81/61/68/644816168.db2.gz NRGQRYXTTSPHNT-MRVPVSSYSA-N 0 1 283.309 0.770 20 30 CCEDMN S=C(NC[C@@H]1CCCO1)NN=C1C[N@H+]2C[C@@H]1CCC2 ZINC000863068508 645059047 /nfs/dbraw/zinc/05/90/47/645059047.db2.gz FXDSLTHATPNZJW-QWRGUYRKSA-N 0 1 282.413 0.711 20 30 CCEDMN S=C(NC[C@@H]1CCCO1)NN=C1CN2C[C@@H]1CCC2 ZINC000863068508 645059052 /nfs/dbraw/zinc/05/90/52/645059052.db2.gz FXDSLTHATPNZJW-QWRGUYRKSA-N 0 1 282.413 0.711 20 30 CCEDMN N#Cc1cccc(OCC(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000863324257 645082180 /nfs/dbraw/zinc/08/21/80/645082180.db2.gz UNQINKUSYSPQAQ-CYBMUJFWSA-N 0 1 289.335 0.120 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2cncc3nc[nH]c32)C1 ZINC001020179131 651047140 /nfs/dbraw/zinc/04/71/40/651047140.db2.gz PHTUIRHOXDJMHE-KYZUINATSA-N 0 1 270.296 0.332 20 30 CCEDMN C[C@H](C(=O)[N-]Oc1cccc(C#N)c1)N1CC[NH+](C)CC1 ZINC000870692527 646017758 /nfs/dbraw/zinc/01/77/58/646017758.db2.gz HEYXWVHAVKZVFP-GFCCVEGCSA-N 0 1 288.351 0.604 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ncc2n1CCCC2 ZINC000870941147 646054328 /nfs/dbraw/zinc/05/43/28/646054328.db2.gz RKZQDGCBVCSPNU-MWLCHTKSSA-N 0 1 259.313 0.061 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(C(F)(F)F)ncn1 ZINC000870941322 646056061 /nfs/dbraw/zinc/05/60/61/646056061.db2.gz USVIHIPTFNJLOJ-XPUUQOCRSA-N 0 1 285.229 0.337 20 30 CCEDMN C[C@@H](N[C@@H](C)C(=O)N(C)CCC#N)c1ccnn1C ZINC000871927712 646196693 /nfs/dbraw/zinc/19/66/93/646196693.db2.gz OXUOBARSTLRILI-MNOVXSKESA-N 0 1 263.345 0.831 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2c3c(nn2C)CCCC3)N1 ZINC000872364245 646251662 /nfs/dbraw/zinc/25/16/62/646251662.db2.gz GVZJSHUMCBXJIO-SECBINFHSA-N 0 1 260.345 0.570 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H]2NCCc3cc(C#N)ccc32)CCO1 ZINC000876570374 646625745 /nfs/dbraw/zinc/62/57/45/646625745.db2.gz BFAHXVZEAUFQFF-ABAIWWIYSA-N 0 1 285.347 0.992 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1csc(NC2CC2)n1 ZINC000876801380 646669117 /nfs/dbraw/zinc/66/91/17/646669117.db2.gz BTCNDKXNMCVSAV-APPZFPTMSA-N 0 1 277.353 0.559 20 30 CCEDMN Cc1nc2sccn2c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000876801942 646669194 /nfs/dbraw/zinc/66/91/94/646669194.db2.gz KRRUQDXZDJUDDE-RKDXNWHRSA-N 0 1 275.337 0.546 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ncc2ccccn21 ZINC000876802272 646669995 /nfs/dbraw/zinc/66/99/95/646669995.db2.gz NPHYMRVAEIPUTJ-ONGXEEELSA-N 0 1 255.281 0.176 20 30 CCEDMN N#CCCCCN1CCC[C@H]2OCCNC(=O)[C@@H]21 ZINC000879720473 647100751 /nfs/dbraw/zinc/10/07/51/647100751.db2.gz YUXOOBCLYCDQCI-VXGBXAGGSA-N 0 1 251.330 0.660 20 30 CCEDMN N#CCSCCCN1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879930050 647128550 /nfs/dbraw/zinc/12/85/50/647128550.db2.gz BPEWTNBOCVYYTQ-NWDGAFQWSA-N 0 1 288.438 1.000 20 30 CCEDMN Cn1nc2c(c1C(=O)N[C@H]1CNC[C@H]1C#N)CSCC2 ZINC000884250454 647681213 /nfs/dbraw/zinc/68/12/13/647681213.db2.gz FMQJDHVNYAHISH-KCJUWKMLSA-N 0 1 291.380 0.051 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCc3n[nH]nc3C2)CCC1 ZINC000886164865 647911445 /nfs/dbraw/zinc/91/14/45/647911445.db2.gz WFIOBNIWMAQLEW-JTQLQIEISA-N 0 1 261.329 0.608 20 30 CCEDMN Cc1cc(NC(=O)NCC#CCN(C)C)c(=O)n(C)c1 ZINC000930420412 648333376 /nfs/dbraw/zinc/33/33/76/648333376.db2.gz JBZMIQOPHXCEMT-UHFFFAOYSA-N 0 1 276.340 0.380 20 30 CCEDMN C[C@H](NCCNc1ccc(C#N)cn1)c1cn(C)nn1 ZINC000927167151 648547235 /nfs/dbraw/zinc/54/72/35/648547235.db2.gz HPAPXLQBXDZOOB-JTQLQIEISA-N 0 1 271.328 0.844 20 30 CCEDMN Cc1nc(CC(=O)N[C@H](CC#N)C(F)(F)F)n[nH]1 ZINC000928804516 648662183 /nfs/dbraw/zinc/66/21/83/648662183.db2.gz XNCNWQCFECIHAM-ZCFIWIBFSA-N 0 1 261.207 0.616 20 30 CCEDMN C[C@@H]1CN(C[C@@H]2C[C@@H]3COC[C@H]3O2)C[C@H](C)N1CC#N ZINC000930829197 648911073 /nfs/dbraw/zinc/91/10/73/648911073.db2.gz QOSGFZKEHHNUOQ-QKGCVVFFSA-N 0 1 279.384 0.708 20 30 CCEDMN N#CC1(NC(=O)CN2CCc3nccc(N)c3C2)CCC1 ZINC000931519458 649000310 /nfs/dbraw/zinc/00/03/10/649000310.db2.gz UHFVBOLTKVHOQV-UHFFFAOYSA-N 0 1 285.351 0.584 20 30 CCEDMN NC(=NOCCO)c1ccc(N2CCC(O)CC2)cc1 ZINC000160009418 649331128 /nfs/dbraw/zinc/33/11/28/649331128.db2.gz BQMNNOJWZMZZIN-UHFFFAOYSA-N 0 1 279.340 0.277 20 30 CCEDMN C=CC[NH+]1CCC(N(C)C(=O)[C@@H]2C[N@H+](CC)CCO2)CC1 ZINC001006431933 649377758 /nfs/dbraw/zinc/37/77/58/649377758.db2.gz SIEARQRRQYBXPG-HNNXBMFYSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2nonc2C)CC1 ZINC001006482273 649565328 /nfs/dbraw/zinc/56/53/28/649565328.db2.gz YPXMOQVJDKZTJT-UHFFFAOYSA-N 0 1 262.313 0.548 20 30 CCEDMN Cc1ncc(C(=O)N(C)C2CN(C(=O)[C@H](C)C#N)C2)[nH]1 ZINC000953896985 649636023 /nfs/dbraw/zinc/63/60/23/649636023.db2.gz WPUXVHDLPNEAHE-MRVPVSSYSA-N 0 1 275.312 0.161 20 30 CCEDMN CC(C)OC[C@H](O)CON=C(N)CN1CCCC[C@H]1C ZINC000245508047 649644095 /nfs/dbraw/zinc/64/40/95/649644095.db2.gz RCWOEUMQRDQSNW-OLZOCXBDSA-N 0 1 287.404 0.936 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(CC#N)C[C@H]32)c1C ZINC000958428263 649806225 /nfs/dbraw/zinc/80/62/25/649806225.db2.gz WTXWJCPUFGLSDP-IAZYJMLFSA-N 0 1 259.313 0.210 20 30 CCEDMN C=CCOc1cc(C)nc(N2C[C@H]3OCCN(C)[C@@H]3C2)n1 ZINC001164668002 719395700 /nfs/dbraw/zinc/39/57/00/719395700.db2.gz PMWZXADTXIRBLJ-CHWSQXEVSA-N 0 1 290.367 0.869 20 30 CCEDMN Cc1cnc(N2C[C@@H]3[C@H](C2)OCCN3C)c(C#N)n1 ZINC001164675055 719425586 /nfs/dbraw/zinc/42/55/86/719425586.db2.gz YIHHLYDGMDFASX-NEPJUHHUSA-N 0 1 259.313 0.176 20 30 CCEDMN CN1CCO[C@H]2CN(c3nc4c(cc3C#N)CCC4)C[C@@H]21 ZINC000329903620 719439964 /nfs/dbraw/zinc/43/99/64/719439964.db2.gz IKUHSVLRCUUGLJ-GJZGRUSLSA-N 0 1 284.363 0.961 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCOCCOC)CC1 ZINC001230429305 805601929 /nfs/dbraw/zinc/60/19/29/805601929.db2.gz YEMKOYJLLIUSOV-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN C#CC(C#C)Oc1nc(NC(=O)C(C)C)nc2nc[nH]c21 ZINC001230452794 805602588 /nfs/dbraw/zinc/60/25/88/805602588.db2.gz JFRBIMALSNNSMG-UHFFFAOYSA-N 0 1 283.291 0.961 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@H](OC)C2CC2)CC1 ZINC001266262793 790352457 /nfs/dbraw/zinc/35/24/57/790352457.db2.gz OXDQQSSATSXSQJ-OAHLLOKOSA-N 0 1 295.427 0.721 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2oncc2C)CC1 ZINC001266294731 790444724 /nfs/dbraw/zinc/44/47/24/790444724.db2.gz JAXGBZMRNGTGKW-UHFFFAOYSA-N 0 1 290.367 0.354 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCc2nncn2CC1 ZINC001266298472 790457942 /nfs/dbraw/zinc/45/79/42/790457942.db2.gz JGIATGGGTKSEBW-CYBMUJFWSA-N 0 1 289.383 0.302 20 30 CCEDMN C#CCN(C)CCNC(=O)c1conc1C(F)(F)F ZINC001266299262 790459294 /nfs/dbraw/zinc/45/92/94/790459294.db2.gz XEUJHRYLYJMQIX-UHFFFAOYSA-N 0 1 275.230 0.988 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H](C)Oc1cccnc1 ZINC001266323632 790507035 /nfs/dbraw/zinc/50/70/35/790507035.db2.gz IZBLIZDFTZYJSC-GFCCVEGCSA-N 0 1 261.325 0.530 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001266338892 790540655 /nfs/dbraw/zinc/54/06/55/790540655.db2.gz FNPXSXUGICDKTD-CYBMUJFWSA-N 0 1 273.336 0.544 20 30 CCEDMN C[C@@H]1SCC[C@H]1NC(=O)NCC#CCN(C)C ZINC001230650633 805640878 /nfs/dbraw/zinc/64/08/78/805640878.db2.gz XZDTUPJDSILDAF-WDEREUQCSA-N 0 1 255.387 0.745 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCCCCNC(C)=O)C1 ZINC001266358164 790581982 /nfs/dbraw/zinc/58/19/82/790581982.db2.gz AAGFHTYMQSWMTR-CQSZACIVSA-N 0 1 279.384 0.507 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cc(N(C)C)ccn1 ZINC001266364773 790597173 /nfs/dbraw/zinc/59/71/73/790597173.db2.gz AYWVYZYEFRNWEX-UHFFFAOYSA-N 0 1 274.368 0.833 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001266468745 790761139 /nfs/dbraw/zinc/76/11/39/790761139.db2.gz XEEFSYMUUZOSFP-WFASDCNBSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)[C@]1(C)CCNC(=O)C1 ZINC001266468743 790761237 /nfs/dbraw/zinc/76/12/37/790761237.db2.gz XEEFSYMUUZOSFP-IUODEOHRSA-N 0 1 279.384 0.669 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3ncn[nH]3)CCC2)cn1 ZINC001111830052 790790309 /nfs/dbraw/zinc/79/03/09/790790309.db2.gz HCGZSEYMFDPVME-UHFFFAOYSA-N 0 1 297.322 0.836 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3nc[nH]n3)CCC2)cn1 ZINC001111830052 790790316 /nfs/dbraw/zinc/79/03/16/790790316.db2.gz HCGZSEYMFDPVME-UHFFFAOYSA-N 0 1 297.322 0.836 20 30 CCEDMN Cc1ncoc1CN[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001266508961 790821876 /nfs/dbraw/zinc/82/18/76/790821876.db2.gz LAPQDERCMXNCLF-NSHDSACASA-N 0 1 294.380 0.930 20 30 CCEDMN COCC#CCN(CCNC(=O)c1cc(C)n[nH]1)C1CC1 ZINC001266583673 790983538 /nfs/dbraw/zinc/98/35/38/790983538.db2.gz GRFYCKALEJLXLV-UHFFFAOYSA-N 0 1 290.367 0.562 20 30 CCEDMN N#Cc1ccccc1CN[C@H]1C[C@H](NC(=O)CC(N)=O)C1 ZINC001266584378 790984739 /nfs/dbraw/zinc/98/47/39/790984739.db2.gz BRFRLNYSDQCHEO-JOCQHMNTSA-N 0 1 286.335 0.170 20 30 CCEDMN CC#CCN(CCNC(=O)[C@H](O)C(C)C)C1CC1 ZINC001266590144 790992671 /nfs/dbraw/zinc/99/26/71/790992671.db2.gz CPGJMLIMRMSQLW-CYBMUJFWSA-N 0 1 252.358 0.607 20 30 CCEDMN CC#CCN(CCNC(=O)[C@@]1(F)CCOC1)C1CC1 ZINC001266606748 791016398 /nfs/dbraw/zinc/01/63/98/791016398.db2.gz CEBOTZUOETXZIH-CQSZACIVSA-N 0 1 268.332 0.719 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(=O)CC)C1=O ZINC001230977619 805703416 /nfs/dbraw/zinc/70/34/16/805703416.db2.gz NATIVRHTTGMJAZ-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1C[C@@H](C)O ZINC001231241794 805758330 /nfs/dbraw/zinc/75/83/30/805758330.db2.gz ZLCBUXUVXLGJDQ-NEPJUHHUSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CCN1C[C@@H](C)O ZINC001231241794 805758337 /nfs/dbraw/zinc/75/83/37/805758337.db2.gz ZLCBUXUVXLGJDQ-NEPJUHHUSA-N 0 1 256.346 0.103 20 30 CCEDMN CS(=O)(=O)[C@H]1C[C@@H](Nc2ccnc(CC#N)c2)C1 ZINC001168255562 814925784 /nfs/dbraw/zinc/92/57/84/814925784.db2.gz SIIDXJNGSLFPLF-TXEJJXNPSA-N 0 1 265.338 0.557 20 30 CCEDMN Cn1cc(CN(CCC#N)C2CCCC2)c(=O)n(C)c1=O ZINC001231768545 805851789 /nfs/dbraw/zinc/85/17/89/805851789.db2.gz JVDAUBTYSAOPGA-UHFFFAOYSA-N 0 1 290.367 0.742 20 30 CCEDMN CN1CCN(c2ccc(C#N)cn2)C[C@]2(CCCC(=O)N2)C1 ZINC001277686929 805960810 /nfs/dbraw/zinc/96/08/10/805960810.db2.gz ARMRKAIEESFJQE-INIZCTEOSA-N 0 1 299.378 0.744 20 30 CCEDMN CS(=O)(=O)[C@H]1CC[N@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232679252 805970308 /nfs/dbraw/zinc/97/03/08/805970308.db2.gz HQGNNZFNOAJHHT-LBPRGKRZSA-N 0 1 280.349 0.883 20 30 CCEDMN CS(=O)(=O)[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232679252 805970315 /nfs/dbraw/zinc/97/03/15/805970315.db2.gz HQGNNZFNOAJHHT-LBPRGKRZSA-N 0 1 280.349 0.883 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCNC(=O)C1 ZINC001039357668 791155014 /nfs/dbraw/zinc/15/50/14/791155014.db2.gz XRMDYHMIXMPMDT-MJBXVCDLSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CCC(C)(C)C(=O)N(C)CCNCCS(C)(=O)=O ZINC001266846666 791283586 /nfs/dbraw/zinc/28/35/86/791283586.db2.gz DAJSCLQQKYEIME-UHFFFAOYSA-N 0 1 290.429 0.681 20 30 CCEDMN Cc1oncc1CN[C@H](C)CNC(=O)CSCC#N ZINC001266923608 791354330 /nfs/dbraw/zinc/35/43/30/791354330.db2.gz LQHWLUJIUKQPIA-SECBINFHSA-N 0 1 282.369 0.834 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)NCc1cc2n(n1)CCC2 ZINC001266927970 791360222 /nfs/dbraw/zinc/36/02/22/791360222.db2.gz ODMJGMLLRMHINM-GFCCVEGCSA-N 0 1 292.383 0.626 20 30 CCEDMN Cn1ccc(CN[C@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001267004148 791435328 /nfs/dbraw/zinc/43/53/28/791435328.db2.gz BZHZLRDNEIMHNU-LBPRGKRZSA-N 0 1 293.396 0.367 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](CNCc2ncnn2C)C1 ZINC001267039727 791473027 /nfs/dbraw/zinc/47/30/27/791473027.db2.gz XBHPAZCKZTWQCK-ZDUSSCGKSA-N 0 1 289.383 0.413 20 30 CCEDMN CO[C@@H](C)CN1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038139205 791526642 /nfs/dbraw/zinc/52/66/42/791526642.db2.gz HDJYLBHTVFUGSW-JQWIXIFHSA-N 0 1 276.340 0.725 20 30 CCEDMN CN(CCNC(=O)C#CC1CC1)Cc1ccc(C(N)=O)cc1 ZINC001267194160 791654595 /nfs/dbraw/zinc/65/45/95/791654595.db2.gz JQHNFSUYUGXUSW-UHFFFAOYSA-N 0 1 299.374 0.747 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CCO[C@@H](C)C1 ZINC001267224351 791699523 /nfs/dbraw/zinc/69/95/23/791699523.db2.gz CMMOGVHXOACWRW-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C[C@@H](C)n1cccn1 ZINC001267225242 791701424 /nfs/dbraw/zinc/70/14/24/791701424.db2.gz RSMONYXZRCOAEZ-CQSZACIVSA-N 0 1 292.383 0.532 20 30 CCEDMN C=CC[N@@H+](C)CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001267226465 791703207 /nfs/dbraw/zinc/70/32/07/791703207.db2.gz UIOJGTILBOSNQV-UHFFFAOYSA-N 0 1 276.296 0.776 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H]1COC(=O)C1 ZINC001233609817 806093201 /nfs/dbraw/zinc/09/32/01/806093201.db2.gz ASTBCCHGGUQXQV-RYUDHWBXSA-N 0 1 266.341 0.658 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1cc(C)n[nH]1 ZINC001233674227 806104836 /nfs/dbraw/zinc/10/48/36/806104836.db2.gz OJFFFKVFVCWTPY-CYBMUJFWSA-N 0 1 262.357 0.979 20 30 CCEDMN C=C1O[C@H](CC)C(=O)C1Oc1c(C(=O)OC)nc[nH]c1=O ZINC001233757474 806116441 /nfs/dbraw/zinc/11/64/41/806116441.db2.gz DAOPGZIKUUICQD-SSDOTTSWSA-N 0 1 294.263 0.957 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CCN1CCCO ZINC001233937086 806149883 /nfs/dbraw/zinc/14/98/83/806149883.db2.gz LDTLDELZTNBDIY-OCCSQVGLSA-N 0 1 270.373 0.229 20 30 CCEDMN C#C[C@H](C)Oc1c(C(=O)OC)n[nH]c1C(=O)OC ZINC001234185914 806197677 /nfs/dbraw/zinc/19/76/77/806197677.db2.gz GOOTWRSPKRRGQF-LURJTMIESA-N 0 1 252.226 0.383 20 30 CCEDMN Cc1nc(CN2CC[C@H]2CN(C)C(=O)C#CC2CC2)n[nH]1 ZINC001234179939 806197697 /nfs/dbraw/zinc/19/76/97/806197697.db2.gz YQAJPJFJDPOSEL-ZDUSSCGKSA-N 0 1 287.367 0.559 20 30 CCEDMN C[C@H](O)CN1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234205909 806203928 /nfs/dbraw/zinc/20/39/28/806203928.db2.gz MKGZXLVDYOUXJV-QWHCGFSZSA-N 0 1 266.385 0.949 20 30 CCEDMN C=C(C)C(=O)NCCCNc1ncnc2c1CNCC2 ZINC001167665557 793231470 /nfs/dbraw/zinc/23/14/70/793231470.db2.gz NMYOCSZOUISDPY-UHFFFAOYSA-N 0 1 275.356 0.617 20 30 CCEDMN CCN(CC#N)CCNC(=O)c1nnc2ccccc2c1O ZINC001267251007 793244510 /nfs/dbraw/zinc/24/45/10/793244510.db2.gz RLEFBEZFKQMESH-UHFFFAOYSA-N 0 1 299.334 0.911 20 30 CCEDMN C[C@@H](CON)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234514663 806265440 /nfs/dbraw/zinc/26/54/40/806265440.db2.gz YBSGFBFASDDBDU-ZETCQYMHSA-N 0 1 260.253 0.865 20 30 CCEDMN N#Cc1ccc2nc(=O)[nH]c(OC3CCN(N)CC3)c2c1 ZINC001234530132 806266953 /nfs/dbraw/zinc/26/69/53/806266953.db2.gz IDUBZFIHAROHFS-UHFFFAOYSA-N 0 1 285.307 0.924 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1nccc(C(=O)OC)n1 ZINC001234673139 806297879 /nfs/dbraw/zinc/29/78/79/806297879.db2.gz VPKGPRFKZBXXJL-LURJTMIESA-N 0 1 264.237 0.861 20 30 CCEDMN N#CC1(C(=O)N2C[C@H]3C[C@@H](C2)N3C2CC2)CCOCC1 ZINC001277919186 806351922 /nfs/dbraw/zinc/35/19/22/806351922.db2.gz DTMHNKANOSEISC-BETUJISGSA-N 0 1 275.352 0.754 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@@H]1CCOC1 ZINC001235246308 806395068 /nfs/dbraw/zinc/39/50/68/806395068.db2.gz PLHMOYRFINWZMW-QWHCGFSZSA-N 0 1 297.399 0.152 20 30 CCEDMN CC(C)[C@H](C)CC(=O)NCCCN(C)CC(=O)NCC#N ZINC001235527308 806445169 /nfs/dbraw/zinc/44/51/69/806445169.db2.gz SOXWMUWNWGCOPN-CYBMUJFWSA-N 0 1 296.415 0.746 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H](C)COC ZINC001235651907 806482599 /nfs/dbraw/zinc/48/25/99/806482599.db2.gz SPSBXZPIXKBTGC-GFCCVEGCSA-N 0 1 285.388 0.009 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)CC(=O)NCCCC ZINC001235699503 806494092 /nfs/dbraw/zinc/49/40/92/806494092.db2.gz XNKAYNJMKYKPOL-UHFFFAOYSA-N 0 1 299.415 0.543 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)CC(=O)NCCCC ZINC001235699503 806494095 /nfs/dbraw/zinc/49/40/95/806494095.db2.gz XNKAYNJMKYKPOL-UHFFFAOYSA-N 0 1 299.415 0.543 20 30 CCEDMN O=C(NC[C@H](CO)NCC#Cc1ccccc1)c1ccn[nH]1 ZINC001278047289 806636697 /nfs/dbraw/zinc/63/66/97/806636697.db2.gz BRSZPQYSISKESP-CQSZACIVSA-N 0 1 298.346 0.142 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)NC[C@H](CO)NCC#N ZINC001278094010 806660236 /nfs/dbraw/zinc/66/02/36/806660236.db2.gz RGKFFQDDIQGCMV-LLVKDONJSA-N 0 1 296.396 0.014 20 30 CCEDMN C[C@H]1c2ncc(CC(=O)N3CC(CC#N)C3)n2CCN1C ZINC001278096630 806662418 /nfs/dbraw/zinc/66/24/18/806662418.db2.gz OPEUEALBHFAEFW-NSHDSACASA-N 0 1 287.367 0.804 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CCC(C)(F)F ZINC001278106194 806677094 /nfs/dbraw/zinc/67/70/94/806677094.db2.gz WEVFNNICNWFFMM-SNVBAGLBSA-N 0 1 262.300 0.512 20 30 CCEDMN Cn1c(-c2c[nH]nn2)nnc1N1CC[C@](F)(C#N)C1 ZINC001121465029 799050609 /nfs/dbraw/zinc/05/06/09/799050609.db2.gz VOFCVEFHNFWRNY-JTQLQIEISA-N 0 1 262.252 0.042 20 30 CCEDMN C=CCn1c(C[C@H](C)O)nnc1N1CCN(CC)CC1 ZINC001121630118 799062432 /nfs/dbraw/zinc/06/24/32/799062432.db2.gz KLVLMQSKOSCQGP-LBPRGKRZSA-N 0 1 279.388 0.529 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1[C@@H]2CCCO[C@@H]2C1(C)C ZINC001121887329 799083852 /nfs/dbraw/zinc/08/38/52/799083852.db2.gz KVFWIEQLJDNEHE-UHTWSYAYSA-N 0 1 250.342 0.529 20 30 CCEDMN CC(C)n1ccc(CC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC001121905200 799089347 /nfs/dbraw/zinc/08/93/47/799089347.db2.gz HYEVKSCFMXNFOP-JQWIXIFHSA-N 0 1 261.329 0.234 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(C(F)F)ncn1 ZINC001121931047 799098086 /nfs/dbraw/zinc/09/80/86/799098086.db2.gz BRSBHVPOPZKVTN-RCOVLWMOSA-N 0 1 267.239 0.256 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(C(F)F)ncn1 ZINC001121931044 799098943 /nfs/dbraw/zinc/09/89/43/799098943.db2.gz BRSBHVPOPZKVTN-HZGVNTEJSA-N 0 1 267.239 0.256 20 30 CCEDMN COCC(=O)NCCNCc1cc(C#N)ccc1OC ZINC001122317398 799196062 /nfs/dbraw/zinc/19/60/62/799196062.db2.gz XNOKYGKHAQWMLN-UHFFFAOYSA-N 0 1 277.324 0.419 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@]1(CO)c1ccccc1 ZINC001122570372 799266061 /nfs/dbraw/zinc/26/60/61/799266061.db2.gz PBEKPXZUBPEKFW-MRXNPFEDSA-N 0 1 272.348 0.719 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(OCC#C)cc1 ZINC001278164544 806735048 /nfs/dbraw/zinc/73/50/48/806735048.db2.gz HZCACDWYMDHXHQ-AWEZNQCLSA-N 0 1 286.331 0.012 20 30 CCEDMN C#Cc1cccc(NC(=O)CNCCNC(=O)[C@H]2C[C@@H]2C)c1 ZINC001122932824 799315285 /nfs/dbraw/zinc/31/52/85/799315285.db2.gz WTWDBOOWTTZEJZ-WFASDCNBSA-N 0 1 299.374 0.968 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001278171040 806739350 /nfs/dbraw/zinc/73/93/50/806739350.db2.gz AEOVZCLCFSRKHW-VNQPRFMTSA-N 0 1 286.375 0.798 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cnn3ccncc23)CC1 ZINC001123348515 799395378 /nfs/dbraw/zinc/39/53/78/799395378.db2.gz BPYNMXHTWVFBCC-UHFFFAOYSA-N 0 1 284.319 0.984 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C)C(C)C ZINC001123559877 799433147 /nfs/dbraw/zinc/43/31/47/799433147.db2.gz TVYQYVMUPCUXME-MELADBBJSA-N 0 1 280.416 0.578 20 30 CCEDMN N#CCSCC(=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001123792060 799475048 /nfs/dbraw/zinc/47/50/48/799475048.db2.gz GUJQLDWJGZJRRD-UHFFFAOYSA-N 0 1 294.384 0.145 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001123870043 799490803 /nfs/dbraw/zinc/49/08/03/799490803.db2.gz WVDKZUVNGJFJDH-SSDOTTSWSA-N 0 1 257.244 0.727 20 30 CCEDMN C#CCOCCN(C)C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001124133398 799564942 /nfs/dbraw/zinc/56/49/42/799564942.db2.gz RNOWRHKCIXEKJT-NSHDSACASA-N 0 1 261.325 0.623 20 30 CCEDMN C=CCCC(=O)NCCNCc1ccc(OC)nn1 ZINC001124636310 799620903 /nfs/dbraw/zinc/62/09/03/799620903.db2.gz MJKPRAFKAZWONT-UHFFFAOYSA-N 0 1 264.329 0.657 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CCn2cnnn2)c1 ZINC001137934075 799695534 /nfs/dbraw/zinc/69/55/34/799695534.db2.gz HHEYHICSVXEPFD-UHFFFAOYSA-N 0 1 258.241 0.279 20 30 CCEDMN C#CCN(C)Cc1ccc(OCC(N)=O)c(OC)c1 ZINC001138596366 799741099 /nfs/dbraw/zinc/74/10/99/799741099.db2.gz KNPCZYTVRINCSK-UHFFFAOYSA-N 0 1 262.309 0.624 20 30 CCEDMN C=CCn1cc(CN2CCc3nncn3CC2)cn1 ZINC001139768535 799839207 /nfs/dbraw/zinc/83/92/07/799839207.db2.gz PJTFFVABOCZRPV-UHFFFAOYSA-N 0 1 258.329 0.719 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H](C)OCC)C1 ZINC001149236353 800060907 /nfs/dbraw/zinc/06/09/07/800060907.db2.gz JJFDCPAGMCHFGT-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)CNC(=O)c1ccco1 ZINC001142113874 800070529 /nfs/dbraw/zinc/07/05/29/800070529.db2.gz VSQKVKZCKAOIIS-UHFFFAOYSA-N 0 1 259.225 0.243 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)COC(C)C)C1 ZINC001149348938 800251039 /nfs/dbraw/zinc/25/10/39/800251039.db2.gz JJCUORJLPUQNRJ-CYBMUJFWSA-N 0 1 270.373 0.805 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)CCOCC)C1 ZINC001149383802 800254342 /nfs/dbraw/zinc/25/43/42/800254342.db2.gz MBQHSRGQFWXZQH-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)c1cccc2nc(CO)[nH]c21 ZINC001149892925 800306327 /nfs/dbraw/zinc/30/63/27/800306327.db2.gz HBDDULXIRYZTNF-CQSZACIVSA-N 0 1 288.307 0.714 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H](F)CC)C1 ZINC001150090237 800316425 /nfs/dbraw/zinc/31/64/25/800316425.db2.gz DAYCJFPPUXMTBY-CHWSQXEVSA-N 0 1 270.348 0.965 20 30 CCEDMN O=C(Cn1cncn1)NCCNCC#Cc1ccccc1 ZINC001150261971 800328335 /nfs/dbraw/zinc/32/83/35/800328335.db2.gz RDDRXSMBCFIJPD-UHFFFAOYSA-N 0 1 283.335 0.036 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@H](CNC(=O)[C@]2(C)CCOC2)C1 ZINC001150486958 800339180 /nfs/dbraw/zinc/33/91/80/800339180.db2.gz AXKBTRINNHMBES-GDBMZVCRSA-N 0 1 294.395 0.643 20 30 CCEDMN C=C(C)CN(CC)[C@H](C)CNC(=O)CS(C)(=O)=O ZINC001152164742 800496568 /nfs/dbraw/zinc/49/65/68/800496568.db2.gz IXIARDFMKDOUIZ-LLVKDONJSA-N 0 1 276.402 0.434 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)c1n[nH]c2cccnc21 ZINC001153145016 800601322 /nfs/dbraw/zinc/60/13/22/800601322.db2.gz SLEUWFYNXJBZRA-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN C=CCC[N@H+](CC)[C@H](C)CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001154178741 800735265 /nfs/dbraw/zinc/73/52/65/800735265.db2.gz OBOXLCVJZUCJQL-SECBINFHSA-N 0 1 295.343 0.299 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2ncc(C#N)cc2F)CC1 ZINC001155160455 800921410 /nfs/dbraw/zinc/92/14/10/800921410.db2.gz VJLKFYIHWUYJGJ-GFCCVEGCSA-N 0 1 292.314 0.809 20 30 CCEDMN CCc1cnc(CNCCCNC(=O)[C@H](C)C#N)o1 ZINC001155828004 801059939 /nfs/dbraw/zinc/05/99/39/801059939.db2.gz KBVHOMWFXOCWSV-SNVBAGLBSA-N 0 1 264.329 0.993 20 30 CCEDMN CCCn1ncnc1CNCCCNC(=O)[C@@H](C)C#N ZINC001155836730 801062283 /nfs/dbraw/zinc/06/22/83/801062283.db2.gz RCAWLOZIJIRDKA-NSHDSACASA-N 0 1 278.360 0.444 20 30 CCEDMN CCn1nc(C)c(CNCCCNC(=O)[C@@H](C)C#N)n1 ZINC001155847734 801065409 /nfs/dbraw/zinc/06/54/09/801065409.db2.gz CDGMPAFSFFVQGD-JTQLQIEISA-N 0 1 278.360 0.362 20 30 CCEDMN C#CCCCCC(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001156816301 801240535 /nfs/dbraw/zinc/24/05/35/801240535.db2.gz KDRBKXMEIOHTBO-LBPRGKRZSA-N 0 1 292.387 0.561 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1nncs1 ZINC001157202513 801324523 /nfs/dbraw/zinc/32/45/23/801324523.db2.gz SRSLDIFOKJFQAE-LBPRGKRZSA-N 0 1 284.385 0.461 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCN[C@H](C)c1ncccn1 ZINC001157201331 801324893 /nfs/dbraw/zinc/32/48/93/801324893.db2.gz FYAMUCQBHZVSNX-IUODEOHRSA-N 0 1 292.383 0.961 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1nonc1C ZINC001157298972 801348768 /nfs/dbraw/zinc/34/87/68/801348768.db2.gz RKICXTOBBLNSHA-LLVKDONJSA-N 0 1 294.355 0.468 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)NC(C)=O ZINC001157493276 801399938 /nfs/dbraw/zinc/39/99/38/801399938.db2.gz CIFDULHJSTZHPW-DZGCQCFKSA-N 0 1 293.411 0.847 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@H](OC(=O)OC(C)(C)C)C1 ZINC001157591637 801429288 /nfs/dbraw/zinc/42/92/88/801429288.db2.gz LJGVRPYCFCWYQY-YGRLFVJLSA-N 0 1 282.340 0.890 20 30 CCEDMN CC(C)C#CC(=O)NCCCNCc1nnnn1C1CC1 ZINC001157632613 801441809 /nfs/dbraw/zinc/44/18/09/801441809.db2.gz HIZOYYZABLKTNC-UHFFFAOYSA-N 0 1 290.371 0.263 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCc2cc3c(cc2C1)OCO3 ZINC001157982664 801544991 /nfs/dbraw/zinc/54/49/91/801544991.db2.gz DKIIPEVUGOYNPI-HNNXBMFYSA-N 0 1 272.304 0.651 20 30 CCEDMN CCOC(=O)C1(Nc2nccnc2C#N)CCN(C)CC1 ZINC001158568828 801666914 /nfs/dbraw/zinc/66/69/14/801666914.db2.gz SQEWBCZDKWSZOM-UHFFFAOYSA-N 0 1 289.339 0.788 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1nonc1C ZINC001158955143 801728219 /nfs/dbraw/zinc/72/82/19/801728219.db2.gz AGQALDVILVFHPO-GFCCVEGCSA-N 0 1 276.340 0.938 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cn2cccn2)CC1 ZINC001159253139 801782397 /nfs/dbraw/zinc/78/23/97/801782397.db2.gz QTBUMNVLXQWCSO-UHFFFAOYSA-N 0 1 272.352 0.655 20 30 CCEDMN CC[C@@](N)(CO)Nc1nc(C#N)c(C#N)nc1Cl ZINC001159744303 801860965 /nfs/dbraw/zinc/86/09/65/801860965.db2.gz PNGZSGSYJCOQLZ-JTQLQIEISA-N 0 1 266.692 0.343 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC001160292060 801927458 /nfs/dbraw/zinc/92/74/58/801927458.db2.gz LLQMDUYIEZVKMY-AWEZNQCLSA-N 0 1 289.379 0.236 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCCC[C@@H]1c1noc(C)n1 ZINC001160548971 801968276 /nfs/dbraw/zinc/96/82/76/801968276.db2.gz KQDRAJOAQQROEQ-MFKMUULPSA-N 0 1 262.313 0.782 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2n[nH]cc2F)CC1 ZINC001161149399 802102312 /nfs/dbraw/zinc/10/23/12/802102312.db2.gz VWDYRGMLPAXDFU-UHFFFAOYSA-N 0 1 276.315 0.934 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@H](Oc2ccnc(OC)c2)C1 ZINC001161394290 802145141 /nfs/dbraw/zinc/14/51/41/802145141.db2.gz LSLGKTMMRIVANB-WFASDCNBSA-N 0 1 289.335 0.421 20 30 CCEDMN Cc1ccc(NCc2nnc3n2CCCNC3)c(C#N)n1 ZINC001163017637 802460083 /nfs/dbraw/zinc/46/00/83/802460083.db2.gz ODGWYMSESPVECQ-UHFFFAOYSA-N 0 1 283.339 0.959 20 30 CCEDMN C#Cc1cnc(NS(=O)(=O)C2CCOCC2)c(C#C)n1 ZINC001259908537 808797614 /nfs/dbraw/zinc/79/76/14/808797614.db2.gz TXZMGDXDXXOHFV-UHFFFAOYSA-N 0 1 291.332 0.360 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)C[C@H]2CCNC2=O)CC1 ZINC001279573365 809986929 /nfs/dbraw/zinc/98/69/29/809986929.db2.gz DBWJUUBIAXICDC-CQSZACIVSA-N 0 1 289.379 0.284 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(C(=O)NC)CC1 ZINC001279587210 809987697 /nfs/dbraw/zinc/98/76/97/809987697.db2.gz LPUGHMCNJKJINJ-CHWSQXEVSA-N 0 1 289.379 0.211 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1nc(C)c(C#N)cc1Cl ZINC001165441896 802845335 /nfs/dbraw/zinc/84/53/35/802845335.db2.gz FLKJMPCATLTCFD-LLVKDONJSA-N 0 1 294.742 0.866 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(Nc2ncnc3[nH]cnc32)CCC1 ZINC001111780705 811747994 /nfs/dbraw/zinc/74/79/94/811747994.db2.gz GCRLSWVHIMPDOA-VIFPVBQESA-N 0 1 299.338 0.963 20 30 CCEDMN N#Cc1c[nH]c(=O)n(Cc2ccc(CF)cc2)c1=O ZINC001166382433 802903919 /nfs/dbraw/zinc/90/39/19/802903919.db2.gz BJYFTQUTBLJVAV-UHFFFAOYSA-N 0 1 259.240 0.926 20 30 CCEDMN COCCOCCN1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001268194298 812355222 /nfs/dbraw/zinc/35/52/22/812355222.db2.gz IWCWWOTZPKBLCK-HNNXBMFYSA-N 0 1 296.411 0.889 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CSCC#N ZINC001268300359 812460258 /nfs/dbraw/zinc/46/02/58/812460258.db2.gz ORSJFBRSBRGYKH-VIFPVBQESA-N 0 1 277.777 0.062 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCNCc1cnn(C)n1 ZINC001166981420 803007370 /nfs/dbraw/zinc/00/73/70/803007370.db2.gz COTANMHGNPAYMX-OLZOCXBDSA-N 0 1 293.371 0.002 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCNCc1nncs1 ZINC001166986214 803009354 /nfs/dbraw/zinc/00/93/54/803009354.db2.gz AKZZXZVTDCIYHQ-MNOVXSKESA-N 0 1 296.396 0.725 20 30 CCEDMN C[C@@H](O)[C@@H](C)N1CCN(c2cc(C#N)ccn2)CC1 ZINC001167016677 803011570 /nfs/dbraw/zinc/01/15/70/803011570.db2.gz OAAOZZPMFSEALD-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C2(CCC)CC2)CC1 ZINC001269484384 813386878 /nfs/dbraw/zinc/38/68/78/813386878.db2.gz ITXSAYRDNXENNV-UHFFFAOYSA-N 0 1 291.395 0.555 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H](C)OC)C1 ZINC001206485377 803252166 /nfs/dbraw/zinc/25/21/66/803252166.db2.gz JDBQDWPXAUETMC-JHJVBQTASA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001270600060 813832609 /nfs/dbraw/zinc/83/26/09/813832609.db2.gz SLSITXLLWGIWOT-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#C[C@H](OCCCN1CC[NH+](C)CC1)C(=O)OCC ZINC001206589923 803271657 /nfs/dbraw/zinc/27/16/57/803271657.db2.gz IIRCOHPHPJXVDJ-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)CC[C@@H](C)OC)C1 ZINC001206895985 803300706 /nfs/dbraw/zinc/30/07/06/803300706.db2.gz RAGOEDKUSOHXDS-RBSFLKMASA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@](C)(O)C=C)C1 ZINC001206914980 803306317 /nfs/dbraw/zinc/30/63/17/803306317.db2.gz ZLTWXTWQXDNMGP-YRGRVCCFSA-N 0 1 250.342 0.383 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@](C)(O)C=C)C1 ZINC001206914979 803306717 /nfs/dbraw/zinc/30/67/17/803306717.db2.gz ZLTWXTWQXDNMGP-BZPMIXESSA-N 0 1 250.342 0.383 20 30 CCEDMN C=CCN(CC[N@H+](C)C[C@H](O)CO)C(=O)OC(C)(C)C ZINC001207202131 803367707 /nfs/dbraw/zinc/36/77/07/803367707.db2.gz NSGZSOXQHJPBKJ-LBPRGKRZSA-N 0 1 288.388 0.695 20 30 CCEDMN C=CCN(CCN(C)C[C@H](O)CO)C(=O)OC(C)(C)C ZINC001207202131 803367712 /nfs/dbraw/zinc/36/77/12/803367712.db2.gz NSGZSOXQHJPBKJ-LBPRGKRZSA-N 0 1 288.388 0.695 20 30 CCEDMN CCOC(=O)C1CO[C@H](C)C1=Nc1nccc(C#N)n1 ZINC001168157214 814763384 /nfs/dbraw/zinc/76/33/84/814763384.db2.gz GXLPNUREXVWQNS-MRVPVSSYSA-N 0 1 274.280 0.996 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@@H](NCc2nccn2C)C1 ZINC001207680987 803436278 /nfs/dbraw/zinc/43/62/78/803436278.db2.gz YDWUYKORGKLOMQ-CYBMUJFWSA-N 0 1 292.383 0.703 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccc(C(N)=O)cc1 ZINC000088475033 803479785 /nfs/dbraw/zinc/47/97/85/803479785.db2.gz WAWAZNAIAWCDIM-ZETCQYMHSA-N 0 1 253.283 0.439 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2ccnc(C)n2)C1 ZINC001208066891 803484616 /nfs/dbraw/zinc/48/46/16/803484616.db2.gz SPHSIIAXNULRLN-IUODEOHRSA-N 0 1 286.379 0.787 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COc2cccnc2)C1 ZINC001209497977 803608007 /nfs/dbraw/zinc/60/80/07/803608007.db2.gz BGALWEKHPBOXOG-TZMCWYRMSA-N 0 1 273.336 0.530 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001209713463 803624007 /nfs/dbraw/zinc/62/40/07/803624007.db2.gz LLYRTWMGXLFGCX-CHWSQXEVSA-N 0 1 290.367 0.039 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@](C)(NC(C)=O)C2CC2)C1 ZINC001210243284 803643415 /nfs/dbraw/zinc/64/34/15/803643415.db2.gz ICWZRJSNVTZMSC-DJSGYFEHSA-N 0 1 293.411 0.914 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCC(=O)NCCC)C1 ZINC001210400426 803648972 /nfs/dbraw/zinc/64/89/72/803648972.db2.gz CKLHDPZQNWYGLY-CHWSQXEVSA-N 0 1 279.384 0.363 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001213320059 803690788 /nfs/dbraw/zinc/69/07/88/803690788.db2.gz LJGSPQYHAHBJAL-JHJVBQTASA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](OC)C(C)C)[C@H](OC)C1 ZINC001213382862 803692773 /nfs/dbraw/zinc/69/27/73/803692773.db2.gz PLQOBAFVESCXNC-MGPQQGTHSA-N 0 1 282.384 0.496 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](CC)OCC)[C@H](OC)C1 ZINC001211979756 814958438 /nfs/dbraw/zinc/95/84/38/814958438.db2.gz HJGONXBYSWYDKI-HZSPNIEDSA-N 0 1 282.384 0.640 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCCC(N)=O)[C@H](OC)C1 ZINC001213981846 803708875 /nfs/dbraw/zinc/70/88/75/803708875.db2.gz OKFIVIQFNSGVSD-VXGBXAGGSA-N 0 1 283.372 0.034 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)CC(N)=O)C[C@H]1C ZINC001215314249 803792596 /nfs/dbraw/zinc/79/25/96/803792596.db2.gz IWLTWGYMILRCHB-FXPVBKGRSA-N 0 1 287.791 0.687 20 30 CCEDMN Cc1noc(NC(=O)[C@@H]2C[C@@H](F)CCN2)c1C#N ZINC001218504851 803971665 /nfs/dbraw/zinc/97/16/65/803971665.db2.gz ZUHKTLPFQLAYSS-CBAPKCEASA-N 0 1 252.249 0.883 20 30 CCEDMN N#Cc1ccc(NC(=O)[C@@H]2C[C@@H]3CC[NH2+]C[C@H]3O2)c([O-])c1 ZINC001218988955 804176173 /nfs/dbraw/zinc/17/61/73/804176173.db2.gz OXBGNGIEHSRGFB-LEWSCRJBSA-N 0 1 287.319 0.969 20 30 CCEDMN N#Cc1ccc(CNS(=O)(=O)c2ncc[nH]2)cc1 ZINC000311109125 804234790 /nfs/dbraw/zinc/23/47/90/804234790.db2.gz MBGQPIKADHFJOK-UHFFFAOYSA-N 0 1 262.294 0.760 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(CC)CC)[C@@H](O)C1 ZINC001219226694 804243171 /nfs/dbraw/zinc/24/31/71/804243171.db2.gz YDWLICNJPNLNSD-OLZOCXBDSA-N 0 1 252.358 0.607 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@@H]1O ZINC001219346872 804281734 /nfs/dbraw/zinc/28/17/34/804281734.db2.gz YVVLMZUZOLZNMK-UTUOFQBUSA-N 0 1 256.346 0.149 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CC2=CCCCC2)C[C@@H]1O ZINC001219378611 804289986 /nfs/dbraw/zinc/28/99/86/804289986.db2.gz AAVXEDGAZPVDQU-BNOWGMLFSA-N 0 1 277.368 0.808 20 30 CCEDMN N#Cc1ccc(NC(=O)C[C@@H]2COCCN2)c(C#N)c1 ZINC001219394065 804298777 /nfs/dbraw/zinc/29/87/77/804298777.db2.gz XAARDBJOKDXOQK-GFCCVEGCSA-N 0 1 270.292 0.747 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C[C@@H](C)CC)[C@@H](O)C1 ZINC001219528549 804334549 /nfs/dbraw/zinc/33/45/49/804334549.db2.gz DHYAQRSPRCEUCC-MJBXVCDLSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2ncc[nH]2)[C@@H](O)C1 ZINC001219543346 804344145 /nfs/dbraw/zinc/34/41/45/804344145.db2.gz IHGSUYQIYYFDDJ-NEPJUHHUSA-N 0 1 278.356 0.080 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001219587774 804359155 /nfs/dbraw/zinc/35/91/55/804359155.db2.gz VEYPBINCNGDTHX-KGLIPLIRSA-N 0 1 264.369 0.773 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(C[C@@H]2CCCCO2)C[C@@H]1O ZINC001219587481 804361327 /nfs/dbraw/zinc/36/13/27/804361327.db2.gz HKUSWYGIIZNTLE-ZNMIVQPWSA-N 0 1 294.395 0.376 20 30 CCEDMN CCOCCCN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219597991 804366889 /nfs/dbraw/zinc/36/68/89/804366889.db2.gz CGJADULFFURPSD-KGLIPLIRSA-N 0 1 296.411 0.624 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1CN(CCCO)C[C@@H]1O ZINC001219702561 804409189 /nfs/dbraw/zinc/40/91/89/804409189.db2.gz UDLLCTZXAMODTD-MNOVXSKESA-N 0 1 290.791 0.309 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@@H]1O ZINC001219702931 804409832 /nfs/dbraw/zinc/40/98/32/804409832.db2.gz NBAQYSKTWFPUGA-IEBDPFPHSA-N 0 1 290.791 0.307 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@@H]1O ZINC001219718318 804412924 /nfs/dbraw/zinc/41/29/24/804412924.db2.gz BDMOZCOROBWHGB-UPJWGTAASA-N 0 1 270.373 0.539 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H](C)C2CCC2)[C@@H](O)C1 ZINC001219862026 804433174 /nfs/dbraw/zinc/43/31/74/804433174.db2.gz AIKGMTMWAQUBEU-YUELXQCFSA-N 0 1 296.411 0.787 20 30 CCEDMN C#CCNC(=O)[C@@H](N)Cc1ccnc2ccccc12 ZINC001219879449 804438043 /nfs/dbraw/zinc/43/80/43/804438043.db2.gz GJBZSZAKZPEFBL-ZDUSSCGKSA-N 0 1 253.305 0.854 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN([C@H](C)COC)C[C@@H]1O ZINC001220133524 804507449 /nfs/dbraw/zinc/50/74/49/804507449.db2.gz CWFKAEYFDCJEKV-UPJWGTAASA-N 0 1 284.400 0.785 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001220203937 804536483 /nfs/dbraw/zinc/53/64/83/804536483.db2.gz VJEYXHCBPCOGDE-MCIONIFRSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@](C)(C=C)CC)[C@@H](O)C1 ZINC001220293614 804563691 /nfs/dbraw/zinc/56/36/91/804563691.db2.gz NOXRWPBBRVQIAT-IJEWVQPXSA-N 0 1 296.411 0.953 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@@H]2O)CCC1 ZINC001220295063 804564074 /nfs/dbraw/zinc/56/40/74/804564074.db2.gz GGELABZNJCNTOT-UPJWGTAASA-N 0 1 282.384 0.275 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2CC)[C@@H](O)C1 ZINC001220383035 804589146 /nfs/dbraw/zinc/58/91/46/804589146.db2.gz WDMOSTQTUFASFW-SYQHCUMBSA-N 0 1 282.384 0.396 20 30 CCEDMN C#Cc1ccc(CNC(=O)C[C@H]2COCCN2)cc1 ZINC001220382473 804589777 /nfs/dbraw/zinc/58/97/77/804589777.db2.gz XGPNDCYFKBPBPG-AWEZNQCLSA-N 0 1 258.321 0.663 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H](C)C(C)C)[C@@H](O)C1 ZINC001220461491 804609071 /nfs/dbraw/zinc/60/90/71/804609071.db2.gz UPEFGMIRKNSMJQ-MCIONIFRSA-N 0 1 284.400 0.642 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC2CCOCC2)[C@@H](O)C1 ZINC001220482087 804612361 /nfs/dbraw/zinc/61/23/61/804612361.db2.gz AHUKSDHFDJKIQW-CABCVRRESA-N 0 1 294.395 0.378 20 30 CCEDMN CN(CC[N@@H+](C)CC#Cc1ccccc1)C(=O)c1ncn[n-]1 ZINC001272138146 815026922 /nfs/dbraw/zinc/02/69/22/815026922.db2.gz QVZREDMKIIZWLE-UHFFFAOYSA-N 0 1 297.362 0.860 20 30 CCEDMN CN(CC#Cc1ccccc1)CCN(C)C(=O)c1nc[nH]n1 ZINC001272138146 815026930 /nfs/dbraw/zinc/02/69/30/815026930.db2.gz QVZREDMKIIZWLE-UHFFFAOYSA-N 0 1 297.362 0.860 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1cccc(C(N)=O)c1 ZINC001220650229 804642655 /nfs/dbraw/zinc/64/26/55/804642655.db2.gz AYJLAMCFKPLFJM-UHFFFAOYSA-N 0 1 288.351 0.150 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](NC(N)=O)C(C)(C)C ZINC001272151793 815030116 /nfs/dbraw/zinc/03/01/16/815030116.db2.gz CSIVTPUSCKBDLR-GFCCVEGCSA-N 0 1 296.415 0.483 20 30 CCEDMN N#CC1(NC(=O)[C@@H](N)Cc2ccsc2)CCOCC1 ZINC001220966774 804710473 /nfs/dbraw/zinc/71/04/73/804710473.db2.gz FPHWEJIMAVORIU-NSHDSACASA-N 0 1 279.365 0.807 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001221143824 804735789 /nfs/dbraw/zinc/73/57/89/804735789.db2.gz RZHUKQRTHGMESV-TUAOUCFPSA-N 0 1 274.336 0.098 20 30 CCEDMN CCOCCN1CC[C@@H]2CN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001221535256 804828122 /nfs/dbraw/zinc/82/81/22/804828122.db2.gz TZBRRKXHYVLMLL-HUUCEWRRSA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](C)NC(=O)CC)[C@@H]2C1 ZINC001221565946 804831856 /nfs/dbraw/zinc/83/18/56/804831856.db2.gz QJKYXWJRYSAAMI-YNEHKIRRSA-N 0 1 279.384 0.620 20 30 CCEDMN C#CCC1(C(=O)N2C[C@H]3CC[N@H+](CCO)C[C@H]32)CCC1 ZINC001221611080 804833363 /nfs/dbraw/zinc/83/33/63/804833363.db2.gz MCMXEMOZDVEROV-ZIAGYGMSSA-N 0 1 276.380 0.705 20 30 CCEDMN C#CCC1(C(=O)N2C[C@H]3CCN(CCO)C[C@H]32)CCC1 ZINC001221611080 804833369 /nfs/dbraw/zinc/83/33/69/804833369.db2.gz MCMXEMOZDVEROV-ZIAGYGMSSA-N 0 1 276.380 0.705 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)COCC(F)F)[C@@H]2C1 ZINC001221710770 804837944 /nfs/dbraw/zinc/83/79/44/804837944.db2.gz IGMLCDAVZBHADD-GHMZBOCLSA-N 0 1 274.311 0.987 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCN1CCc1ccnn1C ZINC001276834319 804929977 /nfs/dbraw/zinc/92/99/77/804929977.db2.gz KSIHARNNHVUWJT-HNNXBMFYSA-N 0 1 288.395 0.957 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001276836508 804932658 /nfs/dbraw/zinc/93/26/58/804932658.db2.gz WWFNUNVOGUEIOU-NSHDSACASA-N 0 1 294.355 0.430 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001276836508 804932659 /nfs/dbraw/zinc/93/26/59/804932659.db2.gz WWFNUNVOGUEIOU-NSHDSACASA-N 0 1 294.355 0.430 20 30 CCEDMN C#CCCCCC(=O)NCC1CCN([C@@H](C)C(N)=O)CC1 ZINC001222913935 804939149 /nfs/dbraw/zinc/93/91/49/804939149.db2.gz ATUFTPPBBAQBQM-ZDUSSCGKSA-N 0 1 293.411 0.882 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001276875459 804962886 /nfs/dbraw/zinc/96/28/86/804962886.db2.gz NIXCXJFSCKIHPP-WFASDCNBSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)CN1CCCCC1=O ZINC001276883810 804967459 /nfs/dbraw/zinc/96/74/59/804967459.db2.gz NFTBSTNWKVJZDP-ZDUSSCGKSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCCCCCN1CC[C@H]1CNC(=O)c1nnn(C)n1 ZINC001276900921 804981207 /nfs/dbraw/zinc/98/12/07/804981207.db2.gz SUPYWAPKVWXEKQ-LBPRGKRZSA-N 0 1 292.387 0.761 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001276940554 805002220 /nfs/dbraw/zinc/00/22/20/805002220.db2.gz KSNLENGHKRNPKL-GXTWGEPZSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2)[C@H]1C ZINC001088646321 815046320 /nfs/dbraw/zinc/04/63/20/815046320.db2.gz NSVSYWVCOWNBGN-GRYCIOLGSA-N 0 1 265.357 0.420 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc[nH]c2=O)[C@H]1C ZINC001088649150 815048383 /nfs/dbraw/zinc/04/83/83/815048383.db2.gz MERRRLLMFOUABU-KOLCDFICSA-N 0 1 260.297 0.008 20 30 CCEDMN C=CCN1CC[C@@H](NC2(CNC(=O)CC)CC2)C1=O ZINC001276985259 805062429 /nfs/dbraw/zinc/06/24/29/805062429.db2.gz AKAVINYVRJMCHD-LLVKDONJSA-N 0 1 265.357 0.422 20 30 CCEDMN N#CCN1CCC(CNC(=O)Cc2cnc[nH]2)CC1 ZINC001224657121 805067930 /nfs/dbraw/zinc/06/79/30/805067930.db2.gz WACSDHHMIBFRAB-UHFFFAOYSA-N 0 1 261.329 0.304 20 30 CCEDMN CN1[C@@H]2C[C@@H](OCCCC[P@](=O)([O-])O)C[C@H]1[C@@H]1O[C@@H]12 ZINC001225019175 805099781 /nfs/dbraw/zinc/09/97/81/805099781.db2.gz UHCRBICMPVQFOK-YZCVJGAOSA-N 0 1 291.284 0.573 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C2=CCCC2)CC1 ZINC001277036167 805123116 /nfs/dbraw/zinc/12/31/16/805123116.db2.gz IPBQVPAWJRPCSI-UHFFFAOYSA-N 0 1 275.352 0.085 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)[C@H]1C ZINC001088659242 815050668 /nfs/dbraw/zinc/05/06/68/815050668.db2.gz NDAHFKKTBMWRGE-WWGRRREGSA-N 0 1 291.395 0.505 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ccncc2)CC1 ZINC001225796228 805163804 /nfs/dbraw/zinc/16/38/04/805163804.db2.gz TWLFAVMYLVYUJL-UHFFFAOYSA-N 0 1 287.363 0.926 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCn3nccc32)[C@H]1C ZINC001088676651 815054188 /nfs/dbraw/zinc/05/41/88/815054188.db2.gz NKKWCMPHPAFHGL-RDBSUJKOSA-N 0 1 286.379 0.973 20 30 CCEDMN CCO[C@@H](C)C(=O)NC1CCN(CC#CCOC)CC1 ZINC001226211444 805205528 /nfs/dbraw/zinc/20/55/28/805205528.db2.gz VNUHMVAYXLMONB-ZDUSSCGKSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCCCCC(=O)NC1CCN([C@@H](C)C(=O)NC)CC1 ZINC001226951953 805300093 /nfs/dbraw/zinc/30/00/93/805300093.db2.gz OVGNJDNVIOWLJD-ZDUSSCGKSA-N 0 1 293.411 0.895 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H](OC)C2CC2)CC1 ZINC001227161458 805328675 /nfs/dbraw/zinc/32/86/75/805328675.db2.gz HZDKCIBPYFQUGI-HNNXBMFYSA-N 0 1 294.395 0.642 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCc2ccn(C)n2)CC1 ZINC001227637510 805374184 /nfs/dbraw/zinc/37/41/84/805374184.db2.gz MCLDEVQQSKBOGJ-UHFFFAOYSA-N 0 1 288.395 0.957 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2oncc2C)CC1 ZINC001229870101 805562516 /nfs/dbraw/zinc/56/25/16/805562516.db2.gz CGNMPGUVJJJXMW-UHFFFAOYSA-N 0 1 291.351 0.827 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCCCC(N)=O)CC1 ZINC001229951924 805568176 /nfs/dbraw/zinc/56/81/76/805568176.db2.gz YAYHTZMPTDGSKK-UHFFFAOYSA-N 0 1 279.384 0.636 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)o2)[C@H]1C ZINC001088729324 815121262 /nfs/dbraw/zinc/12/12/62/815121262.db2.gz UYTHWCWVPNOVIZ-ZJUUUORDSA-N 0 1 277.324 0.757 20 30 CCEDMN N#Cc1ccc(-c2noc([C@H]3C[C@@H](O)CN3)n2)nc1 ZINC001248175899 807506959 /nfs/dbraw/zinc/50/69/59/807506959.db2.gz OVELDRHRLVPSBX-PSASIEDQSA-N 0 1 257.253 0.399 20 30 CCEDMN N#C[C@H]1CN(C[C@@H](O)COc2cccc(F)c2)CCC1=O ZINC001250804481 807615903 /nfs/dbraw/zinc/61/59/03/807615903.db2.gz VDLGIEVZENNGKT-WCQYABFASA-N 0 1 292.310 0.980 20 30 CCEDMN C=CCN1CCC[C@H](NC[C@@H](O)C(F)(F)F)C1=O ZINC001250993329 807631734 /nfs/dbraw/zinc/63/17/34/807631734.db2.gz SFDUCJIQSOLDRW-DTWKUNHWSA-N 0 1 266.263 0.676 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H](CO)CC(C)(C)C ZINC001251708657 807696804 /nfs/dbraw/zinc/69/68/04/807696804.db2.gz WFCURQOGJKAVDE-GFCCVEGCSA-N 0 1 269.389 0.648 20 30 CCEDMN CCNC(=O)CCCC(=O)NC1(C#N)CCN(C)CC1 ZINC001251801306 807706995 /nfs/dbraw/zinc/70/69/95/807706995.db2.gz JMHJSLIRPOJLFF-UHFFFAOYSA-N 0 1 280.372 0.397 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCc2cc(C#N)ccc2C1 ZINC001251832996 807714486 /nfs/dbraw/zinc/71/44/86/807714486.db2.gz WSWNJGCPCZNHBR-INIZCTEOSA-N 0 1 270.332 0.927 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(Br)ccn1 ZINC001251884839 807733931 /nfs/dbraw/zinc/73/39/31/807733931.db2.gz IEQKECRBMWRADM-LBPRGKRZSA-N 0 1 299.168 0.944 20 30 CCEDMN C#CCOCCC(=O)NC[C@@]1(C)CCCN(CC#C)C1 ZINC001278660031 807809728 /nfs/dbraw/zinc/80/97/28/807809728.db2.gz ZJTIPOUFZFJUHZ-MRXNPFEDSA-N 0 1 276.380 0.878 20 30 CCEDMN C=CCOC[C@H](O)CN[C@@H](C)c1nnc2ccccn21 ZINC001252451043 807869086 /nfs/dbraw/zinc/86/90/86/807869086.db2.gz LBIFNYPDABGTGO-NWDGAFQWSA-N 0 1 276.340 0.943 20 30 CCEDMN C=CCOC[C@H](O)CN1CCN(c2cccnn2)CC1 ZINC001252469016 807878791 /nfs/dbraw/zinc/87/87/91/807878791.db2.gz TXGIENKGYLZYEP-CYBMUJFWSA-N 0 1 278.356 0.162 20 30 CCEDMN C=CCOC[C@H](O)CN1CCC[C@H](NC(=O)OCC=C)C1 ZINC001252471680 807884088 /nfs/dbraw/zinc/88/40/88/807884088.db2.gz GHSQXRLKYVENEC-UONOGXRCSA-N 0 1 298.383 0.927 20 30 CCEDMN C=C[C@@](C)(O)CN1CCCn2nnc(COCC)c2C1 ZINC001252550001 807908113 /nfs/dbraw/zinc/90/81/13/807908113.db2.gz IQSUENRYCVETGL-CQSZACIVSA-N 0 1 280.372 0.957 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(C(=O)C2CCC2)CC1 ZINC001252560949 807911330 /nfs/dbraw/zinc/91/13/30/807911330.db2.gz WDYNVZBXGKJJJS-CQSZACIVSA-N 0 1 252.358 0.868 20 30 CCEDMN C=C[C@](C)(O)CN[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC001252571634 807912946 /nfs/dbraw/zinc/91/29/46/807912946.db2.gz IUIZCYDQFBGFQC-JQWIXIFHSA-N 0 1 253.302 0.020 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cncn3C)[C@@H]2C1 ZINC001075996974 815161715 /nfs/dbraw/zinc/16/17/15/815161715.db2.gz ISCHCJPDYSAYAP-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cn(C)ccc1=O ZINC001038493796 815179402 /nfs/dbraw/zinc/17/94/02/815179402.db2.gz PZEAMPFQDZKGNF-GFCCVEGCSA-N 0 1 275.352 0.766 20 30 CCEDMN Cc1nnsc1CNCCNC(=O)C#CC1CC1 ZINC001126880922 815179916 /nfs/dbraw/zinc/17/99/16/815179916.db2.gz GYSCHHCDEFDDNL-UHFFFAOYSA-N 0 1 264.354 0.466 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001278771776 808200219 /nfs/dbraw/zinc/20/02/19/808200219.db2.gz STHJVWVHHGGEPC-HNNXBMFYSA-N 0 1 286.335 0.076 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2(C(F)F)CC2)C1 ZINC001278776614 808207432 /nfs/dbraw/zinc/20/74/32/808207432.db2.gz KYERQJUYICZLSY-LBPRGKRZSA-N 0 1 272.295 0.218 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@]1(O)CC[N@H+](CC#CCOC)C1 ZINC001278782539 808237090 /nfs/dbraw/zinc/23/70/90/808237090.db2.gz YKFWCASCTKTCSM-INIZCTEOSA-N 0 1 294.395 0.546 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@]1(O)CCN(CC#CCOC)C1 ZINC001278782539 808237092 /nfs/dbraw/zinc/23/70/92/808237092.db2.gz YKFWCASCTKTCSM-INIZCTEOSA-N 0 1 294.395 0.546 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccn(C)c2C)C1 ZINC001278805949 808276296 /nfs/dbraw/zinc/27/62/96/808276296.db2.gz SZDOAQOPAYKCCV-HNNXBMFYSA-N 0 1 275.352 0.133 20 30 CCEDMN CC[C@H](CC#N)NCCOCCOCCOCCOC ZINC001255156524 808324485 /nfs/dbraw/zinc/32/44/85/808324485.db2.gz LIQHMVXVIWWHPY-CQSZACIVSA-N 0 1 288.388 0.964 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC001255361348 808350961 /nfs/dbraw/zinc/35/09/61/808350961.db2.gz VEVAQFSLCLFWQY-STQMWFEESA-N 0 1 299.415 0.674 20 30 CCEDMN COc1ccc(C(=NO)N[C@H]2CCN(C)C2)nc1 ZINC001255418697 808357589 /nfs/dbraw/zinc/35/75/89/808357589.db2.gz XKGWQBAQGKHBDT-VIFPVBQESA-N 0 1 250.302 0.520 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1cnc(C)n1C ZINC001278868963 808399677 /nfs/dbraw/zinc/39/96/77/808399677.db2.gz LBNGHLHDNOJPAF-ZDUSSCGKSA-N 0 1 292.383 0.117 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C[C@H]1CCOC1 ZINC001278873722 808411007 /nfs/dbraw/zinc/41/10/07/808411007.db2.gz NJTIEVRHXUEGQA-KGLIPLIRSA-N 0 1 282.384 0.187 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(c3nc(C)no3)C2)CC1 ZINC001255877693 808436699 /nfs/dbraw/zinc/43/66/99/808436699.db2.gz WPSDEJGDZIBZPR-UHFFFAOYSA-N 0 1 288.351 0.649 20 30 CCEDMN Cc1nc2cccc(C(=O)NCC3(O)CN(CC#N)C3)c2[nH]1 ZINC001278918280 808476500 /nfs/dbraw/zinc/47/65/00/808476500.db2.gz BISCQTUOUXELDZ-UHFFFAOYSA-N 0 1 299.334 0.171 20 30 CCEDMN C#CCN[C@H]1C[C@H](C(=O)OC)N(C(=O)OC(C)(C)C)C1 ZINC001256248238 808485710 /nfs/dbraw/zinc/48/57/10/808485710.db2.gz CDOTTWIRMWDGBB-WDEREUQCSA-N 0 1 282.340 0.760 20 30 CCEDMN C[C@@H](CC(=O)N1CCOCC1)N1CC[C@](C)(C#N)C1 ZINC001256349704 808512706 /nfs/dbraw/zinc/51/27/06/808512706.db2.gz OOUGDAYXVAOVRV-GXTWGEPZSA-N 0 1 265.357 0.859 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)CCc1nccs1 ZINC001256586010 808537865 /nfs/dbraw/zinc/53/78/65/808537865.db2.gz ZNZMYRLBWHLLNC-UHFFFAOYSA-N 0 1 280.397 0.892 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc(CC)n2)[C@@H](O)C1 ZINC001083529058 815217445 /nfs/dbraw/zinc/21/74/45/815217445.db2.gz YBEGNNKDTZTHLR-CABCVRRESA-N 0 1 287.363 0.442 20 30 CCEDMN Cc1nc([C@H](C)N2CCN(C(=O)C#CC3CC3)CC2)n[nH]1 ZINC001258291663 808669639 /nfs/dbraw/zinc/66/96/39/808669639.db2.gz CSJDORJYQYVQHH-NSHDSACASA-N 0 1 287.367 0.732 20 30 CCEDMN CC(C)(C)C#CC(=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001126912072 815228568 /nfs/dbraw/zinc/22/85/68/815228568.db2.gz BJUOKKLKJCDLPE-UHFFFAOYSA-N 0 1 289.383 0.937 20 30 CCEDMN C=CCS(=O)(=O)Nc1cnccc1N1CCN(C)CC1 ZINC001259923589 808805658 /nfs/dbraw/zinc/80/56/58/808805658.db2.gz RLXMPVCHXDAHCV-UHFFFAOYSA-N 0 1 296.396 0.761 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2CCN(C(=O)c3csnn3)[C@@H]2C1 ZINC001076097706 815243183 /nfs/dbraw/zinc/24/31/83/815243183.db2.gz WSVWIILIOTZNPI-GXSJLCMTSA-N 0 1 264.354 0.870 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3csnn3)[C@@H]2C1 ZINC001076097706 815243189 /nfs/dbraw/zinc/24/31/89/815243189.db2.gz WSVWIILIOTZNPI-GXSJLCMTSA-N 0 1 264.354 0.870 20 30 CCEDMN Cc1cc(OCC(=O)N2CCNCC2)ccc1C#N ZINC001261457921 808982719 /nfs/dbraw/zinc/98/27/19/808982719.db2.gz DSEPPSBLLDIILG-UHFFFAOYSA-N 0 1 259.309 0.677 20 30 CCEDMN N#CC1(NC(=O)CCc2c[nH]nn2)CCSCC1 ZINC001261854843 809111521 /nfs/dbraw/zinc/11/15/21/809111521.db2.gz XNJGDGLQPXDIEK-UHFFFAOYSA-N 0 1 265.342 0.643 20 30 CCEDMN N#CC1(NC(=O)CCc2cnn[nH]2)CCSCC1 ZINC001261854843 809111526 /nfs/dbraw/zinc/11/15/26/809111526.db2.gz XNJGDGLQPXDIEK-UHFFFAOYSA-N 0 1 265.342 0.643 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](OC)C[C@@]2(C)CO)CC1 ZINC001261884982 809119786 /nfs/dbraw/zinc/11/97/86/809119786.db2.gz UWWSALWWFASLDE-HOCLYGCPSA-N 0 1 294.395 0.330 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N[C@H]2CCN(C3CC3)C2)C1 ZINC001261943800 809135993 /nfs/dbraw/zinc/13/59/93/809135993.db2.gz GOIMLKIFVVZNEI-OLZOCXBDSA-N 0 1 291.395 0.764 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)c3cc(C4CC4)[nH]n3)[C@@H]2C1 ZINC001076279718 815270441 /nfs/dbraw/zinc/27/04/41/815270441.db2.gz KSRRPIDLGBGUGY-SMDDNHRTSA-N 0 1 285.351 0.957 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cn3cc(Cl)cn3)[C@@H]2C1 ZINC001076301118 815272640 /nfs/dbraw/zinc/27/26/40/815272640.db2.gz HNQPSOSDNGPJFI-WCQYABFASA-N 0 1 292.770 0.702 20 30 CCEDMN C=CCCC[C@H](C)NC(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001262238797 809256204 /nfs/dbraw/zinc/25/62/04/809256204.db2.gz JNTUGVDTTSXQII-VIFPVBQESA-N 0 1 279.344 0.590 20 30 CCEDMN COc1cc(CN[C@]2(C)CCNC2=O)ccc1C#N ZINC001262377870 809296286 /nfs/dbraw/zinc/29/62/86/809296286.db2.gz KHORDOSKAGYOOM-CQSZACIVSA-N 0 1 259.309 0.935 20 30 CCEDMN CC#CC[C@@H](CO)NCCS(=O)(=O)c1ccc(F)cc1 ZINC001262571330 809349724 /nfs/dbraw/zinc/34/97/24/809349724.db2.gz RQWIDXDJUIBDOA-ZDUSSCGKSA-N 0 1 299.367 0.963 20 30 CCEDMN Cc1cc(COCC(=O)NCC#CCN(C)C)no1 ZINC001262636683 809381134 /nfs/dbraw/zinc/38/11/34/809381134.db2.gz YNXFBOSIZQHCLS-UHFFFAOYSA-N 0 1 265.313 0.181 20 30 CCEDMN COc1c(F)nccc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC001263105234 809446623 /nfs/dbraw/zinc/44/66/23/809446623.db2.gz IMVMESJNOZUALZ-APPZFPTMSA-N 0 1 264.260 0.071 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC1CC1)NC(=O)[C@H]1CCCN1C ZINC001076423386 815294341 /nfs/dbraw/zinc/29/43/41/815294341.db2.gz HOPZTTIJNIHUNE-GXTWGEPZSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H](CC)NC(C)=O)CC1 ZINC001263621321 809542606 /nfs/dbraw/zinc/54/26/06/809542606.db2.gz YPMAKISKURJWRG-CYBMUJFWSA-N 0 1 266.341 0.542 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001263731757 809560259 /nfs/dbraw/zinc/56/02/59/809560259.db2.gz NXKATBTYZHANAP-MBNYWOFBSA-N 0 1 299.371 0.017 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C)C(C)(C)C ZINC001263778585 809569474 /nfs/dbraw/zinc/56/94/74/809569474.db2.gz NNZJABOIWZBHPI-MJBXVCDLSA-N 0 1 294.443 0.968 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001263909933 809604404 /nfs/dbraw/zinc/60/44/04/809604404.db2.gz KKNGVNBIXMXZMD-GXSJLCMTSA-N 0 1 253.302 0.541 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)C(C)(C)F)n1 ZINC001264023710 809623894 /nfs/dbraw/zinc/62/38/94/809623894.db2.gz CVWFEUSGINMSKA-UHFFFAOYSA-N 0 1 280.303 0.269 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)Cc1nnc(C)o1 ZINC001264181846 809636172 /nfs/dbraw/zinc/63/61/72/809636172.db2.gz BAWKLYDFAOJPBE-ZDUSSCGKSA-N 0 1 290.367 0.867 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)c1cncn1C ZINC001264364343 809643987 /nfs/dbraw/zinc/64/39/87/809643987.db2.gz AAUHBFFMHNIXGS-CYBMUJFWSA-N 0 1 292.383 0.769 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)C[C@@H]1CCCO1 ZINC001264661295 809669917 /nfs/dbraw/zinc/66/99/17/809669917.db2.gz KISCXLQJOGPOEZ-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H]1CC12CCC2 ZINC001265041022 809698415 /nfs/dbraw/zinc/69/84/15/809698415.db2.gz KIHMWPCWKODJAQ-ZDUSSCGKSA-N 0 1 293.411 0.917 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2ccoc2)CC1 ZINC001265256457 809733903 /nfs/dbraw/zinc/73/39/03/809733903.db2.gz YHHSOSYMSAVYKL-UHFFFAOYSA-N 0 1 275.352 0.650 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@H]2CO[C@H](C)C2)CC1 ZINC001265259765 809735174 /nfs/dbraw/zinc/73/51/74/809735174.db2.gz KUUNMRLPSAMHFL-HUUCEWRRSA-N 0 1 295.427 0.721 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)CCCCc2cn[nH]n2)C1 ZINC001265294925 809748907 /nfs/dbraw/zinc/74/89/07/809748907.db2.gz MHPCXEOBLJWCEM-LBPRGKRZSA-N 0 1 276.344 0.232 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CC12CCN(CC(N)=O)CC2 ZINC001265328301 809758758 /nfs/dbraw/zinc/75/87/58/809758758.db2.gz YMGVWFKAOSYFPC-GFCCVEGCSA-N 0 1 277.368 0.102 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@@H](NCC#N)C1CC1 ZINC001265382002 809769278 /nfs/dbraw/zinc/76/92/78/809769278.db2.gz GLVDDNYUZPPWCG-ZIAGYGMSSA-N 0 1 278.400 0.869 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OCC)[C@H]1CCOC1 ZINC001265719536 809830983 /nfs/dbraw/zinc/83/09/83/809830983.db2.gz NSTQOKBHUUFEFV-VQJWOFKYSA-N 0 1 292.379 0.108 20 30 CCEDMN CC#CCN(C)CCCNC(=O)c1c[nH]c(C)cc1=O ZINC001265947955 809903190 /nfs/dbraw/zinc/90/31/90/809903190.db2.gz FHIIMNQMHPYWFE-UHFFFAOYSA-N 0 1 275.352 0.758 20 30 CCEDMN C=CCNC(=O)CNC[C@H](O)c1ccc(F)cc1 ZINC000393819699 809915127 /nfs/dbraw/zinc/91/51/27/809915127.db2.gz NYLBXSDLAZDPOU-LBPRGKRZSA-N 0 1 252.289 0.751 20 30 CCEDMN C[C@@H](C(N)=O)N(CCCNC(=O)C#CC1CC1)C1CC1 ZINC001266135469 809945016 /nfs/dbraw/zinc/94/50/16/809945016.db2.gz LFIDXPPFSKEKEM-NSHDSACASA-N 0 1 277.368 0.244 20 30 CCEDMN C=CC(C)(C)CC(=O)NCC[C@@H](C)NCc1nnnn1C ZINC001266147334 809948747 /nfs/dbraw/zinc/94/87/47/809948747.db2.gz YXMNUYAGDJVASM-LLVKDONJSA-N 0 1 294.403 0.797 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)COCc2ccnn2C)C1 ZINC001266225580 809967287 /nfs/dbraw/zinc/96/72/87/809967287.db2.gz PTZPAWZUWZUAHG-ZDUSSCGKSA-N 0 1 292.383 0.703 20 30 CCEDMN C=CCCC(=O)N[C@]1(CO)CCCN(CCOCC)C1 ZINC001279470491 809981618 /nfs/dbraw/zinc/98/16/18/809981618.db2.gz GJJCCTPXOJKDFO-OAHLLOKOSA-N 0 1 284.400 0.932 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1nonc1C ZINC001279920196 810000283 /nfs/dbraw/zinc/00/02/83/810000283.db2.gz RGAHKSAQWRJKJC-UHFFFAOYSA-N 0 1 288.735 0.155 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2CC(F)(F)C2)C1 ZINC001076862163 815395879 /nfs/dbraw/zinc/39/58/79/815395879.db2.gz QERZDYWITODSGI-GHMZBOCLSA-N 0 1 272.295 0.216 20 30 CCEDMN CC(C)=CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001076889912 815408809 /nfs/dbraw/zinc/40/88/09/815408809.db2.gz ABSOMMRQOTZSFJ-ZIAGYGMSSA-N 0 1 288.351 0.627 20 30 CCEDMN CC(C)=CCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001076889912 815408812 /nfs/dbraw/zinc/40/88/12/815408812.db2.gz ABSOMMRQOTZSFJ-ZIAGYGMSSA-N 0 1 288.351 0.627 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CCC2(F)F)[C@@H](O)C1 ZINC001083555946 815416380 /nfs/dbraw/zinc/41/63/80/815416380.db2.gz IRKLQKNNRLDGPD-AXFHLTTASA-N 0 1 274.311 0.769 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@@H]1COC(=O)C1 ZINC001125676931 811073296 /nfs/dbraw/zinc/07/32/96/811073296.db2.gz ZCWSLWLLFQHSMR-VIFPVBQESA-N 0 1 260.721 0.398 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@]1(C)CCNC(=O)C1 ZINC001267271558 811074940 /nfs/dbraw/zinc/07/49/40/811074940.db2.gz XUZNKVGVNKYSCA-SWLSCSKDSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)[C@H](C)SC)C1 ZINC001267288373 811100506 /nfs/dbraw/zinc/10/05/06/811100506.db2.gz KOQXISVTWNTTON-RYUDHWBXSA-N 0 1 299.440 0.478 20 30 CCEDMN CCCN(CCNC(=O)C#CC1CC1)[C@H](C)C(N)=O ZINC001267313065 811137589 /nfs/dbraw/zinc/13/75/89/811137589.db2.gz XOCNUTRBULSMNG-LLVKDONJSA-N 0 1 265.357 0.102 20 30 CCEDMN COc1ccc(-n2c(C)ncc(CN)c2=N)nc1CC#N ZINC001167673525 811162226 /nfs/dbraw/zinc/16/22/26/811162226.db2.gz ZSWSKPUXEKSAIV-UHFFFAOYSA-N 0 1 284.323 0.589 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H](C)c1cnn(C)c1)C1CC1 ZINC001267339227 811175329 /nfs/dbraw/zinc/17/53/29/811175329.db2.gz YUSKZJNYRNCPEY-LBPRGKRZSA-N 0 1 274.368 0.737 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1ncc(OC)cn1 ZINC001267400471 811280623 /nfs/dbraw/zinc/28/06/23/811280623.db2.gz QSBMYQOLVZKYEV-UHFFFAOYSA-N 0 1 284.747 0.899 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@H]2CC[C@@H](C1)N2CCOC ZINC001267401110 811281296 /nfs/dbraw/zinc/28/12/96/811281296.db2.gz KRNUMLNDNHUANZ-GUTXKFCHSA-N 0 1 282.384 0.635 20 30 CCEDMN C=CCOCC(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@H](C)O ZINC001267397096 811283879 /nfs/dbraw/zinc/28/38/79/811283879.db2.gz LVQOGQFXNVXBFF-RWMBFGLXSA-N 0 1 268.357 0.245 20 30 CCEDMN N#Cc1nccnc1N1CC[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001058562495 811320453 /nfs/dbraw/zinc/32/04/53/811320453.db2.gz LMWRHEIOIBZBAE-SNVBAGLBSA-N 0 1 297.322 0.009 20 30 CCEDMN C=CCN1CC[C@H](N(C)C2CCS(=O)CC2)C1=O ZINC001167686149 811337100 /nfs/dbraw/zinc/33/71/00/811337100.db2.gz OBAYNCJAXWVCIC-LASWEOPPSA-N 0 1 270.398 0.616 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2nn(C)cc2Cl)C1 ZINC001077054623 815439852 /nfs/dbraw/zinc/43/98/52/815439852.db2.gz UJINERMKOPVERW-GHMZBOCLSA-N 0 1 298.774 0.425 20 30 CCEDMN N#Cc1ccc(C(=O)NCCNCc2cncs2)[nH]1 ZINC001125784135 811341108 /nfs/dbraw/zinc/34/11/08/811341108.db2.gz WVOKQRVAXJICFA-UHFFFAOYSA-N 0 1 275.337 0.862 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccncc3)[C@@H]2C1 ZINC001075486777 811348723 /nfs/dbraw/zinc/34/87/23/811348723.db2.gz PKGSRRKWWSZJIM-UONOGXRCSA-N 0 1 255.321 0.861 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1cn[nH]c1-c1ccccn1 ZINC001038325304 811520248 /nfs/dbraw/zinc/52/02/48/811520248.db2.gz GJZJDELHYQQDLH-LLVKDONJSA-N 0 1 296.334 0.799 20 30 CCEDMN C[C@@H](O)CN1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001267621702 811578859 /nfs/dbraw/zinc/57/88/59/811578859.db2.gz WSPXDZKSVNQAKV-MNOVXSKESA-N 0 1 271.386 0.205 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@H](NC(=O)c2cn(C)nn2)C1 ZINC001267624942 811583838 /nfs/dbraw/zinc/58/38/38/811583838.db2.gz QUTPVGCEKZNQPR-LBPRGKRZSA-N 0 1 293.371 0.212 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)c2cn(C)nn2)C1 ZINC001267624942 811583843 /nfs/dbraw/zinc/58/38/43/811583843.db2.gz QUTPVGCEKZNQPR-LBPRGKRZSA-N 0 1 293.371 0.212 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1CN(CC2CCC2)C1 ZINC001267643505 811608369 /nfs/dbraw/zinc/60/83/69/811608369.db2.gz RAZABTRAFUEYKY-CQSZACIVSA-N 0 1 252.358 0.914 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1ccn(C)n1 ZINC001126966311 815465073 /nfs/dbraw/zinc/46/50/73/815465073.db2.gz RHTOMKMDPRNXQV-UHFFFAOYSA-N 0 1 256.737 0.421 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cn(C)cn2)C1 ZINC001267678103 811641594 /nfs/dbraw/zinc/64/15/94/811641594.db2.gz MKIDYBBOEFIFLD-UHFFFAOYSA-N 0 1 278.356 0.284 20 30 CCEDMN C=CCn1cccc1C(=O)NC[C@H]1CCN1C[C@H](C)O ZINC001038346408 811673258 /nfs/dbraw/zinc/67/32/58/811673258.db2.gz WTLSYOJSAYHSOU-QWHCGFSZSA-N 0 1 277.368 0.859 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cnccn2)C1 ZINC001267718096 811680781 /nfs/dbraw/zinc/68/07/81/811680781.db2.gz YIXLRSACOFMGKF-LBPRGKRZSA-N 0 1 276.340 0.483 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001267721881 811687656 /nfs/dbraw/zinc/68/76/56/811687656.db2.gz NMYPGXKUAQNPKI-GXTWGEPZSA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCc2cc(OC)no2)C1 ZINC001267761937 811760042 /nfs/dbraw/zinc/76/00/42/811760042.db2.gz BCUWXRUSKFSZFG-LBPRGKRZSA-N 0 1 291.351 0.782 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2coc(OCC)n2)C1 ZINC001077306860 815477331 /nfs/dbraw/zinc/47/73/31/815477331.db2.gz XEAQUQNNXRUHSZ-ZYHUDNBSSA-N 0 1 295.339 0.424 20 30 CCEDMN C#CC[NH+]1CCC(CCNC(=O)c2[n-]nnc2C)CC1 ZINC001267945572 811880926 /nfs/dbraw/zinc/88/09/26/811880926.db2.gz PYRHTGQTJDUSLN-UHFFFAOYSA-N 0 1 275.356 0.578 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ncc(F)cc2F)[C@@H](O)C1 ZINC001083574536 815485218 /nfs/dbraw/zinc/48/52/18/815485218.db2.gz AVEOSDLAHCATNP-NEPJUHHUSA-N 0 1 297.305 0.711 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2CCOCC2)[C@@H](O)C1 ZINC001083303880 811934414 /nfs/dbraw/zinc/93/44/14/811934414.db2.gz VSFKDEQBBJLOFP-OLZOCXBDSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)[C@@H](C)NC(C)=O)C1 ZINC001268018446 811961846 /nfs/dbraw/zinc/96/18/46/811961846.db2.gz POEYHSXYNSVNPN-OCCSQVGLSA-N 0 1 297.399 0.294 20 30 CCEDMN Cn1ncc(C(=O)NCCNCC#Cc2ccccc2)c1N ZINC001126086587 812004848 /nfs/dbraw/zinc/00/48/48/812004848.db2.gz MEYYOCWWVKAJPL-UHFFFAOYSA-N 0 1 297.362 0.373 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1cncnc1 ZINC001126093921 812008032 /nfs/dbraw/zinc/00/80/32/812008032.db2.gz VDQOHUFWCQTMNO-UHFFFAOYSA-N 0 1 280.331 0.848 20 30 CCEDMN CN(CCN(C)c1ccncc1C#N)C(=O)c1ccn[nH]1 ZINC001105339173 812008224 /nfs/dbraw/zinc/00/82/24/812008224.db2.gz LPBGPQRKPNJHHG-UHFFFAOYSA-N 0 1 284.323 0.885 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)c1nnc2n1CCCCC2 ZINC000092492536 812048706 /nfs/dbraw/zinc/04/87/06/812048706.db2.gz DVTIYDGNIPLBQF-LLVKDONJSA-N 0 1 275.356 0.405 20 30 CCEDMN CCOC(=O)[C@H](C#C[Si](C)(C)C)OCc1nn[nH]n1 ZINC001223026963 812073370 /nfs/dbraw/zinc/07/33/70/812073370.db2.gz KOYOTCNKCIUACL-VIFPVBQESA-N 0 1 282.376 0.529 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc(C)n[nH]3)[C@@H]2C1 ZINC001075586560 812138111 /nfs/dbraw/zinc/13/81/11/812138111.db2.gz VRFXLAGBRISMRY-WCQYABFASA-N 0 1 258.325 0.498 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)[C@@H]2C1 ZINC001075586560 812138114 /nfs/dbraw/zinc/13/81/14/812138114.db2.gz VRFXLAGBRISMRY-WCQYABFASA-N 0 1 258.325 0.498 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCc2ncncc2C1 ZINC001027928420 812146924 /nfs/dbraw/zinc/14/69/24/812146924.db2.gz OQRGSRGNBGSCSJ-ZFWWWQNUSA-N 0 1 298.390 0.795 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cc2c([nH]1)CCOC2 ZINC001027929230 812148171 /nfs/dbraw/zinc/14/81/71/812148171.db2.gz HVNFAEFAYZCTGW-CYBMUJFWSA-N 0 1 287.363 0.915 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnc2n[nH]nc2c1 ZINC001027934960 812151525 /nfs/dbraw/zinc/15/15/25/812151525.db2.gz BUUUHTMZFKYUNX-NSHDSACASA-N 0 1 286.339 0.733 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001027953388 812161680 /nfs/dbraw/zinc/16/16/80/812161680.db2.gz NJIYYVACNXDXEN-LLVKDONJSA-N 0 1 260.297 0.010 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnc(Cl)n1C ZINC001028025495 812220318 /nfs/dbraw/zinc/22/03/18/812220318.db2.gz LJLSCULHUGQWBF-JTQLQIEISA-N 0 1 280.759 0.901 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnc(Cl)n1C ZINC001028025496 812221445 /nfs/dbraw/zinc/22/14/45/812221445.db2.gz LJLSCULHUGQWBF-SNVBAGLBSA-N 0 1 280.759 0.901 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccn2nnnc2c1 ZINC001028072925 812249306 /nfs/dbraw/zinc/24/93/06/812249306.db2.gz LKTAUKQOFSNBMV-LBPRGKRZSA-N 0 1 286.339 0.505 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001028139013 812305447 /nfs/dbraw/zinc/30/54/47/812305447.db2.gz FHJSIEIVOWBTER-HZSPNIEDSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001028139013 812305460 /nfs/dbraw/zinc/30/54/60/812305460.db2.gz FHJSIEIVOWBTER-HZSPNIEDSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](CC)OC)[C@@H]2C1 ZINC001075606344 812330188 /nfs/dbraw/zinc/33/01/88/812330188.db2.gz LPLABCSXSKRWQV-YNEHKIRRSA-N 0 1 250.342 0.577 20 30 CCEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cnon1 ZINC001028215871 812406406 /nfs/dbraw/zinc/40/64/06/812406406.db2.gz ZWFLNFXAAYNCJC-SNVBAGLBSA-N 0 1 250.302 0.840 20 30 CCEDMN C#CC[N@H+](C)CCN(C)C(=O)[C@@H]1CCc2cncn2C1 ZINC001268239263 812407002 /nfs/dbraw/zinc/40/70/02/812407002.db2.gz AIMOATRMZRPQDW-CYBMUJFWSA-N 0 1 274.368 0.469 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H]2CN(C)CCO2)C1 ZINC001268263541 812428722 /nfs/dbraw/zinc/42/87/22/812428722.db2.gz CKXUNOYHOAUDSP-TZMCWYRMSA-N 0 1 281.400 0.472 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](O)CNCc1cc(C)no1 ZINC001268306145 812470056 /nfs/dbraw/zinc/47/00/56/812470056.db2.gz ZKFPLZFXQVPEDE-LBPRGKRZSA-N 0 1 279.340 0.353 20 30 CCEDMN C#CCCCC(=O)NCCNCc1snnc1C ZINC001126339413 812472126 /nfs/dbraw/zinc/47/21/26/812472126.db2.gz XVIIOMHKXVTJCG-UHFFFAOYSA-N 0 1 266.370 0.856 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCNCc1cnn(C)n1 ZINC001268350672 812513166 /nfs/dbraw/zinc/51/31/66/812513166.db2.gz SBESEEUXTHPFSZ-NSHDSACASA-N 0 1 265.361 0.766 20 30 CCEDMN CCn1ncc(CNCC[C@H](C)NC(=O)[C@H](C)C#N)n1 ZINC001268351709 812514781 /nfs/dbraw/zinc/51/47/81/812514781.db2.gz VVWCMYCVKHIQFQ-MNOVXSKESA-N 0 1 278.360 0.442 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCCN(C)C1=O ZINC001028257607 812517540 /nfs/dbraw/zinc/51/75/40/812517540.db2.gz DSRUQVLCFUZOCQ-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCCCC(=O)NC[C@H]1CN2CCN1CCC2 ZINC000692929281 812576656 /nfs/dbraw/zinc/57/66/56/812576656.db2.gz NWIZHXKSBDCNDC-AWEZNQCLSA-N 0 1 263.385 0.686 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1nn(CC)nc1C ZINC001028352682 812638899 /nfs/dbraw/zinc/63/88/99/812638899.db2.gz KBMZYZBBXRETCQ-GFCCVEGCSA-N 0 1 277.372 0.987 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cc(C)ncn1 ZINC001126365779 812684848 /nfs/dbraw/zinc/68/48/48/812684848.db2.gz NIBQRZAKPVAFHZ-AWEZNQCLSA-N 0 1 278.356 0.318 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)Cc1nnc(C)o1 ZINC001268533133 812776948 /nfs/dbraw/zinc/77/69/48/812776948.db2.gz NHBZKEHJJUHQJW-ARJAWSKDSA-N 0 1 284.747 0.935 20 30 CCEDMN C=CC(C)(C)CC(=O)NC/C=C\CNCC(=O)NC ZINC001268543444 812784174 /nfs/dbraw/zinc/78/41/74/812784174.db2.gz HWGUPBWABDWUKC-SREVYHEPSA-N 0 1 267.373 0.597 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)[C@@H]1CC[C@H](F)C1 ZINC001268582993 812868498 /nfs/dbraw/zinc/86/84/98/812868498.db2.gz RKROOJGVSYOYIO-HNFMAKEJSA-N 0 1 295.358 0.136 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cc(C)ns1 ZINC001127023121 815558211 /nfs/dbraw/zinc/55/82/11/815558211.db2.gz CCZMSTABOITAGQ-UHFFFAOYSA-N 0 1 281.381 0.697 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cocn2)[C@@H](O)C1 ZINC001083370090 812913454 /nfs/dbraw/zinc/91/34/54/812913454.db2.gz CNDHDABUHSWXHD-KOLCDFICSA-N 0 1 251.286 0.026 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)C2CC2)[C@@H](O)C1 ZINC001083391010 812934074 /nfs/dbraw/zinc/93/40/74/812934074.db2.gz QGGGXRFJYUYQHV-RTXFEEFZSA-N 0 1 250.342 0.217 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2CC(C)C2)[C@@H](O)C1 ZINC001083398470 812939838 /nfs/dbraw/zinc/93/98/38/812939838.db2.gz HOMLFCRUTIGVTQ-TUUUFIMRSA-N 0 1 252.358 0.770 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC001028618930 812979097 /nfs/dbraw/zinc/97/90/97/812979097.db2.gz BITFDLUKAMOVKW-NSHDSACASA-N 0 1 274.324 0.257 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CN(C)Cc1n[nH]c(C)n1 ZINC001268728057 813047982 /nfs/dbraw/zinc/04/79/82/813047982.db2.gz ADNFVQBNRKAADE-LLVKDONJSA-N 0 1 277.372 0.853 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](C)C[NH+](C)CC=C ZINC001268733521 813049831 /nfs/dbraw/zinc/04/98/31/813049831.db2.gz HZNZEYGBHAQOSQ-KBPBESRZSA-N 0 1 263.385 0.707 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H](C)c1cnn(C)c1 ZINC001268765997 813074928 /nfs/dbraw/zinc/07/49/28/813074928.db2.gz VETQGVSRMPXZQH-CHWSQXEVSA-N 0 1 276.384 0.983 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCNCc1ncccn1 ZINC001268783316 813084912 /nfs/dbraw/zinc/08/49/12/813084912.db2.gz GYGJJYVFHSQDMP-NSHDSACASA-N 0 1 261.329 0.574 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@H](C)n2cncn2)C1 ZINC001268823570 813103718 /nfs/dbraw/zinc/10/37/18/813103718.db2.gz XOVAFIFOYDGHLT-LBPRGKRZSA-N 0 1 293.371 0.090 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001268917498 813142013 /nfs/dbraw/zinc/14/20/13/813142013.db2.gz WAKUCAXXRTVSOW-CYBMUJFWSA-N 0 1 281.400 0.758 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)C1(C#N)CC1)CC2 ZINC001269020604 813180763 /nfs/dbraw/zinc/18/07/63/813180763.db2.gz LOGMTIQDCZLQIG-UHFFFAOYSA-N 0 1 287.323 0.300 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCNC(=O)C2CC2)C1 ZINC001269029729 813183784 /nfs/dbraw/zinc/18/37/84/813183784.db2.gz IQMYLVZABCKPLC-ZDUSSCGKSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCCCN1CC[C@@H]1CNC(=O)C(=O)NCC(F)F ZINC001269255386 813292084 /nfs/dbraw/zinc/29/20/84/813292084.db2.gz OUURTNNUHQLJAD-SNVBAGLBSA-N 0 1 289.326 0.524 20 30 CCEDMN C=CCCCN1CC[C@H]1CNC(=O)C(=O)NCC(F)F ZINC001269255384 813292490 /nfs/dbraw/zinc/29/24/90/813292490.db2.gz OUURTNNUHQLJAD-JTQLQIEISA-N 0 1 289.326 0.524 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)CN2CCCC2=O)C1 ZINC001269307307 813320499 /nfs/dbraw/zinc/32/04/99/813320499.db2.gz QRPOQJYAKJVUDA-CYBMUJFWSA-N 0 1 279.384 0.718 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCCn1cncn1 ZINC001269327745 813327428 /nfs/dbraw/zinc/32/74/28/813327428.db2.gz ZBAQDOZIHGWWEA-CYBMUJFWSA-N 0 1 277.372 0.825 20 30 CCEDMN N#CCC1CN(C(=O)[C@H]2CC23CN(C(=O)c2cnc[nH]2)C3)C1 ZINC001269413833 813363635 /nfs/dbraw/zinc/36/36/35/813363635.db2.gz BWSUOKWCTIZJHY-LLVKDONJSA-N 0 1 299.334 0.244 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@]2(CCN(CCF)C2)C1 ZINC001269731010 813484394 /nfs/dbraw/zinc/48/43/94/813484394.db2.gz DYOVLPMIGXBRHE-DZGCQCFKSA-N 0 1 282.359 0.919 20 30 CCEDMN C=CCCC(=O)NCCC1CCN(CC(=O)NC)CC1 ZINC001270102145 813635969 /nfs/dbraw/zinc/63/59/69/813635969.db2.gz DFHZGWQDDKYUGZ-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](C)CNCc1nncs1 ZINC001270394194 813746382 /nfs/dbraw/zinc/74/63/82/813746382.db2.gz QKHPRIVARYNWSE-MFKMUULPSA-N 0 1 298.412 0.707 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)CN[C@H](C)c1nncn1C ZINC001270401638 813750630 /nfs/dbraw/zinc/75/06/30/813750630.db2.gz GDWAOSVGDBBPQG-VXGBXAGGSA-N 0 1 289.383 0.631 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1nccc(C)n1 ZINC001126467598 813787475 /nfs/dbraw/zinc/78/74/75/813787475.db2.gz KQORWSSPRDVGCI-UHFFFAOYSA-N 0 1 254.721 0.857 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)Cc2cn(C)nc2C)C1 ZINC001270598959 813831819 /nfs/dbraw/zinc/83/18/19/813831819.db2.gz ACBOHVRZHOHIJN-MRXNPFEDSA-N 0 1 288.395 0.875 20 30 CCEDMN CCC(CO)(CO)Nc1c[nH]c2c(C#N)cnc-2c1 ZINC001167972522 813868290 /nfs/dbraw/zinc/86/82/90/813868290.db2.gz SLKKAQJNVBHXCO-UHFFFAOYSA-N 0 1 260.297 0.980 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@@H](C)CC(N)=O)C1 ZINC001270666130 813880781 /nfs/dbraw/zinc/88/07/81/813880781.db2.gz RVSUZJVGMIJRBH-QWHCGFSZSA-N 0 1 297.399 0.281 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1C[C@H]2C[C@@]2(C(N)=O)C1 ZINC001270731738 813909964 /nfs/dbraw/zinc/90/99/64/813909964.db2.gz JYQFZLSUQVGRHP-RFAUZJTJSA-N 0 1 295.302 0.382 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCO2)[C@H]1CC ZINC001087495367 813965584 /nfs/dbraw/zinc/96/55/84/813965584.db2.gz ZZAMOAZVCRPQJD-XQQFMLRXSA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCCn2nccc21 ZINC001038432970 813978303 /nfs/dbraw/zinc/97/83/03/813978303.db2.gz SRDJWNHWIGBDOJ-UONOGXRCSA-N 0 1 286.379 0.974 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CO[C@@H]3CCOC3)[C@@H]2C1 ZINC001075786192 814007568 /nfs/dbraw/zinc/00/75/68/814007568.db2.gz JQRTXXORTMJLCQ-RRFJBIMHSA-N 0 1 292.379 0.348 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CC#N)[nH]1 ZINC001029241805 814011758 /nfs/dbraw/zinc/01/17/58/814011758.db2.gz FJULYBCVBFUAFU-PHIMTYICSA-N 0 1 259.313 0.531 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CC2(C)C)[C@@H](O)C1 ZINC001083412640 814021387 /nfs/dbraw/zinc/02/13/87/814021387.db2.gz MOXUBYLNYFHZNX-TUAOUCFPSA-N 0 1 252.358 0.770 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCn2cncn2)[C@H](OC)C1 ZINC001211871836 814062056 /nfs/dbraw/zinc/06/20/56/814062056.db2.gz PHOMUQYWCXERMK-CHWSQXEVSA-N 0 1 293.371 0.060 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)CN2CCCC2=O)C1 ZINC001270931303 814088984 /nfs/dbraw/zinc/08/89/84/814088984.db2.gz AKZQNBZEPSOZHT-MRXNPFEDSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)cn2)[C@H]1CC ZINC001087540668 814121140 /nfs/dbraw/zinc/12/11/40/814121140.db2.gz HLJBMIMCMQFXMX-WCQYABFASA-N 0 1 260.341 0.636 20 30 CCEDMN CN(CCN(C)c1ncnc2[nH]cnc21)C(=O)C#CC1CC1 ZINC001105397303 814205446 /nfs/dbraw/zinc/20/54/46/814205446.db2.gz SBCAQLNEPFAPME-UHFFFAOYSA-N 0 1 298.350 0.661 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)CC)[C@H](OC)C1 ZINC001211879969 814225024 /nfs/dbraw/zinc/22/50/24/814225024.db2.gz JKMGXVKLKSAWIR-JHJVBQTASA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn(C)n2)[C@H]1CC ZINC001087829009 814226647 /nfs/dbraw/zinc/22/66/47/814226647.db2.gz DZJCYTROPPUZNE-WCQYABFASA-N 0 1 260.341 0.636 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C(C)(C)C)C1 ZINC001271210398 814335846 /nfs/dbraw/zinc/33/58/46/814335846.db2.gz RBFXPRGSLCRONC-AWEZNQCLSA-N 0 1 252.358 0.609 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CCc2cccs2)C1 ZINC001271221360 814339142 /nfs/dbraw/zinc/33/91/42/814339142.db2.gz VHDAVBKINDRFHB-OAHLLOKOSA-N 0 1 292.404 0.867 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1ccncc1O ZINC001271402666 814405360 /nfs/dbraw/zinc/40/53/60/814405360.db2.gz PLGOJLRGEHEPNR-CHWSQXEVSA-N 0 1 299.330 0.626 20 30 CCEDMN CN1CC[C@@]2(CCN(C(=O)c3cccc(C#N)c3O)C2)C1=O ZINC001271792560 814579216 /nfs/dbraw/zinc/57/92/16/814579216.db2.gz FOJBOTPLTYIFHU-INIZCTEOSA-N 0 1 299.330 0.958 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)C(C)(C)F)C1 ZINC001271932384 814634040 /nfs/dbraw/zinc/63/40/40/814634040.db2.gz PJMRHPILSLGCSW-CQSZACIVSA-N 0 1 270.348 0.701 20 30 CCEDMN CC(C)[C@H]1C[C@@H]1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001271931552 814634241 /nfs/dbraw/zinc/63/42/41/814634241.db2.gz CRSPBERTYJPHCS-CABCVRRESA-N 0 1 292.427 0.972 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)[C@@H](C)NC(C)=O)C1 ZINC001271941115 814637370 /nfs/dbraw/zinc/63/73/70/814637370.db2.gz MBLVOMUOQFAVPJ-IUODEOHRSA-N 0 1 297.399 0.030 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3CCNC3=O)[C@@H]2C1 ZINC001075876598 814662012 /nfs/dbraw/zinc/66/20/12/814662012.db2.gz SSIUQLMCTZMIBM-MELADBBJSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@]23C[C@H]2CCC3)[C@@H](O)C1 ZINC001083656209 815621502 /nfs/dbraw/zinc/62/15/02/815621502.db2.gz HQXYHSHDUOQQLJ-GUIRCDHDSA-N 0 1 262.353 0.361 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)NC1CN(CC#N)C1 ZINC001030383871 816005380 /nfs/dbraw/zinc/00/53/80/816005380.db2.gz ORHZOOYUUHYMGT-UHFFFAOYSA-N 0 1 278.381 0.747 20 30 CCEDMN Cc1ccc2[nH]nc(C(=O)NC3CN(CC#N)C3)c2c1 ZINC001030605809 816142430 /nfs/dbraw/zinc/14/24/30/816142430.db2.gz KECFNZMAXPJECB-UHFFFAOYSA-N 0 1 269.308 0.809 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnn(C)n2)[C@H]1C ZINC001088917435 816173681 /nfs/dbraw/zinc/17/36/81/816173681.db2.gz RWWVRTSALKUYFE-MNOVXSKESA-N 0 1 263.345 0.584 20 30 CCEDMN C#CCCN1CC(NC(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001030659477 816190203 /nfs/dbraw/zinc/19/02/03/816190203.db2.gz BJRBQSSDOAWDFJ-UHFFFAOYSA-N 0 1 295.346 0.705 20 30 CCEDMN CC#CCN1CC(NC(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001030660816 816193095 /nfs/dbraw/zinc/19/30/95/816193095.db2.gz ANCGKUFMCHZSGA-UHFFFAOYSA-N 0 1 295.346 0.705 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cncn2C)[C@H]1C ZINC001088981697 816201414 /nfs/dbraw/zinc/20/14/14/816201414.db2.gz IJDZLFBFSRREER-OCCSQVGLSA-N 0 1 274.368 0.565 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)CN2CCCC2)CCN1CC#N ZINC001089039951 816221090 /nfs/dbraw/zinc/22/10/90/816221090.db2.gz PJFPBASMFODFRN-NEPJUHHUSA-N 0 1 250.346 0.185 20 30 CCEDMN COc1ccnc(C(=N)Nc2cnc3[nH]nnc3c2)n1 ZINC001168425209 816228202 /nfs/dbraw/zinc/22/82/02/816228202.db2.gz WMCGVWVOPBPGCB-UHFFFAOYSA-N 0 1 270.256 0.189 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N[C@@H]2CCN(C)C2)cc1 ZINC001120950962 816267524 /nfs/dbraw/zinc/26/75/24/816267524.db2.gz XCHAOMSVXYZHKU-CYBMUJFWSA-N 0 1 271.320 0.427 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccncc1 ZINC001272352466 816354138 /nfs/dbraw/zinc/35/41/38/816354138.db2.gz UZVGMBBJGUSTJN-LLVKDONJSA-N 0 1 269.732 0.514 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001030859370 816355176 /nfs/dbraw/zinc/35/51/76/816355176.db2.gz GOZZGHNXDUUZMR-NSHDSACASA-N 0 1 275.356 0.601 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C(CC)CC ZINC001234197090 816516823 /nfs/dbraw/zinc/51/68/23/816516823.db2.gz KCRAAJHNVSAFEP-CYBMUJFWSA-N 0 1 295.427 0.999 20 30 CCEDMN C=C(C)CN1CC2(CN(Cc3cc(C)[nH]n3)C2)OCC1=O ZINC001272543428 816570102 /nfs/dbraw/zinc/57/01/02/816570102.db2.gz JHTIVDLPSAELIB-UHFFFAOYSA-N 0 1 290.367 0.707 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1c[nH]nn1 ZINC001272569345 816590334 /nfs/dbraw/zinc/59/03/34/816590334.db2.gz KAGOYVFAQXKMSX-VXGBXAGGSA-N 0 1 261.329 0.556 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1C[C@@H](CNCC#N)[C@H](C)C1 ZINC001106198501 816640468 /nfs/dbraw/zinc/64/04/68/816640468.db2.gz CQZHUPPXMPKWOE-MGPQQGTHSA-N 0 1 298.406 0.874 20 30 CCEDMN CC#CCN1CC(NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001031196891 816782490 /nfs/dbraw/zinc/78/24/90/816782490.db2.gz HFJYISSZIGDGMX-UHFFFAOYSA-N 0 1 295.346 0.909 20 30 CCEDMN C#Cc1ccc(C(=O)NC2CN(Cc3n[nH]c(C)n3)C2)nc1 ZINC001031278616 816923108 /nfs/dbraw/zinc/92/31/08/816923108.db2.gz STVVJPCBIUUFLF-UHFFFAOYSA-N 0 1 296.334 0.104 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2CCN(C)C2=O)CC1 ZINC001123349239 816932487 /nfs/dbraw/zinc/93/24/87/816932487.db2.gz RYBLOCCWKZIRJT-LBPRGKRZSA-N 0 1 264.325 0.106 20 30 CCEDMN CC#CCN1CC(NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001031376421 817010526 /nfs/dbraw/zinc/01/05/26/817010526.db2.gz PGQSNPPZRJUZMO-UHFFFAOYSA-N 0 1 269.308 0.395 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnc(C)cn2)C1 ZINC001031525377 817106686 /nfs/dbraw/zinc/10/66/86/817106686.db2.gz GFUUKCYYOVXJJG-UHFFFAOYSA-N 0 1 258.325 0.470 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2COCCO2)C1 ZINC001031554501 817129490 /nfs/dbraw/zinc/12/94/90/817129490.db2.gz YTECNQJPJIYUGK-LBPRGKRZSA-N 0 1 254.330 0.026 20 30 CCEDMN C[C@H](C[C@H](C)Nc1ccncc1C#N)NC(=O)c1cnn[nH]1 ZINC001089508803 817173067 /nfs/dbraw/zinc/17/30/67/817173067.db2.gz PUWNOEJXAKBLLI-VHSXEESVSA-N 0 1 299.338 0.502 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C#CC(C)C)[C@H](OC)C1 ZINC001212241722 817180099 /nfs/dbraw/zinc/18/00/99/817180099.db2.gz WWYNTYIRMRMADT-HUUCEWRRSA-N 0 1 294.395 0.664 20 30 CCEDMN CC#CC[NH+]1CC(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001031616471 817187950 /nfs/dbraw/zinc/18/79/50/817187950.db2.gz VRUQVOPEYYJGPX-UHFFFAOYSA-N 0 1 262.313 0.109 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn(CCOC)nc2C)C1 ZINC001031669388 817234068 /nfs/dbraw/zinc/23/40/68/817234068.db2.gz JSWIUTOARVUVAK-UHFFFAOYSA-N 0 1 292.383 0.686 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc(C)nc2OC)C1 ZINC001031716513 817280845 /nfs/dbraw/zinc/28/08/45/817280845.db2.gz FSHAJQQNJUPLCY-UHFFFAOYSA-N 0 1 276.340 0.641 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2cncnc2)C1 ZINC001077563464 817299195 /nfs/dbraw/zinc/29/91/95/817299195.db2.gz OAGMJQVRMCNUJV-IACUBPJLSA-N 0 1 290.367 0.318 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn(C)nc2COC)C1 ZINC001031780313 817361825 /nfs/dbraw/zinc/36/18/25/817361825.db2.gz XKCXPKCGARAPPM-UHFFFAOYSA-N 0 1 278.356 0.414 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001031783524 817364526 /nfs/dbraw/zinc/36/45/26/817364526.db2.gz XZDJUUGGZJDIRD-CYBMUJFWSA-N 0 1 274.368 0.680 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1OC ZINC001212320809 817367934 /nfs/dbraw/zinc/36/79/34/817367934.db2.gz BPVQAEDXFBRCKL-NWANDNLSSA-N 0 1 296.411 0.951 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CC(=O)N[C@H](C)C2)C1 ZINC001031803168 817378869 /nfs/dbraw/zinc/37/88/69/817378869.db2.gz XUMRTXCWVAJLHP-PWSUYJOCSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnc(OC)c2)C1 ZINC001031806953 817383774 /nfs/dbraw/zinc/38/37/74/817383774.db2.gz WASYRPNWURVJNQ-UHFFFAOYSA-N 0 1 261.325 0.938 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc3n(n2)CCCO3)C1 ZINC001031837110 817405973 /nfs/dbraw/zinc/40/59/73/817405973.db2.gz FGMLOYNLVUKQBO-UHFFFAOYSA-N 0 1 276.340 0.513 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc3n(n2)CCCO3)C1 ZINC001031837732 817407150 /nfs/dbraw/zinc/40/71/50/817407150.db2.gz YIUXNDXRQXTBNY-UHFFFAOYSA-N 0 1 290.367 0.903 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001031870571 817433933 /nfs/dbraw/zinc/43/39/33/817433933.db2.gz VDSPHBQQDYWHEY-MELADBBJSA-N 0 1 280.368 0.272 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2csnn2)C1 ZINC001031880862 817437588 /nfs/dbraw/zinc/43/75/88/817437588.db2.gz WNMDHWSJEUXROL-UHFFFAOYSA-N 0 1 250.327 0.223 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCc3nnn(C)c3C2)C1 ZINC001031926258 817470148 /nfs/dbraw/zinc/47/01/48/817470148.db2.gz NECGTOURPLAJEV-GFCCVEGCSA-N 0 1 289.383 0.154 20 30 CCEDMN CCN(CCNc1cncc(C#N)n1)C(=O)Cc1c[nH]cn1 ZINC001106961624 817514301 /nfs/dbraw/zinc/51/43/01/817514301.db2.gz LJEAJUPPEIPEIL-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cncc(OC)n2)C1 ZINC001032029233 817563896 /nfs/dbraw/zinc/56/38/96/817563896.db2.gz UCQSWIKMEZBMJU-UHFFFAOYSA-N 0 1 276.340 0.723 20 30 CCEDMN COc1cc(CNCCNC(=O)[C@H](C)C#N)sn1 ZINC001124888298 817615540 /nfs/dbraw/zinc/61/55/40/817615540.db2.gz GEQJBZAOPWHTJG-MRVPVSSYSA-N 0 1 268.342 0.517 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001032138744 817658523 /nfs/dbraw/zinc/65/85/23/817658523.db2.gz KGICVJOZONVXLQ-AWEZNQCLSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(CC)n(C)n2)C1 ZINC001032228354 817731389 /nfs/dbraw/zinc/73/13/89/817731389.db2.gz HLQQLARYGRAVKG-UHFFFAOYSA-N 0 1 262.357 0.830 20 30 CCEDMN C=C(Cl)CN1C[C@@H](O)[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001077633790 817892737 /nfs/dbraw/zinc/89/27/37/817892737.db2.gz DORQIWLLNTYRON-RKDXNWHRSA-N 0 1 288.710 0.076 20 30 CCEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)COC)C1 ZINC001107664206 817930493 /nfs/dbraw/zinc/93/04/93/817930493.db2.gz IWLNBVIDSSOXNP-CQSZACIVSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CNC(=O)OC)C1 ZINC001107772934 817999772 /nfs/dbraw/zinc/99/97/72/817999772.db2.gz GGTJYQQGVFTNFM-AWEZNQCLSA-N 0 1 299.371 0.126 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2[nH]ncc2F)C12CCC2 ZINC001078718558 818041767 /nfs/dbraw/zinc/04/17/67/818041767.db2.gz ROJLLIVVKFHKCB-UWVGGRQHSA-N 0 1 277.303 0.703 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccnc2)[C@H](O)C1 ZINC001089973115 818083933 /nfs/dbraw/zinc/08/39/33/818083933.db2.gz KROZWYCDBZBEMJ-CHWSQXEVSA-N 0 1 261.325 0.433 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CCC2)[C@@H](O)C1 ZINC001090007303 818263196 /nfs/dbraw/zinc/26/31/96/818263196.db2.gz QUUNYUDMUKXWBE-STQMWFEESA-N 0 1 250.342 0.361 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CCOCC2)[C@@H](O)C1 ZINC001090012124 818269346 /nfs/dbraw/zinc/26/93/46/818269346.db2.gz FVUAKGIYLDCUDF-STQMWFEESA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C)on2)[C@H](O)C1 ZINC001090024822 818283493 /nfs/dbraw/zinc/28/34/93/818283493.db2.gz BKFNYCTUNRRZKP-DGCLKSJQSA-N 0 1 279.340 0.724 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C)on2)[C@H](O)C1 ZINC001090024823 818284303 /nfs/dbraw/zinc/28/43/03/818284303.db2.gz BKFNYCTUNRRZKP-WCQYABFASA-N 0 1 279.340 0.724 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C2=COCCO2)C1 ZINC001032885750 818337816 /nfs/dbraw/zinc/33/78/16/818337816.db2.gz QVNKCOFKKFKODV-GFCCVEGCSA-N 0 1 264.325 0.431 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccnn2C)C1 ZINC001032940045 818371273 /nfs/dbraw/zinc/37/12/73/818371273.db2.gz HKPHLVZGTVHOLN-GFCCVEGCSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCOC2)C1 ZINC001032956196 818383937 /nfs/dbraw/zinc/38/39/37/818383937.db2.gz VRBULVJVOZDVDT-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@H]2COC(=O)C2)C1 ZINC001033009293 818422238 /nfs/dbraw/zinc/42/22/38/818422238.db2.gz GYRMBORNPSDFQZ-NEPJUHHUSA-N 0 1 264.325 0.106 20 30 CCEDMN N#Cc1cccnc1N1CCC[C@H]1CNC(=O)c1ncn[nH]1 ZINC001061383499 818429990 /nfs/dbraw/zinc/42/99/90/818429990.db2.gz XULUPEXTSCPMSC-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cccnc1N1CCC[C@H]1CNC(=O)c1nc[nH]n1 ZINC001061383499 818429994 /nfs/dbraw/zinc/42/99/94/818429994.db2.gz XULUPEXTSCPMSC-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CC2OCCCO2)C1 ZINC001033034081 818444470 /nfs/dbraw/zinc/44/44/70/818444470.db2.gz ZWWWEDWEJFFUJW-CYBMUJFWSA-N 0 1 280.368 0.696 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cc2cncnc2)C1 ZINC001033042245 818454991 /nfs/dbraw/zinc/45/49/91/818454991.db2.gz RYJIQGYSAGQNLO-CYBMUJFWSA-N 0 1 260.341 0.738 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cccn2C)[C@@H](O)C1 ZINC001090088401 818488716 /nfs/dbraw/zinc/48/87/16/818488716.db2.gz OEFBNTNTIDDQBT-AAEUAGOBSA-N 0 1 263.341 0.376 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccn2C)[C@@H](O)C1 ZINC001090088401 818488718 /nfs/dbraw/zinc/48/87/18/818488718.db2.gz OEFBNTNTIDDQBT-AAEUAGOBSA-N 0 1 263.341 0.376 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@]23C[C@H]2COC3)C1 ZINC001033092740 818507385 /nfs/dbraw/zinc/50/73/85/818507385.db2.gz FWWMMKSOANJQMI-YDHLFZDLSA-N 0 1 262.353 0.579 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001033130093 818556273 /nfs/dbraw/zinc/55/62/73/818556273.db2.gz DPSPDDYZDYYAIN-JTQLQIEISA-N 0 1 286.339 0.685 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cn2cc(C3CC3)nn2)C1 ZINC001033144147 818569225 /nfs/dbraw/zinc/56/92/25/818569225.db2.gz SDTNVVDQHRXPGB-ZDUSSCGKSA-N 0 1 289.383 0.874 20 30 CCEDMN C[C@@H]1C[C@@H](NCC#N)CCN1C(=O)CCc1cnc[nH]1 ZINC001044627258 818612324 /nfs/dbraw/zinc/61/23/24/818612324.db2.gz OMARNPYYBHWHKS-NEPJUHHUSA-N 0 1 275.356 0.835 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2=COCCC2)[C@@H](O)C1 ZINC001090118328 818645981 /nfs/dbraw/zinc/64/59/81/818645981.db2.gz JALFKAVPJMODES-KGLIPLIRSA-N 0 1 278.352 0.255 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCn3cncc3C2)C1 ZINC001033206163 818650210 /nfs/dbraw/zinc/65/02/10/818650210.db2.gz GPHXQGVYEXLBLL-UONOGXRCSA-N 0 1 286.379 0.611 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001033210860 818650830 /nfs/dbraw/zinc/65/08/30/818650830.db2.gz GJBYHFMFBUIKLM-KBPBESRZSA-N 0 1 286.379 0.611 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033341724 818726636 /nfs/dbraw/zinc/72/66/36/818726636.db2.gz PHDLQKNGDWECMY-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001033352363 818730769 /nfs/dbraw/zinc/73/07/69/818730769.db2.gz UIYWKBLLDHVZRO-IGQOVBAYSA-N 0 1 262.353 0.435 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ccnc3c2nnn3C)C1 ZINC001033361620 818733823 /nfs/dbraw/zinc/73/38/23/818733823.db2.gz GMUGXJACVZFXAZ-LLVKDONJSA-N 0 1 298.350 0.143 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(F)nc2)[C@@H](O)C1 ZINC001090136585 818737699 /nfs/dbraw/zinc/73/76/99/818737699.db2.gz GNPAOSVJODJEQA-RYUDHWBXSA-N 0 1 279.315 0.572 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccoc2CC(N)=O)C1 ZINC001033368352 818739917 /nfs/dbraw/zinc/73/99/17/818739917.db2.gz MUUVYDRDYVOONV-LLVKDONJSA-N 0 1 291.351 0.640 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)[C@@H]2CCN(CCOC)C2)c1 ZINC001033406464 818766880 /nfs/dbraw/zinc/76/68/80/818766880.db2.gz WUZSZYSQUUABKY-OAHLLOKOSA-N 0 1 287.363 0.856 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001033423843 818769810 /nfs/dbraw/zinc/76/98/10/818769810.db2.gz YFDIYMYZDPOURM-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC001033577465 818836558 /nfs/dbraw/zinc/83/65/58/818836558.db2.gz NLQSXOAERCKGOP-NSHDSACASA-N 0 1 299.334 0.214 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2cccn(C)c2=O)C1 ZINC001033588691 818841738 /nfs/dbraw/zinc/84/17/38/818841738.db2.gz HCGFXRDVNKVZLZ-LBPRGKRZSA-N 0 1 273.336 0.165 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@@H]2CCN(CCO)C2)cn1 ZINC001033595296 818844193 /nfs/dbraw/zinc/84/41/93/818844193.db2.gz IZYKFNDGCOOXIJ-CQSZACIVSA-N 0 1 273.336 0.202 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001033661374 818881158 /nfs/dbraw/zinc/88/11/58/818881158.db2.gz OOJHHMSINNCVOC-LLVKDONJSA-N 0 1 276.340 0.446 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2COCCO2)C1 ZINC001033759925 818918262 /nfs/dbraw/zinc/91/82/62/818918262.db2.gz BRNZBTQNDVBLFK-OLZOCXBDSA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CN(C)CCO2)C1 ZINC001033780115 818937568 /nfs/dbraw/zinc/93/75/68/818937568.db2.gz GHSSTFIVMVTXSF-UONOGXRCSA-N 0 1 281.400 0.426 20 30 CCEDMN CCN(C(=O)[C@@H]1CCCN1C)[C@@H]1CCN(CC#N)C1 ZINC001033778240 818938823 /nfs/dbraw/zinc/93/88/23/818938823.db2.gz YWZJXDHAEKNCLY-OLZOCXBDSA-N 0 1 264.373 0.527 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033794798 818942726 /nfs/dbraw/zinc/94/27/26/818942726.db2.gz HLUYVRDCDCVFTG-LBPRGKRZSA-N 0 1 290.367 0.983 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033794798 818942729 /nfs/dbraw/zinc/94/27/29/818942729.db2.gz HLUYVRDCDCVFTG-LBPRGKRZSA-N 0 1 290.367 0.983 20 30 CCEDMN Cc1cc(CNC[C@@H]2CCCN2C(=O)[C@H](C)C#N)nn1C ZINC001044962192 818946936 /nfs/dbraw/zinc/94/69/36/818946936.db2.gz GJGVLCLEASRWRU-RISCZKNCSA-N 0 1 289.383 0.969 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cnnn2C)C1 ZINC001033816799 818956756 /nfs/dbraw/zinc/95/67/56/818956756.db2.gz PGOWQRDTQKYTGS-NSHDSACASA-N 0 1 263.345 0.538 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033848493 818966242 /nfs/dbraw/zinc/96/62/42/818966242.db2.gz NIJUDKBWIOKRPW-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033863068 818972984 /nfs/dbraw/zinc/97/29/84/818972984.db2.gz SEGYSKCBLULULO-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccnc3n[nH]nc32)C1 ZINC001033895358 818982434 /nfs/dbraw/zinc/98/24/34/818982434.db2.gz QOKWOQSZVAHJIY-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CC=CC2)[C@H](O)C1 ZINC001090155586 818995579 /nfs/dbraw/zinc/99/55/79/818995579.db2.gz OPPAXFFNOLYKCV-ZIAGYGMSSA-N 0 1 262.353 0.527 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(=O)[nH]2)[C@H](O)C1 ZINC001090168429 819004908 /nfs/dbraw/zinc/00/49/08/819004908.db2.gz AYEZUIIITRWUQI-ZYHUDNBSSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CC[NH+]1CC[C@H](N(CC)C(=O)[C@@H]2C[N@H+](CC)CCO2)C1 ZINC001034048256 819044247 /nfs/dbraw/zinc/04/42/47/819044247.db2.gz NRBMTHCJRKZLQX-GJZGRUSLSA-N 0 1 295.427 0.816 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1CCCCN(CC#N)C1 ZINC001034129272 819088733 /nfs/dbraw/zinc/08/87/33/819088733.db2.gz AHGBMLOCQZDJGP-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)Cc2nnc(C)o2)C1 ZINC001034197926 819121729 /nfs/dbraw/zinc/12/17/29/819121729.db2.gz VWHMBWXABUIEQY-CYBMUJFWSA-N 0 1 290.367 0.915 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)ncn2)[C@H](O)C1 ZINC001090199823 819135181 /nfs/dbraw/zinc/13/51/81/819135181.db2.gz KDNUFACXPQMRHQ-DGCLKSJQSA-N 0 1 276.340 0.136 20 30 CCEDMN N#Cc1cccc2c1CCN2C(=O)Cc1nc[nH]n1 ZINC001162450506 819199624 /nfs/dbraw/zinc/19/96/24/819199624.db2.gz AGJSLYBBJVDVCD-UHFFFAOYSA-N 0 1 253.265 0.808 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccncn2)C1 ZINC001034448644 819203361 /nfs/dbraw/zinc/20/33/61/819203361.db2.gz SXSWRZIKNSXIBJ-GFCCVEGCSA-N 0 1 258.325 0.694 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnn(CC)n2)[C@@H](O)C1 ZINC001090228213 819278279 /nfs/dbraw/zinc/27/82/79/819278279.db2.gz AABPVKSFWWYYFB-AAEUAGOBSA-N 0 1 293.371 0.039 20 30 CCEDMN C[C@H](CNc1cncc(C#N)n1)NC(=O)Cc1cnc[nH]1 ZINC001108136094 819281624 /nfs/dbraw/zinc/28/16/24/819281624.db2.gz MKWJDTIRKUECJK-SECBINFHSA-N 0 1 285.311 0.231 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cc(C)c(C(=O)[O-])o1 ZINC000704728515 819399608 /nfs/dbraw/zinc/39/96/08/819399608.db2.gz DLKVEQPAKJWBDZ-UHFFFAOYSA-N 0 1 278.308 0.971 20 30 CCEDMN NC(=O)CN1CCC2(C1)CCN(C(=O)C#CC1CC1)CC2 ZINC001035183563 819414817 /nfs/dbraw/zinc/41/48/17/819414817.db2.gz PLWHXPKGEVTQDC-UHFFFAOYSA-N 0 1 289.379 0.200 20 30 CCEDMN C#CCN1CCO[C@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001035603779 819574218 /nfs/dbraw/zinc/57/42/18/819574218.db2.gz YEKSOXIZCKFZPJ-GFCCVEGCSA-N 0 1 299.334 0.022 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cncnc1C)CC2 ZINC001035659965 819595131 /nfs/dbraw/zinc/59/51/31/819595131.db2.gz JMEXKVPUIPXVTN-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCC(=O)N1C)CC2 ZINC001035772589 819627617 /nfs/dbraw/zinc/62/76/17/819627617.db2.gz GOPSHXNCDPWUEG-CYBMUJFWSA-N 0 1 291.395 0.718 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@]13CCOC3)CC2 ZINC001035836577 819634655 /nfs/dbraw/zinc/63/46/55/819634655.db2.gz ZVSURKOUSHBGRK-PBHICJAKSA-N 0 1 288.391 0.971 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnc[nH]2)CC[C@H]1NCC#N ZINC001036047040 819677158 /nfs/dbraw/zinc/67/71/58/819677158.db2.gz MFSTVNLCWNGBSO-DGCLKSJQSA-N 0 1 275.356 0.692 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnc[nH]2)CC[C@@H]1NCC#N ZINC001036047042 819677497 /nfs/dbraw/zinc/67/74/97/819677497.db2.gz MFSTVNLCWNGBSO-YPMHNXCESA-N 0 1 275.356 0.692 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](NCC#N)[C@@H](C)C1 ZINC001036144208 819692570 /nfs/dbraw/zinc/69/25/70/819692570.db2.gz YDDKTYHIPGDJRG-WPRPVWTQSA-N 0 1 262.317 0.077 20 30 CCEDMN Cc1nnc(SCC(=O)NC2=NO[C@H](C)C2)[nH]1 ZINC000504776573 819731160 /nfs/dbraw/zinc/73/11/60/819731160.db2.gz IMMJBHRPOSOTCX-RXMQYKEDSA-N 0 1 255.303 0.444 20 30 CCEDMN Cc1n[nH]c(SCC(=O)NC2=NO[C@H](C)C2)n1 ZINC000504776573 819731163 /nfs/dbraw/zinc/73/11/63/819731163.db2.gz IMMJBHRPOSOTCX-RXMQYKEDSA-N 0 1 255.303 0.444 20 30 CCEDMN C#CC1(O)CCN([C@H]2CCN(C(C)C)C2=O)CC1 ZINC000707913134 819749863 /nfs/dbraw/zinc/74/98/63/819749863.db2.gz ZTFDFVPZOWWIQH-LBPRGKRZSA-N 0 1 250.342 0.456 20 30 CCEDMN C#CCCN(CCOC)C(=O)C1=NC(=O)N(C)C1 ZINC000708338702 819771242 /nfs/dbraw/zinc/77/12/42/819771242.db2.gz ZFNXQNBERCQGHM-UHFFFAOYSA-N 0 1 251.286 0.238 20 30 CCEDMN C#CCCCS(=O)(=O)N(C)C[C@H]1CCCN1C ZINC000710260404 819822688 /nfs/dbraw/zinc/82/26/88/819822688.db2.gz CJEHFWXNTDXOMG-GFCCVEGCSA-N 0 1 258.387 0.756 20 30 CCEDMN COC[C@]1(C(=O)Nc2cccc(C#N)c2)CNCCO1 ZINC000710782023 819838244 /nfs/dbraw/zinc/83/82/44/819838244.db2.gz DSCHROUYYMIRAF-AWEZNQCLSA-N 0 1 275.308 0.502 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)ccn2)[C@H](O)C1 ZINC001090275659 820053529 /nfs/dbraw/zinc/05/35/29/820053529.db2.gz MIEVNGYIGFVXNL-DZGCQCFKSA-N 0 1 289.379 0.995 20 30 CCEDMN O=C(C[C@@H]1CCNC1=O)NCCNCC#Cc1ccccc1 ZINC001129297031 820140784 /nfs/dbraw/zinc/14/07/84/820140784.db2.gz JFVBKIBWXQSZEB-HNNXBMFYSA-N 0 1 299.374 0.270 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H]1CNc1ncnc2[nH]cnc21 ZINC001063454402 820149037 /nfs/dbraw/zinc/14/90/37/820149037.db2.gz RIXSLWNMPKUYII-NXEZZACHSA-N 0 1 299.338 0.867 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c[nH]cc2C2CC2)[C@@H](O)C1 ZINC001083902841 820208001 /nfs/dbraw/zinc/20/80/01/820208001.db2.gz BVEUZMFTGLOTBF-CABCVRRESA-N 0 1 287.363 0.690 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)nnc2C)[C@H](O)C1 ZINC001090292614 820216008 /nfs/dbraw/zinc/21/60/08/820216008.db2.gz OYXFWSMPJCVJOU-UONOGXRCSA-N 0 1 290.367 0.444 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C2C=CC=CC=C2)[C@H](O)C1 ZINC001090302340 820230067 /nfs/dbraw/zinc/23/00/67/820230067.db2.gz WRKAQHDVMVTMAS-JKSUJKDBSA-N 0 1 286.375 0.860 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2C=CC=CC=C2)[C@H](O)C1 ZINC001090302340 820230070 /nfs/dbraw/zinc/23/00/70/820230070.db2.gz WRKAQHDVMVTMAS-JKSUJKDBSA-N 0 1 286.375 0.860 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2nc(CC)c[nH]2)[C@@H](O)C1 ZINC001090337101 820278129 /nfs/dbraw/zinc/27/81/29/820278129.db2.gz OMLFLHZQBJSJKQ-OLZOCXBDSA-N 0 1 292.383 0.252 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001079699374 820455823 /nfs/dbraw/zinc/45/58/23/820455823.db2.gz LJZLDBUVMOKHBD-TYNCELHUSA-N 0 1 286.379 0.899 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cn3c(n2)CCC3)C1 ZINC001079723693 820458209 /nfs/dbraw/zinc/45/82/09/820458209.db2.gz FGVYZZNPTGDHGN-CHWSQXEVSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCCCCCC(=O)NCCCNCc1ncnn1C ZINC001163969492 820474607 /nfs/dbraw/zinc/47/46/07/820474607.db2.gz KOYBWDJUSRKIDY-UHFFFAOYSA-N 0 1 291.399 0.995 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001079837020 820475708 /nfs/dbraw/zinc/47/57/08/820475708.db2.gz KZSURIJQLOIYSN-ZYHUDNBSSA-N 0 1 277.372 0.843 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001079862826 820478958 /nfs/dbraw/zinc/47/89/58/820478958.db2.gz KGGLCZYBVGZBJV-BXUZGUMPSA-N 0 1 274.368 0.341 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001079882561 820481555 /nfs/dbraw/zinc/48/15/55/820481555.db2.gz KAUQMURNGRAQDQ-XQHKEYJVSA-N 0 1 268.357 0.413 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnsn2)[C@H](OC)C1 ZINC001081400378 820756601 /nfs/dbraw/zinc/75/66/01/820756601.db2.gz STFAUKGHIXEMKY-GHMZBOCLSA-N 0 1 282.369 0.543 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccoc2)[C@H](OC)C1 ZINC001081584213 820792027 /nfs/dbraw/zinc/79/20/27/820792027.db2.gz RZNKSIHDDAPPMO-ZIAGYGMSSA-N 0 1 276.336 0.661 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c(C)ccn2C)[C@H](OC)C1 ZINC001081593576 820803816 /nfs/dbraw/zinc/80/38/16/820803816.db2.gz CNTZFEVRYRNLBZ-ZIAGYGMSSA-N 0 1 289.379 0.786 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001081694102 820813976 /nfs/dbraw/zinc/81/39/76/820813976.db2.gz JYBATNYYBIACOH-YIYPIFLZSA-N 0 1 282.384 0.803 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CC[N@H+](CCCF)C[C@@H]1O ZINC001099728200 820820191 /nfs/dbraw/zinc/82/01/91/820820191.db2.gz WBBHKWZQBQVWJA-NEPJUHHUSA-N 0 1 258.337 0.864 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H](NC(=O)C2(F)CCCC2)[C@H](O)C1 ZINC001090371411 820915161 /nfs/dbraw/zinc/91/51/61/820915161.db2.gz JKWPHCBCCOUYCY-CHWSQXEVSA-N 0 1 282.359 0.843 20 30 CCEDMN Cc1nccnc1CN[C@@H]1CN(C(=O)[C@@H](C)C#N)C[C@H]1C ZINC001082451145 820972575 /nfs/dbraw/zinc/97/25/75/820972575.db2.gz QUBGHXXDELJGTF-MISXGVKJSA-N 0 1 287.367 0.881 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CC(C)C)[C@H]2C1 ZINC001082982594 821088935 /nfs/dbraw/zinc/08/89/35/821088935.db2.gz BYUSUVUTNAMDOO-UONOGXRCSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3cnn(C)c3)[C@H]2C1 ZINC001082995691 821097126 /nfs/dbraw/zinc/09/71/26/821097126.db2.gz RUJZPMBMEBWEDN-UONOGXRCSA-N 0 1 290.367 0.521 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H](CC)OC)[C@H]2C1 ZINC001083021080 821110210 /nfs/dbraw/zinc/11/02/10/821110210.db2.gz DJVNHQIQDUJLHZ-MELADBBJSA-N 0 1 280.368 0.346 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)c2cccnc2)[C@@H](O)C1 ZINC001083962007 821174151 /nfs/dbraw/zinc/17/41/51/821174151.db2.gz RPCPMLRUUCALOO-CFVMTHIKSA-N 0 1 289.379 0.923 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN(CC#CC)C[C@@H]1O ZINC001099803828 821308202 /nfs/dbraw/zinc/30/82/02/821308202.db2.gz DOYUHAFJKXZNMI-KBPBESRZSA-N 0 1 262.353 0.365 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)[C@@H]2C1 ZINC001084593368 821325242 /nfs/dbraw/zinc/32/52/42/821325242.db2.gz ZOKSKASKVZQJRK-QMIVOQANSA-N 0 1 274.364 0.435 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCC(c2nc[nH]n2)CC1 ZINC001119718758 821424350 /nfs/dbraw/zinc/42/43/50/821424350.db2.gz FVYNLNLZQCDLEU-UHFFFAOYSA-N 0 1 274.332 0.751 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](C)n3cncn3)C[C@@H]21 ZINC001084924790 821431346 /nfs/dbraw/zinc/43/13/46/821431346.db2.gz QNVNZJHBOLGELQ-MCIONIFRSA-N 0 1 287.367 0.395 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)C[C@@H]21 ZINC001084962734 821442667 /nfs/dbraw/zinc/44/26/67/821442667.db2.gz FFQVNTHRCFCDFE-BHPKHCPMSA-N 0 1 299.378 0.960 20 30 CCEDMN C[C@H](O)CCCN1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815913 821451155 /nfs/dbraw/zinc/45/11/55/821451155.db2.gz VCIFDLFIYOQGHU-QWHCGFSZSA-N 0 1 299.440 0.937 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCCC2)C[C@@H](C)N1CC#N ZINC000822439667 821484460 /nfs/dbraw/zinc/48/44/60/821484460.db2.gz WIOXHVGGMLJPDH-CHWSQXEVSA-N 0 1 264.373 0.527 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cnc(N)nc1 ZINC001273304794 821590992 /nfs/dbraw/zinc/59/09/92/821590992.db2.gz RFQKHCVIZZWCKJ-CHWSQXEVSA-N 0 1 287.367 0.810 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cnc(N)nc1 ZINC001273304794 821590997 /nfs/dbraw/zinc/59/09/97/821590997.db2.gz RFQKHCVIZZWCKJ-CHWSQXEVSA-N 0 1 287.367 0.810 20 30 CCEDMN C[C@H]1CCN(CC(=O)N[C@@H]2CCCC[C@H]2NCC#N)C1 ZINC001085332924 821662829 /nfs/dbraw/zinc/66/28/29/821662829.db2.gz RSUMXQYHMLBWNL-BFHYXJOUSA-N 0 1 278.400 0.869 20 30 CCEDMN COC(=O)[C@@H](Cc1ccccc1O)NC(=O)C(C)C#N ZINC000826663551 821668350 /nfs/dbraw/zinc/66/83/50/821668350.db2.gz BMOQUPHBXMZNHZ-GXSJLCMTSA-N 0 1 276.292 0.752 20 30 CCEDMN Cc1cnc(CNCCCNC(=O)CSCC#N)o1 ZINC001154802634 821669585 /nfs/dbraw/zinc/66/95/85/821669585.db2.gz MCOFQGPOBUFKBU-UHFFFAOYSA-N 0 1 282.369 0.836 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnc(C)cn1 ZINC001085467788 821722133 /nfs/dbraw/zinc/72/21/33/821722133.db2.gz AQZNLORYZJHGFZ-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cnn(C)c1N ZINC001085558399 821790652 /nfs/dbraw/zinc/79/06/52/821790652.db2.gz QILMUCMJFPNEAO-NSHDSACASA-N 0 1 277.372 0.725 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(=O)n(C)o1 ZINC001085569863 821803551 /nfs/dbraw/zinc/80/35/51/821803551.db2.gz VFXDHIGCNKOWOP-NSHDSACASA-N 0 1 279.340 0.701 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)c1cnc[nH]c1=O ZINC001085661981 821882051 /nfs/dbraw/zinc/88/20/51/821882051.db2.gz NFJZTMFSCLNFMS-SNVBAGLBSA-N 0 1 262.313 0.515 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@@H]2CCN2C[C@@H](C)O)cn1 ZINC001085932594 822022128 /nfs/dbraw/zinc/02/21/28/822022128.db2.gz CRYILILFVNQHJI-DOMZBBRYSA-N 0 1 287.363 0.590 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C(N)=O)c1 ZINC001085974282 822047448 /nfs/dbraw/zinc/04/74/48/822047448.db2.gz QOJOLLRXSSBRAG-GFCCVEGCSA-N 0 1 288.351 0.283 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](NC(=O)CCOC)CC1 ZINC001273383520 822062284 /nfs/dbraw/zinc/06/22/84/822062284.db2.gz IQVIXCGYIUDJER-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C#CCCCCC(=O)N[C@H]1CCCN(CC(=O)NC)CC1 ZINC001273412435 822163083 /nfs/dbraw/zinc/16/30/83/822163083.db2.gz ZVDBCTUYRYTZPP-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001086248981 822174344 /nfs/dbraw/zinc/17/43/44/822174344.db2.gz QDDSCYGUCDITAH-UTUOFQBUSA-N 0 1 287.367 0.523 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001086415828 822246168 /nfs/dbraw/zinc/24/61/68/822246168.db2.gz ISUMOEDHAHROIH-MGCOHNPYSA-N 0 1 273.340 0.520 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2cnon2)C1 ZINC001108291557 822322601 /nfs/dbraw/zinc/32/26/01/822322601.db2.gz SJZVAPXKZOZWTE-ZDUSSCGKSA-N 0 1 280.328 0.466 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2cnon2)C1 ZINC001108291556 822322914 /nfs/dbraw/zinc/32/29/14/822322914.db2.gz SJZVAPXKZOZWTE-CYBMUJFWSA-N 0 1 280.328 0.466 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CC)OCC ZINC001113977622 837392250 /nfs/dbraw/zinc/39/22/50/837392250.db2.gz XEZACYFCQWPAIG-QNWHQSFQSA-N 0 1 250.342 0.481 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C1CC1 ZINC001114104087 837439241 /nfs/dbraw/zinc/43/92/41/837439241.db2.gz WGVSKMVKUWFVEJ-RZFFKMDDSA-N 0 1 276.380 0.729 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114160372 837457553 /nfs/dbraw/zinc/45/75/53/837457553.db2.gz WZZYQVLULNCSGL-VIKVFOODSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)c2ccco2)C[C@@H]1n1ccnn1 ZINC001129525988 837519476 /nfs/dbraw/zinc/51/94/76/837519476.db2.gz VPCXHQZKRNZFNC-OLZOCXBDSA-N 0 1 299.334 0.550 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCSC)C[C@@H]1n1ccnn1 ZINC001129553305 837526893 /nfs/dbraw/zinc/52/68/93/837526893.db2.gz YNGCSUDTGWLLFT-NEPJUHHUSA-N 0 1 293.396 0.006 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C/C=C(\C)C=C)C[C@@H]1n1ccnn1 ZINC001129963961 837598103 /nfs/dbraw/zinc/59/81/03/837598103.db2.gz OLATWOFLUCMUPT-UOTLJSKWSA-N 0 1 299.378 0.775 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1[nH]c(=O)[nH]c1C ZINC001129995492 837604138 /nfs/dbraw/zinc/60/41/38/837604138.db2.gz SCKVNRRKVUKEMF-UHFFFAOYSA-N 0 1 258.709 0.496 20 30 CCEDMN C=CCCCC(=O)N1C[C@@H](NCCF)[C@@H](n2ccnn2)C1 ZINC001129986755 837608103 /nfs/dbraw/zinc/60/81/03/837608103.db2.gz KUSPUQOAWKZGAM-OLZOCXBDSA-N 0 1 295.362 0.945 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1nc2ncc(C)cn2n1 ZINC001130074275 837679534 /nfs/dbraw/zinc/67/95/34/837679534.db2.gz PZZYBLUZQXNQDZ-UHFFFAOYSA-N 0 1 294.746 0.505 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)CCOC)C1 ZINC001130326267 837765363 /nfs/dbraw/zinc/76/53/63/837765363.db2.gz KOAKFEWWRSOLKS-LBPRGKRZSA-N 0 1 290.367 0.485 20 30 CCEDMN N#CC(Cc1ccc(O)cc1)C(=O)NC1=CCOC1=O ZINC001183616068 844057951 /nfs/dbraw/zinc/05/79/51/844057951.db2.gz IHJFDAUKCFXWKH-SNVBAGLBSA-N 0 1 272.260 0.631 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCCCNCc2ncccn2)c1 ZINC001156296009 836215707 /nfs/dbraw/zinc/21/57/07/836215707.db2.gz DMGNMNGVMQIZCN-UHFFFAOYSA-N 0 1 284.323 0.586 20 30 CCEDMN CCOC(=O)C1(Nc2nnccc2C#N)CCN(C)CC1 ZINC001169491440 836322878 /nfs/dbraw/zinc/32/28/78/836322878.db2.gz QUSJGSZUOPHSAJ-UHFFFAOYSA-N 0 1 289.339 0.788 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@H](C)NC(=O)Cc2nnc[nH]2)n1 ZINC001108516330 836391376 /nfs/dbraw/zinc/39/13/76/836391376.db2.gz JERFJTWMLMXHOO-JTQLQIEISA-N 0 1 299.338 0.539 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@@H](O)Cc1cccc(F)c1 ZINC001184144082 844160868 /nfs/dbraw/zinc/16/08/68/844160868.db2.gz ANRPPWVGOIYWQF-NSHDSACASA-N 0 1 274.255 0.963 20 30 CCEDMN C=C[C@@H](CO)Nc1ccc(C(=O)N2CCNCC2)cc1 ZINC001169784683 836444760 /nfs/dbraw/zinc/44/47/60/836444760.db2.gz POBPSWSHIVXCJN-ZDUSSCGKSA-N 0 1 275.352 0.691 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCCCNCc1cnn(C)n1 ZINC001169822907 836454651 /nfs/dbraw/zinc/45/46/51/836454651.db2.gz ZGRVFQNHXJLQMH-NEPJUHHUSA-N 0 1 292.387 0.739 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nc1)NC(=O)Cc1cnc[nH]1 ZINC001108718879 836515658 /nfs/dbraw/zinc/51/56/58/836515658.db2.gz ZMJAUAASDZAEGT-JTQLQIEISA-N 0 1 284.323 0.836 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCn2cc(C)cn2)[C@@H](O)C1 ZINC001100126243 836527755 /nfs/dbraw/zinc/52/77/55/836527755.db2.gz DQKDCULUUDUHTG-KGLIPLIRSA-N 0 1 292.383 0.319 20 30 CCEDMN Cc1nc(CN2[C@@H]3CC[C@H]2[C@@H](NC(=O)[C@@H](C)C#N)C3)n[nH]1 ZINC001109088348 836612638 /nfs/dbraw/zinc/61/26/38/836612638.db2.gz MJVGYADSXQTVDG-IELRGYKMSA-N 0 1 288.355 0.494 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(C)(C)O)C2 ZINC001109226531 836641203 /nfs/dbraw/zinc/64/12/03/836641203.db2.gz UKUBTRWBJPJTER-AGIUHOORSA-N 0 1 264.369 0.892 20 30 CCEDMN C[C@H](CN(C)c1ccc(C#N)nn1)NC(=O)Cc1cnc[nH]1 ZINC001109254726 836647160 /nfs/dbraw/zinc/64/71/60/836647160.db2.gz FXNQGGMQLVHKDX-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001109273132 836650257 /nfs/dbraw/zinc/65/02/57/836650257.db2.gz XRUWQXYLCBWNQV-RDBSUJKOSA-N 0 1 262.353 0.934 20 30 CCEDMN CN(CCCNc1cnc(C#N)cn1)C(=O)Cc1ccn[nH]1 ZINC001109398904 836671978 /nfs/dbraw/zinc/67/19/78/836671978.db2.gz OCNAJFRAXWJSPJ-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)NC(C)=O)C2 ZINC001109745462 836722720 /nfs/dbraw/zinc/72/27/20/836722720.db2.gz PHMFKFTZEDMQHE-RFGFWPKPSA-N 0 1 279.384 0.809 20 30 CCEDMN C=CCOCCN1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001112620493 836826975 /nfs/dbraw/zinc/82/69/75/836826975.db2.gz CYIZCFZKCQWJME-UHFFFAOYSA-N 0 1 264.329 0.370 20 30 CCEDMN C=CCCN1CCN(C(=O)CN(C)C(C)=O)CC1 ZINC001112627329 836829300 /nfs/dbraw/zinc/82/93/00/836829300.db2.gz DVCKXIZKMWJBBL-UHFFFAOYSA-N 0 1 253.346 0.185 20 30 CCEDMN C=CCOCC(=O)N1CCN(C[C@@H]2CCCOC2)CC1 ZINC001112708570 836876216 /nfs/dbraw/zinc/87/62/16/836876216.db2.gz NEWVHGYUMSTNKA-AWEZNQCLSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CCOCC(=O)N1CCN([C@@H](C)c2n[nH]c(C)n2)CC1 ZINC001112708375 836876505 /nfs/dbraw/zinc/87/65/05/836876505.db2.gz CNYCDLLWQSYXQX-NSHDSACASA-N 0 1 293.371 0.521 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCC1(Nc2ccncc2C#N)CC1 ZINC001110083621 836892576 /nfs/dbraw/zinc/89/25/76/836892576.db2.gz YLAQOVNTTIGTJP-CQSZACIVSA-N 0 1 299.378 0.530 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCN(CCC(C)C)CC1 ZINC001112779186 836908089 /nfs/dbraw/zinc/90/80/89/836908089.db2.gz NIGFJQIRJGYKRG-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CCC2CC2)CC1 ZINC001112841707 836940989 /nfs/dbraw/zinc/94/09/89/836940989.db2.gz JJQWHLPAZQRCQW-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CCN(C(C)=O)C2)CC1 ZINC001113588327 837170172 /nfs/dbraw/zinc/17/01/72/837170172.db2.gz IAYSHKGZJPOGSC-HNNXBMFYSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCOCCN1CCN(C(=O)C[N@H+](C)C(C)C)CC1 ZINC001113686530 837194501 /nfs/dbraw/zinc/19/45/01/837194501.db2.gz IIRCBMXGJVEUJY-UHFFFAOYSA-N 0 1 283.416 0.673 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCCO1 ZINC001113913806 837364485 /nfs/dbraw/zinc/36/44/85/837364485.db2.gz RYIGKTWXBAQCGG-TTZDDIAXSA-N 0 1 292.379 0.252 20 30 CCEDMN N#C[C@H](Nc1cc(Br)cc2cncn21)C(N)=O ZINC001170543435 838008246 /nfs/dbraw/zinc/00/82/46/838008246.db2.gz ZJOJPUYPVCCFGW-QMMMGPOBSA-N 0 1 294.112 0.886 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)COCCC)C[C@H](C)O2 ZINC001131641447 838163425 /nfs/dbraw/zinc/16/34/25/838163425.db2.gz FKEQBIPFRLXQFD-GOEBONIOSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCn2cccn2)CC[C@H]1C ZINC001131820899 838230971 /nfs/dbraw/zinc/23/09/71/838230971.db2.gz KLLLGXXZORYKCK-ZIAGYGMSSA-N 0 1 274.368 0.876 20 30 CCEDMN COCCN1C[C@@H](NC(=O)CSCC#N)CC[C@@H]1C ZINC001131830311 838243282 /nfs/dbraw/zinc/24/32/82/838243282.db2.gz PTCYYKDUJIMWBB-RYUDHWBXSA-N 0 1 285.413 0.859 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CNC(=O)CCC)CC[C@H]1C ZINC001131861070 838245925 /nfs/dbraw/zinc/24/59/25/838245925.db2.gz GDXSZNCYXSCVNJ-CHWSQXEVSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC(C)(C)O)CC[C@H]1C ZINC001132030385 838305093 /nfs/dbraw/zinc/30/50/93/838305093.db2.gz ULDVEOFVZIXTGX-NEPJUHHUSA-N 0 1 252.358 0.750 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2ccn(C)n2)CC[C@H]1C ZINC001132076693 838313681 /nfs/dbraw/zinc/31/36/81/838313681.db2.gz CIMAHHVAHQGIQZ-HIFRSBDPSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)CCCn2ccnn2)CC[C@@H]1C ZINC001132097488 838316716 /nfs/dbraw/zinc/31/67/16/838316716.db2.gz KFNMLIHAPSDOHN-UONOGXRCSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCn2ccnn2)CC[C@@H]1C ZINC001132097488 838316717 /nfs/dbraw/zinc/31/67/17/838316717.db2.gz KFNMLIHAPSDOHN-UONOGXRCSA-N 0 1 289.383 0.661 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NCCNCC(=O)Nc1ccon1 ZINC001132663362 838465802 /nfs/dbraw/zinc/46/58/02/838465802.db2.gz VPNJEGNUJHIJOU-LLVKDONJSA-N 0 1 294.355 0.921 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)Cc1cnoc1 ZINC001132739959 838482339 /nfs/dbraw/zinc/48/23/39/838482339.db2.gz XLERUBFXRCMWSS-UHFFFAOYSA-N 0 1 284.319 0.995 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccoc1CC(N)=O ZINC001132946785 838522811 /nfs/dbraw/zinc/52/28/11/838522811.db2.gz AABRPFXTRDWFNV-UHFFFAOYSA-N 0 1 285.731 0.379 20 30 CCEDMN N#Cc1cncc(NC2(CNC(=O)Cc3cnc[nH]3)CC2)n1 ZINC001110356836 844341350 /nfs/dbraw/zinc/34/13/50/844341350.db2.gz QZYJQSMMOHRNKQ-UHFFFAOYSA-N 0 1 297.322 0.375 20 30 CCEDMN N#Cc1nccnc1NC1(CNC(=O)Cc2cnc[nH]2)CC1 ZINC001110356738 844341385 /nfs/dbraw/zinc/34/13/85/844341385.db2.gz MERZUQURJWAEAN-UHFFFAOYSA-N 0 1 297.322 0.375 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)C[C@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001133888883 838767370 /nfs/dbraw/zinc/76/73/70/838767370.db2.gz NVNCAUVBAPNIEJ-QWRGUYRKSA-N 0 1 295.387 0.448 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001133931355 838783923 /nfs/dbraw/zinc/78/39/23/838783923.db2.gz CQRUSAFYSWCRBQ-ZJUUUORDSA-N 0 1 273.764 0.346 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001195732980 846603703 /nfs/dbraw/zinc/60/37/03/846603703.db2.gz STMYKDUGVZTLBW-VXGBXAGGSA-N 0 1 258.337 0.864 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)C[C@H](C)NCc1nncn1C ZINC001134201518 838909491 /nfs/dbraw/zinc/90/94/91/838909491.db2.gz PWCKGSRTGAQFPY-QWHCGFSZSA-N 0 1 291.399 0.992 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)C[C@@H](C)NCc1cnn(C)n1 ZINC001134317463 838944071 /nfs/dbraw/zinc/94/40/71/838944071.db2.gz JNPRRSQBNXZWAX-OLZOCXBDSA-N 0 1 291.399 0.847 20 30 CCEDMN C[C@H](C[C@H](C)NCc1cn(C)nn1)NC(=O)C#CC1CC1 ZINC001134318983 838944968 /nfs/dbraw/zinc/94/49/68/838944968.db2.gz WOIZZFDTLWFPES-NWDGAFQWSA-N 0 1 289.383 0.601 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@@H](C)NCc1nnnn1C ZINC001134469865 838983914 /nfs/dbraw/zinc/98/39/14/838983914.db2.gz JHAWGIMRSRUNOK-NEPJUHHUSA-N 0 1 294.403 0.939 20 30 CCEDMN C[C@H](C[C@H](C)NCC#N)NC(=O)C[N@@H+](C)C1CCC1 ZINC001134607438 839023594 /nfs/dbraw/zinc/02/35/94/839023594.db2.gz HHIKCPDIKPCDAB-NWDGAFQWSA-N 0 1 266.389 0.867 20 30 CCEDMN C#CCNC(=O)c1ncc(Br)cc1O ZINC001135494883 839264682 /nfs/dbraw/zinc/26/46/82/839264682.db2.gz LZAVTXVIRNYPSE-UHFFFAOYSA-N 0 1 255.071 0.913 20 30 CCEDMN CC[C@@](N)(CO)Nc1nc(SC)nc(C)c1C#N ZINC001170858518 839443864 /nfs/dbraw/zinc/44/38/64/839443864.db2.gz KNUHDJZMYKBOFK-NSHDSACASA-N 0 1 267.358 0.848 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccnc2C)[C@@H](O)C1 ZINC001090531776 839586632 /nfs/dbraw/zinc/58/66/32/839586632.db2.gz NONNNALHXZMASY-KBPBESRZSA-N 0 1 275.352 0.741 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(Cl)[nH]2)[C@H](O)C1 ZINC001090550230 839631167 /nfs/dbraw/zinc/63/11/67/839631167.db2.gz PEKUFSMKTAPELT-ZYHUDNBSSA-N 0 1 295.770 0.856 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)[nH]c2C)[C@@H](O)C1 ZINC001090604936 839671293 /nfs/dbraw/zinc/67/12/93/839671293.db2.gz GUFFSBWOJLZZFT-GJZGRUSLSA-N 0 1 289.379 0.820 20 30 CCEDMN CSCC[C@@H](O)C(=O)NC1(C#N)CCN(C)CC1 ZINC001144004079 839910835 /nfs/dbraw/zinc/91/08/35/839910835.db2.gz RBPPNPDNFUEAJK-SNVBAGLBSA-N 0 1 271.386 0.205 20 30 CCEDMN CN(C)CC(=O)N[C@H]1CC[C@H](NC(=O)CCS)CC1 ZINC001143993524 839912080 /nfs/dbraw/zinc/91/20/80/839912080.db2.gz VRELYXXYUFMWEP-XYPYZODXSA-N 0 1 287.429 0.412 20 30 CCEDMN N#Cc1cncc(CN2CCc3nncn3CC2)c1 ZINC001144074006 839924661 /nfs/dbraw/zinc/92/46/61/839924661.db2.gz ABRCVWPHKAQHRM-UHFFFAOYSA-N 0 1 254.297 0.603 20 30 CCEDMN N#CCNC1CC(CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001091115959 840054288 /nfs/dbraw/zinc/05/42/88/840054288.db2.gz ISUMOEDHAHROIH-UHFFFAOYSA-N 0 1 273.340 0.520 20 30 CCEDMN COc1cccc(C[C@@H](N)C(=O)Nc2cn(C)nc2C#N)c1 ZINC001144932431 840195018 /nfs/dbraw/zinc/19/50/18/840195018.db2.gz AQPBJHQICGYGAQ-GFCCVEGCSA-N 0 1 299.334 0.809 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001091445174 840231634 /nfs/dbraw/zinc/23/16/34/840231634.db2.gz PRKJOYRLEXDQJT-OPRDCNLKSA-N 0 1 299.338 0.819 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(OC)nn1 ZINC001146671842 840340089 /nfs/dbraw/zinc/34/00/89/840340089.db2.gz AOYKXAHFJVKQSN-UHFFFAOYSA-N 0 1 270.720 0.557 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)c3ccncc3)C2)C1 ZINC001146875567 840412824 /nfs/dbraw/zinc/41/28/24/840412824.db2.gz GRXOFEFLTZOAHE-UHFFFAOYSA-N 0 1 299.374 0.879 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CC(=O)NCC1CC1 ZINC001147084899 840476884 /nfs/dbraw/zinc/47/68/84/840476884.db2.gz QRYQPPZJVLABSY-UHFFFAOYSA-N 0 1 273.764 0.361 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)COC)C2)C1 ZINC001147206272 840519978 /nfs/dbraw/zinc/51/99/78/840519978.db2.gz MYLNJWWJQHNXCS-LBPRGKRZSA-N 0 1 250.342 0.436 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001147514389 840606982 /nfs/dbraw/zinc/60/69/82/840606982.db2.gz KRBFOLFYIXFIIV-UHFFFAOYSA-N 0 1 286.375 0.584 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@@H]2CCN(C(C)=O)[C@@H]2C1 ZINC001186620751 844574056 /nfs/dbraw/zinc/57/40/56/844574056.db2.gz LQIOLBYQEBTVCF-KGYLQXTDSA-N 0 1 265.357 0.230 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COC[C@H]3CCOC3)C2)C1 ZINC001148363382 840792776 /nfs/dbraw/zinc/79/27/76/840792776.db2.gz FPJHNNMWMLRELG-AWEZNQCLSA-N 0 1 292.379 0.207 20 30 CCEDMN C=CCOCCN1C[C@@H]2CCN(C(=O)C(F)F)[C@@H]2C1 ZINC001186630904 844578734 /nfs/dbraw/zinc/57/87/34/844578734.db2.gz XSJVAJLIEGJNMY-WDEREUQCSA-N 0 1 274.311 0.987 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCCn3cncn3)C2)C1 ZINC001148556102 840838707 /nfs/dbraw/zinc/83/87/07/840838707.db2.gz MYWCHJBEUDCGFP-UHFFFAOYSA-N 0 1 287.367 0.226 20 30 CCEDMN N#Cc1ccc(N2CCC[N@H+](CC(=O)[O-])CC2)cn1 ZINC000129112061 840893605 /nfs/dbraw/zinc/89/36/05/840893605.db2.gz KSONJRHHTJNVHR-UHFFFAOYSA-N 0 1 260.297 0.550 20 30 CCEDMN CCOCC(=O)N1CC[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001186725749 844597575 /nfs/dbraw/zinc/59/75/75/844597575.db2.gz OYPPIYXGCOSOCQ-UONOGXRCSA-N 0 1 280.368 0.205 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cn(C)nn2)[C@H](C)C1 ZINC001092578864 841050571 /nfs/dbraw/zinc/05/05/71/841050571.db2.gz MZSIHZIAXMIIFG-MWLCHTKSSA-N 0 1 297.790 0.865 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H]2CCNC2=O)[C@H](C)C1 ZINC001092884713 841077797 /nfs/dbraw/zinc/07/77/97/841077797.db2.gz PVJVPCKIAHSIKU-JLLWLGSASA-N 0 1 299.802 0.559 20 30 CCEDMN C#CCNC(=O)CSc1nc(C(C)(C)C)n[nH]1 ZINC000713513546 841140383 /nfs/dbraw/zinc/14/03/83/841140383.db2.gz WHXMBKGGOYNRRS-UHFFFAOYSA-N 0 1 252.343 0.944 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC001093190980 841168975 /nfs/dbraw/zinc/16/89/75/841168975.db2.gz NQTDJLYYXHSTEJ-ZYHUDNBSSA-N 0 1 298.350 0.779 20 30 CCEDMN C#CCN1CC[C@H]2CN(C(=O)c3cn(C)ccc3=O)CC[C@@H]21 ZINC001036768270 841187212 /nfs/dbraw/zinc/18/72/12/841187212.db2.gz CTKRROVAAMFEAL-ZFWWWQNUSA-N 0 1 299.374 0.555 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H]2[C@H](CCN2CC(N)=O)C1 ZINC001036789014 841219455 /nfs/dbraw/zinc/21/94/55/841219455.db2.gz KZKYRCCOBRGJIM-VXGBXAGGSA-N 0 1 279.384 0.607 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H]2[C@@H](CCN2CC(N)=O)C1 ZINC001036794321 841224805 /nfs/dbraw/zinc/22/48/05/841224805.db2.gz SPBOJMXTGNFCJS-QWHCGFSZSA-N 0 1 293.411 0.997 20 30 CCEDMN C=CCCC(=O)NCCNc1ncnc2[nH]cnc21 ZINC001093516253 841302649 /nfs/dbraw/zinc/30/26/49/841302649.db2.gz FYEYGJQBSQYTEY-UHFFFAOYSA-N 0 1 260.301 0.799 20 30 CCEDMN Cc1nsc(NCCNC(=O)[C@@H]2CCCN2C)c1C#N ZINC001093530686 841313018 /nfs/dbraw/zinc/31/30/18/841313018.db2.gz BRHPGWLPKKYQHO-NSHDSACASA-N 0 1 293.396 0.946 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)Nc1nc(-c2ccncc2)n[nH]1 ZINC001186971019 844630514 /nfs/dbraw/zinc/63/05/14/844630514.db2.gz OFYIPLDTTRQYJQ-RKDXNWHRSA-N 0 1 254.253 0.965 20 30 CCEDMN CC#CC[N@H+]1CC[C@H]2CN(C(=O)Cc3nnc[nH]3)CC[C@H]21 ZINC001036866073 841423716 /nfs/dbraw/zinc/42/37/16/841423716.db2.gz YLLUEWAIMZNXJI-QWHCGFSZSA-N 0 1 287.367 0.293 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](CNCc2cnn(C)n2)[C@H](C)C1 ZINC001093679566 841426382 /nfs/dbraw/zinc/42/63/82/841426382.db2.gz XCBOPWWJDMJJHY-QJPTWQEYSA-N 0 1 290.371 0.159 20 30 CCEDMN CC(C)(C(=O)NCCNc1cnc(C#N)cn1)c1cnc[nH]1 ZINC001093750524 841449240 /nfs/dbraw/zinc/44/92/40/841449240.db2.gz AWHKSHGSXKELBV-UHFFFAOYSA-N 0 1 299.338 0.577 20 30 CCEDMN N#CCN[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)Cc1ccn[nH]1)C2 ZINC001094883479 841882875 /nfs/dbraw/zinc/88/28/75/841882875.db2.gz HTARDDRFRYSFJU-UHTWSYAYSA-N 0 1 273.340 0.445 20 30 CCEDMN C#CC1(N[C@@H]2C[C@@H](C(=O)OC)[C@@H](C(=O)OC)C2)CC1 ZINC001173579635 842110600 /nfs/dbraw/zinc/11/06/00/842110600.db2.gz WOLLVUGGRWCRQI-RTCCRHLQSA-N 0 1 265.309 0.483 20 30 CCEDMN CN1CCC(C#N)(N[C@@H]2CCC[C@@H](n3ncnn3)C2)CC1 ZINC001173744131 842141406 /nfs/dbraw/zinc/14/14/06/842141406.db2.gz JOFKCYXQBBKUMW-CHWSQXEVSA-N 0 1 289.387 0.734 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1ncccn1 ZINC001177269078 842540444 /nfs/dbraw/zinc/54/04/44/842540444.db2.gz DINHVJNLWHAOBW-GFCCVEGCSA-N 0 1 278.356 0.664 20 30 CCEDMN CO/N=C(\C(=O)NC(=N)c1ncc[nH]1)c1ccco1 ZINC001177353662 842563510 /nfs/dbraw/zinc/56/35/10/842563510.db2.gz SIYVFHHPEYKZNU-PXNMLYILSA-N 0 1 261.241 0.495 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC[C@H](O)[C@@H](CO)C1 ZINC001177908880 842706021 /nfs/dbraw/zinc/70/60/21/842706021.db2.gz OSYGDQFGMQSVBG-LOWVWBTDSA-N 0 1 294.376 0.632 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@H]1CCC(=O)N1 ZINC001177915809 842707037 /nfs/dbraw/zinc/70/70/37/842707037.db2.gz CCRABOVSZPOCNR-VHSXEESVSA-N 0 1 277.349 0.825 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H](O)CF ZINC001177917421 842707285 /nfs/dbraw/zinc/70/72/85/842707285.db2.gz HIXIKXRAVBZKPP-IUCAKERBSA-N 0 1 256.302 0.877 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NCC(=O)CCO ZINC001177915876 842707427 /nfs/dbraw/zinc/70/74/27/842707427.db2.gz QJSVUOFLMHPIPW-SECBINFHSA-N 0 1 266.322 0.498 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NCC1OCCO1 ZINC001177916349 842707902 /nfs/dbraw/zinc/70/79/02/842707902.db2.gz VGSLMMBZEGFENL-SECBINFHSA-N 0 1 266.322 0.919 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)COCCOC)[C@H]1C ZINC001178366711 842832267 /nfs/dbraw/zinc/83/22/67/842832267.db2.gz NLTJGKBUKZXRAE-RYUDHWBXSA-N 0 1 290.791 0.981 20 30 CCEDMN C#CC[C@H](NC(=O)OC(C)(C)C)C(=O)NCc1nnc[nH]1 ZINC001179587728 843021268 /nfs/dbraw/zinc/02/12/68/843021268.db2.gz MFEDYCLXRCMREC-VIFPVBQESA-N 0 1 293.327 0.338 20 30 CCEDMN CC#CC(=O)N1CC[N@H+]2C[C@@H](OCc3cccnc3)C[C@H]2C1 ZINC001179751271 843046778 /nfs/dbraw/zinc/04/67/78/843046778.db2.gz DYLADJISDBTBJK-HOTGVXAUSA-N 0 1 299.374 0.907 20 30 CCEDMN CC#CC(=O)N1CCN2C[C@@H](OCc3cccnc3)C[C@H]2C1 ZINC001179751271 843046788 /nfs/dbraw/zinc/04/67/88/843046788.db2.gz DYLADJISDBTBJK-HOTGVXAUSA-N 0 1 299.374 0.907 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)[C@H](C)C#N ZINC001179902568 843086413 /nfs/dbraw/zinc/08/64/13/843086413.db2.gz NXARCPJSTSUYEA-SSDOTTSWSA-N 0 1 250.258 0.362 20 30 CCEDMN CCOC(=O)[C@H](Cc1cnc[nH]1)NC(=O)[C@H](C)C#N ZINC001179902644 843086446 /nfs/dbraw/zinc/08/64/46/843086446.db2.gz PJHIAVVMAPUPDC-SCZZXKLOSA-N 0 1 264.285 0.160 20 30 CCEDMN C=CCSCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OCC ZINC001180562484 843209151 /nfs/dbraw/zinc/20/91/51/843209151.db2.gz VVZRVYDVRFZECE-NSHDSACASA-N 0 1 297.380 0.919 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)c2cn[nH]c2)CC1 ZINC001150971073 843290224 /nfs/dbraw/zinc/29/02/24/843290224.db2.gz XCCRFGNKXHOZEA-UHFFFAOYSA-N 0 1 290.367 0.644 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CC[C@@H](NCC(=C)Cl)C1 ZINC001182134574 843733363 /nfs/dbraw/zinc/73/33/63/843733363.db2.gz RCGYFYJPMNXNGZ-LLVKDONJSA-N 0 1 283.759 0.069 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@H](C)C(=O)N(C)C)C[C@H]1C ZINC001206537305 843905315 /nfs/dbraw/zinc/90/53/15/843905315.db2.gz QGLWVWKLECCCNX-JHJVBQTASA-N 0 1 281.400 0.866 20 30 CCEDMN C=C[C@@H]1C[C@]1(NC(=O)C(O)C(F)(F)F)C(=O)OCC ZINC001183201926 843984695 /nfs/dbraw/zinc/98/46/95/843984695.db2.gz REHJGPBMXUXFFX-MATHAZKKSA-N 0 1 281.230 0.534 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCOC3)[C@@H]2C1 ZINC001187100117 844650181 /nfs/dbraw/zinc/65/01/81/844650181.db2.gz AGQCJKOHESVHPA-SOUVJXGZSA-N 0 1 292.379 0.205 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCC(=O)NCC)[C@@H]2C1 ZINC001187240142 844675306 /nfs/dbraw/zinc/67/53/06/844675306.db2.gz OVPGRUQWZQYCSV-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H]2CN([C@H](C)C(=O)NC)C[C@H]21 ZINC001187706075 844748947 /nfs/dbraw/zinc/74/89/47/844748947.db2.gz BEWAOEIOQLGMJS-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)c1n[nH]cc1Cl ZINC001187845675 844778715 /nfs/dbraw/zinc/77/87/15/844778715.db2.gz JKHDUZXXVHMWAK-UHFFFAOYSA-N 0 1 270.720 0.875 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](CCC)OC)[C@@H]2C1 ZINC001188767418 844973393 /nfs/dbraw/zinc/97/33/93/844973393.db2.gz AYZQFVTUAJKUSY-BFHYXJOUSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnsn2)C1 ZINC001189059709 845029293 /nfs/dbraw/zinc/02/92/93/845029293.db2.gz SRXNDIUICHAYEY-JTQLQIEISA-N 0 1 264.354 0.708 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cnsn2)C1 ZINC001189059996 845029519 /nfs/dbraw/zinc/02/95/19/845029519.db2.gz XAFVWNVWUCSYRD-NSHDSACASA-N 0 1 294.380 0.334 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001189310711 845106118 /nfs/dbraw/zinc/10/61/18/845106118.db2.gz QNADNAZGVHVRSV-GHMZBOCLSA-N 0 1 253.346 0.359 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(=O)NC(N)=O)C1 ZINC001189319196 845107638 /nfs/dbraw/zinc/10/76/38/845107638.db2.gz VAVMGFPRTSDFCJ-QWRGUYRKSA-N 0 1 296.371 0.069 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc[nH]c(=O)c2)C1 ZINC001189621134 845181728 /nfs/dbraw/zinc/18/17/28/845181728.db2.gz LASCENIILVHDGG-ZDUSSCGKSA-N 0 1 273.336 0.957 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ccn(C)c2)C1 ZINC001189652577 845190465 /nfs/dbraw/zinc/19/04/65/845190465.db2.gz RDQVUSBKSPGZQQ-HNNXBMFYSA-N 0 1 289.379 0.821 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CC[C@H](N(C)C[C@@H](F)CC)C1 ZINC001189760208 845212818 /nfs/dbraw/zinc/21/28/18/845212818.db2.gz ITSANLBRRVSXMM-STQMWFEESA-N 0 1 297.374 0.407 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2ccnn2C)C1 ZINC001189875153 845245040 /nfs/dbraw/zinc/24/50/40/845245040.db2.gz VHZZGTONQYXMAG-AWEZNQCLSA-N 0 1 274.368 0.519 20 30 CCEDMN Cc1nnc(C(=O)NC2(C#N)Cc3ccccc3C2)[nH]1 ZINC001189876971 845246651 /nfs/dbraw/zinc/24/66/51/845246651.db2.gz ZKIBBUSDEDURQC-UHFFFAOYSA-N 0 1 267.292 0.904 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001190053502 845316231 /nfs/dbraw/zinc/31/62/31/845316231.db2.gz JJGFISOESIZOGV-VXGBXAGGSA-N 0 1 265.357 0.052 20 30 CCEDMN CNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001190054781 845319651 /nfs/dbraw/zinc/31/96/51/845319651.db2.gz TWPNXJZSCQWUOO-CHWSQXEVSA-N 0 1 279.384 0.313 20 30 CCEDMN CNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190085231 845325705 /nfs/dbraw/zinc/32/57/05/845325705.db2.gz VXNGKWDULMYCDV-OLZOCXBDSA-N 0 1 293.411 0.703 20 30 CCEDMN COC(=O)[C@@H](NC(=O)NC1(C#N)CCN(C)CC1)C(C)C ZINC001190268710 845379421 /nfs/dbraw/zinc/37/94/21/845379421.db2.gz AMZQNQDYJNUZST-NSHDSACASA-N 0 1 296.371 0.471 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)NC(=O)CC)C1 ZINC001190307799 845383898 /nfs/dbraw/zinc/38/38/98/845383898.db2.gz MBOBBNDPPBBSOT-RYUDHWBXSA-N 0 1 265.357 0.067 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2(COC)CCOCC2)C1 ZINC001190547540 845423939 /nfs/dbraw/zinc/42/39/39/845423939.db2.gz PGWVPEHMIXCJLA-CQSZACIVSA-N 0 1 294.395 0.596 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC001190635456 845453123 /nfs/dbraw/zinc/45/31/23/845453123.db2.gz VQHOONIEZFCUNL-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C/C(=C/C(=O)C(F)(F)F)NS(=O)(=O)c1ncc[nH]1 ZINC001190749140 845489641 /nfs/dbraw/zinc/48/96/41/845489641.db2.gz UDYQAKIWXVTREY-PLNGDYQASA-N 0 1 283.231 0.723 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CCSC)C1 ZINC001190961151 845551678 /nfs/dbraw/zinc/55/16/78/845551678.db2.gz FDOOAQJHXYFHIO-VXGBXAGGSA-N 0 1 288.413 0.103 20 30 CCEDMN CN(C)c1ccc(C(=O)Nc2nc[nH]c2C#N)cn1 ZINC001191055489 845570992 /nfs/dbraw/zinc/57/09/92/845570992.db2.gz OFNSLIIQVLRQNK-UHFFFAOYSA-N 0 1 256.269 0.995 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccnc3n[nH]nc32)C1 ZINC001191267365 845621035 /nfs/dbraw/zinc/62/10/35/845621035.db2.gz RWAGSWLFHYJYKT-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCc2nccn2C)C1 ZINC001191480233 845657801 /nfs/dbraw/zinc/65/78/01/845657801.db2.gz VGWSDAHTGVVITL-AWEZNQCLSA-N 0 1 288.395 0.909 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001191651483 845709332 /nfs/dbraw/zinc/70/93/32/845709332.db2.gz HGMMNPNHEBOZDU-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)Cc2cnoc2)C1 ZINC001191922138 845754724 /nfs/dbraw/zinc/75/47/24/845754724.db2.gz GWJFLSPXKUHGQF-CQSZACIVSA-N 0 1 291.351 0.400 20 30 CCEDMN C=CCCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001192095037 845792195 /nfs/dbraw/zinc/79/21/95/845792195.db2.gz YLDMPTPISIPDNY-RYUDHWBXSA-N 0 1 267.373 0.749 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC[C@H]2CCOC2)C1 ZINC001192187875 845798319 /nfs/dbraw/zinc/79/83/19/845798319.db2.gz YUCAOBGKQOXPTC-BFHYXJOUSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCOCC=C)C[C@H]1O ZINC001192321399 845820754 /nfs/dbraw/zinc/82/07/54/845820754.db2.gz YUCJWWLCADANAY-HUUCEWRRSA-N 0 1 294.395 0.544 20 30 CCEDMN COC(=O)c1ncccc1C(=O)Nc1nc[nH]c1C#N ZINC001192491725 845855171 /nfs/dbraw/zinc/85/51/71/845855171.db2.gz BCYPRROBBSQDHH-UHFFFAOYSA-N 0 1 271.236 0.715 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[N@@H+](CCOCCCC)C[C@H]1O ZINC001192524385 845857771 /nfs/dbraw/zinc/85/77/71/845857771.db2.gz HXTDIPSZXKEDNN-HUUCEWRRSA-N 0 1 296.411 0.768 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOCCCC)C[C@H]1O ZINC001192524385 845857777 /nfs/dbraw/zinc/85/77/77/845857777.db2.gz HXTDIPSZXKEDNN-HUUCEWRRSA-N 0 1 296.411 0.768 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)/C=C/c2ccc[nH]2)C1 ZINC001192485109 845865285 /nfs/dbraw/zinc/86/52/85/845865285.db2.gz VZIBYJQSMFXCBM-JLVOYYQZSA-N 0 1 273.336 0.213 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)c2[nH]ccc2C)C1 ZINC001192564211 845870812 /nfs/dbraw/zinc/87/08/12/845870812.db2.gz ZPDYYRAHJPLRHF-CHWSQXEVSA-N 0 1 293.367 0.301 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CC23CC3)C1 ZINC001192531268 845874143 /nfs/dbraw/zinc/87/41/43/845874143.db2.gz BHWQRJCEVCAJMW-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC23CC3)C1 ZINC001192531270 845876177 /nfs/dbraw/zinc/87/61/77/845876177.db2.gz BHWQRJCEVCAJMW-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN N#CCS(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001192954150 845934512 /nfs/dbraw/zinc/93/45/12/845934512.db2.gz UKGTWMMYVOYRRB-MRVPVSSYSA-N 0 1 258.324 0.114 20 30 CCEDMN N#CCS(=O)(=O)Nc1cc(=O)[nH]c(C2CC2)n1 ZINC001192935106 845940173 /nfs/dbraw/zinc/94/01/73/845940173.db2.gz IYMDRSSDKHZNIB-UHFFFAOYSA-N 0 1 254.271 0.325 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc(OC2COC2)nc1 ZINC001192938769 845941914 /nfs/dbraw/zinc/94/19/14/845941914.db2.gz GSJKDGKRSTWMJI-UHFFFAOYSA-N 0 1 269.282 0.124 20 30 CCEDMN CS(=O)(=O)c1cccc(NS(=O)(=O)CC#N)c1 ZINC001192972060 845957514 /nfs/dbraw/zinc/95/75/14/845957514.db2.gz HUMAOUBVBDIHSK-UHFFFAOYSA-N 0 1 274.323 0.355 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC001193139352 846003552 /nfs/dbraw/zinc/00/35/52/846003552.db2.gz CNXRRRLENHWVGL-QMMMGPOBSA-N 0 1 288.350 0.744 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@](C)(O)C1CC1)C2 ZINC001110458114 846050719 /nfs/dbraw/zinc/05/07/19/846050719.db2.gz VEXLASAABMCICG-HGTKMLMNSA-N 0 1 276.380 0.892 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@@H](CO)[C@@H](O)C2)ccc1O ZINC001193506382 846101666 /nfs/dbraw/zinc/10/16/66/846101666.db2.gz MGQSHCFVPFRYTH-GWCFXTLKSA-N 0 1 276.292 0.079 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@@H](CO)[C@H](O)C2)ccc1O ZINC001193506401 846101736 /nfs/dbraw/zinc/10/17/36/846101736.db2.gz MGQSHCFVPFRYTH-GXFFZTMASA-N 0 1 276.292 0.079 20 30 CCEDMN COc1ccc(C#N)c(S(=O)(=O)NCCN(C)C)c1 ZINC001193635728 846131715 /nfs/dbraw/zinc/13/17/15/846131715.db2.gz DIGKBTINIIPZLC-UHFFFAOYSA-N 0 1 283.353 0.407 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCC3(C2)OCCO3)c1O ZINC001193643702 846134823 /nfs/dbraw/zinc/13/48/23/846134823.db2.gz BCRSWGHQRDSRBJ-UHFFFAOYSA-N 0 1 274.276 0.853 20 30 CCEDMN CC1(C)C(=O)NC[C@H]1NC(=O)c1cccc(C#N)c1O ZINC001193658880 846139994 /nfs/dbraw/zinc/13/99/94/846139994.db2.gz FSTVTOFZYHJMLY-SNVBAGLBSA-N 0 1 273.292 0.518 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(CCCF)C[C@H]2O)CCC1 ZINC001193685273 846143549 /nfs/dbraw/zinc/14/35/49/846143549.db2.gz JOOQZDSXYFERNM-CHWSQXEVSA-N 0 1 282.359 0.701 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@H]2CCCO2)CC1 ZINC001194733631 846363003 /nfs/dbraw/zinc/36/30/03/846363003.db2.gz WZMLADQYUDEPSH-CQSZACIVSA-N 0 1 282.384 0.902 20 30 CCEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)CNC(=O)CCC ZINC001152200330 846483733 /nfs/dbraw/zinc/48/37/33/846483733.db2.gz WFXPNKGELHNERE-CYBMUJFWSA-N 0 1 281.400 0.753 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@@H]2CCCCO2)C[C@H]1O ZINC001195312192 846501916 /nfs/dbraw/zinc/50/19/16/846501916.db2.gz JEJHSTZJYQMBPR-BFHYXJOUSA-N 0 1 296.411 0.929 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001195567149 846584681 /nfs/dbraw/zinc/58/46/81/846584681.db2.gz KCEGTOKXFAQDMU-BFHYXJOUSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)CCCCN2[C@H](CC)C(N)=O ZINC001273703861 846596646 /nfs/dbraw/zinc/59/66/46/846596646.db2.gz LHSAIHSZDWGWNB-DOMZBBRYSA-N 0 1 277.368 0.341 20 30 CCEDMN CCOC(=O)CCNC(=O)c1ccc(C#N)cc1O ZINC001195758783 846611806 /nfs/dbraw/zinc/61/18/06/846611806.db2.gz SWCSXPGXRPZMQZ-UHFFFAOYSA-N 0 1 262.265 0.947 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2CCC(=O)N2)c(O)c1 ZINC001195763678 846611833 /nfs/dbraw/zinc/61/18/33/846611833.db2.gz AXPMGRAPQQUUFT-VIFPVBQESA-N 0 1 259.265 0.272 20 30 CCEDMN N#Cc1ncc(NS(=O)(=O)c2ccc(O)cc2)cn1 ZINC001195766030 846614031 /nfs/dbraw/zinc/61/40/31/846614031.db2.gz GIHJFASKFIJAQO-UHFFFAOYSA-N 0 1 276.277 0.855 20 30 CCEDMN CN1C[C@@H]2CN(C(=O)c3ccc(C#N)cc3O)C[C@@H]2C1=O ZINC001195741655 846619229 /nfs/dbraw/zinc/61/92/29/846619229.db2.gz OPORENQNXFKMHP-PWSUYJOCSA-N 0 1 285.303 0.424 20 30 CCEDMN C=C(C)CCC(=O)N1CCCN(CCOCCO)CC1 ZINC001196018127 846669211 /nfs/dbraw/zinc/66/92/11/846669211.db2.gz JXTODXGHROKEAS-UHFFFAOYSA-N 0 1 284.400 0.886 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N1CCCN(CCO)CC1 ZINC001196655553 846784004 /nfs/dbraw/zinc/78/40/04/846784004.db2.gz GPPUZANDXPPMNG-OAHLLOKOSA-N 0 1 284.400 0.742 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CC(C)C)C1 ZINC001197243816 846887469 /nfs/dbraw/zinc/88/74/69/846887469.db2.gz FJWUEEIYHHOANH-MGPQQGTHSA-N 0 1 266.385 0.853 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](CC)CCC)C1 ZINC001197265765 846889283 /nfs/dbraw/zinc/88/92/83/846889283.db2.gz KHUZXOWKQGTICJ-MGPQQGTHSA-N 0 1 266.385 0.997 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC[C@H]2CCCOC2)C1 ZINC001197342730 846893994 /nfs/dbraw/zinc/89/39/94/846893994.db2.gz NAGYKRUYIMJHHQ-RBSFLKMASA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2cnccn2)C1 ZINC001197485622 846920434 /nfs/dbraw/zinc/92/04/34/846920434.db2.gz BFEALGMKKFNDQI-ZIAGYGMSSA-N 0 1 290.367 0.147 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2nc[nH]n2)CC1 ZINC001197485034 846921082 /nfs/dbraw/zinc/92/10/82/846921082.db2.gz JYPCASJEOUPZJE-UHFFFAOYSA-N 0 1 279.344 0.155 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CCCn1cccc1)C2 ZINC001273747626 846939936 /nfs/dbraw/zinc/93/99/36/846939936.db2.gz QALLJHMOVKATJD-UHFFFAOYSA-N 0 1 287.363 0.425 20 30 CCEDMN C=CCN1CCCN(C(=O)COCCCOC)CC1 ZINC001197747490 846957413 /nfs/dbraw/zinc/95/74/13/846957413.db2.gz STNHXXYHGRQGSA-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C#CCCN1CCCN(C(=O)C2(C(=O)N(C)C)CC2)CC1 ZINC001197786803 846964524 /nfs/dbraw/zinc/96/45/24/846964524.db2.gz JCIKHBDIUKVTMH-UHFFFAOYSA-N 0 1 291.395 0.412 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)CCCC(=O)NCC)CC1 ZINC001197862636 847002384 /nfs/dbraw/zinc/00/23/84/847002384.db2.gz WQECDTZYOFVEQY-UHFFFAOYSA-N 0 1 293.411 0.850 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1[nH]nc2c1CC[C@@H]2C ZINC001198450030 847113088 /nfs/dbraw/zinc/11/30/88/847113088.db2.gz LJDYYXAHOSYEPI-XPUUQOCRSA-N 0 1 283.353 0.661 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)OCCC(C)C)C1 ZINC001199331321 847305755 /nfs/dbraw/zinc/30/57/55/847305755.db2.gz MZBLQPAPSGBOJU-RBSFLKMASA-N 0 1 296.411 0.622 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ncccc1Cl ZINC001251888563 847348437 /nfs/dbraw/zinc/34/84/37/847348437.db2.gz VYFPYGPKTBKXGV-JTQLQIEISA-N 0 1 254.717 0.835 20 30 CCEDMN COCCOc1cnccc1C(=O)Nc1nc[nH]c1C#N ZINC001199625431 847371001 /nfs/dbraw/zinc/37/10/01/847371001.db2.gz OSXAVQVXNQLYJE-UHFFFAOYSA-N 0 1 287.279 0.954 20 30 CCEDMN C=CCCC(=O)N1CCC(N[C@H](C)c2nnnn2C)CC1 ZINC001199725795 847410974 /nfs/dbraw/zinc/41/09/74/847410974.db2.gz ATHYZPNFGFOTPE-LLVKDONJSA-N 0 1 292.387 0.818 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)C=C(C)C ZINC001273823531 847603388 /nfs/dbraw/zinc/60/33/88/847603388.db2.gz SEFQWSNRJZBHTM-AATRIKPKSA-N 0 1 265.357 0.517 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cc[n+]([O-])cc1 ZINC001273824575 847604031 /nfs/dbraw/zinc/60/40/31/847604031.db2.gz ZQQMUVKVKYIFIX-NSCUHMNNSA-N 0 1 281.743 0.948 20 30 CCEDMN N#CNC(=NC(=O)c1[nH]nc2c1CCC2)c1ccncc1 ZINC001201242588 847747640 /nfs/dbraw/zinc/74/76/40/847747640.db2.gz GGVAANFMYHDFAQ-UHFFFAOYSA-N 0 1 280.291 0.951 20 30 CCEDMN CCCCCCCC[C@H](O)CN[C@H](CO)C(N)=O ZINC001252134955 847919003 /nfs/dbraw/zinc/91/90/03/847919003.db2.gz UVWUMHGXOHXMFK-NWDGAFQWSA-N 0 1 260.378 0.534 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(CC(=O)CC)CC2 ZINC001273952021 847927973 /nfs/dbraw/zinc/92/79/73/847927973.db2.gz VHLXZFOQBUAXTA-CYBMUJFWSA-N 0 1 262.353 0.817 20 30 CCEDMN C=CCOC[C@H](O)CN1CCO[C@@H]2C[C@H](CO)C[C@@H]21 ZINC001252460359 847974104 /nfs/dbraw/zinc/97/41/04/847974104.db2.gz CZEVDIGLNPSYRC-YIYPIFLZSA-N 0 1 271.357 0.022 20 30 CCEDMN C=CCOC[C@H](O)CN[C@H](C(N)=O)c1ccccc1 ZINC001252481665 847982752 /nfs/dbraw/zinc/98/27/52/847982752.db2.gz FJUNWEXTQBSZHC-OLZOCXBDSA-N 0 1 264.325 0.366 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cncnc1)C2 ZINC001110817244 848009779 /nfs/dbraw/zinc/00/97/79/848009779.db2.gz UKVXNMFZJCTWBB-KFWWJZLASA-N 0 1 284.363 0.764 20 30 CCEDMN CNC(=O)C(C)(C)N1CC[C@@]2(CCN(CCC#N)C2)C1=O ZINC001274032390 848313879 /nfs/dbraw/zinc/31/38/79/848313879.db2.gz NNQVJUGSRNKHLE-OAHLLOKOSA-N 0 1 292.383 0.349 20 30 CCEDMN N#CCCCN1CC[C@@]2(CCCN(CC(N)=O)CC2)C1=O ZINC001274061701 848334973 /nfs/dbraw/zinc/33/49/73/848334973.db2.gz FUSLEVOPKVPAST-HNNXBMFYSA-N 0 1 292.383 0.480 20 30 CCEDMN CN1CC2(C1)CCCN(C(=O)c1cnc(C#N)cn1)C2 ZINC001274121167 848353998 /nfs/dbraw/zinc/35/39/98/848353998.db2.gz BXAGYIKEVXSAAB-UHFFFAOYSA-N 0 1 271.324 0.516 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(COC)CCOC1)C2 ZINC001110863611 848416103 /nfs/dbraw/zinc/41/61/03/848416103.db2.gz PCJSTCRVGVQGFP-HGTKMLMNSA-N 0 1 294.395 0.947 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1c(C)ncn1C ZINC001274351589 848455870 /nfs/dbraw/zinc/45/58/70/848455870.db2.gz FANJTCQLZXLVPC-ZIAGYGMSSA-N 0 1 286.379 0.927 20 30 CCEDMN C=C1CC(C)(C(=O)NC/C=C\CNCC(=O)NC2CC2)C1 ZINC001274348563 848456423 /nfs/dbraw/zinc/45/64/23/848456423.db2.gz NXLPWUGEDJAEFT-ARJAWSKDSA-N 0 1 291.395 0.883 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CCCCC(N)=O ZINC001275160599 848653124 /nfs/dbraw/zinc/65/31/24/848653124.db2.gz OCNAHAVSIBQPGE-AWEZNQCLSA-N 0 1 293.411 0.978 20 30 CCEDMN C[C@@H](NCc1ccc(C#N)cc1F)[C@H](C)NC(=O)C(N)=O ZINC001275483129 848732861 /nfs/dbraw/zinc/73/28/61/848732861.db2.gz YNJBOHSOFAJDIV-BDAKNGLRSA-N 0 1 292.314 0.165 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H](C)NC(=O)c1ccoc1 ZINC001275567823 848763462 /nfs/dbraw/zinc/76/34/62/848763462.db2.gz XDJBYXDONBRFMC-VXGBXAGGSA-N 0 1 291.351 0.468 20 30 CCEDMN C=CCOCC[N@H+](C)C[C@@H](C)NC(=O)c1cnc(C)n1C ZINC001275629196 848778828 /nfs/dbraw/zinc/77/88/28/848778828.db2.gz KQUKFACLSOZAAF-GFCCVEGCSA-N 0 1 294.399 0.981 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H](C)Oc1cccnc1 ZINC001275648598 848783134 /nfs/dbraw/zinc/78/31/34/848783134.db2.gz MHHCTPSESSYYJF-OLZOCXBDSA-N 0 1 275.352 0.919 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1c[nH]c(C(N)=O)c1 ZINC001275720961 848800057 /nfs/dbraw/zinc/80/00/57/848800057.db2.gz OVYGMJJMWCSZHV-SNVBAGLBSA-N 0 1 276.340 0.187 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)Cc1ccn(CC)n1 ZINC001275836636 848840146 /nfs/dbraw/zinc/84/01/46/848840146.db2.gz VDPPAYBXTTVJQF-ZDUSSCGKSA-N 0 1 276.384 0.905 20 30 CCEDMN C=C(C)CC[N@H+](C)[C@@H](C)CNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001275988296 848883018 /nfs/dbraw/zinc/88/30/18/848883018.db2.gz XSLSYTLJTWPMIX-JTQLQIEISA-N 0 1 297.359 0.092 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001095635583 849001306 /nfs/dbraw/zinc/00/13/06/849001306.db2.gz ITXCKPXNCJCYEP-RDBSUJKOSA-N 0 1 285.347 0.354 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001095635583 849001310 /nfs/dbraw/zinc/00/13/10/849001310.db2.gz ITXCKPXNCJCYEP-RDBSUJKOSA-N 0 1 285.347 0.354 20 30 CCEDMN CN1CCN(CCOc2ccccc2C#N)C[C@H]1CO ZINC000687627703 849131605 /nfs/dbraw/zinc/13/16/05/849131605.db2.gz JNCQCNYOBKXPKP-AWEZNQCLSA-N 0 1 275.352 0.545 20 30 CCEDMN CN(CCCNC(=O)Cc1cnc[nH]1)c1cnc(C#N)cn1 ZINC001095746118 849132226 /nfs/dbraw/zinc/13/22/26/849132226.db2.gz IPORHMJLHQSSTB-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CN(CCCNC(=O)c1cnn[nH]1)c1ncccc1C#N ZINC001095778754 849139317 /nfs/dbraw/zinc/13/93/17/849139317.db2.gz MPNOULFFCOZAHF-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCC(=O)N1C)C2 ZINC001095860087 849204318 /nfs/dbraw/zinc/20/43/18/849204318.db2.gz FNIFUQVHVJCSJO-MQYQWHSLSA-N 0 1 291.395 0.905 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCNC1=O)C2 ZINC001095866525 849204687 /nfs/dbraw/zinc/20/46/87/849204687.db2.gz FEOJNOZRXQZKJC-RHYQMDGZSA-N 0 1 263.341 0.030 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000720509148 849476236 /nfs/dbraw/zinc/47/62/36/849476236.db2.gz GPYITKHFUZNEBZ-GMTAPVOTSA-N 0 1 253.371 0.842 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCN(C)CC1)[C@H]1CCCO1 ZINC000720616278 849504289 /nfs/dbraw/zinc/50/42/89/849504289.db2.gz JQNTZQUWGDJPIF-VXGBXAGGSA-N 0 1 265.357 0.906 20 30 CCEDMN C=C[C@@H](O)CN1CCN(CC(=O)OC(C)(C)C)CC1 ZINC001253580264 849548800 /nfs/dbraw/zinc/54/88/00/849548800.db2.gz XSHHZBCOIBNKNW-GFCCVEGCSA-N 0 1 270.373 0.493 20 30 CCEDMN C=C[C@@H](O)CN1CC[C@@H](N2CCOCC2)[C@@H](F)C1 ZINC001253590917 849575505 /nfs/dbraw/zinc/57/55/05/849575505.db2.gz YUTVVIVXGVWKJG-FRRDWIJNSA-N 0 1 258.337 0.278 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)Cc2nnc(C)[nH]2)C1 ZINC001276377021 849796688 /nfs/dbraw/zinc/79/66/88/849796688.db2.gz RFIOFNQONAFQQV-JTQLQIEISA-N 0 1 275.356 0.115 20 30 CCEDMN CO[C@@H](C)CN1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038172017 849805466 /nfs/dbraw/zinc/80/54/66/849805466.db2.gz DTXFOXXSVFLPJX-CMPLNLGQSA-N 0 1 276.340 0.725 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CCN1CCCO ZINC001038267396 849833374 /nfs/dbraw/zinc/83/33/74/849833374.db2.gz SWEMYWHIJYZERJ-MCIONIFRSA-N 0 1 282.384 0.541 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001038311614 849846301 /nfs/dbraw/zinc/84/63/01/849846301.db2.gz PSLUZGNSVNGXDL-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cnc2n[nH]nc2c1 ZINC001038352953 849861346 /nfs/dbraw/zinc/86/13/46/849861346.db2.gz PWXVJDIVDKUVAC-LLVKDONJSA-N 0 1 286.339 0.733 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC[C@H]1CCN1CCCF ZINC001038369307 849868080 /nfs/dbraw/zinc/86/80/80/849868080.db2.gz UOPAHSAFEJLCKZ-KGLIPLIRSA-N 0 1 281.375 0.634 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccnc2c1CC(=O)N2 ZINC001038453441 849905985 /nfs/dbraw/zinc/90/59/85/849905985.db2.gz ZFSIULIPEGOVQI-LLVKDONJSA-N 0 1 298.346 0.404 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC001038474168 849916283 /nfs/dbraw/zinc/91/62/83/849916283.db2.gz DIOWYAXSJABOMD-CABCVRRESA-N 0 1 291.395 0.459 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001038584764 849951169 /nfs/dbraw/zinc/95/11/69/849951169.db2.gz ARZZTFOFBMYASQ-ZDUSSCGKSA-N 0 1 296.334 0.799 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc2nncn2c1 ZINC001038807023 850022181 /nfs/dbraw/zinc/02/21/81/850022181.db2.gz TWJSFZCGCDDTFU-CYBMUJFWSA-N 0 1 283.335 0.557 20 30 CCEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cnon1 ZINC001038850668 850047492 /nfs/dbraw/zinc/04/74/92/850047492.db2.gz USIYSZNYNMAAKL-JTQLQIEISA-N 0 1 250.302 0.840 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001038885986 850065549 /nfs/dbraw/zinc/06/55/49/850065549.db2.gz HBJUGTCSEHPTDN-STQMWFEESA-N 0 1 286.379 0.728 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2C[C@H](C)O)nc1 ZINC001038929239 850087202 /nfs/dbraw/zinc/08/72/02/850087202.db2.gz AXODEELCCRCNRU-WCQYABFASA-N 0 1 273.336 0.248 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1COCCN1C(C)=O ZINC001039027129 850125692 /nfs/dbraw/zinc/12/56/92/850125692.db2.gz AHDYQTOYKPNIQW-KBPBESRZSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1cccn1 ZINC001039332471 850174029 /nfs/dbraw/zinc/17/40/29/850174029.db2.gz OYGQHFLUBFSFTC-CABCVRRESA-N 0 1 286.379 0.972 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCNC1=O ZINC001039416943 850187345 /nfs/dbraw/zinc/18/73/45/850187345.db2.gz OZEKFAOSMTXHQS-RDBSUJKOSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CCC[C@]2(CCN(C(=O)c3nccnc3N)C2)C1 ZINC001040124666 850270986 /nfs/dbraw/zinc/27/09/86/850270986.db2.gz WGXMIPOJQOUZCJ-INIZCTEOSA-N 0 1 299.378 0.620 20 30 CCEDMN C[N@@H+](CC(=O)N1CC[C@]2(CCN(CC#N)C2)C1)C1CCC1 ZINC001041352945 850434806 /nfs/dbraw/zinc/43/48/06/850434806.db2.gz DGRJZGOCTVOHOM-INIZCTEOSA-N 0 1 290.411 0.919 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)C[C@@H]3CCNC3=O)C2)C1 ZINC001041353637 850434873 /nfs/dbraw/zinc/43/48/73/850434873.db2.gz OYDPKQAIIBOQNC-XJKSGUPXSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)c3c[nH]c(C)cc3=O)C2)C1 ZINC001041771070 850499801 /nfs/dbraw/zinc/49/98/01/850499801.db2.gz LMIRTDVEPVMODJ-KRWDZBQOSA-N 0 1 299.374 0.855 20 30 CCEDMN N#CCN1CC[C@@]2(CCN(C(=O)CN3CCCC3)C2)C1 ZINC001041832984 850508598 /nfs/dbraw/zinc/50/85/98/850508598.db2.gz JQVMQFGQSMZLDS-OAHLLOKOSA-N 0 1 276.384 0.530 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cc[n+]([O-])cc3)C[C@@H]21 ZINC001041921117 850529415 /nfs/dbraw/zinc/52/94/15/850529415.db2.gz RTEFSKDTFMVZJC-ZBFHGGJFSA-N 0 1 299.374 0.880 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3nnn(C)c3C)C[C@H]21 ZINC001041992994 850552659 /nfs/dbraw/zinc/55/26/59/850552659.db2.gz WUAZHXQINNWVCN-CHWSQXEVSA-N 0 1 287.367 0.293 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001042024348 850559097 /nfs/dbraw/zinc/55/90/97/850559097.db2.gz XUNABBVJAYEVHJ-OLZOCXBDSA-N 0 1 285.347 0.957 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2C[C@H]2C(=O)OC)C1 ZINC001042533461 850684848 /nfs/dbraw/zinc/68/48/48/850684848.db2.gz PMPZQPVCLFTJKW-CHWSQXEVSA-N 0 1 280.368 0.904 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001042602120 850708905 /nfs/dbraw/zinc/70/89/05/850708905.db2.gz KXXOUUONOXIXHL-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN CN(C(=O)c1cccc2c[nH]nc21)C1CN(CC#N)C1 ZINC001043504219 850886650 /nfs/dbraw/zinc/88/66/50/850886650.db2.gz TWEOVBBXAFNTTG-UHFFFAOYSA-N 0 1 269.308 0.843 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001043854090 850949359 /nfs/dbraw/zinc/94/93/59/850949359.db2.gz YWOFCGVIBAGGNH-HNNXBMFYSA-N 0 1 279.384 0.621 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](NCC#N)C[C@H]2C)[nH]1 ZINC001044507523 851114966 /nfs/dbraw/zinc/11/49/66/851114966.db2.gz HQEWBWPMWJFGGH-KOLCDFICSA-N 0 1 261.329 0.824 20 30 CCEDMN C[C@@H]1C[C@H](NCC#N)CCN1C(=O)Cc1ccn[nH]1 ZINC001044518219 851115727 /nfs/dbraw/zinc/11/57/27/851115727.db2.gz POCHMQMZWJOOCV-GHMZBOCLSA-N 0 1 261.329 0.445 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC001045639596 851289210 /nfs/dbraw/zinc/28/92/10/851289210.db2.gz NJAIVJPCMLQNHV-UHFFFAOYSA-N 0 1 288.351 0.331 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnn(C)c2)C1 ZINC001046132839 851389518 /nfs/dbraw/zinc/38/95/18/851389518.db2.gz IRAKASQHPGLSJX-CQSZACIVSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnc(C)[nH]2)C1 ZINC001046195875 851418249 /nfs/dbraw/zinc/41/82/49/851418249.db2.gz ARJFFKHBIJLRTJ-CQSZACIVSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046253150 851438311 /nfs/dbraw/zinc/43/83/11/851438311.db2.gz QTZFSBHFRQWJET-AWEZNQCLSA-N 0 1 256.309 0.714 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001046353061 851473219 /nfs/dbraw/zinc/47/32/19/851473219.db2.gz LLHFZGNINCQTEP-OAHLLOKOSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCn3nccc32)C1 ZINC001046428730 851500096 /nfs/dbraw/zinc/50/00/96/851500096.db2.gz MBILEHHBQCABGV-XJKSGUPXSA-N 0 1 286.379 0.974 20 30 CCEDMN C=C(Cl)CN1CC[C@](C)(NC(=O)c2cnn[nH]2)C1 ZINC001046438994 851502821 /nfs/dbraw/zinc/50/28/21/851502821.db2.gz JFWPMQDLJMSHLH-NSHDSACASA-N 0 1 269.736 0.751 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001046453830 851512474 /nfs/dbraw/zinc/51/24/74/851512474.db2.gz KPTCZLJGYMUICM-CYBMUJFWSA-N 0 1 293.327 0.053 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cccc3ncnn32)C1 ZINC001046452617 851513117 /nfs/dbraw/zinc/51/31/17/851513117.db2.gz XXVXASVSKXIPMH-HNNXBMFYSA-N 0 1 283.335 0.557 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cncn2C)C1 ZINC001046455089 851514904 /nfs/dbraw/zinc/51/49/04/851514904.db2.gz QHXIZGQQYDAOQB-AWEZNQCLSA-N 0 1 260.341 0.638 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cn(C)ccc2=O)C1 ZINC001046472895 851517734 /nfs/dbraw/zinc/51/77/34/851517734.db2.gz JQRJVUFEYCXEOO-HNNXBMFYSA-N 0 1 275.352 0.766 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)c2cnon2)C1 ZINC001046716215 851594323 /nfs/dbraw/zinc/59/43/23/851594323.db2.gz CXXFDSDLGUSFLG-LBPRGKRZSA-N 0 1 250.302 0.840 20 30 CCEDMN C=C(C)CN1CC[C@](C)(NC(=O)c2cnon2)C1 ZINC001046716215 851594328 /nfs/dbraw/zinc/59/43/28/851594328.db2.gz CXXFDSDLGUSFLG-LBPRGKRZSA-N 0 1 250.302 0.840 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(C#C)nc2)C1 ZINC001046784164 851614777 /nfs/dbraw/zinc/61/47/77/851614777.db2.gz YKAZVMLLFCCNOP-INIZCTEOSA-N 0 1 267.332 0.890 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001046804292 851616221 /nfs/dbraw/zinc/61/62/21/851616221.db2.gz CUYAIBOJMSETKF-UKRRQHHQSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ncoc2C)C1 ZINC001047317409 851711591 /nfs/dbraw/zinc/71/15/91/851711591.db2.gz HEIWUXQEEZLTRY-RYUDHWBXSA-N 0 1 279.340 0.676 20 30 CCEDMN CCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C#N)[nH]2)C1 ZINC001047354604 851735972 /nfs/dbraw/zinc/73/59/72/851735972.db2.gz ZWAQOCBBWWBKHN-RYUDHWBXSA-N 0 1 262.313 0.023 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)CC2OCCCO2)C1 ZINC001047360812 851736665 /nfs/dbraw/zinc/73/66/65/851736665.db2.gz WNUCOUCEDICDEO-STQMWFEESA-N 0 1 298.383 0.219 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2nnc(C)o2)C1 ZINC001047371728 851741710 /nfs/dbraw/zinc/74/17/10/851741710.db2.gz BWRITMQMIZWXHO-RYUDHWBXSA-N 0 1 294.355 0.000 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)c2csc(=O)[nH]2)C1 ZINC001047493737 851787260 /nfs/dbraw/zinc/78/72/60/851787260.db2.gz TXBPZFZKSYUKSQ-UWVGGRQHSA-N 0 1 283.353 0.152 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCC2(C)C)C1 ZINC001047494308 851787842 /nfs/dbraw/zinc/78/78/42/851787842.db2.gz MUPRYECXBJPHOH-IHRRRGAJSA-N 0 1 278.396 0.949 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cn2ccc(C)n2)C1 ZINC001047531110 851803569 /nfs/dbraw/zinc/80/35/69/851803569.db2.gz HSXJDEOKCQQXEN-KBPBESRZSA-N 0 1 292.383 0.271 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)n[nH]c2C)C1 ZINC001047567559 851818372 /nfs/dbraw/zinc/81/83/72/851818372.db2.gz MDWIDPHRYYNHHP-STQMWFEESA-N 0 1 292.383 0.720 20 30 CCEDMN C=C(C)C[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cn(CC)cn2)C1 ZINC001047599598 851829807 /nfs/dbraw/zinc/82/98/07/851829807.db2.gz BKODRMHAPAKGMZ-KBPBESRZSA-N 0 1 292.383 0.596 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cn(CC)cn2)C1 ZINC001047599598 851829813 /nfs/dbraw/zinc/82/98/13/851829813.db2.gz BKODRMHAPAKGMZ-KBPBESRZSA-N 0 1 292.383 0.596 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@H]2CCCOC2)C1 ZINC001047629519 851839935 /nfs/dbraw/zinc/83/99/35/851839935.db2.gz JEGQPCVEWHNAQN-RDBSUJKOSA-N 0 1 282.384 0.493 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccc(C)nc2)C1 ZINC001047627676 851839226 /nfs/dbraw/zinc/83/92/26/851839226.db2.gz TXNYKFVRBFIXAI-GJZGRUSLSA-N 0 1 289.379 0.622 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2CN(C(=O)c3cc(CC)[nH]n3)C[C@H]2C1 ZINC001048690977 852016443 /nfs/dbraw/zinc/01/64/43/852016443.db2.gz UHFPYIZIXIOAGB-BETUJISGSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)[nH]c1)C2 ZINC001096475321 852168722 /nfs/dbraw/zinc/16/87/22/852168722.db2.gz BCOGDHHBHXIHIE-AGIUHOORSA-N 0 1 271.320 0.756 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCOC1 ZINC001049360421 852242607 /nfs/dbraw/zinc/24/26/07/852242607.db2.gz OLQCLVLGJBLJGV-MELADBBJSA-N 0 1 262.353 0.721 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCC[C@H]2[C@@H]1CCN2CC#N ZINC001049370507 852247212 /nfs/dbraw/zinc/24/72/12/852247212.db2.gz VWINVCXQNARBIJ-MELADBBJSA-N 0 1 276.384 0.669 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CNC(C)=O ZINC001391850163 911414578 /nfs/dbraw/zinc/41/45/78/911414578.db2.gz LLHUXGOPBKUNJS-LLVKDONJSA-N 0 1 273.764 0.456 20 30 CCEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(C(N)=O)CC1 ZINC001049688863 852351962 /nfs/dbraw/zinc/35/19/62/852351962.db2.gz XCVFAEZDNIUAGP-OLZOCXBDSA-N 0 1 289.379 0.341 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)Cn1nccc1C ZINC001049759895 852365854 /nfs/dbraw/zinc/36/58/54/852365854.db2.gz SULNMRBPLDKUDU-GJZGRUSLSA-N 0 1 286.379 0.890 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCOC1)C2 ZINC001096859108 852459390 /nfs/dbraw/zinc/45/93/90/852459390.db2.gz KNDYSLNYPMMZLA-LOWDOPEQSA-N 0 1 250.342 0.930 20 30 CCEDMN C=CCn1nnnc1N1CCN(C(C)(C)COC)CC1 ZINC001262385599 852525850 /nfs/dbraw/zinc/52/58/50/852525850.db2.gz RMPAVRYNEVVHAS-UHFFFAOYSA-N 0 1 280.376 0.406 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(F)CCOC1)C2 ZINC001097714632 852587366 /nfs/dbraw/zinc/58/73/66/852587366.db2.gz PKBBBWKCHAXLDC-GUIRCDHDSA-N 0 1 280.343 0.860 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H](C)Nc2ccc(C#N)nn2)c1C ZINC001097759766 852600959 /nfs/dbraw/zinc/60/09/59/852600959.db2.gz IZDJMSLPJPPKQT-QMMMGPOBSA-N 0 1 299.338 0.919 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2CCCN(CC#N)CC2)=NC1=O ZINC001052680142 852640797 /nfs/dbraw/zinc/64/07/97/852640797.db2.gz GGNWCPFSVPZBPX-SNVBAGLBSA-N 0 1 277.328 0.234 20 30 CCEDMN C=C(C)CN1CC2(C1)CN(C(=O)c1cnc(C)[nH]1)CCO2 ZINC001053185567 852705099 /nfs/dbraw/zinc/70/50/99/852705099.db2.gz OVILECLHFFKEIW-UHFFFAOYSA-N 0 1 290.367 0.821 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCOC1)O2 ZINC001053582077 852766087 /nfs/dbraw/zinc/76/60/87/852766087.db2.gz ZDSMMXUFZDNXLH-ZIAGYGMSSA-N 0 1 294.395 0.949 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)C(N)=O)C[C@H]1C ZINC001054766098 853010862 /nfs/dbraw/zinc/01/08/62/853010862.db2.gz SLOLNYYDBSLNJC-SCZZXKLOSA-N 0 1 287.791 0.687 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1C ZINC001054842864 853022164 /nfs/dbraw/zinc/02/21/64/853022164.db2.gz XVJFTTWFOHHRLV-XCBNKYQSSA-N 0 1 269.736 0.607 20 30 CCEDMN CC(=O)NCCN1CCC(NC(=O)C#CC(C)C)CC1 ZINC001055483941 853079192 /nfs/dbraw/zinc/07/91/92/853079192.db2.gz WVLXADZGPYBZCG-UHFFFAOYSA-N 0 1 279.384 0.363 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](Nc3ccnc(C#N)n3)C2)[nH]1 ZINC001056592209 853176802 /nfs/dbraw/zinc/17/68/02/853176802.db2.gz BXABPDBRHBKCNP-SNVBAGLBSA-N 0 1 297.322 0.128 20 30 CCEDMN N#Cc1cccnc1N[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001056595420 853176856 /nfs/dbraw/zinc/17/68/56/853176856.db2.gz CACPVNQHLFQUHZ-CYBMUJFWSA-N 0 1 296.334 0.932 20 30 CCEDMN C#CCN1CCOC[C@@H]1CNC(=O)c1cncc2nc[nH]c21 ZINC001050928591 853489154 /nfs/dbraw/zinc/48/91/54/853489154.db2.gz HAWYFZOYHMRFIC-NSHDSACASA-N 0 1 299.334 0.022 20 30 CCEDMN C=CCCN1CCOC[C@@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001051091071 853521986 /nfs/dbraw/zinc/52/19/86/853521986.db2.gz AFQNKKYIEGWORM-NSHDSACASA-N 0 1 294.355 0.132 20 30 CCEDMN N#CCN1CCOC[C@H]1CNC(=O)c1n[nH]c2ccccc21 ZINC001051235069 853550766 /nfs/dbraw/zinc/55/07/66/853550766.db2.gz LHNQADLOJWAVJJ-LLVKDONJSA-N 0 1 299.334 0.517 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001052189284 853700726 /nfs/dbraw/zinc/70/07/26/853700726.db2.gz WZCZTLFGTWVDND-QWRGUYRKSA-N 0 1 291.355 0.595 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001058314101 853822784 /nfs/dbraw/zinc/82/27/84/853822784.db2.gz BQCNQRFPTOZCSC-UKRRQHHQSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1CCN(c2ccncc2C#N)C1 ZINC001058314101 853822789 /nfs/dbraw/zinc/82/27/89/853822789.db2.gz BQCNQRFPTOZCSC-UKRRQHHQSA-N 0 1 299.378 0.742 20 30 CCEDMN C[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001058315042 853823658 /nfs/dbraw/zinc/82/36/58/853823658.db2.gz WSPDEZRMVKHFCW-KGLIPLIRSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001058315042 853823664 /nfs/dbraw/zinc/82/36/64/853823664.db2.gz WSPDEZRMVKHFCW-KGLIPLIRSA-N 0 1 299.378 0.742 20 30 CCEDMN N#Cc1ccc(NCC2CC(NC(=O)c3cnn[nH]3)C2)cn1 ZINC001067900767 853865014 /nfs/dbraw/zinc/86/50/14/853865014.db2.gz APMWTOJOYRMBET-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN Cc1nc(N[C@@H](C)CNC(=O)Cc2nnc[nH]2)ccc1C#N ZINC001098056090 853877999 /nfs/dbraw/zinc/87/79/99/853877999.db2.gz DKJLFXZHPDSWKK-VIFPVBQESA-N 0 1 299.338 0.539 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H](C)CNC(=O)Cc2nnc[nH]2)n1 ZINC001098056050 853878036 /nfs/dbraw/zinc/87/80/36/853878036.db2.gz CLWXERSXALBMLT-SNVBAGLBSA-N 0 1 299.338 0.539 20 30 CCEDMN N#Cc1nccnc1NC[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001068618141 853907877 /nfs/dbraw/zinc/90/78/77/853907877.db2.gz FNPWZVCRIPKQIA-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN C[C@H]1C[C@H](Nc2ccc(C#N)nn2)CN1C(=O)c1ccn[nH]1 ZINC001069017349 853939493 /nfs/dbraw/zinc/93/94/93/853939493.db2.gz LFNYUIZTKOTMIA-ONGXEEELSA-N 0 1 297.322 0.786 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)C2CCC2)[C@@H](n2ccnn2)C1 ZINC001069887941 853991700 /nfs/dbraw/zinc/99/17/00/853991700.db2.gz YCORUTQNQQQGAC-KGLIPLIRSA-N 0 1 289.383 0.996 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2=CCCC2)[C@@H](n2ccnn2)C1 ZINC001069909567 853998514 /nfs/dbraw/zinc/99/85/14/853998514.db2.gz FIRBDEQBFYEYGZ-CABCVRRESA-N 0 1 299.378 0.753 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CC2CC2)C[C@@H]1n1ccnn1 ZINC001070120298 854027104 /nfs/dbraw/zinc/02/71/04/854027104.db2.gz HBTNVCMYBJRNNS-OLZOCXBDSA-N 0 1 273.340 0.053 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H]2CC=CCC2)C[C@@H]1n1ccnn1 ZINC001070138558 854030355 /nfs/dbraw/zinc/03/03/55/854030355.db2.gz IQYJESOYUMHESH-KFWWJZLASA-N 0 1 299.378 0.609 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H]2C[C@H]2CC)C[C@@H]1n1ccnn1 ZINC001070280325 854038863 /nfs/dbraw/zinc/03/88/63/854038863.db2.gz TXNMRAMSTKTZFR-SYQHCUMBSA-N 0 1 287.367 0.299 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)c2ccn[nH]2)C1 ZINC001070398117 854057625 /nfs/dbraw/zinc/05/76/25/854057625.db2.gz MYQGBIOCTFLZOI-NSHDSACASA-N 0 1 298.350 0.485 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C(C)(F)F)C[C@H]1c1cn(C)cn1 ZINC001070457361 854061842 /nfs/dbraw/zinc/06/18/42/854061842.db2.gz HPRQFNUDLAKBDZ-CMPLNLGQSA-N 0 1 296.321 0.593 20 30 CCEDMN C=C(C)CN1CCOC[C@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070576545 854077902 /nfs/dbraw/zinc/07/79/02/854077902.db2.gz AZFZAIMRMBOVMA-NSHDSACASA-N 0 1 279.344 0.390 20 30 CCEDMN C=C(C)CN1CCOC[C@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070576545 854077906 /nfs/dbraw/zinc/07/79/06/854077906.db2.gz AZFZAIMRMBOVMA-NSHDSACASA-N 0 1 279.344 0.390 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(CCC)CC(=O)[O-] ZINC000393322975 854114846 /nfs/dbraw/zinc/11/48/46/854114846.db2.gz MIRQDMJMEHWFEF-UHFFFAOYSA-N 0 1 269.345 0.448 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@@H](C)O2 ZINC001071139500 854129214 /nfs/dbraw/zinc/12/92/14/854129214.db2.gz WEJHDXWHRNRGIL-DOMZBBRYSA-N 0 1 288.351 0.348 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2cnn(C)c2)CC[C@@H]1C ZINC001071384132 854173791 /nfs/dbraw/zinc/17/37/91/854173791.db2.gz SGHVWJCCVSOFHW-DZGCQCFKSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ccnn2C)CC[C@H]1C ZINC001071466815 854209594 /nfs/dbraw/zinc/20/95/94/854209594.db2.gz HQRJUSSOYJTURY-CHWSQXEVSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ccnn2C)CC[C@H]1C ZINC001071466816 854209903 /nfs/dbraw/zinc/20/99/03/854209903.db2.gz HQRJUSSOYJTURY-OLZOCXBDSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN2CCCNC2=O)CC[C@@H]1C ZINC001071642737 854256696 /nfs/dbraw/zinc/25/66/96/854256696.db2.gz VXTMAYLGFVHOFH-QWHCGFSZSA-N 0 1 292.383 0.004 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2[nH]nnc2C)CC[C@H]1C ZINC001071732577 854275611 /nfs/dbraw/zinc/27/56/11/854275611.db2.gz WVPOJPUBMYZWNI-MWLCHTKSSA-N 0 1 263.345 0.882 20 30 CCEDMN C=CCCC(=O)N1C[C@H](NC(=O)c2cnn[nH]2)[C@@H](C)C1 ZINC001071777246 854287388 /nfs/dbraw/zinc/28/73/88/854287388.db2.gz FGIIASDWBZUDCD-ONGXEEELSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cnon2)CC[C@H]1C ZINC001071914058 854316748 /nfs/dbraw/zinc/31/67/48/854316748.db2.gz LOAUZSMYLXNZMP-ZJUUUORDSA-N 0 1 250.302 0.838 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cnon2)CC[C@H]1C ZINC001071914055 854318379 /nfs/dbraw/zinc/31/83/79/854318379.db2.gz LOAUZSMYLXNZMP-NXEZZACHSA-N 0 1 250.302 0.838 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cccn(C)c2=O)CC[C@@H]1C ZINC001072005456 854333328 /nfs/dbraw/zinc/33/33/28/854333328.db2.gz NCBSRGUPEGKZMA-STQMWFEESA-N 0 1 287.363 0.601 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cnc(C)cn3)C2)C1 ZINC001072419795 854383527 /nfs/dbraw/zinc/38/35/27/854383527.db2.gz UEERBOIRKMPXGY-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnn(CC)n3)C2)C1 ZINC001072707828 854449435 /nfs/dbraw/zinc/44/94/35/854449435.db2.gz SUHWRXPCJKBQGA-UHFFFAOYSA-N 0 1 273.340 0.079 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCn4cncc4C3)C2)C1 ZINC001072864811 854481469 /nfs/dbraw/zinc/48/14/69/854481469.db2.gz SLOFUVHBOLAVFQ-CQSZACIVSA-N 0 1 298.390 0.613 20 30 CCEDMN CC#CC[N@H+]1CCC2(CN(C(=O)c3cncn3C)C2)C1 ZINC001072899891 854491165 /nfs/dbraw/zinc/49/11/65/854491165.db2.gz RCJNGHZFKAYVTR-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)[C@H]3CCC(=O)N3)C2)C1 ZINC001073005869 854513187 /nfs/dbraw/zinc/51/31/87/854513187.db2.gz IEXQPHFGPXOFMH-QWHCGFSZSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cn3cc(Cl)cn3)C2)C1 ZINC001073019885 854515073 /nfs/dbraw/zinc/51/50/73/854515073.db2.gz ABZIJARIBIJIRR-UHFFFAOYSA-N 0 1 292.770 0.704 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cn3ccc(C)cc3=O)C2)C1 ZINC001073023981 854517548 /nfs/dbraw/zinc/51/75/48/854517548.db2.gz BZWYMXOYTRDRDF-UHFFFAOYSA-N 0 1 299.374 0.324 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCO[C@H]3C)C2)C1 ZINC001073080714 854526659 /nfs/dbraw/zinc/52/66/59/854526659.db2.gz MUTYIWYYXLGPOS-STQMWFEESA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3Cc4cccnc4C3)C2)C1 ZINC001073149797 854537614 /nfs/dbraw/zinc/53/76/14/854537614.db2.gz BSZDTNBXGGYSFV-HNNXBMFYSA-N 0 1 295.386 0.964 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2cc(C)n[nH]2)C1 ZINC001073548375 854588301 /nfs/dbraw/zinc/58/83/01/854588301.db2.gz JNYNLORGTHMOBF-ZDUSSCGKSA-N 0 1 290.367 0.562 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H]2CCCCO2)C1 ZINC001073575511 854599186 /nfs/dbraw/zinc/59/91/86/854599186.db2.gz HFNYPWADSDGAOU-LSDHHAIUSA-N 0 1 294.395 0.786 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cn(C)cn2)C1 ZINC001073576874 854601863 /nfs/dbraw/zinc/60/18/63/854601863.db2.gz CCNOYTBBMYARPC-LBPRGKRZSA-N 0 1 278.356 0.427 20 30 CCEDMN C=C(C)CN1CCCO[C@H](CNC(=O)c2ncn(C)n2)C1 ZINC001073587432 854606478 /nfs/dbraw/zinc/60/64/78/854606478.db2.gz QLLLCMDTXLAKFS-GFCCVEGCSA-N 0 1 293.371 0.212 20 30 CCEDMN C#CCN1CCCO[C@H](CNC(=O)c2[nH]ccc2C)C1 ZINC001073591013 854606600 /nfs/dbraw/zinc/60/66/00/854606600.db2.gz BXUFTSGLGODBCL-CYBMUJFWSA-N 0 1 275.352 0.777 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2csnn2)C1 ZINC001073714711 854632163 /nfs/dbraw/zinc/63/21/63/854632163.db2.gz WEZRBZBPHHHEAL-JTQLQIEISA-N 0 1 282.369 0.545 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2[nH]nnc2C)C1 ZINC001073722597 854633649 /nfs/dbraw/zinc/63/36/49/854633649.db2.gz MKJJHTXBNFLWRZ-NSHDSACASA-N 0 1 279.344 0.120 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccn(C)n2)C1 ZINC001073891009 854659573 /nfs/dbraw/zinc/65/95/73/854659573.db2.gz KRZIDEJYLPFUEG-GFCCVEGCSA-N 0 1 278.356 0.427 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)CN3CCCC3)C[C@H]21 ZINC001074405215 854725981 /nfs/dbraw/zinc/72/59/81/854725981.db2.gz NZORABFCWQZAPX-HUUCEWRRSA-N 0 1 293.411 0.570 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NCc2cnns2)[C@@H]1C ZINC001074568014 854750057 /nfs/dbraw/zinc/75/00/57/854750057.db2.gz GNOXBEJNCVWSHP-YWVKMMECSA-N 0 1 279.369 0.777 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CCO)nc1 ZINC001098246765 854852453 /nfs/dbraw/zinc/85/24/53/854852453.db2.gz SISPGKLVNIFAAN-YUELXQCFSA-N 0 1 285.347 0.390 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cncn1C)C2 ZINC001098217948 854853530 /nfs/dbraw/zinc/85/35/30/854853530.db2.gz PERFVSSUMSOXPY-YUELXQCFSA-N 0 1 286.379 0.707 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)Nc1cnc(C#N)cn1 ZINC001098306788 854857390 /nfs/dbraw/zinc/85/73/90/854857390.db2.gz NIOUJEQLABMYNI-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccc[nH]1)C2 ZINC001098439678 854867492 /nfs/dbraw/zinc/86/74/92/854867492.db2.gz HEXCOCVUNJHCTI-MCIONIFRSA-N 0 1 257.337 0.912 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2[nH]nnc2C)C1 ZINC001098969512 854900731 /nfs/dbraw/zinc/90/07/31/854900731.db2.gz NHXBIJZZJVPVKB-WFASDCNBSA-N 0 1 287.367 0.721 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COC2CCCC2)[C@@H](O)C1 ZINC001099710565 854972142 /nfs/dbraw/zinc/97/21/42/854972142.db2.gz ZGDXZOSWNFWFML-KGLIPLIRSA-N 0 1 282.384 0.683 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCCOC)[C@H](O)C1 ZINC001099735524 854982188 /nfs/dbraw/zinc/98/21/88/854982188.db2.gz HJSDNKYLMNTJBC-UONOGXRCSA-N 0 1 282.384 0.378 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(F)=C(C)C)[C@@H](O)C1 ZINC001099781554 854995758 /nfs/dbraw/zinc/99/57/58/854995758.db2.gz YAONUJBLVXFVJP-NEPJUHHUSA-N 0 1 268.332 0.825 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COC(C)(C)C)[C@@H](O)C1 ZINC001099794614 854997126 /nfs/dbraw/zinc/99/71/26/854997126.db2.gz PDWGWBPYNDTSKY-RYUDHWBXSA-N 0 1 270.373 0.539 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)CC2(OC)CCC2)[C@H](O)C1 ZINC001099807052 854999171 /nfs/dbraw/zinc/99/91/71/854999171.db2.gz KBRYVBNRAKBWAA-UONOGXRCSA-N 0 1 294.395 0.520 20 30 CCEDMN CCOCCN1CC[C@@H](NC(=O)C#CC(C)C)[C@H](O)C1 ZINC001099820477 855002537 /nfs/dbraw/zinc/00/25/37/855002537.db2.gz GCTXUZGLFLOMIC-ZIAGYGMSSA-N 0 1 282.384 0.234 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(COCC)CC2)[C@H](O)C1 ZINC001099898579 855023616 /nfs/dbraw/zinc/02/36/16/855023616.db2.gz DQJFSYGYKPUBTG-UONOGXRCSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CCN(CC#CC)C[C@H]1O ZINC001099974339 855057710 /nfs/dbraw/zinc/05/77/10/855057710.db2.gz QGKIEUAIPIDNDC-ZIAGYGMSSA-N 0 1 264.369 0.917 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCN(C)c2ccnc(C#N)n2)c1C ZINC001099987737 855061401 /nfs/dbraw/zinc/06/14/01/855061401.db2.gz PBTPKYKXZRTFGN-UHFFFAOYSA-N 0 1 299.338 0.554 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CCN(CCO)C[C@H]2O)CCC1 ZINC001099988489 855062052 /nfs/dbraw/zinc/06/20/52/855062052.db2.gz IXYLIXMRKHXJLU-CHWSQXEVSA-N 0 1 282.384 0.277 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC(C)(F)F)[C@@H](O)C1 ZINC001099991093 855063559 /nfs/dbraw/zinc/06/35/59/855063559.db2.gz FPZNQVJDCXWMAW-UWVGGRQHSA-N 0 1 262.300 0.769 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001100949663 855246970 /nfs/dbraw/zinc/24/69/70/855246970.db2.gz FIIWNTLVSOKESO-UWVGGRQHSA-N 0 1 299.338 0.455 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H]2CN(C(=O)CC)C[C@]2(C)C1 ZINC001101102386 855263200 /nfs/dbraw/zinc/26/32/00/855263200.db2.gz DEALSUBNEXYPCN-ZENOOKHLSA-N 0 1 293.411 0.867 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CN(CC(=O)NC2CC2)C[C@H]1C ZINC001101823922 855372953 /nfs/dbraw/zinc/37/29/53/855372953.db2.gz QHSHGWIFBAOZLA-QJPTWQEYSA-N 0 1 292.383 0.109 20 30 CCEDMN CCNC(=O)CN1C[C@@H](CNC(=O)C#CC2CC2)[C@H](C)C1 ZINC001101951545 855397765 /nfs/dbraw/zinc/39/77/65/855397765.db2.gz VIKSLCCQCJEHSH-TZMCWYRMSA-N 0 1 291.395 0.220 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)Cn2ncnn2)[C@H](C)C1 ZINC001101979425 855402790 /nfs/dbraw/zinc/40/27/90/855402790.db2.gz UMSGTWBOXNGXHN-MWLCHTKSSA-N 0 1 298.778 0.110 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102005107 855406369 /nfs/dbraw/zinc/40/63/69/855406369.db2.gz USMOGXZTYXVERW-VXGBXAGGSA-N 0 1 281.400 0.758 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102328634 855429689 /nfs/dbraw/zinc/42/96/89/855429689.db2.gz REJOXRCCNPCODI-LALPHHSUSA-N 0 1 281.400 0.758 20 30 CCEDMN C#CCCCC(=O)N[C@]12CCC[C@H]1CN(CC(=O)NC)C2 ZINC001111729582 855590228 /nfs/dbraw/zinc/59/02/28/855590228.db2.gz VSNSVVRHOHAALV-BBRMVZONSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CCOC)CCC1 ZINC001115172438 855650480 /nfs/dbraw/zinc/65/04/80/855650480.db2.gz RKNYUZZCKHOMMB-WDNDVIMCSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCn1cccn1 ZINC001115257299 855655137 /nfs/dbraw/zinc/65/51/37/855655137.db2.gz GQQVGEZHLQGWBO-FOLVSLTJSA-N 0 1 286.379 0.733 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)CCOC ZINC001115265746 855656481 /nfs/dbraw/zinc/65/64/81/855656481.db2.gz OPULCYCBBQDYCI-NDBYEHHHSA-N 0 1 250.342 0.339 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001115331939 855662768 /nfs/dbraw/zinc/66/27/68/855662768.db2.gz GUDPVHCESPCADM-BYNSBNAKSA-N 0 1 292.379 0.274 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@H](C)Nc2cnc(C#N)cn2)[nH]1 ZINC001115657027 855686498 /nfs/dbraw/zinc/68/64/98/855686498.db2.gz XUNBHOJHBKYPDN-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cnc(C(C)C)[nH]1 ZINC001116183215 855727633 /nfs/dbraw/zinc/72/76/33/855727633.db2.gz NHXYRUQBSAXXQA-UHFFFAOYSA-N 0 1 264.285 0.672 20 30 CCEDMN C#CCOCCN(C)Cc1nn2c(nc(C)cc2=O)s1 ZINC001116401783 855760732 /nfs/dbraw/zinc/76/07/32/855760732.db2.gz OXGQTFGIHUNTSV-UHFFFAOYSA-N 0 1 292.364 0.541 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1ccn(-c2ccncc2)n1 ZINC001116915182 855865616 /nfs/dbraw/zinc/86/56/16/855865616.db2.gz CSWOBWSUXBNICJ-CYBMUJFWSA-N 0 1 284.319 0.771 20 30 CCEDMN CCN(C(=O)C(=O)N1CC[C@@](F)(C#N)C1)[C@@H]1CCN(C)C1 ZINC001118468411 856304287 /nfs/dbraw/zinc/30/42/87/856304287.db2.gz ROZVMYCQIJVTRF-BXUZGUMPSA-N 0 1 296.346 0.003 20 30 CCEDMN N#Cc1cccc2c1CN(C(=O)CCc1nn[nH]n1)C2 ZINC001119039160 856537760 /nfs/dbraw/zinc/53/77/60/856537760.db2.gz AMCLOKQZOLHLLT-UHFFFAOYSA-N 0 1 268.280 0.546 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)cn1 ZINC001119438835 856686944 /nfs/dbraw/zinc/68/69/44/856686944.db2.gz ONVPAXLUNJOTIZ-VXGBXAGGSA-N 0 1 269.308 0.659 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)c1cc(C[NH+](C)C)on1)C(=O)[O-] ZINC001119644736 856804884 /nfs/dbraw/zinc/80/48/84/856804884.db2.gz HFVGJQSKDHOMPE-LLVKDONJSA-N 0 1 281.312 0.885 20 30 CCEDMN C#C[C@H](NC[C@@H]1CN=C(c2cnn(C)c2)O1)[C@H]1CCCO1 ZINC001119743828 856864394 /nfs/dbraw/zinc/86/43/94/856864394.db2.gz PNROMYGXGNIAHX-HZSPNIEDSA-N 0 1 288.351 0.336 20 30 CCEDMN C[S@](=O)CCN1CCC2(CC1)CC(=O)C=CO2 ZINC001119870338 856900202 /nfs/dbraw/zinc/90/02/02/856900202.db2.gz WDTDZSASZYDWJL-KRWDZBQOSA-N 0 1 257.355 0.703 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1CCC(=O)N1 ZINC001393037727 912349437 /nfs/dbraw/zinc/34/94/37/912349437.db2.gz YRQGXWMJYYADNJ-WDEREUQCSA-N 0 1 285.775 0.598 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H]([C@H]2CCCCN2C(C)=O)C1 ZINC001323638315 912458510 /nfs/dbraw/zinc/45/85/10/912458510.db2.gz QVNUOMHRZWIHON-LSDHHAIUSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C[C@H](COC)NC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC001324023455 912632785 /nfs/dbraw/zinc/63/27/85/912632785.db2.gz VXABUMCFTCMZOZ-CHWSQXEVSA-N 0 1 284.404 0.123 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@H]1CNCc1nccn1C ZINC001324127045 912684155 /nfs/dbraw/zinc/68/41/55/912684155.db2.gz AGUHWHIWVMNYBJ-ZDUSSCGKSA-N 0 1 292.383 0.703 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(C)(NC(C)=O)CC1 ZINC001324291758 912761840 /nfs/dbraw/zinc/76/18/40/912761840.db2.gz LPOWYMFMQWYCEX-NSHDSACASA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN(C(=O)c1n[nH]cc1C)C1CCN(CC#C)CC1 ZINC001324387338 912818724 /nfs/dbraw/zinc/81/87/24/912818724.db2.gz SXINMVZKLDXNAJ-UHFFFAOYSA-N 0 1 284.363 0.891 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCCCc2c[nH]nn2)C1 ZINC001324633481 912955960 /nfs/dbraw/zinc/95/59/60/912955960.db2.gz UWKDJHSBKCRLKM-HNNXBMFYSA-N 0 1 289.383 0.731 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2CCCN(CC)CC2)CC1 ZINC001324829944 913055899 /nfs/dbraw/zinc/05/58/99/913055899.db2.gz LPCHJUNNYVWJDQ-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C=C(Cl)CN1CCC(C)(CNC(=O)CC(N)=O)CC1 ZINC001324852059 913064362 /nfs/dbraw/zinc/06/43/62/913064362.db2.gz HVKAQFSMWWVTFC-UHFFFAOYSA-N 0 1 287.791 0.833 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccc(C)nc2)C1 ZINC001325069990 913186759 /nfs/dbraw/zinc/18/67/59/913186759.db2.gz VLIRPVLIDAKWRR-HNNXBMFYSA-N 0 1 273.336 0.190 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](O)(CNC(=O)CCc2cnc[nH]2)C1 ZINC001325101508 913213934 /nfs/dbraw/zinc/21/39/34/913213934.db2.gz HAFZBQIZROZGBJ-CQSZACIVSA-N 0 1 278.356 0.081 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cccc(CC)n2)C1 ZINC001325100371 913216344 /nfs/dbraw/zinc/21/63/44/913216344.db2.gz ABEUPFHPUKFEBH-INIZCTEOSA-N 0 1 287.363 0.444 20 30 CCEDMN C#CCN(CC1CC1)[C@@H](C)C(=O)NCC(=O)OC ZINC001325512911 913444256 /nfs/dbraw/zinc/44/42/56/913444256.db2.gz OLCKNIRBGQLYIC-JTQLQIEISA-N 0 1 252.314 0.009 20 30 CCEDMN C=CCC[N@@H+](C)CCNC(=O)[C@H]1CCCCS1(=O)=O ZINC001480872548 891482627 /nfs/dbraw/zinc/48/26/27/891482627.db2.gz BZOIGMGEDARIAJ-GFCCVEGCSA-N 0 1 288.413 0.578 20 30 CCEDMN C=CCCN(C)CCNC(=O)[C@H]1CCCCS1(=O)=O ZINC001480872548 891482631 /nfs/dbraw/zinc/48/26/31/891482631.db2.gz BZOIGMGEDARIAJ-GFCCVEGCSA-N 0 1 288.413 0.578 20 30 CCEDMN C#CCN(C)CCNC(=O)c1coc(C(F)(F)F)n1 ZINC001480886258 891584610 /nfs/dbraw/zinc/58/46/10/891584610.db2.gz PDAVVSIQYIKPJG-UHFFFAOYSA-N 0 1 275.230 0.988 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC001417819070 891596716 /nfs/dbraw/zinc/59/67/16/891596716.db2.gz UICNFYATABHXBG-HZSPNIEDSA-N 0 1 277.368 0.859 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)c2cc(C)on2)C1 ZINC001325819952 913593717 /nfs/dbraw/zinc/59/37/17/913593717.db2.gz YQXBMKAZJXJLMI-HNNXBMFYSA-N 0 1 291.351 0.563 20 30 CCEDMN C#CCOCCN(C)C(=O)CSc1nnc(C)[nH]1 ZINC001349902329 891795347 /nfs/dbraw/zinc/79/53/47/891795347.db2.gz SUFFRIKZJIIJBN-UHFFFAOYSA-N 0 1 268.342 0.313 20 30 CCEDMN C#CCOCCN(C)C(=O)CSc1nc(C)n[nH]1 ZINC001349902329 891795358 /nfs/dbraw/zinc/79/53/58/891795358.db2.gz SUFFRIKZJIIJBN-UHFFFAOYSA-N 0 1 268.342 0.313 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1c[nH]c(=O)n1C ZINC001480993110 892026401 /nfs/dbraw/zinc/02/64/01/892026401.db2.gz NPXYGBHSZGWMRN-UHFFFAOYSA-N 0 1 264.329 0.201 20 30 CCEDMN CCOCC(=O)N1CCC[C@@H]2[C@H]1CCN2CC#CCOC ZINC001325871874 913619706 /nfs/dbraw/zinc/61/97/06/913619706.db2.gz AIWIYKITVLWSML-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN COCC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)OC ZINC001325873088 913620440 /nfs/dbraw/zinc/62/04/40/913620440.db2.gz DFZFQFREHYAUGW-RRFJBIMHSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)C(C)(C)N1CCOCC1 ZINC001481065618 892125530 /nfs/dbraw/zinc/12/55/30/892125530.db2.gz RETFBFJXQVYBEP-AWEZNQCLSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCN(CC(=O)NC(C)C)C1 ZINC001481081957 892141637 /nfs/dbraw/zinc/14/16/37/892141637.db2.gz AISRDMYACNEBSZ-ZDUSSCGKSA-N 0 1 297.399 0.152 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)C(=O)c1cccc2c[nH]nc21 ZINC001408674326 892156661 /nfs/dbraw/zinc/15/66/61/892156661.db2.gz WDCCGLSLSWIIIR-SNVBAGLBSA-N 0 1 299.334 0.911 20 30 CCEDMN C#CCN(CCNC(=O)[C@H](OCC)[C@@H]1CCOC1)C1CC1 ZINC001481180075 892276257 /nfs/dbraw/zinc/27/62/57/892276257.db2.gz LMRVCHHPXZYAEL-UKRRQHHQSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001284003399 892549941 /nfs/dbraw/zinc/54/99/41/892549941.db2.gz MYPJSRFPGSMNFT-VIFPVBQESA-N 0 1 296.302 0.082 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CCNC(N)=O)C1 ZINC001481410369 892618446 /nfs/dbraw/zinc/61/84/46/892618446.db2.gz FZYSLKOUCNHGAM-GFCCVEGCSA-N 0 1 282.388 0.544 20 30 CCEDMN C=C(C)CN1CCN(CCN(C)C(=O)c2cnco2)CC1 ZINC001481471722 892715579 /nfs/dbraw/zinc/71/55/79/892715579.db2.gz XDXIFJUQMRKAEY-UHFFFAOYSA-N 0 1 292.383 0.940 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N[C@H]1CCCN(CC#N)C1 ZINC001481535076 892784154 /nfs/dbraw/zinc/78/41/54/892784154.db2.gz GFAKYUPLNVCGIY-QWHCGFSZSA-N 0 1 284.379 0.770 20 30 CCEDMN C=CCCOCC(=O)NC1CN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC001481607145 892892564 /nfs/dbraw/zinc/89/25/64/892892564.db2.gz HSXIZIADHIQCAY-JTQLQIEISA-N 0 1 293.371 0.567 20 30 CCEDMN C[C@H](OC1CCCCC1)C(=O)N[C@H]1CNC[C@H]1C#N ZINC001326057834 913722673 /nfs/dbraw/zinc/72/26/73/913722673.db2.gz MEVSUUXDEJXEOR-LOWVWBTDSA-N 0 1 265.357 0.952 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)COCCOC)C1 ZINC001481761635 893124174 /nfs/dbraw/zinc/12/41/74/893124174.db2.gz MTHRUEUTDWUBJC-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H](C)NC(=O)CC)C1 ZINC001481769356 893135167 /nfs/dbraw/zinc/13/51/67/893135167.db2.gz RMYTZKDJCQDRED-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1cccn1C ZINC001284481909 893572529 /nfs/dbraw/zinc/57/25/29/893572529.db2.gz JQPNERJNBCYVDF-ZDUSSCGKSA-N 0 1 277.368 0.413 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCN(C)C(=O)C#CC(C)C)[nH]1 ZINC001285356350 893875859 /nfs/dbraw/zinc/87/58/59/893875859.db2.gz HZXZPLNCVCEHRB-UHFFFAOYSA-N 0 1 290.367 0.908 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@@H+]1CCCOCC1)cc2=O ZINC001364380558 894016600 /nfs/dbraw/zinc/01/66/00/894016600.db2.gz CMEBOHIKLZCBBR-UHFFFAOYSA-N 0 1 273.296 0.529 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@H+]1CCCOCC1)cc2=O ZINC001364380558 894016615 /nfs/dbraw/zinc/01/66/15/894016615.db2.gz CMEBOHIKLZCBBR-UHFFFAOYSA-N 0 1 273.296 0.529 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CNC(=O)C#CC2CC2)c1C ZINC001285716905 894057673 /nfs/dbraw/zinc/05/76/73/894057673.db2.gz BFQLJSIIJVLBGQ-VIFPVBQESA-N 0 1 288.351 0.674 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1c(C)nc[nH]c1=O ZINC001482621513 894420820 /nfs/dbraw/zinc/42/08/20/894420820.db2.gz SCKBGGIBIWJTTQ-UHFFFAOYSA-N 0 1 262.313 0.128 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1ccncn1 ZINC001482636000 894434653 /nfs/dbraw/zinc/43/46/53/894434653.db2.gz NYNBQQOISQCHPV-UHFFFAOYSA-N 0 1 276.340 0.130 20 30 CCEDMN C=C(Cl)CN(C)CCN(C)C(=O)Cc1ncn[nH]1 ZINC001482640870 894443029 /nfs/dbraw/zinc/44/30/29/894443029.db2.gz KGBNIZMDVMOEEO-UHFFFAOYSA-N 0 1 271.752 0.490 20 30 CCEDMN Cc1nc(CNCC=CCNC(=O)C#CC2CC2)n[nH]1 ZINC001483008571 894799387 /nfs/dbraw/zinc/79/93/87/894799387.db2.gz YEIFNFWRWUALCY-IHWYPQMZSA-N 0 1 273.340 0.289 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001506621432 894879138 /nfs/dbraw/zinc/87/91/38/894879138.db2.gz LMVOVTPMWIATJE-VXGBXAGGSA-N 0 1 279.384 0.361 20 30 CCEDMN C[C@@H](NCCNC(=O)C#CC1CC1)c1cnccn1 ZINC001126875426 894941922 /nfs/dbraw/zinc/94/19/22/894941922.db2.gz GMDZOCYZDZHVCY-LLVKDONJSA-N 0 1 258.325 0.657 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CNCc1cc2n(n1)CCCC2 ZINC001483215503 895121254 /nfs/dbraw/zinc/12/12/54/895121254.db2.gz QSZNYGKUOYDTHM-NEPJUHHUSA-N 0 1 289.383 0.973 20 30 CCEDMN Cc1noc(C2(NS(=O)(=O)c3ccc(C#N)o3)CC2)n1 ZINC001414375972 895148800 /nfs/dbraw/zinc/14/88/00/895148800.db2.gz AKDVGTFJXVLMCA-UHFFFAOYSA-N 0 1 294.292 0.810 20 30 CCEDMN CC(=O)N(C)C[C@H](O)CN(C)Cc1ccc(F)c(C#N)c1 ZINC001426067166 895208752 /nfs/dbraw/zinc/20/87/52/895208752.db2.gz UEYSIOFXAACZGQ-CQSZACIVSA-N 0 1 293.342 0.968 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](C)NC(=O)C(C)(C)F)C1=O ZINC001483305457 895429236 /nfs/dbraw/zinc/42/92/36/895429236.db2.gz HUWGEIOEKUKSHH-RYUDHWBXSA-N 0 1 299.390 0.958 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C[C@](C)(O)C1CC1 ZINC001496964828 895633047 /nfs/dbraw/zinc/63/30/47/895633047.db2.gz LBSQIMIWAGKLQS-YPMHNXCESA-N 0 1 290.791 0.357 20 30 CCEDMN CC(C)c1nc(CNC(=O)N2CCO[C@@](C)(C#N)C2)n[nH]1 ZINC001414657049 895991169 /nfs/dbraw/zinc/99/11/69/895991169.db2.gz ORMRSLRJQWDLBJ-ZDUSSCGKSA-N 0 1 292.343 0.752 20 30 CCEDMN C=C[C@H](C(=O)NCC1(NCC(N)=O)CC1)c1ccccc1 ZINC001483793385 896058999 /nfs/dbraw/zinc/05/89/99/896058999.db2.gz CYKLMHVGMITVDK-ZDUSSCGKSA-N 0 1 287.363 0.680 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](C)NC(C)=O ZINC001483920109 896116051 /nfs/dbraw/zinc/11/60/51/896116051.db2.gz NCSPAVLSOCRYIM-PWSUYJOCSA-N 0 1 287.791 0.844 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)CC(C)(C)O)C1 ZINC001484044034 896168786 /nfs/dbraw/zinc/16/87/86/896168786.db2.gz CLDFSAUIFNRTHG-AWEZNQCLSA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001484047981 896174456 /nfs/dbraw/zinc/17/44/56/896174456.db2.gz RSDOOXHWXMUSQO-HNNXBMFYSA-N 0 1 287.367 0.369 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001484075853 896184213 /nfs/dbraw/zinc/18/42/13/896184213.db2.gz NKSSGMOFTRNIEO-WFASDCNBSA-N 0 1 274.368 0.737 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](C)NC(=O)CC ZINC001493554960 896204414 /nfs/dbraw/zinc/20/44/14/896204414.db2.gz MFEYCMKEQCGTBO-SNVBAGLBSA-N 0 1 275.780 0.702 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)/C=C(/C)CC)C1 ZINC001484217661 896243414 /nfs/dbraw/zinc/24/34/14/896243414.db2.gz DXCRJYTXMRQKRV-VSKPTYQZSA-N 0 1 264.369 0.919 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2cc[nH]c2)C1 ZINC001484220397 896257094 /nfs/dbraw/zinc/25/70/94/896257094.db2.gz UOBGRJLNHUPRIT-AWEZNQCLSA-N 0 1 261.325 0.205 20 30 CCEDMN C[C@H]1CO[C@H](C(=O)NCCN2CCC(NCC#N)CC2)C1 ZINC001484420154 896386258 /nfs/dbraw/zinc/38/62/58/896386258.db2.gz UEGPPLNTHNWKMK-OCCSQVGLSA-N 0 1 294.399 0.105 20 30 CCEDMN CC[C@@H](C)OCC(=O)NCCN1CCC(NCC#N)CC1 ZINC001484417739 896389715 /nfs/dbraw/zinc/38/97/15/896389715.db2.gz FXBIKNOBTRMUCJ-CYBMUJFWSA-N 0 1 296.415 0.495 20 30 CCEDMN CC(C)OCCN1CCC[C@](CO)(NC(=O)[C@@H](C)C#N)C1 ZINC001484429800 896397359 /nfs/dbraw/zinc/39/73/59/896397359.db2.gz FLUZOVVWABWWMG-ZFWWWQNUSA-N 0 1 297.399 0.514 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)Cc2nnc(C)o2)C1 ZINC001484434759 896406906 /nfs/dbraw/zinc/40/69/06/896406906.db2.gz PBEIGOBPACLIIF-CQSZACIVSA-N 0 1 294.355 0.050 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)[C@H]1CC12CC2 ZINC001484494859 896444272 /nfs/dbraw/zinc/44/42/72/896444272.db2.gz RMEIXMHCJYNBNT-LLVKDONJSA-N 0 1 272.776 0.950 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)[C@H](C)C#N)CCO1 ZINC001484671217 896528333 /nfs/dbraw/zinc/52/83/33/896528333.db2.gz MWIVPKHTPUYCCM-KOLCDFICSA-N 0 1 271.748 0.716 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H](C)[C@@H](NCc2ccn(C)n2)C1 ZINC001484882550 896652032 /nfs/dbraw/zinc/65/20/32/896652032.db2.gz NUXKMFDRKAOCJJ-OCCSQVGLSA-N 0 1 292.383 0.559 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)CCc2cnn(C)c2)C[C@@H]1C ZINC001484986456 896695483 /nfs/dbraw/zinc/69/54/83/896695483.db2.gz RMJKGENJDYOVCN-ZFWWWQNUSA-N 0 1 288.395 0.955 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@]2(F)CCOC2)CC1 ZINC001485022634 896717282 /nfs/dbraw/zinc/71/72/82/896717282.db2.gz GYIVDQYRXORFKV-AWEZNQCLSA-N 0 1 286.347 0.244 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C[C@H](C)COC)CC1 ZINC001485025598 896722804 /nfs/dbraw/zinc/72/28/04/896722804.db2.gz GROIGCCMRQINHO-ZDUSSCGKSA-N 0 1 284.400 0.788 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)Cc1ccon1 ZINC001485051414 896735981 /nfs/dbraw/zinc/73/59/81/896735981.db2.gz WBNVJJYWYFVHNI-ZDUSSCGKSA-N 0 1 261.325 0.773 20 30 CCEDMN CC[C@H](OC)C(=O)N1CCC[C@H]1CN(C)CC#CCOC ZINC001485052120 896736130 /nfs/dbraw/zinc/73/61/30/896736130.db2.gz VYPVLQLOUDLFKT-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)COCCCOC ZINC001485072058 896754618 /nfs/dbraw/zinc/75/46/18/896754618.db2.gz BPMBHTBEZIABID-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN CCn1ncnc1CNCC1CC(NC(=O)[C@@H](C)C#N)C1 ZINC001485087462 896762397 /nfs/dbraw/zinc/76/23/97/896762397.db2.gz LPTAJNSAPMSYAX-UNXYVOJBSA-N 0 1 290.371 0.442 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001397203619 914096707 /nfs/dbraw/zinc/09/67/07/914096707.db2.gz GBJAFWFRUWREQD-DLOVCJGASA-N 0 1 289.339 0.265 20 30 CCEDMN C=CCn1c(S(=O)(=O)Cc2c(C)noc2C)n[nH]c1=O ZINC001326699394 914105461 /nfs/dbraw/zinc/10/54/61/914105461.db2.gz YCDMQUAUNRCXOE-UHFFFAOYSA-N 0 1 298.324 0.748 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@@H](C)CNC(=O)COCC)C1=O ZINC001485271877 896909336 /nfs/dbraw/zinc/90/93/36/896909336.db2.gz ZRRNODAXKCXVEZ-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001485320310 896945255 /nfs/dbraw/zinc/94/52/55/896945255.db2.gz OGGMSMULNLUMEK-QWHCGFSZSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cc(OC)ccn1 ZINC001485334995 896957362 /nfs/dbraw/zinc/95/73/62/896957362.db2.gz AOZNMSWVCMPFKO-LLVKDONJSA-N 0 1 261.325 0.774 20 30 CCEDMN C=C(C)C[N@H+](C)[C@H](C)CNC(=O)C(=O)NCC(F)F ZINC001485324071 896962525 /nfs/dbraw/zinc/96/25/25/896962525.db2.gz XNENRXPXGZBRLV-SECBINFHSA-N 0 1 277.315 0.380 20 30 CCEDMN CCCC[C@H](CNCc1cnnn1C)NC(=O)[C@H](C)C#N ZINC001485461800 897060018 /nfs/dbraw/zinc/06/00/18/897060018.db2.gz QQLCVMVNVZXDNA-VXGBXAGGSA-N 0 1 292.387 0.739 20 30 CCEDMN CCCC[C@@H](CNCC#N)NC(=O)[C@H]1CCCN1C ZINC001485462456 897061244 /nfs/dbraw/zinc/06/12/44/897061244.db2.gz WNTXVPJVYQPPSX-QWHCGFSZSA-N 0 1 266.389 0.869 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CC2OCCCO2)C1 ZINC001485505700 897090600 /nfs/dbraw/zinc/09/06/00/897090600.db2.gz RZDQWAGVHPUZND-GFCCVEGCSA-N 0 1 280.368 0.599 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](CCCC)NC(N)=O)C1 ZINC001485538845 897107650 /nfs/dbraw/zinc/10/76/50/897107650.db2.gz IBRNNBQASZTRFP-YPMHNXCESA-N 0 1 296.415 0.836 20 30 CCEDMN N#CCNC[C@H]1C[C@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001485685813 897182492 /nfs/dbraw/zinc/18/24/92/897182492.db2.gz WONKXAJPTUWIMS-UMSPYCQHSA-N 0 1 261.329 0.350 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CC1OCCCO1 ZINC001032372362 897339703 /nfs/dbraw/zinc/33/97/03/897339703.db2.gz ZDHULDBNWABGEE-STQMWFEESA-N 0 1 278.352 0.448 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CC1OCCCO1 ZINC001032372362 897339719 /nfs/dbraw/zinc/33/97/19/897339719.db2.gz ZDHULDBNWABGEE-STQMWFEESA-N 0 1 278.352 0.448 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccn(C(C)C)n2)C1 ZINC001077769101 897457134 /nfs/dbraw/zinc/45/71/34/897457134.db2.gz VMJZEXCGDQJGAN-ZIAGYGMSSA-N 0 1 290.367 0.262 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccn(CC)n2)C1 ZINC001077776072 897484407 /nfs/dbraw/zinc/48/44/07/897484407.db2.gz CGUPULOJEWUWDB-CHWSQXEVSA-N 0 1 278.356 0.254 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2Cc2c[nH]nc2C)C1=O ZINC001272754843 897526774 /nfs/dbraw/zinc/52/67/74/897526774.db2.gz NLFSMDXAOGNHRZ-HNNXBMFYSA-N 0 1 272.352 0.918 20 30 CCEDMN C#CCOc1ccc(C(=O)N[C@@H]2CN(CC)C[C@H]2O)cc1 ZINC001077798098 897532151 /nfs/dbraw/zinc/53/21/51/897532151.db2.gz YNWHHZYESBMDGM-HUUCEWRRSA-N 0 1 288.347 0.493 20 30 CCEDMN C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCC(=O)NC1 ZINC001032441588 897574822 /nfs/dbraw/zinc/57/48/22/897574822.db2.gz TWZYAYIAJOUCPR-IHRRRGAJSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CCn1cccc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CCCO ZINC001032447360 897576470 /nfs/dbraw/zinc/57/64/70/897576470.db2.gz IWBHWYDRWJJJRO-KBPBESRZSA-N 0 1 289.379 0.955 20 30 CCEDMN C=CCn1cccc1C(=O)N1C[C@@H]2C[C@H]1CN2CCCO ZINC001032447360 897576474 /nfs/dbraw/zinc/57/64/74/897576474.db2.gz IWBHWYDRWJJJRO-KBPBESRZSA-N 0 1 289.379 0.955 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CN1CCCC1=O ZINC001379480754 897685820 /nfs/dbraw/zinc/68/58/20/897685820.db2.gz ACFZNRHIHOMBEF-JTQLQIEISA-N 0 1 273.764 0.456 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cccc(=O)[nH]1 ZINC001272809186 897778529 /nfs/dbraw/zinc/77/85/29/897778529.db2.gz SOWTWVIBOSGURK-ZIAGYGMSSA-N 0 1 285.347 0.986 20 30 CCEDMN C[C@@H](CCNC(=O)c1ncn[nH]1)NC(=O)C#CC(C)(C)C ZINC001077830389 897881474 /nfs/dbraw/zinc/88/14/74/897881474.db2.gz NYSKFWHJFSEUSL-JTQLQIEISA-N 0 1 291.355 0.479 20 30 CCEDMN C[C@@H](CCNC(=O)c1nc[nH]n1)NC(=O)C#CC(C)(C)C ZINC001077830389 897881481 /nfs/dbraw/zinc/88/14/81/897881481.db2.gz NYSKFWHJFSEUSL-JTQLQIEISA-N 0 1 291.355 0.479 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cncn1C ZINC001032733099 898032424 /nfs/dbraw/zinc/03/24/24/898032424.db2.gz JJYHTRRQJQBIPR-KBPBESRZSA-N 0 1 274.368 0.824 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCN1C(C)=O ZINC001032741483 898050312 /nfs/dbraw/zinc/05/03/12/898050312.db2.gz PBVMRQZXKGODRI-KKUMJFAQSA-N 0 1 289.379 0.306 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCC(=O)N1C ZINC001032759079 898114635 /nfs/dbraw/zinc/11/46/35/898114635.db2.gz XGAUMVMWJHNIKM-MELADBBJSA-N 0 1 289.379 0.306 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CC2(C1)CCN(Cc1cn[nH]c1)C2 ZINC001272886671 898123861 /nfs/dbraw/zinc/12/38/61/898123861.db2.gz QNOUHLHTDOOORW-CHWSQXEVSA-N 0 1 285.351 0.604 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2[nH]nnc2c1 ZINC001032776419 898161739 /nfs/dbraw/zinc/16/17/39/898161739.db2.gz GURIGTMAAAUXLL-QWRGUYRKSA-N 0 1 282.307 0.380 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001078082181 898220904 /nfs/dbraw/zinc/22/09/04/898220904.db2.gz FXIXRTKGIWOGKV-HUUCEWRRSA-N 0 1 272.348 0.392 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@]1(C)CN(CC#N)CCO1 ZINC001108030356 898234131 /nfs/dbraw/zinc/23/41/31/898234131.db2.gz FCHRKFOTNPBHJO-DZGCQCFKSA-N 0 1 294.399 0.201 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CCNC(=O)[C@@H](C)C#N)c1C ZINC001078130810 898250383 /nfs/dbraw/zinc/25/03/83/898250383.db2.gz NOWRPSFDNGKBFI-IUCAKERBSA-N 0 1 291.355 0.811 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2cc(C)n(C)c2C)C1 ZINC001078152861 898268364 /nfs/dbraw/zinc/26/83/64/898268364.db2.gz IIHOIHSBLATULD-HUUCEWRRSA-N 0 1 291.395 0.993 20 30 CCEDMN C#CCN1C(=O)C[C@]2(CCCN(Cc3ccc[nH]3)C2)C1=O ZINC001272926347 898350491 /nfs/dbraw/zinc/35/04/91/898350491.db2.gz RIUOXZVNVOZFBU-INIZCTEOSA-N 0 1 285.347 0.989 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(C)n1C ZINC001485972996 898547348 /nfs/dbraw/zinc/54/73/48/898547348.db2.gz KDLJZXPKEPYHQK-LBPRGKRZSA-N 0 1 263.341 0.037 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001485996945 898582792 /nfs/dbraw/zinc/58/27/92/898582792.db2.gz SABOUZUCNVEORF-RYUDHWBXSA-N 0 1 290.367 0.094 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cnc2ccccc2c1C ZINC001486018295 898593415 /nfs/dbraw/zinc/59/34/15/898593415.db2.gz SBDJDZJFXYHMQC-CYBMUJFWSA-N 0 1 297.358 0.857 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C[C@H](C)CC(C)C ZINC001486049107 898614925 /nfs/dbraw/zinc/61/49/25/898614925.db2.gz BXENJHQQJFDVDQ-OLZOCXBDSA-N 0 1 254.374 0.759 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(Cl)c(C)c1 ZINC001486031565 898620910 /nfs/dbraw/zinc/62/09/10/898620910.db2.gz TUUPNYFNLIMULP-GFCCVEGCSA-N 0 1 280.755 0.962 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@@H](NC(=O)CC(N)=O)[C@H]1C ZINC001486169225 898691156 /nfs/dbraw/zinc/69/11/56/898691156.db2.gz GGEUCSQMWAWTRQ-VXGBXAGGSA-N 0 1 283.372 0.034 20 30 CCEDMN CCC(=O)N(C)C[C@H](O)CNCc1ccccc1C#N ZINC001486255527 898728740 /nfs/dbraw/zinc/72/87/40/898728740.db2.gz MZIDORLYZFLOTQ-CQSZACIVSA-N 0 1 275.352 0.877 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1nccc(C)n1 ZINC001486275934 898735869 /nfs/dbraw/zinc/73/58/69/898735869.db2.gz YXAWOZFWZMYUGB-LLVKDONJSA-N 0 1 298.774 0.560 20 30 CCEDMN C=C(C)C[N@H+](C)C[C@H](O)CN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001486330667 898796141 /nfs/dbraw/zinc/79/61/41/898796141.db2.gz INRWSECOCOLYQS-NSHDSACASA-N 0 1 296.371 0.365 20 30 CCEDMN CCC(C)(C)C(=O)N(C)C[C@H](O)CN(C)CC#CCOC ZINC001486346353 898824435 /nfs/dbraw/zinc/82/44/35/898824435.db2.gz CUYHIWLZTDYIHR-CQSZACIVSA-N 0 1 298.427 0.824 20 30 CCEDMN COCC#CCN(C)CCN(C(=O)[C@@H]1CCCO1)C(C)C ZINC001486363109 898831117 /nfs/dbraw/zinc/83/11/17/898831117.db2.gz VILHRMKMKZSIFJ-HNNXBMFYSA-N 0 1 296.411 0.984 20 30 CCEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)CNC(C)=O ZINC001410278310 899312905 /nfs/dbraw/zinc/31/29/05/899312905.db2.gz GAQJLJVZOBHDPZ-LBPRGKRZSA-N 0 1 287.791 0.702 20 30 CCEDMN Cc1nccnc1CN[C@H](C)CN(C)C(=O)[C@@H](C)C#N ZINC001410336748 899345713 /nfs/dbraw/zinc/34/57/13/899345713.db2.gz DAUUSEITZQUWRR-WDEREUQCSA-N 0 1 275.356 0.881 20 30 CCEDMN Cc1nccnc1CN[C@@H](C)CN(C)C(=O)[C@H](C)C#N ZINC001410336736 899345820 /nfs/dbraw/zinc/34/58/20/899345820.db2.gz DAUUSEITZQUWRR-MNOVXSKESA-N 0 1 275.356 0.881 20 30 CCEDMN CC(C)=CCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001191873083 900022718 /nfs/dbraw/zinc/02/27/18/900022718.db2.gz SFGWQXJXPFINRJ-QJPTWQEYSA-N 0 1 251.330 0.274 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cnccc1N1CCOCC1 ZINC001193110648 900034173 /nfs/dbraw/zinc/03/41/73/900034173.db2.gz AFWOAWVHQXTAKI-JTQLQIEISA-N 0 1 296.352 0.572 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1C[C@@H](NCc2ncnn2C)C1 ZINC001488717648 900351641 /nfs/dbraw/zinc/35/16/41/900351641.db2.gz MTAUHKGQXQHLCD-TXEJJXNPSA-N 0 1 293.371 0.002 20 30 CCEDMN Cn1ccc(CN[C@H]2C[C@H](CNC(=O)C#CC3CC3)C2)n1 ZINC001488826064 900389237 /nfs/dbraw/zinc/38/92/37/900389237.db2.gz FANKYHQEQIBZJT-CTYIDZIISA-N 0 1 286.379 0.818 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2cccnc2)C1 ZINC001489150301 900442487 /nfs/dbraw/zinc/44/24/87/900442487.db2.gz CRCCVFYPKRKTEZ-HNNXBMFYSA-N 0 1 287.363 0.614 20 30 CCEDMN CC#CCN(CCO)[C@H]1CCCN(C(=O)C(F)F)C1 ZINC001489152850 900443113 /nfs/dbraw/zinc/44/31/13/900443113.db2.gz NKEBIGDRICYOAH-NSHDSACASA-N 0 1 274.311 0.560 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)NC(C)=O)[C@H]1C ZINC001489246537 900453695 /nfs/dbraw/zinc/45/36/95/900453695.db2.gz CFVWZWKIDGUMRA-UMNHJUIQSA-N 0 1 253.346 0.276 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](C)NC(C)=O ZINC001489315768 900465648 /nfs/dbraw/zinc/46/56/48/900465648.db2.gz CIFDULHJSTZHPW-HIFRSBDPSA-N 0 1 293.411 0.847 20 30 CCEDMN CN(CCCNC(=O)[C@@H]1CC12CCC2)CC(=O)NCC#N ZINC001490256295 900583619 /nfs/dbraw/zinc/58/36/19/900583619.db2.gz WPSYFDLHUYHSMK-LBPRGKRZSA-N 0 1 292.383 0.254 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H]2CO[C@H](C)C2)CC1 ZINC001490494966 900640580 /nfs/dbraw/zinc/64/05/80/900640580.db2.gz PLQBBTIXVNVQHB-ZIAGYGMSSA-N 0 1 281.400 0.331 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CC[N@@H+](CCN2CCNC2=O)C1 ZINC001490538243 900653036 /nfs/dbraw/zinc/65/30/36/900653036.db2.gz ZNAVXWYGPPUOJC-CYBMUJFWSA-N 0 1 292.383 0.006 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN(CCN2CCNC2=O)C1 ZINC001490538243 900653041 /nfs/dbraw/zinc/65/30/41/900653041.db2.gz ZNAVXWYGPPUOJC-CYBMUJFWSA-N 0 1 292.383 0.006 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2cccnc2)C1 ZINC001490550199 900655271 /nfs/dbraw/zinc/65/52/71/900655271.db2.gz IFSVLHXSOFTHRA-AWEZNQCLSA-N 0 1 257.337 0.838 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001490557372 900664269 /nfs/dbraw/zinc/66/42/69/900664269.db2.gz KPASZFCJMHOLNL-CHWSQXEVSA-N 0 1 295.383 0.000 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001490552813 900665723 /nfs/dbraw/zinc/66/57/23/900665723.db2.gz HDVRUSPECSYESA-KBPBESRZSA-N 0 1 292.383 0.874 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](NC(=O)[C@@H](C)C#N)CC1 ZINC001280706983 900939990 /nfs/dbraw/zinc/93/99/90/900939990.db2.gz FEADXGSAPAKPKT-STQMWFEESA-N 0 1 292.383 0.419 20 30 CCEDMN C=CCN1C(=O)N=NC1SCc1nnc2n1CCOC2 ZINC001321115889 900963102 /nfs/dbraw/zinc/96/31/02/900963102.db2.gz RZKPHRSYPWIURX-UHFFFAOYSA-N 0 1 294.340 0.584 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001412257415 901599022 /nfs/dbraw/zinc/59/90/22/901599022.db2.gz WUUGQUANENRGTI-CIUDSAMLSA-N 0 1 293.302 0.333 20 30 CCEDMN CC[C@@H](C#N)C(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001412447905 901730200 /nfs/dbraw/zinc/73/02/00/901730200.db2.gz QBWCOXSFCMLUKI-VIFPVBQESA-N 0 1 277.328 0.865 20 30 CCEDMN COC[C@H](NC(=O)c1ccc(C#N)cc1F)c1nn[nH]n1 ZINC001412603450 901862240 /nfs/dbraw/zinc/86/22/40/901862240.db2.gz HNPCYIWCOQFDKY-JTQLQIEISA-N 0 1 290.258 0.328 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)CC2CCC2)C1 ZINC001493192499 902031063 /nfs/dbraw/zinc/03/10/63/902031063.db2.gz LITDKGRQJDQDCP-CQSZACIVSA-N 0 1 293.411 0.917 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001412866278 902314419 /nfs/dbraw/zinc/31/44/19/902314419.db2.gz ZAIFZMLDKBEDLN-NOZJJQNGSA-N 0 1 277.328 0.865 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001412866278 902314429 /nfs/dbraw/zinc/31/44/29/902314429.db2.gz ZAIFZMLDKBEDLN-NOZJJQNGSA-N 0 1 277.328 0.865 20 30 CCEDMN N#CC[C@@]1(O)CCN(c2nc(Cl)nc3[nH]cnc32)C1 ZINC001412891728 902335545 /nfs/dbraw/zinc/33/55/45/902335545.db2.gz MZZXDIGUVBOBQC-LLVKDONJSA-N 0 1 278.703 0.861 20 30 CCEDMN C[C@]1(C#N)CCN(C(=O)c2[nH]nc3c2CNCC3)C1 ZINC001327581705 914634035 /nfs/dbraw/zinc/63/40/35/914634035.db2.gz BORDHFFERWGPIX-CYBMUJFWSA-N 0 1 259.313 0.431 20 30 CCEDMN C=CCN(C(=O)C(=O)N1CCNC(C)(C)C1)[C@@H](C)COC ZINC001327591523 914641059 /nfs/dbraw/zinc/64/10/59/914641059.db2.gz DPXLIUBICWHUCG-LBPRGKRZSA-N 0 1 297.399 0.246 20 30 CCEDMN CN(CC(=O)N1CCC[C@H](CC#N)C1)[C@@H]1CCC[C@H]1O ZINC001413259413 902825009 /nfs/dbraw/zinc/82/50/09/902825009.db2.gz UDXKYLQTVXESPF-MGPQQGTHSA-N 0 1 279.384 0.984 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@]3(O)CCOC3)[nH]c2c1 ZINC001413370453 902912028 /nfs/dbraw/zinc/91/20/28/902912028.db2.gz JSSODNGMJGWANZ-ZDUSSCGKSA-N 0 1 272.264 0.524 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001048511409 902964348 /nfs/dbraw/zinc/96/43/48/902964348.db2.gz AYDLIUVNNXCBLW-XGUBFFRZSA-N 0 1 290.411 0.963 20 30 CCEDMN N#Cc1cccc(NC(=O)NCc2n[nH]c(CO)n2)c1 ZINC001413506384 902998813 /nfs/dbraw/zinc/99/88/13/902998813.db2.gz OCLDTMNCDAWNQK-UHFFFAOYSA-N 0 1 272.268 0.490 20 30 CCEDMN N#Cc1cccc(NC(=O)NCc2nnc(CO)[nH]2)c1 ZINC001413506384 902998821 /nfs/dbraw/zinc/99/88/21/902998821.db2.gz OCLDTMNCDAWNQK-UHFFFAOYSA-N 0 1 272.268 0.490 20 30 CCEDMN N#Cc1c(F)cccc1C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001413552280 903041509 /nfs/dbraw/zinc/04/15/09/903041509.db2.gz JKTVWCVPNBOANZ-LBPRGKRZSA-N 0 1 274.255 0.884 20 30 CCEDMN COCC#CCN1CCC[C@@H](CNC(=O)[C@H](C)OC)C1 ZINC001491092329 903362755 /nfs/dbraw/zinc/36/27/55/903362755.db2.gz BNXXSDZTGKVGNL-KBPBESRZSA-N 0 1 282.384 0.499 20 30 CCEDMN Cc1cc(CN[C@@H](C)CNC(=O)[C@H](C)C#N)ncn1 ZINC001491414124 903561982 /nfs/dbraw/zinc/56/19/82/903561982.db2.gz IODCXIQAIPUTII-KOLCDFICSA-N 0 1 261.329 0.539 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001150435533 903760548 /nfs/dbraw/zinc/76/05/48/903760548.db2.gz KCIHOTMJNHTUTG-OLZOCXBDSA-N 0 1 295.383 0.048 20 30 CCEDMN N#Cc1ccc2c(c1)n[nH]c2C(=O)N1CCN2CC[C@@H]2C1 ZINC001156884662 903764737 /nfs/dbraw/zinc/76/47/37/903764737.db2.gz QBLXMASPTSHPJL-LLVKDONJSA-N 0 1 281.319 0.965 20 30 CCEDMN C=CCN(C)CCCN(C)C(=O)CC1CS(=O)(=O)C1 ZINC001280832140 903809581 /nfs/dbraw/zinc/80/95/81/903809581.db2.gz KWBSDYVNPUIKJJ-UHFFFAOYSA-N 0 1 288.413 0.387 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C[C@H](C)n1cccn1 ZINC001329592914 903812615 /nfs/dbraw/zinc/81/26/15/903812615.db2.gz VPEGUACFOVUCOH-STQMWFEESA-N 0 1 262.357 0.904 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1CCN(C)C1=O ZINC001491558189 903929407 /nfs/dbraw/zinc/92/94/07/903929407.db2.gz KYVNRQVHEXCWPR-ZJUUUORDSA-N 0 1 273.764 0.312 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001491560441 903956810 /nfs/dbraw/zinc/95/68/10/903956810.db2.gz SGATZAIEDSWLEY-MFKMUULPSA-N 0 1 287.791 0.750 20 30 CCEDMN C=CCCCC(=O)NC[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001280765220 904145867 /nfs/dbraw/zinc/14/58/67/904145867.db2.gz JCHXEMLNGXQUNF-XYPYZODXSA-N 0 1 291.355 0.786 20 30 CCEDMN COCC#CCN1CCC[C@H](NC(=O)c2cnon2)CC1 ZINC001280759403 904146213 /nfs/dbraw/zinc/14/62/13/904146213.db2.gz LEAJPZQKULNQDE-LBPRGKRZSA-N 0 1 292.339 0.304 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)[C@H]1C ZINC001281129498 904229772 /nfs/dbraw/zinc/22/97/72/904229772.db2.gz GFBZCOZAUIASSL-MNOVXSKESA-N 0 1 289.339 0.327 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)[C@H]1C ZINC001281129498 904229788 /nfs/dbraw/zinc/22/97/88/904229788.db2.gz GFBZCOZAUIASSL-MNOVXSKESA-N 0 1 289.339 0.327 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)CS(C)(=O)=O)C[C@@H]1C ZINC001281651728 904321895 /nfs/dbraw/zinc/32/18/95/904321895.db2.gz KRUJYQZDSUQYOV-NWDGAFQWSA-N 0 1 288.413 0.576 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H](OC)C2CCC2)CC1 ZINC001281806804 904350795 /nfs/dbraw/zinc/35/07/95/904350795.db2.gz UTRMNAKFUXWJKN-CQSZACIVSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@H]1CN(C)[C@H](CC)C(N)=O ZINC001281932082 904373882 /nfs/dbraw/zinc/37/38/82/904373882.db2.gz IFWPTTOBVDWBRP-UONOGXRCSA-N 0 1 293.411 0.977 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)COCCCOC ZINC001281965141 904377413 /nfs/dbraw/zinc/37/74/13/904377413.db2.gz NZNHDIJVGIYQPL-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C=CCCC(=O)NC1CC(CN[C@@H](C)c2nnnn2C)C1 ZINC001281991641 904385725 /nfs/dbraw/zinc/38/57/25/904385725.db2.gz MCNWXSBMPSCGGJ-UNXYVOJBSA-N 0 1 292.387 0.722 20 30 CCEDMN CN(CC(C)(C)CNCC#N)C(=O)C1=NC(=O)N(C)C1 ZINC001282310313 904445108 /nfs/dbraw/zinc/44/51/08/904445108.db2.gz JNYHWCZKSHYCDA-UHFFFAOYSA-N 0 1 279.344 0.337 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)CC[C@H]1CCOC1 ZINC001282374304 904459775 /nfs/dbraw/zinc/45/97/75/904459775.db2.gz XVHOZPMULPXSDZ-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@@](C)(O)C1CC1 ZINC001282370793 904461072 /nfs/dbraw/zinc/46/10/72/904461072.db2.gz AEIGVSFKOUZETH-SWLSCSKDSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCCOCC(=O)NC[C@H](C)N(C)[C@H]1CCCNC1=O ZINC001282504943 904494437 /nfs/dbraw/zinc/49/44/37/904494437.db2.gz UNTCDWAZDDRWBO-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H](C)NC(=O)CC)C(C)(C)C1 ZINC001282812403 904548287 /nfs/dbraw/zinc/54/82/87/904548287.db2.gz VVUBYKBOWQTZDQ-OLZOCXBDSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N(C)CCCNC(=O)c1cnn[nH]1 ZINC001283590167 904918751 /nfs/dbraw/zinc/91/87/51/904918751.db2.gz QVIIZZHVZSBNPT-LLVKDONJSA-N 0 1 293.371 0.985 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@H](C)NCc1nccn1C ZINC001283608819 904925828 /nfs/dbraw/zinc/92/58/28/904925828.db2.gz VQBJTAGILVHWPP-STQMWFEESA-N 0 1 292.383 0.395 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@@H](C)NCc1ocnc1C ZINC001283608517 904926450 /nfs/dbraw/zinc/92/64/50/904926450.db2.gz RIKIQYDNYRPGFI-DGCLKSJQSA-N 0 1 293.367 0.958 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCNC(=O)c1ncn[nH]1 ZINC001283620027 904934054 /nfs/dbraw/zinc/93/40/54/904934054.db2.gz RNLNUCKOKPFXPR-AWEZNQCLSA-N 0 1 293.371 0.985 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N(C)CCCNC(=O)c1nc[nH]n1 ZINC001283620027 904934061 /nfs/dbraw/zinc/93/40/61/904934061.db2.gz RNLNUCKOKPFXPR-AWEZNQCLSA-N 0 1 293.371 0.985 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1sc(C)cc1C ZINC001283760714 905001273 /nfs/dbraw/zinc/00/12/73/905001273.db2.gz YTIPEHPEOWZNSE-NSHDSACASA-N 0 1 266.366 0.678 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(C(F)F)nc1 ZINC001283765651 905004085 /nfs/dbraw/zinc/00/40/85/905004085.db2.gz UXBOPJVHKXDWPJ-SNVBAGLBSA-N 0 1 283.278 0.333 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](CO)N[C@@H](C)c1n[nH]c(C)n1 ZINC001283793937 905019959 /nfs/dbraw/zinc/01/99/59/905019959.db2.gz YJMIDQPHZGGQQG-ONGXEEELSA-N 0 1 295.387 0.453 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C[C@@H]1CC=CCC1 ZINC001283793482 905020725 /nfs/dbraw/zinc/02/07/25/905020725.db2.gz VHVBFDKBMWDFMK-OLZOCXBDSA-N 0 1 250.342 0.433 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1sc(C)cc1OC ZINC001283814623 905034067 /nfs/dbraw/zinc/03/40/67/905034067.db2.gz YMNCICKBRBIPLI-NSHDSACASA-N 0 1 296.392 0.769 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H]1CC2CCC1CC2 ZINC001283830741 905045562 /nfs/dbraw/zinc/04/55/62/905045562.db2.gz FSSWESKMZFRUBN-PQAZSJQKSA-N 0 1 264.369 0.513 20 30 CCEDMN C=CCCC(=O)NC1CN(C(=O)c2cc(C)[nH]n2)C1 ZINC001284889885 905466322 /nfs/dbraw/zinc/46/63/22/905466322.db2.gz MMMBSDYBKKRCAR-UHFFFAOYSA-N 0 1 262.313 0.625 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](CC)NC(=O)c1cnn[nH]1 ZINC001285063787 905540439 /nfs/dbraw/zinc/54/04/39/905540439.db2.gz VAOHHNZXMADENL-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)NC(=O)CCc1nc[nH]n1 ZINC001285718770 905738981 /nfs/dbraw/zinc/73/89/81/905738981.db2.gz JRDIGWKIYXFNCJ-NSHDSACASA-N 0 1 293.371 0.715 20 30 CCEDMN C=CCCC(=O)N(C)[C@@H](C)CNC(=O)C1=NC(=O)N(C)C1 ZINC001285845002 905780532 /nfs/dbraw/zinc/78/05/32/905780532.db2.gz YARGBHISOWIAMA-JTQLQIEISA-N 0 1 294.355 0.669 20 30 CCEDMN C=CCCCC(=O)NC[C@H](CO)NC(=O)[C@H]1CCCN1C ZINC001287835749 905975311 /nfs/dbraw/zinc/97/53/11/905975311.db2.gz ZFCGHMASBHROSV-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN C=C(Br)CNC[C@H](O)CNC(=O)[C@@H](C)C#N ZINC001379192122 906054318 /nfs/dbraw/zinc/05/43/18/906054318.db2.gz VVTRRBIERHNDEW-CBAPKCEASA-N 0 1 290.161 0.121 20 30 CCEDMN COCC(=O)N[C@H](C)CNCc1ccc(C#N)cc1F ZINC001379317977 906139469 /nfs/dbraw/zinc/13/94/69/906139469.db2.gz BOACMLNPJMFIKD-SNVBAGLBSA-N 0 1 279.315 0.938 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)CN(C)C(=O)Cc1ncn[nH]1 ZINC001337488274 921260537 /nfs/dbraw/zinc/26/05/37/921260537.db2.gz FIFCQHDIQIGZRM-SNVBAGLBSA-N 0 1 293.371 0.523 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)CN(C)[C@@H]2CCC[C@H]2O)C1 ZINC001295556158 906760360 /nfs/dbraw/zinc/76/03/60/906760360.db2.gz CSTQGTAMWQEBBB-MGPQQGTHSA-N 0 1 282.384 0.635 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CCNC(=O)CN1CCCC1 ZINC001295857712 906816942 /nfs/dbraw/zinc/81/69/42/906816942.db2.gz DWFNFKYZOVINHV-CQSZACIVSA-N 0 1 293.411 0.897 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)N1CC(NC(=O)c2ncn[nH]2)C1 ZINC001297120829 907021344 /nfs/dbraw/zinc/02/13/44/907021344.db2.gz ZNPPFWBMVMBPHU-IUCAKERBSA-N 0 1 277.328 0.204 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)N1CC(NC(=O)c2nc[nH]n2)C1 ZINC001297120829 907021358 /nfs/dbraw/zinc/02/13/58/907021358.db2.gz ZNPPFWBMVMBPHU-IUCAKERBSA-N 0 1 277.328 0.204 20 30 CCEDMN N#CC1(C[C@H](O)CN[C@H](CO)c2ccccn2)CC1 ZINC001336000161 907205656 /nfs/dbraw/zinc/20/56/56/907205656.db2.gz KOISMGRMQXWUJQ-WCQYABFASA-N 0 1 261.325 0.759 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCCNC(=O)c1ncn[nH]1 ZINC001491765011 907556255 /nfs/dbraw/zinc/55/62/55/907556255.db2.gz NQSJLLKEVAAUGD-JTQLQIEISA-N 0 1 279.344 0.643 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCCNC(=O)c1nc[nH]n1 ZINC001491765011 907556264 /nfs/dbraw/zinc/55/62/64/907556264.db2.gz NQSJLLKEVAAUGD-JTQLQIEISA-N 0 1 279.344 0.643 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN(C(=O)c2cc(C)[nH]n2)C1 ZINC001491798296 907579358 /nfs/dbraw/zinc/57/93/58/907579358.db2.gz PGZCDEKNTXUMRD-LLVKDONJSA-N 0 1 292.339 0.251 20 30 CCEDMN Cc1nnc(CNC[C@H]2CCN(C(=O)C#CC(C)C)C2)[nH]1 ZINC001491801092 907579579 /nfs/dbraw/zinc/57/95/79/907579579.db2.gz WOQPNNAOTRHOKJ-CYBMUJFWSA-N 0 1 289.383 0.711 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@@]1(F)CCOC1 ZINC001382413886 907728474 /nfs/dbraw/zinc/72/84/74/907728474.db2.gz FNZIDEYMJRJONW-ZYHUDNBSSA-N 0 1 294.754 0.276 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1ccn(C)c(=O)c1 ZINC001492977446 907828646 /nfs/dbraw/zinc/82/86/46/907828646.db2.gz HSRFKTIEGKYHQH-UHFFFAOYSA-N 0 1 275.352 0.460 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CNC(=O)c2ccccc21 ZINC001492284742 907828696 /nfs/dbraw/zinc/82/86/96/907828696.db2.gz HYFZTOQJUKKQPU-HNNXBMFYSA-N 0 1 299.374 0.585 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cc(Cl)cn1C ZINC001492330104 907852157 /nfs/dbraw/zinc/85/21/57/907852157.db2.gz ICVIMSLCTAMXBT-UHFFFAOYSA-N 0 1 297.786 0.990 20 30 CCEDMN C[C@@H](Nc1cnccc1C#N)[C@@H]1CN(C)CCN1C ZINC001338084705 921364573 /nfs/dbraw/zinc/36/45/73/921364573.db2.gz QUSUYGLKMSISRQ-RISCZKNCSA-N 0 1 259.357 0.999 20 30 CCEDMN C=C(C)C[C@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])C(=O)OC ZINC001302427809 908022109 /nfs/dbraw/zinc/02/21/09/908022109.db2.gz DECIUSBQKJVCNP-ZETCQYMHSA-N 0 1 282.256 0.556 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@@H](C)NCc1ncccn1 ZINC001317386033 908191248 /nfs/dbraw/zinc/19/12/48/908191248.db2.gz DSNDCJYQANAFEO-GHMZBOCLSA-N 0 1 261.329 0.621 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2cnc[nH]2)C1 ZINC001384986112 908328786 /nfs/dbraw/zinc/32/87/86/908328786.db2.gz YDCUNZZCDZGVMB-MXWKQRLJSA-N 0 1 289.339 0.123 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN(CC)CC#CC ZINC001317474531 908406687 /nfs/dbraw/zinc/40/66/87/908406687.db2.gz KZJQEFVLWTXQAJ-AWEZNQCLSA-N 0 1 252.358 0.775 20 30 CCEDMN CC[N@H+](CC#CCOC)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001317475985 908446303 /nfs/dbraw/zinc/44/63/03/908446303.db2.gz QTQHBINECPRDKX-UHFFFAOYSA-N 0 1 294.355 0.125 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cccn(C)c1=O ZINC001317490578 908498022 /nfs/dbraw/zinc/49/80/22/908498022.db2.gz BAMWNPACOWYQCI-UHFFFAOYSA-N 0 1 261.325 0.070 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H](CC)OC)C1 ZINC001316743224 908510023 /nfs/dbraw/zinc/51/00/23/908510023.db2.gz DIMZHMJIVZJADX-UONOGXRCSA-N 0 1 282.384 0.330 20 30 CCEDMN Cc1ncsc1CCNC(=O)NCC#CCN(C)C ZINC001312721539 908622943 /nfs/dbraw/zinc/62/29/43/908622943.db2.gz OSVDQCLJQVLLDG-UHFFFAOYSA-N 0 1 280.397 0.858 20 30 CCEDMN COC(C)(C)[C@H](C)NC(=O)NCC#CCN(C)C ZINC001313329438 908663246 /nfs/dbraw/zinc/66/32/46/908663246.db2.gz UXAFCKHBCRHHQI-NSHDSACASA-N 0 1 255.362 0.664 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)NCC(=O)NCC#N ZINC001328648691 908696405 /nfs/dbraw/zinc/69/64/05/908696405.db2.gz FFQQFTBHWPSAKU-UHFFFAOYSA-N 0 1 280.353 0.179 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cnn(C)n2)[C@H]1C ZINC001316794285 908772519 /nfs/dbraw/zinc/77/25/19/908772519.db2.gz DSJXCTQXZPGLLE-NEPJUHHUSA-N 0 1 293.371 0.210 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H](COC)OC ZINC001316804843 908777857 /nfs/dbraw/zinc/77/78/57/908777857.db2.gz XYHNTYDNWJJUSR-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN CC[N@@H+](CCCNC(=O)C#CC(C)C)Cc1n[nH]c(=O)[n-]1 ZINC001316889085 908821375 /nfs/dbraw/zinc/82/13/75/908821375.db2.gz CLFGPTOQGAPQFR-UHFFFAOYSA-N 0 1 293.371 0.498 20 30 CCEDMN CC#CCN1CCN(CCCNC(=O)c2ccn[nH]2)CC1 ZINC001316954264 908864509 /nfs/dbraw/zinc/86/45/09/908864509.db2.gz SCFXIGBGMGAXKN-UHFFFAOYSA-N 0 1 289.383 0.171 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)CC[C@@H](C)OC)CC1 ZINC001316957076 908865870 /nfs/dbraw/zinc/86/58/70/908865870.db2.gz ZEVPROHRUBCFGL-OAHLLOKOSA-N 0 1 295.427 0.559 20 30 CCEDMN C=CCN1CCN(CCNC(=O)CC(C)C)CC1 ZINC001316964231 908872813 /nfs/dbraw/zinc/87/28/13/908872813.db2.gz ZEGQMUFVTYLEHV-UHFFFAOYSA-N 0 1 253.390 0.952 20 30 CCEDMN C[C@H](NC(=O)CCc1nn[nH]n1)c1ccc(C#N)cc1 ZINC001417608135 921448931 /nfs/dbraw/zinc/44/89/31/921448931.db2.gz FNODCFINAXPABX-VIFPVBQESA-N 0 1 270.296 0.881 20 30 CCEDMN C=C(C)CN1CCC[C@@H](CNC(=O)CS(C)(=O)=O)C1 ZINC001317127043 909000355 /nfs/dbraw/zinc/00/03/55/909000355.db2.gz AKDWVYUDFYGUSJ-LBPRGKRZSA-N 0 1 288.413 0.435 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N(C)CCNC(=O)c1ncn[nH]1 ZINC001387040121 909006073 /nfs/dbraw/zinc/00/60/73/909006073.db2.gz CUFMUGPGBHHNPA-UHFFFAOYSA-N 0 1 299.762 0.772 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N(C)CCNC(=O)c1nc[nH]n1 ZINC001387040121 909006089 /nfs/dbraw/zinc/00/60/89/909006089.db2.gz CUFMUGPGBHHNPA-UHFFFAOYSA-N 0 1 299.762 0.772 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](C)n1cncn1 ZINC001317170295 909026071 /nfs/dbraw/zinc/02/60/71/909026071.db2.gz XKFJBMSAMMJBAZ-OLZOCXBDSA-N 0 1 277.372 0.996 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cnoc1C1CC1 ZINC001492482170 909050407 /nfs/dbraw/zinc/05/04/07/909050407.db2.gz RHSVJCOCUFVHOY-UHFFFAOYSA-N 0 1 291.351 0.863 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cn1cncn1 ZINC001317283662 909112491 /nfs/dbraw/zinc/11/24/91/909112491.db2.gz LPRRLLIQXPQNKZ-VIFPVBQESA-N 0 1 257.725 0.125 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC[C@H](C)NCc1cn(C)nn1 ZINC001317391377 909170342 /nfs/dbraw/zinc/17/03/42/909170342.db2.gz UXQSWRUCQSORNA-SMDDNHRTSA-N 0 1 295.387 0.127 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1c(C)c(C)n[nH]c1=O ZINC001317455338 909228862 /nfs/dbraw/zinc/22/88/62/909228862.db2.gz HWLVTXVJUYNREK-UHFFFAOYSA-N 0 1 276.340 0.484 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H](C)[C@@H]1CCCO1 ZINC001317458865 909237341 /nfs/dbraw/zinc/23/73/41/909237341.db2.gz DVCUGEGGNCHXDU-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(C)CCNC(=O)C1(n2cnnn2)CCCCC1 ZINC001317460305 909237724 /nfs/dbraw/zinc/23/77/24/909237724.db2.gz ZYQMETXGFNVUFI-UHFFFAOYSA-N 0 1 290.371 0.014 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cnc2nccn2c1 ZINC001317483407 909257424 /nfs/dbraw/zinc/25/74/24/909257424.db2.gz AWGDKKBPPMNKFZ-UHFFFAOYSA-N 0 1 285.351 0.804 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CCO[C@@H]1C ZINC001317488010 909259342 /nfs/dbraw/zinc/25/93/42/909259342.db2.gz KPHUGWRRYLZJIO-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cncc(OC)n1 ZINC001317489773 909259929 /nfs/dbraw/zinc/25/99/29/909259929.db2.gz PWGUWUZMOWTWAB-UHFFFAOYSA-N 0 1 276.340 0.560 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)Cc1cscn1 ZINC001317508861 909281212 /nfs/dbraw/zinc/28/12/12/909281212.db2.gz GCSNFYQRTHKDIT-GFCCVEGCSA-N 0 1 263.366 0.899 20 30 CCEDMN C#CCN[C@@H](CNC(=O)CCn1ccnn1)c1ccccc1 ZINC001317719619 909477787 /nfs/dbraw/zinc/47/77/87/909477787.db2.gz YPJHVLAGRLWOST-HNNXBMFYSA-N 0 1 297.362 0.749 20 30 CCEDMN CCCN(C(=O)c1[nH]nnc1C)[C@H]1CCN(CC#N)C1 ZINC001317763877 909485951 /nfs/dbraw/zinc/48/59/51/909485951.db2.gz SYNVJRVNCHSJFM-NSHDSACASA-N 0 1 276.344 0.563 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(CC(N)=O)CC2)CC1 ZINC001318050547 909595932 /nfs/dbraw/zinc/59/59/32/909595932.db2.gz FKOAKZXJLQWJAZ-UHFFFAOYSA-N 0 1 291.395 0.446 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN(CCn2cncn2)C1 ZINC001318329850 909707734 /nfs/dbraw/zinc/70/77/34/909707734.db2.gz WHDOHEXEUTWNCA-CYBMUJFWSA-N 0 1 275.356 0.272 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CCn2cncn2)C1 ZINC001318389042 909734301 /nfs/dbraw/zinc/73/43/01/909734301.db2.gz NSZQFKWUNDXMFJ-ZDUSSCGKSA-N 0 1 275.356 0.224 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001318428367 909752320 /nfs/dbraw/zinc/75/23/20/909752320.db2.gz JGOGBJLNCITAJT-QWHCGFSZSA-N 0 1 267.373 0.620 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN(CCc2cnn(C)c2)C1 ZINC001389351511 909838036 /nfs/dbraw/zinc/83/80/36/909838036.db2.gz BGZNUQPXPLUPCY-TZMCWYRMSA-N 0 1 289.383 0.703 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)CNCc1csnn1 ZINC001319181628 910021997 /nfs/dbraw/zinc/02/19/97/910021997.db2.gz OPJCGCCFKVTTTQ-GXFFZTMASA-N 0 1 298.412 0.707 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)CCn1cnnn1)C1CC1 ZINC001389690672 910034143 /nfs/dbraw/zinc/03/41/43/910034143.db2.gz SZGHRPBWLRYPQC-LLVKDONJSA-N 0 1 298.778 0.300 20 30 CCEDMN CN(C)C(=O)CCCC(=O)NC1(C#N)CCN(C)CC1 ZINC001319278804 910068779 /nfs/dbraw/zinc/06/87/79/910068779.db2.gz QKGZRHDDRLLDFB-UHFFFAOYSA-N 0 1 280.372 0.349 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H](C)CC(N)=O)CC2 ZINC001319405509 910136282 /nfs/dbraw/zinc/13/62/82/910136282.db2.gz PCGXLOMEZLDXBE-CYBMUJFWSA-N 0 1 291.395 0.446 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cn[nH]n1 ZINC001319486989 910172588 /nfs/dbraw/zinc/17/25/88/910172588.db2.gz STSMZHJQWWRPNS-QMMMGPOBSA-N 0 1 257.725 0.607 20 30 CCEDMN C[C@H]1CCCN(C(=O)Cc2ncn[nH]2)[C@@H]1CNCC#N ZINC001319865880 910324381 /nfs/dbraw/zinc/32/43/81/910324381.db2.gz YMQCBFFFOWKPGG-WDEREUQCSA-N 0 1 276.344 0.087 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1c[nH]nc1C1CC1 ZINC001320066282 910446700 /nfs/dbraw/zinc/44/67/00/910446700.db2.gz FGEKCFRQESRJTR-UHFFFAOYSA-N 0 1 260.341 0.924 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001320069780 910448670 /nfs/dbraw/zinc/44/86/70/910448670.db2.gz ZOMHRTJFQUVSOQ-AWEZNQCLSA-N 0 1 293.411 0.658 20 30 CCEDMN Cc1ncc(CNC[C@@H](O)CNC(=O)C#CC(C)C)o1 ZINC001320216527 910529974 /nfs/dbraw/zinc/52/99/74/910529974.db2.gz LLENQIZHYIZVGB-GFCCVEGCSA-N 0 1 279.340 0.209 20 30 CCEDMN CNC(=O)CCCC(=O)NC1(C#N)CCN(C)CC1 ZINC001320495735 910692039 /nfs/dbraw/zinc/69/20/39/910692039.db2.gz PJQJXOWIGTVHCE-UHFFFAOYSA-N 0 1 266.345 0.007 20 30 CCEDMN CCN(CCNCC#N)C(=O)c1cc(C(F)F)[nH]n1 ZINC001320836397 910895363 /nfs/dbraw/zinc/89/53/63/910895363.db2.gz AUCKHYXLAQPGAF-UHFFFAOYSA-N 0 1 271.271 0.923 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CC[C@@H](NCc2cnon2)C1 ZINC001417803430 921620747 /nfs/dbraw/zinc/62/07/47/921620747.db2.gz DXRKORIYRNGGJY-GARJFASQSA-N 0 1 277.328 0.604 20 30 CCEDMN Cn1cc(CNC/C=C\CNC(=O)CSCC#N)cn1 ZINC001320968989 910968385 /nfs/dbraw/zinc/96/83/85/910968385.db2.gz FMZUAOXFGYJSGG-IHWYPQMZSA-N 0 1 293.396 0.439 20 30 CCEDMN CC[C@H](C)NC(=O)CNC/C=C\CNC(=O)[C@@H](C)C#N ZINC001320996394 910994969 /nfs/dbraw/zinc/99/49/69/910994969.db2.gz DRJXAIGVZGHAPV-YGABWQLJSA-N 0 1 280.372 0.323 20 30 CCEDMN Cc1cccc(NC(=O)C(=O)N(C)Cc2nnc[nH]2)c1C#N ZINC001321004651 911007018 /nfs/dbraw/zinc/00/70/18/911007018.db2.gz HMLGPQSCHMQREX-UHFFFAOYSA-N 0 1 298.306 0.582 20 30 CCEDMN C=CCOCCCNC(=O)CN1CCC(CO)CC1 ZINC001339077253 921642884 /nfs/dbraw/zinc/64/28/84/921642884.db2.gz HIBJWPXSKMASKM-UHFFFAOYSA-N 0 1 270.373 0.400 20 30 CCEDMN Cc1nc(-c2ncccn2)[nH]c(=O)c1CC(=O)NCCC#N ZINC001321359079 911256995 /nfs/dbraw/zinc/25/69/95/911256995.db2.gz ICLBABZFDBWAAZ-UHFFFAOYSA-N 0 1 298.306 0.520 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@](C)(CNCc1ccn(C)n1)C1CC1 ZINC001391790890 911402112 /nfs/dbraw/zinc/40/21/12/911402112.db2.gz XNBAXEJNUCXGAS-XHDPSFHLSA-N 0 1 289.383 0.954 20 30 CCEDMN Cn1nccc1COCC(=O)NC1(C#N)CCN(C)CC1 ZINC001321688971 911446381 /nfs/dbraw/zinc/44/63/81/911446381.db2.gz USYAUQSJJGAMBR-UHFFFAOYSA-N 0 1 291.355 0.041 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CN3CCC2CC3)nn1 ZINC001339139577 921663742 /nfs/dbraw/zinc/66/37/42/921663742.db2.gz FGFBVEBJJJMDNI-NSHDSACASA-N 0 1 261.329 0.288 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](OC)C1CC1 ZINC001322150224 911685910 /nfs/dbraw/zinc/68/59/10/911685910.db2.gz ZGEQJTJEVZZQNQ-AAEUAGOBSA-N 0 1 252.358 0.871 20 30 CCEDMN CCO[C@@H](C(=O)N[C@@H](C)CN(C)CC#CCOC)C1CC1 ZINC001322161864 911687532 /nfs/dbraw/zinc/68/75/32/911687532.db2.gz WPPRGQKAQFLVPL-DZGCQCFKSA-N 0 1 296.411 0.888 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001322183943 911698985 /nfs/dbraw/zinc/69/89/85/911698985.db2.gz WETCUVNWRMDWJZ-DOMZBBRYSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnn2c1CCCC2 ZINC001322275225 911741261 /nfs/dbraw/zinc/74/12/61/911741261.db2.gz WFCAZYMWSCRCFU-LBPRGKRZSA-N 0 1 274.368 0.903 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CNC(=O)C(C)(C)C ZINC001322294443 911751962 /nfs/dbraw/zinc/75/19/62/911751962.db2.gz URAYKPNYNRRYTM-LLVKDONJSA-N 0 1 267.373 0.218 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@H](CNCC#N)C2CC2)C1 ZINC001323064787 912099593 /nfs/dbraw/zinc/09/95/93/912099593.db2.gz RDRKPXZLNWLOKG-DGCLKSJQSA-N 0 1 264.373 0.336 20 30 CCEDMN COCC#CC(=O)N1CC(N(C)Cc2ccccc2)C1 ZINC001328302248 915091593 /nfs/dbraw/zinc/09/15/93/915091593.db2.gz KJCKZPJLQSUYEC-UHFFFAOYSA-N 0 1 272.348 0.979 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)N[C@@H](C#N)CO ZINC001328341178 915123923 /nfs/dbraw/zinc/12/39/23/915123923.db2.gz TWAPXMPJQFZZPO-VIFPVBQESA-N 0 1 253.327 0.424 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)CCC(=O)NCC)CC1 ZINC001328517051 915244321 /nfs/dbraw/zinc/24/43/21/915244321.db2.gz WVVAQZNVJLDNFO-UHFFFAOYSA-N 0 1 297.399 0.032 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2cocn2)CC1 ZINC001328520080 915248802 /nfs/dbraw/zinc/24/88/02/915248802.db2.gz NAKQQUKQGHDYPA-UHFFFAOYSA-N 0 1 277.324 0.255 20 30 CCEDMN C=CCOCCCNC(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001339541170 921756563 /nfs/dbraw/zinc/75/65/63/921756563.db2.gz ZDMPQDYPDWQFGQ-GFCCVEGCSA-N 0 1 284.356 0.492 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H]2CC23CC3)CC1 ZINC001328554385 915272515 /nfs/dbraw/zinc/27/25/15/915272515.db2.gz DVTKIDZHNPXQCG-LBPRGKRZSA-N 0 1 262.353 0.363 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CC1(O)CCC1 ZINC001328721396 915378994 /nfs/dbraw/zinc/37/89/94/915378994.db2.gz TUZOTWIJUHWYPI-ZDUSSCGKSA-N 0 1 264.369 0.848 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](NCc2ncnn2CCF)C1 ZINC001418047647 921787278 /nfs/dbraw/zinc/78/72/78/921787278.db2.gz BJVYJFPKMQOYCA-DCAQKATOSA-N 0 1 294.334 0.144 20 30 CCEDMN C=CCCCC(=O)N[C@@H](C)[C@@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001329106311 915675306 /nfs/dbraw/zinc/67/53/06/915675306.db2.gz CKYHEYAGNDTPMC-MNOVXSKESA-N 0 1 295.387 0.448 20 30 CCEDMN N#Cc1c(F)cccc1OCC(=O)N1CCNCC1 ZINC001329272088 915784237 /nfs/dbraw/zinc/78/42/37/915784237.db2.gz GNDWOTHDEZPOHQ-UHFFFAOYSA-N 0 1 263.272 0.508 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H](C)N(C)Cc1n[nH]c(C)n1 ZINC001329441544 915916136 /nfs/dbraw/zinc/91/61/36/915916136.db2.gz OAAOUBWILLQELC-QWRGUYRKSA-N 0 1 293.371 0.088 20 30 CCEDMN C#CC[N@H+](C)[C@@H](C)CNC(=O)c1cnn2c1CCC2 ZINC001329461538 915938509 /nfs/dbraw/zinc/93/85/09/915938509.db2.gz VVSCNFCIAUZGJQ-NSHDSACASA-N 0 1 260.341 0.513 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnn2c1CCC2 ZINC001329461538 915938522 /nfs/dbraw/zinc/93/85/22/915938522.db2.gz VVSCNFCIAUZGJQ-NSHDSACASA-N 0 1 260.341 0.513 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)COCc1cc(C)on1 ZINC001329469848 915943597 /nfs/dbraw/zinc/94/35/97/915943597.db2.gz GXMSEAVMTBGQRL-LBPRGKRZSA-N 0 1 293.367 0.959 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cc(OC)n(C)n1 ZINC001329487955 915958226 /nfs/dbraw/zinc/95/82/26/915958226.db2.gz HTOPBBOGVKQXFC-JTQLQIEISA-N 0 1 264.329 0.112 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@](C)(NC(=O)CN2CCCC2)C1 ZINC001400892989 915981534 /nfs/dbraw/zinc/98/15/34/915981534.db2.gz UXAWEUHZCWEHAS-SWLSCSKDSA-N 0 1 292.383 0.349 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@](C)(NC(=O)Cc2cnc[nH]2)C1 ZINC001400935734 915993138 /nfs/dbraw/zinc/99/31/38/915993138.db2.gz MIIRDIBQDLTEAH-QMTHXVAHSA-N 0 1 289.339 0.219 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H]1COc2ccccc2O1 ZINC001329608788 916058100 /nfs/dbraw/zinc/05/81/00/916058100.db2.gz NGLNQRIFQGCWSF-SWLSCSKDSA-N 0 1 288.347 0.896 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cnn(C)n1 ZINC001401258145 916152429 /nfs/dbraw/zinc/15/24/29/916152429.db2.gz KCHXDRQWHDNWAZ-SECBINFHSA-N 0 1 271.752 0.618 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCc1cn(C)nn1 ZINC001401268512 916154176 /nfs/dbraw/zinc/15/41/76/916154176.db2.gz QPUAGWCMVZUBPQ-LLVKDONJSA-N 0 1 299.806 0.937 20 30 CCEDMN C#CCCS(=O)(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001329942121 916294927 /nfs/dbraw/zinc/29/49/27/916294927.db2.gz WUIOMHYIFPBVFR-SECBINFHSA-N 0 1 284.341 0.099 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCc3nc[nH]c3C2)CC1 ZINC001330301717 916540373 /nfs/dbraw/zinc/54/03/73/916540373.db2.gz RUDNWDNVDCXXPJ-LLVKDONJSA-N 0 1 287.367 0.619 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCc3[nH]cnc3C2)CC1 ZINC001330301717 916540388 /nfs/dbraw/zinc/54/03/88/916540388.db2.gz RUDNWDNVDCXXPJ-LLVKDONJSA-N 0 1 287.367 0.619 20 30 CCEDMN CN(Cc1nnc[nH]1)C[C@@H](O)c1cccc(C#N)c1 ZINC001330565293 916703017 /nfs/dbraw/zinc/70/30/17/916703017.db2.gz JPIVVMIRPAUCQS-GFCCVEGCSA-N 0 1 257.297 0.842 20 30 CCEDMN CCNC(=O)CN(C)C[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001402371853 916984393 /nfs/dbraw/zinc/98/43/93/916984393.db2.gz JRTRTGZINWAKNK-QWHCGFSZSA-N 0 1 294.399 0.453 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1CCNC1=O ZINC001331516492 917388681 /nfs/dbraw/zinc/38/86/81/917388681.db2.gz AKCWSUMUVSYPEI-VHSXEESVSA-N 0 1 273.764 0.312 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1CCNC1=O ZINC001331516490 917389901 /nfs/dbraw/zinc/38/99/01/917389901.db2.gz AKCWSUMUVSYPEI-UWVGGRQHSA-N 0 1 273.764 0.312 20 30 CCEDMN C#C[C@@H](CCC)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC001331554221 917413236 /nfs/dbraw/zinc/41/32/36/917413236.db2.gz FQIVGIGQCNAXMW-QMMMGPOBSA-N 0 1 286.309 0.541 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C(C)(C)NC(C)=O ZINC001403080523 917461538 /nfs/dbraw/zinc/46/15/38/917461538.db2.gz ZNZRDUKEAKMNOV-VIFPVBQESA-N 0 1 275.780 0.748 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cccc(C)n1 ZINC001331685655 917507743 /nfs/dbraw/zinc/50/77/43/917507743.db2.gz SLFLBFVOVDJRGF-LBPRGKRZSA-N 0 1 261.325 0.094 20 30 CCEDMN CC[C@@H](F)CN[C@H](CO)CNC(=O)C#CC(C)C ZINC001331703751 917528574 /nfs/dbraw/zinc/52/85/74/917528574.db2.gz DALMWPMDGYJMNQ-NEPJUHHUSA-N 0 1 258.337 0.461 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1nccc2cccnc21 ZINC001331724290 917549451 /nfs/dbraw/zinc/54/94/51/917549451.db2.gz DTFWMZXOYNWYOI-ZDUSSCGKSA-N 0 1 298.346 0.333 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(F)cc2ccoc21 ZINC001331740662 917565445 /nfs/dbraw/zinc/56/54/45/917565445.db2.gz ROTNYTYESPBIKV-LBPRGKRZSA-N 0 1 290.294 0.885 20 30 CCEDMN Cc1nc(CN2C[C@@H](NC(=O)[C@@H](C)C#N)C(C)(C)C2)n[nH]1 ZINC001403368308 917680077 /nfs/dbraw/zinc/68/00/77/917680077.db2.gz WQWBRCVZMCXAST-GXSJLCMTSA-N 0 1 290.371 0.599 20 30 CCEDMN C=CCNC(=O)CN1CC[C@]2(NC(=O)CC)CCC[C@@H]12 ZINC001332063711 917834388 /nfs/dbraw/zinc/83/43/88/917834388.db2.gz INVRWLBLILGIAV-IUODEOHRSA-N 0 1 279.384 0.812 20 30 CCEDMN C=CC[C@@H](NC(=O)NCc1c[nH+]c(N(C)C)n1C)C(=O)[O-] ZINC001332132498 917889920 /nfs/dbraw/zinc/88/99/20/917889920.db2.gz REFXERZYQXLHBM-SNVBAGLBSA-N 0 1 295.343 0.315 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CNC(C)=O)CC1(C)C ZINC001403787745 918008726 /nfs/dbraw/zinc/00/87/26/918008726.db2.gz KQAXWGPHSWZXRU-LLVKDONJSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(C)CS(=O)(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001332299112 918029386 /nfs/dbraw/zinc/02/93/86/918029386.db2.gz BIIXTITZDRSFRJ-SECBINFHSA-N 0 1 286.357 0.304 20 30 CCEDMN C=C(C)CS(=O)(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001332299112 918029403 /nfs/dbraw/zinc/02/94/03/918029403.db2.gz BIIXTITZDRSFRJ-SECBINFHSA-N 0 1 286.357 0.304 20 30 CCEDMN COCC#CC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC001332455983 918173113 /nfs/dbraw/zinc/17/31/13/918173113.db2.gz SNDZHNBTPMYKAW-RYUDHWBXSA-N 0 1 268.382 0.332 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCc3ncncc3C2)CC1 ZINC001332467001 918179027 /nfs/dbraw/zinc/17/90/27/918179027.db2.gz AIPZDIOBYRWKRI-LBPRGKRZSA-N 0 1 299.378 0.686 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@H]1CCCC12CC2 ZINC001332647748 918347857 /nfs/dbraw/zinc/34/78/57/918347857.db2.gz NXNYCVMOJGFTEK-UONOGXRCSA-N 0 1 278.396 0.951 20 30 CCEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)Cn2cnnn2)C1 ZINC001404568866 918395461 /nfs/dbraw/zinc/39/54/61/918395461.db2.gz KMCLHZNYRCAWRS-LLVKDONJSA-N 0 1 298.778 0.206 20 30 CCEDMN COCC(=O)NCC1CN(Cc2ccc(C#N)cc2)C1 ZINC001404865999 918498775 /nfs/dbraw/zinc/49/87/75/918498775.db2.gz QWXSDUKLBAPYQA-UHFFFAOYSA-N 0 1 273.336 0.753 20 30 CCEDMN C=CCCC(=O)N(C)C1CC(NCc2ncnn2C)C1 ZINC001333042805 918620347 /nfs/dbraw/zinc/62/03/47/918620347.db2.gz KXUOPAVJBFEIBX-UHFFFAOYSA-N 0 1 277.372 0.860 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](N3CCC3)C2)cn1 ZINC001333411143 918881688 /nfs/dbraw/zinc/88/16/88/918881688.db2.gz XLFCGANBKBPHGL-CYBMUJFWSA-N 0 1 256.309 0.873 20 30 CCEDMN C=CCCOCCn1nnnc1N(C)Cc1nnc[nH]1 ZINC001334172126 919397799 /nfs/dbraw/zinc/39/77/99/919397799.db2.gz GJTKMBPIHKRVFL-UHFFFAOYSA-N 0 1 278.320 0.020 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)N(C)C(=O)Cc1ncn[nH]1 ZINC001334180064 919403869 /nfs/dbraw/zinc/40/38/69/919403869.db2.gz YCCVZKUNESAAKY-JTQLQIEISA-N 0 1 279.344 0.277 20 30 CCEDMN C=C1CCN(C(=O)C[N@@H+]2CCC[C@@H](C(=O)[O-])C2)CC1 ZINC001334187392 919411675 /nfs/dbraw/zinc/41/16/75/919411675.db2.gz LCZMJCJCMFILEX-GFCCVEGCSA-N 0 1 266.341 0.962 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@@H](C)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001334204826 919424304 /nfs/dbraw/zinc/42/43/04/919424304.db2.gz GHCXBVDKQINVES-NEPJUHHUSA-N 0 1 283.372 0.158 20 30 CCEDMN C#CC1(O)CCN([C@@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC001334377893 919538251 /nfs/dbraw/zinc/53/82/51/919538251.db2.gz XOGOFDCUOLLECG-CQSZACIVSA-N 0 1 292.379 0.226 20 30 CCEDMN C=C(C)Cn1nnnc1N1CC[C@H](c2n[nH]c(C)n2)C1 ZINC001335343769 920132207 /nfs/dbraw/zinc/13/22/07/920132207.db2.gz SXBMJDVBCLWOEM-JTQLQIEISA-N 0 1 274.332 0.670 20 30 CCEDMN C=C(C)Cn1nnnc1N1CC[C@H](c2nnc(C)[nH]2)C1 ZINC001335343769 920132218 /nfs/dbraw/zinc/13/22/18/920132218.db2.gz SXBMJDVBCLWOEM-JTQLQIEISA-N 0 1 274.332 0.670 20 30 CCEDMN COCC#CCN1CCC(O)(C2(C(=O)OC)CCC2)CC1 ZINC001336084923 920601526 /nfs/dbraw/zinc/60/15/26/920601526.db2.gz SDQYNOKIRBMTOW-UHFFFAOYSA-N 0 1 295.379 0.806 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](CNC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001415292988 920668929 /nfs/dbraw/zinc/66/89/29/920668929.db2.gz WDJVHGLFJNLRDO-ONGXEEELSA-N 0 1 289.339 0.456 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NC(=O)c2cnn[nH]2)CCCC1 ZINC001416330200 920951257 /nfs/dbraw/zinc/95/12/57/920951257.db2.gz XWBQHHVJAOCXEB-VIFPVBQESA-N 0 1 290.327 0.123 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](NC(=O)[C@@H](C)S(C)(=O)=O)C1 ZINC001418095893 921828849 /nfs/dbraw/zinc/82/88/49/921828849.db2.gz DSNLOBUXVHTOQM-BBBLOLIVSA-N 0 1 294.804 0.409 20 30 CCEDMN C=CCn1c(CO)nnc1N1CCN(CC)CC1 ZINC001340682716 922166365 /nfs/dbraw/zinc/16/63/65/922166365.db2.gz ZBBOFSKNRSNNKD-UHFFFAOYSA-N 0 1 251.334 0.098 20 30 CCEDMN C[C@H](CCCCNC(=O)c1cnn[nH]1)NC(=O)[C@@H](C)C#N ZINC001340787413 922220079 /nfs/dbraw/zinc/22/00/79/922220079.db2.gz GNBGTPASDLIEPP-VHSXEESVSA-N 0 1 292.343 0.369 20 30 CCEDMN COC[C@@H]1CNCCN1C(=O)c1ccc(C=NOC)cc1 ZINC001341516472 922529125 /nfs/dbraw/zinc/52/91/25/922529125.db2.gz NPAFEOLGPBFEMN-AWEZNQCLSA-N 0 1 291.351 0.727 20 30 CCEDMN N#CC1(CC(=O)N2CCNC[C@@H]2CO)CCCCC1 ZINC001341581512 922564490 /nfs/dbraw/zinc/56/44/90/922564490.db2.gz RKCNBDGSGSDEKH-GFCCVEGCSA-N 0 1 265.357 0.643 20 30 CCEDMN C=CCOCCCC(=O)N1CCNC[C@H]1COC ZINC001341639531 922597456 /nfs/dbraw/zinc/59/74/56/922597456.db2.gz FUBOHUZWBCLKKT-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN C#CCN1CCC(NC(=O)NC(CF)CF)CC1 ZINC001341675941 922620461 /nfs/dbraw/zinc/62/04/61/922620461.db2.gz SWHGOYQUGTVNTI-UHFFFAOYSA-N 0 1 259.300 0.691 20 30 CCEDMN C#CCNCC(=O)NCCc1nnc(-c2ccccc2)n1C ZINC001341926085 922727525 /nfs/dbraw/zinc/72/75/25/922727525.db2.gz MQVPLTBWNYPDBK-UHFFFAOYSA-N 0 1 297.362 0.364 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)CC1(C)COC1 ZINC001342694768 923056166 /nfs/dbraw/zinc/05/61/66/923056166.db2.gz BXHKZAZMQYUIDB-LLVKDONJSA-N 0 1 282.340 0.665 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1nnc(C)o1 ZINC001419738759 923060405 /nfs/dbraw/zinc/06/04/05/923060405.db2.gz BRNWNYQMLZANCQ-MRVPVSSYSA-N 0 1 272.736 0.767 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1CCC(=O)N1C ZINC001419818971 923110043 /nfs/dbraw/zinc/11/00/43/923110043.db2.gz XORLPFIGFVWSGX-ZJUUUORDSA-N 0 1 273.764 0.454 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC1CC1)[C@H]1CCOC1 ZINC001343492104 923349606 /nfs/dbraw/zinc/34/96/06/923349606.db2.gz RFTRJROPOWIIOB-XRNSZHNASA-N 0 1 266.297 0.025 20 30 CCEDMN C#CCNCC(=O)NCC[C@H]1C(=O)Nc2ccccc21 ZINC001343528276 923364400 /nfs/dbraw/zinc/36/44/00/923364400.db2.gz ZMWUKQGMWUQDBN-GFCCVEGCSA-N 0 1 271.320 0.451 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CC1(F)F ZINC001343563120 923371034 /nfs/dbraw/zinc/37/10/34/923371034.db2.gz IZIMHROVULDTBC-HTQZYQBOSA-N 0 1 260.240 0.503 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](C2CCOCC2)C1 ZINC001343576896 923378607 /nfs/dbraw/zinc/37/86/07/923378607.db2.gz MSUJVMMTRSONCI-ZDUSSCGKSA-N 0 1 250.342 0.484 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@H](N)Cc2ccccn2)nn1 ZINC001343702487 923433633 /nfs/dbraw/zinc/43/36/33/923433633.db2.gz ZHOGXRGAFVJAOO-CYBMUJFWSA-N 0 1 286.339 0.045 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001420295901 923513558 /nfs/dbraw/zinc/51/35/58/923513558.db2.gz GVCONWGULXJNQL-NXEZZACHSA-N 0 1 273.764 0.312 20 30 CCEDMN C#CCN1CCC(OC(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001345674004 923947276 /nfs/dbraw/zinc/94/72/76/923947276.db2.gz ZMTQMFKKIAIQRH-LLVKDONJSA-N 0 1 265.309 0.580 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cc(=O)n(C)cn2)CC1 ZINC001420951820 924051827 /nfs/dbraw/zinc/05/18/27/924051827.db2.gz HPFKQHYZWXCIQS-UHFFFAOYSA-N 0 1 296.758 0.385 20 30 CCEDMN CNC(=O)C(C#N)C(=O)C1CCC(C(=O)N(C)C)CC1 ZINC001346111601 924073445 /nfs/dbraw/zinc/07/34/45/924073445.db2.gz RZQJOGUSHYIHRE-ILDUYXDCSA-N 0 1 279.340 0.336 20 30 CCEDMN C#CCNC(=O)CCNCc1nc2cc(F)ccc2n1C ZINC001346224929 924119125 /nfs/dbraw/zinc/11/91/25/924119125.db2.gz LQIHTCKEIYMTGT-UHFFFAOYSA-N 0 1 288.326 0.942 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)NCc1cc(C)[nH]n1 ZINC001346232722 924124832 /nfs/dbraw/zinc/12/48/32/924124832.db2.gz HWDDNSVSZMDIQW-UHFFFAOYSA-N 0 1 280.328 0.043 20 30 CCEDMN C=CCN(C(=O)C(=O)NCc1cc(C)[nH]n1)C1CC1 ZINC001346238364 924127384 /nfs/dbraw/zinc/12/73/84/924127384.db2.gz CEVGSPNLOAPECG-UHFFFAOYSA-N 0 1 262.313 0.511 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001346284887 924143850 /nfs/dbraw/zinc/14/38/50/924143850.db2.gz YYHGBWDATBDXMW-UPJWGTAASA-N 0 1 264.373 0.383 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N[C@@H](C#N)C(C)(C)C ZINC001346842959 924320166 /nfs/dbraw/zinc/32/01/66/924320166.db2.gz JSWPHPNORWMFGR-WOPDTQHZSA-N 0 1 264.373 0.429 20 30 CCEDMN C=CCN1CCN(CN2C[C@@H]3COC[C@]3(C)C2)C1=O ZINC001347237021 924405569 /nfs/dbraw/zinc/40/55/69/924405569.db2.gz DXBXFDDLRZLULD-OCCSQVGLSA-N 0 1 265.357 0.836 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CC(N2CCN(CC)CC2)C1 ZINC001347440985 924448169 /nfs/dbraw/zinc/44/81/69/924448169.db2.gz LHKORJQHIDBJRT-GFCCVEGCSA-N 0 1 264.373 0.384 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cnc3n[nH]cc3c2)CC1 ZINC001347618432 924495038 /nfs/dbraw/zinc/49/50/38/924495038.db2.gz SQDCZRVUTDUNCT-UHFFFAOYSA-N 0 1 284.323 0.676 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001347649422 924500079 /nfs/dbraw/zinc/50/00/79/924500079.db2.gz VBBKHQJUFULZGJ-AAEUAGOBSA-N 0 1 267.329 0.788 20 30 CCEDMN C#CCOCCN(C)C(=O)C(C)(C)CN1CCOCC1 ZINC001347683283 924512470 /nfs/dbraw/zinc/51/24/70/924512470.db2.gz PYJWTYWZZNZCOP-UHFFFAOYSA-N 0 1 282.384 0.453 20 30 CCEDMN C[C@H](Nc1nnccc1C#N)[C@H]1C[N@@H+](C)CCN1C ZINC001348311269 924647112 /nfs/dbraw/zinc/64/71/12/924647112.db2.gz HVWPEALUMNWLMY-CMPLNLGQSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@H](Nc1nnccc1C#N)[C@H]1CN(C)CCN1C ZINC001348311269 924647118 /nfs/dbraw/zinc/64/71/18/924647118.db2.gz HVWPEALUMNWLMY-CMPLNLGQSA-N 0 1 260.345 0.394 20 30 CCEDMN C=CCC1(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)NC)CCC1 ZINC001349079133 924881642 /nfs/dbraw/zinc/88/16/42/924881642.db2.gz KVGVMRQOWHRNGV-LBPRGKRZSA-N 0 1 290.367 0.929 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCNC(=O)Cc1nnc[nH]1 ZINC001349495569 924974442 /nfs/dbraw/zinc/97/44/42/924974442.db2.gz ZEMAMSNQMJGHLC-SNVBAGLBSA-N 0 1 279.344 0.182 20 30 CCEDMN C#CCOCCN(C)[C@H]1CCN(C2CCC2)C1=O ZINC001349646324 925014335 /nfs/dbraw/zinc/01/43/35/925014335.db2.gz IMMVCFUGXXCETO-ZDUSSCGKSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCN1CCC(OC(=O)c2ccnc3ccnn32)CC1 ZINC001349760435 925046719 /nfs/dbraw/zinc/04/67/19/925046719.db2.gz OKOWRIMDVXOOHL-UHFFFAOYSA-N 0 1 284.319 0.984 20 30 CCEDMN C=CCCOCCNC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001351093178 925358427 /nfs/dbraw/zinc/35/84/27/925358427.db2.gz ZORQQLJNGQQAGI-KGLIPLIRSA-N 0 1 298.431 0.513 20 30 CCEDMN COCc1csc(NC(=O)NCC#CCN(C)C)n1 ZINC001351389912 925413688 /nfs/dbraw/zinc/41/36/88/925413688.db2.gz VBNUBSHPQSHYIA-UHFFFAOYSA-N 0 1 282.369 0.976 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H](CNCc2nnnn2C)C1 ZINC001480277699 925576356 /nfs/dbraw/zinc/57/63/56/925576356.db2.gz XGZOJAXHIFJBPD-GFCCVEGCSA-N 0 1 292.387 0.505 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001352769478 925636512 /nfs/dbraw/zinc/63/65/12/925636512.db2.gz DKSDXQOOVDGVFV-JTQLQIEISA-N 0 1 292.339 0.423 20 30 CCEDMN N#CCCCC(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001352793898 925644702 /nfs/dbraw/zinc/64/47/02/925644702.db2.gz OLKMFZHZPIQARZ-SNVBAGLBSA-N 0 1 262.317 0.675 20 30 CCEDMN C=CCC1(O)CN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001352951210 925682131 /nfs/dbraw/zinc/68/21/31/925682131.db2.gz TZVFHWZAPXZABB-UHFFFAOYSA-N 0 1 258.281 0.721 20 30 CCEDMN CC#CCCNC(=O)N1CCNC[C@H]1CCOC ZINC001353392074 925820343 /nfs/dbraw/zinc/82/03/43/925820343.db2.gz XNJHEWHUYNZQEO-GFCCVEGCSA-N 0 1 253.346 0.420 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001353639335 925893701 /nfs/dbraw/zinc/89/37/01/925893701.db2.gz NFUDDWBTABIBON-GMTAPVOTSA-N 0 1 291.355 0.784 20 30 CCEDMN C=CC[C@H]1CCN1C(=O)CN1CCC(CO)CC1 ZINC001353822862 925939427 /nfs/dbraw/zinc/93/94/27/925939427.db2.gz ATAINWAGRFVWHC-ZDUSSCGKSA-N 0 1 252.358 0.868 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NC[C@@H]1CC[N@H+](C2CC2)C1 ZINC001354040536 925992591 /nfs/dbraw/zinc/99/25/91/925992591.db2.gz XNVAWACFTFAGPL-NSHDSACASA-N 0 1 281.356 0.753 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001354617962 926139688 /nfs/dbraw/zinc/13/96/88/926139688.db2.gz RIPRUOIWLUMURY-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CC(=O)OC(C)C)C(C)C ZINC001357810766 926601633 /nfs/dbraw/zinc/60/16/33/926601633.db2.gz DNHWSUJCIZRMHX-LBPRGKRZSA-N 0 1 268.357 0.692 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H](C)C#N)NCc1cnc(C)cn1 ZINC001421377250 926711492 /nfs/dbraw/zinc/71/14/92/926711492.db2.gz NWVUXHSVFQSPEO-JQWIXIFHSA-N 0 1 275.356 0.929 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CNCc2ncnn2C)CCCC1 ZINC001421508475 926783127 /nfs/dbraw/zinc/78/31/27/926783127.db2.gz QNTPJHOSVWEFSB-NSHDSACASA-N 0 1 290.371 0.493 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](C)NC(C)=O ZINC001421640221 926828113 /nfs/dbraw/zinc/82/81/13/926828113.db2.gz NCSPAVLSOCRYIM-CMPLNLGQSA-N 0 1 287.791 0.844 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CNC(=O)N2)CCC1 ZINC001421771939 926902934 /nfs/dbraw/zinc/90/29/34/926902934.db2.gz GJUCSWVVGHBPSS-VIFPVBQESA-N 0 1 286.763 0.049 20 30 CCEDMN COc1cncc(CNC[C@H](C)N(C)C(=O)[C@H](C)C#N)n1 ZINC001421873946 926959644 /nfs/dbraw/zinc/95/96/44/926959644.db2.gz QJTGUVWMJOKMOE-MNOVXSKESA-N 0 1 291.355 0.581 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H](C)CNCc1cc2n(n1)CCC2 ZINC001421874577 926964714 /nfs/dbraw/zinc/96/47/14/926964714.db2.gz RIIBKOXFLAXYPN-NEPJUHHUSA-N 0 1 289.383 0.925 20 30 CCEDMN COc1cccc(CNC[C@H](C)NC(=O)[C@@H](C)C#N)n1 ZINC001422632398 927428413 /nfs/dbraw/zinc/42/84/13/927428413.db2.gz OAFMYDQCIWQFHB-QWRGUYRKSA-N 0 1 276.340 0.844 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC001362080280 927592679 /nfs/dbraw/zinc/59/26/79/927592679.db2.gz RJADQYJQVPWUAB-MGPQQGTHSA-N 0 1 277.368 0.859 20 30 CCEDMN N#C[C@]1(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)CCCOC1 ZINC001362361029 927922817 /nfs/dbraw/zinc/92/28/17/927922817.db2.gz WGOCXVROMXCOHT-GXFFZTMASA-N 0 1 290.327 0.226 20 30 CCEDMN C[C@@H](C#N)CN(C(=O)CN(C)[C@@H]1CCC[C@H]1O)C1CC1 ZINC001362423614 927999468 /nfs/dbraw/zinc/99/94/68/927999468.db2.gz SWKYVNWDGUFUNH-IACUBPJLSA-N 0 1 279.384 0.982 20 30 CCEDMN C[N@H+]1CCCC[C@@H]1CNS(=O)(=O)CC1(C#N)CC1 ZINC001424845195 928316761 /nfs/dbraw/zinc/31/67/61/928316761.db2.gz LWIVGENRDZNVLQ-LLVKDONJSA-N 0 1 271.386 0.694 20 30 CCEDMN CN1CCCC[C@@H]1CNS(=O)(=O)CC1(C#N)CC1 ZINC001424845195 928316772 /nfs/dbraw/zinc/31/67/72/928316772.db2.gz LWIVGENRDZNVLQ-LLVKDONJSA-N 0 1 271.386 0.694 20 30 CCEDMN CC(C)[C@@H](CCNC(=O)[C@H](C)C#N)NC(=O)c1ncn[nH]1 ZINC001424871207 928326608 /nfs/dbraw/zinc/32/66/08/928326608.db2.gz YBFFTQVCQKZEBX-NXEZZACHSA-N 0 1 292.343 0.225 20 30 CCEDMN CC(C)[C@@H](CCNC(=O)[C@H](C)C#N)NC(=O)c1nc[nH]n1 ZINC001424871207 928326619 /nfs/dbraw/zinc/32/66/19/928326619.db2.gz YBFFTQVCQKZEBX-NXEZZACHSA-N 0 1 292.343 0.225 20 30 CCEDMN N#Cc1cnc(C(=O)NCc2n[nH]c(CO)n2)c(Cl)c1 ZINC001362688007 928359244 /nfs/dbraw/zinc/35/92/44/928359244.db2.gz JFQHTOZRUROTEE-UHFFFAOYSA-N 0 1 292.686 0.147 20 30 CCEDMN N#Cc1cnc(C(=O)NCc2nnc(CO)[nH]2)c(Cl)c1 ZINC001362688007 928359255 /nfs/dbraw/zinc/35/92/55/928359255.db2.gz JFQHTOZRUROTEE-UHFFFAOYSA-N 0 1 292.686 0.147 20 30 CCEDMN CN(C(=O)C(F)(F)c1ccccc1C#N)c1nn[nH]n1 ZINC001362719375 928406311 /nfs/dbraw/zinc/40/63/11/928406311.db2.gz LYSBUXHCCJFZSK-UHFFFAOYSA-N 0 1 278.222 0.826 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](c3nn[nH]n3)C2)ncc1C#N ZINC001362819917 928530881 /nfs/dbraw/zinc/53/08/81/928530881.db2.gz DXXKLIQMJHACEQ-VIFPVBQESA-N 0 1 283.295 0.405 20 30 CCEDMN CCC[N@H+]1CCC[C@H]1C(=O)Nc1nc(C#N)c(C#N)[n-]1 ZINC001362864013 928588079 /nfs/dbraw/zinc/58/80/79/928588079.db2.gz KONOKFHZBHHCAH-NSHDSACASA-N 0 1 272.312 0.966 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)NCc2n[nH]c(C3CC3)n2)cc1 ZINC001362927111 928663112 /nfs/dbraw/zinc/66/31/12/928663112.db2.gz UNMGYQSOMRFVGZ-ZDUSSCGKSA-N 0 1 297.318 0.904 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001429551002 929484554 /nfs/dbraw/zinc/48/45/54/929484554.db2.gz QBKLXQOKIVBRDV-ZYHUDNBSSA-N 0 1 289.339 0.219 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@@H+]1CCC[C@H](O)CC1)cc2=O ZINC001363810073 929600095 /nfs/dbraw/zinc/60/00/95/929600095.db2.gz YCLCYGQZLHDDPV-LBPRGKRZSA-N 0 1 287.323 0.653 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)n1C ZINC001443604480 929659509 /nfs/dbraw/zinc/65/95/09/929659509.db2.gz XJLLKJQUUSPNOA-LBPRGKRZSA-N 0 1 289.383 0.262 20 30 CCEDMN CN(CCNC(=O)c1ccc(C#N)[nH]1)CCn1cccn1 ZINC001371951401 929681449 /nfs/dbraw/zinc/68/14/49/929681449.db2.gz DXEJVUNPCZIFPX-UHFFFAOYSA-N 0 1 286.339 0.445 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)NC[C@H]1CN(C)CCN1C ZINC001443895612 929735232 /nfs/dbraw/zinc/73/52/32/929735232.db2.gz JHIKBAMDJLIHSB-LBPRGKRZSA-N 0 1 275.356 0.170 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001444002122 929764000 /nfs/dbraw/zinc/76/40/00/929764000.db2.gz ZSLYTVJQWIQAQC-UWVGGRQHSA-N 0 1 277.328 0.075 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CNC(=O)c1n[nH]c2ccccc21 ZINC001373118110 930081489 /nfs/dbraw/zinc/08/14/89/930081489.db2.gz ZHJIRVVVFZTYRT-ZJUUUORDSA-N 0 1 299.334 0.957 20 30 CCEDMN CCCn1nnnc1CNC[C@H](C)CNC(=O)[C@H](C)C#N ZINC001373987491 930390509 /nfs/dbraw/zinc/39/05/09/930390509.db2.gz GRRLQTZCHQQULU-WDEREUQCSA-N 0 1 293.375 0.085 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CCNC(N)=O ZINC001446455542 930414641 /nfs/dbraw/zinc/41/46/41/930414641.db2.gz KTVRXKKRHVJECB-JTQLQIEISA-N 0 1 288.779 0.378 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H]2CCNC2=O)CCC1 ZINC001447200027 930588214 /nfs/dbraw/zinc/58/82/14/930588214.db2.gz PONFEWZWLIWCEW-NSHDSACASA-N 0 1 299.802 0.894 20 30 CCEDMN CCCNC(=O)CN1CCC[C@H](NC(=O)[C@@H](C)C#N)CC1 ZINC001447294959 930601616 /nfs/dbraw/zinc/60/16/16/930601616.db2.gz BPUYEXFZSWHNOM-STQMWFEESA-N 0 1 294.399 0.643 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CN(C)CCNC(=O)C1CC1 ZINC001375014469 930760585 /nfs/dbraw/zinc/76/05/85/930760585.db2.gz DHQPCRYBNMGVMQ-GHMZBOCLSA-N 0 1 280.372 0.109 20 30 CCEDMN CC(=O)N(C)C[C@H](O)CN(C)Cc1ccc(C#N)s1 ZINC001449175974 931091829 /nfs/dbraw/zinc/09/18/29/931091829.db2.gz JYMDYMBYNLFTMU-LLVKDONJSA-N 0 1 281.381 0.891 20 30 CCEDMN CCN(CC)C(=O)CN(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001376907843 931322297 /nfs/dbraw/zinc/32/22/97/931322297.db2.gz BQYAFTJXFWREAY-ZDUSSCGKSA-N 0 1 296.415 0.795 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC001602701887 971327734 /nfs/dbraw/zinc/32/77/34/971327734.db2.gz BOEYPBWULFMXBB-XQQFMLRXSA-N 0 1 278.352 0.653 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cc(C(=O)[O-])on1 ZINC000328318048 971461492 /nfs/dbraw/zinc/46/14/92/971461492.db2.gz YJBWTVFUEDIQRT-UHFFFAOYSA-N 0 1 265.269 0.058 20 30 CCEDMN CC[N@@H+](C[C@@H](O)CC1(C#N)CCOCC1)[C@@H](C)C(=O)[O-] ZINC001589719327 950402001 /nfs/dbraw/zinc/40/20/01/950402001.db2.gz CESHNMCKWXKNHI-RYUDHWBXSA-N 0 1 284.356 0.853 20 30 CCEDMN CC[N@H+]1CCC[C@@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC001589744750 950667840 /nfs/dbraw/zinc/66/78/40/950667840.db2.gz CIBLJMWIEPGYLG-SMDDNHRTSA-N 0 1 281.356 0.839 20 30 CCEDMN C[C@H]1CC[C@@H](C(=O)[O-])C[N@@H+]1Cn1cccc(C#N)c1=O ZINC001589307574 953754738 /nfs/dbraw/zinc/75/47/38/953754738.db2.gz ACMDSWYOEZRENM-CMPLNLGQSA-N 0 1 275.308 0.863 20 30 CCEDMN C[C@H]1CC[C@@H](C(=O)[O-])C[N@H+]1Cn1cccc(C#N)c1=O ZINC001589307574 953754740 /nfs/dbraw/zinc/75/47/40/953754740.db2.gz ACMDSWYOEZRENM-CMPLNLGQSA-N 0 1 275.308 0.863 20 30 CCEDMN N#Cc1cccc(NC(=O)C[NH2+][C@]2(C(=O)[O-])CCOC2)c1 ZINC001594628272 956328083 /nfs/dbraw/zinc/32/80/83/956328083.db2.gz HGYFJASQSIVCSQ-CQSZACIVSA-N 0 1 289.291 0.330 20 30 CCEDMN N#Cc1cccc(NC(=O)C[NH2+][C@@]2(C(=O)[O-])CCOC2)c1 ZINC001594628271 956328122 /nfs/dbraw/zinc/32/81/22/956328122.db2.gz HGYFJASQSIVCSQ-AWEZNQCLSA-N 0 1 289.291 0.330 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1CCC[C@@H](CCC(=O)[O-])C1 ZINC000043585445 957437166 /nfs/dbraw/zinc/43/71/66/957437166.db2.gz BBKQNVOTCBGVGI-JTQLQIEISA-N 0 1 253.302 0.203 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CCC[N@H+]1C1CC1 ZINC001588399469 958073398 /nfs/dbraw/zinc/07/33/98/958073398.db2.gz LDIQLDZOGDJQJF-NSHDSACASA-N 0 1 250.298 0.160 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC001588430185 958252852 /nfs/dbraw/zinc/25/28/52/958252852.db2.gz JKUHVCVTEIXFNO-CYBMUJFWSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC001588430185 958252867 /nfs/dbraw/zinc/25/28/67/958252867.db2.gz JKUHVCVTEIXFNO-CYBMUJFWSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@]2(C(=O)[O-])CC2(C)C)CC1 ZINC001588431205 958266385 /nfs/dbraw/zinc/26/63/85/958266385.db2.gz UJROQETYLHSFCA-HNNXBMFYSA-N 0 1 278.352 0.655 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@]2(C(=O)[O-])CC2(C)C)CC1 ZINC001588431205 958266399 /nfs/dbraw/zinc/26/63/99/958266399.db2.gz UJROQETYLHSFCA-HNNXBMFYSA-N 0 1 278.352 0.655 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)C(=O)c1cc(C(=O)[O-])nn1C ZINC001588463197 958481621 /nfs/dbraw/zinc/48/16/21/958481621.db2.gz CCWWUQVOSXWVSG-UHFFFAOYSA-N 0 1 294.355 0.698 20 30 CCEDMN N#CC1(C[C@H](O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)CC1 ZINC001574480090 961658769 /nfs/dbraw/zinc/65/87/69/961658769.db2.gz BIDGTRWAKXIFEX-QWRGUYRKSA-N 0 1 252.314 0.838 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCCN(CC(=O)N(C)C)CC1 ZINC001573351730 962981924 /nfs/dbraw/zinc/98/19/24/962981924.db2.gz XMJHTVWTNNIVSK-GFCCVEGCSA-N 0 1 283.372 0.112 20 30 CCEDMN C=CCC[C@H](C)[N@@H+](C)CCNC(=O)CNC(=O)[O-] ZINC001573353519 963003520 /nfs/dbraw/zinc/00/35/20/963003520.db2.gz XUQXUJBUWDPDIY-JTQLQIEISA-N 0 1 257.334 0.657 20 30 CCEDMN C#CCCCCC(=O)N1CC[N@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588439928 964032193 /nfs/dbraw/zinc/03/21/93/964032193.db2.gz INHUVWOXGPYKSU-LBPRGKRZSA-N 0 1 266.341 0.655 20 30 CCEDMN C[N@@H+](CCCC(=O)[O-])C[C@H](O)CC1(C#N)CCOCC1 ZINC001604161676 972578053 /nfs/dbraw/zinc/57/80/53/972578053.db2.gz NQSVQNPNTKRXNI-GFCCVEGCSA-N 0 1 284.356 0.854 20 30 CCEDMN C[C@@H]1C[C@@H](C(=O)[O-])C[N@@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC001603367790 972872139 /nfs/dbraw/zinc/87/21/39/972872139.db2.gz JKYIOQOJSMATLV-GHMZBOCLSA-N 0 1 279.340 0.591 20 30 CCEDMN COC[C@]1(C(=O)[O-])CC[N@H+](Cc2nc(C#N)cs2)C1 ZINC001604541718 973525643 /nfs/dbraw/zinc/52/56/43/973525643.db2.gz SZOJXAFRDGNALM-LBPRGKRZSA-N 0 1 281.337 0.938 20 30 CCEDMN COC[C@]1(C(=O)[O-])CC[N@@H+](Cc2nc(C#N)cs2)C1 ZINC001604541718 973525651 /nfs/dbraw/zinc/52/56/51/973525651.db2.gz SZOJXAFRDGNALM-LBPRGKRZSA-N 0 1 281.337 0.938 20 30 CCEDMN N#Cc1cc(F)ccc1C[N@@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC000401924115 973537727 /nfs/dbraw/zinc/53/77/27/973537727.db2.gz QAGRYAAHHCWQCS-DGCLKSJQSA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1cc(F)ccc1C[N@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC000401924115 973537737 /nfs/dbraw/zinc/53/77/37/973537737.db2.gz QAGRYAAHHCWQCS-DGCLKSJQSA-N 0 1 278.283 0.965 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CC[N@@H+](CCC(=O)[O-])[C@H](C)C1 ZINC001594778581 981778419 /nfs/dbraw/zinc/77/84/19/981778419.db2.gz WGGBZCVTHTVLMQ-BXUZGUMPSA-N 0 1 281.356 0.934 20 30 CCEDMN C#CC[C@H]1CC[N@H+]([C@@H]2CCCN(CCC(=O)[O-])C2=O)C1 ZINC001588433786 983468590 /nfs/dbraw/zinc/46/85/90/983468590.db2.gz CNVNPXVKKSOSKZ-QWHCGFSZSA-N 0 1 278.352 0.797 20 30 CCEDMN C#CC[N@H+](CC(=O)N[C@H](CC(C)C)C(=O)[O-])C(C)C ZINC001588466149 983498535 /nfs/dbraw/zinc/49/85/35/983498535.db2.gz XMXMUSLAZAXIBM-GFCCVEGCSA-N 0 1 268.357 0.946 20 30 CCEDMN C#CCNC(=O)CC[N@H+](C)[C@@H](CC(C)C)C(=O)[O-] ZINC001588479158 983517846 /nfs/dbraw/zinc/51/78/46/983517846.db2.gz AEPYAGRENAMWCS-NSHDSACASA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1[C@@H]2C[C@H](C(=O)[O-])O[C@H]2CC[C@H]1C ZINC001588479283 983518645 /nfs/dbraw/zinc/51/86/45/983518645.db2.gz IGBXYHLSKAPUFR-FVCCEPFGSA-N 0 1 294.351 0.221 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NC[C@H](C(C)C)[NH+]1CCOCC1 ZINC001588623799 983730033 /nfs/dbraw/zinc/73/00/33/983730033.db2.gz XWOKLCPQECCFTH-CYBMUJFWSA-N 0 1 298.383 0.880 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1C[C@H]2COC[C@@]2(C(=O)OC(C)(C)C)C1 ZINC001588669445 983812438 /nfs/dbraw/zinc/81/24/38/983812438.db2.gz LITPZVTVIRQWCQ-PGUXBMHVSA-N 0 1 297.351 0.916 20 30 CCEDMN C=C[C@@H]([NH2+]CC(=O)Nc1cc(OC)cc(OC)c1)C(=O)[O-] ZINC001588694969 983899761 /nfs/dbraw/zinc/89/97/61/983899761.db2.gz GRWPOCMKEZNZFF-GFCCVEGCSA-N 0 1 294.307 0.871 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[NH+]1CCN(C(=O)[C@@H]2CCCN2C)CC1 ZINC001588729113 983986637 /nfs/dbraw/zinc/98/66/37/983986637.db2.gz HEFWEGCHLPGMQY-QWHCGFSZSA-N 0 1 295.383 0.254 20 30 CCEDMN C=CC[C@H](C(=O)[O-])N1CCN(C(=O)[C@@H]2CCC[N@H+]2C)CC1 ZINC001588729113 983986639 /nfs/dbraw/zinc/98/66/39/983986639.db2.gz HEFWEGCHLPGMQY-QWHCGFSZSA-N 0 1 295.383 0.254 20 30 CCEDMN C=CC[C@H](C(=O)[O-])N1CCN(C(=O)[C@@H]2CCC[N@@H+]2C)CC1 ZINC001588729113 983986642 /nfs/dbraw/zinc/98/66/42/983986642.db2.gz HEFWEGCHLPGMQY-QWHCGFSZSA-N 0 1 295.383 0.254 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[NH+]1CCN(S(=O)(=O)C2CC2)CC1 ZINC001588729632 983992139 /nfs/dbraw/zinc/99/21/39/983992139.db2.gz MBDQQBNHJCNOEO-LLVKDONJSA-N 0 1 288.369 0.126 20 30 CCEDMN C=CC[C@H](OC(=O)C[N@@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC001588753238 984083534 /nfs/dbraw/zinc/08/35/34/984083534.db2.gz CXAQTVDSSLMQKV-UWVGGRQHSA-N 0 1 273.354 0.996 20 30 CCEDMN C=CC[C@H](OC(=O)C[N@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC001588753238 984083541 /nfs/dbraw/zinc/08/35/41/984083541.db2.gz CXAQTVDSSLMQKV-UWVGGRQHSA-N 0 1 273.354 0.996 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@H]1CSC[NH2+]1)C(=O)[O-] ZINC001588794327 984226394 /nfs/dbraw/zinc/22/63/94/984226394.db2.gz RBYUSQMAIDRQKU-DTWKUNHWSA-N 0 1 258.343 0.575 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588836841 984330488 /nfs/dbraw/zinc/33/04/88/984330488.db2.gz KAJJBVSLWGTWFC-RYUDHWBXSA-N 0 1 264.325 0.641 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC3CC2(C(=O)[O-])C3)C1=O ZINC001588838865 984341812 /nfs/dbraw/zinc/34/18/12/984341812.db2.gz LLVZAOMBLCQTAL-QUNCOHTASA-N 0 1 250.298 0.322 20 30 CCEDMN C=CCNC(=O)C[NH2+]Cc1ccc(C(=O)[O-])cc1F ZINC001588844510 984358876 /nfs/dbraw/zinc/35/88/76/984358876.db2.gz JVIKRRLHSQZVRB-UHFFFAOYSA-N 0 1 266.272 0.916 20 30 CCEDMN C=CCOCC(=O)O[C@@H]1C[N@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001588850939 984381401 /nfs/dbraw/zinc/38/14/01/984381401.db2.gz LCSPQCGLLCXWGI-CABZTGNLSA-N 0 1 257.286 0.280 20 30 CCEDMN CC#CC[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588930966 984600519 /nfs/dbraw/zinc/60/05/19/984600519.db2.gz GUAPQZVJXFZPOE-VXGBXAGGSA-N 0 1 264.325 0.265 20 30 CCEDMN CC#CC[N@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588930966 984600522 /nfs/dbraw/zinc/60/05/22/984600522.db2.gz GUAPQZVJXFZPOE-VXGBXAGGSA-N 0 1 264.325 0.265 20 30 CCEDMN CC#CC[N@@H+]1CCCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588930965 984600554 /nfs/dbraw/zinc/60/05/54/984600554.db2.gz GUAPQZVJXFZPOE-RYUDHWBXSA-N 0 1 264.325 0.265 20 30 CCEDMN CC#CC[N@H+]1CCCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588930965 984600557 /nfs/dbraw/zinc/60/05/57/984600557.db2.gz GUAPQZVJXFZPOE-RYUDHWBXSA-N 0 1 264.325 0.265 20 30 CCEDMN C[N@@H+](CC(=O)N1CCC(C#N)(C(=O)[O-])CC1)C1CCC1 ZINC001598379107 991572675 /nfs/dbraw/zinc/57/26/75/991572675.db2.gz LDUKPPPNZXYNFS-UHFFFAOYSA-N 0 1 279.340 0.688 20 30 CCEDMN C[N@@H+](CCc1cccc(C#N)c1)C[C@@](C)(O)C(=O)[O-] ZINC001598500305 992426434 /nfs/dbraw/zinc/42/64/34/992426434.db2.gz RKSRTBAXCYIVSP-CQSZACIVSA-N 0 1 262.309 0.868 20 30 CCEDMN C[N@H+]1CCN(C(=O)C2(C#N)CC3(CC3)C2)C[C@@H](C(=O)[O-])C1 ZINC001598603102 993595573 /nfs/dbraw/zinc/59/55/73/993595573.db2.gz BUAOHVPWFWYRKQ-NSHDSACASA-N 0 1 291.351 0.545 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](CC2(CC#N)CC2)C[C@H]1C(=O)[O-] ZINC001598818686 996299415 /nfs/dbraw/zinc/29/94/15/996299415.db2.gz BUCJITPWRZWYII-NXEZZACHSA-N 0 1 266.297 0.486 20 30 CCEDMN COC(=O)/C=C(\C)C[NH+]1CCC(C#N)(C(=O)[O-])CC1 ZINC001598829864 996424767 /nfs/dbraw/zinc/42/47/67/996424767.db2.gz FSTVLZUTPJTNDB-JXMROGBWSA-N 0 1 266.297 0.796 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CCC[N@H+]1CCC(=O)N(C)CCC#N ZINC001599193434 997170744 /nfs/dbraw/zinc/17/07/44/997170744.db2.gz MHPJMNINOVZCIB-CQSZACIVSA-N 0 1 297.355 0.314 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)N2CCC(C#N)(C(=O)[O-])CC2)CC[N@H+]1C ZINC001594190513 998740153 /nfs/dbraw/zinc/74/01/53/998740153.db2.gz IOJYSCWBOZGWDI-QWRGUYRKSA-N 0 1 294.355 0.479 20 30 CCEDMN COc1ccc([C@@H](NCC(=O)NCC#N)C2CC2)cn1 ZINC000599698830 361792408 /nfs/dbraw/zinc/79/24/08/361792408.db2.gz LXSSVABVZQPMHC-AWEZNQCLSA-N 0 1 274.324 0.771 20 30 CCEDMN N#Cc1ccc(NC(=O)NCCN2CC[C@@H](O)C2)cc1F ZINC000599788676 361814509 /nfs/dbraw/zinc/81/45/09/361814509.db2.gz QYAKLAJDOCKTIK-GFCCVEGCSA-N 0 1 292.314 0.885 20 30 CCEDMN COC(=O)[C@H](C[C@H]1CCCOC1)NC(=O)C(C)C#N ZINC000600429132 361959656 /nfs/dbraw/zinc/95/96/56/361959656.db2.gz AEMKOOMWDYEWHK-MXWKQRLJSA-N 0 1 268.313 0.621 20 30 CCEDMN N#CC1(CC(=O)NC[C@H]2COCCN2)CCCCC1 ZINC000600863761 362082074 /nfs/dbraw/zinc/08/20/74/362082074.db2.gz TVGSYMMZCQMURW-LBPRGKRZSA-N 0 1 265.357 0.955 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2nc(C)cc(C#N)n2)CC1 ZINC000288389084 136397785 /nfs/dbraw/zinc/39/77/85/136397785.db2.gz MDQDFDSATNFIFP-ZDUSSCGKSA-N 0 1 275.356 0.550 20 30 CCEDMN CN1CCOC[C@H]1C(=O)Nc1nc(C2CCC2)n[nH]1 ZINC000329046460 529781308 /nfs/dbraw/zinc/78/13/08/529781308.db2.gz PYDQSXKFLVQVMX-VIFPVBQESA-N 0 1 265.317 0.991 20 30 CCEDMN CN1CCOC[C@H]1C(=O)Nc1n[nH]c(C2CCC2)n1 ZINC000329046460 529781309 /nfs/dbraw/zinc/78/13/09/529781309.db2.gz PYDQSXKFLVQVMX-VIFPVBQESA-N 0 1 265.317 0.991 20 30 CCEDMN N#C[C@H]1CSCCN1S(=O)(=O)c1ncc[nH]1 ZINC000601387152 362253531 /nfs/dbraw/zinc/25/35/31/362253531.db2.gz OHJQHQMSFHKPPA-ZETCQYMHSA-N 0 1 258.328 0.039 20 30 CCEDMN CN1CCC[C@@H]1CNC(=O)C(=O)Nc1cccc(C#N)c1 ZINC000118105856 349898739 /nfs/dbraw/zinc/89/87/39/349898739.db2.gz IMZZPVSFROHGET-CYBMUJFWSA-N 0 1 286.335 0.707 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N[C@H]1CCCn2nccc21 ZINC000601941813 362431122 /nfs/dbraw/zinc/43/11/22/362431122.db2.gz PUFMKGQCMOMYFT-MNOVXSKESA-N 0 1 291.355 0.708 20 30 CCEDMN CN(C)CC1(C(=O)N=c2ccn(CCC#N)[nH]2)CC1 ZINC000602101222 362488223 /nfs/dbraw/zinc/48/82/23/362488223.db2.gz GIQAGUHTOVOTDP-UHFFFAOYSA-N 0 1 261.329 0.499 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000602102972 362489739 /nfs/dbraw/zinc/48/97/39/362489739.db2.gz NAALMZQOKYSVTR-RKDXNWHRSA-N 0 1 262.269 0.038 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C(=O)OC ZINC000602140011 362518810 /nfs/dbraw/zinc/51/88/10/362518810.db2.gz BOYYFLSCIZCLSL-CKYFFXLPSA-N 0 1 293.323 0.374 20 30 CCEDMN C[C@H]1CCn2cc(C(=O)N=c3[nH]n(C)cc3C#N)nc2C1 ZINC000602282937 362574642 /nfs/dbraw/zinc/57/46/42/362574642.db2.gz QRZIGJKPONTDME-VIFPVBQESA-N 0 1 284.323 0.745 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCN(CCC#N)CC1 ZINC000602204347 362557084 /nfs/dbraw/zinc/55/70/84/362557084.db2.gz LUAYVIQMGXHPTC-ZDUSSCGKSA-N 0 1 264.373 0.529 20 30 CCEDMN N#CC1(CNC(=O)NCCN2CC[C@@H](O)C2)CCC1 ZINC000602326623 362586879 /nfs/dbraw/zinc/58/68/79/362586879.db2.gz NOXJLTADJKXVPN-LLVKDONJSA-N 0 1 266.345 0.046 20 30 CCEDMN C=CCNC(=O)CNCc1ccc(C(=O)OCC)[nH]1 ZINC000602584409 362692137 /nfs/dbraw/zinc/69/21/37/362692137.db2.gz BBUBBQFURRUBAN-UHFFFAOYSA-N 0 1 265.313 0.583 20 30 CCEDMN CC(C)OC[C@H](N)C(=O)N(C)[C@@H]1CCC[C@H]1C#N ZINC000602603826 362708563 /nfs/dbraw/zinc/70/85/63/362708563.db2.gz VIFLPTIUFAIBJR-SDDRHHMPSA-N 0 1 253.346 0.889 20 30 CCEDMN CC1(C)CN(Cc2cccc(C#N)n2)CCN1CCO ZINC000602631544 362722262 /nfs/dbraw/zinc/72/22/62/362722262.db2.gz YSPTYRMHNHZSHL-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN CS(=O)(=O)N[C@@H]1CCCN(CCCCC#N)C1 ZINC000120082846 349968154 /nfs/dbraw/zinc/96/81/54/349968154.db2.gz MSUVVNUUPRUHPV-LLVKDONJSA-N 0 1 259.375 0.694 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)[C@H]2CN(C[C@H](C)CCC#N)C[C@H]21 ZINC000602719291 362768164 /nfs/dbraw/zinc/76/81/64/362768164.db2.gz OEOPLJJEOFMNLZ-XQHKEYJVSA-N 0 1 286.397 0.670 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CC2(O)CCC2)C[C@H](C)N1CC#N ZINC000602849275 362835846 /nfs/dbraw/zinc/83/58/46/362835846.db2.gz KRVPEHBPJLVRAK-MJBXVCDLSA-N 0 1 281.400 0.571 20 30 CCEDMN COC(=O)CCCN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854447 362840715 /nfs/dbraw/zinc/84/07/15/362840715.db2.gz PHFKULCIAPYCPE-TXEJJXNPSA-N 0 1 253.346 0.858 20 30 CCEDMN COC[C@H](C)OC[C@@H](O)CN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602855716 362841808 /nfs/dbraw/zinc/84/18/08/362841808.db2.gz WFOUSZTYYUTXML-XGUBFFRZSA-N 0 1 299.415 0.317 20 30 CCEDMN CCc1nc(CN2C[C@H](C)N(CC#N)[C@@H](C)C2)n[nH]1 ZINC000602855113 362842071 /nfs/dbraw/zinc/84/20/71/362842071.db2.gz SBDCWZDOAGGONJ-QWRGUYRKSA-N 0 1 262.361 0.785 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)CC1 ZINC000022846131 348083661 /nfs/dbraw/zinc/08/36/61/348083661.db2.gz USCFTTFPEZPDQC-AWEZNQCLSA-N 0 1 264.373 0.432 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)N[C@H](CO)CC(F)(F)F)o1 ZINC000344722523 137209359 /nfs/dbraw/zinc/20/93/59/137209359.db2.gz BOOZVBXKMNPWIQ-LURJTMIESA-N 0 1 298.242 0.743 20 30 CCEDMN CN(CCC(=O)Nc1cccc(C#N)c1)CC(N)=O ZINC000037268548 348186763 /nfs/dbraw/zinc/18/67/63/348186763.db2.gz DEKIPJSWFNFVDX-UHFFFAOYSA-N 0 1 260.297 0.304 20 30 CCEDMN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602885032 362864916 /nfs/dbraw/zinc/86/49/16/362864916.db2.gz YFASGURBAJUQAJ-CKEKPRIKSA-N 0 1 277.328 0.647 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@](O)(C3CC3)C2)[nH]1 ZINC000602887765 362867457 /nfs/dbraw/zinc/86/74/57/362867457.db2.gz SDZKLCGLHPAISL-AWEZNQCLSA-N 0 1 289.339 0.482 20 30 CCEDMN CCO[C@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602887744 362867466 /nfs/dbraw/zinc/86/74/66/362867466.db2.gz RTHNPDUBUADFOY-MGCOHNPYSA-N 0 1 263.301 0.403 20 30 CCEDMN C[C@@H]1C[C@H](O)CCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000602880068 362860626 /nfs/dbraw/zinc/86/06/26/362860626.db2.gz DESFGLYEKVSSLG-PSASIEDQSA-N 0 1 263.301 0.091 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@H]2C(F)F)[nH]1 ZINC000602880855 362860664 /nfs/dbraw/zinc/86/06/64/362860664.db2.gz NKXFYZROPOLJHR-SSDOTTSWSA-N 0 1 255.228 0.585 20 30 CCEDMN CN1CCN(Cc2ccc(CC#N)cc2)[C@H](CO)C1 ZINC000602904705 362878948 /nfs/dbraw/zinc/87/89/48/362878948.db2.gz KKHPETPDFUNVMI-HNNXBMFYSA-N 0 1 259.353 0.861 20 30 CCEDMN N#Cc1ccc2ncc(CNCC(=O)NC3CC3)n2c1 ZINC000346962593 137313139 /nfs/dbraw/zinc/31/31/39/137313139.db2.gz LIJWSHNFJJDKLO-UHFFFAOYSA-N 0 1 269.308 0.574 20 30 CCEDMN CN1CC[C@H](NS(=O)(=O)Cc2cc(C#N)ccc2F)C1 ZINC000347029979 137316330 /nfs/dbraw/zinc/31/63/30/137316330.db2.gz SNVUATRSDVXLFV-LBPRGKRZSA-N 0 1 297.355 0.821 20 30 CCEDMN COC(=O)[C@]1(OC)CCN(CC2(CC#N)CC2)C1 ZINC000602919343 362886514 /nfs/dbraw/zinc/88/65/14/362886514.db2.gz YOUKKAGMHFRBQM-ZDUSSCGKSA-N 0 1 252.314 0.944 20 30 CCEDMN COC[C@@](C)(CO)NCC(=O)N(C)C1(C#N)CCCCC1 ZINC000602932142 362893682 /nfs/dbraw/zinc/89/36/82/362893682.db2.gz MXVQZTDOMSJDJW-CQSZACIVSA-N 0 1 297.399 0.658 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(C(=O)N(C)C)CC1 ZINC000052658946 348512762 /nfs/dbraw/zinc/51/27/62/348512762.db2.gz WJDVLARCTSSVDO-NSHDSACASA-N 0 1 267.373 0.477 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC(C(=O)N(C)C)CC1 ZINC000061761317 348658975 /nfs/dbraw/zinc/65/89/75/348658975.db2.gz NATVFJYWPGGZKZ-UHFFFAOYSA-N 0 1 293.411 0.987 20 30 CCEDMN C=CCNC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000068510849 348813686 /nfs/dbraw/zinc/81/36/86/348813686.db2.gz YCLXEPQZZPJYCA-UHFFFAOYSA-N 0 1 260.341 0.749 20 30 CCEDMN C=CCSCCNC(=O)CN1CCN(CC)CC1 ZINC000073118769 348944257 /nfs/dbraw/zinc/94/42/57/348944257.db2.gz QRRGCAZNNOAOTA-UHFFFAOYSA-N 0 1 271.430 0.659 20 30 CCEDMN CC(C)(O)CN1CCN(c2cnc(C#N)cn2)CC1 ZINC000071381509 348909281 /nfs/dbraw/zinc/90/92/81/348909281.db2.gz SZYZCTUKXDNWHT-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN C=CCN(CC=C)C(=O)CNC1(C(N)=O)CCCCC1 ZINC000088538363 349368693 /nfs/dbraw/zinc/36/86/93/349368693.db2.gz BKHCDFLVHDIOHN-UHFFFAOYSA-N 0 1 279.384 0.965 20 30 CCEDMN C=CCCOCC(=O)N1CCCN(CCOC)CC1 ZINC000138518761 350442040 /nfs/dbraw/zinc/44/20/40/350442040.db2.gz ZRMVHKXGDIDKTQ-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C=C[C@H](C(=O)OC)n1cc([C@H](N)[C@@H]2CCCO2)nn1 ZINC000603233702 363014293 /nfs/dbraw/zinc/01/42/93/363014293.db2.gz JVBDOBJUFMQMFP-VWYCJHECSA-N 0 1 266.301 0.357 20 30 CCEDMN CN[C@@H](C)c1cn(C[C@@H](O)CC(C)(C)C#N)nn1 ZINC000603266158 363034661 /nfs/dbraw/zinc/03/46/61/363034661.db2.gz CUOKVRURZHQXEV-UWVGGRQHSA-N 0 1 251.334 0.859 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)c1c[nH]c(C#N)c1 ZINC000186743344 351511862 /nfs/dbraw/zinc/51/18/62/351511862.db2.gz RKKNIEJBYGUCEC-NSHDSACASA-N 0 1 290.367 0.804 20 30 CCEDMN C=CCNC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000186937176 351522107 /nfs/dbraw/zinc/52/21/07/351522107.db2.gz ZZGDQDWFHNFBPU-NSHDSACASA-N 0 1 255.362 0.659 20 30 CCEDMN CN(C)C[C@H]1CCCN1S(=O)(=O)c1ccc(C#N)nc1 ZINC000123198923 187077137 /nfs/dbraw/zinc/07/71/37/187077137.db2.gz WCJQYXZJMSHILU-GFCCVEGCSA-N 0 1 294.380 0.668 20 30 CCEDMN CN1CCC[C@@H](NC(=O)C(=O)Nc2ccc(C#N)cc2)C1 ZINC000604227547 363182621 /nfs/dbraw/zinc/18/26/21/363182621.db2.gz PEQKPJABHXZLKW-CYBMUJFWSA-N 0 1 286.335 0.707 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)/C=C/c2cccc(C#N)c2)C1 ZINC000264813390 352599734 /nfs/dbraw/zinc/59/97/34/352599734.db2.gz YNOPSGHNMSCTGR-MOEXGYKKSA-N 0 1 298.390 0.933 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)/C=C/c2cccc(C#N)c2)C1 ZINC000264813389 352600112 /nfs/dbraw/zinc/60/01/12/352600112.db2.gz YNOPSGHNMSCTGR-FYCOFBDGSA-N 0 1 298.390 0.933 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(C[C@H]2C(=O)OC)CC1 ZINC000265041404 352615409 /nfs/dbraw/zinc/61/54/09/352615409.db2.gz KCACIWOKZZYMBC-RYUDHWBXSA-N 0 1 280.368 0.952 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccc(C#N)nc2)C[C@H]1C ZINC000267324347 352759028 /nfs/dbraw/zinc/75/90/28/352759028.db2.gz ARWNWXIILLCMFG-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CC[C@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000277347972 353237931 /nfs/dbraw/zinc/23/79/31/353237931.db2.gz NRAJDBOEDUBEDB-SSDOTTSWSA-N 0 1 282.262 0.497 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000280548148 353368385 /nfs/dbraw/zinc/36/83/85/353368385.db2.gz DOPLMZGUJWZCBT-LLVKDONJSA-N 0 1 290.367 0.825 20 30 CCEDMN N#Cc1ccc(C(=O)NC2(c3nnc[nH]3)CCC2)[nH]1 ZINC000280761808 353381002 /nfs/dbraw/zinc/38/10/02/353381002.db2.gz IDWAIFVVZOKZNV-UHFFFAOYSA-N 0 1 256.269 0.814 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)N2CCCc3[nH]ncc32)cn1 ZINC000289940216 353695554 /nfs/dbraw/zinc/69/55/54/353695554.db2.gz RVNHDQDHIRZSOO-UHFFFAOYSA-N 0 1 289.320 0.818 20 30 CCEDMN N#Cc1cccc(CN(CCO)CCN2CCOCC2)c1 ZINC000304723037 354121005 /nfs/dbraw/zinc/12/10/05/354121005.db2.gz PDCWSMDWIWKCJC-UHFFFAOYSA-N 0 1 289.379 0.685 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N(C)C[C@@H](O)C1CC1 ZINC000305750818 354158795 /nfs/dbraw/zinc/15/87/95/354158795.db2.gz RLGLYPZTUOPQDE-ZYHUDNBSSA-N 0 1 253.346 0.450 20 30 CCEDMN CC[N@@H+](CC(=O)[O-])C1CC(NC(=O)c2nccnc2N)C1 ZINC000328627845 354240729 /nfs/dbraw/zinc/24/07/29/354240729.db2.gz GPWKOAFYWRHXJU-UHFFFAOYSA-N 0 1 293.327 0.301 20 30 CCEDMN C[C@H](CNC(=O)c1ccc(C#N)o1)N1CCN(C)CC1 ZINC000340310041 355555970 /nfs/dbraw/zinc/55/59/70/355555970.db2.gz INLHUFFILKCUCE-LLVKDONJSA-N 0 1 276.340 0.517 20 30 CCEDMN C[C@H]1CCNC(=O)[C@H]1NCc1cnc2ccc(C#N)cn12 ZINC000342862571 355761883 /nfs/dbraw/zinc/76/18/83/355761883.db2.gz SVZQDCOWWHXFAD-HZMBPMFUSA-N 0 1 283.335 0.820 20 30 CCEDMN C[C@@H]1CCNC(=O)[C@H]1NCc1cnc2ccc(C#N)cn12 ZINC000342862574 355762033 /nfs/dbraw/zinc/76/20/33/355762033.db2.gz SVZQDCOWWHXFAD-YGRLFVJLSA-N 0 1 283.335 0.820 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000344205610 355789446 /nfs/dbraw/zinc/78/94/46/355789446.db2.gz QGTWSIDHVOSCTE-ZFWWWQNUSA-N 0 1 284.400 0.787 20 30 CCEDMN C[C@H](NCc1cc(C#N)n(C)c1)C1(S(C)(=O)=O)CC1 ZINC000353043370 356145324 /nfs/dbraw/zinc/14/53/24/356145324.db2.gz CFPZAEOJNIKXKU-JTQLQIEISA-N 0 1 281.381 0.952 20 30 CCEDMN COc1cc(C)nc(CNCCn2cnc(C#N)n2)c1 ZINC000353050503 356147342 /nfs/dbraw/zinc/14/73/42/356147342.db2.gz VVZGZTWGMKPPHR-UHFFFAOYSA-N 0 1 272.312 0.652 20 30 CCEDMN CC(C)(NC(=O)c1ccc(F)c(C#N)c1)c1nn[nH]n1 ZINC000353471215 356232020 /nfs/dbraw/zinc/23/20/20/356232020.db2.gz LGPOTPZTFQNNCK-UHFFFAOYSA-N 0 1 274.259 0.876 20 30 CCEDMN CN1CC[NH+](CCCNC(=O)c2ccc(C#N)s2)CC1 ZINC000362972095 138082801 /nfs/dbraw/zinc/08/28/01/138082801.db2.gz HZBCVRSANNAGTH-UHFFFAOYSA-N 0 1 292.408 0.987 20 30 CCEDMN CNC(=O)CN(C)CCC(=O)Nc1sccc1C#N ZINC000354604503 356449967 /nfs/dbraw/zinc/44/99/67/356449967.db2.gz RXNDPPGJWZGEIG-UHFFFAOYSA-N 0 1 280.353 0.626 20 30 CCEDMN C[C@H](NS(=O)(=O)c1cccc(CC#N)c1)c1nnc[nH]1 ZINC000619494472 366267888 /nfs/dbraw/zinc/26/78/88/366267888.db2.gz CPJGRACFSGBULW-VIFPVBQESA-N 0 1 291.336 0.910 20 30 CCEDMN CN1CCC[C@@H](NC(=O)N2CCO[C@H](C#N)C2)C1 ZINC000609282933 363486825 /nfs/dbraw/zinc/48/68/25/363486825.db2.gz GPSJBKVSIIHTTN-GHMZBOCLSA-N 0 1 252.318 0.015 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000609919806 363527637 /nfs/dbraw/zinc/52/76/37/363527637.db2.gz QHUZSFGLSNYIHF-QWHCGFSZSA-N 0 1 265.357 0.859 20 30 CCEDMN CC(C)(NC(=O)c1ccc(C#N)cc1)c1nn[nH]n1 ZINC000359795969 356895808 /nfs/dbraw/zinc/89/58/08/356895808.db2.gz WPWHQSZASVOEEP-UHFFFAOYSA-N 0 1 256.269 0.736 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@H](O)C1(C)C ZINC000361417504 357046863 /nfs/dbraw/zinc/04/68/63/357046863.db2.gz XDIYCSBMGGKWLA-RYUDHWBXSA-N 0 1 267.373 0.840 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(O)(C3CC3)C2)CC1 ZINC000364961654 357133500 /nfs/dbraw/zinc/13/35/00/357133500.db2.gz RPADNEWXFWEWQV-UHFFFAOYSA-N 0 1 262.353 0.315 20 30 CCEDMN CN(Cc1ccncc1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610528092 363572954 /nfs/dbraw/zinc/57/29/54/363572954.db2.gz MUOCYICYCSIXTM-UHFFFAOYSA-N 0 1 270.296 0.773 20 30 CCEDMN CCOC[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610560911 363576801 /nfs/dbraw/zinc/57/68/01/363576801.db2.gz BEZURBHXUZEOIM-JTQLQIEISA-N 0 1 277.328 0.604 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)c2ccc(C#N)cn2)n[nH]1 ZINC000392654344 357749044 /nfs/dbraw/zinc/74/90/44/357749044.db2.gz QJGBGVHFSUWKGI-ZETCQYMHSA-N 0 1 256.269 0.871 20 30 CCEDMN CC[C@H](C#N)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000394797208 357758742 /nfs/dbraw/zinc/75/87/42/357758742.db2.gz LXBLXGOXMHWKHO-VXGBXAGGSA-N 0 1 250.346 0.042 20 30 CCEDMN CS(=O)(=O)N1CC[C@H](NCc2ccc(C#N)c(F)c2)C1 ZINC000404015302 357813261 /nfs/dbraw/zinc/81/32/61/357813261.db2.gz REZWDGUNQYEVMO-LBPRGKRZSA-N 0 1 297.355 0.821 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(CCCO)C1CC1 ZINC000404321197 357820257 /nfs/dbraw/zinc/82/02/57/357820257.db2.gz DDAGLIHJFVREIS-CQSZACIVSA-N 0 1 267.373 0.888 20 30 CCEDMN N#Cc1ccncc1N1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC000561428530 291129464 /nfs/dbraw/zinc/12/94/64/291129464.db2.gz ACGBKMMTYRXHKU-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN N#CCCCS(=O)(=O)NCCCN1CCC(O)CC1 ZINC000443152590 358244140 /nfs/dbraw/zinc/24/41/40/358244140.db2.gz BMSKLIZEZDTDDQ-UHFFFAOYSA-N 0 1 289.401 0.056 20 30 CCEDMN CC(=O)N1CCN(C(=O)CN2CCC(CC#N)CC2)CC1 ZINC000543810117 358548437 /nfs/dbraw/zinc/54/84/37/358548437.db2.gz FBYKGIMRGYQTCU-UHFFFAOYSA-N 0 1 292.383 0.303 20 30 CCEDMN N#C[C@]1(NC(=O)c2ccc3cncn3c2)CCOC1 ZINC000556450284 358553073 /nfs/dbraw/zinc/55/30/73/358553073.db2.gz MIYJGUIQCGQKFT-CYBMUJFWSA-N 0 1 256.265 0.747 20 30 CCEDMN COCCN[C@@H]1CC(=O)N(c2ccc(C#N)cc2)C1=O ZINC000567910601 358611947 /nfs/dbraw/zinc/61/19/47/358611947.db2.gz DBMBMMUERSVDKS-GFCCVEGCSA-N 0 1 273.292 0.426 20 30 CCEDMN N#Cc1ccc(NC(=O)CCN2CCn3nncc3C2)cc1 ZINC000571177466 358919162 /nfs/dbraw/zinc/91/91/62/358919162.db2.gz ZVQDMBBJRHCSAK-UHFFFAOYSA-N 0 1 296.334 0.994 20 30 CCEDMN Cc1nc(NCCN2C[C@H]3CC[C@@H](C2)O3)ccc1C#N ZINC000582401512 359142073 /nfs/dbraw/zinc/14/20/73/359142073.db2.gz SNFPLGKGJSXTSI-OKILXGFUSA-N 0 1 272.352 0.959 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(C(C)(C)CO)CC2)n1 ZINC000587979228 359303227 /nfs/dbraw/zinc/30/32/27/359303227.db2.gz DFTGOGGZUGJGTJ-UHFFFAOYSA-N 0 1 275.356 0.550 20 30 CCEDMN Cc1cc(CNS(=O)(=O)c2cncc(C#N)c2)n[nH]1 ZINC000589279225 359376605 /nfs/dbraw/zinc/37/66/05/359376605.db2.gz WECQCNQMMALMEU-UHFFFAOYSA-N 0 1 277.309 0.463 20 30 CCEDMN CN(C)c1cc(C#N)cc(C(=O)NCc2c[nH]nn2)c1 ZINC000590290440 359434770 /nfs/dbraw/zinc/43/47/70/359434770.db2.gz PFGUQAVGPUPTRA-UHFFFAOYSA-N 0 1 270.296 0.672 20 30 CCEDMN N#CCc1cccc(C(=O)Nc2n[nH]c3ncnn23)c1 ZINC000590379617 359447022 /nfs/dbraw/zinc/44/70/22/359447022.db2.gz LPTFVRIKQKDLGG-UHFFFAOYSA-N 0 1 267.252 0.771 20 30 CCEDMN CN1CCO[C@H]2CN(c3cccc(C#N)c3[N+](=O)[O-])C[C@@H]21 ZINC000590694474 359508445 /nfs/dbraw/zinc/50/84/45/359508445.db2.gz PMZRAVGWGCLCJK-STQMWFEESA-N 0 1 288.307 0.986 20 30 CCEDMN CC[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@H]1CCCO1 ZINC000611127428 363662401 /nfs/dbraw/zinc/66/24/01/363662401.db2.gz DPVOMLQDILNVQY-GHMZBOCLSA-N 0 1 277.328 0.793 20 30 CCEDMN C[C@H]1CCC[C@]1(O)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000590819467 359526584 /nfs/dbraw/zinc/52/65/84/359526584.db2.gz KMYKZNDQSGVWEU-ZANVPECISA-N 0 1 277.328 0.386 20 30 CCEDMN N#Cc1cncnc1N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000563039673 291184082 /nfs/dbraw/zinc/18/40/82/291184082.db2.gz ZQRZMWGZHOOJQJ-MRVPVSSYSA-N 0 1 272.268 0.821 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1ccc(F)cn1 ZINC000591754792 359653965 /nfs/dbraw/zinc/65/39/65/359653965.db2.gz YIIUKUSPSCUIOZ-ZDUSSCGKSA-N 0 1 266.320 0.517 20 30 CCEDMN CCn1ccnc1[C@@H](C)NC[C@H](O)CN(C)CCC#N ZINC000591769948 359655955 /nfs/dbraw/zinc/65/59/55/359655955.db2.gz YDVGHLTVBKTPDQ-OLZOCXBDSA-N 0 1 279.388 0.760 20 30 CCEDMN C#CCSCCNC(=O)NCc1n[nH]c(C2CC2)n1 ZINC000297215910 200117508 /nfs/dbraw/zinc/11/75/08/200117508.db2.gz LNTWBTGHLRIATE-UHFFFAOYSA-N 0 1 279.369 0.848 20 30 CCEDMN CCO[C@@H]1COC[C@H]1NCc1cnc2c(C#N)cnn2c1 ZINC000563150792 291199637 /nfs/dbraw/zinc/19/96/37/291199637.db2.gz PBCLFQWJOYQZTP-CHWSQXEVSA-N 0 1 287.323 0.494 20 30 CCEDMN N#CC[C@@]1(O)CCN(CCc2nnnn2-c2ccccc2)C1 ZINC000592147916 359767107 /nfs/dbraw/zinc/76/71/07/359767107.db2.gz TXVMWMBJEDYXRF-OAHLLOKOSA-N 0 1 298.350 0.555 20 30 CCEDMN N#CC[C@@]1(O)CCN(CCO[C@@H]2CCCCO2)C1 ZINC000592150144 359768664 /nfs/dbraw/zinc/76/86/64/359768664.db2.gz AFDPFORGNRJRQS-CHWSQXEVSA-N 0 1 254.330 0.880 20 30 CCEDMN CCc1c(C(=O)N=c2[nH]n(C)c(C)c2C#N)cnn1C ZINC000592647686 359908049 /nfs/dbraw/zinc/90/80/49/359908049.db2.gz UFBPWKDRQPUXHL-UHFFFAOYSA-N 0 1 272.312 0.570 20 30 CCEDMN CCn1nc(C)cc1C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592645266 359908255 /nfs/dbraw/zinc/90/82/55/359908255.db2.gz FXBMKDQOWGZNAM-UHFFFAOYSA-N 0 1 272.312 0.799 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2C[C@@]23CCOC3)[nH]n1C ZINC000592653119 359909053 /nfs/dbraw/zinc/90/90/53/359909053.db2.gz KRBPZHQYYNEJEG-GXFFZTMASA-N 0 1 260.297 0.387 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N1CC[C@@](O)(CC#N)C1 ZINC000592843511 359951220 /nfs/dbraw/zinc/95/12/20/359951220.db2.gz QFTAJQZMVZBKGC-OCCSQVGLSA-N 0 1 265.357 0.738 20 30 CCEDMN CNS(=O)(=O)C[C@H]1CCCN1[C@@H](C)CCC#N ZINC000593017054 360015019 /nfs/dbraw/zinc/01/50/19/360015019.db2.gz CISTTWWVJGECOW-WDEREUQCSA-N 0 1 259.375 0.692 20 30 CCEDMN CN1CCN(CC(=O)NCC#N)[C@H](Cc2ccccc2)C1 ZINC000593088552 360032998 /nfs/dbraw/zinc/03/29/98/360032998.db2.gz GVROSTYEJVFYIH-OAHLLOKOSA-N 0 1 286.379 0.485 20 30 CCEDMN Cc1cc(N2CCN(Cc3nnc[nH]3)CC2)c(C#N)cn1 ZINC000593508200 360102659 /nfs/dbraw/zinc/10/26/59/360102659.db2.gz PFNYQLOYBBXEAF-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCN([C@H]2CCNC(=O)CC2)CC1 ZINC000593738276 360144279 /nfs/dbraw/zinc/14/42/79/360144279.db2.gz AHIURYLDDGWVMR-QWHCGFSZSA-N 0 1 292.383 0.349 20 30 CCEDMN CC[C@H](C#N)C(=O)N=c1cc(-c2nccn2C)[nH][nH]1 ZINC000593894612 360197432 /nfs/dbraw/zinc/19/74/32/360197432.db2.gz OZVFWMNAVYWFLD-MRVPVSSYSA-N 0 1 258.285 0.720 20 30 CCEDMN COC[C@@]1(CO)CCN(Cc2ccc(C#N)cn2)C1 ZINC000563365254 291218012 /nfs/dbraw/zinc/21/80/12/291218012.db2.gz BPMRLFNLAPSNEV-AWEZNQCLSA-N 0 1 261.325 0.784 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C[C@@H](C)O)C1CC1 ZINC000594056782 360243435 /nfs/dbraw/zinc/24/34/35/360243435.db2.gz XZXMJNUXXBUCDX-BXUZGUMPSA-N 0 1 267.373 0.886 20 30 CCEDMN Cn1ccc(-c2cc(C(=O)N3CC[C@](O)(CC#N)C3)n[nH]2)c1 ZINC000594373854 360286897 /nfs/dbraw/zinc/28/68/97/360286897.db2.gz IVDJPRRBCNDSLA-OAHLLOKOSA-N 0 1 299.334 0.906 20 30 CCEDMN COCC1(C)CCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000611358127 363711261 /nfs/dbraw/zinc/71/12/61/363711261.db2.gz JTIDYAPFTIPBFB-UHFFFAOYSA-N 0 1 291.355 0.994 20 30 CCEDMN Cn1nnc2c1CC[C@H](NCc1nc(C#N)cs1)C2 ZINC000594517520 360324690 /nfs/dbraw/zinc/32/46/90/360324690.db2.gz WWBZAGLXXZQDDK-QMMMGPOBSA-N 0 1 274.353 0.790 20 30 CCEDMN C=CCOCCNS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594770428 360379519 /nfs/dbraw/zinc/37/95/19/360379519.db2.gz GQRHVUGYZKSDDR-UHFFFAOYSA-N 0 1 287.297 0.471 20 30 CCEDMN N#CCCCNS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594774124 360380509 /nfs/dbraw/zinc/38/05/09/360380509.db2.gz XUHBYRLHESJXBR-UHFFFAOYSA-N 0 1 270.270 0.572 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@@H]2CCC[NH2+]CC2)o1 ZINC000595107084 360436649 /nfs/dbraw/zinc/43/66/49/360436649.db2.gz DWLBAPSLFFBCFC-SECBINFHSA-N 0 1 269.326 0.572 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]C2CCC([NH3+])CC2)o1 ZINC000595104015 360436921 /nfs/dbraw/zinc/43/69/21/360436921.db2.gz BDUVKPLFZKXYRH-UHFFFAOYSA-N 0 1 269.326 0.699 20 30 CCEDMN CCN(C(=O)C(=O)N1CC[C@@](C)(C#N)C1)[C@H]1CCN(C)C1 ZINC000595196913 360449613 /nfs/dbraw/zinc/44/96/13/360449613.db2.gz ODCYDJHBVVPAFN-WFASDCNBSA-N 0 1 292.383 0.301 20 30 CCEDMN CNS(=O)(=O)[C@H]1CCN(CCc2ccc(C#N)cc2)C1 ZINC000595394359 360517965 /nfs/dbraw/zinc/51/79/65/360517965.db2.gz HYLJWNICHHBCQJ-AWEZNQCLSA-N 0 1 293.392 0.724 20 30 CCEDMN N#CC1(NC(=O)CN(CCOCCO)C2CCC2)CCC1 ZINC000595593892 360590610 /nfs/dbraw/zinc/59/06/10/360590610.db2.gz BKTUMOAKHMZKSM-UHFFFAOYSA-N 0 1 295.383 0.412 20 30 CCEDMN CO[C@]1(CO)CCCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000595661783 360609436 /nfs/dbraw/zinc/60/94/36/360609436.db2.gz LQNXXKSTUWLVRD-GXTWGEPZSA-N 0 1 283.372 0.220 20 30 CCEDMN Cc1ccc(C#N)nc1N1CCN(C[C@H](C)O)CC1 ZINC000596110954 360797803 /nfs/dbraw/zinc/79/78/03/360797803.db2.gz SUGHMHDMBGOMPK-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN C[N@H+]1CC[C@@](O)(CN=c2[n-]c(C#N)c(Cl)s2)C1 ZINC000596196094 360828905 /nfs/dbraw/zinc/82/89/05/360828905.db2.gz ISCVCPDUXWURCY-SNVBAGLBSA-N 0 1 272.761 0.569 20 30 CCEDMN C[C@H](O)CN1CCN(c2cc(C#N)cnn2)[C@H](C)C1 ZINC000596200660 360832226 /nfs/dbraw/zinc/83/22/26/360832226.db2.gz QDBCOOMHUYTVIU-MNOVXSKESA-N 0 1 261.329 0.240 20 30 CCEDMN Cc1ccc(C#N)nc1N1CCN(CCO)[C@@H](C)C1 ZINC000596207153 360835140 /nfs/dbraw/zinc/83/51/40/360835140.db2.gz CKKIZJRGDYCDTB-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)NCCCc2nc[nH]n2)C1 ZINC000596637536 360934060 /nfs/dbraw/zinc/93/40/60/360934060.db2.gz UCLVNQAZYFWZAV-VHSXEESVSA-N 0 1 262.317 0.729 20 30 CCEDMN CON1CCC(CC(=O)NC2(C#N)CCN(C)CC2)CC1 ZINC000597165659 361021008 /nfs/dbraw/zinc/02/10/08/361021008.db2.gz LCMPCLLBUSLWSS-UHFFFAOYSA-N 0 1 294.399 0.754 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cc(O)cc(=O)[nH]2)CC1 ZINC000597168009 361021977 /nfs/dbraw/zinc/02/19/77/361021977.db2.gz WQEWPVZIDOAAIB-UHFFFAOYSA-N 0 1 276.296 0.211 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CCN(CC#N)CC1)C2 ZINC000597275230 361049753 /nfs/dbraw/zinc/04/97/53/361049753.db2.gz TTWOOJXXPNWOQW-GFCCVEGCSA-N 0 1 287.367 0.491 20 30 CCEDMN CN1CCN(C[C@@H](O)CC(C)(C)C#N)C[C@H]1c1ncc[nH]1 ZINC000598610141 361459399 /nfs/dbraw/zinc/45/93/99/361459399.db2.gz DIOXFIDUFGFFNF-STQMWFEESA-N 0 1 291.399 0.999 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccnc(C#N)n2)[C@H](C)C1 ZINC000564479062 291282891 /nfs/dbraw/zinc/28/28/91/291282891.db2.gz KWWMSMSFPDGNGS-GHMZBOCLSA-N 0 1 261.329 0.240 20 30 CCEDMN CCC1(NC(=O)N=c2[nH]n(C)cc2C#N)CCOCC1 ZINC000611944456 363810548 /nfs/dbraw/zinc/81/05/48/363810548.db2.gz QKYUTZIFAGXGDM-UHFFFAOYSA-N 0 1 277.328 0.794 20 30 CCEDMN CN(C)c1ccnc(NC(=O)Cn2cnc(C#N)n2)c1 ZINC000612347217 363889619 /nfs/dbraw/zinc/88/96/19/363889619.db2.gz VSRQOUJRDONVIE-UHFFFAOYSA-N 0 1 271.284 0.249 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000613252117 364180458 /nfs/dbraw/zinc/18/04/58/364180458.db2.gz BBJKQDUDPFLKRO-UWVGGRQHSA-N 0 1 264.329 0.525 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC(O)(C(F)F)CC2)[nH]1 ZINC000614688617 364698939 /nfs/dbraw/zinc/69/89/39/364698939.db2.gz VHPTZUYOJSMWQR-UHFFFAOYSA-N 0 1 299.281 0.338 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000615089142 364844358 /nfs/dbraw/zinc/84/43/58/364844358.db2.gz WRCHVXBVPZTQLW-DGCLKSJQSA-N 0 1 268.357 0.245 20 30 CCEDMN CCN1CCN([C@@H](C)C(=O)NC2(C#N)CCC2)C[C@H]1C ZINC000615793561 365091437 /nfs/dbraw/zinc/09/14/37/365091437.db2.gz PWKVCLLPJGHTNR-OLZOCXBDSA-N 0 1 278.400 0.963 20 30 CCEDMN N#Cc1cccc(CNC2(C(N)=O)CCOCC2)c1 ZINC000616141689 365188455 /nfs/dbraw/zinc/18/84/55/365188455.db2.gz IKOBSSYQIVKZHJ-UHFFFAOYSA-N 0 1 259.309 0.682 20 30 CCEDMN C[C@H]1C[NH2+]CC[C@H]1[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000565247324 291366306 /nfs/dbraw/zinc/36/63/06/291366306.db2.gz UYQFPOXGXUQHMR-WCBMZHEXSA-N 0 1 269.326 0.428 20 30 CCEDMN N#Cc1cccc(S(=O)(=O)CCN[C@@H]2CC[C@H]2O)c1 ZINC000566232162 291380602 /nfs/dbraw/zinc/38/06/02/291380602.db2.gz ZFNFTENIJXCBIU-CHWSQXEVSA-N 0 1 280.349 0.445 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC2(c3nnc[nH]3)CCC2)c1 ZINC000276481580 193350215 /nfs/dbraw/zinc/35/02/15/193350215.db2.gz LPDFHQNBXKJYDV-UHFFFAOYSA-N 0 1 256.269 0.814 20 30 CCEDMN C=CC[C@H](CO)CNCc1cn(C[C@H]2CCOC2)nn1 ZINC000631108721 370386848 /nfs/dbraw/zinc/38/68/48/370386848.db2.gz ZTLYROLOHYYGRJ-QWHCGFSZSA-N 0 1 280.372 0.589 20 30 CCEDMN C=CCOCCCNCc1cn(C[C@@H]2CCOC2)nn1 ZINC000631173838 370410944 /nfs/dbraw/zinc/41/09/44/370410944.db2.gz FWTYTDICYDKQHU-ZDUSSCGKSA-N 0 1 280.372 0.997 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC([C@H](C)NC(C)=O)CC1 ZINC000491037257 261301376 /nfs/dbraw/zinc/30/13/76/261301376.db2.gz CPTAQDBPSMJUCQ-NWDGAFQWSA-N 0 1 279.384 0.361 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(NC(C)=O)CC2)C1=O ZINC000685000592 545781669 /nfs/dbraw/zinc/78/16/69/545781669.db2.gz UMRIMPFSYMCFRT-CYBMUJFWSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](O)C[C@](C)(O)C2CC2)C1=O ZINC000639624200 375868836 /nfs/dbraw/zinc/86/88/36/375868836.db2.gz YFNKYUFYQSSSAR-YCPHGPKFSA-N 0 1 296.411 0.617 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639823436 376033756 /nfs/dbraw/zinc/03/37/56/376033756.db2.gz YMAUFHSWWGXSEQ-UWVGGRQHSA-N 0 1 252.318 0.599 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCN(CCc2cnccn2)CC1 ZINC000640100107 376236334 /nfs/dbraw/zinc/23/63/34/376236334.db2.gz VTDFABJRHFLDLI-OAHLLOKOSA-N 0 1 276.384 0.574 20 30 CCEDMN CC#CCNCc1cn(Cc2nnc(CC)[nH]2)nn1 ZINC000641158716 376824095 /nfs/dbraw/zinc/82/40/95/376824095.db2.gz UFHLCTXUAUJSFE-UHFFFAOYSA-N 0 1 259.317 0.120 20 30 CCEDMN C=CCCn1cc(Cn2cc(C(C)(C)NC)nn2)nn1 ZINC000641181730 376854026 /nfs/dbraw/zinc/85/40/26/376854026.db2.gz FWHUBRQILQOHPE-UHFFFAOYSA-N 0 1 275.360 0.949 20 30 CCEDMN C=CCC[C@H](O)Cn1cc([C@H](N)[C@H]2CCCO2)nn1 ZINC000641212656 376892751 /nfs/dbraw/zinc/89/27/51/376892751.db2.gz OCSMDWWEBXOFEY-UHTWSYAYSA-N 0 1 266.345 0.784 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(CC)[C@@H](C)C2)C1=O ZINC000685003900 545785304 /nfs/dbraw/zinc/78/53/04/545785304.db2.gz RBJKOYUFASPTBT-QWHCGFSZSA-N 0 1 251.374 0.799 20 30 CCEDMN C=CCOCCNC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000642749900 377624462 /nfs/dbraw/zinc/62/44/62/377624462.db2.gz OBYASVJGGPAGJF-QWHCGFSZSA-N 0 1 285.388 0.286 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)NCC[N@H+](C)C1CC1 ZINC000285211482 388788734 /nfs/dbraw/zinc/78/87/34/388788734.db2.gz WJSBQODEIJVULP-UHFFFAOYSA-N 0 1 265.357 0.397 20 30 CCEDMN C=C[C@H](CO)NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000291097289 388917372 /nfs/dbraw/zinc/91/73/72/388917372.db2.gz SZIVQLFGPYGCAJ-ZJUUUORDSA-N 0 1 250.302 0.633 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCC)CC(=O)NC)CC1 ZINC000049377070 388919094 /nfs/dbraw/zinc/91/90/94/388919094.db2.gz ZWTLJAOMAIUUEM-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](C(=O)NCCCC)C1 ZINC000051058965 388926066 /nfs/dbraw/zinc/92/60/66/388926066.db2.gz MTPDOWIMAMFTFU-KBPBESRZSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@](CO)(c2ccccc2)C1 ZINC000292005305 388944091 /nfs/dbraw/zinc/94/40/91/388944091.db2.gz XNLOCDBUTFECJL-RHSMWYFYSA-N 0 1 286.375 0.760 20 30 CCEDMN C=CCNC(=O)NCc1cccnc1N1CCN(C)CC1 ZINC000066986268 388946062 /nfs/dbraw/zinc/94/60/62/388946062.db2.gz HYHXJETXJMUWNL-UHFFFAOYSA-N 0 1 289.383 0.819 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cccc([N+](=O)[O-])c1[O-] ZINC000293932213 388990235 /nfs/dbraw/zinc/99/02/35/388990235.db2.gz HYRLGSQUXKHFBF-UHFFFAOYSA-N 0 1 291.307 0.985 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@H](C(N)=O)C(C)(C)C ZINC000081625486 389000868 /nfs/dbraw/zinc/00/08/68/389000868.db2.gz BQYGVAWLASUPIC-GFCCVEGCSA-N 0 1 269.389 0.901 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)N[C@@H]1CCN(C)C1 ZINC000336919291 389032036 /nfs/dbraw/zinc/03/20/36/389032036.db2.gz PXQCYOZZSSNOPF-GHMZBOCLSA-N 0 1 274.390 0.006 20 30 CCEDMN C#CCN(C)C(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000191520962 389012321 /nfs/dbraw/zinc/01/23/21/389012321.db2.gz IBQGFOJZRAJRPW-UHFFFAOYSA-N 0 1 287.344 0.777 20 30 CCEDMN C=C(C)COCCNC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000354335335 389020773 /nfs/dbraw/zinc/02/07/73/389020773.db2.gz HOBXNYPGBXAOOG-ZIAGYGMSSA-N 0 1 299.415 0.676 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCN2CCOCC2)C1=O ZINC000337141765 389046485 /nfs/dbraw/zinc/04/64/85/389046485.db2.gz SMLDSNVMNJACAJ-CYBMUJFWSA-N 0 1 267.373 0.037 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C[C@H](C)O)C[C@H]2C)C1=O ZINC000337438096 389061270 /nfs/dbraw/zinc/06/12/70/389061270.db2.gz YAAPVLLFCJKJPZ-RDBSUJKOSA-N 0 1 281.400 0.160 20 30 CCEDMN Cc1nc(CN2CCN(c3cccc(C#N)n3)CC2)n[nH]1 ZINC000302051193 389062892 /nfs/dbraw/zinc/06/28/92/389062892.db2.gz NYNQNSYSVDGUNG-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)/N=C/c1ccc(O)c(O)c1O ZINC000106586430 389093327 /nfs/dbraw/zinc/09/33/27/389093327.db2.gz ASBOJXJEJAXDFH-UJFYRASASA-N 0 1 293.367 0.858 20 30 CCEDMN Cc1c(C#N)cccc1C(=O)NC1(c2nn[nH]n2)CC1 ZINC000338228388 389094707 /nfs/dbraw/zinc/09/47/07/389094707.db2.gz UIFJOALRUNMTDF-UHFFFAOYSA-N 0 1 268.280 0.799 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2C(=O)N(C)C)CC1 ZINC000299374689 389041515 /nfs/dbraw/zinc/04/15/15/389041515.db2.gz XDXLRJKKSIQCAO-AWEZNQCLSA-N 0 1 291.395 0.411 20 30 CCEDMN C[C@H]1c2nncn2CCN1C(=O)NCCC1(O)CCC1 ZINC000328881493 389143510 /nfs/dbraw/zinc/14/35/10/389143510.db2.gz MHFZTNZWIQXHJD-JTQLQIEISA-N 0 1 279.344 0.874 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+](C)CCC1CCOCC1 ZINC000120560776 389143890 /nfs/dbraw/zinc/14/38/90/389143890.db2.gz DANCQESUVWNUNN-UHFFFAOYSA-N 0 1 283.372 0.747 20 30 CCEDMN C=CC1CCN(CC(=O)NC(=O)N[C@H](C)COC)CC1 ZINC000111258271 389103596 /nfs/dbraw/zinc/10/35/96/389103596.db2.gz YDOGYBLMMCJPPL-LLVKDONJSA-N 0 1 283.372 0.745 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N(C)CCn1cccn1 ZINC000111281930 389103780 /nfs/dbraw/zinc/10/37/80/389103780.db2.gz BEZVYTPLNQLOKD-GFCCVEGCSA-N 0 1 263.345 0.575 20 30 CCEDMN C=CCOCCN1CC[C@@H](S(=O)(=O)NC(C)C)C1 ZINC000339042472 389122055 /nfs/dbraw/zinc/12/20/55/389122055.db2.gz TVLFTUFNIUWDSZ-GFCCVEGCSA-N 0 1 276.402 0.591 20 30 CCEDMN C[C@@H](CO)[N@H+]1CCCN(Cc2nc(C#N)cs2)CC1 ZINC000356390785 389123800 /nfs/dbraw/zinc/12/38/00/389123800.db2.gz JKGCJEKDRGUNJG-NSHDSACASA-N 0 1 280.397 0.903 20 30 CCEDMN C[C@@H](CO)N1CCCN(Cc2nc(C#N)cs2)CC1 ZINC000356390785 389123803 /nfs/dbraw/zinc/12/38/03/389123803.db2.gz JKGCJEKDRGUNJG-NSHDSACASA-N 0 1 280.397 0.903 20 30 CCEDMN C=C(C)CN(C)C(=O)[C@H](Cc1cnc[nH]1)NC(C)=O ZINC000339342915 389130285 /nfs/dbraw/zinc/13/02/85/389130285.db2.gz HKVQPPZQEYMZJB-LBPRGKRZSA-N 0 1 264.329 0.491 20 30 CCEDMN COCCn1nnc2c1CCN(CCCSCC#N)C2 ZINC000339306411 389130371 /nfs/dbraw/zinc/13/03/71/389130371.db2.gz TXTBVSZJZOFRDG-UHFFFAOYSA-N 0 1 295.412 0.929 20 30 CCEDMN C[C@H](CN1CCOCC1)NC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000328745742 389135795 /nfs/dbraw/zinc/13/57/95/389135795.db2.gz AHBSZVTXDINCJY-GHMZBOCLSA-N 0 1 293.371 0.587 20 30 CCEDMN C[C@H](CN1CCOCC1)NC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000328745742 389135798 /nfs/dbraw/zinc/13/57/98/389135798.db2.gz AHBSZVTXDINCJY-GHMZBOCLSA-N 0 1 293.371 0.587 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@](CO)(C(C)C)C1 ZINC000339701376 389137823 /nfs/dbraw/zinc/13/78/23/389137823.db2.gz GVBIKNQSRUPAQL-OAHLLOKOSA-N 0 1 297.399 0.729 20 30 CCEDMN Cn1nc2c(cc1=O)CN([C@@H]1CC[C@H](C#N)C1)CC2 ZINC000328780922 389137835 /nfs/dbraw/zinc/13/78/35/389137835.db2.gz UPPJMBZIXNBLKV-CMPLNLGQSA-N 0 1 258.325 0.831 20 30 CCEDMN CCn1nncc1C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000328817547 389139683 /nfs/dbraw/zinc/13/96/83/389139683.db2.gz HJPNIXKYOKMHAK-LLVKDONJSA-N 0 1 280.376 0.239 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCCC2(O)CCC2)C1 ZINC000328846876 389140743 /nfs/dbraw/zinc/14/07/43/389140743.db2.gz ANOIESHLLUYLLM-LBPRGKRZSA-N 0 1 284.404 0.041 20 30 CCEDMN CNC(=O)c1cccnc1NC[C@H]1CN(C)CCN1C ZINC000329635871 389180255 /nfs/dbraw/zinc/18/02/55/389180255.db2.gz USHLUMMKRFEPQB-NSHDSACASA-N 0 1 277.372 0.674 20 30 CCEDMN COc1ccc(C2(NCC(=O)NCC#N)CC2)cc1 ZINC000341682946 389190379 /nfs/dbraw/zinc/19/03/79/389190379.db2.gz JZCJVKAMXUXWDO-UHFFFAOYSA-N 0 1 259.309 0.914 20 30 CCEDMN C[C@@H](NC(=O)Nc1ncn(C(C)(C)C)n1)c1nnc[nH]1 ZINC000329758839 389197569 /nfs/dbraw/zinc/19/75/69/389197569.db2.gz ODNIKAQIKCIWRA-SSDOTTSWSA-N 0 1 278.320 0.660 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCN1C(=O)CCCC1=O ZINC000329791427 389201587 /nfs/dbraw/zinc/20/15/87/389201587.db2.gz DOHLIIOXPSUSTM-SNVBAGLBSA-N 0 1 267.329 0.576 20 30 CCEDMN CN1CCC[C@@H](NC(=O)c2cccn3c(O)nnc23)C1=O ZINC000329346975 389159133 /nfs/dbraw/zinc/15/91/33/389159133.db2.gz RPSOFMVHDBYGEQ-SECBINFHSA-N 0 1 289.295 0.360 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CCc1ccccn1 ZINC000124488838 389161592 /nfs/dbraw/zinc/16/15/92/389161592.db2.gz BOTNAVVQSLVLKJ-GFCCVEGCSA-N 0 1 290.367 0.956 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@](C)(O)C1 ZINC000124556856 389161755 /nfs/dbraw/zinc/16/17/55/389161755.db2.gz ZXJGFRRACZOPGG-ZDUSSCGKSA-N 0 1 253.346 0.595 20 30 CCEDMN C[C@@H](C[S@](C)=O)[N@@H+](C)CCC(=O)N(C)CCC#N ZINC000126787407 389171655 /nfs/dbraw/zinc/17/16/55/389171655.db2.gz XEJOYDLAOJOPCY-BBATYDOGSA-N 0 1 273.402 0.447 20 30 CCEDMN CNC(=O)[C@@H]1CCCN(CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000247515152 389240878 /nfs/dbraw/zinc/24/08/78/389240878.db2.gz KDLXUTLDFOUYOB-IUODEOHRSA-N 0 1 294.399 0.499 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC[C@](O)(C(N)=O)C1 ZINC000330570753 389242500 /nfs/dbraw/zinc/24/25/00/389242500.db2.gz ORWGAMYBQWKWKN-ZWNOBZJWSA-N 0 1 282.344 0.109 20 30 CCEDMN CC1=CCN(CCNC(=O)C(=O)NCCCCC#N)CC1 ZINC000343144037 389258702 /nfs/dbraw/zinc/25/87/02/389258702.db2.gz RGEMACUVOWDZII-UHFFFAOYSA-N 0 1 292.383 0.565 20 30 CCEDMN CN1CC[C@@H]2CCN(C(=O)C(=O)NCCCCC#N)[C@H]2C1 ZINC000343312482 389264531 /nfs/dbraw/zinc/26/45/31/389264531.db2.gz RVKJPMMYZPFSKL-OLZOCXBDSA-N 0 1 292.383 0.349 20 30 CCEDMN N#CCNC(=O)CN(C[C@H]1CCCO1)[C@H]1CCSC1 ZINC000245804683 389218596 /nfs/dbraw/zinc/21/85/96/389218596.db2.gz BICYFHGARZKWFG-NWDGAFQWSA-N 0 1 283.397 0.613 20 30 CCEDMN CCN(CC(=O)N(CCC#N)CCC#N)[C@@H]1CCOC1 ZINC000361585854 389221130 /nfs/dbraw/zinc/22/11/30/389221130.db2.gz FRMCUVUIGLCRLG-CYBMUJFWSA-N 0 1 278.356 0.753 20 30 CCEDMN C=CCNC(=O)CN1CCC(OC[C@@H]2CCOC2)CC1 ZINC000132170909 389221134 /nfs/dbraw/zinc/22/11/34/389221134.db2.gz WAUTXOFVODCBBC-CYBMUJFWSA-N 0 1 282.384 0.806 20 30 CCEDMN [O-]C(=[NH+]CCCn1ccnc1)N1CCC[C@H](CO)C1 ZINC000330442466 389230433 /nfs/dbraw/zinc/23/04/33/389230433.db2.gz FHLTZISOWXNVTG-LBPRGKRZSA-N 0 1 266.345 0.892 20 30 CCEDMN C=C[C@@H](C)NC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000362378525 389234758 /nfs/dbraw/zinc/23/47/58/389234758.db2.gz GIYSHFCIWVLXNG-MNOVXSKESA-N 0 1 268.361 0.200 20 30 CCEDMN CNC(=O)N[C@H]1CCN(CCn2cc(C)cn2)C1 ZINC000330540684 389239342 /nfs/dbraw/zinc/23/93/42/389239342.db2.gz ROVSSVIFZAPEKX-NSHDSACASA-N 0 1 251.334 0.399 20 30 CCEDMN CCN1CCN([C@@H]2CCN(C(=O)NCCCC#N)C2)CC1 ZINC000345822865 389315869 /nfs/dbraw/zinc/31/58/69/389315869.db2.gz YEOROAQUXUDCOO-CQSZACIVSA-N 0 1 293.415 0.712 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000345915100 389318118 /nfs/dbraw/zinc/31/81/18/389318118.db2.gz WMVJJZLTLYKFFU-ILXRZTDVSA-N 0 1 295.427 0.720 20 30 CCEDMN C#CCN(CC(=O)NC(=O)NCC)C1CCCC1 ZINC000155910287 389322344 /nfs/dbraw/zinc/32/23/44/389322344.db2.gz UXBZZGCQFBPXEC-UHFFFAOYSA-N 0 1 251.330 0.710 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000346320416 389326846 /nfs/dbraw/zinc/32/68/46/389326846.db2.gz ZQOLIZHZEJSFBD-NEPJUHHUSA-N 0 1 251.330 0.327 20 30 CCEDMN C=CCCC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000264549241 389335585 /nfs/dbraw/zinc/33/55/85/389335585.db2.gz OQZHNIXSWINDNC-LLVKDONJSA-N 0 1 253.346 0.409 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](c2nccn2C)C1 ZINC000365652182 389283335 /nfs/dbraw/zinc/28/33/35/389283335.db2.gz ZIWVKQQTNQNIOM-GFCCVEGCSA-N 0 1 262.357 0.902 20 30 CCEDMN CCCCCCCN1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000152595411 389291994 /nfs/dbraw/zinc/29/19/94/389291994.db2.gz OIXGCHPXAMWUDU-LBPRGKRZSA-N 0 1 267.373 0.599 20 30 CCEDMN CC[C@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@@H]1O ZINC000346971732 389342195 /nfs/dbraw/zinc/34/21/95/389342195.db2.gz NOHVULXRPRQIIS-QWRGUYRKSA-N 0 1 251.330 0.252 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCC2(C#N)CCC2)C[C@@H]1C ZINC000332439664 389351766 /nfs/dbraw/zinc/35/17/66/389351766.db2.gz DKDKSNXOBBSNCX-QWHCGFSZSA-N 0 1 294.399 0.777 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(CC)CCOC ZINC000270806961 389435705 /nfs/dbraw/zinc/43/57/05/389435705.db2.gz VRDDOOCDIJMONK-SNVBAGLBSA-N 0 1 257.334 0.355 20 30 CCEDMN C=C[C@H]1CCCCN1CC(=O)N1CCN(C(C)=O)CC1 ZINC000335217103 389542722 /nfs/dbraw/zinc/54/27/22/389542722.db2.gz DMROLQPVUOAHJK-AWEZNQCLSA-N 0 1 279.384 0.718 20 30 CCEDMN C#CCCCC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000277493673 389556275 /nfs/dbraw/zinc/55/62/75/389556275.db2.gz LRRWUYCCNPAAFN-GFCCVEGCSA-N 0 1 265.357 0.246 20 30 CCEDMN CN(CCN(C)S(=O)(=O)N(C)CCC#N)C1CC1 ZINC000351565829 389616091 /nfs/dbraw/zinc/61/60/91/389616091.db2.gz BXGTYRMOVLURIC-UHFFFAOYSA-N 0 1 274.390 0.103 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCC(=O)N(C)C)C1=O ZINC000281525298 389618445 /nfs/dbraw/zinc/61/84/45/389618445.db2.gz ZPOQCZSUAYTVLA-LLVKDONJSA-N 0 1 253.346 0.183 20 30 CCEDMN C=CCn1cc(CNC(=O)CN(C)[C@H]2CCSC2)nn1 ZINC000424908552 389635392 /nfs/dbraw/zinc/63/53/92/389635392.db2.gz PJOFWWRIZFGWRU-LBPRGKRZSA-N 0 1 295.412 0.518 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000425187994 389640887 /nfs/dbraw/zinc/64/08/87/389640887.db2.gz HNJYZKQVIBCYQE-NWDGAFQWSA-N 0 1 273.402 0.940 20 30 CCEDMN C=CCCC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000413921163 389581894 /nfs/dbraw/zinc/58/18/94/389581894.db2.gz OCKYFEPVDRLHDV-LLVKDONJSA-N 0 1 254.330 0.516 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1C[C@H](O)C(C)(C)C1 ZINC000279792047 389595200 /nfs/dbraw/zinc/59/52/00/389595200.db2.gz OKXKKPDELGHLCB-ZJUUUORDSA-N 0 1 269.345 0.089 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000352255877 389779213 /nfs/dbraw/zinc/77/92/13/389779213.db2.gz CJMQATXLGIJTSE-RISCZKNCSA-N 0 1 280.372 0.382 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1cc(C#N)c[nH]1)c1nn[nH]n1 ZINC000352472392 389816105 /nfs/dbraw/zinc/81/61/05/389816105.db2.gz CTXHMYDUDCKYHC-ZETCQYMHSA-N 0 1 259.273 0.275 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H]1CC(=O)OC ZINC000441146526 389824654 /nfs/dbraw/zinc/82/46/54/389824654.db2.gz YNXZMOUMMLKDGE-JTQLQIEISA-N 0 1 283.328 0.026 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CCC(C#N)CC1 ZINC000408180473 389832032 /nfs/dbraw/zinc/83/20/32/389832032.db2.gz RHKCQDAKHMAIDD-GFCCVEGCSA-N 0 1 265.357 0.717 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC000352694372 389835730 /nfs/dbraw/zinc/83/57/30/389835730.db2.gz HSHWGGJHSQUILZ-BZPMIXESSA-N 0 1 267.373 0.886 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H](N2CCOCC2)C[C@@H]1C ZINC000352896254 389840491 /nfs/dbraw/zinc/84/04/91/389840491.db2.gz ZTVXTDLRITXEPG-IHRRRGAJSA-N 0 1 281.400 0.472 20 30 CCEDMN C=CCC(CC=C)C(=O)N(C)CC(=O)N1CCNCC1 ZINC000353063181 389845494 /nfs/dbraw/zinc/84/54/94/389845494.db2.gz LCEUJEMVLUTJBA-UHFFFAOYSA-N 0 1 279.384 0.645 20 30 CCEDMN C=CCOCC(=O)N1CCN(C2CCOCC2)CC1 ZINC000353889977 389856660 /nfs/dbraw/zinc/85/66/60/389856660.db2.gz AVIVERYKYLFIFC-UHFFFAOYSA-N 0 1 268.357 0.512 20 30 CCEDMN CN1CCN(CCNC(=O)c2cscc2C#N)CC1 ZINC000432048206 389871069 /nfs/dbraw/zinc/87/10/69/389871069.db2.gz JKHRUMZHKUGVNW-UHFFFAOYSA-N 0 1 278.381 0.597 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1C[C@@H](C)NC[C@@H]1C ZINC000419139058 389887619 /nfs/dbraw/zinc/88/76/19/389887619.db2.gz RVYBKFXAZPCSEC-WOPDTQHZSA-N 0 1 267.373 0.666 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H]1CCC[N@@H+]1CCC)C(=O)OC ZINC000435945934 389967415 /nfs/dbraw/zinc/96/74/15/389967415.db2.gz WMYRECLQDXOQFM-CHWSQXEVSA-N 0 1 298.383 0.721 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H]1CCCN1CCC)C(=O)OC ZINC000435945934 389967417 /nfs/dbraw/zinc/96/74/17/389967417.db2.gz WMYRECLQDXOQFM-CHWSQXEVSA-N 0 1 298.383 0.721 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2cc(C#N)cn2C)[nH]1 ZINC000433979173 389922424 /nfs/dbraw/zinc/92/24/24/389922424.db2.gz OJHSEADTAIAFMA-UHFFFAOYSA-N 0 1 254.253 0.176 20 30 CCEDMN COC[C@H](NCC(=O)N[C@@](C)(C#N)C(C)C)[C@@H]1CCCO1 ZINC000434794153 389946797 /nfs/dbraw/zinc/94/67/97/389946797.db2.gz JYLCYTAGSANMBE-YDHLFZDLSA-N 0 1 297.399 0.824 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@H](COC)[C@@H]1CCCO1 ZINC000434798898 389947253 /nfs/dbraw/zinc/94/72/53/389947253.db2.gz MSLDVUCJMNRLMB-MCIONIFRSA-N 0 1 297.399 0.778 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N=c1[nH]n(C)cc1C#N ZINC000439078448 390014253 /nfs/dbraw/zinc/01/42/53/390014253.db2.gz NXOBPNYZEXPKSB-UHFFFAOYSA-N 0 1 255.237 0.739 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CNC(=O)CCC ZINC000490618556 390106557 /nfs/dbraw/zinc/10/65/57/390106557.db2.gz XMFGUMTZSPKPDX-GFCCVEGCSA-N 0 1 266.341 0.544 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CC(=O)N[C@@H](CC)C1 ZINC000490949729 390108912 /nfs/dbraw/zinc/10/89/12/390108912.db2.gz LXYANTNMHOQLIK-HZSPNIEDSA-N 0 1 292.379 0.932 20 30 CCEDMN C#CCN(C)CCCNC(=O)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC000491748682 390116380 /nfs/dbraw/zinc/11/63/80/390116380.db2.gz YIBYECOYBDZMOA-YNEHKIRRSA-N 0 1 262.353 0.673 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCCc2[nH]nc(N)c2C#N)[nH]1 ZINC000540453817 390219996 /nfs/dbraw/zinc/21/99/96/390219996.db2.gz IGKYQTGZRDVUHM-UHFFFAOYSA-N 0 1 287.327 0.430 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(c2nc(N)n[nH]2)CC1 ZINC000647932079 390221009 /nfs/dbraw/zinc/22/10/09/390221009.db2.gz PXGQPFBEJHYOTF-SECBINFHSA-N 0 1 278.360 0.087 20 30 CCEDMN N#CCN(CN1C[C@]2(CN3CCC2CC3)OC1=O)C1CC1 ZINC000495856521 390257424 /nfs/dbraw/zinc/25/74/24/390257424.db2.gz FSIQYTLNISUWEH-HNNXBMFYSA-N 0 1 290.367 0.848 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCN(C)C(C)(C)C1 ZINC000584418639 390343455 /nfs/dbraw/zinc/34/34/55/390343455.db2.gz HSOPFQZHBVPVRV-LBPRGKRZSA-N 0 1 278.400 0.963 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000645376310 390417385 /nfs/dbraw/zinc/41/73/85/390417385.db2.gz HOPHVFSWQFIHEN-PWSUYJOCSA-N 0 1 278.356 0.723 20 30 CCEDMN CCn1cc(C(=O)C(=O)N=c2[nH]n(C)c(C)c2C#N)cn1 ZINC000648434520 390372509 /nfs/dbraw/zinc/37/25/09/390372509.db2.gz OHLMECAXDRMIQJ-UHFFFAOYSA-N 0 1 286.295 0.060 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC1(c2nn[nH]n2)CCCC1 ZINC000564705381 390496567 /nfs/dbraw/zinc/49/65/67/390496567.db2.gz PHJPYXNATYOHNC-ZJUUUORDSA-N 0 1 277.328 0.676 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CC2CC[NH2+]CC2)o1 ZINC000566503928 390624501 /nfs/dbraw/zinc/62/45/01/390624501.db2.gz TXBLBBZSZNCJSF-UHFFFAOYSA-N 0 1 269.326 0.429 20 30 CCEDMN N#CCC1(CS(=O)(=O)N2CC[C@@H](c3nc[nH]n3)C2)CC1 ZINC000566674606 390637756 /nfs/dbraw/zinc/63/77/56/390637756.db2.gz BXHBEXFBWQWFFR-SNVBAGLBSA-N 0 1 295.368 0.618 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000567625240 390707315 /nfs/dbraw/zinc/70/73/15/390707315.db2.gz JLOSEBQFXAILNH-UHFFFAOYSA-N 0 1 298.306 0.156 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCOC[C@H]2CCCO2)C1=O ZINC000532213070 390879193 /nfs/dbraw/zinc/87/91/93/390879193.db2.gz YJJLMCXWBPDYOH-KGLIPLIRSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N(CCC)[C@@H]1CCN(C)C1 ZINC000647567503 390842010 /nfs/dbraw/zinc/84/20/10/390842010.db2.gz WPOLXZMURPPDNQ-CYBMUJFWSA-N 0 1 281.400 0.964 20 30 CCEDMN Cn1cc(CN2CCc3nn(C)c(=O)cc3C2)cc1C#N ZINC000191416269 130233845 /nfs/dbraw/zinc/23/38/45/130233845.db2.gz OPJCMDXGIWHJAE-UHFFFAOYSA-N 0 1 283.335 0.549 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000268446993 132010946 /nfs/dbraw/zinc/01/09/46/132010946.db2.gz ICKXYLPQRYOWPM-PWSUYJOCSA-N 0 1 275.356 0.430 20 30 CCEDMN Cc1nc(CCNc2nccc(C#N)c2[N+](=O)[O-])n[nH]1 ZINC000268477975 132014419 /nfs/dbraw/zinc/01/44/19/132014419.db2.gz KOXJWWBZHLFYDQ-UHFFFAOYSA-N 0 1 273.256 0.943 20 30 CCEDMN Cc1nnc(CCNc2nccc(C#N)c2[N+](=O)[O-])[nH]1 ZINC000268477975 132014420 /nfs/dbraw/zinc/01/44/20/132014420.db2.gz KOXJWWBZHLFYDQ-UHFFFAOYSA-N 0 1 273.256 0.943 20 30 CCEDMN COC[C@@H](C)NC(=S)NN=Cc1[nH]cnc1C ZINC000755526332 581644117 /nfs/dbraw/zinc/64/41/17/581644117.db2.gz NIVQIUOMNRFBCT-SSDOTTSWSA-N 0 1 255.347 0.551 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)c2cnn(C)c2C#N)n[nH]1 ZINC000356456553 144004501 /nfs/dbraw/zinc/00/45/01/144004501.db2.gz IPKDTSWLEIVJBY-QMMMGPOBSA-N 0 1 272.312 0.684 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)c2cnn(C)c2C#N)n[nH]1 ZINC000356618653 144019428 /nfs/dbraw/zinc/01/94/28/144019428.db2.gz JSWNTVIMIZIAIL-ZETCQYMHSA-N 0 1 273.300 0.463 20 30 CCEDMN C[C@@H](Oc1ccccc1C#N)C(=O)NC1(c2nn[nH]n2)CC1 ZINC000357069352 144056107 /nfs/dbraw/zinc/05/61/07/144056107.db2.gz IQVWPRLQGZPUMK-SECBINFHSA-N 0 1 298.306 0.644 20 30 CCEDMN CC#CCCN1CCN(CCOCCOC)CC1 ZINC000649896363 397681126 /nfs/dbraw/zinc/68/11/26/397681126.db2.gz KTHVXCSNXMSAIT-UHFFFAOYSA-N 0 1 254.374 0.680 20 30 CCEDMN COCC#CC[N@H+]1CC[C@H]([C@@H](O)C(F)(F)F)C1 ZINC000650204794 397704620 /nfs/dbraw/zinc/70/46/20/397704620.db2.gz HXPAIYGKVMVXJQ-VHSXEESVSA-N 0 1 251.248 0.881 20 30 CCEDMN COCC#CCN1CC[C@H]([C@@H](O)C(F)(F)F)C1 ZINC000650204794 397704621 /nfs/dbraw/zinc/70/46/21/397704621.db2.gz HXPAIYGKVMVXJQ-VHSXEESVSA-N 0 1 251.248 0.881 20 30 CCEDMN COC(=O)c1cncc(C(=O)N=c2[nH]n(C)cc2C#N)c1 ZINC000546071091 285896151 /nfs/dbraw/zinc/89/61/51/285896151.db2.gz LXBHSGITNPSNOU-UHFFFAOYSA-N 0 1 285.263 0.148 20 30 CCEDMN CN(C)C(=O)CN1CCC(Nc2ccncc2C#N)CC1 ZINC000547825479 285980271 /nfs/dbraw/zinc/98/02/71/285980271.db2.gz WRGQQXJJMXUNRE-UHFFFAOYSA-N 0 1 287.367 0.340 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)NCC(C)(F)F)[nH]1 ZINC000551883462 286132408 /nfs/dbraw/zinc/13/24/08/286132408.db2.gz RZJUUPNVDLXZLO-UHFFFAOYSA-N 0 1 299.281 0.467 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+](C)[C@@H](CC)C(=O)[O-] ZINC000044622714 411630798 /nfs/dbraw/zinc/63/07/98/411630798.db2.gz QWIXQPJOFOJZPC-NSHDSACASA-N 0 1 254.330 0.982 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+](C)[C@@H](CC)C(=O)[O-] ZINC000044622714 411630801 /nfs/dbraw/zinc/63/08/01/411630801.db2.gz QWIXQPJOFOJZPC-NSHDSACASA-N 0 1 254.330 0.982 20 30 CCEDMN C=CC[N@H+]([C@@H](C)COC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC000662218080 414697416 /nfs/dbraw/zinc/69/74/16/414697416.db2.gz UWIBCQFRKJKYIA-QWRGUYRKSA-N 0 1 270.329 0.195 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)C(=O)N2CCNC[C@H]2C)C1 ZINC000662948234 414910362 /nfs/dbraw/zinc/91/03/62/414910362.db2.gz UUISVWIMEUYOAS-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC000662919955 414893496 /nfs/dbraw/zinc/89/34/96/414893496.db2.gz QUOHGWSIBDMMAS-GHMZBOCLSA-N 0 1 269.320 0.085 20 30 CCEDMN Cc1ccc(C#N)c(N(C)CC(=O)N2CCNCC2)n1 ZINC000043395921 175035470 /nfs/dbraw/zinc/03/54/70/175035470.db2.gz ZWLBFYVOWXJTLG-UHFFFAOYSA-N 0 1 273.340 0.130 20 30 CCEDMN C=CC(C)(C)CNC(=O)NCc1n[nH]c(COC)n1 ZINC000665023223 415510829 /nfs/dbraw/zinc/51/08/29/415510829.db2.gz LTXWDTCMFMOBCY-UHFFFAOYSA-N 0 1 267.333 0.963 20 30 CCEDMN C=CC(C)(C)CNC(=O)NCc1nnc(COC)[nH]1 ZINC000665023223 415510833 /nfs/dbraw/zinc/51/08/33/415510833.db2.gz LTXWDTCMFMOBCY-UHFFFAOYSA-N 0 1 267.333 0.963 20 30 CCEDMN C[C@@H]1CCCN(CCNC(=O)N[C@@H]2CC[S@@](=O)C2)C1 ZINC000330002311 533681097 /nfs/dbraw/zinc/68/10/97/533681097.db2.gz YSSSPPQFFNRMRD-HNYWDRBLSA-N 0 1 287.429 0.743 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@@H]2CCO)CCC1 ZINC000347203400 226083456 /nfs/dbraw/zinc/08/34/56/226083456.db2.gz PKZLERNUNVWMLP-LLVKDONJSA-N 0 1 251.330 0.396 20 30 CCEDMN COC[C@H]1CCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000352235807 228204175 /nfs/dbraw/zinc/20/41/75/228204175.db2.gz ZJCWSVVLDOTBIN-SMDDNHRTSA-N 0 1 265.357 0.763 20 30 CCEDMN O=C(NCCn1cncn1)NCC1(O)CCCCCC1 ZINC000329552823 545023864 /nfs/dbraw/zinc/02/38/64/545023864.db2.gz JZDHBICMUHOOIJ-UHFFFAOYSA-N 0 1 281.360 0.867 20 30 CCEDMN [O-]C(NCC1(O)CCCCCC1)=[NH+]CCn1cncn1 ZINC000329552823 545023865 /nfs/dbraw/zinc/02/38/65/545023865.db2.gz JZDHBICMUHOOIJ-UHFFFAOYSA-N 0 1 281.360 0.867 20 30 CCEDMN O=C(NCC1(CO)CCC1)c1cccn2c(O)nnc12 ZINC000329748505 545026113 /nfs/dbraw/zinc/02/61/13/545026113.db2.gz GXJLVLQEGBNXFK-UHFFFAOYSA-N 0 1 276.296 0.902 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@](CC)(CO)C1 ZINC000187585631 260041361 /nfs/dbraw/zinc/04/13/61/260041361.db2.gz ANMBRESLNPXZKR-AWEZNQCLSA-N 0 1 283.372 0.483 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N(C)C1CCN(C)CC1 ZINC000407975459 260138331 /nfs/dbraw/zinc/13/83/31/260138331.db2.gz JMJKWGVIQIXSMQ-LLVKDONJSA-N 0 1 288.417 0.491 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N(C)C1CCN(C)CC1 ZINC000408010065 260171308 /nfs/dbraw/zinc/17/13/08/260171308.db2.gz NAWBLNRBAXYQKI-UHFFFAOYSA-N 0 1 274.390 0.103 20 30 CCEDMN CN1CC[C@@H](N2CCC(NC(=O)C(C)(C)C#N)CC2)C1=O ZINC000408072491 260213598 /nfs/dbraw/zinc/21/35/98/260213598.db2.gz NEDCCNWJWULJKN-GFCCVEGCSA-N 0 1 292.383 0.347 20 30 CCEDMN CN1CC[C@H](N2CCC(NC(=O)C(C)(C)C#N)CC2)C1=O ZINC000408072497 260213717 /nfs/dbraw/zinc/21/37/17/260213717.db2.gz NEDCCNWJWULJKN-LBPRGKRZSA-N 0 1 292.383 0.347 20 30 CCEDMN C=CCOC[C@H](NC(=O)c1[nH]nc(C)c1C)C(=O)OC ZINC000360736276 261098224 /nfs/dbraw/zinc/09/82/24/261098224.db2.gz JGXJHSMGNZYYOL-JTQLQIEISA-N 0 1 281.312 0.501 20 30 CCEDMN C#CCN1CCC(C(=O)NC[C@H]2CCCC2=O)CC1 ZINC000490739901 261197692 /nfs/dbraw/zinc/19/76/92/261197692.db2.gz LQLUHOBNQGPCTJ-CYBMUJFWSA-N 0 1 262.353 0.817 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000491220391 261374919 /nfs/dbraw/zinc/37/49/19/261374919.db2.gz MYSFCXBKUXJAPA-GFCCVEGCSA-N 0 1 277.372 0.790 20 30 CCEDMN C[C@H](CNC(=O)C1(C#N)CCOCC1)[N@@H+](C)C1CC1 ZINC000109143268 261391024 /nfs/dbraw/zinc/39/10/24/261391024.db2.gz HYMCTJINJTTZML-LLVKDONJSA-N 0 1 265.357 0.906 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@@H](OC(=O)N(C)C)C1 ZINC000497307872 262138282 /nfs/dbraw/zinc/13/82/82/262138282.db2.gz XFLFGIBXVGHHKD-WDEREUQCSA-N 0 1 255.318 0.688 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@H](OC(=O)N(C)C)C1 ZINC000497307871 262138522 /nfs/dbraw/zinc/13/85/22/262138522.db2.gz XFLFGIBXVGHHKD-QWRGUYRKSA-N 0 1 255.318 0.688 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@H](C(N)=O)C(C)(C)C ZINC000109331545 262197809 /nfs/dbraw/zinc/19/78/09/262197809.db2.gz BCKPQTMDAPBJDR-ZYHUDNBSSA-N 0 1 282.388 0.484 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000272453101 263004898 /nfs/dbraw/zinc/00/48/98/263004898.db2.gz BEMYGBFLUFBYMG-SNVBAGLBSA-N 0 1 277.236 0.665 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000281305941 263068091 /nfs/dbraw/zinc/06/80/91/263068091.db2.gz KETUBDAVIHJICV-OLZOCXBDSA-N 0 1 295.383 0.841 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NC1(c2nnc[nH]2)CCC1 ZINC000285485376 263093296 /nfs/dbraw/zinc/09/32/96/263093296.db2.gz CZGUKNJCROALJI-SECBINFHSA-N 0 1 298.372 0.252 20 30 CCEDMN Cc1[nH]ncc1CNS(=O)(=O)N(C)[C@@H](C)CC#N ZINC000286643643 263099226 /nfs/dbraw/zinc/09/92/26/263099226.db2.gz NVNSLQULSUUAKR-QMMMGPOBSA-N 0 1 271.346 0.287 20 30 CCEDMN C=CC[C@H](CO)CNc1cc(-n2cccn2)nc(N)n1 ZINC000340877093 263310999 /nfs/dbraw/zinc/31/09/99/263310999.db2.gz IOHZXEXZJLBZGW-JTQLQIEISA-N 0 1 274.328 0.671 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)NC[C@H]1CCCN1CCOC ZINC000341537994 263342359 /nfs/dbraw/zinc/34/23/59/263342359.db2.gz WHZBEEPBRZLRJQ-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCOCCCN1CCOC[C@@H]1c1nnc[nH]1 ZINC000341966336 263371691 /nfs/dbraw/zinc/37/16/91/263371691.db2.gz KOASSPZOZPPISW-LLVKDONJSA-N 0 1 252.318 0.771 20 30 CCEDMN CNC(=O)NC(=O)CN[C@@H](c1cnn(C)c1)C(C)(C)C ZINC000330700967 264016897 /nfs/dbraw/zinc/01/68/97/264016897.db2.gz ITOQUUMMFQQFRO-NSHDSACASA-N 0 1 281.360 0.757 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@@H](S(N)(=O)=O)C1 ZINC000330823942 264047686 /nfs/dbraw/zinc/04/76/86/264047686.db2.gz HWSNILSKTWEHOD-WDEREUQCSA-N 0 1 262.375 0.066 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N[C@@H]1CCC[C@H]1C#N ZINC000330838365 264052062 /nfs/dbraw/zinc/05/20/62/264052062.db2.gz YAIVUNZKJKPYOF-NWDGAFQWSA-N 0 1 273.402 0.940 20 30 CCEDMN CC(C)(O)[C@@H]1CCCN1C(=O)NCCn1cncn1 ZINC000330867252 264058056 /nfs/dbraw/zinc/05/80/56/264058056.db2.gz VQDSLOPEEVTRIN-JTQLQIEISA-N 0 1 267.333 0.427 20 30 CCEDMN C[C@H](O)C1CCN(C(=O)NCCn2cncn2)CC1 ZINC000330870831 264059313 /nfs/dbraw/zinc/05/93/13/264059313.db2.gz BRYHLYYFBZYJSR-JTQLQIEISA-N 0 1 267.333 0.285 20 30 CCEDMN CC[C@H](COC)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000330884164 264062918 /nfs/dbraw/zinc/06/29/18/264062918.db2.gz KMESEHAYMXEEHQ-NEPJUHHUSA-N 0 1 272.393 0.161 20 30 CCEDMN CCc1nc2n(n1)C[C@@H](NC([O-])=[NH+]CCC(N)=O)CC2 ZINC000330845269 264066662 /nfs/dbraw/zinc/06/66/62/264066662.db2.gz YAIMGBVIQCSCPO-QMMMGPOBSA-N 0 1 280.332 0.584 20 30 CCEDMN CCc1nc2n(n1)C[C@@H](NC(=O)NCCC(N)=O)CC2 ZINC000330845269 264066664 /nfs/dbraw/zinc/06/66/64/264066664.db2.gz YAIMGBVIQCSCPO-QMMMGPOBSA-N 0 1 280.332 0.584 20 30 CCEDMN COCCN(C)C(=O)N[C@H]1CCc2nc(COC)nn2C1 ZINC000330961780 264088780 /nfs/dbraw/zinc/08/87/80/264088780.db2.gz YKTKORLYICPWJP-JTQLQIEISA-N 0 1 297.359 0.232 20 30 CCEDMN CN(C)C(=O)CC[NH+]=C([O-])N[C@H]1CCc2c[nH]nc2C1 ZINC000330970035 264092123 /nfs/dbraw/zinc/09/21/23/264092123.db2.gz FGFVTSWIBQWZMI-JTQLQIEISA-N 0 1 279.344 0.249 20 30 CCEDMN C[C@H](NC(=O)NC[C@H]1COCCO1)C1=CC[N@@H+](C)CC1 ZINC000329268696 264107807 /nfs/dbraw/zinc/10/78/07/264107807.db2.gz DTIXHBIKAFGNAU-AAEUAGOBSA-N 0 1 283.372 0.556 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)CN1[C@H](C)CC[C@H]1C ZINC000331211715 264163349 /nfs/dbraw/zinc/16/33/49/264163349.db2.gz JFWGOWVPIULREG-WZRBSPASSA-N 0 1 253.346 0.904 20 30 CCEDMN C=CCNC(=O)CN[C@H](C)c1ccc(-n2cnnn2)cc1 ZINC000180027545 264390119 /nfs/dbraw/zinc/39/01/19/264390119.db2.gz WLHRNJJJKILUAE-LLVKDONJSA-N 0 1 286.339 0.615 20 30 CCEDMN CNC(=O)[C@@H]1CCCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347049860 265123431 /nfs/dbraw/zinc/12/34/31/265123431.db2.gz JQOHTMZYHJMTNV-LLVKDONJSA-N 0 1 278.356 0.007 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)Cc2cnc[nH]2)C1=O ZINC000518998393 265289987 /nfs/dbraw/zinc/28/99/87/265289987.db2.gz IWPPCTMHWSPRFF-WCQYABFASA-N 0 1 290.367 0.834 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)[C@H](C)[C@@H]1C ZINC000356995178 266227412 /nfs/dbraw/zinc/22/74/12/266227412.db2.gz GEQWDGBGXZWJCQ-MNOVXSKESA-N 0 1 268.361 0.023 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N2CCN(CC)CC2)C1 ZINC000359050851 266527543 /nfs/dbraw/zinc/52/75/43/266527543.db2.gz KYWFHIQPDBBNKJ-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN C[C@H](CNS(=O)(=O)c1cccnc1C#N)N1CCCC1 ZINC000235488338 267163334 /nfs/dbraw/zinc/16/33/34/267163334.db2.gz ISQBBNOZNDGCDN-LLVKDONJSA-N 0 1 294.380 0.716 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000369033383 267217737 /nfs/dbraw/zinc/21/77/37/267217737.db2.gz SUFJOTIGMUCNBJ-YPMHNXCESA-N 0 1 283.335 0.622 20 30 CCEDMN C#CCCNC(=O)NC[C@@H]1C[N@H+]2CCC[C@@H]2CO1 ZINC000369285611 267232313 /nfs/dbraw/zinc/23/23/13/267232313.db2.gz PZVPFXPEODZLCQ-VXGBXAGGSA-N 0 1 251.330 0.172 20 30 CCEDMN C=CCC1(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)CCC1 ZINC000370104415 267290910 /nfs/dbraw/zinc/29/09/10/267290910.db2.gz VFBULAQCVBOORP-SNVBAGLBSA-N 0 1 277.328 0.846 20 30 CCEDMN N#CCC1(O)CN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000377089895 268046238 /nfs/dbraw/zinc/04/62/38/268046238.db2.gz JBTLWFKEKVRLOY-VIFPVBQESA-N 0 1 260.297 0.317 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCCN1C(=O)CCC1=O ZINC000329073279 279314792 /nfs/dbraw/zinc/31/47/92/279314792.db2.gz OTEWXHGKKKKFDA-LLVKDONJSA-N 0 1 297.355 0.060 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1cccc(CC)c1 ZINC000491689152 327802325 /nfs/dbraw/zinc/80/23/25/327802325.db2.gz GUMYOEKXBBHSMG-UONOGXRCSA-N 0 1 263.337 0.572 20 30 CCEDMN CCNC(=O)[C@@H](NCC(=O)N[C@](C)(C#N)C(C)C)C(C)C ZINC000339325174 328006324 /nfs/dbraw/zinc/00/63/24/328006324.db2.gz IDRDEKDTVTWEPX-DZGCQCFKSA-N 0 1 296.415 0.791 20 30 CCEDMN CCNC(=O)[C@H](NCC(=O)N[C@@](C)(C#N)C(C)C)C(C)C ZINC000339325175 328006366 /nfs/dbraw/zinc/00/63/66/328006366.db2.gz IDRDEKDTVTWEPX-HIFRSBDPSA-N 0 1 296.415 0.791 20 30 CCEDMN C=CCN(CC=C)[C@@H](CNS(N)(=O)=O)C(C)C ZINC000076106013 328485639 /nfs/dbraw/zinc/48/56/39/328485639.db2.gz XMKRZRWKWPJGPL-NSHDSACASA-N 0 1 261.391 0.478 20 30 CCEDMN C#Cc1ccc(CNCCS(=O)(=O)N(C)C)cc1 ZINC000290774449 328531811 /nfs/dbraw/zinc/53/18/11/328531811.db2.gz CKCMTIXJQOOGFE-UHFFFAOYSA-N 0 1 266.366 0.649 20 30 CCEDMN C=CCNC(=O)c1ccc(NC(=O)[C@H]2CNCCO2)cc1 ZINC000043709554 327262879 /nfs/dbraw/zinc/26/28/79/327262879.db2.gz IZQRXKMYWYARFF-CYBMUJFWSA-N 0 1 289.335 0.529 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@H](OC)C[C@H]1C(=O)[O-] ZINC000579614474 327396594 /nfs/dbraw/zinc/39/65/94/327396594.db2.gz DWILTLYYKXBNQB-SRVKXCTJSA-N 0 1 257.330 0.878 20 30 CCEDMN CCC(C#N)(CC)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000394825780 329150631 /nfs/dbraw/zinc/15/06/31/329150631.db2.gz ZKEUXSBNQFCBNX-CYBMUJFWSA-N 0 1 278.400 0.822 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000450055191 329233312 /nfs/dbraw/zinc/23/33/12/329233312.db2.gz BRKBAGTVTHVKSZ-KFWWJZLASA-N 0 1 294.395 0.758 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NCCN1CCN(C)CC1 ZINC000184945157 329265897 /nfs/dbraw/zinc/26/58/97/329265897.db2.gz BLSYWCVWDUGLBZ-ZDUSSCGKSA-N 0 1 253.390 0.952 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@@]2(CCOC2)C1 ZINC000185131608 329268529 /nfs/dbraw/zinc/26/85/29/329268529.db2.gz WELPDASCAKJAMI-AWEZNQCLSA-N 0 1 281.356 0.240 20 30 CCEDMN COc1ccc(CNC[C@@H](O)CN(C)CCC#N)nc1 ZINC000583019887 329298042 /nfs/dbraw/zinc/29/80/42/329298042.db2.gz IAGBIVHVZHOORK-CYBMUJFWSA-N 0 1 278.356 0.386 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(OC[C@@H]2CCOC2)CC1 ZINC000132025658 329641975 /nfs/dbraw/zinc/64/19/75/329641975.db2.gz VBJLVLUNEZCRII-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN [NH2+]=C(NCc1ncccc1[O-])NC[C@H]1CCCO1 ZINC000262378685 330011688 /nfs/dbraw/zinc/01/16/88/330011688.db2.gz NLZWLLGZAGGAFZ-SECBINFHSA-N 0 1 250.302 0.371 20 30 CCEDMN C=CCCCC(=O)N1CCO[C@@H](C[N@H+](C)CC(=O)[O-])C1 ZINC000262885171 330036039 /nfs/dbraw/zinc/03/60/39/330036039.db2.gz FZSXKZFWNDARPK-LBPRGKRZSA-N 0 1 284.356 0.587 20 30 CCEDMN C#CC[N@H+](C[C@@H](O)CN1C(=O)c2ccccc2C1=O)C1CC1 ZINC000274155968 330103810 /nfs/dbraw/zinc/10/38/10/330103810.db2.gz LOSGBOWKVAYQKW-CYBMUJFWSA-N 0 1 298.342 0.741 20 30 CCEDMN C#CCN(C[C@@H](O)CN1C(=O)c2ccccc2C1=O)C1CC1 ZINC000274155968 330103811 /nfs/dbraw/zinc/10/38/11/330103811.db2.gz LOSGBOWKVAYQKW-CYBMUJFWSA-N 0 1 298.342 0.741 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC(C)(C#N)CC2)[C@@H](C)CN1 ZINC000423633072 330213184 /nfs/dbraw/zinc/21/31/84/330213184.db2.gz OYFFHJYRUZMIQI-NEPJUHHUSA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)N2CCC(C)(C#N)CC2)[C@@H](C)CN1 ZINC000423633074 330213319 /nfs/dbraw/zinc/21/33/19/330213319.db2.gz OYFFHJYRUZMIQI-RYUDHWBXSA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)N(C)CC(C)(C)C#N)C[C@H](C)N1 ZINC000423674049 330213734 /nfs/dbraw/zinc/21/37/34/330213734.db2.gz GNHJEKLUFZAVIE-QWRGUYRKSA-N 0 1 280.372 0.203 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)N(C)CC(C)(C)C#N ZINC000423743854 330215115 /nfs/dbraw/zinc/21/51/15/330215115.db2.gz MHYFCPVBXGLHNZ-GFCCVEGCSA-N 0 1 294.399 0.595 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000527259590 330241845 /nfs/dbraw/zinc/24/18/45/330241845.db2.gz BERAJHCNCVECGI-UHFFFAOYSA-N 0 1 283.291 0.572 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)[C@@H](C)CN1 ZINC000424551036 330374227 /nfs/dbraw/zinc/37/42/27/330374227.db2.gz UVQFMFPKUFRFOH-NOOOWODRSA-N 0 1 278.356 0.004 20 30 CCEDMN CCOc1ccc(OC[C@@H](O)CNCC#CCO)cc1 ZINC000515649890 330481085 /nfs/dbraw/zinc/48/10/85/330481085.db2.gz GACNNYHIEPMDPZ-ZDUSSCGKSA-N 0 1 279.336 0.410 20 30 CCEDMN N#CCNC(=O)CNC(=O)c1ccc(O)c(Cl)c1 ZINC000436716974 330683384 /nfs/dbraw/zinc/68/33/84/330683384.db2.gz UWLRZKWAVYKZJH-UHFFFAOYSA-N 0 1 267.672 0.415 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)CC(C)(C)CO ZINC000451250024 331021848 /nfs/dbraw/zinc/02/18/48/331021848.db2.gz WJIVZDCOCCGCLF-CQSZACIVSA-N 0 1 269.389 0.991 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](OC)[C@@H](C)C1 ZINC000451551249 331033998 /nfs/dbraw/zinc/03/39/98/331033998.db2.gz VZMGWXCJFSVUGI-SRVKXCTJSA-N 0 1 283.372 0.744 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)CN(C)[C@H]1CCSC1 ZINC000452951319 331081636 /nfs/dbraw/zinc/08/16/36/331081636.db2.gz LVOJJZQPSNAYCM-TUAOUCFPSA-N 0 1 270.398 0.883 20 30 CCEDMN CC#CCN(C)C(=O)NCCN1CCCOCC1 ZINC000458854015 331261815 /nfs/dbraw/zinc/26/18/15/331261815.db2.gz XAFYBGMXNIEKEU-UHFFFAOYSA-N 0 1 253.346 0.373 20 30 CCEDMN C#CCN(CC#CC)C(=O)CSc1nnc(C)[nH]1 ZINC000490778232 332114544 /nfs/dbraw/zinc/11/45/44/332114544.db2.gz CAWNNALYYCGHKY-UHFFFAOYSA-N 0 1 262.338 0.690 20 30 CCEDMN C#CCN(CC#CC)C(=O)CSc1nc(C)n[nH]1 ZINC000490778232 332114546 /nfs/dbraw/zinc/11/45/46/332114546.db2.gz CAWNNALYYCGHKY-UHFFFAOYSA-N 0 1 262.338 0.690 20 30 CCEDMN C#CCN1CCC(C(=O)OCCOCCOC)CC1 ZINC000490900706 332127775 /nfs/dbraw/zinc/12/77/75/332127775.db2.gz XHUMIHBKHVVVFL-UHFFFAOYSA-N 0 1 269.341 0.538 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](O)C(C)(C)C2)CC1 ZINC000491036725 332141183 /nfs/dbraw/zinc/14/11/83/332141183.db2.gz AQLMOLUKOLMZDA-CYBMUJFWSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@](O)(CC)C2)CC1 ZINC000491040561 332141957 /nfs/dbraw/zinc/14/19/57/332141957.db2.gz UTVPTAMBSJWVLL-HNNXBMFYSA-N 0 1 264.369 0.705 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCC[C@H]2O)CC1 ZINC000491125237 332153235 /nfs/dbraw/zinc/15/32/35/332153235.db2.gz BZHVGSFYNXIOGZ-ZIAGYGMSSA-N 0 1 264.369 0.703 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000491288957 332182358 /nfs/dbraw/zinc/18/23/58/332182358.db2.gz WBAQMCCOVSOGIG-NSHDSACASA-N 0 1 297.318 0.108 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2ccnc(N)c2)CC1 ZINC000491305958 332186548 /nfs/dbraw/zinc/18/65/48/332186548.db2.gz LAQGUVBQQHWCNN-UHFFFAOYSA-N 0 1 273.336 0.883 20 30 CCEDMN C#CCNC(=O)CN1CCN(C[C@H]2CCCC2(F)F)CC1 ZINC000491327887 332191371 /nfs/dbraw/zinc/19/13/71/332191371.db2.gz YSRKUGHWPBCNEY-CYBMUJFWSA-N 0 1 299.365 0.789 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1cc(C)ccc1Cl ZINC000491438430 332211133 /nfs/dbraw/zinc/21/11/33/332211133.db2.gz CIPAYFWXASQODQ-NEPJUHHUSA-N 0 1 283.755 0.972 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000491505860 332225027 /nfs/dbraw/zinc/22/50/27/332225027.db2.gz NLPIMULFRIVILO-GWCFXTLKSA-N 0 1 276.340 0.693 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C(C)C)[C@H]2CCOC2)CC1 ZINC000491615981 332243280 /nfs/dbraw/zinc/24/32/80/332243280.db2.gz HOUJAVHDMPKTSM-HNNXBMFYSA-N 0 1 293.411 0.263 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)CSc1nnc(C)[nH]1 ZINC000491627043 332250661 /nfs/dbraw/zinc/25/06/61/332250661.db2.gz JNJSAOKDFIHKRY-SECBINFHSA-N 0 1 266.326 0.066 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)CSc1nc(C)n[nH]1 ZINC000491627043 332250662 /nfs/dbraw/zinc/25/06/62/332250662.db2.gz JNJSAOKDFIHKRY-SECBINFHSA-N 0 1 266.326 0.066 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)CN(C)[C@@H]1CCSC1 ZINC000491652681 332265635 /nfs/dbraw/zinc/26/56/35/332265635.db2.gz BJTFZFBNGMDQRF-VXGBXAGGSA-N 0 1 268.382 0.284 20 30 CCEDMN C#CCNC(=O)CCN(C)Cc1cnc(N(C)C)nc1 ZINC000491711825 332303287 /nfs/dbraw/zinc/30/32/87/332303287.db2.gz WSGZQTHQZKCGSP-UHFFFAOYSA-N 0 1 275.356 0.114 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(C)(OCC)C2)CC1 ZINC000491714185 332304817 /nfs/dbraw/zinc/30/48/17/332304817.db2.gz FVKSXNMQWFIFCV-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCCC[C@H]2C=C)CC1 ZINC000491740140 332319357 /nfs/dbraw/zinc/31/93/57/332319357.db2.gz QPOOXBFGHJZPET-OAHLLOKOSA-N 0 1 275.396 0.804 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1c(OC)cccc1OC ZINC000491741241 332319989 /nfs/dbraw/zinc/31/99/89/332319989.db2.gz VPDOMDPCJKLJMI-RYUDHWBXSA-N 0 1 295.335 0.027 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1c(OC)cccc1OC ZINC000491741226 332320033 /nfs/dbraw/zinc/32/00/33/332320033.db2.gz VPDOMDPCJKLJMI-NEPJUHHUSA-N 0 1 295.335 0.027 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)CN1CCC(C)CC1 ZINC000491763600 332332533 /nfs/dbraw/zinc/33/25/33/332332533.db2.gz AFZXXYYHWVEGHG-CYBMUJFWSA-N 0 1 250.342 0.579 20 30 CCEDMN C#C[C@H](C)N(C)CN1C[C@@]2(CN3CCC2CC3)OC1=O ZINC000491803916 332357938 /nfs/dbraw/zinc/35/79/38/332357938.db2.gz JVVAUGIYSAPMEQ-SWLSCSKDSA-N 0 1 277.368 0.814 20 30 CCEDMN C=CCNC(=O)CN[C@H](CO)c1sccc1C ZINC000494652373 332481853 /nfs/dbraw/zinc/48/18/53/332481853.db2.gz WZAXRARWRXUIBV-SNVBAGLBSA-N 0 1 254.355 0.982 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](CO)c1sccc1C ZINC000494673792 332482572 /nfs/dbraw/zinc/48/25/72/332482572.db2.gz RTAGSEFEBGKANH-SNVBAGLBSA-N 0 1 297.380 0.691 20 30 CCEDMN C=CCN1C(=O)N=NC1SCCC1CS(=O)(=O)C1 ZINC000517498298 333100534 /nfs/dbraw/zinc/10/05/34/333100534.db2.gz IUZKZUSJOPSGAA-UHFFFAOYSA-N 0 1 289.382 0.697 20 30 CCEDMN N#CCCNCCN1CCN(c2ncccn2)CC1 ZINC000521995238 333229466 /nfs/dbraw/zinc/22/94/66/333229466.db2.gz JEPKIOMDFRLLJL-UHFFFAOYSA-N 0 1 260.345 0.102 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](C(N)=O)CC[C@@H]2C)CC1 ZINC000068096393 333670608 /nfs/dbraw/zinc/67/06/08/333670608.db2.gz RZTIFWLOSNVJQE-GXTWGEPZSA-N 0 1 291.395 0.444 20 30 CCEDMN COC(=O)CNC(=O)[C@H](C)N1CCC(CC#N)CC1 ZINC000541952531 333803946 /nfs/dbraw/zinc/80/39/46/333803946.db2.gz QAJMJDCOWGZLGN-JTQLQIEISA-N 0 1 267.329 0.290 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@@H]1O ZINC000341023605 335165736 /nfs/dbraw/zinc/16/57/36/335165736.db2.gz SMICLKDJBNNAEO-OSMZGAPFSA-N 0 1 265.357 0.498 20 30 CCEDMN C=CCCN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000132378198 333978889 /nfs/dbraw/zinc/97/88/89/333978889.db2.gz ZKANJEHQZULUOR-UHFFFAOYSA-N 0 1 267.373 0.039 20 30 CCEDMN COCCN(CCCSCC#N)[C@@H](C)C(N)=O ZINC000074990464 334021741 /nfs/dbraw/zinc/02/17/41/334021741.db2.gz JFTWZRLXVUEENV-JTQLQIEISA-N 0 1 259.375 0.455 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCC[C@@H](CC(N)=O)C1)C1CC1 ZINC000245409195 334190499 /nfs/dbraw/zinc/19/04/99/334190499.db2.gz KYECZVXVUFGWKL-XHDPSFHLSA-N 0 1 292.383 0.382 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@H](c2nccn2C)C1 ZINC000550645166 334226044 /nfs/dbraw/zinc/22/60/44/334226044.db2.gz WCFICECNZOJNEN-STQMWFEESA-N 0 1 289.383 0.970 20 30 CCEDMN CN1CCN(CC(=O)N[C@](C)(C#N)C2CC2)CC1(C)C ZINC000550654314 334226204 /nfs/dbraw/zinc/22/62/04/334226204.db2.gz TUSXRXZBWUCTGX-OAHLLOKOSA-N 0 1 278.400 0.821 20 30 CCEDMN CCN(CCC#N)C(=O)CN1[C@H](C)CCC[C@H]1CO ZINC000246499112 334260363 /nfs/dbraw/zinc/26/03/63/334260363.db2.gz HHWXGYVEPPSEOH-OLZOCXBDSA-N 0 1 267.373 0.984 20 30 CCEDMN CC1(C)C[NH2+]CC[C@H]1[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000580571874 335285780 /nfs/dbraw/zinc/28/57/80/335285780.db2.gz HJRVNNWPXYAJPW-SNVBAGLBSA-N 0 1 283.353 0.818 20 30 CCEDMN COCCCOCC(=O)C(C#N)C(=O)NC(C)C ZINC000776078539 582050515 /nfs/dbraw/zinc/05/05/15/582050515.db2.gz ZCAYYARQJHSOOB-JTQLQIEISA-N 0 1 256.302 0.273 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@H](CO)[C@H]1C ZINC000385739570 336155640 /nfs/dbraw/zinc/15/56/40/336155640.db2.gz BODXEEHLPJSDHK-YRGRVCCFSA-N 0 1 267.373 0.744 20 30 CCEDMN N#CC[N@H+]1CC[C@@H]([C@@H]2CCCN(C(=O)c3nnc[n-]3)C2)C1 ZINC000961249276 649866843 /nfs/dbraw/zinc/86/68/43/649866843.db2.gz LNJMUPZLFGTFNH-VXGBXAGGSA-N 0 1 288.355 0.502 20 30 CCEDMN N#CC[N@@H+]1CC[C@@H]([C@@H]2CCCN(C(=O)c3nnc[n-]3)C2)C1 ZINC000961249276 649866844 /nfs/dbraw/zinc/86/68/44/649866844.db2.gz LNJMUPZLFGTFNH-VXGBXAGGSA-N 0 1 288.355 0.502 20 30 CCEDMN C[C@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@@H]1CO ZINC000347256695 533908966 /nfs/dbraw/zinc/90/89/66/533908966.db2.gz WQAZIKLEUKEAHF-WDEREUQCSA-N 0 1 251.330 0.109 20 30 CCEDMN C[C@@H]1CC[C@H](C)N1CC(=O)NCc1nnn(C)n1 ZINC000330310640 534224933 /nfs/dbraw/zinc/22/49/33/534224933.db2.gz NLYIADYGGPZLPT-DTORHVGOSA-N 0 1 252.322 0.539 20 30 CCEDMN Cn1nnnc1CNC(=O)[C@@H]1CCCN1C1CC1 ZINC000330246454 534368732 /nfs/dbraw/zinc/36/87/32/534368732.db2.gz LGMBQLHLBUIJSL-VIFPVBQESA-N 0 1 250.306 0.293 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CC[C@@H](N2CCCC2=O)C1 ZINC000330061011 534850774 /nfs/dbraw/zinc/85/07/74/534850774.db2.gz NQUBVKYQWCVYRT-GFCCVEGCSA-N 0 1 291.355 0.829 20 30 CCEDMN C=CC[C@H](C)NC(=O)NCc1n[nH]c(COC)n1 ZINC000666121399 545113218 /nfs/dbraw/zinc/11/32/18/545113218.db2.gz JPYKTDVKJRXBPL-QMMMGPOBSA-N 0 1 253.306 0.715 20 30 CCEDMN C=CC[C@H](C)NC(=O)NCc1nnc(COC)[nH]1 ZINC000666121399 545113219 /nfs/dbraw/zinc/11/32/19/545113219.db2.gz JPYKTDVKJRXBPL-QMMMGPOBSA-N 0 1 253.306 0.715 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@@H](C(=O)NC)C1 ZINC000111269879 526520204 /nfs/dbraw/zinc/52/02/04/526520204.db2.gz GDPPKMDGTQRWKB-CYBMUJFWSA-N 0 1 281.400 0.869 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CCn1cccn1 ZINC000111282878 526598919 /nfs/dbraw/zinc/59/89/19/526598919.db2.gz YBADRROVKGSDNL-LLVKDONJSA-N 0 1 279.344 0.215 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000347889787 527381101 /nfs/dbraw/zinc/38/11/01/527381101.db2.gz SAUUKNHPHCKYME-QWHCGFSZSA-N 0 1 269.389 0.330 20 30 CCEDMN C=CCOCCNC(=O)N1CCNC[C@H]1CCC ZINC000669555200 545214544 /nfs/dbraw/zinc/21/45/44/545214544.db2.gz HCVKPHJYXQIHCQ-GFCCVEGCSA-N 0 1 255.362 0.973 20 30 CCEDMN CC(C)C[C@H](CO)N(C)CC(=O)NC1(C#N)CCC1 ZINC000347241862 528541344 /nfs/dbraw/zinc/54/13/44/528541344.db2.gz XJZCUCCKIZFLLY-GFCCVEGCSA-N 0 1 267.373 0.888 20 30 CCEDMN CCN(CCOC)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000347335957 528564294 /nfs/dbraw/zinc/56/42/94/528564294.db2.gz KBKDLUNHOQCVJI-LLVKDONJSA-N 0 1 253.346 0.906 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@H]1CCC[C@@H]1O ZINC000352696653 528689160 /nfs/dbraw/zinc/68/91/60/528689160.db2.gz JQRVSLRHNPVMQL-AGIUHOORSA-N 0 1 267.373 0.840 20 30 CCEDMN CCNC(=O)C1CN(C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC000329061626 528821646 /nfs/dbraw/zinc/82/16/46/528821646.db2.gz ZJOXZCIWYJTJRS-MRVPVSSYSA-N 0 1 277.328 0.344 20 30 CCEDMN CCNC(=O)C1CN(C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC000329061626 528821647 /nfs/dbraw/zinc/82/16/47/528821647.db2.gz ZJOXZCIWYJTJRS-MRVPVSSYSA-N 0 1 277.328 0.344 20 30 CCEDMN C=CCCCNC(=O)C(=O)NCc1cc(C)[nH]n1 ZINC000672540799 545270206 /nfs/dbraw/zinc/27/02/06/545270206.db2.gz ZGZYRFIRQVMNLS-UHFFFAOYSA-N 0 1 250.302 0.417 20 30 CCEDMN C=CCCOCC(=O)N[C@H](CCC)c1nn[n-]n1 ZINC000675145439 545322584 /nfs/dbraw/zinc/32/25/84/545322584.db2.gz SETWUSMPHRZPGJ-SECBINFHSA-N 0 1 253.306 0.750 20 30 CCEDMN C=CCCOCC(=O)N[C@H](CCC)c1nn[nH]n1 ZINC000675145439 545322585 /nfs/dbraw/zinc/32/25/85/545322585.db2.gz SETWUSMPHRZPGJ-SECBINFHSA-N 0 1 253.306 0.750 20 30 CCEDMN C=C[C@@H](C)NC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000676099524 545335162 /nfs/dbraw/zinc/33/51/62/545335162.db2.gz RMYGDLMHQBKXFS-SNVBAGLBSA-N 0 1 285.307 0.897 20 30 CCEDMN COCC#CCN1CCOCC2(CCOCC2)C1 ZINC000680133316 545423296 /nfs/dbraw/zinc/42/32/96/545423296.db2.gz KPLADNXHEKYLOU-UHFFFAOYSA-N 0 1 253.342 0.765 20 30 CCEDMN C[C@H](NC(=O)[O-])[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC000739008198 581123692 /nfs/dbraw/zinc/12/36/92/581123692.db2.gz IJZGEYDNYYQWPI-UWVGGRQHSA-N 0 1 268.317 0.137 20 30 CCEDMN C[C@H](NC(=O)[O-])[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC000739008198 581123694 /nfs/dbraw/zinc/12/36/94/581123694.db2.gz IJZGEYDNYYQWPI-UWVGGRQHSA-N 0 1 268.317 0.137 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)Nc2nn(C)cc2C#N)n1 ZINC000779064485 581173580 /nfs/dbraw/zinc/17/35/80/581173580.db2.gz IMUONNAELKVWFU-UHFFFAOYSA-N 0 1 274.288 0.083 20 30 CCEDMN C#CCOc1ccc(C=NNC2=NC[C@H](C)N2)cc1 ZINC000779799540 581206544 /nfs/dbraw/zinc/20/65/44/581206544.db2.gz UWSIOIYJAHNVGF-NSHDSACASA-N 0 1 256.309 0.970 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2cc([O-])ccc2[N+](=O)[O-])N1 ZINC000779803324 581207456 /nfs/dbraw/zinc/20/74/56/581207456.db2.gz OVNKVRCNYBFJFD-SSDOTTSWSA-N 0 1 263.257 0.572 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC1(OC)CCC1 ZINC000791768100 581233437 /nfs/dbraw/zinc/23/34/37/581233437.db2.gz DCLQFAGWTBFHQY-LLVKDONJSA-N 0 1 282.340 0.807 20 30 CCEDMN C#CCN1CCN(C(=O)[C@H]2CCc3[nH]c(C)nc3C2)CC1 ZINC000780980647 581254033 /nfs/dbraw/zinc/25/40/33/581254033.db2.gz STKNHAIBIQBPKX-ZDUSSCGKSA-N 0 1 286.379 0.600 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@H]2C[C@@]2(CO)C1 ZINC000782605974 581313052 /nfs/dbraw/zinc/31/30/52/581313052.db2.gz GZNHJLUPNIQRTA-GUTXKFCHSA-N 0 1 264.369 0.890 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCC1CCN(C(=O)[O-])CC1 ZINC000738462066 581333998 /nfs/dbraw/zinc/33/39/98/581333998.db2.gz WDROAEBYOJXAHM-ZDUSSCGKSA-N 0 1 293.367 0.590 20 30 CCEDMN N#CCCNC(=O)CN1CCC([C@@H]2CCOC2)CC1 ZINC000784343276 581380857 /nfs/dbraw/zinc/38/08/57/581380857.db2.gz DHVYPCYKMMYEFE-CYBMUJFWSA-N 0 1 265.357 0.765 20 30 CCEDMN C[C@H]1COC(=O)C1N=Nc1ccc(-n2ccnc2)nn1 ZINC000794940714 581391424 /nfs/dbraw/zinc/39/14/24/581391424.db2.gz ZIXFHQKXTIHPLL-QMMMGPOBSA-N 0 1 272.268 0.623 20 30 CCEDMN N#CCCn1ccc(NS(=O)(=O)C[C@H]2CCCOC2)n1 ZINC000795329676 581404081 /nfs/dbraw/zinc/40/40/81/581404081.db2.gz VIWGIBGLPQBEJD-NSHDSACASA-N 0 1 298.368 0.965 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C[C@@H]1CCC(=O)[C@@H]1C ZINC000796628344 581446588 /nfs/dbraw/zinc/44/65/88/581446588.db2.gz AEUJHRYEXSJWOW-GRYCIOLGSA-N 0 1 294.351 0.853 20 30 CCEDMN CN1C(=O)CN(N=Cc2cc(F)c(O)c(F)c2)C1=O ZINC000768380721 581510838 /nfs/dbraw/zinc/51/08/38/581510838.db2.gz UGXIZANNQYDZAP-UHFFFAOYSA-N 0 1 269.207 0.898 20 30 CCEDMN C#CCOCCNC(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000753379795 581537946 /nfs/dbraw/zinc/53/79/46/581537946.db2.gz UOKDMNHRSFHGDV-UHFFFAOYSA-N 0 1 276.340 0.949 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000739414663 581549331 /nfs/dbraw/zinc/54/93/31/581549331.db2.gz ITYUFGDGQNIZEB-SNVBAGLBSA-N 0 1 268.317 0.090 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000739414663 581549334 /nfs/dbraw/zinc/54/93/34/581549334.db2.gz ITYUFGDGQNIZEB-SNVBAGLBSA-N 0 1 268.317 0.090 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(CC)[C@@H](C)C1 ZINC000754197762 581580070 /nfs/dbraw/zinc/58/00/70/581580070.db2.gz QCJJKQLAPYMQBK-KBPBESRZSA-N 0 1 263.385 0.637 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C ZINC000786448817 581600702 /nfs/dbraw/zinc/60/07/02/581600702.db2.gz YUKXWSSAXFOKBP-MXWKQRLJSA-N 0 1 252.314 0.894 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@@H](C)c2nn[nH]n2)nc1 ZINC000735388216 581642690 /nfs/dbraw/zinc/64/26/90/581642690.db2.gz UERRYUVKZLUHLY-SECBINFHSA-N 0 1 270.296 0.452 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](C)C(=O)NC2CC2)CC1 ZINC000735939434 581673264 /nfs/dbraw/zinc/67/32/64/581673264.db2.gz FSHORVXPESXYSQ-NSHDSACASA-N 0 1 278.352 0.542 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)OC(=O)Cc1cc(C)[nH]n1 ZINC000756700416 581675675 /nfs/dbraw/zinc/67/56/75/581675675.db2.gz CBYQEULVVCXGAM-VIFPVBQESA-N 0 1 294.311 0.204 20 30 CCEDMN N#CCCNC(=O)COC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000770557260 581753328 /nfs/dbraw/zinc/75/33/28/581753328.db2.gz FXXKRZIKHKVLSW-UHFFFAOYSA-N 0 1 299.290 0.658 20 30 CCEDMN C[C@@H]1CN(Cc2ccccn2)CCC1=NOCC(N)=O ZINC000771571485 581809510 /nfs/dbraw/zinc/80/95/10/581809510.db2.gz BAYPBLCURKOFIW-LLVKDONJSA-N 0 1 276.340 0.781 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)c1nnc2ccccc2c1O ZINC000771578873 581810799 /nfs/dbraw/zinc/81/07/99/581810799.db2.gz PPIWDIFYTVNQHY-AWEZNQCLSA-N 0 1 286.291 0.994 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCN(C2CC2)[C@@H](C#N)C1 ZINC000732679273 581841990 /nfs/dbraw/zinc/84/19/90/581841990.db2.gz WQYHJVUUEYVVIV-UONOGXRCSA-N 0 1 276.384 0.669 20 30 CCEDMN C#CCN1CCC(C(=O)OCCN2CCOC2=O)CC1 ZINC000772113473 581843488 /nfs/dbraw/zinc/84/34/88/581843488.db2.gz GHIUCKDBIVZMBE-UHFFFAOYSA-N 0 1 280.324 0.327 20 30 CCEDMN N#CCN1CCN(C(=O)c2nnc3ccccc3c2O)CC1 ZINC000744554236 581844579 /nfs/dbraw/zinc/84/45/79/581844579.db2.gz NJTGMFYQOPDQFN-UHFFFAOYSA-N 0 1 297.318 0.617 20 30 CCEDMN C#CCCCNC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000745429726 581875856 /nfs/dbraw/zinc/87/58/56/581875856.db2.gz POFADTQSLVYNPZ-UHFFFAOYSA-N 0 1 280.416 0.725 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(C[C@H](C)CC)CC1 ZINC000761032118 581883781 /nfs/dbraw/zinc/88/37/81/581883781.db2.gz YKFDAIJHOFUMLK-GFCCVEGCSA-N 0 1 273.402 0.118 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCN(C)[C@H](C)C1 ZINC000761079922 581886841 /nfs/dbraw/zinc/88/68/41/581886841.db2.gz RWINICWINFZRAG-MNOVXSKESA-N 0 1 268.361 0.023 20 30 CCEDMN CCn1nccc1CN=Nc1ccccc1S(N)(=O)=O ZINC000773113349 581909165 /nfs/dbraw/zinc/90/91/65/581909165.db2.gz GTJKTJONBSTTIE-UHFFFAOYSA-N 0 1 293.352 0.996 20 30 CCEDMN N#CCCNC(=O)COC(=O)c1c(F)cc(O)cc1F ZINC000746987197 581929868 /nfs/dbraw/zinc/92/98/68/581929868.db2.gz OUZLTQJKRSNKBW-UHFFFAOYSA-N 0 1 284.218 0.857 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738600939 581939057 /nfs/dbraw/zinc/93/90/57/581939057.db2.gz KAYRIELJVAQIHD-NWDGAFQWSA-N 0 1 296.371 0.679 20 30 CCEDMN CCC(CC)[C@@H](CNC(=O)C=NOC)N1CCOCC1 ZINC000773775873 581941267 /nfs/dbraw/zinc/94/12/67/581941267.db2.gz GYKLRVGZGRLOME-CYBMUJFWSA-N 0 1 285.388 0.872 20 30 CCEDMN C[C@H]1CN(C)CC1N=Nc1nccc(N2CCOCC2)n1 ZINC000762607669 581953695 /nfs/dbraw/zinc/95/36/95/581953695.db2.gz SLJDPSXKQFUMJP-NSHDSACASA-N 0 1 290.371 0.663 20 30 CCEDMN N#Cc1ccc(NCCNc2ccncc2C(N)=O)nc1 ZINC000762791346 581961505 /nfs/dbraw/zinc/96/15/05/581961505.db2.gz SMECYGLBZSFVSU-UHFFFAOYSA-N 0 1 282.307 0.393 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)COc2cccc(C#N)c2)n1 ZINC000748309845 581968330 /nfs/dbraw/zinc/96/83/30/581968330.db2.gz KRDXXNJRPUUBIF-UHFFFAOYSA-N 0 1 285.307 0.723 20 30 CCEDMN Cc1nnc(SCC(=O)n2ncc(C#N)c2N)[nH]1 ZINC000748844075 581990109 /nfs/dbraw/zinc/99/01/09/581990109.db2.gz GGLOYPCQJMCIHG-UHFFFAOYSA-N 0 1 263.286 0.196 20 30 CCEDMN Cc1n[nH]c(SCC(=O)n2ncc(C#N)c2N)n1 ZINC000748844075 581990110 /nfs/dbraw/zinc/99/01/10/581990110.db2.gz GGLOYPCQJMCIHG-UHFFFAOYSA-N 0 1 263.286 0.196 20 30 CCEDMN C#CCNC(=O)CN[C@@H](CCO)c1ccc(OC)cc1 ZINC000774848146 581999402 /nfs/dbraw/zinc/99/94/02/581999402.db2.gz RPPJTRXDVRJDSY-AWEZNQCLSA-N 0 1 276.336 0.458 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H](C)CN1CCOCC1)[C@H]1CCCO1 ZINC000775344771 582020161 /nfs/dbraw/zinc/02/01/61/582020161.db2.gz BUCXWWIASQWAEI-MELADBBJSA-N 0 1 280.368 0.252 20 30 CCEDMN C#C[C@H](N[C@@H]1CCCS(=O)(=O)C1)[C@H]1CCCO1 ZINC000775572482 582029823 /nfs/dbraw/zinc/02/98/23/582029823.db2.gz AZZNGAFWLYKPNS-GRYCIOLGSA-N 0 1 257.355 0.334 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCCN(CC)C(=O)[O-] ZINC000738462084 582053022 /nfs/dbraw/zinc/05/30/22/582053022.db2.gz WIZVVZNIWYROJL-NSHDSACASA-N 0 1 267.329 0.200 20 30 CCEDMN CCN(CC(=O)NCCNc1ncccc1C#N)C1CC1 ZINC000750853053 582060971 /nfs/dbraw/zinc/06/09/71/582060971.db2.gz CRVCTCLWCRWQRH-UHFFFAOYSA-N 0 1 287.367 0.966 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@H]1C[C@@]12CCOC2 ZINC000764754836 582067378 /nfs/dbraw/zinc/06/73/78/582067378.db2.gz AXOJGHHUYGXFHZ-GIPNMCIBSA-N 0 1 250.298 0.646 20 30 CCEDMN C[C@H](CNC(=O)[O-])[N@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000738941783 582091997 /nfs/dbraw/zinc/09/19/97/582091997.db2.gz UTTOJIYYQQNPFF-RNCFNFMXSA-N 0 1 282.344 0.383 20 30 CCEDMN C[C@H](CNC(=O)[O-])[N@@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000738941783 582091998 /nfs/dbraw/zinc/09/19/98/582091998.db2.gz UTTOJIYYQQNPFF-RNCFNFMXSA-N 0 1 282.344 0.383 20 30 CCEDMN C#CCNC(=O)CN1CCC(C2CCOCC2)CC1 ZINC000752147493 582101756 /nfs/dbraw/zinc/10/17/56/582101756.db2.gz AVEJVELEVFUNHT-UHFFFAOYSA-N 0 1 264.369 0.874 20 30 CCEDMN N#CCOc1ccc(C[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])cc1 ZINC000739087578 582102166 /nfs/dbraw/zinc/10/21/66/582102166.db2.gz KPAGVOSVYUGHGH-YPMHNXCESA-N 0 1 276.292 0.609 20 30 CCEDMN C#C[C@H](C)N(C)Cc1ccc(S(N)(=O)=O)cc1 ZINC000877480273 613114345 /nfs/dbraw/zinc/11/43/45/613114345.db2.gz QGLZIQAKXWEXCU-JTQLQIEISA-N 0 1 252.339 0.788 20 30 CCEDMN C#CCOCCN1CCN(C[C@@H]2CCCO2)CC1 ZINC000851714868 612983402 /nfs/dbraw/zinc/98/34/02/612983402.db2.gz VSAJBSSDFJZILA-AWEZNQCLSA-N 0 1 252.358 0.433 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@H](CC(=O)[O-])C1)C1CC1 ZINC000817727976 597081390 /nfs/dbraw/zinc/08/13/90/597081390.db2.gz PDDVZBAEYOGBOK-ABAIWWIYSA-N 0 1 293.367 0.982 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC000805032463 612985469 /nfs/dbraw/zinc/98/54/69/612985469.db2.gz MELJSWBBACHXDB-AAEUAGOBSA-N 0 1 270.398 0.802 20 30 CCEDMN C#CCOCCN1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)OC ZINC000851973720 612985141 /nfs/dbraw/zinc/98/51/41/612985141.db2.gz ILYXNROUIHYEDA-AVGNSLFASA-N 0 1 251.326 0.910 20 30 CCEDMN C[N@H+]1CC[C@@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820620552 597636952 /nfs/dbraw/zinc/63/69/52/597636952.db2.gz OTHOJMIKFLHLSC-CABZTGNLSA-N 0 1 253.302 0.059 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)NCC[NH+]1CCSCC1 ZINC000819842458 597646834 /nfs/dbraw/zinc/64/68/34/597646834.db2.gz QHWQRKUHSZWZPD-LBPRGKRZSA-N 0 1 285.369 0.156 20 30 CCEDMN C#CCOCCNC(=O)Nc1cc(CN(C)C)ccn1 ZINC000880600771 612988047 /nfs/dbraw/zinc/98/80/47/612988047.db2.gz VZFTUTDJNAKYBO-UHFFFAOYSA-N 0 1 276.340 0.915 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC[N@H+]1CCC[C@H](C)C1 ZINC000822523962 613001371 /nfs/dbraw/zinc/00/13/71/613001371.db2.gz RQYOFIGVYVOINJ-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCSCC(=O)N(C)[C@@H]1CN2CCC1CC2 ZINC000900662045 613008904 /nfs/dbraw/zinc/00/89/04/613008904.db2.gz MFCDOXWAHNQZRZ-GFCCVEGCSA-N 0 1 252.383 0.905 20 30 CCEDMN C#CCC[NH+]1CCN(C[C@@H]2CCN(C(=O)[O-])C2)CC1 ZINC000833787309 613011700 /nfs/dbraw/zinc/01/17/00/613011700.db2.gz LCOHDQMEWQIYAN-ZDUSSCGKSA-N 0 1 265.357 0.627 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@H]2CC[N@H+](CCOC)C2)C1 ZINC000883435816 612948143 /nfs/dbraw/zinc/94/81/43/612948143.db2.gz DCFDUHJKIJZHJO-NSHDSACASA-N 0 1 269.320 0.074 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@H]2CCN(CCOC)C2)C1 ZINC000883435816 612948145 /nfs/dbraw/zinc/94/81/45/612948145.db2.gz DCFDUHJKIJZHJO-NSHDSACASA-N 0 1 269.320 0.074 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000875929743 613036288 /nfs/dbraw/zinc/03/62/88/613036288.db2.gz UKCKOHIGCIHDGX-SCZZXKLOSA-N 0 1 265.317 0.512 20 30 CCEDMN C#CC1(O)CCN(C[C@H]2[C@@H](C(=O)OC)C2(F)F)CC1 ZINC000895384292 612952552 /nfs/dbraw/zinc/95/25/52/612952552.db2.gz IZOQWYMQIDNTTL-UWVGGRQHSA-N 0 1 273.279 0.501 20 30 CCEDMN C#CCNC(=S)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000848659094 612953137 /nfs/dbraw/zinc/95/31/37/612953137.db2.gz SCMQBYKKDSSXIQ-CYBMUJFWSA-N 0 1 281.425 0.681 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)N(C)CCC#N)C[C@@H]1C(=O)[O-] ZINC000833626319 604843161 /nfs/dbraw/zinc/84/31/61/604843161.db2.gz ZQFHOBCUZZXDKP-QWRGUYRKSA-N 0 1 267.329 0.401 20 30 CCEDMN C[C@H](C(=O)[O-])n1cccc1C=NN1CC[NH+](C)CC1 ZINC000833406396 605038240 /nfs/dbraw/zinc/03/82/40/605038240.db2.gz DIULXTJXKCRDGO-LLVKDONJSA-N 0 1 264.329 0.715 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[O-])C[C@H]1[NH2+]CC1(O)CCCCC1 ZINC000833967506 605685446 /nfs/dbraw/zinc/68/54/46/605685446.db2.gz RHZHGKMHANWOAU-GHMZBOCLSA-N 0 1 267.329 0.773 20 30 CCEDMN C[C@H]1[C@H](C)[N@@H+](CCC(=O)N(C)CCC#N)CCN1C(=O)[O-] ZINC000833826035 606044470 /nfs/dbraw/zinc/04/44/70/606044470.db2.gz FKQDXAVUKGWKHO-RYUDHWBXSA-N 0 1 296.371 0.821 20 30 CCEDMN C#CC[C@H](CO)NC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000925168850 613055949 /nfs/dbraw/zinc/05/59/49/613055949.db2.gz ILVCZBJAMIAXOQ-FRRDWIJNSA-N 0 1 297.424 0.250 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)NC[C@H]1CC[N@@H+]1C(C)(C)C ZINC000854248234 613056922 /nfs/dbraw/zinc/05/69/22/613056922.db2.gz RUIXZMGWHHEKJZ-CHWSQXEVSA-N 0 1 281.400 0.933 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1CCN(C)C[C@H]1CC ZINC000875926635 613061710 /nfs/dbraw/zinc/06/17/10/613061710.db2.gz HVZKXDMTMKNGBA-CHWSQXEVSA-N 0 1 267.373 0.760 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c(C)nn(C)c2F)C1 ZINC000980288225 613084420 /nfs/dbraw/zinc/08/44/20/613084420.db2.gz AFNDQLDQMMMNHQ-LLVKDONJSA-N 0 1 278.331 0.647 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@]2(CC)CCNC2=O)C1 ZINC000971717540 613092561 /nfs/dbraw/zinc/09/25/61/613092561.db2.gz YRLUPVVTOMLMSL-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CC[C@H](O)C1)C(C)C ZINC000875594872 613095512 /nfs/dbraw/zinc/09/55/12/613095512.db2.gz TTZOXRXCNKJAOO-NWDGAFQWSA-N 0 1 253.346 0.010 20 30 CCEDMN C#CCC1(O)CCN([C@@H]2CCN(CC=C)C2=O)CC1 ZINC000880484306 612974192 /nfs/dbraw/zinc/97/41/92/612974192.db2.gz UMHRRVMZHJARJQ-CYBMUJFWSA-N 0 1 262.353 0.624 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC000817853162 614180491 /nfs/dbraw/zinc/18/04/91/614180491.db2.gz AIOJPSKVPYESAD-GXFFZTMASA-N 0 1 267.329 0.447 20 30 CCEDMN CSCc1n[nH]c(CNc2ccncc2C#N)n1 ZINC000884695339 617258555 /nfs/dbraw/zinc/25/85/55/617258555.db2.gz UDXOBPJTTNEIAH-UHFFFAOYSA-N 0 1 260.326 0.968 20 30 CCEDMN CSCc1nnc(CNc2ccncc2C#N)[nH]1 ZINC000884695339 617258557 /nfs/dbraw/zinc/25/85/57/617258557.db2.gz UDXOBPJTTNEIAH-UHFFFAOYSA-N 0 1 260.326 0.968 20 30 CCEDMN COc1ccc(COCC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000843464222 617261969 /nfs/dbraw/zinc/26/19/69/617261969.db2.gz ZWWBRRNTSVNBNL-TZMCWYRMSA-N 0 1 289.335 0.440 20 30 CCEDMN COc1ccc(NC(=O)NC[C@H]2COCCN2)cc1C#N ZINC000868203873 617270030 /nfs/dbraw/zinc/27/00/30/617270030.db2.gz LEKGFEBDLQYXSB-LBPRGKRZSA-N 0 1 290.323 0.677 20 30 CCEDMN COc1ccc(NC(=O)N[C@H]2CNC[C@H]2C#N)c(C)n1 ZINC000841146794 617270096 /nfs/dbraw/zinc/27/00/96/617270096.db2.gz SIQVPJYXIMDXMS-KOLCDFICSA-N 0 1 275.312 0.632 20 30 CCEDMN COc1ccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c(Cl)n1 ZINC000841145414 617270255 /nfs/dbraw/zinc/27/02/55/617270255.db2.gz QOMQIXZGQHIBII-CBAPKCEASA-N 0 1 295.730 0.977 20 30 CCEDMN COc1ccc(OC)c(CC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834512092 617274943 /nfs/dbraw/zinc/27/49/43/617274943.db2.gz RRABVMWJVHLNNU-YPMHNXCESA-N 0 1 289.335 0.474 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](N(C)C(=O)Cc2ccn[nH]2)C1 ZINC000939395689 617290967 /nfs/dbraw/zinc/29/09/67/617290967.db2.gz GENKTCITSOWPFM-CMPLNLGQSA-N 0 1 289.339 0.171 20 30 CCEDMN COc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1C ZINC000852827569 617292978 /nfs/dbraw/zinc/29/29/78/617292978.db2.gz LGLPBKHFAFZOIZ-ZYHUDNBSSA-N 0 1 259.309 0.845 20 30 CCEDMN COc1cccc(OC)c1CC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834480317 617305852 /nfs/dbraw/zinc/30/58/52/617305852.db2.gz OHRPBYDHNUUDBH-PWSUYJOCSA-N 0 1 289.335 0.474 20 30 CCEDMN COc1cccc([C@@H](O)C(=O)NCC#CCN(C)C)c1 ZINC000913520764 617308077 /nfs/dbraw/zinc/30/80/77/617308077.db2.gz XQSPAWHSJHKXSN-CQSZACIVSA-N 0 1 276.336 0.410 20 30 CCEDMN C#CC1(O)CN(S(=O)(=O)c2cc3cn[nH]c3cc2C)C1 ZINC000908230525 612961841 /nfs/dbraw/zinc/96/18/41/612961841.db2.gz ZOVZJEVISINBJH-UHFFFAOYSA-N 0 1 291.332 0.240 20 30 CCEDMN C#CCC1(O)CCN(Cc2c3c(nn2C)CCOC3)CC1 ZINC000896025139 612973920 /nfs/dbraw/zinc/97/39/20/612973920.db2.gz FLDLBOLMEUPONF-UHFFFAOYSA-N 0 1 289.379 0.843 20 30 CCEDMN C#CCOCCC(=O)N1[C@H](C)CN(C)[C@@H](C)[C@H]1C ZINC000844641652 612978919 /nfs/dbraw/zinc/97/89/19/612978919.db2.gz DWHPVWXMAAWVAB-FRRDWIJNSA-N 0 1 252.358 0.966 20 30 CCEDMN C#CCCN(CCOC)C(=O)CN1CCN(CC)CC1 ZINC000856388956 612987168 /nfs/dbraw/zinc/98/71/68/612987168.db2.gz VMJJNTBEXJKJBE-UHFFFAOYSA-N 0 1 281.400 0.122 20 30 CCEDMN C#CCCN(CCOC)C(=O)NCCCc1nc[nH]n1 ZINC000923132048 612987429 /nfs/dbraw/zinc/98/74/29/612987429.db2.gz OPWQYTGIQGZLCJ-UHFFFAOYSA-N 0 1 279.344 0.419 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H]1CCC[N@H+]1Cc1ccccn1 ZINC000855607061 612992805 /nfs/dbraw/zinc/99/28/05/612992805.db2.gz VSMSRKBIHCAGOY-ZDUSSCGKSA-N 0 1 259.309 0.727 20 30 CCEDMN C#CCONC(=O)c1cc[nH]c(=O)c1Br ZINC000812783136 612993411 /nfs/dbraw/zinc/99/34/11/612993411.db2.gz AHZAOUGKATYXMC-UHFFFAOYSA-N 0 1 271.070 0.844 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000854330285 612994646 /nfs/dbraw/zinc/99/46/46/612994646.db2.gz HTDGCOLLFKZCEN-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000829622512 612994940 /nfs/dbraw/zinc/99/49/40/612994940.db2.gz QDHLAFBFEHSKIC-STQMWFEESA-N 0 1 282.384 0.328 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC)C[C@@H]1CC ZINC000836950429 612995071 /nfs/dbraw/zinc/99/50/71/612995071.db2.gz YQKGFEPQKPQNNP-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1[C@H](C)CN(C)[C@H](C)[C@@H]1C ZINC000844646303 613001042 /nfs/dbraw/zinc/00/10/42/613001042.db2.gz KYCSLFADIOYZRR-FVCCEPFGSA-N 0 1 252.358 0.964 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC[N@H+]1CCC[C@@H](C)C1 ZINC000822523960 613001352 /nfs/dbraw/zinc/00/13/52/613001352.db2.gz RQYOFIGVYVOINJ-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc(=O)n(C)o2)CC1 ZINC000981050883 613005638 /nfs/dbraw/zinc/00/56/38/613005638.db2.gz AHTFCVLNPPLVGG-UHFFFAOYSA-N 0 1 277.324 0.150 20 30 CCEDMN C#CCSCC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000899182796 613008810 /nfs/dbraw/zinc/00/88/10/613008810.db2.gz AOMALDIDWSKXFK-UHFFFAOYSA-N 0 1 296.436 0.924 20 30 CCEDMN C#CCCN1CCN(c2cc(Cl)[nH]c(=O)n2)CC1 ZINC000858366990 613012007 /nfs/dbraw/zinc/01/20/07/613012007.db2.gz GZGZMIIFGDSFLD-UHFFFAOYSA-N 0 1 266.732 0.981 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000858468387 613021649 /nfs/dbraw/zinc/02/16/49/613021649.db2.gz GAAIVGRGAXDBEW-RYUDHWBXSA-N 0 1 290.367 0.995 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)NCCCc1cn[nH]c1C ZINC000913998321 613025007 /nfs/dbraw/zinc/02/50/07/613025007.db2.gz BFNJIQYILONCFX-LBPRGKRZSA-N 0 1 264.329 0.334 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N(C)CC1CN(C)C1 ZINC000859038605 613032901 /nfs/dbraw/zinc/03/29/01/613032901.db2.gz OEOIGZPDLRFVTO-LBPRGKRZSA-N 0 1 253.346 0.228 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)NCCCc1cn[nH]c1C ZINC000798352899 613056413 /nfs/dbraw/zinc/05/64/13/613056413.db2.gz PSEKKZWDNKYBEW-CYBMUJFWSA-N 0 1 278.356 0.724 20 30 CCEDMN C#CC[C@H](CO)NCc1cnc(C2OCCO2)s1 ZINC000883124697 613058786 /nfs/dbraw/zinc/05/87/86/613058786.db2.gz NCERAKJMAODQTN-SECBINFHSA-N 0 1 268.338 0.662 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1cncc2nc[nH]c21 ZINC000897657597 613059153 /nfs/dbraw/zinc/05/91/53/613059153.db2.gz URHFUBAORWDBMU-SECBINFHSA-N 0 1 258.281 0.462 20 30 CCEDMN C#CC[C@H](COC)NC(=O)c1cncc2nc[nH]c21 ZINC000899152156 613066069 /nfs/dbraw/zinc/06/60/69/613066069.db2.gz PUSSAFXPIVPKNB-SECBINFHSA-N 0 1 258.281 0.726 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@@]1(C(=O)[O-])CCSC1 ZINC000909036873 613076242 /nfs/dbraw/zinc/07/62/42/613076242.db2.gz BLVYJZPBINOSAG-ZDUSSCGKSA-N 0 1 299.396 0.201 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2cc(OC)no2)C1 ZINC000971616264 613080388 /nfs/dbraw/zinc/08/03/88/613080388.db2.gz NVWZBTUVBBXUAY-GFCCVEGCSA-N 0 1 291.351 0.782 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c(C)n[nH]c2C)C1 ZINC000972560285 613084431 /nfs/dbraw/zinc/08/44/31/613084431.db2.gz UXXODVYSPQUJMB-GFCCVEGCSA-N 0 1 260.341 0.806 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(N(C)C)nc2)C1 ZINC000971844817 613085908 /nfs/dbraw/zinc/08/59/08/613085908.db2.gz RPIPYHIMIOJXTI-CQSZACIVSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(C)nc2C)C1 ZINC000971220831 613087347 /nfs/dbraw/zinc/08/73/47/613087347.db2.gz KVOCAWQIWFOHGZ-GFCCVEGCSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2ccnn2C)C1 ZINC000971625960 613089690 /nfs/dbraw/zinc/08/96/90/613089690.db2.gz RNAJTPMHUZHHIB-AWEZNQCLSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cn2cc(C)cn2)C1 ZINC000971153168 613091128 /nfs/dbraw/zinc/09/11/28/613091128.db2.gz GNZWEJUAPYLYGN-ZDUSSCGKSA-N 0 1 260.341 0.357 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc3c([nH]2)CCOC3)C1 ZINC000971665156 613094412 /nfs/dbraw/zinc/09/44/12/613094412.db2.gz KJSJKTQPPMFQFS-ZDUSSCGKSA-N 0 1 287.363 0.867 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnc3nc(C)nn32)C1 ZINC000972719204 613095954 /nfs/dbraw/zinc/09/59/54/613095954.db2.gz UKZGZYDFODWTQG-LBPRGKRZSA-N 0 1 298.350 0.212 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)Cc1ccon1 ZINC000922022913 613098155 /nfs/dbraw/zinc/09/81/55/613098155.db2.gz DKPVLORCNKVYDK-UHFFFAOYSA-N 0 1 297.380 0.534 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1ccnn1C ZINC000922022756 613098214 /nfs/dbraw/zinc/09/82/14/613098214.db2.gz ABRJJFBJMONQJP-UHFFFAOYSA-N 0 1 296.396 0.138 20 30 CCEDMN C#CC[NH+](CC#C)C[C@H](O)COc1ccc(C(=O)[O-])cc1 ZINC000825609139 613099026 /nfs/dbraw/zinc/09/90/26/613099026.db2.gz WLIZZXYQOHUCLC-AWEZNQCLSA-N 0 1 287.315 0.693 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000890647659 613101056 /nfs/dbraw/zinc/10/10/56/613101056.db2.gz JGHUZGFHBMVAEF-LLVKDONJSA-N 0 1 298.350 0.803 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)NCCN2CCCOCC2)C1 ZINC000847376808 613104036 /nfs/dbraw/zinc/10/40/36/613104036.db2.gz ICWASKLEUYXDPI-CQSZACIVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)NC[C@H]1CCN1C ZINC000925431806 613104267 /nfs/dbraw/zinc/10/42/67/613104267.db2.gz ZPVLXMCRGWKJAO-GFCCVEGCSA-N 0 1 295.383 0.677 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1nnc2ccccc2c1O ZINC000838269482 613111298 /nfs/dbraw/zinc/11/12/98/613111298.db2.gz NSJVLYFKMDDZFW-SNVBAGLBSA-N 0 1 283.287 0.810 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000820435068 613116054 /nfs/dbraw/zinc/11/60/54/613116054.db2.gz DUNJDPRLKYUSCE-AVGNSLFASA-N 0 1 265.357 0.249 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2C[C@@H](C(N)=O)C2)CC1 ZINC000863360915 613128657 /nfs/dbraw/zinc/12/86/57/613128657.db2.gz WCRVNAIPNVUNBC-BETUJISGSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(=O)N(C)CC2)CC1 ZINC000855914098 613128750 /nfs/dbraw/zinc/12/87/50/613128750.db2.gz GADONDGPEMMACT-UHFFFAOYSA-N 0 1 277.368 0.022 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C2CN(C(=O)CC)C2)CC1 ZINC000954499853 613128777 /nfs/dbraw/zinc/12/87/77/613128777.db2.gz AMMQXLKESABIOT-UHFFFAOYSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H]2CN(C)C(C)=O)CC1 ZINC000977265534 613129182 /nfs/dbraw/zinc/12/91/82/613129182.db2.gz MHQBXPGDVUZMRA-OAHLLOKOSA-N 0 1 291.395 0.411 20 30 CCEDMN C#C[C@H](CO)NC(=O)c1ccc(CN2CCCCC2)o1 ZINC000821288316 613129483 /nfs/dbraw/zinc/12/94/83/613129483.db2.gz IWVXSUZNEAARHR-GFCCVEGCSA-N 0 1 276.336 0.989 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@H]2CCC3(COC3)O2)CC1 ZINC000922445013 613132148 /nfs/dbraw/zinc/13/21/48/613132148.db2.gz MEVOCLPTMUWAOX-CQSZACIVSA-N 0 1 293.363 0.823 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(CC)COC2)CC1 ZINC000928656284 613132502 /nfs/dbraw/zinc/13/25/02/613132502.db2.gz QGLYABHEZOEGQP-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)CCNC(=O)C(C)(C)C)CC1 ZINC000928659013 613133045 /nfs/dbraw/zinc/13/30/45/613133045.db2.gz BLZZKGMDLHSPPE-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cncn2C)CC1 ZINC000928652564 613133284 /nfs/dbraw/zinc/13/32/84/613133284.db2.gz CQBTYZIQXRAILV-UHFFFAOYSA-N 0 1 260.341 0.176 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2cc(C)cn2)CC1 ZINC000928657420 613133530 /nfs/dbraw/zinc/13/35/30/613133530.db2.gz IDEQTVCTVWSXOE-UHFFFAOYSA-N 0 1 260.341 0.405 20 30 CCEDMN C#CCN1CCC(NC(=O)NC2CCOCC2)CC1 ZINC000914264679 613133713 /nfs/dbraw/zinc/13/37/13/613133713.db2.gz KAUKNCILKVGTPJ-UHFFFAOYSA-N 0 1 265.357 0.562 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCCOC)CC1 ZINC000905146421 613133914 /nfs/dbraw/zinc/13/39/14/613133914.db2.gz WDQAFLLNFVEJHC-UHFFFAOYSA-N 0 1 253.346 0.420 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCc2ccn(C)n2)CC1 ZINC000921969772 613133920 /nfs/dbraw/zinc/13/39/20/613133920.db2.gz RYQUXQIFSBLKQX-UHFFFAOYSA-N 0 1 289.383 0.359 20 30 CCEDMN C#CCN1CCC(NC(=O)NC2CC(C(=O)OC)C2)CC1 ZINC000923905077 613133951 /nfs/dbraw/zinc/13/39/51/613133951.db2.gz ZHPNGESGSRRXPB-UHFFFAOYSA-N 0 1 293.367 0.335 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H]2C[C@@H](OC)C2)CC1 ZINC000923482329 613134259 /nfs/dbraw/zinc/13/42/59/613134259.db2.gz DNWPKOPBBQXUGV-XBXGTLAGSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)COCCOC)CC1 ZINC000920487929 613135038 /nfs/dbraw/zinc/13/50/38/613135038.db2.gz IRNBIJQBGFILOV-ZDUSSCGKSA-N 0 1 297.399 0.435 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CO[C@H](C3CC3)C2)CC1 ZINC000923463734 613135050 /nfs/dbraw/zinc/13/50/50/613135050.db2.gz SBSHCQADZLHFOJ-GJZGRUSLSA-N 0 1 291.395 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCN(C(=O)CC)C2)CC1 ZINC000928655217 613135934 /nfs/dbraw/zinc/13/59/34/613135934.db2.gz SBZKFBKVAWFXEL-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](CC)C(=O)OCC)CC1 ZINC000928649076 613135951 /nfs/dbraw/zinc/13/59/51/613135951.db2.gz GIXMBFRXQWPRPH-CYBMUJFWSA-N 0 1 280.368 0.790 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@@H]1CCC)[C@@H]1CCCO1 ZINC000841880516 613136366 /nfs/dbraw/zinc/13/63/66/613136366.db2.gz BRTUVYBXNNGAMA-IHRRRGAJSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](NC(N)=O)C(C)(C)C)CC1 ZINC000928653477 613136439 /nfs/dbraw/zinc/13/64/39/613136439.db2.gz NJTAXXKSLPGQQZ-LBPRGKRZSA-N 0 1 294.399 0.283 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(O)cc2O)CC1 ZINC000928654082 613137045 /nfs/dbraw/zinc/13/70/45/613137045.db2.gz MSOJWXIEKQFOJV-UHFFFAOYSA-N 0 1 274.320 0.925 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C)ncn2)CC1 ZINC000928654612 613137053 /nfs/dbraw/zinc/13/70/53/613137053.db2.gz JJNBLCORIGFQQM-UHFFFAOYSA-N 0 1 258.325 0.612 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc3n(n2)CCCO3)CC1 ZINC000928652686 613137337 /nfs/dbraw/zinc/13/73/37/613137337.db2.gz IMJRUQHTSFKRQC-UHFFFAOYSA-N 0 1 288.351 0.493 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnc3c2nnn3C)CC1 ZINC000928653160 613137500 /nfs/dbraw/zinc/13/75/00/613137500.db2.gz BHEGNVCJAFRPHN-UHFFFAOYSA-N 0 1 298.350 0.191 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnn2CC(F)F)CC1 ZINC000928653616 613137593 /nfs/dbraw/zinc/13/75/93/613137593.db2.gz SQLFNHMDPJUQPT-UHFFFAOYSA-N 0 1 296.321 0.976 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnnn2CC)CC1 ZINC000928651034 613137949 /nfs/dbraw/zinc/13/79/49/613137949.db2.gz KRJJJUXTCFBURI-UHFFFAOYSA-N 0 1 261.329 0.125 20 30 CCEDMN C#CCN1CCC(Nc2nc3c(cnn3C)c(=O)[nH]2)CC1 ZINC000895807730 613139018 /nfs/dbraw/zinc/13/90/18/613139018.db2.gz SNFZGUJOPAYKFV-UHFFFAOYSA-N 0 1 286.339 0.578 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cn3ccc(C)n3)C2)C1 ZINC000982019677 613141185 /nfs/dbraw/zinc/14/11/85/613141185.db2.gz HOGASYUCNPTLHI-UHFFFAOYSA-N 0 1 286.379 0.749 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)c1n[nH]c(C)n1)[C@@H]1CCCO1 ZINC000807137798 613142192 /nfs/dbraw/zinc/14/21/92/613142192.db2.gz BINXWLYDQIPRDL-LSJOCFKGSA-N 0 1 277.328 0.654 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@]3(C)CCOC3)C2)C1 ZINC000982087609 613143346 /nfs/dbraw/zinc/14/33/46/613143346.db2.gz XRAMFWNHTMGVKN-OAHLLOKOSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnn(C)c3N)C2)C1 ZINC000981728638 613144779 /nfs/dbraw/zinc/14/47/79/613144779.db2.gz RXRHGNSHMVPYOP-UHFFFAOYSA-N 0 1 287.367 0.174 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)[C@H]1CCCO1 ZINC000822074452 613146441 /nfs/dbraw/zinc/14/64/41/613146441.db2.gz XHPMCEMKOMKMQP-HNCHTBHHSA-N 0 1 259.309 0.810 20 30 CCEDMN C#C[C@](C)(CC)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000908530108 613163309 /nfs/dbraw/zinc/16/33/09/613163309.db2.gz UOEGLZGNTVOWFJ-GXTWGEPZSA-N 0 1 250.342 0.625 20 30 CCEDMN C#C[C@](C)(CC)NCC(=O)NCC(=O)N1CCCC1 ZINC000852099176 613164505 /nfs/dbraw/zinc/16/45/05/613164505.db2.gz GEESKKQVPGGEQG-CQSZACIVSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C2/SCC(=O)N2C)C1 ZINC000923556413 613164571 /nfs/dbraw/zinc/16/45/71/613164571.db2.gz GSBWQHVSLBXCGV-GYBQTFJISA-N 0 1 293.392 0.247 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C(/C)COC)C1 ZINC000923555914 613180744 /nfs/dbraw/zinc/18/07/44/613180744.db2.gz YHJSHQAWPDFACL-SUIFULHWSA-N 0 1 250.342 0.793 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C/c2ccncn2)C1 ZINC000923564509 613181235 /nfs/dbraw/zinc/18/12/35/613181235.db2.gz ZKNPPQDPJVDWLL-GJBLVYBDSA-N 0 1 270.336 0.704 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@](C)(C(=O)[O-])C1 ZINC000909839252 613195160 /nfs/dbraw/zinc/19/51/60/613195160.db2.gz HHEIGYNJTZVRDJ-WFASDCNBSA-N 0 1 278.352 0.797 20 30 CCEDMN C#Cc1ccc(NC[C@@H](O)CN2CCN(C)CC2)cc1 ZINC000899918034 613195929 /nfs/dbraw/zinc/19/59/29/613195929.db2.gz HQSVVTDVZZPJII-MRXNPFEDSA-N 0 1 273.380 0.688 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2C[C@H](C)NC[C@H]2C)c1 ZINC000841206814 613202208 /nfs/dbraw/zinc/20/22/08/613202208.db2.gz DYILLTTUVLPMJQ-NWDGAFQWSA-N 0 1 285.347 0.815 20 30 CCEDMN C#Cc1cccnc1N1CCN([C@@H](CC)CO)CC1 ZINC000853391021 613208545 /nfs/dbraw/zinc/20/85/45/613208545.db2.gz WLQSVDYHHDJPEL-AWEZNQCLSA-N 0 1 259.353 0.956 20 30 CCEDMN COc1ccnc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)n1 ZINC000842529416 617324936 /nfs/dbraw/zinc/32/49/36/617324936.db2.gz YOGKRIITNDULJL-UWVGGRQHSA-N 0 1 274.328 0.679 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C(N)=O)co2)C[C@@H]1C ZINC000947709557 613231911 /nfs/dbraw/zinc/23/19/11/613231911.db2.gz DUMTZCMIBPRMHW-CMPLNLGQSA-N 0 1 289.335 0.594 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CC)cn2)[C@H](C)C1 ZINC000944191247 613240551 /nfs/dbraw/zinc/24/05/51/613240551.db2.gz AEWGYJGHPBNPBW-CHWSQXEVSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn3c(n2)CCC3)[C@@H](C)C1 ZINC000942059812 613240582 /nfs/dbraw/zinc/24/05/82/613240582.db2.gz BLIJAZYHWQGCDX-QWHCGFSZSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3c2CCC3)[C@H](C)C1 ZINC000942106048 613241840 /nfs/dbraw/zinc/24/18/40/613241840.db2.gz LJVUONFXGMGVGV-TZMCWYRMSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3ccncc23)C[C@H]1C ZINC000947396372 613241931 /nfs/dbraw/zinc/24/19/31/613241931.db2.gz UIQPGDBFSDDFLD-CHWSQXEVSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2N)C[C@@H]1C ZINC000947226174 613241998 /nfs/dbraw/zinc/24/19/98/613241998.db2.gz NEBULKRODKDQMR-WDEREUQCSA-N 0 1 275.356 0.218 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2C)C[C@H]1C ZINC000947061248 613242061 /nfs/dbraw/zinc/24/20/61/613242061.db2.gz NOYHHCWRHLVZFA-DGCLKSJQSA-N 0 1 274.368 0.944 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CN(CC)CCO2)CC1 ZINC000957720756 613258941 /nfs/dbraw/zinc/25/89/41/613258941.db2.gz LFPRGQHLZDCMIJ-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC000950537280 613260218 /nfs/dbraw/zinc/26/02/18/613260218.db2.gz HXFSCDJKLQJWAJ-KGLIPLIRSA-N 0 1 293.411 0.998 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CCNC2=O)CC1 ZINC000949642490 613260298 /nfs/dbraw/zinc/26/02/98/613260298.db2.gz FWFXIBUGFGDNAK-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)no2)C[C@H]1C ZINC000947210643 613267089 /nfs/dbraw/zinc/26/70/89/613267089.db2.gz JBAJCSBQPFWPLR-MNOVXSKESA-N 0 1 277.324 0.899 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccn3nnnc23)C[C@H]1C ZINC000947346519 613271358 /nfs/dbraw/zinc/27/13/58/613271358.db2.gz AEYOMXOLFZHLMO-NEPJUHHUSA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C(C)C)nn2)[C@@H](C)C1 ZINC000943800983 613273363 /nfs/dbraw/zinc/27/33/63/613273363.db2.gz XCHSOXBPFHRHAQ-STQMWFEESA-N 0 1 289.383 0.932 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnnn2C)C(C)(C)C1 ZINC000940722776 613275925 /nfs/dbraw/zinc/27/59/25/613275925.db2.gz ZXJSTVAMGARCBR-LBPRGKRZSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2csc(=O)[nH]2)C(C)(C)C1 ZINC000974944887 613284804 /nfs/dbraw/zinc/28/48/04/613284804.db2.gz JYWQODCZNLLKFY-SNVBAGLBSA-N 0 1 279.365 0.922 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)cc(F)c2)C1 ZINC000958423326 613286007 /nfs/dbraw/zinc/28/60/07/613286007.db2.gz MORXEITUKYNMOT-DZGCQCFKSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(OC)cs2)C1 ZINC000958317224 613286174 /nfs/dbraw/zinc/28/61/74/613286174.db2.gz UWNHMMJTDJAANO-CMPLNLGQSA-N 0 1 294.376 0.412 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(F)cc2C)C1 ZINC000958610329 613286566 /nfs/dbraw/zinc/28/65/66/613286566.db2.gz RDIGMYPLVCOCGH-SWLSCSKDSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(F)cc2F)C1 ZINC000958635721 613286732 /nfs/dbraw/zinc/28/67/32/613286732.db2.gz PIPTWBQRIZDNTE-IINYFYTJSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc(C)c2)C1 ZINC000957831000 613286845 /nfs/dbraw/zinc/28/68/45/613286845.db2.gz SQSBEEMCZWNMAA-LSDHHAIUSA-N 0 1 272.348 0.651 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC000958222218 613286996 /nfs/dbraw/zinc/28/69/96/613286996.db2.gz BEBINGFDIKZLPS-GOEBONIOSA-N 0 1 299.374 0.615 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2oc(C)cc2C)C1 ZINC000957946682 613287622 /nfs/dbraw/zinc/28/76/22/613287622.db2.gz AKOAPJHALMKJRU-QWHCGFSZSA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2sccc2CC)C1 ZINC000958723657 613287854 /nfs/dbraw/zinc/28/78/54/613287854.db2.gz ILLBSQJNTGXUHX-QWHCGFSZSA-N 0 1 292.404 0.966 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ocnc2C2CC2)C1 ZINC000958395359 613287926 /nfs/dbraw/zinc/28/79/26/613287926.db2.gz TVKVTQRTMZVEPO-NWDGAFQWSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2sccc2OC)C1 ZINC000958606492 613288048 /nfs/dbraw/zinc/28/80/48/613288048.db2.gz PRPBEQCAQWBKEY-WDEREUQCSA-N 0 1 294.376 0.412 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C3CC3)no2)[C@H](O)C1 ZINC000958444491 613288909 /nfs/dbraw/zinc/28/89/09/613288909.db2.gz QARMCYLEYHDYRP-DGCLKSJQSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccn2CC)[C@H](O)C1 ZINC000958683935 613289594 /nfs/dbraw/zinc/28/95/94/613289594.db2.gz IEIPGCWCWBJDIO-TZMCWYRMSA-N 0 1 275.352 0.164 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccoc2C)[C@H](O)C1 ZINC000957865045 613290264 /nfs/dbraw/zinc/29/02/64/613290264.db2.gz WIAFNPFLUYYFDZ-DGCLKSJQSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2nc(Cl)cs2)[C@H](O)C1 ZINC000958328677 613290664 /nfs/dbraw/zinc/29/06/64/613290664.db2.gz KYPLHVJOUHISRB-RKDXNWHRSA-N 0 1 299.783 0.452 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ncccc2Cl)[C@H](O)C1 ZINC000958605820 613290910 /nfs/dbraw/zinc/29/09/10/613290910.db2.gz GMNWOPPNAZTWED-ZYHUDNBSSA-N 0 1 293.754 0.391 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3cncnc3)C[C@]2(C)C1 ZINC000982262662 613293567 /nfs/dbraw/zinc/29/35/67/613293567.db2.gz GHNMMVOREXZKLK-IYBDPMFKSA-N 0 1 284.363 0.894 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3cn[nH]c3)C[C@]2(C)C1 ZINC000982222039 613293867 /nfs/dbraw/zinc/29/38/67/613293867.db2.gz UVDBAKHBCYUJND-GASCZTMLSA-N 0 1 272.352 0.827 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c[nH]c3cccnc32)C1 ZINC000958581803 613294181 /nfs/dbraw/zinc/29/41/81/613294181.db2.gz SWMRJBCNENUROL-FZMZJTMJSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(C)[nH]nc2Cl)C1 ZINC000958126466 613294211 /nfs/dbraw/zinc/29/42/11/613294211.db2.gz PJENFDXLBZEJBZ-UWVGGRQHSA-N 0 1 296.758 0.027 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc3cc[nH]c3c2)C1 ZINC000958438799 613295315 /nfs/dbraw/zinc/29/53/15/613295315.db2.gz NHTXEZBTBMLLTP-HOCLYGCPSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2sccc2CC)C1 ZINC000958723658 613296817 /nfs/dbraw/zinc/29/68/17/613296817.db2.gz ILLBSQJNTGXUHX-STQMWFEESA-N 0 1 292.404 0.966 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)ccc2C)C1 ZINC000958625857 613302218 /nfs/dbraw/zinc/30/22/18/613302218.db2.gz MKJAYHKYPNHTFO-ZBFHGGJFSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc3cc[nH]c3c2)C1 ZINC000958438800 613302991 /nfs/dbraw/zinc/30/29/91/613302991.db2.gz NHTXEZBTBMLLTP-ZBFHGGJFSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccoc2CC)C1 ZINC000958031437 613303693 /nfs/dbraw/zinc/30/36/93/613303693.db2.gz QEJFFQNWEDBBAT-YPMHNXCESA-N 0 1 276.336 0.498 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC000958179398 613304222 /nfs/dbraw/zinc/30/42/22/613304222.db2.gz MDIYFVPYYNOWAI-DOMZBBRYSA-N 0 1 298.346 0.219 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CO[C@H]2CCOC2)CC1 ZINC000981147747 613308169 /nfs/dbraw/zinc/30/81/69/613308169.db2.gz ZNFLTCCCHVBEMU-AWEZNQCLSA-N 0 1 282.384 0.902 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC000981520399 613309485 /nfs/dbraw/zinc/30/94/85/613309485.db2.gz QSYZDGCNQMYEJY-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCCC(=O)N2)CC1 ZINC000981394116 613310966 /nfs/dbraw/zinc/31/09/66/613310966.db2.gz ZMYCCSPZSNPTGL-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2COCCN2C)CC1 ZINC000981010203 613311483 /nfs/dbraw/zinc/31/14/83/613311483.db2.gz NLIXCLRFTADBCU-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2cn(C)nn2)CC1 ZINC000981096643 613312306 /nfs/dbraw/zinc/31/23/06/613312306.db2.gz NOMKNAGVGIJQQH-UHFFFAOYSA-N 0 1 263.345 0.539 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc(C2CC2)cn1 ZINC000960665655 613315275 /nfs/dbraw/zinc/31/52/75/613315275.db2.gz YOJFQJHTPUWARY-FOLVSLTJSA-N 0 1 284.363 0.440 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCn2ccnc2C1 ZINC000960640169 613315821 /nfs/dbraw/zinc/31/58/21/613315821.db2.gz BDRNTJFECBAOKD-CXTNEJHOSA-N 0 1 284.363 0.125 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]nc1-c1ccco1 ZINC000962647532 613317575 /nfs/dbraw/zinc/31/75/75/613317575.db2.gz STAHZDUOXKXMDC-IMRBUKKESA-N 0 1 296.330 0.963 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(NC(C)=O)cc1 ZINC000962383139 613319366 /nfs/dbraw/zinc/31/93/66/613319366.db2.gz PCSWLGOFZWJBAH-ZSHCYNCHSA-N 0 1 297.358 0.938 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nccn2ccnc12 ZINC000961807200 613322034 /nfs/dbraw/zinc/32/20/34/613322034.db2.gz MOUHMOQYQZXVRI-GDNZZTSVSA-N 0 1 281.319 0.023 20 30 CCEDMN C#CCNC(=O)CCN(CC)C(C)(C)C(=O)OC ZINC000933193405 613323999 /nfs/dbraw/zinc/32/39/99/613323999.db2.gz YESFLXIKHFDEGF-UHFFFAOYSA-N 0 1 254.330 0.399 20 30 CCEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2cnn(C)n2)C1 ZINC000968414773 613326619 /nfs/dbraw/zinc/32/66/19/613326619.db2.gz WXFMKXJQKHVFMU-DGCLKSJQSA-N 0 1 277.372 0.831 20 30 CCEDMN C#CCNC(=O)C[N@H+]1CCC[C@H](C)[C@@H]1CNC(=O)[O-] ZINC000823456550 613329260 /nfs/dbraw/zinc/32/92/60/613329260.db2.gz NOXIPFYMFUEFJI-QWRGUYRKSA-N 0 1 267.329 0.104 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCC[C@H](C)[C@@H]1CNC(=O)[O-] ZINC000823456550 613329261 /nfs/dbraw/zinc/32/92/61/613329261.db2.gz NOXIPFYMFUEFJI-QWRGUYRKSA-N 0 1 267.329 0.104 20 30 CCEDMN C#CCNC(=O)CN[C@@H](c1cccnc1)C(C)(C)CO ZINC000852092369 613331035 /nfs/dbraw/zinc/33/10/35/613331035.db2.gz PXILKIWXGYFZMK-AWEZNQCLSA-N 0 1 275.352 0.480 20 30 CCEDMN C#CCNC(=O)CN[C@]1(CO)CCc2c1cccc2OC ZINC000880379647 613331238 /nfs/dbraw/zinc/33/12/38/613331238.db2.gz HRAHAQVPBCKLOB-INIZCTEOSA-N 0 1 288.347 0.168 20 30 CCEDMN C#CCNC(=O)CSc1nc(-c2cccnc2)n[nH]1 ZINC000918749811 613333739 /nfs/dbraw/zinc/33/37/39/613333739.db2.gz HSNQZAIDGJYMQN-UHFFFAOYSA-N 0 1 273.321 0.708 20 30 CCEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2cnnn2C)C1 ZINC000965981010 613334127 /nfs/dbraw/zinc/33/41/27/613334127.db2.gz RNDWIMALWDMQNZ-NWDGAFQWSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)CN1CC[C@H](C)[C@H](NC(=O)c2ncn(C)n2)C1 ZINC000965969551 613334629 /nfs/dbraw/zinc/33/46/29/613334629.db2.gz MWPOWKBZNWWMEJ-NWDGAFQWSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)C1CN([C@@H]2CCOC2)C1)C(=O)OC ZINC000899165205 613355766 /nfs/dbraw/zinc/35/57/66/613355766.db2.gz UZEIUNWIGVXOEA-CHWSQXEVSA-N 0 1 296.367 0.331 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000910292257 613359065 /nfs/dbraw/zinc/35/90/65/613359065.db2.gz NZYSQMKMSWBQFZ-WDEREUQCSA-N 0 1 270.329 0.243 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000910292257 613359066 /nfs/dbraw/zinc/35/90/66/613359066.db2.gz NZYSQMKMSWBQFZ-WDEREUQCSA-N 0 1 270.329 0.243 20 30 CCEDMN C=C(C)C[C@H]1NC(=O)N(C[C@@H]2CCC[N@@H+]2CCOC)C1=O ZINC000865302776 613359934 /nfs/dbraw/zinc/35/99/34/613359934.db2.gz ULVKIXAQGOHTDO-QWHCGFSZSA-N 0 1 295.383 0.984 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCc1n[nH]c(=O)n1C ZINC000912246207 613361588 /nfs/dbraw/zinc/36/15/88/613361588.db2.gz HYXVBBSBIQRGGF-WPRPVWTQSA-N 0 1 266.301 0.118 20 30 CCEDMN C=C(C)[C@H](CC(=O)N1CCOC[C@H]1c1nn[nH]n1)OCC ZINC000913495970 613362190 /nfs/dbraw/zinc/36/21/90/613362190.db2.gz QGQHCIJXYCIQAA-QWRGUYRKSA-N 0 1 295.343 0.471 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912863429 613365285 /nfs/dbraw/zinc/36/52/85/613365285.db2.gz XQZZKBHNUQRGHF-JTQLQIEISA-N 0 1 298.416 0.618 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000913438970 613366948 /nfs/dbraw/zinc/36/69/48/613366948.db2.gz VEPZYDFWJNTYJM-GFCCVEGCSA-N 0 1 286.339 0.523 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)c3cn(C)nn3)[C@@H]2C1 ZINC000978114526 613381979 /nfs/dbraw/zinc/38/19/79/613381979.db2.gz IQBQGVNNLUUGSS-RTCCRHLQSA-N 0 1 295.774 0.475 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCc2nnc[nH]2)C1 ZINC000968352058 613384528 /nfs/dbraw/zinc/38/45/28/613384528.db2.gz UWDRYCAPCQTPDC-SNVBAGLBSA-N 0 1 283.763 0.680 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H]2CCNC2=O)C1 ZINC000968897653 613384804 /nfs/dbraw/zinc/38/48/04/613384804.db2.gz KAOBWRNJLZPESH-GHMZBOCLSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(=O)n(C)cn2)C1 ZINC000968960719 613385502 /nfs/dbraw/zinc/38/55/02/613385502.db2.gz AMDYJBBGVARBSK-SNVBAGLBSA-N 0 1 296.758 0.337 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC000969439354 613385540 /nfs/dbraw/zinc/38/55/40/613385540.db2.gz IVMYEWBOEWLJBS-MFKMUULPSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)NCC1(CS(C)(=O)=O)CC1 ZINC000876700959 613387925 /nfs/dbraw/zinc/38/79/25/613387925.db2.gz KEWVNTLRAOVJNC-SECBINFHSA-N 0 1 294.804 0.397 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)NCC(C)(C)S(C)(=O)=O ZINC000876640146 613387953 /nfs/dbraw/zinc/38/79/53/613387953.db2.gz ZPCRGSLHOAJJPP-MRVPVSSYSA-N 0 1 282.793 0.396 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)Cn1cc[nH+]c1C)C(=O)[O-] ZINC000910266713 613388536 /nfs/dbraw/zinc/38/85/36/613388536.db2.gz OBJPKDQWCOSCKV-SECBINFHSA-N 0 1 271.704 0.904 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(=O)OCC ZINC000870035044 613388583 /nfs/dbraw/zinc/38/85/83/613388583.db2.gz MBNSKYIOQYLRFL-IWSPIJDZSA-N 0 1 290.703 0.842 20 30 CCEDMN C=C(Cl)C[C@H]1NC(=O)N(C[C@H]2CCN2C)C1=O ZINC000925194658 613391085 /nfs/dbraw/zinc/39/10/85/613391085.db2.gz CJSAWQXYRXXYHB-RKDXNWHRSA-N 0 1 257.721 0.754 20 30 CCEDMN C=CCS(=O)(=O)C1N=NC(=O)N1C[C@@H]1CCCO1 ZINC000905241847 613394792 /nfs/dbraw/zinc/39/47/92/613394792.db2.gz ZNSYCBFXLDMOMI-QMMMGPOBSA-N 0 1 273.314 0.122 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CC[C@]2(CNC(=O)C2)C1 ZINC000878527888 613399169 /nfs/dbraw/zinc/39/91/69/613399169.db2.gz HXKUZHCWHCYPBD-GWCFXTLKSA-N 0 1 252.314 0.316 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN([C@H](CC)CO)CC1 ZINC000878142465 613411639 /nfs/dbraw/zinc/41/16/39/613411639.db2.gz ZMMFLSVYDXHOCZ-CHWSQXEVSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)Cc2nnc[nH]2)C1 ZINC000942470836 613434971 /nfs/dbraw/zinc/43/49/71/613434971.db2.gz IBKNJXAVOSKHIO-SNVBAGLBSA-N 0 1 291.355 0.277 20 30 CCEDMN C=CC1(S(=O)(=O)[N-]C(=O)[C@H]([NH3+])C[C@@H](C)CC)CC1 ZINC000901058493 613451568 /nfs/dbraw/zinc/45/15/68/613451568.db2.gz BKRZPRXUZDCDBQ-VHSXEESVSA-N 0 1 274.386 0.915 20 30 CCEDMN C=CCC(F)(F)C(=O)N[C@H](CO)CN1CCCC1 ZINC000879990816 613455698 /nfs/dbraw/zinc/45/56/98/613455698.db2.gz CGHJUPROAXWEMK-JTQLQIEISA-N 0 1 262.300 0.771 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897910403 613459954 /nfs/dbraw/zinc/45/99/54/613459954.db2.gz XEKFRCSOOXJOKW-MJBXVCDLSA-N 0 1 280.368 0.557 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000898008726 613469031 /nfs/dbraw/zinc/46/90/31/613469031.db2.gz ZTNVVVZXQCGROK-YUTCNCBUSA-N 0 1 280.368 0.414 20 30 CCEDMN C=CCCCS(=O)(=O)N1C[C@@H]2CCC[N@@H+]2C[C@@H]1CO ZINC000872616911 613469341 /nfs/dbraw/zinc/46/93/41/613469341.db2.gz KUQGSTVTDOBHMN-QWHCGFSZSA-N 0 1 288.413 0.423 20 30 CCEDMN C=CCCCS(=O)(=O)N1C[C@@H]2CCCN2C[C@@H]1CO ZINC000872616911 613469345 /nfs/dbraw/zinc/46/93/45/613469345.db2.gz KUQGSTVTDOBHMN-QWHCGFSZSA-N 0 1 288.413 0.423 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@]1(C)C[C@@H](O)CN1C ZINC000911277430 613470003 /nfs/dbraw/zinc/47/00/03/613470003.db2.gz XEVGGWNAJZAALN-OPDFLTKYSA-N 0 1 268.357 0.149 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897903390 613470438 /nfs/dbraw/zinc/47/04/38/613470438.db2.gz UCMBMIXCKIJCTO-UPJWGTAASA-N 0 1 266.341 0.167 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CC[C@H](c2[nH]ncc2N)C1 ZINC000907416230 613477981 /nfs/dbraw/zinc/47/79/81/613477981.db2.gz GKCJYEIRUANLCM-QPUJVOFHSA-N 0 1 250.302 0.245 20 30 CCEDMN C=C[C@H](C)O[N-]C(=O)C[N@@H+]1CCC[C@@H](C(=O)OCC)C1 ZINC000911903581 613484127 /nfs/dbraw/zinc/48/41/27/613484127.db2.gz UZVPTINPNVMUMC-NWDGAFQWSA-N 0 1 284.356 0.884 20 30 CCEDMN C=C[C@H](O)C(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000928085057 613497577 /nfs/dbraw/zinc/49/75/77/613497577.db2.gz YLRUTXKJGIYUGX-DCAQKATOSA-N 0 1 256.371 0.229 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCCCC(=O)N2)CC1 ZINC000950444519 613506055 /nfs/dbraw/zinc/50/60/55/613506055.db2.gz HUUURLVDEIBLSD-ZDUSSCGKSA-N 0 1 279.384 0.766 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@H](c2[nH]ncc2N)C1 ZINC000907414959 613508903 /nfs/dbraw/zinc/50/89/03/613508903.db2.gz BXVVSSCCWIIFTD-ZANVPECISA-N 0 1 264.329 0.635 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCc1nc(CSC)n[nH]1 ZINC000899473612 613509559 /nfs/dbraw/zinc/50/95/59/613509559.db2.gz NFEKTLGYOIMIEZ-NSHDSACASA-N 0 1 270.358 0.611 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCc1nnc(CSC)[nH]1 ZINC000899473612 613509563 /nfs/dbraw/zinc/50/95/63/613509563.db2.gz NFEKTLGYOIMIEZ-NSHDSACASA-N 0 1 270.358 0.611 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896544563 613509765 /nfs/dbraw/zinc/50/97/65/613509765.db2.gz LBPZHRSEFFMOEE-SCRDCRAPSA-N 0 1 269.389 0.064 20 30 CCEDMN CC#CC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=O)N(C)C ZINC000804643621 613518528 /nfs/dbraw/zinc/51/85/28/613518528.db2.gz NRELMRGMQJLAEJ-YHWZYXNKSA-N 0 1 277.368 0.210 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)C2CC(OC)C2)C1 ZINC000969920321 613529653 /nfs/dbraw/zinc/52/96/53/613529653.db2.gz ZTGIOVSWKOPMNX-LKSINWNRSA-N 0 1 264.369 0.871 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC000969989231 613531296 /nfs/dbraw/zinc/53/12/96/613531296.db2.gz NRMPBQKQSDSPJP-OLZOCXBDSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC000970311483 613531513 /nfs/dbraw/zinc/53/15/13/613531513.db2.gz BGWKGJXMPOPJEN-DGCLKSJQSA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)COC)C2)C1 ZINC000981563158 613542082 /nfs/dbraw/zinc/54/20/82/613542082.db2.gz BCGUQHGTMAPMAZ-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)NC[C@H]1CCN1CC ZINC000890059683 613583458 /nfs/dbraw/zinc/58/34/58/613583458.db2.gz KZYLZDUYLXBPAQ-NWDGAFQWSA-N 0 1 255.362 0.707 20 30 CCEDMN C=CCC[C@@H](NC(=O)C[C@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC000909713524 613584390 /nfs/dbraw/zinc/58/43/90/613584390.db2.gz RGDWASOWOKQXIA-GHMZBOCLSA-N 0 1 254.330 0.864 20 30 CCEDMN C=CCC[C@@H](NC(=O)[C@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000909714498 613584974 /nfs/dbraw/zinc/58/49/74/613584974.db2.gz YAYSMQAEYRSKJM-GHMZBOCLSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCC[C@@H](NC(=O)[C@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000909714498 613584976 /nfs/dbraw/zinc/58/49/76/613584976.db2.gz YAYSMQAEYRSKJM-GHMZBOCLSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCC[C@H](NCc1nnc2n1CCNC2=O)C1CC1 ZINC000883303603 613590440 /nfs/dbraw/zinc/59/04/40/613590440.db2.gz BKDCJJQDIZHMLY-NSHDSACASA-N 0 1 275.356 0.856 20 30 CCEDMN C=CCCn1cc(CN[C@@H](CO)C[C@H]2CCCO2)nn1 ZINC000886220039 613599372 /nfs/dbraw/zinc/59/93/72/613599372.db2.gz BERWPWPFTMSTIB-TZMCWYRMSA-N 0 1 280.372 0.874 20 30 CCEDMN C=CCN(C(=O)C(=O)N1CCN[C@H](C)C1)C(C)C ZINC000817930807 613602143 /nfs/dbraw/zinc/60/21/43/613602143.db2.gz GQGYVXSPWLSNQC-LLVKDONJSA-N 0 1 253.346 0.230 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccncc2F)C1 ZINC000957940097 613613533 /nfs/dbraw/zinc/61/35/33/613613533.db2.gz OIFXKFIBIXPDBU-SMDDNHRTSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2coc(C)c2)C1 ZINC000958013755 613614206 /nfs/dbraw/zinc/61/42/06/613614206.db2.gz BIXMFGULSBVBHQ-UONOGXRCSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2c(C)ccn2C)[C@H](O)C1 ZINC000958008896 613614472 /nfs/dbraw/zinc/61/44/72/613614472.db2.gz CLLSMVLHHJZFOW-ZIAGYGMSSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2[nH]c(C)nc2C)C1 ZINC000958417269 613618364 /nfs/dbraw/zinc/61/83/64/613618364.db2.gz JKYJVVLNQNPNOB-STQMWFEESA-N 0 1 290.367 0.072 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974941703 613622596 /nfs/dbraw/zinc/62/25/96/613622596.db2.gz AAQAFEZQSQKOGL-OLZOCXBDSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2coc(CC)n2)C1 ZINC000957994831 613625625 /nfs/dbraw/zinc/62/56/25/613625625.db2.gz ILSNRLMMHUZZBO-YPMHNXCESA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1=CCOCC1 ZINC000958655153 613632947 /nfs/dbraw/zinc/63/29/47/613632947.db2.gz PLQZFVIHKUYFQE-WDNDVIMCSA-N 0 1 260.337 0.403 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c2c(nn1C)CCC2 ZINC000962277103 613638396 /nfs/dbraw/zinc/63/83/96/613638396.db2.gz JIBHLTIAVJBSFS-NHAGDIPZSA-N 0 1 298.390 0.592 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(CCC)nn1 ZINC000962158307 613641081 /nfs/dbraw/zinc/64/10/81/613641081.db2.gz RFSMXPSVUCSTRC-IMRBUKKESA-N 0 1 287.367 0.371 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)nc1C1CC1 ZINC000960125944 613641164 /nfs/dbraw/zinc/64/11/64/613641164.db2.gz NLEQDNGNXPMQRX-VIKVFOODSA-N 0 1 298.390 0.981 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c(C)c1C ZINC000958427602 613642386 /nfs/dbraw/zinc/64/23/86/613642386.db2.gz KWLMCEQKFFKEDQ-IMRBUKKESA-N 0 1 272.352 0.710 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncoc1CC ZINC000958443239 613643248 /nfs/dbraw/zinc/64/32/48/613643248.db2.gz AGCOBXYPWAXMKB-PJXYFTJBSA-N 0 1 273.336 0.920 20 30 CCEDMN C=CC[N@@H+](CC(=O)[O-])Cc1cnc(OC)nc1C ZINC000846263328 613643620 /nfs/dbraw/zinc/64/36/20/613643620.db2.gz VXTKTZPZHZMGTA-UHFFFAOYSA-N 0 1 251.286 0.866 20 30 CCEDMN CC(=NNC1=NC[C@H](C)N1)c1cc2n(n1)CCCC2 ZINC000842529616 613654462 /nfs/dbraw/zinc/65/44/62/613654462.db2.gz FFSXGKRGSYSRDW-VIFPVBQESA-N 0 1 260.345 0.881 20 30 CCEDMN CC(=NNc1ncnc2nc[nH]c21)c1cnn(C)c1 ZINC000920830111 613657759 /nfs/dbraw/zinc/65/77/59/613657759.db2.gz XWGDJGBCZXJJNC-UHFFFAOYSA-N 0 1 256.273 0.923 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3n(n2)CCCO3)C1 ZINC000970125158 613664706 /nfs/dbraw/zinc/66/47/06/613664706.db2.gz PMABEUHJHLZDCT-LLVKDONJSA-N 0 1 290.367 0.902 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(OC)n(C)n2)C1 ZINC000970118488 613665001 /nfs/dbraw/zinc/66/50/01/613665001.db2.gz XYBODDIEZJUCIK-SNVBAGLBSA-N 0 1 278.356 0.665 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnn(C)c2N)C1 ZINC000969541620 613666957 /nfs/dbraw/zinc/66/69/57/613666957.db2.gz YGTQNCJNQUWXKF-SECBINFHSA-N 0 1 263.345 0.238 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnc3nccn3c2)C1 ZINC000970159669 613666993 /nfs/dbraw/zinc/66/69/93/613666993.db2.gz XWUBJLUEITWERH-LLVKDONJSA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC000970338205 613669557 /nfs/dbraw/zinc/66/95/57/613669557.db2.gz KCXGREWSTGHTPL-JQWIXIFHSA-N 0 1 289.383 0.847 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC000970805652 613670053 /nfs/dbraw/zinc/67/00/53/613670053.db2.gz FEIMRPWQMZHKAN-AAEUAGOBSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cn3cccnc3n2)C1 ZINC000970046974 613672594 /nfs/dbraw/zinc/67/25/94/613672594.db2.gz FAFCVZCUMCFKCD-NSHDSACASA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnc3n2CCOC3)C1 ZINC000969883640 613673177 /nfs/dbraw/zinc/67/31/77/613673177.db2.gz KIMNZERQLSPJKI-NSHDSACASA-N 0 1 290.367 0.649 20 30 CCEDMN CC(=O)N1c2ccccc2C[C@H]1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834493960 613684383 /nfs/dbraw/zinc/68/43/83/613684383.db2.gz JGZDFZOSPRSVBN-NFAWXSAZSA-N 0 1 298.346 0.192 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@@H]2COC(=O)C2)CC1 ZINC000980971572 613690332 /nfs/dbraw/zinc/69/03/32/613690332.db2.gz NRTFMVUDZLNUQY-LBPRGKRZSA-N 0 1 266.341 0.660 20 30 CCEDMN C=CCN1CCCN(C(=O)Cn2cc(C3CC3)nn2)CC1 ZINC000981321738 613690784 /nfs/dbraw/zinc/69/07/84/613690784.db2.gz HORJRJMUMMPKSV-UHFFFAOYSA-N 0 1 289.383 0.876 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2C[C@@H](C)CC(=O)N2)CC1 ZINC000981184643 613694482 /nfs/dbraw/zinc/69/44/82/613694482.db2.gz JUMCQDLEGDKLFO-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCN(CN2CC[C@@]3(CCOC3)C2)C1=O ZINC000840026074 613720580 /nfs/dbraw/zinc/72/05/80/613720580.db2.gz UZUGRTVRNCRNRY-CQSZACIVSA-N 0 1 265.357 0.980 20 30 CCEDMN C=CCN1CCOC2(CCN(C(=O)c3ccn[nH]3)CC2)C1 ZINC000949307443 613722738 /nfs/dbraw/zinc/72/27/38/613722738.db2.gz NXKCKPZHUXTDHY-UHFFFAOYSA-N 0 1 290.367 0.903 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1ccnc(C#N)c1)[C@H](C)C(=O)[O-] ZINC000819780242 613737364 /nfs/dbraw/zinc/73/73/64/613737364.db2.gz GKZBMBONBJOUQQ-SNVBAGLBSA-N 0 1 290.323 0.364 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H]2CC3(CC3)C(=O)O2)C1=O ZINC000799177651 613738641 /nfs/dbraw/zinc/73/86/41/613738641.db2.gz STKFWXGEUQADHD-NWDGAFQWSA-N 0 1 278.352 0.801 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC3(CC2)OCCO3)C1=O ZINC000799114006 613739410 /nfs/dbraw/zinc/73/94/10/613739410.db2.gz ZNGJQOJPDPSQBF-GFCCVEGCSA-N 0 1 266.341 0.612 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000968336796 613773301 /nfs/dbraw/zinc/77/33/01/613773301.db2.gz XCDYNJMGRSEORT-GXFFZTMASA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1CC[C@H](Nc2cc3n(n2)CC[N@H+](C)C3)C1=O ZINC000871927290 613802461 /nfs/dbraw/zinc/80/24/61/613802461.db2.gz SGRMPWHRSNRLEZ-LBPRGKRZSA-N 0 1 275.356 0.527 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2cnn(C)c2)C(C)(C)C1 ZINC000972844978 613807220 /nfs/dbraw/zinc/80/72/20/613807220.db2.gz XXNDCCNAGFJIQZ-CYBMUJFWSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974761415 613807458 /nfs/dbraw/zinc/80/74/58/613807458.db2.gz CHHIUDPXXHNVKR-VXGBXAGGSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2COCCO2)C(C)(C)C1 ZINC000972820202 613807575 /nfs/dbraw/zinc/80/75/75/613807575.db2.gz BSHYEIKWQJMCMB-NWDGAFQWSA-N 0 1 268.357 0.414 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCCNC2=O)C(C)(C)C1 ZINC000974690864 613807654 /nfs/dbraw/zinc/80/76/54/613807654.db2.gz KLCAKQOHVUTNPQ-VXGBXAGGSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974884638 613808293 /nfs/dbraw/zinc/80/82/93/613808293.db2.gz ZAMWSGIODNQWSS-IAQYHMDHSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCCNC2=O)C(C)(C)C1 ZINC000974690857 613808302 /nfs/dbraw/zinc/80/83/02/613808302.db2.gz KLCAKQOHVUTNPQ-NWDGAFQWSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cn(C)c(=O)n2C)C(C)(C)C1 ZINC000977639978 613829658 /nfs/dbraw/zinc/82/96/58/613829658.db2.gz YQZUYXYIEGDYGL-LBPRGKRZSA-N 0 1 292.383 0.350 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](C)[C@H](CC(=O)OC)C1 ZINC000932111477 613845940 /nfs/dbraw/zinc/84/59/40/613845940.db2.gz OBIGWMMCTOWJPU-GHMZBOCLSA-N 0 1 254.330 0.420 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H](OC)CC[C@H]1C ZINC000879317935 613849875 /nfs/dbraw/zinc/84/98/75/613849875.db2.gz OKAAEASRYPADKB-MNOVXSKESA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCOCCCC(=O)N1CCN(C)CCN(C)CC1 ZINC000888991069 613879589 /nfs/dbraw/zinc/87/95/89/613879589.db2.gz OFJOWTYJIKGMRL-UHFFFAOYSA-N 0 1 283.416 0.675 20 30 CCEDMN C=CCOCCNC(=O)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000826872910 613880800 /nfs/dbraw/zinc/88/08/00/613880800.db2.gz ABIOKMXASZJLLF-OKILXGFUSA-N 0 1 299.415 0.940 20 30 CCEDMN C=CCOCCNS(=O)(=O)c1occc1C(=O)OC ZINC000867072070 613881264 /nfs/dbraw/zinc/88/12/64/613881264.db2.gz TYFLYFKQUWVYKY-UHFFFAOYSA-N 0 1 289.309 0.547 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC000909765295 613881790 /nfs/dbraw/zinc/88/17/90/613881790.db2.gz BAJFUBJRXMEFNB-MNOVXSKESA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC000909765295 613881791 /nfs/dbraw/zinc/88/17/91/613881791.db2.gz BAJFUBJRXMEFNB-MNOVXSKESA-N 0 1 270.329 0.243 20 30 CCEDMN CC(C)C(=O)N[C@@H](CO)C(=O)Nc1cc(C#N)ccc1O ZINC000813500311 613913968 /nfs/dbraw/zinc/91/39/68/613913968.db2.gz CGUVELYGKOTADT-NSHDSACASA-N 0 1 291.307 0.335 20 30 CCEDMN CC(C)(C)[N@H+]1CC[C@@H]1C(=O)N1CCO[C@@H](C#N)C1 ZINC000896208432 613929771 /nfs/dbraw/zinc/92/97/71/613929771.db2.gz HPCYRSVFDBIOTB-WDEREUQCSA-N 0 1 251.330 0.610 20 30 CCEDMN CC(C)(C)N1CC[C@@H]1C(=O)N1CCO[C@@H](C#N)C1 ZINC000896208432 613929773 /nfs/dbraw/zinc/92/97/73/613929773.db2.gz HPCYRSVFDBIOTB-WDEREUQCSA-N 0 1 251.330 0.610 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CCCN1C(=O)CCC1=O ZINC000916952120 613930649 /nfs/dbraw/zinc/93/06/49/613930649.db2.gz SOCQKMWJLXTYGI-JTQLQIEISA-N 0 1 293.323 0.149 20 30 CCEDMN CC(C)NCc1cn(CCn2cc(C#N)cn2)nn1 ZINC000905057508 613960617 /nfs/dbraw/zinc/96/06/17/613960617.db2.gz XMAHQPOXWOMPST-UHFFFAOYSA-N 0 1 259.317 0.544 20 30 CCEDMN CC(C)OCCN1CCN(CCO[C@@H](C)C#N)CC1 ZINC000801924975 614014509 /nfs/dbraw/zinc/01/45/09/614014509.db2.gz DBVAVANPCBAXJR-AWEZNQCLSA-N 0 1 269.389 0.958 20 30 CCEDMN CC(C)(C)c1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)no1 ZINC000834490082 614047255 /nfs/dbraw/zinc/04/72/55/614047255.db2.gz RSSGERHCMYOLKI-WCBMZHEXSA-N 0 1 262.313 0.813 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)[C@@H](O)c2c[nH]cn2)CC1 ZINC000865091100 614071862 /nfs/dbraw/zinc/07/18/62/614071862.db2.gz RRYPOWHXSPUAPR-OLZOCXBDSA-N 0 1 291.355 0.135 20 30 CCEDMN CC(C)[C@@H]1CCO[C@@H]1C(=O)NCC#CCN(C)C ZINC000913524081 614157295 /nfs/dbraw/zinc/15/72/95/614157295.db2.gz ZGDUNQIGXBASGF-STQMWFEESA-N 0 1 252.358 0.729 20 30 CCEDMN CC(=O)Nc1cccc(CC(=O)NCC#CCN(C)C)c1 ZINC000913523283 614204323 /nfs/dbraw/zinc/20/43/23/614204323.db2.gz SULNSLQUUWTWLA-UHFFFAOYSA-N 0 1 287.363 0.869 20 30 CCEDMN CC(=O)OCC(C)(C)CC(=O)NCC#CCN(C)C ZINC000913520085 614216481 /nfs/dbraw/zinc/21/64/81/614216481.db2.gz BXPRLHBHINMXJR-UHFFFAOYSA-N 0 1 268.357 0.647 20 30 CCEDMN CC(C)[C@H](NC(=O)N[C@H]1CNC[C@@H]1C#N)C(F)(F)F ZINC000841209460 614233354 /nfs/dbraw/zinc/23/33/54/614233354.db2.gz RLJPIRQLOWIIOG-CIUDSAMLSA-N 0 1 278.278 0.984 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)NC[C@@H](C)C#N)cc1=O ZINC000932471728 614236258 /nfs/dbraw/zinc/23/62/58/614236258.db2.gz JJDCNYFHFQFFSJ-JTQLQIEISA-N 0 1 264.329 0.651 20 30 CCEDMN CC(C)Cn1cc(NC(=O)N[C@@H]2CNC[C@H]2C#N)cn1 ZINC000841129298 614238816 /nfs/dbraw/zinc/23/88/16/614238816.db2.gz FUGMGKIPWIZODQ-ZYHUDNBSSA-N 0 1 276.344 0.772 20 30 CCEDMN CC(C)[N@H+](CC(=O)[O-])CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000820009399 614288061 /nfs/dbraw/zinc/28/80/61/614288061.db2.gz AFPMVQYFWPKIRU-ZDUSSCGKSA-N 0 1 267.329 0.590 20 30 CCEDMN CC(C)[N@@H+](CC(=O)[O-])CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000820009399 614288064 /nfs/dbraw/zinc/28/80/64/614288064.db2.gz AFPMVQYFWPKIRU-ZDUSSCGKSA-N 0 1 267.329 0.590 20 30 CCEDMN CC(C)c1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc(=O)[nH]1 ZINC000834485610 614303538 /nfs/dbraw/zinc/30/35/38/614303538.db2.gz ZEWPRMMYCIIANT-ZYHUDNBSSA-N 0 1 274.324 0.752 20 30 CCEDMN CCCCc1noc(Cn2cnc(-c3nn[nH]n3)c2C#N)n1 ZINC000825296311 614492692 /nfs/dbraw/zinc/49/26/92/614492692.db2.gz DALOWRXYHPRTHW-UHFFFAOYSA-N 0 1 299.298 0.709 20 30 CCEDMN CCCN(CCO[C@@H](C)C#N)[C@@H]1CC(=O)N(C)C1=O ZINC000801955935 614582833 /nfs/dbraw/zinc/58/28/33/614582833.db2.gz XZFPGNXUKRXXKN-WDEREUQCSA-N 0 1 267.329 0.384 20 30 CCEDMN CCCNC(=O)CCCC(=O)NCC#CCN(C)C ZINC000913518050 614843343 /nfs/dbraw/zinc/84/33/43/614843343.db2.gz BMJQHXWAIKAWKR-UHFFFAOYSA-N 0 1 267.373 0.364 20 30 CCEDMN CCCCC[C@@H](O)CC(=O)NCC#CCN(C)C ZINC000913519294 614934783 /nfs/dbraw/zinc/93/47/83/614934783.db2.gz VASCSKVGRGXCLX-CYBMUJFWSA-N 0 1 254.374 0.999 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@@H]1C(=O)NCC1(C)C ZINC000931661141 615061638 /nfs/dbraw/zinc/06/16/38/615061638.db2.gz WAMPJRUJLMJHLZ-CMPLNLGQSA-N 0 1 280.372 0.109 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@@H]1COCC[C@H]1OC ZINC000934042149 615061730 /nfs/dbraw/zinc/06/17/30/615061730.db2.gz UVKPJXGCECBYGH-YNEHKIRRSA-N 0 1 283.372 0.388 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)COC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840672273 615064891 /nfs/dbraw/zinc/06/48/91/615064891.db2.gz HDABHCYJHZDMOM-OPRDCNLKSA-N 0 1 283.284 0.203 20 30 CCEDMN CCOC(=O)COCCN1CCC2(CC1)CC(=O)C=CO2 ZINC000933648186 615196314 /nfs/dbraw/zinc/19/63/14/615196314.db2.gz USTYODLMUMQTNF-UHFFFAOYSA-N 0 1 297.351 0.904 20 30 CCEDMN CCOC(=O)N1CSC[C@@H]1C(=O)NCC#CCN(C)C ZINC000827972013 615235204 /nfs/dbraw/zinc/23/52/04/615235204.db2.gz OMLOVZPIQMMFEG-LLVKDONJSA-N 0 1 299.396 0.199 20 30 CCEDMN CCOC(=O)N1C[C@H](NCc2ccc(C#N)o2)[C@@H](CO)C1 ZINC000898356250 615238955 /nfs/dbraw/zinc/23/89/55/615238955.db2.gz MPQLRLAKBRFRBS-MFKMUULPSA-N 0 1 293.323 0.690 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)Cc1ccc(C#N)nc1 ZINC000882495649 615312976 /nfs/dbraw/zinc/31/29/76/615312976.db2.gz JHOBJQPGVZPEBI-ZDUSSCGKSA-N 0 1 258.325 0.706 20 30 CCEDMN CCN1CC[C@H]1CN[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000905804087 615327402 /nfs/dbraw/zinc/32/74/02/615327402.db2.gz JFWGTMYAHYXZKI-KBPBESRZSA-N 0 1 272.352 0.768 20 30 CCEDMN CCO[C@@H]1C[C@H](N[C@@H]2CCCN(O)C2=O)[C@H]1OC ZINC000895194427 615447394 /nfs/dbraw/zinc/44/73/94/615447394.db2.gz CVZYBPWZYITWJU-LMLFDSFASA-N 0 1 258.318 0.149 20 30 CCEDMN CCO[C@@H]1[C@H](C)[C@@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000906599707 615499110 /nfs/dbraw/zinc/49/91/10/615499110.db2.gz GOUWRQOXRUDDON-GRYCIOLGSA-N 0 1 265.357 0.762 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907479954 615599752 /nfs/dbraw/zinc/59/97/52/615599752.db2.gz JVYDTWIHJKTCJI-SFYZADRCSA-N 0 1 266.330 0.366 20 30 CCEDMN CCc1cccc(OCC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834504928 615684122 /nfs/dbraw/zinc/68/41/22/615684122.db2.gz GQQWVXWESUKVSO-TZMCWYRMSA-N 0 1 273.336 0.856 20 30 CCEDMN CCc1nnc(C)cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834505991 615808558 /nfs/dbraw/zinc/80/85/58/615808558.db2.gz PHVOVYSXQVWFGH-BXKDBHETSA-N 0 1 259.313 0.189 20 30 CCEDMN CN(C)C(=O)c1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834493153 615834555 /nfs/dbraw/zinc/83/45/55/615834555.db2.gz BBXNSTQVYRULNW-QWHCGFSZSA-N 0 1 286.335 0.230 20 30 CCEDMN CN(C)C1(C(=O)NCC#C[C@H]2CCCCO2)CC1 ZINC000891117044 615842442 /nfs/dbraw/zinc/84/24/42/615842442.db2.gz RRMBKNRPLXJWLT-GFCCVEGCSA-N 0 1 250.342 0.769 20 30 CCEDMN CN(C)CC#CCNC(=O)C1CN(C(=O)OC(C)(C)C)C1 ZINC000913520917 615857550 /nfs/dbraw/zinc/85/75/50/615857550.db2.gz DGDDTOVPFNBJOI-UHFFFAOYSA-N 0 1 295.383 0.535 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000913514241 615858245 /nfs/dbraw/zinc/85/82/45/615858245.db2.gz JJCQSHCTVQQFGF-UHFFFAOYSA-N 0 1 275.308 0.819 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)Nc1ccccc1 ZINC000913515535 615858413 /nfs/dbraw/zinc/85/84/13/615858413.db2.gz BBZPTCHJRYNFIY-UHFFFAOYSA-N 0 1 288.351 0.489 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)CCC1CCCC1 ZINC000913518743 615858465 /nfs/dbraw/zinc/85/84/65/615858465.db2.gz ZISMWCDVNHAXGH-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cc(C(N)=O)ccc1F ZINC000929838997 615858783 /nfs/dbraw/zinc/85/87/83/615858783.db2.gz RATLXQLKZHILLG-UHFFFAOYSA-N 0 1 292.314 0.611 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc(-n2cccn2)nc1 ZINC000929684666 615859126 /nfs/dbraw/zinc/85/91/26/615859126.db2.gz JQLJXRFYPCKNLF-UHFFFAOYSA-N 0 1 298.350 0.954 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCCN(C(C)(C)C)C1=O ZINC000913525311 615860198 /nfs/dbraw/zinc/86/01/98/615860198.db2.gz RLPFTFRJHLAWSJ-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCc2ncncc2C1 ZINC000913523674 615860361 /nfs/dbraw/zinc/86/03/61/615860361.db2.gz IJNKLSHUEXOSOB-LBPRGKRZSA-N 0 1 272.352 0.263 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000913514575 615860955 /nfs/dbraw/zinc/86/09/55/615860955.db2.gz VOXXPIXTNUMKCZ-UHFFFAOYSA-N 0 1 261.281 0.890 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc(-n2cccn2)n1 ZINC000913518821 615861444 /nfs/dbraw/zinc/86/14/44/615861444.db2.gz BZFYPLGIXGYXAM-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc2cc[nH]c2c1 ZINC000913525069 615861703 /nfs/dbraw/zinc/86/17/03/615861703.db2.gz JHVMOQCHKJROHT-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(Cc2ccccn2)nn1 ZINC000913518862 615862117 /nfs/dbraw/zinc/86/21/17/615862117.db2.gz DLRQWGAMPUVDCW-UHFFFAOYSA-N 0 1 298.350 0.016 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nnc2ccccc2n1 ZINC000913521611 615862539 /nfs/dbraw/zinc/86/25/39/615862539.db2.gz GRCLPZVTXMQVNE-UHFFFAOYSA-N 0 1 269.308 0.320 20 30 CCEDMN CC[S@@](C)(=O)=NS(=O)(=O)NCC(C)(C)C#N ZINC000867081102 616010437 /nfs/dbraw/zinc/01/04/37/616010437.db2.gz URUAELVYXWQPIP-OAHLLOKOSA-N 0 1 267.376 0.488 20 30 CCEDMN CN(C)c1ccc2c(c1)N(C)C(=O)C2=NNc1cnnn1C ZINC000814984395 616020079 /nfs/dbraw/zinc/02/00/79/616020079.db2.gz YUDMAEAVAATZKT-UHFFFAOYSA-N 0 1 299.338 0.674 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC000971539093 616040368 /nfs/dbraw/zinc/04/03/68/616040368.db2.gz JTDAGPMDONMPQX-OLZOCXBDSA-N 0 1 287.367 0.886 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000971597743 616041711 /nfs/dbraw/zinc/04/17/11/616041711.db2.gz WOBNFXVUMHBQGN-JTQLQIEISA-N 0 1 284.323 0.628 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000971576424 616041841 /nfs/dbraw/zinc/04/18/41/616041841.db2.gz QXWQZTQBPGBVRI-JTQLQIEISA-N 0 1 284.323 0.628 20 30 CCEDMN CN(CC(=O)N[C@H]1CNC[C@@H]1C#N)c1ccccc1 ZINC000834493675 616049264 /nfs/dbraw/zinc/04/92/64/616049264.db2.gz GMJDNTCNTZIXEJ-AAEUAGOBSA-N 0 1 258.325 0.351 20 30 CCEDMN C[N@@H+](CCNC(=O)[C@](C)(C#N)CC(=O)[O-])C1CC1 ZINC000820597138 616095395 /nfs/dbraw/zinc/09/53/95/616095395.db2.gz GAQCJBXITWNFMM-LBPRGKRZSA-N 0 1 253.302 0.201 20 30 CCEDMN CN([C@H]1CCN(C(=O)C#CC2CC2)C1)[C@@H]1CCCNC1=O ZINC000971510014 616115830 /nfs/dbraw/zinc/11/58/30/616115830.db2.gz ZVXVOKPXHQKVDQ-UONOGXRCSA-N 0 1 289.379 0.211 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N1CCN(C)C(C)(C)CC1 ZINC000867681862 616246439 /nfs/dbraw/zinc/24/64/39/616246439.db2.gz PPDJCCSSOSGUIP-UHFFFAOYSA-N 0 1 288.417 0.493 20 30 CCEDMN COC(=O)c1sccc1NC(=O)N[C@H]1CNC[C@H]1C#N ZINC000841157395 616283595 /nfs/dbraw/zinc/28/35/95/616283595.db2.gz UNWKGRIOLXGMLC-APPZFPTMSA-N 0 1 294.336 0.768 20 30 CCEDMN CN1C[C@@H](O)C[C@@H]1CNC(=O)C1(C#N)CCCC1 ZINC000924994402 616299496 /nfs/dbraw/zinc/29/94/96/616299496.db2.gz PTAXOPPNSHIVFA-MNOVXSKESA-N 0 1 251.330 0.252 20 30 CCEDMN CN1CC[C@H](N2CCC(Nc3ccnc(C#N)c3)CC2)C1=O ZINC000912219103 616405107 /nfs/dbraw/zinc/40/51/07/616405107.db2.gz RDJTUBDAZJLCHI-HNNXBMFYSA-N 0 1 299.378 0.482 20 30 CCEDMN CN1CC[C@H]1CNC(=O)NCc1ccc(C#N)cn1 ZINC000922103439 616427436 /nfs/dbraw/zinc/42/74/36/616427436.db2.gz HETXLZYYJDWISM-LBPRGKRZSA-N 0 1 259.313 0.457 20 30 CCEDMN COCCNC(=O)[C@@H](C#N)C(=O)C(C)=Cc1ccccn1 ZINC000847624424 616491286 /nfs/dbraw/zinc/49/12/86/616491286.db2.gz VAWSLMBJCJFADQ-FUWURINLSA-N 0 1 287.319 0.956 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1CCC1(C)C ZINC000850757382 616495764 /nfs/dbraw/zinc/49/57/64/616495764.db2.gz JSWGYFGRXIJIBE-ZJUUUORDSA-N 0 1 252.314 0.894 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H](C)C1CCC1 ZINC000838788284 616495798 /nfs/dbraw/zinc/49/57/98/616495798.db2.gz STWOUIGVQLBCEW-GXSJLCMTSA-N 0 1 252.314 0.894 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N[C@@H]1Cc2ccc(C#N)cc2C1 ZINC000913771163 616501783 /nfs/dbraw/zinc/50/17/83/616501783.db2.gz IMGAOKPDWCCNPM-BFYDXBDKSA-N 0 1 299.374 0.597 20 30 CCEDMN CO[C@@H]1CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000843394889 616654660 /nfs/dbraw/zinc/65/46/60/616654660.db2.gz FBQFXYXQYCVRAD-GFCCVEGCSA-N 0 1 257.297 0.822 20 30 CCEDMN COC(=O)[C@]1(O)CCN(Cc2ccc(C)c(C#N)c2)C1 ZINC000880567303 616786591 /nfs/dbraw/zinc/78/65/91/616786591.db2.gz FXRCDDVCHDBVLH-HNNXBMFYSA-N 0 1 274.320 0.977 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CSC(C)C ZINC000916871146 616897617 /nfs/dbraw/zinc/89/76/17/616897617.db2.gz QGGNKMOHMJYZJS-JTQLQIEISA-N 0 1 272.370 0.990 20 30 CCEDMN COCc1nc(C(=O)N[C@@H]2CNC[C@H]2C#N)cs1 ZINC000834494247 616929567 /nfs/dbraw/zinc/92/95/67/616929567.db2.gz MFPIXXRRFCOQRM-HTQZYQBOSA-N 0 1 266.326 0.131 20 30 CCEDMN CNc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1[N+](=O)[O-] ZINC000834504950 617063468 /nfs/dbraw/zinc/06/34/68/617063468.db2.gz GWMCPWSCUIFDFK-MWLCHTKSSA-N 0 1 289.295 0.478 20 30 CCEDMN COC[C@H](C)NC(=S)NN=C1C[N@H+]2C[C@@H]1CCC2 ZINC000863067561 617077842 /nfs/dbraw/zinc/07/78/42/617077842.db2.gz CAFQEUBTHOUARU-UWVGGRQHSA-N 0 1 270.402 0.567 20 30 CCEDMN COC[C@H](C)NC(=S)NN=C1CN2C[C@@H]1CCC2 ZINC000863067561 617077843 /nfs/dbraw/zinc/07/78/43/617077843.db2.gz CAFQEUBTHOUARU-UWVGGRQHSA-N 0 1 270.402 0.567 20 30 CCEDMN COc1cc(C(=O)NCC#CCN(C)C)ccc1O ZINC000913520152 617160325 /nfs/dbraw/zinc/16/03/25/617160325.db2.gz FTSDVGHWOGGMAG-UHFFFAOYSA-N 0 1 262.309 0.696 20 30 CCEDMN CO[C@@H](C)c1nsc(NC(=O)NCC#CCN(C)C)n1 ZINC000931473219 617161149 /nfs/dbraw/zinc/16/11/49/617161149.db2.gz ZHJGKLZWHNIPSL-VIFPVBQESA-N 0 1 297.384 0.932 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC001026125819 625336982 /nfs/dbraw/zinc/33/69/82/625336982.db2.gz KYNSIPIKVKOZFQ-MEBFFEOJSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001007925927 625387872 /nfs/dbraw/zinc/38/78/72/625387872.db2.gz OWKSUZBZGRGMTI-CQSZACIVSA-N 0 1 288.395 0.875 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)CCc3c[nH]nn3)C[C@H]21 ZINC001026363306 625414111 /nfs/dbraw/zinc/41/41/11/625414111.db2.gz OXQBKSAYIMXLRD-CYZMBNFOSA-N 0 1 288.355 0.087 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)CCc3cnn[nH]3)C[C@H]21 ZINC001026363306 625414113 /nfs/dbraw/zinc/41/41/13/625414113.db2.gz OXQBKSAYIMXLRD-CYZMBNFOSA-N 0 1 288.355 0.087 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCN(C)CCN(C)CC1 ZINC000888980746 622741340 /nfs/dbraw/zinc/74/13/40/622741340.db2.gz OFWYBGYXVOOOPH-GFCCVEGCSA-N 0 1 252.362 0.242 20 30 CCEDMN CN(C)c1ccncc1C(=O)N[C@H]1C[C@@H](NCC#N)C1 ZINC001022983492 622877250 /nfs/dbraw/zinc/87/72/50/622877250.db2.gz HELWBANCVXMLEP-PHIMTYICSA-N 0 1 273.340 0.522 20 30 CCEDMN O=c1ccc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)c[nH]1 ZINC000841631391 622888793 /nfs/dbraw/zinc/88/87/93/622888793.db2.gz DNFVBXSCURDDOJ-QWRGUYRKSA-N 0 1 259.313 0.981 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2ncc(OC)cn2)C1 ZINC001023479578 623039097 /nfs/dbraw/zinc/03/90/97/623039097.db2.gz MMGMLPNLFFXGIJ-LBPRGKRZSA-N 0 1 288.351 0.560 20 30 CCEDMN C#CCN1CCN(C(=O)c2cccc3[nH]cnc32)CC1 ZINC000159520338 623081123 /nfs/dbraw/zinc/08/11/23/623081123.db2.gz WYBAPUASCDFNGU-UHFFFAOYSA-N 0 1 268.320 0.954 20 30 CCEDMN Cn1ncc(CNC[C@@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001026835369 625634532 /nfs/dbraw/zinc/63/45/32/625634532.db2.gz WAZKRRNWYGXMLN-ZDUSSCGKSA-N 0 1 287.367 0.167 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCOC1 ZINC001024351498 623295883 /nfs/dbraw/zinc/29/58/83/623295883.db2.gz WUJKDQPCUFJNPX-QWHCGFSZSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cnc[nH]c1=O ZINC001024500578 623341685 /nfs/dbraw/zinc/34/16/85/623341685.db2.gz NQFQPKUIBWVQPO-GFCCVEGCSA-N 0 1 288.351 0.790 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001024598250 623389019 /nfs/dbraw/zinc/38/90/19/623389019.db2.gz BBLQHZVTYPKCRF-GFCCVEGCSA-N 0 1 290.367 0.733 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCNC1=O ZINC001024599624 623391036 /nfs/dbraw/zinc/39/10/36/623391036.db2.gz NFTWGFOBLQBLHS-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1nnn(C)n1 ZINC001024607643 623393437 /nfs/dbraw/zinc/39/34/37/623393437.db2.gz FVUKTJRTIHBRTJ-LLVKDONJSA-N 0 1 278.360 0.371 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cnn(C)n1 ZINC001024707358 623420102 /nfs/dbraw/zinc/42/01/02/623420102.db2.gz LUCJMDLLFHAYNE-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001024732696 623426358 /nfs/dbraw/zinc/42/63/58/623426358.db2.gz XJQLNTPOZYABJT-LBPRGKRZSA-N 0 1 290.367 0.884 20 30 CCEDMN CN1CCN(c2ccc(CNC(=O)CC#N)cc2)CC1 ZINC000169807382 623803786 /nfs/dbraw/zinc/80/37/86/623803786.db2.gz RMBZSYGFJCGUDF-UHFFFAOYSA-N 0 1 272.352 0.968 20 30 CCEDMN C[C@H](NC(=O)c1cnn[nH]1)[C@@H]1CCCN(CC#N)C1 ZINC001025470415 623810540 /nfs/dbraw/zinc/81/05/40/623810540.db2.gz XJTVFRPOGHGSJU-VHSXEESVSA-N 0 1 262.317 0.159 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2ccncn2)C1 ZINC001025565004 623830942 /nfs/dbraw/zinc/83/09/42/623830942.db2.gz OPCSEYSNXDTLKQ-CHWSQXEVSA-N 0 1 272.352 0.940 20 30 CCEDMN N#CCCCCNC(=O)N1CC[C@@H]2[C@H]1CCC[N@@H+]2CCO ZINC000892794605 623841060 /nfs/dbraw/zinc/84/10/60/623841060.db2.gz FNMMSHOXUOGQCL-ZIAGYGMSSA-N 0 1 294.399 0.921 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NC(C)(C)C(=O)[O-] ZINC000328239621 623872923 /nfs/dbraw/zinc/87/29/23/623872923.db2.gz HEFHAMYUJOMENQ-UHFFFAOYSA-N 0 1 255.318 0.104 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1OCC ZINC001025695019 623872130 /nfs/dbraw/zinc/87/21/30/623872130.db2.gz GCHKUWVDSLAWEC-RGDJUOJXSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1OCC ZINC001025695019 623872131 /nfs/dbraw/zinc/87/21/31/623872131.db2.gz GCHKUWVDSLAWEC-RGDJUOJXSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCO[C@@H]1C ZINC001025754773 623880996 /nfs/dbraw/zinc/88/09/96/623880996.db2.gz RSWFVDPBNBGKRH-BJJPWKGXSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCO[C@@H]1C ZINC001025754775 623881413 /nfs/dbraw/zinc/88/14/13/623881413.db2.gz RSWFVDPBNBGKRH-POQQGIQPSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CO[C@@H](C)C1 ZINC001025830415 623893915 /nfs/dbraw/zinc/89/39/15/623893915.db2.gz OHLOXCWEPUJLPC-MEBFFEOJSA-N 0 1 262.353 0.481 20 30 CCEDMN C[C@@H](NC(=O)c1[nH]ncc1F)C1CN(CC#N)C1 ZINC000970378059 624681664 /nfs/dbraw/zinc/68/16/64/624681664.db2.gz VNAQRFSBJVTAQZ-SSDOTTSWSA-N 0 1 251.265 0.122 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2nccc(C)n2)C1 ZINC001010814074 624774109 /nfs/dbraw/zinc/77/41/09/624774109.db2.gz FZBOVNNYUXEMSF-LBPRGKRZSA-N 0 1 258.325 0.612 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)N[C@H]1C[C@@H](NCC#N)C1)C2 ZINC001022323092 624924698 /nfs/dbraw/zinc/92/46/98/624924698.db2.gz WMFDEHJZUKYINS-SDDRHHMPSA-N 0 1 287.367 0.583 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C2CCN(C(N)=O)CC2)C1 ZINC001009466874 625832971 /nfs/dbraw/zinc/83/29/71/625832971.db2.gz UXUGCSRYZYIGRY-CYBMUJFWSA-N 0 1 294.399 0.544 20 30 CCEDMN Cc1nc(CN(C)[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001027336707 625836916 /nfs/dbraw/zinc/83/69/16/625836916.db2.gz UGENKGJEJXUVKL-CMPLNLGQSA-N 0 1 290.371 0.696 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@H]2CCN2C(=O)[C@@H](C)C#N)[nH]1 ZINC000977463947 625941663 /nfs/dbraw/zinc/94/16/63/625941663.db2.gz DIBMSQNOOUYDDW-GXSJLCMTSA-N 0 1 289.339 0.551 20 30 CCEDMN C[C@@H](NCCN1C(=O)CNC1=O)c1cc(C#N)ccn1 ZINC000902950767 634505136 /nfs/dbraw/zinc/50/51/36/634505136.db2.gz ISSGSCQPHYASDJ-SECBINFHSA-N 0 1 273.296 0.156 20 30 CCEDMN N#Cc1sccc1S(=O)(=O)[N-][C@@H](CC[NH3+])C(F)F ZINC000903503113 634583223 /nfs/dbraw/zinc/58/32/23/634583223.db2.gz DWFXLKWZMQFUFK-LURJTMIESA-N 0 1 295.336 0.881 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cnsn1 ZINC001027770960 630904654 /nfs/dbraw/zinc/90/46/54/630904654.db2.gz GFJSKGCSIRMKJQ-SECBINFHSA-N 0 1 252.343 0.918 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccnn1C ZINC001027783434 630912564 /nfs/dbraw/zinc/91/25/64/630912564.db2.gz XWBLXLFPCRUWSU-LBPRGKRZSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001010366457 631344400 /nfs/dbraw/zinc/34/44/00/631344400.db2.gz LGCFPBIWBMFJSB-CYBMUJFWSA-N 0 1 260.341 0.176 20 30 CCEDMN Cc1cc(CN[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)nn1C ZINC000967943933 650005695 /nfs/dbraw/zinc/00/56/95/650005695.db2.gz MUSTUPHAMMFKFM-ZYHUDNBSSA-N 0 1 275.356 0.579 20 30 CCEDMN N#CCCCNC(=O)NCCN1CCC(O)CC1 ZINC000894130634 631546897 /nfs/dbraw/zinc/54/68/97/631546897.db2.gz HZKXJBUPTJJQCC-UHFFFAOYSA-N 0 1 254.334 0.046 20 30 CCEDMN Cc1nccnc1CN[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000968627117 650024527 /nfs/dbraw/zinc/02/45/27/650024527.db2.gz NYHWRUZIZLFPDG-CQSZACIVSA-N 0 1 284.363 0.889 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CCO1 ZINC000894954579 631886538 /nfs/dbraw/zinc/88/65/38/631886538.db2.gz MRYDNNLPPIJRNV-YGRLFVJLSA-N 0 1 289.339 0.591 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CN2CCCC2=O)C1 ZINC001006708495 650048452 /nfs/dbraw/zinc/04/84/52/650048452.db2.gz XKFFHVGIPGDZEM-LBPRGKRZSA-N 0 1 265.357 0.375 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC000984591405 632197394 /nfs/dbraw/zinc/19/73/94/632197394.db2.gz ZXYIWDZHDXYWSG-GHMZBOCLSA-N 0 1 289.339 0.632 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)CCN1C1CC1 ZINC000896314269 632225730 /nfs/dbraw/zinc/22/57/30/632225730.db2.gz FLBXIOYMKMNZHE-NSHDSACASA-N 0 1 296.334 0.770 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2nccnc2N)C1 ZINC001006944833 650058143 /nfs/dbraw/zinc/05/81/43/650058143.db2.gz JXIOKLJIKCRLKR-NSHDSACASA-N 0 1 275.356 0.829 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2nccnc2N)C1 ZINC001006947270 650058428 /nfs/dbraw/zinc/05/84/28/650058428.db2.gz XIKGPSSLCPCLJC-NSHDSACASA-N 0 1 273.340 0.276 20 30 CCEDMN C#CCSCC(=O)Nc1nc(SCCO)n[nH]1 ZINC000896990252 632370697 /nfs/dbraw/zinc/37/06/97/632370697.db2.gz BSLGWZZSFOSVDI-UHFFFAOYSA-N 0 1 272.355 0.194 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001007109458 650066619 /nfs/dbraw/zinc/06/66/19/650066619.db2.gz YAWPGTANGMCIAO-OLZOCXBDSA-N 0 1 279.384 0.669 20 30 CCEDMN N#Cc1csc(C[N@@H+]2CC[C@H](CS(N)(=O)=O)C2)c1 ZINC000897064535 632386802 /nfs/dbraw/zinc/38/68/02/632386802.db2.gz ZEHVXSUMIOELIF-VIFPVBQESA-N 0 1 285.394 0.730 20 30 CCEDMN N#Cc1csc(CN2CC[C@H](CS(N)(=O)=O)C2)c1 ZINC000897064535 632386807 /nfs/dbraw/zinc/38/68/07/632386807.db2.gz ZEHVXSUMIOELIF-VIFPVBQESA-N 0 1 285.394 0.730 20 30 CCEDMN C[C@H](C(N)=O)[N@@H+](C)C1CCN(C(=O)C#CC2CC2)CC1 ZINC000985429553 632478111 /nfs/dbraw/zinc/47/81/11/632478111.db2.gz QKCQIYVSIKJOGU-LLVKDONJSA-N 0 1 277.368 0.196 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001007343907 650075510 /nfs/dbraw/zinc/07/55/10/650075510.db2.gz DHDHOBWJGGSIGS-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@H]2CCNC2=O)C1 ZINC001007497222 650080213 /nfs/dbraw/zinc/08/02/13/650080213.db2.gz VKGVWTIHVTWHMX-VXGBXAGGSA-N 0 1 265.357 0.279 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CN2CCCC2=O)[C@@H]1C ZINC000985958172 632684462 /nfs/dbraw/zinc/68/44/62/632684462.db2.gz PFHLFBHXSUCBIV-NEPJUHHUSA-N 0 1 299.802 0.940 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCn2cncn2)[C@H]1C ZINC000986003083 632691327 /nfs/dbraw/zinc/69/13/27/632691327.db2.gz NWIFMYPMUBZACS-RYUDHWBXSA-N 0 1 297.790 1.000 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnn(C)c2N)[C@@H]1C ZINC000986180262 632725997 /nfs/dbraw/zinc/72/59/97/632725997.db2.gz PBYDGYLUKCIRHO-KOLCDFICSA-N 0 1 297.790 0.947 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001007806004 650095028 /nfs/dbraw/zinc/09/50/28/650095028.db2.gz RWJCJCFBBQLNPP-LBPRGKRZSA-N 0 1 290.367 0.504 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnn(C)n2)[C@H]1C ZINC000987182134 632837132 /nfs/dbraw/zinc/83/71/32/632837132.db2.gz AZYWLKRSNIGNRT-VHSXEESVSA-N 0 1 283.763 0.760 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cn2cncn2)[C@H]1C ZINC000987470264 632872053 /nfs/dbraw/zinc/87/20/53/632872053.db2.gz DWRYIWYVYZSYGV-WDEREUQCSA-N 0 1 283.763 0.610 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cn(C)nc2Br)N1 ZINC000863105359 632928036 /nfs/dbraw/zinc/92/80/36/632928036.db2.gz NRBXJKGYLRIBNF-ZCFIWIBFSA-N 0 1 285.149 0.454 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnc(C)n2C)C1 ZINC001008302341 650109722 /nfs/dbraw/zinc/10/97/22/650109722.db2.gz CXXFZSLTLKSTRG-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2c[nH]c(=O)cn2)C1 ZINC000989364897 633200354 /nfs/dbraw/zinc/20/03/54/633200354.db2.gz IKVCQPFETDSPCK-UWVGGRQHSA-N 0 1 296.758 0.715 20 30 CCEDMN C#CCN1CCCN(C(=O)[C@H]2CCc3[nH]cnc3C2)CC1 ZINC000989436038 633227272 /nfs/dbraw/zinc/22/72/72/633227272.db2.gz KUHPFRNWRJXVSI-ZDUSSCGKSA-N 0 1 286.379 0.682 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)C(=O)N2CC[C@@H](C)C2)CC1 ZINC000989446717 633257473 /nfs/dbraw/zinc/25/74/73/633257473.db2.gz XRAQCIRITATDAM-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C[C@@H]1CC[C@H](C)[N@@H+]1CC(=O)N1CCCN(CC#N)CC1 ZINC000989728159 633349348 /nfs/dbraw/zinc/34/93/48/633349348.db2.gz CTHYSHJUHWZUBB-OKILXGFUSA-N 0 1 278.400 0.917 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2nn(CC)nc2C)CC1 ZINC000989802232 633353972 /nfs/dbraw/zinc/35/39/72/633353972.db2.gz HETSJYSCBWMVLV-UHFFFAOYSA-N 0 1 289.383 0.778 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N[C@H]1CCCN(CCO)C1 ZINC001008809839 650128159 /nfs/dbraw/zinc/12/81/59/650128159.db2.gz IWJWXBOKQLQKNB-KKUMJFAQSA-N 0 1 296.411 0.931 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001009126270 650138122 /nfs/dbraw/zinc/13/81/22/650138122.db2.gz YQWHTGSZXPBXIP-NEPJUHHUSA-N 0 1 287.367 0.619 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001009145413 650138630 /nfs/dbraw/zinc/13/86/30/650138630.db2.gz KRHVKDQQHWQYNK-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN Cc1ccc(C=NNC2=[NH+]C[C@@H](C)N2)cc1C(=O)[O-] ZINC000901216359 634209776 /nfs/dbraw/zinc/20/97/76/634209776.db2.gz QNMBCOYDBBFLLR-SECBINFHSA-N 0 1 260.297 0.964 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001009542728 650147879 /nfs/dbraw/zinc/14/78/79/650147879.db2.gz WHUFIMYQFNACES-GFCCVEGCSA-N 0 1 290.367 0.884 20 30 CCEDMN N#CCCS(=O)(=O)CCCNC(=O)C(F)(F)F ZINC000905266541 634831097 /nfs/dbraw/zinc/83/10/97/634831097.db2.gz RQBDOZNDTJASNB-UHFFFAOYSA-N 0 1 272.248 0.383 20 30 CCEDMN N#Cc1sccc1C(=O)NCCN1CCC(O)CC1 ZINC000909271869 635563199 /nfs/dbraw/zinc/56/31/99/635563199.db2.gz DAXUEOVUGPBRQR-UHFFFAOYSA-N 0 1 279.365 0.806 20 30 CCEDMN Cc1cc2[nH]nc(NC(=O)C#CC3CC3)c2c(=O)n1C ZINC000910650444 635817309 /nfs/dbraw/zinc/81/73/09/635817309.db2.gz WUBZYOBLEYKLIZ-UHFFFAOYSA-N 0 1 270.292 0.922 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(NC(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC000991288755 635852996 /nfs/dbraw/zinc/85/29/96/635852996.db2.gz UJLMDYOAXWWZSH-NXEZZACHSA-N 0 1 281.312 0.191 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCCCO2)C1 ZINC001010529101 650237199 /nfs/dbraw/zinc/23/71/99/650237199.db2.gz NBRMFWJJADOVHL-STQMWFEESA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2nccn2CC)C1 ZINC001010816248 650266504 /nfs/dbraw/zinc/26/65/04/650266504.db2.gz SLGPQXGXIFAFSW-LBPRGKRZSA-N 0 1 260.341 0.730 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)N2CC[C@H](N3CCC3)C2)cc1 ZINC000912912114 636699854 /nfs/dbraw/zinc/69/98/54/636699854.db2.gz WXAFKOCLCGFCEM-GJZGRUSLSA-N 0 1 285.347 0.898 20 30 CCEDMN C[C@@H](NC(=O)c1ccccc1)C(=O)NCC#CCN(C)C ZINC000913515363 636833243 /nfs/dbraw/zinc/83/32/43/636833243.db2.gz UNIHKCSRRUVPKV-CYBMUJFWSA-N 0 1 287.363 0.486 20 30 CCEDMN Cc1nn(CC(=O)NCC#CCN(C)C)c(C)c1Cl ZINC000913516961 636834702 /nfs/dbraw/zinc/83/47/02/636834702.db2.gz MVENBRJTTOTFLO-UHFFFAOYSA-N 0 1 282.775 0.835 20 30 CCEDMN C[C@H](CC(=O)NCC#CCN(C)C)NC(=O)OC(C)(C)C ZINC000913518912 636835076 /nfs/dbraw/zinc/83/50/76/636835076.db2.gz GDQQWRPAPJWJDM-GFCCVEGCSA-N 0 1 297.399 0.971 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)c3cnn[nH]3)CCC[C@H]12 ZINC000991565027 636903754 /nfs/dbraw/zinc/90/37/54/636903754.db2.gz HHPHOQBLRSNKIM-CMPLNLGQSA-N 0 1 260.301 0.055 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3[nH]nnc3C)CCC[C@H]12 ZINC000992102359 637306044 /nfs/dbraw/zinc/30/60/44/637306044.db2.gz ZWYMCZBRTOYVEY-FZMZJTMJSA-N 0 1 273.340 0.473 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ccncn3)CCC[C@@H]12 ZINC000992323137 637365887 /nfs/dbraw/zinc/36/58/87/637365887.db2.gz XIYOSERCPDECRH-UKRRQHHQSA-N 0 1 270.336 0.837 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ccncn3)CCC[C@H]12 ZINC000992323139 637365935 /nfs/dbraw/zinc/36/59/35/637365935.db2.gz XIYOSERCPDECRH-ZFWWWQNUSA-N 0 1 270.336 0.837 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cccnn3)CCC[C@H]12 ZINC000992346857 637373014 /nfs/dbraw/zinc/37/30/14/637373014.db2.gz KFOWFYRJJJTDCQ-DZGCQCFKSA-N 0 1 270.336 0.837 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2cnn3cccnc23)C1 ZINC001015481786 637665456 /nfs/dbraw/zinc/66/54/56/637665456.db2.gz HSQAPTTVTHTFHI-LLVKDONJSA-N 0 1 271.324 0.719 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn3cccnc23)C1 ZINC001015481786 637665461 /nfs/dbraw/zinc/66/54/61/637665461.db2.gz HSQAPTTVTHTFHI-LLVKDONJSA-N 0 1 271.324 0.719 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1occc1Br ZINC000834480142 637680523 /nfs/dbraw/zinc/68/05/23/637680523.db2.gz LOCDNWBPMDSGCU-SVRRBLITSA-N 0 1 284.113 0.883 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)C2=CCOCC2)[C@@H]1C ZINC000993213383 637745912 /nfs/dbraw/zinc/74/59/12/637745912.db2.gz IQGWVBPTVZELEU-GXTWGEPZSA-N 0 1 262.353 0.935 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2ccnn2C)[C@H]1C ZINC000993292610 637765286 /nfs/dbraw/zinc/76/52/86/637765286.db2.gz XQLJHZCZWWYWHA-UKRRQHHQSA-N 0 1 288.395 0.955 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)[C@@H]1C ZINC000993322557 637783285 /nfs/dbraw/zinc/78/32/85/637783285.db2.gz DZWMQNGTIMRTAV-CMPLNLGQSA-N 0 1 277.372 0.811 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](NC(=O)c2cnn[n-]2)[C@@H]1C ZINC000993542367 637816534 /nfs/dbraw/zinc/81/65/34/637816534.db2.gz OJPCVXKFCFEUTH-QWRGUYRKSA-N 0 1 261.329 0.411 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cc2cn(CC)nn2)[C@@H]1C ZINC000993611583 637820623 /nfs/dbraw/zinc/82/06/23/637820623.db2.gz AELTWRCXYXWRSZ-GXTWGEPZSA-N 0 1 291.399 0.996 20 30 CCEDMN C[C@H]1[C@H](NC(=O)C2=NC(=O)N(C)C2)CCCN1CC#N ZINC000993746334 637841375 /nfs/dbraw/zinc/84/13/75/637841375.db2.gz BANURPIKYZOMOF-VHSXEESVSA-N 0 1 277.328 0.232 20 30 CCEDMN C#CC[NH2+][C@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC000995702302 638793148 /nfs/dbraw/zinc/79/31/48/638793148.db2.gz JJUYPCXVKMYDDW-LBPRGKRZSA-N 0 1 273.336 0.861 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001014437393 650452032 /nfs/dbraw/zinc/45/20/32/650452032.db2.gz DCQFGMSOZQCVDB-JTQLQIEISA-N 0 1 262.313 0.475 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2ccncc2C)C1 ZINC001014444333 650452261 /nfs/dbraw/zinc/45/22/61/650452261.db2.gz RGALDMGMDRAJND-CQSZACIVSA-N 0 1 257.337 0.756 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001014400660 650448769 /nfs/dbraw/zinc/44/87/69/650448769.db2.gz HBBFAZJQUCKDNU-CQSZACIVSA-N 0 1 287.363 0.371 20 30 CCEDMN CN(C(=O)C(N)=O)C1CC(NCc2ccccc2C#N)C1 ZINC000999325534 638974709 /nfs/dbraw/zinc/97/47/09/638974709.db2.gz CJBRPGLVTYSQJQ-UHFFFAOYSA-N 0 1 286.335 0.123 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCC[C@H](NCC#N)C1 ZINC000999507860 638983416 /nfs/dbraw/zinc/98/34/16/638983416.db2.gz FLBKNLNWFWBVLT-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC(NC(=O)c2n[nH]c3ccccc32)C1 ZINC000999525445 638986075 /nfs/dbraw/zinc/98/60/75/638986075.db2.gz ADCSPNAZFUWLAX-SECBINFHSA-N 0 1 297.318 0.663 20 30 CCEDMN C#CCN1CC[C@H](n2cc(CNC(=O)[C@H]3C[C@@H]3C)nn2)C1 ZINC001000010867 639028431 /nfs/dbraw/zinc/02/84/31/639028431.db2.gz SCGNZSBNKHWCIC-UBHSHLNASA-N 0 1 287.367 0.430 20 30 CCEDMN C#CCN1CC[C@@H](n2cc(CNC(=O)[C@H]3CC34CC4)nn2)C1 ZINC001000029831 639036079 /nfs/dbraw/zinc/03/60/79/639036079.db2.gz IMMALXQQTKCZBF-ZIAGYGMSSA-N 0 1 299.378 0.574 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC001000752487 639164130 /nfs/dbraw/zinc/16/41/30/639164130.db2.gz JIGSSLWXRVBDIL-AWEZNQCLSA-N 0 1 289.379 0.236 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc3n(n2)CCC3)CC1 ZINC001000759042 639165262 /nfs/dbraw/zinc/16/52/62/639165262.db2.gz LVRDDIUOVGHISN-UHFFFAOYSA-N 0 1 284.363 0.824 20 30 CCEDMN C#CC[N@@H+]1CC=C(CNC(=O)c2ccc3nn[n-]c3n2)CC1 ZINC001000754092 639165282 /nfs/dbraw/zinc/16/52/82/639165282.db2.gz FTJYPOJSUVSSSN-UHFFFAOYSA-N 0 1 296.334 0.348 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc3n[nH]nc3n2)CC1 ZINC001000754092 639165298 /nfs/dbraw/zinc/16/52/98/639165298.db2.gz FTJYPOJSUVSSSN-UHFFFAOYSA-N 0 1 296.334 0.348 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](NCC#N)C2)CC1 ZINC001000990623 639211839 /nfs/dbraw/zinc/21/18/39/639211839.db2.gz CPYMVBBNBSNSPD-HNNXBMFYSA-N 0 1 288.395 0.436 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc(=O)[nH]c2)CC1 ZINC001001426697 639297909 /nfs/dbraw/zinc/29/79/09/639297909.db2.gz HLLHNUKSSNMJPM-UHFFFAOYSA-N 0 1 271.320 0.782 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cncc(C(N)=O)c2)CC1 ZINC001001455419 639301222 /nfs/dbraw/zinc/30/12/22/639301222.db2.gz CQSAAKUJVDJPLL-UHFFFAOYSA-N 0 1 298.346 0.176 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2[nH]cnc2C)CC1 ZINC001001498511 639309261 /nfs/dbraw/zinc/30/92/61/639309261.db2.gz UWNMORIZAIONRS-UHFFFAOYSA-N 0 1 258.325 0.713 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001016304069 639408348 /nfs/dbraw/zinc/40/83/48/639408348.db2.gz DWPVCQBNILSLAN-YPMHNXCESA-N 0 1 274.368 0.891 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC001002458050 639414098 /nfs/dbraw/zinc/41/40/98/639414098.db2.gz DEQMJXUUJARMIJ-STQMWFEESA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001002611653 639433207 /nfs/dbraw/zinc/43/32/07/639433207.db2.gz UHBGUBZEGXQAHO-NSHDSACASA-N 0 1 264.325 0.153 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cncnc2)CC1 ZINC001002654907 639438847 /nfs/dbraw/zinc/43/88/47/639438847.db2.gz HHVJBUKKUSZFLX-UHFFFAOYSA-N 0 1 258.325 0.694 20 30 CCEDMN CC#CCN1CCC(NC(=O)C2=CCOCC2)CC1 ZINC001002664173 639439902 /nfs/dbraw/zinc/43/99/02/639439902.db2.gz KNNAMDMWOJKZIL-UHFFFAOYSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2nc3ncc(C)cn3n2)C1 ZINC001014868123 650497948 /nfs/dbraw/zinc/49/79/48/650497948.db2.gz JELCUNMFHFOSBM-LBPRGKRZSA-N 0 1 298.350 0.260 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2cnn(C)c2C)CC1 ZINC001002982121 639487908 /nfs/dbraw/zinc/48/79/08/639487908.db2.gz TUFDVGAJYLTXDD-UHFFFAOYSA-N 0 1 288.395 0.875 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001014893077 650500450 /nfs/dbraw/zinc/50/04/50/650500450.db2.gz HSIRCLGYLIZUHY-LBPRGKRZSA-N 0 1 288.351 0.214 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@H]2CCN(C)C2=O)CC1 ZINC001003102043 639505243 /nfs/dbraw/zinc/50/52/43/639505243.db2.gz NVGIOTMCKLJCSS-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn3c(n2)COCC3)C1 ZINC001014939314 650506528 /nfs/dbraw/zinc/50/65/28/650506528.db2.gz CTOJHTSYPDPRGD-LLVKDONJSA-N 0 1 276.340 0.403 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@H]2CCOC2)CC1 ZINC001005198298 639888878 /nfs/dbraw/zinc/88/88/78/639888878.db2.gz MMZCLKJLXILRKS-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C[C@H](C(=O)N1[C@@H]2CC[C@H]1C[N@@H+](C)CC2)n1cnc(C#N)n1 ZINC000923269127 640063669 /nfs/dbraw/zinc/06/36/69/640063669.db2.gz UKGUIEQUURDNHG-UTUOFQBUSA-N 0 1 288.355 0.406 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc(Cl)n2C)C1 ZINC001015266579 650546160 /nfs/dbraw/zinc/54/61/60/650546160.db2.gz CJTWGTZWTIMRGC-JTQLQIEISA-N 0 1 280.759 0.901 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001015371270 650556494 /nfs/dbraw/zinc/55/64/94/650556494.db2.gz AMQNLDUXVLYOOH-SMDDNHRTSA-N 0 1 265.357 0.279 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@H]1CC12CCN(CC#N)CC2 ZINC001016691436 640218088 /nfs/dbraw/zinc/21/80/88/640218088.db2.gz VMTKSFUAVSNZEJ-KGLIPLIRSA-N 0 1 290.411 0.965 20 30 CCEDMN N#CCN1CCC2(C[C@H]2NC(=O)c2[nH]ncc2F)CC1 ZINC001016814393 640219604 /nfs/dbraw/zinc/21/96/04/640219604.db2.gz JWAMCCGEOJRSRG-SNVBAGLBSA-N 0 1 277.303 0.657 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2csnn2)C1 ZINC001015425376 650565299 /nfs/dbraw/zinc/56/52/99/650565299.db2.gz VAPLCRJOJFKBLZ-VIFPVBQESA-N 0 1 252.343 0.918 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]nc2[C@H]2CCOC2)C1 ZINC001015403145 650562789 /nfs/dbraw/zinc/56/27/89/650562789.db2.gz AFJIVOLDXAIUQY-RYUDHWBXSA-N 0 1 290.367 0.904 20 30 CCEDMN Cc1nonc1CNS(=O)(=O)c1ccc(C#N)o1 ZINC000805017374 640327683 /nfs/dbraw/zinc/32/76/83/640327683.db2.gz FWQALMWXFNVAAD-UHFFFAOYSA-N 0 1 268.254 0.321 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000798441241 640495613 /nfs/dbraw/zinc/49/56/13/640495613.db2.gz URQIYEDZIWIKGD-RYUDHWBXSA-N 0 1 276.340 0.861 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCc3nnn(C)c3C2)C1 ZINC001015618535 650593822 /nfs/dbraw/zinc/59/38/22/650593822.db2.gz VBNBKOSXQSJDOR-RYUDHWBXSA-N 0 1 289.383 0.297 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2cccnc2C)C1 ZINC001015697997 650610698 /nfs/dbraw/zinc/61/06/98/650610698.db2.gz FEMXDZJPMKDSJE-CQSZACIVSA-N 0 1 257.337 0.756 20 30 CCEDMN N#CC(C(=O)CCCCC(N)=O)C(=O)NC1CCCC1 ZINC000810536436 640936675 /nfs/dbraw/zinc/93/66/75/640936675.db2.gz LRIMWYXOXGUKMN-LLVKDONJSA-N 0 1 279.340 0.800 20 30 CCEDMN Cn1cc(C=[NH+][N-]c2cc(Cl)nc(N)n2)c(=O)[nH]1 ZINC000814226094 641061544 /nfs/dbraw/zinc/06/15/44/641061544.db2.gz OPGMPLAFRKIWOI-UHFFFAOYSA-N 0 1 267.680 0.597 20 30 CCEDMN C[C@@H]1CN=C(NN=C2CCCc3c2ccc(=O)n3C)N1 ZINC000814897771 641080731 /nfs/dbraw/zinc/08/07/31/641080731.db2.gz MWQVPEKAJLUTIW-SECBINFHSA-N 0 1 273.340 0.363 20 30 CCEDMN CC(C)OCCNN=Cc1cnc(N(C)C)nc1 ZINC000814898523 641081070 /nfs/dbraw/zinc/08/10/70/641081070.db2.gz APUUKNWZNONXGB-UHFFFAOYSA-N 0 1 251.334 0.891 20 30 CCEDMN Cn1ncc(C=[NH+][N-]c2ncncc2Cl)c1N ZINC000814909426 641082376 /nfs/dbraw/zinc/08/23/76/641082376.db2.gz LHGUDDQWULGQEX-UHFFFAOYSA-N 0 1 251.681 0.892 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cscn2)C1 ZINC001015801908 650630984 /nfs/dbraw/zinc/63/09/84/650630984.db2.gz AIOGANCFNGMWAT-NSHDSACASA-N 0 1 263.366 0.899 20 30 CCEDMN CS(=O)(=O)CCNCCOc1ccc(C#N)cc1 ZINC000819108169 641381031 /nfs/dbraw/zinc/38/10/31/641381031.db2.gz YTAXNWWDBDMTEU-UHFFFAOYSA-N 0 1 268.338 0.571 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(C(C)C)nn2)C1 ZINC001015912678 650655813 /nfs/dbraw/zinc/65/58/13/650655813.db2.gz GESNIBHYBGZNGM-GFCCVEGCSA-N 0 1 275.356 0.686 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001015946155 650661231 /nfs/dbraw/zinc/66/12/31/650661231.db2.gz UAJFVXXROGTLBA-NSHDSACASA-N 0 1 274.324 0.313 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001015957564 650662353 /nfs/dbraw/zinc/66/23/53/650662353.db2.gz XQQFELTVRPDOKF-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)N[C@@H]1CCN(O)C1=O ZINC000820150276 641474963 /nfs/dbraw/zinc/47/49/63/641474963.db2.gz XHBNIDXFUPPNJU-RXMQYKEDSA-N 0 1 292.217 0.457 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001015985514 650669293 /nfs/dbraw/zinc/66/92/93/650669293.db2.gz XTUDFFBLMMZQIO-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001015985720 650669926 /nfs/dbraw/zinc/66/99/26/650669926.db2.gz CHZXJOUAFZOUFE-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(CC)cn2)C1 ZINC001016005264 650673106 /nfs/dbraw/zinc/67/31/06/650673106.db2.gz PWPNEFGDDYDSMC-GFCCVEGCSA-N 0 1 260.341 0.730 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(C(=O)NC)n2)C1 ZINC001016139219 650689762 /nfs/dbraw/zinc/68/97/62/650689762.db2.gz YNDXIDZCQNCMCP-NSHDSACASA-N 0 1 288.351 0.431 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CCN1C1CC1 ZINC000827384678 642003758 /nfs/dbraw/zinc/00/37/58/642003758.db2.gz XMUCSVIAIUXVOH-YPMHNXCESA-N 0 1 299.378 0.713 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nn(CC)nc2C)C1 ZINC001016423976 650729847 /nfs/dbraw/zinc/72/98/47/650729847.db2.gz JFZWCVUWMGMBNY-LBPRGKRZSA-N 0 1 277.372 0.987 20 30 CCEDMN Cc1ccc(CNC(=O)[C@@]2(C)C[C@H](O)CN2C)cc1C#N ZINC000831781114 642394747 /nfs/dbraw/zinc/39/47/47/642394747.db2.gz HXZIJLJKZVWUPI-GOEBONIOSA-N 0 1 287.363 0.938 20 30 CCEDMN CC1(C#N)CC[NH+](CC(=O)NCCC(=O)[O-])CC1 ZINC000833551965 642539143 /nfs/dbraw/zinc/53/91/43/642539143.db2.gz WMVFZMALXFTSHJ-UHFFFAOYSA-N 0 1 253.302 0.203 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1CSCCS1 ZINC000834479623 642571860 /nfs/dbraw/zinc/57/18/60/642571860.db2.gz GXIGYSVRSSLWSX-IWSPIJDZSA-N 0 1 257.384 0.063 20 30 CCEDMN Cc1c2ccccc2oc(=O)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834480857 642572618 /nfs/dbraw/zinc/57/26/18/642572618.db2.gz XIPSSMHBVPHTGZ-JQWIXIFHSA-N 0 1 297.314 0.943 20 30 CCEDMN Cc1c(CC(=O)N[C@@H]2CNC[C@@H]2C#N)cccc1[N+](=O)[O-] ZINC000834480502 642573538 /nfs/dbraw/zinc/57/35/38/642573538.db2.gz QYGMUDQRAKBEPD-NWDGAFQWSA-N 0 1 288.307 0.674 20 30 CCEDMN Cc1nc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(C)s1 ZINC000834484419 642574231 /nfs/dbraw/zinc/57/42/31/642574231.db2.gz IRBLOIYDHJPOQK-IUCAKERBSA-N 0 1 250.327 0.601 20 30 CCEDMN Cn1cc(-c2cccc(C(=O)N[C@H]3CNC[C@@H]3C#N)c2)cn1 ZINC000834489070 642579557 /nfs/dbraw/zinc/57/95/57/642579557.db2.gz JBDFRFTYUZPVKB-ZFWWWQNUSA-N 0 1 295.346 0.928 20 30 CCEDMN Cn1cc(-c2cccc(C(=O)N[C@H]3CNC[C@H]3C#N)c2)cn1 ZINC000834489068 642580095 /nfs/dbraw/zinc/58/00/95/642580095.db2.gz JBDFRFTYUZPVKB-HIFRSBDPSA-N 0 1 295.346 0.928 20 30 CCEDMN Cc1nn(CC(=O)N[C@H]2CNC[C@H]2C#N)c(C)c1Cl ZINC000834489403 642580437 /nfs/dbraw/zinc/58/04/37/642580437.db2.gz MBXIPGYYODSUPL-ZJUUUORDSA-N 0 1 281.747 0.381 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc2c1OCO2 ZINC000834490965 642581499 /nfs/dbraw/zinc/58/14/99/642581499.db2.gz YQIWSHJVTKSMLT-WPRPVWTQSA-N 0 1 259.265 0.257 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@H]2C#N)nnn1-c1ccccc1 ZINC000834490464 642581714 /nfs/dbraw/zinc/58/17/14/642581714.db2.gz VICGIILIXLJMQW-DGCLKSJQSA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1csc(C2CC2)n1 ZINC000834493795 642584368 /nfs/dbraw/zinc/58/43/68/642584368.db2.gz HEPKJDXZGAHDQL-IUCAKERBSA-N 0 1 262.338 0.862 20 30 CCEDMN Cn1c2ccc(C(=O)N[C@H]3CNC[C@@H]3C#N)cc2[nH]c1=O ZINC000834494572 642585589 /nfs/dbraw/zinc/58/55/89/642585589.db2.gz OGNBACOAZYZLGL-ONGXEEELSA-N 0 1 285.307 0.120 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1c[nH]nc1-c1ccsc1 ZINC000834499055 642586658 /nfs/dbraw/zinc/58/66/58/642586658.db2.gz DWZLJABIHTXDDK-GXSJLCMTSA-N 0 1 287.348 0.980 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cncc(C(F)(F)F)c1 ZINC000834499529 642589302 /nfs/dbraw/zinc/58/93/02/642589302.db2.gz JGTHUVQAABRYGV-WCBMZHEXSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1CCCN1c1nccs1 ZINC000834505154 642591315 /nfs/dbraw/zinc/59/13/15/642591315.db2.gz IRHPDBRUCKRQDS-VWYCJHECSA-N 0 1 291.380 0.340 20 30 CCEDMN COc1ccccc1CCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834506718 642593594 /nfs/dbraw/zinc/59/35/94/642593594.db2.gz VKUGDFSEDZLBEQ-OLZOCXBDSA-N 0 1 273.336 0.856 20 30 CCEDMN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834510893 642595702 /nfs/dbraw/zinc/59/57/02/642595702.db2.gz GPFUXMUXHXYWEA-KWQFWETISA-N 0 1 275.268 0.140 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@@H]2C#N)cccc1[N+](=O)[O-] ZINC000834511726 642596645 /nfs/dbraw/zinc/59/66/45/642596645.db2.gz NQMBTXLITSARIZ-GXSJLCMTSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc2c[nH]nc21 ZINC000834515658 642598408 /nfs/dbraw/zinc/59/84/08/642598408.db2.gz AREQHOAYVUDGCD-MWLCHTKSSA-N 0 1 255.281 0.404 20 30 CCEDMN C[C@H](Oc1cccc(F)c1)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834515833 642598766 /nfs/dbraw/zinc/59/87/66/642598766.db2.gz CDJYBXRFUASMGA-CWSCBRNRSA-N 0 1 277.299 0.821 20 30 CCEDMN Cc1cc2ncc(C(=O)N[C@H]3CNC[C@H]3C#N)c(C)n2n1 ZINC000834517607 642599748 /nfs/dbraw/zinc/59/97/48/642599748.db2.gz XNYWYSAOGPOZQG-PWSUYJOCSA-N 0 1 284.323 0.188 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccn(-c2ccccc2F)n1 ZINC000834517450 642600839 /nfs/dbraw/zinc/60/08/39/642600839.db2.gz VQQRGFYYDFYURB-GXFFZTMASA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1Cc2ccccc2S1 ZINC000834517687 642602304 /nfs/dbraw/zinc/60/23/04/642602304.db2.gz YEWCAXYVNJQNIE-NTZNESFSSA-N 0 1 273.361 0.931 20 30 CCEDMN C#CCN1CCC2(C[C@@H]2NC(=O)c2c[nH]c(C)cc2=O)CC1 ZINC001016911985 650771130 /nfs/dbraw/zinc/77/11/30/650771130.db2.gz HBXMIZLIUPMTNL-HNNXBMFYSA-N 0 1 299.374 0.901 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cccn1 ZINC001017364781 650797033 /nfs/dbraw/zinc/79/70/33/650797033.db2.gz ORDLHADSBWSXDA-GASCZTMLSA-N 0 1 286.379 0.972 20 30 CCEDMN N#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn[nH]1 ZINC001017429097 650801776 /nfs/dbraw/zinc/80/17/76/650801776.db2.gz ANMUVNPKYRKLGC-TXEJJXNPSA-N 0 1 259.313 0.151 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000843459833 643063507 /nfs/dbraw/zinc/06/35/07/643063507.db2.gz IZGMDHGEPKOZPR-HQJQHLMTSA-N 0 1 275.268 0.018 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1(c2cccnc2)CC1 ZINC000843461240 643064627 /nfs/dbraw/zinc/06/46/27/643064627.db2.gz SPORMYHCPHVEQW-PWSUYJOCSA-N 0 1 256.309 0.341 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C[C@@H]1CCCC[C@H]1O ZINC000843460379 643065267 /nfs/dbraw/zinc/06/52/67/643065267.db2.gz OGMNZYGQSZNPFN-FIQHERPVSA-N 0 1 251.330 0.155 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)/C=C/c1ccc2c(c1)OCO2 ZINC000843461763 643066103 /nfs/dbraw/zinc/06/61/03/643066103.db2.gz NDRHWJQQYPNICH-VNPHXJNISA-N 0 1 285.303 0.656 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(F)c(F)c1 ZINC000843462423 643066635 /nfs/dbraw/zinc/06/66/35/643066635.db2.gz QEXFDNINMBFLAS-GZMMTYOYSA-N 0 1 251.236 0.806 20 30 CCEDMN N#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cnc[nH]1 ZINC001017605391 650813527 /nfs/dbraw/zinc/81/35/27/650813527.db2.gz WIXWVFJNHAQXKS-BETUJISGSA-N 0 1 273.340 0.541 20 30 CCEDMN N#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001017633732 650814801 /nfs/dbraw/zinc/81/48/01/650814801.db2.gz IXKWQBHVJJPDOT-YVECIDJPSA-N 0 1 285.351 0.712 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cn2ccccc2n1 ZINC000844194015 643177638 /nfs/dbraw/zinc/17/76/38/643177638.db2.gz TVIJUSGVQOQSTG-UWVGGRQHSA-N 0 1 255.281 0.176 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CNC[C@@H]2C#N)c(C)s1 ZINC000844195088 643178971 /nfs/dbraw/zinc/17/89/71/643178971.db2.gz PYKPIQKYDSNBMT-ONGXEEELSA-N 0 1 264.354 0.530 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CNc1cccc(Cl)c1 ZINC000844195626 643179299 /nfs/dbraw/zinc/17/92/99/643179299.db2.gz XNLOCRNKDRTGGZ-CABZTGNLSA-N 0 1 278.743 0.980 20 30 CCEDMN N#Cc1ccc(OCC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000846677875 643441360 /nfs/dbraw/zinc/44/13/60/643441360.db2.gz PUSOSAMNRCVBHC-AAEUAGOBSA-N 0 1 270.292 0.165 20 30 CCEDMN C#C[C@H]1CCCN([C@@H](C)C(=O)NC(=O)NC(C)C)C1 ZINC000847024337 643480331 /nfs/dbraw/zinc/48/03/31/643480331.db2.gz QHGPJLMQVUSTFI-RYUDHWBXSA-N 0 1 265.357 0.954 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccc2c(c1)CCOC2 ZINC000850764234 643812014 /nfs/dbraw/zinc/81/20/14/643812014.db2.gz PDBZWUWGHWKXLX-TZMCWYRMSA-N 0 1 286.335 0.992 20 30 CCEDMN C[C@@]12COC[C@]1(C)CN(CC(=O)NCCC#N)C2 ZINC000852464170 643968256 /nfs/dbraw/zinc/96/82/56/643968256.db2.gz KZISSJJYMCPHRV-BETUJISGSA-N 0 1 251.330 0.375 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@@](O)(C2CC2)C1 ZINC000852502624 643973101 /nfs/dbraw/zinc/97/31/01/643973101.db2.gz LAHKIENEIFKROX-ZDUSSCGKSA-N 0 1 251.330 0.253 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C(=O)Nc1c(F)cccc1F ZINC000852874525 644036823 /nfs/dbraw/zinc/03/68/23/644036823.db2.gz AKPNTQMGFCXSIN-XVKPBYJWSA-N 0 1 294.261 0.131 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C1(C(F)(F)F)CCOCC1 ZINC000852876135 644037535 /nfs/dbraw/zinc/03/75/35/644037535.db2.gz WFQNNBMGBMNMJJ-IUCAKERBSA-N 0 1 291.273 0.573 20 30 CCEDMN O=C(C#Cc1ccccc1)NC[C@H]1CN2CCN1CCC2 ZINC000854352549 644191239 /nfs/dbraw/zinc/19/12/39/644191239.db2.gz FYDXWCOFEMHKBO-INIZCTEOSA-N 0 1 283.375 0.544 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2ccn(C)n2)C1 ZINC001018833054 650914237 /nfs/dbraw/zinc/91/42/37/650914237.db2.gz DEYNGOJHQVJBLK-LBPRGKRZSA-N 0 1 282.775 0.906 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@]23C[C@H]2COC3)C1 ZINC001018875081 650917256 /nfs/dbraw/zinc/91/72/56/650917256.db2.gz BLEHCMRAYLOQIR-GVXVVHGQSA-N 0 1 270.760 0.966 20 30 CCEDMN C#CCNC(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000858089619 644594444 /nfs/dbraw/zinc/59/44/44/644594444.db2.gz ZLENDAQDSPHKLR-UHFFFAOYSA-N 0 1 265.357 0.516 20 30 CCEDMN C[C@H](C#N)Oc1ccc(CN2C[C@H](O)[C@@H](O)C2)cc1 ZINC000862446367 645000762 /nfs/dbraw/zinc/00/07/62/645000762.db2.gz YCMOUTLZOFFCJJ-SWHYSGLUSA-N 0 1 262.309 0.515 20 30 CCEDMN S=C(NC[C@H]1CCCO1)NN=C1CN2C[C@@H]1CCC2 ZINC000863068509 645059569 /nfs/dbraw/zinc/05/95/69/645059569.db2.gz FXDSLTHATPNZJW-WDEREUQCSA-N 0 1 282.413 0.711 20 30 CCEDMN Cn1ncc2c1ncnc2N=NC1C[N@H+]2C[C@@H]1CCC2 ZINC000863078461 645060199 /nfs/dbraw/zinc/06/01/99/645060199.db2.gz DUPZBFCDEXRXDZ-VIFPVBQESA-N 0 1 271.328 0.857 20 30 CCEDMN COC(=O)Cn1cc(C=NNc2ccncc2C)nn1 ZINC000863157917 645064482 /nfs/dbraw/zinc/06/44/82/645064482.db2.gz LMEIMOBMMMJVEI-UHFFFAOYSA-N 0 1 274.284 0.022 20 30 CCEDMN N#C[C@@]1(C(=O)NCCCCN2CCOCC2)CCCOC1 ZINC000864888170 645300274 /nfs/dbraw/zinc/30/02/74/645300274.db2.gz VDDNXWBRMAQKAK-HNNXBMFYSA-N 0 1 295.383 0.535 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001019713104 651000258 /nfs/dbraw/zinc/00/02/58/651000258.db2.gz OIXXDQBJYNOVJG-OLZOCXBDSA-N 0 1 299.802 0.798 20 30 CCEDMN CN1CC(C(=O)N2CC(Nc3cccc(C#N)c3)C2)=NC1=O ZINC000869341096 645873983 /nfs/dbraw/zinc/87/39/83/645873983.db2.gz USHSBFZPQFYWJW-UHFFFAOYSA-N 0 1 297.318 0.934 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC(Nc2cccc(C#N)c2)C1 ZINC000869339864 645874266 /nfs/dbraw/zinc/87/42/66/645874266.db2.gz NMNWQLOKHNAUAG-UHFFFAOYSA-N 0 1 282.307 0.921 20 30 CCEDMN C[C@@H](C(=O)NOc1cccc(C#N)c1)[C@H]1CCC(=O)N1 ZINC000870689964 646018235 /nfs/dbraw/zinc/01/82/35/646018235.db2.gz FXCVTXMQGCWDIT-BXKDBHETSA-N 0 1 273.292 0.883 20 30 CCEDMN C[C@@H]1CCn2cc(C(=O)N[C@H]3CNC[C@@H]3C#N)nc2C1 ZINC000870941249 646055246 /nfs/dbraw/zinc/05/52/46/646055246.db2.gz SRGVKVHYDDDEOS-VWYCJHECSA-N 0 1 273.340 0.307 20 30 CCEDMN C#Cc1ccc(CNC(=O)NCCN2CC[C@@H](O)C2)cc1 ZINC000875631205 646543785 /nfs/dbraw/zinc/54/37/85/646543785.db2.gz XLUXTQLQQQVYBV-OAHLLOKOSA-N 0 1 287.363 0.534 20 30 CCEDMN Cc1cc(C(F)(F)F)nc(C(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000876801408 646669254 /nfs/dbraw/zinc/66/92/54/646669254.db2.gz BWYXQEMTUWBWQS-HTQZYQBOSA-N 0 1 299.256 0.645 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1c(Cl)n[nH]c1C1CC1 ZINC000876802492 646670817 /nfs/dbraw/zinc/67/08/17/646670817.db2.gz PHPPYNOASYCXKD-JGVFFNPUSA-N 0 1 279.731 0.782 20 30 CCEDMN C[C@@]1(C(=O)N[C@H]2Cc3ccc(C#N)cc3C2)CNCCO1 ZINC000877265918 646773414 /nfs/dbraw/zinc/77/34/14/646773414.db2.gz LMWOLGKKRPYIDR-HOCLYGCPSA-N 0 1 285.347 0.520 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@@H](n2ccnn2)CC1 ZINC000878940279 647001220 /nfs/dbraw/zinc/00/12/20/647001220.db2.gz JORUDEKLUQTQNW-GFCCVEGCSA-N 0 1 276.344 0.335 20 30 CCEDMN COc1ccc(C#N)cc1CN1C[C@@H]2C(=O)N(C)C(=O)[C@@H]2C1 ZINC000879534553 647070387 /nfs/dbraw/zinc/07/03/87/647070387.db2.gz SIEFOKZJEAWYHY-BETUJISGSA-N 0 1 299.330 0.613 20 30 CCEDMN N#Cc1ccc(OCCN2CC[C@@H](O)[C@@H](O)C2)cc1 ZINC000879614121 647084507 /nfs/dbraw/zinc/08/45/07/647084507.db2.gz KEULXGWGASSXPY-KGLIPLIRSA-N 0 1 262.309 0.365 20 30 CCEDMN N#Cc1cc(NC(=O)C(=O)N2CCNCC2)ccc1C1CC1 ZINC000883604237 647543103 /nfs/dbraw/zinc/54/31/03/647543103.db2.gz QTHHRBNFTFZJED-UHFFFAOYSA-N 0 1 298.346 0.806 20 30 CCEDMN Cc1cn(C(C)(C)C(=O)N[C@@H]2CNC[C@H]2C#N)cn1 ZINC000884250713 647680683 /nfs/dbraw/zinc/68/06/83/647680683.db2.gz MARYERVJYBXLOU-GHMZBOCLSA-N 0 1 261.329 0.154 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccnc1C(F)F ZINC000884249902 647681177 /nfs/dbraw/zinc/68/11/77/647681177.db2.gz TZDIHDFVJLWDPI-CBAPKCEASA-N 0 1 266.251 0.861 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cncc2[nH]ccc21 ZINC000884251148 647682180 /nfs/dbraw/zinc/68/21/80/647682180.db2.gz WOLINQLJZWHYHX-KWQFWETISA-N 0 1 255.281 0.404 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2cncc(C#N)c2)CC1 ZINC000929508178 648747789 /nfs/dbraw/zinc/74/77/89/648747789.db2.gz MOCWQAKMJJXHKS-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN N#CCCNC(=O)CN1CCc2nccc(N)c2C1 ZINC000931508532 648998281 /nfs/dbraw/zinc/99/82/81/648998281.db2.gz IQJRTCARBNLLTK-UHFFFAOYSA-N 0 1 259.313 0.052 20 30 CCEDMN CO[C@H]1CC[C@@H]1N(C)CC(=O)N(CCC#N)CCC#N ZINC000934123334 649157923 /nfs/dbraw/zinc/15/79/23/649157923.db2.gz CZBDBLYTTUAZDN-STQMWFEESA-N 0 1 278.356 0.752 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(NC(=O)Cc2cnc[nH]2)CC1 ZINC000948102577 649363689 /nfs/dbraw/zinc/36/36/89/649363689.db2.gz PBYBJPONPYSHER-SNVBAGLBSA-N 0 1 289.339 0.219 20 30 CCEDMN COCCN1[C@H](C)CN(C(=O)NC[C@H](C)C#N)C[C@@H]1C ZINC000248464623 649733322 /nfs/dbraw/zinc/73/33/22/649733322.db2.gz ZNKAIFOJLHHQGT-UPJWGTAASA-N 0 1 282.388 0.897 20 30 CCEDMN COC[C@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017409934 649843268 /nfs/dbraw/zinc/84/32/68/649843268.db2.gz SOYKLVLHSSIACK-XQHKEYJVSA-N 0 1 265.357 0.856 20 30 CCEDMN N#CCCCC(=O)OC[C@@H]1CCC[N@@H+]1CC(=O)[O-] ZINC001594606273 956179446 /nfs/dbraw/zinc/17/94/46/956179446.db2.gz REPRNPDOPLLTIT-JTQLQIEISA-N 0 1 254.286 0.772 20 30 CCEDMN Cc1ccc(C#N)c(N2C[C@H]3OCCN(C)[C@@H]3C2)n1 ZINC000161851842 719379297 /nfs/dbraw/zinc/37/92/97/719379297.db2.gz GKWNXAKNPVVHAD-CHWSQXEVSA-N 0 1 258.325 0.781 20 30 CCEDMN Cc1nc(N2C[C@@H]3[C@H](C2)OCCN3C)ccc1C#N ZINC000488111270 719410739 /nfs/dbraw/zinc/41/07/39/719410739.db2.gz FTAQEGGVHSIPCE-OLZOCXBDSA-N 0 1 258.325 0.781 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](CC(C)C)C(N)=O)CC1 ZINC001230472086 805603872 /nfs/dbraw/zinc/60/38/72/805603872.db2.gz BIZQGDVXKVCHIN-CQSZACIVSA-N 0 1 293.411 0.738 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)NCc1ocnc1C ZINC001266926894 791358216 /nfs/dbraw/zinc/35/82/16/791358216.db2.gz FIHDVOKMXJANKI-SNVBAGLBSA-N 0 1 267.329 0.780 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccoc1 ZINC001266255641 790336421 /nfs/dbraw/zinc/33/64/21/790336421.db2.gz YGRROXZYNYFGGU-UHFFFAOYSA-N 0 1 250.298 0.591 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)CC[C@H](C)OC)CC1 ZINC001266283312 790399235 /nfs/dbraw/zinc/39/92/35/790399235.db2.gz MMJULRJGWYTIIJ-HNNXBMFYSA-N 0 1 295.427 0.559 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)C(C)(C)COC)CC1 ZINC001266287717 790415332 /nfs/dbraw/zinc/41/53/32/790415332.db2.gz CSCQQSOEEXYZGD-UHFFFAOYSA-N 0 1 297.443 0.969 20 30 CCEDMN C#CC[N@@H+](C)CCNC(=O)Cc1c(C)nc(C)[nH]c1=O ZINC001266357599 790582844 /nfs/dbraw/zinc/58/28/44/790582844.db2.gz BBLCLHSKXCIKKZ-UHFFFAOYSA-N 0 1 276.340 0.023 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1c(C)nc(C)[nH]c1=O ZINC001266357599 790582850 /nfs/dbraw/zinc/58/28/50/790582850.db2.gz BBLCLHSKXCIKKZ-UHFFFAOYSA-N 0 1 276.340 0.023 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCCOCC)C1 ZINC001266361320 790589838 /nfs/dbraw/zinc/58/98/38/790589838.db2.gz KWDJPNRBFNRTHR-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN C#CCN(CC)CCNC(=O)C(C)(C)c1c[nH]cn1 ZINC001266428055 790683253 /nfs/dbraw/zinc/68/32/53/790683253.db2.gz JXENCXVWOCOOLH-UHFFFAOYSA-N 0 1 262.357 0.759 20 30 CCEDMN C#CCN(CC)CCNC(=O)CNC(=O)c1ccccc1 ZINC001266431557 790690597 /nfs/dbraw/zinc/69/05/97/790690597.db2.gz IGTPNYJHLUVLLZ-UHFFFAOYSA-N 0 1 287.363 0.488 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCCN1[C@H]1CCN(C)C1=O ZINC001266453436 790743721 /nfs/dbraw/zinc/74/37/21/790743721.db2.gz FSDOWOXMHAKUKL-OLZOCXBDSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)Cn1nnnc1C(C)C ZINC001266485727 790778078 /nfs/dbraw/zinc/77/80/78/790778078.db2.gz IDDCUHKBRWHNDT-LBPRGKRZSA-N 0 1 290.371 0.010 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCCC(=O)NC(C)C ZINC001266488273 790780246 /nfs/dbraw/zinc/78/02/46/790780246.db2.gz GCVWNGOKCQOKHN-CQSZACIVSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1C[C@H](C(=O)[O-])CC[C@H]1C ZINC000397492960 790794949 /nfs/dbraw/zinc/79/49/49/790794949.db2.gz NGLIIYNKIYLFCR-CHWSQXEVSA-N 0 1 295.383 0.836 20 30 CCEDMN CNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001266514258 790845340 /nfs/dbraw/zinc/84/53/40/790845340.db2.gz OJQLDTSNNXPAJG-STQMWFEESA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@H](NCc2ccn(C)n2)C1 ZINC001266524353 790867459 /nfs/dbraw/zinc/86/74/59/790867459.db2.gz MCJHUAVLFMUAAM-AWEZNQCLSA-N 0 1 290.367 0.151 20 30 CCEDMN C=CCCCC(=O)NC[C@H]1CCN([C@@H](C)C(=O)NC)C1 ZINC001266528558 790875097 /nfs/dbraw/zinc/87/50/97/790875097.db2.gz RWOWDLBUSUYKJE-QWHCGFSZSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCN(CCNC(=O)c1cc[n+]([O-])cc1)C1CC1 ZINC001266582026 790978060 /nfs/dbraw/zinc/97/80/60/790978060.db2.gz XTIFSNISTYNJGZ-UHFFFAOYSA-N 0 1 259.309 0.147 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)CN(C)C(C)=O ZINC001230829772 805672622 /nfs/dbraw/zinc/67/26/22/805672622.db2.gz RYRONYQXVVLPBX-AWEZNQCLSA-N 0 1 297.399 0.200 20 30 CCEDMN COC[C@@H](C)N1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230818766 805670920 /nfs/dbraw/zinc/67/09/20/805670920.db2.gz DPHPMSFLURXQCE-VXGBXAGGSA-N 0 1 285.413 0.811 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(=O)C2CC2)C1=O ZINC001231072787 805724241 /nfs/dbraw/zinc/72/42/41/805724241.db2.gz JTWOWPRXOZPSHR-ZDUSSCGKSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C(C)(C)F ZINC001231167043 805743136 /nfs/dbraw/zinc/74/31/36/805743136.db2.gz KMIHHNSZGUZTHT-LLVKDONJSA-N 0 1 287.379 0.863 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1ccncc1 ZINC001231197017 805748730 /nfs/dbraw/zinc/74/87/30/805748730.db2.gz XTSHHXQNXNOKPM-UHFFFAOYSA-N 0 1 290.367 0.436 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)CCC ZINC001231223168 805754957 /nfs/dbraw/zinc/75/49/57/805754957.db2.gz CITHHINRQZLUAE-UHFFFAOYSA-N 0 1 255.362 0.527 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@H]1CCOC1(C)C ZINC001231344517 805778703 /nfs/dbraw/zinc/77/87/03/805778703.db2.gz MDZGJMWTZWGANG-GFCCVEGCSA-N 0 1 267.373 0.666 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CNC(=O)OC)C1 ZINC001277564619 805854833 /nfs/dbraw/zinc/85/48/33/805854833.db2.gz JADQIBGLDBFXNP-LLVKDONJSA-N 0 1 283.372 0.840 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H]2CCNC2=O)C1 ZINC001277637277 805915313 /nfs/dbraw/zinc/91/53/13/805915313.db2.gz VXKSUXYIQGDOET-DGCLKSJQSA-N 0 1 279.384 0.620 20 30 CCEDMN N#Cc1ccc(CCN2CC3(C2)CC[C@@H](C(N)=O)O3)cc1 ZINC001277643680 805918241 /nfs/dbraw/zinc/91/82/41/805918241.db2.gz QMXYGJPKJGWQMM-AWEZNQCLSA-N 0 1 285.347 0.819 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@H](O)[C@@H](CO)C2)c1 ZINC001232674073 805967937 /nfs/dbraw/zinc/96/79/37/805967937.db2.gz RJADCJYXQKKYAH-OCCSQVGLSA-N 0 1 262.309 0.439 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN1Cc1cc(C#N)ccc1O ZINC001232681251 805969748 /nfs/dbraw/zinc/96/97/48/805969748.db2.gz OLLHYALNUWODLD-CYBMUJFWSA-N 0 1 289.335 0.553 20 30 CCEDMN COC(=O)[C@H]1C[N@H+](C)CCN1Cc1cc(C#N)ccc1[O-] ZINC001232681251 805969753 /nfs/dbraw/zinc/96/97/53/805969753.db2.gz OLLHYALNUWODLD-CYBMUJFWSA-N 0 1 289.335 0.553 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](C)CCN1Cc1cc(C#N)ccc1[O-] ZINC001232681251 805969759 /nfs/dbraw/zinc/96/97/59/805969759.db2.gz OLLHYALNUWODLD-CYBMUJFWSA-N 0 1 289.335 0.553 20 30 CCEDMN N#Cc1cccc(CN2CCC3(CC2)CC(=O)NC(=O)C3)n1 ZINC001232925697 805996249 /nfs/dbraw/zinc/99/62/49/805996249.db2.gz LITCRGVIGOKPOG-UHFFFAOYSA-N 0 1 298.346 0.972 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCNC(=O)C1 ZINC001039357310 791154119 /nfs/dbraw/zinc/15/41/19/791154119.db2.gz SEBXFMOIDKTWQU-MJBXVCDLSA-N 0 1 289.379 0.211 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@@H](NCc2ncnn2C)C1 ZINC001266703249 791157732 /nfs/dbraw/zinc/15/77/32/791157732.db2.gz GAUTVUMBDILCSY-PHIMTYICSA-N 0 1 277.372 0.764 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cn[nH]c(=O)c1 ZINC001039390294 791188197 /nfs/dbraw/zinc/18/81/97/791188197.db2.gz GONZCWJGJGWNAB-OLZOCXBDSA-N 0 1 286.335 0.494 20 30 CCEDMN C=CCCCC(=O)N(C)CCNCc1cnnn1C ZINC001266822331 791266852 /nfs/dbraw/zinc/26/68/52/791266852.db2.gz UITMDLQFGVOUIQ-UHFFFAOYSA-N 0 1 265.361 0.719 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@]1(C)CCC(=O)N1 ZINC001266853279 791289558 /nfs/dbraw/zinc/28/95/58/791289558.db2.gz JGOJQXIODMAZLE-BBRMVZONSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001266856119 791294752 /nfs/dbraw/zinc/29/47/52/791294752.db2.gz JTHPEKJVDLLBAE-STQMWFEESA-N 0 1 277.368 0.259 20 30 CCEDMN CCOC(=O)C1(NC(=O)C(C)(C)C#N)CCN(C)CC1 ZINC001143404876 791295299 /nfs/dbraw/zinc/29/52/99/791295299.db2.gz OOUAPYYYOXMATC-UHFFFAOYSA-N 0 1 281.356 0.680 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)N[C@@H](C)c1n[nH]c(C)n1 ZINC001266927692 791360159 /nfs/dbraw/zinc/36/01/59/791360159.db2.gz LGKKEZOBOIORBU-ZJUUUORDSA-N 0 1 281.360 0.471 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@H](C)NCc2cnnn2C)c1 ZINC001266970369 791408640 /nfs/dbraw/zinc/40/86/40/791408640.db2.gz JFFKPMZCDHPWCP-NSHDSACASA-N 0 1 298.350 0.100 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](NCc2cnnn2CC)C1 ZINC001267009322 791440524 /nfs/dbraw/zinc/44/05/24/791440524.db2.gz XSBSZWZFVGPICW-CYBMUJFWSA-N 0 1 289.383 0.792 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cn3cccn3)C[C@@H]21 ZINC001041939046 791465309 /nfs/dbraw/zinc/46/53/09/791465309.db2.gz NUXZRQGMCWNUMS-KGLIPLIRSA-N 0 1 272.352 0.439 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CC1CCC1 ZINC001267188941 791645708 /nfs/dbraw/zinc/64/57/08/791645708.db2.gz HVZCPWAUBLKSRW-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnc2ccccn2c1=O ZINC001267202637 791667665 /nfs/dbraw/zinc/66/76/65/791667665.db2.gz FJQUVZNEFZKUES-UHFFFAOYSA-N 0 1 298.346 0.379 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(CC)nnc1C ZINC001267209950 791676451 /nfs/dbraw/zinc/67/64/51/791676451.db2.gz SRFPIYAAVIEMFO-UHFFFAOYSA-N 0 1 260.341 0.642 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](C)n1cncn1 ZINC001233749351 806113203 /nfs/dbraw/zinc/11/32/03/806113203.db2.gz AYEPKXNLLRNVIL-STQMWFEESA-N 0 1 275.356 0.395 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CC(=O)NCC ZINC001233819666 806126587 /nfs/dbraw/zinc/12/65/87/806126587.db2.gz OCOAIFQMJZWWKR-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN COCC#CCN(C)CCCNC(=O)CCCC(C)=O ZINC001233896691 806144899 /nfs/dbraw/zinc/14/48/99/806144899.db2.gz PAVJJYLFOCMZKD-UHFFFAOYSA-N 0 1 282.384 0.834 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)CCCCC ZINC001233977961 806160337 /nfs/dbraw/zinc/16/03/37/806160337.db2.gz MBLXJIAVXVFRGP-UHFFFAOYSA-N 0 1 281.400 0.754 20 30 CCEDMN C[C@H](O)CN1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234177364 806195172 /nfs/dbraw/zinc/19/51/72/806195172.db2.gz AXTMQWKDCIDAQN-WCQYABFASA-N 0 1 250.342 0.313 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](C)OCC#C ZINC001234264471 806214116 /nfs/dbraw/zinc/21/41/16/806214116.db2.gz BIJLOFBHEMLNKZ-ZIAGYGMSSA-N 0 1 262.353 0.581 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001059880456 814929702 /nfs/dbraw/zinc/92/97/02/814929702.db2.gz KJDOFIMEMAJBRK-AOOOYVTPSA-N 0 1 283.295 0.444 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cc(C)ncn1 ZINC001267238048 793221798 /nfs/dbraw/zinc/22/17/98/793221798.db2.gz AHNMTWRGNNNQAR-UHFFFAOYSA-N 0 1 290.367 0.487 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cn(CCC=C)nn1 ZINC001267252352 793247771 /nfs/dbraw/zinc/24/77/71/793247771.db2.gz LWHWYYYFQXYMMV-UHFFFAOYSA-N 0 1 275.356 0.539 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)CCSCC ZINC001234442351 806244917 /nfs/dbraw/zinc/24/49/17/806244917.db2.gz VZQWMTYPOYCCOR-UHFFFAOYSA-N 0 1 299.440 0.317 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(=O)n(C)c(=O)[nH]1 ZINC001234501304 806262366 /nfs/dbraw/zinc/26/23/66/806262366.db2.gz LFHQPAQEIJWENF-RXMQYKEDSA-N 0 1 252.226 0.084 20 30 CCEDMN C[C@H](CON)Oc1[nH]c(=O)nc2cccc(C#N)c21 ZINC001234514662 806264980 /nfs/dbraw/zinc/26/49/80/806264980.db2.gz YBSGFBFASDDBDU-SSDOTTSWSA-N 0 1 260.253 0.865 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cn1nccn1 ZINC001234574557 806276270 /nfs/dbraw/zinc/27/62/70/806276270.db2.gz UREITAPPIHNEIZ-LBPRGKRZSA-N 0 1 263.345 0.387 20 30 CCEDMN COC(=O)C[C@H](Oc1ccccc1C=NO)C(=O)OC ZINC001234822051 806304900 /nfs/dbraw/zinc/30/49/00/806304900.db2.gz KHIMZURCCVYWSY-NSHDSACASA-N 0 1 281.264 0.978 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@@H](CC)OC ZINC001235386243 806413431 /nfs/dbraw/zinc/41/34/31/806413431.db2.gz KLWUWJJYQXFINQ-CHWSQXEVSA-N 0 1 299.415 0.540 20 30 CCEDMN N#CCCC[N@H+]1C[C@@H]2OCCN(CCn3ccnc3)[C@H]2C1 ZINC001277945020 806422186 /nfs/dbraw/zinc/42/21/86/806422186.db2.gz JNESNPSUKCTSAZ-GJZGRUSLSA-N 0 1 289.383 0.572 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)[C@H](C)C(=O)NC1CC1 ZINC001235698514 806493898 /nfs/dbraw/zinc/49/38/98/806493898.db2.gz KPUYQDNTGABAHV-GFCCVEGCSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)[C@H](C)C(=O)NC1CC1 ZINC001235698514 806493903 /nfs/dbraw/zinc/49/39/03/806493903.db2.gz KPUYQDNTGABAHV-GFCCVEGCSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)Cc1ccnn1C ZINC001235698629 806494707 /nfs/dbraw/zinc/49/47/07/806494707.db2.gz MZCPDZWUMOBYHH-UHFFFAOYSA-N 0 1 280.372 0.561 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)Cc1ccnn1C ZINC001235698629 806494711 /nfs/dbraw/zinc/49/47/11/806494711.db2.gz MZCPDZWUMOBYHH-UHFFFAOYSA-N 0 1 280.372 0.561 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ncc(F)cc1F ZINC001278104865 806673804 /nfs/dbraw/zinc/67/38/04/806673804.db2.gz AVSCVMYHYOPUTH-JTQLQIEISA-N 0 1 283.278 0.063 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)[C@@H](O)c1ccc(F)cc1 ZINC001121951566 799105904 /nfs/dbraw/zinc/10/59/04/799105904.db2.gz DKJFSILUMOSVIW-IINYFYTJSA-N 0 1 264.300 0.587 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C[C@@H]1CCCO1)C(F)(F)F ZINC001122054205 799132126 /nfs/dbraw/zinc/13/21/26/799132126.db2.gz ORKJHUZBHFGCNB-UWVGGRQHSA-N 0 1 278.274 0.825 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1ccsc1C ZINC001278165262 806737058 /nfs/dbraw/zinc/73/70/58/806737058.db2.gz UWKJXKCYEBEDMD-GFCCVEGCSA-N 0 1 266.366 0.299 20 30 CCEDMN CCOCC(=O)NCCNCc1cc(C#N)ccc1OC ZINC001123014139 799336465 /nfs/dbraw/zinc/33/64/65/799336465.db2.gz HSBLRTHVBVZGSL-UHFFFAOYSA-N 0 1 291.351 0.809 20 30 CCEDMN C#C[C@H](CC)NC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001123560017 799432756 /nfs/dbraw/zinc/43/27/56/799432756.db2.gz XUVCNISCCYHOMK-UPJWGTAASA-N 0 1 266.389 0.332 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001123651936 799448601 /nfs/dbraw/zinc/44/86/01/799448601.db2.gz DGFUNKNZOKNBKM-MQYQWHSLSA-N 0 1 296.415 0.263 20 30 CCEDMN C=C(CC)CNC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001123656419 799449693 /nfs/dbraw/zinc/44/96/93/799449693.db2.gz DWZXZIVXFQNIIZ-QWHCGFSZSA-N 0 1 268.405 0.886 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001123694411 799455599 /nfs/dbraw/zinc/45/55/99/799455599.db2.gz LBZBKGPBIDNHKG-MCIONIFRSA-N 0 1 298.431 0.247 20 30 CCEDMN Cc1nnsc1CNCCNC(=O)CSCC#N ZINC001123792112 799474812 /nfs/dbraw/zinc/47/48/12/799474812.db2.gz IVNXZSFAUGJFFP-UHFFFAOYSA-N 0 1 285.398 0.309 20 30 CCEDMN C#CCN(CC1CC1)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001123795999 799475944 /nfs/dbraw/zinc/47/59/44/799475944.db2.gz UNPKRYKZYUXNIN-ZFWWWQNUSA-N 0 1 292.427 0.676 20 30 CCEDMN C[C@H]1CNc2c(C(=O)NCC#CCN(C)C)cnn2C1 ZINC001123845050 799485135 /nfs/dbraw/zinc/48/51/35/799485135.db2.gz LVBYUGRCFDXIJA-NSHDSACASA-N 0 1 275.356 0.240 20 30 CCEDMN C=CC(C)(C)NC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001123887923 799495188 /nfs/dbraw/zinc/49/51/88/799495188.db2.gz YBHXVKBNBZVPCO-GFCCVEGCSA-N 0 1 268.405 0.886 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1ccn[nH]1 ZINC001123897724 799497779 /nfs/dbraw/zinc/49/77/79/799497779.db2.gz MIHKKWSFHPVJFI-UHFFFAOYSA-N 0 1 269.308 0.801 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001123910727 799502048 /nfs/dbraw/zinc/50/20/48/799502048.db2.gz VCBRNBPGHAAUKI-ZJUUUORDSA-N 0 1 262.317 0.531 20 30 CCEDMN COCC#CC(=O)NC[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC001123933049 799509331 /nfs/dbraw/zinc/50/93/31/799509331.db2.gz FOJMDZLDEIYACA-GJZGRUSLSA-N 0 1 294.395 0.643 20 30 CCEDMN Cc1cc(NC(=O)[C@@H](C)NCCNC(=O)[C@@H](C)C#N)on1 ZINC001124907861 799645786 /nfs/dbraw/zinc/64/57/86/799645786.db2.gz AWFCUOAWXVMXTC-WCBMZHEXSA-N 0 1 293.327 0.176 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)Cc1cc[nH]n1 ZINC001125141101 799660858 /nfs/dbraw/zinc/66/08/58/799660858.db2.gz GCONESSJHUPNCM-UHFFFAOYSA-N 0 1 283.335 0.730 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1csc(C2CC2)n1 ZINC001278203236 806771760 /nfs/dbraw/zinc/77/17/60/806771760.db2.gz ZDRXFELAGKHPOH-SNVBAGLBSA-N 0 1 279.365 0.334 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(C[C@@H]2CCC(=O)N2)C1 ZINC001202911044 799800488 /nfs/dbraw/zinc/80/04/88/799800488.db2.gz ALDGBYMQILPZFQ-NEPJUHHUSA-N 0 1 281.356 0.642 20 30 CCEDMN N#CCN[C@@H]1C[C@@H](NC(=O)CCc2cnc[nH]2)C12CCC2 ZINC001203012675 799888275 /nfs/dbraw/zinc/88/82/75/799888275.db2.gz GAVHJOLYLXGLRV-CHWSQXEVSA-N 0 1 287.367 0.883 20 30 CCEDMN C#CCN1CCC(Nc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000037201004 799964890 /nfs/dbraw/zinc/96/48/90/799964890.db2.gz AUYDRMOXANPTPE-UHFFFAOYSA-N 0 1 293.392 0.844 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H](C)OCC)C1 ZINC001149236352 800060782 /nfs/dbraw/zinc/06/07/82/800060782.db2.gz JJFDCPAGMCHFGT-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CC1CCN(C(=O)CN(C)[C@@H]2CCC[C@H]2O)CC1 ZINC001143054132 800190939 /nfs/dbraw/zinc/19/09/39/800190939.db2.gz PSXIOGDIRBJVPF-ZIAGYGMSSA-N 0 1 264.369 0.703 20 30 CCEDMN N#C[C@H]1CN(Cc2cc3c(cn2)OCCO3)CCC1=O ZINC001143063889 800192705 /nfs/dbraw/zinc/19/27/05/800192705.db2.gz HAILXJKNNQQKRB-JTQLQIEISA-N 0 1 273.292 0.767 20 30 CCEDMN CCOC(=O)[C@H]1c2[nH]cnc2CCN1C(=O)C1(C#N)CC1 ZINC001143176572 800203843 /nfs/dbraw/zinc/20/38/43/800203843.db2.gz IEYSJEYETMCNFF-LLVKDONJSA-N 0 1 288.307 0.702 20 30 CCEDMN N#CCN(CC#N)C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001143315638 800229380 /nfs/dbraw/zinc/22/93/80/800229380.db2.gz BVKFCXBHGAAWBB-UHFFFAOYSA-N 0 1 257.175 0.918 20 30 CCEDMN C=CCNc1ncc(C(=O)Nn2ccc(=O)[nH]c2=O)s1 ZINC001149617453 800281907 /nfs/dbraw/zinc/28/19/07/800281907.db2.gz NLIFWUUCMJKRCY-UHFFFAOYSA-N 0 1 293.308 0.387 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cn2cccnc2n1 ZINC001150828746 800370077 /nfs/dbraw/zinc/37/00/77/800370077.db2.gz MNFKMARQIOXYCE-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN CC#CCN1CCC(CCOC)(NC(=O)COC)CC1 ZINC001150883815 800376359 /nfs/dbraw/zinc/37/63/59/800376359.db2.gz DIXZYDHNCAKZGF-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1noc2c1COCC2 ZINC001151693360 800447927 /nfs/dbraw/zinc/44/79/27/800447927.db2.gz KEMIANWHNHMSNM-UHFFFAOYSA-N 0 1 285.731 0.819 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1cnn(C)c1 ZINC001152230841 800504295 /nfs/dbraw/zinc/50/42/95/800504295.db2.gz NLNPAUIRQZGOBO-UHFFFAOYSA-N 0 1 270.764 0.811 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001152312859 800509557 /nfs/dbraw/zinc/50/95/57/800509557.db2.gz DKFUVJLWQQTOBC-SNVBAGLBSA-N 0 1 281.360 0.803 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001152312859 800509559 /nfs/dbraw/zinc/50/95/59/800509559.db2.gz DKFUVJLWQQTOBC-SNVBAGLBSA-N 0 1 281.360 0.803 20 30 CCEDMN N#Cc1ccc2c(c1)N(C(=O)Cc1nc[nH]n1)CC2 ZINC001153511636 800637073 /nfs/dbraw/zinc/63/70/73/800637073.db2.gz HEYAAVGDWBOFDR-UHFFFAOYSA-N 0 1 253.265 0.808 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cnc3cccnc3c2O)CCO1 ZINC001153855500 800679603 /nfs/dbraw/zinc/67/96/03/800679603.db2.gz DPUBFMRDQSSLKZ-VIFPVBQESA-N 0 1 284.275 0.288 20 30 CCEDMN COc1cc2[nH]ncc2cc1C(=O)N1CCOC[C@H]1C#N ZINC001154795107 800846936 /nfs/dbraw/zinc/84/69/36/800846936.db2.gz NGVIIORWLQWTBL-SNVBAGLBSA-N 0 1 286.291 0.936 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1ncc(F)cc1C#N ZINC001155078534 800904520 /nfs/dbraw/zinc/90/45/20/800904520.db2.gz RNJHVKDBXDLHOA-UHFFFAOYSA-N 0 1 289.270 0.913 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1ncc(C)nc1C#N ZINC001155084968 800907238 /nfs/dbraw/zinc/90/72/38/800907238.db2.gz YCYNXWRZAUDGGM-UHFFFAOYSA-N 0 1 286.295 0.478 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2nccc(F)c2C#N)CC1 ZINC001155159917 800920965 /nfs/dbraw/zinc/92/09/65/800920965.db2.gz OEXRXDHTRYXVOR-GFCCVEGCSA-N 0 1 292.314 0.809 20 30 CCEDMN Cc1nc(NCc2nnc3n2CCCNC3)ccc1C#N ZINC001155430693 800974436 /nfs/dbraw/zinc/97/44/36/800974436.db2.gz NGDQPBZURFCKAW-UHFFFAOYSA-N 0 1 283.339 0.959 20 30 CCEDMN C=CCOCC(=O)NCCCN[C@H](C)c1nnc(C)[nH]1 ZINC001155712624 801042204 /nfs/dbraw/zinc/04/22/04/801042204.db2.gz QXIUANZMTRFQDY-SNVBAGLBSA-N 0 1 281.360 0.473 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1nccs1 ZINC001155828869 801059817 /nfs/dbraw/zinc/05/98/17/801059817.db2.gz SOCUCBJIXYLCRS-VIFPVBQESA-N 0 1 252.343 0.899 20 30 CCEDMN CCn1cc(CNCCCNC(=O)[C@H](C)C#N)nn1 ZINC001155849421 801067218 /nfs/dbraw/zinc/06/72/18/801067218.db2.gz XZAKKJWRQCDSCY-SNVBAGLBSA-N 0 1 264.333 0.054 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CCN(C(N)=O)CC1 ZINC001156884009 801253116 /nfs/dbraw/zinc/25/31/16/801253116.db2.gz ULCQFBRHVRAGJT-UHFFFAOYSA-N 0 1 298.306 0.271 20 30 CCEDMN N#Cc1ccc2c(c1)n[nH]c2C(=O)NCc1c[nH]nn1 ZINC001156889146 801254158 /nfs/dbraw/zinc/25/41/58/801254158.db2.gz JHSFLHYTBHLVIB-UHFFFAOYSA-N 0 1 267.252 0.483 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1nonc1C ZINC001157164600 801315623 /nfs/dbraw/zinc/31/56/23/801315623.db2.gz VGROBUFCSFAHIW-UHFFFAOYSA-N 0 1 264.329 0.777 20 30 CCEDMN N#CCC(=O)NC[C@@H](c1nc[nH]n1)c1ccccc1 ZINC001157831865 801497122 /nfs/dbraw/zinc/49/71/22/801497122.db2.gz IUEBQNIDERRCKC-LLVKDONJSA-N 0 1 255.281 0.966 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1ncc(C)o1 ZINC001157868969 801506407 /nfs/dbraw/zinc/50/64/07/801506407.db2.gz BYJWNAQTMMFURJ-GFCCVEGCSA-N 0 1 279.340 0.617 20 30 CCEDMN CN1CCN(C(=N)Nc2nc(C#N)ccc2[N+](=O)[O-])CC1 ZINC001157915863 801520267 /nfs/dbraw/zinc/52/02/67/801520267.db2.gz YEUQWDLSZLFLNG-UHFFFAOYSA-N 0 1 289.299 0.456 20 30 CCEDMN N#Cc1cc(-n2nnnc2CN)nc2ccccc12 ZINC001158077950 801566576 /nfs/dbraw/zinc/56/65/76/801566576.db2.gz ZKVYDZHAWUEYHP-UHFFFAOYSA-N 0 1 251.253 0.541 20 30 CCEDMN N#Cc1c2c(cnc1-n1nnnc1CN)CCCC2 ZINC001158079941 801567050 /nfs/dbraw/zinc/56/70/50/801567050.db2.gz DQHQFWBVMRQAEJ-UHFFFAOYSA-N 0 1 255.285 0.266 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H](C)C2=NN(C)CC2=O)nn1 ZINC001158304703 801616915 /nfs/dbraw/zinc/61/69/15/801616915.db2.gz DCMHZPIIPXSARY-MRVPVSSYSA-N 0 1 276.300 0.394 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](COC)OC ZINC001158456869 801653986 /nfs/dbraw/zinc/65/39/86/801653986.db2.gz DRSJHTDZRFTSTM-ZIAGYGMSSA-N 0 1 282.384 0.594 20 30 CCEDMN N#Cc1nc(Cl)cnc1NC[C@@H]1COCCN1 ZINC001159042360 801746578 /nfs/dbraw/zinc/74/65/78/801746578.db2.gz FZUCDRPQXRKTAR-SSDOTTSWSA-N 0 1 253.693 0.402 20 30 CCEDMN N#Cc1ccc2ncnc(NC[C@H]3COCCN3)c2c1 ZINC001159042042 801747496 /nfs/dbraw/zinc/74/74/96/801747496.db2.gz BOWHFDIURYUVAJ-NSHDSACASA-N 0 1 269.308 0.902 20 30 CCEDMN Cc1nc(Cl)nc(NC[C@@H]2COCCN2)c1C#N ZINC001159043560 801748925 /nfs/dbraw/zinc/74/89/25/801748925.db2.gz DTOHXCYAVRWZRW-MRVPVSSYSA-N 0 1 267.720 0.132 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C(=O)NCC(F)F)CC1 ZINC001160580569 801974163 /nfs/dbraw/zinc/97/41/63/801974163.db2.gz RGUJRQNSCPFPBQ-UHFFFAOYSA-N 0 1 299.321 0.139 20 30 CCEDMN C[C@@H]1CO[C@@H]2CN(C(=O)[C@@H](N)Cc3ccccc3C#N)C[C@H]12 ZINC001160755918 802015153 /nfs/dbraw/zinc/01/51/53/802015153.db2.gz BVSCFVCKBOZRIE-BQDHKBFISA-N 0 1 299.374 0.921 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@H](N)Cc2cccc(O)c2)CCO1 ZINC001160877672 802038948 /nfs/dbraw/zinc/03/89/48/802038948.db2.gz AQKDELGMXPESSU-CHWSQXEVSA-N 0 1 275.308 0.013 20 30 CCEDMN N#CCCNC[C@H](O)COCC(F)(F)C(F)F ZINC001251544926 807685058 /nfs/dbraw/zinc/68/50/58/807685058.db2.gz BOWRJNXRWXQGQU-ZETCQYMHSA-N 0 1 258.215 0.768 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCn2ncc(Br)c2C1 ZINC001161525891 802169210 /nfs/dbraw/zinc/16/92/10/802169210.db2.gz DBYHLOZAAOWISG-NSHDSACASA-N 0 1 297.156 0.338 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](C(=O)N(C)C)C2)CC1 ZINC001161738964 802211622 /nfs/dbraw/zinc/21/16/22/802211622.db2.gz KSWQDXOZTBSZRA-AWEZNQCLSA-N 0 1 291.395 0.268 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C(C)(F)F ZINC001278844994 808322290 /nfs/dbraw/zinc/32/22/90/808322290.db2.gz QEOJNUKMZNECPH-SNVBAGLBSA-N 0 1 262.300 0.416 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1nocc1C ZINC001278879049 808421601 /nfs/dbraw/zinc/42/16/01/808421601.db2.gz ZSXUZVUNGUTJNC-GFCCVEGCSA-N 0 1 279.340 0.371 20 30 CCEDMN COc1nc(N=C2CCCC(=O)C2)nc(OC)n1 ZINC001163449259 802559313 /nfs/dbraw/zinc/55/93/13/802559313.db2.gz TVHIRDIEJFRMDU-UHFFFAOYSA-N 0 1 250.258 0.360 20 30 CCEDMN CCCCCCCN1CC[C@@H]1CN(C)C(=O)C(N)=O ZINC001264371497 809644732 /nfs/dbraw/zinc/64/47/32/809644732.db2.gz WUDWSPISGNBHDQ-GFCCVEGCSA-N 0 1 269.389 0.975 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCNCc1nncn1C ZINC001164223695 802691730 /nfs/dbraw/zinc/69/17/30/802691730.db2.gz VEJCMYPGNMFLLK-NWDGAFQWSA-N 0 1 279.388 0.869 20 30 CCEDMN N#CCc1ncc(NCCNC(=O)C(F)(F)F)cn1 ZINC001164243932 802696231 /nfs/dbraw/zinc/69/62/31/802696231.db2.gz MBUVEKFNDYPWJV-UHFFFAOYSA-N 0 1 273.218 0.633 20 30 CCEDMN CC#CCCCC(=O)NCCCNCc1cn(C)nn1 ZINC001164268468 802699190 /nfs/dbraw/zinc/69/91/90/802699190.db2.gz HJVIVCQIUPAEJM-UHFFFAOYSA-N 0 1 277.372 0.605 20 30 CCEDMN CC#CCCCC(=O)NCCCNCc1ncnn1C ZINC001164268805 802699250 /nfs/dbraw/zinc/69/92/50/802699250.db2.gz PWSBPIIKYAQCDZ-UHFFFAOYSA-N 0 1 277.372 0.605 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1nccc(C)c1C#N ZINC001165436502 802840829 /nfs/dbraw/zinc/84/08/29/802840829.db2.gz JEGVXSKCAAXXIL-NSHDSACASA-N 0 1 260.297 0.213 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1nc(Cl)nc(C)c1C#N ZINC001165438706 802841937 /nfs/dbraw/zinc/84/19/37/802841937.db2.gz KVJVSTVXIOOPQZ-SECBINFHSA-N 0 1 295.730 0.261 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1nccc(C#N)c1F ZINC001165439005 802842954 /nfs/dbraw/zinc/84/29/54/802842954.db2.gz NOUJKFBUOLTNED-VIFPVBQESA-N 0 1 264.260 0.044 20 30 CCEDMN N#Cc1nccnc1N1CCN(C[C@@H]2CCCOC2)CC1 ZINC001207467969 811728981 /nfs/dbraw/zinc/72/89/81/811728981.db2.gz WCZRBSWLWXSCBZ-ZDUSSCGKSA-N 0 1 287.367 0.897 20 30 CCEDMN N#Cc1cnc(N2CCC(NC(=O)C(F)(F)F)CC2)cn1 ZINC001166895981 802973678 /nfs/dbraw/zinc/97/36/78/802973678.db2.gz CQRYXEVHEAYDHQ-UHFFFAOYSA-N 0 1 299.256 0.996 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCC[C@@H]1CNCc1nnnn1C ZINC001268272568 812436683 /nfs/dbraw/zinc/43/66/83/812436683.db2.gz PZJCGNDWDWETHE-VXGBXAGGSA-N 0 1 292.387 0.551 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@]2(F)CCOC2)C1 ZINC001276421266 803045032 /nfs/dbraw/zinc/04/50/32/803045032.db2.gz HVNFAEYNHFCAAJ-FZMZJTMJSA-N 0 1 268.332 0.575 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001276439441 803063754 /nfs/dbraw/zinc/06/37/54/803063754.db2.gz DFKKOFQBPWODNH-DGCLKSJQSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)COCCOCC)C1 ZINC001276468832 803086682 /nfs/dbraw/zinc/08/66/82/803086682.db2.gz NIFVNLOHLUPTDG-CYBMUJFWSA-N 0 1 282.384 0.499 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CCC(CNCC#N)CC1 ZINC001206533383 803262334 /nfs/dbraw/zinc/26/23/34/803262334.db2.gz GTLDTOWHWLFPSE-LBPRGKRZSA-N 0 1 289.383 0.940 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001206883317 803298906 /nfs/dbraw/zinc/29/89/06/803298906.db2.gz ACDBEEHTRHVFFE-XPKDYRNWSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)C3(N(C)C)CC3)C2)C1=O ZINC001270727780 813908616 /nfs/dbraw/zinc/90/86/16/813908616.db2.gz HYIMNOAVBFBVOF-OAHLLOKOSA-N 0 1 289.379 0.165 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN([C@@H](CC)C(N)=O)C[C@H]1C ZINC001206911693 803304031 /nfs/dbraw/zinc/30/40/31/803304031.db2.gz ZIKJXHJVZNOFAP-UPJWGTAASA-N 0 1 279.384 0.490 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](OC)C2CC2)C1 ZINC001206922741 803307746 /nfs/dbraw/zinc/30/77/46/803307746.db2.gz WWJLJPOAAXBWCL-MRVWCRGKSA-N 0 1 264.369 0.871 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CNC(=O)NC)C1 ZINC001208279020 803501996 /nfs/dbraw/zinc/50/19/96/803501996.db2.gz FWBOTLIBEOMZQZ-SNVBAGLBSA-N 0 1 288.779 0.249 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCn2cncn2)C[C@H]1C ZINC001208318063 803505331 /nfs/dbraw/zinc/50/53/31/803505331.db2.gz HPIPWZGPYWMINQ-CHWSQXEVSA-N 0 1 291.399 0.927 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN([C@@H](CC)C(N)=O)C[C@H]1C ZINC001208374740 803510372 /nfs/dbraw/zinc/51/03/72/803510372.db2.gz VKMWBXHPGXZZEM-MCIONIFRSA-N 0 1 293.411 0.880 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](C)CC(N)=O)C1 ZINC001208975773 803560210 /nfs/dbraw/zinc/56/02/10/803560210.db2.gz DLKTUYWMYNYDPU-ONGXEEELSA-N 0 1 287.791 0.831 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COC[C@H]2CCOC2)C1 ZINC001209069244 803572154 /nfs/dbraw/zinc/57/21/54/803572154.db2.gz SDDKOYVHFCCZJR-HZSPNIEDSA-N 0 1 280.368 0.109 20 30 CCEDMN C=CCCCN1CCOC[C@@]2(CN(C(C)=O)CCO2)C1 ZINC001209162158 803576708 /nfs/dbraw/zinc/57/67/08/803576708.db2.gz STGGYXLPCLYXMG-HNNXBMFYSA-N 0 1 282.384 0.902 20 30 CCEDMN C=CCOCCN1C[C@@H](C)[C@H](NC(=O)c2cnon2)C1 ZINC001209643223 803619752 /nfs/dbraw/zinc/61/97/52/803619752.db2.gz WAQZYLDIUDTORH-ZYHUDNBSSA-N 0 1 280.328 0.322 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2(C(=O)N(C)C)CC2)C1 ZINC001210186137 803641194 /nfs/dbraw/zinc/64/11/94/803641194.db2.gz LGCGPFKXDPEEGU-CHWSQXEVSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCn2cccn2)C1 ZINC001211122152 803669446 /nfs/dbraw/zinc/66/94/46/803669446.db2.gz IHUJWDTUWBPMMG-ZIAGYGMSSA-N 0 1 274.368 0.733 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC)N2CCCC2=O)C1 ZINC001211133731 803669513 /nfs/dbraw/zinc/66/95/13/803669513.db2.gz KBUSZLOUEWRMPA-MCIONIFRSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCCC(=O)NC)C1 ZINC001211416336 803680659 /nfs/dbraw/zinc/68/06/59/803680659.db2.gz UIOWVUFSHFGMJL-CHWSQXEVSA-N 0 1 279.384 0.363 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCOC)C[C@H]1OC ZINC001213268874 803687681 /nfs/dbraw/zinc/68/76/81/803687681.db2.gz XGBVRFNMCDMFRY-VXGBXAGGSA-N 0 1 270.373 0.660 20 30 CCEDMN CC#CC[NH2+][C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001214493480 803744772 /nfs/dbraw/zinc/74/47/72/803744772.db2.gz MPAVMKYJPWQSGB-VXGBXAGGSA-N 0 1 273.336 0.861 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCn2cccn2)C[C@H]1OC ZINC001211997424 814970498 /nfs/dbraw/zinc/97/04/98/814970498.db2.gz UFAXOUUWELYLAF-ZIAGYGMSSA-N 0 1 292.383 0.665 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCO[C@@H]2CN(CC(=C)C)C[C@@H]21 ZINC001217762760 803911296 /nfs/dbraw/zinc/91/12/96/803911296.db2.gz FGEDLGSMWKGSNM-LZWOXQAQSA-N 0 1 294.395 0.801 20 30 CCEDMN C#CCCCC(=O)N1CCO[C@@H]2CN(C[C@H](C)O)C[C@@H]21 ZINC001217757846 803912379 /nfs/dbraw/zinc/91/23/79/803912379.db2.gz CGZLGEVKRIKUNW-MELADBBJSA-N 0 1 280.368 0.082 20 30 CCEDMN C=CCCCN1C[C@H]2OCCN(C(=O)c3cn[nH]n3)[C@H]2C1 ZINC001218220722 803941671 /nfs/dbraw/zinc/94/16/71/803941671.db2.gz ZNZYFXLWVPECDY-QWHCGFSZSA-N 0 1 291.355 0.296 20 30 CCEDMN Cc1noc(NC(=O)[C@H](CC(C)C)NC(=O)CN)c1C#N ZINC001218503542 803970208 /nfs/dbraw/zinc/97/02/08/803970208.db2.gz OKFIJOZJLQOVQC-JTQLQIEISA-N 0 1 293.327 0.283 20 30 CCEDMN N#Cc1cnc(NC(=O)[C@@H](N)Cc2cccc(O)c2)cn1 ZINC001218504695 803971077 /nfs/dbraw/zinc/97/10/77/803971077.db2.gz RKSPDOHFRMOVKY-LBPRGKRZSA-N 0 1 283.291 0.562 20 30 CCEDMN Cc1[nH]c(NC(=O)[C@@H]2CCCN2C(=O)CN)c(C#N)c1C ZINC001218556165 804003656 /nfs/dbraw/zinc/00/36/56/804003656.db2.gz QMVYTMRFGRZXPP-NSHDSACASA-N 0 1 289.339 0.391 20 30 CCEDMN C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)Nc1[nH]cnc1C#N ZINC001218593634 804025154 /nfs/dbraw/zinc/02/51/54/804025154.db2.gz AKIJXZPCWZANTP-VXNVDRBHSA-N 0 1 265.317 0.751 20 30 CCEDMN Cn1cncc1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001218621236 804039777 /nfs/dbraw/zinc/03/97/77/804039777.db2.gz HNURXJMKAJEGEH-LBPRGKRZSA-N 0 1 269.308 0.800 20 30 CCEDMN C=CCCOCC(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001219064283 804190047 /nfs/dbraw/zinc/19/00/47/804190047.db2.gz SZPUKCGMAPANTO-QWHCGFSZSA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@@H]1O ZINC001219344528 804279894 /nfs/dbraw/zinc/27/98/94/804279894.db2.gz OQNFGCAKHIYVMN-UPJWGTAASA-N 0 1 270.373 0.275 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@@H]1O ZINC001219346871 804280581 /nfs/dbraw/zinc/28/05/81/804280581.db2.gz YVVLMZUZOLZNMK-TUAOUCFPSA-N 0 1 256.346 0.149 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@@H]1O ZINC001219490421 804329113 /nfs/dbraw/zinc/32/91/13/804329113.db2.gz GZNISVMOXXFDLX-MJBXVCDLSA-N 0 1 282.384 0.376 20 30 CCEDMN COCCCCN1C[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001219587852 804361847 /nfs/dbraw/zinc/36/18/47/804361847.db2.gz ZOTRSTTWPXAQRM-KGLIPLIRSA-N 0 1 282.384 0.234 20 30 CCEDMN CC[C@H](CN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1)OC ZINC001219598316 804367709 /nfs/dbraw/zinc/36/77/09/804367709.db2.gz SIDJFIZWTRVTLW-MCIONIFRSA-N 0 1 296.411 0.622 20 30 CCEDMN N#CC1(NC(=O)[C@H](N)Cc2cccc(O)c2)CCC1 ZINC001219606441 804372861 /nfs/dbraw/zinc/37/28/61/804372861.db2.gz LGWOSYCMDUZMEN-GFCCVEGCSA-N 0 1 259.309 0.824 20 30 CCEDMN Cc1ncc(C(=O)NC[C@]2(O)CCNC[C@@H]2F)cc1C#N ZINC001219624353 804378096 /nfs/dbraw/zinc/37/80/96/804378096.db2.gz ILHHXNJNPKNTTK-GXTWGEPZSA-N 0 1 292.314 0.054 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccc2ncn(C)c2n1 ZINC001272110673 815015302 /nfs/dbraw/zinc/01/53/02/815015302.db2.gz DFEAOPYMUHIQJV-UHFFFAOYSA-N 0 1 285.351 0.605 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN([C@H](C)COC)C[C@@H]1O ZINC001219689860 804405434 /nfs/dbraw/zinc/40/54/34/804405434.db2.gz MOIQAOATPKAWFR-YUELXQCFSA-N 0 1 296.411 0.951 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCCc1cc(OC)cc(OC)c1 ZINC001219907812 804445356 /nfs/dbraw/zinc/44/53/56/804445356.db2.gz FJWYZNFPHIUJFE-HNNXBMFYSA-N 0 1 276.336 0.713 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)CC(F)F)[C@@H](O)C1 ZINC001220029523 804477627 /nfs/dbraw/zinc/47/76/27/804477627.db2.gz FFPNSYASRQTUAT-AXFHLTTASA-N 0 1 274.311 0.462 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)[C@@H](N)COC(C)(C)C ZINC001220043081 804481121 /nfs/dbraw/zinc/48/11/21/804481121.db2.gz DWIXFINRVMHYSM-NSHDSACASA-N 0 1 285.388 0.327 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)[C@@H](C)OC ZINC001272125210 815022046 /nfs/dbraw/zinc/02/20/46/815022046.db2.gz VZEHWCKKBICDRP-SNVBAGLBSA-N 0 1 264.753 0.184 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@@H](N)Cc1ccsc1 ZINC001220124916 804506297 /nfs/dbraw/zinc/50/62/97/804506297.db2.gz PIQCKGXDGFJAEG-NSHDSACASA-N 0 1 282.365 0.853 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)CC(=C)C)[C@@H](O)C1 ZINC001220201421 804536223 /nfs/dbraw/zinc/53/62/23/804536223.db2.gz PJMSNNKEHMJCSV-MJBXVCDLSA-N 0 1 264.369 0.773 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001220383724 804589122 /nfs/dbraw/zinc/58/91/22/804589122.db2.gz UNCPHHUQUNXZDH-ZETCQYMHSA-N 0 1 276.300 0.182 20 30 CCEDMN C=CCCCNC(=O)CNC(=O)[C@@H](N)COC(C)(C)C ZINC001220484636 804613791 /nfs/dbraw/zinc/61/37/91/804613791.db2.gz MLKOTRWZSPIVFC-NSHDSACASA-N 0 1 285.388 0.327 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cc(F)cnc1Cl ZINC001220810843 804670972 /nfs/dbraw/zinc/67/09/72/804670972.db2.gz SKBMNOVKOCHVTM-NSHDSACASA-N 0 1 255.680 0.841 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1nccc(C)n1 ZINC001272155103 815031043 /nfs/dbraw/zinc/03/10/43/815031043.db2.gz PTFVTDXJDNKOLT-UHFFFAOYSA-N 0 1 298.774 0.562 20 30 CCEDMN C=CCC[C@@H](NC(=O)N[C@@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC000316551667 804709255 /nfs/dbraw/zinc/70/92/55/804709255.db2.gz YKTZJWOBFYKPJJ-GHMZBOCLSA-N 0 1 269.345 0.799 20 30 CCEDMN N#CC1(NC(=O)[C@H](N)c2ccccc2)CCOCC1 ZINC001220967151 804710578 /nfs/dbraw/zinc/71/05/78/804710578.db2.gz UEWNMNSRPZGIMD-GFCCVEGCSA-N 0 1 259.309 0.875 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC(C)(C)c1cccc(OC)n1 ZINC001220996955 804715559 /nfs/dbraw/zinc/71/55/59/804715559.db2.gz PPFBCUKKZFCHLS-HNNXBMFYSA-N 0 1 275.352 0.835 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)COCCOC)[C@@H]2C1 ZINC001221448629 804818150 /nfs/dbraw/zinc/81/81/50/804818150.db2.gz HAJWRBYZELFFMH-CHWSQXEVSA-N 0 1 268.357 0.368 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCNC(=O)NC)[C@@H]2C1 ZINC001221525012 804829482 /nfs/dbraw/zinc/82/94/82/804829482.db2.gz NPPGKLAVYUHLDD-VXGBXAGGSA-N 0 1 280.372 0.024 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H]2CCN(C(=O)CCC)C[C@@H]21 ZINC001222185609 804879730 /nfs/dbraw/zinc/87/97/30/804879730.db2.gz RCWIHDMFAFUHBM-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CO[C@@H](C)CN1CC[C@H]1CNC(=O)C#CC(C)C ZINC001276842166 804935528 /nfs/dbraw/zinc/93/55/28/804935528.db2.gz KRZBRKPBXNNXCM-STQMWFEESA-N 0 1 252.358 0.871 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC1CCN([C@H](C)C(N)=O)CC1 ZINC001223027412 804947399 /nfs/dbraw/zinc/94/73/99/804947399.db2.gz MXYKSQXLSLRDOD-IAQYHMDHSA-N 0 1 297.399 0.016 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cc[n+]([O-])cc2)CC1 ZINC001276997214 805076650 /nfs/dbraw/zinc/07/66/50/805076650.db2.gz LNUKNCXPCVIFHK-UHFFFAOYSA-N 0 1 281.743 0.925 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)c2ccoc2)CC1 ZINC001277002126 805087232 /nfs/dbraw/zinc/08/72/32/805087232.db2.gz LRWRVUWUAWMMEB-LLVKDONJSA-N 0 1 289.335 0.270 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001278381248 807054462 /nfs/dbraw/zinc/05/44/62/807054462.db2.gz ISQJUSPPHDCNSY-GJZGRUSLSA-N 0 1 264.369 0.751 20 30 CCEDMN C[C@H](C(N)=O)N1C[C@@H](CNC(=O)C#CC(C)(C)C)[C@H](C)C1 ZINC001101955111 815053289 /nfs/dbraw/zinc/05/32/89/815053289.db2.gz SXUCWDZUFWJDIO-JHJVBQTASA-N 0 1 293.411 0.594 20 30 CCEDMN COCC#CCN1CCC(NC(=O)CSCC#N)CC1 ZINC001226152780 805198042 /nfs/dbraw/zinc/19/80/42/805198042.db2.gz RATOXGVTYOJVPG-UHFFFAOYSA-N 0 1 295.408 0.474 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1nc(C)cc(=O)[nH]1 ZINC001226157822 805199198 /nfs/dbraw/zinc/19/91/98/805199198.db2.gz GFZURSZPSOXJSR-SECBINFHSA-N 0 1 250.254 0.824 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(=O)[C@@H](C)OC)CC1 ZINC001226308446 805216358 /nfs/dbraw/zinc/21/63/58/805216358.db2.gz DNEHVCSQYPELOS-VXGBXAGGSA-N 0 1 297.399 0.293 20 30 CCEDMN CCN1CC[C@@H](N2CCC(NC(=O)[C@@H](C)C#N)CC2)C1=O ZINC001226639516 805259570 /nfs/dbraw/zinc/25/95/70/805259570.db2.gz FBLIHEKBUFFXFF-WCQYABFASA-N 0 1 292.383 0.347 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C[C@@H]2CCOC2)CC1 ZINC001226691050 805266704 /nfs/dbraw/zinc/26/67/04/805266704.db2.gz UYSAUJVTRHSHHM-AWEZNQCLSA-N 0 1 294.395 0.643 20 30 CCEDMN N#CC1CC(Oc2[n-]c(=O)nc3c(=O)[n-]c(=O)[nH]c23)C1 ZINC001226886644 805290186 /nfs/dbraw/zinc/29/01/86/805290186.db2.gz QIGYBSDVRHGOTM-UHFFFAOYSA-N 0 1 275.224 0.218 20 30 CCEDMN C#CCCCC(=O)NC1CCN([C@@H](C)C(=O)NC)CC1 ZINC001227108120 805323315 /nfs/dbraw/zinc/32/33/15/805323315.db2.gz LWBPSFUIRUBNTN-LBPRGKRZSA-N 0 1 279.384 0.505 20 30 CCEDMN N#CCN1CCC(NC(=O)CCc2cnc[nH]2)CC1 ZINC001227705526 805381068 /nfs/dbraw/zinc/38/10/68/805381068.db2.gz KPEUMVNIGZEOBA-UHFFFAOYSA-N 0 1 261.329 0.446 20 30 CCEDMN COC(=O)c1cc(=O)c(OC2=C(C)O[C@@H](C)C2=O)c[nH]1 ZINC001227784426 805389930 /nfs/dbraw/zinc/38/99/30/805389930.db2.gz VFCBABODWHHPNF-LURJTMIESA-N 0 1 279.248 0.760 20 30 CCEDMN C=CC(C)(C)C(=O)NC1CCN([C@H](C)C(=O)NC)CC1 ZINC001228631623 805461406 /nfs/dbraw/zinc/46/14/06/805461406.db2.gz RFMZESZYSMCKHD-LLVKDONJSA-N 0 1 281.400 0.914 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001228651618 805462656 /nfs/dbraw/zinc/46/26/56/805462656.db2.gz GPGRNVFRFDGTTB-SCRDCRAPSA-N 0 1 293.411 0.914 20 30 CCEDMN C=C(C)CC[N@@H+]1CC[C@H](NC(=O)c2cnn[nH]2)[C@H]1C ZINC001088696224 815095314 /nfs/dbraw/zinc/09/53/14/815095314.db2.gz SVPRGPSJSSLGFL-MNOVXSKESA-N 0 1 263.345 0.964 20 30 CCEDMN C=CCCCNC(=S)NCCN1CC[C@@H](O)C1 ZINC001247771407 807489206 /nfs/dbraw/zinc/48/92/06/807489206.db2.gz JIJJKAGNWGHKOJ-LLVKDONJSA-N 0 1 257.403 0.483 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCCN(CCOCCO)[C@H]1C ZINC001278511514 807535841 /nfs/dbraw/zinc/53/58/41/807535841.db2.gz WKNPWDLJWUQLAR-LSDHHAIUSA-N 0 1 296.411 0.624 20 30 CCEDMN CN1CC2(C1)CN(Cc1cnn3ccc(C#N)cc13)C2 ZINC001249125371 807542806 /nfs/dbraw/zinc/54/28/06/807542806.db2.gz RVKDNROTLFAZOZ-UHFFFAOYSA-N 0 1 267.336 0.953 20 30 CCEDMN CCc1nc([C@H](C)NCCNC(=O)C#CC(C)C)n[nH]1 ZINC001126815717 815130941 /nfs/dbraw/zinc/13/09/41/815130941.db2.gz JRYIRETWSIPOGQ-NSHDSACASA-N 0 1 277.372 0.793 20 30 CCEDMN N#CC1(CNC[C@@H](O)CN2CCOCC2)CCCC1 ZINC001251033460 807649194 /nfs/dbraw/zinc/64/91/94/807649194.db2.gz KSTXKLQZXDJYQU-CYBMUJFWSA-N 0 1 267.373 0.353 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCC(=O)NC3)[C@@H]2C1 ZINC001075978559 815136498 /nfs/dbraw/zinc/13/64/98/815136498.db2.gz QIQHSADSFPAEOV-MELADBBJSA-N 0 1 289.379 0.069 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccc(=O)n(C)c3)[C@@H]2C1 ZINC001075984614 815138313 /nfs/dbraw/zinc/13/83/13/815138313.db2.gz LCRLFHYMXYDLPH-DZGCQCFKSA-N 0 1 299.374 0.555 20 30 CCEDMN C=C1CN(C(=O)N[C@H](C)[C@H]2CN(C)CCN2C)C1 ZINC001251640188 807692087 /nfs/dbraw/zinc/69/20/87/807692087.db2.gz NEOLOVXDPXUNSY-VXGBXAGGSA-N 0 1 252.362 0.202 20 30 CCEDMN C[C@H]1CN(C(=O)NCC#CCN(C)C)C[C@]1(C)CO ZINC001251708678 807696995 /nfs/dbraw/zinc/69/69/95/807696995.db2.gz WRLVFXXMGWEVAW-GXTWGEPZSA-N 0 1 267.373 0.211 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCC(O)(C(F)(F)F)CC1 ZINC001251832876 807714226 /nfs/dbraw/zinc/71/42/26/807714226.db2.gz UOXCPSNGGULCGC-JTQLQIEISA-N 0 1 281.274 0.386 20 30 CCEDMN C#CCOC[C@H](O)CNCc1nccn1CC(F)(F)F ZINC001251899904 807741511 /nfs/dbraw/zinc/74/15/11/807741511.db2.gz FIRZVVUFQSMJRP-SNVBAGLBSA-N 0 1 291.273 0.546 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NCC#CCN(C)C ZINC001252076323 807793626 /nfs/dbraw/zinc/79/36/26/807793626.db2.gz DEEWUYDZQOGLJM-STQMWFEESA-N 0 1 265.357 0.442 20 30 CCEDMN C=CCOC[C@@H](O)CNc1cc(N2CCCC2)[nH]n1 ZINC001252458444 807873055 /nfs/dbraw/zinc/87/30/55/807873055.db2.gz KLPAPNMABGVCTC-NSHDSACASA-N 0 1 266.345 0.985 20 30 CCEDMN C=CCOC[C@@H](O)C[NH2+]CCc1c(C)[n-][nH]c1=O ZINC001252493585 807893711 /nfs/dbraw/zinc/89/37/11/807893711.db2.gz QNMQURPIWPVOQM-JTQLQIEISA-N 0 1 255.318 0.119 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cc(OCCOC)ncn1 ZINC001252496630 807895150 /nfs/dbraw/zinc/89/51/50/807895150.db2.gz BJLXEQKTCIPBJE-CYBMUJFWSA-N 0 1 297.355 0.155 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@H](CO)c1cccnc1 ZINC001252497747 807896092 /nfs/dbraw/zinc/89/60/92/807896092.db2.gz YAPSEMJIHKSWHY-QWHCGFSZSA-N 0 1 252.314 0.268 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(C(=O)C2CCC2)CC1 ZINC001252560948 807911324 /nfs/dbraw/zinc/91/13/24/807911324.db2.gz WDYNVZBXGKJJJS-AWEZNQCLSA-N 0 1 252.358 0.868 20 30 CCEDMN C=CCC[C@H](O)CN[C@@H](CC(=O)OC(C)(C)C)C(N)=O ZINC001252624063 807921517 /nfs/dbraw/zinc/92/15/17/807921517.db2.gz WMJSNLXXDOWXPJ-QWRGUYRKSA-N 0 1 286.372 0.489 20 30 CCEDMN C=C[C@H](O)CN1Cc2ccnn2C[C@@H](COC)C1 ZINC001253580959 808087234 /nfs/dbraw/zinc/08/72/34/808087234.db2.gz FQQMVFUJIMZJDI-AAEUAGOBSA-N 0 1 251.330 0.508 20 30 CCEDMN C=C[C@H](O)CN1CC2(C1)CCOC[C@@H]2C(=O)OC ZINC001253580925 808088651 /nfs/dbraw/zinc/08/86/51/808088651.db2.gz AVGQUFFJTKZHJI-WDEREUQCSA-N 0 1 255.314 0.045 20 30 CCEDMN C=C[C@H](O)CN1CC2CC1(C(=O)NCc1ccco1)C2 ZINC001253582465 808090985 /nfs/dbraw/zinc/09/09/85/808090985.db2.gz PDONHJLIGRMCDI-AVERBVTBSA-N 0 1 276.336 0.907 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)Nc1nc(C#N)c(C#N)nc1N ZINC001142583117 815177432 /nfs/dbraw/zinc/17/74/32/815177432.db2.gz XHMZYJWYEQWEQG-UHFFFAOYSA-N 0 1 273.300 0.081 20 30 CCEDMN CC(C)N(C)CC(=O)Nc1nc(C#N)c(C#N)nc1N ZINC001142583117 815177437 /nfs/dbraw/zinc/17/74/37/815177437.db2.gz XHMZYJWYEQWEQG-UHFFFAOYSA-N 0 1 273.300 0.081 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCc1ccns1 ZINC001254722645 808275695 /nfs/dbraw/zinc/27/56/95/808275695.db2.gz CATPWGPYNPSSGB-UHFFFAOYSA-N 0 1 266.370 0.550 20 30 CCEDMN CC[C@@H](CC#N)N[C@@H]1C[C@H](OCCO)[C@H]2OC(C)(C)O[C@@H]12 ZINC001255154483 808324324 /nfs/dbraw/zinc/32/43/24/808324324.db2.gz DIKUJFMWHVETIT-ZUWCUPBKSA-N 0 1 298.383 0.938 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1[nH]ccc1C ZINC001278855721 808337394 /nfs/dbraw/zinc/33/73/94/808337394.db2.gz UAMGEHWPZVATPZ-GFCCVEGCSA-N 0 1 263.341 0.321 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@H](OCC)C1CC1 ZINC001278855545 808338177 /nfs/dbraw/zinc/33/81/77/808338177.db2.gz RQBJZRSYNUKZJV-UONOGXRCSA-N 0 1 282.384 0.186 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cc2ccccn2c1 ZINC001278878108 808418398 /nfs/dbraw/zinc/41/83/98/808418398.db2.gz ISMUHHDKCVIPQN-INIZCTEOSA-N 0 1 299.374 0.937 20 30 CCEDMN C[C@@H](CC(=O)N1CCOCC1)N1CC[C@@](C)(C#N)C1 ZINC001256349705 808512979 /nfs/dbraw/zinc/51/29/79/808512979.db2.gz OOUGDAYXVAOVRV-JSGCOSHPSA-N 0 1 265.357 0.859 20 30 CCEDMN COC(=O)c1coc(CNC(=O)NCC#CCN(C)C)c1 ZINC001256584984 808537512 /nfs/dbraw/zinc/53/75/12/808537512.db2.gz MMFHKSHFZCPLIP-UHFFFAOYSA-N 0 1 293.323 0.430 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H](CO)CC(F)(F)F ZINC001256584950 808537942 /nfs/dbraw/zinc/53/79/42/808537942.db2.gz LOSLANGWQQWKRO-SECBINFHSA-N 0 1 281.278 0.164 20 30 CCEDMN Cc1nc(CNCCNC(=O)C#CC(C)(C)C)n[nH]1 ZINC001126915674 815231161 /nfs/dbraw/zinc/23/11/61/815231161.db2.gz GQOMSZSPQAFUMN-UHFFFAOYSA-N 0 1 263.345 0.368 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnc(Cl)n3C)[C@@H]2C1 ZINC001076038785 815235196 /nfs/dbraw/zinc/23/51/96/815235196.db2.gz MDMQIWBQRINOBD-CMPLNLGQSA-N 0 1 292.770 0.853 20 30 CCEDMN C=CCS(=O)(=O)N1CCO[C@@H](CN2CCCC2)C1 ZINC001259929346 808807873 /nfs/dbraw/zinc/80/78/73/808807873.db2.gz AJZLTLNEGUAZTH-LBPRGKRZSA-N 0 1 274.386 0.299 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2CCC(C(N)=O)CC2)C1 ZINC001261545478 809015003 /nfs/dbraw/zinc/01/50/03/809015003.db2.gz DUKGVYVZQYWQMI-GFCCVEGCSA-N 0 1 277.368 0.056 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)Nc1c(C)nn(C)c1C ZINC001261642595 809041845 /nfs/dbraw/zinc/04/18/45/809041845.db2.gz NZXJUBBZTUJNOE-MWLCHTKSSA-N 0 1 266.345 0.500 20 30 CCEDMN CN1CCC[C@H]1c1cc(C(=O)N(CC#N)CC#N)[nH]n1 ZINC001262153797 809208042 /nfs/dbraw/zinc/20/80/42/809208042.db2.gz NBZDTUSEXLWTAQ-LBPRGKRZSA-N 0 1 272.312 0.666 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cn(CC)nc3C)[C@@H]2C1 ZINC001076304461 815273345 /nfs/dbraw/zinc/27/33/45/815273345.db2.gz PCJQYGAZPLSJIC-DZGCQCFKSA-N 0 1 286.379 0.991 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)NC(=O)CN1CCCC1 ZINC001076296730 815273560 /nfs/dbraw/zinc/27/35/60/815273560.db2.gz RHDYRMCPZNLXTO-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCC1(C#N)CCOCC1 ZINC001262660970 809388271 /nfs/dbraw/zinc/38/82/71/809388271.db2.gz IDKVGLHKXQWSQB-LLVKDONJSA-N 0 1 251.330 0.517 20 30 CCEDMN C=CCn1c(C(N)=O)nnc1N1CCN(C2CCC2)CC1 ZINC001262947530 809423824 /nfs/dbraw/zinc/42/38/24/809423824.db2.gz NMCNRKDGEIBTNY-UHFFFAOYSA-N 0 1 290.371 0.238 20 30 CCEDMN COc1c(F)nccc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC001263105237 809446296 /nfs/dbraw/zinc/44/62/96/809446296.db2.gz IMVMESJNOZUALZ-VXNVDRBHSA-N 0 1 264.260 0.071 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnn4c3CCCC4)[C@@H]2C1 ZINC001076433065 815296748 /nfs/dbraw/zinc/29/67/48/815296748.db2.gz MEYJYBXLNFXWHH-XJKSGUPXSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCc1nc(C)no1 ZINC001263805507 809574426 /nfs/dbraw/zinc/57/44/26/809574426.db2.gz QEAKVNDYYPHDNJ-ZDUSSCGKSA-N 0 1 290.367 0.867 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCCC(N)=O ZINC001263822714 809579329 /nfs/dbraw/zinc/57/93/29/809579329.db2.gz ANXXLPRHCBONSM-LLVKDONJSA-N 0 1 253.346 0.361 20 30 CCEDMN CC#CCNCc1cc(=O)[nH]c(CNC(=O)C=C(C)C)n1 ZINC001264023635 809624144 /nfs/dbraw/zinc/62/41/44/809624144.db2.gz AKGHUPDFQOTDDO-UHFFFAOYSA-N 0 1 288.351 0.878 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001264074873 809627942 /nfs/dbraw/zinc/62/79/42/809627942.db2.gz KPDAZUIPHQODOE-OAHLLOKOSA-N 0 1 274.364 0.318 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@H](F)CC)C1 ZINC001264078749 809628669 /nfs/dbraw/zinc/62/86/69/809628669.db2.gz QLZLNSCYMOZYLR-CHWSQXEVSA-N 0 1 270.348 0.653 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)c1cncn1C ZINC001264107546 809630669 /nfs/dbraw/zinc/63/06/69/809630669.db2.gz XCPNMLGJIZWRNA-UHFFFAOYSA-N 0 1 292.383 0.464 20 30 CCEDMN CC#CCNCc1cc(=O)[nH]c(CNC(=O)CC(C)C)n1 ZINC001264579960 809664684 /nfs/dbraw/zinc/66/46/84/809664684.db2.gz FXKHVTHJERSLKZ-UHFFFAOYSA-N 0 1 290.367 0.957 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)C[C@H]1CCCO1 ZINC001264661296 809669069 /nfs/dbraw/zinc/66/90/69/809669069.db2.gz KISCXLQJOGPOEZ-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN CCNC(=O)CN(CC)CCCNC(=O)[C@H](C)C#N ZINC001265105955 809709935 /nfs/dbraw/zinc/70/99/35/809709935.db2.gz BYMOTJCQAHYBTA-LLVKDONJSA-N 0 1 268.361 0.110 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(CCCNC(C)=O)C1CC1 ZINC001265149721 809715302 /nfs/dbraw/zinc/71/53/02/809715302.db2.gz ZNRPHMFTFUOTIM-NSHDSACASA-N 0 1 265.357 0.115 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1CCCN(CCn2cncn2)C1 ZINC001265213203 809726732 /nfs/dbraw/zinc/72/67/32/809726732.db2.gz NMFMXTBYYHNXHH-AWEZNQCLSA-N 0 1 287.367 0.272 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@H]2CO[C@@H](C)C2)CC1 ZINC001265259764 809735045 /nfs/dbraw/zinc/73/50/45/809735045.db2.gz KUUNMRLPSAMHFL-GJZGRUSLSA-N 0 1 295.427 0.721 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](C)n2cccn2)C1 ZINC001265311022 809754683 /nfs/dbraw/zinc/75/46/83/809754683.db2.gz ZPFCOTCZEPKEEI-OLZOCXBDSA-N 0 1 260.341 0.658 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](CC(C)C)NC(C)=O)C1 ZINC001265312494 809755921 /nfs/dbraw/zinc/75/59/21/809755921.db2.gz OZWIMXNKPGIUCS-KBPBESRZSA-N 0 1 281.400 0.914 20 30 CCEDMN C=CCCCC(=O)N1CCC[C@H](CN(C)CC(N)=O)C1 ZINC001265394553 809771917 /nfs/dbraw/zinc/77/19/17/809771917.db2.gz DRAFHDPDNNHMEJ-CYBMUJFWSA-N 0 1 281.400 0.998 20 30 CCEDMN C[C@H](CNC(=O)C(N)=O)NCC#Cc1ccc(Cl)cc1 ZINC001265818553 809863017 /nfs/dbraw/zinc/86/30/17/809863017.db2.gz MYZXAYVTWHFDEH-SNVBAGLBSA-N 0 1 293.754 0.271 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C1CC2(CC2)C1 ZINC001265921672 809894955 /nfs/dbraw/zinc/89/49/55/809894955.db2.gz SXBBJLHZTSXFLS-UHFFFAOYSA-N 0 1 291.395 0.364 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCN(C)CCF ZINC001265925485 809896535 /nfs/dbraw/zinc/89/65/35/809896535.db2.gz REMGAPUHKADGIM-NEPJUHHUSA-N 0 1 258.337 0.985 20 30 CCEDMN N#CC1(C(=O)N2CC[C@H](N3CCC3)C2)CCOCC1 ZINC000702296282 809899156 /nfs/dbraw/zinc/89/91/56/809899156.db2.gz JRHJSOUGGLGHGD-LBPRGKRZSA-N 0 1 263.341 0.613 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@H](C)N[C@H](C)c1nnnn1C ZINC001266167021 809953761 /nfs/dbraw/zinc/95/37/61/809953761.db2.gz MQOBEBLRLNZGEL-WDEREUQCSA-N 0 1 294.403 0.968 20 30 CCEDMN CO[C@@H](C)CN1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001266204304 809961264 /nfs/dbraw/zinc/96/12/64/809961264.db2.gz BMJXRLRVWJHSIJ-NWDGAFQWSA-N 0 1 285.413 0.859 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCCN(CCn2cncn2)C1 ZINC001266214804 809964948 /nfs/dbraw/zinc/96/49/48/809964948.db2.gz GOVQFJRCLCNEFZ-CQSZACIVSA-N 0 1 289.383 0.662 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)c2cncnc2)C1 ZINC001279478413 809982204 /nfs/dbraw/zinc/98/22/04/809982204.db2.gz ADFKLSUHJKSMGA-HNNXBMFYSA-N 0 1 290.367 0.609 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)c2cncnc2)C1 ZINC001279480687 809982948 /nfs/dbraw/zinc/98/29/48/809982948.db2.gz RECOOWYZZLWXEE-HNNXBMFYSA-N 0 1 288.351 0.057 20 30 CCEDMN C=CCOCCN1CCC[C@@](CO)(NC(=O)[C@@H]2C[C@H]2C)C1 ZINC001279499263 809984871 /nfs/dbraw/zinc/98/48/71/809984871.db2.gz HMHYPNCAVZGISP-IIAWOOMASA-N 0 1 296.411 0.788 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)c2ncccn2)C1 ZINC001279519096 809986390 /nfs/dbraw/zinc/98/63/90/809986390.db2.gz PNMBPAFEOSFEKF-HNNXBMFYSA-N 0 1 288.351 0.057 20 30 CCEDMN C[C@H](F)CCN1C[C@@H](O)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001076907215 815409258 /nfs/dbraw/zinc/40/92/58/815409258.db2.gz FPXPFZMIMRQFDY-ZWKOPEQDSA-N 0 1 294.330 0.409 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@H](C)NC(N)=O ZINC001267268247 811069946 /nfs/dbraw/zinc/06/99/46/811069946.db2.gz YJXHOBKTESXVPJ-RYUDHWBXSA-N 0 1 280.372 0.037 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)C(C)C)C1 ZINC001267289534 811104799 /nfs/dbraw/zinc/10/47/99/811104799.db2.gz RHRPDNDILOOBQU-OLZOCXBDSA-N 0 1 279.384 0.218 20 30 CCEDMN Cn1cncc1CN1CC[C@H](CNC(=O)C#CC2CC2)C1 ZINC001267292976 811109830 /nfs/dbraw/zinc/10/98/30/811109830.db2.gz JPTWAEVOJXNMNR-CQSZACIVSA-N 0 1 286.379 0.772 20 30 CCEDMN CCCN(CC#N)CCNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001267318125 811147744 /nfs/dbraw/zinc/14/77/44/811147744.db2.gz GIDFFIMOEILNHF-LBPRGKRZSA-N 0 1 277.372 0.940 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)C(C)(C)C(N)=O)C[C@H]21 ZINC001042102464 811209633 /nfs/dbraw/zinc/20/96/33/811209633.db2.gz NEGDVEXNCXFYJP-VXGBXAGGSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C(C)(C)C(N)=O)C[C@H]21 ZINC001042102464 811209641 /nfs/dbraw/zinc/20/96/41/811209641.db2.gz NEGDVEXNCXFYJP-VXGBXAGGSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCCCCC(=O)N(C)CCNCc1cnnn1C ZINC001267364739 811219505 /nfs/dbraw/zinc/21/95/05/811219505.db2.gz JJNHBFKQEBRYMN-UHFFFAOYSA-N 0 1 277.372 0.557 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](CC(C)C)OC)[C@H](OC)C1 ZINC001213410164 811235203 /nfs/dbraw/zinc/23/52/03/811235203.db2.gz ZTMAQODPXWGLEL-RBSFLKMASA-N 0 1 296.411 0.886 20 30 CCEDMN CC#CCN[C@H](CNC(=O)Cn1ncnn1)c1ccccc1 ZINC001267520161 811398144 /nfs/dbraw/zinc/39/81/44/811398144.db2.gz KYNRNZRZAPWDMD-CQSZACIVSA-N 0 1 298.350 0.144 20 30 CCEDMN C#CCN[C@@H](CNC(=O)c1cnn(C)n1)c1ccccc1 ZINC001267523215 811401278 /nfs/dbraw/zinc/40/12/78/811401278.db2.gz QUEARQGAMTYPKY-ZDUSSCGKSA-N 0 1 283.335 0.509 20 30 CCEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)CC(N)=O)C1 ZINC001267546391 811428825 /nfs/dbraw/zinc/42/88/25/811428825.db2.gz NKTYUSBOOHPOSS-ZIAGYGMSSA-N 0 1 293.411 0.834 20 30 CCEDMN CN(CC#N)C[C@@H]1CCN(C(=O)CCc2cnc[nH]2)C1 ZINC001267584223 811483373 /nfs/dbraw/zinc/48/33/73/811483373.db2.gz CKKVXPUYFBLCNN-LBPRGKRZSA-N 0 1 275.356 0.646 20 30 CCEDMN C[C@H](CNc1ccc(C#N)cn1)N(C)C(=O)Cc1ncn[nH]1 ZINC001104672722 811548760 /nfs/dbraw/zinc/54/87/60/811548760.db2.gz GJQHDJFTYJCSRJ-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(C3CC3)CC2)C1 ZINC001077191035 815458629 /nfs/dbraw/zinc/45/86/29/815458629.db2.gz WKYAMHXAJKMKAD-CHWSQXEVSA-N 0 1 262.353 0.361 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@H]1CCN(C(=O)CC2CC2)C1 ZINC001267625044 811583815 /nfs/dbraw/zinc/58/38/15/811583815.db2.gz GCFFDDPNABIQLA-CQSZACIVSA-N 0 1 291.395 0.316 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCCn2cncn2)C1 ZINC001267633650 811591188 /nfs/dbraw/zinc/59/11/88/811591188.db2.gz IIWSJVDNCFGSRL-AWEZNQCLSA-N 0 1 289.383 0.662 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCC2(F)F)C1 ZINC001077203726 815460800 /nfs/dbraw/zinc/46/08/00/815460800.db2.gz IRKLQKNNRLDGPD-GMTAPVOTSA-N 0 1 274.311 0.769 20 30 CCEDMN C=CCCCN1CC(NC(=O)CCc2cnn(C)n2)C1 ZINC001267659741 811627084 /nfs/dbraw/zinc/62/70/84/811627084.db2.gz CKHQRVWTTPPLQL-UHFFFAOYSA-N 0 1 277.372 0.514 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cnsn2)C1 ZINC001267673506 811638840 /nfs/dbraw/zinc/63/88/40/811638840.db2.gz CNXDGOAKMXVJAE-UHFFFAOYSA-N 0 1 282.369 0.402 20 30 CCEDMN C=CCN1CC(CNC(=O)Cc2ccc(F)cn2)C1 ZINC001267688470 811653329 /nfs/dbraw/zinc/65/33/29/811653329.db2.gz LNBLXXJQILFFIA-UHFFFAOYSA-N 0 1 263.316 0.997 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)C(C)(C)N2CCOCC2)C1 ZINC001267694341 811659571 /nfs/dbraw/zinc/65/95/71/811659571.db2.gz BLYJNEHZHYUDAH-UHFFFAOYSA-N 0 1 295.427 0.721 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001267788923 811786909 /nfs/dbraw/zinc/78/69/09/811786909.db2.gz BCZOAVKWVSNVHG-LSDHHAIUSA-N 0 1 293.411 0.847 20 30 CCEDMN C=CC[NH2+][C@H](CC)CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001267826371 811819217 /nfs/dbraw/zinc/81/92/17/811819217.db2.gz HMUYKFNPFBCBHJ-MRVPVSSYSA-N 0 1 296.327 0.180 20 30 CCEDMN C=C(C)[C@H](CC(=O)N[C@@H]1CCCN(CCO)C1)OCC ZINC001267936945 811878177 /nfs/dbraw/zinc/87/81/77/811878177.db2.gz DWWSMMWLZNQHSC-KGLIPLIRSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CC[NH2+]C[C@H](C)CNC(=O)c1n[n-]c2ccccc2c1=O ZINC001268005985 811942823 /nfs/dbraw/zinc/94/28/23/811942823.db2.gz SNYZQSFHQHJPNM-NSHDSACASA-N 0 1 298.346 0.924 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)[C@H](C)NC(C)=O)C1 ZINC001268018444 811961421 /nfs/dbraw/zinc/96/14/21/811961421.db2.gz POEYHSXYNSVNPN-JSGCOSHPSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)[C@H](C)NC(C)=O)C1 ZINC001268018441 811961665 /nfs/dbraw/zinc/96/16/65/811961665.db2.gz POEYHSXYNSVNPN-GXTWGEPZSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H]2CCCN(C(C)=O)C2)C1=O ZINC001027257205 811983945 /nfs/dbraw/zinc/98/39/45/811983945.db2.gz RDUVEKCKFHUYKJ-KBPBESRZSA-N 0 1 279.384 0.716 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC2(CN(CC#C)C2)CC1 ZINC001268043835 812081571 /nfs/dbraw/zinc/08/15/71/812081571.db2.gz RCDVPMCBSFZPQG-CQSZACIVSA-N 0 1 274.364 0.582 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cnc(N(C)C)cn1 ZINC001027881501 812114228 /nfs/dbraw/zinc/11/42/28/812114228.db2.gz QVXYPAFTFWPBSZ-GFCCVEGCSA-N 0 1 289.383 0.923 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cn(C)nc1CC ZINC001027952898 812162358 /nfs/dbraw/zinc/16/23/58/812162358.db2.gz GCGSQLGCFPEFCI-LBPRGKRZSA-N 0 1 274.368 0.810 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cn2c(n1)COCC2 ZINC001027966420 812173916 /nfs/dbraw/zinc/17/39/16/812173916.db2.gz PQVADIHKHHQJLU-GFCCVEGCSA-N 0 1 288.351 0.241 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001027995250 812204441 /nfs/dbraw/zinc/20/44/41/812204441.db2.gz JOBSNAQZTNNYAX-SNVBAGLBSA-N 0 1 273.340 0.616 20 30 CCEDMN C=CCC[N@H+]1CCC[C@@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001027999973 812207520 /nfs/dbraw/zinc/20/75/20/812207520.db2.gz UVIVKYRMUDVOKC-SECBINFHSA-N 0 1 293.327 0.053 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(OC)n(C)n1 ZINC001028015889 812213958 /nfs/dbraw/zinc/21/39/58/812213958.db2.gz MQODTCMPOODLDC-NSHDSACASA-N 0 1 278.356 0.809 20 30 CCEDMN N#Cc1cncc(N[C@H]2CCN(C(=O)Cc3ccn[nH]3)C2)n1 ZINC001058865813 812236209 /nfs/dbraw/zinc/23/62/09/812236209.db2.gz JJPKSYDGWQOUNZ-NSHDSACASA-N 0 1 297.322 0.327 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnc(C)n1C ZINC001028102063 812277323 /nfs/dbraw/zinc/27/73/23/812277323.db2.gz WPSOUXVULMGHEN-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](c2ccccc2)[C@H](NC(=O)C(N)=O)C1 ZINC001087436344 812328207 /nfs/dbraw/zinc/32/82/07/812328207.db2.gz LULIKBZYBTVCMC-HUUCEWRRSA-N 0 1 299.374 0.469 20 30 CCEDMN C#CCCN1CC[C@H](c2ccccc2)[C@H](NC(=O)C(N)=O)C1 ZINC001087436344 812328211 /nfs/dbraw/zinc/32/82/11/812328211.db2.gz LULIKBZYBTVCMC-HUUCEWRRSA-N 0 1 299.374 0.469 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001268223901 812378656 /nfs/dbraw/zinc/37/86/56/812378656.db2.gz CWYSOUSEDUWATL-STQMWFEESA-N 0 1 267.373 0.668 20 30 CCEDMN Cc1ncoc1CNC[C@@H](O)CNC(=O)C#CC(C)(C)C ZINC001268305938 812469651 /nfs/dbraw/zinc/46/96/51/812469651.db2.gz UCDJRGUSVOEKMQ-GFCCVEGCSA-N 0 1 293.367 0.599 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2coc(OCC)n2)[C@@H](O)C1 ZINC001083605927 815532833 /nfs/dbraw/zinc/53/28/33/815532833.db2.gz XEAQUQNNXRUHSZ-PWSUYJOCSA-N 0 1 295.339 0.424 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCCN1C(N)=O ZINC001028254442 812510349 /nfs/dbraw/zinc/51/03/49/812510349.db2.gz VGWBBKCTCYMCMI-QWHCGFSZSA-N 0 1 292.383 0.133 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cccn2c(=O)[nH]nc12 ZINC001028258057 812519836 /nfs/dbraw/zinc/51/98/36/812519836.db2.gz MLLOTTMKEDZCNV-LLVKDONJSA-N 0 1 299.334 0.262 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001028285472 812580521 /nfs/dbraw/zinc/58/05/21/812580521.db2.gz YYMGIBANWNHBKU-NSHDSACASA-N 0 1 271.324 0.490 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001028291222 812589297 /nfs/dbraw/zinc/58/92/97/812589297.db2.gz RUCVAYXFZWNBLE-UONOGXRCSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnn(CCOC)c1 ZINC001028291992 812590374 /nfs/dbraw/zinc/59/03/74/812590374.db2.gz KXTUQDJXFNUXLS-CQSZACIVSA-N 0 1 290.367 0.357 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@H]1CN(C(C)=O)CCO1 ZINC001028294531 812594086 /nfs/dbraw/zinc/59/40/86/812594086.db2.gz DZLVZIYNFYHJRB-UONOGXRCSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2cn(C)nc2C)C1 ZINC001028451658 812717205 /nfs/dbraw/zinc/71/72/05/812717205.db2.gz WXTZJSVWLRPORL-CYBMUJFWSA-N 0 1 274.368 0.804 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnn(CC)c1 ZINC001038125176 815559046 /nfs/dbraw/zinc/55/90/46/815559046.db2.gz DAJPKOUROXDOPX-CYBMUJFWSA-N 0 1 260.341 0.730 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CSC)[C@H](OC)C1 ZINC001211822729 812864578 /nfs/dbraw/zinc/86/45/78/812864578.db2.gz KDCQWCCLPNCDLI-GHMZBOCLSA-N 0 1 256.371 0.188 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](Nc2ccncc2C#N)C1 ZINC001059025573 812850695 /nfs/dbraw/zinc/85/06/95/812850695.db2.gz VCDHUKALNQPRBM-NSHDSACASA-N 0 1 297.322 0.128 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2ncoc2CC)[C@@H](O)C1 ZINC001083376602 812921592 /nfs/dbraw/zinc/92/15/92/812921592.db2.gz BURFKPJFSMZTRJ-NEPJUHHUSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ncccc2C)[C@@H](O)C1 ZINC001083395741 812937668 /nfs/dbraw/zinc/93/76/68/812937668.db2.gz GKWMFFPQCZYYSU-OLZOCXBDSA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccc(COC)o2)[C@@H](O)C1 ZINC001083625290 815564341 /nfs/dbraw/zinc/56/43/41/815564341.db2.gz UMQNSOMRFUOQJB-OLZOCXBDSA-N 0 1 294.351 0.777 20 30 CCEDMN C[C@H](CNCC#N)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001268657251 812985209 /nfs/dbraw/zinc/98/52/09/812985209.db2.gz OCBFRQSSYSISER-SNVBAGLBSA-N 0 1 284.323 0.703 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)C(=O)Nc1ccccc1 ZINC001268738076 813051342 /nfs/dbraw/zinc/05/13/42/813051342.db2.gz NZBRJMUDFXGWLY-GFCCVEGCSA-N 0 1 273.336 0.695 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)Cc1ccc(OC)cn1 ZINC001268742600 813056056 /nfs/dbraw/zinc/05/60/56/813056056.db2.gz JUPYVOWBUJEABL-LBPRGKRZSA-N 0 1 275.352 0.702 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)C1CCN(C(C)=O)CC1 ZINC001268755852 813067258 /nfs/dbraw/zinc/06/72/58/813067258.db2.gz DTKSSVFSKINEGG-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](C)c1cnn(C)c1 ZINC001268766000 813074889 /nfs/dbraw/zinc/07/48/89/813074889.db2.gz VETQGVSRMPXZQH-STQMWFEESA-N 0 1 276.384 0.983 20 30 CCEDMN CCn1nc(C)c(CNCCCN(C)C(=O)[C@@H](C)C#N)n1 ZINC001268784563 813085780 /nfs/dbraw/zinc/08/57/80/813085780.db2.gz XVTHGPWORIJZDU-NSHDSACASA-N 0 1 292.387 0.704 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cn(C)nc2C)C1 ZINC001268814223 813099526 /nfs/dbraw/zinc/09/95/26/813099526.db2.gz VGWXMAHFIBDXAF-UHFFFAOYSA-N 0 1 292.383 0.593 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@H]1CCCC[N@@H+]1C ZINC000710285873 813115192 /nfs/dbraw/zinc/11/51/92/813115192.db2.gz REMQDXBEFBOZRZ-GFCCVEGCSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@H]1CCCCN1C ZINC000710285873 813115202 /nfs/dbraw/zinc/11/52/02/813115202.db2.gz REMQDXBEFBOZRZ-GFCCVEGCSA-N 0 1 258.387 0.804 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)c2ccn3cncc3c2)C1 ZINC001028662210 813195416 /nfs/dbraw/zinc/19/54/16/813195416.db2.gz VCGKSRVLIAFHEW-GFCCVEGCSA-N 0 1 283.335 0.910 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cn(C)cn3)[C@@H]2C1 ZINC001075686571 813206869 /nfs/dbraw/zinc/20/68/69/813206869.db2.gz ZZIXFSIMYIUHQY-WCQYABFASA-N 0 1 260.341 0.752 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)C1(C#N)CCOCC1)C2 ZINC001269086447 813208363 /nfs/dbraw/zinc/20/83/63/813208363.db2.gz VMSINELXUXLHJB-NEPJUHHUSA-N 0 1 263.341 0.469 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCN[C@@H](C)c1n[nH]c(CC)n1 ZINC001127046171 815602071 /nfs/dbraw/zinc/60/20/71/815602071.db2.gz NDRQQEXARSKLLP-QWRGUYRKSA-N 0 1 293.371 0.172 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1ccccc1C#N ZINC001127046936 815603015 /nfs/dbraw/zinc/60/30/15/815603015.db2.gz WNJKHTMJYBINSQ-CYBMUJFWSA-N 0 1 285.347 0.802 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CNC(=O)CC ZINC001269209675 813267650 /nfs/dbraw/zinc/26/76/50/813267650.db2.gz CVMZNKSDZLBWGS-LLVKDONJSA-N 0 1 253.346 0.279 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)CCc1cnc[nH]1 ZINC001269241486 813284396 /nfs/dbraw/zinc/28/43/96/813284396.db2.gz UZKPKXPYYIQSEA-CYBMUJFWSA-N 0 1 260.341 0.556 20 30 CCEDMN N#Cc1ccc2[nH]nc(C(=O)NC34CC(C(N)=O)(C3)C4)c2c1 ZINC001269243677 813286408 /nfs/dbraw/zinc/28/64/08/813286408.db2.gz XIKZTPRXFWEISB-UHFFFAOYSA-N 0 1 295.302 0.572 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCCC(=O)NCCC)C1 ZINC001269287005 813308897 /nfs/dbraw/zinc/30/88/97/813308897.db2.gz FROQXZZMOLBQFC-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CN(C)C(=O)C2CC2)C1 ZINC001269330384 813328759 /nfs/dbraw/zinc/32/87/59/813328759.db2.gz IICMRCUQPMKZQJ-AWEZNQCLSA-N 0 1 291.395 0.411 20 30 CCEDMN CCN(C(=O)CCc1c[nH]nn1)[C@H]1CCN(CC#N)C1 ZINC001269345665 813334691 /nfs/dbraw/zinc/33/46/91/813334691.db2.gz TXIWGIIKTCLIFU-LBPRGKRZSA-N 0 1 276.344 0.184 20 30 CCEDMN CCN(C(=O)CCc1cnn[nH]1)[C@H]1CCN(CC#N)C1 ZINC001269345665 813334699 /nfs/dbraw/zinc/33/46/99/813334699.db2.gz TXIWGIIKTCLIFU-LBPRGKRZSA-N 0 1 276.344 0.184 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)[C@H](C)CC)CC1 ZINC001269379224 813347975 /nfs/dbraw/zinc/34/79/75/813347975.db2.gz FAPCUORPEOZKPO-NEPJUHHUSA-N 0 1 279.384 0.409 20 30 CCEDMN C=CCN1CC[C@@H](NC2(CNC(=O)[C@H](C)OC)CC2)C1=O ZINC001269379229 813348428 /nfs/dbraw/zinc/34/84/28/813348428.db2.gz FBDXCSWDEOGQDV-NWDGAFQWSA-N 0 1 295.383 0.047 20 30 CCEDMN C#CCCCC(=O)NCC1([NH2+]Cc2n[nH]c(=O)[n-]2)CC1 ZINC001269403277 813358153 /nfs/dbraw/zinc/35/81/53/813358153.db2.gz SLXZHGXOOKNVIQ-UHFFFAOYSA-N 0 1 277.328 0.052 20 30 CCEDMN N#CC1(NC(=O)[C@@]23C[C@@H]2CCN3C(=O)c2cnc[nH]2)CCC1 ZINC001269414590 813361986 /nfs/dbraw/zinc/36/19/86/813361986.db2.gz SCKKPDDHCYUZDB-ZUZCIYMTSA-N 0 1 299.334 0.577 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C2(CF)CCC2)CC1 ZINC001269494317 813392593 /nfs/dbraw/zinc/39/25/93/813392593.db2.gz YMHHKANOPTVYQH-UHFFFAOYSA-N 0 1 295.358 0.114 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001028766401 813466002 /nfs/dbraw/zinc/46/60/02/813466002.db2.gz RHHKDGLHRXHYJJ-VXGBXAGGSA-N 0 1 287.367 0.296 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(=O)CC)CC1 ZINC001225720603 813472382 /nfs/dbraw/zinc/47/23/82/813472382.db2.gz IJRLEXGMFCVEMX-NSHDSACASA-N 0 1 267.373 0.668 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCC1CCN(CC(N)=O)CC1 ZINC001270111627 813639320 /nfs/dbraw/zinc/63/93/20/813639320.db2.gz RKGKEBMZRSFGSV-OAHLLOKOSA-N 0 1 297.399 0.017 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H](C)NC(C)=O)C1 ZINC001270538536 813802595 /nfs/dbraw/zinc/80/25/95/813802595.db2.gz QCBIBAHUQMCKKK-SMDDNHRTSA-N 0 1 265.357 0.115 20 30 CCEDMN Cc1nc(CN2CC[C@@](C)(NC(=O)C#CC(C)C)C2)n[nH]1 ZINC001270582274 813827669 /nfs/dbraw/zinc/82/76/69/813827669.db2.gz FFGFOWPTMPOHTI-OAHLLOKOSA-N 0 1 289.383 0.853 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)Cn2ccccc2=O)C1 ZINC001270658227 813878404 /nfs/dbraw/zinc/87/84/04/813878404.db2.gz NZXPWQKNAVIZFE-INIZCTEOSA-N 0 1 287.363 0.452 20 30 CCEDMN C=CCN1CCO[C@@H](CNC(=O)CN2CCC(C)CC2)C1 ZINC001270692942 813896018 /nfs/dbraw/zinc/89/60/18/813896018.db2.gz WDAJHZHZWJYOPL-HNNXBMFYSA-N 0 1 295.427 0.721 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CC[C@](C)(CNCC#N)C1 ZINC001270698757 813897301 /nfs/dbraw/zinc/89/73/01/813897301.db2.gz WNIFNYWNVOLWCU-TZMCWYRMSA-N 0 1 264.373 0.432 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc(C)[nH]2)[C@H]1CC ZINC001087522215 814055369 /nfs/dbraw/zinc/05/53/69/814055369.db2.gz TYWQDQBHIHBSRY-WCQYABFASA-N 0 1 260.341 0.934 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](CCNC(=O)c2ncn[nH]2)C1 ZINC001059314528 814112579 /nfs/dbraw/zinc/11/25/79/814112579.db2.gz XTRWLNTZAIXHQT-LLVKDONJSA-N 0 1 291.355 0.739 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](CCNC(=O)c2nc[nH]n2)C1 ZINC001059314528 814112582 /nfs/dbraw/zinc/11/25/82/814112582.db2.gz XTRWLNTZAIXHQT-LLVKDONJSA-N 0 1 291.355 0.739 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]nnc2C)[C@H]1CC ZINC001087682090 814186216 /nfs/dbraw/zinc/18/62/16/814186216.db2.gz FTJFUQQUEZKZBZ-NWDGAFQWSA-N 0 1 275.356 0.719 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C(N)=O)co2)[C@H]1CC ZINC001087720659 814196111 /nfs/dbraw/zinc/19/61/11/814196111.db2.gz FYPBEUFVOPXCFE-NWDGAFQWSA-N 0 1 289.335 0.594 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccnn2)[C@H]1CC ZINC001087771509 814209267 /nfs/dbraw/zinc/20/92/67/814209267.db2.gz IVOPYRNPNJVTTM-WCQYABFASA-N 0 1 258.325 0.693 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)Cc3ccn[nH]3)C[C@@H]2C1 ZINC001087917954 814271624 /nfs/dbraw/zinc/27/16/24/814271624.db2.gz WUMAYATUYFQQHH-KGLIPLIRSA-N 0 1 286.379 0.756 20 30 CCEDMN N#Cc1cncc(N[C@H]2C[C@H](NC(=O)Cc3cnc[nH]3)C2)n1 ZINC001059426495 814300831 /nfs/dbraw/zinc/30/08/31/814300831.db2.gz CHVRQKNESSSVLA-MGCOHNPYSA-N 0 1 297.322 0.373 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H](F)C(C)C)C1 ZINC001271176416 814316119 /nfs/dbraw/zinc/31/61/19/814316119.db2.gz SNCQDCRJBMEKHJ-DGCLKSJQSA-N 0 1 256.321 0.167 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCc2c(n[nH]c2C(=O)N2CC=CC2)C1 ZINC001271221410 814339217 /nfs/dbraw/zinc/33/92/17/814339217.db2.gz NFABXAKUVQTLKM-SNVBAGLBSA-N 0 1 299.334 0.466 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)[C@H]2CCOC2)C1 ZINC001271254787 814353539 /nfs/dbraw/zinc/35/35/39/814353539.db2.gz NDCBEYWKYFMMNW-STQMWFEESA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnn(C)c1CC ZINC001038459509 814442070 /nfs/dbraw/zinc/44/20/70/814442070.db2.gz OIKMXJSEBHBVHC-LBPRGKRZSA-N 0 1 274.368 0.810 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N1CCCC[C@H]1CCNCC#N ZINC001271494445 814462487 /nfs/dbraw/zinc/46/24/87/814462487.db2.gz LSWIHLAAMPZREN-UONOGXRCSA-N 0 1 278.400 0.965 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCCC[C@@H]1CCNCC#N ZINC001271558175 814475906 /nfs/dbraw/zinc/47/59/06/814475906.db2.gz JXAKDLOLQQCJAT-LLVKDONJSA-N 0 1 276.344 0.611 20 30 CCEDMN Cc1nc(CN(C)C[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001029676425 814535372 /nfs/dbraw/zinc/53/53/72/814535372.db2.gz AQCQVUNAKODUDS-PWSUYJOCSA-N 0 1 290.371 0.553 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cnn(C)c3C)[C@@H]2C1 ZINC001075869495 814613179 /nfs/dbraw/zinc/61/31/79/814613179.db2.gz WCFJCKGFUZIICA-DZGCQCFKSA-N 0 1 286.379 0.437 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCCCC[C@@H](NCC#N)C1 ZINC001088357937 814641351 /nfs/dbraw/zinc/64/13/51/814641351.db2.gz PCAROKLWZQAUKQ-LLVKDONJSA-N 0 1 276.344 0.611 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](NC(=O)CC)[C@H]2C)C1=O ZINC001088437090 814686753 /nfs/dbraw/zinc/68/67/53/814686753.db2.gz NZPXIIUMLLYOKJ-FRRDWIJNSA-N 0 1 279.384 0.762 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](OC)C1CC1 ZINC001272005490 814700775 /nfs/dbraw/zinc/70/07/75/814700775.db2.gz RDCMWXQIZSEOGJ-ZDUSSCGKSA-N 0 1 252.358 0.825 20 30 CCEDMN C[C@@H](CNC(=O)c1[nH]ncc1F)Nc1ccc(C#N)nn1 ZINC001098237843 814752471 /nfs/dbraw/zinc/75/24/71/814752471.db2.gz ICKDPBLVOWCJPC-ZETCQYMHSA-N 0 1 289.274 0.441 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)n2cncn2)[C@H]1C ZINC001088531067 814856866 /nfs/dbraw/zinc/85/68/66/814856866.db2.gz VKBHFLYHAZSXIZ-WOPDTQHZSA-N 0 1 263.345 0.604 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1nc(C)c(C)[nH]c1=O ZINC001272040853 814865892 /nfs/dbraw/zinc/86/58/92/814865892.db2.gz GXSMKIYICOPJHV-UHFFFAOYSA-N 0 1 276.340 0.024 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1conc1C ZINC001127046464 815600625 /nfs/dbraw/zinc/60/06/25/815600625.db2.gz RUAHWPLZOOFKQX-NSHDSACASA-N 0 1 265.313 0.227 20 30 CCEDMN Cc1cc(C(=O)N2C[C@@H](CNCC#N)[C@H](C)C2)n[nH]1 ZINC001105875932 815936356 /nfs/dbraw/zinc/93/63/56/815936356.db2.gz FFMICJJPVDGASC-MWLCHTKSSA-N 0 1 261.329 0.539 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)Cc2cnc[nH]2)CCN1CC#N ZINC000947757606 815946807 /nfs/dbraw/zinc/94/68/07/815946807.db2.gz CIUUCOIYSMQMNM-GHMZBOCLSA-N 0 1 261.329 0.445 20 30 CCEDMN N#CCN1CC(NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001030314201 815972047 /nfs/dbraw/zinc/97/20/47/815972047.db2.gz RDLPCYQMUJGYMS-JTQLQIEISA-N 0 1 259.313 0.154 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nccn3ccnc23)[C@H]1C ZINC001088867036 816120719 /nfs/dbraw/zinc/12/07/19/816120719.db2.gz ZWYFJAXCCAGOOJ-OLZOCXBDSA-N 0 1 297.362 0.945 20 30 CCEDMN N#CCN1CC(NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001030599669 816137726 /nfs/dbraw/zinc/13/77/26/816137726.db2.gz MLHZWKHSZKWUPE-UHFFFAOYSA-N 0 1 282.303 0.466 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc(N(C)C)c2)[C@H]1C ZINC001088893991 816163569 /nfs/dbraw/zinc/16/35/69/816163569.db2.gz FJLPVQUIERHIAY-OCCSQVGLSA-N 0 1 286.379 0.973 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)Cc2nnc[nH]2)[C@H]1C ZINC001088969679 816196797 /nfs/dbraw/zinc/19/67/97/816196797.db2.gz JNKANWKZDNPTGF-ZJUUUORDSA-N 0 1 283.763 0.679 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1OC ZINC001212194445 816213779 /nfs/dbraw/zinc/21/37/79/816213779.db2.gz SDRIRMFCHWWGKU-NFAWXSAZSA-N 0 1 282.384 0.705 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CN2CCCCCC2=O)[C@H]1C ZINC001089027058 816217142 /nfs/dbraw/zinc/21/71/42/816217142.db2.gz UFFPNMPNZBFOOY-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(C)CCC2)C1 ZINC001077516449 816424964 /nfs/dbraw/zinc/42/49/64/816424964.db2.gz IFWYKXHCPVOVEF-VXGBXAGGSA-N 0 1 250.342 0.361 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(C)CCC2)[C@@H](O)C1 ZINC001083700703 816468404 /nfs/dbraw/zinc/46/84/04/816468404.db2.gz URBLZGGWRPGTFR-NEPJUHHUSA-N 0 1 252.358 0.914 20 30 CCEDMN C=C(C)CN1CC[C@]2(CCCN2C[C@H]2CCC(=O)N2)C1=O ZINC001272525272 816549619 /nfs/dbraw/zinc/54/96/19/816549619.db2.gz QVGVSNRGFULBND-CZUORRHYSA-N 0 1 291.395 0.908 20 30 CCEDMN C=CCN1CC2(CCC1=O)CCN(CC(=O)N(C)C)CC2 ZINC001272534281 816559109 /nfs/dbraw/zinc/55/91/09/816559109.db2.gz HVKBYMKFNRDTKG-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCNCC(=O)NCCc1nc(Cc2ccccc2)no1 ZINC001122111929 816589162 /nfs/dbraw/zinc/58/91/62/816589162.db2.gz OLHDQHYLIAWIPH-UHFFFAOYSA-N 0 1 298.346 0.542 20 30 CCEDMN C#CCN1CC2(CCC1=O)CCN(Cc1c[nH]nn1)CC2 ZINC001272569317 816590433 /nfs/dbraw/zinc/59/04/33/816590433.db2.gz JNKMMRNXULEWBH-UHFFFAOYSA-N 0 1 287.367 0.643 20 30 CCEDMN C=CCNC(=O)[C@@H]1CC12CCN(Cc1c[nH]nn1)CC2 ZINC001272571090 816591394 /nfs/dbraw/zinc/59/13/94/816591394.db2.gz YDMQMRQXNKHPSI-LBPRGKRZSA-N 0 1 275.356 0.709 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2csc(=O)[nH]2)[C@@H](O)C1 ZINC001083712523 816606476 /nfs/dbraw/zinc/60/64/76/816606476.db2.gz FUKLGOHDAOIMRU-SCZZXKLOSA-N 0 1 283.353 0.200 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2coc(C(N)=O)c2)C1 ZINC001046512463 816611881 /nfs/dbraw/zinc/61/18/81/816611881.db2.gz ZVTWWYUGVDEFBI-CQSZACIVSA-N 0 1 277.324 0.759 20 30 CCEDMN N#Cc1ccccc1CN1CC(NC(=O)c2nc[nH]n2)C1 ZINC001031123357 816670113 /nfs/dbraw/zinc/67/01/13/816670113.db2.gz PDDOTZSAKCAAOW-UHFFFAOYSA-N 0 1 282.307 0.291 20 30 CCEDMN N#Cc1ccccc1CN1CC(NC(=O)c2ncn[nH]2)C1 ZINC001031123357 816670116 /nfs/dbraw/zinc/67/01/16/816670116.db2.gz PDDOTZSAKCAAOW-UHFFFAOYSA-N 0 1 282.307 0.291 20 30 CCEDMN C#CCCN1CC(NC(=O)CN2CCC(C)CC2)C1 ZINC001031142556 816696384 /nfs/dbraw/zinc/69/63/84/816696384.db2.gz CQHHJYLSMGXMOD-UHFFFAOYSA-N 0 1 263.385 0.542 20 30 CCEDMN CCN(CCNc1ccnc(C#N)n1)C(=O)c1cc(C)[nH]n1 ZINC001106692130 816851291 /nfs/dbraw/zinc/85/12/91/816851291.db2.gz OKWPAXVSYVFYSE-UHFFFAOYSA-N 0 1 299.338 0.376 20 30 CCEDMN C#CCN1CC(NC(=O)Cc2[nH]nc3ccccc32)C1 ZINC001031431965 817049758 /nfs/dbraw/zinc/04/97/58/817049758.db2.gz HYHUPMCSNFMYIE-UHFFFAOYSA-N 0 1 268.320 0.539 20 30 CCEDMN C#CCN1CC(NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001031447626 817061868 /nfs/dbraw/zinc/06/18/68/817061868.db2.gz DJDYBAQAAMTHII-UHFFFAOYSA-N 0 1 281.319 0.519 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2C[C@@H]2C(=O)OC)C1 ZINC001031579283 817158145 /nfs/dbraw/zinc/15/81/45/817158145.db2.gz PVUGUQXDGCYAND-MNOVXSKESA-N 0 1 252.314 0.030 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1OC ZINC001212258742 817261004 /nfs/dbraw/zinc/26/10/04/817261004.db2.gz ZGVOTOOGUBXAIH-RBSFLKMASA-N 0 1 294.395 0.806 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1C[C@H]1C(N)=O ZINC001089696250 817318337 /nfs/dbraw/zinc/31/83/37/817318337.db2.gz WKOHORVSFVWYQL-UPJWGTAASA-N 0 1 291.395 0.348 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccnn2CCOC)C1 ZINC001031755202 817340318 /nfs/dbraw/zinc/34/03/18/817340318.db2.gz BJTONNDPWKNOOV-UHFFFAOYSA-N 0 1 292.383 0.767 20 30 CCEDMN N#CCN1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1ccn[nH]1 ZINC001089774700 817341145 /nfs/dbraw/zinc/34/11/45/817341145.db2.gz ZYHDYVRCNDDEMB-OPDFLTKYSA-N 0 1 285.351 0.716 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001031777332 817358195 /nfs/dbraw/zinc/35/81/95/817358195.db2.gz AOLHZCABSLCMKA-ZDUSSCGKSA-N 0 1 279.384 0.479 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2n[nH]c3c2CCC3)C1 ZINC001031807806 817384806 /nfs/dbraw/zinc/38/48/06/817384806.db2.gz DVTBQDDEOQCKCW-UHFFFAOYSA-N 0 1 272.352 0.583 20 30 CCEDMN C=CCCN1CC(CNC(=O)c2cn(C)ccc2=O)C1 ZINC001031836316 817405076 /nfs/dbraw/zinc/40/50/76/817405076.db2.gz YHSRTZVQSGULNL-UHFFFAOYSA-N 0 1 275.352 0.623 20 30 CCEDMN C#CCN1CC(CNC(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001031837847 817407589 /nfs/dbraw/zinc/40/75/89/817407589.db2.gz DKLXOKXZTCKSSW-UHFFFAOYSA-N 0 1 295.346 0.562 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(=O)c(OC)co2)C1 ZINC001031848780 817413454 /nfs/dbraw/zinc/41/34/54/817413454.db2.gz NBVNXNRYPWBUNL-UHFFFAOYSA-N 0 1 290.319 0.333 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)NCC1CN(CC#N)C1 ZINC001031856845 817420317 /nfs/dbraw/zinc/42/03/17/817420317.db2.gz KFNMCTBBAJQFOH-YGRLFVJLSA-N 0 1 287.367 0.647 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C(F)F)n[nH]2)[C@@H](O)C1 ZINC001083768825 817432346 /nfs/dbraw/zinc/43/23/46/817432346.db2.gz RPUMQLKOGNKEST-MNOVXSKESA-N 0 1 298.293 0.146 20 30 CCEDMN C#Cc1ccc(C(=O)NCC2CN(CCO)C2)cc1 ZINC001031891806 817446877 /nfs/dbraw/zinc/44/68/77/817446877.db2.gz BAGYHBLTSSMEDT-UHFFFAOYSA-N 0 1 258.321 0.322 20 30 CCEDMN CC#CCN1CC(CNC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001031931937 817473729 /nfs/dbraw/zinc/47/37/29/817473729.db2.gz ZNRLOXKNBUTMLT-GFCCVEGCSA-N 0 1 287.367 0.296 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(CC=C)nn2)C1 ZINC001032036244 817568477 /nfs/dbraw/zinc/56/84/77/817568477.db2.gz VMFYQKFUNDAQTC-UHFFFAOYSA-N 0 1 273.340 0.149 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccc(C(N)=O)s2)C1 ZINC001032051423 817581688 /nfs/dbraw/zinc/58/16/88/817581688.db2.gz ODCOTFHIZKHXLL-UHFFFAOYSA-N 0 1 291.376 0.532 20 30 CCEDMN CCc1onc(C)c1CNCCNC(=O)[C@H](C)C#N ZINC001124902528 817626393 /nfs/dbraw/zinc/62/63/93/817626393.db2.gz JUQYZQJOAQGTPZ-SECBINFHSA-N 0 1 264.329 0.911 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001032123942 817651933 /nfs/dbraw/zinc/65/19/33/817651933.db2.gz MMNFBBPNWNORAF-CQSZACIVSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001032199108 817698254 /nfs/dbraw/zinc/69/82/54/817698254.db2.gz NLZYARKCTQDKGB-GFCCVEGCSA-N 0 1 274.368 0.749 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc(OCC)cn2)C1 ZINC001032229240 817731746 /nfs/dbraw/zinc/73/17/46/817731746.db2.gz KWTVHHICZHSBSC-UHFFFAOYSA-N 0 1 276.340 0.723 20 30 CCEDMN CC(C)CN1CC(n2cc(CNC(=O)[C@@H](C)C#N)nn2)C1 ZINC001107150020 817794700 /nfs/dbraw/zinc/79/47/00/817794700.db2.gz YCUWPYNJAXLHFB-NSHDSACASA-N 0 1 290.371 0.567 20 30 CCEDMN Cc1ccc(C#N)c(NCC=CCNC(=O)c2cnn[nH]2)n1 ZINC001107587365 817892151 /nfs/dbraw/zinc/89/21/51/817892151.db2.gz TVYNQLPKSRQIAU-IHWYPQMZSA-N 0 1 297.322 0.778 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](C[C@@H]3CCOC3)C[C@H]2O)C1 ZINC001077645838 817912368 /nfs/dbraw/zinc/91/23/68/817912368.db2.gz ZETBKDUVQDSNCT-BFHYXJOUSA-N 0 1 294.395 0.541 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)cn1 ZINC001032353135 817966092 /nfs/dbraw/zinc/96/60/92/817966092.db2.gz OBXKCCFIIXJBGF-RYUDHWBXSA-N 0 1 260.341 0.895 20 30 CCEDMN C[C@@H](O)CN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C#N)[nH]1 ZINC001032361928 817998975 /nfs/dbraw/zinc/99/89/75/817998975.db2.gz HHZGXTSWNBCRGO-USWWRNFRSA-N 0 1 274.324 0.166 20 30 CCEDMN CO[C@@H](C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032362746 818001674 /nfs/dbraw/zinc/00/16/74/818001674.db2.gz QLXDWRRAFPCNIL-BPNCWPANSA-N 0 1 288.351 0.820 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)COCC=C)C1 ZINC001107813141 818029384 /nfs/dbraw/zinc/02/93/84/818029384.db2.gz ONFAOTSBVCMHET-HNNXBMFYSA-N 0 1 282.384 0.972 20 30 CCEDMN COCC(C)(C)N1CCN(C(=O)NCCC#N)CC1 ZINC000425747723 818273372 /nfs/dbraw/zinc/27/33/72/818273372.db2.gz UWOOVZNSCJLGLV-UHFFFAOYSA-N 0 1 268.361 0.652 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCOC2)C1 ZINC001032956195 818383759 /nfs/dbraw/zinc/38/37/59/818383759.db2.gz VRBULVJVOZDVDT-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2ccon2)C1 ZINC001032965795 818392753 /nfs/dbraw/zinc/39/27/53/818392753.db2.gz DLXFZYWWFUPOBF-CYBMUJFWSA-N 0 1 261.325 0.773 20 30 CCEDMN N#Cc1cnccc1N1CCC[C@H]1CNC(=O)c1ncn[nH]1 ZINC001061382950 818432375 /nfs/dbraw/zinc/43/23/75/818432375.db2.gz QHCWEVKMNVEAAP-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cnccc1N1CCC[C@H]1CNC(=O)c1nc[nH]n1 ZINC001061382950 818432382 /nfs/dbraw/zinc/43/23/82/818432382.db2.gz QHCWEVKMNVEAAP-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2snnc2C)[C@@H](O)C1 ZINC001090066135 818446276 /nfs/dbraw/zinc/44/62/76/818446276.db2.gz VGDWMZIDCKSEEA-ZJUUUORDSA-N 0 1 282.369 0.198 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnn(CCC)c2)[C@@H](O)C1 ZINC001083824624 818476293 /nfs/dbraw/zinc/47/62/93/818476293.db2.gz GSONZLMRDKCNJB-KGLIPLIRSA-N 0 1 290.367 0.091 20 30 CCEDMN C=CCN1C(=O)COCC12CN(C[C@@H]1CCCOC1)C2 ZINC001273034063 818499580 /nfs/dbraw/zinc/49/95/80/818499580.db2.gz RBTJJTQZDLNNFS-ZDUSSCGKSA-N 0 1 280.368 0.512 20 30 CCEDMN N#Cc1cnccc1N1CCC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001061483563 818525398 /nfs/dbraw/zinc/52/53/98/818525398.db2.gz QBCJUAWBGPUTOA-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001033120561 818544722 /nfs/dbraw/zinc/54/47/22/818544722.db2.gz UUUQCZWZAYIMHP-UONOGXRCSA-N 0 1 293.411 0.962 20 30 CCEDMN C#CCN1CC[C@]2(CCC[N@H+]2C[C@H]2CCCO2)C1=O ZINC001273073673 818568991 /nfs/dbraw/zinc/56/89/91/818568991.db2.gz WQZIKTHMSAHNKF-UKRRQHHQSA-N 0 1 262.353 0.866 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cncs2)C1 ZINC001033168209 818596675 /nfs/dbraw/zinc/59/66/75/818596675.db2.gz OTEHBAWGUVCNGH-LLVKDONJSA-N 0 1 263.366 0.852 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033169120 818598248 /nfs/dbraw/zinc/59/82/48/818598248.db2.gz GLQPSTLWAMIHNC-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033192625 818638266 /nfs/dbraw/zinc/63/82/66/818638266.db2.gz PPOFYOFADURKGC-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CC[C@H](C)SC)C2 ZINC001273114567 818644421 /nfs/dbraw/zinc/64/44/21/818644421.db2.gz AURUHQQIMWCGRY-LBPRGKRZSA-N 0 1 282.409 0.674 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033217540 818656560 /nfs/dbraw/zinc/65/65/60/818656560.db2.gz LDNQBJAJGFHSPM-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033220038 818659229 /nfs/dbraw/zinc/65/92/29/818659229.db2.gz DZGCZESBVRQIPE-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001033286886 818693096 /nfs/dbraw/zinc/69/30/96/818693096.db2.gz JPJUBSADFBGLMH-MGPQQGTHSA-N 0 1 286.379 0.690 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC001033357186 818739421 /nfs/dbraw/zinc/73/94/21/818739421.db2.gz BRDNPWGMWZQDDZ-KGLIPLIRSA-N 0 1 291.395 0.552 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2ncccc2F)C1 ZINC001033360056 818740255 /nfs/dbraw/zinc/74/02/55/818740255.db2.gz GAXXUKJHEQJXCJ-LBPRGKRZSA-N 0 1 275.327 0.929 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cccc(=O)n2C)C1 ZINC001033410043 818760141 /nfs/dbraw/zinc/76/01/41/818760141.db2.gz KJTUZIIWEZXYFA-GFCCVEGCSA-N 0 1 275.352 0.718 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001033412566 818761704 /nfs/dbraw/zinc/76/17/04/818761704.db2.gz HRIZDIXOJKBHNJ-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cn2nccc2C)C1 ZINC001033481534 818795194 /nfs/dbraw/zinc/79/51/94/818795194.db2.gz ZGJBJRMSNLCBQN-ZDUSSCGKSA-N 0 1 262.357 0.910 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC001033575988 818834173 /nfs/dbraw/zinc/83/41/73/818834173.db2.gz SZFKPLWRJUTAAD-OLZOCXBDSA-N 0 1 292.383 0.086 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cn2ccccc2=O)C1 ZINC001033658790 818880094 /nfs/dbraw/zinc/88/00/94/818880094.db2.gz WMVOIRDTQLOBBN-CQSZACIVSA-N 0 1 287.363 0.404 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cncc(C(N)=O)c2)C1 ZINC001033675424 818881790 /nfs/dbraw/zinc/88/17/90/818881790.db2.gz QBDZCNAXDSMFOC-ZDUSSCGKSA-N 0 1 288.351 0.513 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cccnc2C(N)=O)C1 ZINC001033682326 818884137 /nfs/dbraw/zinc/88/41/37/818884137.db2.gz BSKBYSKYPOYYNV-LLVKDONJSA-N 0 1 288.351 0.513 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001033696231 818888003 /nfs/dbraw/zinc/88/80/03/818888003.db2.gz JJZWOEBAJNRIIH-ZIAGYGMSSA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)N2)C1 ZINC001033776654 818938323 /nfs/dbraw/zinc/93/83/23/818938323.db2.gz PUJOXVNVBZRLKE-NWDGAFQWSA-N 0 1 265.357 0.374 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cn(C)cn2)C1 ZINC001033798425 818938531 /nfs/dbraw/zinc/93/85/31/818938531.db2.gz MBVRIQBPEKPMRB-ZDUSSCGKSA-N 0 1 274.368 0.980 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncoc2CC)[C@@H](O)C1 ZINC001090151650 818987927 /nfs/dbraw/zinc/98/79/27/818987927.db2.gz SOXYXQYQPAIRDU-QWRGUYRKSA-N 0 1 279.340 0.588 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033931693 819001042 /nfs/dbraw/zinc/00/10/42/819001042.db2.gz QSCJZLKKDOMAHQ-LBPRGKRZSA-N 0 1 288.351 0.660 20 30 CCEDMN C=CCCC(=O)N[C@@H]1C[N@H+](CCC)C[C@@H]1n1ccnn1 ZINC001128820637 819038927 /nfs/dbraw/zinc/03/89/27/819038927.db2.gz FHZOQFPYDDVEOL-OLZOCXBDSA-N 0 1 277.372 0.996 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCC)C[C@@H]1n1ccnn1 ZINC001128820637 819038937 /nfs/dbraw/zinc/03/89/37/819038937.db2.gz FHZOQFPYDDVEOL-OLZOCXBDSA-N 0 1 277.372 0.996 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001034085733 819062722 /nfs/dbraw/zinc/06/27/22/819062722.db2.gz ZSXJFFLJJJSFPN-CQSZACIVSA-N 0 1 273.336 0.538 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2COCCO2)C1 ZINC001034109174 819079444 /nfs/dbraw/zinc/07/94/44/819079444.db2.gz JIVZRQNCUCKCBT-ZIAGYGMSSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C\C2CC2)[C@@H](n2ccnn2)C1 ZINC001128870257 819117895 /nfs/dbraw/zinc/11/78/95/819117895.db2.gz PXFBLRWCLHHBGE-WJNHHXLDSA-N 0 1 299.378 0.609 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001034239357 819136123 /nfs/dbraw/zinc/13/61/23/819136123.db2.gz JJBJMGSUIJVPLM-LLVKDONJSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001034318916 819166357 /nfs/dbraw/zinc/16/63/57/819166357.db2.gz LDVLMFIPTAHECW-CYBMUJFWSA-N 0 1 289.383 0.444 20 30 CCEDMN C=CC[N@H+]1CCCC[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001034482171 819215215 /nfs/dbraw/zinc/21/52/15/819215215.db2.gz YXKNPMXWLGAUCL-NSHDSACASA-N 0 1 263.345 0.504 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)Cn2cncn2)C1 ZINC001034517431 819224971 /nfs/dbraw/zinc/22/49/71/819224971.db2.gz MCWNLUMPKPBAOT-ZDUSSCGKSA-N 0 1 275.356 0.272 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cn2ccnc2)C1 ZINC001034546209 819239523 /nfs/dbraw/zinc/23/95/23/819239523.db2.gz ATGOTFVLJNHTMC-CYBMUJFWSA-N 0 1 260.341 0.487 20 30 CCEDMN N#Cc1ccccc1CNC[C@H]1CCCN1C(=O)C(N)=O ZINC001035032407 819381826 /nfs/dbraw/zinc/38/18/26/819381826.db2.gz VKAOFMIDONTZLA-CYBMUJFWSA-N 0 1 286.335 0.124 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2ccnn2C)C1 ZINC001035311355 819460496 /nfs/dbraw/zinc/46/04/96/819460496.db2.gz SSQGKBHDWYSLIN-ZDUSSCGKSA-N 0 1 292.383 0.817 20 30 CCEDMN CC#CCN1CCO[C@@H](CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001035417121 819520675 /nfs/dbraw/zinc/52/06/75/819520675.db2.gz PWVFZBICAYOHAH-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CO[C@@H](C)C1)CC2 ZINC001035734839 819617515 /nfs/dbraw/zinc/61/75/15/819617515.db2.gz BROCGRRUYBNDCZ-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCNC1=O)CC2 ZINC001035765359 819621067 /nfs/dbraw/zinc/62/10/67/819621067.db2.gz XIWZOHJDTHZDSW-GFCCVEGCSA-N 0 1 277.368 0.233 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1cnn(C)n1)CC2 ZINC001035816805 819628728 /nfs/dbraw/zinc/62/87/28/819628728.db2.gz RPWPSEVTBGATGC-UHFFFAOYSA-N 0 1 287.367 0.376 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)[C@]2(COC)CNCCO2)C1 ZINC000710814042 819845349 /nfs/dbraw/zinc/84/53/49/819845349.db2.gz XHDNMSDMYGNPGK-DZGCQCFKSA-N 0 1 282.384 0.806 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnns3)CC[C@@H]21 ZINC001036658088 819874450 /nfs/dbraw/zinc/87/44/50/819874450.db2.gz CSLDYWHBBPCWRG-MNOVXSKESA-N 0 1 276.365 0.708 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H](CC(C)C)CN(C)C ZINC000711158967 819974619 /nfs/dbraw/zinc/97/46/19/819974619.db2.gz KIJGFZBZTMJAJZ-LBPRGKRZSA-N 0 1 260.403 0.905 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nn(C)cc2C)[C@@H](O)C1 ZINC001090261641 819980290 /nfs/dbraw/zinc/98/02/90/819980290.db2.gz OGKXHHIWFGAAPQ-STQMWFEESA-N 0 1 292.383 0.470 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)C2(C(N)=O)CC2)C1 ZINC001108175383 820033089 /nfs/dbraw/zinc/03/30/89/820033089.db2.gz XUCQCPIQHVEQHB-CQSZACIVSA-N 0 1 295.383 0.035 20 30 CCEDMN C#CCCCC(=O)NCC1(NC(=O)c2cnn[nH]2)CCC1 ZINC001062863480 820114239 /nfs/dbraw/zinc/11/42/39/820114239.db2.gz OLYTYFNBSOCXPG-UHFFFAOYSA-N 0 1 289.339 0.377 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)nnc2C)[C@@H](O)C1 ZINC001090292611 820216454 /nfs/dbraw/zinc/21/64/54/820216454.db2.gz OYXFWSMPJCVJOU-KGLIPLIRSA-N 0 1 290.367 0.444 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(F)CCOCC2)[C@@H](O)C1 ZINC001090307784 820244329 /nfs/dbraw/zinc/24/43/29/820244329.db2.gz PDPPXYDTPQGCPI-OLZOCXBDSA-N 0 1 298.358 0.080 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cccnc2)C1 ZINC001079574918 820427086 /nfs/dbraw/zinc/42/70/86/820427086.db2.gz CWICRTGTBFDKTM-TZMCWYRMSA-N 0 1 257.337 0.694 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cncnc2)C1 ZINC001079634144 820438947 /nfs/dbraw/zinc/43/89/47/820438947.db2.gz FMSBGQYKBPKJNA-DGCLKSJQSA-N 0 1 258.325 0.089 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001079800327 820471179 /nfs/dbraw/zinc/47/11/79/820471179.db2.gz RIUUFXUVICXZES-MGPQQGTHSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001080306445 820544891 /nfs/dbraw/zinc/54/48/91/820544891.db2.gz TVTIPPMXYQPJAR-XJKCOSOUSA-N 0 1 291.395 0.363 20 30 CCEDMN CCN(C(=O)[C@H](C)C#N)C1CN(C(=O)c2cnc(C)[nH]2)C1 ZINC001080411885 820570315 /nfs/dbraw/zinc/57/03/15/820570315.db2.gz WSVHWPQFKGWTFH-SECBINFHSA-N 0 1 289.339 0.551 20 30 CCEDMN CCN(C(=O)[C@H](C)C#N)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001080411846 820570515 /nfs/dbraw/zinc/57/05/15/820570515.db2.gz VQRZCPAHTOQWHI-SECBINFHSA-N 0 1 275.312 0.242 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2(C)CCOCC2)C1 ZINC001080625275 820601029 /nfs/dbraw/zinc/60/10/29/820601029.db2.gz ZGWCHWSEXDCLJN-CHWSQXEVSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCN(C(C)=O)C2)C1 ZINC001080738405 820627610 /nfs/dbraw/zinc/62/76/10/820627610.db2.gz GZTFYWIHKCIORJ-XNRPHZJLSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3c2CCCC3)C1 ZINC001080721560 820630827 /nfs/dbraw/zinc/63/08/27/820630827.db2.gz XKRLZGDDBVIPRD-TZMCWYRMSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001080771490 820634140 /nfs/dbraw/zinc/63/41/40/820634140.db2.gz LMHMIQADAUJGLN-LALPHHSUSA-N 0 1 286.379 0.584 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3nnn(C)c3c2)C1 ZINC001080852754 820645863 /nfs/dbraw/zinc/64/58/63/820645863.db2.gz TUZUYSSVKYVGBO-BXUZGUMPSA-N 0 1 297.362 0.652 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cn2c(C)csc2=O)C1 ZINC001080926040 820654918 /nfs/dbraw/zinc/65/49/18/820654918.db2.gz XQPZMALSLLORMT-ZYHUDNBSSA-N 0 1 293.392 0.288 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)n[nH]2)[C@H](OC)C1 ZINC001081406693 820757358 /nfs/dbraw/zinc/75/73/58/820757358.db2.gz RDJHDUSQARLQBA-CHWSQXEVSA-N 0 1 276.340 0.170 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnn(CC)c2)[C@H](OC)C1 ZINC001081454192 820765146 /nfs/dbraw/zinc/76/51/46/820765146.db2.gz LYYYBCRHNYXECI-ZIAGYGMSSA-N 0 1 290.367 0.355 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC2OCCCO2)[C@H](OC)C1 ZINC001081579055 820792716 /nfs/dbraw/zinc/79/27/16/820792716.db2.gz KMHBLFMODCFOHS-CHWSQXEVSA-N 0 1 298.383 0.531 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)c2csc(=O)[nH]2)[C@H](OC)C1 ZINC001082044913 820881477 /nfs/dbraw/zinc/88/14/77/820881477.db2.gz BSSRTALYBNGVIM-MWLCHTKSSA-N 0 1 295.364 0.301 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2csc(=O)[nH]2)[C@H](OC)C1 ZINC001082044913 820881486 /nfs/dbraw/zinc/88/14/86/820881486.db2.gz BSSRTALYBNGVIM-MWLCHTKSSA-N 0 1 295.364 0.301 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N[C@@H]1CN(CC#N)C[C@H]1OC ZINC001082384501 820953560 /nfs/dbraw/zinc/95/35/60/820953560.db2.gz ADXSZAPTIFSUNK-HZSPNIEDSA-N 0 1 294.399 0.200 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCNC(=O)C2)C[C@H]1C ZINC001082472516 820985910 /nfs/dbraw/zinc/98/59/10/820985910.db2.gz ONYBSANKLJYKOB-YUSALJHKSA-N 0 1 299.802 0.702 20 30 CCEDMN CC(C)N1C[C@H]2OCCN(C(=O)[C@@H](C)C#N)[C@H]2C1 ZINC001083024380 821113405 /nfs/dbraw/zinc/11/34/05/821113405.db2.gz LVTAMWPEBUBKJJ-SDDRHHMPSA-N 0 1 251.330 0.466 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cn3ccccc3n2)[C@@H](O)C1 ZINC001084024506 821177734 /nfs/dbraw/zinc/17/77/34/821177734.db2.gz BQTJYUCHBJBUDF-OCCSQVGLSA-N 0 1 298.346 0.133 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)C2CCOCC2)[C@@H](O)C1 ZINC001084130193 821204532 /nfs/dbraw/zinc/20/45/32/821204532.db2.gz NSSKTLWZZHXRSB-CFVMTHIKSA-N 0 1 294.395 0.234 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3COCCO3)[C@@H]2C1 ZINC001084204194 821236753 /nfs/dbraw/zinc/23/67/53/821236753.db2.gz PLGTUOYKGWRFLG-UPJWGTAASA-N 0 1 266.341 0.121 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](C)n3cncn3)[C@@H]2C1 ZINC001084291227 821253069 /nfs/dbraw/zinc/25/30/69/821253069.db2.gz CHTHGGVPMFKDIR-JHJVBQTASA-N 0 1 275.356 0.558 20 30 CCEDMN O=C(C#CC1CC1)N1C[C@H]2CCN(CCn3cccn3)C[C@H]21 ZINC001084329979 821271184 /nfs/dbraw/zinc/27/11/84/821271184.db2.gz TVKWTEDHZFJOGO-HZPDHXFCSA-N 0 1 298.390 0.829 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cnc[nH]c3=O)[C@@H]2C1 ZINC001084438642 821296674 /nfs/dbraw/zinc/29/66/74/821296674.db2.gz WJKLKNWNZSBPAY-ZYHUDNBSSA-N 0 1 274.324 0.515 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@]3(C)CCC(=O)NC3)[C@@H]2C1 ZINC001084596786 821324189 /nfs/dbraw/zinc/32/41/89/821324189.db2.gz RLNXKGMMLSHRSM-IOASZLSFSA-N 0 1 291.395 0.621 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CCN(CCO)C[C@H]32)cn1 ZINC001084784582 821389252 /nfs/dbraw/zinc/38/92/52/821389252.db2.gz WGDCXVCONKVPER-UKRRQHHQSA-N 0 1 285.347 0.202 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@H]1CCN(CCO)C[C@H]1O ZINC001099849908 821436531 /nfs/dbraw/zinc/43/65/31/821436531.db2.gz KPOBVBNFHSJATC-WDEREUQCSA-N 0 1 290.791 0.309 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C3CC(OC)C3)C[C@@H]21 ZINC001084966429 821444298 /nfs/dbraw/zinc/44/42/98/821444298.db2.gz ITHBLBQYRJADPV-URGYJCLVSA-N 0 1 276.380 0.967 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCCC[C@H]2NCC#N)[nH]n1 ZINC001085167381 821522131 /nfs/dbraw/zinc/52/21/31/821522131.db2.gz SOTASKFJKIOHRK-CHWSQXEVSA-N 0 1 275.356 0.801 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCO1 ZINC001085473092 821726515 /nfs/dbraw/zinc/72/65/15/821726515.db2.gz YWYSPIXRBVXCKD-OLZOCXBDSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCO1 ZINC001085473092 821726520 /nfs/dbraw/zinc/72/65/20/821726520.db2.gz YWYSPIXRBVXCKD-OLZOCXBDSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1nnn(C)c1C ZINC001085531235 821772476 /nfs/dbraw/zinc/77/24/76/821772476.db2.gz OUGLTZDLTQXJQB-LBPRGKRZSA-N 0 1 275.356 0.293 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCNC(=O)C1 ZINC001085544465 821779410 /nfs/dbraw/zinc/77/94/10/821779410.db2.gz QYOFDRDUNDGYNW-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN CN(C[C@H]1CCN1CCCO)C(=O)c1c[nH]c(C#N)c1 ZINC001085552844 821784480 /nfs/dbraw/zinc/78/44/80/821784480.db2.gz POWOWEYFXDQLPS-CYBMUJFWSA-N 0 1 276.340 0.415 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)c1ccn(C)c(=O)c1 ZINC001085678501 821893950 /nfs/dbraw/zinc/89/39/50/821893950.db2.gz LTBXLFYMKQBACQ-CYBMUJFWSA-N 0 1 275.352 0.718 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1c[nH]nc1C ZINC001085755001 821931896 /nfs/dbraw/zinc/93/18/96/821931896.db2.gz UTEICVGCRFDRAT-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1c[nH]nc1C ZINC001085755000 821932223 /nfs/dbraw/zinc/93/22/23/821932223.db2.gz UTEICVGCRFDRAT-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cn(C)nc1OC ZINC001085760631 821937751 /nfs/dbraw/zinc/93/77/51/821937751.db2.gz PBYJJKXNHUGKCB-LBPRGKRZSA-N 0 1 290.367 0.598 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cn(C)nc1OC ZINC001085760631 821937760 /nfs/dbraw/zinc/93/77/60/821937760.db2.gz PBYJJKXNHUGKCB-LBPRGKRZSA-N 0 1 290.367 0.598 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc2nncn2c1 ZINC001085871864 821989977 /nfs/dbraw/zinc/98/99/77/821989977.db2.gz HZFJNVSWZZCCJQ-AWEZNQCLSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cccn(C)c1=O ZINC001085931322 822021740 /nfs/dbraw/zinc/02/17/40/822021740.db2.gz WDJCNTCYHQXPKS-CYBMUJFWSA-N 0 1 287.363 0.555 20 30 CCEDMN C[C@@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)nc1 ZINC001098404818 822102769 /nfs/dbraw/zinc/10/27/69/822102769.db2.gz MQUNQJWZOFEAOV-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCCN([C@H](C)C(=O)NC)CC1 ZINC001273411525 822161519 /nfs/dbraw/zinc/16/15/19/822161519.db2.gz RPIPIOZQUXGWPP-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCCN([C@@H](C)C(=O)NC)CC1 ZINC001273411524 822162076 /nfs/dbraw/zinc/16/20/76/822162076.db2.gz RPIPIOZQUXGWPP-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCCN([C@H]2CCNC2=O)CC1 ZINC001273411879 822163493 /nfs/dbraw/zinc/16/34/93/822163493.db2.gz VVXIZSYZTOOYQT-KBPBESRZSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1cnn(CC)n1 ZINC001155724811 822238917 /nfs/dbraw/zinc/23/89/17/822238917.db2.gz GQXAOTKTKRRMOH-UHFFFAOYSA-N 0 1 281.360 0.097 20 30 CCEDMN COc1cccn2c(C(=O)Nc3nc[nH]c3C#N)nnc12 ZINC001156002593 822293569 /nfs/dbraw/zinc/29/35/69/822293569.db2.gz HJBOVDXJDVYNPT-UHFFFAOYSA-N 0 1 283.251 0.585 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1[C@H]2CN(Cc3conc3C)C[C@H]21 ZINC001114047653 837415403 /nfs/dbraw/zinc/41/54/03/837415403.db2.gz KOWBDQBNKWZMCZ-NHAGDIPZSA-N 0 1 291.351 0.732 20 30 CCEDMN CC(C)N(C)C(=O)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C#N ZINC001114067677 837421892 /nfs/dbraw/zinc/42/18/92/837421892.db2.gz VIADUOSJFFXWFE-CIQGVGRVSA-N 0 1 292.383 0.059 20 30 CCEDMN Cc1nc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC(C)C)n[nH]1 ZINC001114247494 837486044 /nfs/dbraw/zinc/48/60/44/837486044.db2.gz LOSUFWNBPMXUOV-JYAVWHMHSA-N 0 1 287.367 0.319 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1nncs1 ZINC001157846151 837495439 /nfs/dbraw/zinc/49/54/39/837495439.db2.gz MJASRYNNDYTIOE-UHFFFAOYSA-N 0 1 282.369 0.174 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCC(=C)C)C[C@@H]1n1ccnn1 ZINC001129884720 837574220 /nfs/dbraw/zinc/57/42/20/837574220.db2.gz SGKRXGAVSUKTKC-KGLIPLIRSA-N 0 1 287.367 0.609 20 30 CCEDMN COCC#CCN1CC[C@@H](c2n[nH]cc2CNC(C)=O)C1 ZINC001130325917 837765984 /nfs/dbraw/zinc/76/59/84/837765984.db2.gz AGAFTMVZUBAKKI-CYBMUJFWSA-N 0 1 290.367 0.485 20 30 CCEDMN N#Cc1cnc(NC(=O)C23CCCN2CCC3)cn1 ZINC001183554640 844034115 /nfs/dbraw/zinc/03/41/15/844034115.db2.gz LEKSQGVKYAYCLF-UHFFFAOYSA-N 0 1 257.297 0.915 20 30 CCEDMN C[C@@H](CNc1ncnc2[nH]cnc21)NC(=O)C#CC1CC1 ZINC001108358798 835989238 /nfs/dbraw/zinc/98/92/38/835989238.db2.gz RLNPPUJAVFSYSS-VIFPVBQESA-N 0 1 284.323 0.635 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+][C@@H]1CC[C@@H](C[N+](=O)[O-])C1 ZINC001168988946 836102773 /nfs/dbraw/zinc/10/27/73/836102773.db2.gz VGQNEOGBBUGBKX-JLIMGVALSA-N 0 1 257.290 0.258 20 30 CCEDMN C=CCCCN1CC(N2C[C@H](NC(=O)CC)CC2=O)C1 ZINC001108491141 836287860 /nfs/dbraw/zinc/28/78/60/836287860.db2.gz LEKQUMKBNVNRLN-GFCCVEGCSA-N 0 1 279.384 0.764 20 30 CCEDMN CN(Cc1ccc(N2CCNC[C@@H]2C#N)nc1)C1CC1 ZINC001156328030 836318818 /nfs/dbraw/zinc/31/88/18/836318818.db2.gz YMNAACRPMJEQAU-AWEZNQCLSA-N 0 1 271.368 0.978 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2ccn[nH]2)CC[C@H]1CNCC#N ZINC001184112437 844152916 /nfs/dbraw/zinc/15/29/16/844152916.db2.gz QALBTEAPUZHBLP-NEPJUHHUSA-N 0 1 275.356 0.550 20 30 CCEDMN CC(=O)N1CCC[C@@H](N2CCN(CCC#N)CC2)C1 ZINC001169614767 836398161 /nfs/dbraw/zinc/39/81/61/836398161.db2.gz JKCWILYNXFEDMX-CQSZACIVSA-N 0 1 264.373 0.529 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCn2cc(C)cn2)[C@@H](O)C1 ZINC001100126242 836526386 /nfs/dbraw/zinc/52/63/86/836526386.db2.gz DQKDCULUUDUHTG-KBPBESRZSA-N 0 1 292.383 0.319 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1cncn1)C2 ZINC001108936083 836576121 /nfs/dbraw/zinc/57/61/21/836576121.db2.gz QRERGMXKDCPNRE-AGIUHOORSA-N 0 1 275.356 0.576 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN(C)C(=O)C(C)C)C2 ZINC001109359524 836661150 /nfs/dbraw/zinc/66/11/50/836661150.db2.gz PVDPQONMKBUZRO-RDBSUJKOSA-N 0 1 291.395 0.456 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(=O)NCC1CC1)C2 ZINC001109897946 836742456 /nfs/dbraw/zinc/74/24/56/836742456.db2.gz SHVCLFFJWOKPMR-RDBSUJKOSA-N 0 1 289.379 0.257 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C(=O)N(C)C)CC1)C2 ZINC001109961938 836749479 /nfs/dbraw/zinc/74/94/79/836749479.db2.gz LVSKSONVGHELQQ-AGIUHOORSA-N 0 1 291.395 0.762 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001112601195 836813717 /nfs/dbraw/zinc/81/37/17/836813717.db2.gz IVCLYRQGPUDLCI-UHFFFAOYSA-N 0 1 276.340 0.906 20 30 CCEDMN C=CCOCC(=O)N1CCN(C[C@H]2CCCOC2)CC1 ZINC001112708571 836876774 /nfs/dbraw/zinc/87/67/74/836876774.db2.gz NEWVHGYUMSTNKA-CQSZACIVSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2CN(C)CCO2)CC1 ZINC001112741876 836888686 /nfs/dbraw/zinc/88/86/86/836888686.db2.gz DPRRXBZRFLYITE-HUUCEWRRSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCN(CC/C=C/CC)CC1 ZINC001112778048 836911297 /nfs/dbraw/zinc/91/12/97/836911297.db2.gz YLVMDOFCHDWKCH-AATRIKPKSA-N 0 1 291.395 0.626 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC[C@H]2CCOC2)CC1 ZINC001112846430 836937992 /nfs/dbraw/zinc/93/79/92/836937992.db2.gz OUBFYUKJXGPWCN-CABCVRRESA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC001113057442 837012218 /nfs/dbraw/zinc/01/22/18/837012218.db2.gz RGVQWFXQEKSFOK-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@@H](C)Nc1ccc(C#N)nn1 ZINC001113357176 837100575 /nfs/dbraw/zinc/10/05/75/837100575.db2.gz QIGILEZNORLBDL-HTQZYQBOSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCCN1CCN(C(=O)CCCC(N)=O)CC1 ZINC001113731486 837208459 /nfs/dbraw/zinc/20/84/59/837208459.db2.gz LXILRJXGLNKCOS-UHFFFAOYSA-N 0 1 253.346 0.362 20 30 CCEDMN CC[C@@H]1CN(CC)CCN1CC(=O)NC1(C#N)CCC1 ZINC001170274277 837281528 /nfs/dbraw/zinc/28/15/28/837281528.db2.gz XBVFMQFTEXFBBD-CYBMUJFWSA-N 0 1 278.400 0.965 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccoc1 ZINC001113855053 837339520 /nfs/dbraw/zinc/33/95/20/837339520.db2.gz SNNCBWBDVZKPAN-WDNDVIMCSA-N 0 1 274.320 0.589 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnn(CCF)c1 ZINC001130661467 837883424 /nfs/dbraw/zinc/88/34/24/837883424.db2.gz LGUGQHVCVPRKFZ-UHFFFAOYSA-N 0 1 274.727 0.925 20 30 CCEDMN C=CCCN1CCOC[C@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001130826446 837936327 /nfs/dbraw/zinc/93/63/27/837936327.db2.gz ZMRBABVBCPQNBD-NSHDSACASA-N 0 1 293.371 0.780 20 30 CCEDMN C=CCCN1CCOC[C@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001130826446 837936330 /nfs/dbraw/zinc/93/63/30/837936330.db2.gz ZMRBABVBCPQNBD-NSHDSACASA-N 0 1 293.371 0.780 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001131513465 838123419 /nfs/dbraw/zinc/12/34/19/838123419.db2.gz FWEJUAYHNHYHFD-LVEVGFFFSA-N 0 1 270.760 0.868 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)CCOC)C[C@@H](C)O2 ZINC001131620993 838160379 /nfs/dbraw/zinc/16/03/79/838160379.db2.gz NMGDPQVNVSYOQK-HIFRSBDPSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)COCC=C)C[C@@H](C)O2 ZINC001131646483 838165253 /nfs/dbraw/zinc/16/52/53/838165253.db2.gz WCJLWLPGPVOGOI-GDBMZVCRSA-N 0 1 292.379 0.514 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCCCc1c[nH]nn1 ZINC001131777302 838207831 /nfs/dbraw/zinc/20/78/31/838207831.db2.gz HXSNEVRKBNPHIF-UHFFFAOYSA-N 0 1 285.779 0.976 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COCC=C)CC[C@@H]1C ZINC001131943757 838273507 /nfs/dbraw/zinc/27/35/07/838273507.db2.gz UKLBQIMFDGYQSA-STQMWFEESA-N 0 1 250.342 0.791 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCCNC(N)=O)CC[C@@H]1C ZINC001132165491 838331249 /nfs/dbraw/zinc/33/12/49/838331249.db2.gz VWOABILGTRUFPN-STQMWFEESA-N 0 1 294.399 0.427 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC(=O)N(C)C)CC[C@@H]1C ZINC001132346352 838369425 /nfs/dbraw/zinc/36/94/25/838369425.db2.gz YMFISLIJQJAFBW-RYUDHWBXSA-N 0 1 265.357 0.067 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCCC(N)=O)CC[C@@H]1C ZINC001132420200 838388499 /nfs/dbraw/zinc/38/84/99/838388499.db2.gz OXCOFFMECATKAF-QWHCGFSZSA-N 0 1 279.384 0.634 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2cncn2C)CC[C@H]1C ZINC001132458469 838405082 /nfs/dbraw/zinc/40/50/82/838405082.db2.gz UTBAIJYPNRBCDC-KGLIPLIRSA-N 0 1 288.395 0.955 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CCCC(=O)N1 ZINC001273441887 838471773 /nfs/dbraw/zinc/47/17/73/838471773.db2.gz XPUMJWXBGMQCTC-LLVKDONJSA-N 0 1 287.791 0.846 20 30 CCEDMN C[C@H]1CCN(C(=O)CN2CCCC2)C[C@@H]1CNCC#N ZINC001133309922 838594088 /nfs/dbraw/zinc/59/40/88/838594088.db2.gz IUELCZLFXIIOMZ-KBPBESRZSA-N 0 1 278.400 0.680 20 30 CCEDMN Cn1cc(C(=O)NCCNCC#Cc2ccccc2)[nH]c1=O ZINC001133335466 838600945 /nfs/dbraw/zinc/60/09/45/838600945.db2.gz VMQHPBHMQLYLRY-UHFFFAOYSA-N 0 1 298.346 0.497 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)C[C@@H](C)NCc1nnnn1C ZINC001133889110 838767064 /nfs/dbraw/zinc/76/70/64/838767064.db2.gz QYXDMWFAKBVQFV-MNOVXSKESA-N 0 1 280.376 0.549 20 30 CCEDMN COc1cccc(NC(=O)[C@@H]2CN(C)CCN2C)c1C#N ZINC001185256882 844382084 /nfs/dbraw/zinc/38/20/84/844382084.db2.gz XPFJBJSMMKRSOP-ZDUSSCGKSA-N 0 1 288.351 0.751 20 30 CCEDMN C#CCC[NH2+]CCNC(=O)c1n[n-]c2ccccc2c1=O ZINC001134591811 839017823 /nfs/dbraw/zinc/01/78/23/839017823.db2.gz WBBXSELGBOPCBZ-UHFFFAOYSA-N 0 1 284.319 0.678 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C(C)(C)N1CCOCC1 ZINC001135347010 839221761 /nfs/dbraw/zinc/22/17/61/839221761.db2.gz JSWIIYDKNBUDGP-UHFFFAOYSA-N 0 1 289.807 0.556 20 30 CCEDMN N#CCN[C@@H]1CC[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001086743369 839307381 /nfs/dbraw/zinc/30/73/81/839307381.db2.gz LPATZVNBYIHVGB-WDEREUQCSA-N 0 1 287.367 0.910 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N[C@H](C)C[C@@H](C)NCC#N ZINC001135976805 839489358 /nfs/dbraw/zinc/48/93/58/839489358.db2.gz NVIWOTXPIDMTRL-VXGBXAGGSA-N 0 1 254.378 0.725 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2=CCOCC2)[C@H](O)C1 ZINC001090542873 839629664 /nfs/dbraw/zinc/62/96/64/839629664.db2.gz IMSJFUQVAPKSCJ-ZIAGYGMSSA-N 0 1 280.368 0.461 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C)CC=CC2)[C@H](O)C1 ZINC001090548835 839630921 /nfs/dbraw/zinc/63/09/21/839630921.db2.gz DIOMNHJNZCVOIG-UONOGXRCSA-N 0 1 276.380 0.917 20 30 CCEDMN C=C[C@H](O)C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC001136569152 839660673 /nfs/dbraw/zinc/66/06/73/839660673.db2.gz GMNVHCVBFGRIOC-JTQLQIEISA-N 0 1 258.281 0.635 20 30 CCEDMN C=C[C@H](O)C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC001136569152 839660679 /nfs/dbraw/zinc/66/06/79/839660679.db2.gz GMNVHCVBFGRIOC-JTQLQIEISA-N 0 1 258.281 0.635 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2cc(Cl)cn2)[C@@H](O)C1 ZINC001090604831 839669165 /nfs/dbraw/zinc/66/91/65/839669165.db2.gz FRXFFZNGJLHWIR-NEPJUHHUSA-N 0 1 298.774 0.274 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn(CC)n2)[C@H](O)C1 ZINC001090620574 839685476 /nfs/dbraw/zinc/68/54/76/839685476.db2.gz LXWISPORHBUDRA-WCQYABFASA-N 0 1 278.356 0.254 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(C3CC3)n2)[C@H](O)C1 ZINC001090630116 839688141 /nfs/dbraw/zinc/68/81/41/839688141.db2.gz KTXPJUOCGVMOGZ-WCQYABFASA-N 0 1 291.351 0.903 20 30 CCEDMN COCCOCCc1ncc(C(=O)N(C)[C@H](C)CC#N)[nH]1 ZINC001136681893 839705590 /nfs/dbraw/zinc/70/55/90/839705590.db2.gz ARHKEOGHLNSBPU-LLVKDONJSA-N 0 1 294.355 0.989 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C(C)C)nn2)[C@H](O)C1 ZINC001090687179 839736497 /nfs/dbraw/zinc/73/64/97/839736497.db2.gz UJDDITISCBSPDE-WCQYABFASA-N 0 1 293.371 0.210 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2oncc2C)[C@H](O)C1 ZINC001090691049 839738901 /nfs/dbraw/zinc/73/89/01/839738901.db2.gz XXRNYLRHSMXESC-WDEREUQCSA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnoc2C2CC2)[C@@H](O)C1 ZINC001090696621 839744409 /nfs/dbraw/zinc/74/44/09/839744409.db2.gz WOHGQUXUHHVSRV-STQMWFEESA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2oc(CC)nc2C)[C@H](O)C1 ZINC001090706586 839748639 /nfs/dbraw/zinc/74/86/39/839748639.db2.gz VBMVWOPGWHPVJS-VXGBXAGGSA-N 0 1 293.367 0.896 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)c2c[nH]cn2)[C@H](O)C1 ZINC001090727153 839757378 /nfs/dbraw/zinc/75/73/78/839757378.db2.gz IATJBGOWSIAZCB-NWDGAFQWSA-N 0 1 292.383 0.425 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccn(C)n2)[C@H](O)C1 ZINC001090808334 839827516 /nfs/dbraw/zinc/82/75/16/839827516.db2.gz JDQOJTAIVWFFHO-DGCLKSJQSA-N 0 1 278.356 0.161 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nonc2C)[C@@H](O)C1 ZINC001090844790 839847988 /nfs/dbraw/zinc/84/79/88/839847988.db2.gz UASPFQRINJRMMN-QWRGUYRKSA-N 0 1 280.328 0.119 20 30 CCEDMN N#Cc1ccc2c(c1)CCN(C(=O)CN1CC[C@H](O)C1)C2 ZINC001186036322 844489563 /nfs/dbraw/zinc/48/95/63/844489563.db2.gz VQPDDOZSKKYDON-HNNXBMFYSA-N 0 1 285.347 0.510 20 30 CCEDMN N#CCNC1CC(CNC(=O)c2[nH]ncc2F)C1 ZINC001091215819 840142218 /nfs/dbraw/zinc/14/22/18/840142218.db2.gz MGZIRZAPZNJIOA-UHFFFAOYSA-N 0 1 251.265 0.170 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)[C@@H]1Cc2ccccc2CN1 ZINC001160933448 840281352 /nfs/dbraw/zinc/28/13/52/840281352.db2.gz KURPAZHYAKTICQ-KBPBESRZSA-N 0 1 271.320 0.452 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CN(C(=O)C(C)C)C2)C1 ZINC001147005882 840457726 /nfs/dbraw/zinc/45/77/26/840457726.db2.gz MVSJFBGEKGSESH-ZDUSSCGKSA-N 0 1 293.411 0.867 20 30 CCEDMN CC#CCCCC(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001148096025 840742142 /nfs/dbraw/zinc/74/21/42/840742142.db2.gz TVFMGJQZTRMWFC-ZDUSSCGKSA-N 0 1 291.395 0.588 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C1(C(=O)NC)CCC1 ZINC001148599794 840843905 /nfs/dbraw/zinc/84/39/05/840843905.db2.gz YMKVQHYEUDGEFA-UHFFFAOYSA-N 0 1 273.764 0.361 20 30 CCEDMN CCO[C@@H](C)C(=O)N1CC[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001186966930 844628847 /nfs/dbraw/zinc/62/88/47/844628847.db2.gz YBOLFPIZJACHOG-SOUVJXGZSA-N 0 1 294.395 0.594 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)[C@@H]2C[C@@H]2C#N)CC1 ZINC001186976301 844630421 /nfs/dbraw/zinc/63/04/21/844630421.db2.gz YXLNMPGRMLCBGF-GMTAPVOTSA-N 0 1 278.308 0.517 20 30 CCEDMN CN1CCC(C#N)(NC(=O)NCC2CCOCC2)CC1 ZINC001186971373 844630427 /nfs/dbraw/zinc/63/04/27/844630427.db2.gz IBNKKVHGFOAJFN-UHFFFAOYSA-N 0 1 280.372 0.700 20 30 CCEDMN Cc1cc(CC(=O)NCCNc2ccncc2C#N)[nH]n1 ZINC001093551425 841336737 /nfs/dbraw/zinc/33/67/37/841336737.db2.gz FGOKDRNJPYDLNL-UHFFFAOYSA-N 0 1 284.323 0.178 20 30 CCEDMN Cc1nsc(NCCNC(=O)CN2CCCC2)c1C#N ZINC001093652039 841401885 /nfs/dbraw/zinc/40/18/85/841401885.db2.gz ATCZSYGQYDDBNV-UHFFFAOYSA-N 0 1 293.396 0.947 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2cnon2)nc1 ZINC001171590222 841735004 /nfs/dbraw/zinc/73/50/04/841735004.db2.gz XAXCMHXIFRYGEM-UHFFFAOYSA-N 0 1 285.307 0.356 20 30 CCEDMN N#Cc1cccnc1NCCCNC(=O)c1cnn[nH]1 ZINC001094881372 841864416 /nfs/dbraw/zinc/86/44/16/841864416.db2.gz HUEPKKNSYFQCQN-UHFFFAOYSA-N 0 1 271.284 0.303 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCCO1)C2 ZINC001095200692 842091605 /nfs/dbraw/zinc/09/16/05/842091605.db2.gz OBCVCQSZJWIRKL-MQYQWHSLSA-N 0 1 262.353 0.910 20 30 CCEDMN COC[C@@](C)(CO)NCc1ccc(C#N)cc1[N+](=O)[O-] ZINC001175284550 842237658 /nfs/dbraw/zinc/23/76/58/842237658.db2.gz NSBXXHASAMBNRW-CYBMUJFWSA-N 0 1 279.296 0.953 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)NC1=CC(=O)CCC1 ZINC001176379931 842361123 /nfs/dbraw/zinc/36/11/23/842361123.db2.gz LMOHYJMGMAPPIV-CYBMUJFWSA-N 0 1 290.323 0.210 20 30 CCEDMN CNc1ccc(C#N)cc1NC(=O)Cc1nn[nH]n1 ZINC001176845071 842443970 /nfs/dbraw/zinc/44/39/70/842443970.db2.gz PDDXXWQDUHROTM-UHFFFAOYSA-N 0 1 257.257 0.294 20 30 CCEDMN Cc1cc(C)n2nc(C(=O)Nc3nc[nH]c3C#N)nc2n1 ZINC001150706524 842587888 /nfs/dbraw/zinc/58/78/88/842587888.db2.gz BJMUUVGEKHXIGD-UHFFFAOYSA-N 0 1 282.267 0.588 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC(CO)C1 ZINC001177908931 842705648 /nfs/dbraw/zinc/70/56/48/842705648.db2.gz GJWFCAMXIAXAMD-SNVBAGLBSA-N 0 1 250.323 0.881 20 30 CCEDMN N#C[C@H](Cc1cccs1)C(=O)NCc1c[nH]nn1 ZINC001177914807 842707018 /nfs/dbraw/zinc/70/70/18/842707018.db2.gz DVXMMLPGQZJRLI-QMMMGPOBSA-N 0 1 261.310 0.865 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@H]1CNC(=O)CO1 ZINC001177916438 842707603 /nfs/dbraw/zinc/70/76/03/842707603.db2.gz WKXMISJYXFWYOO-NXEZZACHSA-N 0 1 293.348 0.062 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC001177910295 842708263 /nfs/dbraw/zinc/70/82/63/842708263.db2.gz YNHRORHBOQZJJF-MWLCHTKSSA-N 0 1 293.348 0.143 20 30 CCEDMN C=CCNC(=O)CNCc1cc2ccccc2n(C)c1=O ZINC001178322010 842822276 /nfs/dbraw/zinc/82/22/76/842822276.db2.gz PYKQGUSHTFDFQG-UHFFFAOYSA-N 0 1 285.347 0.930 20 30 CCEDMN CN1CC(C(=O)NCCCCCCNCC#N)=NC1=O ZINC001179471000 843003793 /nfs/dbraw/zinc/00/37/93/843003793.db2.gz FMZWZANFLOBUBB-UHFFFAOYSA-N 0 1 279.344 0.529 20 30 CCEDMN COC(=O)[C@H](CCc1cn(C)cn1)NC(=O)C(C)C#N ZINC001179902719 843087176 /nfs/dbraw/zinc/08/71/76/843087176.db2.gz RQAGBTCAVWQNQS-ONGXEEELSA-N 0 1 278.312 0.170 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)[C@H](C)N1CCCC1 ZINC001180581137 843196425 /nfs/dbraw/zinc/19/64/25/843196425.db2.gz AIAABQCGYKDCBZ-UWVGGRQHSA-N 0 1 253.302 0.042 20 30 CCEDMN CC(=O)N1CCC[C@H](C(=O)Nc2nc[nH]c2C#N)C1 ZINC001180680700 843259048 /nfs/dbraw/zinc/25/90/48/843259048.db2.gz UVWJAMSBVJIDLW-VIFPVBQESA-N 0 1 261.285 0.478 20 30 CCEDMN CC(C)(C)OC(=O)N[C@@H](CC#N)C(=O)NCc1cnc[nH]1 ZINC001180779901 843301412 /nfs/dbraw/zinc/30/14/12/843301412.db2.gz YEWRAJZVUSZGDI-JTQLQIEISA-N 0 1 293.327 0.833 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(=O)C2CC2)C1 ZINC001181178627 843420813 /nfs/dbraw/zinc/42/08/13/843420813.db2.gz YAYFDCIDOCMVPG-LLVKDONJSA-N 0 1 285.775 0.456 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2cnnn2CC)C1 ZINC001181644764 843551279 /nfs/dbraw/zinc/55/12/79/843551279.db2.gz WJTGEWOPYSZKRU-GFCCVEGCSA-N 0 1 293.371 0.191 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@@H]1COC(C)(C)O1 ZINC001181748297 843596615 /nfs/dbraw/zinc/59/66/15/843596615.db2.gz SOIFHPRHOGPAQH-RYUDHWBXSA-N 0 1 268.357 0.905 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)COCC)C2 ZINC001110260263 843753827 /nfs/dbraw/zinc/75/38/27/843753827.db2.gz ASQQMQPTNRNRJM-UPJWGTAASA-N 0 1 250.342 0.768 20 30 CCEDMN CN(C)C1(C(=O)NCc2ncc(C#N)s2)CC1 ZINC001182603181 843874396 /nfs/dbraw/zinc/87/43/96/843874396.db2.gz UFQYJRHVJTVXNX-UHFFFAOYSA-N 0 1 250.327 0.725 20 30 CCEDMN COCCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C#N)C2 ZINC001110395842 844751704 /nfs/dbraw/zinc/75/17/04/844751704.db2.gz GQOXTDKDZMKJGB-SYQHCUMBSA-N 0 1 295.383 0.531 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)NC(=O)CC)[C@@H]2C1 ZINC001188026716 844822341 /nfs/dbraw/zinc/82/23/41/844822341.db2.gz WDQKRMLUYWDSLU-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)CC2CC2)C1 ZINC001188617270 844921135 /nfs/dbraw/zinc/92/11/35/844921135.db2.gz GZDAAAFLWRVTHD-TZMCWYRMSA-N 0 1 291.395 0.457 20 30 CCEDMN N#C[C@H](NC(=O)c1ccc(O)c(C(F)(F)F)c1)C(N)=O ZINC001188588270 844928698 /nfs/dbraw/zinc/92/86/98/844928698.db2.gz LIZYMGDVSAQNSK-ZETCQYMHSA-N 0 1 287.197 0.518 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001188974574 845012102 /nfs/dbraw/zinc/01/21/02/845012102.db2.gz RCMYFLZQKPBLBY-GHMZBOCLSA-N 0 1 298.412 0.040 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCCOC)C1 ZINC001189085570 845046130 /nfs/dbraw/zinc/04/61/30/845046130.db2.gz KAPHNXWIHWXPRP-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2nccnc2N)C1 ZINC001189438162 845139361 /nfs/dbraw/zinc/13/93/61/845139361.db2.gz OOERRHYJPHMQSO-NSHDSACASA-N 0 1 273.340 0.228 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2ccon2)C1 ZINC001189488636 845147004 /nfs/dbraw/zinc/14/70/04/845147004.db2.gz BAOXMHWVRRRHHI-ZDUSSCGKSA-N 0 1 261.325 0.773 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)/C(C)=C/C)C1 ZINC001189594399 845170418 /nfs/dbraw/zinc/17/04/18/845170418.db2.gz RHVCVQBNYMSSMA-DUYRLRNSSA-N 0 1 291.395 0.623 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](N(C)[C@H](C)C(=O)N(C)C)C1 ZINC001190053027 845316269 /nfs/dbraw/zinc/31/62/69/845316269.db2.gz CNLHAXLPYAECLL-ZIAGYGMSSA-N 0 1 293.411 0.655 20 30 CCEDMN CNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190085234 845326475 /nfs/dbraw/zinc/32/64/75/845326475.db2.gz VXNGKWDULMYCDV-STQMWFEESA-N 0 1 293.411 0.703 20 30 CCEDMN CN([C@@H]1CCN(C(=O)C#CC(C)(C)C)C1)[C@H]1CCNC1=O ZINC001190076991 845326976 /nfs/dbraw/zinc/32/69/76/845326976.db2.gz XPIWFOHQISWCKR-OLZOCXBDSA-N 0 1 291.395 0.457 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1CC[C@@H](N(C)CC#N)C1 ZINC001190443966 845399116 /nfs/dbraw/zinc/39/91/16/845399116.db2.gz MDROHROYERZZQT-OLZOCXBDSA-N 0 1 284.379 0.723 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001190521578 845417143 /nfs/dbraw/zinc/41/71/43/845417143.db2.gz URACVCZAPSKQGH-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN CN(CC#N)C(=O)c1cnc(-c2ccccn2)[nH]c1=O ZINC001190619975 845435974 /nfs/dbraw/zinc/43/59/74/845435974.db2.gz KYWZLZHOPVFECS-UHFFFAOYSA-N 0 1 269.264 0.840 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC001190635457 845452633 /nfs/dbraw/zinc/45/26/33/845452633.db2.gz VQHOONIEZFCUNL-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)/C=C/c2ccco2)C1 ZINC001190700200 845457275 /nfs/dbraw/zinc/45/72/75/845457275.db2.gz FOETYDBXBZQTKT-FBVTZJDUSA-N 0 1 262.309 0.640 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001190808234 845491167 /nfs/dbraw/zinc/49/11/67/845491167.db2.gz GJVOFNWLYXFKKT-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cn[nH]c(=O)c2)C1 ZINC001190802034 845506965 /nfs/dbraw/zinc/50/69/65/845506965.db2.gz UINMLUWCVMHULZ-LBPRGKRZSA-N 0 1 274.324 0.352 20 30 CCEDMN C=CCC1(S(=O)(=O)NCCN2CCN(C)CC2)CC1 ZINC001190823312 845511002 /nfs/dbraw/zinc/51/10/02/845511002.db2.gz SYAZYKBYYBXHLD-UHFFFAOYSA-N 0 1 287.429 0.262 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COCc2nccn2C)C1 ZINC001190848329 845520499 /nfs/dbraw/zinc/52/04/99/845520499.db2.gz MVBNROSTAMJXET-CYBMUJFWSA-N 0 1 290.367 0.103 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001190897988 845538698 /nfs/dbraw/zinc/53/86/98/845538698.db2.gz AJFQWSQJBFIRNE-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN CC(C)(C#N)c1cccc(C(=O)NCc2nn[nH]n2)c1 ZINC001190942637 845541191 /nfs/dbraw/zinc/54/11/91/845541191.db2.gz KRHCQPRPZQJPEX-UHFFFAOYSA-N 0 1 270.296 0.931 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1[nH]nc(C)c1C ZINC001191130455 845604536 /nfs/dbraw/zinc/60/45/36/845604536.db2.gz ZWZYWGXKJYWHLA-MRVPVSSYSA-N 0 1 250.258 0.212 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001191248702 845618035 /nfs/dbraw/zinc/61/80/35/845618035.db2.gz VTQQTBGPKNWLFD-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ncc(OC)cn2)C1 ZINC001191266987 845619663 /nfs/dbraw/zinc/61/96/63/845619663.db2.gz PWJVZUUISGTKRT-LBPRGKRZSA-N 0 1 288.351 0.655 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnc3nccn3c2)C1 ZINC001191342878 845629089 /nfs/dbraw/zinc/62/90/89/845629089.db2.gz SQYHYXXEVBXLIQ-AWEZNQCLSA-N 0 1 297.362 0.899 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)CSCCC)C1 ZINC001191401735 845638179 /nfs/dbraw/zinc/63/81/79/845638179.db2.gz PLWMSCUEPAMZLO-VXGBXAGGSA-N 0 1 272.414 0.867 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001191534083 845676267 /nfs/dbraw/zinc/67/62/67/845676267.db2.gz YFUFQPOTAXIKPP-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCn2cccn2)C[C@H]1O ZINC001191651515 845709595 /nfs/dbraw/zinc/70/95/95/845709595.db2.gz HVFZDZZCTINQPO-CHWSQXEVSA-N 0 1 278.356 0.011 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@@H]2CCCCO2)C[C@H]1O ZINC001191724774 845717740 /nfs/dbraw/zinc/71/77/40/845717740.db2.gz PVNRNNXRVZDJFD-BFHYXJOUSA-N 0 1 282.384 0.683 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1nc(Br)ccc1O ZINC001191749074 845732319 /nfs/dbraw/zinc/73/23/19/845732319.db2.gz PFUPSXCHKTXANA-LURJTMIESA-N 0 1 287.113 0.826 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001191829365 845745041 /nfs/dbraw/zinc/74/50/41/845745041.db2.gz PPRMBVLLPLCZOX-KBXIAJHMSA-N 0 1 292.379 0.061 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001191829358 845745471 /nfs/dbraw/zinc/74/54/71/845745471.db2.gz PPRMBVLLPLCZOX-BYNSBNAKSA-N 0 1 292.379 0.061 20 30 CCEDMN C=CCOCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCC)C1 ZINC001191841967 845747716 /nfs/dbraw/zinc/74/77/16/845747716.db2.gz AUEKYBLNXHUUDK-MGPQQGTHSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCC)C1 ZINC001191841967 845747721 /nfs/dbraw/zinc/74/77/21/845747721.db2.gz AUEKYBLNXHUUDK-MGPQQGTHSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCC(=O)NCCC ZINC001115129834 845814669 /nfs/dbraw/zinc/81/46/69/845814669.db2.gz FMERBAUYFXAEOP-VIKVFOODSA-N 0 1 291.395 0.363 20 30 CCEDMN COC(=O)c1ccc(O)c(C(=O)N2CCNC[C@@H]2C#N)c1 ZINC001192390325 845825426 /nfs/dbraw/zinc/82/54/26/845825426.db2.gz VLUWYRQQZUALMO-JTQLQIEISA-N 0 1 289.291 0.116 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCCC)C1 ZINC001192640357 845890465 /nfs/dbraw/zinc/89/04/65/845890465.db2.gz WLEOLZUOUOVJFN-BFHYXJOUSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(C)(C)NC(C)=O)C1 ZINC001192889258 845925781 /nfs/dbraw/zinc/92/57/81/845925781.db2.gz UESDABOLOSQGTP-LBPRGKRZSA-N 0 1 265.357 0.067 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccccc1-n1cncn1 ZINC001192982615 845943527 /nfs/dbraw/zinc/94/35/27/845943527.db2.gz UHYWAUZQRXOECW-UHFFFAOYSA-N 0 1 263.282 0.533 20 30 CCEDMN COC(=O)c1nc2cc(NS(=O)(=O)CC#N)ccc2o1 ZINC001192985729 845944971 /nfs/dbraw/zinc/94/49/71/845944971.db2.gz LPQTXKCRSUGACQ-UHFFFAOYSA-N 0 1 295.276 0.880 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccccc1C1OCCO1 ZINC001192985775 845945495 /nfs/dbraw/zinc/94/54/95/845945495.db2.gz MZCPCCWYEMLOIJ-UHFFFAOYSA-N 0 1 268.294 0.997 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cccnn2)C1 ZINC001192998406 845951081 /nfs/dbraw/zinc/95/10/81/845951081.db2.gz KCCAWXFCZMVOLM-GFCCVEGCSA-N 0 1 258.325 0.646 20 30 CCEDMN Cc1cc(NS(=O)(=O)[C@H](C)C#N)cnc1C#N ZINC001193111566 845981263 /nfs/dbraw/zinc/98/12/63/845981263.db2.gz IYLGLOBRXFMPSI-MRVPVSSYSA-N 0 1 250.283 0.915 20 30 CCEDMN C[C@@H](CN1CCC(C)CC1)NS(=O)(=O)[C@@H](C)C#N ZINC001193170878 845994563 /nfs/dbraw/zinc/99/45/63/845994563.db2.gz UTDNJPASHOZYRH-RYUDHWBXSA-N 0 1 273.402 0.938 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cccc2c1CN(C)C2=O ZINC001193160288 846009983 /nfs/dbraw/zinc/00/99/83/846009983.db2.gz BMPLBEHZMHWJEV-MRVPVSSYSA-N 0 1 279.321 0.926 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)C(=C)Cl)C1 ZINC001193312791 846061516 /nfs/dbraw/zinc/06/15/16/846061516.db2.gz NJRXBMAHASMMSD-VXGBXAGGSA-N 0 1 284.787 0.950 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)C(=C)Cl)C1 ZINC001193312791 846061520 /nfs/dbraw/zinc/06/15/20/846061520.db2.gz NJRXBMAHASMMSD-VXGBXAGGSA-N 0 1 284.787 0.950 20 30 CCEDMN C[N@@H+](CCCCO)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001193438150 846073786 /nfs/dbraw/zinc/07/37/86/846073786.db2.gz QNNQKEWWQXSXOW-UHFFFAOYSA-N 0 1 275.312 0.511 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2conc2COC)C1 ZINC001193423800 846077456 /nfs/dbraw/zinc/07/74/56/846077456.db2.gz GKSGQSQGTQCEDD-LBPRGKRZSA-N 0 1 291.351 0.991 20 30 CCEDMN Cc1nonc1CNC(=O)c1ccc(O)c(C#N)c1 ZINC001193511570 846101363 /nfs/dbraw/zinc/10/13/63/846101363.db2.gz CCGMXINTUPDLIF-UHFFFAOYSA-N 0 1 258.237 0.885 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@@H](O)[C@H](F)C2)ccc1O ZINC001193505673 846101787 /nfs/dbraw/zinc/10/17/87/846101787.db2.gz GZOBGHSUONYKTM-ZYHUDNBSSA-N 0 1 264.256 0.809 20 30 CCEDMN Cn1c(=O)cc(NC(=O)c2ccc(O)c(C#N)c2)[nH]c1=O ZINC001193515747 846103173 /nfs/dbraw/zinc/10/31/73/846103173.db2.gz RDTGATMOICTKJC-UHFFFAOYSA-N 0 1 286.247 0.315 20 30 CCEDMN N#Cc1cccc(C(=O)NCc2cncnc2)c1O ZINC001193658010 846140274 /nfs/dbraw/zinc/14/02/74/846140274.db2.gz PSIBHUQBXMGLMX-UHFFFAOYSA-N 0 1 254.249 0.984 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)C(C)(C)C)C1 ZINC001193982007 846191060 /nfs/dbraw/zinc/19/10/60/846191060.db2.gz LMLBDMFGTBPCRK-GFCCVEGCSA-N 0 1 279.384 0.315 20 30 CCEDMN C[C@@H](C#N)N(C)C(=O)c1cncc(N2CCN(C)CC2)c1 ZINC001193944765 846193113 /nfs/dbraw/zinc/19/31/13/846193113.db2.gz HAUYWSYAIDCMCV-LBPRGKRZSA-N 0 1 287.367 0.817 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CNC(=O)[C@@H](C)CC)C1 ZINC001194081806 846212607 /nfs/dbraw/zinc/21/26/07/846212607.db2.gz WXHRFPOQYJGAOS-STQMWFEESA-N 0 1 279.384 0.315 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)Cn2ccnc2)C1 ZINC001194457872 846293904 /nfs/dbraw/zinc/29/39/04/846293904.db2.gz CIGOTWNARLKUBD-CYBMUJFWSA-N 0 1 260.341 0.439 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)N2CCOCC2)C1 ZINC001194543061 846322116 /nfs/dbraw/zinc/32/21/16/846322116.db2.gz BVGRKUUTEQFRRH-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)CCOC)CC1 ZINC001194638446 846336505 /nfs/dbraw/zinc/33/65/05/846336505.db2.gz AZLPHBCABYBQPJ-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2cnco2)C1 ZINC001195091694 846456403 /nfs/dbraw/zinc/45/64/03/846456403.db2.gz XAUDMRJTSGTHSR-GHMZBOCLSA-N 0 1 265.313 0.416 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001195301267 846497603 /nfs/dbraw/zinc/49/76/03/846497603.db2.gz GHCPVOZNDFKYTM-ZIAGYGMSSA-N 0 1 282.384 0.378 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@@H](CC)OC)C[C@H]1O ZINC001195312290 846501893 /nfs/dbraw/zinc/50/18/93/846501893.db2.gz LGCBARMQHSMBPS-JHJVBQTASA-N 0 1 284.400 0.785 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C(F)(F)F)C1 ZINC001195401366 846522168 /nfs/dbraw/zinc/52/21/68/846522168.db2.gz VINSOZCKAVJXKK-IVZWLZJFSA-N 0 1 280.290 0.922 20 30 CCEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2CC(=O)NCC ZINC001273700142 846554903 /nfs/dbraw/zinc/55/49/03/846554903.db2.gz UMZXQVMOMQWUMS-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C=C)CC)C1 ZINC001195567028 846584797 /nfs/dbraw/zinc/58/47/97/846584797.db2.gz HDAKGEQUTNYTNQ-RRFJBIMHSA-N 0 1 296.411 0.953 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCCC=C)C1 ZINC001195732941 846605051 /nfs/dbraw/zinc/60/50/51/846605051.db2.gz RDRDCACPZUXUCE-CHWSQXEVSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2(C)CC=CC2)C1 ZINC001195843018 846638638 /nfs/dbraw/zinc/63/86/38/846638638.db2.gz XZWCGKWQNDYKJQ-ZIAGYGMSSA-N 0 1 294.395 0.707 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2(CC)CC2)C1 ZINC001195964363 846655003 /nfs/dbraw/zinc/65/50/03/846655003.db2.gz WAONXZOVQQKOOQ-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2cncn2C)CC1 ZINC001196430540 846750941 /nfs/dbraw/zinc/75/09/41/846750941.db2.gz HRMDAFPZQAFKGP-UHFFFAOYSA-N 0 1 292.383 0.771 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2csnn2)CC1 ZINC001196674316 846786048 /nfs/dbraw/zinc/78/60/48/846786048.db2.gz UPEJEAKRVTWYDE-UHFFFAOYSA-N 0 1 296.396 0.889 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2n[nH]cc2F)CC1 ZINC001197069476 846839294 /nfs/dbraw/zinc/83/92/94/846839294.db2.gz WSZFADMBJBXCJE-UHFFFAOYSA-N 0 1 296.346 0.899 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](CC)CCC)C1 ZINC001197265762 846889000 /nfs/dbraw/zinc/88/90/00/846889000.db2.gz KHUZXOWKQGTICJ-BFHYXJOUSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)C)C1 ZINC001198020910 847028600 /nfs/dbraw/zinc/02/86/00/847028600.db2.gz RWHSRZQJLTYLNS-VXGBXAGGSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)C2CC2)C1 ZINC001199171694 847240422 /nfs/dbraw/zinc/24/04/22/847240422.db2.gz CQNWWWPDVPSXGP-BPLDGKMQSA-N 0 1 296.411 0.787 20 30 CCEDMN N#C[C@H]1CN(C(=S)NC[C@@H]2CCCO2)CCC1=O ZINC001200017817 847506478 /nfs/dbraw/zinc/50/64/78/847506478.db2.gz FWRAPKYXMJTNGU-UWVGGRQHSA-N 0 1 267.354 0.454 20 30 CCEDMN Cc1ncc(C(=O)N2CCCO[C@@H](CNCC#N)C2)[nH]1 ZINC001200083300 847543976 /nfs/dbraw/zinc/54/39/76/847543976.db2.gz GEDNZCPOVCYWEN-NSHDSACASA-N 0 1 277.328 0.062 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOCC ZINC001110552249 847613543 /nfs/dbraw/zinc/61/35/43/847613543.db2.gz RYNKMYKWXJTCHV-YJNKXOJESA-N 0 1 294.395 0.783 20 30 CCEDMN C=CCN1C[C@]2(CC[N@H+](CCOC(C)C)C2)OCC1=O ZINC001273854210 847683077 /nfs/dbraw/zinc/68/30/77/847683077.db2.gz ZTHVHOVWEMNLQB-OAHLLOKOSA-N 0 1 282.384 0.901 20 30 CCEDMN C=C[C@H](O)CN1CCC[C@@]12CCN([C@@H](C)C(C)=O)C2=O ZINC001273880468 847722863 /nfs/dbraw/zinc/72/28/63/847722863.db2.gz CFNIIZAEDMWLFI-WHOFXGATSA-N 0 1 280.368 0.578 20 30 CCEDMN COC(=O)CN1CC[C@]2(CCN(CCCC#N)C2=O)C1 ZINC001273931815 847862126 /nfs/dbraw/zinc/86/21/26/847862126.db2.gz VKRKSJKTFPQHNS-AWEZNQCLSA-N 0 1 279.340 0.388 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCCCC(=O)NC ZINC001153053669 847918244 /nfs/dbraw/zinc/91/82/44/847918244.db2.gz XGWOAAPAUPCCAG-UHFFFAOYSA-N 0 1 275.780 0.751 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1nccnc1C ZINC001153148655 847933528 /nfs/dbraw/zinc/93/35/28/847933528.db2.gz IQRRTDREVIXDPZ-LBPRGKRZSA-N 0 1 278.356 0.582 20 30 CCEDMN C=CCOC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC001202531020 848075386 /nfs/dbraw/zinc/07/53/86/848075386.db2.gz YXGREIFNWFGBND-GFCCVEGCSA-N 0 1 254.330 0.963 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cnnn1C ZINC001273991195 848214074 /nfs/dbraw/zinc/21/40/74/848214074.db2.gz OUJOCABTZHYSCV-ONEGZZNKSA-N 0 1 269.736 0.443 20 30 CCEDMN CC(C)(C)NC(=O)CNC/C=C\CNC(=O)C#CC1CC1 ZINC001274017515 848304095 /nfs/dbraw/zinc/30/40/95/848304095.db2.gz TUJKVWSZOCVTJF-PLNGDYQASA-N 0 1 291.395 0.577 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC/C=C/CNCc1cnn(C)c1 ZINC001274016929 848304997 /nfs/dbraw/zinc/30/49/97/848304997.db2.gz PTPWUUICXXKBMK-GFUIURDCSA-N 0 1 290.367 0.220 20 30 CCEDMN CN(C)C(=O)CCN1CC[C@]2(CCN(CCC#N)C2)C1=O ZINC001274032381 848313257 /nfs/dbraw/zinc/31/32/57/848313257.db2.gz NHWGXGCEBCJIEN-HNNXBMFYSA-N 0 1 292.383 0.303 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001110863828 848415482 /nfs/dbraw/zinc/41/54/82/848415482.db2.gz XNRFZTFQKZMIGQ-NYTXWWLZSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1nc(C)no1)C2 ZINC001110878598 848422039 /nfs/dbraw/zinc/42/20/39/848422039.db2.gz XYNGIRHNAAMXPO-UPJWGTAASA-N 0 1 288.351 0.665 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1nc(C)no1)C2 ZINC001110878598 848422047 /nfs/dbraw/zinc/42/20/47/848422047.db2.gz XYNGIRHNAAMXPO-UPJWGTAASA-N 0 1 288.351 0.665 20 30 CCEDMN CC#CCCCC(=O)NC/C=C/CNCC(=O)N1CCC1 ZINC001274290089 848441130 /nfs/dbraw/zinc/44/11/30/848441130.db2.gz ZMARCICXIWHJTR-VOTSOKGWSA-N 0 1 291.395 0.674 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC/C=C\CN[C@H]1CCNC1=O ZINC001274340886 848455971 /nfs/dbraw/zinc/45/59/71/848455971.db2.gz YFTAJSRSKOOZQI-YESNPAQRSA-N 0 1 279.384 0.739 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)[C@H]1CC12CC2 ZINC001274392705 848467263 /nfs/dbraw/zinc/46/72/63/848467263.db2.gz HIHZHZLMMVUEQL-VSQXVHSFSA-N 0 1 277.368 0.351 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001274429204 848475953 /nfs/dbraw/zinc/47/59/53/848475953.db2.gz BOCDCZIBEQJLGE-PUWCWTCHSA-N 0 1 289.379 0.044 20 30 CCEDMN C=C[C@](C)(O)CN1CCC[C@]12CCN([C@H](C)C(C)=O)C2=O ZINC001274614124 848524490 /nfs/dbraw/zinc/52/44/90/848524490.db2.gz BEIYCLPMUAWDQX-UHOFOFEASA-N 0 1 294.395 0.968 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H]2CCC2(C)C)CC1 ZINC001274924300 848592137 /nfs/dbraw/zinc/59/21/37/848592137.db2.gz PRYWHNCDTKWCLM-CYBMUJFWSA-N 0 1 278.396 0.999 20 30 CCEDMN C=CCCCCN1C[C@@H]2CN(CC(=O)N(C)C)C[C@H](C1)O2 ZINC001274974693 848605026 /nfs/dbraw/zinc/60/50/26/848605026.db2.gz PBOSPKOIHRKPGQ-GASCZTMLSA-N 0 1 295.427 0.816 20 30 CCEDMN Cc1cc(CNC[C@H](C)NC(=O)CSCC#N)on1 ZINC001275078720 848629372 /nfs/dbraw/zinc/62/93/72/848629372.db2.gz YLMNDUXURHXHTK-JTQLQIEISA-N 0 1 282.369 0.834 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)C[C@@H]1CCCN1C(=O)CC ZINC001275080505 848630941 /nfs/dbraw/zinc/63/09/41/848630941.db2.gz HMYYFCSPNGRCLT-STQMWFEESA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cnn2ncccc12 ZINC001275114080 848640411 /nfs/dbraw/zinc/64/04/11/848640411.db2.gz FTQDBMLKZPOOPW-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC[C@H]1CN(C)CC#C ZINC001275109199 848640747 /nfs/dbraw/zinc/64/07/47/848640747.db2.gz XPOWRXCCZBCWBQ-KBPBESRZSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](CC)NC(C)=O ZINC001275143797 848650048 /nfs/dbraw/zinc/65/00/48/848650048.db2.gz QRJQTUDPZOHCEJ-UONOGXRCSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1c(C)[nH]cc1NC(C)=O ZINC001275592502 848770596 /nfs/dbraw/zinc/77/05/96/848770596.db2.gz LWQSMIRYJWYVRU-SNVBAGLBSA-N 0 1 290.367 0.965 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001275739711 848805557 /nfs/dbraw/zinc/80/55/57/848805557.db2.gz ZOTUPATVZWHXLA-TZMCWYRMSA-N 0 1 295.427 0.997 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H](C)CNC(=O)C(C)C)C1=O ZINC001275775084 848819216 /nfs/dbraw/zinc/81/92/16/848819216.db2.gz USPUCMIEIVMDJM-OLZOCXBDSA-N 0 1 281.400 0.866 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H](C)Cn1ccc(C)n1 ZINC001275845664 848844513 /nfs/dbraw/zinc/84/45/13/848844513.db2.gz QTNWDYVHCNEAOK-OCCSQVGLSA-N 0 1 276.384 0.897 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(C)[C@@H]1CCCNC1=O ZINC001275897659 848859532 /nfs/dbraw/zinc/85/95/32/848859532.db2.gz RPQLBAIJAQTLSQ-VXGBXAGGSA-N 0 1 281.400 0.914 20 30 CCEDMN C=CCCC(=O)NC1(CCO)CN(C[C@@H]2CCOC2)C1 ZINC001276037165 848900439 /nfs/dbraw/zinc/90/04/39/848900439.db2.gz DRUMAJQLMICBHA-ZDUSSCGKSA-N 0 1 282.384 0.542 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCNC1=O)C2 ZINC001095526800 848975587 /nfs/dbraw/zinc/97/55/87/848975587.db2.gz PKUQXCFUJIIFKH-RNJOBUHISA-N 0 1 277.368 0.420 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CC(N)=O)C2 ZINC001110895923 849016004 /nfs/dbraw/zinc/01/60/04/849016004.db2.gz FNJOXSNROWZYND-LOWDOPEQSA-N 0 1 277.368 0.243 20 30 CCEDMN CC(=O)NCCN1CCC(Nc2cnc(C#N)cn2)CC1 ZINC001111309201 849161461 /nfs/dbraw/zinc/16/14/61/849161461.db2.gz GJGUHRKTONSMBN-UHFFFAOYSA-N 0 1 288.355 0.361 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(F)c[nH]1 ZINC001114581499 849260372 /nfs/dbraw/zinc/26/03/72/849260372.db2.gz HEDZRKJQCXNNNE-IMRBUKKESA-N 0 1 291.326 0.464 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@H](C2OCCO2)C1 ZINC000719060368 849292078 /nfs/dbraw/zinc/29/20/78/849292078.db2.gz YUUDKJQUIGUUNG-NSHDSACASA-N 0 1 267.329 0.101 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1nccn1C ZINC001114603073 849303153 /nfs/dbraw/zinc/30/31/53/849303153.db2.gz VTKKHOHEHPQBHT-JYAVWHMHSA-N 0 1 272.352 0.032 20 30 CCEDMN C=C[C@@H](O)CN1Cc2cn(C)nc2[C@H](COC)C1 ZINC001253577751 849528290 /nfs/dbraw/zinc/52/82/90/849528290.db2.gz YQNBXFCCCOQTQB-NWDGAFQWSA-N 0 1 251.330 0.513 20 30 CCEDMN C=C[C@@H](O)CN[C@@H](CO)c1cccc(S(C)(=O)=O)c1 ZINC001253611560 849659198 /nfs/dbraw/zinc/65/91/98/849659198.db2.gz RJMHXFIKSXHUPI-YPMHNXCESA-N 0 1 285.365 0.260 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001038611054 849701105 /nfs/dbraw/zinc/70/11/05/849701105.db2.gz NWYLBCYLWZFQAG-NSHDSACASA-N 0 1 276.340 0.871 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1Nc1cncc(C#N)n1 ZINC001067029168 849714678 /nfs/dbraw/zinc/71/46/78/849714678.db2.gz KABPGTGHEBEJTH-CABZTGNLSA-N 0 1 297.322 0.644 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001038201058 849815355 /nfs/dbraw/zinc/81/53/55/849815355.db2.gz JRFAKWLBVOXGQA-ZDUSSCGKSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(C)CC[N@H+]1CC[C@@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001038467177 849911620 /nfs/dbraw/zinc/91/16/20/849911620.db2.gz YKJJLQXFTLTNOB-SECBINFHSA-N 0 1 293.327 0.053 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2CCCO)cc1 ZINC001038580778 849949489 /nfs/dbraw/zinc/94/94/89/849949489.db2.gz CYDVBBWLRSEZKO-HNNXBMFYSA-N 0 1 272.348 0.854 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cncc(OC)n1 ZINC001038782537 850013755 /nfs/dbraw/zinc/01/37/55/850013755.db2.gz PMQQVPHKCUAZSZ-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001038885984 850065259 /nfs/dbraw/zinc/06/52/59/850065259.db2.gz HBJUGTCSEHPTDN-QWHCGFSZSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCc2nc[nH]c2C1 ZINC001038892606 850070761 /nfs/dbraw/zinc/07/07/61/850070761.db2.gz GJNJTNRJGAIHEN-STQMWFEESA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1nccn2cc(C)nc12 ZINC001038896507 850073398 /nfs/dbraw/zinc/07/33/98/850073398.db2.gz BUTZQICUHDHWNZ-CYBMUJFWSA-N 0 1 297.362 0.865 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001039058092 850142131 /nfs/dbraw/zinc/14/21/31/850142131.db2.gz XIYCKBGMBRVITG-LBPRGKRZSA-N 0 1 296.334 0.799 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cccc2nnn(C)c21 ZINC001039089572 850155480 /nfs/dbraw/zinc/15/54/80/850155480.db2.gz PWNJEWSMEVBLCM-GFCCVEGCSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccn[nH]1 ZINC001039337090 850174930 /nfs/dbraw/zinc/17/49/30/850174930.db2.gz ANAHZVIUDOSVGG-NEPJUHHUSA-N 0 1 258.325 0.722 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COCCN1C ZINC001039359387 850178871 /nfs/dbraw/zinc/17/88/71/850178871.db2.gz NZYQPHVVBFIXTD-QLFBSQMISA-N 0 1 293.411 0.568 20 30 CCEDMN C[C@H]1[C@@H](Nc2ccc(C#N)nn2)CCN1C(=O)c1ccn[nH]1 ZINC001040044916 850255862 /nfs/dbraw/zinc/25/58/62/850255862.db2.gz XFKZYQVGEADPRC-ONGXEEELSA-N 0 1 297.322 0.786 20 30 CCEDMN N#CCN1CCC[C@@]2(CCN(C(=O)c3ccn[nH]3)C2)C1 ZINC001040088096 850264574 /nfs/dbraw/zinc/26/45/74/850264574.db2.gz LZGXHLFNMFLAJI-CQSZACIVSA-N 0 1 273.340 0.861 20 30 CCEDMN C#CCN1CCC[C@]2(CCN(C(=O)c3cn(C)nn3)C2)C1 ZINC001040192119 850280061 /nfs/dbraw/zinc/28/00/61/850280061.db2.gz RRSMNBGEAIEGDN-HNNXBMFYSA-N 0 1 287.367 0.376 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CC[C@]2(CCN(CC#N)C2)C1 ZINC001041138000 850381407 /nfs/dbraw/zinc/38/14/07/850381407.db2.gz ABBSAOUXIXEQHL-ZFWWWQNUSA-N 0 1 276.384 0.529 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001041959483 850538759 /nfs/dbraw/zinc/53/87/59/850538759.db2.gz ZWUMGKZMDZRUQB-CMPLNLGQSA-N 0 1 259.313 0.470 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cn[nH]c3)C[C@H]21 ZINC001041954267 850538933 /nfs/dbraw/zinc/53/89/33/850538933.db2.gz PWFVVMBVTFEQPY-TZMCWYRMSA-N 0 1 272.352 0.969 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cn(C)cn3)C[C@@H]21 ZINC001042013434 850556951 /nfs/dbraw/zinc/55/69/51/850556951.db2.gz NFPFOXNDLBXAJY-OCCSQVGLSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ncc[nH]3)C[C@H]21 ZINC001042047772 850568776 /nfs/dbraw/zinc/56/87/76/850568776.db2.gz XVWWARHKGWSTPY-VXGBXAGGSA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C#CC3CC3)C[C@H]21 ZINC001042057120 850572424 /nfs/dbraw/zinc/57/24/24/850572424.db2.gz GMORUTGWUSEMSO-HUUCEWRRSA-N 0 1 256.349 0.956 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cncn3C)C[C@H]21 ZINC001042139795 850584731 /nfs/dbraw/zinc/58/47/31/850584731.db2.gz ZJDVQNDKUZWLAE-TZMCWYRMSA-N 0 1 272.352 0.590 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cnn(C)n3)C[C@H]21 ZINC001042265058 850604749 /nfs/dbraw/zinc/60/47/49/850604749.db2.gz CSNQPRQMJYWUKB-TZMCWYRMSA-N 0 1 287.367 0.375 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccncn3)C[C@@H]21 ZINC001042274840 850606288 /nfs/dbraw/zinc/60/62/88/850606288.db2.gz NGIRLHCNIQTWGR-OCCSQVGLSA-N 0 1 270.336 0.646 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccn(C)n3)C[C@@H]21 ZINC001042359244 850619503 /nfs/dbraw/zinc/61/95/03/850619503.db2.gz YJPMRROGRITUDE-OCCSQVGLSA-N 0 1 272.352 0.590 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCCNC2=O)C1 ZINC001042988687 850791850 /nfs/dbraw/zinc/79/18/50/850791850.db2.gz FSADFPSWIVYDBX-ZDUSSCGKSA-N 0 1 279.384 0.621 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1Nc1cncc(C#N)n1 ZINC001043079279 850809561 /nfs/dbraw/zinc/80/95/61/850809561.db2.gz KABPGTGHEBEJTH-BXKDBHETSA-N 0 1 297.322 0.644 20 30 CCEDMN C=CCN1CC(N(C)C(=O)[C@@H]2CCCCN2C)C1 ZINC001043095057 850812162 /nfs/dbraw/zinc/81/21/62/850812162.db2.gz HDACNDGKHDKUCF-ZDUSSCGKSA-N 0 1 251.374 0.799 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001043534665 850888349 /nfs/dbraw/zinc/88/83/49/850888349.db2.gz DIMDJPNLCVBRLL-LBPRGKRZSA-N 0 1 280.372 0.119 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N(C)C2CN(CC#N)C2)C1 ZINC001043624098 850911875 /nfs/dbraw/zinc/91/18/75/850911875.db2.gz ZJFPRFUPQJGGOP-MFKMUULPSA-N 0 1 287.367 0.742 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)c2c[nH]c(=O)cn2)C1 ZINC001043669264 850920570 /nfs/dbraw/zinc/92/05/70/850920570.db2.gz DMNREHQDUCAQTM-UHFFFAOYSA-N 0 1 276.340 0.492 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001043744985 850931690 /nfs/dbraw/zinc/93/16/90/850931690.db2.gz FXOJSKCXFIAGRD-UHFFFAOYSA-N 0 1 278.356 0.848 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)[C@@H]2COCCO2)C1 ZINC001046152147 851397238 /nfs/dbraw/zinc/39/72/38/851397238.db2.gz HUXPPYMXFVQOHQ-WCQYABFASA-N 0 1 254.330 0.168 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cnsn2)C1 ZINC001046155856 851400954 /nfs/dbraw/zinc/40/09/54/851400954.db2.gz LOTJGAUGMLGFQW-NSHDSACASA-N 0 1 252.343 0.918 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cn(C)nc2C)C1 ZINC001046205878 851420004 /nfs/dbraw/zinc/42/00/04/851420004.db2.gz DTPXRCKSYQIOPS-HNNXBMFYSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001046428728 851498779 /nfs/dbraw/zinc/49/87/79/851498779.db2.gz MBILEHHBQCABGV-CJNGLKHVSA-N 0 1 286.379 0.974 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001046444008 851506342 /nfs/dbraw/zinc/50/63/42/851506342.db2.gz GWYFCHOSPGOJAP-IUODEOHRSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cncn2C)C1 ZINC001046455090 851514771 /nfs/dbraw/zinc/51/47/71/851514771.db2.gz QHXIZGQQYDAOQB-CQSZACIVSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccn3nnnc3c2)C1 ZINC001046538876 851537417 /nfs/dbraw/zinc/53/74/17/851537417.db2.gz QJRUJPQRNIDERX-HNNXBMFYSA-N 0 1 298.350 0.342 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001046621295 851567599 /nfs/dbraw/zinc/56/75/99/851567599.db2.gz JQBCCWJTJXWIIK-TYNCELHUSA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001046621295 851567600 /nfs/dbraw/zinc/56/76/00/851567600.db2.gz JQBCCWJTJXWIIK-TYNCELHUSA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2[nH]c(C)nc2C)C1 ZINC001046628782 851570523 /nfs/dbraw/zinc/57/05/23/851570523.db2.gz QIKWLRMHVYDQOQ-AWEZNQCLSA-N 0 1 260.341 0.854 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)[C@H]2CN(C(C)=O)CCO2)C1 ZINC001046814903 851619684 /nfs/dbraw/zinc/61/96/84/851619684.db2.gz MXSVBNJPBLSENC-HIFRSBDPSA-N 0 1 295.383 0.000 20 30 CCEDMN N#Cc1cnccc1N[C@H]1C[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001046872401 851635909 /nfs/dbraw/zinc/63/59/09/851635909.db2.gz XJSXGUKWQSCRMX-HOMQSWHASA-N 0 1 297.322 0.114 20 30 CCEDMN N#Cc1cnccc1N[C@H]1C[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001046872401 851635917 /nfs/dbraw/zinc/63/59/17/851635917.db2.gz XJSXGUKWQSCRMX-HOMQSWHASA-N 0 1 297.322 0.114 20 30 CCEDMN C#CC[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@@H]2CCC[NH+]2C)C1 ZINC001046926630 851645548 /nfs/dbraw/zinc/64/55/48/851645548.db2.gz UPEBJMVCFRGSJH-STQMWFEESA-N 0 1 299.365 0.787 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(C)n1)C2 ZINC001096164645 851677657 /nfs/dbraw/zinc/67/76/57/851677657.db2.gz YBFIQHAMSWFHBH-MDZLAQPJSA-N 0 1 275.356 0.726 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccccn2)C1 ZINC001047279994 851694200 /nfs/dbraw/zinc/69/42/00/851694200.db2.gz HGPINHYPPPLMGX-KBPBESRZSA-N 0 1 275.352 0.775 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccncc2)C1 ZINC001047282368 851695908 /nfs/dbraw/zinc/69/59/08/851695908.db2.gz ZEDJMPXLTZVSGY-KBPBESRZSA-N 0 1 275.352 0.775 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cc[nH]n2)C1 ZINC001047309406 851707875 /nfs/dbraw/zinc/70/78/75/851707875.db2.gz CDNFOIJPGKWACB-RYUDHWBXSA-N 0 1 264.329 0.103 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC001047309406 851707881 /nfs/dbraw/zinc/70/78/81/851707881.db2.gz CDNFOIJPGKWACB-RYUDHWBXSA-N 0 1 264.329 0.103 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cnn(CC)c2)C1 ZINC001047327646 851720018 /nfs/dbraw/zinc/72/00/18/851720018.db2.gz DSDHZUWPZNSRTP-KBPBESRZSA-N 0 1 292.383 0.596 20 30 CCEDMN C=CCn1cccc1C(=O)N(C)[C@H]1CN(CC)C[C@@H]1O ZINC001047411607 851752912 /nfs/dbraw/zinc/75/29/12/851752912.db2.gz ZRSHJTYOGQUIHT-KBPBESRZSA-N 0 1 277.368 0.811 20 30 CCEDMN C=C1CCC(C(=O)N(C)[C@H]2CN(C[C@H](C)O)C[C@@H]2O)CC1 ZINC001047512283 851795896 /nfs/dbraw/zinc/79/58/96/851795896.db2.gz LPIUEGCNOKEPSW-QEJZJMRPSA-N 0 1 296.411 0.617 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)[C@H]2CN(C[C@H](C)OC)C[C@@H]2O)C1 ZINC001047519641 851798710 /nfs/dbraw/zinc/79/87/10/851798710.db2.gz KTJPZNNJIAIMGV-IHRRRGAJSA-N 0 1 296.411 0.881 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cn(CC)cn2)C1 ZINC001047600284 851833221 /nfs/dbraw/zinc/83/32/21/851833221.db2.gz NZHYMPLATUPKFV-KBPBESRZSA-N 0 1 290.367 0.043 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@H]2C1 ZINC001048770166 852053956 /nfs/dbraw/zinc/05/39/56/852053956.db2.gz RVDSYCATGLUCJN-TXEJJXNPSA-N 0 1 288.351 0.451 20 30 CCEDMN CC#CC[N@H+]1C[C@H]2CN(C(=O)c3[nH]nc(C)c3[O-])C[C@H]2C1 ZINC001048770166 852053965 /nfs/dbraw/zinc/05/39/65/852053965.db2.gz RVDSYCATGLUCJN-TXEJJXNPSA-N 0 1 288.351 0.451 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2CN(C(=O)c3[nH]nc(C)c3[O-])C[C@H]2C1 ZINC001048770166 852053977 /nfs/dbraw/zinc/05/39/77/852053977.db2.gz RVDSYCATGLUCJN-TXEJJXNPSA-N 0 1 288.351 0.451 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C(C)(C)C(N)=O)C[C@@H]2C1 ZINC001048875085 852091927 /nfs/dbraw/zinc/09/19/27/852091927.db2.gz IWFHIWYPKVSBRI-PHIMTYICSA-N 0 1 299.802 0.641 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCN(C(C)=O)C1)C2 ZINC001096444011 852114793 /nfs/dbraw/zinc/11/47/93/852114793.db2.gz XYVUZJBUQBDWGZ-XGUBFFRZSA-N 0 1 291.395 0.762 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3C[C@H]3C(N)=O)C[C@@H]2C1 ZINC001049063101 852154034 /nfs/dbraw/zinc/15/40/34/852154034.db2.gz YAZMLXVGSVMPKU-KXNHARMFSA-N 0 1 297.786 0.251 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CN(C)CCO1 ZINC001049392251 852256118 /nfs/dbraw/zinc/25/61/18/852256118.db2.gz WTQTUDDJEZITMR-RBSFLKMASA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cn(C)cn1 ZINC001049418431 852265337 /nfs/dbraw/zinc/26/53/37/852265337.db2.gz IYORBHYUQKQWNX-KGLIPLIRSA-N 0 1 272.352 0.732 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(=O)n(C)o1 ZINC001049448204 852280571 /nfs/dbraw/zinc/28/05/71/852280571.db2.gz XYKXEAGOKFRALZ-RYUDHWBXSA-N 0 1 289.335 0.290 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc(=O)n(C)o1 ZINC001049448204 852280581 /nfs/dbraw/zinc/28/05/81/852280581.db2.gz XYKXEAGOKFRALZ-RYUDHWBXSA-N 0 1 289.335 0.290 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@H](O)C(C)C ZINC001049455044 852285797 /nfs/dbraw/zinc/28/57/97/852285797.db2.gz WQIFEUWJWBKHIO-MELADBBJSA-N 0 1 264.369 0.702 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnn(CC)n1 ZINC001049456589 852285885 /nfs/dbraw/zinc/28/58/85/852285885.db2.gz MZHYJXGGCATCQP-UONOGXRCSA-N 0 1 287.367 0.610 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C(C)(C)C(N)=O ZINC001049530983 852303622 /nfs/dbraw/zinc/30/36/22/852303622.db2.gz GLSNNRRGOCYCNL-QWHCGFSZSA-N 0 1 291.395 0.587 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1OCCO[C@H]1C ZINC001049534671 852306111 /nfs/dbraw/zinc/30/61/11/852306111.db2.gz FIJHPFGNRMBDEP-YJNKXOJESA-N 0 1 292.379 0.489 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cn[nH]n1 ZINC001049577448 852316377 /nfs/dbraw/zinc/31/63/77/852316377.db2.gz ZXOJXQSCRJKIAX-CHWSQXEVSA-N 0 1 273.340 0.507 20 30 CCEDMN CN1CC(C(=O)N2CCC[C@H]3[C@H]2CCN3CC#N)=NC1=O ZINC001049689095 852352181 /nfs/dbraw/zinc/35/21/81/852352181.db2.gz IBRUYBLTYQWVQJ-NWDGAFQWSA-N 0 1 289.339 0.328 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cnsn3)[C@@H]2C1 ZINC001049980540 852413984 /nfs/dbraw/zinc/41/39/84/852413984.db2.gz LFAJWZMKVWLDJB-CMPLNLGQSA-N 0 1 276.365 0.708 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H]3CCOC3)[C@@H]2C1 ZINC001049988019 852414874 /nfs/dbraw/zinc/41/48/74/852414874.db2.gz ARSJFDOXWMEQBM-BFHYXJOUSA-N 0 1 262.353 0.579 20 30 CCEDMN N#Cc1ccc(N[C@H](CNC(=O)c2cnn[nH]2)C2CC2)nn1 ZINC001096852969 852457315 /nfs/dbraw/zinc/45/73/15/852457315.db2.gz FGXPCYHSKKAERL-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cncc3nc[nH]c31)C2 ZINC001097110465 852499978 /nfs/dbraw/zinc/49/99/78/852499978.db2.gz IWVCSQKNIYOYNF-XWIASGKRSA-N 0 1 296.334 0.817 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCN(C)C1=O)C2 ZINC001097291488 852526165 /nfs/dbraw/zinc/52/61/65/852526165.db2.gz BWIGADUTEBMAOL-SYQHCUMBSA-N 0 1 289.379 0.210 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc3c1CCC3)C2 ZINC001097414833 852537590 /nfs/dbraw/zinc/53/75/90/852537590.db2.gz PDVURZSGZAMMHF-WQAKAFBOSA-N 0 1 285.351 0.757 20 30 CCEDMN C[C@H](CNC(=O)[C@@H]1CCCN1C)Nc1cnc(C#N)cn1 ZINC001097730044 852592613 /nfs/dbraw/zinc/59/26/13/852592613.db2.gz QIVGIQFXIJWKKN-PWSUYJOCSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@H](CNC(=O)[C@@H]1CCCN1C)Nc1cncc(C#N)n1 ZINC001097728533 852592948 /nfs/dbraw/zinc/59/29/48/852592948.db2.gz CLUYTNKVPCDXCZ-PWSUYJOCSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](C)Nc1ncnc2[nH]cnc21 ZINC001097739072 852597279 /nfs/dbraw/zinc/59/72/79/852597279.db2.gz AUCGGRWAFOTQKY-YUMQZZPRSA-N 0 1 273.300 0.429 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCCC(=O)N1)C2 ZINC001097827491 852624110 /nfs/dbraw/zinc/62/41/10/852624110.db2.gz WUJBGTOHAWGDQW-SYQHCUMBSA-N 0 1 291.395 0.953 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCCC(=O)N1)C2 ZINC001097827491 852624111 /nfs/dbraw/zinc/62/41/11/852624111.db2.gz WUJBGTOHAWGDQW-SYQHCUMBSA-N 0 1 291.395 0.953 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1C[C@H]1C(=O)N(C)C)C2 ZINC001097854720 852633189 /nfs/dbraw/zinc/63/31/89/852633189.db2.gz QLNRSWRVJIARFU-ITGHMWBKSA-N 0 1 291.395 0.618 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1C[C@H]1C(=O)N(C)C)C2 ZINC001097854720 852633192 /nfs/dbraw/zinc/63/31/92/852633192.db2.gz QLNRSWRVJIARFU-ITGHMWBKSA-N 0 1 291.395 0.618 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC001052714912 852646960 /nfs/dbraw/zinc/64/69/60/852646960.db2.gz VFXUMCSYUUQFFF-UTUOFQBUSA-N 0 1 299.802 0.831 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001052878951 852668284 /nfs/dbraw/zinc/66/82/84/852668284.db2.gz MKCRLVZTBGHYDP-RYUDHWBXSA-N 0 1 299.802 0.988 20 30 CCEDMN C#CCN1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCCN1C)O2 ZINC001053582181 852765416 /nfs/dbraw/zinc/76/54/16/852765416.db2.gz AYCONOAYCAIMEJ-KGLIPLIRSA-N 0 1 291.395 0.063 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(=O)n(C)o2)C[C@H]1C ZINC001054601311 852977063 /nfs/dbraw/zinc/97/70/63/852977063.db2.gz OBHQUVTVMAPKEP-SCZZXKLOSA-N 0 1 299.758 0.781 20 30 CCEDMN N#Cc1nccc(N2CCN(C(=O)c3ccn[nH]3)CC2)n1 ZINC001055722442 853108811 /nfs/dbraw/zinc/10/88/11/853108811.db2.gz YQHYRAFWPGHWMJ-UHFFFAOYSA-N 0 1 283.295 0.034 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@@H](Nc2ncnc3[nH]cnc32)C1 ZINC001056628853 853179449 /nfs/dbraw/zinc/17/94/49/853179449.db2.gz FOABUKZUHOLGSH-LLVKDONJSA-N 0 1 296.334 0.779 20 30 CCEDMN N#Cc1cncc(N2CCCN(C(=O)c3ccn[nH]3)CC2)n1 ZINC001057091843 853269669 /nfs/dbraw/zinc/26/96/69/853269669.db2.gz OCPCZWHYXRUHIE-UHFFFAOYSA-N 0 1 297.322 0.424 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)[C@H]3CCC(=O)N3)[C@@H]2C1 ZINC001050000424 853288691 /nfs/dbraw/zinc/28/86/91/853288691.db2.gz KGNYROTVEDBFJB-BFHYXJOUSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCn3ccnn3)[C@@H]2C1 ZINC001050033172 853298311 /nfs/dbraw/zinc/29/83/11/853298311.db2.gz SGRQXYJCDOWPPP-UONOGXRCSA-N 0 1 287.367 0.224 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cc3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001050098115 853311843 /nfs/dbraw/zinc/31/18/43/853311843.db2.gz JNTXRQHSWFBYTB-LSDHHAIUSA-N 0 1 299.374 0.886 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccnnc3C)[C@@H]2C1 ZINC001050086977 853312528 /nfs/dbraw/zinc/31/25/28/853312528.db2.gz DYDXYVQFUPIOQA-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C=CCN1CCOC[C@@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001050981441 853501244 /nfs/dbraw/zinc/50/12/44/853501244.db2.gz GWCYSHOFLUAZHE-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN C=C(C)CN1CCOC[C@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001050983723 853500606 /nfs/dbraw/zinc/50/06/06/853500606.db2.gz VKAFXYKINPUXDK-CABCVRRESA-N 0 1 295.427 0.864 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@H]1COCCN1CC#N ZINC001051254266 853555964 /nfs/dbraw/zinc/55/59/64/853555964.db2.gz GJIKEHVFYGLBDS-UONOGXRCSA-N 0 1 294.399 0.201 20 30 CCEDMN C#CCN1CCc2c(CNC(=O)c3cnon3)cccc2C1 ZINC001051303587 853559895 /nfs/dbraw/zinc/55/98/95/853559895.db2.gz LNNNOGGCSGMTSJ-UHFFFAOYSA-N 0 1 296.330 0.991 20 30 CCEDMN N#Cc1ccc(NC2CC(CNC(=O)c3cnn[nH]3)C2)nn1 ZINC001052144547 853693211 /nfs/dbraw/zinc/69/32/11/853693211.db2.gz XAYUVOWGWXMEQG-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CCCN(CC#N)CC2)n[nH]1 ZINC001052367082 853743400 /nfs/dbraw/zinc/74/34/00/853743400.db2.gz LPSSSHUZFZHQFB-NSHDSACASA-N 0 1 276.344 0.150 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](NC(=O)Cc3nnc[nH]3)C2)nc1 ZINC001058443245 853847279 /nfs/dbraw/zinc/84/72/79/853847279.db2.gz GPCYTKHQWUPQHR-LLVKDONJSA-N 0 1 297.322 0.009 20 30 CCEDMN C[C@@H]1C[C@H](Nc2cncc(C#N)n2)CN1C(=O)c1ccn[nH]1 ZINC001069016388 853939344 /nfs/dbraw/zinc/93/93/44/853939344.db2.gz FXUGSTKROWEJFH-ZJUUUORDSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H]2CC2(C)C)C[C@@H]1n1ccnn1 ZINC001070177758 854032815 /nfs/dbraw/zinc/03/28/15/854032815.db2.gz MRSIDDYJHXMMNQ-UPJWGTAASA-N 0 1 287.367 0.299 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)Cc2ccco2)C[C@@H]1n1ccnn1 ZINC001070299815 854040707 /nfs/dbraw/zinc/04/07/07/854040707.db2.gz HDIVYHHOOWJDPZ-KGLIPLIRSA-N 0 1 299.334 0.089 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)c2cnco2)C1 ZINC001070418173 854056357 /nfs/dbraw/zinc/05/63/57/854056357.db2.gz HSPKRJHMEMIMGL-LLVKDONJSA-N 0 1 299.334 0.750 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)c2cocn2)C1 ZINC001070401552 854057692 /nfs/dbraw/zinc/05/76/92/854057692.db2.gz QMOZIHHLAMDKBZ-NSHDSACASA-N 0 1 299.334 0.750 20 30 CCEDMN C=CCN1CCOC[C@H]1c1nc(CNC(=O)C2CC2)n[nH]1 ZINC001070577211 854078524 /nfs/dbraw/zinc/07/85/24/854078524.db2.gz QWEGKSWXQFZWFF-NSHDSACASA-N 0 1 291.355 0.390 20 30 CCEDMN C=CCN1CCOC[C@H]1c1nnc(CNC(=O)C2CC2)[nH]1 ZINC001070577211 854078530 /nfs/dbraw/zinc/07/85/30/854078530.db2.gz QWEGKSWXQFZWFF-NSHDSACASA-N 0 1 291.355 0.390 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCCN(C(=O)c2[nH]nnc2C)C1 ZINC001071062408 854118518 /nfs/dbraw/zinc/11/85/18/854118518.db2.gz AZEBLVUQWXEZPE-LLVKDONJSA-N 0 1 291.355 0.800 20 30 CCEDMN CC#CCN1CC[C@]2(C1)CN(C(=O)C(F)F)C[C@H](C)O2 ZINC001071115651 854123858 /nfs/dbraw/zinc/12/38/58/854123858.db2.gz BLLGBODRCCMGCJ-FZMZJTMJSA-N 0 1 286.322 0.967 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@H]1C ZINC001071326098 854157535 /nfs/dbraw/zinc/15/75/35/854157535.db2.gz BUTJXIGNJCHGQH-GHMZBOCLSA-N 0 1 276.340 0.951 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cn2cccn2)CC[C@@H]1C ZINC001071355019 854164840 /nfs/dbraw/zinc/16/48/40/854164840.db2.gz VNOQXFGKGDGXKD-KBPBESRZSA-N 0 1 274.368 0.876 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])CC[C@@H]1C ZINC001071440858 854197735 /nfs/dbraw/zinc/19/77/35/854197735.db2.gz UUDHKRBCFWKPMN-ONGXEEELSA-N 0 1 276.340 0.640 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ncn(C)n2)CC[C@@H]1C ZINC001071463145 854205239 /nfs/dbraw/zinc/20/52/39/854205239.db2.gz HNSAUTBEIMPYDV-RYUDHWBXSA-N 0 1 277.372 0.974 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2ccn(C)n2)CC[C@@H]1C ZINC001071500814 854222959 /nfs/dbraw/zinc/22/29/59/854222959.db2.gz CETDFJBLHBGWQA-ZFWWWQNUSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@@H]1C ZINC001071738521 854277472 /nfs/dbraw/zinc/27/74/72/854277472.db2.gz DKKYKTWZFWCJTN-QWRGUYRKSA-N 0 1 276.340 0.342 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001071790230 854292584 /nfs/dbraw/zinc/29/25/84/854292584.db2.gz FDFLBYVMYVNNPI-WDEREUQCSA-N 0 1 291.355 0.277 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@H]1C ZINC001071803695 854297607 /nfs/dbraw/zinc/29/76/07/854297607.db2.gz QAHYISSXSPWUBL-GHMZBOCLSA-N 0 1 276.340 0.342 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ncc[nH]2)CC[C@H]1C ZINC001071933902 854320920 /nfs/dbraw/zinc/32/09/20/854320920.db2.gz CLSYKJIIVHBHNM-VXGBXAGGSA-N 0 1 260.341 0.555 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2[nH]cnc2C)CC[C@H]1C ZINC001072026074 854337311 /nfs/dbraw/zinc/33/73/11/854337311.db2.gz BWVOJJUMUWMTKD-YPMHNXCESA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2ccnc2)CC[C@@H]1C ZINC001072123747 854349406 /nfs/dbraw/zinc/34/94/06/854349406.db2.gz ULEBBJJXJITZTJ-STQMWFEESA-N 0 1 260.341 0.485 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C(=O)c2[nH]nnc2C)C[C@@H]1C ZINC001072493144 854398448 /nfs/dbraw/zinc/39/84/48/854398448.db2.gz KALHUAIAJYXMKD-GXSJLCMTSA-N 0 1 291.355 0.656 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C(=O)c2[nH]nnc2C)C[C@H]1C ZINC001072493146 854398513 /nfs/dbraw/zinc/39/85/13/854398513.db2.gz KALHUAIAJYXMKD-MWLCHTKSSA-N 0 1 291.355 0.656 20 30 CCEDMN Cc1cc(C(=O)N2CC3(C2)CCN(CC#N)C3)n[nH]1 ZINC001072519399 854403767 /nfs/dbraw/zinc/40/37/67/854403767.db2.gz HVHXLGXBAMNWNT-UHFFFAOYSA-N 0 1 259.313 0.390 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C1)CCN([C@H](C)C(=O)N(C)C)C2 ZINC001072552290 854414960 /nfs/dbraw/zinc/41/49/60/854414960.db2.gz NRCOKHBXXFDLJQ-VXGBXAGGSA-N 0 1 292.383 0.157 20 30 CCEDMN C=CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001072632193 854433965 /nfs/dbraw/zinc/43/39/65/854433965.db2.gz JMFUJKKGNBGRHT-CMPLNLGQSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC3(C2)CCN([C@H](C)C(N)=O)C3)C1 ZINC001072964256 854503446 /nfs/dbraw/zinc/50/34/46/854503446.db2.gz PHWUDFWUKXOTBC-GFCCVEGCSA-N 0 1 291.395 0.751 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cscn3)C2)C1 ZINC001073038218 854519044 /nfs/dbraw/zinc/51/90/44/854519044.db2.gz YUSQOKXXHXHLAR-UHFFFAOYSA-N 0 1 275.377 0.853 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnc(C)nc3C)C2)C1 ZINC001073064954 854523656 /nfs/dbraw/zinc/52/36/56/854523656.db2.gz ODEYTZUBOHYMPB-UHFFFAOYSA-N 0 1 284.363 0.875 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cnn4cc[nH]c34)C2)C1 ZINC001073149899 854538024 /nfs/dbraw/zinc/53/80/24/854538024.db2.gz FDRUEVJORWCYRH-UHFFFAOYSA-N 0 1 297.362 0.834 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N[C@@H](C)C1CN(CCO)C1)OCC ZINC001276388447 854564465 /nfs/dbraw/zinc/56/44/65/854564465.db2.gz HQSPQZYQPXMORU-GXTWGEPZSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCC(=O)N(C)C3)C2)C1 ZINC001073463333 854562443 /nfs/dbraw/zinc/56/24/43/854562443.db2.gz CDMWTLWTIBMNMM-ZDUSSCGKSA-N 0 1 289.379 0.022 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2snnc2C)C1 ZINC001073530232 854578820 /nfs/dbraw/zinc/57/88/20/854578820.db2.gz LQXGYIHDOMRKNM-NSHDSACASA-N 0 1 296.396 0.853 20 30 CCEDMN C=C(C)CN1CCCO[C@@H](CNC(=O)c2cnns2)C1 ZINC001073539032 854583755 /nfs/dbraw/zinc/58/37/55/854583755.db2.gz ABWHVKMSXICLLV-NSHDSACASA-N 0 1 296.396 0.935 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccn[nH]2)C1 ZINC001073543913 854586536 /nfs/dbraw/zinc/58/65/36/854586536.db2.gz SDVBRLUUHHTWNN-NSHDSACASA-N 0 1 264.329 0.416 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H]2CCCOC2)C1 ZINC001073548435 854588838 /nfs/dbraw/zinc/58/88/38/854588838.db2.gz LASGXPFMEQIJAR-ZIAGYGMSSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001073577308 854601025 /nfs/dbraw/zinc/60/10/25/854601025.db2.gz XARHWMFLDSAGFZ-CQSZACIVSA-N 0 1 288.351 0.893 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2coc(OC)n2)C1 ZINC001073713966 854632236 /nfs/dbraw/zinc/63/22/36/854632236.db2.gz MTHLMTCWTBZTPO-LLVKDONJSA-N 0 1 295.339 0.690 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ncccn2)C1 ZINC001073828360 854650483 /nfs/dbraw/zinc/65/04/83/854650483.db2.gz RLCUYGNUXWSKNH-LBPRGKRZSA-N 0 1 276.340 0.483 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cn(CC)nn2)C1 ZINC001073837018 854652318 /nfs/dbraw/zinc/65/23/18/854652318.db2.gz KZBQAEMCMYFNTC-LBPRGKRZSA-N 0 1 293.371 0.305 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCC(=O)NC2)[C@H]1C ZINC001074722346 854770287 /nfs/dbraw/zinc/77/02/87/854770287.db2.gz FMKHRLUFOLXFIU-TUAOUCFPSA-N 0 1 299.802 0.844 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1ccccc1=O)C2 ZINC001098364277 854861034 /nfs/dbraw/zinc/86/10/34/854861034.db2.gz XVVQLHOUGYOTLI-MCIONIFRSA-N 0 1 285.347 0.203 20 30 CCEDMN C#CCCNCc1cn([C@H]2CCN(C(=O)C(C)C)C2)nn1 ZINC001098615426 854873968 /nfs/dbraw/zinc/87/39/68/854873968.db2.gz RXHCTIMQMIPUKS-AWEZNQCLSA-N 0 1 289.383 0.820 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)Cc2nonc2C)C1 ZINC001099099130 854914507 /nfs/dbraw/zinc/91/45/07/854914507.db2.gz ATEMEDHEEDOFSI-WFASDCNBSA-N 0 1 288.351 0.524 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CNC(=O)NC ZINC001099103447 854914560 /nfs/dbraw/zinc/91/45/60/854914560.db2.gz ZPNORHFTSJMRRB-LBPRGKRZSA-N 0 1 294.399 0.155 20 30 CCEDMN C[C@H](CCNC(=O)c1ncn[nH]1)Nc1ccc(C#N)nn1 ZINC001099382477 854930496 /nfs/dbraw/zinc/93/04/96/854930496.db2.gz NGISNILOKLMJMP-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CCNC(=O)c1nc[nH]n1)Nc1ccc(C#N)nn1 ZINC001099382477 854930499 /nfs/dbraw/zinc/93/04/99/854930499.db2.gz NGISNILOKLMJMP-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C\c2ccc[nH]2)[C@H](O)C1 ZINC001099796507 854997923 /nfs/dbraw/zinc/99/79/23/854997923.db2.gz DQAYDGNQLRCIKV-NHQLZKDQSA-N 0 1 287.363 0.603 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C#CC(C)(C)C)[C@H](O)C1 ZINC001099825842 855004029 /nfs/dbraw/zinc/00/40/29/855004029.db2.gz AZDIGKRXGOKMAQ-QWHCGFSZSA-N 0 1 264.369 0.773 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCOCC2CC2)[C@@H](O)C1 ZINC001099829905 855006085 /nfs/dbraw/zinc/00/60/85/855006085.db2.gz JUBFINVGNFBNCD-KGLIPLIRSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(COC)CCCC2)[C@H](O)C1 ZINC001099865665 855012268 /nfs/dbraw/zinc/01/22/68/855012268.db2.gz BJBJWHGCBXQZCL-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCC(F)F)[C@@H](O)C1 ZINC001099923145 855033746 /nfs/dbraw/zinc/03/37/46/855033746.db2.gz AZSQZSPETPXKPS-MNOVXSKESA-N 0 1 274.311 0.606 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2(C)CC2)[C@H](O)C1 ZINC001099927715 855035496 /nfs/dbraw/zinc/03/54/96/855035496.db2.gz DYIUWIXRWOJTQF-NWDGAFQWSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CCN(CC#CC)C[C@@H]1O ZINC001099974335 855058546 /nfs/dbraw/zinc/05/85/46/855058546.db2.gz QGKIEUAIPIDNDC-KGLIPLIRSA-N 0 1 264.369 0.917 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001099996513 855065651 /nfs/dbraw/zinc/06/56/51/855065651.db2.gz MEVGCUSGGQGPIP-OLZOCXBDSA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001099996513 855065653 /nfs/dbraw/zinc/06/56/53/855065653.db2.gz MEVGCUSGGQGPIP-OLZOCXBDSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COc2cccnc2)[C@@H](O)C1 ZINC001100036860 855078493 /nfs/dbraw/zinc/07/84/93/855078493.db2.gz OKZMFSPPICCVBI-KBPBESRZSA-N 0 1 291.351 0.198 20 30 CCEDMN C#CCCCC(=O)NCCN(C)c1ncnc2[nH]cnc21 ZINC001100040605 855080544 /nfs/dbraw/zinc/08/05/44/855080544.db2.gz UFCOODLTIRQKCX-UHFFFAOYSA-N 0 1 286.339 0.709 20 30 CCEDMN CCc1cc(C(=O)N(C)CCNc2ccc(C#N)nn2)n[nH]1 ZINC001101508660 855299618 /nfs/dbraw/zinc/29/96/18/855299618.db2.gz MXRFJJZCMVFDFP-UHFFFAOYSA-N 0 1 299.338 0.818 20 30 CCEDMN CN(CCNc1ccc(C#N)nn1)C(=O)Cc1ccn[nH]1 ZINC001101534849 855308836 /nfs/dbraw/zinc/30/88/36/855308836.db2.gz BOUNNLNMPJYAGQ-UHFFFAOYSA-N 0 1 285.311 0.184 20 30 CCEDMN CC(C)=CC(=O)NC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001101699930 855346053 /nfs/dbraw/zinc/34/60/53/855346053.db2.gz YQDNEQYJAAHLTK-CHWSQXEVSA-N 0 1 292.383 0.276 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCNc1ncccc1C#N ZINC001101885797 855386294 /nfs/dbraw/zinc/38/62/94/855386294.db2.gz QVVZSSWCBPERHP-UHFFFAOYSA-N 0 1 285.311 0.564 20 30 CCEDMN C=CCN1C[C@@H](CNC(=O)[C@@H](C)S(C)(=O)=O)[C@H](C)C1 ZINC001102091069 855415091 /nfs/dbraw/zinc/41/50/91/855415091.db2.gz QGSKBGQRBWBCSD-IJLUTSLNSA-N 0 1 288.413 0.290 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)Cc1cnc[nH]1 ZINC001102406566 855434080 /nfs/dbraw/zinc/43/40/80/855434080.db2.gz ZGNWCPMAZLACMB-GHMZBOCLSA-N 0 1 261.329 0.160 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CCCN2C)C[C@H]1CCNCC#N ZINC001103998240 855560282 /nfs/dbraw/zinc/56/02/82/855560282.db2.gz OJZMNRGLBIJPMB-MCIONIFRSA-N 0 1 278.400 0.678 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H]2CCCN2C)C[C@H]1CCNCC#N ZINC001103998241 855559592 /nfs/dbraw/zinc/55/95/92/855559592.db2.gz OJZMNRGLBIJPMB-MGPQQGTHSA-N 0 1 278.400 0.678 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)CCCOC ZINC001115085622 855640663 /nfs/dbraw/zinc/64/06/63/855640663.db2.gz GJMFRQJPCVCUAL-IGQOVBAYSA-N 0 1 264.369 0.729 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCn1cccc1 ZINC001115278837 855657709 /nfs/dbraw/zinc/65/77/09/855657709.db2.gz ZAQVRCZPTJJGDD-FOLVSLTJSA-N 0 1 271.364 0.948 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1ccn[nH]1)Nc1cnc(C#N)cn1 ZINC001115668183 855688792 /nfs/dbraw/zinc/68/87/92/855688792.db2.gz YLIBGWJTDKMYFA-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(O)(COC)CC2)CC1 ZINC001116392974 855758672 /nfs/dbraw/zinc/75/86/72/855758672.db2.gz NPCLLUBXPQUMAL-UHFFFAOYSA-N 0 1 294.395 0.332 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CC[C@](O)(CC#N)C1 ZINC001118182399 856189906 /nfs/dbraw/zinc/18/99/06/856189906.db2.gz BEDMZIRGFJJTRS-GFCCVEGCSA-N 0 1 263.301 0.278 20 30 CCEDMN C[C@H](NCCNC(=O)C1N=CC=CC1=O)c1cn(C)cn1 ZINC001119631466 856793724 /nfs/dbraw/zinc/79/37/24/856793724.db2.gz VWUPKWDASLRQTO-DZOVYVGFSA-N 0 1 289.339 0.597 20 30 CCEDMN N#CC[C@@H](O)CN1CCC(N2CCCNC2=O)CC1 ZINC001119690468 856838633 /nfs/dbraw/zinc/83/86/33/856838633.db2.gz PCNZPXYMZURTIA-GFCCVEGCSA-N 0 1 266.345 0.141 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cccc(F)c2O)C1 ZINC001119893648 856910728 /nfs/dbraw/zinc/91/07/28/856910728.db2.gz HRVMEWCDFGOOSV-UHFFFAOYSA-N 0 1 250.229 0.632 20 30 CCEDMN C[C@@H]1C[C@@H](C(=O)NC2(C#N)CCN(C)CC2)CCO1 ZINC001323477604 912365171 /nfs/dbraw/zinc/36/51/71/912365171.db2.gz WVEICORVUQJFGD-NEPJUHHUSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001323910031 912578352 /nfs/dbraw/zinc/57/83/52/912578352.db2.gz ZVMYCIKQXVFRPA-HIFRSBDPSA-N 0 1 295.427 0.814 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@@H]1CCN(CCOC)C1)C1CC1 ZINC001324419854 912836528 /nfs/dbraw/zinc/83/65/28/912836528.db2.gz LDBDOUACPWLLCR-GFCCVEGCSA-N 0 1 295.383 0.000 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cnnn1C ZINC001393926467 912854316 /nfs/dbraw/zinc/85/43/16/912854316.db2.gz FHXXOUWMNVKEDC-RKDXNWHRSA-N 0 1 271.752 0.664 20 30 CCEDMN C=C(Cl)CN1CC([C@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001394250650 913099775 /nfs/dbraw/zinc/09/97/75/913099775.db2.gz SCTJEMNGBLKCEK-VIFPVBQESA-N 0 1 283.763 0.536 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)/C=C(/C)C2CC2)C1 ZINC001325071822 913190654 /nfs/dbraw/zinc/19/06/54/913190654.db2.gz ZCUOABTWWBJHNO-IVOILVROSA-N 0 1 276.380 0.919 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C2=CCCC2)C1 ZINC001325088035 913203769 /nfs/dbraw/zinc/20/37/69/913203769.db2.gz YKOZLRWLCJCRMO-OAHLLOKOSA-N 0 1 262.353 0.673 20 30 CCEDMN C#CC[N@@H+](CC1CC1)[C@@H](C)C(=O)NC(=O)NC1CC1 ZINC001325223305 913288009 /nfs/dbraw/zinc/28/80/09/913288009.db2.gz XAMBQGHXKDWWOC-JTQLQIEISA-N 0 1 263.341 0.708 20 30 CCEDMN C#CCN(CC1CC1)[C@@H](C)C(=O)NC(=O)NC1CC1 ZINC001325223305 913288027 /nfs/dbraw/zinc/28/80/27/913288027.db2.gz XAMBQGHXKDWWOC-JTQLQIEISA-N 0 1 263.341 0.708 20 30 CCEDMN C[C@@H](NCC#N)[C@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC001325408827 913390910 /nfs/dbraw/zinc/39/09/10/913390910.db2.gz OFJSXCOKUKVYPU-MNOVXSKESA-N 0 1 298.350 0.922 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1ccn(C)n1 ZINC001394755992 913403594 /nfs/dbraw/zinc/40/35/94/913403594.db2.gz SPRMROIMOVXWKP-JTQLQIEISA-N 0 1 286.763 0.195 20 30 CCEDMN CC#CCCNC(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC001325493938 913434831 /nfs/dbraw/zinc/43/48/31/913434831.db2.gz CYASWSDMQHMWEZ-DGCLKSJQSA-N 0 1 277.368 0.115 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H](OC)C1CC1 ZINC001480859412 891401715 /nfs/dbraw/zinc/40/17/15/891401715.db2.gz IESWQLNHAMQYRA-ZDUSSCGKSA-N 0 1 268.357 0.109 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C[C@H]2CNC(=O)C2)CC1 ZINC001347103516 891538445 /nfs/dbraw/zinc/53/84/45/891538445.db2.gz AAFVVLZZUBIEIE-CHWSQXEVSA-N 0 1 264.373 0.288 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC001417819071 891595994 /nfs/dbraw/zinc/59/59/94/891595994.db2.gz UICNFYATABHXBG-MGPQQGTHSA-N 0 1 277.368 0.859 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cccnc1-n1cccn1 ZINC001480897819 891709352 /nfs/dbraw/zinc/70/93/52/891709352.db2.gz UGZVIIUDMRBCEU-UHFFFAOYSA-N 0 1 297.362 0.952 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccc(-n2ccnc2)nc1 ZINC001480897791 891709377 /nfs/dbraw/zinc/70/93/77/891709377.db2.gz SKLPNTHCNVYNJF-UHFFFAOYSA-N 0 1 297.362 0.952 20 30 CCEDMN CCOCC(=O)NCCN(CC)CC#CCOC ZINC001480959785 891975484 /nfs/dbraw/zinc/97/54/84/891975484.db2.gz WNEIBYKKLUYGDL-UHFFFAOYSA-N 0 1 256.346 0.111 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1c[nH]c(C#N)c1 ZINC001480971137 891993652 /nfs/dbraw/zinc/99/36/52/891993652.db2.gz MTQLPLYCEYQCDP-UHFFFAOYSA-N 0 1 258.325 0.961 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H](OCC)[C@H]1CCOC1 ZINC001480991105 892027413 /nfs/dbraw/zinc/02/74/13/892027413.db2.gz AORSDEOFOJASJA-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCCN1[C@H]1CCN(C)C1=O ZINC001481030400 892085726 /nfs/dbraw/zinc/08/57/26/892085726.db2.gz GJGSACYXZRKILQ-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@H]1CCC(=O)N1 ZINC001481061492 892122311 /nfs/dbraw/zinc/12/23/11/892122311.db2.gz YIFYKQWCKMGUPH-OLZOCXBDSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)[C@H](C)CC)C1 ZINC001481077057 892136752 /nfs/dbraw/zinc/13/67/52/892136752.db2.gz OKZHUYDTQUYQGF-OLZOCXBDSA-N 0 1 281.400 0.773 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCN(CC(=O)NC(C)(C)C)C1 ZINC001481082867 892141576 /nfs/dbraw/zinc/14/15/76/892141576.db2.gz GOGKSSKTPFPPJP-NWDGAFQWSA-N 0 1 294.399 0.499 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2oncc2C)C1 ZINC001481116238 892183404 /nfs/dbraw/zinc/18/34/04/892183404.db2.gz GZBITXYZRSAQBV-ZDUSSCGKSA-N 0 1 291.351 0.685 20 30 CCEDMN C#CCC[N@H+](CCNC(=O)c1[nH]nc(C)c1[O-])C1CC1 ZINC001481165513 892258751 /nfs/dbraw/zinc/25/87/51/892258751.db2.gz SQDJMLPTXPMRKL-UHFFFAOYSA-N 0 1 276.340 0.641 20 30 CCEDMN C=C(Br)CNCCN(C)C(=O)COCC ZINC001481203547 892317564 /nfs/dbraw/zinc/31/75/64/892317564.db2.gz QIUOGIDNMZVXIZ-UHFFFAOYSA-N 0 1 279.178 0.980 20 30 CCEDMN C#CCN(CCNC(=O)c1ccc2nc[nH]c2n1)C1CC1 ZINC001481174588 892271154 /nfs/dbraw/zinc/27/11/54/892271154.db2.gz RNYOVONNPCEMLW-UHFFFAOYSA-N 0 1 283.335 0.785 20 30 CCEDMN COc1ccc(C#N)cc1CNCCN(CCO)C(C)=O ZINC001325958252 913660532 /nfs/dbraw/zinc/66/05/32/913660532.db2.gz ZLNMSFORZGSBPR-UHFFFAOYSA-N 0 1 291.351 0.497 20 30 CCEDMN C[C@@H](NCC#N)C1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001481326392 892583280 /nfs/dbraw/zinc/58/32/80/892583280.db2.gz JKTLSKJCGRJKGQ-SNVBAGLBSA-N 0 1 261.329 0.764 20 30 CCEDMN CC#CCCCC(=O)NCC1CN(CCOC)C1 ZINC001481641783 892949579 /nfs/dbraw/zinc/94/95/79/892949579.db2.gz WJOLYRPSOZNBCD-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1COCCO1 ZINC001481789415 893158397 /nfs/dbraw/zinc/15/83/97/893158397.db2.gz BALWACSRFAJFRA-QWRGUYRKSA-N 0 1 276.764 0.639 20 30 CCEDMN CC[C@@H](CNC(=O)CN1CCC(C)CC1)NCC#N ZINC001481860866 893225644 /nfs/dbraw/zinc/22/56/44/893225644.db2.gz GKSFUHQIKBZFFD-ZDUSSCGKSA-N 0 1 266.389 0.726 20 30 CCEDMN CCn1ncc(CNC[C@H](C)CNC(=O)[C@H](C)C#N)n1 ZINC001482086077 893378501 /nfs/dbraw/zinc/37/85/01/893378501.db2.gz WGJDFLQZICHVAL-WDEREUQCSA-N 0 1 278.360 0.300 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H]1CN(CC2CCC2)CCO1 ZINC001482190175 893481286 /nfs/dbraw/zinc/48/12/86/893481286.db2.gz UPTONVMWDJRAEW-GOEBONIOSA-N 0 1 296.411 0.931 20 30 CCEDMN C[C@@H](CNCC#N)NC(=O)c1[nH]nc2c1CCCCC2 ZINC001268715633 893488898 /nfs/dbraw/zinc/48/88/98/893488898.db2.gz QQFOENOJMXKILG-JTQLQIEISA-N 0 1 275.356 0.910 20 30 CCEDMN CC#CCN(C)CCN(C(=O)c1nccnc1N)C(C)C ZINC001284529914 893607123 /nfs/dbraw/zinc/60/71/23/893607123.db2.gz NURGBJNYAZAOKY-UHFFFAOYSA-N 0 1 289.383 0.865 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1ccc(F)nc1 ZINC001482586927 894295738 /nfs/dbraw/zinc/29/57/38/894295738.db2.gz SPPZJEWOWCTMRJ-UHFFFAOYSA-N 0 1 293.342 0.874 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)C1(CCOC)CC1 ZINC001482600901 894385557 /nfs/dbraw/zinc/38/55/57/894385557.db2.gz BRLVIVOFPHXIIO-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCCN1C(=O)C1CC1 ZINC001482632610 894433763 /nfs/dbraw/zinc/43/37/63/894433763.db2.gz WWALWLPVEURQOR-AWEZNQCLSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](C)OC[C@H]1CCCCO1 ZINC001482652709 894447640 /nfs/dbraw/zinc/44/76/40/894447640.db2.gz BAEVOHJGZYCREF-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN CCCC(=O)N1CC[C@@H]2[C@H](CCN2CC(=O)NCC#N)C1 ZINC001482655781 894451136 /nfs/dbraw/zinc/45/11/36/894451136.db2.gz PPAOFVDUCGKQND-CHWSQXEVSA-N 0 1 292.383 0.349 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](O)CNCc1ccc(F)cc1F ZINC001482716057 894513393 /nfs/dbraw/zinc/51/33/93/894513393.db2.gz LBSHXRWEBYVDST-BXKDBHETSA-N 0 1 297.305 0.691 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)CCNCc1nnnn1C ZINC001482837586 894642055 /nfs/dbraw/zinc/64/20/55/894642055.db2.gz YIZUTVDIIZKMOU-LLVKDONJSA-N 0 1 280.376 0.551 20 30 CCEDMN C=CCNC(=O)Cc1noc(CC(F)(F)CN)n1 ZINC001326414738 913941992 /nfs/dbraw/zinc/94/19/92/913941992.db2.gz UAGOXDUTBYTBFE-UHFFFAOYSA-N 0 1 260.244 0.051 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CN(C)[C@@H]1CCCNC1=O ZINC001483319219 895444657 /nfs/dbraw/zinc/44/46/57/895444657.db2.gz GVTAXWFBYNMDGK-VXGBXAGGSA-N 0 1 267.373 0.668 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)CC[C@@H]1CCOC1 ZINC001483326678 895456202 /nfs/dbraw/zinc/45/62/02/895456202.db2.gz HKKDIOVNNMGSGU-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)CC[C@@H]1CCOC1 ZINC001483326679 895457310 /nfs/dbraw/zinc/45/73/10/895457310.db2.gz HKKDIOVNNMGSGU-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCn2cncc2C1 ZINC001483355585 895491338 /nfs/dbraw/zinc/49/13/38/895491338.db2.gz IFKUJALYVFCWAP-QWHCGFSZSA-N 0 1 274.368 0.515 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)[C@@]1(C)C=CCC1 ZINC001507227242 895491729 /nfs/dbraw/zinc/49/17/29/895491729.db2.gz VQGYUSROZIKVEI-ZFWWWQNUSA-N 0 1 280.368 0.059 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1CCn2cncc2C1 ZINC001483355588 895492541 /nfs/dbraw/zinc/49/25/41/895492541.db2.gz IFKUJALYVFCWAP-STQMWFEESA-N 0 1 274.368 0.515 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)CC2CC2)CC1 ZINC001483711474 895890487 /nfs/dbraw/zinc/89/04/87/895890487.db2.gz BHSKOCWWMIZRQP-LLVKDONJSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCCC(=O)N1CCO[C@H](CNCc2ccn(C)n2)C1 ZINC001280564874 896000739 /nfs/dbraw/zinc/00/07/39/896000739.db2.gz BBUSMCAMAKOCQS-CQSZACIVSA-N 0 1 292.383 0.703 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)[C@H]2CC[C@@H](F)C2)CC1 ZINC001483762446 896023828 /nfs/dbraw/zinc/02/38/28/896023828.db2.gz JDULHOTVMBBUCC-WDEREUQCSA-N 0 1 296.346 0.003 20 30 CCEDMN CCn1cc(CN[C@H]2C[C@@H](CNC(=O)[C@@H](C)C#N)C2)nn1 ZINC001397056904 914031816 /nfs/dbraw/zinc/03/18/16/914031816.db2.gz PAOKSMKIOQVKAD-SDDRHHMPSA-N 0 1 290.371 0.442 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H]2CCC(=O)N2)C1 ZINC001484153213 896209486 /nfs/dbraw/zinc/20/94/86/896209486.db2.gz VOPILOPOULFLAF-IUODEOHRSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H]2CCC(=O)N2)C1 ZINC001484153212 896210113 /nfs/dbraw/zinc/21/01/13/896210113.db2.gz VOPILOPOULFLAF-DOMZBBRYSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccc(F)nc2)C1 ZINC001484208510 896238955 /nfs/dbraw/zinc/23/89/55/896238955.db2.gz OAVJAKPSMLOXOM-CQSZACIVSA-N 0 1 277.299 0.021 20 30 CCEDMN C=CCOCC(=O)NC[C@@]1(O)CCN(C/C=C\Cl)C1 ZINC001484208170 896239491 /nfs/dbraw/zinc/23/94/91/896239491.db2.gz MJECJPFBEGXTKV-DXTPNHAXSA-N 0 1 288.775 0.495 20 30 CCEDMN C[C@@H](NCC#N)[C@@H]1CCN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001484344787 896350979 /nfs/dbraw/zinc/35/09/79/896350979.db2.gz ANWQTXVOSBHZMB-NXEZZACHSA-N 0 1 277.328 0.089 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)Cc2cnc[nH]2)CCO1 ZINC001484690011 896537763 /nfs/dbraw/zinc/53/77/63/896537763.db2.gz KJPMXZURPWUQOH-LBPRGKRZSA-N 0 1 298.774 0.522 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCN(C)C(=O)[C@H](F)CC ZINC001484755013 896588305 /nfs/dbraw/zinc/58/83/05/896588305.db2.gz NMDTZPBIXVPGGH-CHWSQXEVSA-N 0 1 299.390 0.653 20 30 CCEDMN CC#CCN1CC2(C1)CN(C(=O)C[N@H+](C)CCC)CCO2 ZINC001484778041 896597918 /nfs/dbraw/zinc/59/79/18/896597918.db2.gz DFTYUPJYZWAPBZ-UHFFFAOYSA-N 0 1 293.411 0.265 20 30 CCEDMN C[C@@H](CCNC(=O)CSCC#N)NCc1cnn(C)n1 ZINC001484988866 896695652 /nfs/dbraw/zinc/69/56/52/896695652.db2.gz LIKGKGAGXUFBCW-JTQLQIEISA-N 0 1 296.400 0.056 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)CCc2cnn(C)c2)C[C@H]1C ZINC001484986455 896696613 /nfs/dbraw/zinc/69/66/13/896696613.db2.gz RMJKGENJDYOVCN-UKRRQHHQSA-N 0 1 288.395 0.955 20 30 CCEDMN C[C@@H](CCNC(=O)c1[nH]nc2c1CCCC2)NCC#N ZINC001484995085 896713908 /nfs/dbraw/zinc/71/39/08/896713908.db2.gz KBGISGMLPSIAKA-JTQLQIEISA-N 0 1 275.356 0.910 20 30 CCEDMN COCC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](C)COC ZINC001485050537 896735393 /nfs/dbraw/zinc/73/53/93/896735393.db2.gz NYYWFNCBTDQHFP-GJZGRUSLSA-N 0 1 296.411 0.842 20 30 CCEDMN C[C@@H](NCc1cnns1)[C@H](C)NC(=O)CSCC#N ZINC001485124862 896799916 /nfs/dbraw/zinc/79/99/16/896799916.db2.gz NWANZPDNMLAVSN-BDAKNGLRSA-N 0 1 299.425 0.778 20 30 CCEDMN C#CC[N@H+](C)[C@H](C)CNC(=O)C[C@@](C)(O)C1CC1 ZINC001485297219 896926872 /nfs/dbraw/zinc/92/68/72/896926872.db2.gz VHTCIRLHGRITQK-BXUZGUMPSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C[C@@](C)(O)C1CC1 ZINC001485297219 896926882 /nfs/dbraw/zinc/92/68/82/896926882.db2.gz VHTCIRLHGRITQK-BXUZGUMPSA-N 0 1 252.358 0.607 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@H](C)CNC(=O)C#CC(C)(C)C ZINC001485299892 896931944 /nfs/dbraw/zinc/93/19/44/896931944.db2.gz MLHKJUSRELQRER-NEPJUHHUSA-N 0 1 281.400 0.736 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H](C)n2cncn2)C1 ZINC001485501223 897092561 /nfs/dbraw/zinc/09/25/61/897092561.db2.gz WCOKMVUSYQTAFB-VXGBXAGGSA-N 0 1 275.356 0.299 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@]2(CC)CCNC2=O)C1 ZINC001485508976 897096273 /nfs/dbraw/zinc/09/62/73/897096273.db2.gz GBEBWHHJUMUAMZ-NHYWBVRUSA-N 0 1 279.384 0.525 20 30 CCEDMN C#CCC[N@H+]1C[C@H](NC(=O)CO[C@@H]2CCOC2)C(C)(C)C1 ZINC001485568730 897119591 /nfs/dbraw/zinc/11/95/91/897119591.db2.gz GNOPAIPOYDKZDD-KGLIPLIRSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCN1CCO[C@](C)(CNC(=O)CCc2nc[nH]n2)C1 ZINC001107855102 897370310 /nfs/dbraw/zinc/37/03/10/897370310.db2.gz QNOJWBIBOFGWBQ-CQSZACIVSA-N 0 1 293.371 0.130 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](OC)C1CC1 ZINC001032380825 897379570 /nfs/dbraw/zinc/37/95/70/897379570.db2.gz BERHRSVVZYPNAX-MELADBBJSA-N 0 1 262.353 0.720 20 30 CCEDMN C[C@H](CNc1ncc(C#N)cc1F)NC(=O)c1ncn[nH]1 ZINC001107894299 897457164 /nfs/dbraw/zinc/45/71/64/897457164.db2.gz HGRIYSKLTFZZBV-SSDOTTSWSA-N 0 1 289.274 0.441 20 30 CCEDMN C[C@H](CNc1ncc(C#N)cc1F)NC(=O)c1nc[nH]n1 ZINC001107894299 897457169 /nfs/dbraw/zinc/45/71/69/897457169.db2.gz HGRIYSKLTFZZBV-SSDOTTSWSA-N 0 1 289.274 0.441 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001032404711 897466256 /nfs/dbraw/zinc/46/62/56/897466256.db2.gz UOGFVOPVNUASLT-RWMBFGLXSA-N 0 1 285.351 0.638 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CC(C)(C)O)C1 ZINC001107905585 897480072 /nfs/dbraw/zinc/48/00/72/897480072.db2.gz CFAGLJZYEWBNGG-HNNXBMFYSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCOCCC(=O)NC[C@@]1(C)CN(CC(C)C)CCO1 ZINC001107939699 897525050 /nfs/dbraw/zinc/52/50/50/897525050.db2.gz IRPGZVLITCOQOA-INIZCTEOSA-N 0 1 296.411 0.889 20 30 CCEDMN N#Cc1ccc(NC[C@@H]2CCN(C(=O)c3ccn[nH]3)C2)nn1 ZINC001060821187 897529862 /nfs/dbraw/zinc/52/98/62/897529862.db2.gz SPBDNCDYSPLBHI-JTQLQIEISA-N 0 1 297.322 0.646 20 30 CCEDMN C#CCOCCC(=O)NC[C@@]1(C)CN(CCC=C)CCO1 ZINC001107940220 897531077 /nfs/dbraw/zinc/53/10/77/897531077.db2.gz QHGHWZUSRUBYSO-INIZCTEOSA-N 0 1 294.395 0.810 20 30 CCEDMN N#Cc1cncc(NC[C@H]2CCN(C(=O)c3ccn[nH]3)C2)n1 ZINC001060821450 897531482 /nfs/dbraw/zinc/53/14/82/897531482.db2.gz YPQBDYWNKWOPML-SNVBAGLBSA-N 0 1 297.322 0.646 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(N)=O)o1 ZINC001032510675 897674064 /nfs/dbraw/zinc/67/40/64/897674064.db2.gz DQNBRFJRJQCWSP-QWRGUYRKSA-N 0 1 289.335 0.853 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)COC1CCOCC1 ZINC001032660181 897909718 /nfs/dbraw/zinc/90/97/18/897909718.db2.gz DNYYRGUJEYDFMS-KBPBESRZSA-N 0 1 292.379 0.490 20 30 CCEDMN Cn1cc(CN2CC[C@@]3(C2)CN(C)C(=O)CO3)cc1C#N ZINC001272820744 897955490 /nfs/dbraw/zinc/95/54/90/897955490.db2.gz DWOUKVGJURCHGA-HNNXBMFYSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cnn(CC)c2C)C1 ZINC001077886277 897996274 /nfs/dbraw/zinc/99/62/74/897996274.db2.gz SORQMKLHQOFCDB-ZIAGYGMSSA-N 0 1 290.367 0.010 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2n[nH]nc2C1 ZINC001032730352 898026472 /nfs/dbraw/zinc/02/64/72/898026472.db2.gz BKEFEBYCZURUNS-AVGNSLFASA-N 0 1 299.378 0.218 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3C[C@@H](C)O)cn1 ZINC001032739934 898048649 /nfs/dbraw/zinc/04/86/49/898048649.db2.gz QONCYDXNQYRNNM-UGFHNGPFSA-N 0 1 285.347 0.342 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2CCn1ccnc1 ZINC001272886454 898125428 /nfs/dbraw/zinc/12/54/28/898125428.db2.gz MMAQCGBIKHEQAB-APIJFGDWSA-N 0 1 299.378 0.718 20 30 CCEDMN C=CCN1CCO[C@](C)(CNC(=O)[C@H]2CCCCN2C)C1 ZINC001108031036 898246743 /nfs/dbraw/zinc/24/67/43/898246743.db2.gz WRFWKDLGXJBXCQ-GDBMZVCRSA-N 0 1 295.427 0.864 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2c(C)onc2CC)C1 ZINC001078167917 898278129 /nfs/dbraw/zinc/27/81/29/898278129.db2.gz WNUZKNATKKDZSR-CHWSQXEVSA-N 0 1 293.367 0.896 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CC[NH+](CC#C)CC1 ZINC001032800298 898370141 /nfs/dbraw/zinc/37/01/41/898370141.db2.gz GJZLFGOUTRQOPP-IRXDYDNUSA-N 0 1 299.418 0.640 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2C[C@@H](NCC#N)C23CCC3)n[nH]1 ZINC001078621285 898439179 /nfs/dbraw/zinc/43/91/79/898439179.db2.gz CSAWOFURCVLJLU-GHMZBOCLSA-N 0 1 288.355 0.196 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C[C@H]1C=CCC1 ZINC001485973037 898547620 /nfs/dbraw/zinc/54/76/20/898547620.db2.gz QVUZOBGWNRZOEP-QWHCGFSZSA-N 0 1 250.342 0.433 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1nc(C(C)C)oc1C ZINC001485997482 898582776 /nfs/dbraw/zinc/58/27/76/898582776.db2.gz OJZOZWZQLPXJDX-LLVKDONJSA-N 0 1 279.340 0.420 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1occ2c1CCC2 ZINC001486021292 898592379 /nfs/dbraw/zinc/59/23/79/898592379.db2.gz VEYJGLXXPMHOFB-LBPRGKRZSA-N 0 1 276.336 0.472 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H](CC)CCC ZINC001486049314 898613292 /nfs/dbraw/zinc/61/32/92/898613292.db2.gz ZONXEWHGZHZODH-QWHCGFSZSA-N 0 1 254.374 0.903 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc2oc(C)nc21 ZINC001486065062 898633694 /nfs/dbraw/zinc/63/36/94/898633694.db2.gz FATDSXYKIHENJG-NSHDSACASA-N 0 1 287.319 0.450 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCc1ccc(C)s1 ZINC001486068754 898636639 /nfs/dbraw/zinc/63/66/39/898636639.db2.gz YRAIJQBIHVOBIO-GFCCVEGCSA-N 0 1 280.393 0.689 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccnc(OC(C)C)c1 ZINC001486049558 898639761 /nfs/dbraw/zinc/63/97/61/898639761.db2.gz FRARWDZKBHBDLF-ZDUSSCGKSA-N 0 1 291.351 0.182 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C12CC3CC(CC(C3)C1)C2 ZINC001486054109 898641739 /nfs/dbraw/zinc/64/17/39/898641739.db2.gz PKKZGOWKDMMXPW-RDVIWEACSA-N 0 1 290.407 0.903 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CC2(O)CCC2)[C@@H]1C ZINC001486172965 898693875 /nfs/dbraw/zinc/69/38/75/898693875.db2.gz BFUVHGDZVCXOMB-STQMWFEESA-N 0 1 264.369 0.894 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCn2cnnn2)[C@@H]1C ZINC001486182996 898699808 /nfs/dbraw/zinc/69/98/08/898699808.db2.gz BTHNVOBHXJRYIJ-QWHCGFSZSA-N 0 1 290.371 0.056 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CC1(OC)CCC1 ZINC001486329992 898795705 /nfs/dbraw/zinc/79/57/05/898795705.db2.gz HVKQLEQYDQBNCK-CYBMUJFWSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001486338000 898804977 /nfs/dbraw/zinc/80/49/77/898804977.db2.gz QLASKRGMHQGKDA-FXUDXRNXSA-N 0 1 290.407 0.973 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C1(CF)CCC1 ZINC001486351178 898810545 /nfs/dbraw/zinc/81/05/45/898810545.db2.gz QFSUIYIFFQJSHB-CYBMUJFWSA-N 0 1 284.375 0.901 20 30 CCEDMN C#CCN(C)CCN(C(=O)c1cnn(C)c1OC)C(C)C ZINC001486379727 898842423 /nfs/dbraw/zinc/84/24/23/898842423.db2.gz PWNVTKFRAXBHQH-UHFFFAOYSA-N 0 1 292.383 0.844 20 30 CCEDMN C[C@H](CNC(=O)CN1CCCC1)N(C)C(=O)C#CC1CC1 ZINC001486873926 899059863 /nfs/dbraw/zinc/05/98/63/899059863.db2.gz FIZRLNDJDVKVAB-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H](CCCCNCC#N)NC(=O)c1[nH]ncc1F ZINC001175135548 899956859 /nfs/dbraw/zinc/95/68/59/899956859.db2.gz UHFUVEKMWQKGRL-VIFPVBQESA-N 0 1 267.308 0.951 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C[C@@](C)(O)C=C)C1 ZINC001489158053 900446129 /nfs/dbraw/zinc/44/61/29/900446129.db2.gz QIJUTTAPNYIJED-ZBFHGGJFSA-N 0 1 294.395 0.232 20 30 CCEDMN CN(CC#N)CCOCCN(C)C(=O)[C@H]1CCCCN1C ZINC001489214538 900450536 /nfs/dbraw/zinc/45/05/36/900450536.db2.gz SQUKHNUWVHRKOW-CQSZACIVSA-N 0 1 296.415 0.401 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C(=O)NCC(F)F)[C@H]1C ZINC001489276660 900461798 /nfs/dbraw/zinc/46/17/98/900461798.db2.gz UXYMUENJNYGKLZ-ZJUUUORDSA-N 0 1 289.326 0.523 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C1=COCCO1 ZINC001489315800 900464231 /nfs/dbraw/zinc/46/42/31/900464231.db2.gz CZSPDHCFSWGQCH-ZDUSSCGKSA-N 0 1 278.352 0.821 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001489831281 900523902 /nfs/dbraw/zinc/52/39/02/900523902.db2.gz VUGILLNLMWXKDM-AOOOYVTPSA-N 0 1 277.328 0.396 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H](F)C(C)C ZINC001490237517 900575339 /nfs/dbraw/zinc/57/53/39/900575339.db2.gz PVLAJUQYUYXWJJ-ZDUSSCGKSA-N 0 1 285.363 0.168 20 30 CCEDMN C=CCC1(C(=O)NCCCN(C)CC(=O)NC)CCC1 ZINC001490243444 900576218 /nfs/dbraw/zinc/57/62/18/900576218.db2.gz GJMMJLZDFGNROJ-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H](C)OCC)CC1 ZINC001490397821 900616331 /nfs/dbraw/zinc/61/63/31/900616331.db2.gz AYTMZTJIXTWXTM-GFCCVEGCSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cnc(C)cn2)CC1 ZINC001490479661 900634862 /nfs/dbraw/zinc/63/48/62/900634862.db2.gz WBWXVMKNJKTTCQ-UHFFFAOYSA-N 0 1 289.383 0.318 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@@H]2CCO[C@H]2C)CC1 ZINC001490473147 900635176 /nfs/dbraw/zinc/63/51/76/900635176.db2.gz YTAQDIQZDCIILA-LSDHHAIUSA-N 0 1 293.411 0.169 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCN1CCN(C[C@H](C)O)CC1 ZINC001490501446 900642454 /nfs/dbraw/zinc/64/24/54/900642454.db2.gz VGYBTIDWFKJWNA-GOEBONIOSA-N 0 1 297.443 0.703 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2nccn2C)C1 ZINC001490553206 900663724 /nfs/dbraw/zinc/66/37/24/900663724.db2.gz NGFUPYFIVPTEON-CYBMUJFWSA-N 0 1 274.368 0.567 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](NC(=O)[C@H](C)C#N)CC1 ZINC001280706969 900939346 /nfs/dbraw/zinc/93/93/46/900939346.db2.gz FEADXGSAPAKPKT-CHWSQXEVSA-N 0 1 292.383 0.419 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001492867767 914523021 /nfs/dbraw/zinc/52/30/21/914523021.db2.gz QPIQZDDBADKSTR-LLVKDONJSA-N 0 1 260.341 0.196 20 30 CCEDMN Cc1c(C(=O)N[C@@H](C)c2nnc[nH]2)cnn1CCC#N ZINC001411943864 901346577 /nfs/dbraw/zinc/34/65/77/901346577.db2.gz MJPKFPRTWJPDKJ-QMMMGPOBSA-N 0 1 273.300 0.714 20 30 CCEDMN N#Cc1cc(C(=O)N2CCOC[C@H]2c2nn[nH]n2)cs1 ZINC001412429243 901714545 /nfs/dbraw/zinc/71/45/45/901714545.db2.gz CUZWLFQPGBMOBW-VIFPVBQESA-N 0 1 290.308 0.347 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)[C@@H](O)c1cccnc1 ZINC001412460963 901739268 /nfs/dbraw/zinc/73/92/68/901739268.db2.gz PMKSNORALKABKS-WCQGTBRESA-N 0 1 291.307 0.323 20 30 CCEDMN Cc1nn(C)c(N2CCN([C@H](C)[C@H](C)O)CC2)c1C#N ZINC001412981129 902435896 /nfs/dbraw/zinc/43/58/96/902435896.db2.gz PCBVSORYFQFKRU-NEPJUHHUSA-N 0 1 277.372 0.492 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cccc(=O)n1C ZINC001038686527 902652402 /nfs/dbraw/zinc/65/24/02/902652402.db2.gz GISMAGFAUTUQIG-GFCCVEGCSA-N 0 1 273.336 0.213 20 30 CCEDMN N#CC1(CN[C@@H]2CCCS(=O)(=O)CC2)CCOCC1 ZINC001413260363 902827615 /nfs/dbraw/zinc/82/76/15/902827615.db2.gz WFTFMJMZHHDMCK-GFCCVEGCSA-N 0 1 286.397 0.864 20 30 CCEDMN CCn1ncn(NC(=O)N[C@H](CC#N)C(F)(F)F)c1=O ZINC001413646537 903140815 /nfs/dbraw/zinc/14/08/15/903140815.db2.gz MMGZDYSUMLGLGK-ZCFIWIBFSA-N 0 1 292.221 0.162 20 30 CCEDMN C[C@H](CC#N)NC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001413676306 903167815 /nfs/dbraw/zinc/16/78/15/903167815.db2.gz GFYJHUGLRUNBFW-ZCFIWIBFSA-N 0 1 277.288 0.121 20 30 CCEDMN CCN(C)C(=O)CN1CCC[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001491104048 903363252 /nfs/dbraw/zinc/36/32/52/903363252.db2.gz YIBIGDQQAHLCBL-CHWSQXEVSA-N 0 1 294.399 0.453 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)NCc1ccn(C)n1 ZINC001491414369 903559739 /nfs/dbraw/zinc/55/97/39/903559739.db2.gz JVXYHEKLLIGOTL-NSHDSACASA-N 0 1 266.345 0.217 20 30 CCEDMN C#CCN1CC[C@@H](CNC(=O)C(=O)C(C)(C)C)C1 ZINC001493186464 903662717 /nfs/dbraw/zinc/66/27/17/903662717.db2.gz XZONPKUEWUNNJV-NSHDSACASA-N 0 1 250.342 0.673 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](COC)OC ZINC001329538214 903807174 /nfs/dbraw/zinc/80/71/74/903807174.db2.gz AKPULHGIVOZQHQ-VXGBXAGGSA-N 0 1 256.346 0.108 20 30 CCEDMN C#C[C@@H](Oc1nc(C)cc(O)c1[N+](=O)[O-])C(=O)OCC ZINC001231264867 903933653 /nfs/dbraw/zinc/93/36/53/903933653.db2.gz VIJRYZATMYPALY-SECBINFHSA-N 0 1 280.236 0.948 20 30 CCEDMN C[C@H](C(N)=O)N1CCC[C@H](NC(=O)C#CC(C)(C)C)CC1 ZINC001280733305 904144490 /nfs/dbraw/zinc/14/44/90/904144490.db2.gz NWQRWEMXNFSYOG-OLZOCXBDSA-N 0 1 293.411 0.880 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H](NC(=O)c2ncn[nH]2)C[C@H]1C ZINC001281169352 904238066 /nfs/dbraw/zinc/23/80/66/904238066.db2.gz FCFLMJDGMUWOJL-GHMZBOCLSA-N 0 1 291.355 0.880 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H](NC(=O)c2nc[nH]n2)C[C@H]1C ZINC001281169352 904238078 /nfs/dbraw/zinc/23/80/78/904238078.db2.gz FCFLMJDGMUWOJL-GHMZBOCLSA-N 0 1 291.355 0.880 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C1(C(N)=O)CC1 ZINC001281912234 904364075 /nfs/dbraw/zinc/36/40/75/904364075.db2.gz IBOVVWGKJYJQIU-ZIAGYGMSSA-N 0 1 297.358 0.257 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ncc(OC)cn1 ZINC001281950145 904373827 /nfs/dbraw/zinc/37/38/27/904373827.db2.gz QXYVYYDZUFTTSZ-GFCCVEGCSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC1CC(CNCc2cnon2)C1 ZINC001282001964 904385300 /nfs/dbraw/zinc/38/53/00/904385300.db2.gz BJUZVCXHPDFTNN-VOMCLLRMSA-N 0 1 292.339 0.092 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cnns1 ZINC001282355318 904451985 /nfs/dbraw/zinc/45/19/85/904451985.db2.gz KAHNUXXHSCFWKQ-VIFPVBQESA-N 0 1 252.343 0.612 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)N(C)[C@@H](CC)C(N)=O ZINC001282379018 904465260 /nfs/dbraw/zinc/46/52/60/904465260.db2.gz YMYCZNGEHNTWFT-NEPJUHHUSA-N 0 1 267.373 0.490 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H](OCC)C1CCOCC1 ZINC001282432947 904473973 /nfs/dbraw/zinc/47/39/73/904473973.db2.gz KGACABBOYYDADW-DZGCQCFKSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H](CC)N1CCCC1=O ZINC001282493720 904490190 /nfs/dbraw/zinc/49/01/90/904490190.db2.gz ITWBCRMUKFMROY-OLZOCXBDSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001282700630 904524135 /nfs/dbraw/zinc/52/41/35/904524135.db2.gz BGIGNYIERYQTBR-BLLLJJGKSA-N 0 1 278.396 0.997 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N[C@@H](C)C1CN(CC#N)C1 ZINC001282716789 904529927 /nfs/dbraw/zinc/52/99/27/904529927.db2.gz MYYMORKETOOBNU-WCQYABFASA-N 0 1 284.379 0.626 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H]1[C@H]2CN([C@H](C)C(N)=O)C[C@H]21 ZINC001282876564 904558081 /nfs/dbraw/zinc/55/80/81/904558081.db2.gz NCBRBDOCPAGHLE-SYQHCUMBSA-N 0 1 291.395 0.348 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001283329808 904805477 /nfs/dbraw/zinc/80/54/77/904805477.db2.gz DFCOJXQTYHDGIV-LLVKDONJSA-N 0 1 292.339 0.333 20 30 CCEDMN C#CC[NH2+][C@@H](C)CN(C)C(=O)c1cccc2nn[n-]c21 ZINC001283619344 904935336 /nfs/dbraw/zinc/93/53/36/904935336.db2.gz ORVHKNINJOSURV-JTQLQIEISA-N 0 1 271.324 0.641 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1CCCCC1 ZINC001283731743 904975472 /nfs/dbraw/zinc/97/54/72/904975472.db2.gz BKCFUAJBBHBYGP-ZDUSSCGKSA-N 0 1 252.358 0.657 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](F)CC1CCCCC1 ZINC001283818964 905034593 /nfs/dbraw/zinc/03/45/93/905034593.db2.gz SEGJEAUFCJAWQA-ZIAGYGMSSA-N 0 1 284.375 0.995 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1CC2CCC1CC2 ZINC001283830735 905045309 /nfs/dbraw/zinc/04/53/09/905045309.db2.gz FSSWESKMZFRUBN-CLRIEMFWSA-N 0 1 264.369 0.513 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H](C)Cc1ccccc1 ZINC001283832613 905048080 /nfs/dbraw/zinc/04/80/80/905048080.db2.gz VNDBIXDOIQURGI-GDBMZVCRSA-N 0 1 288.391 0.955 20 30 CCEDMN C[C@H](CNC(=O)CCc1cnc[nH]1)NC(=O)C#CC1CC1 ZINC001284265034 905226621 /nfs/dbraw/zinc/22/66/21/905226621.db2.gz LFTRIPZPKPFOGS-LLVKDONJSA-N 0 1 288.351 0.377 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCN(C)C(=O)c1ccn[nH]1 ZINC001284503529 905334813 /nfs/dbraw/zinc/33/48/13/905334813.db2.gz ZYNTVMJTOSCVBT-LLVKDONJSA-N 0 1 294.355 0.579 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C[C@H]1CCNC1=O ZINC001378245965 905535019 /nfs/dbraw/zinc/53/50/19/905535019.db2.gz ASIKUAJRRCIHJJ-GHMZBOCLSA-N 0 1 287.791 0.750 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C[C@H]1CCNC1=O ZINC001378245976 905535250 /nfs/dbraw/zinc/53/52/50/905535250.db2.gz ASIKUAJRRCIHJJ-MNOVXSKESA-N 0 1 287.791 0.750 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)Nc2ccccn2)CC1 ZINC001337064193 921174570 /nfs/dbraw/zinc/17/45/70/921174570.db2.gz ILUQRTISEGHPRU-UHFFFAOYSA-N 0 1 286.335 0.234 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H]1CNC(=O)[C@H]1CCCN1C ZINC001285840530 905779874 /nfs/dbraw/zinc/77/98/74/905779874.db2.gz HQZGCRUHEVAXCH-ZIAGYGMSSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC1(CNC(=O)c2ncn[nH]2)CC1 ZINC001285952544 905820694 /nfs/dbraw/zinc/82/06/94/905820694.db2.gz JVBLRMSQNLSBFS-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC1(CNC(=O)c2nc[nH]n2)CC1 ZINC001285952544 905820702 /nfs/dbraw/zinc/82/07/02/905820702.db2.gz JVBLRMSQNLSBFS-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@H](C)C#N)NCc1cn(C)nn1 ZINC001378957625 905901148 /nfs/dbraw/zinc/90/11/48/905901148.db2.gz AZTZSLNVHBNOMS-PWSUYJOCSA-N 0 1 278.360 0.205 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)[C@H]1C ZINC001287868151 905985435 /nfs/dbraw/zinc/98/54/35/905985435.db2.gz YQGXUFVBHSSDFC-NXEZZACHSA-N 0 1 277.328 0.490 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)[C@H]1C ZINC001287868151 905985447 /nfs/dbraw/zinc/98/54/47/905985447.db2.gz YQGXUFVBHSSDFC-NXEZZACHSA-N 0 1 277.328 0.490 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccnc(C)c1 ZINC001379264178 906103822 /nfs/dbraw/zinc/10/38/22/906103822.db2.gz SCPVMLLEAHSFPX-LBPRGKRZSA-N 0 1 283.759 0.823 20 30 CCEDMN O=C(C#CC1CC1)NCCNC(=O)c1cccc2nc[nH]c21 ZINC001292714227 906330293 /nfs/dbraw/zinc/33/02/93/906330293.db2.gz CXBAMXFWBBDJSN-UHFFFAOYSA-N 0 1 296.330 0.822 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NC(=O)c1ncn[nH]1 ZINC001337351069 921239733 /nfs/dbraw/zinc/23/97/33/921239733.db2.gz ZVYGZXYQYLRTFV-JTQLQIEISA-N 0 1 293.371 0.984 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@H](C)NC(=O)c1nc[nH]n1 ZINC001337351069 921239739 /nfs/dbraw/zinc/23/97/39/921239739.db2.gz ZVYGZXYQYLRTFV-JTQLQIEISA-N 0 1 293.371 0.984 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)CCN1CC[C@@H](F)C1 ZINC001294686614 906615893 /nfs/dbraw/zinc/61/58/93/906615893.db2.gz ZAMMEVUKSUXABO-ZYHUDNBSSA-N 0 1 257.309 0.465 20 30 CCEDMN O=C(NCC#C[C@H]1CCCCO1)c1cnncc1O ZINC001337460702 921254900 /nfs/dbraw/zinc/25/49/00/921254900.db2.gz ZTYFEMNXTBYFEF-SNVBAGLBSA-N 0 1 261.281 0.485 20 30 CCEDMN C#CCCCC(=O)NCC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001295846922 906814386 /nfs/dbraw/zinc/81/43/86/906814386.db2.gz XWHGAYAJQNWKIC-SNVBAGLBSA-N 0 1 277.328 0.233 20 30 CCEDMN C=C1CC(C)(C(=O)NCC[C@@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001295914811 906822891 /nfs/dbraw/zinc/82/28/91/906822891.db2.gz WTRDTQAKXAEOMF-SNVBAGLBSA-N 0 1 291.355 0.786 20 30 CCEDMN C=C1CC(C)(C(=O)NCC[C@@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001295914811 906822904 /nfs/dbraw/zinc/82/29/04/906822904.db2.gz WTRDTQAKXAEOMF-SNVBAGLBSA-N 0 1 291.355 0.786 20 30 CCEDMN C#CCCCC(=O)NCCN(C)C(=O)c1cc(C)[nH]n1 ZINC001296049186 906854696 /nfs/dbraw/zinc/85/46/96/906854696.db2.gz NBYNIAFXRBMCOJ-UHFFFAOYSA-N 0 1 276.340 0.710 20 30 CCEDMN C=C1CC(C)(C(=O)NCCN(C)C(=O)Cc2ncn[nH]2)C1 ZINC001296226994 906881923 /nfs/dbraw/zinc/88/19/23/906881923.db2.gz CCZJUPQZIVVHOV-UHFFFAOYSA-N 0 1 291.355 0.278 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)[C@@H]2CCOC2)CCO1 ZINC001380902125 906986539 /nfs/dbraw/zinc/98/65/39/906986539.db2.gz JMKXSMFZUMZKDP-VXGBXAGGSA-N 0 1 288.775 0.592 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCN(CC(=O)NC2CC2)CC1 ZINC001380927515 906992470 /nfs/dbraw/zinc/99/24/70/906992470.db2.gz RIEBPOWDKPYICV-NWDGAFQWSA-N 0 1 292.383 0.395 20 30 CCEDMN N#CCC[C@H](C#N)CNCC[C@@H]1CCCS1(=O)=O ZINC001337779364 921292877 /nfs/dbraw/zinc/29/28/77/921292877.db2.gz IXADEFYPLDKWIJ-NEPJUHHUSA-N 0 1 269.370 0.987 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]1CNC(=O)[C@H]1CCCN1C ZINC001298998127 907365642 /nfs/dbraw/zinc/36/56/42/907365642.db2.gz UQUYHKDVIFJDAZ-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CNC(C)=O)[C@H]1C ZINC001382293549 907666723 /nfs/dbraw/zinc/66/67/23/907666723.db2.gz VIYZOCNRLVMTGN-ONGXEEELSA-N 0 1 273.764 0.454 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(Br)n[nH]1 ZINC001492276079 907825643 /nfs/dbraw/zinc/82/56/43/907825643.db2.gz JBJYDDMZCKMQNS-UHFFFAOYSA-N 0 1 285.145 0.467 20 30 CCEDMN C#CCN(C)CCNC(=O)C1(c2cccnc2)CC1 ZINC001492385271 907888130 /nfs/dbraw/zinc/88/81/30/907888130.db2.gz WVVJSUHYKHPVIV-UHFFFAOYSA-N 0 1 257.337 0.794 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H]1CC12CCC2 ZINC001492435694 907920701 /nfs/dbraw/zinc/92/07/01/907920701.db2.gz WQYKJESCIYCKFF-CYBMUJFWSA-N 0 1 264.369 0.874 20 30 CCEDMN C[C@H](Nc1cccnc1C#N)[C@H]1CN(C)CCN1C ZINC001338084276 921365445 /nfs/dbraw/zinc/36/54/45/921365445.db2.gz MFIMEQYYPBHJAN-SMDDNHRTSA-N 0 1 259.357 0.999 20 30 CCEDMN Cc1cc(CN[C@H](C)CNC(=O)C#CC2CC2)nn1C ZINC001317240619 907989576 /nfs/dbraw/zinc/98/95/76/907989576.db2.gz YKSWGCZVTGFYGV-LLVKDONJSA-N 0 1 274.368 0.736 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)Cc1n[nH]c(C2CC2)n1 ZINC001301823233 907993100 /nfs/dbraw/zinc/99/31/00/907993100.db2.gz VIRDZTFUKMONBB-JTQLQIEISA-N 0 1 262.313 0.379 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC#CCN(C)C ZINC001302294794 908013775 /nfs/dbraw/zinc/01/37/75/908013775.db2.gz AOABIZZCBKGNOD-STQMWFEESA-N 0 1 250.342 0.649 20 30 CCEDMN Cc1cc(C(=O)N2C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001384149651 908170760 /nfs/dbraw/zinc/17/07/60/908170760.db2.gz AKZRPHBUZHYNQZ-YGOYTEALSA-N 0 1 289.339 0.455 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2C(=O)C#CC(C)C)n[nH]1 ZINC001305722917 908211704 /nfs/dbraw/zinc/21/17/04/908211704.db2.gz JCSRDCZQYMKXDG-MNOVXSKESA-N 0 1 276.340 0.661 20 30 CCEDMN CCn1c(SCc2ncc(C#N)cn2)n[nH]c1=O ZINC001307254169 908299144 /nfs/dbraw/zinc/29/91/44/908299144.db2.gz WFUAZQTWEKSMFQ-UHFFFAOYSA-N 0 1 262.298 0.958 20 30 CCEDMN N#Cc1c[n-]c(=O)n(Cc2cn3ccccc3[nH+]2)c1=O ZINC001307359217 908308912 /nfs/dbraw/zinc/30/89/12/908308912.db2.gz BSRGQHOXAKCSMW-UHFFFAOYSA-N 0 1 267.248 0.104 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@@]2(CCOC2=O)C1 ZINC001308171379 908397237 /nfs/dbraw/zinc/39/72/37/908397237.db2.gz VKDHJBHOBAOIAK-ZWNOBZJWSA-N 0 1 253.298 0.743 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1nc([C@@H](C)OCC)no1 ZINC001339984583 908496181 /nfs/dbraw/zinc/49/61/81/908496181.db2.gz BUKKGWXBHMAQJZ-SNVBAGLBSA-N 0 1 280.328 0.348 20 30 CCEDMN CSCCCCNC(=O)NCC#CCN(C)C ZINC001312044652 908581386 /nfs/dbraw/zinc/58/13/86/908581386.db2.gz LTQQXJWVDNLQRS-UHFFFAOYSA-N 0 1 257.403 0.994 20 30 CCEDMN C[C@H](CN(C)C(=O)CSCC#N)c1nn[nH]n1 ZINC001338288972 921421638 /nfs/dbraw/zinc/42/16/38/921421638.db2.gz TUDBVOKYUFIYIL-SSDOTTSWSA-N 0 1 254.319 0.018 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H]1C[C@H]1C1CCOCC1 ZINC001313178160 908655148 /nfs/dbraw/zinc/65/51/48/908655148.db2.gz WXHBUZRNSKIYKN-GJZGRUSLSA-N 0 1 293.411 0.913 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H](C)C2CC2)CC1 ZINC001316963114 908701756 /nfs/dbraw/zinc/70/17/56/908701756.db2.gz GCYOHLQUCZEROB-ZDUSSCGKSA-N 0 1 265.401 0.952 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cn[nH]c(=O)c1 ZINC001316802087 908778279 /nfs/dbraw/zinc/77/82/79/908778279.db2.gz CLJNUEIAQQFECO-ZDUSSCGKSA-N 0 1 288.351 0.742 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@H](OC)C2CC2)CC1 ZINC001316964096 908873642 /nfs/dbraw/zinc/87/36/42/908873642.db2.gz UNQCXYOIRYLKBW-HNNXBMFYSA-N 0 1 295.427 0.721 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCn2cc(Cl)cn2)C1 ZINC001316995714 908904922 /nfs/dbraw/zinc/90/49/22/908904922.db2.gz ROEHNTOPDRKHCB-LBPRGKRZSA-N 0 1 280.759 0.750 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@H](NCc2ncnn2C)C1 ZINC001317075121 908963651 /nfs/dbraw/zinc/96/36/51/908963651.db2.gz UEVIGXPSUFBZLS-HAQNSBGRSA-N 0 1 275.356 0.355 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)[C@H]1CCCN(CC(N)=O)C1 ZINC001317211006 909047536 /nfs/dbraw/zinc/04/75/36/909047536.db2.gz WFLDGCPTLIWKRB-KGLIPLIRSA-N 0 1 293.411 0.882 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccncn1 ZINC001317277758 909111028 /nfs/dbraw/zinc/11/10/28/909111028.db2.gz ULFVMQGNBJQJDZ-SECBINFHSA-N 0 1 254.721 0.937 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](C)CC(N)=O ZINC001317253232 909095617 /nfs/dbraw/zinc/09/56/17/909095617.db2.gz CLRZHBVZGJLKGK-VXNVDRBHSA-N 0 1 261.753 0.345 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H](C)NCc2cnnn2C)nc1 ZINC001317282501 909112361 /nfs/dbraw/zinc/11/23/61/909112361.db2.gz JAGRLYBZEFPESJ-LLVKDONJSA-N 0 1 298.350 0.100 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@@H]2CNC(=O)[C@@H](C)C#N)[nH]1 ZINC001387394932 909123110 /nfs/dbraw/zinc/12/31/10/909123110.db2.gz ZVGDEUOBVFBAMQ-GXSJLCMTSA-N 0 1 289.339 0.599 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001317373374 909159597 /nfs/dbraw/zinc/15/95/97/909159597.db2.gz NVJVWHCZHQTRPJ-OLZOCXBDSA-N 0 1 279.384 0.442 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC[C@@H](C)NCc1nnc(C)[nH]1 ZINC001317390543 909170262 /nfs/dbraw/zinc/17/02/62/909170262.db2.gz QJWFQAZUJJTIIV-QMTHXVAHSA-N 0 1 295.387 0.425 20 30 CCEDMN C=CCN(C)CCNC(=O)C(=O)N1CCC[C@H](C)C1 ZINC001317463597 909242011 /nfs/dbraw/zinc/24/20/11/909242011.db2.gz HRDYWYKGNCPUTL-LBPRGKRZSA-N 0 1 267.373 0.479 20 30 CCEDMN CCc1nc(C)c(C(=O)NCCN(C)CC#CCOC)o1 ZINC001317465686 909245819 /nfs/dbraw/zinc/24/58/19/909245819.db2.gz QRPLOWWZMIHNCJ-UHFFFAOYSA-N 0 1 293.367 0.857 20 30 CCEDMN C=CC[N@@H+](C)CCNC(=O)C(C)(C)[N-]C(=O)C(F)(F)F ZINC001317471349 909249748 /nfs/dbraw/zinc/24/97/48/909249748.db2.gz GCHOFWKGSGVNRK-UHFFFAOYSA-N 0 1 295.305 0.678 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cccc2[nH]c(=O)[nH]c21 ZINC001317479625 909251263 /nfs/dbraw/zinc/25/12/63/909251263.db2.gz JEEPQYDSKWAWNN-UHFFFAOYSA-N 0 1 286.335 0.953 20 30 CCEDMN CCN(CCNC(=O)C#CC(C)C)[C@@H]1CCCN(C)C1=O ZINC001317480698 909252238 /nfs/dbraw/zinc/25/22/38/909252238.db2.gz WNYLAAGTFMQCSV-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H](OC)c1cnn(C)c1 ZINC001317482589 909255935 /nfs/dbraw/zinc/25/59/35/909255935.db2.gz WMRCWNVBMANMEF-CQSZACIVSA-N 0 1 292.383 0.569 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCc1ccon1 ZINC001317501127 909272095 /nfs/dbraw/zinc/27/20/95/909272095.db2.gz JQDOGNQAITWFRU-ZDUSSCGKSA-N 0 1 261.325 0.821 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](CNC(=O)/C(C)=C/C)C1 ZINC001317525807 909291210 /nfs/dbraw/zinc/29/12/10/909291210.db2.gz ZHYMWEMNXOUNTQ-GNZFURHMSA-N 0 1 291.395 0.529 20 30 CCEDMN C#CCN(CCNC(=O)Cc1ncccc1OC)C1CC1 ZINC001317555424 909333254 /nfs/dbraw/zinc/33/32/54/909333254.db2.gz RQNLOUBGSLNKHV-UHFFFAOYSA-N 0 1 287.363 0.847 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@H]1CCC(=O)N1C)c1ccccc1 ZINC001317722518 909477856 /nfs/dbraw/zinc/47/78/56/909477856.db2.gz VLRGWVZPXAYVEA-LSDHHAIUSA-N 0 1 299.374 0.688 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)[C@H](COC)OC)C1 ZINC001317945151 909551227 /nfs/dbraw/zinc/55/12/27/909551227.db2.gz YSLJALYISOAWFB-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@@H](C)OC)C1 ZINC001318108800 909623391 /nfs/dbraw/zinc/62/33/91/909623391.db2.gz UAPUCYOITPKJMV-LLVKDONJSA-N 0 1 256.346 0.272 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2(O)CCC2)C1 ZINC001318249967 909676120 /nfs/dbraw/zinc/67/61/20/909676120.db2.gz ISYQERLDZDAGQU-LBPRGKRZSA-N 0 1 250.342 0.505 20 30 CCEDMN COCCCCC(=O)NC1(C#N)CCN(C)CC1 ZINC001318433809 909756841 /nfs/dbraw/zinc/75/68/41/909756841.db2.gz PHFYZRSBBFUWDG-UHFFFAOYSA-N 0 1 253.346 0.907 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1(C(=O)NC)CC1 ZINC001318582880 909825214 /nfs/dbraw/zinc/82/52/14/909825214.db2.gz NRQXDFIVSVATRY-SNVBAGLBSA-N 0 1 287.791 0.750 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC(N(C)C(=O)[C@H](C)C#N)CC1 ZINC001389332063 909831021 /nfs/dbraw/zinc/83/10/21/909831021.db2.gz OTXYTBORJXMHGO-ZYHUDNBSSA-N 0 1 280.372 0.333 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H](C)NC(C)=O)C1CC1 ZINC001389555414 909966915 /nfs/dbraw/zinc/96/69/15/909966915.db2.gz QJYWNDIIOFSSBT-SKDRFNHKSA-N 0 1 287.791 0.748 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H]1CN(CCCC)CCO1 ZINC001319306343 910084888 /nfs/dbraw/zinc/08/48/88/910084888.db2.gz TZEZROYLVLFYOS-ZFWWWQNUSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCCCCC(=O)N(C)[C@H](C)CNCc1cnnn1C ZINC001319447569 910156412 /nfs/dbraw/zinc/15/64/12/910156412.db2.gz ALVOXUYDCCVYTB-CYBMUJFWSA-N 0 1 291.399 0.945 20 30 CCEDMN C[C@H](NS(=O)(=O)CCCCC#N)c1nnc[nH]1 ZINC001319810358 910305035 /nfs/dbraw/zinc/30/50/35/910305035.db2.gz HLIRMTLAUMHZLI-QMMMGPOBSA-N 0 1 257.319 0.479 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@@H](C)Cc1cnc[nH]1)NCC#N ZINC001319946476 910364123 /nfs/dbraw/zinc/36/41/23/910364123.db2.gz HERXCBRNTBBWMP-AAEUAGOBSA-N 0 1 277.372 0.842 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1c(C)nn2cccnc12 ZINC001320086207 910458452 /nfs/dbraw/zinc/45/84/52/910458452.db2.gz YZKZKILCWQFSRR-UHFFFAOYSA-N 0 1 285.351 0.675 20 30 CCEDMN COCC#CC[N@H+]1CC[C@@H]2CN(C(=O)COC)CC[C@@H]21 ZINC001320098755 910461981 /nfs/dbraw/zinc/46/19/81/910461981.db2.gz YLLDHTYRRFVTGK-KGLIPLIRSA-N 0 1 280.368 0.205 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H]1CC1(F)F ZINC001320213191 910526130 /nfs/dbraw/zinc/52/61/30/910526130.db2.gz FPSCIPAYEQYVCA-SFYZADRCSA-N 0 1 268.691 0.461 20 30 CCEDMN C#CCCCC(=O)NC[C@H](O)CNCc1ccccc1C#N ZINC001320215653 910529116 /nfs/dbraw/zinc/52/91/16/910529116.db2.gz UBGIXVGPFCHQQT-MRXNPFEDSA-N 0 1 299.374 0.928 20 30 CCEDMN Cc1cnc(CN[C@H](C)CCNC(=O)[C@@H](C)C#N)nc1 ZINC001390647064 910565038 /nfs/dbraw/zinc/56/50/38/910565038.db2.gz OLLABGWNAJOZLL-NWDGAFQWSA-N 0 1 275.356 0.929 20 30 CCEDMN C=C[C@@H](COC)NC(=O)CCCCc1cn[nH]n1 ZINC001320323615 910610080 /nfs/dbraw/zinc/61/00/80/910610080.db2.gz JGICVSBYTHXQFY-JTQLQIEISA-N 0 1 252.318 0.835 20 30 CCEDMN CCn1cc(CNCC[C@H](C)NC(=O)[C@H](C)C#N)nn1 ZINC001320371911 910639530 /nfs/dbraw/zinc/63/95/30/910639530.db2.gz MZJJJJHIBZAOGC-MNOVXSKESA-N 0 1 278.360 0.442 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](CO)C2)CC1 ZINC001320387766 910652308 /nfs/dbraw/zinc/65/23/08/910652308.db2.gz QVCRQLROODWOBA-GFCCVEGCSA-N 0 1 250.342 0.172 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)CC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001320516416 910717668 /nfs/dbraw/zinc/71/76/68/910717668.db2.gz HZNZSVXMZQEVMZ-SNVBAGLBSA-N 0 1 295.387 0.305 20 30 CCEDMN Cc1ncc(CO)c(C=NNc2ccn(C)n2)c1O ZINC001338903751 921599967 /nfs/dbraw/zinc/59/99/67/921599967.db2.gz VDRVMYMTMMJWQG-UHFFFAOYSA-N 0 1 261.285 0.767 20 30 CCEDMN C#Cc1ccc(C(=O)NC/C=C/CNCC(=O)NC)cc1 ZINC001321191830 911151380 /nfs/dbraw/zinc/15/13/80/911151380.db2.gz IPESGBASCILLSR-SNAWJCMRSA-N 0 1 285.347 0.290 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NC/C=C\CNCC#N ZINC001321284598 911204295 /nfs/dbraw/zinc/20/42/95/911204295.db2.gz GOZCWGLTJPWSOO-OTDNITJGSA-N 0 1 261.329 0.374 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@]3(CNC(=O)C3)C2)CC1 ZINC001321425258 911291403 /nfs/dbraw/zinc/29/14/03/911291403.db2.gz BASMSYRGELPHOP-INIZCTEOSA-N 0 1 289.379 0.070 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](C)S(C)(=O)=O ZINC001391698482 911334664 /nfs/dbraw/zinc/33/46/64/911334664.db2.gz COZSGRNCPBSOFM-VHSXEESVSA-N 0 1 296.820 0.656 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](C)CC(N)=O ZINC001321610274 911398914 /nfs/dbraw/zinc/39/89/14/911398914.db2.gz XBSACTQQLIPHMK-IONNQARKSA-N 0 1 261.753 0.345 20 30 CCEDMN CNC(=O)CNCc1ccc(CNC(=O)[C@@H](C)C#N)cc1 ZINC001391829649 911416304 /nfs/dbraw/zinc/41/63/04/911416304.db2.gz UTKJNZDEDNYDJC-NSHDSACASA-N 0 1 288.351 0.298 20 30 CCEDMN CC[C@H](OC)C(=O)N[C@H](C)CN(C)CC#CCOC ZINC001322120628 911665637 /nfs/dbraw/zinc/66/56/37/911665637.db2.gz IXEARROZRZDPGC-OLZOCXBDSA-N 0 1 270.373 0.498 20 30 CCEDMN CC[C@H](OC)C(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001322120964 911667421 /nfs/dbraw/zinc/66/74/21/911667421.db2.gz IXEARROZRZDPGC-STQMWFEESA-N 0 1 270.373 0.498 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H](C)n1cncn1 ZINC001322144624 911678650 /nfs/dbraw/zinc/67/86/50/911678650.db2.gz MUIJMDAFFGQYMD-NEPJUHHUSA-N 0 1 263.345 0.299 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1OCC[C@H]1CC ZINC001322178654 911698338 /nfs/dbraw/zinc/69/83/38/911698338.db2.gz YGNHPLGCHNASGJ-UPJWGTAASA-N 0 1 252.358 0.871 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cnn2ccncc12 ZINC001322177083 911699115 /nfs/dbraw/zinc/69/91/15/911699115.db2.gz SHMGJQJRKIYSBI-GFCCVEGCSA-N 0 1 285.351 0.803 20 30 CCEDMN CC#CC[N@H+](C)C[C@@H](C)NC(=O)[C@@H](CCC)NC(N)=O ZINC001322287324 911747384 /nfs/dbraw/zinc/74/73/84/911747384.db2.gz YPQLERHIFHRZKX-VXGBXAGGSA-N 0 1 282.388 0.283 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](CCC)NC(N)=O ZINC001322287324 911747400 /nfs/dbraw/zinc/74/74/00/911747400.db2.gz YPQLERHIFHRZKX-VXGBXAGGSA-N 0 1 282.388 0.283 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1cnc(C)o1 ZINC001392372947 911764249 /nfs/dbraw/zinc/76/42/49/911764249.db2.gz ITLJFCCIZCKTJN-SNVBAGLBSA-N 0 1 287.747 0.345 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCc2cnccn2)CC1 ZINC001322415985 911791265 /nfs/dbraw/zinc/79/12/65/911791265.db2.gz HSZHLQRNFWNUHE-UHFFFAOYSA-N 0 1 273.340 0.513 20 30 CCEDMN COC(=O)N1CCCN(CCO[C@H](C)C#N)CC1 ZINC001322745355 911933199 /nfs/dbraw/zinc/93/31/99/911933199.db2.gz NKJPOIVKLNYNCF-LLVKDONJSA-N 0 1 255.318 0.689 20 30 CCEDMN N#CCCNC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC001339408641 921718331 /nfs/dbraw/zinc/71/83/31/921718331.db2.gz XMCUWJBEICFGHB-UHFFFAOYSA-N 0 1 284.279 0.236 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](CNCC#N)C1CC1 ZINC001323079658 912106414 /nfs/dbraw/zinc/10/64/14/912106414.db2.gz BDKITXLWORPVAP-MFKMUULPSA-N 0 1 275.356 0.596 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)C1(C(=O)NC)CC1)C1CC1 ZINC001323080448 912106829 /nfs/dbraw/zinc/10/68/29/912106829.db2.gz HMPLRBQPXCQYAB-LLVKDONJSA-N 0 1 299.802 0.750 20 30 CCEDMN Cc1nnsc1CNC[C@H](NC(=O)[C@@H](C)C#N)C1CC1 ZINC001392776455 912144529 /nfs/dbraw/zinc/14/45/29/912144529.db2.gz ZGMGETPSUSYXAM-KWQFWETISA-N 0 1 293.396 0.991 20 30 CCEDMN C#CCCCCC(=O)NC[C@H]1CCN1CCCO ZINC001323213747 912189688 /nfs/dbraw/zinc/18/96/88/912189688.db2.gz FQSBECZAODBPNX-CYBMUJFWSA-N 0 1 252.358 0.753 20 30 CCEDMN Cc1cc(CN[C@H](C)CNC(=O)c2cc(C#N)c[nH]2)ncn1 ZINC001398898102 914932165 /nfs/dbraw/zinc/93/21/65/914932165.db2.gz XJGQVTLTEMVZBA-LLVKDONJSA-N 0 1 298.350 0.893 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cc(C)n[nH]2)CC1 ZINC001328516550 915244580 /nfs/dbraw/zinc/24/45/80/915244580.db2.gz MABXTYJKCOVVAJ-UHFFFAOYSA-N 0 1 278.356 0.461 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H](CC)NC(C)=O)CC1 ZINC001328555228 915270205 /nfs/dbraw/zinc/27/02/05/915270205.db2.gz SWVJWYLQXKFOOE-CYBMUJFWSA-N 0 1 297.399 0.030 20 30 CCEDMN C#Cc1ccc(N2CCN(C(C)(C)CO)CC2)nc1 ZINC001339579892 921766112 /nfs/dbraw/zinc/76/61/12/921766112.db2.gz ZOWOCURILZURKA-UHFFFAOYSA-N 0 1 259.353 0.956 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@]1(CC)CCNC1=O ZINC001328733353 915399493 /nfs/dbraw/zinc/39/94/93/915399493.db2.gz OQXGBPWRVDQTIU-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN N#CC[C@]1(O)CCN(CCOC2CCOCC2)C1 ZINC001328803905 915443959 /nfs/dbraw/zinc/44/39/59/915443959.db2.gz QIKFDAYCWSKBES-ZDUSSCGKSA-N 0 1 254.330 0.532 20 30 CCEDMN C#CC1CCN(C(=O)C[N@@H+]2CCC[C@@H](C(=O)[O-])C2)CC1 ZINC001339602967 921776606 /nfs/dbraw/zinc/77/66/06/921776606.db2.gz QNLFIRTULKUACI-CYBMUJFWSA-N 0 1 278.352 0.655 20 30 CCEDMN COCC#CC[NH2+][C@H](C)[C@H](C)NC(=O)c1ncccc1[O-] ZINC001329014824 915591933 /nfs/dbraw/zinc/59/19/33/915591933.db2.gz MZULIZZRJBYBCB-NEPJUHHUSA-N 0 1 291.351 0.533 20 30 CCEDMN O=C(NCCNC[C@H](O)C(F)(F)F)C1N=CC=CC1=O ZINC001329140068 915701679 /nfs/dbraw/zinc/70/16/79/915701679.db2.gz DMBBZFHWWZJDMF-VPOJCXOPSA-N 0 1 293.245 0.026 20 30 CCEDMN N#CC1(C[C@H](O)CNCc2nnc3n2CCC3)CC1 ZINC001329222479 915757027 /nfs/dbraw/zinc/75/70/27/915757027.db2.gz NEDMOZBAUKJVEY-JTQLQIEISA-N 0 1 261.329 0.369 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](NC(=O)[C@@H](C)S(C)(=O)=O)C1 ZINC001418061918 921801578 /nfs/dbraw/zinc/80/15/78/921801578.db2.gz DSNLOBUXVHTOQM-OPRDCNLKSA-N 0 1 294.804 0.409 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc(C)nn1C ZINC001329391408 915867534 /nfs/dbraw/zinc/86/75/34/915867534.db2.gz DJLHISLPYQHAKT-GFCCVEGCSA-N 0 1 262.357 0.802 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@H](C)OCC1CC1 ZINC001329394126 915869787 /nfs/dbraw/zinc/86/97/87/915869787.db2.gz SXFRARYVXFDQGS-KBPBESRZSA-N 0 1 296.411 0.888 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cnn2c1CCC2 ZINC001329458805 915932908 /nfs/dbraw/zinc/93/29/08/915932908.db2.gz DGBJBYQZRGWIAW-GFCCVEGCSA-N 0 1 274.368 0.903 20 30 CCEDMN C=CC[N@H+](C)[C@@H](C)CNC(=O)[C@H](C)N1C(=O)CCC1=O ZINC001329461919 915937161 /nfs/dbraw/zinc/93/71/61/915937161.db2.gz WNNKQDRIMAHUNB-QWRGUYRKSA-N 0 1 281.356 0.146 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](COC)OC ZINC001401086399 916011125 /nfs/dbraw/zinc/01/11/25/916011125.db2.gz GMPJCOFFGSUMAB-NEPJUHHUSA-N 0 1 290.791 0.981 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001330032831 916369610 /nfs/dbraw/zinc/36/96/10/916369610.db2.gz WTDCREAAQRPEFX-FZMZJTMJSA-N 0 1 292.383 0.730 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCc2cn(C)nn2)C1 ZINC001330084415 916405770 /nfs/dbraw/zinc/40/57/70/916405770.db2.gz GJZSUWMTVQKRFG-LBPRGKRZSA-N 0 1 289.383 0.208 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CN(C)C(C)=O)C(C)(C)C1 ZINC001330173688 916452006 /nfs/dbraw/zinc/45/20/06/916452006.db2.gz AZTOLMOKOSMLGU-ZDUSSCGKSA-N 0 1 279.384 0.315 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cn(CC)nn2)CC1 ZINC001401973533 916735707 /nfs/dbraw/zinc/73/57/07/916735707.db2.gz LKBLCEQWBOALSG-UHFFFAOYSA-N 0 1 283.763 0.903 20 30 CCEDMN C=CCOCCNC(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC001330755358 916839465 /nfs/dbraw/zinc/83/94/65/916839465.db2.gz HYFXAVPCZSTGMF-LLVKDONJSA-N 0 1 292.339 0.222 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CCC[C@H](C(=O)[O-])C2)C1=O ZINC001330797527 916876333 /nfs/dbraw/zinc/87/63/33/916876333.db2.gz UOEXRTGBEWXDNI-WDEREUQCSA-N 0 1 252.314 0.570 20 30 CCEDMN C[C@@H](NC[C@H](O)CC(C)(C)C#N)c1nncn1C ZINC001331035101 917037879 /nfs/dbraw/zinc/03/78/79/917037879.db2.gz RJXVFRIDJDHOOI-NXEZZACHSA-N 0 1 251.334 0.766 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(=O)OCC ZINC001331349994 917277716 /nfs/dbraw/zinc/27/77/16/917277716.db2.gz GHSQZOSNHCFHLH-IWSPIJDZSA-N 0 1 256.258 0.276 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CNC(=O)NC ZINC001403003098 917412900 /nfs/dbraw/zinc/41/29/00/917412900.db2.gz UPXISKHXMDRWIS-RKDXNWHRSA-N 0 1 276.768 0.151 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1c(Cl)n[nH]c1C1CC1 ZINC001331724502 917549582 /nfs/dbraw/zinc/54/95/82/917549582.db2.gz GCGPCIHWIKQZJJ-VIFPVBQESA-N 0 1 296.758 0.254 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CCO)CN(CC2CCOCC2)C1 ZINC001403205600 917579484 /nfs/dbraw/zinc/57/94/84/917579484.db2.gz ZMQUPDPLUHIMNJ-GFCCVEGCSA-N 0 1 295.383 0.126 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(Cl)cn1CC ZINC001331836237 917649547 /nfs/dbraw/zinc/64/95/47/917649547.db2.gz QINQXOLQIYLSAG-LLVKDONJSA-N 0 1 283.759 0.475 20 30 CCEDMN CCO[C@@H](C(=O)NC1(C#N)CCN(C)CC1)[C@H]1CCOC1 ZINC001331937477 917731158 /nfs/dbraw/zinc/73/11/58/917731158.db2.gz CFXDSOAPUHNUAT-QWHCGFSZSA-N 0 1 295.383 0.532 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@@H](CCF)C(=O)[O-] ZINC001332159513 917917367 /nfs/dbraw/zinc/91/73/67/917917367.db2.gz KSDHFULZRYNAHR-JTQLQIEISA-N 0 1 273.308 0.054 20 30 CCEDMN CN(C(=O)C1(c2cccc(C#N)c2)CC1)c1nn[nH]n1 ZINC001332196107 917948636 /nfs/dbraw/zinc/94/86/36/917948636.db2.gz OJVSWJNVIHWEOD-UHFFFAOYSA-N 0 1 268.280 0.766 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cc(C)n[nH]1 ZINC001403838793 918047616 /nfs/dbraw/zinc/04/76/16/918047616.db2.gz XOFLXWGDWDSAGN-SNVBAGLBSA-N 0 1 286.763 0.493 20 30 CCEDMN CCc1c[nH]c(CC(=O)NC2(C#N)CCN(C)CC2)n1 ZINC001332440659 918159871 /nfs/dbraw/zinc/15/98/71/918159871.db2.gz PRRTYIFWLAKAFA-UHFFFAOYSA-N 0 1 275.356 0.619 20 30 CCEDMN Cc1ncc(CNC[C@H](O)CN(C)C(=O)C#CC(C)C)o1 ZINC001332503035 918226878 /nfs/dbraw/zinc/22/68/78/918226878.db2.gz DYCCEBWPASQPTI-ZDUSSCGKSA-N 0 1 293.367 0.551 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H]1CC1(C)C ZINC001332632010 918334207 /nfs/dbraw/zinc/33/42/07/918334207.db2.gz HYWGEQKXZYZTEE-VXGBXAGGSA-N 0 1 252.358 0.417 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1nccs1 ZINC001332665942 918367265 /nfs/dbraw/zinc/36/72/65/918367265.db2.gz ZFVTTZISRSYIPO-SNVBAGLBSA-N 0 1 267.354 0.141 20 30 CCEDMN C#CCC[N@H+](CCNC(=O)c1[nH]nc(C)c1[O-])CCOC ZINC001332913650 918525299 /nfs/dbraw/zinc/52/52/99/918525299.db2.gz JLLCQRQKGOTWFI-UHFFFAOYSA-N 0 1 294.355 0.125 20 30 CCEDMN C[C@@H](NC(=O)CN1CCCC1)C1CCN(CC#N)CC1 ZINC001332972389 918570867 /nfs/dbraw/zinc/57/08/67/918570867.db2.gz KWNSSCIEOYVNAI-CYBMUJFWSA-N 0 1 278.400 0.822 20 30 CCEDMN CN(C)C1CN(C(=O)N[C@H](CC#N)C(F)(F)F)C1 ZINC001333306042 918789730 /nfs/dbraw/zinc/78/97/30/918789730.db2.gz MHEHBDUGASOJJH-MRVPVSSYSA-N 0 1 264.251 0.786 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)NCC[N@H+]1CCCOCC1)C(=O)[O-] ZINC001333317892 918797212 /nfs/dbraw/zinc/79/72/12/918797212.db2.gz KDVQEAOGJYPUKM-GFCCVEGCSA-N 0 1 299.371 0.427 20 30 CCEDMN N#CC[C@@H](O)CN1CCC([C@@H](O)c2ncc[nH]2)CC1 ZINC001333457460 918923422 /nfs/dbraw/zinc/92/34/22/918923422.db2.gz IGPOHQOQTQLGTP-VXGBXAGGSA-N 0 1 264.329 0.430 20 30 CCEDMN CC(C)C[C@H](CNCc1cnnn1C)NC(=O)[C@@H](C)C#N ZINC001405918064 918976392 /nfs/dbraw/zinc/97/63/92/918976392.db2.gz DGAOOLCDGNOGNX-NWDGAFQWSA-N 0 1 292.387 0.595 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NCc1n[nH]c(COC)n1 ZINC001333766874 919148624 /nfs/dbraw/zinc/14/86/24/919148624.db2.gz PJVGWOMMVLNWDX-UWVGGRQHSA-N 0 1 295.343 0.341 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NCc1nnc(COC)[nH]1 ZINC001333766874 919148640 /nfs/dbraw/zinc/14/86/40/919148640.db2.gz PJVGWOMMVLNWDX-UWVGGRQHSA-N 0 1 295.343 0.341 20 30 CCEDMN CCN(CCNC(=O)C#CC1CC1)[C@@H]1CCN(C)C1=O ZINC001492950032 919153446 /nfs/dbraw/zinc/15/34/46/919153446.db2.gz GRVNYFXVSHSCSA-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCOCCN(C)CC[S@@](=O)C(F)(F)F ZINC001333872829 919222104 /nfs/dbraw/zinc/22/21/04/919222104.db2.gz DUPWWZBAIRYFEA-MRXNPFEDSA-N 0 1 257.277 0.837 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@]1(C)CCC(=O)N1 ZINC001406395852 919234900 /nfs/dbraw/zinc/23/49/00/919234900.db2.gz MEGWMEKFPJSIHH-SKDRFNHKSA-N 0 1 273.764 0.502 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001333934166 919257734 /nfs/dbraw/zinc/25/77/34/919257734.db2.gz MDCDQCRQOHPQEU-RKDXNWHRSA-N 0 1 279.344 0.640 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001333934166 919257747 /nfs/dbraw/zinc/25/77/47/919257747.db2.gz MDCDQCRQOHPQEU-RKDXNWHRSA-N 0 1 279.344 0.640 20 30 CCEDMN C[C@@H](CN(C)Cc1ccccc1C#N)NC(=O)c1cnn[nH]1 ZINC001406601703 919386427 /nfs/dbraw/zinc/38/64/27/919386427.db2.gz JTXVUAIYDHLNKD-NSHDSACASA-N 0 1 298.350 0.927 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH]cn1 ZINC001334190879 919415633 /nfs/dbraw/zinc/41/56/33/919415633.db2.gz QSPAGZKDWNOOEP-NSHDSACASA-N 0 1 294.355 0.118 20 30 CCEDMN C=CCOC[C@@H]([NH2+]Cc1ccnn1CC)C(=O)[O-] ZINC001334778672 919759948 /nfs/dbraw/zinc/75/99/48/919759948.db2.gz ZRKRCJVOKUKIPQ-LLVKDONJSA-N 0 1 253.302 0.648 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CNC(=O)CN2CCCC2)C1 ZINC001408409248 920178392 /nfs/dbraw/zinc/17/83/92/920178392.db2.gz WTFWRHWRSDEDBR-CHWSQXEVSA-N 0 1 292.383 0.207 20 30 CCEDMN N#CCC[C@H](C#N)CNC[C@@]1(OCCO)CCOC1 ZINC001335452934 920203736 /nfs/dbraw/zinc/20/37/36/920203736.db2.gz RBMQRUJUHXWHLT-OLZOCXBDSA-N 0 1 267.329 0.188 20 30 CCEDMN N#CC[C@@H](O)CN1CCC(C(=O)N2CCCC2)CC1 ZINC001335455422 920208341 /nfs/dbraw/zinc/20/83/41/920208341.db2.gz IQFUSSHQQDEKJY-CYBMUJFWSA-N 0 1 265.357 0.595 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)c[nH]1 ZINC001335585784 920298275 /nfs/dbraw/zinc/29/82/75/920298275.db2.gz ULNQRLANKCNNSY-QMMMGPOBSA-N 0 1 256.269 0.634 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCNC[C@@H]1CCOC)C(C)C ZINC001336099434 920607986 /nfs/dbraw/zinc/60/79/86/920607986.db2.gz HXTDNEABPFGXJA-QWHCGFSZSA-N 0 1 267.373 0.664 20 30 CCEDMN N#Cc1ccnnc1NCCCCN1CCOCC1 ZINC001336478358 920792678 /nfs/dbraw/zinc/79/26/78/920792678.db2.gz HKCSHPGHCHSAGR-UHFFFAOYSA-N 0 1 261.329 0.873 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)Nc1nnccc1C#N ZINC001336516283 920814646 /nfs/dbraw/zinc/81/46/46/920814646.db2.gz JLSQNSJDGWQBAT-NSHDSACASA-N 0 1 260.345 0.396 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CN3CCC2CC3)cn1 ZINC001336627794 920885629 /nfs/dbraw/zinc/88/56/29/920885629.db2.gz JTPYMSWLVOGGJB-AWEZNQCLSA-N 0 1 255.321 0.887 20 30 CCEDMN CCCc1cc(C(=O)N2CC(NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001416048580 920907856 /nfs/dbraw/zinc/90/78/56/920907856.db2.gz YBMSXZSHVIYTKN-SECBINFHSA-N 0 1 289.339 0.462 20 30 CCEDMN C=CCn1c(CO)nnc1N1CCN(C(C)C)CC1 ZINC001339822558 921840578 /nfs/dbraw/zinc/84/05/78/921840578.db2.gz PVWZVGYORQCLBK-UHFFFAOYSA-N 0 1 265.361 0.487 20 30 CCEDMN C#CCNCC(=O)N1CCC(C(N)=O)(c2ccccc2)CC1 ZINC001339953360 921893917 /nfs/dbraw/zinc/89/39/17/921893917.db2.gz WPPSPSZUEXLWHZ-UHFFFAOYSA-N 0 1 299.374 0.255 20 30 CCEDMN Cc1cc(Cl)nc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC001340268186 922033518 /nfs/dbraw/zinc/03/35/18/922033518.db2.gz ZUPQZRLIYVOOIS-SCZZXKLOSA-N 0 1 264.716 0.885 20 30 CCEDMN Cc1nnc(CN[C@H](C)CCNC(=O)[C@@H](C)C#N)s1 ZINC001418392113 922077541 /nfs/dbraw/zinc/07/75/41/922077541.db2.gz DNNOSQBKUBNNGU-DTWKUNHWSA-N 0 1 281.385 0.991 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001340906382 922268115 /nfs/dbraw/zinc/26/81/15/922268115.db2.gz BKIBTPPEKVGERJ-WUHRBBMRSA-N 0 1 256.309 0.413 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](C(C)(C)C)[C@H](O)C1 ZINC001341071758 922335029 /nfs/dbraw/zinc/33/50/29/922335029.db2.gz MOYNBPBIXSPTPN-NWDGAFQWSA-N 0 1 252.358 0.465 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)[C@@H](O)Cc1ccccc1 ZINC001341791203 922674301 /nfs/dbraw/zinc/67/43/01/922674301.db2.gz GCDKPHTZIMQLDO-OCCSQVGLSA-N 0 1 260.337 0.318 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COCc1ccncc1 ZINC001341821760 922687766 /nfs/dbraw/zinc/68/77/66/922687766.db2.gz WABZFKMFJSHOEM-GFCCVEGCSA-N 0 1 275.308 0.832 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)C[C@H]2CCN2C[C@@H](O)COC)C1 ZINC001479243982 922879389 /nfs/dbraw/zinc/87/93/89/922879389.db2.gz HDQQKFWYHWQMMG-ZIAGYGMSSA-N 0 1 296.411 0.883 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1nnc(C)[nH]1 ZINC001419737076 923053461 /nfs/dbraw/zinc/05/34/61/923053461.db2.gz RTDXAYUDLSFNIV-MRVPVSSYSA-N 0 1 271.752 0.502 20 30 CCEDMN C#CCNCC(=O)N[C@](C)(C(=O)OC)c1ccccc1 ZINC001343232303 923243510 /nfs/dbraw/zinc/24/35/10/923243510.db2.gz GKJPRDVHGIWKAU-HNNXBMFYSA-N 0 1 274.320 0.414 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCCCCCO)CC1 ZINC001343431846 923312857 /nfs/dbraw/zinc/31/28/57/923312857.db2.gz OGQIIWXFBDAMKO-UHFFFAOYSA-N 0 1 281.400 0.936 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cccnc1OC ZINC001420153224 923351289 /nfs/dbraw/zinc/35/12/89/923351289.db2.gz ZSPROFZDJIUVFB-SNVBAGLBSA-N 0 1 299.758 0.523 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)CCCC#N ZINC001343724556 923445203 /nfs/dbraw/zinc/44/52/03/923445203.db2.gz IWITXRRCZAVHQZ-JTQLQIEISA-N 0 1 264.285 0.304 20 30 CCEDMN C=C(C)Cn1c(C2=NO[C@H](CO)C2)nnc1N(C)C1CC1 ZINC001343748556 923460083 /nfs/dbraw/zinc/46/00/83/923460083.db2.gz NYKWHORLQLVWDT-NSHDSACASA-N 0 1 291.355 0.938 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cc3n(n2)CCO3)CC1 ZINC001344373544 923587332 /nfs/dbraw/zinc/58/73/32/923587332.db2.gz QABLVYJZWQSBHU-UHFFFAOYSA-N 0 1 275.308 0.530 20 30 CCEDMN CNC(=O)[C@H](C#N)C(=O)c1nn(C)c(=O)c2ccccc12 ZINC001344543084 923631705 /nfs/dbraw/zinc/63/17/05/923631705.db2.gz QWMCUKBEADYLQZ-SNVBAGLBSA-N 0 1 284.275 0.002 20 30 CCEDMN Cc1nccnc1CN1CC[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001420514558 923731029 /nfs/dbraw/zinc/73/10/29/923731029.db2.gz JDJIPKMZWLSCLI-YPMHNXCESA-N 0 1 287.367 0.883 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)NC(C)=O)CC1 ZINC001420915366 924019021 /nfs/dbraw/zinc/01/90/21/924019021.db2.gz GSVSJDSANJZZOK-VIFPVBQESA-N 0 1 273.764 0.502 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N(C)C1(C#N)CCC1 ZINC001346228858 924121587 /nfs/dbraw/zinc/12/15/87/924121587.db2.gz LWXUXPCRWOAMPG-NEPJUHHUSA-N 0 1 262.357 0.279 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N[C@H]1CCCN(C)C1 ZINC001346402701 924190480 /nfs/dbraw/zinc/19/04/80/924190480.db2.gz GKTAFTAKSAAJPF-RYUDHWBXSA-N 0 1 267.373 0.668 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)NCCCCC#N ZINC001346410687 924193375 /nfs/dbraw/zinc/19/33/75/924193375.db2.gz OEPXQQABMRRHHS-NWDGAFQWSA-N 0 1 250.346 0.185 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)CNC(=O)C(C)(C)C ZINC001346549539 924260525 /nfs/dbraw/zinc/26/05/25/924260525.db2.gz YFPOZMPAJAJLNY-VIFPVBQESA-N 0 1 262.313 0.759 20 30 CCEDMN C=C(C)CN(C)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001346604779 924276745 /nfs/dbraw/zinc/27/67/45/924276745.db2.gz BSYNMTDPAMGWIJ-QWHCGFSZSA-N 0 1 268.405 0.838 20 30 CCEDMN C=CCN(C(=O)[C@@H]1C[N@H+]2CCN1C[C@@H]2C)[C@H](C)COC ZINC001347109374 924373125 /nfs/dbraw/zinc/37/31/25/924373125.db2.gz KWKMVXSEMMMUFU-MJBXVCDLSA-N 0 1 281.400 0.424 20 30 CCEDMN C=CCN(C(=O)[C@@H]1CN2CCN1C[C@@H]2C)[C@H](C)COC ZINC001347109374 924373136 /nfs/dbraw/zinc/37/31/36/924373136.db2.gz KWKMVXSEMMMUFU-MJBXVCDLSA-N 0 1 281.400 0.424 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCc3nccn3C2)CC1 ZINC001347332228 924425050 /nfs/dbraw/zinc/42/50/50/924425050.db2.gz QGUGCNRGVOUPNU-LBPRGKRZSA-N 0 1 287.367 0.550 20 30 CCEDMN C[C@H](CNC(=O)NCCCCC#N)N1CCN(C)CC1 ZINC001347344857 924428106 /nfs/dbraw/zinc/42/81/06/924428106.db2.gz SSJRWGDZUICRLB-CYBMUJFWSA-N 0 1 281.404 0.615 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CCC[N@H+](CCC)C1)C(=O)[O-] ZINC001347549275 924477144 /nfs/dbraw/zinc/47/71/44/924477144.db2.gz YXJIGAXBSOGPMX-OLZOCXBDSA-N 0 1 298.383 0.880 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)NC1(C#N)CCCC1 ZINC001347604342 924491685 /nfs/dbraw/zinc/49/16/85/924491685.db2.gz WVGRMEQSFFJUOB-NWDGAFQWSA-N 0 1 262.357 0.327 20 30 CCEDMN C#CCC1(NC(=O)N[C@H](C)[C@H]2CN(C)CCN2C)CCC1 ZINC001348265770 924632953 /nfs/dbraw/zinc/63/29/53/924632953.db2.gz ZJPVZGASSZPCPT-ZIAGYGMSSA-N 0 1 292.427 0.866 20 30 CCEDMN C#CCCCNC(=O)N[C@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC001348703269 924779248 /nfs/dbraw/zinc/77/92/48/924779248.db2.gz YNNFAFNNUQKXCO-OLZOCXBDSA-N 0 1 266.389 0.333 20 30 CCEDMN Cc1nccc(CNC[C@H](O)CN(C)CCC#N)n1 ZINC001348950908 924850788 /nfs/dbraw/zinc/85/07/88/924850788.db2.gz HNFNYVIEPQAHOC-ZDUSSCGKSA-N 0 1 263.345 0.081 20 30 CCEDMN N#CCCCC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001349777039 925053469 /nfs/dbraw/zinc/05/34/69/925053469.db2.gz KEHRCUMOWDIYOJ-GXTWGEPZSA-N 0 1 281.356 0.022 20 30 CCEDMN CCN(C(=O)C(=O)N1CCC(C#N)CC1)[C@@H]1CCN(C)C1 ZINC001350270143 925159807 /nfs/dbraw/zinc/15/98/07/925159807.db2.gz DOBKEWILUKZPCN-CYBMUJFWSA-N 0 1 292.383 0.301 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@H]1[C@@H](C(=O)[O-])C1(F)F ZINC001350788700 925281153 /nfs/dbraw/zinc/28/11/53/925281153.db2.gz JJPCPAWSAKQEMG-GUBZILKMSA-N 0 1 286.278 0.166 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@H]1[C@@H](C(=O)[O-])C1(F)F ZINC001350788700 925281161 /nfs/dbraw/zinc/28/11/61/925281161.db2.gz JJPCPAWSAKQEMG-GUBZILKMSA-N 0 1 286.278 0.166 20 30 CCEDMN CCN(CCCNC(=O)[C@H](C)C#N)C(=O)c1[nH]nnc1C ZINC001352342832 925564667 /nfs/dbraw/zinc/56/46/67/925564667.db2.gz UABYNRKVMXVOAA-SECBINFHSA-N 0 1 292.343 0.241 20 30 CCEDMN C=C(C)CCC(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC001353778864 925930381 /nfs/dbraw/zinc/93/03/81/925930381.db2.gz VGTTZAJKCIEXNL-SECBINFHSA-N 0 1 251.290 0.456 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)c2cccc(C(=O)[O-])n2)CC1 ZINC001354073550 926007884 /nfs/dbraw/zinc/00/78/84/926007884.db2.gz OSSJQMNQEAZURF-UHFFFAOYSA-N 0 1 287.319 0.561 20 30 CCEDMN COC(=O)[C@H]1CN(CCCC#N)C[C@H]1C(=O)OC ZINC001356061650 926340769 /nfs/dbraw/zinc/34/07/69/926340769.db2.gz HAKOZDKEIGOGIW-AOOOYVTPSA-N 0 1 254.286 0.184 20 30 CCEDMN CC(=O)NC1CCC(C(=O)C(C#N)C(=O)NC2CC2)CC1 ZINC001356264431 926363669 /nfs/dbraw/zinc/36/36/69/926363669.db2.gz BORPCQGUKRTYOD-XIVSLSHWSA-N 0 1 291.351 0.669 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCCN1C(=O)c1ccn[nH]1 ZINC001356284787 926364102 /nfs/dbraw/zinc/36/41/02/926364102.db2.gz JIQIQQHHHVBGTL-LLVKDONJSA-N 0 1 292.339 0.333 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC(NC(=O)c2cnn[nH]2)C1 ZINC001356775484 926436178 /nfs/dbraw/zinc/43/61/78/926436178.db2.gz KZUPRPMUPBCMCK-UHFFFAOYSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CCCC(=O)N1CC(CNC(=O)CN2CCCC2)C1 ZINC001356813487 926447446 /nfs/dbraw/zinc/44/74/46/926447446.db2.gz ISBRUECPGYMLPY-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)CNC(=O)[C@H]1CCCN1C ZINC001357012664 926475112 /nfs/dbraw/zinc/47/51/12/926475112.db2.gz IKWWVPMHJZATBI-ZIAGYGMSSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](C(=O)N[C@@H](C)CCC)C1 ZINC001357226306 926499342 /nfs/dbraw/zinc/49/93/42/926499342.db2.gz FIPLOPGMKWBDST-UONOGXRCSA-N 0 1 293.411 0.753 20 30 CCEDMN C[C@H](CC#N)N(C)C(=O)CN1CCC(CO)CC1 ZINC001357901963 926608402 /nfs/dbraw/zinc/60/84/02/926608402.db2.gz ZLWHBKYIPGQKAI-LLVKDONJSA-N 0 1 253.346 0.451 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)[C@H]1CCCN1C ZINC001358532846 926735812 /nfs/dbraw/zinc/73/58/12/926735812.db2.gz ZKGWHYSBWSDZBF-NWDGAFQWSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](N2CCCC2=O)CC1 ZINC001473547740 926798963 /nfs/dbraw/zinc/79/89/63/926798963.db2.gz LVBVIGRVPLRMRG-CYBMUJFWSA-N 0 1 277.368 0.213 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)[C@H](C)C(=O)N(C)C ZINC001421841294 926937700 /nfs/dbraw/zinc/93/77/00/926937700.db2.gz OTVXZMURVIQADL-VXGBXAGGSA-N 0 1 282.388 0.403 20 30 CCEDMN CN1N=C(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)CCC1=O ZINC001361687125 927250360 /nfs/dbraw/zinc/25/03/60/927250360.db2.gz XJAORDMPVNOEKN-UHFFFAOYSA-N 0 1 296.290 0.981 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cnn(C)n1 ZINC001423132987 927687451 /nfs/dbraw/zinc/68/74/51/927687451.db2.gz JSSNXKALKUDVSV-VIFPVBQESA-N 0 1 271.752 0.618 20 30 CCEDMN CCCC(=O)NC[C@H](CO)NCc1cccc(C#N)c1 ZINC001423181250 927715397 /nfs/dbraw/zinc/71/53/97/927715397.db2.gz QSKBOCQGACPARV-CQSZACIVSA-N 0 1 275.352 0.925 20 30 CCEDMN CCN1CC[C@@H]1C(=O)N(C)CCCc1[nH]nc(N)c1C#N ZINC001362252478 927782733 /nfs/dbraw/zinc/78/27/33/927782733.db2.gz HKWZSEQHMLWNOS-GFCCVEGCSA-N 0 1 290.371 0.349 20 30 CCEDMN Cc1c(C(=O)N(C)Cc2nnc[nH]2)cnn1CCC#N ZINC001362742962 928434405 /nfs/dbraw/zinc/43/44/05/928434405.db2.gz RXQVLCFWJAIGOU-UHFFFAOYSA-N 0 1 273.300 0.496 20 30 CCEDMN C[C@@H](NC[C@@H]1C[C@@H](NC(=O)[C@@H](C)C#N)C1)c1nncn1C ZINC001425393808 928538769 /nfs/dbraw/zinc/53/87/69/928538769.db2.gz NYMRVIBZCOZPBG-USZNOCQGSA-N 0 1 290.371 0.520 20 30 CCEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)c1nc[nH]n1 ZINC001425516070 928573694 /nfs/dbraw/zinc/57/36/94/928573694.db2.gz WSLHDQDENBZRIN-JTQLQIEISA-N 0 1 283.763 0.997 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)N1C[C@H]2CC[N@H+](C)[C@H]2C1 ZINC001362886069 928614839 /nfs/dbraw/zinc/61/48/39/928614839.db2.gz JRFZIEWLNMJATJ-PWSUYJOCSA-N 0 1 286.335 0.743 20 30 CCEDMN N#Cc1cncc(CNC(=O)Cc2n[nH]c(C3CC3)n2)c1 ZINC001362942157 928680013 /nfs/dbraw/zinc/68/00/13/928680013.db2.gz CARUXVIUSCDWNS-UHFFFAOYSA-N 0 1 282.307 0.808 20 30 CCEDMN CC[C@@H](C)[N@@H+](CCO)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001363247095 929021541 /nfs/dbraw/zinc/02/15/41/929021541.db2.gz GTECHGMMZYVRPG-SNVBAGLBSA-N 0 1 289.339 0.899 20 30 CCEDMN CC(C)c1nnc(CNC[C@H](C)CNC(=O)[C@H](C)C#N)[nH]1 ZINC001444314328 929840356 /nfs/dbraw/zinc/84/03/56/929840356.db2.gz YFGCUVTWDRYCOQ-WDEREUQCSA-N 0 1 292.387 0.930 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](O)CNCc1ccncc1Cl ZINC001445166850 930058449 /nfs/dbraw/zinc/05/84/49/930058449.db2.gz ZYFRZTRKHXZOSJ-MWLCHTKSSA-N 0 1 296.758 0.461 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001445958512 930295070 /nfs/dbraw/zinc/29/50/70/930295070.db2.gz OSHIUQZQYLFKDK-MXWKQRLJSA-N 0 1 289.339 0.123 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(N[C@@H](C)C(=O)NC(C)(C)C)CC1 ZINC001446157947 930352985 /nfs/dbraw/zinc/35/29/85/930352985.db2.gz IIKCMVJBRZKXIE-MNOVXSKESA-N 0 1 294.399 0.688 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)CCc1cc(C)[nH]n1 ZINC001446638293 930451776 /nfs/dbraw/zinc/45/17/76/930451776.db2.gz ZPTZDCUSKGLFCJ-UHFFFAOYSA-N 0 1 285.369 0.368 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1CCC(=O)NC1 ZINC001374245916 930464161 /nfs/dbraw/zinc/46/41/61/930464161.db2.gz HAJKFWXWMYMFGH-WDEREUQCSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc(C)on1 ZINC001374584648 930572477 /nfs/dbraw/zinc/57/24/77/930572477.db2.gz VURCWWMLVUHXIW-VIFPVBQESA-N 0 1 273.720 0.416 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](NC(=O)CN2CCCC2)C1 ZINC001447526354 930651192 /nfs/dbraw/zinc/65/11/92/930651192.db2.gz NOQDOSDGKWMABU-UTUOFQBUSA-N 0 1 278.356 0.005 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H](C)NC(C)=O)[C@@H]2C1 ZINC001448414714 930882403 /nfs/dbraw/zinc/88/24/03/930882403.db2.gz QYHBMWIBNUFMAX-XYJRDEOASA-N 0 1 299.802 0.558 20 30 CCEDMN Cc1nnc([C@H](C)NC[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001448500130 930903470 /nfs/dbraw/zinc/90/34/70/930903470.db2.gz PXKADNQWMLCNQI-QSFUFRPTSA-N 0 1 290.371 0.818 20 30 CCEDMN Cc1nn(C)cc1CN1CC[C@](C)(NC(=O)[C@@H](C)C#N)C1 ZINC001375817212 930983133 /nfs/dbraw/zinc/98/31/33/930983133.db2.gz AVIKLQVHRJFNJN-NHYWBVRUSA-N 0 1 289.383 0.969 20 30 CCEDMN CC(C)(F)C(=O)NC[C@H](CO)NCc1ccc(C#N)s1 ZINC001448792441 930984511 /nfs/dbraw/zinc/98/45/11/930984511.db2.gz DHVWAURZWFWIGO-SECBINFHSA-N 0 1 299.371 0.935 20 30 CCEDMN Cc1cccnc1CN[C@H](CO)CNC(=O)[C@H](C)C#N ZINC001448820747 930995827 /nfs/dbraw/zinc/99/58/27/930995827.db2.gz HBUNKKWRKGQITM-NEPJUHHUSA-N 0 1 276.340 0.116 20 30 CCEDMN Cc1onc(CC(=O)NO[C@H](CO)C(C)C)c1C#N ZINC001450098850 931232139 /nfs/dbraw/zinc/23/21/39/931232139.db2.gz NRRZRYSDWOLLME-LLVKDONJSA-N 0 1 267.285 0.462 20 30 CCEDMN N#C[C@]1(C(=O)NCc2n[nH]c(C3CC3)n2)CCCOC1 ZINC001450200357 931245304 /nfs/dbraw/zinc/24/53/04/931245304.db2.gz YQNRBXYWULXZGK-CYBMUJFWSA-N 0 1 275.312 0.619 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](NC(C)=O)CC1 ZINC001376870468 931309812 /nfs/dbraw/zinc/30/98/12/931309812.db2.gz RNWXXMZNPLUSBU-CQSZACIVSA-N 0 1 294.399 0.739 20 30 CCEDMN C#CC[N@@H+](CCCNC(=O)OC(C)(C)C)CC(=O)[O-] ZINC001602700928 971324308 /nfs/dbraw/zinc/32/43/08/971324308.db2.gz OKNIIPNJTHYBDV-UHFFFAOYSA-N 0 1 270.329 0.921 20 30 CCEDMN C#CC[N@H+](CCCNC(=O)OC(C)(C)C)CC(=O)[O-] ZINC001602700928 971324315 /nfs/dbraw/zinc/32/43/15/971324315.db2.gz OKNIIPNJTHYBDV-UHFFFAOYSA-N 0 1 270.329 0.921 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)C[C@H](C)CC(=O)[O-] ZINC000328164175 971450879 /nfs/dbraw/zinc/45/08/79/971450879.db2.gz ISFQIIYEBIMAJE-NSHDSACASA-N 0 1 254.330 0.559 20 30 CCEDMN C#CC[N@H+](CCCOCC(F)(F)F)CC(=O)[O-] ZINC000092483733 949157360 /nfs/dbraw/zinc/15/73/60/949157360.db2.gz PDWJNVPJDVERQD-UHFFFAOYSA-N 0 1 253.220 0.975 20 30 CCEDMN CC[N@H+]1CCC[C@@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC001589744748 950665586 /nfs/dbraw/zinc/66/55/86/950665586.db2.gz CIBLJMWIEPGYLG-FZMZJTMJSA-N 0 1 281.356 0.839 20 30 CCEDMN C=CCC[N@H+](C)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000382484009 971816405 /nfs/dbraw/zinc/81/64/05/971816405.db2.gz SEHMYFUILUJLFZ-UHFFFAOYSA-N 0 1 254.330 0.818 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N2CCC[C@@H](C(=O)[O-])C2)C1 ZINC001588430531 958257638 /nfs/dbraw/zinc/25/76/38/958257638.db2.gz MXBCNXBLLNFOIX-OLZOCXBDSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N2CCC[C@@H](C(=O)[O-])C2)C1 ZINC001588430531 958257650 /nfs/dbraw/zinc/25/76/50/958257650.db2.gz MXBCNXBLLNFOIX-OLZOCXBDSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N[C@H]2CCC[C@H]2C(=O)[O-])C1 ZINC001588430992 958264085 /nfs/dbraw/zinc/26/40/85/958264085.db2.gz RPQUAOOHLLAABT-UPJWGTAASA-N 0 1 293.367 0.637 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N[C@H]2CCC[C@H]2C(=O)[O-])C1 ZINC001588430992 958264101 /nfs/dbraw/zinc/26/41/01/958264101.db2.gz RPQUAOOHLLAABT-UPJWGTAASA-N 0 1 293.367 0.637 20 30 CCEDMN C#CC[N@@H+](CCOc1ccc([N+](=O)[O-])cc1)CC(=O)[O-] ZINC001588432258 958277440 /nfs/dbraw/zinc/27/74/40/958277440.db2.gz HLMLEDHGPCOIJM-UHFFFAOYSA-N 0 1 278.264 0.993 20 30 CCEDMN C#CC[N@H+](CCOc1ccc([N+](=O)[O-])cc1)CC(=O)[O-] ZINC001588432258 958277455 /nfs/dbraw/zinc/27/74/55/958277455.db2.gz HLMLEDHGPCOIJM-UHFFFAOYSA-N 0 1 278.264 0.993 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC000111242072 958415431 /nfs/dbraw/zinc/41/54/31/958415431.db2.gz LFRIQKYNDJINJN-GZMMTYOYSA-N 0 1 292.257 0.463 20 30 CCEDMN CC(C)N(CC(=O)[O-])Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001573519798 959123066 /nfs/dbraw/zinc/12/30/66/959123066.db2.gz YTGPFNLXXHXDSC-UHFFFAOYSA-N 0 1 289.295 0.602 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@@H+](C[C@H](O)CC1(C#N)CCOCC1)C1CC1 ZINC001588593085 959366640 /nfs/dbraw/zinc/36/66/40/959366640.db2.gz WJELYPOGDRDEBQ-WCQYABFASA-N 0 1 296.367 0.995 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@H+]1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC001588597700 959398412 /nfs/dbraw/zinc/39/84/12/959398412.db2.gz ZUVZTXNUAKQSHO-ONGXEEELSA-N 0 1 261.281 0.879 20 30 CCEDMN N#CCCNC(=O)C[N@H+]1[C@H](C(=O)[O-])C[C@@H]2CCCC[C@@H]21 ZINC000227218792 959934440 /nfs/dbraw/zinc/93/44/40/959934440.db2.gz VUVPQTYERGRJCN-SRVKXCTJSA-N 0 1 279.340 0.734 20 30 CCEDMN N#CC[C@]1(O)CC[N@@H+](CC2(O)CCC(C(=O)[O-])CC2)C1 ZINC001574242366 960467192 /nfs/dbraw/zinc/46/71/92/960467192.db2.gz VFLADFHIIFNDTJ-UBHUBRDASA-N 0 1 282.340 0.343 20 30 CCEDMN C=CCCC[N@@H+]1CC[C@H](N(C)C(=O)CNC(=O)[O-])C1 ZINC001573367617 963067630 /nfs/dbraw/zinc/06/76/30/963067630.db2.gz YFDHBQGVLZPJQU-NSHDSACASA-N 0 1 269.345 0.753 20 30 CCEDMN C=C[C@@H](C(=O)OC)[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001588450532 964097500 /nfs/dbraw/zinc/09/75/00/964097500.db2.gz POPNJSKFKNEMSE-AXFHLTTASA-N 0 1 253.298 0.757 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1[C@@H](C)CC[C@H]1C(=O)[O-] ZINC001603736680 974175366 /nfs/dbraw/zinc/17/53/66/974175366.db2.gz LXQPHUXCOSVGJW-QWRGUYRKSA-N 0 1 267.329 0.686 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1[C@@H](C)CC[C@H]1C(=O)[O-] ZINC001603736680 974175368 /nfs/dbraw/zinc/17/53/68/974175368.db2.gz LXQPHUXCOSVGJW-QWRGUYRKSA-N 0 1 267.329 0.686 20 30 CCEDMN C#CC[C@H]1CCC[N@H+]([C@@H](C)C(=O)NCC(=O)[O-])C1 ZINC001588434671 983471567 /nfs/dbraw/zinc/47/15/67/983471567.db2.gz PYFZSKKSLUNKDF-QWRGUYRKSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CCC[N@@H+]1CCC2(CN(C(=O)[C@H]3C[C@H]3C(=O)[O-])C2)C1 ZINC001588453603 983476487 /nfs/dbraw/zinc/47/64/87/983476487.db2.gz GNIYAVJRYFUZBV-NWDGAFQWSA-N 0 1 276.336 0.265 20 30 CCEDMN C#CC[N@H+](C)CC1CCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588461068 983488369 /nfs/dbraw/zinc/48/83/69/983488369.db2.gz FEYGWHVUOYPPQQ-CHWSQXEVSA-N 0 1 278.352 0.511 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)C[C@H](C)CC(=O)[O-])C1 ZINC001588475327 983508327 /nfs/dbraw/zinc/50/83/27/983508327.db2.gz BZDJPUHZXQCTEV-RYUDHWBXSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)C[C@H](C)CC(=O)[O-])C1 ZINC001588475327 983508329 /nfs/dbraw/zinc/50/83/29/983508329.db2.gz BZDJPUHZXQCTEV-RYUDHWBXSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)[O-] ZINC001588479335 983518658 /nfs/dbraw/zinc/51/86/58/983518658.db2.gz JQCWFWOPYGWARK-GMXVVIOVSA-N 0 1 264.325 0.311 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1CC[C@@H](C)C[C@@H]1C(=O)[O-] ZINC001588479545 983519991 /nfs/dbraw/zinc/51/99/91/983519991.db2.gz SGNIADQYTFZPNK-GHMZBOCLSA-N 0 1 252.314 0.311 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CC[C@H](C(=O)NCC(F)(F)F)C1 ZINC001588662859 983792056 /nfs/dbraw/zinc/79/20/56/983792056.db2.gz ACRHXTVKGHNFLH-JGVFFNPUSA-N 0 1 280.246 0.626 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCc2nn(CC)c(=O)cc2C1 ZINC001588669771 983815104 /nfs/dbraw/zinc/81/51/04/983815104.db2.gz OYHSJJWFHYUHAW-LLVKDONJSA-N 0 1 263.297 0.261 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCCN(C(=O)C2CC2)CC1 ZINC001588728235 983980611 /nfs/dbraw/zinc/98/06/11/983980611.db2.gz BOQUYVDNBVRKPY-LBPRGKRZSA-N 0 1 266.341 0.960 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)Cc1ccccc1S(N)(=O)=O ZINC001588729069 983985804 /nfs/dbraw/zinc/98/58/04/983985804.db2.gz NBZDATBGYCKJNG-LLVKDONJSA-N 0 1 298.364 0.795 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC(OC)(OC)[C@H](O)C1 ZINC001588729170 983987593 /nfs/dbraw/zinc/98/75/93/983987593.db2.gz HLUBUVLRLYSMBE-NXEZZACHSA-N 0 1 259.302 0.071 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1C[C@@H]2CCC[C@]2(C(N)=O)C1 ZINC001588731548 984008919 /nfs/dbraw/zinc/00/89/19/984008919.db2.gz JNYNOHSYZRWHMY-CWSCBRNRSA-N 0 1 252.314 0.603 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC2CC1(C(=O)[O-])C2 ZINC001588825538 984301507 /nfs/dbraw/zinc/30/15/07/984301507.db2.gz TXXDXPQVUDTFEY-UHFFFAOYSA-N 0 1 264.325 0.736 20 30 CCEDMN C=CC[N@@H+](CCC)CCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001588826535 984304191 /nfs/dbraw/zinc/30/41/91/984304191.db2.gz ITYYPMCBQLMUGD-WDEREUQCSA-N 0 1 254.330 0.721 20 30 CCEDMN C=CCN1CC[C@@H]([NH2+][C@H](Cc2cncs2)C(=O)[O-])C1=O ZINC001588838783 984340686 /nfs/dbraw/zinc/34/06/86/984340686.db2.gz JTQUEQJEWINWBB-GHMZBOCLSA-N 0 1 295.364 0.515 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CC3CC2(C(=O)[O-])C3)C1=O ZINC001588838866 984341792 /nfs/dbraw/zinc/34/17/92/984341792.db2.gz LLVZAOMBLCQTAL-RUETXSTFSA-N 0 1 250.298 0.322 20 30 CCEDMN C=CCONC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC001588868529 984433269 /nfs/dbraw/zinc/43/32/69/984433269.db2.gz JFQVDCUQDPOZCS-JTQLQIEISA-N 0 1 271.317 0.294 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](C(=O)OC)C[C@H]1C(=O)[O-] ZINC001588868974 984437114 /nfs/dbraw/zinc/43/71/14/984437114.db2.gz JALKFSVWYVBLRZ-MNOVXSKESA-N 0 1 271.313 0.527 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001589388804 986498255 /nfs/dbraw/zinc/49/82/55/986498255.db2.gz QYRGAQGCZDNNPA-UKKRHICBSA-N 0 1 277.324 0.200 20 30 CCEDMN CNC(=O)[C@@H]1CC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC001598638373 994276754 /nfs/dbraw/zinc/27/67/54/994276754.db2.gz AXVUEHTXZDGSSK-OLZOCXBDSA-N 0 1 287.319 0.752 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](CCC(C)(C)C#N)C[C@@H]1C(=O)[O-] ZINC001598824337 996365475 /nfs/dbraw/zinc/36/54/75/996365475.db2.gz ZRVMMUPXVIFVAP-VHSXEESVSA-N 0 1 268.313 0.732 20 30 CCEDMN CO[C@@H]1CC[N@@H+](CCO[C@H](C)C#N)[C@@H](C(=O)[O-])C1 ZINC001599124980 997825085 /nfs/dbraw/zinc/82/50/85/997825085.db2.gz XOTYFUONOWSANC-GMTAPVOTSA-N 0 1 256.302 0.479 20 30 CCEDMN C=CCNc1ncc(C(=O)NN2CCCNC2=O)s1 ZINC000273033701 192025682 /nfs/dbraw/zinc/02/56/82/192025682.db2.gz FASYZXUOGPJWCC-UHFFFAOYSA-N 0 1 281.341 0.801 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)C[C@H]1C[C@@H](O)C1 ZINC000599671603 361780798 /nfs/dbraw/zinc/78/07/98/361780798.db2.gz IRGVZPQSBQTLGK-MBNYWOFBSA-N 0 1 267.373 0.744 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](C(=O)OC)[C@H]2CCC[C@@H]21 ZINC000599653408 361773174 /nfs/dbraw/zinc/77/31/74/361773174.db2.gz NTELLOFHRDKERB-AGIUHOORSA-N 0 1 280.368 0.952 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)CC(O)(CC)CC ZINC000599680344 361783499 /nfs/dbraw/zinc/78/34/99/361783499.db2.gz LYRGWEJCDZMHKH-UHFFFAOYSA-N 0 1 271.361 0.481 20 30 CCEDMN CCN(C(=O)c1ccc(NS(C)(=O)=O)nc1)[C@@H](C)C#N ZINC000600109885 361868895 /nfs/dbraw/zinc/86/88/95/361868895.db2.gz QXMBSHIERFXBDB-VIFPVBQESA-N 0 1 296.352 0.827 20 30 CCEDMN N#Cc1cc([O-])cc(C(=O)NCC[N@H+]2CC[C@@H](O)C2)c1 ZINC000600530993 362005121 /nfs/dbraw/zinc/00/51/21/362005121.db2.gz DAPRVAXOIWNTLX-GFCCVEGCSA-N 0 1 275.308 0.060 20 30 CCEDMN N#Cc1cc([O-])cc(C(=O)NCC[N@@H+]2CC[C@@H](O)C2)c1 ZINC000600530993 362005128 /nfs/dbraw/zinc/00/51/28/362005128.db2.gz DAPRVAXOIWNTLX-GFCCVEGCSA-N 0 1 275.308 0.060 20 30 CCEDMN CC(C)CCN(CCC#N)C(=O)CCc1nn[nH]n1 ZINC000601037150 362138265 /nfs/dbraw/zinc/13/82/65/362138265.db2.gz PIUWZNCLIBTIRJ-UHFFFAOYSA-N 0 1 264.333 0.921 20 30 CCEDMN CN(C)[C@H]1CCN(S(=O)(=O)c2ccccc2C#N)C1 ZINC000071140127 282264366 /nfs/dbraw/zinc/26/43/66/282264366.db2.gz FLNLASVTGNYFRU-LBPRGKRZSA-N 0 1 279.365 0.883 20 30 CCEDMN CN1C[C@@H](NC(=O)NCCN2CC=CCC2)CCC1=O ZINC000329987496 529819045 /nfs/dbraw/zinc/81/90/45/529819045.db2.gz GDOGCIBIAFAZEX-LBPRGKRZSA-N 0 1 280.372 0.373 20 30 CCEDMN CN(CCOc1ccccc1C#N)[C@H]1COC[C@H]1O ZINC000352796763 529927133 /nfs/dbraw/zinc/92/71/33/529927133.db2.gz HPWCDKNIXJLDRJ-QWHCGFSZSA-N 0 1 262.309 0.629 20 30 CCEDMN Cc1cc(Cc2nnc(N3CC[C@](F)(C#N)C3)n2C)[nH]n1 ZINC000601855105 362411322 /nfs/dbraw/zinc/41/13/22/362411322.db2.gz GTJMGLQGUVVYIZ-ZDUSSCGKSA-N 0 1 289.318 0.879 20 30 CCEDMN CN(CCOCCC#N)[C@@H]1CCCCN(C)C1=O ZINC000601973976 362446219 /nfs/dbraw/zinc/44/62/19/362446219.db2.gz FWTLEFQLMZPXSZ-GFCCVEGCSA-N 0 1 253.346 0.859 20 30 CCEDMN N#Cc1c(F)cccc1CNC[C@H](O)Cn1ccnn1 ZINC000602018805 362460286 /nfs/dbraw/zinc/46/02/86/362460286.db2.gz CGBXTJHXYUGFPU-NSHDSACASA-N 0 1 275.287 0.440 20 30 CCEDMN N#Cc1cccc(CNCCC(=O)N2CCOCC2)n1 ZINC000602520010 362654955 /nfs/dbraw/zinc/65/49/55/362654955.db2.gz FPIFZIHUIZTLFE-UHFFFAOYSA-N 0 1 274.324 0.292 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2cccc(C#N)n2)CC1 ZINC000602464528 362630858 /nfs/dbraw/zinc/63/08/58/362630858.db2.gz YYBAFQOILUFSJS-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN CN(Cc1cccc(C#N)n1)C[C@@H](O)CN1CCOCC1 ZINC000602477637 362637310 /nfs/dbraw/zinc/63/73/10/362637310.db2.gz KOVMBQSDQFWOGF-OAHLLOKOSA-N 0 1 290.367 0.078 20 30 CCEDMN N#Cc1cc(N2CCN([C@@H]3CCC[C@@H]3O)CC2)ncn1 ZINC000617948617 365854377 /nfs/dbraw/zinc/85/43/77/365854377.db2.gz CKKFXHUNUMXLOG-OLZOCXBDSA-N 0 1 273.340 0.384 20 30 CCEDMN CCN1CCN(CC(=O)N[C@](C)(C#N)C2CC2)CC1 ZINC000022846135 348083712 /nfs/dbraw/zinc/08/37/12/348083712.db2.gz USCFTTFPEZPDQC-CQSZACIVSA-N 0 1 264.373 0.432 20 30 CCEDMN C[C@H](CC#N)NCC1=Nc2ccccc2S(=O)(=O)N1 ZINC000602870735 362852425 /nfs/dbraw/zinc/85/24/25/362852425.db2.gz WDUKCGSWJRIUTJ-SECBINFHSA-N 0 1 278.337 0.900 20 30 CCEDMN CO[C@H]1C[C@@H](CNC(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602884983 362863179 /nfs/dbraw/zinc/86/31/79/362863179.db2.gz XRPXRHPQOXOZTH-WAAGHKOSSA-N 0 1 263.301 0.260 20 30 CCEDMN C[C@@H]1C[C@@H](CNC(=O)N=c2[nH]n(C)cc2C#N)[C@H](C)O1 ZINC000602888428 362867031 /nfs/dbraw/zinc/86/70/31/362867031.db2.gz URIRSWDCILMEKK-UTLUCORTSA-N 0 1 277.328 0.649 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC(C(F)(F)F)C2)[nH]1 ZINC000602880956 362860576 /nfs/dbraw/zinc/86/05/76/362860576.db2.gz OXSPDLXSPCCEFB-UHFFFAOYSA-N 0 1 273.218 0.740 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)c2ccc(C(N)=O)cn2)[nH]1 ZINC000345196671 137238999 /nfs/dbraw/zinc/23/89/99/137238999.db2.gz YOALDHMKDFQVOT-UHFFFAOYSA-N 0 1 298.306 0.504 20 30 CCEDMN C[C@]12CN(C[C@@H](C#N)CCC#N)C[C@@]1(C)C(=O)NC2=O ZINC000602934020 362895767 /nfs/dbraw/zinc/89/57/67/362895767.db2.gz FBWJIUMLUZBUAD-HONMWMINSA-N 0 1 274.324 0.415 20 30 CCEDMN C=CCOc1ccc(C(=O)NCc2nn[nH]n2)cc1 ZINC000044927235 348328048 /nfs/dbraw/zinc/32/80/48/348328048.db2.gz PLRHUFGCMOROOI-UHFFFAOYSA-N 0 1 259.269 0.695 20 30 CCEDMN CN(CCCN1C(=O)CNC1=O)[C@H]1CCC[C@H]1C#N ZINC000602976430 362917905 /nfs/dbraw/zinc/91/79/05/362917905.db2.gz PNVWEYYMHRNACO-QWRGUYRKSA-N 0 1 264.329 0.552 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](C(=O)NCCC)C1 ZINC000051002649 348483962 /nfs/dbraw/zinc/48/39/62/348483962.db2.gz PNKLXWZGWIAUMC-GFCCVEGCSA-N 0 1 267.373 0.527 20 30 CCEDMN C[C@H](C(N)=O)N1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000055172147 348571737 /nfs/dbraw/zinc/57/17/37/348571737.db2.gz GQTVGULMEFQPDZ-CYBMUJFWSA-N 0 1 286.379 0.940 20 30 CCEDMN COCCCN(C)CC(=O)N(CCC#N)CCC#N ZINC000066378299 348740689 /nfs/dbraw/zinc/74/06/89/348740689.db2.gz PXRJZZYJWLNWBY-UHFFFAOYSA-N 0 1 266.345 0.611 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000066913614 348760333 /nfs/dbraw/zinc/76/03/33/348760333.db2.gz LCXHWAIISCMYCP-ZDUSSCGKSA-N 0 1 297.399 0.200 20 30 CCEDMN C[C@H](Oc1ccccc1C#N)C(=O)N1CCNCC1 ZINC000071309095 348907994 /nfs/dbraw/zinc/90/79/94/348907994.db2.gz LLKHJBHAZBZBBM-NSHDSACASA-N 0 1 259.309 0.757 20 30 CCEDMN Cn1cc([C@@H](CO)NCCOc2cccc(C#N)c2)cn1 ZINC000273997100 192395453 /nfs/dbraw/zinc/39/54/53/192395453.db2.gz KGEWWESPGVPONK-OAHLLOKOSA-N 0 1 286.335 0.994 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1cc(C#N)cn1C ZINC000081751882 349282159 /nfs/dbraw/zinc/28/21/59/349282159.db2.gz XNLVMOQFORTVKB-LBPRGKRZSA-N 0 1 289.383 0.262 20 30 CCEDMN CN1C(=O)CC[C@H](NCc2cccc(C#N)c2)C1=O ZINC000090025803 349421937 /nfs/dbraw/zinc/42/19/37/349421937.db2.gz FNIZOKJJWNMYDE-LBPRGKRZSA-N 0 1 257.293 0.795 20 30 CCEDMN C#CCOc1ccccc1CN1CC[C@@H](NC(N)=O)C1 ZINC000271253169 191029452 /nfs/dbraw/zinc/02/94/52/191029452.db2.gz FDTZJFMISXNRMH-CYBMUJFWSA-N 0 1 273.336 0.941 20 30 CCEDMN CC(=O)NCCCN1CCN(c2ccc(C#N)cn2)CC1 ZINC000165849398 350793537 /nfs/dbraw/zinc/79/35/37/350793537.db2.gz LQKDIDINAUZZQM-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](CCC(N)=O)C1 ZINC000170735520 350855340 /nfs/dbraw/zinc/85/53/40/350855340.db2.gz FFGJEJSMRJBWQC-NWDGAFQWSA-N 0 1 267.373 0.655 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@H](CCC(N)=O)C1 ZINC000170735520 350855343 /nfs/dbraw/zinc/85/53/43/350855343.db2.gz FFGJEJSMRJBWQC-NWDGAFQWSA-N 0 1 267.373 0.655 20 30 CCEDMN CNCc1cn([C@@H](C)C(=O)N[C@](C)(C#N)C(C)C)nn1 ZINC000603178630 362985514 /nfs/dbraw/zinc/98/55/14/362985514.db2.gz XHRDRSLCMGLXFK-GXFFZTMASA-N 0 1 278.360 0.613 20 30 CCEDMN Cc1oc(NC(=O)CN(C)Cc2nnc[nH]2)c(C#N)c1C ZINC000174820122 351105591 /nfs/dbraw/zinc/10/55/91/351105591.db2.gz JQORLEUCVLWTTM-UHFFFAOYSA-N 0 1 288.311 0.957 20 30 CCEDMN C#CCSCCNC(=O)CSc1nnc(C)[nH]1 ZINC000175130806 351120212 /nfs/dbraw/zinc/12/02/12/351120212.db2.gz VWUNZQJCTHKGDT-UHFFFAOYSA-N 0 1 270.383 0.688 20 30 CCEDMN C#CCSCCNC(=O)CSc1nc(C)n[nH]1 ZINC000175130806 351120214 /nfs/dbraw/zinc/12/02/14/351120214.db2.gz VWUNZQJCTHKGDT-UHFFFAOYSA-N 0 1 270.383 0.688 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN(C[C@H]3CCOC3)CC2)c[nH]1 ZINC000181329925 351312495 /nfs/dbraw/zinc/31/24/95/351312495.db2.gz JDWFNYMMNJGIJD-GFCCVEGCSA-N 0 1 288.351 0.681 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000183767458 351390680 /nfs/dbraw/zinc/39/06/80/351390680.db2.gz KKYSIOPSUQADBO-KOLCDFICSA-N 0 1 266.345 0.885 20 30 CCEDMN CN1CCN(Cc2cn(CC3(C#N)CCC3)nn2)CC1 ZINC000603256749 363027083 /nfs/dbraw/zinc/02/70/83/363027083.db2.gz QKFCPHGIDLTIRU-UHFFFAOYSA-N 0 1 274.372 0.719 20 30 CCEDMN CCN(C)C(=O)CNCc1cnc2ccc(C#N)cn12 ZINC000185181941 351436767 /nfs/dbraw/zinc/43/67/67/351436767.db2.gz WYHBCDOPSLFZGZ-UHFFFAOYSA-N 0 1 271.324 0.774 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000185254233 351440655 /nfs/dbraw/zinc/44/06/55/351440655.db2.gz FLDCGZRJGBTWCV-UONOGXRCSA-N 0 1 299.415 0.579 20 30 CCEDMN Cc1cc(C(=O)N2CCN(c3ccc(C#N)cn3)CC2)n[nH]1 ZINC000194571335 351874907 /nfs/dbraw/zinc/87/49/07/351874907.db2.gz KWQRKKMVWNSNEG-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN CCN(CCC(=O)Nc1cccc(C#N)c1)CC(N)=O ZINC000231820156 352134351 /nfs/dbraw/zinc/13/43/51/352134351.db2.gz UTEHLMRHHAWFTI-UHFFFAOYSA-N 0 1 274.324 0.694 20 30 CCEDMN C#C[C@H](C)Oc1nc[nH]c(=O)c1I ZINC000235535906 352185512 /nfs/dbraw/zinc/18/55/12/352185512.db2.gz IHHOVCMOKRFMJW-YFKPBYRVSA-N 0 1 290.060 0.775 20 30 CCEDMN CC[N@@H+](C)CCNS(=O)(=O)c1cccnc1C#N ZINC000233447012 352149812 /nfs/dbraw/zinc/14/98/12/352149812.db2.gz NTZFBDPMYIDJOD-UHFFFAOYSA-N 0 1 268.342 0.183 20 30 CCEDMN C[C@@H](NC(=O)C(C)(C)C)C(=O)NC1(C#N)CCN(C)CC1 ZINC000603886261 363166541 /nfs/dbraw/zinc/16/65/41/363166541.db2.gz UPNIXERSSGMCMY-LLVKDONJSA-N 0 1 294.399 0.641 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)/C=C/c2ccccc2C#N)C1 ZINC000264804122 352598607 /nfs/dbraw/zinc/59/86/07/352598607.db2.gz WYNPYDPYCSLOGX-KXPUMZMLSA-N 0 1 298.390 0.933 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCN(C2CCC2)CC1 ZINC000264969435 352611126 /nfs/dbraw/zinc/61/11/26/352611126.db2.gz IBBOMKYYENWPKC-GFCCVEGCSA-N 0 1 294.399 0.557 20 30 CCEDMN C=CCCN1CCN(c2ccc3nncn3n2)CC1 ZINC000265781165 352663783 /nfs/dbraw/zinc/66/37/83/352663783.db2.gz KPQOGEQKULNMBN-UHFFFAOYSA-N 0 1 258.329 0.822 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000292212376 198204511 /nfs/dbraw/zinc/20/45/11/198204511.db2.gz HIGGBYHUYDIERU-VIFPVBQESA-N 0 1 285.344 0.926 20 30 CCEDMN C#CCCCN1CCN(C(=O)c2ccc(=O)[nH]c2)CC1 ZINC000271037294 353016670 /nfs/dbraw/zinc/01/66/70/353016670.db2.gz MAGQRNPNBOINHM-UHFFFAOYSA-N 0 1 273.336 0.958 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN(CCn3cncn3)C2)nc1 ZINC000292276630 198227030 /nfs/dbraw/zinc/22/70/30/198227030.db2.gz SPYNNEXBDCHQRH-ZDUSSCGKSA-N 0 1 284.323 0.698 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2cccnc2C#N)CC1 ZINC000277862546 353270163 /nfs/dbraw/zinc/27/01/63/353270163.db2.gz OLQPVUXJZOIWHZ-LBPRGKRZSA-N 0 1 260.341 0.846 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)Sc1c[nH]nn1 ZINC000155888937 188233509 /nfs/dbraw/zinc/23/35/09/188233509.db2.gz FALDXIHFWRALFU-LURJTMIESA-N 0 1 255.303 0.297 20 30 CCEDMN C#CCN[C@@H](C)c1cccc(S(=O)(=O)NC)c1 ZINC000157191957 188302806 /nfs/dbraw/zinc/30/28/06/188302806.db2.gz ZCOCDTCHEMZOIN-JTQLQIEISA-N 0 1 252.339 0.879 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000280548151 353368649 /nfs/dbraw/zinc/36/86/49/353368649.db2.gz DOPLMZGUJWZCBT-NSHDSACASA-N 0 1 290.367 0.825 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)Cc1cccc(C#N)c1 ZINC000286526776 353593424 /nfs/dbraw/zinc/59/34/24/353593424.db2.gz BGSAYHBBPPLRLZ-NSHDSACASA-N 0 1 281.381 0.928 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(C[C@H](C)O)C[C@@H]2C)n1 ZINC000286843358 353614611 /nfs/dbraw/zinc/61/46/11/353614611.db2.gz LWJSHKOOKFDNGY-RYUDHWBXSA-N 0 1 275.356 0.548 20 30 CCEDMN C=CCCOCC(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000605527768 363256942 /nfs/dbraw/zinc/25/69/42/363256942.db2.gz KGNVBIYNPXHAQT-UHFFFAOYSA-N 0 1 293.371 0.350 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCC[C@H]2C(=O)NC)CC1 ZINC000299136748 353993933 /nfs/dbraw/zinc/99/39/33/353993933.db2.gz HFEWTQZVGAKMLK-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC[C@H](C)O)CC1 ZINC000304672860 354119928 /nfs/dbraw/zinc/11/99/28/354119928.db2.gz XAVULPJSPBULRR-LBPRGKRZSA-N 0 1 252.358 0.561 20 30 CCEDMN C=CCn1cc(CNC(=O)c2conc2C(C)(C)N)nn1 ZINC000655480658 412586991 /nfs/dbraw/zinc/58/69/91/412586991.db2.gz ILVYVUOSUQZBKY-UHFFFAOYSA-N 0 1 290.327 0.576 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)[C@H](C)n2cnc(C#N)n2)CCN1C ZINC000337105213 355265800 /nfs/dbraw/zinc/26/58/00/355265800.db2.gz RXEVRJFUWHCHCJ-NWDGAFQWSA-N 0 1 290.371 0.509 20 30 CCEDMN C[C@@H](NCc1cc(C#N)n(C)c1)C(=O)NCCF ZINC000337234734 355288628 /nfs/dbraw/zinc/28/86/28/355288628.db2.gz BLEFMHFENQGLQF-SECBINFHSA-N 0 1 252.293 0.461 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N(C)CCOCCO ZINC000337489640 355303094 /nfs/dbraw/zinc/30/30/94/355303094.db2.gz LXJSVWUORRPEHV-JSGCOSHPSA-N 0 1 285.388 0.370 20 30 CCEDMN C[C@@H]1C[C@@H](O)CN1CC(=O)N(CCC#N)CCC#N ZINC000340928121 355589483 /nfs/dbraw/zinc/58/94/83/355589483.db2.gz MBBIDKUFWHWGCM-VXGBXAGGSA-N 0 1 264.329 0.097 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)CN1[C@H](C)CC[C@H]1C ZINC000345543102 291070255 /nfs/dbraw/zinc/07/02/55/291070255.db2.gz KMPOSLDKVLIBQD-GHMZBOCLSA-N 0 1 267.329 0.384 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1 ZINC000362413468 283133112 /nfs/dbraw/zinc/13/31/12/283133112.db2.gz YSIHCVOPSPIHFG-UHFFFAOYSA-N 0 1 256.225 0.028 20 30 CCEDMN Cc1[nH]sc(=Nc2ccn(CC(N)=O)n2)c1C#N ZINC000360954321 138016572 /nfs/dbraw/zinc/01/65/72/138016572.db2.gz QGUTUZZGROHZMT-UHFFFAOYSA-N 0 1 262.298 0.171 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)c2ccnc(C#N)c2)C1 ZINC000619435017 366250346 /nfs/dbraw/zinc/25/03/46/366250346.db2.gz DYJBUCMLDXBSAG-ZDUSSCGKSA-N 0 1 288.351 0.794 20 30 CCEDMN N#CCN1CCC(N[C@@H](C(N)=O)c2ccc(F)cc2)CC1 ZINC000609323730 363488944 /nfs/dbraw/zinc/48/89/44/363488944.db2.gz UXNGCMKRVFRYBF-CQSZACIVSA-N 0 1 290.342 0.930 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000609919805 363528414 /nfs/dbraw/zinc/52/84/14/363528414.db2.gz QHUZSFGLSNYIHF-OLZOCXBDSA-N 0 1 265.357 0.859 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2ccnc(C#N)c2)[C@@H](C)C1 ZINC000619721740 366341598 /nfs/dbraw/zinc/34/15/98/366341598.db2.gz KLWQFJIEZBHJFD-STQMWFEESA-N 0 1 274.368 0.840 20 30 CCEDMN CN(C(=O)c1cnn(C)c1C#N)[C@@H]1CN2CCC1CC2 ZINC000356003980 356683588 /nfs/dbraw/zinc/68/35/88/356683588.db2.gz QZMSFJIJMYOHDS-CYBMUJFWSA-N 0 1 273.340 0.458 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N[C@@H]1CCCN(C)C1 ZINC000619749373 366347723 /nfs/dbraw/zinc/34/77/23/366347723.db2.gz ZYYCNNOVZJOWCJ-GHMZBOCLSA-N 0 1 274.390 0.149 20 30 CCEDMN CN(C(=O)c1cnn(C)c1C#N)[C@@H]1CCCN(C)C1 ZINC000356201300 356721920 /nfs/dbraw/zinc/72/19/20/356721920.db2.gz HYCLWBBJXVYACN-SNVBAGLBSA-N 0 1 261.329 0.458 20 30 CCEDMN COCCN(CCC#N)C(=O)c1n[nH]c(C)c1[N+](=O)[O-] ZINC000610492149 363567349 /nfs/dbraw/zinc/56/73/49/363567349.db2.gz VYUHEMRXTPIQMS-UHFFFAOYSA-N 0 1 281.272 0.629 20 30 CCEDMN CC(C)(NC(=O)COc1ccccc1C#N)c1nn[nH]n1 ZINC000359807936 356900013 /nfs/dbraw/zinc/90/00/13/356900013.db2.gz ZROSHFMNKUIVEX-UHFFFAOYSA-N 0 1 286.295 0.502 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1C[C@H]2CCC[C@@H](C1)C2O)C1CC1 ZINC000360971320 357043499 /nfs/dbraw/zinc/04/34/99/357043499.db2.gz ATUUCBOJJFXHRL-VPACOFPVSA-N 0 1 291.395 0.888 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2c3ccccc3C[C@H]2O)[nH]1 ZINC000610527972 363572902 /nfs/dbraw/zinc/57/29/02/363572902.db2.gz CLVKQFKJWWJLKO-OLZOCXBDSA-N 0 1 297.318 0.493 20 30 CCEDMN CC[C@H](COC)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610560840 363576025 /nfs/dbraw/zinc/57/60/25/363576025.db2.gz XEHKGMUYIULKDS-SECBINFHSA-N 0 1 251.290 0.260 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610561830 363576626 /nfs/dbraw/zinc/57/66/26/363576626.db2.gz RYCZSHNWWANXTD-SECBINFHSA-N 0 1 273.300 0.120 20 30 CCEDMN CC[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H](C)O1 ZINC000610562704 363577999 /nfs/dbraw/zinc/57/79/99/363577999.db2.gz CPEGYVTZLNBKDQ-MWLCHTKSSA-N 0 1 277.328 0.745 20 30 CCEDMN CCC[C@H](CCO)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610562743 363578231 /nfs/dbraw/zinc/57/82/31/363578231.db2.gz DYKDMRKNGCOVME-SNVBAGLBSA-N 0 1 279.344 0.634 20 30 CCEDMN N#Cc1cncnc1NCCCCN1CCOCC1 ZINC000561385540 291128311 /nfs/dbraw/zinc/12/83/11/291128311.db2.gz UWDPRQMVLOPWGQ-UHFFFAOYSA-N 0 1 261.329 0.294 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](COC)c1ccco1 ZINC000294706638 199144033 /nfs/dbraw/zinc/14/40/33/199144033.db2.gz UXMOEZXXGYTXGL-NSHDSACASA-N 0 1 250.298 0.696 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2ccnn2C)CC1 ZINC000491202129 358292366 /nfs/dbraw/zinc/29/23/66/358292366.db2.gz LZMJFNNSLCEYBS-UHFFFAOYSA-N 0 1 260.341 0.382 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@@H]2CCC[C@H]21 ZINC000610762670 363610207 /nfs/dbraw/zinc/61/02/07/363610207.db2.gz LEPDZNDQLDGKPP-GARJFASQSA-N 0 1 251.330 0.871 20 30 CCEDMN N#Cc1cccnc1N1CCN(CC[C@H]2CCNC2=O)CC1 ZINC000569788660 358792438 /nfs/dbraw/zinc/79/24/38/358792438.db2.gz RKRXRWUZGDSURB-CYBMUJFWSA-N 0 1 299.378 0.601 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](c3nccn3C)C2)C1=O ZINC000584788707 359208279 /nfs/dbraw/zinc/20/82/79/359208279.db2.gz OYGLVXLTZBRROZ-OLZOCXBDSA-N 0 1 274.368 0.996 20 30 CCEDMN COCCC1(CNC(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000610876689 363625084 /nfs/dbraw/zinc/62/50/84/363625084.db2.gz OWMIFMQBSZBCSN-UHFFFAOYSA-N 0 1 277.328 0.652 20 30 CCEDMN COCCN(CCC#N)C(=O)CCSc1nnc(C)[nH]1 ZINC000610987693 363642375 /nfs/dbraw/zinc/64/23/75/363642375.db2.gz RGRYOFOPZFXUAO-UHFFFAOYSA-N 0 1 297.384 0.984 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)N=c2ccn(CCC#N)[nH]2)n[nH]1 ZINC000588249320 359318830 /nfs/dbraw/zinc/31/88/30/359318830.db2.gz UTOCFWWXSTXHAF-QMMMGPOBSA-N 0 1 288.315 0.528 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(CCO)C(C)(C)C2)n1 ZINC000588026879 359308245 /nfs/dbraw/zinc/30/82/45/359308245.db2.gz RYEAIRPOXDXQAI-UHFFFAOYSA-N 0 1 275.356 0.550 20 30 CCEDMN CCN(CC)Cc1ccc(C(=O)NCC(=O)NCC#N)o1 ZINC000588077261 359312123 /nfs/dbraw/zinc/31/21/23/359312123.db2.gz STQZXVZIVMLCNH-UHFFFAOYSA-N 0 1 292.339 0.491 20 30 CCEDMN COC(=O)C[C@H]1CCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000610936185 363633338 /nfs/dbraw/zinc/63/33/38/363633338.db2.gz FQUAYHXKAULARO-SNVBAGLBSA-N 0 1 291.311 0.273 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@H](CC(N)=O)C1 ZINC000588976807 359355732 /nfs/dbraw/zinc/35/57/32/359355732.db2.gz YZVWIFQLYACVJB-GHMZBOCLSA-N 0 1 278.356 0.135 20 30 CCEDMN C[C@@H]1CSC[C@@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000588258680 359320115 /nfs/dbraw/zinc/32/01/15/359320115.db2.gz BLAPRMMQTUBDKH-APPZFPTMSA-N 0 1 265.342 0.587 20 30 CCEDMN C[C@H]1CSC[C@@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000588258681 359320122 /nfs/dbraw/zinc/32/01/22/359320122.db2.gz BLAPRMMQTUBDKH-CBAPKCEASA-N 0 1 265.342 0.587 20 30 CCEDMN Cc1nnc(SCC(=O)Nc2n[nH]c(C)c2C#N)[nH]1 ZINC000588715628 359332916 /nfs/dbraw/zinc/33/29/16/359332916.db2.gz VWPHJTWAEVFQHJ-UHFFFAOYSA-N 0 1 277.313 0.747 20 30 CCEDMN Cc1n[nH]c(SCC(=O)Nc2n[nH]c(C)c2C#N)n1 ZINC000588715628 359332923 /nfs/dbraw/zinc/33/29/23/359332923.db2.gz VWPHJTWAEVFQHJ-UHFFFAOYSA-N 0 1 277.313 0.747 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2cccc(C#N)n2)n1 ZINC000589399312 359380700 /nfs/dbraw/zinc/38/07/00/359380700.db2.gz OAMWWXZGBILMMA-UHFFFAOYSA-N 0 1 256.269 0.352 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCOC(C)(C)C2)[nH]1 ZINC000611095600 363658886 /nfs/dbraw/zinc/65/88/86/363658886.db2.gz UIJWNXZKUDIQEJ-JTQLQIEISA-N 0 1 277.328 0.793 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3cccc(C#N)c3)[C@H]2C1 ZINC000589930035 359411003 /nfs/dbraw/zinc/41/10/03/359411003.db2.gz RKFWAMLVQUAZDM-OCCSQVGLSA-N 0 1 291.376 0.883 20 30 CCEDMN CC[C@H](CO)N1CCN(C(=O)CC2(C#N)CC2)CC1 ZINC000590309231 359437111 /nfs/dbraw/zinc/43/71/11/359437111.db2.gz MCLIHHGYWNSQPP-GFCCVEGCSA-N 0 1 265.357 0.595 20 30 CCEDMN CN1CCC[C@H](NC(=O)C(=O)Nc2sccc2C#N)C1 ZINC000590219068 359430214 /nfs/dbraw/zinc/43/02/14/359430214.db2.gz DQROQGJKTPRTBF-JTQLQIEISA-N 0 1 292.364 0.769 20 30 CCEDMN N#C[C@]1(F)CCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000589629991 359393594 /nfs/dbraw/zinc/39/35/94/359393594.db2.gz QWKSOZJHAAQQRD-NOZJJQNGSA-N 0 1 277.303 0.914 20 30 CCEDMN N#CCc1ccc(C(=O)NC[C@H]2CN3CCN2CCC3)cc1 ZINC000590354908 359443363 /nfs/dbraw/zinc/44/33/63/359443363.db2.gz BHSVRQHKOIRPFR-INIZCTEOSA-N 0 1 298.390 0.872 20 30 CCEDMN C[C@@H]1CN(c2cnc(C#N)cn2)C[C@H](C)N1CCO ZINC000590722785 359510945 /nfs/dbraw/zinc/51/09/45/359510945.db2.gz SHVHCEQDMZLNOZ-PHIMTYICSA-N 0 1 261.329 0.240 20 30 CCEDMN CO[C@@H]([C@@H](C)NC(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000590819767 359527565 /nfs/dbraw/zinc/52/75/65/359527565.db2.gz UWAPRXDZWYUAPQ-KCJUWKMLSA-N 0 1 277.328 0.649 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(C(=O)CC2(C#N)CC2)C1 ZINC000591224300 359570117 /nfs/dbraw/zinc/57/01/17/359570117.db2.gz LNCGVOLDUUWJMS-LLVKDONJSA-N 0 1 279.340 0.243 20 30 CCEDMN Cc1cc(=NC(=O)N=c2[nH]n(C)cc2C#N)[nH]n1C(C)C ZINC000591483838 359597873 /nfs/dbraw/zinc/59/78/73/359597873.db2.gz HSOOUWKTQRSNPS-UHFFFAOYSA-N 0 1 287.327 0.865 20 30 CCEDMN CCc1nc(CNS(=O)(=O)c2ccc(C#N)nc2)n[nH]1 ZINC000296946306 200042769 /nfs/dbraw/zinc/04/27/69/200042769.db2.gz GZGHWAPVAVUFCB-UHFFFAOYSA-N 0 1 292.324 0.112 20 30 CCEDMN COc1cccc(CNC[C@@H](O)CN(C)CCC#N)n1 ZINC000591728758 359648868 /nfs/dbraw/zinc/64/88/68/359648868.db2.gz FIHQCAKJSSDXJO-CYBMUJFWSA-N 0 1 278.356 0.386 20 30 CCEDMN CN1CCC[C@H](NC(=O)N2CC[C@@](O)(CC#N)C2)C1 ZINC000591926456 359703885 /nfs/dbraw/zinc/70/38/85/359703885.db2.gz YMTDGJYGAKHZNP-AAEUAGOBSA-N 0 1 266.345 0.141 20 30 CCEDMN C=C[C@H](O)C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000620785045 366568207 /nfs/dbraw/zinc/56/82/07/366568207.db2.gz WZCUJFGEGOUOJO-KGLIPLIRSA-N 0 1 260.337 0.924 20 30 CCEDMN Cc1c(CNC(=O)N=c2[nH]n(C)cc2C#N)cnn1C ZINC000611162132 363668189 /nfs/dbraw/zinc/66/81/89/363668189.db2.gz XPFCIFSMICJYNO-UHFFFAOYSA-N 0 1 273.300 0.077 20 30 CCEDMN CC(C)OC[C@@H](O)CN1CCN(CCC#N)CC1 ZINC000611173898 363670460 /nfs/dbraw/zinc/67/04/60/363670460.db2.gz PWXUJJNEECOZIR-ZDUSSCGKSA-N 0 1 255.362 0.304 20 30 CCEDMN CN(Cc1cnc2c(C#N)cnn2c1)C[C@H](O)C1CC1 ZINC000592512837 359868511 /nfs/dbraw/zinc/86/85/11/359868511.db2.gz PKCLMYFZVWOHIM-ZDUSSCGKSA-N 0 1 271.324 0.804 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H](C)OCC2CC2)[nH]n1C ZINC000592645918 359907858 /nfs/dbraw/zinc/90/78/58/359907858.db2.gz JWYSGJCXWLXBDA-SECBINFHSA-N 0 1 262.313 0.776 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2ccc(N(C)C)nc2)[nH]n1C ZINC000592645674 359908154 /nfs/dbraw/zinc/90/81/54/359908154.db2.gz CTDZALDUUGZIKL-UHFFFAOYSA-N 0 1 284.323 0.735 20 30 CCEDMN Cc1nnsc1C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592647652 359908461 /nfs/dbraw/zinc/90/84/61/359908461.db2.gz SXZWZAOVYXHDIZ-UHFFFAOYSA-N 0 1 262.298 0.434 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CC[C@H]2CCN(C)C[C@@H]21 ZINC000297898273 200300825 /nfs/dbraw/zinc/30/08/25/200300825.db2.gz QUVGMTAQMYDBEF-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CC[C@H]2CCN(C)C[C@H]21 ZINC000297898279 200300907 /nfs/dbraw/zinc/30/09/07/200300907.db2.gz QUVGMTAQMYDBEF-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000592926614 359984508 /nfs/dbraw/zinc/98/45/08/359984508.db2.gz TVDUGBVUQAOHSE-JTQLQIEISA-N 0 1 278.264 0.805 20 30 CCEDMN CC(C)n1cnc(=NC(=O)c2ccc(C#N)n2C)[nH]1 ZINC000593230358 360053764 /nfs/dbraw/zinc/05/37/64/360053764.db2.gz AEEMOJYZIHZDGI-UHFFFAOYSA-N 0 1 258.285 0.743 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000593508953 360103055 /nfs/dbraw/zinc/10/30/55/360103055.db2.gz XKLZOUATEMHTOY-STQMWFEESA-N 0 1 265.357 0.717 20 30 CCEDMN C[C@@H](CO)N[C@H]1CC(=O)N(c2ccc(C#N)cc2)C1=O ZINC000593921612 360217133 /nfs/dbraw/zinc/21/71/33/360217133.db2.gz YXBRSHGQSPQIRU-CABZTGNLSA-N 0 1 273.292 0.161 20 30 CCEDMN CC[C@H](CC#N)NCCC(=O)N(C)CC(=O)OC ZINC000594009488 360234368 /nfs/dbraw/zinc/23/43/68/360234368.db2.gz WCHNFAFASZRMGE-SNVBAGLBSA-N 0 1 255.318 0.290 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C[C@H](C)O)C1CC1 ZINC000594056783 360243646 /nfs/dbraw/zinc/24/36/46/360243646.db2.gz XZXMJNUXXBUCDX-FZMZJTMJSA-N 0 1 267.373 0.886 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(C(=O)CCCCC#N)C1 ZINC000594368991 360284658 /nfs/dbraw/zinc/28/46/58/360284658.db2.gz VIUDBZRLIHNDJD-GFCCVEGCSA-N 0 1 281.356 0.634 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000594385608 360288766 /nfs/dbraw/zinc/28/87/66/360288766.db2.gz HJBAZHRIDKXGCC-LOWVWBTDSA-N 0 1 251.330 0.204 20 30 CCEDMN N#CCCCCNS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594758730 360377294 /nfs/dbraw/zinc/37/72/94/360377294.db2.gz DVJXSXLZDUNNJF-UHFFFAOYSA-N 0 1 284.297 0.962 20 30 CCEDMN N#C[C@H]1CC[C@@H](NS(=O)(=O)c2ncccc2[N+](=O)[O-])C1 ZINC000594785354 360383034 /nfs/dbraw/zinc/38/30/34/360383034.db2.gz MLJOCWRCIBATCJ-DTWKUNHWSA-N 0 1 296.308 0.960 20 30 CCEDMN C[C@H]([N-]S(=O)(=O)c1ccc(C#N)o1)[C@@H]1CCC[NH2+]C1 ZINC000595106427 360437026 /nfs/dbraw/zinc/43/70/26/360437026.db2.gz WZBCFEFRKSTSQM-VHSXEESVSA-N 0 1 283.353 0.818 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1 ZINC000595282770 360479143 /nfs/dbraw/zinc/47/91/43/360479143.db2.gz DOVOTHOSBLHCKW-VXGBXAGGSA-N 0 1 299.440 0.621 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N1C[C@H](C)NC[C@@H]1C ZINC000595284017 360479838 /nfs/dbraw/zinc/47/98/38/360479838.db2.gz MSDVYCLHCVZLFG-RYUDHWBXSA-N 0 1 299.440 0.621 20 30 CCEDMN CS(=O)(=O)N[C@@H]1CCN(CCc2ccc(C#N)cc2)C1 ZINC000595321990 360496518 /nfs/dbraw/zinc/49/65/18/360496518.db2.gz SKCZCOZMDJUJCU-CQSZACIVSA-N 0 1 293.392 0.724 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)N(C)C[C@@H](C)C#N)CCN1 ZINC000595410580 360524465 /nfs/dbraw/zinc/52/44/65/360524465.db2.gz FIGYPESVCXEBCG-RYUDHWBXSA-N 0 1 288.417 0.252 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)CC2(CC#N)CC2)CCN1 ZINC000595411344 360525692 /nfs/dbraw/zinc/52/56/92/360525692.db2.gz HLEOYQWHLZSTHM-LBPRGKRZSA-N 0 1 285.413 0.940 20 30 CCEDMN COC(=O)CCN1CC[C@@H](N2CCC[C@H](CC#N)C2)C1=O ZINC000595613743 360597122 /nfs/dbraw/zinc/59/71/22/360597122.db2.gz AQKZUJWLFSQMCH-CHWSQXEVSA-N 0 1 293.367 0.776 20 30 CCEDMN N#CC[C@H]1CCCN(CCN2C(=O)CNC2=O)C1 ZINC000595615390 360599205 /nfs/dbraw/zinc/59/92/05/360599205.db2.gz QLOVIWJQZQMPCL-SNVBAGLBSA-N 0 1 250.302 0.164 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@@H](C)C(O)[C@H](C)C1 ZINC000595749865 360640020 /nfs/dbraw/zinc/64/00/20/360640020.db2.gz MVBKYSARQZWSAE-JMSVASOKSA-N 0 1 281.400 0.990 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)NC(=O)Nc1ccccc1 ZINC000595863455 360682851 /nfs/dbraw/zinc/68/28/51/360682851.db2.gz HEJZPMVOEBGCCG-WDEREUQCSA-N 0 1 277.324 0.860 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](C)[C@](C)(CO)C1 ZINC000595872580 360687307 /nfs/dbraw/zinc/68/73/07/360687307.db2.gz JVILMLWKBXRQSO-JSGCOSHPSA-N 0 1 267.373 0.699 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)[C@@H](N)CC(=O)OC)C1 ZINC000595937331 360714115 /nfs/dbraw/zinc/71/41/15/360714115.db2.gz GQHZGHRWVQRQSQ-QWRGUYRKSA-N 0 1 254.330 0.692 20 30 CCEDMN C[C@@H]1CN(CCCNc2cc(C#N)cnn2)C[C@@H](C)O1 ZINC000596069071 360786599 /nfs/dbraw/zinc/78/65/99/360786599.db2.gz JJWQGIHTDHEORP-VXGBXAGGSA-N 0 1 275.356 0.681 20 30 CCEDMN Cn1ncc(C#N)c1N1CC[C@@H](CN2CCOCC2)C1 ZINC000596139693 360810300 /nfs/dbraw/zinc/81/03/00/360810300.db2.gz FBGZWYMYCGVYFV-LBPRGKRZSA-N 0 1 275.356 0.450 20 30 CCEDMN COC[C@@](C)(O)CNc1nc(C#N)c(Cl)s1 ZINC000596127394 360805233 /nfs/dbraw/zinc/80/52/33/360805233.db2.gz YPZUZHWVKSQKBQ-VIFPVBQESA-N 0 1 261.734 0.899 20 30 CCEDMN C=CCCNC(=O)N1CC[C@@H](N2CCN(CC)CC2)C1 ZINC000596717418 360945780 /nfs/dbraw/zinc/94/57/80/360945780.db2.gz JDOWDXFJIYZNSX-CQSZACIVSA-N 0 1 280.416 0.984 20 30 CCEDMN N#C[C@]1(NC(=O)[C@@H]2CCCc3n[nH]nc32)CCSC1 ZINC000597036243 360998749 /nfs/dbraw/zinc/99/87/49/360998749.db2.gz OWJQCCNVOZMWHL-PRHODGIISA-N 0 1 277.353 0.740 20 30 CCEDMN CC[C@@H](C#N)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597044244 360999595 /nfs/dbraw/zinc/99/95/95/360999595.db2.gz QOORWEBHPCPVQQ-ZETCQYMHSA-N 0 1 283.309 0.072 20 30 CCEDMN CC[C@](C)(C#N)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597041904 360999732 /nfs/dbraw/zinc/99/97/32/360999732.db2.gz RCPZIIYNCDCROD-GFCCVEGCSA-N 0 1 297.336 0.462 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)C[C@@H]1N[C@@H]1CC[C@H](C#N)C1 ZINC000597193221 361029365 /nfs/dbraw/zinc/02/93/65/361029365.db2.gz VSIXKWXOMIKGIP-ZRUFSTJUSA-N 0 1 258.343 0.080 20 30 CCEDMN N#C[C@H]1CSCCN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000597476454 361107526 /nfs/dbraw/zinc/10/75/26/361107526.db2.gz LKMYFMLFQHWPGL-ZJUUUORDSA-N 0 1 276.365 0.982 20 30 CCEDMN CN1CC2(C1)CCN(C(=O)CC1(C#N)CCOCC1)C2 ZINC000597567520 361141555 /nfs/dbraw/zinc/14/15/55/361141555.db2.gz DEHXJZAPISVRST-UHFFFAOYSA-N 0 1 277.368 0.861 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000597624791 361167202 /nfs/dbraw/zinc/16/72/02/361167202.db2.gz KZMIZDPJAVLXAE-AWEZNQCLSA-N 0 1 299.378 0.381 20 30 CCEDMN C[NH+](C)CC1CN(C(=O)c2cc([O-])cc(C#N)c2)C1 ZINC000597708818 361205227 /nfs/dbraw/zinc/20/52/27/361205227.db2.gz OYFNXBBDPNMGSQ-UHFFFAOYSA-N 0 1 259.309 0.897 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1C[C@H](CO)OC(C)(C)C1 ZINC000598623621 361464157 /nfs/dbraw/zinc/46/41/57/361464157.db2.gz ZMVHYBOYWBKDPZ-VXGBXAGGSA-N 0 1 270.373 0.759 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)[C@@H]2C[C@H]2OC(C)(C)C)[nH]1 ZINC000598817634 361522002 /nfs/dbraw/zinc/52/20/02/361522002.db2.gz VMFOMUHEEWQBNU-NXEZZACHSA-N 0 1 262.313 0.856 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CNCc1ccc(C(N)=O)o1 ZINC000598720345 361496238 /nfs/dbraw/zinc/49/62/38/361496238.db2.gz OICMLXQYGKCBIS-SECBINFHSA-N 0 1 265.313 0.769 20 30 CCEDMN N#Cc1cc([N+](=O)[O-])ccc1NCCN1CC[C@H](O)C1 ZINC000599201210 361610430 /nfs/dbraw/zinc/61/04/30/361610430.db2.gz GJFKGCVNWFMDTH-LBPRGKRZSA-N 0 1 276.296 0.945 20 30 CCEDMN CC[C@H](CC#N)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599277446 361630301 /nfs/dbraw/zinc/63/03/01/361630301.db2.gz HSWQVYBZBNQJRL-SSDOTTSWSA-N 0 1 287.301 0.299 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCN[C@H]2CCCOC2)nc1 ZINC000564793102 291300473 /nfs/dbraw/zinc/30/04/73/291300473.db2.gz PJUSYIGBVJVWIU-LBPRGKRZSA-N 0 1 295.364 0.496 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1CC(N(C)C)C1 ZINC000613117317 364115933 /nfs/dbraw/zinc/11/59/33/364115933.db2.gz FTHGHVHCJJTYGD-GFCCVEGCSA-N 0 1 253.346 0.230 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000613484451 364264020 /nfs/dbraw/zinc/26/40/20/364264020.db2.gz CLHPHURNHQFTEP-IUCAKERBSA-N 0 1 250.302 0.278 20 30 CCEDMN CC(C)C[C@H](CO)N(C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000614182393 364523314 /nfs/dbraw/zinc/52/33/14/364523314.db2.gz OZRPWSALBMZDJQ-LLVKDONJSA-N 0 1 279.344 0.584 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000614507956 364638219 /nfs/dbraw/zinc/63/82/19/364638219.db2.gz RLXLNLOVASPRHN-CYBMUJFWSA-N 0 1 267.373 0.085 20 30 CCEDMN CCN1CCN([C@H](C)C(=O)NC2(C#N)CCC2)C[C@H]1C ZINC000615793554 365091250 /nfs/dbraw/zinc/09/12/50/365091250.db2.gz PWKVCLLPJGHTNR-CHWSQXEVSA-N 0 1 278.400 0.963 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)Cc1n[nH]c(C)n1 ZINC000616409667 365311921 /nfs/dbraw/zinc/31/19/21/365311921.db2.gz UNAJEIDAQHYJBJ-UWVGGRQHSA-N 0 1 250.302 0.363 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(C)Cc1n[nH]c(=O)o1 ZINC000622114973 367041043 /nfs/dbraw/zinc/04/10/43/367041043.db2.gz DJCIMCLPPQAMBG-SFYZADRCSA-N 0 1 253.258 0.325 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCOC2CCOCC2)C1=O ZINC000625388768 368176638 /nfs/dbraw/zinc/17/66/38/368176638.db2.gz ZDNRZQKUVBMBBO-AWEZNQCLSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)NC[C@H]2COCCN2)C1 ZINC000627190717 368860770 /nfs/dbraw/zinc/86/07/70/368860770.db2.gz CIYSNOVHIKMRMM-OLZOCXBDSA-N 0 1 267.373 0.973 20 30 CCEDMN C=CCC(CC=C)C(=O)NCCN1CC[C@@H](O)C1 ZINC000629173212 369552080 /nfs/dbraw/zinc/55/20/80/369552080.db2.gz JOMNCRMJCPDEMY-CYBMUJFWSA-N 0 1 252.358 0.938 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2c[nH]nc2C(=O)OC)CC1 ZINC000276496061 193355731 /nfs/dbraw/zinc/35/57/31/193355731.db2.gz HEZUHKBUYDCIHN-UHFFFAOYSA-N 0 1 290.323 0.480 20 30 CCEDMN N#Cc1cccc(CNC[C@@H]2CC(C(N)=O)=NO2)c1 ZINC000276548675 193377084 /nfs/dbraw/zinc/37/70/84/193377084.db2.gz YVEHETLZJYFJOM-NSHDSACASA-N 0 1 258.281 0.278 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NS(=O)(=O)c1ncc[nH]1 ZINC000632414863 371048192 /nfs/dbraw/zinc/04/81/92/371048192.db2.gz LKNNYANEHRVFJG-BDAKNGLRSA-N 0 1 257.315 0.422 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)CCc1nn[nH]n1 ZINC000632840600 371295387 /nfs/dbraw/zinc/29/53/87/371295387.db2.gz HJBSDODETYWTAM-SKDRFNHKSA-N 0 1 265.317 0.230 20 30 CCEDMN C=CCC1(C(=O)NCc2n[nH]c(=O)n2C)CCOCC1 ZINC000640651540 376485697 /nfs/dbraw/zinc/48/56/97/376485697.db2.gz RAEHQHUJQAICAT-UHFFFAOYSA-N 0 1 280.328 0.510 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@@]2(CNC(=O)C2)C1 ZINC000278907218 194206587 /nfs/dbraw/zinc/20/65/87/194206587.db2.gz QCBAMGMFUGHTJY-OAHLLOKOSA-N 0 1 277.368 0.399 20 30 CCEDMN C=CCCn1cc(CNCC2(O)CC(OCC)C2)nn1 ZINC000657450022 412991511 /nfs/dbraw/zinc/99/15/11/412991511.db2.gz KACLTJJCPWTDQH-UHFFFAOYSA-N 0 1 280.372 0.874 20 30 CCEDMN CCC#C[C@@H](C)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000685047555 545791973 /nfs/dbraw/zinc/79/19/73/545791973.db2.gz YVKKZWQYRHOGOP-VXGBXAGGSA-N 0 1 258.387 0.789 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)CSCC#N)C1 ZINC000041776890 388863367 /nfs/dbraw/zinc/86/33/67/388863367.db2.gz KXGYMXYQLMDJAM-GFCCVEGCSA-N 0 1 285.413 0.716 20 30 CCEDMN C=C[C@@H](CO)N[C@@H](C)c1cccc(S(=O)(=O)NC)c1 ZINC000290004218 388899454 /nfs/dbraw/zinc/89/94/54/388899454.db2.gz ZIVAOPOFDFZHOV-JQWIXIFHSA-N 0 1 284.381 0.792 20 30 CCEDMN N#Cc1ccc(N2CCN(CCCO)CC2)cc1[N+](=O)[O-] ZINC000290616210 388908492 /nfs/dbraw/zinc/90/84/92/388908492.db2.gz KVRATLCUVWIFAG-UHFFFAOYSA-N 0 1 290.323 0.971 20 30 CCEDMN COc1n[nH]c(NC(=O)c2ccc(C#N)c(O)c2)n1 ZINC000188837559 388942868 /nfs/dbraw/zinc/94/28/68/388942868.db2.gz RMXQGSFZLBCALK-UHFFFAOYSA-N 0 1 259.225 0.643 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2ccccn2)CC1 ZINC000078031175 388989253 /nfs/dbraw/zinc/98/92/53/388989253.db2.gz PQAOZIPXPPGIPR-UHFFFAOYSA-N 0 1 272.352 0.343 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@@H]1CCCN(C)[C@H]1C ZINC000336896123 389028900 /nfs/dbraw/zinc/02/89/00/389028900.db2.gz NFWIXWOHBFJHDC-NWDGAFQWSA-N 0 1 288.417 0.539 20 30 CCEDMN C#CCCN1CCN(c2cc(CC)nc3ncnn32)CC1 ZINC000301096597 389046216 /nfs/dbraw/zinc/04/62/16/389046216.db2.gz MSMJLYVYBLBALW-UHFFFAOYSA-N 0 1 284.367 0.832 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C)[C@@H](C)[C@H]2C)C1=O ZINC000337185002 389051919 /nfs/dbraw/zinc/05/19/19/389051919.db2.gz OPFLGWPUBHGDLC-XQQFMLRXSA-N 0 1 251.374 0.798 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C)[C@H](C)[C@H]2C)C1=O ZINC000337185001 389052101 /nfs/dbraw/zinc/05/21/01/389052101.db2.gz OPFLGWPUBHGDLC-UPJWGTAASA-N 0 1 251.374 0.798 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@H]1[C@@H](C)O ZINC000106864784 389093955 /nfs/dbraw/zinc/09/39/55/389093955.db2.gz UPLJOZWQOTUZPE-MNOVXSKESA-N 0 1 269.345 0.234 20 30 CCEDMN C=CCC[C@H](O)CN1CC[C@H](S(=O)(=O)NCC)C1 ZINC000305769922 389089001 /nfs/dbraw/zinc/08/90/01/389089001.db2.gz WWEFBSNZDUMCRP-RYUDHWBXSA-N 0 1 276.402 0.327 20 30 CCEDMN CCNS(=O)(=O)[C@@H]1CCN(CCCSCC#N)C1 ZINC000092843978 389033371 /nfs/dbraw/zinc/03/33/71/389033371.db2.gz ZQBZGGYTQSFEAV-LLVKDONJSA-N 0 1 291.442 0.647 20 30 CCEDMN C=CCN(CCOC)C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000355131123 389043631 /nfs/dbraw/zinc/04/36/31/389043631.db2.gz KHGSIRWTSLFBMS-GFCCVEGCSA-N 0 1 295.383 0.000 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N1CCCN(CC)CC1 ZINC000337095516 389044106 /nfs/dbraw/zinc/04/41/06/389044106.db2.gz QEBVTIFTKWQPJO-UHFFFAOYSA-N 0 1 288.417 0.494 20 30 CCEDMN O=C(CN1CCOC[C@@H]1c1nnc[nH]1)NC1CC1 ZINC000328930379 389145538 /nfs/dbraw/zinc/14/55/38/389145538.db2.gz ZAUVRBNFSSWRIX-SECBINFHSA-N 0 1 251.290 0.297 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)[C@@H]2COCCN2C)n[nH]1 ZINC000328939493 389146154 /nfs/dbraw/zinc/14/61/54/389146154.db2.gz DPXBDUPKIVCMSG-CABZTGNLSA-N 0 1 266.345 0.936 20 30 CCEDMN CCc1nc([C@@H](C)NC(=O)CCN2C(=O)CCC2=O)n[nH]1 ZINC000328952628 389147391 /nfs/dbraw/zinc/14/73/91/389147391.db2.gz XTBAYHCOPWFQHD-MRVPVSSYSA-N 0 1 293.327 0.924 20 30 CCEDMN CCN(CCCOC(=O)NC(N)=O)C[C@H](C)C#N ZINC000114208007 389131235 /nfs/dbraw/zinc/13/12/35/389131235.db2.gz JXVQAKZUCUOUPQ-SECBINFHSA-N 0 1 256.306 0.663 20 30 CCEDMN CCN(CC(=O)N[C@@](C)(C#N)C1CC1)[C@@H](C)CO ZINC000339633038 389136847 /nfs/dbraw/zinc/13/68/47/389136847.db2.gz YTVWGZJOADRNMT-GWCFXTLKSA-N 0 1 253.346 0.498 20 30 CCEDMN O=C(N[C@@H]1CCS(=O)(=O)C1)c1ccc2cncn2c1 ZINC000328794601 389139079 /nfs/dbraw/zinc/13/90/79/389139079.db2.gz BMXWXXVDDJRSFD-SNVBAGLBSA-N 0 1 279.321 0.826 20 30 CCEDMN C[C@]1(NC(=O)N[C@H]2CCc3c[nH]nc3C2)CCOC1 ZINC000328871629 389142270 /nfs/dbraw/zinc/14/22/70/389142270.db2.gz QCUOCFAOZQAQDK-GWCFXTLKSA-N 0 1 264.329 0.950 20 30 CCEDMN CNC(=O)N[C@H]1CCN(CCN2C[C@H]3CC[C@@H](C2)O3)C1 ZINC000329829125 389205550 /nfs/dbraw/zinc/20/55/50/389205550.db2.gz JQSUQDPHBZWKQD-RWMBFGLXSA-N 0 1 282.388 0.057 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CC(C)(OC)C2)C1 ZINC000329722624 389191951 /nfs/dbraw/zinc/19/19/51/389191951.db2.gz CKWOCFHTLROAPN-NSHDSACASA-N 0 1 271.361 0.342 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N2CC(C)(OC)C2)C1 ZINC000329722623 389192355 /nfs/dbraw/zinc/19/23/55/389192355.db2.gz CKWOCFHTLROAPN-LLVKDONJSA-N 0 1 271.361 0.342 20 30 CCEDMN C=C[C@H](CO)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000360489648 389198273 /nfs/dbraw/zinc/19/82/73/389198273.db2.gz HKPXBLZVOCOWLU-BDAKNGLRSA-N 0 1 250.302 0.113 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCNC(=O)[C@H]2CCC)CC1 ZINC000122990096 389154327 /nfs/dbraw/zinc/15/43/27/389154327.db2.gz ITCBYAKOQXHSTF-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN Cc1ocnc1CNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000329409969 389162806 /nfs/dbraw/zinc/16/28/06/389162806.db2.gz XXBUPXVIWXWIJX-UHFFFAOYSA-N 0 1 296.371 0.786 20 30 CCEDMN C[C@@H]1CC[C@H](C)N1CC(=O)N[C@@]1(CO)CCOC1 ZINC000329415942 389162919 /nfs/dbraw/zinc/16/29/19/389162919.db2.gz GBNROKIURHBQTH-NTZNESFSSA-N 0 1 256.346 0.967 20 30 CCEDMN C[C@@H](C(=O)NC1CC1)N1CCn2c(n[nH]c2=O)C1 ZINC000329545794 389170224 /nfs/dbraw/zinc/17/02/24/389170224.db2.gz GXSZDQUIQWFPMD-ZETCQYMHSA-N 0 1 251.290 0.307 20 30 CCEDMN CNC(=O)c1cccc(CN(C)[C@@H]2COC[C@H]2O)c1 ZINC000330615290 389246435 /nfs/dbraw/zinc/24/64/35/389246435.db2.gz UIGPWPWQEPSJRE-CHWSQXEVSA-N 0 1 264.325 0.813 20 30 CCEDMN CN(C)C1CN(C(=O)Cc2ccc(OCC#N)cc2)C1 ZINC000134936880 389250736 /nfs/dbraw/zinc/25/07/36/389250736.db2.gz DGFQGOOIQNOFIF-UHFFFAOYSA-N 0 1 273.336 0.904 20 30 CCEDMN COc1ccc(C#N)cc1C[N@@H+](C)CC(C)(CO)CO ZINC000135913287 389262339 /nfs/dbraw/zinc/26/23/39/389262339.db2.gz JQFQWTAZXDXVST-UHFFFAOYSA-N 0 1 278.352 0.990 20 30 CCEDMN COc1ccc(C#N)cc1CN(C)CC(C)(CO)CO ZINC000135913287 389262342 /nfs/dbraw/zinc/26/23/42/389262342.db2.gz JQFQWTAZXDXVST-UHFFFAOYSA-N 0 1 278.352 0.990 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)CC2(O)CCCC2)C1 ZINC000329940569 389213747 /nfs/dbraw/zinc/21/37/47/389213747.db2.gz GGGBDCHYYSFEAT-GFCCVEGCSA-N 0 1 269.389 0.884 20 30 CCEDMN CO[C@@H]1CCC[C@@H]1CNC(=O)N1CCn2ncnc2C1 ZINC000329956545 389214394 /nfs/dbraw/zinc/21/43/94/389214394.db2.gz LHXBQJZIHMVHHA-GHMZBOCLSA-N 0 1 279.344 0.823 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@](C)(O)C1 ZINC000245876557 389220385 /nfs/dbraw/zinc/22/03/85/389220385.db2.gz HNKMYLAICFLJKN-MFKMUULPSA-N 0 1 269.345 0.234 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@@H](OC)C1 ZINC000246133285 389223929 /nfs/dbraw/zinc/22/39/29/389223929.db2.gz PNHFDWRBTPMUBW-WDEREUQCSA-N 0 1 269.345 0.498 20 30 CCEDMN C[C@H](Cn1cccn1)NC([O-])=[NH+][C@H]1CCC(=O)NC1(C)C ZINC000330439298 389229670 /nfs/dbraw/zinc/22/96/70/389229670.db2.gz NZXGLTOXCJMMPU-MNOVXSKESA-N 0 1 293.371 0.832 20 30 CCEDMN C[C@H](Cn1cccn1)[NH+]=C([O-])N[C@H]1CCC(=O)NC1(C)C ZINC000330439298 389229672 /nfs/dbraw/zinc/22/96/72/389229672.db2.gz NZXGLTOXCJMMPU-MNOVXSKESA-N 0 1 293.371 0.832 20 30 CCEDMN CCC(=O)N[C@@H]1CCCN(C([O-])=[NH+]CCn2ccnc2)C1 ZINC000330469249 389233068 /nfs/dbraw/zinc/23/30/68/389233068.db2.gz ZIFYDFAREDLQGX-GFCCVEGCSA-N 0 1 293.371 0.788 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@]2(CNC(=O)C2)C1 ZINC000362410824 389235844 /nfs/dbraw/zinc/23/58/44/389235844.db2.gz PVLQQEKLYDULNU-RISCZKNCSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000330547535 389240072 /nfs/dbraw/zinc/24/00/72/389240072.db2.gz CPDWRWCGDPYYLS-VHSXEESVSA-N 0 1 264.329 0.210 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000330547535 389240076 /nfs/dbraw/zinc/24/00/76/389240076.db2.gz CPDWRWCGDPYYLS-VHSXEESVSA-N 0 1 264.329 0.210 20 30 CCEDMN C[C@H](O)C[C@@H]1CCCN1CC(=O)NC1(C#N)CCC1 ZINC000346972916 389342977 /nfs/dbraw/zinc/34/29/77/389342977.db2.gz NYAGJMXHTGJEEO-RYUDHWBXSA-N 0 1 265.357 0.784 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000346025780 389319823 /nfs/dbraw/zinc/31/98/23/389319823.db2.gz CFKXGRMAOLSSPH-VNHYZAJKSA-N 0 1 284.400 0.929 20 30 CCEDMN Cc1ccc(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)cc1C#N ZINC000367102149 389321096 /nfs/dbraw/zinc/32/10/96/389321096.db2.gz VACKGWOVCVJEOW-LBPRGKRZSA-N 0 1 298.306 0.594 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC000346188409 389323522 /nfs/dbraw/zinc/32/35/22/389323522.db2.gz DRRIEGNNLCUOMJ-NEPJUHHUSA-N 0 1 279.384 0.762 20 30 CCEDMN CNC(=O)[C@@H]1CCCN([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346314024 389326888 /nfs/dbraw/zinc/32/68/88/389326888.db2.gz XMTOCLGBUBGOJH-VXGBXAGGSA-N 0 1 292.383 0.395 20 30 CCEDMN CCOCCO[C@H]1CCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000157699326 389332594 /nfs/dbraw/zinc/33/25/94/389332594.db2.gz ZHEFADHDNYOGOZ-KGLIPLIRSA-N 0 1 297.399 0.874 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](CO)C[C@@H]1C ZINC000344131607 389279351 /nfs/dbraw/zinc/27/93/51/389279351.db2.gz NUNSIIGENGVSBQ-STQMWFEESA-N 0 1 267.373 0.841 20 30 CCEDMN CC(C)CNC(=O)NC(=O)CN1CCN(C)C[C@H]1C(C)C ZINC000331015090 389283888 /nfs/dbraw/zinc/28/38/88/389283888.db2.gz XUSTWSHAGQOXCX-ZDUSSCGKSA-N 0 1 298.431 0.945 20 30 CCEDMN Cc1nnc(SCCC(=O)N2CCO[C@H](C#N)C2)[nH]1 ZINC000345265862 389295837 /nfs/dbraw/zinc/29/58/37/389295837.db2.gz VWPWIIKQCBYTGN-SECBINFHSA-N 0 1 281.341 0.346 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCC2(C#N)CCC2)[C@H](C)C1 ZINC000332437547 389352087 /nfs/dbraw/zinc/35/20/87/389352087.db2.gz CWFSUAJZFQSLRL-CHWSQXEVSA-N 0 1 294.399 0.777 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCCc1nc[nH]n1)OCC ZINC000270160976 389429972 /nfs/dbraw/zinc/42/99/72/389429972.db2.gz JKKOPSDMYNETKR-SNVBAGLBSA-N 0 1 252.318 0.835 20 30 CCEDMN CC(C)[N@@H+](C)C[C@H](C)NS(=O)(=O)CC1(C#N)CC1 ZINC000349778839 389433329 /nfs/dbraw/zinc/43/33/29/389433329.db2.gz SZDVDOCFJIPQPU-NSHDSACASA-N 0 1 273.402 0.938 20 30 CCEDMN COCC1(O)CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC000276813930 389542760 /nfs/dbraw/zinc/54/27/60/389542760.db2.gz YGQQOLKJYPZHBO-UHFFFAOYSA-N 0 1 263.341 0.870 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN2CCC(C)CC2)C1=O ZINC000281796722 389624984 /nfs/dbraw/zinc/62/49/84/389624984.db2.gz SSBGIBJHPBSROT-AWEZNQCLSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CC[C@H](CO)NCc1cn(Cc2cccnc2)nn1 ZINC000351601958 389628217 /nfs/dbraw/zinc/62/82/17/389628217.db2.gz HLMSJAZCDVTCMR-CYBMUJFWSA-N 0 1 273.340 0.748 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000425217277 389641626 /nfs/dbraw/zinc/64/16/26/389641626.db2.gz ULRWCCXGGLOWFT-SECBINFHSA-N 0 1 256.331 0.500 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(C(=O)CC(C)(C)C#N)C1 ZINC000413893915 389575869 /nfs/dbraw/zinc/57/58/69/389575869.db2.gz BUACPDAHTQUTNY-LLVKDONJSA-N 0 1 281.356 0.489 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N1CCN2CCC1CC2 ZINC000374459412 389581641 /nfs/dbraw/zinc/58/16/41/389581641.db2.gz CKDKBYHVKJKERL-NSHDSACASA-N 0 1 286.401 0.103 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[C@@H]2CCN(C)C[C@@H]21 ZINC000335743290 389602847 /nfs/dbraw/zinc/60/28/47/389602847.db2.gz REHFWOAVOUTIDZ-RYUDHWBXSA-N 0 1 258.387 0.918 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](OCCOC)C1 ZINC000179357427 389653689 /nfs/dbraw/zinc/65/36/89/389653689.db2.gz ZIFAAPCJGCVLBT-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](OCCOC)C1 ZINC000179357427 389653690 /nfs/dbraw/zinc/65/36/90/389653690.db2.gz ZIFAAPCJGCVLBT-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)C(=O)Nc1ccc(F)c(C#N)c1 ZINC000415339046 389675176 /nfs/dbraw/zinc/67/51/76/389675176.db2.gz AWXABSLQNZQZOQ-SECBINFHSA-N 0 1 290.298 0.456 20 30 CCEDMN CCN(CC(=O)NCc1ccc(C#N)cc1)C[C@H](C)O ZINC000352221702 389774277 /nfs/dbraw/zinc/77/42/77/389774277.db2.gz NQTRLGCYPOZALX-LBPRGKRZSA-N 0 1 275.352 0.877 20 30 CCEDMN CO[C@@H]1CN(CCOc2ccccc2C#N)C[C@H]1O ZINC000396042213 389777277 /nfs/dbraw/zinc/77/72/77/389777277.db2.gz WPGHNRCRDIVVCA-TZMCWYRMSA-N 0 1 262.309 0.629 20 30 CCEDMN C[C@H](NS(=O)(=O)c1ccc(F)cc1C#N)c1nnc[nH]1 ZINC000183196846 389727840 /nfs/dbraw/zinc/72/78/40/389727840.db2.gz PBRSIZJBNWAIFJ-ZETCQYMHSA-N 0 1 295.299 0.855 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](N3CCOCC3)C[C@H]2C)C1=O ZINC000352890929 389840236 /nfs/dbraw/zinc/84/02/36/389840236.db2.gz ZXFRLCVPIXEWHU-ILXRZTDVSA-N 0 1 293.411 0.568 20 30 CCEDMN C=CCC[C@H](O)CN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000352989590 389843859 /nfs/dbraw/zinc/84/38/59/389843859.db2.gz PWOROFPJOYPSOD-SDDRHHMPSA-N 0 1 259.371 0.432 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)NCCN1CC=C(C)CC1 ZINC000354099391 389859784 /nfs/dbraw/zinc/85/97/84/389859784.db2.gz ONSWYKUMWRJMCD-UHFFFAOYSA-N 0 1 279.384 0.789 20 30 CCEDMN C=CCNC(=O)[C@H](C)N[C@H](COC)[C@H]1CCCO1 ZINC000432653869 389886462 /nfs/dbraw/zinc/88/64/62/389886462.db2.gz OMQFIFOHBPNLKQ-QJPTWQEYSA-N 0 1 256.346 0.461 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)NCC1(C#N)CCC1 ZINC000419641277 389902140 /nfs/dbraw/zinc/90/21/40/389902140.db2.gz LGGWWOUSXOBSQS-QWHCGFSZSA-N 0 1 294.399 0.777 20 30 CCEDMN CN1CCN(c2cc(C(=O)N3CC(C)(C#N)C3)ccn2)CC1 ZINC000435931537 389966632 /nfs/dbraw/zinc/96/66/32/389966632.db2.gz XBJIPNLIWIKQKE-UHFFFAOYSA-N 0 1 299.378 0.819 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1C[C@@H](O)C(C)(C)C1 ZINC000447923535 389972452 /nfs/dbraw/zinc/97/24/52/389972452.db2.gz DLXXGJMKODVSBD-NWDGAFQWSA-N 0 1 267.373 0.697 20 30 CCEDMN N#Cc1ccc(CN=c2ccc(C(=O)NCCO)n[nH]2)cc1 ZINC000488375076 390093180 /nfs/dbraw/zinc/09/31/80/390093180.db2.gz ZWXJMGHEBUDZNN-UHFFFAOYSA-N 0 1 297.318 0.104 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@H](C)CC[S@](C)=O)CC1 ZINC000491461074 390113709 /nfs/dbraw/zinc/11/37/09/390113709.db2.gz CCUAUURZHBHRIX-BLVKFPJESA-N 0 1 284.425 0.605 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cccc2[nH]cnc21 ZINC000440963000 390047815 /nfs/dbraw/zinc/04/78/15/390047815.db2.gz DGUOLHKLTCBUNP-UHFFFAOYSA-N 0 1 272.264 0.702 20 30 CCEDMN N#CC1(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)CCC1 ZINC000539326413 390205454 /nfs/dbraw/zinc/20/54/54/390205454.db2.gz WNQMMWLVRYRQPW-VIFPVBQESA-N 0 1 260.301 0.600 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(c2nc(N)n[nH]2)CC1 ZINC000647932080 390221093 /nfs/dbraw/zinc/22/10/93/390221093.db2.gz PXGQPFBEJHYOTF-VIFPVBQESA-N 0 1 278.360 0.087 20 30 CCEDMN CNS(=O)(=O)[C@H]1CCN(Cc2ccc(CC#N)cc2)C1 ZINC000541282964 390238148 /nfs/dbraw/zinc/23/81/48/390238148.db2.gz RHHJSDFYLKPILO-AWEZNQCLSA-N 0 1 293.392 0.876 20 30 CCEDMN C#CCONC(=O)[C@@H]1CCO[C@H]1CNC(=O)OC(C)(C)C ZINC000496081585 390295636 /nfs/dbraw/zinc/29/56/36/390295636.db2.gz JPBUMYFJKFVDIK-MNOVXSKESA-N 0 1 298.339 0.597 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC([N@H+]2CCOC[C@@H]2C)CC1 ZINC000582219623 390270059 /nfs/dbraw/zinc/27/00/59/390270059.db2.gz NHDKLHNWMSIWSN-KBPBESRZSA-N 0 1 295.427 0.862 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCN(C)C(C)(C)C1 ZINC000584418638 390343488 /nfs/dbraw/zinc/34/34/88/390343488.db2.gz HSOPFQZHBVPVRV-GFCCVEGCSA-N 0 1 278.400 0.963 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000648280932 390351620 /nfs/dbraw/zinc/35/16/20/390351620.db2.gz BOMQPQVBSBLUMQ-TUVASFSCSA-N 0 1 294.395 0.635 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000648280258 390351843 /nfs/dbraw/zinc/35/18/43/390351843.db2.gz FKHOTDJXQQCMLF-MCIONIFRSA-N 0 1 282.384 0.805 20 30 CCEDMN N#Cc1cccc(C(=O)NC2(c3nnc[nH]3)CCC2)n1 ZINC000589491686 390360403 /nfs/dbraw/zinc/36/04/03/390360403.db2.gz CKMPBKJHYXRUQH-UHFFFAOYSA-N 0 1 268.280 0.881 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCCc3cn[nH]c32)nn1 ZINC000648830882 390417971 /nfs/dbraw/zinc/41/79/71/390417971.db2.gz DXPJLQMMTYIBAV-SNVBAGLBSA-N 0 1 272.312 0.995 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2CCC(=O)[C@@H](C)C2)[nH]n1C ZINC000648434704 390372444 /nfs/dbraw/zinc/37/24/44/390372444.db2.gz WVTICKJVESQZDE-WPRPVWTQSA-N 0 1 274.324 0.966 20 30 CCEDMN N#CCc1ccc(CNC(=O)N2CCNCC2)cc1 ZINC000565479170 390517203 /nfs/dbraw/zinc/51/72/03/390517203.db2.gz NJMXYORYRWHQGP-UHFFFAOYSA-N 0 1 258.325 0.867 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1C[C@H](O)C(C)(C)C1 ZINC000563859366 390493916 /nfs/dbraw/zinc/49/39/16/390493916.db2.gz DSZABAOJEVZZOR-QWRGUYRKSA-N 0 1 253.346 0.450 20 30 CCEDMN COCCN(CC#N)C(=O)CN1CCC(C)(C)C1 ZINC000514827846 390469733 /nfs/dbraw/zinc/46/97/33/390469733.db2.gz ZXLKEXOPFBQKCW-UHFFFAOYSA-N 0 1 253.346 0.717 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000566145717 390585656 /nfs/dbraw/zinc/58/56/56/390585656.db2.gz JEUYFDSKFAIEPH-TUAOUCFPSA-N 0 1 291.355 0.895 20 30 CCEDMN C[C@H]1CN(c2ncncc2C#N)CCN1CC(C)(C)O ZINC000566150093 390586620 /nfs/dbraw/zinc/58/66/20/390586620.db2.gz VOVNVAHZRAJWQI-NSHDSACASA-N 0 1 275.356 0.630 20 30 CCEDMN CCn1cc(-c2[nH]ncc2C(=O)NCC#CCO)cn1 ZINC000519773309 390541652 /nfs/dbraw/zinc/54/16/52/390541652.db2.gz KQXJHOVORLZXFH-UHFFFAOYSA-N 0 1 273.296 0.019 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CNC(=O)C(C)(C)C)CC1 ZINC000520246380 390562406 /nfs/dbraw/zinc/56/24/06/390562406.db2.gz FCFQRMJTFVYVPA-UHFFFAOYSA-N 0 1 280.372 0.253 20 30 CCEDMN CN1CCC[C@H](NCc2cnc3c(C#N)cnn3c2)C1=O ZINC000566797785 390648874 /nfs/dbraw/zinc/64/88/74/390648874.db2.gz OXJIWDPMYWWJII-LBPRGKRZSA-N 0 1 284.323 0.311 20 30 CCEDMN CCCc1ncc(CNC[C@H](O)CN(C)CCC#N)o1 ZINC000567696257 390710559 /nfs/dbraw/zinc/71/05/59/390710559.db2.gz WWWDJQPRPJLDBB-LBPRGKRZSA-N 0 1 280.372 0.923 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2C[C@H](C(=O)[O-])CC[C@@H]2C)C1=O ZINC000567733852 390710963 /nfs/dbraw/zinc/71/09/63/390710963.db2.gz VZJFVFMYEGYEME-TUAOUCFPSA-N 0 1 266.341 0.958 20 30 CCEDMN Cc1nnc(SCC(=O)NCC2(C#N)CC2)[nH]1 ZINC000569658190 390716249 /nfs/dbraw/zinc/71/62/49/390716249.db2.gz YPLQFEOCAHXOFU-UHFFFAOYSA-N 0 1 251.315 0.625 20 30 CCEDMN Cc1n[nH]c(SCC(=O)NCC2(C#N)CC2)n1 ZINC000569658190 390716251 /nfs/dbraw/zinc/71/62/51/390716251.db2.gz YPLQFEOCAHXOFU-UHFFFAOYSA-N 0 1 251.315 0.625 20 30 CCEDMN Cc1nnc(SCCC(=O)N2CC(O)(CC#N)C2)[nH]1 ZINC000526018562 390673087 /nfs/dbraw/zinc/67/30/87/390673087.db2.gz PVAZFAKRUSHGHZ-UHFFFAOYSA-N 0 1 281.341 0.082 20 30 CCEDMN CSc1nc(=O)n(CC(=O)N[C@](C)(C#N)C2CC2)[nH]1 ZINC000527309395 390702156 /nfs/dbraw/zinc/70/21/56/390702156.db2.gz DFQSBRWPBWAQOK-LLVKDONJSA-N 0 1 281.341 0.102 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCO[C@@]3(CCCOC3)C2)CC1 ZINC000528510252 390752165 /nfs/dbraw/zinc/75/21/65/390752165.db2.gz XVERMKMHLPLWHQ-HIFRSBDPSA-N 0 1 280.368 0.923 20 30 CCEDMN CC[C@@]1(O)CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000572405552 390782184 /nfs/dbraw/zinc/78/21/84/390782184.db2.gz WOBMDHPPBZOIJQ-CQSZACIVSA-N 0 1 271.324 0.948 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1cn2ccccc2n1 ZINC000572751566 390801214 /nfs/dbraw/zinc/80/12/14/390801214.db2.gz MZCIVHQLHYDBRZ-AWEZNQCLSA-N 0 1 287.367 0.630 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)C1(C#N)CC(C)C1 ZINC000532618279 390882232 /nfs/dbraw/zinc/88/22/32/390882232.db2.gz KFBVJVSAMDPUPW-OWYJLGKBSA-N 0 1 278.400 0.678 20 30 CCEDMN Cc1nc(SCC(=O)NC2(C#N)CCN(C)CC2)n[nH]1 ZINC000530577113 390876847 /nfs/dbraw/zinc/87/68/47/390876847.db2.gz JIQXKGRWKJBMGC-UHFFFAOYSA-N 0 1 294.384 0.309 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2ccc([N+](=O)[O-])cn2)[nH]1 ZINC000531631804 390878153 /nfs/dbraw/zinc/87/81/53/390878153.db2.gz VIXWBPSUFCACSD-UHFFFAOYSA-N 0 1 272.224 0.269 20 30 CCEDMN CNC(=O)[C@H]1CCCN(Cc2ccc(C#N)cn2)C1 ZINC000577918316 390853072 /nfs/dbraw/zinc/85/30/72/390853072.db2.gz OQYMKHNAVVBYQU-LBPRGKRZSA-N 0 1 258.325 0.911 20 30 CCEDMN CNS(=O)(=O)CCNCc1ccc(C#N)cc1 ZINC000190302082 130086891 /nfs/dbraw/zinc/08/68/91/130086891.db2.gz XTIKCJNAOXLXEE-UHFFFAOYSA-N 0 1 253.327 0.197 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000268485596 132016061 /nfs/dbraw/zinc/01/60/61/132016061.db2.gz OXNUVAJFIVXWSU-LLVKDONJSA-N 0 1 263.345 0.595 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC000268485596 132016062 /nfs/dbraw/zinc/01/60/62/132016062.db2.gz OXNUVAJFIVXWSU-LLVKDONJSA-N 0 1 263.345 0.595 20 30 CCEDMN COC1(C)CN(C([O-])=[NH+][C@H]2CCn3ccnc3C2)C1 ZINC000330275404 292101943 /nfs/dbraw/zinc/10/19/43/292101943.db2.gz LLQWCSMTVXFBAW-JTQLQIEISA-N 0 1 264.329 0.833 20 30 CCEDMN C[C@@H](O)[C@@H]1CCN(CC(=O)NCc2cccc(C#N)c2)C1 ZINC000356445957 144003707 /nfs/dbraw/zinc/00/37/07/144003707.db2.gz DZHGBXHFCDQMMV-IUODEOHRSA-N 0 1 287.363 0.877 20 30 CCEDMN COc1cc(CNC(=O)c2ncn[nH]2)ccc1C#N ZINC000358961137 144188415 /nfs/dbraw/zinc/18/84/15/144188415.db2.gz IMSFEHXNROFNSY-UHFFFAOYSA-N 0 1 257.253 0.615 20 30 CCEDMN COc1cc(CNC(=O)c2nc[nH]n2)ccc1C#N ZINC000358961137 144188416 /nfs/dbraw/zinc/18/84/16/144188416.db2.gz IMSFEHXNROFNSY-UHFFFAOYSA-N 0 1 257.253 0.615 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(Cn2cnnc2)CC1 ZINC000649788642 397669281 /nfs/dbraw/zinc/66/92/81/397669281.db2.gz BBNCVQRJKKHYCJ-GFCCVEGCSA-N 0 1 277.372 0.681 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000652899387 412152760 /nfs/dbraw/zinc/15/27/60/412152760.db2.gz ZXULTAQVUSHVAT-NEPJUHHUSA-N 0 1 291.355 0.040 20 30 CCEDMN C=CCC1(C(=O)NCCc2nc[nH]n2)CCOCC1 ZINC000655115890 412481480 /nfs/dbraw/zinc/48/14/80/412481480.db2.gz DQSQQTXJLSGXOQ-UHFFFAOYSA-N 0 1 264.329 0.836 20 30 CCEDMN Cc1ccc2nc(CNCCn3cnc(C#N)n3)cn2c1 ZINC000285412969 196278019 /nfs/dbraw/zinc/27/80/19/196278019.db2.gz GBSQXXVTSQSEAM-UHFFFAOYSA-N 0 1 281.323 0.896 20 30 CCEDMN CN(CCOc1ccc(C#N)cc1)[C@@H]1COC[C@H]1O ZINC000285486985 196301277 /nfs/dbraw/zinc/30/12/77/196301277.db2.gz IKLUZYQRKMQLHL-ZIAGYGMSSA-N 0 1 262.309 0.629 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)Cc1n[nH]c(=O)o1 ZINC000660850436 414508020 /nfs/dbraw/zinc/50/80/20/414508020.db2.gz MTEQJXKGTCTCLQ-SNVBAGLBSA-N 0 1 280.328 0.796 20 30 CCEDMN CN(CC#CCN(C)Cc1cnc[nH]1)Cc1c[nH]cn1 ZINC000661089912 414553344 /nfs/dbraw/zinc/55/33/44/414553344.db2.gz JDVFCVYAIAZMEA-UHFFFAOYSA-N 0 1 272.356 0.700 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1ccc(COC)cc1 ZINC000662167053 414695204 /nfs/dbraw/zinc/69/52/04/414695204.db2.gz QFMRNBRVWDGFKH-CQSZACIVSA-N 0 1 278.352 0.586 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCOc1cccc(F)c1 ZINC000662166663 414695301 /nfs/dbraw/zinc/69/53/01/414695301.db2.gz CDAULLTYYMRGNY-GFCCVEGCSA-N 0 1 282.315 0.457 20 30 CCEDMN O=C(NCCN1CCCOCC1)[C@H]1CCc2n[nH]nc2C1 ZINC000329028787 227263424 /nfs/dbraw/zinc/26/34/24/227263424.db2.gz KRHUPGSBCFHSHH-NSHDSACASA-N 0 1 293.371 0.588 20 30 CCEDMN C[C@H](NC(=O)N[C@@H]1CC(=O)N([C@@H]2C[C@@H]2C)C1)c1nnc[nH]1 ZINC000329270939 227397267 /nfs/dbraw/zinc/39/72/67/227397267.db2.gz HTANUFANSHVGNZ-AXTSPUMRSA-N 0 1 292.343 0.379 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000330030778 228022092 /nfs/dbraw/zinc/02/20/92/228022092.db2.gz SEACPRWFFKSUMD-WUHRBBMRSA-N 0 1 297.399 0.322 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)c2cccc3c[nH]nc32)CC1 ZINC000331840016 228131208 /nfs/dbraw/zinc/13/12/08/228131208.db2.gz SWHPIFVOORYEQZ-UHFFFAOYSA-N 0 1 297.318 0.761 20 30 CCEDMN CN(C)CC(=O)N1CCN(CC2(C#N)CCC2)CC1 ZINC000332035099 228144442 /nfs/dbraw/zinc/14/44/42/228144442.db2.gz WQLYIQOCSCJAAL-UHFFFAOYSA-N 0 1 264.373 0.386 20 30 CCEDMN Cc1ncc(C(=O)NC[C@H]2CN(C)CCN2C)[nH]1 ZINC000328793577 545019270 /nfs/dbraw/zinc/01/92/70/545019270.db2.gz RZZIHYHDEVJVNT-JTQLQIEISA-N 0 1 251.334 0.269 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@H]1CCCNC1=O ZINC000329138777 545021185 /nfs/dbraw/zinc/02/11/85/545021185.db2.gz DXCBPGSRJMCLRF-NEPJUHHUSA-N 0 1 297.403 0.245 20 30 CCEDMN CN1CCC[C@@H](NC(=O)CCc2cnc[nH]2)C1=O ZINC000329301756 545021615 /nfs/dbraw/zinc/02/16/15/545021615.db2.gz WKUWQOKITJSHRV-SNVBAGLBSA-N 0 1 250.302 0.920 20 30 CCEDMN Cn1nnc2c1C[C@H](C(=O)NCCN1CCC1)CC2 ZINC000329602782 545024484 /nfs/dbraw/zinc/02/44/84/545024484.db2.gz YECHKLYDPFXFDS-SNVBAGLBSA-N 0 1 263.345 0.582 20 30 CCEDMN Cn1cc(C#N)c(NC(=O)N[C@H]2CCCN(C)C2)n1 ZINC000610564964 420494710 /nfs/dbraw/zinc/49/47/10/420494710.db2.gz UTUXXHSLSFYFPN-JTQLQIEISA-N 0 1 262.317 0.507 20 30 CCEDMN N#Cc1cc(NCCCN2CCC[C@@H]2C(N)=O)ncn1 ZINC000617950154 420497958 /nfs/dbraw/zinc/49/79/58/420497958.db2.gz DIKRJRVUIUYNOS-LLVKDONJSA-N 0 1 274.328 0.100 20 30 CCEDMN CCN1CCC[C@H](NS(=O)(=O)N(C)C[C@@H](C)C#N)C1 ZINC000407956663 260052169 /nfs/dbraw/zinc/05/21/69/260052169.db2.gz WSNIQKCMMVKRBY-RYUDHWBXSA-N 0 1 288.417 0.397 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@@H](CO)[C@@H]1CCCO1 ZINC000447907595 260160955 /nfs/dbraw/zinc/16/09/55/260160955.db2.gz AAODULAIWVSIIE-OBJOEFQTSA-N 0 1 283.372 0.170 20 30 CCEDMN C=CCn1cc(CNS(=O)(=O)c2c(C)noc2C)nn1 ZINC000518760859 261267313 /nfs/dbraw/zinc/26/73/13/261267313.db2.gz LJEQMZIOEUWFAN-UHFFFAOYSA-N 0 1 297.340 0.547 20 30 CCEDMN C#C[C@H](NC(=O)CN1CCN(CC)CC1)C(C)C ZINC000491023471 261300966 /nfs/dbraw/zinc/30/09/66/261300966.db2.gz XRRDESSBHDPJNO-ZDUSSCGKSA-N 0 1 251.374 0.398 20 30 CCEDMN C[C@H](CNC(=O)N1CCO[C@H](C#N)C1)[N@@H+](C)C1CC1 ZINC000106339303 261370121 /nfs/dbraw/zinc/37/01/21/261370121.db2.gz KAKKLDVEKDKBNV-ZYHUDNBSSA-N 0 1 266.345 0.403 20 30 CCEDMN C#CC[N@@H+](C)CCCN=c1[n-]c(C2CCOCC2)no1 ZINC000491820000 262096295 /nfs/dbraw/zinc/09/62/95/262096295.db2.gz WFWKFVYDDLSHOE-UHFFFAOYSA-N 0 1 278.356 0.753 20 30 CCEDMN N#CCSCCCN1CCC[C@@H](S(N)(=O)=O)C1 ZINC000364113904 262146973 /nfs/dbraw/zinc/14/69/73/262146973.db2.gz YAHGCNDFCGKASY-SNVBAGLBSA-N 0 1 277.415 0.386 20 30 CCEDMN CN(CCC(C)(C)C#N)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000310740449 262171893 /nfs/dbraw/zinc/17/18/93/262171893.db2.gz HNGUVFVHBLVRTF-LLVKDONJSA-N 0 1 273.402 0.892 20 30 CCEDMN CSc1nn(CC(=O)N[C@@](C)(C#N)C(C)C)c(=O)[nH]1 ZINC000188168025 262232110 /nfs/dbraw/zinc/23/21/10/262232110.db2.gz DKDZTQVHYILAEO-NSHDSACASA-N 0 1 283.357 0.348 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000364530538 262253175 /nfs/dbraw/zinc/25/31/75/262253175.db2.gz YXZPBYLNBCVNOR-ZJUUUORDSA-N 0 1 281.316 0.081 20 30 CCEDMN C=CCNC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000186938415 262330918 /nfs/dbraw/zinc/33/09/18/262330918.db2.gz OANAWCQLCVULGR-NSHDSACASA-N 0 1 255.362 0.659 20 30 CCEDMN C[C@@H](NCC(=O)N[C@@](C)(C#N)C1CC1)c1cnn(C)c1 ZINC000273405572 263016511 /nfs/dbraw/zinc/01/65/11/263016511.db2.gz RVOBANNGGYFQFI-YGRLFVJLSA-N 0 1 275.356 0.879 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000274837845 263031056 /nfs/dbraw/zinc/03/10/56/263031056.db2.gz RRIVJGUWGOIBTJ-GFCCVEGCSA-N 0 1 279.252 0.959 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cc(F)cc3nn[nH]c32)CCO1 ZINC000277696255 263042773 /nfs/dbraw/zinc/04/27/73/263042773.db2.gz NZFWUFJXKNNALC-MRVPVSSYSA-N 0 1 275.243 0.462 20 30 CCEDMN COC(=O)[C@@H](C)NC(=O)C(C#N)Cc1ccc(C#N)cc1 ZINC000280185427 263059549 /nfs/dbraw/zinc/05/95/49/263059549.db2.gz DCORGYUECLSUBP-MFKMUULPSA-N 0 1 285.303 0.918 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)c1ccc2cncn2c1 ZINC000285364524 263092437 /nfs/dbraw/zinc/09/24/37/263092437.db2.gz STKPWUFSPRPBAI-ZDUSSCGKSA-N 0 1 258.281 0.993 20 30 CCEDMN C=CCCS(=O)(=O)Nc1ccn(CC(=O)OC)n1 ZINC000120171910 263282855 /nfs/dbraw/zinc/28/28/55/263282855.db2.gz MACKAQGCPWGJFJ-UHFFFAOYSA-N 0 1 273.314 0.374 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000342033895 263385660 /nfs/dbraw/zinc/38/56/60/263385660.db2.gz BXIWVYFUUZDBOA-OLZOCXBDSA-N 0 1 253.390 0.951 20 30 CCEDMN COC(=O)[C@@]12CN(CC(=O)NCC#N)C[C@@H]1CCCC2 ZINC000342147863 263399590 /nfs/dbraw/zinc/39/95/90/263399590.db2.gz GCCJMVICMLKASF-FZMZJTMJSA-N 0 1 279.340 0.291 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC000330694913 264005012 /nfs/dbraw/zinc/00/50/12/264005012.db2.gz BOKCZFOPHFFDDT-LBPRGKRZSA-N 0 1 261.329 0.437 20 30 CCEDMN CCN1CCC(NC(=O)N[C@@H](C)CS(C)(=O)=O)CC1 ZINC000330762230 264031860 /nfs/dbraw/zinc/03/18/60/264031860.db2.gz OJTISIIQXXLECS-JTQLQIEISA-N 0 1 291.417 0.407 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)CCCC#N)C[C@H]1C ZINC000330886860 264063698 /nfs/dbraw/zinc/06/36/98/264063698.db2.gz GUVSFNHXGIWPDO-NEPJUHHUSA-N 0 1 253.346 0.594 20 30 CCEDMN CC[C@@H]1CN(C(=O)CCCC#N)CCN1C[C@H](C)O ZINC000330898508 264078976 /nfs/dbraw/zinc/07/89/76/264078976.db2.gz PEXIAJTZGCZBAB-QWHCGFSZSA-N 0 1 267.373 0.984 20 30 CCEDMN CC(C)(C)OC(=O)[C@@](C)(O)CNC(=O)c1cnn[nH]1 ZINC000330942930 264084095 /nfs/dbraw/zinc/08/40/95/264084095.db2.gz DFCZYLKMEUDUSQ-NSHDSACASA-N 0 1 270.289 0.202 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000330967351 264091391 /nfs/dbraw/zinc/09/13/91/264091391.db2.gz QPMYEMAFFBKVDC-DZGCQCFKSA-N 0 1 282.384 0.494 20 30 CCEDMN CC(C)[C@H](CCO)[NH+]=C([O-])N[C@H]1CCc2ncnn2C1 ZINC000330933646 264097295 /nfs/dbraw/zinc/09/72/95/264097295.db2.gz DVVDLFLKNQUSRG-QWRGUYRKSA-N 0 1 281.360 0.504 20 30 CCEDMN CC(C)[C@H](CCO)NC(=O)N[C@H]1CCc2ncnn2C1 ZINC000330933646 264097297 /nfs/dbraw/zinc/09/72/97/264097297.db2.gz DVVDLFLKNQUSRG-QWRGUYRKSA-N 0 1 281.360 0.504 20 30 CCEDMN Nc1nccnc1C(=O)NC[C@@H]1CCCCN1CCO ZINC000331311554 264186162 /nfs/dbraw/zinc/18/61/62/264186162.db2.gz HRGBBCTZRMHQOB-JTQLQIEISA-N 0 1 279.344 0.210 20 30 CCEDMN COCCCN(CCO)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000189874443 264335460 /nfs/dbraw/zinc/33/54/60/264335460.db2.gz OGUNWZAQYAMOOA-AWEZNQCLSA-N 0 1 285.388 0.372 20 30 CCEDMN C=CCNC(=O)CN[C@@H](C)c1ccc(-n2cnnn2)cc1 ZINC000180027526 264390487 /nfs/dbraw/zinc/39/04/87/264390487.db2.gz WLHRNJJJKILUAE-NSHDSACASA-N 0 1 286.339 0.615 20 30 CCEDMN C=C[C@H](CO)NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000356860866 266196164 /nfs/dbraw/zinc/19/61/64/266196164.db2.gz YHEYFNPTGCZBCJ-LLVKDONJSA-N 0 1 258.281 0.748 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)[C@@H]1CCCOC1 ZINC000358210072 266439540 /nfs/dbraw/zinc/43/95/40/266439540.db2.gz MVALQDZMOZBCMP-HBNTYKKESA-N 0 1 268.313 0.621 20 30 CCEDMN CC(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H](C)C#N ZINC000362734643 267040343 /nfs/dbraw/zinc/04/03/43/267040343.db2.gz NNWHEGGICVGCSR-JQWIXIFHSA-N 0 1 291.355 0.511 20 30 CCEDMN N#Cc1ncc(NC(=O)NC[C@H]2COCCN2)cc1Cl ZINC000528691367 268323070 /nfs/dbraw/zinc/32/30/70/268323070.db2.gz FVMCVXRACZIMLW-VIFPVBQESA-N 0 1 295.730 0.717 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](C)C(=O)OC)CC1 ZINC000772110818 581843294 /nfs/dbraw/zinc/84/32/94/581843294.db2.gz VHEVVONXBFPRPG-SNVBAGLBSA-N 0 1 253.298 0.436 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)[C@H](O)C1 ZINC000346553205 278204078 /nfs/dbraw/zinc/20/40/78/278204078.db2.gz GCFOJDCRBDCQRS-OUAUKWLOSA-N 0 1 251.330 0.250 20 30 CCEDMN O=C1CCN(C([O-])=[NH+][C@H]2CCc3[nH]cnc3C2)CCN1 ZINC000329694092 295389674 /nfs/dbraw/zinc/38/96/74/295389674.db2.gz AXDLVYVTHVOBFC-VIFPVBQESA-N 0 1 277.328 0.843 20 30 CCEDMN C[C@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)CC1(C#N)CC1 ZINC000329626688 300233830 /nfs/dbraw/zinc/23/38/30/300233830.db2.gz PAYOVTUDOVTQAG-JQWIXIFHSA-N 0 1 283.397 0.692 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2cncn2c1 ZINC000961155346 649865338 /nfs/dbraw/zinc/86/53/38/649865338.db2.gz ZXKZAQYFUXECJM-WDNDVIMCSA-N 0 1 281.319 0.518 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CCN(CC#N)CC1 ZINC000273580023 328205489 /nfs/dbraw/zinc/20/54/89/328205489.db2.gz HKOWHTMPKHIKAV-UHFFFAOYSA-N 0 1 273.340 0.429 20 30 CCEDMN CN1CC=C(CNS(=O)(=O)CC2(C#N)CC2)CC1 ZINC000274176603 328208949 /nfs/dbraw/zinc/20/89/49/328208949.db2.gz AMWMANSIIHYSBG-UHFFFAOYSA-N 0 1 269.370 0.471 20 30 CCEDMN CC(C)N(CCO)CC(=O)N[C@](C)(C#N)C(C)C ZINC000049825561 328379017 /nfs/dbraw/zinc/37/90/17/328379017.db2.gz MFYJPMOEMUYXCD-CYBMUJFWSA-N 0 1 255.362 0.744 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN([C@@H](CC)CO)CC1 ZINC000330998724 328472298 /nfs/dbraw/zinc/47/22/98/328472298.db2.gz VNZOSWSFJYGTIB-GXTWGEPZSA-N 0 1 270.373 0.229 20 30 CCEDMN COC(=O)C[C@H](C)CC(=O)NC1(C#N)CCN(C)CC1 ZINC000583523363 328598070 /nfs/dbraw/zinc/59/80/70/328598070.db2.gz XDJVBCBWZQEBRQ-LLVKDONJSA-N 0 1 281.356 0.680 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000564964049 327281220 /nfs/dbraw/zinc/28/12/20/327281220.db2.gz NGFZKDCTNGBLAZ-DCAQKATOSA-N 0 1 254.330 0.720 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC000564964056 327281305 /nfs/dbraw/zinc/28/13/05/327281305.db2.gz NGFZKDCTNGBLAZ-GARJFASQSA-N 0 1 254.330 0.720 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H]2OCCC[C@@H]2C1 ZINC000152887523 327299226 /nfs/dbraw/zinc/29/92/26/327299226.db2.gz MBARXEVLHAMSGC-NEPJUHHUSA-N 0 1 281.356 0.499 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC(CC#N)CC2)[C@H](C)CN1 ZINC000562961694 327530930 /nfs/dbraw/zinc/53/09/30/327530930.db2.gz PNZNPZJUMVBORM-VXGBXAGGSA-N 0 1 292.383 0.347 20 30 CCEDMN CC#CCCNC(=O)N1CCN(C2CCOCC2)CC1 ZINC000361654458 328926690 /nfs/dbraw/zinc/92/66/90/328926690.db2.gz KUNZNJSCENKSOZ-UHFFFAOYSA-N 0 1 279.384 0.906 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@@H](CC(N)=O)C1 ZINC000377497718 329016728 /nfs/dbraw/zinc/01/67/28/329016728.db2.gz WXVNILRPWRTFBA-FZMZJTMJSA-N 0 1 280.372 0.238 20 30 CCEDMN C=CCOCCCC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000377865204 329032635 /nfs/dbraw/zinc/03/26/35/329032635.db2.gz IMXWGOGTTPQUFC-SNVBAGLBSA-N 0 1 281.316 0.082 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@H](OCCO)C1 ZINC000172294463 329084502 /nfs/dbraw/zinc/08/45/02/329084502.db2.gz MQUFEGPUBNVNCV-AWEZNQCLSA-N 0 1 284.400 0.884 20 30 CCEDMN CCC[N@@H+](CC)CCNS(=O)(=O)CCCC#N ZINC000175360015 329165233 /nfs/dbraw/zinc/16/52/33/329165233.db2.gz XWKNPUKYZUCSTO-UHFFFAOYSA-N 0 1 261.391 0.941 20 30 CCEDMN CC#CCNC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000179392119 329205015 /nfs/dbraw/zinc/20/50/15/329205015.db2.gz WSNFSLSPPVRFBM-ZDUSSCGKSA-N 0 1 267.373 0.666 20 30 CCEDMN CC#CCNC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000179392110 329205044 /nfs/dbraw/zinc/20/50/44/329205044.db2.gz WSNFSLSPPVRFBM-CYBMUJFWSA-N 0 1 267.373 0.666 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC2(CC2)C1 ZINC000186980332 329352573 /nfs/dbraw/zinc/35/25/73/329352573.db2.gz WZYXXOHIHPRJKP-UHFFFAOYSA-N 0 1 251.330 0.874 20 30 CCEDMN C=C(C)CS(=O)(=O)NCCN(C)CCCOC ZINC000189664238 329385998 /nfs/dbraw/zinc/38/59/98/329385998.db2.gz MKYBAFKINQWZIB-UHFFFAOYSA-N 0 1 264.391 0.450 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC(C(=O)NC)CC1 ZINC000052329545 329657230 /nfs/dbraw/zinc/65/72/30/329657230.db2.gz ZADHANPLCXQWDO-LBPRGKRZSA-N 0 1 294.399 0.453 20 30 CCEDMN CC(C)(CC#N)CNC(=O)C(=O)N1CCNC(C)(C)C1 ZINC000418971936 329702797 /nfs/dbraw/zinc/70/27/97/329702797.db2.gz LQOQJGMCUPUSQD-UHFFFAOYSA-N 0 1 280.372 0.253 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC#Cc2ccccc2)[C@H](C)CN1 ZINC000419124091 329708799 /nfs/dbraw/zinc/70/87/99/329708799.db2.gz ZBZNFAQVUJDYQU-ZIAGYGMSSA-N 0 1 299.374 0.363 20 30 CCEDMN C=CCCn1cc(C(=O)N2CCN3CCC2CC3)nn1 ZINC000571910523 329930702 /nfs/dbraw/zinc/93/07/02/329930702.db2.gz FGUGOOJISMLDLB-UHFFFAOYSA-N 0 1 275.356 0.774 20 30 CCEDMN C#CCNC(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000079264207 330008753 /nfs/dbraw/zinc/00/87/53/330008753.db2.gz BMHDYIQSBZXPIM-UHFFFAOYSA-N 0 1 272.352 0.978 20 30 CCEDMN C=CCN(C(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1)C1CC1 ZINC000423565073 330212061 /nfs/dbraw/zinc/21/20/61/330212061.db2.gz UETXDCOYRNNVAW-GHMZBOCLSA-N 0 1 265.357 0.372 20 30 CCEDMN C=CCN(C(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1)C1CC1 ZINC000423565076 330212156 /nfs/dbraw/zinc/21/21/56/330212156.db2.gz UETXDCOYRNNVAW-QWRGUYRKSA-N 0 1 265.357 0.372 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@H]1C[C@@H](OC)C12CCC2 ZINC000451843152 331044515 /nfs/dbraw/zinc/04/45/15/331044515.db2.gz UXEONDODEUVXIA-NWDGAFQWSA-N 0 1 295.383 0.888 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC2(CC#N)CC2)C[C@H](C)N1CCO ZINC000458736635 331258560 /nfs/dbraw/zinc/25/85/60/331258560.db2.gz PHKIFZLIUUETHP-BETUJISGSA-N 0 1 294.399 0.777 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(CO)CC2)CC1 ZINC000490853753 332120903 /nfs/dbraw/zinc/12/09/03/332120903.db2.gz UQUHMCSOXWXEKS-UHFFFAOYSA-N 0 1 264.369 0.563 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)C[C@@H]1COC(=O)C1 ZINC000490893884 332126509 /nfs/dbraw/zinc/12/65/09/332126509.db2.gz XUAOUGRXCBZZRS-VXGBXAGGSA-N 0 1 265.309 0.580 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCc1ccnn1C ZINC000491036861 332141033 /nfs/dbraw/zinc/14/10/33/332141033.db2.gz BGMUVHAABDXQRD-CQSZACIVSA-N 0 1 275.352 0.994 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](CO)c1ccccc1OC ZINC000491067830 332144881 /nfs/dbraw/zinc/14/48/81/332144881.db2.gz KKLZTXIKGCHOKY-ZDUSSCGKSA-N 0 1 276.336 0.458 20 30 CCEDMN C#CCN(C)C(=O)C(=O)Nc1cc2cn[nH]c2cc1C ZINC000491068747 332145470 /nfs/dbraw/zinc/14/54/70/332145470.db2.gz QONPDGMVWCCXPM-UHFFFAOYSA-N 0 1 270.292 0.901 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)COCc1ccnn1C ZINC000491165301 332159700 /nfs/dbraw/zinc/15/97/00/332159700.db2.gz JQLREOYRVHAMQV-CQSZACIVSA-N 0 1 291.351 0.578 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)CCc1ccn(C)n1 ZINC000491242400 332172975 /nfs/dbraw/zinc/17/29/75/332172975.db2.gz QCIKHDNONKKNCH-AWEZNQCLSA-N 0 1 275.352 0.994 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCc1ccn(C)n1 ZINC000491242400 332172977 /nfs/dbraw/zinc/17/29/77/332172977.db2.gz QCIKHDNONKKNCH-AWEZNQCLSA-N 0 1 275.352 0.994 20 30 CCEDMN C#CCNC(=O)NC[C@H]1C[C@H](O)CN1Cc1ccccc1 ZINC000491524273 332229360 /nfs/dbraw/zinc/22/93/60/332229360.db2.gz KUNCWRRIPIIPKW-CABCVRRESA-N 0 1 287.363 0.554 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000491615060 332242702 /nfs/dbraw/zinc/24/27/02/332242702.db2.gz ANVATZYSSQWNDV-OLZOCXBDSA-N 0 1 266.389 0.333 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000491701784 332296255 /nfs/dbraw/zinc/29/62/55/332296255.db2.gz GKTIWHVJOMBVLB-RYUDHWBXSA-N 0 1 253.346 0.370 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC(OCC)C1 ZINC000491706374 332299353 /nfs/dbraw/zinc/29/93/53/332299353.db2.gz DVJOTUXRHGORLV-UHFFFAOYSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)COC[C@H]1CCOC1 ZINC000491726786 332310184 /nfs/dbraw/zinc/31/01/84/332310184.db2.gz GJXRENBWIXBACL-KBPBESRZSA-N 0 1 281.352 0.680 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000491746924 332324342 /nfs/dbraw/zinc/32/43/42/332324342.db2.gz VMPZKUBBQGIRGQ-STQMWFEESA-N 0 1 295.383 0.694 20 30 CCEDMN C#CCNC(=O)CCN1CCCC[C@H](S(C)(=O)=O)C1 ZINC000491776505 332342118 /nfs/dbraw/zinc/34/21/18/332342118.db2.gz WCRPFCUUIJFMNI-LBPRGKRZSA-N 0 1 286.397 0.025 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)c2ncc(OC)cn2)CC1 ZINC000491788203 332350693 /nfs/dbraw/zinc/35/06/93/332350693.db2.gz XJUBYUPQQHFJAY-UHFFFAOYSA-N 0 1 288.351 0.793 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(c2ccncn2)CC1 ZINC000491792388 332353177 /nfs/dbraw/zinc/35/31/77/332353177.db2.gz FOZJILZQUNSLQZ-LBPRGKRZSA-N 0 1 272.352 0.794 20 30 CCEDMN C#CCN(C1CC1)S(=O)(=O)CCN(CC)CC ZINC000491804613 332358498 /nfs/dbraw/zinc/35/84/98/332358498.db2.gz MBOMCEGAORZIEL-UHFFFAOYSA-N 0 1 258.387 0.756 20 30 CCEDMN C#CCN(CC#CC)C(=O)c1n[nH]c(CC)c1[N+](=O)[O-] ZINC000491808009 332361117 /nfs/dbraw/zinc/36/11/17/332361117.db2.gz VDLCAIMLZMXLIH-UHFFFAOYSA-N 0 1 274.280 0.979 20 30 CCEDMN N#CCC1CCN(CC(=O)N2CCNC2=O)CC1 ZINC000541949306 333803275 /nfs/dbraw/zinc/80/32/75/333803275.db2.gz TZTSEGZAKDCUJP-UHFFFAOYSA-N 0 1 250.302 0.164 20 30 CCEDMN C=CCC[NH+]1CCN(C(=O)C[NH+]2CCCC2)CC1 ZINC000132914846 334010055 /nfs/dbraw/zinc/01/00/55/334010055.db2.gz BEQDIUZKBKFBON-UHFFFAOYSA-N 0 1 251.374 0.803 20 30 CCEDMN N#C[C@]1(NC(=O)c2cccc(-c3nnc[nH]3)c2)CCOC1 ZINC000547489607 334093177 /nfs/dbraw/zinc/09/31/77/334093177.db2.gz CYACPYSFKSIWAK-CQSZACIVSA-N 0 1 283.291 0.884 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@H](OCCOC)C1 ZINC000245835936 334220941 /nfs/dbraw/zinc/22/09/41/334220941.db2.gz HCWUCNBBTJVRLB-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1C[C@@H]2CC[C@@H](O)[C@H]2C1)C1CC1 ZINC000252845229 334396326 /nfs/dbraw/zinc/39/63/26/334396326.db2.gz CEJUFJQXYXHWJO-MUYACECFSA-N 0 1 277.368 0.498 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN[C@@H](c1nccn1C)C1CC1 ZINC000577743067 335395415 /nfs/dbraw/zinc/39/54/15/335395415.db2.gz DKLSWUBXQWPESP-ZIAGYGMSSA-N 0 1 291.399 0.667 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN[C@@H](c1nccn1C)C1CC1 ZINC000577743066 335395520 /nfs/dbraw/zinc/39/55/20/335395520.db2.gz DKLSWUBXQWPESP-UONOGXRCSA-N 0 1 291.399 0.667 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NCCc1nc[nH]n1 ZINC000581778234 336145905 /nfs/dbraw/zinc/14/59/05/336145905.db2.gz CKJIALGVYAGDIQ-UHFFFAOYSA-N 0 1 256.269 0.352 20 30 CCEDMN N#CC[N@H+]1CC[C@H]([C@@H]2CCCN(C(=O)c3nnc[n-]3)C2)C1 ZINC000961249272 649866827 /nfs/dbraw/zinc/86/68/27/649866827.db2.gz LNJMUPZLFGTFNH-NEPJUHHUSA-N 0 1 288.355 0.502 20 30 CCEDMN N#CC[N@@H+]1CC[C@H]([C@@H]2CCCN(C(=O)c3nnc[n-]3)C2)C1 ZINC000961249272 649866829 /nfs/dbraw/zinc/86/68/29/649866829.db2.gz LNJMUPZLFGTFNH-NEPJUHHUSA-N 0 1 288.355 0.502 20 30 CCEDMN C=CCNC(=O)CN1CCN([C@@H](C)CCOC)CC1 ZINC000447371908 545096348 /nfs/dbraw/zinc/09/63/48/545096348.db2.gz HJBQLKAQHFNWSS-ZDUSSCGKSA-N 0 1 269.389 0.331 20 30 CCEDMN C[C@H]1[C@H](NC(=O)C(=O)N2CCC(C#N)CC2)CCCN1C ZINC000347762635 534149472 /nfs/dbraw/zinc/14/94/72/534149472.db2.gz ZHIODCBJTUHOPH-WCQYABFASA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(C#N)c2)[C@H](C)CN1 ZINC000415559723 534297365 /nfs/dbraw/zinc/29/73/65/534297365.db2.gz KTLDVGMSGPKPGW-GHMZBOCLSA-N 0 1 286.335 0.706 20 30 CCEDMN COC(=O)C(C)(C)CN[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000151810973 522791904 /nfs/dbraw/zinc/79/19/04/522791904.db2.gz IRWWJHZQHIHRHR-LBPRGKRZSA-N 0 1 289.335 0.873 20 30 CCEDMN C=CCOCCCN1CCN(CC(=O)NC(C)C)CC1 ZINC000347961233 526756129 /nfs/dbraw/zinc/75/61/29/526756129.db2.gz HHXSIQBINZMTNR-UHFFFAOYSA-N 0 1 283.416 0.721 20 30 CCEDMN CCN(CC(=O)N(CCC#N)CCC#N)C[C@H](C)O ZINC000352212538 527894378 /nfs/dbraw/zinc/89/43/78/527894378.db2.gz QSEJFUFRBZYEOF-LBPRGKRZSA-N 0 1 266.345 0.345 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)NCc2ncn(C)n2)C[C@H]1C ZINC000329001704 527960550 /nfs/dbraw/zinc/96/05/50/527960550.db2.gz LJHFIVACMOSLFP-MNOVXSKESA-N 0 1 280.376 0.644 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCc2[nH]nnc2C1 ZINC000669273017 545203920 /nfs/dbraw/zinc/20/39/20/545203920.db2.gz MDRQAMDKAVPGBW-LLVKDONJSA-N 0 1 277.328 0.160 20 30 CCEDMN CCC[C@@H](NC(=O)c1ccc(C#N)n1C)c1nn[nH]n1 ZINC000450121947 528991059 /nfs/dbraw/zinc/99/10/59/528991059.db2.gz LSKNISSXEYRFHE-SECBINFHSA-N 0 1 273.300 0.681 20 30 CCEDMN CC[C@@H]1CN(c2nccnc2C#N)CCN1C[C@H](C)O ZINC000264306207 535870699 /nfs/dbraw/zinc/87/06/99/535870699.db2.gz FPSVAECABWEVQM-NWDGAFQWSA-N 0 1 275.356 0.630 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(O)(C(C)C)C2)CC1 ZINC000788617970 581118248 /nfs/dbraw/zinc/11/82/48/581118248.db2.gz XMAWWIUFSLYZBV-UHFFFAOYSA-N 0 1 264.369 0.561 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2ccc(N3CCOCC3)cc2)N1 ZINC000779792850 581205623 /nfs/dbraw/zinc/20/56/23/581205623.db2.gz VIMDOKRKUHWERB-LBPRGKRZSA-N 0 1 287.367 0.794 20 30 CCEDMN COc1ccc2c(c1)OCC2=NNC1=NC[C@@H](C)N1 ZINC000779799924 581206602 /nfs/dbraw/zinc/20/66/02/581206602.db2.gz YNBRFGGQFMVMIF-MRVPVSSYSA-N 0 1 260.297 0.729 20 30 CCEDMN Cc1nnc(NC(=O)[C@@H](O)c2ccc(C#N)cc2)[nH]1 ZINC000780929752 581250064 /nfs/dbraw/zinc/25/00/64/581250064.db2.gz YVNLEKPXUMKDMF-JTQLQIEISA-N 0 1 257.253 0.657 20 30 CCEDMN Cc1n[nH]c(NC(=O)[C@@H](O)c2ccc(C#N)cc2)n1 ZINC000780929752 581250066 /nfs/dbraw/zinc/25/00/66/581250066.db2.gz YVNLEKPXUMKDMF-JTQLQIEISA-N 0 1 257.253 0.657 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CC=CCC1)[C@@H]1CCCO1 ZINC000793160582 581285965 /nfs/dbraw/zinc/28/59/65/581285965.db2.gz BDUOXFZVZLTWJB-KBPBESRZSA-N 0 1 277.368 0.728 20 30 CCEDMN N#C[C@H]1CN(C(=O)CCc2cnc[nH]2)CCN1C1CC1 ZINC000793488234 581300639 /nfs/dbraw/zinc/30/06/39/581300639.db2.gz JWMNAMFUSNSOCX-ZDUSSCGKSA-N 0 1 273.340 0.541 20 30 CCEDMN N#CCCNC(=O)CN1CCOC[C@@H](C2CCC2)C1 ZINC000795286612 581324180 /nfs/dbraw/zinc/32/41/80/581324180.db2.gz LCVNQWJOUUKNDY-ZDUSSCGKSA-N 0 1 265.357 0.765 20 30 CCEDMN COCCCNC(=O)[C@H](C#N)C(=O)[C@H](F)C(C)C ZINC000784487736 581386251 /nfs/dbraw/zinc/38/62/51/581386251.db2.gz ZXPVYYBWWUIGJF-NXEZZACHSA-N 0 1 258.293 0.842 20 30 CCEDMN COCCCNC(=O)[C@H](C#N)C(=O)[C@@H](F)C(C)C ZINC000784487741 581386320 /nfs/dbraw/zinc/38/63/20/581386320.db2.gz ZXPVYYBWWUIGJF-ZJUUUORDSA-N 0 1 258.293 0.842 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CCCOCC1 ZINC000786296649 581436431 /nfs/dbraw/zinc/43/64/31/581436431.db2.gz KRWQMFURDDGZRX-RYUDHWBXSA-N 0 1 282.340 0.665 20 30 CCEDMN Cn1nc(COC(=O)c2cccc(C#N)c2)[nH]c1=O ZINC000765385663 581510585 /nfs/dbraw/zinc/51/05/85/581510585.db2.gz GKJFAFASCXAHCV-UHFFFAOYSA-N 0 1 258.237 0.337 20 30 CCEDMN C#CCNC(=O)CN1CCC2(C[C@H]2C(=O)OC)CC1 ZINC000733795621 581525923 /nfs/dbraw/zinc/52/59/23/581525923.db2.gz DSNDTYHRHQENJT-NSHDSACASA-N 0 1 264.325 0.011 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN2CCN(C)CC2)cn1 ZINC000728982567 581526980 /nfs/dbraw/zinc/52/69/80/581526980.db2.gz GWUPKGDMYQMXGS-UHFFFAOYSA-N 0 1 272.352 0.040 20 30 CCEDMN C=CCN(CC=C)C(=O)CNC1(C(=O)NC)CCCC1 ZINC000733999133 581538440 /nfs/dbraw/zinc/53/84/40/581538440.db2.gz BXKZEEURDIRFIV-UHFFFAOYSA-N 0 1 279.384 0.835 20 30 CCEDMN N#CC1(CS(=O)(=O)N2CCC(c3nc[nH]n3)CC2)CC1 ZINC000766068331 581548845 /nfs/dbraw/zinc/54/88/45/581548845.db2.gz COYHEEDMVXAOFH-UHFFFAOYSA-N 0 1 295.368 0.618 20 30 CCEDMN N#CCCNC(=O)CN[C@@]1(CO)CCc2ccccc21 ZINC000766247412 581558625 /nfs/dbraw/zinc/55/86/25/581558625.db2.gz CDTYQUOZFTZQEX-OAHLLOKOSA-N 0 1 273.336 0.440 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CCN(CC)[C@H](C)C2)nc1 ZINC000754079807 581574561 /nfs/dbraw/zinc/57/45/61/581574561.db2.gz MGFDSDONDVHHCB-CYBMUJFWSA-N 0 1 286.379 0.975 20 30 CCEDMN N#CCNC(=O)CN[C@H](CCO)c1cccs1 ZINC000754385182 581589658 /nfs/dbraw/zinc/58/96/58/581589658.db2.gz NUQMRDSUMOBHIB-SECBINFHSA-N 0 1 253.327 0.401 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)N2CCCC2)CC1 ZINC000729949505 581625418 /nfs/dbraw/zinc/62/54/18/581625418.db2.gz TXINQEIGIKIDAA-UHFFFAOYSA-N 0 1 278.352 0.497 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@@H](C)c2nn[nH]n2)cn1 ZINC000735387860 581642182 /nfs/dbraw/zinc/64/21/82/581642182.db2.gz IMUZPCSZUWANGO-SECBINFHSA-N 0 1 270.296 0.452 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+](C[C@H](C)C(=O)[O-])C1CC1 ZINC000736106283 581697300 /nfs/dbraw/zinc/69/73/00/581697300.db2.gz WYPWOEHRNCQHNB-VIFPVBQESA-N 0 1 283.328 0.183 20 30 CCEDMN CN(CCC#N)c1ccc(C=NNCC(N)=O)cc1 ZINC000758895749 581699612 /nfs/dbraw/zinc/69/96/12/581699612.db2.gz ABKWHLQEWCDNTG-UHFFFAOYSA-N 0 1 259.313 0.445 20 30 CCEDMN CCN1CCC(=NNCCS(C)(=O)=O)[C@@H](C)C1 ZINC000741460790 581766323 /nfs/dbraw/zinc/76/63/23/581766323.db2.gz PZSVPYHEVSEFRV-JTQLQIEISA-N 0 1 261.391 0.338 20 30 CCEDMN CC1(CC(=O)C(C#N)C(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000759493472 581800925 /nfs/dbraw/zinc/80/09/25/581800925.db2.gz CAEZULSLAROLCY-SNVBAGLBSA-N 0 1 298.364 0.142 20 30 CCEDMN N#Cc1ccc([C@H](N[C@H](CCO)C2CC2)C(N)=O)cc1 ZINC000771800890 581821902 /nfs/dbraw/zinc/82/19/02/581821902.db2.gz GMGOECIKEORGIE-KGLIPLIRSA-N 0 1 273.336 0.835 20 30 CCEDMN N#C[C@@H](C(=O)CCc1nc[nH]n1)C(=O)NCc1ccccc1 ZINC000759834998 581826167 /nfs/dbraw/zinc/82/61/67/581826167.db2.gz QWFQLUMICQQNDP-LBPRGKRZSA-N 0 1 297.318 0.763 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](C(=O)N(C)C)C(C)C)CC1 ZINC000772109449 581843052 /nfs/dbraw/zinc/84/30/52/581843052.db2.gz FJQJAVWMSGJYHF-AWEZNQCLSA-N 0 1 294.395 0.988 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H]2CCN(C)C2=O)CC1 ZINC000772113440 581843389 /nfs/dbraw/zinc/84/33/89/581843389.db2.gz FCZROTPPSHFMBG-LBPRGKRZSA-N 0 1 264.325 0.106 20 30 CCEDMN CC[C@]([NH2+]CC(=O)NCCC#N)(C(=O)[O-])c1ccccc1 ZINC000736916395 581867991 /nfs/dbraw/zinc/86/79/91/581867991.db2.gz HPBDBPJZAITFGV-OAHLLOKOSA-N 0 1 289.335 0.996 20 30 CCEDMN NS(=O)(=O)c1ccccc1N=NC1CN2CCC1CC2 ZINC000773093897 581908232 /nfs/dbraw/zinc/90/82/32/581908232.db2.gz IDAMFEMCUSBJFC-UHFFFAOYSA-N 0 1 294.380 0.828 20 30 CCEDMN C=CCNC(=O)CN1CCC(N(C)CC(F)F)CC1 ZINC000746482134 581915667 /nfs/dbraw/zinc/91/56/67/581915667.db2.gz NFZWBKLXBVTSMW-UHFFFAOYSA-N 0 1 275.343 0.950 20 30 CCEDMN COc1cc(C(=O)C(C#N)C(=O)Nc2ccccn2)nn1C ZINC000773988717 581951509 /nfs/dbraw/zinc/95/15/09/581951509.db2.gz XDPBZCKDDICOTM-VIFPVBQESA-N 0 1 299.290 0.785 20 30 CCEDMN C=CCn1nnn(CN2CCN(C3CC3)CC2)c1=S ZINC000749674706 582016892 /nfs/dbraw/zinc/01/68/92/582016892.db2.gz CZLMXFXMDUYFFR-UHFFFAOYSA-N 0 1 280.401 0.733 20 30 CCEDMN C#C[C@H](NCc1cnc2cnccn12)[C@H]1CCCO1 ZINC000775343284 582020133 /nfs/dbraw/zinc/02/01/33/582020133.db2.gz VUHLNRDDZNKMEU-QWHCGFSZSA-N 0 1 256.309 1.000 20 30 CCEDMN C#C[C@H](NC(=O)[C@H](C)CN1CCOCC1)[C@H]1CCCO1 ZINC000775344769 582020155 /nfs/dbraw/zinc/02/01/55/582020155.db2.gz BUCXWWIASQWAEI-HZSPNIEDSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCNC(=O)CNC1(c2cccc(C#N)c2)CC1 ZINC000775429909 582023866 /nfs/dbraw/zinc/02/38/66/582023866.db2.gz BAUSAAHLPVOWQK-UHFFFAOYSA-N 0 1 253.305 0.886 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)N(C)CCC#N)[C@H]1CCCO1 ZINC000775784517 582037368 /nfs/dbraw/zinc/03/73/68/582037368.db2.gz JLASYRKVVONNRW-RWMBFGLXSA-N 0 1 263.341 0.517 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC[C@@H]1CCCO1)[C@@H]1CCCO1 ZINC000775789475 582038118 /nfs/dbraw/zinc/03/81/18/582038118.db2.gz CWULROFGUBLRAD-RFGFWPKPSA-N 0 1 280.368 0.441 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NC(=O)NCC(C)C)[C@H]1CCCO1 ZINC000775792458 582039003 /nfs/dbraw/zinc/03/90/03/582039003.db2.gz GSLUSPVOHJKZKA-RWMBFGLXSA-N 0 1 295.383 0.627 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NC(=O)NCC=C)[C@H]1CCCO1 ZINC000775797628 582039512 /nfs/dbraw/zinc/03/95/12/582039512.db2.gz FACDIHRHLDWPSI-SDDRHHMPSA-N 0 1 279.340 0.157 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)c1n[nH]c(CC)n1)[C@H]1CCCO1 ZINC000776226345 582061577 /nfs/dbraw/zinc/06/15/77/582061577.db2.gz GVTLBFOWJRADKA-GARJFASQSA-N 0 1 291.355 0.908 20 30 CCEDMN CCN1CCC(=NNC2CCS(=O)(=O)CC2)[C@H](C)C1 ZINC000776792914 582091357 /nfs/dbraw/zinc/09/13/57/582091357.db2.gz YKWXSIPCHUEDHS-LLVKDONJSA-N 0 1 287.429 0.871 20 30 CCEDMN C=C(Br)CN1C[C@]2(C)C(=O)NC(=O)[C@]2(C)C1 ZINC000879157619 613235497 /nfs/dbraw/zinc/23/54/97/613235497.db2.gz KWDBBYINWBKTQS-PHIMTYICSA-N 0 1 287.157 0.880 20 30 CCEDMN C=C(Br)CN1C[C@@]2(C)C(=O)NC(=O)[C@]2(C)C1 ZINC000879157621 613235660 /nfs/dbraw/zinc/23/56/60/613235660.db2.gz KWDBBYINWBKTQS-QWRGUYRKSA-N 0 1 287.157 0.880 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1[nH]nc2ccccc21 ZINC000962729126 649905947 /nfs/dbraw/zinc/90/59/47/649905947.db2.gz CCJZIPBNLLJCJM-ATCWAGBWSA-N 0 1 295.346 0.675 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000817727798 597083524 /nfs/dbraw/zinc/08/35/24/597083524.db2.gz JWGUESKWPBXKLX-VXGBXAGGSA-N 0 1 281.356 0.934 20 30 CCEDMN C#CCCN(CCOC)C(=O)CN(C)[C@@H]1CCSC1 ZINC000856389135 612987076 /nfs/dbraw/zinc/98/70/76/612987076.db2.gz DRMVTXDHJDTCRS-CYBMUJFWSA-N 0 1 284.425 0.922 20 30 CCEDMN C[C@H](C(=O)[O-])[N@@H+](C)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000817772343 597493078 /nfs/dbraw/zinc/49/30/78/597493078.db2.gz VGHHJTYOQGRHRF-DGCLKSJQSA-N 0 1 292.335 0.897 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N[C@H]1CC[N@@H+](CC2CC2)C1 ZINC000819821447 597645642 /nfs/dbraw/zinc/64/56/42/597645642.db2.gz GTLDVQXKGYKDAD-SMDDNHRTSA-N 0 1 279.340 0.591 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N1CC[NH+](C2CCC2)CC1 ZINC000819822120 597646749 /nfs/dbraw/zinc/64/67/49/597646749.db2.gz LVXDFFCWTBUCPH-CQSZACIVSA-N 0 1 279.340 0.688 20 30 CCEDMN Cc1nc(N(C)C)sc1C=[NH+]NCCC(=O)[O-] ZINC000821176377 597742597 /nfs/dbraw/zinc/74/25/97/597742597.db2.gz VLLKEPSGIMYRTK-UHFFFAOYSA-N 0 1 256.331 0.916 20 30 CCEDMN C[C@@H]1C[C@@H](N(C)C(=O)[C@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC000820228695 598228397 /nfs/dbraw/zinc/22/83/97/598228397.db2.gz RRIKBXLXBXPNAC-SUNKGSAMSA-N 0 1 281.356 0.932 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H](C(CC)CC)[NH+]1CCOCC1 ZINC000812783489 612992432 /nfs/dbraw/zinc/99/24/32/612992432.db2.gz RIYGYALIIMVSTM-CYBMUJFWSA-N 0 1 268.357 0.804 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](C(=O)OCC)C1 ZINC000932648183 612948030 /nfs/dbraw/zinc/94/80/30/612948030.db2.gz BRLYHSZNYRBWRU-MNOVXSKESA-N 0 1 252.314 0.009 20 30 CCEDMN C[N@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC(=O)[O-] ZINC000163333667 601219455 /nfs/dbraw/zinc/21/94/55/601219455.db2.gz VJAFUHQZDKBIOC-UHFFFAOYSA-N 0 1 282.321 0.348 20 30 CCEDMN C[N@@H+](CCS(=O)(=O)c1ccc(C#N)cc1)CC(=O)[O-] ZINC000163333667 601219456 /nfs/dbraw/zinc/21/94/56/601219456.db2.gz VJAFUHQZDKBIOC-UHFFFAOYSA-N 0 1 282.321 0.348 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+](C)CC[C@@H](NC(=O)[O-])C(C)C ZINC000823456172 612949636 /nfs/dbraw/zinc/94/96/36/612949636.db2.gz LEYFFNADAHLESO-NWDGAFQWSA-N 0 1 283.372 0.738 20 30 CCEDMN COc1cc(CC(=O)N[C@H]2CNC[C@H]2C#N)ccc1C ZINC000834516113 617181518 /nfs/dbraw/zinc/18/15/18/617181518.db2.gz FMNJLVHODSLSEF-OLZOCXBDSA-N 0 1 273.336 0.774 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCc1n[nH]c(C2CC2)n1 ZINC000875630778 613035545 /nfs/dbraw/zinc/03/55/45/613035545.db2.gz JNPNYXQMLKSLQD-JTQLQIEISA-N 0 1 277.328 0.520 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)[O-])C1CC1 ZINC000823648764 604068958 /nfs/dbraw/zinc/06/89/58/604068958.db2.gz WTSVAVDRDAQBQW-SMDDNHRTSA-N 0 1 294.355 0.527 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@H]1CNC(=O)[O-])C1CC1 ZINC000823648764 604068962 /nfs/dbraw/zinc/06/89/62/604068962.db2.gz WTSVAVDRDAQBQW-SMDDNHRTSA-N 0 1 294.355 0.527 20 30 CCEDMN C#CC1(O)CCN([C@@H]2CCCN(C(C)C)C2=O)CC1 ZINC000931144438 612952913 /nfs/dbraw/zinc/95/29/13/612952913.db2.gz YFMUEGPDPZOTTA-CYBMUJFWSA-N 0 1 264.369 0.846 20 30 CCEDMN C[C@@H]1C[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)C[C@H]1O ZINC000833623682 604566911 /nfs/dbraw/zinc/56/69/11/604566911.db2.gz PJXKDMZSZZTLKM-WQAKAFBOSA-N 0 1 260.293 0.997 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[O-])C[C@@H]1[NH2+]CC1(O)CCCC1 ZINC000833968972 605674367 /nfs/dbraw/zinc/67/43/67/605674367.db2.gz YTYUINLQKHPMFH-ZJUUUORDSA-N 0 1 253.302 0.383 20 30 CCEDMN C[C@@H]1[C@@H](C)[N@@H+](CCC(=O)N(C)CCC#N)CCN1C(=O)[O-] ZINC000833826036 606043735 /nfs/dbraw/zinc/04/37/35/606043735.db2.gz FKQDXAVUKGWKHO-VXGBXAGGSA-N 0 1 296.371 0.821 20 30 CCEDMN CC(C)(C#N)CCCn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000819892152 606170236 /nfs/dbraw/zinc/17/02/36/606170236.db2.gz WSPNCODVWKSKDX-UHFFFAOYSA-N 0 1 285.315 0.847 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@@]1(N(C)C)CCSC1 ZINC000808299437 613054408 /nfs/dbraw/zinc/05/44/08/613054408.db2.gz JNNVPOJLOQQLOL-NSHDSACASA-N 0 1 276.427 0.366 20 30 CCEDMN C#CC[C@H](COC)NCc1cccc(S(N)(=O)=O)c1 ZINC000877661732 613066292 /nfs/dbraw/zinc/06/62/92/613066292.db2.gz GBWQOFSBFSKKIL-GFCCVEGCSA-N 0 1 282.365 0.462 20 30 CCEDMN C#CC[C@H](COC)NCc1c2c(nn1C)CCOC2 ZINC000922300118 613066295 /nfs/dbraw/zinc/06/62/95/613066295.db2.gz UYSRMQUFNSKLRT-LLVKDONJSA-N 0 1 263.341 0.621 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)nc(N)n1CCCCCCO ZINC000822815579 607237836 /nfs/dbraw/zinc/23/78/36/607237836.db2.gz UANQTZUBNCBGHQ-UHFFFAOYSA-N 0 1 276.304 0.070 20 30 CCEDMN C[C@H]1CC(NC(=O)c2ccc(-c3nn[nH]n3)cn2)=NO1 ZINC000824892975 608108904 /nfs/dbraw/zinc/10/89/04/608108904.db2.gz OHHWWEHTEABDIT-LURJTMIESA-N 0 1 273.256 0.114 20 30 CCEDMN CS(C)(=O)=NS(=O)(=O)CCN[C@H]1CC[C@@H](C#N)C1 ZINC000903227473 617196484 /nfs/dbraw/zinc/19/64/84/617196484.db2.gz RPKZFAWYMONNIJ-ZJUUUORDSA-N 0 1 293.414 0.326 20 30 CCEDMN C#CCNCC(=O)NC[C@H](O)c1cc(OC)cc(OC)c1 ZINC000912368003 612956839 /nfs/dbraw/zinc/95/68/39/612956839.db2.gz IGXGTLMXYKEWPR-AWEZNQCLSA-N 0 1 292.335 0.076 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCN2CCCC2=O)C1 ZINC000980421977 613089254 /nfs/dbraw/zinc/08/92/54/613089254.db2.gz JNUPRBKNGYEVDM-ZDUSSCGKSA-N 0 1 277.368 0.165 20 30 CCEDMN COc1cc(N)c(Cl)cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834485551 617198409 /nfs/dbraw/zinc/19/84/09/617198409.db2.gz YKPXQQNGVIHGQH-RDDDGLTNSA-N 0 1 294.742 0.772 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)Cc1n[nH]c(C)n1 ZINC000852424618 613104863 /nfs/dbraw/zinc/10/48/63/613104863.db2.gz AXTFNXBANIJFGW-UHFFFAOYSA-N 0 1 264.329 0.890 20 30 CCEDMN C#CC1(O)CN(C(=O)c2nc[nH]c2C(F)(F)F)C1 ZINC000890526728 612960170 /nfs/dbraw/zinc/96/01/70/612960170.db2.gz VWLLMWHTEFTGCH-UHFFFAOYSA-N 0 1 259.187 0.249 20 30 CCEDMN CC(C)[C@@H](C(=O)OCCn1ncc(C#N)c1N)N(C)C ZINC000798825949 614079790 /nfs/dbraw/zinc/07/97/90/614079790.db2.gz NCXQCCTVXZPNQU-NSHDSACASA-N 0 1 279.344 0.466 20 30 CCEDMN CC(C)C#CC(=O)N1CCN(C2CCOCC2)CC1 ZINC000829565501 614325808 /nfs/dbraw/zinc/32/58/08/614325808.db2.gz MAPCGHCATZYBOM-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN CSCC1(CC(=O)N[C@@H]2CNC[C@H]2C#N)CC1 ZINC000846676645 617231442 /nfs/dbraw/zinc/23/14/42/617231442.db2.gz GICJFYLTMHVFTN-NXEZZACHSA-N 0 1 253.371 0.747 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)c2cc(C#N)cn2C)n1 ZINC000894606754 617259307 /nfs/dbraw/zinc/25/93/07/617259307.db2.gz MLFWHYMBEVAASZ-UHFFFAOYSA-N 0 1 290.352 0.808 20 30 CCEDMN CSCc1nnc(CNC(=O)c2cc(C#N)cn2C)[nH]1 ZINC000894606754 617259309 /nfs/dbraw/zinc/25/93/09/617259309.db2.gz MLFWHYMBEVAASZ-UHFFFAOYSA-N 0 1 290.352 0.808 20 30 CCEDMN C[C@@H](C#N)OCCN1CCN(CCO[C@@H](C)C#N)[C@@H](C)C1 ZINC000801931809 617297432 /nfs/dbraw/zinc/29/74/32/617297432.db2.gz AZFWAUUNGAIBGS-KKUMJFAQSA-N 0 1 294.399 0.850 20 30 CCEDMN C[C@@H](C#N)OCCN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000878773525 617297615 /nfs/dbraw/zinc/29/76/15/617297615.db2.gz YZSONQCQMIFVCN-XQQFMLRXSA-N 0 1 250.346 0.833 20 30 CCEDMN COc1cccc(NCC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000846677473 617304570 /nfs/dbraw/zinc/30/45/70/617304570.db2.gz MYJXJEVASOXPMZ-ZWNOBZJWSA-N 0 1 274.324 0.335 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1CCNC1=O ZINC000960292675 612971227 /nfs/dbraw/zinc/97/12/27/612971227.db2.gz IHPVOTIVHAPPBK-RBSFLKMASA-N 0 1 297.358 0.128 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1CCNC1=O ZINC000960292676 612971424 /nfs/dbraw/zinc/97/14/24/612971424.db2.gz IHPVOTIVHAPPBK-RRFJBIMHSA-N 0 1 297.358 0.128 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C)C[C@@H]1C(C)C ZINC000152865691 612978193 /nfs/dbraw/zinc/97/81/93/612978193.db2.gz WSXDMMQFARWMGK-CYBMUJFWSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(C(C)(C)COC)CC1 ZINC000823462523 612986200 /nfs/dbraw/zinc/98/62/00/612986200.db2.gz UNJRISOFGGUSEU-UHFFFAOYSA-N 0 1 297.399 0.388 20 30 CCEDMN C#CCCN(CCNC(=O)OC(C)(C)C)C[C@@H](O)CO ZINC000852180260 612986546 /nfs/dbraw/zinc/98/65/46/612986546.db2.gz RJVMITWKUJTTLQ-GFCCVEGCSA-N 0 1 286.372 0.190 20 30 CCEDMN C#CCO[N-]C(=O)[C@H](C(CC)CC)[NH+]1CCOCC1 ZINC000812783490 612993113 /nfs/dbraw/zinc/99/31/13/612993113.db2.gz RIYGYALIIMVSTM-ZDUSSCGKSA-N 0 1 268.357 0.804 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@H](c2[nH]ncc2N)C1 ZINC000907416720 612995226 /nfs/dbraw/zinc/99/52/26/612995226.db2.gz JPLFGLJVKLBPMV-VHSXEESVSA-N 0 1 262.313 0.346 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000824828955 612999496 /nfs/dbraw/zinc/99/94/96/612999496.db2.gz DUZASSDWBDYIEK-SNVBAGLBSA-N 0 1 262.313 0.549 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@@H](C)N(CC)C[C@@H]1C ZINC000827572879 613000760 /nfs/dbraw/zinc/00/07/60/613000760.db2.gz BZYHOOSQQQVOKX-FRRDWIJNSA-N 0 1 252.358 0.966 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2n[nH]nc2C)CC1 ZINC000981730573 613005594 /nfs/dbraw/zinc/00/55/94/613005594.db2.gz LNLBVYIGDSJXPQ-UHFFFAOYSA-N 0 1 261.329 0.284 20 30 CCEDMN C#CCOc1ccc(CN2C[C@@H](O)[C@H](OC)C2)cc1 ZINC000825017870 613005714 /nfs/dbraw/zinc/00/57/14/613005714.db2.gz ZGOCQPDNFGBQLN-HUUCEWRRSA-N 0 1 261.321 0.890 20 30 CCEDMN C#CCOc1ccc(C[N@@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000819706274 613005834 /nfs/dbraw/zinc/00/58/34/613005834.db2.gz SKDMCEGZJBMGLG-AWEZNQCLSA-N 0 1 275.304 0.984 20 30 CCEDMN C#CCOc1ccc(C[N@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000819706274 613005836 /nfs/dbraw/zinc/00/58/36/613005836.db2.gz SKDMCEGZJBMGLG-AWEZNQCLSA-N 0 1 275.304 0.984 20 30 CCEDMN C#CCSCC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907473040 613010962 /nfs/dbraw/zinc/01/09/62/613010962.db2.gz BKMASYHZPTZZSX-MRVPVSSYSA-N 0 1 283.382 0.183 20 30 CCEDMN C#CCCN1CCN(C[C@H](O)c2ccc(F)cn2)CC1 ZINC000799311662 613011414 /nfs/dbraw/zinc/01/14/14/613011414.db2.gz ZTSRXWDOSDUJPP-HNNXBMFYSA-N 0 1 277.343 0.895 20 30 CCEDMN C#CCCN1CCN(C[C@](C)(O)C(F)(F)F)CC1 ZINC000799147839 613011575 /nfs/dbraw/zinc/01/15/75/613011575.db2.gz PPPIHUVIHIIWRD-NSHDSACASA-N 0 1 264.291 0.941 20 30 CCEDMN C#CCCN1CCN(c2cc3nnc(C)n3cn2)CC1 ZINC000840256979 613011915 /nfs/dbraw/zinc/01/19/15/613011915.db2.gz XGAPZSOGQSFKDB-UHFFFAOYSA-N 0 1 270.340 0.578 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)c1cccc2nc(CO)[nH]c21 ZINC000913348285 613037483 /nfs/dbraw/zinc/03/74/83/613037483.db2.gz KQRPRUXONRVHNO-JTQLQIEISA-N 0 1 287.319 0.823 20 30 CCEDMN C#CC[C@@H](COC)NS(=O)(=O)CCN(CC)CC ZINC000859430503 613037960 /nfs/dbraw/zinc/03/79/60/613037960.db2.gz RPGKPIPNRTZSMZ-LBPRGKRZSA-N 0 1 276.402 0.286 20 30 CCEDMN C#CC[C@@H](COC)NS(=O)(=O)c1cc(O)cc(F)c1 ZINC000867568074 613038461 /nfs/dbraw/zinc/03/84/61/613038461.db2.gz FFKBPRQTWPKHOO-JTQLQIEISA-N 0 1 287.312 0.848 20 30 CCEDMN C#CCCOC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000859100991 613049188 /nfs/dbraw/zinc/04/91/88/613049188.db2.gz MRDUDBIPKIDJNM-STQMWFEESA-N 0 1 266.341 0.677 20 30 CCEDMN C#CC[C@H](CO)NC(=O)CCCCc1cn[nH]n1 ZINC000816670657 613053258 /nfs/dbraw/zinc/05/32/58/613053258.db2.gz BLSNMTGZSUIOFM-LLVKDONJSA-N 0 1 250.302 0.018 20 30 CCEDMN C#CCN(C(=O)Cc1cc(=O)n(CC(C)C)[nH]1)C1CC1 ZINC000935404352 613066511 /nfs/dbraw/zinc/06/65/11/613066511.db2.gz NNYCZNTWSHMNDW-UHFFFAOYSA-N 0 1 275.352 0.999 20 30 CCEDMN C#CCN(C)C(=O)[C@@H]1CC[N@@H+](C)C[C@@H]1c1cnn(C)c1 ZINC000849582204 613071872 /nfs/dbraw/zinc/07/18/72/613071872.db2.gz LRAQMJVPSOQWIJ-ZIAGYGMSSA-N 0 1 274.368 0.547 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)NCc2n[nH]c(COC)n2)C1 ZINC000821160363 613072404 /nfs/dbraw/zinc/07/24/04/613072404.db2.gz MJAONVFPLDFNSI-NSHDSACASA-N 0 1 291.355 0.896 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)NCc2nnc(COC)[nH]2)C1 ZINC000821160363 613072405 /nfs/dbraw/zinc/07/24/05/613072405.db2.gz MJAONVFPLDFNSI-NSHDSACASA-N 0 1 291.355 0.896 20 30 CCEDMN C#CCn1cc(CNS(=O)(=O)CCN(CC)CC)cn1 ZINC000882347122 613077945 /nfs/dbraw/zinc/07/79/45/613077945.db2.gz CHLFGGDFJYIPEY-UHFFFAOYSA-N 0 1 298.412 0.278 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCOC2)C1 ZINC000971250637 613081374 /nfs/dbraw/zinc/08/13/74/613081374.db2.gz PBMAWZIMGMUKME-CHWSQXEVSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C(N)=O)o2)C1 ZINC000971902377 613086054 /nfs/dbraw/zinc/08/60/54/613086054.db2.gz XXVZSMYHCADRMN-SNVBAGLBSA-N 0 1 275.308 0.158 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C(N)=O)s2)C1 ZINC000972545828 613086167 /nfs/dbraw/zinc/08/61/67/613086167.db2.gz VNBMMPGDIONMJZ-SNVBAGLBSA-N 0 1 291.376 0.627 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc3c(c2)nnn3C)C1 ZINC000971955453 613087402 /nfs/dbraw/zinc/08/74/02/613087402.db2.gz UNMIHVHWFBBRFW-GFCCVEGCSA-N 0 1 298.350 0.143 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc3n[nH]cc3c2)C1 ZINC000971763193 613087463 /nfs/dbraw/zinc/08/74/63/613087463.db2.gz RNLBPTCZXZGFSU-CYBMUJFWSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cn2cc(C3CC3)nn2)C1 ZINC000971673557 613090758 /nfs/dbraw/zinc/09/07/58/613090758.db2.gz HLKFIRLUFSSIHM-ZDUSSCGKSA-N 0 1 287.367 0.321 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cn2ncc3cccnc32)C1 ZINC000971964715 613091281 /nfs/dbraw/zinc/09/12/81/613091281.db2.gz ROFKDCBPHULLGF-AWEZNQCLSA-N 0 1 297.362 0.597 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC000980504363 613091377 /nfs/dbraw/zinc/09/13/77/613091377.db2.gz SBLYCPWDCRVSQP-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@]2(C)CCOC2)C1 ZINC000972627449 613092625 /nfs/dbraw/zinc/09/26/25/613092625.db2.gz XKLAZODNRSGOBC-JSGCOSHPSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CCN(C(C)=O)C2)C1 ZINC000972690913 613093389 /nfs/dbraw/zinc/09/33/89/613093389.db2.gz MWAVBHZTAFLGLE-GOEBONIOSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(C)nn2C)C1 ZINC000971153826 613093937 /nfs/dbraw/zinc/09/39/37/613093937.db2.gz PPGKIAZSLQBLED-LBPRGKRZSA-N 0 1 260.341 0.508 20 30 CCEDMN C#C[C@@H](NC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1)[C@H]1CCCO1 ZINC000836411100 613094782 /nfs/dbraw/zinc/09/47/82/613094782.db2.gz ZPMGIQMKQDRVNV-XJFOESAGSA-N 0 1 279.384 0.901 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)N(C)CC1CC1 ZINC000922022972 613098204 /nfs/dbraw/zinc/09/82/04/613098204.db2.gz FGPWAELQKXVSBR-UHFFFAOYSA-N 0 1 299.440 0.602 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)Cc1c[nH]nn1 ZINC000852425234 613104783 /nfs/dbraw/zinc/10/47/83/613104783.db2.gz QFTYPFUJSUPTOB-UHFFFAOYSA-N 0 1 250.302 0.582 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000821567369 613119907 /nfs/dbraw/zinc/11/99/07/613119907.db2.gz LJJNIFZPTICXEY-CHWSQXEVSA-N 0 1 281.400 0.885 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000854077328 613119918 /nfs/dbraw/zinc/11/99/18/613119918.db2.gz PNIKZLFVMVLLLL-FRRDWIJNSA-N 0 1 267.373 0.495 20 30 CCEDMN C#C[C@H](CC)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000849390312 613122012 /nfs/dbraw/zinc/12/20/12/613122012.db2.gz ZVDCMSZMHLKXDF-MRVPVSSYSA-N 0 1 269.282 0.680 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NCCN1CCC(O)CC1 ZINC000895689649 613123704 /nfs/dbraw/zinc/12/37/04/613123704.db2.gz QQQQBTNYHSCPEC-GFCCVEGCSA-N 0 1 267.373 0.544 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(C(C)C)COC2)CC1 ZINC000830163481 613132512 /nfs/dbraw/zinc/13/25/12/613132512.db2.gz YTRAZWDTNAVFJM-UHFFFAOYSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1CCC(NC(=O)CC2CSC2)CC1 ZINC000928648710 613132763 /nfs/dbraw/zinc/13/27/63/613132763.db2.gz AHDTZTGJCQEPAB-UHFFFAOYSA-N 0 1 252.383 0.953 20 30 CCEDMN C#CCN1CCC(NC(=O)CCn2cc(C)cn2)CC1 ZINC000928657568 613132919 /nfs/dbraw/zinc/13/29/19/613132919.db2.gz OBXLFOKADPVOBX-UHFFFAOYSA-N 0 1 274.368 0.795 20 30 CCEDMN C#CCN1CCC(NC(=O)CCOCCOC)CC1 ZINC000928656710 613133077 /nfs/dbraw/zinc/13/30/77/613133077.db2.gz CBUDUFVCSLSXSP-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCCC(=O)OC)CC1 ZINC000913876043 613133947 /nfs/dbraw/zinc/13/39/47/613133947.db2.gz KTFNQMGDZBDXKF-UHFFFAOYSA-N 0 1 281.356 0.336 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cc(CC)n[nH]2)CC1 ZINC000919571652 613134471 /nfs/dbraw/zinc/13/44/71/613134471.db2.gz WRLDPHUSUSKNSO-UHFFFAOYSA-N 0 1 289.383 0.869 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2nccn2CC)CC1 ZINC000922694015 613134523 /nfs/dbraw/zinc/13/45/23/613134523.db2.gz ALUYHJTTZGOROG-UHFFFAOYSA-N 0 1 289.383 0.800 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)c2ccn(C)n2)CC1 ZINC000922853915 613134853 /nfs/dbraw/zinc/13/48/53/613134853.db2.gz KNDUDNLDEZLAOJ-LBPRGKRZSA-N 0 1 289.383 0.878 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2C[C@H](C(=O)OC)C2)CC1 ZINC000923353530 613134984 /nfs/dbraw/zinc/13/49/84/613134984.db2.gz ZHPNGESGSRRXPB-AULYBMBSSA-N 0 1 293.367 0.335 20 30 CCEDMN C#CCN1CCC(NC(=O)OC[C@@H]2CCCO2)CC1 ZINC000921437878 613135483 /nfs/dbraw/zinc/13/54/83/613135483.db2.gz JEMHZCXTUGNRKA-ZDUSSCGKSA-N 0 1 266.341 0.989 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cncnc2OC)CC1 ZINC000930393509 613135500 /nfs/dbraw/zinc/13/55/00/613135500.db2.gz UZQIXSATJAJIGP-UHFFFAOYSA-N 0 1 289.339 0.704 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](CCCC)NC(N)=O)CC1 ZINC000928656929 613135707 /nfs/dbraw/zinc/13/57/07/613135707.db2.gz GMAQOJJGXAXIAD-CYBMUJFWSA-N 0 1 294.399 0.427 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](NC(=O)OC)C(C)C)CC1 ZINC000928655118 613135977 /nfs/dbraw/zinc/13/59/77/613135977.db2.gz LBNUHCIFSGDLKA-ZDUSSCGKSA-N 0 1 295.383 0.581 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC000928660751 613135987 /nfs/dbraw/zinc/13/59/87/613135987.db2.gz PHRAKMZFRCDKCC-AWEZNQCLSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CC[C@H]2C(=O)OC)CC1 ZINC000928659852 613136629 /nfs/dbraw/zinc/13/66/29/613136629.db2.gz ATSTVOMLTPNYHQ-QWHCGFSZSA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2OCC[C@H]2C)CC1 ZINC000928650977 613136678 /nfs/dbraw/zinc/13/66/78/613136678.db2.gz JFNMEWOULHYVDZ-YPMHNXCESA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@]2(O)CCSC2)CC1 ZINC000928654551 613137063 /nfs/dbraw/zinc/13/70/63/613137063.db2.gz HDZWJJBEYORBGZ-ZDUSSCGKSA-N 0 1 268.382 0.068 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc(NC)cn2)CC1 ZINC000928649495 613137556 /nfs/dbraw/zinc/13/75/56/613137556.db2.gz NZSILWSTFSCWAM-UHFFFAOYSA-N 0 1 273.340 0.346 20 30 CCEDMN C#CCN1CCC(Nc2ccc(C(=O)NCC)nn2)CC1 ZINC000895809412 613138765 /nfs/dbraw/zinc/13/87/65/613138765.db2.gz VJIPXRFLZOCJEI-UHFFFAOYSA-N 0 1 287.367 0.736 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@@H]1C[C@H]1C)CCO2 ZINC000949015697 613140015 /nfs/dbraw/zinc/14/00/15/613140015.db2.gz UZUPTSXSEGDNQF-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CCOC3)C2)C1 ZINC000981655775 613141765 /nfs/dbraw/zinc/14/17/65/613141765.db2.gz HZLBSLBASIMJQL-CYBMUJFWSA-N 0 1 262.353 0.581 20 30 CCEDMN C#C[C@H](NC(=O)NCc1n[nH]c(CC)n1)C1CCOCC1 ZINC000854095172 613141758 /nfs/dbraw/zinc/14/17/58/613141758.db2.gz PTPICTLQUVAVQS-NSHDSACASA-N 0 1 291.355 0.595 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CCC(=O)NC3)C2)C1 ZINC000981864668 613142661 /nfs/dbraw/zinc/14/26/61/613142661.db2.gz GFIRRBGMGXWOCI-ZDUSSCGKSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CO[C@@H](C)C3)C2)C1 ZINC000981860742 613143426 /nfs/dbraw/zinc/14/34/26/613143426.db2.gz YDDWBDUAURIIQX-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cc(C)n(C)n3)C2)C1 ZINC000981652603 613143898 /nfs/dbraw/zinc/14/38/98/613143898.db2.gz KIENOJJMTAVERQ-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnc(C)cn3)C2)C1 ZINC000981596585 613144717 /nfs/dbraw/zinc/14/47/17/613144717.db2.gz SBGHRZFCIUKCQK-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)C1=COCCO1 ZINC000968729776 613148235 /nfs/dbraw/zinc/14/82/35/613148235.db2.gz OOUOUGRRYAKGIJ-ZDUSSCGKSA-N 0 1 278.352 0.869 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)NCc2n[nH]c(COC)n2)C1 ZINC000821161165 613157484 /nfs/dbraw/zinc/15/74/84/613157484.db2.gz WCYQCOCOZDNEEA-JTQLQIEISA-N 0 1 277.328 0.506 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)NCc2nnc(COC)[nH]2)C1 ZINC000821161165 613157485 /nfs/dbraw/zinc/15/74/85/613157485.db2.gz WCYQCOCOZDNEEA-JTQLQIEISA-N 0 1 277.328 0.506 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N2CCOC[C@H]2C2CC2)C1 ZINC000847021780 613159027 /nfs/dbraw/zinc/15/90/27/613159027.db2.gz PBWASCLKIRZUQP-ZFWWWQNUSA-N 0 1 276.380 0.969 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000822484555 613160175 /nfs/dbraw/zinc/16/01/75/613160175.db2.gz UJRAZDAFUBNXRG-ZDUSSCGKSA-N 0 1 291.351 0.236 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[N@H+](CC(=O)[O-])CC2)cc1 ZINC000911219702 613168499 /nfs/dbraw/zinc/16/84/99/613168499.db2.gz IRVURGOQZWWYAE-UHFFFAOYSA-N 0 1 286.331 0.900 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)cc1 ZINC000898280434 613170647 /nfs/dbraw/zinc/17/06/47/613170647.db2.gz BWAAZMKFWGYJHF-UHFFFAOYSA-N 0 1 295.346 0.744 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@@H](c3[nH]ncc3N)C2)nc1 ZINC000907401097 613172815 /nfs/dbraw/zinc/17/28/15/613172815.db2.gz AGWKJVIOIOYUBQ-LLVKDONJSA-N 0 1 281.319 0.998 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCc3nc[nH]c3C2)nc1 ZINC000929082400 613174789 /nfs/dbraw/zinc/17/47/89/613174789.db2.gz ZVTSJZDPZWCZRW-UHFFFAOYSA-N 0 1 252.277 0.985 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2cc(C)nc3ncnn32)C1 ZINC000884613305 613176328 /nfs/dbraw/zinc/17/63/28/613176328.db2.gz IZEBNUYPSVRIHL-GFCCVEGCSA-N 0 1 270.340 0.942 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2ncnc3c2cnn3C)C1 ZINC000884611525 613176480 /nfs/dbraw/zinc/17/64/80/613176480.db2.gz OEYUMNPCHWUUNM-LLVKDONJSA-N 0 1 270.340 0.873 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H](COC)OC ZINC000850825955 613177198 /nfs/dbraw/zinc/17/71/98/613177198.db2.gz HTXJUQJWAUXIEC-NEPJUHHUSA-N 0 1 255.314 0.289 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C2\SCC(=O)N2C)C1 ZINC000923556417 613181024 /nfs/dbraw/zinc/18/10/24/613181024.db2.gz GSBWQHVSLBXCGV-KSSSLANASA-N 0 1 293.392 0.247 20 30 CCEDMN C#Cc1ccc(NC(=O)CCN(C)[C@H]2CCNC2=O)cc1 ZINC000930106349 613195133 /nfs/dbraw/zinc/19/51/33/613195133.db2.gz WPJKWESULOUROZ-AWEZNQCLSA-N 0 1 285.347 0.817 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC000827499561 613195290 /nfs/dbraw/zinc/19/52/90/613195290.db2.gz IGMWXYRUGKDTCZ-LSDHHAIUSA-N 0 1 277.412 0.883 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N1CC[C@@](F)(C(=O)[O-])C1 ZINC000825620308 613196620 /nfs/dbraw/zinc/19/66/20/613196620.db2.gz ZUVRAFCVDSFKMF-GWCFXTLKSA-N 0 1 268.288 0.109 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@](F)(C(=O)[O-])C1 ZINC000825620308 613196622 /nfs/dbraw/zinc/19/66/22/613196622.db2.gz ZUVRAFCVDSFKMF-GWCFXTLKSA-N 0 1 268.288 0.109 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)N2CC[C@H]3CN(C)C[C@@H]32)cc1 ZINC000907197271 613196911 /nfs/dbraw/zinc/19/69/11/613196911.db2.gz MIXTXDFGKBELET-ZFWWWQNUSA-N 0 1 290.388 0.993 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@]1(C)C(=O)[O-] ZINC000909998526 613201355 /nfs/dbraw/zinc/20/13/55/613201355.db2.gz GIZKPDBWFISNMS-ZOWXZIJZSA-N 0 1 278.352 0.844 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@]1(C)C(=O)[O-] ZINC000909998526 613201356 /nfs/dbraw/zinc/20/13/56/613201356.db2.gz GIZKPDBWFISNMS-ZOWXZIJZSA-N 0 1 278.352 0.844 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2CCN[C@@H](C)C2)c1 ZINC000841173845 613201907 /nfs/dbraw/zinc/20/19/07/613201907.db2.gz RYLOKMNEQMBJIF-NSHDSACASA-N 0 1 271.320 0.427 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@H](C)C1CN(CC=C)C1 ZINC000969870597 613201943 /nfs/dbraw/zinc/20/19/43/613201943.db2.gz ZFOJSFINTRQTEC-HIFRSBDPSA-N 0 1 275.396 0.707 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC[C@@H](C#C)C2)CC1 ZINC000847026173 613205276 /nfs/dbraw/zinc/20/52/76/613205276.db2.gz OUIBJZPXUZEPFD-OAHLLOKOSA-N 0 1 273.380 0.109 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@H]2CC=C)CC1 ZINC000934464002 613205487 /nfs/dbraw/zinc/20/54/87/613205487.db2.gz AFAWHYMWIZJCGV-CQSZACIVSA-N 0 1 261.369 0.414 20 30 CCEDMN C#CCN1CCN(C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)CC1 ZINC000857852418 613206921 /nfs/dbraw/zinc/20/69/21/613206921.db2.gz QRSYLXLJHSXTMF-GXTWGEPZSA-N 0 1 286.379 0.853 20 30 CCEDMN C#Cc1cnc(N2CCN([C@@H]3CCC[C@@H]3O)CC2)nc1 ZINC000827558209 613209728 /nfs/dbraw/zinc/20/97/28/613209728.db2.gz XNVYUYHZPVZRFT-KGLIPLIRSA-N 0 1 272.352 0.493 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC000968366175 613214011 /nfs/dbraw/zinc/21/40/11/613214011.db2.gz TUAFZCWGQUNOGD-YPMHNXCESA-N 0 1 294.786 0.996 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC000966198134 613214076 /nfs/dbraw/zinc/21/40/76/613214076.db2.gz LNCXXNZJTVSQCD-HIFRSBDPSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC000968360676 613219144 /nfs/dbraw/zinc/21/91/44/613219144.db2.gz KTDIOFYWAZMJGT-DGCLKSJQSA-N 0 1 260.341 0.412 20 30 CCEDMN C(=NNC1=NCCN1)c1ccc(O[C@H]2CCOC2)cc1 ZINC000853358155 613223419 /nfs/dbraw/zinc/22/34/19/613223419.db2.gz VMKNVOHKFHCSDU-ZDUSSCGKSA-N 0 1 274.324 0.737 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C(N)=O)co2)[C@@H](C)C1 ZINC000942717793 613232097 /nfs/dbraw/zinc/23/20/97/613232097.db2.gz AJPTXDXCCJPFJV-CMPLNLGQSA-N 0 1 289.335 0.452 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(OC)no2)[C@H](C)C1 ZINC000941971714 613233509 /nfs/dbraw/zinc/23/35/09/613233509.db2.gz SAEXYQHRCQRUQA-GHMZBOCLSA-N 0 1 277.324 0.757 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(OC)no2)C[C@@H]1C ZINC000947210648 613233688 /nfs/dbraw/zinc/23/36/88/613233688.db2.gz JBAJCSBQPFWPLR-WDEREUQCSA-N 0 1 277.324 0.899 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnnc2)[C@H](C)C1 ZINC000942958583 613239216 /nfs/dbraw/zinc/23/92/16/613239216.db2.gz GARRJRCWOYVLIF-DGCLKSJQSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccncn2)[C@H](C)C1 ZINC000943716527 613239284 /nfs/dbraw/zinc/23/92/84/613239284.db2.gz FECIWTWWLUFCFS-VXGBXAGGSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C(C)C)nn2)[C@H](C)C1 ZINC000943800980 613240280 /nfs/dbraw/zinc/24/02/80/613240280.db2.gz XCHSOXBPFHRHAQ-CHWSQXEVSA-N 0 1 289.383 0.932 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnccn2)C(C)(C)C1 ZINC000940544281 613241531 /nfs/dbraw/zinc/24/15/31/613241531.db2.gz VBKGPYFFANOQME-CYBMUJFWSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(CC)n2)C[C@@H]1C ZINC000947287571 613242359 /nfs/dbraw/zinc/24/23/59/613242359.db2.gz XILBHDJFYLWHJP-NWDGAFQWSA-N 0 1 275.356 0.514 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nc3ncccn3n2)C[C@@H]1C ZINC000947831848 613244023 /nfs/dbraw/zinc/24/40/23/613244023.db2.gz BHDGDWBEMUYETP-NWDGAFQWSA-N 0 1 298.350 0.340 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2COCCO2)C1 ZINC000949706950 613245138 /nfs/dbraw/zinc/24/51/38/613245138.db2.gz VZKWBRWQMILDCB-AWEZNQCLSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)CN2CCCCC2=O)C1 ZINC000967151143 613246723 /nfs/dbraw/zinc/24/67/23/613246723.db2.gz AOVHMVIPYLEMET-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC000968557882 613253825 /nfs/dbraw/zinc/25/38/25/613253825.db2.gz PABRNGSPYGYWIX-GXTWGEPZSA-N 0 1 287.363 0.459 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CCN(C(C)=O)C2)CC1 ZINC000957488101 613259151 /nfs/dbraw/zinc/25/91/51/613259151.db2.gz ZINUJFMEYHONQN-OAHLLOKOSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000940947426 613262569 /nfs/dbraw/zinc/26/25/69/613262569.db2.gz UVXUPWJZVGWJED-STQMWFEESA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2COCCN2C)C(C)(C)C1 ZINC000940695101 613263268 /nfs/dbraw/zinc/26/32/68/613263268.db2.gz LWNOKVBZWDQAGB-KGLIPLIRSA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(COC)on2)[C@@H](C)C1 ZINC000943464436 613266358 /nfs/dbraw/zinc/26/63/58/613266358.db2.gz GYYSTYCLDZGPEC-AAEUAGOBSA-N 0 1 291.351 0.894 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccnn2)C[C@H]1C ZINC000947840301 613271608 /nfs/dbraw/zinc/27/16/08/613271608.db2.gz CXNZKRMSQNHJGT-NEPJUHHUSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc3nccn3c2)C[C@H]1C ZINC000947542296 613274323 /nfs/dbraw/zinc/27/43/23/613274323.db2.gz HHPHXOLUCZARGT-OCCSQVGLSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)[C@H](C)C1 ZINC000942131776 613274436 /nfs/dbraw/zinc/27/44/36/613274436.db2.gz ZQAIYQLSWCBUKG-PWSUYJOCSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncnc2)[C@H](C)C1 ZINC000941974904 613275386 /nfs/dbraw/zinc/27/53/86/613275386.db2.gz HEMQFPSQHLGWCZ-YPMHNXCESA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@@H](C)C1 ZINC000944319494 613275702 /nfs/dbraw/zinc/27/57/02/613275702.db2.gz XIVVGDZSZDVOBO-AAEUAGOBSA-N 0 1 285.351 0.736 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974821736 613280294 /nfs/dbraw/zinc/28/02/94/613280294.db2.gz NTTPZUYGQUUSOA-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974706254 613280413 /nfs/dbraw/zinc/28/04/13/613280413.db2.gz IPNGFFCQYXNLHQ-CHWSQXEVSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(CC)ccn2)C1 ZINC000958092616 613286037 /nfs/dbraw/zinc/28/60/37/613286037.db2.gz VKCGDHMCZCSBHA-DZGCQCFKSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(Cl)cn2C)C1 ZINC000958243776 613286281 /nfs/dbraw/zinc/28/62/81/613286281.db2.gz MFVCTEMMEBLEAZ-GXFFZTMASA-N 0 1 295.770 0.334 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC000958309508 613286763 /nfs/dbraw/zinc/28/67/63/613286763.db2.gz MOVPCCHIGFAMPD-XHDPSFHLSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc(F)c2C)C1 ZINC000958072765 613286909 /nfs/dbraw/zinc/28/69/09/613286909.db2.gz FXTKFWZWUZJYCA-SWLSCSKDSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(F)ccc2C)[C@H](O)C1 ZINC000958291333 613288990 /nfs/dbraw/zinc/28/89/90/613288990.db2.gz PBJVRMDZEYYLPE-IUODEOHRSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C3CC3)on2)[C@H](O)C1 ZINC000958296298 613289118 /nfs/dbraw/zinc/28/91/18/613289118.db2.gz FNAPIXSEHBGVLP-DGCLKSJQSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccnc(C3CC3)c2)[C@H](O)C1 ZINC000958222217 613289576 /nfs/dbraw/zinc/28/95/76/613289576.db2.gz BEBINGFDIKZLPS-GDBMZVCRSA-N 0 1 299.374 0.615 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2scnc2CC)[C@H](O)C1 ZINC000958125668 613290849 /nfs/dbraw/zinc/29/08/49/613290849.db2.gz ACFKIHNIOIIMIQ-ZYHUDNBSSA-N 0 1 293.392 0.361 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2oc(C)cc2C)[C@H](O)C1 ZINC000957946680 613290928 /nfs/dbraw/zinc/29/09/28/613290928.db2.gz AKOAPJHALMKJRU-CHWSQXEVSA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(F)cccc2F)C1 ZINC000958623268 613294205 /nfs/dbraw/zinc/29/42/05/613294205.db2.gz RALGRSWDZYNNRG-GWCFXTLKSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2CC(F)(F)C2)C1 ZINC000957946774 613294319 /nfs/dbraw/zinc/29/43/19/613294319.db2.gz CGOCORYMVDKHGD-QWRGUYRKSA-N 0 1 272.295 0.074 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c[nH]nc2C(C)C)C1 ZINC000958202317 613294675 /nfs/dbraw/zinc/29/46/75/613294675.db2.gz CTFGCVBAWVPUCD-AAEUAGOBSA-N 0 1 290.367 0.189 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc(C)c2)C1 ZINC000957830998 613295321 /nfs/dbraw/zinc/29/53/21/613295321.db2.gz SQSBEEMCZWNMAA-GJZGRUSLSA-N 0 1 272.348 0.651 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2nc(C)c(C)s2)C1 ZINC000958693431 613296056 /nfs/dbraw/zinc/29/60/56/613296056.db2.gz FCLWLFZECGEOTE-RYUDHWBXSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2CC(OC)C2)C(C)(C)C1 ZINC000974719076 613296638 /nfs/dbraw/zinc/29/66/38/613296638.db2.gz QXGZWOYKQCTBMF-BPCQOVAHSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C(C)(C)C1 ZINC000975042561 613299098 /nfs/dbraw/zinc/29/90/98/613299098.db2.gz BHIKGWFACXZMPZ-AGIUHOORSA-N 0 1 291.395 0.348 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)sn2)C1 ZINC000958009727 613302278 /nfs/dbraw/zinc/30/22/78/613302278.db2.gz IYAOYGWKWGGCAD-PWSUYJOCSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)c(CC)o2)C1 ZINC000958588575 613302495 /nfs/dbraw/zinc/30/24/95/613302495.db2.gz UTOBGCXEVQTKMV-OLZOCXBDSA-N 0 1 290.363 0.806 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(F)ccc2C)C1 ZINC000958291332 613302718 /nfs/dbraw/zinc/30/27/18/613302718.db2.gz PBJVRMDZEYYLPE-DOMZBBRYSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC000958351013 613302836 /nfs/dbraw/zinc/30/28/36/613302836.db2.gz WSYRURYXTKSOAX-HIFRSBDPSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2sccc2C)C1 ZINC000957815876 613304391 /nfs/dbraw/zinc/30/43/91/613304391.db2.gz CLZAVQKFOZRTIL-NEPJUHHUSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ncc(Cl)s2)C1 ZINC000958253641 613304699 /nfs/dbraw/zinc/30/46/99/613304699.db2.gz IYLLXBAFZPOFHL-BDAKNGLRSA-N 0 1 299.783 0.452 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2CC[C@H](NC(=O)c3[n-]nnc3C)C[C@@H]2C1 ZINC000980151081 613306778 /nfs/dbraw/zinc/30/67/78/613306778.db2.gz FBCTZJFVXMUZPM-XQQFMLRXSA-N 0 1 287.367 0.577 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CC[C@H](NC(=O)c3[n-]nnc3C)C[C@@H]2C1 ZINC000980151081 613306780 /nfs/dbraw/zinc/30/67/80/613306780.db2.gz FBCTZJFVXMUZPM-XQQFMLRXSA-N 0 1 287.367 0.577 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC000981756981 613310308 /nfs/dbraw/zinc/31/03/08/613310308.db2.gz LLJVKPQASPKVTR-CHWSQXEVSA-N 0 1 279.384 0.608 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000978063801 613312752 /nfs/dbraw/zinc/31/27/52/613312752.db2.gz MJPPFBBXGPOYGB-CNDDSTCGSA-N 0 1 271.320 0.328 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000978063801 613312754 /nfs/dbraw/zinc/31/27/54/613312754.db2.gz MJPPFBBXGPOYGB-CNDDSTCGSA-N 0 1 271.320 0.328 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1COc2ccccc2O1 ZINC000962460370 613315705 /nfs/dbraw/zinc/31/57/05/613315705.db2.gz GTLJQJBFKIFDPZ-SHUKQUCYSA-N 0 1 298.342 0.506 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)n1cccn1 ZINC000958289257 613316177 /nfs/dbraw/zinc/31/61/77/613316177.db2.gz OQBOYWJCOFHKOR-WUHRBBMRSA-N 0 1 258.325 0.124 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C#C)cn1 ZINC000962326085 613318770 /nfs/dbraw/zinc/31/87/70/613318770.db2.gz SSTZYOXZXHKGEM-NHAGDIPZSA-N 0 1 265.316 0.356 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2ncn(C)c21 ZINC000960643426 613320143 /nfs/dbraw/zinc/32/01/43/613320143.db2.gz KJGIZDNJTXAWAH-NHAGDIPZSA-N 0 1 294.358 0.867 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2nccn2c1 ZINC000961119776 613321587 /nfs/dbraw/zinc/32/15/87/613321587.db2.gz YYAVFFAMVFZABE-ITGUQSILSA-N 0 1 281.319 0.023 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(CC)n1 ZINC000958621649 613321805 /nfs/dbraw/zinc/32/18/05/613321805.db2.gz LWGSYJIWJSPFNY-IWIIMEHWSA-N 0 1 259.309 0.530 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncoc1C1CC1 ZINC000962187856 613322395 /nfs/dbraw/zinc/32/23/95/613322395.db2.gz BHNSYIZXJYANQK-GDNZZTSVSA-N 0 1 271.320 0.845 20 30 CCEDMN C#CCNC(=O)C1CCN(C/C(C)=C\C(=O)OC)CC1 ZINC000929417962 613323337 /nfs/dbraw/zinc/32/33/37/613323337.db2.gz HLNDBJKNXHXKKV-BENRWUELSA-N 0 1 278.352 0.567 20 30 CCEDMN C#CCNC(=O)CCN1CCO[C@H]([C@H]2CCCO2)C1 ZINC000815060862 613325550 /nfs/dbraw/zinc/32/55/50/613325550.db2.gz CYQCXWSGILEPCI-OLZOCXBDSA-N 0 1 266.341 0.006 20 30 CCEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2ncn(C)n2)C1 ZINC000965969553 613326581 /nfs/dbraw/zinc/32/65/81/613326581.db2.gz MWPOWKBZNWWMEJ-VXGBXAGGSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cn(C)nn2)C(C)(C)C1 ZINC000974552988 613338709 /nfs/dbraw/zinc/33/87/09/613338709.db2.gz OXAXAFNQSSVOJZ-GFCCVEGCSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)CONC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000845611133 613352921 /nfs/dbraw/zinc/35/29/21/613352921.db2.gz JLDSGLGSHSGJLH-NSHDSACASA-N 0 1 285.344 0.240 20 30 CCEDMN C=C(C)CONC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000875698787 613353300 /nfs/dbraw/zinc/35/33/00/613353300.db2.gz ANYDWEJCUFBSKD-NSHDSACASA-N 0 1 284.360 0.133 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[C@H]2[C@@H]1CCCN2CCO ZINC000908008339 613354180 /nfs/dbraw/zinc/35/41/80/613354180.db2.gz ZSTRPZWLGXLAJZ-STQMWFEESA-N 0 1 288.413 0.423 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)Cc1n[nH]c(C)n1)C(=O)OCC ZINC000916717636 613355817 /nfs/dbraw/zinc/35/58/17/613355817.db2.gz UWNSUIYCPBNVMW-SNVBAGLBSA-N 0 1 280.328 0.670 20 30 CCEDMN C=C(C)[C@H](CO)N1CCc2nn(CC)c(=O)cc2C1 ZINC000929753134 613362635 /nfs/dbraw/zinc/36/26/35/613362635.db2.gz MAJLFXZMQZNAIA-ZDUSSCGKSA-N 0 1 263.341 0.558 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N1CC(C[N-]C(=O)C(F)(F)F)C1 ZINC000831129812 613365788 /nfs/dbraw/zinc/36/57/88/613365788.db2.gz JKAGNSUEIUGPJF-UHFFFAOYSA-N 0 1 293.289 0.241 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cn2ccccc2=O)C1 ZINC000970682016 613384886 /nfs/dbraw/zinc/38/48/86/613384886.db2.gz XNPXLYYPQOACBV-GFCCVEGCSA-N 0 1 295.770 0.791 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000969644970 613385142 /nfs/dbraw/zinc/38/51/42/613385142.db2.gz QXKQFEPXQMGWAT-WYUUTHIRSA-N 0 1 270.760 0.822 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCOC2)C1 ZINC000967475555 613385500 /nfs/dbraw/zinc/38/55/00/613385500.db2.gz DEONMHKGKMPESE-WDEREUQCSA-N 0 1 258.749 0.966 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(=O)[nH]n2)C1 ZINC000966727143 613385689 /nfs/dbraw/zinc/38/56/89/613385689.db2.gz NFMWQZWGXXBVAD-SECBINFHSA-N 0 1 282.731 0.739 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)CN(C)C)C(=O)OCC ZINC000870028767 613388436 /nfs/dbraw/zinc/38/84/36/613388436.db2.gz IAUJEBPOMQILFJ-SECBINFHSA-N 0 1 262.737 0.739 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)c1cnn[nH]1)C(=O)OCC ZINC000870030328 613388735 /nfs/dbraw/zinc/38/87/35/613388735.db2.gz SNBGRBWRNDDYCJ-SSDOTTSWSA-N 0 1 272.692 0.609 20 30 CCEDMN C=CCSCCNC(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000909543121 613395392 /nfs/dbraw/zinc/39/53/92/613395392.db2.gz BWHNZFIDVQLPAF-NSHDSACASA-N 0 1 286.397 0.818 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1C[C@H]2COCC[C@@]2(C(=O)OC)C1 ZINC000878541517 613399180 /nfs/dbraw/zinc/39/91/80/613399180.db2.gz QNXJILAFCIPBAX-SLEUVZQESA-N 0 1 297.351 0.616 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@@H]1CNCCO1)c1ccncc1 ZINC000829689871 613405816 /nfs/dbraw/zinc/40/58/16/613405816.db2.gz FASUEABDERYWQU-OLZOCXBDSA-N 0 1 261.325 0.803 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H](NC(=O)c2ncn[nH]2)[C@@H](C)C1 ZINC000966665625 613442941 /nfs/dbraw/zinc/44/29/41/613442941.db2.gz XSDGUNQPIXGASE-UWVGGRQHSA-N 0 1 291.355 0.594 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H](NC(=O)c2nc[nH]n2)[C@@H](C)C1 ZINC000966665625 613442942 /nfs/dbraw/zinc/44/29/42/613442942.db2.gz XSDGUNQPIXGASE-UWVGGRQHSA-N 0 1 291.355 0.594 20 30 CCEDMN C=CC1CCN(C(=O)[C@]2(C)C[C@@H](O)CN2C)CC1 ZINC000900655711 613452630 /nfs/dbraw/zinc/45/26/30/613452630.db2.gz JYNTUUPLKYOWKI-OCCSQVGLSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCC1(NC(=O)C2=NC(=O)N(C)C2)CCOCC1 ZINC000855686566 613457430 /nfs/dbraw/zinc/45/74/30/613457430.db2.gz MSDNQBNLBMQWQQ-UHFFFAOYSA-N 0 1 265.313 0.981 20 30 CCEDMN C=CCC1(O)CCN(CC(=O)NCCC(=O)OC)CC1 ZINC000886755858 613459349 /nfs/dbraw/zinc/45/93/49/613459349.db2.gz UTPFEBBLCCUJFE-UHFFFAOYSA-N 0 1 284.356 0.069 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)C1CN(CCO)C1 ZINC000970450647 613467018 /nfs/dbraw/zinc/46/70/18/613467018.db2.gz TUFVRYXDFYKJOL-RTXFEEFZSA-N 0 1 268.357 0.006 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@]1(C)C[C@@H](O)C[N@H+]1C)C(=O)[O-] ZINC000910927476 613474101 /nfs/dbraw/zinc/47/41/01/613474101.db2.gz ZNTHZBVNYXXHHD-SUNKGSAMSA-N 0 1 284.356 0.367 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@]1(C)C[C@@H](O)C[N@@H+]1C)C(=O)[O-] ZINC000910927476 613474104 /nfs/dbraw/zinc/47/41/04/613474104.db2.gz ZNTHZBVNYXXHHD-SUNKGSAMSA-N 0 1 284.356 0.367 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000938241276 613475816 /nfs/dbraw/zinc/47/58/16/613475816.db2.gz WJBNDZZARMNOEL-HZMBPMFUSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@H](c2[nH]ncc2N)C1 ZINC000907414957 613479735 /nfs/dbraw/zinc/47/97/35/613479735.db2.gz BXVVSSCCWIIFTD-TVQRCGJNSA-N 0 1 264.329 0.635 20 30 CCEDMN C=C[C@H](C)NS(=O)(=O)c1occc1C(=O)OC ZINC000866949531 613483436 /nfs/dbraw/zinc/48/34/36/613483436.db2.gz QVTVPGSUNUUYSX-ZETCQYMHSA-N 0 1 259.283 0.919 20 30 CCEDMN C=C[C@H](C)O[N-]C(=O)[C@@H]1CC(=O)N(CC[NH+](C)C)C1 ZINC000846543941 613488333 /nfs/dbraw/zinc/48/83/33/613488333.db2.gz DDNGURXRWNFNJN-WDEREUQCSA-N 0 1 269.345 0.019 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@@H]2CCC(=O)N2)CC1 ZINC000951722339 613503891 /nfs/dbraw/zinc/50/38/91/613503891.db2.gz SBZWDBFKOIZDGQ-LBPRGKRZSA-N 0 1 265.357 0.375 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000938241278 613504384 /nfs/dbraw/zinc/50/43/84/613504384.db2.gz WJBNDZZARMNOEL-IINYFYTJSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCN1CCN(C(=O)Cc2[nH]cnc2C)CC1 ZINC000957469944 613504561 /nfs/dbraw/zinc/50/45/61/613504561.db2.gz HWAPSTOZCBUPIM-UHFFFAOYSA-N 0 1 262.357 0.981 20 30 CCEDMN C=C[C@](C)(O)c1cn(Cc2n[nH]c(C(C)C)n2)nn1 ZINC000881377067 613510829 /nfs/dbraw/zinc/51/08/29/613510829.db2.gz MYMQLWNNQLNYOX-LBPRGKRZSA-N 0 1 262.317 0.961 20 30 CCEDMN C=CCCOCCNC(=O)[C@@]1(C)C[C@H](O)CN1C ZINC000901212137 613575636 /nfs/dbraw/zinc/57/56/36/613575636.db2.gz AJAUNCHXJCEKKL-WCQYABFASA-N 0 1 256.346 0.150 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000970302286 613530905 /nfs/dbraw/zinc/53/09/05/613530905.db2.gz BIUGSZIOJSHVEW-ZZVYKPCYSA-N 0 1 262.353 0.339 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC000969688149 613536784 /nfs/dbraw/zinc/53/67/84/613536784.db2.gz SWWKUZQCTIHBME-MBNYWOFBSA-N 0 1 291.395 0.361 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC000970432898 613537074 /nfs/dbraw/zinc/53/70/74/613537074.db2.gz DSIPKEONBQIHFC-FPMFFAJLSA-N 0 1 291.395 0.171 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cccn(C)c2=O)C1 ZINC000970757531 613539279 /nfs/dbraw/zinc/53/92/79/613539279.db2.gz QXIKLSJSYPZSTH-LBPRGKRZSA-N 0 1 287.363 0.459 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cnc3cccnn32)C1 ZINC000970545588 613539643 /nfs/dbraw/zinc/53/96/43/613539643.db2.gz NLMIUTHXTKZVSD-LBPRGKRZSA-N 0 1 297.362 0.803 20 30 CCEDMN C=CCCOCCCCC(=O)N(C)c1nn[nH]n1 ZINC000892955361 613573982 /nfs/dbraw/zinc/57/39/82/613573982.db2.gz ZRVIBXSLRHQIKJ-UHFFFAOYSA-N 0 1 253.306 0.926 20 30 CCEDMN C=CCC[C@@H](O)CN1CCO[C@H](C[N@H+](C)CC(=O)[O-])C1 ZINC000923242031 613586763 /nfs/dbraw/zinc/58/67/63/613586763.db2.gz SEGWJOTZCDWYHX-CHWSQXEVSA-N 0 1 286.372 0.031 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2nonc2C)[C@@H](C)C1 ZINC000945943215 613590062 /nfs/dbraw/zinc/59/00/62/613590062.db2.gz NTYYHGQLELJTKV-CMPLNLGQSA-N 0 1 276.340 0.842 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cc[nH]n2)C1 ZINC000965806850 613590426 /nfs/dbraw/zinc/59/04/26/613590426.db2.gz YGVLALZLQGZAKU-JSGCOSHPSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CCO[C@@H]([C@@H](C)NC(=O)[O-])C1 ZINC000823496296 613591067 /nfs/dbraw/zinc/59/10/67/613591067.db2.gz HLYBNJNPARSGEK-GRYCIOLGSA-N 0 1 272.345 0.670 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CCO[C@@H]([C@@H](C)NC(=O)[O-])C1 ZINC000823496296 613591068 /nfs/dbraw/zinc/59/10/68/613591068.db2.gz HLYBNJNPARSGEK-GRYCIOLGSA-N 0 1 272.345 0.670 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968180432 613592430 /nfs/dbraw/zinc/59/24/30/613592430.db2.gz XMHNXSPNRVGEKI-RYUDHWBXSA-N 0 1 290.367 0.589 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(C)n[nH]c2C)C1 ZINC000958488537 613612817 /nfs/dbraw/zinc/61/28/17/613612817.db2.gz BXJJFDCBZMVYLJ-QWHCGFSZSA-N 0 1 290.367 0.072 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CCC2)C1 ZINC000957802105 613613348 /nfs/dbraw/zinc/61/33/48/613613348.db2.gz BEDXCZQAZHKOGT-QWHCGFSZSA-N 0 1 250.342 0.219 20 30 CCEDMN C=CCN(CCC#N)C(=O)[C@]1(C)C[C@@H](O)CN1C ZINC000908343575 613649294 /nfs/dbraw/zinc/64/92/94/613649294.db2.gz QFFLMFJGBVVEMH-YPMHNXCESA-N 0 1 251.330 0.370 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccns2)[C@H](O)C1 ZINC000958109785 613615545 /nfs/dbraw/zinc/61/55/45/613615545.db2.gz AWRIATZZBKVCIZ-GHMZBOCLSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ncoc2C)[C@H](O)C1 ZINC000957905325 613616333 /nfs/dbraw/zinc/61/63/33/613616333.db2.gz GOTHJAYCLHJFRZ-VXGBXAGGSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(CC)on2)C1 ZINC000958602271 613618690 /nfs/dbraw/zinc/61/86/90/613618690.db2.gz DRVZECYBAZASIS-FZMZJTMJSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2[nH]cnc2CC)C1 ZINC000958631445 613624914 /nfs/dbraw/zinc/62/49/14/613624914.db2.gz QYHXNQMKYRAFRT-YPMHNXCESA-N 0 1 290.367 0.018 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2c(C)n[nH]c2C)C1 ZINC000958488536 613625121 /nfs/dbraw/zinc/62/51/21/613625121.db2.gz BXJJFDCBZMVYLJ-OLZOCXBDSA-N 0 1 290.367 0.072 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccncc2F)C1 ZINC000957940096 613625657 /nfs/dbraw/zinc/62/56/57/613625657.db2.gz OIFXKFIBIXPDBU-RISCZKNCSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2n[nH]c(C)c2C)C1 ZINC000957935457 613625776 /nfs/dbraw/zinc/62/57/76/613625776.db2.gz MSZKWQGBEXIZML-OLZOCXBDSA-N 0 1 290.367 0.072 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccoc2Cl)C1 ZINC000958010966 613626014 /nfs/dbraw/zinc/62/60/14/613626014.db2.gz QTVSMCDIWXAJBV-PWSUYJOCSA-N 0 1 296.754 0.979 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc(OC)cn1 ZINC000961583582 613634272 /nfs/dbraw/zinc/63/42/72/613634272.db2.gz VGXUWUUBTAQQPV-QLPKVWCKSA-N 0 1 299.374 0.702 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C)c1 ZINC000958204212 613642516 /nfs/dbraw/zinc/64/25/16/613642516.db2.gz NNAGHXZQJYUAOF-ITGUQSILSA-N 0 1 258.325 0.103 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC000970717271 613661915 /nfs/dbraw/zinc/66/19/15/613661915.db2.gz APXVZTUJTMWZLK-YPMHNXCESA-N 0 1 294.399 0.542 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC000970206378 613662490 /nfs/dbraw/zinc/66/24/90/613662490.db2.gz ZINAERDUWGLROS-UQOMUDLDSA-N 0 1 294.395 0.660 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cccn3nnnc23)C1 ZINC000969745570 613665779 /nfs/dbraw/zinc/66/57/79/613665779.db2.gz VAVRYHRCQOSZHK-SNVBAGLBSA-N 0 1 286.339 0.360 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cn(CCOC)nn2)C1 ZINC000969657796 613666541 /nfs/dbraw/zinc/66/65/41/613666541.db2.gz RBHAKNFUDZSQAQ-LLVKDONJSA-N 0 1 293.371 0.161 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC000969479231 613668888 /nfs/dbraw/zinc/66/88/88/613668888.db2.gz JNFMKUMWASPSQQ-WDEREUQCSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC000969794007 613672181 /nfs/dbraw/zinc/67/21/81/613672181.db2.gz UAAGGAGUDMJUNQ-VIFPVBQESA-N 0 1 286.339 0.589 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@@H]2CCNC2=O)CC1 ZINC000981322617 613689510 /nfs/dbraw/zinc/68/95/10/613689510.db2.gz RPKGGCPPWLUNSR-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)CC1 ZINC000981671205 613692339 /nfs/dbraw/zinc/69/23/39/613692339.db2.gz WBADDQMZDVNRJI-SOUVJXGZSA-N 0 1 294.395 0.758 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](OC)[C@@H]2CCOC2)CC1 ZINC000981696816 613693892 /nfs/dbraw/zinc/69/38/92/613693892.db2.gz UIYQCOWPDMVJAU-ZIAGYGMSSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CC[N@H+]1CCCN(C(=O)c2n[nH]c(C)c2[O-])CC1 ZINC000980982100 613699813 /nfs/dbraw/zinc/69/98/13/613699813.db2.gz WKJMZGXNHHCTJR-UHFFFAOYSA-N 0 1 264.329 0.758 20 30 CCEDMN C=CC[N@@H+]1CCCN(C(=O)c2n[nH]c(C)c2[O-])CC1 ZINC000980982100 613699814 /nfs/dbraw/zinc/69/98/14/613699814.db2.gz WKJMZGXNHHCTJR-UHFFFAOYSA-N 0 1 264.329 0.758 20 30 CCEDMN C=CCN1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCN3C)C2)C1 ZINC000972294143 613723698 /nfs/dbraw/zinc/72/36/98/613723698.db2.gz IHQWLPOYXXXHDY-HOCLYGCPSA-N 0 1 293.411 0.570 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966053620 613728140 /nfs/dbraw/zinc/72/81/40/613728140.db2.gz ZRZIROGGYRQIDV-OCCSQVGLSA-N 0 1 276.384 0.975 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1cccc(C#N)c1)[C@@H](C)C(=O)[O-] ZINC000819781059 613737534 /nfs/dbraw/zinc/73/75/34/613737534.db2.gz QEIRLBWVFCHQBU-NSHDSACASA-N 0 1 289.335 0.969 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)CN2C(=O)CCC2=O)C1 ZINC000968631607 613771920 /nfs/dbraw/zinc/77/19/20/613771920.db2.gz HRQXEXHHZHOQGL-NWDGAFQWSA-N 0 1 293.367 0.148 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccnn2C)C1 ZINC000965963541 613772200 /nfs/dbraw/zinc/77/22/00/613772200.db2.gz JECRJKOJUIMTDO-GXTWGEPZSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H]2C[C@H]2C(=O)OCC)C1=O ZINC000930310851 613777221 /nfs/dbraw/zinc/77/72/21/613777221.db2.gz REJQIWNIXSVGIQ-XQQFMLRXSA-N 0 1 280.368 0.904 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973014563 613807912 /nfs/dbraw/zinc/80/79/12/613807912.db2.gz DDBSBQWLKWGLOC-VXGBXAGGSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974648084 613807974 /nfs/dbraw/zinc/80/79/74/613807974.db2.gz ZSYODACZUMFVFX-VXGBXAGGSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cc2cn(CC)nn2)C(C)(C)C1 ZINC000974857956 613826842 /nfs/dbraw/zinc/82/68/42/613826842.db2.gz WHYFNSSXNUDSNW-ZDUSSCGKSA-N 0 1 291.399 0.853 20 30 CCEDMN C=CCNC(=O)CN1CCC(CN2CCNC2=O)CC1 ZINC000933591486 613844969 /nfs/dbraw/zinc/84/49/69/613844969.db2.gz RNRGXQCRGBBCII-UHFFFAOYSA-N 0 1 280.372 0.026 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCC[C@@H](CCC(=O)OC)C1 ZINC000880036967 613845322 /nfs/dbraw/zinc/84/53/22/613845322.db2.gz FHYHCEJOSNRZJE-LBPRGKRZSA-N 0 1 268.357 0.954 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](CCC(=O)OC)C1 ZINC000880036967 613845324 /nfs/dbraw/zinc/84/53/24/613845324.db2.gz FHYHCEJOSNRZJE-LBPRGKRZSA-N 0 1 268.357 0.954 20 30 CCEDMN C=CCNC(=O)Cc1noc([C@@H]2CCCc3nn[nH]c32)n1 ZINC000852960240 613849062 /nfs/dbraw/zinc/84/90/62/613849062.db2.gz PWTZKXLPEWKWDZ-MRVPVSSYSA-N 0 1 288.311 0.501 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC000828113309 613879880 /nfs/dbraw/zinc/87/98/80/613879880.db2.gz FTWFMOFKWZGVPV-UHFFFAOYSA-N 0 1 294.355 0.297 20 30 CCEDMN C=CCOCCN1CC[C@@]2(CC(C(=O)OC)=NO2)C1 ZINC000879485795 613880463 /nfs/dbraw/zinc/88/04/63/613880463.db2.gz FXLRLNSPYWQSQK-CYBMUJFWSA-N 0 1 268.313 0.583 20 30 CCEDMN C=CCOCCNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897348285 613880678 /nfs/dbraw/zinc/88/06/78/613880678.db2.gz DHVKXMNYTDCCKU-GFCCVEGCSA-N 0 1 254.330 0.026 20 30 CCEDMN C=CCOC[C@@H](NC(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C)C(=O)[O-] ZINC000909772394 613881631 /nfs/dbraw/zinc/88/16/31/613881631.db2.gz YIUANPZSJUJESL-GRYCIOLGSA-N 0 1 284.356 0.631 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H]1CCC[N@H+]1C(C)C)C(=O)OC ZINC000935777507 613881795 /nfs/dbraw/zinc/88/17/95/613881795.db2.gz VTOCTQQUPYCYOI-OLZOCXBDSA-N 0 1 298.383 0.720 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H]1CCCN1C(C)C)C(=O)OC ZINC000935777507 613881796 /nfs/dbraw/zinc/88/17/96/613881796.db2.gz VTOCTQQUPYCYOI-OLZOCXBDSA-N 0 1 298.383 0.720 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CC[N@@H+](C)[C@@H]1CC)C(=O)[O-] ZINC000909770016 613882617 /nfs/dbraw/zinc/88/26/17/613882617.db2.gz DKSQUNIVWZPZEQ-SDDRHHMPSA-N 0 1 284.356 0.489 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@H](O)c1ccc(C#N)cc1 ZINC000819222893 613885635 /nfs/dbraw/zinc/88/56/35/613885635.db2.gz WIXRBQBDOMNXIG-AWEZNQCLSA-N 0 1 294.376 0.782 20 30 CCEDMN CC(C)(C)N1CC[C@@H]1C(=O)N1CCN(CC#N)CC1 ZINC000900777639 613929587 /nfs/dbraw/zinc/92/95/87/613929587.db2.gz SXLJUVJQAGYJKK-GFCCVEGCSA-N 0 1 264.373 0.527 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CO[C@@H](C)c1nccn1C ZINC000805855317 613930654 /nfs/dbraw/zinc/93/06/54/613930654.db2.gz GQMLUKNPXZHXBR-QWRGUYRKSA-N 0 1 292.339 0.731 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CCCN1C(=O)CCC1=O ZINC000916952131 613933966 /nfs/dbraw/zinc/93/39/66/613933966.db2.gz SOCQKMWJLXTYGI-SNVBAGLBSA-N 0 1 293.323 0.149 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COC[C@H]1CCCO1 ZINC000113653455 613934050 /nfs/dbraw/zinc/93/40/50/613934050.db2.gz OVBMLIUAWGVRCG-GHMZBOCLSA-N 0 1 268.313 0.415 20 30 CCEDMN CC(C)(C)c1cc(C(=O)N[C@H]2CNC[C@H]2C#N)[nH]n1 ZINC000834479879 614048082 /nfs/dbraw/zinc/04/80/82/614048082.db2.gz IYCWOEMDRAABJX-SCZZXKLOSA-N 0 1 261.329 0.549 20 30 CCEDMN CC(=O)N[C@H](C(=O)NCC#CCN(C)C)C1CCCC1 ZINC000913515584 614092545 /nfs/dbraw/zinc/09/25/45/614092545.db2.gz DEUQCKWCZWCTGO-AWEZNQCLSA-N 0 1 279.384 0.363 20 30 CCEDMN CC(=O)N[C@H](CC(C)C)C(=O)NCC#CCN(C)C ZINC000913524206 614113281 /nfs/dbraw/zinc/11/32/81/614113281.db2.gz DATWNWQICIHBGT-CYBMUJFWSA-N 0 1 267.373 0.218 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)c2ccc(C#N)cn2)CCN1 ZINC000903491515 614273995 /nfs/dbraw/zinc/27/39/95/614273995.db2.gz NRYJKLLABNBFLC-GFCCVEGCSA-N 0 1 294.380 0.572 20 30 CCEDMN CC(C)[N@H+](CC(=O)[O-])CC(=O)N[C@](C)(C#N)C(C)C ZINC000820009658 614288192 /nfs/dbraw/zinc/28/81/92/614288192.db2.gz WQXLQRYVZUJJAV-CYBMUJFWSA-N 0 1 269.345 0.836 20 30 CCEDMN CC(C)[N@@H+](CC(=O)[O-])CC(=O)N[C@](C)(C#N)C(C)C ZINC000820009658 614288193 /nfs/dbraw/zinc/28/81/93/614288193.db2.gz WQXLQRYVZUJJAV-CYBMUJFWSA-N 0 1 269.345 0.836 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000837491363 614314374 /nfs/dbraw/zinc/31/43/74/614314374.db2.gz OACNYPWPOZSAKR-JTQLQIEISA-N 0 1 261.329 0.955 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000827958188 614328052 /nfs/dbraw/zinc/32/80/52/614328052.db2.gz ZWSRDQZKOBVLDN-OAHLLOKOSA-N 0 1 293.411 0.169 20 30 CCEDMN CCCc1cc(NC(=O)N[C@H]2CNC[C@@H]2C#N)n(C)n1 ZINC000841171024 614475982 /nfs/dbraw/zinc/47/59/82/614475982.db2.gz MQSFKGDPLYGJMD-ONGXEEELSA-N 0 1 276.344 0.606 20 30 CCEDMN CCC[N@@H+](CC(=O)NCCC#N)C1CCN(C(=O)[O-])CC1 ZINC000826898600 614558242 /nfs/dbraw/zinc/55/82/42/614558242.db2.gz YVDPAXZDSDSFER-UHFFFAOYSA-N 0 1 296.371 0.871 20 30 CCEDMN CC1(C)CC[C@H](CC(=O)N[C@H]2CNC[C@@H]2C#N)OC1 ZINC000884250711 614570268 /nfs/dbraw/zinc/57/02/68/614570268.db2.gz LYXGLMPEVXPNBX-TUAOUCFPSA-N 0 1 265.357 0.809 20 30 CCEDMN CC1(C)CN(CCO)C[C@@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000973047251 614662876 /nfs/dbraw/zinc/66/28/76/614662876.db2.gz IVMZGXCMZXRLSV-LBPRGKRZSA-N 0 1 276.340 0.319 20 30 CCEDMN CC1(C)CN(CCO)C[C@@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000973010793 614663293 /nfs/dbraw/zinc/66/32/93/614663293.db2.gz PFAZOGPZUBGZSY-LBPRGKRZSA-N 0 1 276.340 0.319 20 30 CCEDMN CCN(CC)Cc1ccc(C(=O)N[C@H]2CCN(O)C2=O)o1 ZINC000820157329 615004918 /nfs/dbraw/zinc/00/49/18/615004918.db2.gz VRHKDUPPNQTSGA-NSHDSACASA-N 0 1 295.339 0.841 20 30 CCEDMN C[C@H](NC(=O)C1(C#N)CC(C)C1)[C@@H]1C[N@@H+](C)CCN1C ZINC000896556078 615011070 /nfs/dbraw/zinc/01/10/70/615011070.db2.gz NZUGPGXPWKPPRH-FMPXUHTOSA-N 0 1 278.400 0.677 20 30 CCEDMN C[C@H](NC(=O)C1(C#N)CC(C)C1)[C@@H]1CN(C)CCN1C ZINC000896556078 615011072 /nfs/dbraw/zinc/01/10/72/615011072.db2.gz NZUGPGXPWKPPRH-FMPXUHTOSA-N 0 1 278.400 0.677 20 30 CCEDMN CC1CC(C#N)(C(=O)N[C@H]2CC[N@@H+](CC(C)(C)O)C2)C1 ZINC000869779840 615011126 /nfs/dbraw/zinc/01/11/26/615011126.db2.gz JVIJXSXGYHKDMP-AVERBVTBSA-N 0 1 279.384 0.888 20 30 CCEDMN CC[N@@H+](CCNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1CC1 ZINC000820344073 615050546 /nfs/dbraw/zinc/05/05/46/615050546.db2.gz MYIHXFPOUJHSHJ-CYBMUJFWSA-N 0 1 267.329 0.591 20 30 CCEDMN CCOC(=O)C(C)(C)CC(=O)C(C#N)C(=O)NC1CC1 ZINC000805855751 615150670 /nfs/dbraw/zinc/15/06/70/615150670.db2.gz MWYDHOGZXBLIPR-JTQLQIEISA-N 0 1 280.324 0.953 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1CCN(C2CC2)[C@@H](C#N)C1 ZINC000883894332 615246113 /nfs/dbraw/zinc/24/61/13/615246113.db2.gz BZJVCWQVFUMYMV-KGLIPLIRSA-N 0 1 291.399 0.462 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1CC[C@](F)(C#N)C1 ZINC000883898045 615247623 /nfs/dbraw/zinc/24/76/23/615247623.db2.gz RPWGBCOXPCNRKR-PWSUYJOCSA-N 0 1 254.309 0.728 20 30 CCEDMN CCOCCOCCN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000930826776 615274866 /nfs/dbraw/zinc/27/48/66/615274866.db2.gz AFJDWGCUGZQRBQ-ZIAGYGMSSA-N 0 1 269.389 0.958 20 30 CCEDMN CCOCCn1cc(C(=O)NCC#CCN(C)C)cn1 ZINC000913524577 615301741 /nfs/dbraw/zinc/30/17/41/615301741.db2.gz SHRWPDUJBHKLPV-UHFFFAOYSA-N 0 1 278.356 0.214 20 30 CCEDMN CCO[C@H](C(=O)NCC#CCN(C)C)[C@@H]1CCOC1 ZINC000913525149 615469211 /nfs/dbraw/zinc/46/92/11/615469211.db2.gz MBRVWXKXBRMRJK-OLZOCXBDSA-N 0 1 268.357 0.109 20 30 CCEDMN CCOc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1OC ZINC000834506068 615509152 /nfs/dbraw/zinc/50/91/52/615509152.db2.gz PMZNRHKUONICQE-NWDGAFQWSA-N 0 1 289.335 0.935 20 30 CCEDMN CC[C@H](C(N)=O)N1CCCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC000981668611 615618588 /nfs/dbraw/zinc/61/85/88/615618588.db2.gz BRQVWDHVCVRYDB-VXGBXAGGSA-N 0 1 292.383 0.334 20 30 CCEDMN CCc1ccc(OCC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834512373 615677213 /nfs/dbraw/zinc/67/72/13/615677213.db2.gz VKBKYFKWXUFWBQ-OCCSQVGLSA-N 0 1 273.336 0.856 20 30 CCEDMN CCc1nc(CNC(=O)N2CCC[C@H](C#N)C2)n[nH]1 ZINC000922644125 615744559 /nfs/dbraw/zinc/74/45/59/615744559.db2.gz FDJYPVDLTKTAPK-SECBINFHSA-N 0 1 262.317 0.812 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1cc(C2CC2)no1 ZINC000913523510 615858503 /nfs/dbraw/zinc/85/85/03/615858503.db2.gz CHXYKQXWCHFBPG-UHFFFAOYSA-N 0 1 261.325 0.776 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccnn1-c1ccccn1 ZINC000931563425 615858808 /nfs/dbraw/zinc/85/88/08/615858808.db2.gz LQYABAFUMZCVFP-UHFFFAOYSA-N 0 1 298.350 0.954 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc(N(C)C)nc1 ZINC000929677677 615859167 /nfs/dbraw/zinc/85/91/67/615859167.db2.gz TUAOWBYCXIRHEL-UHFFFAOYSA-N 0 1 275.356 0.834 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1Cc2ccccc2CO1 ZINC000913523912 615859553 /nfs/dbraw/zinc/85/95/53/615859553.db2.gz RGJGNMIIXFLSIG-HNNXBMFYSA-N 0 1 272.348 0.809 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1OCCc2ccccc21 ZINC000913519616 615859593 /nfs/dbraw/zinc/85/95/93/615859593.db2.gz HNVJSPVXVHCWKL-OAHLLOKOSA-N 0 1 272.348 0.982 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCCc2n[nH]cc21 ZINC000913519464 615860418 /nfs/dbraw/zinc/86/04/18/615860418.db2.gz BBXTYNBGPOBJMA-NSHDSACASA-N 0 1 260.341 0.511 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(-n2cc[nH]c2=O)cc1 ZINC000913523799 615860623 /nfs/dbraw/zinc/86/06/23/615860623.db2.gz MSQIIRKEFSZLDS-UHFFFAOYSA-N 0 1 298.346 0.873 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(C(=O)N(C)C)cc1 ZINC000913518178 615860680 /nfs/dbraw/zinc/86/06/80/615860680.db2.gz HKGDVNOJEGWDMH-UHFFFAOYSA-N 0 1 287.363 0.683 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnn1CC(F)(F)F ZINC000913523156 615861880 /nfs/dbraw/zinc/86/18/80/615861880.db2.gz NRCVUDMFCNPFSB-UHFFFAOYSA-N 0 1 288.273 0.740 20 30 CCEDMN CN(C)Cc1ccc(C(=O)N2CCO[C@@](C)(C#N)C2)cn1 ZINC000887687014 615953118 /nfs/dbraw/zinc/95/31/18/615953118.db2.gz TZZRPCJZJLCAEZ-HNNXBMFYSA-N 0 1 288.351 0.898 20 30 CCEDMN CN(C)c1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(F)c1 ZINC000834480391 616012976 /nfs/dbraw/zinc/01/29/76/616012976.db2.gz PLXNNDQRAIOBDL-NOZJJQNGSA-N 0 1 276.315 0.733 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC000972684122 616041441 /nfs/dbraw/zinc/04/14/41/616041441.db2.gz LWOOBNHPIZDIML-RYUDHWBXSA-N 0 1 287.367 0.571 20 30 CCEDMN CN(C)C(=O)CN(C)C[C@H](O)CC1(C#N)CCC1 ZINC000885945958 616041871 /nfs/dbraw/zinc/04/18/71/616041871.db2.gz ADYQQQCBBGUMPJ-LLVKDONJSA-N 0 1 253.346 0.451 20 30 CCEDMN C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828102868 616049080 /nfs/dbraw/zinc/04/90/80/616049080.db2.gz QNLQKUBMKKAEHO-FZMZJTMJSA-N 0 1 294.355 0.479 20 30 CCEDMN C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828102868 616049081 /nfs/dbraw/zinc/04/90/81/616049081.db2.gz QNLQKUBMKKAEHO-FZMZJTMJSA-N 0 1 294.355 0.479 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1cccnc1F ZINC000819276780 616067722 /nfs/dbraw/zinc/06/77/22/616067722.db2.gz SGLOORQRSVFXGA-LBPRGKRZSA-N 0 1 266.320 0.517 20 30 CCEDMN CN(CCN1CC2(C1)CCOCC2)c1cncc(C#N)n1 ZINC000866258280 616085759 /nfs/dbraw/zinc/08/57/59/616085759.db2.gz QFEVIRNHRBLYKV-UHFFFAOYSA-N 0 1 287.367 0.897 20 30 CCEDMN CN(C[C@@H]1CCCN1C(=O)C#CC1CC1)[C@@H]1CCNC1=O ZINC000960622303 616135762 /nfs/dbraw/zinc/13/57/62/616135762.db2.gz GHPSTTKVJIPARU-UONOGXRCSA-N 0 1 289.379 0.211 20 30 CCEDMN CN1C(=O)[C@H]2CN(Cc3ccc(F)c(C#N)c3)C[C@H]2C1=O ZINC000879533069 616158415 /nfs/dbraw/zinc/15/84/15/616158415.db2.gz BFYOATWFHSMZIH-TXEJJXNPSA-N 0 1 287.294 0.744 20 30 CCEDMN CN1C(=O)[C@H]2CN(Cc3ccc(C#N)cc3F)C[C@H]2C1=O ZINC000877720372 616158689 /nfs/dbraw/zinc/15/86/89/616158689.db2.gz OVKGNOWDLZASQN-TXEJJXNPSA-N 0 1 287.294 0.744 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)Nc1ccc(C#N)cn1 ZINC000889240877 616163287 /nfs/dbraw/zinc/16/32/87/616163287.db2.gz RDUDSRNFTOZACH-UHFFFAOYSA-N 0 1 259.313 0.979 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@@H]1CN(CC#N)CC1(C)C ZINC000974727669 616184159 /nfs/dbraw/zinc/18/41/59/616184159.db2.gz CFGXOJWYUAFJHE-QWHCGFSZSA-N 0 1 278.400 0.821 20 30 CCEDMN CN(C(=O)[C@](C)(C#N)CC(=O)[O-])[C@@H]1CCC[N@@H+](C)C1 ZINC000820620845 616188802 /nfs/dbraw/zinc/18/88/02/616188802.db2.gz VCSGBHWECHKNKZ-MFKMUULPSA-N 0 1 267.329 0.544 20 30 CCEDMN CN1CCN(C)CCN(C(=O)NCC2(C#N)CCC2)CC1 ZINC000895412016 616235449 /nfs/dbraw/zinc/23/54/49/616235449.db2.gz RPWHPBLRENLIAS-UHFFFAOYSA-N 0 1 293.415 0.569 20 30 CCEDMN CN1CC[C@@H]1CN[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000905859991 616305465 /nfs/dbraw/zinc/30/54/65/616305465.db2.gz WXQBZIJDRHHRQB-OLZOCXBDSA-N 0 1 258.325 0.378 20 30 CCEDMN COC(=O)[C@@H]1CCN(C[C@H](O)CC#N)[C@H]([C@H]2CCCO2)C1 ZINC000933184077 616308813 /nfs/dbraw/zinc/30/88/13/616308813.db2.gz JALRJLLRPMGNJK-YIYPIFLZSA-N 0 1 296.367 0.694 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)Nc1cc(C#N)ccc1O ZINC000901224856 616322783 /nfs/dbraw/zinc/32/27/83/616322783.db2.gz DZBZKKMGAMSYFF-HZMBPMFUSA-N 0 1 275.308 0.657 20 30 CCEDMN CN1CC[C@H]1CNc1cccc(S(N)(=O)=O)c1C#N ZINC000895771222 616433671 /nfs/dbraw/zinc/43/36/71/616433671.db2.gz VPUDYMPTRZHQPJ-VIFPVBQESA-N 0 1 280.353 0.322 20 30 CCEDMN CN1C[C@H](O)C[C@H]1CNC(=O)C1(C#N)CCCC1 ZINC000924994405 616482399 /nfs/dbraw/zinc/48/23/99/616482399.db2.gz PTAXOPPNSHIVFA-WDEREUQCSA-N 0 1 251.330 0.252 20 30 CCEDMN COCC#CCN1CCC([C@@H](O)c2ccnn2C)CC1 ZINC000856799849 616527635 /nfs/dbraw/zinc/52/76/35/616527635.db2.gz UOTUUXSSYTZSOC-OAHLLOKOSA-N 0 1 277.368 0.815 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)[C@@H]1CCN1C(C)(C)C ZINC000913737744 616545362 /nfs/dbraw/zinc/54/53/62/616545362.db2.gz YWMBFUSVTAYIHI-NSHDSACASA-N 0 1 281.356 0.774 20 30 CCEDMN COC(=O)Cc1occ(C)c1C(=O)NCC#CCN(C)C ZINC000913522404 616727063 /nfs/dbraw/zinc/72/70/63/616727063.db2.gz IAAXTWPYEFRSTK-UHFFFAOYSA-N 0 1 292.335 0.598 20 30 CCEDMN COCC(COC)NN=Cc1c(C)ccnc1N ZINC000872394202 616735792 /nfs/dbraw/zinc/73/57/92/616735792.db2.gz NIBRKOAPCMYXRZ-UHFFFAOYSA-N 0 1 252.318 0.557 20 30 CCEDMN COC(=O)[C@](C)(Cn1cccn1)NC(=O)C(C)C#N ZINC000890954772 616749931 /nfs/dbraw/zinc/74/99/31/616749931.db2.gz PGDSTVJSUQXZIP-SKDRFNHKSA-N 0 1 264.285 0.091 20 30 CCEDMN COC(=O)[C@]1(O)CCN(Cc2ccc(OCC#N)cc2)C1 ZINC000880562311 616786279 /nfs/dbraw/zinc/78/62/79/616786279.db2.gz YXASXKJAPVKADF-HNNXBMFYSA-N 0 1 290.319 0.699 20 30 CCEDMN COC(=O)N1CCC(C(=O)C(C#N)C(=O)NC2CC2)CC1 ZINC000850759991 616819825 /nfs/dbraw/zinc/81/98/25/616819825.db2.gz UKBVTAJRDZUZDT-NSHDSACASA-N 0 1 293.323 0.452 20 30 CCEDMN CNC(=O)[C@H](C)C[N@@H+](C)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830975952 616842696 /nfs/dbraw/zinc/84/26/96/616842696.db2.gz YWAPGDUWVBJYAD-MFKMUULPSA-N 0 1 289.335 0.998 20 30 CCEDMN COC(=O)c1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000834516862 616886120 /nfs/dbraw/zinc/88/61/20/616886120.db2.gz MTLHIQNZVKXMJV-SFYZADRCSA-N 0 1 279.321 0.376 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H](CC(C)C)OC ZINC000842286282 616901514 /nfs/dbraw/zinc/90/15/14/616901514.db2.gz XGLASKHDORVCFV-VXGBXAGGSA-N 0 1 284.356 0.909 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CC1(C)C ZINC000126013661 616902058 /nfs/dbraw/zinc/90/20/58/616902058.db2.gz SJNXJHCICRHROG-NXEZZACHSA-N 0 1 252.314 0.894 20 30 CCEDMN COCc1ccccc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834517229 616906170 /nfs/dbraw/zinc/90/61/70/616906170.db2.gz SJUWVZJAYZAEDL-AAEUAGOBSA-N 0 1 259.309 0.674 20 30 CCEDMN COC(=O)c1ccccc1NC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000841157042 616936201 /nfs/dbraw/zinc/93/62/01/616936201.db2.gz RGMCQTDCKDDAAT-JOYOIKCWSA-N 0 1 288.307 0.706 20 30 CCEDMN COC(=O)c1ccccc1NC(=O)N[C@H]1CNC[C@H]1C#N ZINC000841157043 616936627 /nfs/dbraw/zinc/93/66/27/616936627.db2.gz RGMCQTDCKDDAAT-SKDRFNHKSA-N 0 1 288.307 0.706 20 30 CCEDMN COC(=O)[C@@H](F)CNC[C@@H](O)COc1ccc(C#N)cc1 ZINC000905488501 617001670 /nfs/dbraw/zinc/00/16/70/617001670.db2.gz CITVBMDXPXRUDG-YPMHNXCESA-N 0 1 296.298 0.399 20 30 CCEDMN CO[C@H](CC(=O)NCC#CCN(C)C)C(F)(F)F ZINC000913523777 617080713 /nfs/dbraw/zinc/08/07/13/617080713.db2.gz LUUMJRUBXLOTMO-SECBINFHSA-N 0 1 266.263 0.635 20 30 CCEDMN CO[C@H](CC(=O)N[C@@H]1CNC[C@H]1C#N)C(F)(F)F ZINC000846677476 617081132 /nfs/dbraw/zinc/08/11/32/617081132.db2.gz MZJJYZJBELRBFI-BWZBUEFSSA-N 0 1 265.235 0.182 20 30 CCEDMN CON=CC(=O)N1CC[C@@](C)(NC(=O)C(F)(F)F)C1 ZINC000830802252 617104493 /nfs/dbraw/zinc/10/44/93/617104493.db2.gz RTCGXZPWOMBROU-SECBINFHSA-N 0 1 281.234 0.288 20 30 CCEDMN CON=Cc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000843463454 617106759 /nfs/dbraw/zinc/10/67/59/617106759.db2.gz WMBJCPBRILSJNH-STQMWFEESA-N 0 1 272.308 0.508 20 30 CCEDMN CON=Cc1ccc(C(=O)NC[C@H]2COCCN2)cc1 ZINC000877040035 617106805 /nfs/dbraw/zinc/10/68/05/617106805.db2.gz MZAYQUAGXZGQBO-ZDUSSCGKSA-N 0 1 277.324 0.385 20 30 CCEDMN CON=Cc1ccc(C(=O)NCCN2CC[C@H](O)C2)cc1 ZINC000863830854 617106841 /nfs/dbraw/zinc/10/68/41/617106841.db2.gz YCRWXNRZKGTQAB-AWEZNQCLSA-N 0 1 291.351 0.463 20 30 CCEDMN CO[C@H]1COCC[C@H]1CC(=O)NCC#CCN(C)C ZINC000913523086 617114827 /nfs/dbraw/zinc/11/48/27/617114827.db2.gz LOUQJPIVJLZYDS-STQMWFEESA-N 0 1 268.357 0.109 20 30 CCEDMN COc1c(F)nccc1C(=O)NCC#CCN(C)C ZINC000827972172 617143006 /nfs/dbraw/zinc/14/30/06/617143006.db2.gz UMXRBPIXONMCKK-UHFFFAOYSA-N 0 1 265.288 0.524 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@]1(C)CCO[C@@H]1C ZINC001025922440 625305018 /nfs/dbraw/zinc/30/50/18/625305018.db2.gz SLXRBNGQVVADBY-DGMCESFYSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCOC[C@H]1C ZINC001026024091 625322603 /nfs/dbraw/zinc/32/26/03/625322603.db2.gz OSQJMFHOANALPH-SEBNEYGDSA-N 0 1 276.380 0.729 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)CCc3cnc[nH]3)C[C@H]12 ZINC001026282628 625387861 /nfs/dbraw/zinc/38/78/61/625387861.db2.gz VQFVWRFNDXEWFS-KWCYVHTRSA-N 0 1 287.367 0.692 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001008159640 625414572 /nfs/dbraw/zinc/41/45/72/625414572.db2.gz VWGMCWHAMXDAAA-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001008555036 625595406 /nfs/dbraw/zinc/59/54/06/625595406.db2.gz DXYSCKNFEDQYJK-RWMBFGLXSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CC[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024390731 623309774 /nfs/dbraw/zinc/30/97/74/623309774.db2.gz MBIKOHLYXKWRCP-OLZOCXBDSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024390731 623309777 /nfs/dbraw/zinc/30/97/77/623309777.db2.gz MBIKOHLYXKWRCP-OLZOCXBDSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1COCCN1C ZINC001024392611 623315566 /nfs/dbraw/zinc/31/55/66/623315566.db2.gz UDDOCDRIJKIKIU-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCCNC1=O ZINC001024493402 623336744 /nfs/dbraw/zinc/33/67/44/623336744.db2.gz XNKRUKRDLVMTSH-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN O=C(NCC#CCO)NC[C@@H]1CCN1Cc1ccccc1 ZINC000923763100 623432370 /nfs/dbraw/zinc/43/23/70/623432370.db2.gz USGQZQSORUHIBC-HNNXBMFYSA-N 0 1 287.363 0.556 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1 ZINC001024769247 623435569 /nfs/dbraw/zinc/43/55/69/623435569.db2.gz DXCVCVMTULPVHC-OLZOCXBDSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001024779095 623439195 /nfs/dbraw/zinc/43/91/95/623439195.db2.gz AGABSCYJDJQUES-CABCVRRESA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn[nH]n1 ZINC001017702379 623616848 /nfs/dbraw/zinc/61/68/48/623616848.db2.gz VRSUIVPYGSEQSK-PHIMTYICSA-N 0 1 261.329 0.670 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001007546548 623676658 /nfs/dbraw/zinc/67/66/58/623676658.db2.gz JOJNXQCVVXIEFJ-CHWSQXEVSA-N 0 1 279.384 0.812 20 30 CCEDMN C[C@H]1CCCN1CC(=O)N[C@@H]1CN(CC#N)CC1(C)C ZINC000975056922 625718130 /nfs/dbraw/zinc/71/81/30/625718130.db2.gz ZWGMRUADYQBNSA-QWHCGFSZSA-N 0 1 278.400 0.821 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)N[C@H]3C[C@H](NCC#N)C3)c2C1 ZINC001020864827 624615972 /nfs/dbraw/zinc/61/59/72/624615972.db2.gz OPYNAXABKNQZGU-DCAQKATOSA-N 0 1 287.367 0.908 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001020894641 624621652 /nfs/dbraw/zinc/62/16/52/624621652.db2.gz XBSWOEGVAJAOMN-GMTAPVOTSA-N 0 1 273.340 0.275 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cccnc2)C1 ZINC001010627258 624652852 /nfs/dbraw/zinc/65/28/52/624652852.db2.gz JVEXBCVQLPAILF-AWEZNQCLSA-N 0 1 257.337 0.838 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cn2cc(C)cn2)C1 ZINC001006837718 624821282 /nfs/dbraw/zinc/82/12/82/624821282.db2.gz ZAJKXBTZTRRLBW-ZDUSSCGKSA-N 0 1 262.357 0.958 20 30 CCEDMN O=C1OCCN1CCN1CCC2(CC1)CC(=O)C=CO2 ZINC000933644418 624919844 /nfs/dbraw/zinc/91/98/44/624919844.db2.gz PGXJHEWLKNCCAO-UHFFFAOYSA-N 0 1 280.324 0.776 20 30 CCEDMN N#Cc1ccccc1OC[C@H](O)CN1CC[C@H]1CO ZINC000934010231 625001820 /nfs/dbraw/zinc/00/18/20/625001820.db2.gz BFNRNCZQPHGSIP-QWHCGFSZSA-N 0 1 262.309 0.365 20 30 CCEDMN N#CCc1ccc(OC[C@@H](O)CN2CC[C@H]2CO)cc1 ZINC000934019039 625005410 /nfs/dbraw/zinc/00/54/10/625005410.db2.gz LQZONXNSJPQTTP-KBPBESRZSA-N 0 1 276.336 0.559 20 30 CCEDMN N#Cc1ccc(CNCC[C@H]2CNCCS2(=O)=O)o1 ZINC000903969911 634698556 /nfs/dbraw/zinc/69/85/56/634698556.db2.gz MZHHUIMYNDMNBQ-LBPRGKRZSA-N 0 1 283.353 0.018 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2[C@H]3CN(CCF)C[C@H]32)[nH]1 ZINC000978018028 630773810 /nfs/dbraw/zinc/77/38/10/630773810.db2.gz FNROQTRQTYXXRE-CNDDSTCGSA-N 0 1 276.315 0.763 20 30 CCEDMN Cc1ncoc1CN[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000967975055 650005908 /nfs/dbraw/zinc/00/59/08/650005908.db2.gz KBBYLIPHGUTXIV-MWLCHTKSSA-N 0 1 262.313 0.833 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](NCc2cc3n(n2)CCC3)C1 ZINC000967976189 650006490 /nfs/dbraw/zinc/00/64/90/650006490.db2.gz TVURGIMEZQOXOR-VXGBXAGGSA-N 0 1 287.367 0.679 20 30 CCEDMN Cn1nncc1CN[C@@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000968341327 650011805 /nfs/dbraw/zinc/01/18/05/650011805.db2.gz NLGBYEKNUYSGMH-LLVKDONJSA-N 0 1 299.338 0.019 20 30 CCEDMN Cn1nncc1CNC(=O)N1CCN(C2CCC2)CC1 ZINC000329703207 631695331 /nfs/dbraw/zinc/69/53/31/631695331.db2.gz IPOZLXPPEDAQDJ-UHFFFAOYSA-N 0 1 278.360 0.399 20 30 CCEDMN N#CCNC(=O)CN1CCCCCCNC(=O)CC1 ZINC000879253793 631707993 /nfs/dbraw/zinc/70/79/93/631707993.db2.gz GUAFQCGYLLXXML-UHFFFAOYSA-N 0 1 266.345 0.008 20 30 CCEDMN C#CCN1CCN(C(=O)c2cnccc2N(C)C)CC1 ZINC000183570615 631933125 /nfs/dbraw/zinc/93/31/25/631933125.db2.gz OPVCMFPKWDUNGP-UHFFFAOYSA-N 0 1 272.352 0.539 20 30 CCEDMN C[C@H](Nc1cncc(C#N)n1)[C@@H]1C[N@@H+](C)CCN1C ZINC000895249974 632035880 /nfs/dbraw/zinc/03/58/80/632035880.db2.gz YPIDREBKZVWTSG-JQWIXIFHSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)[nH]1)[C@H]1CN(C)CCN1C ZINC000896551218 632281223 /nfs/dbraw/zinc/28/12/23/632281223.db2.gz COZFMNZHDWYYLF-GXFFZTMASA-N 0 1 275.356 0.250 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)n2cncn2)C1 ZINC001007163967 650069062 /nfs/dbraw/zinc/06/90/62/650069062.db2.gz MUQQYJZGHNRGNZ-QWHCGFSZSA-N 0 1 277.372 0.996 20 30 CCEDMN C#CC[N@H+](C)C1CCN(C(=O)c2n[nH]c(C)c2[O-])CC1 ZINC000985375285 632453010 /nfs/dbraw/zinc/45/30/10/632453010.db2.gz DFDTVGQDATXQSH-UHFFFAOYSA-N 0 1 276.340 0.593 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H]2CCNC2=O)C1 ZINC001007489629 650080525 /nfs/dbraw/zinc/08/05/25/650080525.db2.gz ULQVJKDNMQGCRE-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCn1cccc1C(=O)N[C@H]1CCCN(CCO)C1 ZINC001007502954 650081367 /nfs/dbraw/zinc/08/13/67/650081367.db2.gz OCVWYGFEHKNIIN-ZDUSSCGKSA-N 0 1 277.368 0.861 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(=O)n(C)cn2)C1 ZINC001007521369 650081715 /nfs/dbraw/zinc/08/17/15/650081715.db2.gz LCXUECBHAAKWKK-GFCCVEGCSA-N 0 1 290.367 0.551 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccnn2CCOC)C1 ZINC001007575919 650085029 /nfs/dbraw/zinc/08/50/29/650085029.db2.gz OTEPAVORMYLVMN-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN C[C@H]1[C@H](NCC#N)CCN1C(=O)c1cccc2nn[nH]c21 ZINC000986309627 632743036 /nfs/dbraw/zinc/74/30/36/632743036.db2.gz FDSSPCKHCMXSNG-GXSJLCMTSA-N 0 1 284.323 0.674 20 30 CCEDMN C[C@@H]1[C@H](NCC#N)CCN1C(=O)CCc1cnc[nH]1 ZINC000986358359 632746485 /nfs/dbraw/zinc/74/64/85/632746485.db2.gz ZJROOXASHPCBQA-ZYHUDNBSSA-N 0 1 261.329 0.445 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn[nH]n2)[C@@H]1C ZINC000986456487 632756571 /nfs/dbraw/zinc/75/65/71/632756571.db2.gz OPLISQWFXIJJHX-BDAKNGLRSA-N 0 1 269.736 0.750 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001008022998 650099583 /nfs/dbraw/zinc/09/95/83/650099583.db2.gz GUBGWBHRWCCDSP-SMDDNHRTSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCCN(C(N)=O)C2)C1 ZINC001007866076 650095369 /nfs/dbraw/zinc/09/53/69/650095369.db2.gz PJVLEDBXBROCRU-OLZOCXBDSA-N 0 1 294.399 0.544 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnn(C)n2)[C@@H]1C ZINC000987182127 632837057 /nfs/dbraw/zinc/83/70/57/632837057.db2.gz AZYWLKRSNIGNRT-NXEZZACHSA-N 0 1 283.763 0.760 20 30 CCEDMN CCc1cc(C(=O)N2C[C@H](NCC#N)C[C@@H]2C)n[nH]1 ZINC000988099027 632947585 /nfs/dbraw/zinc/94/75/85/632947585.db2.gz DNISUBYZLWPPMI-GXSJLCMTSA-N 0 1 261.329 0.688 20 30 CCEDMN Cn1nncc1NN=Cc1nc(Br)nn1C ZINC000863117201 632966172 /nfs/dbraw/zinc/96/61/72/632966172.db2.gz MJJPWVSKHVSSBN-UHFFFAOYSA-N 0 1 285.109 0.152 20 30 CCEDMN C[C@H]1C[C@H](NCc2nccn2C)CN1C(=O)C#CC1CC1 ZINC000988824948 633116815 /nfs/dbraw/zinc/11/68/15/633116815.db2.gz JQVLURHPHDQXJR-JSGCOSHPSA-N 0 1 286.379 0.913 20 30 CCEDMN C[C@@H]1C[C@@H](NCc2nccn2C)CN1C(=O)C#CC1CC1 ZINC000988824951 633116869 /nfs/dbraw/zinc/11/68/69/633116869.db2.gz JQVLURHPHDQXJR-TZMCWYRMSA-N 0 1 286.379 0.913 20 30 CCEDMN C[C@H]1C[C@@H](NCc2cnnn2C)CN1C(=O)C#CC1CC1 ZINC000988823514 633117893 /nfs/dbraw/zinc/11/78/93/633117893.db2.gz CCKKHLANQRTOJY-WCQYABFASA-N 0 1 287.367 0.308 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2nc[nH]n2)C1 ZINC000989569440 633328209 /nfs/dbraw/zinc/32/82/09/633328209.db2.gz SZWVZSVFJIDIMB-DTWKUNHWSA-N 0 1 269.736 0.750 20 30 CCEDMN CCn1ncnc1CNC1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000990261188 633498084 /nfs/dbraw/zinc/49/80/84/633498084.db2.gz BZKCFZAQVIXSTD-NSHDSACASA-N 0 1 290.371 0.538 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cncnc3)CCC[C@H]12 ZINC000990354891 633515459 /nfs/dbraw/zinc/51/54/59/633515459.db2.gz NWZROLUNJPTMPK-ZFWWWQNUSA-N 0 1 270.336 0.837 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cccn3nnnc23)C1 ZINC001014550671 633538715 /nfs/dbraw/zinc/53/87/15/633538715.db2.gz FCMLRYHRHSGNFF-LLVKDONJSA-N 0 1 286.339 0.505 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(NC(=O)[C@H]2CCCN2C)C1 ZINC000990506164 633546176 /nfs/dbraw/zinc/54/61/76/633546176.db2.gz AIKJKTQDBADXPR-GFCCVEGCSA-N 0 1 279.384 0.620 20 30 CCEDMN CS(=O)(=O)C[C@@H](O)CN[C@H]1CCc2ccc(C#N)cc21 ZINC000927028107 633578172 /nfs/dbraw/zinc/57/81/72/633578172.db2.gz VZHNFKWGHXIRQA-JSGCOSHPSA-N 0 1 294.376 0.541 20 30 CCEDMN C[C@@H](NC[C@H](O)CS(C)(=O)=O)c1cccc(C#N)c1 ZINC000927029773 633578838 /nfs/dbraw/zinc/57/88/38/633578838.db2.gz LDAKNCITQUETCD-MFKMUULPSA-N 0 1 282.365 0.614 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N1CCC[C@H]1c1nnc[nH]1 ZINC000899107532 633734518 /nfs/dbraw/zinc/73/45/18/633734518.db2.gz NCSPSLNTXLJEAG-JTQLQIEISA-N 0 1 270.296 0.992 20 30 CCEDMN N#CC1(C[C@@H](O)COCCc2c[nH]nn2)CCC1 ZINC000899888836 633916654 /nfs/dbraw/zinc/91/66/54/633916654.db2.gz OCYRNRXOTBDLIK-LLVKDONJSA-N 0 1 250.302 0.809 20 30 CCEDMN Cn1c(=O)c2ccc(C(=O)NCCC#N)cc2[nH]c1=S ZINC000899892489 633917813 /nfs/dbraw/zinc/91/78/13/633917813.db2.gz GAQAZQVZDIHMMF-UHFFFAOYSA-N 0 1 288.332 0.866 20 30 CCEDMN C[C@H](CC#N)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000900802696 634106669 /nfs/dbraw/zinc/10/66/69/634106669.db2.gz FRHNIPJDVUNDMX-UTUOFQBUSA-N 0 1 252.362 0.287 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001009126273 650138189 /nfs/dbraw/zinc/13/81/89/650138189.db2.gz YQWHTGSZXPBXIP-VXGBXAGGSA-N 0 1 287.367 0.619 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001009358169 650143432 /nfs/dbraw/zinc/14/34/32/650143432.db2.gz CVEPRDIJGPZVNO-ZIAGYGMSSA-N 0 1 279.384 0.621 20 30 CCEDMN COc1cn(C)nc1C(C)=NNC1=NC[C@@H](C)N1 ZINC000901215717 634209850 /nfs/dbraw/zinc/20/98/50/634209850.db2.gz LZZAWTPHHVADBO-SSDOTTSWSA-N 0 1 250.306 0.090 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1ccsc1C#N ZINC000906600729 635012715 /nfs/dbraw/zinc/01/27/15/635012715.db2.gz AYFSIFHFSZJBGX-LLVKDONJSA-N 0 1 292.408 0.985 20 30 CCEDMN CN(C[C@H]1CCCN1C)S(=O)(=O)c1ccc(C#N)cn1 ZINC000907254460 635098805 /nfs/dbraw/zinc/09/88/05/635098805.db2.gz URXUHZJNNXELIV-GFCCVEGCSA-N 0 1 294.380 0.668 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1cccc(C#CCO)c1)c1nn[nH]n1 ZINC000907350762 635110082 /nfs/dbraw/zinc/11/00/82/635110082.db2.gz VCEMFJPOVFLNEO-NSHDSACASA-N 0 1 299.334 0.419 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCCO2)C1 ZINC001010300149 650198479 /nfs/dbraw/zinc/19/84/79/650198479.db2.gz SPBILRVRONCZBF-QWHCGFSZSA-N 0 1 250.342 0.769 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1c1cccc(C#N)n1 ZINC000910457927 635774005 /nfs/dbraw/zinc/77/40/05/635774005.db2.gz YXPDONIXSQYQFM-LLVKDONJSA-N 0 1 274.324 0.938 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](NC(C)=O)[C@H]2C)CC1 ZINC001011817521 650316186 /nfs/dbraw/zinc/31/61/86/650316186.db2.gz GNJYGSQLFXAWPA-IUODEOHRSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3CCC(=O)N3C)CCC[C@H]12 ZINC000992155812 637324839 /nfs/dbraw/zinc/32/48/39/637324839.db2.gz ZWHCTVJKRIUZKU-DVOMOZLQSA-N 0 1 289.379 0.354 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@]23CCC[C@H]2N(CC#N)CC3)C1 ZINC000992187121 637333973 /nfs/dbraw/zinc/33/39/73/637333973.db2.gz IAGJKBDRNFUHAA-FMKPAKJESA-N 0 1 290.411 0.965 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1C ZINC000993005253 637660792 /nfs/dbraw/zinc/66/07/92/637660792.db2.gz PWCKKZGYDSJWBH-GHMZBOCLSA-N 0 1 274.324 0.398 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnn(C)c2N)[C@H]1C ZINC000993217604 637748710 /nfs/dbraw/zinc/74/87/10/637748710.db2.gz AXNMSMDEHCVYAW-ZYHUDNBSSA-N 0 1 277.372 0.771 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2ccnn2C)[C@@H]1C ZINC000993260948 637759478 /nfs/dbraw/zinc/75/94/78/637759478.db2.gz QTFOIDDPXVTURD-GXTWGEPZSA-N 0 1 274.368 0.565 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cn(C)nn2)[C@@H]1C ZINC000993294800 637767728 /nfs/dbraw/zinc/76/77/28/637767728.db2.gz HGKAVGDVGFWVMP-NWDGAFQWSA-N 0 1 277.372 0.974 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cnn(C)c2C)[C@@H]1C ZINC000993401650 637802389 /nfs/dbraw/zinc/80/23/89/637802389.db2.gz SSLYKYFHHKRMFY-ZFWWWQNUSA-N 0 1 288.395 0.873 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cn[nH]c(=O)c2)[C@@H]1C ZINC000993468098 637810775 /nfs/dbraw/zinc/81/07/75/637810775.db2.gz VKHQVHLMKNMCDY-WCQYABFASA-N 0 1 288.351 0.788 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cncn2C)[C@H]1C ZINC000994233095 637877933 /nfs/dbraw/zinc/87/79/33/637877933.db2.gz RIHJJHPKHMQJSA-HIFRSBDPSA-N 0 1 288.395 0.955 20 30 CCEDMN C[C@@H](CNS(=O)(=O)Cc1csc(C#N)c1)N(C)C ZINC000916118167 637888175 /nfs/dbraw/zinc/88/81/75/637888175.db2.gz WPVKRFGAYPXTHY-VIFPVBQESA-N 0 1 287.410 0.989 20 30 CCEDMN C#CCCCCC(=O)NCCN1CCN(C)CC1 ZINC000179671023 638236793 /nfs/dbraw/zinc/23/67/93/638236793.db2.gz ZVZLSTLGIIBNPK-UHFFFAOYSA-N 0 1 251.374 0.544 20 30 CCEDMN O=C(C#CC1CC1)N1CCN(C2CN(CC3CC3)C2)CC1 ZINC000941407688 638532080 /nfs/dbraw/zinc/53/20/80/638532080.db2.gz USHYDWHNGFUVPX-UHFFFAOYSA-N 0 1 287.407 0.638 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000942513910 638661016 /nfs/dbraw/zinc/66/10/16/638661016.db2.gz FHAFOGUTJMRSLR-GFCCVEGCSA-N 0 1 286.335 0.083 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC001014390158 650445360 /nfs/dbraw/zinc/44/53/60/650445360.db2.gz XCTWOVUCCLIEFU-ZDUSSCGKSA-N 0 1 260.341 0.176 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2CCC(=O)N2)CC1(C)C ZINC000996535889 638821288 /nfs/dbraw/zinc/82/12/88/638821288.db2.gz KIVQUWGDNBJECZ-QWRGUYRKSA-N 0 1 299.802 0.844 20 30 CCEDMN CC(C)(C(=O)N1CCC(NCC#N)CC1)c1c[nH]cn1 ZINC000997182227 638858212 /nfs/dbraw/zinc/85/82/12/638858212.db2.gz HAEPGOFMNYLXHA-UHFFFAOYSA-N 0 1 275.356 0.791 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2nn(C)cc2C)C1 ZINC001014404781 650449102 /nfs/dbraw/zinc/44/91/02/650449102.db2.gz HGWMUQSVDTZPTF-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN Cc1nonc1CNCC1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000997616601 638878394 /nfs/dbraw/zinc/87/83/94/638878394.db2.gz CZBGFKARPMSNRP-JTQLQIEISA-N 0 1 291.355 0.866 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC000999426449 638980764 /nfs/dbraw/zinc/98/07/64/638980764.db2.gz XRDJFEZMBCFFHQ-VXGBXAGGSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CCCNC2=O)C1 ZINC000999426447 638980983 /nfs/dbraw/zinc/98/09/83/638980983.db2.gz XRDJFEZMBCFFHQ-RYUDHWBXSA-N 0 1 299.802 0.846 20 30 CCEDMN CN(C(=O)[C@@H]1CCc2[nH]cnc2C1)C1CC(NCC#N)C1 ZINC000999656630 638990949 /nfs/dbraw/zinc/99/09/49/638990949.db2.gz DLCJXXZLNDZCJX-VOMCLLRMSA-N 0 1 287.367 0.617 20 30 CCEDMN CN(C)c1ccncc1C(=O)N(C)C1CC(NCC#N)C1 ZINC000999698017 638992408 /nfs/dbraw/zinc/99/24/08/638992408.db2.gz BWHPXHPMPVGURZ-UHFFFAOYSA-N 0 1 287.367 0.864 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccn3nnnc23)C1 ZINC001014579746 650464820 /nfs/dbraw/zinc/46/48/20/650464820.db2.gz MVUZEIQMIKCQNE-SNVBAGLBSA-N 0 1 272.312 0.114 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc[n+]([O-])cc2)CC1 ZINC001000407648 639085118 /nfs/dbraw/zinc/08/51/18/639085118.db2.gz LBCNVJHMESOSTR-UHFFFAOYSA-N 0 1 271.320 0.315 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2C[C@@H]2OCC)CC1 ZINC001000455860 639089754 /nfs/dbraw/zinc/08/97/54/639089754.db2.gz CCGOXGITEVCEGP-KGLIPLIRSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2C[C@H]2OCC)CC1 ZINC001000455862 639090194 /nfs/dbraw/zinc/09/01/94/639090194.db2.gz CCGOXGITEVCEGP-UONOGXRCSA-N 0 1 262.353 0.793 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2nc[nH]n2)C1 ZINC001000490944 639100925 /nfs/dbraw/zinc/10/09/25/639100925.db2.gz QUFDHZFZAZIBIK-SECBINFHSA-N 0 1 269.736 0.751 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CO[C@H](C)C2)CC1 ZINC001000864157 639180890 /nfs/dbraw/zinc/18/08/90/639180890.db2.gz XCFNQXRYRWFXRC-OCCSQVGLSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC001000876215 639181318 /nfs/dbraw/zinc/18/13/18/639181318.db2.gz REUCDMYDABWULX-GXTWGEPZSA-N 0 1 289.379 0.283 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC001001045180 639215840 /nfs/dbraw/zinc/21/58/40/639215840.db2.gz OQMHHZINNUDVSE-KGLIPLIRSA-N 0 1 289.379 0.270 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC001001116928 639238082 /nfs/dbraw/zinc/23/80/82/639238082.db2.gz OGMISWDNMKXSPS-UHFFFAOYSA-N 0 1 274.324 0.121 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC1 ZINC001001184851 639255079 /nfs/dbraw/zinc/25/50/79/639255079.db2.gz BQBJMOLKCUTXIB-KFWWJZLASA-N 0 1 274.364 0.935 20 30 CCEDMN C=CC[N@H+]1CC=C(CNC(=O)[C@H]2C[NH+](CC)CCO2)CC1 ZINC001001461333 639300408 /nfs/dbraw/zinc/30/04/08/639300408.db2.gz JDFPYGMPIICYKZ-OAHLLOKOSA-N 0 1 293.411 0.641 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3n2CCC3)C1 ZINC001014773238 650486797 /nfs/dbraw/zinc/48/67/97/650486797.db2.gz JOTGLZNIRGZKSI-NSHDSACASA-N 0 1 260.341 0.819 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)CC1 ZINC001003365170 639532937 /nfs/dbraw/zinc/53/29/37/639532937.db2.gz UVWCVJOGSCZLKW-AEGPPILISA-N 0 1 292.379 0.252 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC001003430917 639543611 /nfs/dbraw/zinc/54/36/11/639543611.db2.gz ZXZDXXDVCFVIEB-QWHCGFSZSA-N 0 1 277.368 0.102 20 30 CCEDMN C=CCCN1CC[C@@H](NC(=O)CC2CS(=O)(=O)C2)C1 ZINC001015009173 650514336 /nfs/dbraw/zinc/51/43/36/650514336.db2.gz NNHASGZITGFIFS-GFCCVEGCSA-N 0 1 286.397 0.188 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2COCCN2CC)C1 ZINC001015023512 650515939 /nfs/dbraw/zinc/51/59/39/650515939.db2.gz JLENMYYTHPNMKL-CHWSQXEVSA-N 0 1 267.373 0.084 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCN(C(C)=O)C2)CC1 ZINC001004058667 639669477 /nfs/dbraw/zinc/66/94/77/639669477.db2.gz MSBQUOAXBGGUKS-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C(N)=O)ccn2)CC1 ZINC001004297286 639762185 /nfs/dbraw/zinc/76/21/85/639762185.db2.gz ALOPDSRMGKZMAS-UHFFFAOYSA-N 0 1 286.335 0.008 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc3c(n2)OCCO3)C1 ZINC001015094513 650527684 /nfs/dbraw/zinc/52/76/84/650527684.db2.gz RKFDDFSYDRMXAO-LLVKDONJSA-N 0 1 289.335 0.843 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2COCCO2)CC1 ZINC001005129868 639878878 /nfs/dbraw/zinc/87/88/78/639878878.db2.gz LRRULPDWBZIATF-AWEZNQCLSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC001005525583 639914932 /nfs/dbraw/zinc/91/49/32/639914932.db2.gz OWPQZFXCDULTIS-JSGCOSHPSA-N 0 1 280.368 0.346 20 30 CCEDMN CN(C(=O)[C@@H]1CCCCN1C)C1CCN(CC#N)CC1 ZINC001005587621 639941430 /nfs/dbraw/zinc/94/14/30/639941430.db2.gz GZTJHMGXWMHUBV-AWEZNQCLSA-N 0 1 278.400 0.917 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCC(=O)NC2)CC1 ZINC001005644406 639946769 /nfs/dbraw/zinc/94/67/69/639946769.db2.gz QGQHIKOOBODKSB-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@@H](C[N@@H+](C)C[C@H](O)COc1ccccc1C#N)C(=O)[O-] ZINC000923247496 640061076 /nfs/dbraw/zinc/06/10/76/640061076.db2.gz GNNDRCRRBQSGEH-AAEUAGOBSA-N 0 1 292.335 0.950 20 30 CCEDMN N#Cc1ccccc1CN1CCN[C@H]2CS(=O)(=O)C[C@H]21 ZINC000923524064 640176588 /nfs/dbraw/zinc/17/65/88/640176588.db2.gz QWYIXSUSLFGCFE-UONOGXRCSA-N 0 1 291.376 0.129 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCc3nc(C)nn3C2)C1 ZINC001015367731 650559143 /nfs/dbraw/zinc/55/91/43/650559143.db2.gz XNUZDAOQEOOCAC-CHWSQXEVSA-N 0 1 289.383 0.525 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCc3nc(C)nn3C2)C1 ZINC001015367733 650559629 /nfs/dbraw/zinc/55/96/29/650559629.db2.gz XNUZDAOQEOOCAC-QWHCGFSZSA-N 0 1 289.383 0.525 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@@H]1CC12CCN(CC#N)CC2 ZINC001016691440 640217408 /nfs/dbraw/zinc/21/74/08/640217408.db2.gz VMTKSFUAVSNZEJ-ZIAGYGMSSA-N 0 1 290.411 0.965 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2COCCN2CCCC)C1 ZINC001015389699 650561229 /nfs/dbraw/zinc/56/12/29/650561229.db2.gz UOVSMOJDYYPNKO-CABCVRRESA-N 0 1 295.427 0.864 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1n[nH]c(C2CC2)n1)[C@H]1CCCO1 ZINC000838557791 640260527 /nfs/dbraw/zinc/26/05/27/640260527.db2.gz CDXJTXDCWOXMPS-GHMZBOCLSA-N 0 1 289.339 0.662 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2cc3c(cc2O)OCO3)NO1 ZINC000765374933 640381669 /nfs/dbraw/zinc/38/16/69/640381669.db2.gz DACDBDRBZDVXRQ-LURJTMIESA-N 0 1 264.237 0.973 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001015564624 650582081 /nfs/dbraw/zinc/58/20/81/650582081.db2.gz VARARIRUGXXSSD-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2cn(CC(=O)[O-])c3ccccc23)N1 ZINC000799884438 640598072 /nfs/dbraw/zinc/59/80/72/640598072.db2.gz NDZKVRFFXXNFHI-SNVBAGLBSA-N 0 1 299.334 0.997 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001015621245 650594114 /nfs/dbraw/zinc/59/41/14/650594114.db2.gz TXQWQOUGRHAYCX-GHMZBOCLSA-N 0 1 275.356 0.601 20 30 CCEDMN C[C@H](C#N)OCC[N@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(N)=O ZINC000801950127 640679866 /nfs/dbraw/zinc/67/98/66/640679866.db2.gz VZBLXAXJDCEUMA-KXNHARMFSA-N 0 1 251.330 0.501 20 30 CCEDMN C[C@H](C#N)OCCN1C[C@@H]2CCC[C@H]2[C@H]1C(N)=O ZINC000801950127 640679874 /nfs/dbraw/zinc/67/98/74/640679874.db2.gz VZBLXAXJDCEUMA-KXNHARMFSA-N 0 1 251.330 0.501 20 30 CCEDMN C[C@H](C#N)OCC[N@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000801952765 640680540 /nfs/dbraw/zinc/68/05/40/640680540.db2.gz DKJJYBYRLSXCCF-JHJVBQTASA-N 0 1 254.330 0.795 20 30 CCEDMN C[C@H](C#N)OCCN1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC000801952765 640680544 /nfs/dbraw/zinc/68/05/44/640680544.db2.gz DKJJYBYRLSXCCF-JHJVBQTASA-N 0 1 254.330 0.795 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2n[nH]cc2F)C1 ZINC001015665108 650604509 /nfs/dbraw/zinc/60/45/09/650604509.db2.gz FUIAHLUUUDQRBD-VIFPVBQESA-N 0 1 250.277 0.376 20 30 CCEDMN C[C@H]1CCCN1CCNS(=O)(=O)c1cncc(C#N)c1 ZINC000810067695 640923248 /nfs/dbraw/zinc/92/32/48/640923248.db2.gz ZGNRTAABWPDWII-NSHDSACASA-N 0 1 294.380 0.716 20 30 CCEDMN C[C@H](C#N)OCCNC1(CO)COC(C)(C)OC1 ZINC000812838417 641015206 /nfs/dbraw/zinc/01/52/06/641015206.db2.gz SXAJEJCWSOZWCX-SNVBAGLBSA-N 0 1 258.318 0.019 20 30 CCEDMN N#Cc1ccccc1C=CC(=O)Nc1nn[nH]c1C(N)=O ZINC000813375348 641032328 /nfs/dbraw/zinc/03/23/28/641032328.db2.gz RMCVAXRGQNGVIG-AATRIKPKSA-N 0 1 282.263 0.427 20 30 CCEDMN Cn1cc(CN=Nc2nc3ccccn3n2)c(=O)[nH]1 ZINC000814228014 641061177 /nfs/dbraw/zinc/06/11/77/641061177.db2.gz SCOLTUKSMOTYQQ-UHFFFAOYSA-N 0 1 257.257 0.614 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cn2nnnc2C(C)C)C1 ZINC001015813724 650634671 /nfs/dbraw/zinc/63/46/71/650634671.db2.gz XUABYQTUQVAQGE-GFCCVEGCSA-N 0 1 290.371 0.010 20 30 CCEDMN C[C@H](C(=O)N[C@@H]1CCN(O)C1=O)N1CCCCCC1 ZINC000816696562 641199565 /nfs/dbraw/zinc/19/95/65/641199565.db2.gz AXPDIGHSSYQYAS-GHMZBOCLSA-N 0 1 269.345 0.357 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)c1[nH]nc2c1CCC2 ZINC000816757858 641214674 /nfs/dbraw/zinc/21/46/74/641214674.db2.gz CMOFGFMXUZNRJC-VIFPVBQESA-N 0 1 264.285 0.008 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2c(C)cc(C)nc2=O)C1 ZINC001015909997 650655150 /nfs/dbraw/zinc/65/51/50/650655150.db2.gz IZZCRWGELMGOFW-ZDUSSCGKSA-N 0 1 290.367 0.237 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H]3CCN(O)C3=O)c2C1 ZINC000820120429 641458984 /nfs/dbraw/zinc/45/89/84/641458984.db2.gz NXMVAHLCCXWOBY-GMSGAONNSA-N 0 1 278.312 0.254 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cncn2C)C1 ZINC001016001484 650672049 /nfs/dbraw/zinc/67/20/49/650672049.db2.gz MRTOGGGLTDDKGG-LBPRGKRZSA-N 0 1 260.341 0.176 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cccc3c2NC(=O)CO3)=NO1 ZINC000821399791 641596046 /nfs/dbraw/zinc/59/60/46/641596046.db2.gz QRVGLKUTSCNGEI-SSDOTTSWSA-N 0 1 275.264 0.870 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC001016102836 650682034 /nfs/dbraw/zinc/68/20/34/650682034.db2.gz ZJYPAVXNCUSROP-OLZOCXBDSA-N 0 1 279.384 0.812 20 30 CCEDMN Cc1[nH]ncc1CN(C)C(=O)[C@]1(C#N)CCCOC1 ZINC000824061005 641802275 /nfs/dbraw/zinc/80/22/75/641802275.db2.gz ALAQMJFWHPVEQY-ZDUSSCGKSA-N 0 1 262.313 0.997 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001016229103 650700974 /nfs/dbraw/zinc/70/09/74/650700974.db2.gz MEXOETCGVWQPDT-ZDUSSCGKSA-N 0 1 273.336 0.776 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2nc(C3CC3)nn2C)C1 ZINC001016298530 650713572 /nfs/dbraw/zinc/71/35/72/650713572.db2.gz TYSDDXBSEGXSPL-LBPRGKRZSA-N 0 1 289.383 0.612 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CNc1ccccc1Cl ZINC000834481002 642572448 /nfs/dbraw/zinc/57/24/48/642572448.db2.gz YXRBXXIHAHTTAS-JOYOIKCWSA-N 0 1 278.743 0.980 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1cc(F)ccc1F ZINC000834480683 642572514 /nfs/dbraw/zinc/57/25/14/642572514.db2.gz USVHNUYRMLDZFN-JOYOIKCWSA-N 0 1 265.263 0.735 20 30 CCEDMN CN1CC(C(=O)N[C@H]2CC23CCN(CC#N)CC3)=NC1=O ZINC001016812200 650762254 /nfs/dbraw/zinc/76/22/54/650762254.db2.gz ZPQYAHLJFUMOHW-NSHDSACASA-N 0 1 289.339 0.234 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccn(-c2ccccc2)n1 ZINC000834480993 642573378 /nfs/dbraw/zinc/57/33/78/642573378.db2.gz YTCQABMIXOGPRO-IUODEOHRSA-N 0 1 295.346 0.643 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccnn1C1CCCC1 ZINC000834480817 642573650 /nfs/dbraw/zinc/57/36/50/642573650.db2.gz WTUVWQAFKYCXKX-ZYHUDNBSSA-N 0 1 273.340 0.840 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccc(-n2cnnc2)cc1 ZINC000834484910 642575755 /nfs/dbraw/zinc/57/57/55/642575755.db2.gz PAFFHQYIHHLHKE-JSGCOSHPSA-N 0 1 296.334 0.038 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)nn1C(C)(C)C ZINC000834490311 642579502 /nfs/dbraw/zinc/57/95/02/642579502.db2.gz UEBAETZOEGXNSL-JQWIXIFHSA-N 0 1 275.356 0.788 20 30 CCEDMN Cc1cc(-n2cncn2)ccc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834489531 642580309 /nfs/dbraw/zinc/58/03/09/642580309.db2.gz NPUZYBFHZRJHKJ-FZMZJTMJSA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000834489677 642580386 /nfs/dbraw/zinc/58/03/86/642580386.db2.gz OPHCYKDNHRICHP-CPCISQLKSA-N 0 1 278.243 0.575 20 30 CCEDMN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834493216 642583223 /nfs/dbraw/zinc/58/32/23/642583223.db2.gz BUWVZRIAWWKMDS-BXKDBHETSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(N2CCCC2)nc1 ZINC000834493692 642584354 /nfs/dbraw/zinc/58/43/54/642584354.db2.gz GOHWAIMCDGRMJU-QWHCGFSZSA-N 0 1 285.351 0.523 20 30 CCEDMN Cc1nc2ccccc2n1CCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834499013 642586950 /nfs/dbraw/zinc/58/69/50/642586950.db2.gz DHBIYIMETJNCSR-OCCSQVGLSA-N 0 1 297.362 0.963 20 30 CCEDMN Cc1nc(C2CC2)oc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834499078 642587371 /nfs/dbraw/zinc/58/73/71/642587371.db2.gz FBHGUVWBLIGBBS-ZJUUUORDSA-N 0 1 260.297 0.702 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCCC1CCOCC1 ZINC000834499168 642587459 /nfs/dbraw/zinc/58/74/59/642587459.db2.gz FIFVTUCZYKUVCO-STQMWFEESA-N 0 1 265.357 0.811 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(-n2ccnc2)ccn1 ZINC000834500565 642588090 /nfs/dbraw/zinc/58/80/90/642588090.db2.gz URDOZKCCJXILJD-GXFFZTMASA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCn1cc(Cl)cn1 ZINC000834499536 642588449 /nfs/dbraw/zinc/58/84/49/642588449.db2.gz JLLBOAPQQJKISS-SCZZXKLOSA-N 0 1 267.720 0.154 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1c[nH]c(=O)c2ccccc12 ZINC000834499228 642589277 /nfs/dbraw/zinc/58/92/77/642589277.db2.gz GJBIPHUXTIQZFH-TVQRCGJNSA-N 0 1 282.303 0.782 20 30 CCEDMN Cc1nn(C)c2ncc(C(=O)N[C@H]3CNC[C@H]3C#N)cc12 ZINC000834501051 642589883 /nfs/dbraw/zinc/58/98/83/642589883.db2.gz ZBRRWRWHQVSCFU-PWSUYJOCSA-N 0 1 284.323 0.118 20 30 CCEDMN Cc1csc(CCC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000834500636 642590806 /nfs/dbraw/zinc/59/08/06/642590806.db2.gz VMAFTPADGSMHRD-NXEZZACHSA-N 0 1 264.354 0.612 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1CCN(CC(F)F)CC1 ZINC000834505704 642591410 /nfs/dbraw/zinc/59/14/10/642591410.db2.gz NBQKZCFLMKKVPX-MNOVXSKESA-N 0 1 286.326 0.191 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1CCCc2cccnc21 ZINC000834505562 642591955 /nfs/dbraw/zinc/59/19/55/642591955.db2.gz MDGNYGUNRRNKRM-UPJWGTAASA-N 0 1 270.336 0.729 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccc(Cl)cn1 ZINC000834506810 642593448 /nfs/dbraw/zinc/59/34/48/642593448.db2.gz WDSKQXMDSQGUNW-KWQFWETISA-N 0 1 264.716 0.505 20 30 CCEDMN Cc1ccn2cc(C(=O)N[C@@H]3CNC[C@H]3C#N)nc2c1 ZINC000834511085 642594683 /nfs/dbraw/zinc/59/46/83/642594683.db2.gz IIEZSUWAIIIBCU-GHMZBOCLSA-N 0 1 269.308 0.484 20 30 CCEDMN COc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)ccc1C ZINC000834510912 642594815 /nfs/dbraw/zinc/59/48/15/642594815.db2.gz HESVOAQKPUZGGU-NWDGAFQWSA-N 0 1 259.309 0.845 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000834512111 642597184 /nfs/dbraw/zinc/59/71/84/642597184.db2.gz RWEQFSDNCDALQT-RNFRBKRXSA-N 0 1 266.282 0.498 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1COc2ccccc2C1 ZINC000834511907 642597824 /nfs/dbraw/zinc/59/78/24/642597824.db2.gz PQTHIYMSUZJQAT-JHJVBQTASA-N 0 1 271.320 0.465 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCNC(=O)c1ccsc1 ZINC000834512547 642599168 /nfs/dbraw/zinc/59/91/68/642599168.db2.gz YOENGUVNRWDXHG-MNOVXSKESA-N 0 1 292.364 0.096 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccc(-n2cccn2)cc1 ZINC000834521107 642602261 /nfs/dbraw/zinc/60/22/61/642602261.db2.gz CSGDDCTUEIDQTK-UKRRQHHQSA-N 0 1 295.346 0.643 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1cnc(CC2CC2)nc1 ZINC000841233923 642807892 /nfs/dbraw/zinc/80/78/92/642807892.db2.gz BYHPEMQTDFLLJV-ZYHUDNBSSA-N 0 1 286.339 0.662 20 30 CCEDMN O=S(=O)(O[C@H]1CNOC1)c1c[n-]nc1C(F)(F)F ZINC000867255845 642808943 /nfs/dbraw/zinc/80/89/43/642808943.db2.gz DUAWUTZVDJYJHD-BYPYZUCNSA-N 0 1 287.219 0.037 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COCCN1C ZINC001017495816 650806586 /nfs/dbraw/zinc/80/65/86/650806586.db2.gz IULVKXDXALWJLG-QLFBSQMISA-N 0 1 291.395 0.016 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCOc1ccccc1F ZINC000843459103 643062579 /nfs/dbraw/zinc/06/25/79/643062579.db2.gz BVMATAWBBXDIHA-PWSUYJOCSA-N 0 1 277.299 0.822 20 30 CCEDMN Cc1cncc(/C=C\C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000843459028 643062775 /nfs/dbraw/zinc/06/27/75/643062775.db2.gz BTLBMVOYXKMPST-GPRRCUJPSA-N 0 1 256.309 0.631 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc2ccccc2c(=O)[nH]1 ZINC000843459690 643063614 /nfs/dbraw/zinc/06/36/14/643063614.db2.gz GLIUFYXULYSTSN-GWCFXTLKSA-N 0 1 282.303 0.782 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000843460877 643065043 /nfs/dbraw/zinc/06/50/43/643065043.db2.gz ISKUUYLMTPDIRD-XCBNKYQSSA-N 0 1 278.243 0.575 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C(N)=O ZINC001017634559 650814748 /nfs/dbraw/zinc/81/47/48/650814748.db2.gz VDFHTBQHURBZTC-TXEJJXNPSA-N 0 1 277.368 0.196 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC000844014059 643144314 /nfs/dbraw/zinc/14/43/14/643144314.db2.gz JPAPHHXYNFHONF-ZDUSSCGKSA-N 0 1 260.297 0.778 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cn1cnc2ccccc21 ZINC000844193266 643177492 /nfs/dbraw/zinc/17/74/92/643177492.db2.gz CQJDIMMWYDEREQ-ZYHUDNBSSA-N 0 1 269.308 0.264 20 30 CCEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(N)=O ZINC001017875307 650829949 /nfs/dbraw/zinc/82/99/49/650829949.db2.gz OZOPZPLBLPAIGL-PHIMTYICSA-N 0 1 251.330 0.113 20 30 CCEDMN C#C[C@@H]1COCCN1S(=O)(=O)c1cc(O)cc(F)c1 ZINC000867386037 643325914 /nfs/dbraw/zinc/32/59/14/643325914.db2.gz ACZPGFKLJSVCCA-SNVBAGLBSA-N 0 1 285.296 0.554 20 30 CCEDMN C=C(Cl)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ncn[nH]1 ZINC001018153842 650845554 /nfs/dbraw/zinc/84/55/54/650845554.db2.gz ZVQRAFYZEDIWDB-PHIMTYICSA-N 0 1 295.774 0.775 20 30 CCEDMN Cc1nn(C(C)C)cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000848419474 643634781 /nfs/dbraw/zinc/63/47/81/643634781.db2.gz VIDAOWJOUTZILN-PWSUYJOCSA-N 0 1 261.329 0.614 20 30 CCEDMN N#Cc1c(Cl)nsc1N1CCN2C[C@H](O)C[C@H]2C1 ZINC000848767161 643676844 /nfs/dbraw/zinc/67/68/44/643676844.db2.gz FRPDVGQDFGGWRD-JGVFFNPUSA-N 0 1 284.772 0.923 20 30 CCEDMN C[C@H](CC#N)C(=O)OCCOCCNC(=O)C(F)(F)F ZINC000850930600 643822910 /nfs/dbraw/zinc/82/29/10/643822910.db2.gz CSEDGAPEGHQLFD-MRVPVSSYSA-N 0 1 296.245 0.774 20 30 CCEDMN C=CCN(CCOC)C[C@@](O)(C(N)=O)c1ccccc1 ZINC000851980220 643907586 /nfs/dbraw/zinc/90/75/86/643907586.db2.gz QTLBYEWVKVFKNT-HNNXBMFYSA-N 0 1 278.352 0.494 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCCc1cccnc1 ZINC000852828346 644013679 /nfs/dbraw/zinc/01/36/79/644013679.db2.gz WSPXAVSCXRIJQZ-QWHCGFSZSA-N 0 1 258.325 0.632 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1CC2(CC2(F)F)C1 ZINC000852828449 644013749 /nfs/dbraw/zinc/01/37/49/644013749.db2.gz XWYFCVDUIYSDLV-GWMJWBFUSA-N 0 1 255.268 0.650 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCCc1cccnc1 ZINC000852828339 644014146 /nfs/dbraw/zinc/01/41/46/644014146.db2.gz WSPXAVSCXRIJQZ-CHWSQXEVSA-N 0 1 258.325 0.632 20 30 CCEDMN Cn1ncc(C2CCC2)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000852874858 644036364 /nfs/dbraw/zinc/03/63/64/644036364.db2.gz DEEABAPTROYBNR-JQWIXIFHSA-N 0 1 273.340 0.529 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C(=O)Nc1c(F)cccc1F ZINC000852874522 644036666 /nfs/dbraw/zinc/03/66/66/644036666.db2.gz AKPNTQMGFCXSIN-OIBJUYFYSA-N 0 1 294.261 0.131 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NCc2cc3n(n2)CCC3)C1 ZINC001018633084 650887339 /nfs/dbraw/zinc/88/73/39/650887339.db2.gz TVURGIMEZQOXOR-NEPJUHHUSA-N 0 1 287.367 0.679 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cccn(C(F)F)c2=O)N1 ZINC000853329766 644098640 /nfs/dbraw/zinc/09/86/40/644098640.db2.gz FFFJWVBWNPMTFH-SSDOTTSWSA-N 0 1 269.255 0.515 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)[C@H]1CCc2[nH]cnc2C1 ZINC000854648986 644243551 /nfs/dbraw/zinc/24/35/51/644243551.db2.gz NTZLFROWLSGBCW-WCBMZHEXSA-N 0 1 278.312 0.011 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC001018793176 650908114 /nfs/dbraw/zinc/90/81/14/650908114.db2.gz POGSGRKZHCIKCE-DTWKUNHWSA-N 0 1 273.720 0.038 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2noc3c2COCC3)NO1 ZINC000857859663 644567891 /nfs/dbraw/zinc/56/78/91/644567891.db2.gz GLJZADDBZDJEGD-ZCFIWIBFSA-N 0 1 251.242 0.599 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CN2CCCCC2=O)C1 ZINC001019083140 650936804 /nfs/dbraw/zinc/93/68/04/650936804.db2.gz HMIZBIYBRHCDLW-LBPRGKRZSA-N 0 1 299.802 0.942 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001019194110 650945892 /nfs/dbraw/zinc/94/58/92/650945892.db2.gz SJBAIOLMQGMJMJ-RYUDHWBXSA-N 0 1 299.802 0.846 20 30 CCEDMN N#C[C@@H](NC(=O)c1nnc2ccccc2c1O)C1CC1 ZINC000118337348 644890092 /nfs/dbraw/zinc/89/00/92/644890092.db2.gz JIKAGHQRMBSPBU-LLVKDONJSA-N 0 1 268.276 0.955 20 30 CCEDMN N#C[C@]1(C(=O)N[C@H]2CCC[N@H+](CCCO)C2)CCCOC1 ZINC000868635647 645797881 /nfs/dbraw/zinc/79/78/81/645797881.db2.gz BAAXJPKEYKDNKC-DZGCQCFKSA-N 0 1 295.383 0.270 20 30 CCEDMN N#C[C@]1(C(=O)N[C@H]2CCCN(CCCO)C2)CCCOC1 ZINC000868635647 645797885 /nfs/dbraw/zinc/79/78/85/645797885.db2.gz BAAXJPKEYKDNKC-DZGCQCFKSA-N 0 1 295.383 0.270 20 30 CCEDMN C[C@@H](C(=O)[N-]Oc1cccc(C#N)c1)N1CC[NH+](C)CC1 ZINC000870692528 646018068 /nfs/dbraw/zinc/01/80/68/646018068.db2.gz HEYXWVHAVKZVFP-LBPRGKRZSA-N 0 1 288.351 0.604 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnn(C(F)F)c1 ZINC000870941801 646055397 /nfs/dbraw/zinc/05/53/97/646055397.db2.gz ZQZCWXJLRPWLQH-HTRCEHHLSA-N 0 1 255.228 0.120 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(N)ccc1Cl ZINC000870941685 646055888 /nfs/dbraw/zinc/05/58/88/646055888.db2.gz YCROCSGLTZRHJB-WRWORJQWSA-N 0 1 264.716 0.764 20 30 CCEDMN C[C@@H](N[C@H](C)c1ccnn1C)C(=O)N(C)CCC#N ZINC000871927711 646196458 /nfs/dbraw/zinc/19/64/58/646196458.db2.gz OXUOBARSTLRILI-GHMZBOCLSA-N 0 1 263.345 0.831 20 30 CCEDMN N#Cc1cnn(C(=O)c2[nH]nc3c2CCCC3)c1N ZINC000124090883 646262388 /nfs/dbraw/zinc/26/23/88/646262388.db2.gz RTKLTJPYHKNPOE-UHFFFAOYSA-N 0 1 256.269 0.627 20 30 CCEDMN C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)NCCC#N)C1 ZINC000875540601 646537576 /nfs/dbraw/zinc/53/75/76/646537576.db2.gz CICRGJGHRVEBNH-JTQLQIEISA-N 0 1 292.261 0.753 20 30 CCEDMN Cn1ccnc1CNC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000876754929 646658490 /nfs/dbraw/zinc/65/84/90/646658490.db2.gz UYGNNGLCEKUHSR-HNNXBMFYSA-N 0 1 295.346 0.795 20 30 CCEDMN Cc1cc(C(F)(F)F)nc(C(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000876801410 646669040 /nfs/dbraw/zinc/66/90/40/646669040.db2.gz BWYXQEMTUWBWQS-SFYZADRCSA-N 0 1 299.256 0.645 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@H]1Cc2ccccc2O1 ZINC000876801399 646669135 /nfs/dbraw/zinc/66/91/35/646669135.db2.gz BTTCVWHPCLXFKA-UPJWGTAASA-N 0 1 271.320 0.608 20 30 CCEDMN Cc1ccc2c(c1)C=C(C(=O)N[C@@H]1CNC[C@H]1C#N)CO2 ZINC000876803199 646670639 /nfs/dbraw/zinc/67/06/39/646670639.db2.gz VEZUUFGVIKOKBO-ZIAGYGMSSA-N 0 1 283.331 0.999 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NCCOCCF ZINC000876979820 646711768 /nfs/dbraw/zinc/71/17/68/646711768.db2.gz RLEZMGDAKWZFNF-AWEZNQCLSA-N 0 1 291.326 0.847 20 30 CCEDMN N#Cc1ccc(N2CCN(CCn3cnnn3)CC2)cc1 ZINC000879587037 647078391 /nfs/dbraw/zinc/07/83/91/647078391.db2.gz JKSKDDOOSGTZLE-UHFFFAOYSA-N 0 1 283.339 0.367 20 30 CCEDMN CC(C)(O)[C@H]1CCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000880144083 647159224 /nfs/dbraw/zinc/15/92/24/647159224.db2.gz WJNFKOJHDYEWAS-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN CC(C)(O)[C@@H]1CCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000880144082 647160221 /nfs/dbraw/zinc/16/02/21/647160221.db2.gz WJNFKOJHDYEWAS-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC2(CC1)COCCO2 ZINC000880541826 647225434 /nfs/dbraw/zinc/22/54/34/647225434.db2.gz RITSKTYVXMZTFO-CYBMUJFWSA-N 0 1 295.383 0.628 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCN(C(C)=O)C2)CC1 ZINC001006340232 647570666 /nfs/dbraw/zinc/57/06/66/647570666.db2.gz NIFRNQHTPHDQMF-AWEZNQCLSA-N 0 1 291.395 0.411 20 30 CCEDMN Cn1ccnc1N=NC1CCCc2c1cnn2CCO ZINC000884458298 647743343 /nfs/dbraw/zinc/74/33/43/647743343.db2.gz BBDBZIAQKCRKGP-UHFFFAOYSA-N 0 1 274.328 0.761 20 30 CCEDMN Cn1ccnc1NN=C1CCCc2c1cnn2CCO ZINC000884458298 647743346 /nfs/dbraw/zinc/74/33/46/647743346.db2.gz BBDBZIAQKCRKGP-UHFFFAOYSA-N 0 1 274.328 0.761 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H]3[C@@H]2CCCN3CCO)o1 ZINC000889749569 648242235 /nfs/dbraw/zinc/24/22/35/648242235.db2.gz IMVBLMKZEDEYBE-OLZOCXBDSA-N 0 1 289.335 0.822 20 30 CCEDMN N#CC[C@@H](O)CN1CCC(Oc2cnccn2)CC1 ZINC000930263851 648841039 /nfs/dbraw/zinc/84/10/39/648841039.db2.gz QEUSMWSSFHXMSL-LLVKDONJSA-N 0 1 262.313 0.594 20 30 CCEDMN N#CC[C@@H](O)C[N@@H+]1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000930519189 648871796 /nfs/dbraw/zinc/87/17/96/648871796.db2.gz HZWAUGQARCVZHT-VHSXEESVSA-N 0 1 293.289 0.651 20 30 CCEDMN N#CC[C@@H](O)C[N@H+]1CCC[C@@H](C[N-]C(=O)C(F)(F)F)C1 ZINC000930519189 648871797 /nfs/dbraw/zinc/87/17/97/648871797.db2.gz HZWAUGQARCVZHT-VHSXEESVSA-N 0 1 293.289 0.651 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCc2nccc(N)c2C1)C1CC1 ZINC000931511023 648998659 /nfs/dbraw/zinc/99/86/59/648998659.db2.gz ZUHUGDQZLHHCNL-MRXNPFEDSA-N 0 1 299.378 0.830 20 30 CCEDMN COc1ncc(NC(=O)NC[C@@H]2CCN2C)cc1C#N ZINC000932518657 649078428 /nfs/dbraw/zinc/07/84/28/649078428.db2.gz OFKJIAGYUDFLAA-NSHDSACASA-N 0 1 275.312 0.787 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCCN2C(C)=O)CC1 ZINC001006457838 649479516 /nfs/dbraw/zinc/47/95/16/649479516.db2.gz ZQLBLYZANCOUNZ-OAHLLOKOSA-N 0 1 291.395 0.553 20 30 CCEDMN Cc1cc(C(=O)N(C)C2CN(C(=O)C#CC3CC3)C2)n[nH]1 ZINC000953824189 649633176 /nfs/dbraw/zinc/63/31/76/649633176.db2.gz VICMXVJCTOJQRC-UHFFFAOYSA-N 0 1 286.335 0.414 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC001007286052 649840604 /nfs/dbraw/zinc/84/06/04/649840604.db2.gz JSGMMCRRLNJHOE-LBPRGKRZSA-N 0 1 275.356 0.516 20 30 CCEDMN CN1CCO[C@H]2CN(c3nc(C#N)ccc3[N+](=O)[O-])C[C@@H]21 ZINC001164664751 719392416 /nfs/dbraw/zinc/39/24/16/719392416.db2.gz COXCQBFILPPYFG-RYUDHWBXSA-N 0 1 289.295 0.381 20 30 CCEDMN COCC#CCN1CCC[C@]2(CCN(C(C)=O)C2)C1 ZINC001277449361 805586273 /nfs/dbraw/zinc/58/62/73/805586273.db2.gz IFWAQTOUTCMARN-HNNXBMFYSA-N 0 1 264.369 0.971 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C[N@@H+](C)C2CCC2)CC1 ZINC001266285496 790407061 /nfs/dbraw/zinc/40/70/61/790407061.db2.gz BEVDKVVENRJSHW-UHFFFAOYSA-N 0 1 294.443 0.391 20 30 CCEDMN C[C@@H](NC(=O)[C@H]1CCCN1C)C1CN(CC#N)C1 ZINC000969381518 790419261 /nfs/dbraw/zinc/41/92/61/790419261.db2.gz NMJVZRBKIDTMBX-ZYHUDNBSSA-N 0 1 250.346 0.041 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H](CC1CCC1)NC(C)=O ZINC001266313365 790490146 /nfs/dbraw/zinc/49/01/46/790490146.db2.gz KBAABNVMAYCTPK-CQSZACIVSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CCN(CCOCC=C)C1 ZINC001266320112 790499582 /nfs/dbraw/zinc/49/95/82/790499582.db2.gz ZCROATGJMXVVHZ-UONOGXRCSA-N 0 1 280.368 0.418 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H](OC)C1CCC1 ZINC001266405854 790647243 /nfs/dbraw/zinc/64/72/43/790647243.db2.gz JHSZXKITFATMSR-OAHLLOKOSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)C(C)(C)F)C1 ZINC001266497444 790790083 /nfs/dbraw/zinc/79/00/83/790790083.db2.gz FUEHQNMSQYKUJZ-RYUDHWBXSA-N 0 1 299.390 0.863 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001266497977 790792987 /nfs/dbraw/zinc/79/29/87/790792987.db2.gz OJFIDDOKYCRVGD-ZIAGYGMSSA-N 0 1 293.411 0.751 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1CCN([C@H](C)C(=O)N(C)C)C1 ZINC001266511263 790830472 /nfs/dbraw/zinc/83/04/72/790830472.db2.gz LBXXIFJKKGOBBW-ZIAGYGMSSA-N 0 1 293.411 0.561 20 30 CCEDMN C=CCCCC(=O)NC[C@H]1CCN([C@H](C)C(=O)NC)C1 ZINC001266528556 790875980 /nfs/dbraw/zinc/87/59/80/790875980.db2.gz RWOWDLBUSUYKJE-CHWSQXEVSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H](NCc2ccccn2)C1 ZINC001266527141 790871823 /nfs/dbraw/zinc/87/18/23/790871823.db2.gz HHMVEUTWWQMTSN-HIFRSBDPSA-N 0 1 287.363 0.810 20 30 CCEDMN C=C(Cl)C[NH2+]CCNC(=O)CCc1nc[nH]n1 ZINC001125419670 790951431 /nfs/dbraw/zinc/95/14/31/790951431.db2.gz PFTBRBJDNPRHMR-UHFFFAOYSA-N 0 1 257.725 0.196 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1nnc[nH]1 ZINC001125419670 790951438 /nfs/dbraw/zinc/95/14/38/790951438.db2.gz PFTBRBJDNPRHMR-UHFFFAOYSA-N 0 1 257.725 0.196 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCCN[C@H](C)c1nnnn1C ZINC001167363064 790971579 /nfs/dbraw/zinc/97/15/79/790971579.db2.gz KXSJSYAXPXVSCZ-LLVKDONJSA-N 0 1 294.403 0.969 20 30 CCEDMN Cc1onc(CC(=O)NOCC(C)(C)O)c1C#N ZINC000834622385 791062365 /nfs/dbraw/zinc/06/23/65/791062365.db2.gz WQUBTSLPQSBJGC-UHFFFAOYSA-N 0 1 253.258 0.216 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CCCOC)C1 ZINC001149256027 791113375 /nfs/dbraw/zinc/11/33/75/791113375.db2.gz JIFORMVRTIBZPU-CYBMUJFWSA-N 0 1 270.373 0.806 20 30 CCEDMN CSCC[C@H](NC(=O)C(C)(C)C#N)c1nn[nH]n1 ZINC001167444380 791118377 /nfs/dbraw/zinc/11/83/77/791118377.db2.gz BQAIQQYXPIYMQM-ZETCQYMHSA-N 0 1 268.346 0.660 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cn1cccn1 ZINC001230773042 805663648 /nfs/dbraw/zinc/66/36/48/805663648.db2.gz OCQOCLPVOVVQPB-ZDUSSCGKSA-N 0 1 262.357 0.992 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N(C)C[C@@H]1CCN1CCCO ZINC001231062723 805719073 /nfs/dbraw/zinc/71/90/73/805719073.db2.gz SHRZNOIRFARTLB-KBPBESRZSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C1CC1 ZINC001231065339 805722364 /nfs/dbraw/zinc/72/23/64/805722364.db2.gz ZVDRERHAIAHFBK-UHFFFAOYSA-N 0 1 253.346 0.137 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)C1CC1 ZINC001231082461 805727675 /nfs/dbraw/zinc/72/76/75/805727675.db2.gz WMMCJOQRMFXNCY-NSHDSACASA-N 0 1 267.373 0.525 20 30 CCEDMN C=CCNC(=O)C[N@@H+](C)CCCNC(=O)C(C)(C)F ZINC001231130297 805735134 /nfs/dbraw/zinc/73/51/34/805735134.db2.gz HQSBWPWDNWFSMD-UHFFFAOYSA-N 0 1 273.352 0.475 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C(C)(C)F ZINC001231130297 805735137 /nfs/dbraw/zinc/73/51/37/805735137.db2.gz HQSBWPWDNWFSMD-UHFFFAOYSA-N 0 1 273.352 0.475 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](C)COC ZINC001231215621 805753499 /nfs/dbraw/zinc/75/34/99/805753499.db2.gz HXGNOINUKUCSMK-QWHCGFSZSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](C)COC ZINC001231215623 805753876 /nfs/dbraw/zinc/75/38/76/805753876.db2.gz HXGNOINUKUCSMK-STQMWFEESA-N 0 1 252.358 0.825 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1C[C@@H](C)OC ZINC001231240703 805757413 /nfs/dbraw/zinc/75/74/13/805757413.db2.gz NVKWYNMYBSQQHO-OLZOCXBDSA-N 0 1 270.373 0.757 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CCN1C[C@@H](C)OC ZINC001231240703 805757418 /nfs/dbraw/zinc/75/74/18/805757418.db2.gz NVKWYNMYBSQQHO-OLZOCXBDSA-N 0 1 270.373 0.757 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1CCOCC ZINC001231247623 805759341 /nfs/dbraw/zinc/75/93/41/805759341.db2.gz PQVPIRIAENIOQD-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CCN1CCOCC ZINC001231247623 805759350 /nfs/dbraw/zinc/75/93/50/805759350.db2.gz PQVPIRIAENIOQD-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN CCOCCN1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231294521 805771174 /nfs/dbraw/zinc/77/11/74/805771174.db2.gz YXANWMYMOFXGKF-NEPJUHHUSA-N 0 1 253.346 0.715 20 30 CCEDMN CC#CCN1CC[C@@H]1CN(C)C(=O)Cc1ccn[nH]1 ZINC001231407936 805795414 /nfs/dbraw/zinc/79/54/14/805795414.db2.gz QTKBEZAZPDIDKB-CYBMUJFWSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H](C)NC(=O)C(C)(C)C)C1 ZINC001278414146 807093396 /nfs/dbraw/zinc/09/33/96/807093396.db2.gz QNEZIWQVECUXGV-LRDDRELGSA-N 0 1 293.411 0.751 20 30 CCEDMN COc1cc(C#N)ccc1CN1C[C@H](CO)[C@H](O)C1 ZINC001231617363 805819693 /nfs/dbraw/zinc/81/96/93/805819693.db2.gz UXHBNRLLONIOML-CHWSQXEVSA-N 0 1 262.309 0.352 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)NC(C)=O)C1 ZINC001277549096 805828559 /nfs/dbraw/zinc/82/85/59/805828559.db2.gz QEWBAJBACINDBR-LLVKDONJSA-N 0 1 267.373 0.620 20 30 CCEDMN N#Cc1ccc([O-])c(C[NH+]2CCN(C(N)=O)CC2)c1 ZINC001232679977 805969999 /nfs/dbraw/zinc/96/99/99/805969999.db2.gz WFDCCJBQCBKVKD-UHFFFAOYSA-N 0 1 260.297 0.460 20 30 CCEDMN CN(C1CN(Cc2[nH]ccc2C#N)C1)[C@H]1CCOC1 ZINC001233046846 806012901 /nfs/dbraw/zinc/01/29/01/806012901.db2.gz JLADZLVJPJBYRK-LBPRGKRZSA-N 0 1 260.341 0.791 20 30 CCEDMN C=CCCCN1CC[C@@H]2C[C@@]21C(=O)Nc1nnnn1C ZINC001277758256 806019230 /nfs/dbraw/zinc/01/92/30/806019230.db2.gz FQWHLXJFCKPVMT-MFKMUULPSA-N 0 1 276.344 0.579 20 30 CCEDMN C=CCN(C)Cc1ccc(OC)c(S(N)(=O)=O)c1 ZINC001233303831 806041350 /nfs/dbraw/zinc/04/13/50/806041350.db2.gz OQZPXQFXISCDNE-UHFFFAOYSA-N 0 1 270.354 0.960 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1(C(=O)NC)CC1 ZINC001266856180 791293975 /nfs/dbraw/zinc/29/39/75/791293975.db2.gz KYQXUZGBHVGOMT-GFCCVEGCSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@H](NCc2ccns2)C1 ZINC001267010407 791442466 /nfs/dbraw/zinc/44/24/66/791442466.db2.gz VOKGSLVOBWGGPW-LBPRGKRZSA-N 0 1 293.392 0.874 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccnc1 ZINC001039476723 791443716 /nfs/dbraw/zinc/44/37/16/791443716.db2.gz KLXGYFFKFXCVPF-CABCVRRESA-N 0 1 286.379 0.972 20 30 CCEDMN C#CCN(C)CCNC(=O)COc1cccc(OC)c1 ZINC001267218929 791689533 /nfs/dbraw/zinc/68/95/33/791689533.db2.gz HBTFJMLLOHGFOK-UHFFFAOYSA-N 0 1 276.336 0.755 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)c1ccc[nH]1 ZINC001233888349 806140655 /nfs/dbraw/zinc/14/06/55/806140655.db2.gz OLSPRDMIZGSGTO-LBPRGKRZSA-N 0 1 290.367 0.204 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1CCOC ZINC001233914928 806147033 /nfs/dbraw/zinc/14/70/33/806147033.db2.gz IHCBNWKDAVRANS-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cc1cncnc1 ZINC001234019071 806169723 /nfs/dbraw/zinc/16/97/23/806169723.db2.gz BUYBDZSAPVFQLW-CQSZACIVSA-N 0 1 272.352 0.575 20 30 CCEDMN Cc1nc(CN2CC[C@@H]2CN(C)C(=O)C#CC(C)C)n[nH]1 ZINC001234160394 806193131 /nfs/dbraw/zinc/19/31/31/806193131.db2.gz GQXVAHLBYBZSJW-CYBMUJFWSA-N 0 1 289.383 0.805 20 30 CCEDMN CC(C)C#CC(=O)N(C)C[C@H]1CCN1C[C@H](C)O ZINC001234162779 806194145 /nfs/dbraw/zinc/19/41/45/806194145.db2.gz VBTVOKBRJQTIDJ-QWHCGFSZSA-N 0 1 252.358 0.559 20 30 CCEDMN COC[C@H](C)N1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234195786 806201750 /nfs/dbraw/zinc/20/17/50/806201750.db2.gz NMPKNGSUBQCAFC-GXTWGEPZSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@H]1CCN1[C@H](C)COC ZINC001234246100 806210784 /nfs/dbraw/zinc/21/07/84/806210784.db2.gz IMCATRBQLORYPQ-ZIAGYGMSSA-N 0 1 282.384 0.594 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@@H](C)SC ZINC001234311683 806222426 /nfs/dbraw/zinc/22/24/26/806222426.db2.gz OXZVTYUCDWECJV-VXGBXAGGSA-N 0 1 299.440 0.314 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cncnc1C ZINC001267238404 793222992 /nfs/dbraw/zinc/22/29/92/793222992.db2.gz MRQNJWDXEBHMNF-UHFFFAOYSA-N 0 1 260.341 0.860 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cnco1 ZINC001267246645 793238639 /nfs/dbraw/zinc/23/86/39/793238639.db2.gz JAOBAMNGBOHIAS-UHFFFAOYSA-N 0 1 265.313 0.376 20 30 CCEDMN C#CCN(CC)CCNC(=O)COCc1ccncc1 ZINC001267253441 793249437 /nfs/dbraw/zinc/24/94/37/793249437.db2.gz LWTSNMQLZNJAIU-UHFFFAOYSA-N 0 1 275.352 0.670 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCNC(=O)c1ccco1 ZINC001267255442 793251450 /nfs/dbraw/zinc/25/14/50/793251450.db2.gz ZOGLPUQUBIYIQA-UHFFFAOYSA-N 0 1 291.351 0.471 20 30 CCEDMN C/C(=C\C(=O)NCCCN(C)CC(=O)NCC#N)C1CC1 ZINC001234528767 806267331 /nfs/dbraw/zinc/26/73/31/806267331.db2.gz HCOSPWDUFGCRPU-ZRDIBKRKSA-N 0 1 292.383 0.421 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1nc(F)nc2nc[nH]c21 ZINC001234693039 806297737 /nfs/dbraw/zinc/29/77/37/806297737.db2.gz NUDRIOZPBJBKKD-SSDOTTSWSA-N 0 1 278.243 0.826 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCN(C)[C@H]1CCNC1=O ZINC001235247691 806393678 /nfs/dbraw/zinc/39/36/78/806393678.db2.gz ZHZVOCWSTHOOKQ-OLZOCXBDSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCNC1=O ZINC001235263688 806398032 /nfs/dbraw/zinc/39/80/32/806398032.db2.gz XNPIAEDCKGLYJT-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)[C@H]1C ZINC001088574321 814930989 /nfs/dbraw/zinc/93/09/89/814930989.db2.gz OKGLKUOKLWYVED-FVCCEPFGSA-N 0 1 279.384 0.666 20 30 CCEDMN CN1CCC2(CN(S(=O)(=O)c3ccccc3C#N)C2)C1 ZINC001277951716 806447525 /nfs/dbraw/zinc/44/75/25/806447525.db2.gz OFNRPYIYRQKHDA-UHFFFAOYSA-N 0 1 291.376 0.884 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1cocn1 ZINC001235716853 806496540 /nfs/dbraw/zinc/49/65/40/806496540.db2.gz VFTNQGVKMGIKKO-UHFFFAOYSA-N 0 1 280.328 0.029 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)CCc2cnn(C)n2)CC1 ZINC001278080040 806652351 /nfs/dbraw/zinc/65/23/51/806652351.db2.gz POJQSJWJGQTWNX-UHFFFAOYSA-N 0 1 289.383 0.352 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)Cn2nccc2C)CC1 ZINC001278080371 806652761 /nfs/dbraw/zinc/65/27/61/806652761.db2.gz SZRUVZWVUZCDPH-UHFFFAOYSA-N 0 1 274.368 0.795 20 30 CCEDMN N#Cc1ccc2nc(CN3CC[C@H]4CNC(=O)[C@H]4C3)cn2c1 ZINC001237606822 806699811 /nfs/dbraw/zinc/69/98/11/806699811.db2.gz OVPKMQYISPKJFF-JSGCOSHPSA-N 0 1 295.346 0.774 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(OCCCOC)c1 ZINC001122036235 799128931 /nfs/dbraw/zinc/12/89/31/799128931.db2.gz OLWBAABZYJXOID-UHFFFAOYSA-N 0 1 290.363 0.941 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ncsc1C1CC1 ZINC001278155018 806727595 /nfs/dbraw/zinc/72/75/95/806727595.db2.gz KVXQLAYHNVQKKZ-NSHDSACASA-N 0 1 293.392 0.724 20 30 CCEDMN C=CC(C)(C)CCCNC(=O)C1CNCCS1(=O)=O ZINC001122201678 799167786 /nfs/dbraw/zinc/16/77/86/799167786.db2.gz QZBDKZIJBRQHCE-NSHDSACASA-N 0 1 288.413 0.482 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COC(F)(F)F ZINC001122547235 799260531 /nfs/dbraw/zinc/26/05/31/799260531.db2.gz OEUVLOFIYJQKRT-ZCFIWIBFSA-N 0 1 252.192 0.756 20 30 CCEDMN C#CC1(O)CCN(C(=O)NCCCc2cn[nH]c2C)CC1 ZINC001123481767 799418793 /nfs/dbraw/zinc/41/87/93/799418793.db2.gz XXMSURROQUHQSQ-UHFFFAOYSA-N 0 1 290.367 0.820 20 30 CCEDMN C#Cc1ccccc1CC(=O)NC[C@H](CO)NCC#CC ZINC001278187626 806749637 /nfs/dbraw/zinc/74/96/37/806749637.db2.gz VEWRAKOCOWDFHD-MRXNPFEDSA-N 0 1 284.359 0.300 20 30 CCEDMN C#CCN(C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C)C(C)C ZINC001123795632 799476289 /nfs/dbraw/zinc/47/62/89/799476289.db2.gz SDWYHNJPLPGOMD-KBPBESRZSA-N 0 1 280.416 0.674 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)[C@H]1C[N@@H+]2CCN1C[C@H]2C ZINC001123858280 799487842 /nfs/dbraw/zinc/48/78/42/799487842.db2.gz ZAYJHRUEGBSGGB-MGPQQGTHSA-N 0 1 263.385 0.942 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001123858280 799487844 /nfs/dbraw/zinc/48/78/44/799487844.db2.gz ZAYJHRUEGBSGGB-MGPQQGTHSA-N 0 1 263.385 0.942 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001123915352 799503447 /nfs/dbraw/zinc/50/34/47/799503447.db2.gz UEXUQGFFYWUEQN-NWDGAFQWSA-N 0 1 293.371 0.962 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1cn[nH]c1 ZINC001124049315 799544227 /nfs/dbraw/zinc/54/42/27/799544227.db2.gz LKESEPZJNQFSMJ-UHFFFAOYSA-N 0 1 268.320 0.781 20 30 CCEDMN C=C(CC)C(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001124107315 799559469 /nfs/dbraw/zinc/55/94/69/799559469.db2.gz PDRLKUSXJUTWAY-GXTWGEPZSA-N 0 1 268.357 0.295 20 30 CCEDMN COc1ccc(C#N)cc1CNCCNC(=O)[C@H](C)OC ZINC001124273316 799587356 /nfs/dbraw/zinc/58/73/56/799587356.db2.gz MTHQGJRGHHNIGA-NSHDSACASA-N 0 1 291.351 0.808 20 30 CCEDMN CO[C@@H](C)C(=O)NCCNCC#Cc1ccccc1 ZINC001124273378 799587545 /nfs/dbraw/zinc/58/75/45/799587545.db2.gz NMYUTMZXUIGLJN-ZDUSSCGKSA-N 0 1 260.337 0.779 20 30 CCEDMN Cc1cc(C(=O)N[C@@H](C)c2nnc[nH]2)ncc1C#N ZINC001137627480 799679521 /nfs/dbraw/zinc/67/95/21/799679521.db2.gz QLKKYEJJPAILIS-QMMMGPOBSA-N 0 1 256.269 0.871 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CCc1cscn1 ZINC001278202657 806771398 /nfs/dbraw/zinc/77/13/98/806771398.db2.gz SWOVUXWIUPTAOK-GFCCVEGCSA-N 0 1 281.381 0.166 20 30 CCEDMN C=CCn1cc(CN2CC[C@@H](N3CCOCC3)C2)cn1 ZINC001139770326 799839290 /nfs/dbraw/zinc/83/92/90/799839290.db2.gz MJIYRULWNRBUCA-OAHLLOKOSA-N 0 1 276.384 0.976 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccc2c(c1)CCO2 ZINC000841037234 800067586 /nfs/dbraw/zinc/06/75/86/800067586.db2.gz RIJZGVVSJSPHLW-JQWIXIFHSA-N 0 1 272.308 0.855 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)[C@H]1CC2CCN1CC2 ZINC001142632377 800140946 /nfs/dbraw/zinc/14/09/46/800140946.db2.gz CJHUJCSNLRHRIV-CYBMUJFWSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](C)OC)C1 ZINC001149294554 800244471 /nfs/dbraw/zinc/24/44/71/800244471.db2.gz VUEMREVYJKVXNG-RYUDHWBXSA-N 0 1 256.346 0.414 20 30 CCEDMN N#CCN1CCCO[C@@H](CNC(=O)CCc2cnc[nH]2)C1 ZINC001149827194 800301313 /nfs/dbraw/zinc/30/13/13/800301313.db2.gz MACPNLIQCMFBLW-ZDUSSCGKSA-N 0 1 291.355 0.073 20 30 CCEDMN Cn1cnc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)cc1=O ZINC001149884703 800304705 /nfs/dbraw/zinc/30/47/05/800304705.db2.gz SIVCDEDKGDTMDB-UHFFFAOYSA-N 0 1 294.274 0.781 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H](C)CCOC)C1 ZINC001150738089 800364046 /nfs/dbraw/zinc/36/40/46/800364046.db2.gz NQWMLLIBUJCYBS-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)c2cnco2)CC1 ZINC001151237611 800411785 /nfs/dbraw/zinc/41/17/85/800411785.db2.gz XVFWRVHVMUNKHE-UHFFFAOYSA-N 0 1 291.351 0.909 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1nc2ccccc2[nH]c1=O ZINC001151847449 800464907 /nfs/dbraw/zinc/46/49/07/800464907.db2.gz GMIJTNZPWLFAFO-UHFFFAOYSA-N 0 1 280.247 0.770 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1ccn2cncc2c1 ZINC001151905248 800469182 /nfs/dbraw/zinc/46/91/82/800469182.db2.gz ISCVTKVUTVMWNN-LBPRGKRZSA-N 0 1 256.265 0.699 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1cnnn1CC ZINC001153134893 800600187 /nfs/dbraw/zinc/60/01/87/800600187.db2.gz JDEIIXPTNHSSLX-LLVKDONJSA-N 0 1 281.360 0.095 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1n[nH]c2cccnc21 ZINC001153151486 800603006 /nfs/dbraw/zinc/60/30/06/800603006.db2.gz NZSWCAWCKVTTIT-QMMMGPOBSA-N 0 1 257.253 0.322 20 30 CCEDMN CCN(CC)Cc1ccc(C(=O)NCCNCC#N)o1 ZINC001153266394 800616821 /nfs/dbraw/zinc/61/68/21/800616821.db2.gz ISDMMCGEIKILMT-UHFFFAOYSA-N 0 1 278.356 0.964 20 30 CCEDMN Cc1nc2c(cccc2C(=O)N2CCNC[C@@H]2C#N)[nH]1 ZINC001153603937 800645386 /nfs/dbraw/zinc/64/53/86/800645386.db2.gz GHGHDQZKMBXLIX-JTQLQIEISA-N 0 1 269.308 0.809 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1nccs1 ZINC001155725249 801043756 /nfs/dbraw/zinc/04/37/56/801043756.db2.gz LMQXSUPQPOMQLB-UHFFFAOYSA-N 0 1 269.370 0.942 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1noc2c1COCC2 ZINC001155847640 801065286 /nfs/dbraw/zinc/06/52/86/801065286.db2.gz AUWJKPJGPBSLQL-JTQLQIEISA-N 0 1 292.339 0.503 20 30 CCEDMN Cc1cc(NCCNC(=O)C(F)(F)F)nc(C#N)n1 ZINC001156203113 801129097 /nfs/dbraw/zinc/12/90/97/801129097.db2.gz IRLHOSUZSJJMMD-UHFFFAOYSA-N 0 1 273.218 0.169 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CCNC[C@H]1C#N ZINC001156879230 801253205 /nfs/dbraw/zinc/25/32/05/801253205.db2.gz YYLXTIBNMCIEDG-SNVBAGLBSA-N 0 1 280.291 0.372 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1ncnn1C ZINC001157164375 801316465 /nfs/dbraw/zinc/31/64/65/801316465.db2.gz JTGKWWXSBUCBFF-UHFFFAOYSA-N 0 1 263.345 0.215 20 30 CCEDMN C#CCCCC(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001157170755 801317710 /nfs/dbraw/zinc/31/77/10/801317710.db2.gz OXGKAKAWEVXOPN-NSHDSACASA-N 0 1 278.360 0.171 20 30 CCEDMN CC(C)C#CC(=O)NCCCNCc1ncnn1C ZINC001157632215 801440718 /nfs/dbraw/zinc/44/07/18/801440718.db2.gz DCLVYLYWIQKRAW-UHFFFAOYSA-N 0 1 263.345 0.070 20 30 CCEDMN CC(C)C#CC(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001157638545 801443221 /nfs/dbraw/zinc/44/32/21/801443221.db2.gz FPQNTKXCNFEYBK-NSHDSACASA-N 0 1 278.360 0.026 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCNC(=O)C1 ZINC001157796276 801487992 /nfs/dbraw/zinc/48/79/92/801487992.db2.gz FBKFJABNGBQXHG-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCn1ccnn1 ZINC001157861747 801504230 /nfs/dbraw/zinc/50/42/30/801504230.db2.gz WJTPFZMSLLQJSC-CQSZACIVSA-N 0 1 289.383 0.614 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1ncc(CC)o1 ZINC001157869506 801506113 /nfs/dbraw/zinc/50/61/13/801506113.db2.gz NIOYZHACLZUAQB-LBPRGKRZSA-N 0 1 293.367 0.871 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN[C@H](C)c1nnc(C)[nH]1 ZINC001157874499 801507893 /nfs/dbraw/zinc/50/78/93/801507893.db2.gz QJBPBYUFHBVNFR-MNOVXSKESA-N 0 1 293.371 0.308 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1ncccn1 ZINC001157869580 801508061 /nfs/dbraw/zinc/50/80/61/801508061.db2.gz PKPUXLWUWZNONY-LBPRGKRZSA-N 0 1 276.340 0.111 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H]1CCNC1=O ZINC001158061100 801561257 /nfs/dbraw/zinc/56/12/57/801561257.db2.gz KRWBDXUZKFLHGH-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCNC(=O)c1cccnc1N1CCN2CC[C@H]2C1 ZINC001158430481 801646928 /nfs/dbraw/zinc/64/69/28/801646928.db2.gz FMRWSDYPMYTZOD-LBPRGKRZSA-N 0 1 272.352 0.892 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCc2onc(Cn3ccnc3)c2C1 ZINC001158821322 801709146 /nfs/dbraw/zinc/70/91/46/801709146.db2.gz HSAGMFRRVQJZDG-HNNXBMFYSA-N 0 1 299.334 0.155 20 30 CCEDMN C=CCNC(=O)c1cccnc1N1CC2(CN(C)C2)C1 ZINC001158866511 801717603 /nfs/dbraw/zinc/71/76/03/801717603.db2.gz UJRKDTVJRQXQSE-UHFFFAOYSA-N 0 1 272.352 0.749 20 30 CCEDMN COC(=O)c1cc(C#N)cnc1NC[C@H]1COCCN1 ZINC001159047938 801751330 /nfs/dbraw/zinc/75/13/30/801751330.db2.gz WFMADBOUGLEYRC-JTQLQIEISA-N 0 1 276.296 0.140 20 30 CCEDMN C#CC[N@@H+]1CC=C(CCNC(=O)[C@H]2CCCO2)CC1 ZINC001159176815 801767822 /nfs/dbraw/zinc/76/78/22/801767822.db2.gz HRUXSRRVGIEPGH-CQSZACIVSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2CCCO2)CC1 ZINC001159176815 801767826 /nfs/dbraw/zinc/76/78/26/801767826.db2.gz HRUXSRRVGIEPGH-CQSZACIVSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCCN1CC=C(CCNC(=O)CS(C)(=O)=O)CC1 ZINC001159458126 801809242 /nfs/dbraw/zinc/80/92/42/801809242.db2.gz NZVOUMOOUNSQMR-UHFFFAOYSA-N 0 1 298.408 0.193 20 30 CCEDMN C#CCC1(C(=O)NCCCNCc2nncn2C)CCC1 ZINC001159638312 801840436 /nfs/dbraw/zinc/84/04/36/801840436.db2.gz NUPVKTAYSLSNCL-UHFFFAOYSA-N 0 1 289.383 0.605 20 30 CCEDMN C#C[C@H](CO)NC(=O)c1ccc(Nc2ccncc2)cc1 ZINC001159762361 801865269 /nfs/dbraw/zinc/86/52/69/801865269.db2.gz NOUIFXSWVXJCTJ-CYBMUJFWSA-N 0 1 281.315 0.971 20 30 CCEDMN C=CCn1cccc1C(=O)NCCCNCc1cnon1 ZINC001159987711 801896064 /nfs/dbraw/zinc/89/60/64/801896064.db2.gz CPIFWFLKRRBFSN-UHFFFAOYSA-N 0 1 289.339 0.967 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC001160400794 801935630 /nfs/dbraw/zinc/93/56/30/801935630.db2.gz YLOMKEWNXIBGQD-ZFWWWQNUSA-N 0 1 292.379 0.562 20 30 CCEDMN CN(CC#N)C(=O)[C@@H](N)CC(=O)OCc1ccccc1 ZINC001160584624 801977337 /nfs/dbraw/zinc/97/73/37/801977337.db2.gz UKCLBDWTJBZUTB-LBPRGKRZSA-N 0 1 275.308 0.429 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@@H](N)Cc2cccc(O)c2)CCO1 ZINC001160877673 802039377 /nfs/dbraw/zinc/03/93/77/802039377.db2.gz AQKDELGMXPESSU-OLZOCXBDSA-N 0 1 275.308 0.013 20 30 CCEDMN C[C@H](C#N)N(C)C(=O)[C@@H](N)Cc1ccccc1C#N ZINC001161145087 802101500 /nfs/dbraw/zinc/10/15/00/802101500.db2.gz YNVDTYVBLGIOOY-MFKMUULPSA-N 0 1 256.309 0.799 20 30 CCEDMN N#Cc1ccc(CNC(=O)CCc2c[nH]nn2)s1 ZINC001162248275 802294456 /nfs/dbraw/zinc/29/44/56/802294456.db2.gz GTRHPOLIZWLYLZ-UHFFFAOYSA-N 0 1 261.310 0.987 20 30 CCEDMN N#Cc1ccc(CNC(=O)CCc2cnn[nH]2)s1 ZINC001162248275 802294458 /nfs/dbraw/zinc/29/44/58/802294458.db2.gz GTRHPOLIZWLYLZ-UHFFFAOYSA-N 0 1 261.310 0.987 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1ncc(C#N)cn1 ZINC001162531042 802359746 /nfs/dbraw/zinc/35/97/46/802359746.db2.gz QYXNEPYQODBKJU-UHFFFAOYSA-N 0 1 272.268 0.169 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1ccnc(C#N)c1 ZINC001162536921 802360966 /nfs/dbraw/zinc/36/09/66/802360966.db2.gz AVGCWZCJVZWVCC-UHFFFAOYSA-N 0 1 271.280 0.774 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CSC(C)C ZINC001278844608 808322635 /nfs/dbraw/zinc/32/26/35/808322635.db2.gz IEWVXKVMJSOAFA-LBPRGKRZSA-N 0 1 272.414 0.512 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)COC(C)(C)C ZINC001278854693 808336654 /nfs/dbraw/zinc/33/66/54/808336654.db2.gz GVCGCRXSBIQHDJ-GFCCVEGCSA-N 0 1 270.373 0.186 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C(C)(C)CCOC ZINC001278879055 808421310 /nfs/dbraw/zinc/42/13/10/808421310.db2.gz ZVCDIWNAXDAFSA-CYBMUJFWSA-N 0 1 284.400 0.433 20 30 CCEDMN C=CCS(=O)(=O)Nc1cncc(N2CCOCC2)n1 ZINC001259922330 808804668 /nfs/dbraw/zinc/80/46/68/808804668.db2.gz AYNIHTFJKMNRDN-UHFFFAOYSA-N 0 1 284.341 0.241 20 30 CCEDMN CCOC(=O)c1cn(-c2nccc(C#N)c2F)nc1CN ZINC001163525635 802567210 /nfs/dbraw/zinc/56/72/10/802567210.db2.gz XZCFANZVZIREEH-UHFFFAOYSA-N 0 1 289.270 0.913 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H](C)C(C)(C)C ZINC001265000902 809691128 /nfs/dbraw/zinc/69/11/28/809691128.db2.gz HWQRDMDPUDFMCB-ZDUSSCGKSA-N 0 1 295.427 0.856 20 30 CCEDMN CN(CCCNC(=O)[C@H]1CC[C@@H](F)C1)CC(=O)NCC#N ZINC001265027566 809695696 /nfs/dbraw/zinc/69/56/96/809695696.db2.gz SXYCZCMVOOJBSV-NWDGAFQWSA-N 0 1 298.362 0.202 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN(CCN2CCCC2=O)C1 ZINC001265276158 809742657 /nfs/dbraw/zinc/74/26/57/809742657.db2.gz ZRYUHZZEJCJRPM-CYBMUJFWSA-N 0 1 295.383 0.002 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC001265282466 809745868 /nfs/dbraw/zinc/74/58/68/809745868.db2.gz UGDGDBYZUYYUNK-LBPRGKRZSA-N 0 1 293.371 0.305 20 30 CCEDMN C#CCN1CC=C(CNC(=O)CCCCC(N)=O)CC1 ZINC001279669041 809992598 /nfs/dbraw/zinc/99/25/98/809992598.db2.gz OYUKECODDSQMSN-UHFFFAOYSA-N 0 1 277.368 0.414 20 30 CCEDMN COCc1nn(-c2ncnc(Cl)c2C#N)c2c1CNC2 ZINC001165631660 802856429 /nfs/dbraw/zinc/85/64/29/802856429.db2.gz DOVIBLLJNUCYRQ-UHFFFAOYSA-N 0 1 290.714 0.937 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCNCc2cnn(C)n2)C1 ZINC001166245980 802896343 /nfs/dbraw/zinc/89/63/43/802896343.db2.gz YBHBSYHNFKSXGJ-UHFFFAOYSA-N 0 1 277.372 0.767 20 30 CCEDMN Cc1nc(N2CC[C@H](N)C(F)(F)C2)cnc1C#N ZINC001166959306 802995707 /nfs/dbraw/zinc/99/57/07/802995707.db2.gz VVLVOWFHQKFVMQ-VIFPVBQESA-N 0 1 253.256 0.829 20 30 CCEDMN Cc1cnc(N2CC[C@@H](N)C(F)(F)C2)c(C#N)n1 ZINC001166959499 802995860 /nfs/dbraw/zinc/99/58/60/802995860.db2.gz YLRBAGGJPPDPDK-SECBINFHSA-N 0 1 253.256 0.829 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCNCc1ccon1 ZINC001166983386 803009025 /nfs/dbraw/zinc/00/90/25/803009025.db2.gz ZSHVKHGIUOKGPD-OLZOCXBDSA-N 0 1 279.340 0.862 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CSCC#N)C1 ZINC001206096025 803205796 /nfs/dbraw/zinc/20/57/96/803205796.db2.gz LAKXBLVDPYEQCU-VXGBXAGGSA-N 0 1 265.382 0.703 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCOC(C)C)C1 ZINC001206136710 803210515 /nfs/dbraw/zinc/21/05/15/803210515.db2.gz GSMDRVBIYXVTEN-CHWSQXEVSA-N 0 1 252.358 0.871 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCNC(=O)C2CC2)C[C@H]1C ZINC001206536876 803263506 /nfs/dbraw/zinc/26/35/06/803263506.db2.gz CDFJLBQWRMMSEA-TZMCWYRMSA-N 0 1 293.411 0.915 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CC[C@@H]2CCOC2)C1 ZINC001206865083 803294869 /nfs/dbraw/zinc/29/48/69/803294869.db2.gz YXSAVYWSLZDWJT-MGPQQGTHSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CNC(=O)c2ccoc2)C1 ZINC001207073518 803348193 /nfs/dbraw/zinc/34/81/93/803348193.db2.gz VCVOFDLNLVTHNP-DGCLKSJQSA-N 0 1 289.335 0.079 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2(CC#C)CCOCC2)C1 ZINC001207484259 803411505 /nfs/dbraw/zinc/41/15/05/803411505.db2.gz LZRITZVMNBLNOR-HUUCEWRRSA-N 0 1 288.391 0.876 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2(COCC)CC2)C1 ZINC001207753570 803445481 /nfs/dbraw/zinc/44/54/81/803445481.db2.gz BYOZXXRBMBQZTO-CHWSQXEVSA-N 0 1 264.369 0.873 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CC(=O)NCC)C1 ZINC001207873071 803459411 /nfs/dbraw/zinc/45/94/11/803459411.db2.gz ZJXSOMZYZOPBNJ-NSHDSACASA-N 0 1 287.791 0.846 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](OC)C2CCC2)C1 ZINC001208221225 803497862 /nfs/dbraw/zinc/49/78/62/803497862.db2.gz ZJVSVMABZGWIGA-BNOWGMLFSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCn2ccnc2)C1 ZINC001208250485 803500039 /nfs/dbraw/zinc/50/00/39/803500039.db2.gz NBSCQFIGCRCJEL-CHWSQXEVSA-N 0 1 260.341 0.343 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CNC(=O)NC)C1 ZINC001208279019 803502072 /nfs/dbraw/zinc/50/20/72/803502072.db2.gz FWBOTLIBEOMZQZ-JTQLQIEISA-N 0 1 288.779 0.249 20 30 CCEDMN C=C(Cl)CN1C[C@@H](C)[C@H](NC(=O)CCc2c[nH]nn2)C1 ZINC001208721913 803541185 /nfs/dbraw/zinc/54/11/85/803541185.db2.gz NVFOMXWMIXLDLX-BXKDBHETSA-N 0 1 297.790 0.926 20 30 CCEDMN C=CCN(CC[N@H+]1CC[C@@H](NC(C)=O)C1)C(=O)OCC ZINC001209021113 803564387 /nfs/dbraw/zinc/56/43/87/803564387.db2.gz RPXUESRNNSBOBD-CYBMUJFWSA-N 0 1 283.372 0.841 20 30 CCEDMN C=CCN(CCN1CC[C@@H](NC(C)=O)C1)C(=O)OCC ZINC001209021113 803564389 /nfs/dbraw/zinc/56/43/89/803564389.db2.gz RPXUESRNNSBOBD-CYBMUJFWSA-N 0 1 283.372 0.841 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2C[C@@H]2C(F)F)[C@@H](O)C1 ZINC001083439572 814949882 /nfs/dbraw/zinc/94/98/82/814949882.db2.gz IFRRCLIMMRUOST-MMWGEVLESA-N 0 1 272.295 0.072 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2ncccn2)C1 ZINC001209626410 803618192 /nfs/dbraw/zinc/61/81/92/803618192.db2.gz RDMJESFAWPKCAY-CHWSQXEVSA-N 0 1 272.352 0.479 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCN2CCCC2=O)C1 ZINC001211088553 803666970 /nfs/dbraw/zinc/66/69/70/803666970.db2.gz CJJKRTDPMMCXAD-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](OC)C2CCC2)[C@H](OC)C1 ZINC001213232606 803686964 /nfs/dbraw/zinc/68/69/64/803686964.db2.gz JZPOFFBQGXFYSF-RBSFLKMASA-N 0 1 294.395 0.640 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)OCC2CC2)[C@H](OC)C1 ZINC001211988563 814963326 /nfs/dbraw/zinc/96/33/26/814963326.db2.gz FGKORHOOUMLVNG-BPLDGKMQSA-N 0 1 294.395 0.640 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CCCOCC)[C@H]2C1 ZINC001217366091 803888985 /nfs/dbraw/zinc/88/89/85/803888985.db2.gz IQZWHEFXPKVTIL-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)[C@@H](C)COC)[C@H]2C1 ZINC001217517405 803893587 /nfs/dbraw/zinc/89/35/87/803893587.db2.gz PHWXQQUNCALDQQ-MELADBBJSA-N 0 1 282.384 0.757 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H](C)CSC)[C@H]2C1 ZINC001217544716 803894348 /nfs/dbraw/zinc/89/43/48/803894348.db2.gz WKTGVRCTKVJPFO-MELADBBJSA-N 0 1 296.436 0.920 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)COC)[C@H](OC)C1 ZINC001212015939 814979344 /nfs/dbraw/zinc/97/93/44/814979344.db2.gz UAJUHSKWNZVNEX-YNEHKIRRSA-N 0 1 270.373 0.660 20 30 CCEDMN N#Cc1cnc(NC(=O)[C@H](N)c2ccccc2)cn1 ZINC001218503770 803971462 /nfs/dbraw/zinc/97/14/62/803971462.db2.gz JBPPLHCBFPZPDW-GFCCVEGCSA-N 0 1 253.265 0.987 20 30 CCEDMN CCCCCCCN1C[C@H]2OCCN(C(=O)C(N)=O)[C@H]2C1 ZINC001218550976 804001028 /nfs/dbraw/zinc/00/10/28/804001028.db2.gz XVLNZXVYLPCOSN-QWHCGFSZSA-N 0 1 297.399 0.354 20 30 CCEDMN Cc1nc(C#N)c(NC(=O)C[C@@H]2COCCN2)o1 ZINC001218649988 804060890 /nfs/dbraw/zinc/06/08/90/804060890.db2.gz PLOMMRYXOAFDEU-MRVPVSSYSA-N 0 1 250.258 0.172 20 30 CCEDMN Cc1ncc(C#N)cc1NC(=O)[C@@H]1CCCN1C(=O)CN ZINC001218658694 804068484 /nfs/dbraw/zinc/06/84/84/804068484.db2.gz HWRMQAZACRGWFL-LBPRGKRZSA-N 0 1 287.323 0.150 20 30 CCEDMN Cn1cc(NC(=O)[C@H](N)c2ccccc2)c(C#N)n1 ZINC001218667177 804073263 /nfs/dbraw/zinc/07/32/63/804073263.db2.gz ANECSPJFGNKEDN-GFCCVEGCSA-N 0 1 255.281 0.930 20 30 CCEDMN C[C@@]1(C(=O)N([O-])C(=N)c2ccccc2N)CC[NH2+]C1 ZINC001218967361 804168318 /nfs/dbraw/zinc/16/83/18/804168318.db2.gz BNDOICOSCNKRMM-CYBMUJFWSA-N 0 1 262.313 0.812 20 30 CCEDMN N#Cc1ccc(NC(=O)CNC2CC[NH2+]CC2)c([O-])c1 ZINC001218988217 804176360 /nfs/dbraw/zinc/17/63/60/804176360.db2.gz GITIUEIGZUSMTI-UHFFFAOYSA-N 0 1 274.324 0.544 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CC(C)(C)C)[C@@H](O)C1 ZINC001219198315 804232323 /nfs/dbraw/zinc/23/23/23/804232323.db2.gz QJCSDXZRCBEWGQ-OLZOCXBDSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)CSC)[C@@H](O)C1 ZINC001219368077 804288459 /nfs/dbraw/zinc/28/84/59/804288459.db2.gz DYFUXIRMKSSQNV-TUAOUCFPSA-N 0 1 272.414 0.723 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001219426961 804307515 /nfs/dbraw/zinc/30/75/15/804307515.db2.gz URVMBUMMQLSENB-OLZOCXBDSA-N 0 1 288.363 0.490 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C=C2CCC2)[C@@H](O)C1 ZINC001219445574 804319115 /nfs/dbraw/zinc/31/91/15/804319115.db2.gz LMWAFGKXRLJZIC-KGLIPLIRSA-N 0 1 280.368 0.461 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CN(CC=C(C)C)C[C@@H]1O ZINC001219535613 804341674 /nfs/dbraw/zinc/34/16/74/804341674.db2.gz CHUQXQHWAPPGQA-VNHYZAJKSA-N 0 1 282.384 0.441 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](OCC)C(C)C)[C@@H](O)C1 ZINC001219602538 804370504 /nfs/dbraw/zinc/37/05/04/804370504.db2.gz VTVZXCCWYCZEGZ-HZSPNIEDSA-N 0 1 282.384 0.232 20 30 CCEDMN Cn1cnc(CCNC(=O)[C@@H](N)Cc2ccccc2C#N)c1 ZINC001219634303 804384432 /nfs/dbraw/zinc/38/44/32/804384432.db2.gz SFTBOYKDZAYQNF-HNNXBMFYSA-N 0 1 297.362 0.520 20 30 CCEDMN C=C(Cl)CN1C[C@@H](NC(=O)CCc2cnc[nH]2)[C@@H](O)C1 ZINC001219769603 804419504 /nfs/dbraw/zinc/41/95/04/804419504.db2.gz WGMZNVAZIOBVLN-NEPJUHHUSA-N 0 1 298.774 0.256 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)C(C)(C)C)[C@@H](O)C1 ZINC001219883097 804439719 /nfs/dbraw/zinc/43/97/19/804439719.db2.gz NAINOBINLWEIJB-UPJWGTAASA-N 0 1 266.385 0.853 20 30 CCEDMN CC(=O)/C=C(/C)NC(=O)[C@H](N)Cc1cccc(O)c1 ZINC001219910263 804443722 /nfs/dbraw/zinc/44/37/22/804443722.db2.gz VTWGRYCZPZGTDU-OYVUYXNMSA-N 0 1 262.309 0.871 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN([C@@H](C)COC)C[C@@H]1O ZINC001220147819 804514731 /nfs/dbraw/zinc/51/47/31/804514731.db2.gz OXAUUXHKJUCOSN-TUAOUCFPSA-N 0 1 270.373 0.395 20 30 CCEDMN CCCNC(=O)C(=NOCC(=O)OCC)c1ccn[nH]1 ZINC001220232906 804544368 /nfs/dbraw/zinc/54/43/68/804544368.db2.gz WVMAJZWHDUNZDC-WJDWOHSUSA-N 0 1 282.300 0.220 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001220242277 804546601 /nfs/dbraw/zinc/54/66/01/804546601.db2.gz STMYKDUGVZTLBW-NEPJUHHUSA-N 0 1 258.337 0.864 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC1(C(=O)OCC)CCC1 ZINC001220371664 804583632 /nfs/dbraw/zinc/58/36/32/804583632.db2.gz ZSCGNYYAUAGEDK-LBPRGKRZSA-N 0 1 252.314 0.187 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@@H]1O ZINC001220435964 804603845 /nfs/dbraw/zinc/60/38/45/804603845.db2.gz NWTDMRPTKYQWSQ-MJBXVCDLSA-N 0 1 298.427 0.911 20 30 CCEDMN C#C[C@H](C)NC(=O)[C@@H]([NH3+])CCCC[N-]C(=O)C(F)(F)F ZINC001220458297 804608950 /nfs/dbraw/zinc/60/89/50/804608950.db2.gz MPJSUWQJEMBCDA-IUCAKERBSA-N 0 1 293.289 0.300 20 30 CCEDMN COC(C)(CNC(=O)[C@@H](N)Cc1ccccc1C#N)OC ZINC001220509063 804617022 /nfs/dbraw/zinc/61/70/22/804617022.db2.gz JDAVNKWLMSCOQG-ZDUSSCGKSA-N 0 1 291.351 0.553 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2C2CC2)[C@@H](O)C1 ZINC001220517041 804619194 /nfs/dbraw/zinc/61/91/94/804619194.db2.gz SKURMRJWPCYDNA-YJNKXOJESA-N 0 1 294.395 0.396 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H]1CCO[C@@H](C)C1 ZINC001272138383 815027121 /nfs/dbraw/zinc/02/71/21/815027121.db2.gz UXIYEHOLSJCDPR-LSDHHAIUSA-N 0 1 296.411 0.842 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2cnccn2)[C@@H](O)C1 ZINC001220685284 804649726 /nfs/dbraw/zinc/64/97/26/804649726.db2.gz BFEALGMKKFNDQI-KGLIPLIRSA-N 0 1 290.367 0.147 20 30 CCEDMN C=CCC[C@H](NC(=O)NCCn1cc[nH+]c1C)C(=O)[O-] ZINC000316716828 804720603 /nfs/dbraw/zinc/72/06/03/804720603.db2.gz XNBHVQLWCGWGTA-NSHDSACASA-N 0 1 280.328 0.910 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1C[N@@H+](CCC(=C)C)C[C@@H]1O ZINC001221139629 804733315 /nfs/dbraw/zinc/73/33/15/804733315.db2.gz GVNKMSOQKWCYKH-KGLIPLIRSA-N 0 1 282.384 0.707 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CN(C(C)C)C[C@@H]1O ZINC001221147916 804735357 /nfs/dbraw/zinc/73/53/57/804735357.db2.gz KZFMKLRHFAWZAV-TUAOUCFPSA-N 0 1 256.346 0.147 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC1(c2ccc(F)cn2)CC1 ZINC001221174801 804741226 /nfs/dbraw/zinc/74/12/26/804741226.db2.gz QYHCRXZJHDBFTO-ZDUSSCGKSA-N 0 1 261.300 0.719 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)N[C@](C)(C#N)CC(C)C ZINC001221292347 804767926 /nfs/dbraw/zinc/76/79/26/804767926.db2.gz KDBQHXZXOKVRMS-WFASDCNBSA-N 0 1 296.415 0.921 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](C)OCCOC)[C@@H]2C1 ZINC001221846806 804848722 /nfs/dbraw/zinc/84/87/22/804848722.db2.gz OMZHJBIWWKACLF-MGPQQGTHSA-N 0 1 282.384 0.757 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)COC[C@@H]3CCOC3)[C@@H]2C1 ZINC001221845412 804850350 /nfs/dbraw/zinc/85/03/50/804850350.db2.gz NCMFMXZNNXNTTE-RBSFLKMASA-N 0 1 294.395 0.758 20 30 CCEDMN O=C(CC#Cc1ccccc1)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001222040204 804858458 /nfs/dbraw/zinc/85/84/58/804858458.db2.gz QBSBNWQLYODZML-IAGOWNOFSA-N 0 1 298.386 0.953 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CCN(Cc2cncn2C)CC1 ZINC001222636167 804921606 /nfs/dbraw/zinc/92/16/06/804921606.db2.gz IURVQVAJLVZQTA-GFCCVEGCSA-N 0 1 289.383 0.908 20 30 CCEDMN CNC(=O)CN1CCC(CNC(=O)C#CC(C)C)CC1 ZINC001223096714 804954904 /nfs/dbraw/zinc/95/49/04/804954904.db2.gz MBSYQIWUYJVHQS-UHFFFAOYSA-N 0 1 279.384 0.220 20 30 CCEDMN CNC(=O)[C@H](C)N1CCC(CNC(=O)C#CC(C)C)CC1 ZINC001223122799 804956037 /nfs/dbraw/zinc/95/60/37/804956037.db2.gz OAMHPWQVHNCWJN-ZDUSSCGKSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@]1(C)CCNC(=O)C1 ZINC001276875458 804960920 /nfs/dbraw/zinc/96/09/20/804960920.db2.gz NIXCXJFSCKIHPP-SWLSCSKDSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)COCCCOC)C[C@@H]21 ZINC001223865930 804995196 /nfs/dbraw/zinc/99/51/96/804995196.db2.gz VIVAILVPIURDST-CABCVRRESA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)CCCF)CC1 ZINC001224105518 805009809 /nfs/dbraw/zinc/00/98/09/805009809.db2.gz GANKJVYOPMSQIK-UHFFFAOYSA-N 0 1 297.374 0.314 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H](CCC)NC(N)=O ZINC001276962854 805026506 /nfs/dbraw/zinc/02/65/06/805026506.db2.gz ASMNZHDCDXTFJF-VXGBXAGGSA-N 0 1 282.388 0.590 20 30 CCEDMN C#CCCCN1C[C@@H]2C(C(=O)N3CCCCO3)=NO[C@@H]2C1 ZINC001276980956 805054212 /nfs/dbraw/zinc/05/42/12/805054212.db2.gz LLUYTBCOSKEWGH-QWHCGFSZSA-N 0 1 291.351 0.640 20 30 CCEDMN CCOC(=O)C[C@@H](CC#N)Oc1[nH]c(=O)ncc1F ZINC001225883019 805172930 /nfs/dbraw/zinc/17/29/30/805172930.db2.gz XWRFIZIMJBLBLT-SSDOTTSWSA-N 0 1 269.232 0.936 20 30 CCEDMN COCC#CCN1CCC(NC(=O)CSC)CC1 ZINC001225972156 805183196 /nfs/dbraw/zinc/18/31/96/805183196.db2.gz QLECWCGNDXXAGZ-UHFFFAOYSA-N 0 1 270.398 0.580 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001277140004 805251652 /nfs/dbraw/zinc/25/16/52/805251652.db2.gz GDXSSRQCWRSQQL-SRVKXCTJSA-N 0 1 277.368 0.019 20 30 CCEDMN C#CCCCC(=O)NC1CCN([C@H](C)C(=O)N(C)C)CC1 ZINC001227107747 805322306 /nfs/dbraw/zinc/32/23/06/805322306.db2.gz ICRBIQYYYBXPDQ-CYBMUJFWSA-N 0 1 293.411 0.847 20 30 CCEDMN O=C(C#CC1CC1)NC1CCN([C@H]2CCCNC2=O)CC1 ZINC001227290348 805340113 /nfs/dbraw/zinc/34/01/13/805340113.db2.gz VFWUSBFGXBBJLB-AWEZNQCLSA-N 0 1 289.379 0.259 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC1CCN(CC#CC)CC1 ZINC001227341958 805341593 /nfs/dbraw/zinc/34/15/93/805341593.db2.gz FVYPAKNRWIYCCZ-CYBMUJFWSA-N 0 1 262.353 0.629 20 30 CCEDMN N#CCN1CCC(NC(=O)CCCCc2cn[nH]n2)CC1 ZINC001228457288 805448071 /nfs/dbraw/zinc/44/80/71/805448071.db2.gz ONUREUMYZASBHW-UHFFFAOYSA-N 0 1 290.371 0.622 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ccncn2)CC1 ZINC001229655115 805546573 /nfs/dbraw/zinc/54/65/73/805546573.db2.gz AEMGKFXFMQBIQT-UHFFFAOYSA-N 0 1 288.351 0.321 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C[C@H]2CCCO2)C1 ZINC001278363516 807033693 /nfs/dbraw/zinc/03/36/93/807033693.db2.gz ORVGFMNEASRPCU-TZMCWYRMSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)NC2)[C@H]1C ZINC001088692584 815086843 /nfs/dbraw/zinc/08/68/43/815086843.db2.gz XLTZSGXHGJXGFJ-AGIUHOORSA-N 0 1 277.368 0.115 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1NC[C@H](O)COc1cccc(F)c1 ZINC001250832257 807619018 /nfs/dbraw/zinc/61/90/18/807619018.db2.gz WLDQMMHLJAKKMT-GAFUQQFSSA-N 0 1 298.314 0.391 20 30 CCEDMN CC[C@H]1CN(C(=O)NCC#CCN(C)C)CCCO1 ZINC001251708860 807697071 /nfs/dbraw/zinc/69/70/71/807697071.db2.gz ZAZGQZCSVYRLOG-ZDUSSCGKSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCOC[C@@H](O)CN1CC2CC1(C(=O)N1CCCC1)C2 ZINC001251844556 807716564 /nfs/dbraw/zinc/71/65/64/807716564.db2.gz SWSBKMOMHYJJCF-BBBYJDLNSA-N 0 1 292.379 0.084 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCc2nc(C)sc2C1 ZINC001251847495 807718454 /nfs/dbraw/zinc/71/84/54/807718454.db2.gz HFVNLHGKYPSMEB-NSHDSACASA-N 0 1 266.366 0.820 20 30 CCEDMN C#CCOC[C@H](O)CN1CC2(C1)C[C@@H](F)CS2 ZINC001251854693 807721819 /nfs/dbraw/zinc/72/18/19/807721819.db2.gz JZYODDROKRLWGB-GHMZBOCLSA-N 0 1 259.346 0.527 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1nc2ccccc2n1C ZINC001251881385 807732719 /nfs/dbraw/zinc/73/27/19/807732719.db2.gz SUAHLGZIBVURJD-LBPRGKRZSA-N 0 1 273.336 0.674 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@@H]1COc2ccc(F)cc21 ZINC001251891587 807737682 /nfs/dbraw/zinc/73/76/82/807737682.db2.gz WAJRPAYCVVQLPA-WCQYABFASA-N 0 1 265.284 0.860 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@H](CO)c1ccccc1Cl ZINC001251900268 807742805 /nfs/dbraw/zinc/74/28/05/807742805.db2.gz MRDGQHXZGMPKKS-SMDDNHRTSA-N 0 1 283.755 0.974 20 30 CCEDMN N#CC1(NC[C@H](O)CO)CCN(Cc2ccccc2)CC1 ZINC001252073710 807792260 /nfs/dbraw/zinc/79/22/60/807792260.db2.gz QQEIEMALWVGQLU-HNNXBMFYSA-N 0 1 289.379 0.488 20 30 CCEDMN C=CCOC[C@H](O)CNc1cc(=O)[nH]c(Cl)n1 ZINC001252456455 807871429 /nfs/dbraw/zinc/87/14/29/807871429.db2.gz RPOOHFBDUJYREJ-SSDOTTSWSA-N 0 1 259.693 0.811 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ccc(Cl)nn1 ZINC001252488829 807891401 /nfs/dbraw/zinc/89/14/01/807891401.db2.gz UIIJWRMUEIVEQO-JTQLQIEISA-N 0 1 257.721 0.783 20 30 CCEDMN C=CCC[C@H](O)CN1CC(N(C)[C@@H]2CCOC2)C1 ZINC001252603003 807918392 /nfs/dbraw/zinc/91/83/92/807918392.db2.gz JGPHWFCRKOKFAO-OCCSQVGLSA-N 0 1 254.374 0.718 20 30 CCEDMN C=CCC[C@@H](O)CN[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC001252612738 807918706 /nfs/dbraw/zinc/91/87/06/807918706.db2.gz QYGVUDGKSCQZEQ-NEPJUHHUSA-N 0 1 267.329 0.411 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)N2C)[C@H]1C ZINC001088790462 815160173 /nfs/dbraw/zinc/16/01/73/815160173.db2.gz MAKIOAYYOKZNMT-RDBSUJKOSA-N 0 1 291.395 0.600 20 30 CCEDMN C=C[C@H](C)NC(=O)CCNC(O)=C1N=CC=CC1=O ZINC001252843201 807977473 /nfs/dbraw/zinc/97/74/73/807977473.db2.gz ONAKFRVWLDJLSZ-LZTQFINJSA-N 0 1 263.297 0.594 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[C@H]1C ZINC001088802718 815171463 /nfs/dbraw/zinc/17/14/63/815171463.db2.gz CIJXVQVANFKFJO-DGTMBMJNSA-N 0 1 262.353 0.481 20 30 CCEDMN C=C[C@H](O)CNCc1cc(C(=O)OCC)ccn1 ZINC001253600774 808095199 /nfs/dbraw/zinc/09/51/99/808095199.db2.gz FNRRXFFYMPBBHV-LBPRGKRZSA-N 0 1 250.298 0.895 20 30 CCEDMN Cc1nc(C)c(CNCCNC(=O)C#CC2CC2)o1 ZINC001126875690 815174583 /nfs/dbraw/zinc/17/45/83/815174583.db2.gz KOUUHYBLKBXQQV-UHFFFAOYSA-N 0 1 261.325 0.911 20 30 CCEDMN CCc1nc([C@@H](C)NCCNC(=O)C#CC2CC2)n[nH]1 ZINC001126880801 815180387 /nfs/dbraw/zinc/18/03/87/815180387.db2.gz AJEVJKFMGSZFSI-SNVBAGLBSA-N 0 1 275.356 0.547 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2ccc(F)nc2)C1 ZINC001278766114 808194789 /nfs/dbraw/zinc/19/47/89/808194789.db2.gz GLLJPVGDUALZJW-OAHLLOKOSA-N 0 1 291.326 0.411 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@H](C)OCC2CC2)C1 ZINC001278767670 808196187 /nfs/dbraw/zinc/19/61/87/808196187.db2.gz UZXDGZPCLRLHNI-BBRMVZONSA-N 0 1 294.395 0.378 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2csnc2C)C1 ZINC001278771203 808199438 /nfs/dbraw/zinc/19/94/38/808199438.db2.gz LWBBFRIKWOIQDT-CQSZACIVSA-N 0 1 293.392 0.641 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1CCCCC1 ZINC001278844734 808321284 /nfs/dbraw/zinc/32/12/84/808321284.db2.gz LBPJYVVKJPWFPD-CQSZACIVSA-N 0 1 266.385 0.951 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H]1CCCOCC1 ZINC001278855496 808338768 /nfs/dbraw/zinc/33/87/68/808338768.db2.gz QVUASZHZHHYYEN-LSDHHAIUSA-N 0 1 296.411 0.578 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1cccnc1C ZINC001278869259 808393612 /nfs/dbraw/zinc/39/36/12/808393612.db2.gz QHYXKEBUJZQXHJ-CQSZACIVSA-N 0 1 289.379 0.778 20 30 CCEDMN CCn1nnc(C)c1CNCCNC(=O)C#CC1CC1 ZINC001126898832 815202728 /nfs/dbraw/zinc/20/27/28/815202728.db2.gz BPKZVTBLCAGDET-UHFFFAOYSA-N 0 1 275.356 0.226 20 30 CCEDMN CCN(CCC#N)[C@H](C)CC(=O)N1CCOCC1 ZINC001256342871 808507246 /nfs/dbraw/zinc/50/72/46/808507246.db2.gz AFOKNWSBIOHALI-GFCCVEGCSA-N 0 1 253.346 0.859 20 30 CCEDMN C[C@H](CC(=O)N1CCOCC1)N1CC[C@@](C)(C#N)C1 ZINC001256349706 808512736 /nfs/dbraw/zinc/51/27/36/808512736.db2.gz OOUGDAYXVAOVRV-OCCSQVGLSA-N 0 1 265.357 0.859 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1nnc(C)[nH]1 ZINC001126907071 815214287 /nfs/dbraw/zinc/21/42/87/815214287.db2.gz FFNYROXQSJXQMP-UHFFFAOYSA-N 0 1 257.725 0.114 20 30 CCEDMN N#C[C@@H](NC1CCN(Cc2ccncc2)CC1)C(N)=O ZINC001256583091 808537028 /nfs/dbraw/zinc/53/70/28/808537028.db2.gz USTQMNSYSSCYDQ-CYBMUJFWSA-N 0 1 273.340 0.013 20 30 CCEDMN CCC(CC)(CO)CNC(=O)NCC#CCN(C)C ZINC001256584314 808537836 /nfs/dbraw/zinc/53/78/36/808537836.db2.gz AZCHATLLPPFHBN-UHFFFAOYSA-N 0 1 269.389 0.649 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN(CC=C)CCOC)cn1 ZINC001279055848 808641679 /nfs/dbraw/zinc/64/16/79/808641679.db2.gz CMLWSBRBRCLMCR-UHFFFAOYSA-N 0 1 287.363 0.927 20 30 CCEDMN C#CC(C)(C)NS(=O)(=O)c1nc2nc(Cl)ccn2n1 ZINC001260177875 808855174 /nfs/dbraw/zinc/85/51/74/808855174.db2.gz ODNOHWYUOPOPNX-UHFFFAOYSA-N 0 1 299.743 0.468 20 30 CCEDMN COC(=O)[C@]12CN(CC3(C#N)CC3)C[C@H]1COCC2 ZINC000361453702 808906248 /nfs/dbraw/zinc/90/62/48/808906248.db2.gz HZJYORNZAXSTMM-SMDDNHRTSA-N 0 1 264.325 0.802 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cn(C)nc3OC)[C@@H]2C1 ZINC001076126366 815247170 /nfs/dbraw/zinc/24/71/70/815247170.db2.gz WAGMTVVUWJLRJU-WCQYABFASA-N 0 1 290.367 0.761 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3CCC(=O)N3C)[C@@H]2C1 ZINC001076122120 815247342 /nfs/dbraw/zinc/24/73/42/815247342.db2.gz LPIYCZZRTJZWJK-MELADBBJSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2nnc3ccccn32)CC1 ZINC001262238633 809255451 /nfs/dbraw/zinc/25/54/51/809255451.db2.gz DXQXBCSPWLMTFJ-UHFFFAOYSA-N 0 1 298.350 0.948 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3CCC(=O)N3)[C@@H]2C1 ZINC001076354724 815281681 /nfs/dbraw/zinc/28/16/81/815281681.db2.gz IHRLGNYGYDJQTJ-MELADBBJSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)CCc3cn[nH]c3)[C@@H]2C1 ZINC001076340904 815279090 /nfs/dbraw/zinc/27/90/90/815279090.db2.gz WOQCWDKDBPUJKE-UONOGXRCSA-N 0 1 272.352 0.508 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3cn[nH]c3)[C@@H]2C1 ZINC001076340904 815279095 /nfs/dbraw/zinc/27/90/95/815279095.db2.gz WOQCWDKDBPUJKE-UONOGXRCSA-N 0 1 272.352 0.508 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C(=O)OC)c1cc(F)ccc1F ZINC001263082079 809435342 /nfs/dbraw/zinc/43/53/42/809435342.db2.gz WNVQWASFKCPROF-CYBMUJFWSA-N 0 1 296.273 0.518 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCNC[C@H]1CCOC ZINC001263254290 809496985 /nfs/dbraw/zinc/49/69/85/809496985.db2.gz CQOGLCWDYFCZCF-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN Cn1cnnc1CN[C@H]1C[C@H](CNC(=O)C#CC2CC2)C1 ZINC001263861728 809591219 /nfs/dbraw/zinc/59/12/19/809591219.db2.gz LCNYHXRPXUWHPQ-JOCQHMNTSA-N 0 1 287.367 0.213 20 30 CCEDMN O=C(C#CC1CC1)NC[C@H]1CC[C@@H](NCc2cnon2)C1 ZINC001263900548 809603374 /nfs/dbraw/zinc/60/33/74/809603374.db2.gz KMAQDOCZQMUKPL-QWHCGFSZSA-N 0 1 288.351 0.858 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)C2CC(C)C2)n1 ZINC001264025673 809624438 /nfs/dbraw/zinc/62/44/38/809624438.db2.gz SCQWFZBTWABKBF-UHFFFAOYSA-N 0 1 288.351 0.567 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)c2ncc[nH]2)CCN1CC#CCOC ZINC001264051813 809625600 /nfs/dbraw/zinc/62/56/00/809625600.db2.gz RDQXASDEOVUASY-QWHCGFSZSA-N 0 1 290.367 0.642 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C[C@@H]2CCOC2)C1 ZINC001264074683 809627911 /nfs/dbraw/zinc/62/79/11/809627911.db2.gz HEDNXZXNIBHSJG-LSDHHAIUSA-N 0 1 294.395 0.332 20 30 CCEDMN CC#CCN(CCO)[C@H]1CCCN(C(=O)COCC)C1 ZINC001264629001 809666929 /nfs/dbraw/zinc/66/69/29/809666929.db2.gz WLHUUJRMHJYGON-AWEZNQCLSA-N 0 1 282.384 0.332 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2cnns2)C1 ZINC001264628107 809666959 /nfs/dbraw/zinc/66/69/59/809666959.db2.gz GXHBTUALWBMIMV-NSHDSACASA-N 0 1 294.380 0.070 20 30 CCEDMN CC#CCN(CCO)[C@@H]1CCCN(C(=O)COCC)C1 ZINC001264629002 809667272 /nfs/dbraw/zinc/66/72/72/809667272.db2.gz WLHUUJRMHJYGON-CQSZACIVSA-N 0 1 282.384 0.332 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cn3ccccc3=O)[C@@H]2C1 ZINC001076554299 815309615 /nfs/dbraw/zinc/30/96/15/815309615.db2.gz JLRVVNSMHQUQGD-UONOGXRCSA-N 0 1 285.347 0.014 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCCN(C)CC(=O)NC ZINC001265041098 809698647 /nfs/dbraw/zinc/69/86/47/809698647.db2.gz NCJRQZCBURGGCH-UHFFFAOYSA-N 0 1 269.389 0.773 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCN2CCOCC2)C1 ZINC001265229168 809727555 /nfs/dbraw/zinc/72/75/55/809727555.db2.gz RECRNNJCFSBDGZ-OAHLLOKOSA-N 0 1 293.411 0.313 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001265234795 809730015 /nfs/dbraw/zinc/73/00/15/809730015.db2.gz BERWSPMAGCPZFH-STQMWFEESA-N 0 1 281.400 0.901 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)C[C@@H]2CCCO2)CC1 ZINC001265256394 809733939 /nfs/dbraw/zinc/73/39/39/809733939.db2.gz WFEPUUULNGMDDZ-HNNXBMFYSA-N 0 1 295.427 0.865 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H](F)C(C)C)CC1 ZINC001265263050 809734920 /nfs/dbraw/zinc/73/49/20/809734920.db2.gz QWTHBDGHGWNDDA-CYBMUJFWSA-N 0 1 271.380 0.900 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cc(C)no2)C1 ZINC001265270766 809737225 /nfs/dbraw/zinc/73/72/25/809737225.db2.gz MESFNYDZTHPUIY-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN COC[C@@H](O)CN1CC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283346 809746536 /nfs/dbraw/zinc/74/65/36/809746536.db2.gz DKMYQAKIWFWHHO-STQMWFEESA-N 0 1 282.384 0.234 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CCN(CCn2cccn2)C1 ZINC001265284528 809747392 /nfs/dbraw/zinc/74/73/92/809747392.db2.gz RNTKXGYNHWDTBT-CQSZACIVSA-N 0 1 290.367 0.114 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@](C)(NC(C)=O)C(C)C)C1 ZINC001265319316 809756731 /nfs/dbraw/zinc/75/67/31/809756731.db2.gz LLLNQTIFFQXCSY-DZGCQCFKSA-N 0 1 281.400 0.914 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(C[C@H]2NC(C)=O)CC1 ZINC001265322059 809757462 /nfs/dbraw/zinc/75/74/62/809757462.db2.gz XDOACCRSSTXKMH-DGCLKSJQSA-N 0 1 279.384 0.668 20 30 CCEDMN C=C(C)CCC(=O)N[C@H]1CC12CCN(CC(N)=O)CC2 ZINC001265327908 809758602 /nfs/dbraw/zinc/75/86/02/809758602.db2.gz PGHBFICJAXHGGU-LBPRGKRZSA-N 0 1 279.384 0.799 20 30 CCEDMN CCO[C@@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001265710557 809829365 /nfs/dbraw/zinc/82/93/65/809829365.db2.gz CJGGXMLECRLZHW-QMIVOQANSA-N 0 1 292.379 0.108 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1[C@H]2CN(C/C=C/Cl)C[C@H]21 ZINC001265712888 809830698 /nfs/dbraw/zinc/83/06/98/809830698.db2.gz UBOWEWMIWYWYRS-GZEFGCIFSA-N 0 1 253.733 0.945 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1[nH]nnc1C ZINC001265809070 809859169 /nfs/dbraw/zinc/85/91/69/809859169.db2.gz QIOKTLWLYMGRLQ-ZETCQYMHSA-N 0 1 257.725 0.574 20 30 CCEDMN N#CCSCC(=O)N1CC[C@@H](CNCc2ccon2)C1 ZINC001265958639 809905790 /nfs/dbraw/zinc/90/57/90/809905790.db2.gz XYNUREVPWMPYRE-NSHDSACASA-N 0 1 294.380 0.869 20 30 CCEDMN C[C@H]1C[C@@H]1C(=O)NCCCN(CC(=O)NCC#N)C1CC1 ZINC001266118889 809939217 /nfs/dbraw/zinc/93/92/17/809939217.db2.gz WKPQFKBUJQIORG-AAEUAGOBSA-N 0 1 292.383 0.253 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)Cn2cccn2)C1 ZINC001266201749 809961702 /nfs/dbraw/zinc/96/17/02/809961702.db2.gz ZLQLYFRBGLBOCW-AWEZNQCLSA-N 0 1 292.383 0.666 20 30 CCEDMN C#CCNC(=O)CN[C@@H](C)c1ccc(CNC(C)=O)cc1 ZINC001280340969 810011643 /nfs/dbraw/zinc/01/16/43/810011643.db2.gz UJBIQXNMCSEANG-LBPRGKRZSA-N 0 1 287.363 0.723 20 30 CCEDMN C#CC[NH2+]C1(CNC(=O)c2ncccc2[O-])CCC1 ZINC001280475009 810024136 /nfs/dbraw/zinc/02/41/36/810024136.db2.gz KMTDPXIVMMCOOZ-UHFFFAOYSA-N 0 1 259.309 0.663 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2cccn2C)C1 ZINC001076750743 815363232 /nfs/dbraw/zinc/36/32/32/815363232.db2.gz FSDBCLHJOSEZRY-DGCLKSJQSA-N 0 1 263.341 0.376 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc[nH]c2C)C1 ZINC001076860734 815395323 /nfs/dbraw/zinc/39/53/23/815395323.db2.gz PESVCPTYGCURLO-CHWSQXEVSA-N 0 1 261.325 0.121 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)C2CCCC2)C1 ZINC001267283242 811092268 /nfs/dbraw/zinc/09/22/68/811092268.db2.gz KUNSFFFDEFHTHH-CYBMUJFWSA-N 0 1 293.411 0.917 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2c(C)coc2C)C1 ZINC001076954870 815421814 /nfs/dbraw/zinc/42/18/14/815421814.db2.gz CCRDVCCJZAIVHK-CHWSQXEVSA-N 0 1 276.336 0.695 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2cnco2)C1 ZINC001267299264 811118337 /nfs/dbraw/zinc/11/83/37/811118337.db2.gz WTCWLXMDQNEYEJ-LBPRGKRZSA-N 0 1 277.324 0.376 20 30 CCEDMN C[C@H](CNc1cnc(C#N)cn1)N(C)C(=O)c1ccn[nH]1 ZINC001104480021 811119940 /nfs/dbraw/zinc/11/99/40/811119940.db2.gz VHISOSGKSYIQJA-SECBINFHSA-N 0 1 285.311 0.644 20 30 CCEDMN CCCN(CCNC(=O)C#CC1CC1)[C@@H](C)C(N)=O ZINC001267313066 811138290 /nfs/dbraw/zinc/13/82/90/811138290.db2.gz XOCNUTRBULSMNG-NSHDSACASA-N 0 1 265.357 0.102 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCCN(CCC)CCF ZINC001267314726 811141352 /nfs/dbraw/zinc/14/13/52/811141352.db2.gz BIZOADRESOVUGJ-AWEZNQCLSA-N 0 1 283.391 0.882 20 30 CCEDMN C#CCN(CCNC(=O)CC1(O)CCC1)C1CC1 ZINC001267324999 811159022 /nfs/dbraw/zinc/15/90/22/811159022.db2.gz APFGEFWYFSTGTG-UHFFFAOYSA-N 0 1 250.342 0.505 20 30 CCEDMN CC#CC[N@H+]1CC[C@H]2CCN(C(=O)c3cncn3C)C[C@@H]21 ZINC001042138094 811265235 /nfs/dbraw/zinc/26/52/35/811265235.db2.gz KRTNRHRUEJRVHQ-ZFWWWQNUSA-N 0 1 286.379 0.980 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cncn3C)C[C@@H]21 ZINC001042138094 811265245 /nfs/dbraw/zinc/26/52/45/811265245.db2.gz KRTNRHRUEJRVHQ-ZFWWWQNUSA-N 0 1 286.379 0.980 20 30 CCEDMN COC[C@@H](C)N1C[C@H]2OCCN(C(=O)C#CC(C)C)[C@H]2C1 ZINC001217840437 811277387 /nfs/dbraw/zinc/27/73/87/811277387.db2.gz YKWWIJUSJIOOLP-QLFBSQMISA-N 0 1 294.395 0.592 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1ccoc1CC(N)=O ZINC001267419874 811292347 /nfs/dbraw/zinc/29/23/47/811292347.db2.gz QZRDRJIELHBSCB-UHFFFAOYSA-N 0 1 299.758 0.722 20 30 CCEDMN COc1ccnc(CNCCNC(=O)c2ccc(C#N)[nH]2)c1 ZINC001125776369 811321367 /nfs/dbraw/zinc/32/13/67/811321367.db2.gz MWHPTBYYEIHUGN-UHFFFAOYSA-N 0 1 299.334 0.810 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2cnsn2)CC1 ZINC001267573985 811465974 /nfs/dbraw/zinc/46/59/74/811465974.db2.gz RATXCLLLGTYLLR-UHFFFAOYSA-N 0 1 295.412 0.414 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H](C)OC ZINC001267704184 811668222 /nfs/dbraw/zinc/66/82/22/811668222.db2.gz IGKCEENDRXGJFP-AVGNSLFASA-N 0 1 250.342 0.720 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nn1)N(C)C(=O)Cc1c[nH]cn1 ZINC001104825831 811734792 /nfs/dbraw/zinc/73/47/92/811734792.db2.gz OCKSZICEZYORNC-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H](C)Cc2c[nH]cn2)C1 ZINC001267749296 811741585 /nfs/dbraw/zinc/74/15/85/811741585.db2.gz FZKHAIFOUZNCKH-QWHCGFSZSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCc2ncccn2)C1 ZINC001267774396 811774494 /nfs/dbraw/zinc/77/44/94/811774494.db2.gz HUFQZEKWLBWITL-ZDUSSCGKSA-N 0 1 272.352 0.575 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CCOC)C1 ZINC001267779714 811780639 /nfs/dbraw/zinc/78/06/39/811780639.db2.gz GVWRTYMMDSRSOF-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CCn2cncn2)C1 ZINC001267779372 811780824 /nfs/dbraw/zinc/78/08/24/811780824.db2.gz COZWRKVOVHMATN-CYBMUJFWSA-N 0 1 275.356 0.224 20 30 CCEDMN C=CC[NH2+][C@@H](CC)CNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001267826372 811818962 /nfs/dbraw/zinc/81/89/62/811818962.db2.gz HMUYKFNPFBCBHJ-QMMMGPOBSA-N 0 1 296.327 0.180 20 30 CCEDMN C#CCCCC(=O)N1CC(n2cc(CNCCC#C)nn2)C1 ZINC001105224833 811867065 /nfs/dbraw/zinc/86/70/65/811867065.db2.gz FFDBJRUQFNQJAB-UHFFFAOYSA-N 0 1 299.378 0.578 20 30 CCEDMN CCNCc1cn(C2CN(C(=O)C#CC(C)(C)C)C2)nn1 ZINC001105238293 811871075 /nfs/dbraw/zinc/87/10/75/811871075.db2.gz USSRYRFGKQTQCV-UHFFFAOYSA-N 0 1 289.383 0.820 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1cnn[nH]1)Nc1ccncc1C#N ZINC001105304875 811901859 /nfs/dbraw/zinc/90/18/59/811901859.db2.gz IOTADRMENOOAPF-LBPRGKRZSA-N 0 1 299.338 0.360 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc(CC)n[nH]3)[C@@H]2C1 ZINC001075560358 811968181 /nfs/dbraw/zinc/96/81/81/811968181.db2.gz PVMMQHIOTBRJPK-SMDDNHRTSA-N 0 1 272.352 0.752 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1C[N@@H+](CCCC)CCO1 ZINC001268021029 811969207 /nfs/dbraw/zinc/96/92/07/811969207.db2.gz APLJBZCKXAIRBC-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1CN(CCCC)CCO1 ZINC001268021029 811969212 /nfs/dbraw/zinc/96/92/12/811969212.db2.gz APLJBZCKXAIRBC-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001268021233 811970449 /nfs/dbraw/zinc/97/04/49/811970449.db2.gz QKBLVXXINPGJOP-ZIAGYGMSSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnc2n1CCOC2 ZINC001027945971 812157523 /nfs/dbraw/zinc/15/75/23/812157523.db2.gz LMIOPMUGAPHMPS-LBPRGKRZSA-N 0 1 288.351 0.241 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)[C@@H](C)CNCc1ccn(C)n1 ZINC001268064572 812176898 /nfs/dbraw/zinc/17/68/98/812176898.db2.gz VENWDPCRPDBTRA-QWHCGFSZSA-N 0 1 292.383 0.395 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](Nc3ccc(C#N)nn3)C2)n[nH]1 ZINC001058850893 812195277 /nfs/dbraw/zinc/19/52/77/812195277.db2.gz IGDZZMNKMDAAHB-NSHDSACASA-N 0 1 297.322 0.706 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1cccc2ncnn21 ZINC001028001203 812207629 /nfs/dbraw/zinc/20/76/29/812207629.db2.gz CCVMSJQQHMWMPU-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccn(CCOC)n1 ZINC001028019017 812216768 /nfs/dbraw/zinc/21/67/68/812216768.db2.gz LISQAAXEUZJTAF-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1ccnc(OC)n1 ZINC001028019739 812218410 /nfs/dbraw/zinc/21/84/10/812218410.db2.gz DHQQNXVWIAPGLX-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001028054999 812237473 /nfs/dbraw/zinc/23/74/73/812237473.db2.gz MPFUFQIFMPTMOL-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1csc(=O)[nH]1 ZINC001028090270 812269352 /nfs/dbraw/zinc/26/93/52/812269352.db2.gz SRIOUCDADZDVLW-SECBINFHSA-N 0 1 265.338 0.676 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001058889096 812280950 /nfs/dbraw/zinc/28/09/50/812280950.db2.gz FOABUKZUHOLGSH-NSHDSACASA-N 0 1 296.334 0.779 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1n[nH]cc1F ZINC001028120878 812293077 /nfs/dbraw/zinc/29/30/77/812293077.db2.gz YQYPZYRUWHPAES-SECBINFHSA-N 0 1 252.293 0.929 20 30 CCEDMN N#CCN1CCCC2(CN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)C2)C1 ZINC000981825995 812298926 /nfs/dbraw/zinc/29/89/26/812298926.db2.gz XREMHCBOLYPOCO-CHWSQXEVSA-N 0 1 299.378 0.961 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001028149638 812315992 /nfs/dbraw/zinc/31/59/92/812315992.db2.gz GPHMYLCGSGXKJK-SYQHCUMBSA-N 0 1 262.353 0.768 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCN(C)CC#CC ZINC001268237484 812402223 /nfs/dbraw/zinc/40/22/23/812402223.db2.gz KDDWAJKQRZYZBB-CYBMUJFWSA-N 0 1 250.342 0.438 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](O)CNCc1cccc(Cl)n1 ZINC001268295201 812452758 /nfs/dbraw/zinc/45/27/58/812452758.db2.gz CLBGPJGMHWPXIH-KOLCDFICSA-N 0 1 296.758 0.461 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(=O)[nH]c1 ZINC001038983611 812527061 /nfs/dbraw/zinc/52/70/61/812527061.db2.gz ATKMYIPZRUAWPH-LBPRGKRZSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cn(CC)cn1 ZINC001028267425 812532432 /nfs/dbraw/zinc/53/24/32/812532432.db2.gz JRIDKXWCTXYDRL-GFCCVEGCSA-N 0 1 260.341 0.730 20 30 CCEDMN C/C(=C\C(=O)NC/C=C/CNCC(=O)NCC#N)C1CC1 ZINC001268515994 812759473 /nfs/dbraw/zinc/75/94/73/812759473.db2.gz HZSDTTOQCKLSNP-DKWJWULNSA-N 0 1 290.367 0.244 20 30 CCEDMN CC(C)C#CC(=O)NC/C=C\CNCc1cnn(C)c1 ZINC001268535676 812778574 /nfs/dbraw/zinc/77/85/74/812778574.db2.gz DWVRJZGXKZXNKR-PLNGDYQASA-N 0 1 274.368 0.842 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccccc2O)[C@@H](O)C1 ZINC001083347999 812897397 /nfs/dbraw/zinc/89/73/97/812897397.db2.gz SXYLSVBFWQBCLP-OCCSQVGLSA-N 0 1 274.320 0.190 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)c2ncoc2C)[C@@H](O)C1 ZINC001083361747 812910800 /nfs/dbraw/zinc/91/08/00/812910800.db2.gz BVQFWZHBUISNLF-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2ncoc2C)[C@@H](O)C1 ZINC001083361747 812910806 /nfs/dbraw/zinc/91/08/06/812910806.db2.gz BVQFWZHBUISNLF-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C(C)(C)C(N)=O ZINC001268650129 812975517 /nfs/dbraw/zinc/97/55/17/812975517.db2.gz YGHYTLJYUUNZGH-MRVPVSSYSA-N 0 1 261.753 0.345 20 30 CCEDMN C[C@H](CNCC#N)NC(=O)CCCCc1cn[nH]n1 ZINC001268656250 812985585 /nfs/dbraw/zinc/98/55/85/812985585.db2.gz OVPWNPKTOCXTOP-SNVBAGLBSA-N 0 1 264.333 0.135 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CN(C)CCN1CCNC1=O ZINC001268724053 813037775 /nfs/dbraw/zinc/03/77/75/813037775.db2.gz JQJOLBAXSXMCRH-GFCCVEGCSA-N 0 1 282.388 0.414 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001268729085 813047260 /nfs/dbraw/zinc/04/72/60/813047260.db2.gz LQIYIGXEYBMTLC-DZGCQCFKSA-N 0 1 282.384 0.400 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc[nH]c(=O)c3)[C@@H]2C1 ZINC001075678803 813076196 /nfs/dbraw/zinc/07/61/96/813076196.db2.gz SCLHKNCPSUCHQC-QWHCGFSZSA-N 0 1 271.320 0.567 20 30 CCEDMN CN(CCCNCc1cc(F)ccc1C#N)C(=O)C(N)=O ZINC001268831466 813107242 /nfs/dbraw/zinc/10/72/42/813107242.db2.gz PPRHOXGKSDYMDX-UHFFFAOYSA-N 0 1 292.314 0.121 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cncn2C)C1 ZINC001268849986 813112217 /nfs/dbraw/zinc/11/22/17/813112217.db2.gz NCSFJLPUQTZFBB-UHFFFAOYSA-N 0 1 278.356 0.284 20 30 CCEDMN C=CC(=O)N1CCc2[nH]nc(C(=O)NC3(C#N)CCC3)c2C1 ZINC001268860904 813119337 /nfs/dbraw/zinc/11/93/37/813119337.db2.gz LGXPAYCGFNTZHV-UHFFFAOYSA-N 0 1 299.334 0.656 20 30 CCEDMN C=CCNC(=O)[C@H]1CC12CCN(C(=O)c1cnc[nH]1)CC2 ZINC001268868060 813124580 /nfs/dbraw/zinc/12/45/80/813124580.db2.gz PBXBMVWHCIXKPF-LLVKDONJSA-N 0 1 288.351 0.954 20 30 CCEDMN C=CCN1CC(CNC(=O)C(C)(C)CNC(C)=O)C1 ZINC001268887942 813132385 /nfs/dbraw/zinc/13/23/85/813132385.db2.gz MJCYHRSHIDLXLD-UHFFFAOYSA-N 0 1 267.373 0.383 20 30 CCEDMN C=CCCCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](O)COC ZINC001268965252 813161487 /nfs/dbraw/zinc/16/14/87/813161487.db2.gz MAAQRYLTUKWAGP-IHRRRGAJSA-N 0 1 282.384 0.635 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCn2nc(C)cc2C)C1 ZINC001269046317 813191480 /nfs/dbraw/zinc/19/14/80/813191480.db2.gz FNZCPANAQLSVDJ-AWEZNQCLSA-N 0 1 274.368 0.714 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cncs1 ZINC001127045460 815599403 /nfs/dbraw/zinc/59/94/03/815599403.db2.gz BKHLAQSHZSAMJJ-SNVBAGLBSA-N 0 1 267.354 0.387 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCN1CCCC1=O ZINC001269344722 813334056 /nfs/dbraw/zinc/33/40/56/813334056.db2.gz WZPKDUBAHNWGRH-ZDUSSCGKSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)c2cccn2C)CC1 ZINC001269373776 813346064 /nfs/dbraw/zinc/34/60/64/813346064.db2.gz GILXBTOXQGUORB-UHFFFAOYSA-N 0 1 290.367 0.179 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cc(C)ncn3)[C@@H]2C1 ZINC001075698369 813452646 /nfs/dbraw/zinc/45/26/46/813452646.db2.gz WZZFKIHBMPGQJX-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)[C@@H]2C1 ZINC001075698598 813460836 /nfs/dbraw/zinc/46/08/36/813460836.db2.gz CMOCCHBSLGGNNP-SMDDNHRTSA-N 0 1 268.320 0.666 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2C(=O)Cc2ccn[nH]2)C1=O ZINC001269663819 813463844 /nfs/dbraw/zinc/46/38/44/813463844.db2.gz GICLZEHZUMTGPN-OAHLLOKOSA-N 0 1 286.335 0.179 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001028780543 813493325 /nfs/dbraw/zinc/49/33/25/813493325.db2.gz KWMSMCFZVYEGQS-SECBINFHSA-N 0 1 250.277 0.234 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1nc(C(=O)OC)cc(=O)[nH]1 ZINC001225731561 813498695 /nfs/dbraw/zinc/49/86/95/813498695.db2.gz ZZJJYOOFVIRWFP-MRVPVSSYSA-N 0 1 294.263 0.303 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(C(=O)[C@H](C)N(C)C)C2)C1=O ZINC001269796645 813510159 /nfs/dbraw/zinc/51/01/59/813510159.db2.gz HNWLSODITJSGLB-WFASDCNBSA-N 0 1 279.384 0.574 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001028797542 813521273 /nfs/dbraw/zinc/52/12/73/813521273.db2.gz OWNYSEGPWJNDBC-RYUDHWBXSA-N 0 1 287.367 0.791 20 30 CCEDMN CCc1cc(C(=O)N2CCC[C@H]2CNCC#N)n[nH]1 ZINC001270006302 813580763 /nfs/dbraw/zinc/58/07/63/813580763.db2.gz HRPGVIWVSAVWRV-NSHDSACASA-N 0 1 261.329 0.690 20 30 CCEDMN N#CCCNC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000427623999 813614699 /nfs/dbraw/zinc/61/46/99/813614699.db2.gz QIBKUSJJIPEBMA-UHFFFAOYSA-N 0 1 285.307 0.713 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ccnn3C)[C@@H]2C1 ZINC001075737338 813673442 /nfs/dbraw/zinc/67/34/42/813673442.db2.gz DQVDIFDQQZQYPP-GXTWGEPZSA-N 0 1 272.352 0.129 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)COCC=C)CC1 ZINC001270213112 813679947 /nfs/dbraw/zinc/67/99/47/813679947.db2.gz ROVJDGGDBCZBRA-UHFFFAOYSA-N 0 1 250.342 0.793 20 30 CCEDMN C#CCN(C(C)=O)C1CCN([C@@H]2CCCN(C)C2=O)CC1 ZINC001270279419 813705925 /nfs/dbraw/zinc/70/59/25/813705925.db2.gz KMZSCPZVLOMVIL-OAHLLOKOSA-N 0 1 291.395 0.553 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(CN(CCn3ccnc3)C2)C1 ZINC001270507828 813789247 /nfs/dbraw/zinc/78/92/47/813789247.db2.gz NVNJDYBIWGEXRR-GFCCVEGCSA-N 0 1 273.340 0.187 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCc2ccnn2C)C1 ZINC001270590564 813829104 /nfs/dbraw/zinc/82/91/04/813829104.db2.gz PPIPBQMPRIVJLA-OAHLLOKOSA-N 0 1 274.368 0.567 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC([C@H](C)NCc2cnon2)CC1 ZINC001029069898 813851313 /nfs/dbraw/zinc/85/13/13/813851313.db2.gz UOEVVQGHNQSFPC-MNOVXSKESA-N 0 1 291.355 0.946 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cc2cnn(CC)c2)C1 ZINC001270650558 813870640 /nfs/dbraw/zinc/87/06/40/813870640.db2.gz WCESNKKLQQEZIJ-HNNXBMFYSA-N 0 1 274.368 0.659 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cnnn3CC)[C@@H]2C1 ZINC001075764246 813876728 /nfs/dbraw/zinc/87/67/28/813876728.db2.gz MJNFGFIKBQJNLS-WCQYABFASA-N 0 1 275.356 0.630 20 30 CCEDMN Cc1nc(CN2C[C@@H]3CCN(C(=O)C#CC4CC4)[C@@H]3C2)n[nH]1 ZINC001075780352 813987118 /nfs/dbraw/zinc/98/71/18/813987118.db2.gz ITKQVMXTNAASSY-UONOGXRCSA-N 0 1 299.378 0.559 20 30 CCEDMN C=C(Cl)CN1CC(N(C)C(=O)c2ccn[nH]2)C1 ZINC001042492553 813996953 /nfs/dbraw/zinc/99/69/53/813996953.db2.gz QDZNOUFKOOLVER-UHFFFAOYSA-N 0 1 254.721 0.918 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](CCNC(=O)c2cnn[nH]2)C1 ZINC001059317746 814117491 /nfs/dbraw/zinc/11/74/91/814117491.db2.gz NHCFRJICNNKROL-LLVKDONJSA-N 0 1 291.355 0.739 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2N)[C@H]1CC ZINC001087550503 814142864 /nfs/dbraw/zinc/14/28/64/814142864.db2.gz DBBZFTCWHOFPEF-NWDGAFQWSA-N 0 1 275.356 0.218 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c(C)nnn2CC)[C@H]1CC ZINC001087599617 814172681 /nfs/dbraw/zinc/17/26/81/814172681.db2.gz MGQXYPBNZDLXTJ-QWHCGFSZSA-N 0 1 289.383 0.822 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@H]1CC ZINC001087713255 814195151 /nfs/dbraw/zinc/19/51/51/814195151.db2.gz BNWOEJRAFOTNJP-CMPLNLGQSA-N 0 1 276.340 0.342 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)c3cccc4nn[nH]c43)[C@@H]2C1 ZINC001075828641 814291075 /nfs/dbraw/zinc/29/10/75/814291075.db2.gz YSVVQMUYZDSKSA-GXFFZTMASA-N 0 1 296.334 0.628 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@@H](C)O ZINC001029480897 814301663 /nfs/dbraw/zinc/30/16/63/814301663.db2.gz PBKRYXJVZXNBLP-QMIVOQANSA-N 0 1 294.395 0.634 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2cccnc2C)C1 ZINC001271184180 814327133 /nfs/dbraw/zinc/32/71/33/814327133.db2.gz IMKFWOIUDQNTAE-MRXNPFEDSA-N 0 1 287.363 0.580 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C[C@H](C)COC)C1 ZINC001271207589 814333349 /nfs/dbraw/zinc/33/33/49/814333349.db2.gz DUBYPKJEJANGPD-ZFWWWQNUSA-N 0 1 282.384 0.235 20 30 CCEDMN C[C@H](C#N)C(=O)N1Cc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001271222435 814342902 /nfs/dbraw/zinc/34/29/02/814342902.db2.gz YSEKQXYUOCOOBZ-SECBINFHSA-N 0 1 285.307 0.424 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@]2(CO)CCCN(CC#N)C2)c1C ZINC001271948494 814642680 /nfs/dbraw/zinc/64/26/80/814642680.db2.gz APTWCXXDPMGUGY-AWEZNQCLSA-N 0 1 291.355 0.107 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cnc(C)o3)[C@@H]2C1 ZINC001075878839 814698064 /nfs/dbraw/zinc/69/80/64/814698064.db2.gz NDOBUMYXDATOFS-GXTWGEPZSA-N 0 1 273.336 0.691 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1nccn1CC ZINC001272005741 814700643 /nfs/dbraw/zinc/70/06/43/814700643.db2.gz WIEBUINTCVXGBH-UHFFFAOYSA-N 0 1 262.357 0.930 20 30 CCEDMN CCOC(=O)C1CO[C@@H](C)C1=Nc1ncc(C#N)cn1 ZINC001168158782 814764178 /nfs/dbraw/zinc/76/41/78/814764178.db2.gz OPHHZMCPPICHDR-QMMMGPOBSA-N 0 1 274.280 0.996 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)CC2OCCCO2)C1 ZINC001030248646 815929596 /nfs/dbraw/zinc/92/95/96/815929596.db2.gz BQSXYXKILKOOLO-UHFFFAOYSA-N 0 1 268.357 0.906 20 30 CCEDMN C=CCCN1CC(NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001030472894 816053831 /nfs/dbraw/zinc/05/38/31/816053831.db2.gz CWZGJSQQFRZSHI-VXGBXAGGSA-N 0 1 260.341 0.890 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)N2CCOCC2)[C@H]1C ZINC001088921231 816176402 /nfs/dbraw/zinc/17/64/02/816176402.db2.gz XJXOLMNJWVWQJR-KGLIPLIRSA-N 0 1 295.427 0.862 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc2c(c1)CCO2 ZINC001121875889 816467054 /nfs/dbraw/zinc/46/70/54/816467054.db2.gz JVYDIXAAZHIOCD-UHFFFAOYSA-N 0 1 258.321 0.503 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC001122005829 816528357 /nfs/dbraw/zinc/52/83/57/816528357.db2.gz VNMGLCDRCACSFQ-NSHDSACASA-N 0 1 261.329 0.042 20 30 CCEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2CC(=O)N(C)C ZINC001272535224 816560185 /nfs/dbraw/zinc/56/01/85/816560185.db2.gz WOKUPLIODTZXOQ-HNNXBMFYSA-N 0 1 279.384 0.718 20 30 CCEDMN C[C@@H]1CN(C(=O)c2cccc3nn[nH]c32)C[C@H]1CNCC#N ZINC001106167354 816585519 /nfs/dbraw/zinc/58/55/19/816585519.db2.gz XJJGWNNGKWVFNE-GHMZBOCLSA-N 0 1 298.350 0.779 20 30 CCEDMN C=CCNC(=O)[C@H]1CC12CCN(Cc1c[nH]nn1)CC2 ZINC001272571088 816591681 /nfs/dbraw/zinc/59/16/81/816591681.db2.gz YDMQMRQXNKHPSI-GFCCVEGCSA-N 0 1 275.356 0.709 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2Cc2c[nH]nn2)C1=O ZINC001272571398 816592712 /nfs/dbraw/zinc/59/27/12/816592712.db2.gz ZCJMULGUGJSQBA-CYBMUJFWSA-N 0 1 261.329 0.558 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)COCC)C[C@H](C)O2 ZINC001111535134 816793234 /nfs/dbraw/zinc/79/32/34/816793234.db2.gz JFICWGCFVUEROS-AWEZNQCLSA-N 0 1 294.395 0.738 20 30 CCEDMN Cc1cc(NCC[C@H](C)NC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001106638476 816829389 /nfs/dbraw/zinc/82/93/89/816829389.db2.gz OIUWUDWNZUPOFQ-VIFPVBQESA-N 0 1 299.338 0.422 20 30 CCEDMN CCN(CCNc1ccncc1C#N)C(=O)c1ccn[nH]1 ZINC001106697749 816853537 /nfs/dbraw/zinc/85/35/37/816853537.db2.gz LSAUKONNUXSOFN-UHFFFAOYSA-N 0 1 284.323 0.672 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001077541948 816855378 /nfs/dbraw/zinc/85/53/78/816855378.db2.gz FUKLGOHDAOIMRU-PSASIEDQSA-N 0 1 283.353 0.200 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001031251955 816896170 /nfs/dbraw/zinc/89/61/70/816896170.db2.gz IBNALOCLCIIGPT-SECBINFHSA-N 0 1 295.774 0.463 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001031251955 816896178 /nfs/dbraw/zinc/89/61/78/816896178.db2.gz IBNALOCLCIIGPT-SECBINFHSA-N 0 1 295.774 0.463 20 30 CCEDMN C#CCN(CC1CC1)C(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001123765068 817054572 /nfs/dbraw/zinc/05/45/72/817054572.db2.gz AAGUYZKGNGQCBZ-OCCSQVGLSA-N 0 1 261.369 0.247 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCCCN2C(C)=O)C1 ZINC001015831096 817269251 /nfs/dbraw/zinc/26/92/51/817269251.db2.gz LUXKQWLCMCNRDD-LSDHHAIUSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001031709908 817274186 /nfs/dbraw/zinc/27/41/86/817274186.db2.gz CAKIJGBXIQKFJN-CYBMUJFWSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CC(=O)N(C(C)(C)C)C2)C1 ZINC001031711550 817275532 /nfs/dbraw/zinc/27/55/32/817275532.db2.gz HVEANUUWOVMZMX-ZDUSSCGKSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001031713061 817277815 /nfs/dbraw/zinc/27/78/15/817277815.db2.gz PKOLOXIDVPYPSA-LBPRGKRZSA-N 0 1 265.357 0.089 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnn(C)c1C ZINC001038070725 817302938 /nfs/dbraw/zinc/30/29/38/817302938.db2.gz SGBLQIQLTXZLKO-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN CC#CCN1CC(CNC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001031931939 817473923 /nfs/dbraw/zinc/47/39/23/817473923.db2.gz ZNRLOXKNBUTMLT-LBPRGKRZSA-N 0 1 287.367 0.296 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCC[C@@H](C(N)=O)C2)C1 ZINC001032020885 817555435 /nfs/dbraw/zinc/55/54/35/817555435.db2.gz YEWYVPFSDQDCCG-ZIAGYGMSSA-N 0 1 293.411 0.902 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnc(N(C)C)c2)C1 ZINC001032021910 817556149 /nfs/dbraw/zinc/55/61/49/817556149.db2.gz PZNBHYDGXJCUHP-UHFFFAOYSA-N 0 1 274.368 0.995 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccn(CC)n2)C1 ZINC001032028464 817561694 /nfs/dbraw/zinc/56/16/94/817561694.db2.gz OHVKMSPPJPBGIZ-UHFFFAOYSA-N 0 1 260.341 0.588 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnc(NC(C)=O)c2)C1 ZINC001032062620 817592760 /nfs/dbraw/zinc/59/27/60/817592760.db2.gz XXNUXVGJWHOYAP-UHFFFAOYSA-N 0 1 288.351 0.888 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CC[C@H](CNCC#N)CC2)n[nH]1 ZINC001272727437 817619592 /nfs/dbraw/zinc/61/95/92/817619592.db2.gz VMBPUWRYMJYVLI-HAQNSBGRSA-N 0 1 290.371 0.444 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cncnc2CC)C1 ZINC001032190604 817687858 /nfs/dbraw/zinc/68/78/58/817687858.db2.gz SNBJZVYFZYWBMR-UHFFFAOYSA-N 0 1 260.341 0.887 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCc3cccnc32)C1 ZINC001077581999 817715807 /nfs/dbraw/zinc/71/58/07/817715807.db2.gz AMWGWRQJSMYSAP-RRFJBIMHSA-N 0 1 299.374 0.296 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCc3cccnc32)C1 ZINC001077581999 817715812 /nfs/dbraw/zinc/71/58/12/817715812.db2.gz AMWGWRQJSMYSAP-RRFJBIMHSA-N 0 1 299.374 0.296 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001032219709 817725363 /nfs/dbraw/zinc/72/53/63/817725363.db2.gz JRCIWDKGAJQAPN-UHFFFAOYSA-N 0 1 288.351 0.418 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)n1cccn1 ZINC001032289640 817785343 /nfs/dbraw/zinc/78/53/43/817785343.db2.gz GHQJRJWLJFUVFI-IHRRRGAJSA-N 0 1 272.352 0.753 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCC(C)(C)C2)C1 ZINC001077640920 817905780 /nfs/dbraw/zinc/90/57/80/817905780.db2.gz UJXHVPYRUNWTKP-BFHYXJOUSA-N 0 1 278.396 0.997 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2cnn(C)c2)C1 ZINC001107729280 817969629 /nfs/dbraw/zinc/96/96/29/817969629.db2.gz JSZHWNRLFTYYFE-HNNXBMFYSA-N 0 1 292.383 0.817 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cnsn1 ZINC001038085207 818179132 /nfs/dbraw/zinc/17/91/32/818179132.db2.gz CXWFOVRLNZMSSP-SECBINFHSA-N 0 1 252.343 0.918 20 30 CCEDMN C=C(C)CCC(=O)NCCNCC(=O)Nc1nncs1 ZINC001128408972 818226075 /nfs/dbraw/zinc/22/60/75/818226075.db2.gz NRFNVAKGZQFRLL-UHFFFAOYSA-N 0 1 297.384 0.539 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnc(C)cn2)[C@H](O)C1 ZINC001090039743 818327263 /nfs/dbraw/zinc/32/72/63/818327263.db2.gz XUAOLMYCAIGCQS-TZMCWYRMSA-N 0 1 290.367 0.526 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)CN2CCCC2=O)C1 ZINC001032897162 818345426 /nfs/dbraw/zinc/34/54/26/818345426.db2.gz VPMDJLFFOIGPBD-ZDUSSCGKSA-N 0 1 277.368 0.165 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CN2CCCC2=O)C1 ZINC001032897162 818345434 /nfs/dbraw/zinc/34/54/34/818345434.db2.gz VPMDJLFFOIGPBD-ZDUSSCGKSA-N 0 1 277.368 0.165 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001032991620 818412100 /nfs/dbraw/zinc/41/21/00/818412100.db2.gz GYKSUXUZBKXKMJ-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001033010539 818422169 /nfs/dbraw/zinc/42/21/69/818422169.db2.gz NIMVOIILJLBJOB-STQMWFEESA-N 0 1 278.352 0.496 20 30 CCEDMN CN(C(=O)c1ccc(C#N)[nH]1)[C@H]1CCN(CCO)C1 ZINC001033012011 818422211 /nfs/dbraw/zinc/42/22/11/818422211.db2.gz RNMNLQBLGSJTTR-NSHDSACASA-N 0 1 262.313 0.025 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc[nH]2)[C@H](O)C1 ZINC001090077269 818468501 /nfs/dbraw/zinc/46/85/01/818468501.db2.gz QFKVTJQADDBUFX-DGCLKSJQSA-N 0 1 261.325 0.203 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033085379 818502256 /nfs/dbraw/zinc/50/22/56/818502256.db2.gz TWRCZGSIBUXSON-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001033080658 818502616 /nfs/dbraw/zinc/50/26/16/818502616.db2.gz AHZYIHCSUIUSES-QWHCGFSZSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033125498 818553850 /nfs/dbraw/zinc/55/38/50/818553850.db2.gz ZMQKOJOSKVXUJQ-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001033130095 818555351 /nfs/dbraw/zinc/55/53/51/818555351.db2.gz DPSPDDYZDYYAIN-SNVBAGLBSA-N 0 1 286.339 0.685 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C[C@H](C)COC)CC1 ZINC001229848965 818626215 /nfs/dbraw/zinc/62/62/15/818626215.db2.gz CWZVOJSMDCNTDX-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cncs2)[C@@H](O)C1 ZINC001090114509 818636722 /nfs/dbraw/zinc/63/67/22/818636722.db2.gz QJCLJBIBPRNYOI-QWRGUYRKSA-N 0 1 281.381 0.884 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001033204907 818649609 /nfs/dbraw/zinc/64/96/09/818649609.db2.gz APOKCWKPEYVKHS-UKRRQHHQSA-N 0 1 286.379 0.611 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CN2CCCCC2=O)C1 ZINC001033212635 818655306 /nfs/dbraw/zinc/65/53/06/818655306.db2.gz BVLWNMWSXIIKCP-ZDUSSCGKSA-N 0 1 277.368 0.165 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cn(C)c(=O)cn2)C1 ZINC001033274721 818687987 /nfs/dbraw/zinc/68/79/87/818687987.db2.gz AFRLIXFCXFDRHV-LLVKDONJSA-N 0 1 276.340 0.113 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001033276618 818688758 /nfs/dbraw/zinc/68/87/58/818688758.db2.gz RODCZMVNPYFPLR-JEWKUQAESA-N 0 1 274.364 0.576 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2csnn2)C1 ZINC001033312367 818702177 /nfs/dbraw/zinc/70/21/77/818702177.db2.gz OUQIVRMJQGVBOX-JTQLQIEISA-N 0 1 264.354 0.708 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CCO[C@H]2C(=C)C)[C@@H](O)C1 ZINC001083853447 818707436 /nfs/dbraw/zinc/70/74/36/818707436.db2.gz VSFNFPPGERVZPA-XGUBFFRZSA-N 0 1 292.379 0.152 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001033325740 818710654 /nfs/dbraw/zinc/71/06/54/818710654.db2.gz ZFNMNXBYXDDVDN-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cscn2)[C@@H](O)C1 ZINC001090135937 818719585 /nfs/dbraw/zinc/71/95/85/818719585.db2.gz ZCTUGQPKMWTOLV-PWSUYJOCSA-N 0 1 281.381 0.884 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001033412567 818761379 /nfs/dbraw/zinc/76/13/79/818761379.db2.gz HRIZDIXOJKBHNJ-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2n[nH]c(C)c2C)[C@@H](O)C1 ZINC001090138640 818810137 /nfs/dbraw/zinc/81/01/37/818810137.db2.gz XCXUCAMTVUGHAL-OLZOCXBDSA-N 0 1 292.383 0.768 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001033570346 818839617 /nfs/dbraw/zinc/83/96/17/818839617.db2.gz GRMRONCPPKTQLS-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001033629868 818860176 /nfs/dbraw/zinc/86/01/76/818860176.db2.gz REEMVBCLPGBVKE-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCCOC2)C1 ZINC001033666269 818875199 /nfs/dbraw/zinc/87/51/99/818875199.db2.gz ATUZXIZCQLOZRD-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001033661376 818882139 /nfs/dbraw/zinc/88/21/39/818882139.db2.gz OOJHHMSINNCVOC-NSHDSACASA-N 0 1 276.340 0.446 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cncc(C(N)=O)c2)C1 ZINC001033675423 818882668 /nfs/dbraw/zinc/88/26/68/818882668.db2.gz QBDZCNAXDSMFOC-CYBMUJFWSA-N 0 1 288.351 0.513 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H](C)N2CCCCC2=O)C1 ZINC001033689458 818890247 /nfs/dbraw/zinc/89/02/47/818890247.db2.gz ZDKVUXLNILFAOA-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H](C)N2CCCCC2=O)C1 ZINC001033689458 818890253 /nfs/dbraw/zinc/89/02/53/818890253.db2.gz ZDKVUXLNILFAOA-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H](C)N2CCOCC2)C1 ZINC001033717561 818902572 /nfs/dbraw/zinc/90/25/72/818902572.db2.gz DSDBBABOKIEUOT-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033882945 818978886 /nfs/dbraw/zinc/97/88/86/818978886.db2.gz VJAOLJKGUPJJGW-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(C)[nH]2)[C@@H](O)C1 ZINC001090148346 818980803 /nfs/dbraw/zinc/98/08/03/818980803.db2.gz HSQMKEAHVXPBCJ-JQWIXIFHSA-N 0 1 264.329 0.069 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnccc2C)[C@@H](O)C1 ZINC001090159253 819000317 /nfs/dbraw/zinc/00/03/17/819000317.db2.gz RUUHDUDOGJYVBU-KBPBESRZSA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cn(C)c(=O)n2C)C1 ZINC001034052385 819038890 /nfs/dbraw/zinc/03/88/90/819038890.db2.gz JJKYZZHDUPQXKE-LBPRGKRZSA-N 0 1 292.383 0.446 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC=C)[C@@H](n2ccnn2)C1 ZINC001128817081 819044186 /nfs/dbraw/zinc/04/41/86/819044186.db2.gz XBUVWPSRDJXXAI-KGLIPLIRSA-N 0 1 287.367 0.609 20 30 CCEDMN C=CCN1CCCC[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC001034173525 819101949 /nfs/dbraw/zinc/10/19/49/819101949.db2.gz LJWGFHJTUIKNCD-LLVKDONJSA-N 0 1 277.372 0.773 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@H]2CCNC2=O)C1 ZINC001034239946 819136486 /nfs/dbraw/zinc/13/64/86/819136486.db2.gz FHYWJJGMKMNAFN-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)C[C@@H]2CCNC2=O)C1 ZINC001034244690 819138116 /nfs/dbraw/zinc/13/81/16/819138116.db2.gz QUHMHBUGXSTWQW-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnn3ncccc23)C1 ZINC001034244983 819138286 /nfs/dbraw/zinc/13/82/86/819138286.db2.gz JYCDHXPDGIYLNI-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)C[C@H]2CCNC2=O)C1 ZINC001034244691 819138304 /nfs/dbraw/zinc/13/83/04/819138304.db2.gz QUHMHBUGXSTWQW-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2COCCN2CC)C1 ZINC001034279606 819151457 /nfs/dbraw/zinc/15/14/57/819151457.db2.gz USRXTTAJKWUUQA-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(CC)n2)[C@H](O)C1 ZINC001090205275 819168209 /nfs/dbraw/zinc/16/82/09/819168209.db2.gz CAUIOKMJQVLEIR-ZYHUDNBSSA-N 0 1 279.340 0.588 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001034352285 819179059 /nfs/dbraw/zinc/17/90/59/819179059.db2.gz XAZYFIFKFVEVEA-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001034370205 819181383 /nfs/dbraw/zinc/18/13/83/819181383.db2.gz XAZYFIFKFVEVEA-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090214882 819196548 /nfs/dbraw/zinc/19/65/48/819196548.db2.gz AKLCDCAZXUZQOW-PWSUYJOCSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090214882 819196551 /nfs/dbraw/zinc/19/65/51/819196551.db2.gz AKLCDCAZXUZQOW-PWSUYJOCSA-N 0 1 277.324 0.138 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001034440540 819206122 /nfs/dbraw/zinc/20/61/22/819206122.db2.gz WBQWPUWQZZMOCI-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnn2CC)[C@@H](O)C1 ZINC001090219639 819231499 /nfs/dbraw/zinc/23/14/99/819231499.db2.gz UJJGTNHSTSQJIT-AAEUAGOBSA-N 0 1 278.356 0.254 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2ccn[nH]2)C1 ZINC001035304831 819456991 /nfs/dbraw/zinc/45/69/91/819456991.db2.gz ZMTVLUQJPMKBFI-LBPRGKRZSA-N 0 1 278.356 0.807 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC[C@H]2CN(CC3CC3)CCO2)c1 ZINC001035327577 819473582 /nfs/dbraw/zinc/47/35/82/819473582.db2.gz WSBMQSLSSDHSER-ZDUSSCGKSA-N 0 1 288.351 0.727 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2cn(C)cn2)C1 ZINC001035343440 819482522 /nfs/dbraw/zinc/48/25/22/819482522.db2.gz PWBCMIUADRPCTA-CYBMUJFWSA-N 0 1 292.383 0.817 20 30 CCEDMN C=CCC[N@H+]1CCO[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001035342467 819483108 /nfs/dbraw/zinc/48/31/08/819483108.db2.gz LIKGMUKIHHHVSI-LLVKDONJSA-N 0 1 294.355 0.430 20 30 CCEDMN N#Cc1cccc(C[N@@H+]2C[C@H](CO)[C@H](CO)C2)c1F ZINC000705369722 819500522 /nfs/dbraw/zinc/50/05/22/819500522.db2.gz LWCIJDWCRVNAFK-BETUJISGSA-N 0 1 264.300 0.730 20 30 CCEDMN N#Cc1cccc(CN2C[C@H](CO)[C@H](CO)C2)c1F ZINC000705369722 819500525 /nfs/dbraw/zinc/50/05/25/819500525.db2.gz LWCIJDWCRVNAFK-BETUJISGSA-N 0 1 264.300 0.730 20 30 CCEDMN CC#CCN1CCO[C@@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001035502262 819540285 /nfs/dbraw/zinc/54/02/85/819540285.db2.gz DYJGEOWPDVJRJJ-JTQLQIEISA-N 0 1 280.303 0.003 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cn(CC)nn1)CC2 ZINC001035824406 819630528 /nfs/dbraw/zinc/63/05/28/819630528.db2.gz CXYXBAOUOMXBOI-UHFFFAOYSA-N 0 1 287.367 0.469 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@H]1CCOC[C@H]1OC ZINC001129208174 819651568 /nfs/dbraw/zinc/65/15/68/819651568.db2.gz QDQKULUXQMUOMB-VXGBXAGGSA-N 0 1 290.791 0.886 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](NCC#N)[C@H](C)C2)[nH]1 ZINC001035959932 819662571 /nfs/dbraw/zinc/66/25/71/819662571.db2.gz LMSMCKAXDSVUKA-KOLCDFICSA-N 0 1 261.329 0.682 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)c3ccn[nH]3)CC[C@@H]21 ZINC001036656801 819874222 /nfs/dbraw/zinc/87/42/22/819874222.db2.gz JBEGJYFHUHSAMR-PWSUYJOCSA-N 0 1 259.313 0.470 20 30 CCEDMN Cn1c(Cl)ncc1C(=O)NC1(C#N)CCN(C)CC1 ZINC000711325072 820004594 /nfs/dbraw/zinc/00/45/94/820004594.db2.gz DEXPIWOAABMVIN-UHFFFAOYSA-N 0 1 281.747 0.791 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CNC(=O)[C@H]2CCCN2C)CCC1 ZINC001062711789 820038329 /nfs/dbraw/zinc/03/83/29/820038329.db2.gz GGSMUHKYKULFJL-NWDGAFQWSA-N 0 1 292.383 0.395 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn3c2CCC3)[C@@H](O)C1 ZINC001090307569 820241146 /nfs/dbraw/zinc/24/11/46/820241146.db2.gz AZUNYYCONOJWEN-OCCSQVGLSA-N 0 1 290.367 0.180 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ncoc2C2CC2)[C@@H](O)C1 ZINC001083920648 820265174 /nfs/dbraw/zinc/26/51/74/820265174.db2.gz MWNAZFZGXRDZOH-NEPJUHHUSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2onc(C)c2C)[C@@H](O)C1 ZINC001090348403 820287526 /nfs/dbraw/zinc/28/75/26/820287526.db2.gz CNCVPNHBDLSKAW-NEPJUHHUSA-N 0 1 279.340 0.642 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@@H](C)[C@H](NC(C)=O)C2)C1=O ZINC001079328557 820351029 /nfs/dbraw/zinc/35/10/29/820351029.db2.gz CATZQRMDBKFZPX-RAIGVLPGSA-N 0 1 265.357 0.230 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C2CCOCC2)C1 ZINC001079346444 820362593 /nfs/dbraw/zinc/36/25/93/820362593.db2.gz BWASHXMDPYHSMS-TZMCWYRMSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCCC(=O)N(CC)C1CN(C(=O)Cc2ncn[nH]2)C1 ZINC001079472519 820406128 /nfs/dbraw/zinc/40/61/28/820406128.db2.gz WKVKEJNCDMJAIT-UHFFFAOYSA-N 0 1 291.355 0.373 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001079977251 820499389 /nfs/dbraw/zinc/49/93/89/820499389.db2.gz MLFMKVDQBNFTRL-MGPQQGTHSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001080163740 820521438 /nfs/dbraw/zinc/52/14/38/820521438.db2.gz YKNLXZLWFGMLDV-WOFPZQRTSA-N 0 1 288.391 0.870 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001080163740 820521442 /nfs/dbraw/zinc/52/14/42/820521442.db2.gz YKNLXZLWFGMLDV-WOFPZQRTSA-N 0 1 288.391 0.870 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001080422414 820563549 /nfs/dbraw/zinc/56/35/49/820563549.db2.gz MSPNAWWJXQADJE-HZSPNIEDSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001080457908 820578991 /nfs/dbraw/zinc/57/89/91/820578991.db2.gz VQAUYXGXXIYXDT-XJFOESAGSA-N 0 1 291.395 0.171 20 30 CCEDMN C=CCCC(=O)N1CC(N(CC)C(=O)Cc2ncn[nH]2)C1 ZINC001080591384 820597287 /nfs/dbraw/zinc/59/72/87/820597287.db2.gz JKJKPGPOFQIFSL-UHFFFAOYSA-N 0 1 291.355 0.373 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001080611614 820599010 /nfs/dbraw/zinc/59/90/10/820599010.db2.gz RPYKVIYBORDMPW-DGCLKSJQSA-N 0 1 285.351 0.965 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2CC2)[C@H](OC)C1 ZINC001081266787 820712577 /nfs/dbraw/zinc/71/25/77/820712577.db2.gz WBCRFVXGNJMAIK-CHWSQXEVSA-N 0 1 250.342 0.625 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cncnc2C)[C@H](OC)C1 ZINC001081390148 820753067 /nfs/dbraw/zinc/75/30/67/820753067.db2.gz PHTYSCLQDTVUJR-ZIAGYGMSSA-N 0 1 290.367 0.790 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cncc(C#C)c2)[C@H](OC)C1 ZINC001082117166 820900664 /nfs/dbraw/zinc/90/06/64/820900664.db2.gz PWTGPLLRMMRRBD-HZPDHXFCSA-N 0 1 297.358 0.515 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cncn2C)[C@H](OC)C1 ZINC001082326615 820938937 /nfs/dbraw/zinc/93/89/37/820938937.db2.gz KJQLCEIEAHJKLM-ZIAGYGMSSA-N 0 1 292.383 0.354 20 30 CCEDMN Cc1cnc(CN[C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)cn1 ZINC001082451276 820971853 /nfs/dbraw/zinc/97/18/53/820971853.db2.gz VFMDJFBIWJZOMJ-MISXGVKJSA-N 0 1 287.367 0.881 20 30 CCEDMN Cc1cc(CN[C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)ncn1 ZINC001082451303 820972521 /nfs/dbraw/zinc/97/25/21/820972521.db2.gz WGMBWUFWLGNXAR-JTNHKYCSSA-N 0 1 287.367 0.881 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2COC(=O)N2)C[C@H]1C ZINC001082497019 820987202 /nfs/dbraw/zinc/98/72/02/820987202.db2.gz UAUNZBMOGQMNOW-SZEHBUNVSA-N 0 1 287.747 0.284 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccncc2CC)[C@H](O)C1 ZINC001090376686 821011261 /nfs/dbraw/zinc/01/12/61/821011261.db2.gz ALHMSAZSVZUJDR-HUUCEWRRSA-N 0 1 289.379 0.995 20 30 CCEDMN CO[C@@H](CN1CCN(CC#N)CC1)C1CCOCC1 ZINC001119237543 821063703 /nfs/dbraw/zinc/06/37/03/821063703.db2.gz WKJRCOLICODAMF-AWEZNQCLSA-N 0 1 267.373 0.569 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(C)c2CC)[C@H](O)C1 ZINC001090393045 821089874 /nfs/dbraw/zinc/08/98/74/821089874.db2.gz ZOWPOXPTVFGYAB-TZMCWYRMSA-N 0 1 292.383 0.334 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3cc[nH]c3C)[C@H]2C1 ZINC001083042152 821124102 /nfs/dbraw/zinc/12/41/02/821124102.db2.gz VBBYTXJQURNVGL-LSDHHAIUSA-N 0 1 287.363 0.872 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCOC3)[C@@H]2C1 ZINC001084219080 821244468 /nfs/dbraw/zinc/24/44/68/821244468.db2.gz PLRDLJUYRSBDPM-FRRDWIJNSA-N 0 1 250.342 0.742 20 30 CCEDMN COCCN1CC[C@@H]2CN(C(=O)c3c[nH]c(C#N)c3)[C@@H]2C1 ZINC001084289179 821253102 /nfs/dbraw/zinc/25/31/02/821253102.db2.gz TTXBHFAGPCNDPB-BXUZGUMPSA-N 0 1 288.351 0.679 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)[C@@H]2C1 ZINC001084423013 821282218 /nfs/dbraw/zinc/28/22/18/821282218.db2.gz UUKSIJGOJZUBHB-HKUMRIAESA-N 0 1 285.351 0.569 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3[nH]c(=O)[nH]c3C)[C@@H]2C1 ZINC001084431435 821292846 /nfs/dbraw/zinc/29/28/46/821292846.db2.gz BPWGRWLYCYMRSO-VXGBXAGGSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN(CC#CC)C[C@@H]1O ZINC001099803829 821307886 /nfs/dbraw/zinc/30/78/86/821307886.db2.gz DOYUHAFJKXZNMI-KGLIPLIRSA-N 0 1 262.353 0.365 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@]3(C)CCC(=O)N3)[C@@H]2C1 ZINC001084636045 821333078 /nfs/dbraw/zinc/33/30/78/821333078.db2.gz ZDLHYERLLMYSKM-IOASZLSFSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)CC2(COC)CC2)[C@H](O)C1 ZINC001099815600 821350645 /nfs/dbraw/zinc/35/06/45/821350645.db2.gz KBEMQLZKMQNZOM-QWHCGFSZSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2(COC)CC2)[C@H](O)C1 ZINC001099815600 821350652 /nfs/dbraw/zinc/35/06/52/821350652.db2.gz KBEMQLZKMQNZOM-QWHCGFSZSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3ccc(C)cn3)C2)OCC1=O ZINC001273228678 821366886 /nfs/dbraw/zinc/36/68/86/821366886.db2.gz CGLLUDFYNIIFAP-KRWDZBQOSA-N 0 1 299.374 0.827 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)c3cnccc3N(C)C)[C@@H]2C1 ZINC001084779500 821390965 /nfs/dbraw/zinc/39/09/65/821390965.db2.gz LWQYYZIZPQOTCW-CZUORRHYSA-N 0 1 298.390 0.927 20 30 CCEDMN C#CC1(O)CCN(Cc2ccc(S(N)(=O)=O)cc2)CC1 ZINC000820345993 821441441 /nfs/dbraw/zinc/44/14/41/821441441.db2.gz WQEKCMJZLGUQAK-UHFFFAOYSA-N 0 1 294.376 0.294 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@]3(C)CCNC3=O)C[C@@H]21 ZINC001085030412 821465781 /nfs/dbraw/zinc/46/57/81/821465781.db2.gz FFGXBVCRXQXHJD-WWGRRREGSA-N 0 1 289.379 0.069 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCc1cc(C#N)ccc1F ZINC000822304777 821478378 /nfs/dbraw/zinc/47/83/78/821478378.db2.gz ZKLATXADFQFUHH-WFASDCNBSA-N 0 1 291.326 0.769 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cccnn1 ZINC001273269059 821517493 /nfs/dbraw/zinc/51/74/93/821517493.db2.gz ROXOIEIPKPNBKY-ZIAGYGMSSA-N 0 1 270.336 0.675 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(Cc1ccnnc1)CC2 ZINC001273270691 821522375 /nfs/dbraw/zinc/52/23/75/821522375.db2.gz BJEYTLVKEUQYQY-CQSZACIVSA-N 0 1 284.363 0.828 20 30 CCEDMN COc1cc(C(=O)NCC#CCN(C)C)ccc1C#N ZINC000824592419 821635134 /nfs/dbraw/zinc/63/51/34/821635134.db2.gz VWWZUYKMWWOKJC-UHFFFAOYSA-N 0 1 271.320 0.862 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc[n+]([O-])cc1 ZINC001085470324 821721933 /nfs/dbraw/zinc/72/19/33/821721933.db2.gz MUSXHTIYGIPANH-CQSZACIVSA-N 0 1 273.336 0.490 20 30 CCEDMN C#CCC[N@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001085562865 821801556 /nfs/dbraw/zinc/80/15/56/821801556.db2.gz XYBGGVWNEQGYFD-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001085562865 821801566 /nfs/dbraw/zinc/80/15/66/821801566.db2.gz XYBGGVWNEQGYFD-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ncccc1O ZINC001085562865 821801572 /nfs/dbraw/zinc/80/15/72/821801572.db2.gz XYBGGVWNEQGYFD-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001085625859 821861844 /nfs/dbraw/zinc/86/18/44/821861844.db2.gz ATTZJBGRSSBOCA-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001085625859 821861856 /nfs/dbraw/zinc/86/18/56/821861856.db2.gz ATTZJBGRSSBOCA-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccc2n[nH]nc2n1 ZINC001085630528 821862488 /nfs/dbraw/zinc/86/24/88/821862488.db2.gz WXEOTTTVGQAYFR-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc2n[nH]nc2n1 ZINC001085630528 821862494 /nfs/dbraw/zinc/86/24/94/821862494.db2.gz WXEOTTTVGQAYFR-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1c(C)ncn1C ZINC001085655607 821872197 /nfs/dbraw/zinc/87/21/97/821872197.db2.gz UDPNHMXEETZZEV-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1COCCN1CC ZINC001085683403 821896357 /nfs/dbraw/zinc/89/63/57/821896357.db2.gz PRTBZQVAPJTNMG-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc2[n-]nnc21 ZINC001085715460 821916743 /nfs/dbraw/zinc/91/67/43/821916743.db2.gz KZNCXIZNOHQIJY-SNVBAGLBSA-N 0 1 284.323 0.132 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccnc2[n-]nnc21 ZINC001085715460 821916747 /nfs/dbraw/zinc/91/67/47/821916747.db2.gz KZNCXIZNOHQIJY-SNVBAGLBSA-N 0 1 284.323 0.132 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCNC(=O)CC1 ZINC001085744655 821930138 /nfs/dbraw/zinc/93/01/38/821930138.db2.gz PIAUMEDMELHDTJ-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCNC(=O)CC1 ZINC001085744654 821930737 /nfs/dbraw/zinc/93/07/37/821930737.db2.gz PIAUMEDMELHDTJ-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1OCC[C@H]1C ZINC001085825786 821970330 /nfs/dbraw/zinc/97/03/30/821970330.db2.gz VSODNVVYZLIHDM-MCIONIFRSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(C(N)=O)cc1 ZINC001085967295 822042041 /nfs/dbraw/zinc/04/20/41/822042041.db2.gz HZFZTPCKACXMHH-OAHLLOKOSA-N 0 1 299.374 0.955 20 30 CCEDMN Cc1cc(CC(=O)NCCN(C)c2ncccc2C#N)[nH]n1 ZINC001100023512 822084249 /nfs/dbraw/zinc/08/42/49/822084249.db2.gz LKRLOMYFYHFPBF-UHFFFAOYSA-N 0 1 298.350 0.780 20 30 CCEDMN C[C@@H](CNC(=O)c1cnn[nH]1)Nc1ncccc1C#N ZINC001098405405 822106268 /nfs/dbraw/zinc/10/62/68/822106268.db2.gz YFKKYHKSWQEWOR-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCC(=O)NCC)[C@H](O)C1 ZINC001100079428 822246624 /nfs/dbraw/zinc/24/66/24/822246624.db2.gz ZJHASXNDLLPIJY-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN C#CC[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2ncn[n-]2)C1 ZINC001086615263 837442594 /nfs/dbraw/zinc/44/25/94/837442594.db2.gz PHSOFIIDELNQHK-UONOGXRCSA-N 0 1 295.346 0.636 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H]2C(NC(=O)/C(C)=C\C)[C@@H]2C1 ZINC001114130356 837447326 /nfs/dbraw/zinc/44/73/26/837447326.db2.gz KCKMXJVJSONCIL-QROCYTBZSA-N 0 1 291.395 0.690 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1[C@H]2CN(Cc3cncn3C)C[C@H]21 ZINC001114197169 837468730 /nfs/dbraw/zinc/46/87/30/837468730.db2.gz UJWWGEIRUGNULM-FOLVSLTJSA-N 0 1 286.379 0.770 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OC)C1CC1 ZINC001114204335 837471986 /nfs/dbraw/zinc/47/19/86/837471986.db2.gz RCUYVFBUQPFERS-ZOBORPQBSA-N 0 1 262.353 0.481 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CCC)n[nH]2)[C@H](O)C1 ZINC001090476502 837476719 /nfs/dbraw/zinc/47/67/19/837476719.db2.gz BUYAANJYVQIQRI-GXTWGEPZSA-N 0 1 292.383 0.713 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OCC)C1CC1 ZINC001114294134 837498640 /nfs/dbraw/zinc/49/86/40/837498640.db2.gz UKXPTIPGEZGGBN-YJNKXOJESA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCCC)C[C@@H]1n1ccnn1 ZINC001129598266 837532831 /nfs/dbraw/zinc/53/28/31/837532831.db2.gz GXZKESVHRDERAO-OLZOCXBDSA-N 0 1 275.356 0.443 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@@H]2CCC[C@]2(NC(C)=O)C1 ZINC001111554973 837672311 /nfs/dbraw/zinc/67/23/11/837672311.db2.gz GRXAWIRLBKEMBU-ZLDLUXBVSA-N 0 1 279.384 0.668 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc[nH]c1=O ZINC001130076964 837680452 /nfs/dbraw/zinc/68/04/52/837680452.db2.gz KEMYJLFRKULTKB-UHFFFAOYSA-N 0 1 256.693 0.254 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccn(C)c(=O)c1 ZINC001130375684 837777339 /nfs/dbraw/zinc/77/73/39/837777339.db2.gz KJTGNXMVTZGOJC-UHFFFAOYSA-N 0 1 269.732 0.457 20 30 CCEDMN N#Cc1ccnnc1NC(=O)C12CCCN1CCC2 ZINC001183556973 844035034 /nfs/dbraw/zinc/03/50/34/844035034.db2.gz ZLJBFMMDQUEFSG-UHFFFAOYSA-N 0 1 257.297 0.915 20 30 CCEDMN C=CC[C@H](Nc1ncnc2c1CNCC2)C(=O)OC ZINC001169833662 836454737 /nfs/dbraw/zinc/45/47/37/836454737.db2.gz BMINMFZPNCGVPE-NSHDSACASA-N 0 1 262.313 0.652 20 30 CCEDMN C[C@@H](CNc1cnc(C#N)cn1)NC(=O)CCc1cnc[nH]1 ZINC001108762869 836520765 /nfs/dbraw/zinc/52/07/65/836520765.db2.gz FTEXYMPKRBYFTB-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CN(C)c1ncnc2[nH]cnc21 ZINC001108880357 836566119 /nfs/dbraw/zinc/56/61/19/836566119.db2.gz PZRJAARBSNAXEJ-RKDXNWHRSA-N 0 1 287.327 0.453 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn[nH]1)C2 ZINC001108952771 836585666 /nfs/dbraw/zinc/58/56/66/836585666.db2.gz BQZFMXIFVZQIEB-XBFCOCLRSA-N 0 1 290.367 0.947 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCn1cncn1 ZINC001109055119 836607383 /nfs/dbraw/zinc/60/73/83/836607383.db2.gz POKFUYGMDLSZTN-RDBSUJKOSA-N 0 1 289.383 0.966 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)OC)C2 ZINC001109052024 836607439 /nfs/dbraw/zinc/60/74/39/836607439.db2.gz NMRZVXXWVQVIFV-RNJOBUHISA-N 0 1 250.342 0.766 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)CCC)C2 ZINC001110346921 844183152 /nfs/dbraw/zinc/18/31/52/844183152.db2.gz SYSARKQIVSZXIY-UPJWGTAASA-N 0 1 277.368 0.257 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@](C)(O)C=C)C2 ZINC001109208975 836639365 /nfs/dbraw/zinc/63/93/65/836639365.db2.gz IMECTVCCZBAKRT-OSFYFWSMSA-N 0 1 262.353 0.668 20 30 CCEDMN C[C@H](CN(C)c1ccnc(C#N)n1)NC(=O)Cc1cnc[nH]1 ZINC001109255924 836647476 /nfs/dbraw/zinc/64/74/76/836647476.db2.gz YVUVSZCKVRREFW-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(Cl)n2C)[C@H](O)C1 ZINC001090425174 836664518 /nfs/dbraw/zinc/66/45/18/836664518.db2.gz MATCQTLYZDWENW-MWLCHTKSSA-N 0 1 298.774 0.425 20 30 CCEDMN Cc1cc(C#N)nc(NCCCN(C)C(=O)c2ccn[nH]2)n1 ZINC001109370804 836664954 /nfs/dbraw/zinc/66/49/54/836664954.db2.gz FXGUKPLKGJFRJQ-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN Cc1cc(C(=O)N(C)CCCNc2nccnc2C#N)n[nH]1 ZINC001109376729 836666313 /nfs/dbraw/zinc/66/63/13/836666313.db2.gz FYDHQTJMTGQUGT-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN N#Cc1ccc(NC[C@H](NC(=O)c2ncn[nH]2)C2CC2)nn1 ZINC001109884651 836741436 /nfs/dbraw/zinc/74/14/36/836741436.db2.gz DWJFJWBBEVWPML-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1ccc(NC[C@H](NC(=O)c2nc[nH]n2)C2CC2)nn1 ZINC001109884651 836741441 /nfs/dbraw/zinc/74/14/41/836741441.db2.gz DWJFJWBBEVWPML-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cccnc1NC[C@@H](NC(=O)c1cnn[nH]1)C1CC1 ZINC001110012689 836761674 /nfs/dbraw/zinc/76/16/74/836761674.db2.gz LASMPKYAZVREQL-LLVKDONJSA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncc(CC)c2)[C@H](O)C1 ZINC001090434635 836771476 /nfs/dbraw/zinc/77/14/76/836771476.db2.gz AFUMTSXWQJVILB-LSDHHAIUSA-N 0 1 289.379 0.995 20 30 CCEDMN COC(=O)c1ccc(NC[C@@H]2COCCN2)cc1CC#N ZINC001170048118 836794541 /nfs/dbraw/zinc/79/45/41/836794541.db2.gz VQMDBBZKZVXPNZ-CYBMUJFWSA-N 0 1 289.335 0.940 20 30 CCEDMN C=CCCN1CCN(C(=O)CCCC(=O)NC)CC1 ZINC001112780811 836908855 /nfs/dbraw/zinc/90/88/55/836908855.db2.gz WKBQGBLNBWKCSJ-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CCCC)CC1 ZINC001112846096 836937436 /nfs/dbraw/zinc/93/74/36/836937436.db2.gz FSULRCOVPZLZAW-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)C[C@H]1CCCCN1C(C)=O ZINC001157407685 836996667 /nfs/dbraw/zinc/99/66/67/836996667.db2.gz CEEQYXWSCNLVQA-GXTWGEPZSA-N 0 1 279.384 0.457 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2cn(C)c(=O)cn2)CC1 ZINC001113128960 837033058 /nfs/dbraw/zinc/03/30/58/837033058.db2.gz MMVOCKSLQPNJGI-UHFFFAOYSA-N 0 1 290.367 0.504 20 30 CCEDMN C[C@@H](NC(=O)Cc1cnc[nH]1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001113313188 837084283 /nfs/dbraw/zinc/08/42/83/837084283.db2.gz DUVCQIRKGUJLSD-VHSXEESVSA-N 0 1 299.338 0.619 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113356489 837096027 /nfs/dbraw/zinc/09/60/27/837096027.db2.gz IHONLDZPTALZAU-DTWKUNHWSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001113356741 837099910 /nfs/dbraw/zinc/09/99/10/837099910.db2.gz LUSCOHKYHYJHCL-JGVFFNPUSA-N 0 1 286.299 0.085 20 30 CCEDMN N#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001086597339 837153507 /nfs/dbraw/zinc/15/35/07/837153507.db2.gz ZHYKEWRDWNWZQM-GXTWGEPZSA-N 0 1 296.334 0.526 20 30 CCEDMN C[C@@H](NCCCNC(=O)C#CC1CC1)c1nncn1C ZINC001157682494 837235324 /nfs/dbraw/zinc/23/53/24/837235324.db2.gz JDGOJONSYVUYBF-LLVKDONJSA-N 0 1 275.356 0.385 20 30 CCEDMN CN(C(=O)[C@@H]1C[C@H]1c1cccc(C#N)c1)c1nn[nH]n1 ZINC001130971955 837961885 /nfs/dbraw/zinc/96/18/85/837961885.db2.gz KVMGMDILIKULEY-WDEREUQCSA-N 0 1 268.280 0.838 20 30 CCEDMN CN(C(=O)COc1ccc(C#N)cc1)c1nn[nH]n1 ZINC001131170325 838012205 /nfs/dbraw/zinc/01/22/05/838012205.db2.gz XQMPVLSKWXBGJU-UHFFFAOYSA-N 0 1 258.241 0.113 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)cnn2C)[C@H](O)C1 ZINC001090498381 838015518 /nfs/dbraw/zinc/01/55/18/838015518.db2.gz BLVTVYBJEGZFBC-NWDGAFQWSA-N 0 1 278.356 0.080 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CNC(C)=O)CC[C@H]1C ZINC001131764053 838216480 /nfs/dbraw/zinc/21/64/80/838216480.db2.gz BPRNWLKXBGBMLX-DGCLKSJQSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCn2cccn2)CC[C@@H]1C ZINC001131820896 838231128 /nfs/dbraw/zinc/23/11/28/838231128.db2.gz KLLLGXXZORYKCK-KBPBESRZSA-N 0 1 274.368 0.876 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CNC(=O)OC)CC[C@@H]1C ZINC001131843780 838241463 /nfs/dbraw/zinc/24/14/63/838241463.db2.gz NJKWGZLWJOCIAT-RYUDHWBXSA-N 0 1 281.356 0.335 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CNC(=O)C2CC2)CC[C@@H]1C ZINC001131850210 838247825 /nfs/dbraw/zinc/24/78/25/838247825.db2.gz XWGSKPRZEPGSSE-JSGCOSHPSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@]1(COC)CCOC1 ZINC001131899642 838260340 /nfs/dbraw/zinc/26/03/40/838260340.db2.gz VIIHUALCRXBOKG-GFCCVEGCSA-N 0 1 276.764 0.498 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](OC)[C@@H]1CCOC1 ZINC001131882083 838261668 /nfs/dbraw/zinc/26/16/68/838261668.db2.gz XIJOCYHTERNWOO-GHMZBOCLSA-N 0 1 276.764 0.496 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c(C)c(C)nn(C)c1=O ZINC001132174142 838330554 /nfs/dbraw/zinc/33/05/54/838330554.db2.gz BUTIZTQKPQBQAJ-UHFFFAOYSA-N 0 1 298.774 0.469 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2nccn2C)CC[C@@H]1C ZINC001132195375 838332505 /nfs/dbraw/zinc/33/25/05/838332505.db2.gz NYBRTYBQHHLKPH-KBPBESRZSA-N 0 1 288.395 0.955 20 30 CCEDMN Cn1c(C(=O)NCCNCC#Cc2ccccc2)c[nH]c1=O ZINC001132367624 838372045 /nfs/dbraw/zinc/37/20/45/838372045.db2.gz XEDQUBREHYJAMY-UHFFFAOYSA-N 0 1 298.346 0.497 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)NC(C)=O)CC[C@@H]1C ZINC001132365955 838372652 /nfs/dbraw/zinc/37/26/52/838372652.db2.gz TZXZFGRHVOFVNK-JSGCOSHPSA-N 0 1 293.411 0.894 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCn2cncn2)CC[C@H]1C ZINC001132407708 838386907 /nfs/dbraw/zinc/38/69/07/838386907.db2.gz YYHSVZFSWVPOHA-KGLIPLIRSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCC(=O)NCCC)CC[C@@H]1C ZINC001132440791 838403654 /nfs/dbraw/zinc/40/36/54/838403654.db2.gz FYAIZYSKTNTLNM-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN C[C@H]1CCN(C(=O)c2ccn[nH]2)C[C@H]1CNCC#N ZINC001132655550 838459058 /nfs/dbraw/zinc/45/90/58/838459058.db2.gz PFQTXDVUWPDNMU-WDEREUQCSA-N 0 1 261.329 0.621 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)[C@]1(F)CCOC1 ZINC001132867685 838510447 /nfs/dbraw/zinc/51/04/47/838510447.db2.gz LTMUHSBDLNOQLC-HNNXBMFYSA-N 0 1 291.326 0.893 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@@H](C)NCc1nnc(C)[nH]1 ZINC001133909006 838772983 /nfs/dbraw/zinc/77/29/83/838772983.db2.gz FYAPKGDNYREBDM-GHMZBOCLSA-N 0 1 295.387 0.689 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@@H](C)NCc1ncnn1C ZINC001133909805 838774656 /nfs/dbraw/zinc/77/46/56/838774656.db2.gz RFDNKUIINLSCHI-VXGBXAGGSA-N 0 1 295.387 0.391 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCN[C@H](C)c1cnccn1 ZINC001134053303 838838622 /nfs/dbraw/zinc/83/86/22/838838622.db2.gz RPFZVBFDSKEOCZ-BZPMIXESSA-N 0 1 290.367 0.835 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCN[C@H](C)c1n[nH]c(C)n1 ZINC001134053546 838840033 /nfs/dbraw/zinc/84/00/33/838840033.db2.gz YROQRXLAZVLTGA-JLLWLGSASA-N 0 1 293.371 0.471 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)Nc2n[nH]c3cccc(C#N)c32)C1 ZINC001185245069 844376057 /nfs/dbraw/zinc/37/60/57/844376057.db2.gz NIOOGCQMEKUKAH-GFCCVEGCSA-N 0 1 298.350 0.619 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)N2CCCC[C@@H]2C#N)C1 ZINC001185251296 844379616 /nfs/dbraw/zinc/37/96/16/844379616.db2.gz MSFOUPRFEICSAP-VXGBXAGGSA-N 0 1 250.346 0.137 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(C)n2C)[C@H](O)C1 ZINC001090519030 838980558 /nfs/dbraw/zinc/98/05/58/838980558.db2.gz FHIVUJHKJCILGM-DGCLKSJQSA-N 0 1 278.356 0.080 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCC[C@@H](C(N)=O)C1 ZINC001134614053 839019865 /nfs/dbraw/zinc/01/98/65/839019865.db2.gz SCOKMPAAWIHHBR-MNOVXSKESA-N 0 1 287.791 0.736 20 30 CCEDMN C=CCCCC(=O)N[C@H](C)C[C@@H](C)NCc1nnnn1C ZINC001135353469 839225244 /nfs/dbraw/zinc/22/52/44/839225244.db2.gz HWVFKKMPKIWSSJ-VXGBXAGGSA-N 0 1 294.403 0.939 20 30 CCEDMN N#CC1(CNC[C@H](O)CC2(O)CCOCC2)CC1 ZINC001119002728 856524844 /nfs/dbraw/zinc/52/48/44/856524844.db2.gz BVUKLGWQXWFYCZ-LLVKDONJSA-N 0 1 254.330 0.172 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2=CCOCC2)[C@@H](O)C1 ZINC001090544639 839631710 /nfs/dbraw/zinc/63/17/10/839631710.db2.gz DANXTVOLDBAQMU-GJZGRUSLSA-N 0 1 292.379 0.298 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccn(CC)n2)[C@H](O)C1 ZINC001090620592 839685400 /nfs/dbraw/zinc/68/54/00/839685400.db2.gz MBGIWQURQJVSQG-GXTWGEPZSA-N 0 1 292.383 0.644 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccco2)[C@H](O)C1 ZINC001090666929 839721419 /nfs/dbraw/zinc/72/14/19/839721419.db2.gz XKEACHMLSIMBRE-ZIAGYGMSSA-N 0 1 276.336 0.397 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2conc2COC)[C@@H](O)C1 ZINC001090720096 839753838 /nfs/dbraw/zinc/75/38/38/839753838.db2.gz UBEJATZVNQABEM-YPMHNXCESA-N 0 1 295.339 0.172 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cn(CC)cn2)[C@H](O)C1 ZINC001090732197 839761761 /nfs/dbraw/zinc/76/17/61/839761761.db2.gz AJGQVJXYDQICTB-GXTWGEPZSA-N 0 1 292.383 0.644 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)C)[C@@H](O)C1 ZINC001090744033 839772402 /nfs/dbraw/zinc/77/24/02/839772402.db2.gz QHJLQHPSZAKFOY-RYUDHWBXSA-N 0 1 252.358 0.607 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]cc2C)[C@@H](O)C1 ZINC001090803919 839820659 /nfs/dbraw/zinc/82/06/59/839820659.db2.gz DBAKOWDPZZUWJO-OLZOCXBDSA-N 0 1 263.341 0.674 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccn2CC)[C@@H](O)C1 ZINC001090812646 839829841 /nfs/dbraw/zinc/82/98/41/839829841.db2.gz HPKPXRNWGIIZIG-OCCSQVGLSA-N 0 1 277.368 0.859 20 30 CCEDMN C#CC(=O)N1CCN(c2cccc3[nH]ncc32)CC1 ZINC001143908798 839892068 /nfs/dbraw/zinc/89/20/68/839892068.db2.gz XLMBTULMZDLTNS-UHFFFAOYSA-N 0 1 254.293 0.845 20 30 CCEDMN COC(=O)[C@@]12COC[C@@H]1CN(Cc1cncc(C#N)c1)C2 ZINC001144074356 839927981 /nfs/dbraw/zinc/92/79/81/839927981.db2.gz KGTCTECCZLOJCE-ZFWWWQNUSA-N 0 1 287.319 0.575 20 30 CCEDMN C[C@@H](Cc1cccc(C#N)c1)NC1CS(=O)(=O)C1 ZINC001171176967 840039758 /nfs/dbraw/zinc/03/97/58/840039758.db2.gz YSGPQEAVCBZQLL-JTQLQIEISA-N 0 1 264.350 0.876 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NCC1CC(NCC#N)C1 ZINC001091377390 840195621 /nfs/dbraw/zinc/19/56/21/840195621.db2.gz GWVIFCBLFQDKEP-JXQTWKCFSA-N 0 1 278.400 0.869 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)CN1CCCC1)NCC#N ZINC001146340933 840291663 /nfs/dbraw/zinc/29/16/63/840291663.db2.gz UWINDNPHWQKMFI-VXGBXAGGSA-N 0 1 252.362 0.479 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)COCCOC)C1 ZINC001149423853 840366206 /nfs/dbraw/zinc/36/62/06/840366206.db2.gz DMLRRXKYCYBJMM-CYBMUJFWSA-N 0 1 286.372 0.043 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)OCC)C2)C1 ZINC001147057097 840481751 /nfs/dbraw/zinc/48/17/51/840481751.db2.gz VQBULUVZDPNGER-GFCCVEGCSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](CC)OCC)C2)C1 ZINC001147094848 840499174 /nfs/dbraw/zinc/49/91/74/840499174.db2.gz MTAOQRBEBMQDRT-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COCCC)C2)C1 ZINC001147139135 840512903 /nfs/dbraw/zinc/51/29/03/840512903.db2.gz IAYZJADRCBCOJM-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN C#CCOCCC(=O)N1CC2(C1)CCN(CCF)C2 ZINC001147535076 840618086 /nfs/dbraw/zinc/61/80/86/840618086.db2.gz SSCRTYSJFWCLTE-UHFFFAOYSA-N 0 1 268.332 0.530 20 30 CCEDMN C=C(Br)CNC[C@H](O)CO[C@@H](C)COC ZINC000234728033 840667678 /nfs/dbraw/zinc/66/76/78/840667678.db2.gz OVQKVFGLXSTMEE-UWVGGRQHSA-N 0 1 282.178 0.897 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001148126302 840750189 /nfs/dbraw/zinc/75/01/89/840750189.db2.gz WIHPLYFUARJYHJ-STQMWFEESA-N 0 1 293.411 0.997 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1nc(C)ccc1=O ZINC001148564152 840839839 /nfs/dbraw/zinc/83/98/39/840839839.db2.gz FXVNCXMTEYSOKC-UHFFFAOYSA-N 0 1 284.747 0.010 20 30 CCEDMN Cc1nc2ccc(N[C@@H]3C(=O)N(O)C[C@@H]3C)nc2[nH]1 ZINC001171366314 840961332 /nfs/dbraw/zinc/96/13/32/840961332.db2.gz VRLHQLDWHWURRQ-WKEGUHRASA-N 0 1 261.285 0.914 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)c3cnon3)C[C@]2(C)C1 ZINC001092113087 840989840 /nfs/dbraw/zinc/98/98/40/840989840.db2.gz GVUZQZVGVYMHAX-MFKMUULPSA-N 0 1 260.297 0.097 20 30 CCEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(N)=O)CC[C@@H]21 ZINC001036804864 841236545 /nfs/dbraw/zinc/23/65/45/841236545.db2.gz QRTXHQLHMXSLTG-UWVGGRQHSA-N 0 1 271.748 0.147 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCCNc1cnc(C#N)cn1 ZINC001094045894 841517894 /nfs/dbraw/zinc/51/78/94/841517894.db2.gz YJMUPKBNNJESQA-LBPRGKRZSA-N 0 1 288.355 0.361 20 30 CCEDMN Cc1ccc(C#N)c(NCCNC(=O)Cc2cnc[nH]2)n1 ZINC001094150585 841550718 /nfs/dbraw/zinc/55/07/18/841550718.db2.gz QNUCELURGZVHPM-UHFFFAOYSA-N 0 1 284.323 0.756 20 30 CCEDMN N#CCN1CC[C@@]2(CNC(=O)c3cnn[nH]3)CCC[C@@H]12 ZINC001094456316 841639599 /nfs/dbraw/zinc/63/95/99/841639599.db2.gz FMFQHXZCZJYKOA-DGCLKSJQSA-N 0 1 274.328 0.303 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2nncn2C)nc1 ZINC001171584994 841732852 /nfs/dbraw/zinc/73/28/52/841732852.db2.gz GIVMPVSJSMLZPR-UHFFFAOYSA-N 0 1 298.350 0.101 20 30 CCEDMN Cc1nsc(NCCCNC(=O)c2cnn[nH]2)c1C#N ZINC001094881631 841866518 /nfs/dbraw/zinc/86/65/18/841866518.db2.gz QJIISXVIUTWIQQ-UHFFFAOYSA-N 0 1 291.340 0.673 20 30 CCEDMN CCOC(=O)C[C@@H]1CC[C@H]([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)C1 ZINC001173654313 842130161 /nfs/dbraw/zinc/13/01/61/842130161.db2.gz SXWPGFCQHAZZAI-NOHGZBONSA-N 0 1 284.356 0.934 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)Nc1cc(C#N)ccn1 ZINC001176333842 842353613 /nfs/dbraw/zinc/35/36/13/842353613.db2.gz BGVWJLCIKWVHPW-GFCCVEGCSA-N 0 1 298.306 0.362 20 30 CCEDMN N#Cc1cccc2[nH]nc(NC(=O)Cc3nn[nH]n3)c21 ZINC001176837825 842423818 /nfs/dbraw/zinc/42/38/18/842423818.db2.gz ITYWQDADUVLRIM-UHFFFAOYSA-N 0 1 268.240 0.129 20 30 CCEDMN N#Cc1cccc2c1CC[C@H]2NC(=O)Cc1nn[nH]n1 ZINC001176846938 842446708 /nfs/dbraw/zinc/44/67/08/842446708.db2.gz BMKHTTGXNYVRSD-LLVKDONJSA-N 0 1 268.280 0.418 20 30 CCEDMN Cc1cc(NC2(CNC(=O)c3ncn[nH]3)CC2)c(C#N)cn1 ZINC001110199734 842504733 /nfs/dbraw/zinc/50/47/33/842504733.db2.gz IDLZQVPIQVOLRU-UHFFFAOYSA-N 0 1 297.322 0.176 20 30 CCEDMN Cc1cc(NC2(CNC(=O)c3nc[nH]n3)CC2)c(C#N)cn1 ZINC001110199734 842504737 /nfs/dbraw/zinc/50/47/37/842504737.db2.gz IDLZQVPIQVOLRU-UHFFFAOYSA-N 0 1 297.322 0.176 20 30 CCEDMN C#CCN1CCO[C@H]2CCN(C(=O)C[N@H+](C)CCC)C[C@@H]21 ZINC001177139692 842510525 /nfs/dbraw/zinc/51/05/25/842510525.db2.gz TYFRXUMSGBJQLU-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN C[N@@H+](C1CN(C(=O)C#Cc2ccccn2)C1)[C@H]1CCOC1 ZINC001177802931 842683225 /nfs/dbraw/zinc/68/32/25/842683225.db2.gz XGDWEKONHQLRHI-AWEZNQCLSA-N 0 1 285.347 0.365 20 30 CCEDMN CN(C1CN(C(=O)C#Cc2ccccn2)C1)[C@H]1CCOC1 ZINC001177802931 842683231 /nfs/dbraw/zinc/68/32/31/842683231.db2.gz XGDWEKONHQLRHI-AWEZNQCLSA-N 0 1 285.347 0.365 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H]1CNC(=O)CO1 ZINC001177916441 842707844 /nfs/dbraw/zinc/70/78/44/842707844.db2.gz WKXMISJYXFWYOO-ZJUUUORDSA-N 0 1 293.348 0.062 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001177917697 842708892 /nfs/dbraw/zinc/70/88/92/842708892.db2.gz WHQABQDWQKHXIB-ZJUUUORDSA-N 0 1 298.389 0.734 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)NC(C)=O)[C@H]1C ZINC001178069638 842744060 /nfs/dbraw/zinc/74/40/60/842744060.db2.gz KXPIZMCXHIVHOL-SCVCMEIPSA-N 0 1 287.791 0.843 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](NCc2cnnn2C)[C@@H]1C ZINC001178645909 842889667 /nfs/dbraw/zinc/88/96/67/842889667.db2.gz UBCZIEVMHKIDGN-JSGCOSHPSA-N 0 1 289.383 0.554 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)Cc3nnc[nH]3)CC2)nc1 ZINC001110224466 842921209 /nfs/dbraw/zinc/92/12/09/842921209.db2.gz RNULABCSLLBCOM-UHFFFAOYSA-N 0 1 297.322 0.375 20 30 CCEDMN CCOC(=O)[C@H]1c2n[nH]cc2CCN1C(=O)C(C)C#N ZINC001179894908 843069888 /nfs/dbraw/zinc/06/98/88/843069888.db2.gz FXIHSDDYJBJJSW-LDYMZIIASA-N 0 1 276.296 0.558 20 30 CCEDMN CC(C)N1CCN(CC(=O)N(C)[C@H](C)C#N)CC1 ZINC001180347522 843148609 /nfs/dbraw/zinc/14/86/09/843148609.db2.gz WBVICYMAMLCZRJ-GFCCVEGCSA-N 0 1 252.362 0.383 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)NC(C)=O)C1 ZINC001180827928 843302614 /nfs/dbraw/zinc/30/26/14/843302614.db2.gz ILNUVVILFDEGCL-GXSJLCMTSA-N 0 1 273.764 0.454 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N[C@H](C)c2n[nH]c(C)n2)C1 ZINC001181618782 843556920 /nfs/dbraw/zinc/55/69/20/843556920.db2.gz ZLKFQYXAUGVVLR-ZYHUDNBSSA-N 0 1 293.371 0.567 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@H](NCc2ocnc2C)C1 ZINC001182514981 843849138 /nfs/dbraw/zinc/84/91/38/843849138.db2.gz YCTOFTZZTLEODK-CYBMUJFWSA-N 0 1 291.351 0.713 20 30 CCEDMN C#CC(C)(C)C(=O)N1CCN(C2CCOCC2)CC1 ZINC001182636599 843870855 /nfs/dbraw/zinc/87/08/55/843870855.db2.gz NXIZYFMCSGRHAA-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C=C(CN1CCCC1)C(=O)N=c1ncnc2[nH][nH]c(C)c1-2 ZINC001183027163 843953333 /nfs/dbraw/zinc/95/33/33/843953333.db2.gz KLKBIDUNDKDQEO-UHFFFAOYSA-N 0 1 286.339 0.625 20 30 CCEDMN C=C(CN1CCCC1)C(=O)N[C@@H]1C=CS(=O)(=O)C1 ZINC001183079525 843960996 /nfs/dbraw/zinc/96/09/96/843960996.db2.gz BOOQYBSABRVLOD-LLVKDONJSA-N 0 1 270.354 0.065 20 30 CCEDMN COC(=O)CCS(=O)(=O)Nc1cccc(C#N)n1 ZINC001188353189 844882091 /nfs/dbraw/zinc/88/20/91/844882091.db2.gz RSIDWIUGUPRETI-UHFFFAOYSA-N 0 1 269.282 0.258 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)CC)C1 ZINC001188567047 844906229 /nfs/dbraw/zinc/90/62/29/844906229.db2.gz WUQNZOWTKPAHQO-VXGBXAGGSA-N 0 1 265.357 0.067 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(C)=O)C1 ZINC001188545101 844913771 /nfs/dbraw/zinc/91/37/71/844913771.db2.gz KTGWVWXWUMLNDT-JQWIXIFHSA-N 0 1 253.346 0.230 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C(C)(C)F)C1 ZINC001188619639 844934902 /nfs/dbraw/zinc/93/49/02/844934902.db2.gz WBQNLVNGNSYHME-RYUDHWBXSA-N 0 1 297.374 0.405 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)CCC)C1 ZINC001188641534 844944927 /nfs/dbraw/zinc/94/49/27/844944927.db2.gz HTNHJAPGLHAKMF-QWHCGFSZSA-N 0 1 279.384 0.457 20 30 CCEDMN C=C[C@@H]1C[C@]1(NC(=O)c1ncn[nH]1)C(=O)OCC ZINC001188700062 844954900 /nfs/dbraw/zinc/95/49/00/844954900.db2.gz YTKUJFTWWVQTLP-RDDDGLTNSA-N 0 1 250.258 0.042 20 30 CCEDMN C=C[C@@H]1C[C@]1(NC(=O)c1nc[nH]n1)C(=O)OCC ZINC001188700062 844954907 /nfs/dbraw/zinc/95/49/07/844954907.db2.gz YTKUJFTWWVQTLP-RDDDGLTNSA-N 0 1 250.258 0.042 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)CCCC)C1 ZINC001188942184 845003476 /nfs/dbraw/zinc/00/34/76/845003476.db2.gz SCUZOELSWFMTSO-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN COc1ccc(C#N)c(C(=O)N2CC3(CN(C)C3)C2)c1 ZINC001189084689 845046771 /nfs/dbraw/zinc/04/67/71/845046771.db2.gz YKSZPOZUTDDKHA-UHFFFAOYSA-N 0 1 271.320 0.954 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@H](C)C(=O)NC)C1 ZINC001189318780 845107616 /nfs/dbraw/zinc/10/76/16/845107616.db2.gz PFFLOWFFYXVYNI-NEPJUHHUSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@H](C)C(=O)NC(N)=O)C1 ZINC001189319194 845107530 /nfs/dbraw/zinc/10/75/30/845107530.db2.gz VAVMGFPRTSDFCJ-MNOVXSKESA-N 0 1 296.371 0.069 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](N(C)Cc2n[nH]c(C)n2)C1 ZINC001189380663 845120377 /nfs/dbraw/zinc/12/03/77/845120377.db2.gz RSUDPYBSFOCLNS-LBPRGKRZSA-N 0 1 293.371 0.348 20 30 CCEDMN C=CCOCCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001189526405 845166656 /nfs/dbraw/zinc/16/66/56/845166656.db2.gz JCNFDHWPEQPTBU-QWHCGFSZSA-N 0 1 297.399 0.376 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)c2nc(C)n[nH]2)CC1 ZINC001189866837 845232180 /nfs/dbraw/zinc/23/21/80/845232180.db2.gz FOZZFRAMXIMNAD-UHFFFAOYSA-N 0 1 277.284 0.032 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001189910019 845266407 /nfs/dbraw/zinc/26/64/07/845266407.db2.gz KXCGOPKGMMSPHT-VXGBXAGGSA-N 0 1 265.357 0.196 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001190452185 845405249 /nfs/dbraw/zinc/40/52/49/845405249.db2.gz CSOVQWFBMVFFFG-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001190521579 845416705 /nfs/dbraw/zinc/41/67/05/845416705.db2.gz URACVCZAPSKQGH-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COCc2ccnn2C)C1 ZINC001190890391 845536695 /nfs/dbraw/zinc/53/66/95/845536695.db2.gz QTZVDJIMTNUNAO-ZDUSSCGKSA-N 0 1 290.367 0.103 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(OC)n(C)n2)C1 ZINC001191265939 845621223 /nfs/dbraw/zinc/62/12/23/845621223.db2.gz HPDVGADFOCDPBI-GFCCVEGCSA-N 0 1 290.367 0.598 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)/C=C(/C)C2CC2)C1 ZINC001191410067 845645636 /nfs/dbraw/zinc/64/56/36/845645636.db2.gz SMELXLJCMUWEFG-ZBKFBRRISA-N 0 1 250.342 0.690 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnn3cccnc23)C1 ZINC001191666751 845710643 /nfs/dbraw/zinc/71/06/43/845710643.db2.gz OFNCSPKQVXSCTJ-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@H]1O ZINC001191724735 845717963 /nfs/dbraw/zinc/71/79/63/845717963.db2.gz OQNFGCAKHIYVMN-YNEHKIRRSA-N 0 1 270.373 0.275 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCCOC(C)C)C[C@H]1O ZINC001191734418 845728234 /nfs/dbraw/zinc/72/82/34/845728234.db2.gz QEDOFCQMLLIZFF-ZIAGYGMSSA-N 0 1 284.400 0.929 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)CC(N)=O)C1 ZINC001191747384 845730925 /nfs/dbraw/zinc/73/09/25/845730925.db2.gz ZXWBWGUXIOPQSB-RYUDHWBXSA-N 0 1 265.357 0.054 20 30 CCEDMN C#CCCCCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001191755863 845732063 /nfs/dbraw/zinc/73/20/63/845732063.db2.gz ZMQCWMSVNYCZAJ-ZIAGYGMSSA-N 0 1 293.411 0.977 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)CC(N)=O)C1 ZINC001191747383 845732211 /nfs/dbraw/zinc/73/22/11/845732211.db2.gz ZXWBWGUXIOPQSB-NWDGAFQWSA-N 0 1 265.357 0.054 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001191930394 845756908 /nfs/dbraw/zinc/75/69/08/845756908.db2.gz FYQLTFGIOBGPGO-QWHCGFSZSA-N 0 1 277.368 0.163 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2conc2C)C1 ZINC001192101623 845786560 /nfs/dbraw/zinc/78/65/60/845786560.db2.gz FCYFCNZOHVNOTL-CYBMUJFWSA-N 0 1 291.351 0.779 20 30 CCEDMN Cc1ncc(C(=O)N2CC3(CN(C)C3)C2)cc1C#N ZINC001192293845 845816600 /nfs/dbraw/zinc/81/66/00/845816600.db2.gz LUQMRQCCCUXSHJ-UHFFFAOYSA-N 0 1 256.309 0.649 20 30 CCEDMN Cc1ncc(C(=O)N2CCN3CC[C@H]3C2)cc1C#N ZINC001192294435 845816964 /nfs/dbraw/zinc/81/69/64/845816964.db2.gz UAXPDMWYFMRBOQ-ZDUSSCGKSA-N 0 1 256.309 0.792 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1C[N@@H+](C[C@H](CC)OC)C[C@H]1O ZINC001192329282 845820606 /nfs/dbraw/zinc/82/06/06/845820606.db2.gz VTOSWPRMLWHGTL-RRFJBIMHSA-N 0 1 296.411 0.766 20 30 CCEDMN COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)[C@H]2OCC[C@H]2C)C1 ZINC001192417174 845839474 /nfs/dbraw/zinc/83/94/74/845839474.db2.gz SBUVZYZQELJLPC-ILXRZTDVSA-N 0 1 294.395 0.594 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H]2OCC[C@H]2C)C1 ZINC001192417174 845839476 /nfs/dbraw/zinc/83/94/76/845839476.db2.gz SBUVZYZQELJLPC-ILXRZTDVSA-N 0 1 294.395 0.594 20 30 CCEDMN COc1cc(C(=O)Nc2nc[nH]c2C#N)cc(OC)n1 ZINC001192408729 845848777 /nfs/dbraw/zinc/84/87/77/845848777.db2.gz QQLGBFRISMTAOL-UHFFFAOYSA-N 0 1 273.252 0.946 20 30 CCEDMN N=C(c1nonc1N)N(O)C(=O)c1c(O)ccc(F)c1F ZINC001192730282 845898050 /nfs/dbraw/zinc/89/80/50/845898050.db2.gz SYRSRHYEGHIVLU-UHFFFAOYSA-N 0 1 299.193 0.493 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)/C=C(/C)CC)C1 ZINC001192742848 845899875 /nfs/dbraw/zinc/89/98/75/845899875.db2.gz NMPBFXSDCMFJHE-FUTHCOOYSA-N 0 1 250.342 0.527 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2(NC(C)=O)CCCC2)C1 ZINC001192818763 845914880 /nfs/dbraw/zinc/91/48/80/845914880.db2.gz RHHYJQVLHGSZTI-AWEZNQCLSA-N 0 1 291.395 0.601 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(CC2CC(F)(F)C2)C[C@H]1O ZINC001192822345 845916603 /nfs/dbraw/zinc/91/66/03/845916603.db2.gz ZEMWRMBWZYYQSG-CHWSQXEVSA-N 0 1 298.333 0.606 20 30 CCEDMN N#CCS(=O)(=O)Nc1cc(F)nc(F)c1F ZINC001192942800 845929767 /nfs/dbraw/zinc/92/97/67/845929767.db2.gz CCLBOIKTDYGVGX-UHFFFAOYSA-N 0 1 251.189 0.764 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)Cc2ncc[nH]2)C1 ZINC001193047394 845964137 /nfs/dbraw/zinc/96/41/37/845964137.db2.gz FNVUXNCSMLTMHZ-ZDUSSCGKSA-N 0 1 290.367 0.135 20 30 CCEDMN CCO[C@@H]1C[C@H]2CN(S(=O)(=O)[C@@H](C)C#N)CCN2C1 ZINC001193121119 845985646 /nfs/dbraw/zinc/98/56/46/845985646.db2.gz DZBFOUYZMSTTNQ-SDDRHHMPSA-N 0 1 287.385 0.023 20 30 CCEDMN C#Cc1cc(=NC(=O)c2cccc(OCCO)c2O)cc[nH]1 ZINC001193323334 846053811 /nfs/dbraw/zinc/05/38/11/846053811.db2.gz WIGKQKBRKSJZDE-UHFFFAOYSA-N 0 1 298.298 0.814 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCOCC2CC2)C[C@H]1O ZINC001193370429 846064359 /nfs/dbraw/zinc/06/43/59/846064359.db2.gz XQWLBPXYCXPGFB-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@H]1O ZINC001193399921 846071698 /nfs/dbraw/zinc/07/16/98/846071698.db2.gz FWNBXEAZJAEZSY-MGPQQGTHSA-N 0 1 284.400 0.665 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@](C)(NC(C)=O)C2CC2)C1 ZINC001193423651 846077051 /nfs/dbraw/zinc/07/70/51/846077051.db2.gz CSINJXSAJXGIJZ-GDBMZVCRSA-N 0 1 291.395 0.457 20 30 CCEDMN N#Cc1cc(C(=O)N2CCNCC(F)(F)C2)ccc1O ZINC001193504993 846101273 /nfs/dbraw/zinc/10/12/73/846101273.db2.gz AXBZXUKITJNCAP-UHFFFAOYSA-N 0 1 281.262 0.945 20 30 CCEDMN C[C@@]1(CO)CCN(C(=O)c2ccc(O)c(C#N)c2)C[C@H]1O ZINC001193505831 846101812 /nfs/dbraw/zinc/10/18/12/846101812.db2.gz JGMOBMVCZGPWPU-HIFRSBDPSA-N 0 1 290.319 0.469 20 30 CCEDMN Cc1nnc(CNC(=O)c2ccc(O)c(C#N)c2)[nH]1 ZINC001193519110 846103019 /nfs/dbraw/zinc/10/30/19/846103019.db2.gz QPDPEWOZQNDLLM-UHFFFAOYSA-N 0 1 257.253 0.620 20 30 CCEDMN COCCOC1CN(C(=O)c2cccc(C#N)c2O)C1 ZINC001193646513 846138948 /nfs/dbraw/zinc/13/89/48/846138948.db2.gz LGOQKWACQMVDSW-UHFFFAOYSA-N 0 1 276.292 0.751 20 30 CCEDMN CC(=O)NC[C@H](C)CNC(=O)c1cccc(C#N)c1O ZINC001193658732 846140404 /nfs/dbraw/zinc/14/04/04/846140404.db2.gz FODQKKMBRBJIPV-VIFPVBQESA-N 0 1 275.308 0.766 20 30 CCEDMN CN(C1CN(C(=O)c2cncc(C#N)c2)C1)[C@H]1CCOC1 ZINC001194113284 846216067 /nfs/dbraw/zinc/21/60/67/846216067.db2.gz FYWGNISJQSTIBJ-ZDUSSCGKSA-N 0 1 286.335 0.498 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCNC(=O)CC(C)C)C1 ZINC001194502758 846314141 /nfs/dbraw/zinc/31/41/41/846314141.db2.gz UBVBKSREMHLJTH-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)C(=C)C)C1 ZINC001195255625 846485694 /nfs/dbraw/zinc/48/56/94/846485694.db2.gz WSJLOSKHWQSWQO-ZIAGYGMSSA-N 0 1 296.411 0.953 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC(C)=C(C)C)C1 ZINC001195351408 846509631 /nfs/dbraw/zinc/50/96/31/846509631.db2.gz PJQXXFRCGDMXJI-ZIAGYGMSSA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C/C=C(/C)C=C)C1 ZINC001195444345 846531669 /nfs/dbraw/zinc/53/16/69/846531669.db2.gz OKXXSODFWJEATH-CGHRZIEDSA-N 0 1 294.395 0.873 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cnccc1C(N)=O ZINC001195430879 846541198 /nfs/dbraw/zinc/54/11/98/846541198.db2.gz XVKZXVUXUNCJFZ-UHFFFAOYSA-N 0 1 256.225 0.028 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCCC#CC)C1 ZINC001195473285 846545648 /nfs/dbraw/zinc/54/56/48/846545648.db2.gz MVKFDBHQCCBFOK-ZIAGYGMSSA-N 0 1 262.353 0.365 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCCC#CC)C1 ZINC001195473285 846545658 /nfs/dbraw/zinc/54/56/58/846545658.db2.gz MVKFDBHQCCBFOK-ZIAGYGMSSA-N 0 1 262.353 0.365 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCCN(CCOCC)CC1 ZINC001195805688 846631025 /nfs/dbraw/zinc/63/10/25/846631025.db2.gz HGDSUFAHRSXIKM-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(C)CC1 ZINC001114309445 846638591 /nfs/dbraw/zinc/63/85/91/846638591.db2.gz WDQJVRIQWDZJOY-WUHRBBMRSA-N 0 1 291.395 0.524 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN([C@H](C)COC)C[C@H]2O)CCC1 ZINC001195940432 846644507 /nfs/dbraw/zinc/64/45/07/846644507.db2.gz VRHJMSUZNRZAKX-MGPQQGTHSA-N 0 1 296.411 0.929 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCC[C@H](C)O)C[C@H]2O)C1 ZINC001196020397 846668768 /nfs/dbraw/zinc/66/87/68/846668768.db2.gz NABQDFDRPCJOQY-BFHYXJOUSA-N 0 1 296.411 0.665 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC23CC3)C1 ZINC001196401039 846743739 /nfs/dbraw/zinc/74/37/39/846743739.db2.gz WPAAGXGSMOILPM-YNEHKIRRSA-N 0 1 280.368 0.150 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCn2nccc2C1 ZINC001196409722 846749275 /nfs/dbraw/zinc/74/92/75/846749275.db2.gz IZVBMMZQQRPTCM-UHFFFAOYSA-N 0 1 261.329 0.461 20 30 CCEDMN C#CCN1CCCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC001196648636 846782367 /nfs/dbraw/zinc/78/23/67/846782367.db2.gz JKFNVGKOSSQNMD-UHFFFAOYSA-N 0 1 289.383 0.685 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](C)CC(N)=O)CC1 ZINC001196754342 846799628 /nfs/dbraw/zinc/79/96/28/846799628.db2.gz SBKSENXRJRGFGY-LLVKDONJSA-N 0 1 253.346 0.218 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cc(C(N)=O)cs1 ZINC001197955675 847010012 /nfs/dbraw/zinc/01/00/12/847010012.db2.gz VHKRNFQUUMCOLK-UHFFFAOYSA-N 0 1 261.266 0.694 20 30 CCEDMN N#Cc1ccnc(CN2C[C@H](CO)[C@H](CCO)C2)c1 ZINC001198751307 847160668 /nfs/dbraw/zinc/16/06/68/847160668.db2.gz CKEOWLDVSCTRHF-CHWSQXEVSA-N 0 1 261.325 0.376 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCc2nccs2)C1 ZINC001198819644 847181039 /nfs/dbraw/zinc/18/10/39/847181039.db2.gz ISQGTGOJZZRZAV-VXGBXAGGSA-N 0 1 293.392 0.260 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCCOC(C)C)C1 ZINC001199428987 847318012 /nfs/dbraw/zinc/31/80/12/847318012.db2.gz DJXPYNPRRZJIHZ-ZIAGYGMSSA-N 0 1 282.384 0.376 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ncccc1OC ZINC001251891285 847352820 /nfs/dbraw/zinc/35/28/20/847352820.db2.gz PXVVMSHPBCTEKK-LLVKDONJSA-N 0 1 250.298 0.191 20 30 CCEDMN COCc1[nH]nc2c1CN(C(=O)c1ccc(C#N)[nH]1)C2 ZINC001199679298 847396960 /nfs/dbraw/zinc/39/69/60/847396960.db2.gz WXRIRDIKVIYNJP-UHFFFAOYSA-N 0 1 271.280 0.912 20 30 CCEDMN N#Cc1c(N)nn(-c2cc(O)cc([N+](=O)[O-])c2)c1N ZINC001199819475 847430263 /nfs/dbraw/zinc/43/02/63/847430263.db2.gz SPVQWRGHDACHHT-UHFFFAOYSA-N 0 1 260.213 0.522 20 30 CCEDMN C=CCOCC(=O)N1CCC(NCc2nnc(C)[nH]2)CC1 ZINC001199798222 847436651 /nfs/dbraw/zinc/43/66/51/847436651.db2.gz XWNQJJIRIIGRJD-UHFFFAOYSA-N 0 1 293.371 0.396 20 30 CCEDMN C=CCNC(=S)N1CCN(CCCOC)CC1 ZINC001200289468 847596958 /nfs/dbraw/zinc/59/69/58/847596958.db2.gz WJSWMVBXONWCMG-UHFFFAOYSA-N 0 1 257.403 0.701 20 30 CCEDMN COc1ccnc(C(N)=NC(=O)c2[nH]nc3c2CCC3)n1 ZINC001201243035 847748647 /nfs/dbraw/zinc/74/86/47/847748647.db2.gz RGGHXCTTYSOTAM-UHFFFAOYSA-N 0 1 286.295 0.243 20 30 CCEDMN Cc1nc([C@H](C)NCC=CCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001273911500 847823666 /nfs/dbraw/zinc/82/36/66/847823666.db2.gz UBBBIVWXNMGVLQ-OOMSKYPHSA-N 0 1 276.344 0.596 20 30 CCEDMN CCN(C(=O)CNC/C=C\CNC(=O)[C@@H](C)C#N)C1CC1 ZINC001273911744 847825621 /nfs/dbraw/zinc/82/56/21/847825621.db2.gz VIYYNVFBYYAEGX-RXNFCKPNSA-N 0 1 292.383 0.419 20 30 CCEDMN COc1ccnc(C(N)=NC(=O)c2cc3c[nH]cnc-3n2)n1 ZINC001152997026 847893460 /nfs/dbraw/zinc/89/34/60/847893460.db2.gz YTMCZXZCVUPQIX-UHFFFAOYSA-N 0 1 297.278 0.254 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001110616611 847921928 /nfs/dbraw/zinc/92/19/28/847921928.db2.gz LRYFQCMDIQDLPQ-SYQHCUMBSA-N 0 1 296.390 0.911 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cc(OC)no1 ZINC001114345469 848020149 /nfs/dbraw/zinc/02/01/49/848020149.db2.gz GBZCIZCEWVOQCT-JYAVWHMHSA-N 0 1 289.335 0.295 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCC1CCCC1 ZINC001114418138 848053660 /nfs/dbraw/zinc/05/36/60/848053660.db2.gz CMHUXJDIUMSWRA-FOLVSLTJSA-N 0 1 276.380 0.873 20 30 CCEDMN N#CCOc1ccc(CN2CCOC[C@@H](O)C2)cc1 ZINC001137115453 848124174 /nfs/dbraw/zinc/12/41/74/848124174.db2.gz OXGHEPBNULTHCY-ZDUSSCGKSA-N 0 1 262.309 0.782 20 30 CCEDMN C=CCC1(O)CCN(CC(=O)N2CCOCC2)CC1 ZINC000717439306 848261596 /nfs/dbraw/zinc/26/15/96/848261596.db2.gz BYLZNHUZGFJVNJ-UHFFFAOYSA-N 0 1 268.357 0.248 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1c[nH]c2ccccc2c1=O ZINC000717709876 848286859 /nfs/dbraw/zinc/28/68/59/848286859.db2.gz IKBXLNWJPNTKOV-JTQLQIEISA-N 0 1 270.288 0.642 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(Cc1nnc[nH]1)CC2 ZINC001274354214 848455705 /nfs/dbraw/zinc/45/57/05/848455705.db2.gz CMTBMGZFFDLGBH-NSHDSACASA-N 0 1 273.340 0.156 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1nnc[nH]1 ZINC001274354618 848456935 /nfs/dbraw/zinc/45/69/35/848456935.db2.gz PDVLYFWFADSYOS-GHMZBOCLSA-N 0 1 261.329 0.556 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](C)OC)C(C)(C)C1 ZINC001274416140 848473906 /nfs/dbraw/zinc/47/39/06/848473906.db2.gz LQOIKWMGXAJMSP-VXGBXAGGSA-N 0 1 252.358 0.871 20 30 CCEDMN C=C[C@](C)(O)CN1CCC[C@@]12CCN([C@@H](C)C(C)=O)C2=O ZINC001274614123 848522813 /nfs/dbraw/zinc/52/28/13/848522813.db2.gz BEIYCLPMUAWDQX-RCBQFDQVSA-N 0 1 294.395 0.968 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CNCc1cnns1 ZINC001274748014 848559998 /nfs/dbraw/zinc/55/99/98/848559998.db2.gz ZZAROIFVSNBPDH-SNVBAGLBSA-N 0 1 266.370 0.792 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H](C)[C@@H](C)COC)CC1 ZINC001274910435 848590197 /nfs/dbraw/zinc/59/01/97/848590197.db2.gz KSSRKMARFQQWCY-UONOGXRCSA-N 0 1 296.411 0.481 20 30 CCEDMN CCN(CC#N)CC1CCN(C(=O)c2[nH]nnc2C)CC1 ZINC001274956153 848602557 /nfs/dbraw/zinc/60/25/57/848602557.db2.gz ZDTRLWNRPMRZHZ-UHFFFAOYSA-N 0 1 290.371 0.811 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1nn(CC)nc1C ZINC001275175121 848657863 /nfs/dbraw/zinc/65/78/63/848657863.db2.gz FYNUGCAOYJCWIE-ZDUSSCGKSA-N 0 1 289.383 0.776 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1ncc[nH]1 ZINC001275381949 848705231 /nfs/dbraw/zinc/70/52/31/848705231.db2.gz JNXNGZQDUJUUKN-VIFPVBQESA-N 0 1 256.737 0.799 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C[C@@H]1NC(=O)c2ccccc21 ZINC001275964086 848875836 /nfs/dbraw/zinc/87/58/36/848875836.db2.gz ZHIVXEVCTWHFMS-DOMZBBRYSA-N 0 1 299.374 0.931 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CCC(=O)N(CC)CC ZINC001276004210 848887737 /nfs/dbraw/zinc/88/77/37/848887737.db2.gz WZGNXHAWABSWAT-CYBMUJFWSA-N 0 1 281.400 0.705 20 30 CCEDMN CN(C)C(=O)[C@]12C[C@H]1CCN2C(=O)c1ccc(O)c(C#N)c1 ZINC001276155208 848941202 /nfs/dbraw/zinc/94/12/02/848941202.db2.gz BOPZJMJNOHAYBI-WBMJQRKESA-N 0 1 299.330 0.957 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1OCCO[C@H]1C)C2 ZINC001095559025 848988296 /nfs/dbraw/zinc/98/82/96/848988296.db2.gz DDVLMLXETYJGQS-NDKCEZKHSA-N 0 1 280.368 0.698 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CC(N)=O)C2 ZINC001110895924 849015811 /nfs/dbraw/zinc/01/58/11/849015811.db2.gz FNJOXSNROWZYND-LPWJVIDDSA-N 0 1 277.368 0.243 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](COC)OC)C2 ZINC001111000672 849040472 /nfs/dbraw/zinc/04/04/72/849040472.db2.gz QBUVCXUMCHRVOO-FVCCEPFGSA-N 0 1 268.357 0.555 20 30 CCEDMN CC(=O)NCCN1CCC(Nc2ccc(C#N)cn2)CC1 ZINC001111309689 849161609 /nfs/dbraw/zinc/16/16/09/849161609.db2.gz WPPNEEKIGSPYTE-UHFFFAOYSA-N 0 1 287.367 0.966 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOC[C@@H]1CCCO1 ZINC001114510581 849229226 /nfs/dbraw/zinc/22/92/26/849229226.db2.gz POODVLWVRCPRSG-TTZDDIAXSA-N 0 1 292.379 0.252 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NC)C[C@H]21 ZINC001114760108 849388225 /nfs/dbraw/zinc/38/82/25/849388225.db2.gz UMFMQGLOWAEPNH-NMKXLXIOSA-N 0 1 279.384 0.524 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)OCc1ccncc1 ZINC001114852663 849440118 /nfs/dbraw/zinc/44/01/18/849440118.db2.gz RTZSCPBUSBECNI-ARLBYUKCSA-N 0 1 299.374 0.666 20 30 CCEDMN C=C[C@@H](O)CNc1cncc(N2CCN(C)CC2)c1 ZINC001253573250 849508929 /nfs/dbraw/zinc/50/89/29/849508929.db2.gz HDXYQVJEJDCWBR-CQSZACIVSA-N 0 1 262.357 0.792 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1n[nH]cc1F ZINC001038678991 849569978 /nfs/dbraw/zinc/56/99/78/849569978.db2.gz JYYYQCOOHRZUOL-VIFPVBQESA-N 0 1 252.293 0.929 20 30 CCEDMN CC[C@H]1CN(C(=O)CCc2nc[nH]n2)CC[C@H]1NCC#N ZINC001037812612 849590147 /nfs/dbraw/zinc/59/01/47/849590147.db2.gz UWRVXVBNSKHFSB-NWDGAFQWSA-N 0 1 290.371 0.478 20 30 CCEDMN C=CCOCC(=O)N(C)CCCN(C)C(=O)c1ccn[nH]1 ZINC001066892003 849675252 /nfs/dbraw/zinc/67/52/52/849675252.db2.gz ZTDCOIGQQIEABI-UHFFFAOYSA-N 0 1 294.355 0.533 20 30 CCEDMN C#CC[N@@H+](C)CCCNc1ccc(C(=O)[O-])c(C)n1 ZINC000381384749 849756191 /nfs/dbraw/zinc/75/61/91/849756191.db2.gz LLYBHXOTFGTPCB-UHFFFAOYSA-N 0 1 261.325 0.877 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001038035160 849788614 /nfs/dbraw/zinc/78/86/14/849788614.db2.gz FTYCKIOKJLSRQG-JTQLQIEISA-N 0 1 262.313 0.562 20 30 CCEDMN C[C@@H](O)CN1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038173677 849807987 /nfs/dbraw/zinc/80/79/87/849807987.db2.gz CEGWBVDNVKAHFP-BXKDBHETSA-N 0 1 262.313 0.071 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001038414654 849887712 /nfs/dbraw/zinc/88/77/12/849887712.db2.gz BINJSYKRECZNGA-KGLIPLIRSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCc2cncn2C1 ZINC001038423877 849890161 /nfs/dbraw/zinc/89/01/61/849890161.db2.gz GWQWGHKSQFDPBZ-HIFRSBDPSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc2nnc(C)n2c1 ZINC001038435415 849899137 /nfs/dbraw/zinc/89/91/37/849899137.db2.gz NXGORTNXSPWVBT-CQSZACIVSA-N 0 1 297.362 0.865 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCN1CCOC ZINC001038714807 849994292 /nfs/dbraw/zinc/99/42/92/849994292.db2.gz VMUMNVUMORSFRP-XQQFMLRXSA-N 0 1 268.357 0.414 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccnc2nc(C)nn21 ZINC001038912629 850080146 /nfs/dbraw/zinc/08/01/46/850080146.db2.gz KVHXHAGXDMZDTL-GFCCVEGCSA-N 0 1 298.350 0.260 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001038935889 850093598 /nfs/dbraw/zinc/09/35/98/850093598.db2.gz KZNDMKHXRAXDLW-KGLIPLIRSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(N(C)C)nn1 ZINC001038938604 850093971 /nfs/dbraw/zinc/09/39/71/850093971.db2.gz KOUBTCAOOIQUFM-LBPRGKRZSA-N 0 1 289.383 0.923 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001039048359 850137879 /nfs/dbraw/zinc/13/78/79/850137879.db2.gz SFCFEGOVSKTVGU-TZMCWYRMSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCn1ccnn1 ZINC001039366474 850180466 /nfs/dbraw/zinc/18/04/66/850180466.db2.gz ISAOPJRMEUTLKI-KGLIPLIRSA-N 0 1 287.367 0.367 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnnn1C ZINC001039368076 850181166 /nfs/dbraw/zinc/18/11/66/850181166.db2.gz BNEGHRJMKVHSNS-NEPJUHHUSA-N 0 1 275.356 0.680 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCc3n[nH]nc31)C2 ZINC001095934969 850250437 /nfs/dbraw/zinc/25/04/37/850250437.db2.gz XPGMJZSMRYYYPM-XWUBHJNHSA-N 0 1 299.378 0.579 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC2(C1)CCN(CC#N)CC2 ZINC001040795893 850318436 /nfs/dbraw/zinc/31/84/36/850318436.db2.gz FOMBZOATCCKRQG-UHFFFAOYSA-N 0 1 288.355 0.565 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001041633508 850479852 /nfs/dbraw/zinc/47/98/52/850479852.db2.gz VZVUBTHOUQEPMQ-HZPDHXFCSA-N 0 1 293.411 0.998 20 30 CCEDMN CN1CC(C(=O)N2CC[C@@]3(CCN(CC#N)C3)C2)=NC1=O ZINC001041637230 850481944 /nfs/dbraw/zinc/48/19/44/850481944.db2.gz YRNZJCFNQFLDOB-CQSZACIVSA-N 0 1 289.339 0.187 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc(C)n[nH]3)C[C@H]21 ZINC001041960940 850542958 /nfs/dbraw/zinc/54/29/58/850542958.db2.gz IKQHGOFFIXYWNJ-TZMCWYRMSA-N 0 1 272.352 0.888 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H]3CCN(CC#N)[C@H]3C2)[nH]1 ZINC001041978842 850547674 /nfs/dbraw/zinc/54/76/74/850547674.db2.gz PPZPFMRPCDQAFP-YPMHNXCESA-N 0 1 273.340 0.778 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001042024347 850560093 /nfs/dbraw/zinc/56/00/93/850560093.db2.gz XUNABBVJAYEVHJ-CHWSQXEVSA-N 0 1 285.347 0.957 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C3CN(C(C)=O)C3)C[C@@H]21 ZINC001042031458 850563072 /nfs/dbraw/zinc/56/30/72/850563072.db2.gz GFWJEWPYESWXHZ-HIFRSBDPSA-N 0 1 289.379 0.021 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3ncc[nH]3)C[C@H]21 ZINC001042038020 850565507 /nfs/dbraw/zinc/56/55/07/850565507.db2.gz CHMURSPXPCCQTF-CHWSQXEVSA-N 0 1 272.352 0.969 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)CCc3cnc[nH]3)C[C@H]21 ZINC001042089932 850577277 /nfs/dbraw/zinc/57/72/77/850577277.db2.gz HEKFKVWHVFROON-GXTWGEPZSA-N 0 1 287.367 0.789 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccnnc3C)C[C@H]21 ZINC001042132404 850582608 /nfs/dbraw/zinc/58/26/08/850582608.db2.gz CHUYBDXJZTVZPG-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cncn3C)C[C@@H]21 ZINC001042139794 850584746 /nfs/dbraw/zinc/58/47/46/850584746.db2.gz ZJDVQNDKUZWLAE-OCCSQVGLSA-N 0 1 272.352 0.590 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)C2=COCCO2)C1 ZINC001042439062 850646171 /nfs/dbraw/zinc/64/61/71/850646171.db2.gz HPDXPOSHHSSPGF-UHFFFAOYSA-N 0 1 266.341 0.983 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)c2cn[nH]c(=O)c2)C1 ZINC001043002271 850794360 /nfs/dbraw/zinc/79/43/60/850794360.db2.gz FZOAKJWWGKSJEV-UHFFFAOYSA-N 0 1 276.340 0.905 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CC[C@@H]2C(N)=O)C1 ZINC001043536610 850893694 /nfs/dbraw/zinc/89/36/94/850893694.db2.gz KZLYCUOFWZWCSD-QWHCGFSZSA-N 0 1 279.384 0.607 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001043584082 850903047 /nfs/dbraw/zinc/90/30/47/850903047.db2.gz WWHBAJKVIDRGTF-WDNDVIMCSA-N 0 1 264.369 0.988 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001044154812 851024919 /nfs/dbraw/zinc/02/49/19/851024919.db2.gz ZQQCRLSCHXKDIK-NSHDSACASA-N 0 1 272.352 0.290 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cc(C)nn2C)CC1 ZINC001045379126 851246256 /nfs/dbraw/zinc/24/62/56/851246256.db2.gz ONSYOCFARFEJFS-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@]23C[C@H]2COC3)CC1 ZINC001045440465 851257916 /nfs/dbraw/zinc/25/79/16/851257916.db2.gz MIVGYEJPNXWGDB-WFASDCNBSA-N 0 1 262.353 0.627 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cccc3ncnn32)CC1 ZINC001045495126 851266633 /nfs/dbraw/zinc/26/66/33/851266633.db2.gz RDKMRQJPQXOCLB-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cncnc2)C1 ZINC001046262734 851444872 /nfs/dbraw/zinc/44/48/72/851444872.db2.gz XMSOSFPGBFHJAI-CQSZACIVSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001046327653 851466306 /nfs/dbraw/zinc/46/63/06/851466306.db2.gz OCQXHWNAMKUTHW-LALPHHSUSA-N 0 1 277.368 0.115 20 30 CCEDMN C=CCCN1CC[C@@](C)(NC(=O)C2CS(=O)(=O)C2)C1 ZINC001046342845 851469354 /nfs/dbraw/zinc/46/93/54/851469354.db2.gz WNSCOBNIWYVSSM-CYBMUJFWSA-N 0 1 286.397 0.188 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cccn3nnnc23)C1 ZINC001046343002 851470697 /nfs/dbraw/zinc/47/06/97/851470697.db2.gz YZGIXHFWMHQOIK-CQSZACIVSA-N 0 1 286.339 0.505 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001046364186 851477613 /nfs/dbraw/zinc/47/76/13/851477613.db2.gz IHBXYWSWTROMOX-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(OC)n(C)n2)C1 ZINC001046473165 851521519 /nfs/dbraw/zinc/52/15/19/851521519.db2.gz PAYBVQJNKFLXJQ-AWEZNQCLSA-N 0 1 278.356 0.809 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2[nH]nnc2C)C1 ZINC001046527157 851534252 /nfs/dbraw/zinc/53/42/52/851534252.db2.gz GAZIFVCAALKJHX-CYBMUJFWSA-N 0 1 261.329 0.331 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001046558450 851545237 /nfs/dbraw/zinc/54/52/37/851545237.db2.gz USDHWYZAJHOFQH-JLNYLFASSA-N 0 1 262.353 0.483 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)c3cnn[nH]3)C2)cn1 ZINC001046650640 851575624 /nfs/dbraw/zinc/57/56/24/851575624.db2.gz BVWUVIYWWKVTBX-WVSHTKLVSA-N 0 1 297.322 0.692 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccncn2)C1 ZINC001046714286 851593074 /nfs/dbraw/zinc/59/30/74/851593074.db2.gz NKJGBOQEPOVLNB-AWEZNQCLSA-N 0 1 258.325 0.694 20 30 CCEDMN CC#CC[N@@H+]1CC[C@](C)(NC(=O)c2cn(CCC)nn2)C1 ZINC001046737407 851601687 /nfs/dbraw/zinc/60/16/87/851601687.db2.gz PQKJCWCXALUDJI-HNNXBMFYSA-N 0 1 289.383 0.906 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cn(CCC)nn2)C1 ZINC001046737407 851601690 /nfs/dbraw/zinc/60/16/90/851601690.db2.gz PQKJCWCXALUDJI-HNNXBMFYSA-N 0 1 289.383 0.906 20 30 CCEDMN C[C@@]1(NC(=O)[C@H]2CCc3[nH]nnc3C2)CCN(CC#N)C1 ZINC001046772283 851610073 /nfs/dbraw/zinc/61/00/73/851610073.db2.gz SIWDXOQLABUKEM-IINYFYTJSA-N 0 1 288.355 0.014 20 30 CCEDMN C[C@@]1(NC(=O)[C@H]2CCc3nn[nH]c3C2)CCN(CC#N)C1 ZINC001046772283 851610078 /nfs/dbraw/zinc/61/00/78/851610078.db2.gz SIWDXOQLABUKEM-IINYFYTJSA-N 0 1 288.355 0.014 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cnn3ccc(C)nc23)C1 ZINC001046781705 851612463 /nfs/dbraw/zinc/61/24/63/851612463.db2.gz MSZBJLVNYJIFOL-MRXNPFEDSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001046827709 851623375 /nfs/dbraw/zinc/62/33/75/851623375.db2.gz UPEZEJDWYFAEFI-HNNXBMFYSA-N 0 1 283.335 0.785 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001046854182 851632069 /nfs/dbraw/zinc/63/20/69/851632069.db2.gz LOTDABRDRHVYNB-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)c2ncn[n-]2)C1 ZINC001046981356 851651883 /nfs/dbraw/zinc/65/18/83/851651883.db2.gz YJXKOQSRLYSVBG-VIFPVBQESA-N 0 1 283.282 0.125 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccccc2)C1 ZINC001047286631 851699159 /nfs/dbraw/zinc/69/91/59/851699159.db2.gz AJWBUHIEKBMHSZ-GJZGRUSLSA-N 0 1 272.348 0.827 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)noc2C)C1 ZINC001047299837 851702360 /nfs/dbraw/zinc/70/23/60/851702360.db2.gz HJZSIZZKNPCWEL-STQMWFEESA-N 0 1 291.351 0.432 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cn[nH]c2)C1 ZINC001047312038 851709973 /nfs/dbraw/zinc/70/99/73/851709973.db2.gz JRZYDCCPDHRROE-RYUDHWBXSA-N 0 1 264.329 0.103 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)CC2CCOCC2)C1 ZINC001047328298 851719461 /nfs/dbraw/zinc/71/94/61/851719461.db2.gz JHXKGCUPTDHCLG-GJZGRUSLSA-N 0 1 296.411 0.883 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC001047341947 851728275 /nfs/dbraw/zinc/72/82/75/851728275.db2.gz OQGFGNZXZCEWAY-AIEDFZFUSA-N 0 1 276.380 0.559 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cn2nc(C)cc2C)C1 ZINC001047450287 851769661 /nfs/dbraw/zinc/76/96/61/851769661.db2.gz PLCSCBXWJNRTMX-KBPBESRZSA-N 0 1 292.383 0.189 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC001047465631 851773366 /nfs/dbraw/zinc/77/33/66/851773366.db2.gz CQPDESBHOQWUEU-QPSCCSFWSA-N 0 1 296.411 0.881 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)[C@H]2CN([C@H](C)COC)C[C@@H]2O)C1 ZINC001047519539 851799180 /nfs/dbraw/zinc/79/91/80/851799180.db2.gz HDQYRIBAFSLUDV-RDBSUJKOSA-N 0 1 296.411 0.881 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ocnc2CC)C1 ZINC001047521445 851801097 /nfs/dbraw/zinc/80/10/97/851801097.db2.gz SFTXTUFGAQKUHQ-STQMWFEESA-N 0 1 293.367 0.930 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCO[C@H]2C=C)C1 ZINC001047533594 851805214 /nfs/dbraw/zinc/80/52/14/851805214.db2.gz YNQPCSRXWRBPBT-QPSCCSFWSA-N 0 1 292.379 0.104 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2(C)CCOCC2)C1 ZINC001047563501 851818709 /nfs/dbraw/zinc/81/87/09/851818709.db2.gz MNMVDGLCEPRYEC-KBPBESRZSA-N 0 1 294.395 0.330 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C(C)(C)C)C1 ZINC001047606824 851833116 /nfs/dbraw/zinc/83/31/16/851833116.db2.gz YPUJZXYTWJURCX-RYUDHWBXSA-N 0 1 252.358 0.559 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+]([C@@H](C)C(N)=O)C[C@@H]2C1 ZINC001048978065 852125479 /nfs/dbraw/zinc/12/54/79/852125479.db2.gz TXRBWCDHJYMUCC-SDDRHHMPSA-N 0 1 279.384 0.463 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CN([C@@H](C)C(N)=O)C[C@H]2C1 ZINC001048978065 852125486 /nfs/dbraw/zinc/12/54/86/852125486.db2.gz TXRBWCDHJYMUCC-SDDRHHMPSA-N 0 1 279.384 0.463 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1C[C@H]2CN(CC#N)C[C@H]2C1 ZINC001049107048 852165019 /nfs/dbraw/zinc/16/50/19/852165019.db2.gz SILFORPRSYZYMM-RWMBFGLXSA-N 0 1 287.367 0.502 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3CCC(=O)N3)C[C@@H]2C1 ZINC001049179742 852186606 /nfs/dbraw/zinc/18/66/06/852186606.db2.gz KQYVMGWHIRZKAC-TUAOUCFPSA-N 0 1 297.786 0.408 20 30 CCEDMN C#CC[N@H+]1C[C@H]2CN(C(=O)Cn3cc[nH+]c3C)C[C@H]2C1 ZINC001049215819 852195827 /nfs/dbraw/zinc/19/58/27/852195827.db2.gz LJUQSDHMTZCTNI-OKILXGFUSA-N 0 1 272.352 0.215 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cocn1 ZINC001049367646 852243967 /nfs/dbraw/zinc/24/39/67/852243967.db2.gz ADFIUTHCMLNDJV-OLZOCXBDSA-N 0 1 259.309 0.987 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCNC(=O)C1 ZINC001049414520 852261779 /nfs/dbraw/zinc/26/17/79/852261779.db2.gz DZWXAOGBRKDLTL-BFHYXJOUSA-N 0 1 289.379 0.211 20 30 CCEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cn[nH]n1 ZINC001049577451 852317104 /nfs/dbraw/zinc/31/71/04/852317104.db2.gz ZXOJXQSCRJKIAX-STQMWFEESA-N 0 1 273.340 0.507 20 30 CCEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1nnn(C)n1 ZINC001049653371 852335578 /nfs/dbraw/zinc/33/55/78/852335578.db2.gz ZYBXHAFYUPOXTG-MNOVXSKESA-N 0 1 276.344 0.075 20 30 CCEDMN CN1CC(C(=O)N2CCC[C@@H]3[C@@H]2CCN3CC#N)=NC1=O ZINC001049689094 852351559 /nfs/dbraw/zinc/35/15/59/852351559.db2.gz IBRUYBLTYQWVQJ-NEPJUHHUSA-N 0 1 289.339 0.328 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)Cn1nccc1C ZINC001049759896 852365587 /nfs/dbraw/zinc/36/55/87/852365587.db2.gz SULNMRBPLDKUDU-HUUCEWRRSA-N 0 1 286.379 0.890 20 30 CCEDMN N#Cc1ccc(N[C@H](CNC(=O)c2ncn[nH]2)C2CC2)nc1 ZINC001096705739 852428410 /nfs/dbraw/zinc/42/84/10/852428410.db2.gz YUEWWKOCKGXUBX-LLVKDONJSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(N[C@H](CNC(=O)c2nc[nH]n2)C2CC2)nc1 ZINC001096705739 852428412 /nfs/dbraw/zinc/42/84/12/852428412.db2.gz YUEWWKOCKGXUBX-LLVKDONJSA-N 0 1 297.322 0.692 20 30 CCEDMN C=CC[N@@H+](CC(=O)[O-])Cc1cnc(N(C)C)nc1 ZINC001262157027 852499277 /nfs/dbraw/zinc/49/92/77/852499277.db2.gz NOOAMLWADVBRAY-UHFFFAOYSA-N 0 1 250.302 0.615 20 30 CCEDMN C[C@@H](CNC(=O)[C@H]1CCCN1C)Nc1ccc(C#N)cn1 ZINC001097729178 852592715 /nfs/dbraw/zinc/59/27/15/852592715.db2.gz GQWFVBNFTUJNAC-WCQYABFASA-N 0 1 287.367 0.964 20 30 CCEDMN Cc1cc(CC(=O)NC[C@H](C)Nc2ccncc2C#N)[nH]n1 ZINC001097738143 852597264 /nfs/dbraw/zinc/59/72/64/852597264.db2.gz GCOQPGRYPFUWMC-NSHDSACASA-N 0 1 298.350 0.566 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccnc(OC)c1)C2 ZINC001097856175 852632842 /nfs/dbraw/zinc/63/28/42/852632842.db2.gz SLWAVBMAIHEYOJ-KFWWJZLASA-N 0 1 299.374 0.987 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccnc(OC)c1)C2 ZINC001097856175 852632849 /nfs/dbraw/zinc/63/28/49/852632849.db2.gz SLWAVBMAIHEYOJ-KFWWJZLASA-N 0 1 299.374 0.987 20 30 CCEDMN C#CCCN1CC2(C1)CN(C(=O)c1cnc(C)[nH]1)CCO2 ZINC001053189950 852705466 /nfs/dbraw/zinc/70/54/66/852705466.db2.gz HDRVENNGVZRQCZ-UHFFFAOYSA-N 0 1 288.351 0.268 20 30 CCEDMN C=CCN1CC2(C1)CN(C(=O)CN1CCCC1)CCO2 ZINC001053533783 852755352 /nfs/dbraw/zinc/75/53/52/852755352.db2.gz IMVCRPGVMWJUSQ-UHFFFAOYSA-N 0 1 279.384 0.181 20 30 CCEDMN COC(=O)CC[C@H](N[C@@H]1CC[C@@H](C#N)C1)C(N)=O ZINC001254647871 852899538 /nfs/dbraw/zinc/89/95/38/852899538.db2.gz ITVHZFQFBUUWJX-BBBLOLIVSA-N 0 1 253.302 0.075 20 30 CCEDMN C[C@H]1CN(C(=O)C#CC2CC2)C[C@H]1NCc1cnnn1C ZINC001054640361 852986350 /nfs/dbraw/zinc/98/63/50/852986350.db2.gz YJJMQBORQOCLDB-SMDDNHRTSA-N 0 1 287.367 0.165 20 30 CCEDMN C#CC[NH2+][C@@H]1CN(C(=O)c2cccc3nn[n-]c32)C[C@H]1C ZINC001054673435 852994587 /nfs/dbraw/zinc/99/45/87/852994587.db2.gz PTWFSBHVTXZRNP-ZWNOBZJWSA-N 0 1 283.335 0.641 20 30 CCEDMN C[C@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)C[C@H]1NCC#N ZINC001054697373 852998393 /nfs/dbraw/zinc/99/83/93/852998393.db2.gz YGAIFZRPWRMZLH-JOYOIKCWSA-N 0 1 284.323 0.532 20 30 CCEDMN C#CCCCC(=O)NCCN1CCC(NC(C)=O)CC1 ZINC001055567452 853086852 /nfs/dbraw/zinc/08/68/52/853086852.db2.gz BTOKUMCZDAODCF-UHFFFAOYSA-N 0 1 279.384 0.507 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN1CCC(NC(C)=O)CC1 ZINC001055608388 853089407 /nfs/dbraw/zinc/08/94/07/853089407.db2.gz QWOZXVKVLJIRNX-UHFFFAOYSA-N 0 1 281.400 0.915 20 30 CCEDMN N#Cc1cnc(N2CCN(C(=O)c3ccn[nH]3)CC2)cn1 ZINC001055722057 853108963 /nfs/dbraw/zinc/10/89/63/853108963.db2.gz LGIZBYYVERXIBL-UHFFFAOYSA-N 0 1 283.295 0.034 20 30 CCEDMN Cc1cc(C(=O)N2CCN(c3ccc(C#N)nn3)CC2)n[nH]1 ZINC001055738966 853111172 /nfs/dbraw/zinc/11/11/72/853111172.db2.gz SSELNUMKZQALKW-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](Nc3ccncc3C#N)C2)n[nH]1 ZINC001056587832 853175710 /nfs/dbraw/zinc/17/57/10/853175710.db2.gz VGHLLHZXKRWYIO-GFCCVEGCSA-N 0 1 296.334 0.733 20 30 CCEDMN CN(c1ccnc(C#N)n1)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001056868708 853236718 /nfs/dbraw/zinc/23/67/18/853236718.db2.gz HBKNOMQACHQWIW-JTQLQIEISA-N 0 1 297.322 0.422 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C(C)(F)F)C1 ZINC001264072644 853244167 /nfs/dbraw/zinc/24/41/67/853244167.db2.gz BRPPTLRZQMIKMQ-LLVKDONJSA-N 0 1 274.311 0.560 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3nccnc3N)[C@@H]2C1 ZINC001050003656 853291448 /nfs/dbraw/zinc/29/14/48/853291448.db2.gz MLOLEDXVKYYOMV-NWDGAFQWSA-N 0 1 285.351 0.228 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)[C@H](O)C(C)C)[C@@H]2C1 ZINC001050037037 853299009 /nfs/dbraw/zinc/29/90/09/853299009.db2.gz MMASKYZYGORWOY-RRFJBIMHSA-N 0 1 278.396 0.949 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cc3ccn(C)n3)[C@@H]2C1 ZINC001050045072 853301583 /nfs/dbraw/zinc/30/15/83/853301583.db2.gz VDEAFYLVIZXSLB-DZGCQCFKSA-N 0 1 286.379 0.519 20 30 CCEDMN C=CCN1CCC2(CN(C(=O)CS(C)(=O)=O)C2)CC1 ZINC001050443684 853374132 /nfs/dbraw/zinc/37/41/32/853374132.db2.gz DOSSGXRHGGICFU-UHFFFAOYSA-N 0 1 286.397 0.141 20 30 CCEDMN C=C(C)CN1CCOC[C@H]1CNC(=O)[C@H]1CCCN1C ZINC001050848640 853460260 /nfs/dbraw/zinc/46/02/60/853460260.db2.gz LTXLGUWWWPVTMB-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN C=CCN1CCOC[C@H]1CNC(=O)[C@H]1CCCCN1C ZINC001050981448 853500856 /nfs/dbraw/zinc/50/08/56/853500856.db2.gz GWCYSHOFLUAZHE-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN N#Cc1cccnc1N1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001058268818 853808365 /nfs/dbraw/zinc/80/83/65/853808365.db2.gz XMUNAOFCCIWYIC-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1ccc(NCC2CC(NC(=O)c3cnn[nH]3)C2)nc1 ZINC001067900825 853864626 /nfs/dbraw/zinc/86/46/26/853864626.db2.gz FCYRHXKCSVGMSN-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(C(N)=O)c[nH]1)C2 ZINC001098113069 854021960 /nfs/dbraw/zinc/02/19/60/854021960.db2.gz GKSPKJCDBQNFGH-WZRBSPASSA-N 0 1 288.351 0.635 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CC2CCC2)C[C@@H]1n1ccnn1 ZINC001070167438 854030807 /nfs/dbraw/zinc/03/08/07/854030807.db2.gz UGYNALIRQVRMGE-KGLIPLIRSA-N 0 1 287.367 0.443 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](C)C2CC2)C[C@@H]1n1ccnn1 ZINC001070168368 854032876 /nfs/dbraw/zinc/03/28/76/854032876.db2.gz FKVBSTPRRZMSCT-BNOWGMLFSA-N 0 1 287.367 0.299 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cocc2C)C[C@@H]1n1ccnn1 ZINC001070253479 854038704 /nfs/dbraw/zinc/03/87/04/854038704.db2.gz SKABWRIIAWFBCA-KGLIPLIRSA-N 0 1 299.334 0.468 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)c1ccc[nH]1)C[C@@H](C)O2 ZINC001071136791 854126919 /nfs/dbraw/zinc/12/69/19/854126919.db2.gz PSHQXTVMGNTVQD-CZUORRHYSA-N 0 1 287.363 0.953 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nonc1C)C2 ZINC001098131700 854133708 /nfs/dbraw/zinc/13/37/08/854133708.db2.gz POZYZJAHMTWNFY-RTXFEEFZSA-N 0 1 276.340 0.828 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN2CCCC2=O)CC[C@H]1C ZINC001071340901 854161394 /nfs/dbraw/zinc/16/13/94/854161394.db2.gz VPBSQTPGWHUHOC-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C2CN(C(C)=O)C2)CC[C@H]1C ZINC001071463844 854207438 /nfs/dbraw/zinc/20/74/38/854207438.db2.gz SOBVQEZELDHUDR-IUODEOHRSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@H]1C ZINC001071501094 854221570 /nfs/dbraw/zinc/22/15/70/854221570.db2.gz IFLDYIDFMFGFSN-YPMHNXCESA-N 0 1 289.383 0.648 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)CC[C@H]1C ZINC001071693185 854265623 /nfs/dbraw/zinc/26/56/23/854265623.db2.gz MZNLUMMIULKQHM-OCCSQVGLSA-N 0 1 287.363 0.932 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2[nH]nnc2C)CC[C@@H]1C ZINC001071732575 854274448 /nfs/dbraw/zinc/27/44/48/854274448.db2.gz WVPOJPUBMYZWNI-GXSJLCMTSA-N 0 1 263.345 0.882 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cnoc2)CC[C@H]1C ZINC001071759378 854282274 /nfs/dbraw/zinc/28/22/74/854282274.db2.gz GYNGRMPZEATKDA-YPMHNXCESA-N 0 1 261.325 0.819 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@@H]1C ZINC001071776596 854287883 /nfs/dbraw/zinc/28/78/83/854287883.db2.gz WDCPKVMBVILBAN-NWDGAFQWSA-N 0 1 288.351 0.376 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2nccc2C)CC[C@@H]1C ZINC001071865991 854310889 /nfs/dbraw/zinc/31/08/89/854310889.db2.gz PNDGZNBITBFWRA-GXTWGEPZSA-N 0 1 274.368 0.794 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001072039215 854339862 /nfs/dbraw/zinc/33/98/62/854339862.db2.gz OUDJSAVNCMDTIV-GHMZBOCLSA-N 0 1 289.339 0.041 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001072039215 854339865 /nfs/dbraw/zinc/33/98/65/854339865.db2.gz OUDJSAVNCMDTIV-GHMZBOCLSA-N 0 1 289.339 0.041 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccccn3)C2)C1 ZINC001072392120 854377206 /nfs/dbraw/zinc/37/72/06/854377206.db2.gz PXLJTEXVQGRINK-UHFFFAOYSA-N 0 1 255.321 0.863 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3nnn(C)c3C)C2)C1 ZINC001072574889 854420198 /nfs/dbraw/zinc/42/01/98/854420198.db2.gz VSENCUWLBWWHTC-UHFFFAOYSA-N 0 1 287.367 0.295 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCC[C@@H]3OC)C2)C1 ZINC001072871759 854484433 /nfs/dbraw/zinc/48/44/33/854484433.db2.gz MQFLMFRJEJXQGN-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COC3CCOCC3)C2)C1 ZINC001073028207 854518296 /nfs/dbraw/zinc/51/82/96/854518296.db2.gz DMCFUHOXJWCWTK-UHFFFAOYSA-N 0 1 292.379 0.350 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@]3(C)CCOC3)C2)C1 ZINC001073082534 854527423 /nfs/dbraw/zinc/52/74/23/854527423.db2.gz XVTKVXYRCVRTBA-CQSZACIVSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(CC4CC4)nn3)C2)C1 ZINC001073087254 854528968 /nfs/dbraw/zinc/52/89/68/854528968.db2.gz NGDRZLYBBSKWJW-UHFFFAOYSA-N 0 1 299.378 0.469 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cncn3C)C2)C1 ZINC001073127584 854534098 /nfs/dbraw/zinc/53/40/98/854534098.db2.gz GNFOZZMVHGNHLY-UHFFFAOYSA-N 0 1 272.352 0.130 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3c(C)nn(C)c3F)C2)C1 ZINC001073155243 854539789 /nfs/dbraw/zinc/53/97/89/854539789.db2.gz WUNAHOKOHSRBAX-UHFFFAOYSA-N 0 1 290.342 0.649 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2ccn[nH]2)C1 ZINC001073540093 854584603 /nfs/dbraw/zinc/58/46/03/854584603.db2.gz YENNICQULDMNKY-GFCCVEGCSA-N 0 1 276.340 0.254 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cc(OC)no2)C1 ZINC001073578123 854601556 /nfs/dbraw/zinc/60/15/56/854601556.db2.gz DKDDQCVVELCDCR-NSHDSACASA-N 0 1 295.339 0.690 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001073732450 854636547 /nfs/dbraw/zinc/63/65/47/854636547.db2.gz PAFVEMNNQYUHIY-OLZOCXBDSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccncn2)C1 ZINC001073821637 854647668 /nfs/dbraw/zinc/64/76/68/854647668.db2.gz ODWSIUDIMVHPJH-GFCCVEGCSA-N 0 1 276.340 0.483 20 30 CCEDMN C=C(C)CN1CCO[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC001074167853 854685210 /nfs/dbraw/zinc/68/52/10/854685210.db2.gz XJUDINORCIMMIX-KBPBESRZSA-N 0 1 290.367 0.901 20 30 CCEDMN C#CCCN1CCO[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001074181440 854688000 /nfs/dbraw/zinc/68/80/00/854688000.db2.gz UZSSTSGDAJWOMA-ZIAGYGMSSA-N 0 1 288.351 0.348 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)C[C@H]1C ZINC001075058979 854809437 /nfs/dbraw/zinc/80/94/37/854809437.db2.gz XMUOCMTUZVFDMO-GHMZBOCLSA-N 0 1 291.355 0.880 20 30 CCEDMN Cc1nc(CC(=O)N[C@]23CCC[C@H]2CN(CC#N)C3)n[nH]1 ZINC001098829800 854892893 /nfs/dbraw/zinc/89/28/93/854892893.db2.gz RANZTWSYNQVFDP-FZMZJTMJSA-N 0 1 288.355 0.150 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001098889375 854895892 /nfs/dbraw/zinc/89/58/92/854895892.db2.gz MGZZRZPJICRDOL-NHYWBVRUSA-N 0 1 288.351 0.641 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ccoc2)[C@@H](O)C1 ZINC001099659184 854959506 /nfs/dbraw/zinc/95/95/06/854959506.db2.gz FMSMKHJOQIUDQB-KGLIPLIRSA-N 0 1 294.351 0.647 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCSC)[C@H](O)C1 ZINC001099663269 854961143 /nfs/dbraw/zinc/96/11/43/854961143.db2.gz MAQLZSPCVFPTQV-NWDGAFQWSA-N 0 1 270.398 0.314 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C\c2ccc[nH]2)[C@@H](O)C1 ZINC001099796504 854997052 /nfs/dbraw/zinc/99/70/52/854997052.db2.gz DQAYDGNQLRCIKV-BEWKBBBFSA-N 0 1 287.363 0.603 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C/c2ccc[nH]2)[C@@H](O)C1 ZINC001099796505 854997524 /nfs/dbraw/zinc/99/75/24/854997524.db2.gz DQAYDGNQLRCIKV-KXLSMFKISA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CC[N@H+](CCOCC)C[C@H]1O ZINC001099807021 854999231 /nfs/dbraw/zinc/99/92/31/854999231.db2.gz JQCDDUSINYYOQY-ZIAGYGMSSA-N 0 1 282.384 0.378 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CCN(CCOC(C)C)C[C@H]1O ZINC001099820329 855002189 /nfs/dbraw/zinc/00/21/89/855002189.db2.gz CWACNNPQEYPHGG-LSDHHAIUSA-N 0 1 296.411 0.622 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(COCC)CC2)[C@H](O)C1 ZINC001099897627 855023634 /nfs/dbraw/zinc/02/36/34/855023634.db2.gz VSXISRFDLSXYJA-QWHCGFSZSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CCN(CCO)C[C@H]2O)CC1 ZINC001099998690 855067467 /nfs/dbraw/zinc/06/74/67/855067467.db2.gz LCYKSGAIKCKCSM-CHWSQXEVSA-N 0 1 282.384 0.277 20 30 CCEDMN CN(CCNC(=O)Cc1cnc[nH]1)c1ccncc1C#N ZINC001100354273 855154575 /nfs/dbraw/zinc/15/45/75/855154575.db2.gz TYBWBMXEDWSIAJ-UHFFFAOYSA-N 0 1 284.323 0.471 20 30 CCEDMN CN(CCNC(=O)CCc1cnc[nH]1)c1nccnc1C#N ZINC001100380142 855158007 /nfs/dbraw/zinc/15/80/07/855158007.db2.gz CZMBFQNRUFVOMC-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)C2CCC2)[C@H](C)C1 ZINC001101658575 855333837 /nfs/dbraw/zinc/33/38/37/855333837.db2.gz ZBMCZIUDNOQRHI-TZMCWYRMSA-N 0 1 291.395 0.220 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)C(C)C)[C@H](C)C1 ZINC001101727016 855353763 /nfs/dbraw/zinc/35/37/63/855353763.db2.gz CQODLKJAZCMXNP-CHWSQXEVSA-N 0 1 279.384 0.076 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H]1CN(CC(=O)NC)C[C@H]1C ZINC001101892321 855388393 /nfs/dbraw/zinc/38/83/93/855388393.db2.gz QYYGWSJOVTVSPX-ZIAGYGMSSA-N 0 1 293.411 0.610 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)Cn2nccn2)[C@H](C)C1 ZINC001102003703 855406473 /nfs/dbraw/zinc/40/64/73/855406473.db2.gz LEAUURMYQAMHCP-ZYHUDNBSSA-N 0 1 297.790 0.715 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)[C@H]2C[C@@H]2C)[C@H](C)C1 ZINC001102227899 855420994 /nfs/dbraw/zinc/42/09/94/855420994.db2.gz MVQSHEHXJCCNGF-DGAVXFQQSA-N 0 1 293.411 0.629 20 30 CCEDMN CC[C@@H](CNC(=O)c1ncn[nH]1)Nc1ccncc1C#N ZINC001103171104 855503626 /nfs/dbraw/zinc/50/36/26/855503626.db2.gz XBKYFFGJRPASRN-JTQLQIEISA-N 0 1 285.311 0.114 20 30 CCEDMN CC[C@@H](CNC(=O)c1nc[nH]n1)Nc1ccncc1C#N ZINC001103171104 855503629 /nfs/dbraw/zinc/50/36/29/855503629.db2.gz XBKYFFGJRPASRN-JTQLQIEISA-N 0 1 285.311 0.114 20 30 CCEDMN CC[C@H](CNC(=O)Cc1cnc[nH]1)Nc1nccnc1C#N ZINC001103334752 855512207 /nfs/dbraw/zinc/51/22/07/855512207.db2.gz MCVZYRFUSVAJQO-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@@H](CNC(=O)Cc1nnc[nH]1)CNc1ncccc1C#N ZINC001104074624 855566456 /nfs/dbraw/zinc/56/64/56/855566456.db2.gz CHGOXKCXXMTHCM-JTQLQIEISA-N 0 1 299.338 0.478 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](c1cccnc1)N(C)C ZINC001115305731 855660565 /nfs/dbraw/zinc/66/05/65/855660565.db2.gz BYOXTHBGYGQSEI-FXUDXRNXSA-N 0 1 298.390 0.364 20 30 CCEDMN C=CCN(C(=O)CCc1cnc[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC001116759620 855828283 /nfs/dbraw/zinc/82/82/83/855828283.db2.gz HYVRVDRATFMGLA-LBPRGKRZSA-N 0 1 297.380 0.544 20 30 CCEDMN C=C[C@H](COC)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC001117652525 856035878 /nfs/dbraw/zinc/03/58/78/856035878.db2.gz LOATWKPMGSABRN-NXEZZACHSA-N 0 1 264.329 0.767 20 30 CCEDMN N#CCCC[C@H](N)C(=O)N1CC(=O)Nc2cnccc21 ZINC001118221897 856211968 /nfs/dbraw/zinc/21/19/68/856211968.db2.gz LQVCJFYGXAJTRJ-VIFPVBQESA-N 0 1 273.296 0.388 20 30 CCEDMN CCN(C(=O)C(=O)N1CC[C@](F)(C#N)C1)[C@H]1CCN(C)C1 ZINC001118468412 856305464 /nfs/dbraw/zinc/30/54/64/856305464.db2.gz ROZVMYCQIJVTRF-FZMZJTMJSA-N 0 1 296.346 0.003 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)NC[C@@H]2CC[NH+]2CC)C1 ZINC001119304184 856624761 /nfs/dbraw/zinc/62/47/61/856624761.db2.gz IVDSUEGFAGPNKR-KBPBESRZSA-N 0 1 278.400 0.477 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCc1nc(CSC)n[nH]1 ZINC001119444239 856687658 /nfs/dbraw/zinc/68/76/58/856687658.db2.gz WSVJRVTXQACXEC-SECBINFHSA-N 0 1 297.384 0.505 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCc1nnc(CSC)[nH]1 ZINC001119444239 856687661 /nfs/dbraw/zinc/68/76/61/856687661.db2.gz WSVJRVTXQACXEC-SECBINFHSA-N 0 1 297.384 0.505 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C#N)nc2)CC1 ZINC001119715751 856851561 /nfs/dbraw/zinc/85/15/61/856851561.db2.gz YWTQYZIEYVQKKI-UHFFFAOYSA-N 0 1 268.320 0.781 20 30 CCEDMN C#CC1(O)CN(C(=O)c2ccc3cncn3c2C)C1 ZINC001119920805 856922988 /nfs/dbraw/zinc/92/29/88/856922988.db2.gz SPFCUJNKQRMOCS-UHFFFAOYSA-N 0 1 255.277 0.463 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)[C@@H]2CCCO2)CC1 ZINC001323385826 912314123 /nfs/dbraw/zinc/31/41/23/912314123.db2.gz XQKWPRSITOJIBW-RYUDHWBXSA-N 0 1 295.383 0.095 20 30 CCEDMN CCn1nncc1CNC1(CNC(=O)C#CC2CC2)CC1 ZINC001323438226 912343399 /nfs/dbraw/zinc/34/33/99/912343399.db2.gz YFIDKRZMGMPEDZ-UHFFFAOYSA-N 0 1 287.367 0.450 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)C2CC3(CC3)C2)CC1 ZINC001323538818 912397783 /nfs/dbraw/zinc/39/77/83/912397783.db2.gz BLJFLKXODAPPQD-UHFFFAOYSA-N 0 1 290.367 0.055 20 30 CCEDMN C=CC[C@H]1NC(=O)N([C@@H]2CCc3nc[nH]c3C2)C1=O ZINC001324236866 912731674 /nfs/dbraw/zinc/73/16/74/912731674.db2.gz ONDGIHKYHXDNTA-PSASIEDQSA-N 0 1 260.297 0.764 20 30 CCEDMN Cc1c[nH]nc1CNCCNC(=O)C1N=CC=CC1=O ZINC001324573304 912918019 /nfs/dbraw/zinc/91/80/19/912918019.db2.gz WUORONHKVHMERX-OUKQBFOZSA-N 0 1 275.312 0.334 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cc[n+]([O-])cc2)C1 ZINC001324910413 913093041 /nfs/dbraw/zinc/09/30/41/913093041.db2.gz RUNZDDNBQBQQBI-INIZCTEOSA-N 0 1 287.363 0.785 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)C2CCOCC2)C1 ZINC001325168660 913253228 /nfs/dbraw/zinc/25/32/28/913253228.db2.gz KSRBEEJWNUKRHQ-CYBMUJFWSA-N 0 1 296.411 0.931 20 30 CCEDMN N#Cc1cccc(CN[C@@H](CO)CNC(=O)C2CC2)c1 ZINC001394562749 913259687 /nfs/dbraw/zinc/25/96/87/913259687.db2.gz HVSKNCHWCDLSRF-CQSZACIVSA-N 0 1 273.336 0.535 20 30 CCEDMN C#CCN(CC1CC1)[C@@H](C)C(=O)N1CCN(CC)CC1 ZINC001325182557 913263929 /nfs/dbraw/zinc/26/39/29/913263929.db2.gz WLTQXJKTXYPWSL-AWEZNQCLSA-N 0 1 277.412 0.884 20 30 CCEDMN Cc1ncsc1CN[C@H](CO)CNC(=O)[C@H](C)C#N ZINC001394577491 913283360 /nfs/dbraw/zinc/28/33/60/913283360.db2.gz JSUOIKAAULYRQP-SCZZXKLOSA-N 0 1 282.369 0.178 20 30 CCEDMN CC#CCCNC(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC001325494176 913433804 /nfs/dbraw/zinc/43/38/04/913433804.db2.gz CYASWSDMQHMWEZ-WCQYABFASA-N 0 1 277.368 0.115 20 30 CCEDMN COCC#CCN(CCNC(=O)c1cccnn1)C1CC1 ZINC001493427162 891512943 /nfs/dbraw/zinc/51/29/43/891512943.db2.gz ISAKUCMKWIABGW-UHFFFAOYSA-N 0 1 288.351 0.321 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CCc1cc(C)on1 ZINC001480910928 891752061 /nfs/dbraw/zinc/75/20/61/891752061.db2.gz OVYDDTDTALJWRE-UHFFFAOYSA-N 0 1 293.367 0.613 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)CC[C@@H](C)OC)C1 ZINC001325831222 913600536 /nfs/dbraw/zinc/60/05/36/913600536.db2.gz AZKFXRNLVASNLB-UKRRQHHQSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@]3(O)C[C@H]3C2)CC1 ZINC001351086523 891880938 /nfs/dbraw/zinc/88/09/38/891880938.db2.gz HFYKKPVIZGHYSQ-DZGCQCFKSA-N 0 1 262.353 0.315 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)CO[C@H](C)CC)C1 ZINC001325833065 913602173 /nfs/dbraw/zinc/60/21/73/913602173.db2.gz HBVKNIKOJLRRFG-UKRRQHHQSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCN(CC)CCNC(=O)C(=O)Nc1ccccc1 ZINC001480985899 892014786 /nfs/dbraw/zinc/01/47/86/892014786.db2.gz LLUQBJVJFYVCRU-UHFFFAOYSA-N 0 1 273.336 0.696 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001481052086 892117399 /nfs/dbraw/zinc/11/73/99/892117399.db2.gz JWIFQFCJDHLYMK-IUODEOHRSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCN(CCNC(=O)CCc1cnn(C)c1)C1CC1 ZINC001481200718 892304205 /nfs/dbraw/zinc/30/42/05/892304205.db2.gz RSPIABCGCQAUAF-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)CCC(=O)NCC ZINC001481214281 892357293 /nfs/dbraw/zinc/35/72/93/892357293.db2.gz TXRQBTKBGMPBCO-UHFFFAOYSA-N 0 1 275.780 0.703 20 30 CCEDMN C#CCNCC(=O)N1CCC(c2cc(C)n[nH]2)CC1 ZINC001341811370 892601668 /nfs/dbraw/zinc/60/16/68/892601668.db2.gz PYZCAJOWANSPGR-UHFFFAOYSA-N 0 1 260.341 0.647 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H]2CC[C@@H](C1)N2CCO ZINC001481380212 892604871 /nfs/dbraw/zinc/60/48/71/892604871.db2.gz UJFDARNOZZSJSB-BETUJISGSA-N 0 1 250.342 0.313 20 30 CCEDMN C=C(C)CN1CCN(CCN(C)C(=O)c2ncc[nH]2)CC1 ZINC001481469050 892713348 /nfs/dbraw/zinc/71/33/48/892713348.db2.gz UKNSRIPYQPQUNP-UHFFFAOYSA-N 0 1 291.399 0.675 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)C[C@@H](C)COC)CC1 ZINC001481471668 892715654 /nfs/dbraw/zinc/71/56/54/892715654.db2.gz CPQKDHNQSARFBR-OAHLLOKOSA-N 0 1 297.443 0.921 20 30 CCEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001481499592 892748205 /nfs/dbraw/zinc/74/82/05/892748205.db2.gz XZPPLUCZESNGMR-UTUOFQBUSA-N 0 1 299.802 0.641 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1CN(Cc2n[nH]c(C)n2)C1 ZINC001481638273 892950258 /nfs/dbraw/zinc/95/02/58/892950258.db2.gz ACSAUUAGXYFQTE-UHFFFAOYSA-N 0 1 277.372 0.873 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CN(C)C(=O)C2CC2)C1 ZINC001481702278 893043955 /nfs/dbraw/zinc/04/39/55/893043955.db2.gz QGULPXURFROWRN-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCc2nnc(C)s2)C1 ZINC001481704069 893050586 /nfs/dbraw/zinc/05/05/86/893050586.db2.gz ZMVMMMIAMAKYEU-LLVKDONJSA-N 0 1 296.396 0.740 20 30 CCEDMN CC[C@H](CNC(=O)C#CC1CC1)NCc1cnnn1C ZINC001481810988 893182675 /nfs/dbraw/zinc/18/26/75/893182675.db2.gz KPLNGFXXPKFLCB-GFCCVEGCSA-N 0 1 275.356 0.213 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H](C)NC(=O)CCc1nc[nH]n1 ZINC001498252822 893315062 /nfs/dbraw/zinc/31/50/62/893315062.db2.gz ABYQGBUUSRODRC-SNVBAGLBSA-N 0 1 285.779 0.926 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001284310379 893505027 /nfs/dbraw/zinc/50/50/27/893505027.db2.gz TWYIXQGCKSYNFB-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001284310379 893505015 /nfs/dbraw/zinc/50/50/15/893505015.db2.gz TWYIXQGCKSYNFB-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C1CN(CC[C@@H]2CCOC2)C1 ZINC001269787750 893645307 /nfs/dbraw/zinc/64/53/07/893645307.db2.gz SFUVOQKGJKAZNH-UONOGXRCSA-N 0 1 294.395 0.594 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)[C@@H](C)CNCc1cnns1 ZINC001482276491 893744355 /nfs/dbraw/zinc/74/43/55/893744355.db2.gz AKVUOLAYXQQTIP-GXFFZTMASA-N 0 1 298.412 0.802 20 30 CCEDMN C#CC[C@@H](NC(=O)c1ccc2[nH]nnc2c1)C(=O)OC ZINC001299178119 894083080 /nfs/dbraw/zinc/08/30/80/894083080.db2.gz CWZFAMXRYXVJHM-SNVBAGLBSA-N 0 1 272.264 0.253 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@@H]([C@H]2CCOC2)C1 ZINC001355650736 894136482 /nfs/dbraw/zinc/13/64/82/894136482.db2.gz IWDUFBPZXIVLEW-KGLIPLIRSA-N 0 1 264.369 0.874 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)Cn2cc(C)cn2)C1 ZINC001271942837 894226981 /nfs/dbraw/zinc/22/69/81/894226981.db2.gz UYSDWVVLEPMKPI-HNNXBMFYSA-N 0 1 292.383 0.321 20 30 CCEDMN CCn1nccc1C(=O)N(C)CCN(C)CC#CCOC ZINC001482592379 894339312 /nfs/dbraw/zinc/33/93/12/894339312.db2.gz BOFZLTNZQYGVTD-UHFFFAOYSA-N 0 1 292.383 0.557 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(C)nnc1CC ZINC001482609485 894395560 /nfs/dbraw/zinc/39/55/60/894395560.db2.gz WPFYRLOTBUXIBH-UHFFFAOYSA-N 0 1 274.368 0.984 20 30 CCEDMN C#CCC[N@H+](C)CCN(C)C(=O)[C@@]1(C)CCOC1 ZINC001482638364 894437898 /nfs/dbraw/zinc/43/78/98/894437898.db2.gz QQMOREUYCMJOMC-AWEZNQCLSA-N 0 1 252.358 0.827 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001482642629 894442071 /nfs/dbraw/zinc/44/20/71/894442071.db2.gz NQJQYFFIOGLKMU-CYBMUJFWSA-N 0 1 288.395 0.928 20 30 CCEDMN C#CCCCC(=O)NC/C=C\CNCC(=O)N1CCCC1 ZINC001483004735 894793693 /nfs/dbraw/zinc/79/36/93/894793693.db2.gz UBVDRNMIQQTESX-WAYWQWQTSA-N 0 1 291.395 0.674 20 30 CCEDMN COc1cccc(CNC[C@@H](C)NC(=O)[C@@H](C)C#N)n1 ZINC001483115598 894937369 /nfs/dbraw/zinc/93/73/69/894937369.db2.gz OAFMYDQCIWQFHB-WDEREUQCSA-N 0 1 276.340 0.844 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCn2ccnc2C1 ZINC001483354947 895493478 /nfs/dbraw/zinc/49/34/78/895493478.db2.gz DRSUXNQPTPFASS-QWHCGFSZSA-N 0 1 274.368 0.515 20 30 CCEDMN C#CC[N@H+](C)C[C@H](C)NC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001483409399 895546815 /nfs/dbraw/zinc/54/68/15/895546815.db2.gz SPTTVAJEIFTSBM-NWDGAFQWSA-N 0 1 274.368 0.584 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001483409399 895546823 /nfs/dbraw/zinc/54/68/23/895546823.db2.gz SPTTVAJEIFTSBM-NWDGAFQWSA-N 0 1 274.368 0.584 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cncnc1CC ZINC001483423275 895556840 /nfs/dbraw/zinc/55/68/40/895556840.db2.gz YLUOOHLIBDDFAW-LLVKDONJSA-N 0 1 260.341 0.722 20 30 CCEDMN N#CCC[C@H](C#N)CN[C@H](CO)[C@H]1CCCOC1 ZINC001326519500 913999393 /nfs/dbraw/zinc/99/93/93/913999393.db2.gz PXJASKLJEJNLQL-FRRDWIJNSA-N 0 1 251.330 0.807 20 30 CCEDMN C=CCN(CCNC(=O)[C@@H]1C[C@]12CCOC2)CCOC ZINC001508055499 895786414 /nfs/dbraw/zinc/78/64/14/895786414.db2.gz FKGOYPYPBGSWTJ-ZFWWWQNUSA-N 0 1 282.384 0.664 20 30 CCEDMN C=CCCC(=O)NCC1(N[C@H](C)C(=O)N(C)C)CC1 ZINC001483721145 895914548 /nfs/dbraw/zinc/91/45/48/895914548.db2.gz PFWDWOFBKDMOTN-LLVKDONJSA-N 0 1 267.373 0.668 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)/C=C(\C)CC)CC1 ZINC001483732964 895934867 /nfs/dbraw/zinc/93/48/67/895934867.db2.gz MIGYIFKNPRMIFO-RSKUSDAESA-N 0 1 291.395 0.719 20 30 CCEDMN CC(C)c1nc(CNC(=O)N2CCO[C@](C)(C#N)C2)n[nH]1 ZINC001414657037 895990447 /nfs/dbraw/zinc/99/04/47/895990447.db2.gz ORMRSLRJQWDLBJ-CYBMUJFWSA-N 0 1 292.343 0.752 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)C2CN(C(C)=O)C2)C1 ZINC001483861957 896088621 /nfs/dbraw/zinc/08/86/21/896088621.db2.gz ABKDCGSGBXKHRC-UHFFFAOYSA-N 0 1 279.384 0.574 20 30 CCEDMN NC(=O)C(=O)N1CCC[C@H]1CNCC#Cc1ccccc1 ZINC001483943589 896129071 /nfs/dbraw/zinc/12/90/71/896129071.db2.gz URGNINNQYJRDJL-AWEZNQCLSA-N 0 1 285.347 0.104 20 30 CCEDMN C=CCN(CCC#N)C(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001520190770 896199440 /nfs/dbraw/zinc/19/94/40/896199440.db2.gz DFFHVAZESYUFGY-STQMWFEESA-N 0 1 262.357 0.303 20 30 CCEDMN C#CCCCCCC(=O)NC[C@@]1(O)CC[N@@H+](CC#C)C1 ZINC001484231370 896264774 /nfs/dbraw/zinc/26/47/74/896264774.db2.gz WIVQYGFGWLSXHR-INIZCTEOSA-N 0 1 276.380 0.756 20 30 CCEDMN C#CCCCCCC(=O)NC[C@@]1(O)CCN(CC#C)C1 ZINC001484231370 896264785 /nfs/dbraw/zinc/26/47/85/896264785.db2.gz WIVQYGFGWLSXHR-INIZCTEOSA-N 0 1 276.380 0.756 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001484233270 896268539 /nfs/dbraw/zinc/26/85/39/896268539.db2.gz ANDXUYRWQSVMOD-WBVHZDCISA-N 0 1 284.359 0.513 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1C[C@H]2CN(CC#N)C[C@H]2C1 ZINC001484409464 896378091 /nfs/dbraw/zinc/37/80/91/896378091.db2.gz RFRIUJQRGOXENT-HZSPNIEDSA-N 0 1 296.390 0.580 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)Cc2ncc[nH]2)C1 ZINC001484445991 896415778 /nfs/dbraw/zinc/41/57/78/896415778.db2.gz MXEOPEUUFALBIQ-CQSZACIVSA-N 0 1 278.356 0.081 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](NC(C)=O)C[C@@H]1C ZINC001484969098 896688533 /nfs/dbraw/zinc/68/85/33/896688533.db2.gz KWZNLIINTHZFKG-GXTWGEPZSA-N 0 1 294.399 0.738 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)CCc2cnn(C)c2)C[C@@H]1C ZINC001484986451 896695000 /nfs/dbraw/zinc/69/50/00/896695000.db2.gz RMJKGENJDYOVCN-DZGCQCFKSA-N 0 1 288.395 0.955 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CCN(CC(=O)NC)[C@H](C)C1 ZINC001484979074 896699161 /nfs/dbraw/zinc/69/91/61/896699161.db2.gz XCZYUNLXVMWDEI-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cn(C)cn1 ZINC001485055943 896733142 /nfs/dbraw/zinc/73/31/42/896733142.db2.gz NSENVZRCQOUGBZ-ZDUSSCGKSA-N 0 1 274.368 0.980 20 30 CCEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)CC[NH+]1CCOCC1 ZINC001485074234 896756149 /nfs/dbraw/zinc/75/61/49/896756149.db2.gz UYFIWMZFYXPGKJ-OAHLLOKOSA-N 0 1 295.427 0.818 20 30 CCEDMN C#CC[NH2+][C@H](C)[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001485200063 896847566 /nfs/dbraw/zinc/84/75/66/896847566.db2.gz PHOCWBUHXZJHBH-NXEZZACHSA-N 0 1 288.351 0.789 20 30 CCEDMN CCn1ccc(CC(=O)NC2(C#N)CCN(C)CC2)n1 ZINC001326707723 914111471 /nfs/dbraw/zinc/11/14/71/914111471.db2.gz LTVKDFWCXMBQDS-UHFFFAOYSA-N 0 1 275.356 0.550 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@@H]1CCC(=O)NC1 ZINC001485314512 896942246 /nfs/dbraw/zinc/94/22/46/896942246.db2.gz AXNVMPQTRPMXDM-OLZOCXBDSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)COC[C@@H]1CCCO1 ZINC001485393749 897018454 /nfs/dbraw/zinc/01/84/54/897018454.db2.gz CWGTYXVTPZEITB-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)COC[C@@H]1CCCO1 ZINC001485395258 897019862 /nfs/dbraw/zinc/01/98/62/897019862.db2.gz LMULKAMLTSZJNV-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN COC[C@H](O)C[N@H+]1C[C@@H](NC(=O)C#CC2CC2)C(C)(C)C1 ZINC001485568610 897120440 /nfs/dbraw/zinc/12/04/40/897120440.db2.gz DPOCUJMFMFQVFC-ZIAGYGMSSA-N 0 1 294.395 0.234 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1C[N@H+](CCOC)CC1(C)C ZINC001485569706 897120509 /nfs/dbraw/zinc/12/05/09/897120509.db2.gz NFNIEWASXXGOOQ-ZDUSSCGKSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H](CC)NC(C)=O)C(C)(C)C1 ZINC001485575812 897135387 /nfs/dbraw/zinc/13/53/87/897135387.db2.gz TWFQGZAEQXEBRF-STQMWFEESA-N 0 1 281.400 0.914 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccnc(C)n1 ZINC001492859774 914132526 /nfs/dbraw/zinc/13/25/26/914132526.db2.gz NDBWDFMSBKEYPQ-UHFFFAOYSA-N 0 1 276.340 0.096 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@H]1C[C@H](CNCC#N)C1 ZINC001485693377 897190513 /nfs/dbraw/zinc/19/05/13/897190513.db2.gz BDGOUMKHLBWBAH-AVGNSLFASA-N 0 1 264.373 0.479 20 30 CCEDMN C[C@H](CCCNCc1cnn(C)n1)NC(=O)[C@@H](C)C#N ZINC001485714271 897207480 /nfs/dbraw/zinc/20/74/80/897207480.db2.gz DAONVHYVNUBTKD-WDEREUQCSA-N 0 1 278.360 0.349 20 30 CCEDMN C#CC[NH2+]C[C@@H](C1CC1)N(C)C(=O)c1ncccc1[O-] ZINC001485808786 897262245 /nfs/dbraw/zinc/26/22/45/897262245.db2.gz RLFCRFMOTIFBDI-LBPRGKRZSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CC(C)(C)O ZINC001032380655 897373518 /nfs/dbraw/zinc/37/35/18/897373518.db2.gz URIFRRKKLGKSAK-RYUDHWBXSA-N 0 1 250.342 0.456 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(=O)n(C)cn1 ZINC001032453288 897584818 /nfs/dbraw/zinc/58/48/18/897584818.db2.gz VZXZYVLJMBQCRD-RYUDHWBXSA-N 0 1 288.351 0.255 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cnc(C)n1C ZINC001272771039 897640971 /nfs/dbraw/zinc/64/09/71/897640971.db2.gz CDMCGLYCJIKHCN-HUUCEWRRSA-N 0 1 286.379 0.927 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001043022095 897872911 /nfs/dbraw/zinc/87/29/11/897872911.db2.gz OAQGPSPVHZYLDA-ZDUSSCGKSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@]2(C)CCOC2)C1 ZINC001077899466 898010834 /nfs/dbraw/zinc/01/08/34/898010834.db2.gz HMBBGQQHOSTUOS-YRGRVCCFSA-N 0 1 268.357 0.150 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccco2)[C@@H](O)C1 ZINC001083795393 898048286 /nfs/dbraw/zinc/04/82/86/898048286.db2.gz YPMVCMVPVVBMQH-SYQHCUMBSA-N 0 1 288.347 0.568 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc3cc[nH]c32)[C@@H](O)C1 ZINC001083796062 898085943 /nfs/dbraw/zinc/08/59/43/898085943.db2.gz INUOSNAXIQANHP-CABCVRRESA-N 0 1 297.358 0.966 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CC[C@H]2CN(Cc3cn[nH]c3)C[C@H]21 ZINC001272886877 898124075 /nfs/dbraw/zinc/12/40/75/898124075.db2.gz YHRPWCZPKAUKRW-REWJHTLYSA-N 0 1 285.351 0.602 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(CC(=O)OCC)C2)C1=O ZINC001272888805 898124563 /nfs/dbraw/zinc/12/45/63/898124563.db2.gz BKCLVXSOYHMLQD-CQSZACIVSA-N 0 1 266.341 0.660 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H](C)NC(=O)CCc1cnc[nH]1 ZINC001078134867 898258285 /nfs/dbraw/zinc/25/82/85/898258285.db2.gz KWQNZHYBSFZYNS-QWRGUYRKSA-N 0 1 291.355 0.513 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC(C)C)[C@@H](O)C1 ZINC001099639677 898420733 /nfs/dbraw/zinc/42/07/33/898420733.db2.gz MYUYGFMEGKAKTA-STQMWFEESA-N 0 1 252.358 0.607 20 30 CCEDMN C[C@H](CN(C)C(=O)C#CC1CC1)NCc1ccn(C)n1 ZINC001485870587 898478326 /nfs/dbraw/zinc/47/83/26/898478326.db2.gz HBTTWBLCOGDYFU-GFCCVEGCSA-N 0 1 274.368 0.770 20 30 CCEDMN C[C@@H](CN(C)C(=O)C#CC1CC1)NCc1ccn(C)n1 ZINC001485870589 898479619 /nfs/dbraw/zinc/47/96/19/898479619.db2.gz HBTTWBLCOGDYFU-LBPRGKRZSA-N 0 1 274.368 0.770 20 30 CCEDMN Cc1ncccc1CN[C@@H](CO)CNC(=O)[C@H](C)C#N ZINC001485981655 898565755 /nfs/dbraw/zinc/56/57/55/898565755.db2.gz FCRBNMQMBFNLQN-ZWNOBZJWSA-N 0 1 276.340 0.116 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccn(-c2ccccc2)n1 ZINC001486012379 898586078 /nfs/dbraw/zinc/58/60/78/898586078.db2.gz YPFATNDZJZHRAS-CYBMUJFWSA-N 0 1 298.346 0.186 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](C)c1c(F)cccc1F ZINC001486017002 898589082 /nfs/dbraw/zinc/58/90/82/898589082.db2.gz OPQSAQJLFUKPPW-MNOVXSKESA-N 0 1 296.317 0.768 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CNC(=O)C2CC2)C1 ZINC001486104113 898662020 /nfs/dbraw/zinc/66/20/20/898662020.db2.gz BUJVXBXZPUFVBB-JQWIXIFHSA-N 0 1 299.802 0.844 20 30 CCEDMN COCC#CCN1CC[C@@]2(NC(=O)COC)CCC[C@H]12 ZINC001486135126 898680228 /nfs/dbraw/zinc/68/02/28/898680228.db2.gz JBFZCADEUNYZST-ZFWWWQNUSA-N 0 1 280.368 0.396 20 30 CCEDMN N#CCNC[C@H]1CC[C@@H](NC(=O)CN2CCCC2)C1 ZINC001486220522 898719292 /nfs/dbraw/zinc/71/92/92/898719292.db2.gz MGRSZSMPBDDFCJ-QWHCGFSZSA-N 0 1 264.373 0.480 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cc(C)nc(C)c1 ZINC001486336686 898797614 /nfs/dbraw/zinc/79/76/14/898797614.db2.gz BWRFDNABZYXZOM-HNNXBMFYSA-N 0 1 289.379 0.696 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H](CC(C)C)OC ZINC001486349101 898828593 /nfs/dbraw/zinc/82/85/93/898828593.db2.gz OSYVPAZNRYUJQB-LSDHHAIUSA-N 0 1 298.427 0.822 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCN(C)C(=O)[C@@H](C)C#N)[nH]1 ZINC001532926558 899077140 /nfs/dbraw/zinc/07/71/40/899077140.db2.gz CNBSVNMQGOBLCJ-VIFPVBQESA-N 0 1 277.328 0.408 20 30 CCEDMN C=CCCC(=O)NC1CN(C[C@@H]2CCNC(=O)CC2)C1 ZINC001494353417 899078525 /nfs/dbraw/zinc/07/85/25/899078525.db2.gz AWQKCOBXFOYXTO-LBPRGKRZSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H]1CN(C(=O)c2cc[nH]n2)CCO1 ZINC001410158340 899247512 /nfs/dbraw/zinc/24/75/12/899247512.db2.gz PJTTXRWPTWMNPV-ZYHUDNBSSA-N 0 1 298.774 0.981 20 30 CCEDMN COc1ccc(CN[C@H](CO)CNC(C)=O)cc1C#N ZINC001410523702 899451992 /nfs/dbraw/zinc/45/19/92/899451992.db2.gz MXWVVHPJPVQRIE-ZDUSSCGKSA-N 0 1 277.324 0.153 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CN(C(=O)[C@H]2CCCCN2C)C1 ZINC001410919803 899627555 /nfs/dbraw/zinc/62/75/55/899627555.db2.gz FDBIXUNHBHMYHW-WCQYABFASA-N 0 1 292.383 0.300 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cccnn1 ZINC001411132481 899693754 /nfs/dbraw/zinc/69/37/54/899693754.db2.gz UXJLFLNCBRLBGK-JTQLQIEISA-N 0 1 284.747 0.252 20 30 CCEDMN N#CCN1CCC(NC(=O)c2n[nH]cc2[N+](=O)[O-])CC1 ZINC001324598395 899787746 /nfs/dbraw/zinc/78/77/46/899787746.db2.gz AASADNSAMALDCN-UHFFFAOYSA-N 0 1 278.272 0.036 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@H]1CCC(=O)NC1 ZINC001130701965 899816993 /nfs/dbraw/zinc/81/69/93/899816993.db2.gz BNQGRPAYMQVNAN-HNNXBMFYSA-N 0 1 299.374 0.270 20 30 CCEDMN CN(C)S(=O)(=O)Nc1ccc(C#N)cc1C#N ZINC001251097921 900060461 /nfs/dbraw/zinc/06/04/61/900060461.db2.gz HPCUIJNKIFUGCZ-UHFFFAOYSA-N 0 1 250.283 0.648 20 30 CCEDMN C[C@H](CCCCNC(=O)[C@@H](C)C#N)NC(=O)c1cnn[nH]1 ZINC001489137630 900439033 /nfs/dbraw/zinc/43/90/33/900439033.db2.gz JJMZPEBNWKQGJY-VHSXEESVSA-N 0 1 292.343 0.369 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C[C@H](C)OC)C1 ZINC001489156376 900441575 /nfs/dbraw/zinc/44/15/75/900441575.db2.gz SLDZRLUNLHZGSD-UONOGXRCSA-N 0 1 282.384 0.330 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2cn(C)nn2)[C@H]1C ZINC001489317728 900465379 /nfs/dbraw/zinc/46/53/79/900465379.db2.gz QGUNGQZZGSEGFF-OCCSQVGLSA-N 0 1 289.383 0.350 20 30 CCEDMN CN(CCCNC(=O)C#CC1CC1)[C@H]1CCN(C)C1=O ZINC001490193820 900563040 /nfs/dbraw/zinc/56/30/40/900563040.db2.gz YUSKHQIRNDZNDH-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CCN(CCNC(=O)Cc2cnoc2)CC1 ZINC001490499669 900643195 /nfs/dbraw/zinc/64/31/95/900643195.db2.gz DWJWUMALSWOGEE-UHFFFAOYSA-N 0 1 278.356 0.137 20 30 CCEDMN COCC#CCN1CCN(CCCNC(=O)C2CC2)CC1 ZINC001490462678 900643282 /nfs/dbraw/zinc/64/32/82/900643282.db2.gz UKGGMALLZLWLEB-UHFFFAOYSA-N 0 1 293.411 0.170 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CC[N@@H+](CCN2CCNC2=O)C1 ZINC001490538244 900653123 /nfs/dbraw/zinc/65/31/23/900653123.db2.gz ZNAVXWYGPPUOJC-ZDUSSCGKSA-N 0 1 292.383 0.006 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN(CCN2CCNC2=O)C1 ZINC001490538244 900653126 /nfs/dbraw/zinc/65/31/26/900653126.db2.gz ZNAVXWYGPPUOJC-ZDUSSCGKSA-N 0 1 292.383 0.006 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cnn(C)n2)C1 ZINC001490560904 900668159 /nfs/dbraw/zinc/66/81/59/900668159.db2.gz BEJMMKVDMSTLNY-LBPRGKRZSA-N 0 1 263.345 0.124 20 30 CCEDMN CC1CCN(CC(=O)NC[C@H](NCC#N)C2CC2)CC1 ZINC001490721840 900699856 /nfs/dbraw/zinc/69/98/56/900699856.db2.gz GUKHEFJSZLKEDS-AWEZNQCLSA-N 0 1 278.400 0.726 20 30 CCEDMN C#CCN1CCC(C(=O)OCCOC(=O)N(C)C)CC1 ZINC001320669495 900937084 /nfs/dbraw/zinc/93/70/84/900937084.db2.gz ZKQVDXNMGISODG-UHFFFAOYSA-N 0 1 282.340 0.573 20 30 CCEDMN C#CCSCC(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001299447697 901002809 /nfs/dbraw/zinc/00/28/09/901002809.db2.gz YQBHJVBKLPWANP-UHFFFAOYSA-N 0 1 287.348 0.849 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC([C@H](C)NC(=O)CN2CCCC2)C1 ZINC001411944429 901348152 /nfs/dbraw/zinc/34/81/52/901348152.db2.gz UHOCPPDDAPUSGM-NEPJUHHUSA-N 0 1 292.383 0.205 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@@H](C)NC(=O)[C@H](C)C#N)[nH]1 ZINC001412451652 901736653 /nfs/dbraw/zinc/73/66/53/901736653.db2.gz AFVVFPZPEPIZAQ-RKDXNWHRSA-N 0 1 277.328 0.455 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)[C@H](O)c1cccnc1 ZINC001412460961 901738227 /nfs/dbraw/zinc/73/82/27/901738227.db2.gz PMKSNORALKABKS-MVWJERBFSA-N 0 1 291.307 0.323 20 30 CCEDMN COC[C@@H](NC(=O)c1cc(C#N)oc1C)c1nn[nH]n1 ZINC001412605527 901862169 /nfs/dbraw/zinc/86/21/69/901862169.db2.gz KHZRYSLEPMZXSO-SECBINFHSA-N 0 1 276.256 0.090 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@H]3C[C@]32C(N)=O)c1O ZINC001276215574 901973290 /nfs/dbraw/zinc/97/32/90/901973290.db2.gz MEIUFWQVBIMXKS-LKFCYVNXSA-N 0 1 271.276 0.354 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCC3(C[C@@H]3C(N)=O)CC2)c1O ZINC001276215948 901974532 /nfs/dbraw/zinc/97/45/32/901974532.db2.gz SOQQSZWJHZWTGE-GFCCVEGCSA-N 0 1 299.330 0.991 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCN(C)C(=O)COC)C1=O ZINC001327174136 902061584 /nfs/dbraw/zinc/06/15/84/902061584.db2.gz XHXIBGSISLEYQI-ZDUSSCGKSA-N 0 1 297.399 0.200 20 30 CCEDMN Cc1ncc(C(=O)N(CCNC(=O)[C@H](C)C#N)C2CC2)[nH]1 ZINC001398366566 914637730 /nfs/dbraw/zinc/63/77/30/914637730.db2.gz NKUHRDHWINVJJW-SECBINFHSA-N 0 1 289.339 0.599 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC1(C)CCN(CC#N)CC1 ZINC001045385657 902685590 /nfs/dbraw/zinc/68/55/90/902685590.db2.gz UYVLQOOKVWPYDF-GFCCVEGCSA-N 0 1 264.373 0.575 20 30 CCEDMN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1cccc(CC#N)n1 ZINC001413461723 902966768 /nfs/dbraw/zinc/96/67/68/902966768.db2.gz YOPNCVTWKIPVIV-SECBINFHSA-N 0 1 296.352 0.366 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H](O)c2cnc[nH]2)ccc1F ZINC001413566737 903058453 /nfs/dbraw/zinc/05/84/53/903058453.db2.gz VTOABFAEVSSJBJ-GFCCVEGCSA-N 0 1 274.255 0.884 20 30 CCEDMN Cc1cc(CN[C@H](C)CNC(=O)[C@H](C)C#N)ncn1 ZINC001491414126 903560758 /nfs/dbraw/zinc/56/07/58/903560758.db2.gz IODCXIQAIPUTII-MWLCHTKSSA-N 0 1 261.329 0.539 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)NCc1cc2n(n1)CCCC2 ZINC001491413679 903561820 /nfs/dbraw/zinc/56/18/20/903561820.db2.gz GGQPLYUPZUZEFI-VXGBXAGGSA-N 0 1 289.383 0.973 20 30 CCEDMN COc1ccnc(CN[C@@H](C)CNC(=O)[C@H](C)C#N)c1 ZINC001491413182 903562686 /nfs/dbraw/zinc/56/26/86/903562686.db2.gz HCQZJIWHCPMTMJ-MNOVXSKESA-N 0 1 276.340 0.844 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)NCc1cncc(OC)n1 ZINC001491440082 903596657 /nfs/dbraw/zinc/59/66/57/903596657.db2.gz BAEIYZQDHZRECE-GFCCVEGCSA-N 0 1 290.367 0.883 20 30 CCEDMN N#CC1(CNC(=O)Cc2n[nH]c(C3CC3)n2)CCOCC1 ZINC001413788728 903657921 /nfs/dbraw/zinc/65/79/21/903657921.db2.gz QNDNPVQESGSNHU-UHFFFAOYSA-N 0 1 289.339 0.661 20 30 CCEDMN CCN1CCCN(C(=O)C(=O)NCC2(CC#N)CC2)CC1 ZINC001327738535 914739376 /nfs/dbraw/zinc/73/93/76/914739376.db2.gz KDPNDVTVSIJGFO-UHFFFAOYSA-N 0 1 292.383 0.351 20 30 CCEDMN C[C@H](C(N)=O)N1CCC[C@@H](NC(=O)C#CC(C)(C)C)CC1 ZINC001280733303 904143554 /nfs/dbraw/zinc/14/35/54/904143554.db2.gz NWQRWEMXNFSYOG-CHWSQXEVSA-N 0 1 293.411 0.880 20 30 CCEDMN C[C@H](CN(C)C(=O)c1ccn[nH]1)NC(=O)C#CC1CC1 ZINC001280981763 904191505 /nfs/dbraw/zinc/19/15/05/904191505.db2.gz VCGZFPFJBXPZPS-SNVBAGLBSA-N 0 1 274.324 0.400 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CN(C(=O)c2cccc3c[nH]nc32)C1 ZINC001398697742 914792865 /nfs/dbraw/zinc/79/28/65/914792865.db2.gz UHDSNFFXNBCRAI-VIFPVBQESA-N 0 1 297.318 0.663 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)CS(C)(=O)=O)C[C@@H]1C ZINC001281651730 904321288 /nfs/dbraw/zinc/32/12/88/904321288.db2.gz KRUJYQZDSUQYOV-RYUDHWBXSA-N 0 1 288.413 0.576 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H](C)OCCC=C)CC1 ZINC001281799338 904347155 /nfs/dbraw/zinc/34/71/55/904347155.db2.gz RRHKXRJIRBZNSE-CQSZACIVSA-N 0 1 294.395 0.544 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)Cn1cccn1 ZINC001281918728 904367874 /nfs/dbraw/zinc/36/78/74/904367874.db2.gz COOZTSLLMOMAAI-AWEZNQCLSA-N 0 1 274.368 0.829 20 30 CCEDMN CCc1n[nH]cc1C(=O)NC[C@@H](C)N(C)CC#CCOC ZINC001282422945 904472803 /nfs/dbraw/zinc/47/28/03/904472803.db2.gz ICGPTIBODIFRKM-GFCCVEGCSA-N 0 1 292.383 0.672 20 30 CCEDMN C=CCOCCN1CC([C@H](C)NC(=O)c2cccnn2)C1 ZINC001282738920 904533251 /nfs/dbraw/zinc/53/32/51/904533251.db2.gz IBBFBOAIRMBHGK-LBPRGKRZSA-N 0 1 290.367 0.729 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@]2(C1)CN(C(C)C)CCO2 ZINC001282773358 904540297 /nfs/dbraw/zinc/54/02/97/904540297.db2.gz QKKUWFUQNZMNQA-GDBMZVCRSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@H](CNCc2cn(C)nn2)C1 ZINC001283034140 904678071 /nfs/dbraw/zinc/67/80/71/904678071.db2.gz IIJRVYVJXNGIJX-JOCQHMNTSA-N 0 1 289.383 0.603 20 30 CCEDMN C=C1CC(C)(C(=O)NCCNC(=O)c2cnn[nH]2)C1 ZINC001283105700 904696370 /nfs/dbraw/zinc/69/63/70/904696370.db2.gz ORAZEZWANDJWCG-UHFFFAOYSA-N 0 1 263.301 0.007 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001283245685 904777855 /nfs/dbraw/zinc/77/78/55/904777855.db2.gz JODMZSZRIYHXTL-GHMZBOCLSA-N 0 1 297.355 0.876 20 30 CCEDMN Cn1cncc1[C@@H]1C[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC001327901038 914846190 /nfs/dbraw/zinc/84/61/90/914846190.db2.gz MYLIBZRXXRHZJC-VXGBXAGGSA-N 0 1 287.367 0.628 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@H](C)NCc1ocnc1C ZINC001283608516 904927189 /nfs/dbraw/zinc/92/71/89/904927189.db2.gz RIKIQYDNYRPGFI-AAEUAGOBSA-N 0 1 293.367 0.958 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](C)Oc1ccccc1F ZINC001283760636 905001518 /nfs/dbraw/zinc/00/15/18/905001518.db2.gz XBYJJCRVOXPHIL-NWDGAFQWSA-N 0 1 294.326 0.293 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001283785185 905014976 /nfs/dbraw/zinc/01/49/76/905014976.db2.gz RCPAXHSBAGMPOG-APIJFGDWSA-N 0 1 278.396 0.903 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](CO)NCc1cncn1C ZINC001283792238 905017252 /nfs/dbraw/zinc/01/72/52/905017252.db2.gz JVAJYLZKNGDBFK-LLVKDONJSA-N 0 1 280.372 0.199 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1CCC(F)CC1 ZINC001283804446 905023323 /nfs/dbraw/zinc/02/33/23/905023323.db2.gz QJKQFOSROPCPNV-WXRRBKDZSA-N 0 1 270.348 0.605 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)CC1CC(C)(C)C1 ZINC001283797521 905023394 /nfs/dbraw/zinc/02/33/94/905023394.db2.gz PQCICXYBSJFLJK-CQSZACIVSA-N 0 1 296.411 0.529 20 30 CCEDMN C=C1CCC(C(=O)NC[C@@H](CO)NCCF)CC1 ZINC001283803665 905023813 /nfs/dbraw/zinc/02/38/13/905023813.db2.gz KLNXAXWGINOWTI-LBPRGKRZSA-N 0 1 258.337 0.769 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H](F)c1ccccc1 ZINC001283812406 905027187 /nfs/dbraw/zinc/02/71/87/905027187.db2.gz HMVFIGHIRGQGNH-UONOGXRCSA-N 0 1 278.327 0.787 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1[nH]ccc1-c1ccccc1 ZINC001283818610 905036325 /nfs/dbraw/zinc/03/63/25/905036325.db2.gz RKYYHYWBOZIMEV-CQSZACIVSA-N 0 1 297.358 0.995 20 30 CCEDMN CCn1cc(CNCC2CC(NC(=O)[C@@H](C)C#N)C2)nn1 ZINC001377688384 905102864 /nfs/dbraw/zinc/10/28/64/905102864.db2.gz KWFCKVZGXUGUIG-UNXYVOJBSA-N 0 1 290.371 0.442 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](NC(=O)CCc2c[nH]nn2)C1 ZINC001284043196 905131624 /nfs/dbraw/zinc/13/16/24/905131624.db2.gz UPEMYTRGAOOVNK-HAQNSBGRSA-N 0 1 291.355 0.467 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001284043196 905131633 /nfs/dbraw/zinc/13/16/33/905131633.db2.gz UPEMYTRGAOOVNK-HAQNSBGRSA-N 0 1 291.355 0.467 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)NC(=O)Cc1n[nH]c(C)n1 ZINC001284254763 905219948 /nfs/dbraw/zinc/21/99/48/905219948.db2.gz NXECOYYLMKYXEF-JTQLQIEISA-N 0 1 293.371 0.633 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cnn(C)c1Cl ZINC001284503302 905337693 /nfs/dbraw/zinc/33/76/93/905337693.db2.gz ZXUYQHXYYQIWSD-SNVBAGLBSA-N 0 1 298.774 0.071 20 30 CCEDMN C#CCCCC(=O)N(C)CCNC(=O)CN1CCCC1 ZINC001284527558 905363402 /nfs/dbraw/zinc/36/34/02/905363402.db2.gz OMWXYKYGFPHHDR-UHFFFAOYSA-N 0 1 279.384 0.460 20 30 CCEDMN CC[C@H](CNC(=O)[C@H](C)C#N)NCC(=O)Nc1ccon1 ZINC001378239444 905524463 /nfs/dbraw/zinc/52/44/63/905524463.db2.gz BYABWZHUWKSPLT-NXEZZACHSA-N 0 1 293.327 0.257 20 30 CCEDMN C#CCCCC(=O)NC[C@H](CC)NC(=O)[C@H]1CCCN1C ZINC001285047947 905535315 /nfs/dbraw/zinc/53/53/15/905535315.db2.gz ZOEADZSNECEXRW-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001285399581 905611826 /nfs/dbraw/zinc/61/18/26/905611826.db2.gz LHRIVLPGIUTBEO-WDEREUQCSA-N 0 1 291.355 0.786 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)NC(=O)c1[nH]ncc1F ZINC001285692147 905721279 /nfs/dbraw/zinc/72/12/79/905721279.db2.gz QJSHYINCMJYJAY-AMIZOPFISA-N 0 1 298.318 0.110 20 30 CCEDMN CC(C)C#CC(=O)N(C)[C@H](C)CNC(=O)c1[nH]ncc1F ZINC001285848028 905783688 /nfs/dbraw/zinc/78/36/88/905783688.db2.gz MVWQHWGRXVZQCU-SNVBAGLBSA-N 0 1 294.330 0.785 20 30 CCEDMN CCN(C(=O)C#CC1CC1)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001288630930 906043815 /nfs/dbraw/zinc/04/38/15/906043815.db2.gz JDLKVILASQPOLN-SNVBAGLBSA-N 0 1 289.339 0.185 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cnn(CC)n1 ZINC001379364487 906190242 /nfs/dbraw/zinc/19/02/42/906190242.db2.gz ZDANIBPUEQACBV-SECBINFHSA-N 0 1 271.752 0.758 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@@H]1CCCN1C ZINC001292583928 906286874 /nfs/dbraw/zinc/28/68/74/906286874.db2.gz JSPRXTKGVOFVKU-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCNC(=O)[C@H]1CCCN1C ZINC001292583927 906291340 /nfs/dbraw/zinc/29/13/40/906291340.db2.gz JSPRXTKGVOFVKU-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)C(=O)Cc2c[nH]cn2)C1 ZINC001293640480 906501220 /nfs/dbraw/zinc/50/12/20/906501220.db2.gz JPTWAKFPTDALJQ-ZDUSSCGKSA-N 0 1 290.367 0.978 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](NC(=O)[C@@H]2CCC[N@@H+]2C)C1 ZINC001295022678 906664353 /nfs/dbraw/zinc/66/43/53/906664353.db2.gz QGAVUXBCNVGWSN-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001295022678 906664359 /nfs/dbraw/zinc/66/43/59/906664359.db2.gz QGAVUXBCNVGWSN-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2nonc2C)CC1 ZINC001380174680 906668049 /nfs/dbraw/zinc/66/80/49/906668049.db2.gz YTTXGVAFHJNBTJ-UHFFFAOYSA-N 0 1 270.720 0.983 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001295555370 906761535 /nfs/dbraw/zinc/76/15/35/906761535.db2.gz ANCKWSOMNPNIOJ-JTQLQIEISA-N 0 1 277.328 0.233 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001295700167 906792887 /nfs/dbraw/zinc/79/28/87/906792887.db2.gz IXFAUPKCMHZHPX-GFCCVEGCSA-N 0 1 290.367 0.883 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)C[C@H](C)c2nn[nH]n2)c1 ZINC001296063556 906859554 /nfs/dbraw/zinc/85/95/54/906859554.db2.gz JIUVTIOIGWFNME-VIFPVBQESA-N 0 1 270.296 0.452 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCNC(=O)Cc1cnc[nH]1 ZINC001296253164 906885558 /nfs/dbraw/zinc/88/55/58/906885558.db2.gz JBESBACDSKVUTM-VXGBXAGGSA-N 0 1 292.383 0.985 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)NC(=O)[C@@H]1CCCN1C ZINC001298749546 907317554 /nfs/dbraw/zinc/31/75/54/907317554.db2.gz CUTADDQLWVRATN-STQMWFEESA-N 0 1 279.384 0.361 20 30 CCEDMN CCc1cc(C(=O)N(C)C[C@@H](C)NC(=O)[C@H](C)C#N)n[nH]1 ZINC001381877745 907439061 /nfs/dbraw/zinc/43/90/61/907439061.db2.gz WEZBTYFMPHAHPC-NXEZZACHSA-N 0 1 291.355 0.708 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(C)=O)[C@H]1C ZINC001382293526 907666755 /nfs/dbraw/zinc/66/67/55/907666755.db2.gz VIYZOCNRLVMTGN-GXSJLCMTSA-N 0 1 273.764 0.454 20 30 CCEDMN CC#CCCCC(=O)NCC[C@@H](C)NCc1cn(C)nn1 ZINC001492071830 907691668 /nfs/dbraw/zinc/69/16/68/907691668.db2.gz MHWLKSWMILYHFO-CYBMUJFWSA-N 0 1 291.399 0.993 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CCCc2n[nH]cc21 ZINC001492252218 907804016 /nfs/dbraw/zinc/80/40/16/907804016.db2.gz KKBUIMDITYBRGH-LBPRGKRZSA-N 0 1 274.368 0.901 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H](C)Cn1ccc(C)n1 ZINC001492263073 907815076 /nfs/dbraw/zinc/81/50/76/907815076.db2.gz UPNUBVWPHOTRMH-GFCCVEGCSA-N 0 1 262.357 0.509 20 30 CCEDMN C=CC[N@H+](C)CCNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001492306001 907833542 /nfs/dbraw/zinc/83/35/42/907833542.db2.gz WIEUOWCMWISFRY-LLVKDONJSA-N 0 1 253.346 0.089 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@H]1CCOC[C@@H]1OCC ZINC001492348649 907869750 /nfs/dbraw/zinc/86/97/50/907869750.db2.gz RBGLAXNRVUFVGX-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1COCCN1C1CCCC1 ZINC001492384105 907889054 /nfs/dbraw/zinc/88/90/54/907889054.db2.gz LVHMNMLPEUZDBS-OAHLLOKOSA-N 0 1 293.411 0.311 20 30 CCEDMN COC[C@H]1CNCCN1C(=O)NCC(C)(C)CC#N ZINC001336876919 908013049 /nfs/dbraw/zinc/01/30/49/908013049.db2.gz LQIMWDYRKAUKCY-LLVKDONJSA-N 0 1 268.361 0.556 20 30 CCEDMN CCN(C(=O)C(=O)N1CCC[C@@H](C#N)C1)[C@H]1CCN(C)C1 ZINC001338142839 921378096 /nfs/dbraw/zinc/37/80/96/921378096.db2.gz KZOXYWKULWQHML-STQMWFEESA-N 0 1 292.383 0.301 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1C[C@H]1C(=O)OC ZINC001317475706 908455031 /nfs/dbraw/zinc/45/50/31/908455031.db2.gz APISUNMKQFNENM-NWDGAFQWSA-N 0 1 266.341 0.257 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)C[C@@H](C)OC ZINC001317477677 908460846 /nfs/dbraw/zinc/46/08/46/908460846.db2.gz VYNBCYFNFLOKKA-CYBMUJFWSA-N 0 1 270.373 0.499 20 30 CCEDMN C=C(C)CNS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC001309420548 908465552 /nfs/dbraw/zinc/46/55/52/908465552.db2.gz STFREUZOXJJHKR-UHFFFAOYSA-N 0 1 257.271 0.844 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)CCn1cccn1 ZINC001317495881 908526716 /nfs/dbraw/zinc/52/67/16/908526716.db2.gz YUECFLUQOZULKA-AWEZNQCLSA-N 0 1 274.368 0.877 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H]1CCCN1CCOCCO ZINC001317500087 908545793 /nfs/dbraw/zinc/54/57/93/908545793.db2.gz VGNMBXBOPLQGFS-AWEZNQCLSA-N 0 1 282.384 0.235 20 30 CCEDMN CO[C@@H]1CC[C@H](NC(=O)NCC#CCN(C)C)C1 ZINC001312503886 908606268 /nfs/dbraw/zinc/60/62/68/908606268.db2.gz HJMARACMSXQPQE-NWDGAFQWSA-N 0 1 253.346 0.418 20 30 CCEDMN COCC#CCN(C)C[C@H]1CCCN1C(=O)CCCOC ZINC001328707076 908705811 /nfs/dbraw/zinc/70/58/11/908705811.db2.gz KGQIWYJKWQSPKG-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN CCC(C)(C)NC(=O)CN(C)CCCNC(=O)[C@H](C)C#N ZINC001316815404 908739605 /nfs/dbraw/zinc/73/96/05/908739605.db2.gz NPGLGWHECWXRBY-GFCCVEGCSA-N 0 1 296.415 0.889 20 30 CCEDMN CC(=O)N[C@H]1CC[N@@H+](CC(=O)NCC#N)CC(C)(C)C1 ZINC001316808235 908776265 /nfs/dbraw/zinc/77/62/65/908776265.db2.gz SDDSHFGTEWQOBZ-LBPRGKRZSA-N 0 1 280.372 0.253 20 30 CCEDMN CC(=O)N[C@H]1CCN(CC(=O)NCC#N)CC(C)(C)C1 ZINC001316808235 908776273 /nfs/dbraw/zinc/77/62/73/908776273.db2.gz SDDSHFGTEWQOBZ-LBPRGKRZSA-N 0 1 280.372 0.253 20 30 CCEDMN C/C=C(/C)C(=O)NCCCN(CC(=O)NCC#N)C1CC1 ZINC001316912859 908832638 /nfs/dbraw/zinc/83/26/38/908832638.db2.gz BPCLCPVDVCGCGD-BASWHVEKSA-N 0 1 292.383 0.563 20 30 CCEDMN C=CCCC(=O)NCCCN(C1CC1)[C@@H]1CCNC1=O ZINC001316911454 908832848 /nfs/dbraw/zinc/83/28/48/908832848.db2.gz LRHYDCCQJOMJNF-CYBMUJFWSA-N 0 1 279.384 0.812 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)COCCOC)C1 ZINC001316941211 908857368 /nfs/dbraw/zinc/85/73/68/908857368.db2.gz MTHOBEQOAMBEKK-ZDUSSCGKSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H]2CC2(C)C)CC1 ZINC001316963779 908875012 /nfs/dbraw/zinc/87/50/12/908875012.db2.gz LESFXAWXZDHFOR-CYBMUJFWSA-N 0 1 265.401 0.952 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)Cc2nnc(C)o2)C1 ZINC001316976043 908896568 /nfs/dbraw/zinc/89/65/68/908896568.db2.gz IDMWRTAYHOSGOU-GFCCVEGCSA-N 0 1 294.355 0.314 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1C[C@H](NCc2ccn(C)n2)C1 ZINC001317092680 908972385 /nfs/dbraw/zinc/97/23/85/908972385.db2.gz FXNPMDNANBEEDZ-HDJSIYSDSA-N 0 1 292.383 0.750 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](NCc2ccn(C)n2)C1 ZINC001317104426 908981798 /nfs/dbraw/zinc/98/17/98/908981798.db2.gz GSIZKIFULYDPKT-ZMLRMANQSA-N 0 1 261.329 0.317 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1C[C@@H](NCc2cscn2)C1 ZINC001317110391 908987235 /nfs/dbraw/zinc/98/72/35/908987235.db2.gz SSXMTGKWMMDJKY-SDDRHHMPSA-N 0 1 293.392 0.918 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@@H]1CCN(C)C1=O ZINC001317174134 909028135 /nfs/dbraw/zinc/02/81/35/909028135.db2.gz SBGDRKHXXKSHSW-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H](C)NCc1oc(C)nc1C ZINC001317243375 909082432 /nfs/dbraw/zinc/08/24/32/909082432.db2.gz WZXKGDFBIZRARO-LLVKDONJSA-N 0 1 293.367 0.926 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC[C@@H](C)NCc1nnc(C)[nH]1 ZINC001317390548 909170176 /nfs/dbraw/zinc/17/01/76/909170176.db2.gz QJWFQAZUJJTIIV-YGRLFVJLSA-N 0 1 295.387 0.425 20 30 CCEDMN CC#CCCCC(=O)NCCN(CC)[C@@H]1CCCNC1=O ZINC001317485517 909253178 /nfs/dbraw/zinc/25/31/78/909253178.db2.gz VHHVWAPEDLOWLL-CQSZACIVSA-N 0 1 293.411 0.897 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)CCc1cn[nH]c1 ZINC001317511708 909281358 /nfs/dbraw/zinc/28/13/58/909281358.db2.gz TXVWBDKZXGTMNO-CQSZACIVSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN([C@H]2CCNC2=O)C1 ZINC001317521715 909292321 /nfs/dbraw/zinc/29/23/21/909292321.db2.gz HBLPPKUMLBLOAJ-NEPJUHHUSA-N 0 1 265.357 0.279 20 30 CCEDMN CC[C@@H](C(N)=O)N1CC[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001317524063 909292975 /nfs/dbraw/zinc/29/29/75/909292975.db2.gz XUMPCIFZLSKOLU-STQMWFEESA-N 0 1 293.411 0.738 20 30 CCEDMN CC#CCN[C@@H](CNC(=O)[C@@H]1CCC(=O)N1)c1ccccc1 ZINC001317726775 909477585 /nfs/dbraw/zinc/47/75/85/909477585.db2.gz CBNAEFXLVHXUFH-GJZGRUSLSA-N 0 1 299.374 0.735 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)C[C@@H](C)OC)CC1 ZINC001317817049 909510023 /nfs/dbraw/zinc/51/00/23/909510023.db2.gz UOCLJMUTKUWATJ-CQSZACIVSA-N 0 1 283.416 0.673 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)CNC(=O)NC)C1 ZINC001318032527 909592863 /nfs/dbraw/zinc/59/28/63/909592863.db2.gz PMHKWCVVPGCVPU-JTQLQIEISA-N 0 1 268.361 0.071 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H](COC)OC)C1 ZINC001318060004 909603018 /nfs/dbraw/zinc/60/30/18/909603018.db2.gz AOPFIGICHHWFOP-YPMHNXCESA-N 0 1 270.373 0.803 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@@H]2CCOC2)C1 ZINC001318107805 909623258 /nfs/dbraw/zinc/62/32/58/909623258.db2.gz PQMKKSQLAUUFAS-CYBMUJFWSA-N 0 1 268.357 0.274 20 30 CCEDMN C=CCN1CC(CNC(=O)C(=O)Nc2cc(C)on2)C1 ZINC001318173271 909652470 /nfs/dbraw/zinc/65/24/70/909652470.db2.gz PROWWJFAQPUWSP-UHFFFAOYSA-N 0 1 278.312 0.156 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COc2c(C)nn(C)c2C)C1 ZINC001318254009 909676046 /nfs/dbraw/zinc/67/60/46/909676046.db2.gz ZWFLCWDIQZTLFQ-ZDUSSCGKSA-N 0 1 292.383 0.792 20 30 CCEDMN COC[C@H](C)N1CC[C@@H](NC(=O)CSCC#N)C1 ZINC001318311883 909700327 /nfs/dbraw/zinc/70/03/27/909700327.db2.gz FCHFVIAPRCIMPK-WDEREUQCSA-N 0 1 271.386 0.469 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@@H](CC)OC)C1 ZINC001318320439 909704401 /nfs/dbraw/zinc/70/44/01/909704401.db2.gz YLBDQNZAMXJTSH-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CNC(=O)CCC(C)C)C1 ZINC001318437065 909752694 /nfs/dbraw/zinc/75/26/94/909752694.db2.gz MZTQQZTZFLTKRK-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCc2cn(C)nc2C)C1 ZINC001318437029 909756357 /nfs/dbraw/zinc/75/63/57/909756357.db2.gz MFFLFQFOAUZUSA-OAHLLOKOSA-N 0 1 288.395 0.827 20 30 CCEDMN CCNC(=O)CN1CCC2(C[C@H]2NC(=O)[C@H](C)C#N)CC1 ZINC001389529646 909956900 /nfs/dbraw/zinc/95/69/00/909956900.db2.gz RASBAJLNESFCQM-VXGBXAGGSA-N 0 1 292.383 0.253 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1COCCO1)C1CC1 ZINC001389597558 909989095 /nfs/dbraw/zinc/98/90/95/909989095.db2.gz YVLXWGBZQLIFFZ-RYUDHWBXSA-N 0 1 288.775 0.639 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H]1CNC(=O)CCc1cnc[nH]1 ZINC001389702848 910036863 /nfs/dbraw/zinc/03/68/63/910036863.db2.gz UFWRICUFIGBIHD-PWSUYJOCSA-N 0 1 289.339 0.219 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)Cc2cc[nH]n2)C1 ZINC001319296371 910079547 /nfs/dbraw/zinc/07/95/47/910079547.db2.gz CBIXJRYBNCULSW-AWEZNQCLSA-N 0 1 292.383 0.735 20 30 CCEDMN CN1CCC(O)(CNS(=O)(=O)CCCCC#N)CC1 ZINC001319628904 910237681 /nfs/dbraw/zinc/23/76/81/910237681.db2.gz NZRQMHNPACWCBB-UHFFFAOYSA-N 0 1 289.401 0.056 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NCc2nnnn2C)[C@@H](C)C1 ZINC001319670988 910252469 /nfs/dbraw/zinc/25/24/69/910252469.db2.gz BFWZPHIHRNDFMX-NWDGAFQWSA-N 0 1 292.387 0.503 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H](C)COC ZINC001319982097 910395831 /nfs/dbraw/zinc/39/58/31/910395831.db2.gz ZVNSGOCFXXQSSC-ZDUSSCGKSA-N 0 1 270.373 0.309 20 30 CCEDMN CN(CCNC(=O)c1cnn(C)n1)Cc1ccc(C#N)cc1 ZINC001390831119 910715256 /nfs/dbraw/zinc/71/52/56/910715256.db2.gz NPPBUSZSAYUCRS-UHFFFAOYSA-N 0 1 298.350 0.549 20 30 CCEDMN CCN(CCNCc1snnc1C)C(=O)[C@@H](C)C#N ZINC001320694180 910806583 /nfs/dbraw/zinc/80/65/83/910806583.db2.gz DEAWHDWAGQEJCB-VIFPVBQESA-N 0 1 281.385 0.944 20 30 CCEDMN C#C[C@@H](NCc1c(C)nn(CCO)c1C)[C@@H]1CCCO1 ZINC001320701111 910810840 /nfs/dbraw/zinc/81/08/40/910810840.db2.gz SLNMRXOAEYVKNS-CABCVRRESA-N 0 1 277.368 0.763 20 30 CCEDMN CCN(CCNCC#Cc1ccccc1)C(=O)c1cn[nH]n1 ZINC001320777309 910860757 /nfs/dbraw/zinc/86/07/57/910860757.db2.gz KTXDJHQRCKBBCP-UHFFFAOYSA-N 0 1 297.362 0.908 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1ccn(-c2ccncc2)n1 ZINC001320795182 910873353 /nfs/dbraw/zinc/87/33/53/910873353.db2.gz RIBHICGCBHQJOF-UHFFFAOYSA-N 0 1 299.290 0.406 20 30 CCEDMN C=CCO[C@@H]1CCN([C@H](C)C(=O)NCCCOC)C1 ZINC001320898232 910927704 /nfs/dbraw/zinc/92/77/04/910927704.db2.gz KAJLDMGEKVOQBO-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)C1(C2CC2)CC1 ZINC001321107275 911088086 /nfs/dbraw/zinc/08/80/86/911088086.db2.gz MMIWYPDDJQANII-ARJAWSKDSA-N 0 1 289.379 0.188 20 30 CCEDMN CCN(C(=O)[C@@H](C)C#N)[C@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001391638988 911283052 /nfs/dbraw/zinc/28/30/52/911283052.db2.gz QNIDJBLOIPATOU-JQWIXIFHSA-N 0 1 290.371 0.696 20 30 CCEDMN C[C@H](CNCC#Cc1cccc(Cl)c1)NC(=O)C(N)=O ZINC001321640931 911415189 /nfs/dbraw/zinc/41/51/89/911415189.db2.gz JCINLGQUNHQRDO-SNVBAGLBSA-N 0 1 293.754 0.271 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CNCc1ccc(F)cn1 ZINC001321815102 911504595 /nfs/dbraw/zinc/50/45/95/911504595.db2.gz UWFLCYFSVVJEEG-UWVGGRQHSA-N 0 1 264.304 0.975 20 30 CCEDMN Cc1cc(CNC[C@H](C)NC(=O)[C@@H](C)C#N)ncn1 ZINC001321813759 911505574 /nfs/dbraw/zinc/50/55/74/911505574.db2.gz JMBISBHQJOTMQT-ONGXEEELSA-N 0 1 261.329 0.539 20 30 CCEDMN Cc1oncc1CNC[C@H](C)NC(=O)C#CC1CC1 ZINC001321842073 911521024 /nfs/dbraw/zinc/52/10/24/911521024.db2.gz KWPDRAOVWQOBOI-JTQLQIEISA-N 0 1 261.325 0.991 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CO[C@H]1CCOC1 ZINC001322158609 911687245 /nfs/dbraw/zinc/68/72/45/911687245.db2.gz VSPGCWLRULVFOD-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001322245416 911725746 /nfs/dbraw/zinc/72/57/46/911725746.db2.gz XPJZSKAPAVOFLX-XQQFMLRXSA-N 0 1 279.384 0.348 20 30 CCEDMN N#Cc1ccccc1CNC[C@@H](O)CNC(=O)C1CCC1 ZINC001392341376 911736692 /nfs/dbraw/zinc/73/66/92/911736692.db2.gz LOHXQDNFHKJKQL-OAHLLOKOSA-N 0 1 287.363 0.925 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H](C)c1cnn(C)c1 ZINC001322315501 911761166 /nfs/dbraw/zinc/76/11/66/911761166.db2.gz UPZUFPBSHJBIPQ-NEPJUHHUSA-N 0 1 262.357 0.593 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC001323076146 912103925 /nfs/dbraw/zinc/10/39/25/912103925.db2.gz DXHCEUROHXZGLB-MWLCHTKSSA-N 0 1 294.355 0.083 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)CNC(=O)NC)C1CC1 ZINC001392762602 912139763 /nfs/dbraw/zinc/13/97/63/912139763.db2.gz FRIGUYOFEXKERC-SNVBAGLBSA-N 0 1 288.779 0.152 20 30 CCEDMN C#CCOCCC(=O)NC[C@H]1CCN1C[C@@H]1CCCCO1 ZINC001323227975 912200054 /nfs/dbraw/zinc/20/00/54/912200054.db2.gz VAMRFPBVSCTTGZ-CABCVRRESA-N 0 1 294.395 0.786 20 30 CCEDMN C=CCO[C@H]1CCN(C[C@H]2CCS(=O)(=O)C2)C1 ZINC001339474422 921733055 /nfs/dbraw/zinc/73/30/55/921733055.db2.gz HWDZLPSCSCUITA-NEPJUHHUSA-N 0 1 259.371 0.698 20 30 CCEDMN C=C(C)CCC(=O)N1CCN(C2CN(CCCO)C2)CC1 ZINC001328115412 914976103 /nfs/dbraw/zinc/97/61/03/914976103.db2.gz CDQGUVMABUJKHH-UHFFFAOYSA-N 0 1 295.427 0.554 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2cccnc2)CC1 ZINC001328510315 915242715 /nfs/dbraw/zinc/24/27/15/915242715.db2.gz UESSQYYQNOQTRN-UHFFFAOYSA-N 0 1 287.363 0.662 20 30 CCEDMN CN(CCNC(=O)Cc1nc[nH]n1)Cc1cccc(C#N)c1 ZINC001399635122 915279255 /nfs/dbraw/zinc/27/92/55/915279255.db2.gz SBJBRJHVXSEJIL-UHFFFAOYSA-N 0 1 298.350 0.467 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCN(C)C(=O)Cc1ccn[nH]1 ZINC001399675118 915310958 /nfs/dbraw/zinc/31/09/58/915310958.db2.gz GBPIRTIQDOAFNN-SNVBAGLBSA-N 0 1 277.328 0.029 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](O)C(C)C ZINC001328719042 915382501 /nfs/dbraw/zinc/38/25/01/915382501.db2.gz DLNZHSAYSWTUFY-QWHCGFSZSA-N 0 1 252.358 0.559 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C1CC(OC)C1 ZINC001328733647 915398916 /nfs/dbraw/zinc/39/89/16/915398916.db2.gz QLGINCNMLWEGKZ-ROKHWSDSSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C(C)(C)CNC(C)=O ZINC001328765093 915422622 /nfs/dbraw/zinc/42/26/22/915422622.db2.gz HVADCERCMJBCPO-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H]([C@H]2CCN(CC(N)=O)C2)C1 ZINC001328809060 915442008 /nfs/dbraw/zinc/44/20/08/915442008.db2.gz SKYQHUCCMWHQFE-KGLIPLIRSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCN(C(=O)C(=O)NCc1cn[nH]c1C)[C@H](C)COC ZINC001328838013 915461055 /nfs/dbraw/zinc/46/10/55/915461055.db2.gz VODIGYWRDGOBKC-SNVBAGLBSA-N 0 1 294.355 0.384 20 30 CCEDMN Cc1nc(CN(C)[C@H](C)CNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001329400285 915881251 /nfs/dbraw/zinc/88/12/51/915881251.db2.gz YWTWOIFBGRXIGR-DTWKUNHWSA-N 0 1 264.333 0.209 20 30 CCEDMN C=CCCN(C)[C@H](C)CNC(=O)[C@H](C)S(C)(=O)=O ZINC001329474814 915948280 /nfs/dbraw/zinc/94/82/80/915948280.db2.gz PFSBJXIQUQBOLF-MNOVXSKESA-N 0 1 276.402 0.432 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@](C)(NC(=O)Cc2cnc[nH]2)C1 ZINC001400935716 915992577 /nfs/dbraw/zinc/99/25/77/915992577.db2.gz MIIRDIBQDLTEAH-HZMBPMFUSA-N 0 1 289.339 0.219 20 30 CCEDMN Cc1nnc(CNC[C@@](C)(NC(=O)[C@H](C)C#N)C2CC2)[nH]1 ZINC001400996139 916004354 /nfs/dbraw/zinc/00/43/54/916004354.db2.gz JVGRCFSPIDDJNU-YMTOWFKASA-N 0 1 290.371 0.647 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1cccnn1 ZINC001329576437 916025898 /nfs/dbraw/zinc/02/58/98/916025898.db2.gz JYRAYUVKCOHRQU-GFCCVEGCSA-N 0 1 276.340 0.177 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H](NC(N)=O)C(C)(C)C ZINC001329592744 916045973 /nfs/dbraw/zinc/04/59/73/916045973.db2.gz SZJQFFRIWAAXSF-WDEREUQCSA-N 0 1 282.388 0.139 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H](OC)C(C)C ZINC001401552002 916316348 /nfs/dbraw/zinc/31/63/48/916316348.db2.gz WBQNLQCPNHFJIO-MNOVXSKESA-N 0 1 278.780 0.477 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H](COC)OC)C(C)(C)C1 ZINC001330229035 916495711 /nfs/dbraw/zinc/49/57/11/916495711.db2.gz AXOZQTCBOZEYBC-STQMWFEESA-N 0 1 282.384 0.498 20 30 CCEDMN CCn1nncc1CNC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001401935025 916714368 /nfs/dbraw/zinc/71/43/68/916714368.db2.gz BTWJQMYXADJUFR-SNVBAGLBSA-N 0 1 276.344 0.196 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CCCC(N)=O ZINC001402082349 916795889 /nfs/dbraw/zinc/79/58/89/916795889.db2.gz SSEWINGACZWPEL-LLVKDONJSA-N 0 1 287.791 0.975 20 30 CCEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)NCc1cnnn1C ZINC001331443685 917338019 /nfs/dbraw/zinc/33/80/19/917338019.db2.gz VGIOUXOXQNNPBD-GFCCVEGCSA-N 0 1 277.372 0.411 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cnc3n2CCOC3)CC1 ZINC001331441603 917339087 /nfs/dbraw/zinc/33/90/87/917339087.db2.gz PPNABPVNDPHGOL-UHFFFAOYSA-N 0 1 289.339 0.131 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001402972876 917392720 /nfs/dbraw/zinc/39/27/20/917392720.db2.gz YTBMGWOBLMXWNE-GHMZBOCLSA-N 0 1 287.791 0.750 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cnoc1C(C)C ZINC001331806450 917625101 /nfs/dbraw/zinc/62/51/01/917625101.db2.gz VRYWUEYIDGOWPA-JTQLQIEISA-N 0 1 265.313 0.112 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H]1CC[C@H](C)CC1 ZINC001331824256 917640666 /nfs/dbraw/zinc/64/06/66/917640666.db2.gz HLYRIPOFGTWWNK-IHRRRGAJSA-N 0 1 266.385 0.903 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cncc2ccccc21 ZINC001331839644 917655066 /nfs/dbraw/zinc/65/50/66/917655066.db2.gz FDCBYXIUVOCIIM-CYBMUJFWSA-N 0 1 283.331 0.548 20 30 CCEDMN C=CCNC(=O)CN1CC[C@]2(NC(=O)CC)CCC[C@H]12 ZINC001332063712 917834022 /nfs/dbraw/zinc/83/40/22/917834022.db2.gz INVRWLBLILGIAV-SWLSCSKDSA-N 0 1 279.384 0.812 20 30 CCEDMN C=CCCn1cc(CNCC[C@H]2CNC(=O)C2)nn1 ZINC001332316757 918048974 /nfs/dbraw/zinc/04/89/74/918048974.db2.gz KECRFDJPDDRACD-LLVKDONJSA-N 0 1 263.345 0.470 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)C1=O ZINC001332333824 918057589 /nfs/dbraw/zinc/05/75/89/918057589.db2.gz COYFOHAISMRWBU-YNEHKIRRSA-N 0 1 278.352 0.816 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)Cc1ccsc1 ZINC001332616856 918315633 /nfs/dbraw/zinc/31/56/33/918315633.db2.gz PBVGETLOIQUCOY-CYBMUJFWSA-N 0 1 280.393 0.675 20 30 CCEDMN C=CCN(CCNC(=O)c1cnsn1)CCOC ZINC001332906978 918518848 /nfs/dbraw/zinc/51/88/48/918518848.db2.gz DZZRGMDLXFUEGZ-UHFFFAOYSA-N 0 1 270.358 0.402 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C(=O)NCc2cc(CC)[nH]n2)C1 ZINC001332995130 918586779 /nfs/dbraw/zinc/58/67/79/918586779.db2.gz BQLHHNKSMRGCGY-NSHDSACASA-N 0 1 288.351 0.460 20 30 CCEDMN N#CC[C@@H](O)CN1CCN(C[C@H]2CCCO2)CC1 ZINC001333032068 918610193 /nfs/dbraw/zinc/61/01/93/918610193.db2.gz IXULAHMGABPDRZ-CHWSQXEVSA-N 0 1 253.346 0.058 20 30 CCEDMN CC[C@@H](C(N)=O)N(CC)CCNC(=O)c1c[nH]c(C#N)c1 ZINC001492942351 918804227 /nfs/dbraw/zinc/80/42/27/918804227.db2.gz TYWGRWSIJUXFIY-LBPRGKRZSA-N 0 1 291.355 0.202 20 30 CCEDMN C=CC[C@H](NC(=O)CC[NH+]1CCN(C(C)C)CC1)C(=O)[O-] ZINC001333332898 918813234 /nfs/dbraw/zinc/81/32/34/918813234.db2.gz XGHAXDWITXETEW-ZDUSSCGKSA-N 0 1 297.399 0.548 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C[C@H]1CCNC1=O ZINC001405836361 918940057 /nfs/dbraw/zinc/94/00/57/918940057.db2.gz TWEBSMFFEMGVRA-GHMZBOCLSA-N 0 1 287.791 0.702 20 30 CCEDMN Cc1[nH]nc(C=Nn2c(=O)c(C)n[nH]c2=S)c1C ZINC001333642849 919064609 /nfs/dbraw/zinc/06/46/09/919064609.db2.gz LMPRQBQZSLKGHG-UHFFFAOYSA-N 0 1 264.314 0.458 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ncoc1CC ZINC001406197739 919107374 /nfs/dbraw/zinc/10/73/74/919107374.db2.gz AIACAWVJBAYUEC-VIFPVBQESA-N 0 1 287.747 0.670 20 30 CCEDMN C#CCCCCCCNCc1nnc2n1CCNC2=O ZINC001333719488 919117974 /nfs/dbraw/zinc/11/79/74/919117974.db2.gz OTUNFADPBHZGTR-UHFFFAOYSA-N 0 1 275.356 0.695 20 30 CCEDMN N#Cc1ccccc1CNC[C@H](O)CNC(=O)CCCF ZINC001406251183 919135813 /nfs/dbraw/zinc/13/58/13/919135813.db2.gz GINNZNWIKNNLQO-AWEZNQCLSA-N 0 1 293.342 0.875 20 30 CCEDMN C=CCN1CCN(CN2CCN(C)C(C)(C)C2)C1=O ZINC001333813780 919184084 /nfs/dbraw/zinc/18/40/84/919184084.db2.gz YLAAWUDGFCIOJC-UHFFFAOYSA-N 0 1 266.389 0.894 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CNC(=O)C(C)(C)C ZINC001406549962 919341404 /nfs/dbraw/zinc/34/14/04/919341404.db2.gz CFSQYQVWBQONSG-JTQLQIEISA-N 0 1 289.807 0.996 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)NC(=O)CC)CC1 ZINC001406944161 919548393 /nfs/dbraw/zinc/54/83/93/919548393.db2.gz WSEHYISKASVLRD-JTQLQIEISA-N 0 1 287.791 0.892 20 30 CCEDMN CC#CCn1nnnc1N1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC001334918896 919838755 /nfs/dbraw/zinc/83/87/55/919838755.db2.gz FWVNXICLCARJTC-NSHDSACASA-N 0 1 286.343 0.507 20 30 CCEDMN CC#CCn1nnnc1N1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC001334918896 919838765 /nfs/dbraw/zinc/83/87/65/919838765.db2.gz FWVNXICLCARJTC-NSHDSACASA-N 0 1 286.343 0.507 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(Cc2nc(CSC)n[nH]2)C1=O ZINC001335228004 920037645 /nfs/dbraw/zinc/03/76/45/920037645.db2.gz IFCZNORYZQFGRF-ZETCQYMHSA-N 0 1 281.341 0.664 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(Cc2nnc(CSC)[nH]2)C1=O ZINC001335228004 920037660 /nfs/dbraw/zinc/03/76/60/920037660.db2.gz IFCZNORYZQFGRF-ZETCQYMHSA-N 0 1 281.341 0.664 20 30 CCEDMN N#CC[C@@H](NC(=O)CCc1nc[nH]n1)C(F)(F)F ZINC001335323559 920119290 /nfs/dbraw/zinc/11/92/90/920119290.db2.gz JAROQMXTABEFFF-ZCFIWIBFSA-N 0 1 261.207 0.698 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CNC(=O)CN2CCCC2)C1 ZINC001408409250 920178409 /nfs/dbraw/zinc/17/84/09/920178409.db2.gz WTFWRHWRSDEDBR-QWHCGFSZSA-N 0 1 292.383 0.207 20 30 CCEDMN C=CC(C)(C)CNC(=O)N1CCNC[C@H]1COC ZINC001335536955 920259064 /nfs/dbraw/zinc/25/90/64/920259064.db2.gz DOPIERYQHZSZKS-NSHDSACASA-N 0 1 255.362 0.828 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)CCc2c[nH]nn2)C1 ZINC001335547556 920268854 /nfs/dbraw/zinc/26/88/54/920268854.db2.gz DDUBVZNFHDMXAH-LLVKDONJSA-N 0 1 250.302 0.541 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)CCc2cnn[nH]2)C1 ZINC001335547556 920268868 /nfs/dbraw/zinc/26/88/68/920268868.db2.gz DDUBVZNFHDMXAH-LLVKDONJSA-N 0 1 250.302 0.541 20 30 CCEDMN C#CCN(C(=O)C(=O)N1CC[C@@H](N2CC=CC2)C1)C(C)C ZINC001335688653 920370766 /nfs/dbraw/zinc/37/07/66/920370766.db2.gz HYWPGEUNJXTKIW-CQSZACIVSA-N 0 1 289.379 0.329 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCCNC(=O)c1ncn[nH]1 ZINC001335926810 920513845 /nfs/dbraw/zinc/51/38/45/920513845.db2.gz FQWPMDFJKZLMSC-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCCNC(=O)c1nc[nH]n1 ZINC001335926810 920513857 /nfs/dbraw/zinc/51/38/57/920513857.db2.gz FQWPMDFJKZLMSC-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C=CCCCN(CC)C(=O)C(=O)N1CCN[C@@H](C)C1 ZINC001336133771 920626816 /nfs/dbraw/zinc/62/68/16/920626816.db2.gz JERILVCQUQZQML-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CC[C@@H]1CCN1CC(=O)N1CCC(C(N)=O)CC1 ZINC001336476893 920794802 /nfs/dbraw/zinc/79/48/02/920794802.db2.gz LZDDJVOOAPVEKI-GFCCVEGCSA-N 0 1 265.357 0.361 20 30 CCEDMN C#CCN(C(=O)CN1CCC(CO)CC1)C(C)C ZINC001336645725 920898382 /nfs/dbraw/zinc/89/83/82/920898382.db2.gz CQWZMMRWVATHDO-UHFFFAOYSA-N 0 1 252.358 0.561 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)NCCCc2nc[nH]n2)C1 ZINC001339912838 921875327 /nfs/dbraw/zinc/87/53/27/921875327.db2.gz HAXFJPUOILCMBO-NSHDSACASA-N 0 1 279.344 0.724 20 30 CCEDMN C#CCNCC(=O)NCCc1cccc(F)c1F ZINC001340062029 921938633 /nfs/dbraw/zinc/93/86/33/921938633.db2.gz FDITYXICRAFMOY-UHFFFAOYSA-N 0 1 252.264 0.846 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(OC)cc1F ZINC001340100084 921954065 /nfs/dbraw/zinc/95/40/65/921954065.db2.gz PQIZZVLGOMWENQ-UHFFFAOYSA-N 0 1 250.273 0.673 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCN1CCCC1=O ZINC001418316435 922021184 /nfs/dbraw/zinc/02/11/84/922021184.db2.gz TXNSUYCPDJCANN-NSHDSACASA-N 0 1 287.791 0.846 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@](COC)(C(=O)OCC)C1 ZINC001340450984 922086219 /nfs/dbraw/zinc/08/62/19/922086219.db2.gz JADMOEPVIQZIKB-OAHLLOKOSA-N 0 1 296.367 0.028 20 30 CCEDMN Cc1cc(C#N)cc(NC(=O)C(=O)N[C@H]2CCN(C)C2)c1 ZINC001340562108 922123704 /nfs/dbraw/zinc/12/37/04/922123704.db2.gz FYCXIZSGJGPCEL-LBPRGKRZSA-N 0 1 286.335 0.626 20 30 CCEDMN C=CCn1c([C@@H](O)COC)nnc1N1CCN(CC)CC1 ZINC001340658514 922158021 /nfs/dbraw/zinc/15/80/21/922158021.db2.gz JIWFBUUMKHXNDB-LBPRGKRZSA-N 0 1 295.387 0.286 20 30 CCEDMN C#CCNCC(=O)NCc1cn(C)nc1-c1ccccc1 ZINC001340972839 922300429 /nfs/dbraw/zinc/30/04/29/922300429.db2.gz OUDBFOPFEFQJIC-UHFFFAOYSA-N 0 1 282.347 0.926 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@H](OCc2ccncc2)C1 ZINC001341081920 922338022 /nfs/dbraw/zinc/33/80/22/922338022.db2.gz WEKOJLNBJRWQLL-CQSZACIVSA-N 0 1 273.336 0.422 20 30 CCEDMN C#CCNCC(=O)NCC[C@H](N(C)C)C(F)(F)F ZINC001341174820 922370163 /nfs/dbraw/zinc/37/01/63/922370163.db2.gz ZJYIJPAMEPKKLP-VIFPVBQESA-N 0 1 265.279 0.208 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC001418919656 922482795 /nfs/dbraw/zinc/48/27/95/922482795.db2.gz ASWAEYLFWVVSGM-NWDGAFQWSA-N 0 1 299.802 0.798 20 30 CCEDMN COC[C@H]1CNCCN1C(=O)c1ccc(C=NOC)cc1 ZINC001341516473 922528562 /nfs/dbraw/zinc/52/85/62/922528562.db2.gz NPAFEOLGPBFEMN-CQSZACIVSA-N 0 1 291.351 0.727 20 30 CCEDMN C#C[C@@H](C)N(C)c1nnc(-c2c[nH]nn2)n1CCOC ZINC001341563322 922553006 /nfs/dbraw/zinc/55/30/06/922553006.db2.gz PSBQWVIQYDOHFL-SECBINFHSA-N 0 1 275.316 0.168 20 30 CCEDMN C#CCN(C(=O)C(=O)NCc1ccc2cncn2c1)C(C)C ZINC001341580896 922563327 /nfs/dbraw/zinc/56/33/27/922563327.db2.gz QSGAQTKZCXFLPQ-UHFFFAOYSA-N 0 1 298.346 0.821 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@H](C(F)(F)F)O[C@H](C)C1 ZINC001341580293 922563535 /nfs/dbraw/zinc/56/35/35/922563535.db2.gz UIRVTHRDMLYVSL-ZJUUUORDSA-N 0 1 278.274 0.778 20 30 CCEDMN C#CCNCC(=O)NCCc1csc(CC)n1 ZINC001341586415 922568754 /nfs/dbraw/zinc/56/87/54/922568754.db2.gz PXYLNIJWGNGRBV-UHFFFAOYSA-N 0 1 251.355 0.587 20 30 CCEDMN C#CCNCC(=O)NCc1csc(N(C)C)n1 ZINC001341613798 922580245 /nfs/dbraw/zinc/58/02/45/922580245.db2.gz JZANETSLRSYRPV-UHFFFAOYSA-N 0 1 252.343 0.048 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@H](Cc2cnn(C)c2)C1 ZINC001341627276 922591382 /nfs/dbraw/zinc/59/13/82/922591382.db2.gz OYBWJCDHAYGFOL-LBPRGKRZSA-N 0 1 260.341 0.034 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1nncc2ccccc21 ZINC001341642470 922600848 /nfs/dbraw/zinc/60/08/48/922600848.db2.gz IOHWRZIFOKBJOO-CMPLNLGQSA-N 0 1 267.292 0.471 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CNC(=O)C1CC1 ZINC001419190092 922703215 /nfs/dbraw/zinc/70/32/15/922703215.db2.gz YMEXNUAQJDFTGT-NSHDSACASA-N 0 1 287.791 0.750 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1CCC[C@@H]1OC ZINC001342019806 922766376 /nfs/dbraw/zinc/76/63/76/922766376.db2.gz HUCSSHQDOCQYBX-UTUOFQBUSA-N 0 1 282.340 0.663 20 30 CCEDMN C#CCNCC(=O)N[C@H](COC)c1cccc(OC)c1 ZINC001342113156 922811985 /nfs/dbraw/zinc/81/19/85/922811985.db2.gz OLEJBCPDBBVOCK-CQSZACIVSA-N 0 1 276.336 0.722 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC001342463480 922973219 /nfs/dbraw/zinc/97/32/19/922973219.db2.gz YACPXJCVOPWGPM-RWMBFGLXSA-N 0 1 285.388 0.284 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1COCCO1 ZINC001419657380 922977934 /nfs/dbraw/zinc/97/79/34/922977934.db2.gz SCXFDNQSGQEYMT-MNOVXSKESA-N 0 1 276.764 0.591 20 30 CCEDMN N#CC(C(=O)CCCN1C(=O)CCC1=O)c1ccncn1 ZINC001342659134 923040819 /nfs/dbraw/zinc/04/08/19/923040819.db2.gz PSWHPNFSTXSNED-JTQLQIEISA-N 0 1 286.291 0.582 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001342722356 923067066 /nfs/dbraw/zinc/06/70/66/923067066.db2.gz NHRKWAFYBPCYNZ-MEWQQHAOSA-N 0 1 280.324 0.271 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCN(C)Cc1n[nH]c(C2CC2)n1 ZINC001419952094 923184424 /nfs/dbraw/zinc/18/44/24/923184424.db2.gz GTYPQLYJYYVMHJ-SNVBAGLBSA-N 0 1 290.371 0.732 20 30 CCEDMN C[C@@H]1CC(=O)CC[C@@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC001343364356 923287401 /nfs/dbraw/zinc/28/74/01/923287401.db2.gz AUBXOYVBMWLOQK-ZHAHWJHGSA-N 0 1 262.309 0.979 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)c1cc(=O)n(C)cn1 ZINC001343506418 923355344 /nfs/dbraw/zinc/35/53/44/923355344.db2.gz QJCGMEBZSJTHMR-LLVKDONJSA-N 0 1 275.308 0.035 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CO[C@H]1CCOC1 ZINC001420423480 923653838 /nfs/dbraw/zinc/65/38/38/923653838.db2.gz NKKIOOYQANDVFV-QWRGUYRKSA-N 0 1 276.764 0.639 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1C[C@@H](C)NC[C@H]1C ZINC001345170305 923819723 /nfs/dbraw/zinc/81/97/23/923819723.db2.gz OIQXMKFGJRQWEW-VXGBXAGGSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1CCC(OC(=O)CN(C)C(=O)OC)CC1 ZINC001346161318 924091338 /nfs/dbraw/zinc/09/13/38/924091338.db2.gz ODVTYHHXDWGBLZ-UHFFFAOYSA-N 0 1 268.313 0.325 20 30 CCEDMN C#CCCNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC001346226136 924118209 /nfs/dbraw/zinc/11/82/09/924118209.db2.gz SPKRHTSPMIHYBJ-UHFFFAOYSA-N 0 1 263.322 0.340 20 30 CCEDMN C#CC1CCN(C(=O)N[C@H](C)[C@@H]2CN(C)CCN2C)CC1 ZINC001346766907 924307565 /nfs/dbraw/zinc/30/75/65/924307565.db2.gz MWXIMHJRSZNSON-HIFRSBDPSA-N 0 1 292.427 0.676 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N[C@H](C#N)C(C)(C)C ZINC001346842838 924319388 /nfs/dbraw/zinc/31/93/88/924319388.db2.gz JSWPHPNORWMFGR-IJLUTSLNSA-N 0 1 264.373 0.429 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCc3nccn3C2)CC1 ZINC001347332182 924423784 /nfs/dbraw/zinc/42/37/84/924423784.db2.gz QGUGCNRGVOUPNU-GFCCVEGCSA-N 0 1 287.367 0.550 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)NC1(C#N)CCCCC1 ZINC001347538002 924475219 /nfs/dbraw/zinc/47/52/19/924475219.db2.gz MWMAZOKKFYMSJM-STQMWFEESA-N 0 1 276.384 0.717 20 30 CCEDMN C=CCC[C@@H](NC(=O)C[NH+]1CCC(CO)CC1)C(=O)[O-] ZINC001347548346 924477054 /nfs/dbraw/zinc/47/70/54/924477054.db2.gz QPFJKZNYZRVWSV-GFCCVEGCSA-N 0 1 284.356 0.226 20 30 CCEDMN C#C[C@H](CC)NC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001348793792 924805047 /nfs/dbraw/zinc/80/50/47/924805047.db2.gz OCZNWAAJGOLUOW-CHWSQXEVSA-N 0 1 266.389 0.333 20 30 CCEDMN C[C@H](C#N)OCCn1cc([C@H](N)[C@@H]2CCCO2)nn1 ZINC001349749908 925041097 /nfs/dbraw/zinc/04/10/97/925041097.db2.gz DUQILIMBJISDOD-USWWRNFRSA-N 0 1 265.317 0.386 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cnn3c2OCCC3)CC1 ZINC001349770287 925050757 /nfs/dbraw/zinc/05/07/57/925050757.db2.gz KOTPPZKTEOZZRZ-UHFFFAOYSA-N 0 1 289.335 0.920 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001349867453 925081306 /nfs/dbraw/zinc/08/13/06/925081306.db2.gz MSASLXFFCHRWOM-KBPBESRZSA-N 0 1 298.431 0.249 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001349867455 925081476 /nfs/dbraw/zinc/08/14/76/925081476.db2.gz MSASLXFFCHRWOM-UONOGXRCSA-N 0 1 298.431 0.249 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)NCc1cnnn1CC ZINC001480453640 925755084 /nfs/dbraw/zinc/75/50/84/925755084.db2.gz VZKKHMVITSGERD-RISCZKNCSA-N 0 1 295.387 0.219 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)NCc1cnc(C)cn1 ZINC001480453608 925755127 /nfs/dbraw/zinc/75/51/27/925755127.db2.gz VUJSFVAREGIMCR-DOMZBBRYSA-N 0 1 292.383 0.706 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](C)NCc1cnc(C)cn1 ZINC001480453616 925755376 /nfs/dbraw/zinc/75/53/76/925755376.db2.gz VUJSFVAREGIMCR-WFASDCNBSA-N 0 1 292.383 0.706 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](CNC(=O)C(C)C)C1 ZINC001353261964 925775516 /nfs/dbraw/zinc/77/55/16/925775516.db2.gz SICUDHNPFQOKOZ-ZDUSSCGKSA-N 0 1 279.384 0.220 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C(=O)OC)c1ccc(C)cc1 ZINC001353313155 925790114 /nfs/dbraw/zinc/79/01/14/925790114.db2.gz NMHMAOXKRZUYFZ-AWEZNQCLSA-N 0 1 288.347 0.591 20 30 CCEDMN C#CCN1CCC[C@H](N=c2nc[nH]n2CC2CC2)C1 ZINC001354266930 926063425 /nfs/dbraw/zinc/06/34/25/926063425.db2.gz UZBOQUHWPIBAQN-ZDUSSCGKSA-N 0 1 259.357 0.620 20 30 CCEDMN C=CCCC1(C(=O)N(C)CCNC(=O)c2ncn[nH]2)CC1 ZINC001355824237 926317744 /nfs/dbraw/zinc/31/77/44/926317744.db2.gz PTNBBORPSAYPOP-UHFFFAOYSA-N 0 1 291.355 0.739 20 30 CCEDMN C=CCCC1(C(=O)N(C)CCNC(=O)c2nc[nH]n2)CC1 ZINC001355824237 926317754 /nfs/dbraw/zinc/31/77/54/926317754.db2.gz PTNBBORPSAYPOP-UHFFFAOYSA-N 0 1 291.355 0.739 20 30 CCEDMN COC(=O)[C@@H]1CN(CCCC#N)C[C@H]1C(=O)OC ZINC001356061656 926340743 /nfs/dbraw/zinc/34/07/43/926340743.db2.gz HAKOZDKEIGOGIW-NXEZZACHSA-N 0 1 254.286 0.184 20 30 CCEDMN C=CCCOCC(=O)N1CC(NC(=O)[C@H]2CCCN2C)C1 ZINC001356750777 926426815 /nfs/dbraw/zinc/42/68/15/926426815.db2.gz YLJADGUVAVVAHV-CYBMUJFWSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C)c1nc(C)cs1 ZINC001357509811 926552628 /nfs/dbraw/zinc/55/26/28/926552628.db2.gz YHJBDVWJGWFRFF-VIFPVBQESA-N 0 1 251.355 0.894 20 30 CCEDMN N#CCc1cccc(C(=O)N2CCNC[C@@H]2CO)c1 ZINC001358028663 926623166 /nfs/dbraw/zinc/62/31/66/926623166.db2.gz PQXNDWSKYSQIDD-CYBMUJFWSA-N 0 1 259.309 0.159 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2cnco2)CCO1 ZINC001421800726 926915573 /nfs/dbraw/zinc/91/55/73/926915573.db2.gz BXFUXVINRFPMBF-SNVBAGLBSA-N 0 1 285.731 0.858 20 30 CCEDMN N#Cc1cccc([C@@H]2C[C@H]2C(=O)Nc2nn[nH]c2C(N)=O)c1 ZINC001361642128 927214476 /nfs/dbraw/zinc/21/44/76/927214476.db2.gz YVBHJEFNYBZTAP-VHSXEESVSA-N 0 1 296.290 0.517 20 30 CCEDMN CN(C(=O)[C@](C)(C#N)CC(=O)OC(C)(C)C)c1nn[nH]n1 ZINC001361667522 927233112 /nfs/dbraw/zinc/23/31/12/927233112.db2.gz RQVUVUNRKHSQCH-LBPRGKRZSA-N 0 1 294.315 0.424 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cn(C)c(=O)[nH]1 ZINC001422369275 927245742 /nfs/dbraw/zinc/24/57/42/927245742.db2.gz CCINRJMBYKMXKE-BDAKNGLRSA-N 0 1 286.763 0.975 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](C)NC(=O)CC ZINC001422494689 927317823 /nfs/dbraw/zinc/31/78/23/927317823.db2.gz FHVSVWSDMBCAAU-ZJUUUORDSA-N 0 1 275.780 0.748 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001422652896 927439368 /nfs/dbraw/zinc/43/93/68/927439368.db2.gz ZJFFCQOHEAHYQN-WDEREUQCSA-N 0 1 287.791 0.702 20 30 CCEDMN N#Cc1c(F)cc(CNC(=O)CCc2nn[nH]n2)cc1F ZINC001362542052 928155219 /nfs/dbraw/zinc/15/52/19/928155219.db2.gz AXKMGZBIKZWHGJ-UHFFFAOYSA-N 0 1 292.249 0.599 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC001362551759 928167959 /nfs/dbraw/zinc/16/79/59/928167959.db2.gz YTASXIMEAYKAAN-BFHYXJOUSA-N 0 1 277.368 0.717 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)NCc1ccc(C#N)s1 ZINC001362569771 928189219 /nfs/dbraw/zinc/18/92/19/928189219.db2.gz RZKZQTHPJGGSCR-ZWNOBZJWSA-N 0 1 290.392 0.624 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1C[C@@H](CN[C@@H](C)c2nncn2C)C1 ZINC001425393813 928538003 /nfs/dbraw/zinc/53/80/03/928538003.db2.gz NYMRVIBZCOZPBG-WRWGMCAJSA-N 0 1 290.371 0.520 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](C)S(C)(=O)=O ZINC001425607706 928606155 /nfs/dbraw/zinc/60/61/55/928606155.db2.gz WGMRZCVHFFYGBJ-VHSXEESVSA-N 0 1 296.820 0.609 20 30 CCEDMN Cc1ccncc1CN[C@H](CO)CNC(=O)[C@H](C)C#N ZINC001425709325 928632060 /nfs/dbraw/zinc/63/20/60/928632060.db2.gz GOXRJLCDSWAREE-YPMHNXCESA-N 0 1 276.340 0.116 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](O)CNC/C(Cl)=C/Cl ZINC001425977310 928723365 /nfs/dbraw/zinc/72/33/65/928723365.db2.gz ALXXCPBIGMKECK-PURLWNKOSA-N 0 1 294.182 0.874 20 30 CCEDMN CS(=O)(=O)CC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001363500797 929305314 /nfs/dbraw/zinc/30/53/14/929305314.db2.gz JOXVKEVVYLTFPF-UHFFFAOYSA-N 0 1 278.293 0.418 20 30 CCEDMN C[C@H](C(=O)N[C@@H](C)C1=NN(C)CC1=O)n1cnc(C#N)n1 ZINC001363664271 929467022 /nfs/dbraw/zinc/46/70/22/929467022.db2.gz JWUQMSUSFSJLAM-JGVFFNPUSA-N 0 1 289.299 0.027 20 30 CCEDMN Cc1nnc(SCC(=O)NCC2(C#N)CCOCC2)[nH]1 ZINC001363690570 929488229 /nfs/dbraw/zinc/48/82/29/929488229.db2.gz HELFPUGYNVUQMC-UHFFFAOYSA-N 0 1 295.368 0.642 20 30 CCEDMN Cc1n[nH]c(SCC(=O)NCC2(C#N)CCOCC2)n1 ZINC001363690570 929488237 /nfs/dbraw/zinc/48/82/37/929488237.db2.gz HELFPUGYNVUQMC-UHFFFAOYSA-N 0 1 295.368 0.642 20 30 CCEDMN CN(CCNC(=O)Cc1nc[nH]n1)Cc1ccc(C#N)cc1 ZINC001372189145 929763520 /nfs/dbraw/zinc/76/35/20/929763520.db2.gz XDKYFFRBXCMQCM-UHFFFAOYSA-N 0 1 298.350 0.467 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@@H](C)C#N)NCc1nccn1C ZINC001444868115 929961766 /nfs/dbraw/zinc/96/17/66/929961766.db2.gz PUPKOBKREBPZAP-NWDGAFQWSA-N 0 1 277.372 0.810 20 30 CCEDMN N#Cc1ccccc1CNC[C@H](O)CNC(=O)c1cn[nH]c1 ZINC001445150927 930050782 /nfs/dbraw/zinc/05/07/82/930050782.db2.gz HSQQXWKMTIVUHN-AWEZNQCLSA-N 0 1 299.334 0.162 20 30 CCEDMN CCCNC(=O)CN(C)C[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001373284042 930126428 /nfs/dbraw/zinc/12/64/28/930126428.db2.gz YJOQPEHDFTVHMX-OLZOCXBDSA-N 0 1 294.399 0.453 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CS(=O)(=O)C(C)C ZINC001445500329 930148996 /nfs/dbraw/zinc/14/89/96/930148996.db2.gz SBHZIHWQMZLZSE-SNVBAGLBSA-N 0 1 296.820 0.656 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CCn1ccnn1 ZINC001373749276 930328723 /nfs/dbraw/zinc/32/87/23/930328723.db2.gz LJPZUPSQCRUFBB-LLVKDONJSA-N 0 1 285.779 0.905 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](C)CC(N)=O ZINC001373966724 930382415 /nfs/dbraw/zinc/38/24/15/930382415.db2.gz XGNRICIYCYAEOR-MWLCHTKSSA-N 0 1 287.791 0.831 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cncs1 ZINC001374584836 930572022 /nfs/dbraw/zinc/57/20/22/930572022.db2.gz PVQWLQZMLXICPG-QMMMGPOBSA-N 0 1 275.761 0.576 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN(CC(=O)N2CCC2)CC1 ZINC001447304938 930603652 /nfs/dbraw/zinc/60/36/52/930603652.db2.gz PAZNDSYTUVKYJJ-CHWSQXEVSA-N 0 1 292.383 0.349 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)COc1ccccc1 ZINC001374669145 930613716 /nfs/dbraw/zinc/61/37/16/930613716.db2.gz AWEPNWZUKQUMHX-LBPRGKRZSA-N 0 1 298.770 0.885 20 30 CCEDMN COc1cncc(CNC[C@H](C)NC(=O)[C@H](C)C#N)n1 ZINC001374871826 930700907 /nfs/dbraw/zinc/70/09/07/930700907.db2.gz BNPXJHIOWOHASF-ZJUUUORDSA-N 0 1 277.328 0.239 20 30 CCEDMN COc1ccc(CNC2(CNC(=O)[C@H](C)C#N)CC2)cn1 ZINC001375401498 930877722 /nfs/dbraw/zinc/87/77/22/930877722.db2.gz DFDZFBRCGLBZDE-LLVKDONJSA-N 0 1 288.351 0.988 20 30 CCEDMN CC1(C(=O)NC[C@@H](CO)NCc2ccc(C#N)s2)CC1 ZINC001448828224 931000663 /nfs/dbraw/zinc/00/06/63/931000663.db2.gz VCPGZOKTYJFIMJ-JTQLQIEISA-N 0 1 293.392 0.987 20 30 CCEDMN C=CCN(C)CCN(CC)C(=O)c1cc(=O)cc[nH]1 ZINC001448870247 931012688 /nfs/dbraw/zinc/01/26/88/931012688.db2.gz CALOXORZHHPUAI-UHFFFAOYSA-N 0 1 263.341 0.955 20 30 CCEDMN N#C[C@@H](CO)NC(=O)c1c[nH]c2ccccc2c1=O ZINC001449516825 931152424 /nfs/dbraw/zinc/15/24/24/931152424.db2.gz CZHKSXSEZQNYFP-QMMMGPOBSA-N 0 1 257.249 0.142 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CN(C)C(=O)C1CC1 ZINC001376750853 931257932 /nfs/dbraw/zinc/25/79/32/931257932.db2.gz YTAJHDCRHWPSBB-JTQLQIEISA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001376796598 931278280 /nfs/dbraw/zinc/27/82/80/931278280.db2.gz CIKZEJKHLPYTFF-MRVPVSSYSA-N 0 1 272.736 0.586 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)CS(=O)(=O)CC ZINC001376876482 931312521 /nfs/dbraw/zinc/31/25/21/931312521.db2.gz IKJGJLVJQOQUBQ-SECBINFHSA-N 0 1 282.793 0.268 20 30 CCEDMN C#CC[C@H](NC(=O)c1c[nH]c2ccccc2c1=O)C(=O)OC ZINC001451178193 931392298 /nfs/dbraw/zinc/39/22/98/931392298.db2.gz HVZTUSCJFJMKAZ-ZDUSSCGKSA-N 0 1 298.298 0.823 20 30 CCEDMN C#CCN(CC(=O)[O-])c1nnc([C@@H]2CCC[N@@H+](C)C2)n1C ZINC001602700728 971320073 /nfs/dbraw/zinc/32/00/73/971320073.db2.gz GIQVETGYSMTFKW-LLVKDONJSA-N 0 1 291.355 0.149 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC001602702709 971332113 /nfs/dbraw/zinc/33/21/13/971332113.db2.gz QUWRZFPAFQWCLR-NSHDSACASA-N 0 1 252.314 0.313 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H](O)COCc1ccccc1 ZINC000092482681 949154693 /nfs/dbraw/zinc/15/46/93/949154693.db2.gz YIQGRAMXLQYIGO-AWEZNQCLSA-N 0 1 277.320 0.584 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H](O)COCc1ccccc1 ZINC000092482681 949154700 /nfs/dbraw/zinc/15/47/00/949154700.db2.gz YIQGRAMXLQYIGO-AWEZNQCLSA-N 0 1 277.320 0.584 20 30 CCEDMN C[C@H]1[C@H](Nc2cnc(C#N)c(-c3nnn[n-]3)n2)CC[N@H+]1C ZINC001575927738 950754968 /nfs/dbraw/zinc/75/49/68/950754968.db2.gz SZSQNGCHSIJMAW-JGVFFNPUSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@H]1[C@H](Nc2cnc(C#N)c(-c3nn[n-]n3)n2)CC[N@@H+]1C ZINC001575927738 950754991 /nfs/dbraw/zinc/75/49/91/950754991.db2.gz SZSQNGCHSIJMAW-JGVFFNPUSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@H]1[C@H](Nc2cnc(C#N)c(-c3nn[n-]n3)n2)CC[N@H+]1C ZINC001575927738 950754999 /nfs/dbraw/zinc/75/49/99/950754999.db2.gz SZSQNGCHSIJMAW-JGVFFNPUSA-N 0 1 285.315 0.033 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC000399055101 951629500 /nfs/dbraw/zinc/62/95/00/951629500.db2.gz HNZVWPZADHXMRG-VWYCJHECSA-N 0 1 252.314 0.167 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC001589391515 954326396 /nfs/dbraw/zinc/32/63/96/954326396.db2.gz CCOIKROCNBIDRX-IJLUTSLNSA-N 0 1 281.356 0.790 20 30 CCEDMN C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CC[C@H]1C(=O)[O-] ZINC001589396466 954381375 /nfs/dbraw/zinc/38/13/75/954381375.db2.gz YYGSSKZKVCXWKV-WDEREUQCSA-N 0 1 279.340 0.591 20 30 CCEDMN N#C[C@@H]1CC[C@@H]([NH2+]C2CCN(CC(=O)[O-])CC2)C1 ZINC001594606083 956177690 /nfs/dbraw/zinc/17/76/90/956177690.db2.gz QAMFJLVLHYOMNP-ZYHUDNBSSA-N 0 1 251.330 0.817 20 30 CCEDMN N#CCCNC(=O)C[N@H+]1CCCCC[C@H]1C(=O)[O-] ZINC000080992583 957776491 /nfs/dbraw/zinc/77/64/91/957776491.db2.gz HROOUHDSTVCCMB-JTQLQIEISA-N 0 1 253.302 0.345 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1CCCCC[C@H]1C(=O)[O-] ZINC000080992583 957776495 /nfs/dbraw/zinc/77/64/95/957776495.db2.gz HROOUHDSTVCCMB-JTQLQIEISA-N 0 1 253.302 0.345 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H]1CCCC[N@@H+]1CCC ZINC001588398574 958066735 /nfs/dbraw/zinc/06/67/35/958066735.db2.gz AADYOWSIUSWJEY-GFCCVEGCSA-N 0 1 266.341 0.797 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CCC[N@@H+]1CC(C)C ZINC001588400410 958082385 /nfs/dbraw/zinc/08/23/85/958082385.db2.gz WYNUJRXVWVTZFN-LBPRGKRZSA-N 0 1 266.341 0.653 20 30 CCEDMN C=CCCn1cc(C[N@@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)nn1 ZINC001588460529 958478189 /nfs/dbraw/zinc/47/81/89/958478189.db2.gz NNZNVJKHVVHGCH-FZMZJTMJSA-N 0 1 292.339 0.387 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+](C)[C@H](C(=O)[O-])C2CC2)C1=O ZINC001588464002 958487787 /nfs/dbraw/zinc/48/77/87/958487787.db2.gz DOBIRIHXNDJMEG-MNOVXSKESA-N 0 1 252.314 0.568 20 30 CCEDMN C=CCO[C@H]1CC[N@@H+](CN2C[C@@H](C(=O)[O-])CC2=O)C1 ZINC001588465161 958497656 /nfs/dbraw/zinc/49/76/56/958497656.db2.gz NQHDXLIFHFGZLG-QWRGUYRKSA-N 0 1 268.313 0.154 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](CN2C[C@@H](C(=O)[O-])CC2=O)C1 ZINC001588465161 958497666 /nfs/dbraw/zinc/49/76/66/958497666.db2.gz NQHDXLIFHFGZLG-QWRGUYRKSA-N 0 1 268.313 0.154 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC001588597697 959396734 /nfs/dbraw/zinc/39/67/34/959396734.db2.gz ZUVZTXNUAKQSHO-GXSJLCMTSA-N 0 1 261.281 0.879 20 30 CCEDMN C[C@@H]1CC[C@H](C(=O)[O-])[N@@H+]1CC(=O)N(CCC#N)CCC#N ZINC001574274528 960625823 /nfs/dbraw/zinc/62/58/23/960625823.db2.gz ZOUROEQDIFZNEM-VXGBXAGGSA-N 0 1 292.339 0.580 20 30 CCEDMN C[C@@H]1CC[C@H](C(=O)[O-])[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC001574274528 960625835 /nfs/dbraw/zinc/62/58/35/960625835.db2.gz ZOUROEQDIFZNEM-VXGBXAGGSA-N 0 1 292.339 0.580 20 30 CCEDMN CC[N@@H+](C)[C@@H]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC001573875017 961242242 /nfs/dbraw/zinc/24/22/42/961242242.db2.gz CRANQVNAJMQULE-MFKMUULPSA-N 0 1 267.329 0.544 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC001588963346 962636154 /nfs/dbraw/zinc/63/61/54/962636154.db2.gz POVPFNLIDWNERW-BXUZGUMPSA-N 0 1 281.356 0.790 20 30 CCEDMN COCC[N@H+](CC(=O)[O-])C[C@@H](O)c1cccc(C#N)c1 ZINC001574152996 962664184 /nfs/dbraw/zinc/66/41/84/962664184.db2.gz RWDZBGYOFIWYMW-CYBMUJFWSA-N 0 1 278.308 0.625 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1CCCNC(=O)CNC(=O)[O-] ZINC001573310915 962690753 /nfs/dbraw/zinc/69/07/53/962690753.db2.gz DXGNIOSTUIFFAM-NSHDSACASA-N 0 1 267.329 0.248 20 30 CCEDMN C=CCC[N@@H+]1CCC[C@@H](NC(=O)CNC(=O)[O-])[C@@H]1C ZINC001573360736 963042659 /nfs/dbraw/zinc/04/26/59/963042659.db2.gz WOWMXUKPNFAEFA-WDEREUQCSA-N 0 1 269.345 0.799 20 30 CCEDMN C#C[C@H](C)[N@@H+](C)CCCN1C(=O)CS/C1=C\C(=O)[O-] ZINC001588367781 983301805 /nfs/dbraw/zinc/30/18/05/983301805.db2.gz BMHWSOTVLCYHEP-UVIKPUKZSA-N 0 1 282.365 0.831 20 30 CCEDMN C#CC[C@H]1CCC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)C1 ZINC001588434885 983472963 /nfs/dbraw/zinc/47/29/63/983472963.db2.gz XASXMTKKQORUBP-JSGCOSHPSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)CCCC(=O)[O-])C1 ZINC001588475692 983508774 /nfs/dbraw/zinc/50/87/74/983508774.db2.gz GIERJNPQFRUSTI-LLVKDONJSA-N 0 1 252.314 0.455 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)CCCC(=O)[O-])C1 ZINC001588475692 983508778 /nfs/dbraw/zinc/50/87/78/983508778.db2.gz GIERJNPQFRUSTI-LLVKDONJSA-N 0 1 252.314 0.455 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N2CC[C@@H](C(=O)[O-])C2)C1 ZINC001588477396 983516036 /nfs/dbraw/zinc/51/60/36/983516036.db2.gz ZFWBIKUFCVDOHC-VXGBXAGGSA-N 0 1 279.340 0.200 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N2CC[C@@H](C(=O)[O-])C2)C1 ZINC001588477396 983516043 /nfs/dbraw/zinc/51/60/43/983516043.db2.gz ZFWBIKUFCVDOHC-VXGBXAGGSA-N 0 1 279.340 0.200 20 30 CCEDMN C#CCOCC[N@H+]1Cc2cc(O)ccc2C[C@H]1C(=O)[O-] ZINC001588491334 983535897 /nfs/dbraw/zinc/53/58/97/983535897.db2.gz KCFJICXLQVPJBN-AWEZNQCLSA-N 0 1 275.304 0.853 20 30 CCEDMN CC[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C(C)(C)C(=O)[O-] ZINC001596201985 983587667 /nfs/dbraw/zinc/58/76/67/983587667.db2.gz OVEPPRPARWACND-CQSZACIVSA-N 0 1 281.356 0.980 20 30 CCEDMN CC[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C(C)(C)C(=O)[O-] ZINC001596201985 983587670 /nfs/dbraw/zinc/58/76/70/983587670.db2.gz OVEPPRPARWACND-CQSZACIVSA-N 0 1 281.356 0.980 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[N@H+](C)[C@@H](C)[C@@H]1C ZINC001588615247 983711027 /nfs/dbraw/zinc/71/10/27/983711027.db2.gz OEZKQPVYXVPHJF-QWRGUYRKSA-N 0 1 254.330 0.958 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1C[C@H](O)CC2(CCOCC2)C1 ZINC001588662974 983792493 /nfs/dbraw/zinc/79/24/93/983792493.db2.gz ATZLXTWMPDKVBA-GHMZBOCLSA-N 0 1 255.314 0.489 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC001588692533 983883642 /nfs/dbraw/zinc/88/36/42/983883642.db2.gz DEGCHNPIOWQPCO-RYUDHWBXSA-N 0 1 299.371 0.378 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1C[C@H](N2CCOCC2)C[C@@H]1C ZINC001588727684 983977620 /nfs/dbraw/zinc/97/76/20/983977620.db2.gz DYCHTJRRLSWOEP-YNEHKIRRSA-N 0 1 268.357 0.811 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC001588728679 983983740 /nfs/dbraw/zinc/98/37/40/983983740.db2.gz DOLNJPCQXRDCPF-WPRPVWTQSA-N 0 1 279.296 0.706 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1C[C@@H](O)CC2(CCOCC2)C1 ZINC001588729945 983995204 /nfs/dbraw/zinc/99/52/04/983995204.db2.gz WPIXNMOKFZZOHT-RYUDHWBXSA-N 0 1 269.341 0.879 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@@H+]1CCc2c(nnn2CCOC)C1 ZINC001588731146 984006636 /nfs/dbraw/zinc/00/66/36/984006636.db2.gz CTTFIYAAWPENPL-LBPRGKRZSA-N 0 1 280.328 0.312 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCc2c(nnn2CCOC)C1 ZINC001588731146 984006644 /nfs/dbraw/zinc/00/66/44/984006644.db2.gz CTTFIYAAWPENPL-LBPRGKRZSA-N 0 1 280.328 0.312 20 30 CCEDMN C=CCC[C@@H](O)C[NH2+][C@@H](C(=O)[O-])c1ccnn1C ZINC001588778745 984162530 /nfs/dbraw/zinc/16/25/30/984162530.db2.gz KXRRGECTRCVYST-MWLCHTKSSA-N 0 1 253.302 0.463 20 30 CCEDMN C=CCCC[NH+]1CC(CCO)(NC(=O)[C@H]2C[C@@H]2C(=O)[O-])C1 ZINC001588801472 984245428 /nfs/dbraw/zinc/24/54/28/984245428.db2.gz MJNYAERZBUOEQR-RYUDHWBXSA-N 0 1 296.367 0.226 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC001588808993 984264755 /nfs/dbraw/zinc/26/47/55/984264755.db2.gz UUKXVCCEWGDVQG-NSHDSACASA-N 0 1 270.329 0.196 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CCCC[C@@]2(COC)C(=O)[O-])C1=O ZINC001588838935 984342885 /nfs/dbraw/zinc/34/28/85/984342885.db2.gz MLDPUMIMXLRQRV-SWLSCSKDSA-N 0 1 296.367 0.729 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCCC[C@@]2(COC)C(=O)[O-])C1=O ZINC001588838935 984342890 /nfs/dbraw/zinc/34/28/90/984342890.db2.gz MLDPUMIMXLRQRV-SWLSCSKDSA-N 0 1 296.367 0.729 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+](C)C/C=C(/C)C(=O)[O-])C1=O ZINC001588839153 984344261 /nfs/dbraw/zinc/34/42/61/984344261.db2.gz PHQAAXRCHVGMGI-GULOHRGCSA-N 0 1 252.314 0.736 20 30 CCEDMN C[C@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)CC[C@H]1C(=O)[O-] ZINC001599927600 985231806 /nfs/dbraw/zinc/23/18/06/985231806.db2.gz FXWALXMJESUXNA-JVLSTEMRSA-N 0 1 293.367 0.837 20 30 CCEDMN C[C@@H](C#N)C[N@H+](CCC(=O)N(C)CC(=O)[O-])C1CC1 ZINC001589330860 986179535 /nfs/dbraw/zinc/17/95/35/986179535.db2.gz SLWYFXODUFNKJN-JTQLQIEISA-N 0 1 267.329 0.544 20 30 CCEDMN C[C@@H](C#N)C[N@@H+](CCC(=O)N(C)CC(=O)[O-])C1CC1 ZINC001589330860 986179540 /nfs/dbraw/zinc/17/95/40/986179540.db2.gz SLWYFXODUFNKJN-JTQLQIEISA-N 0 1 267.329 0.544 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](C(=O)[O-])[C@@H]1C ZINC001589339581 986237321 /nfs/dbraw/zinc/23/73/21/986237321.db2.gz FDMQWIIGKJQEQU-AXFHLTTASA-N 0 1 267.329 0.542 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](C(=O)[O-])[C@H]1C ZINC001589339584 986237640 /nfs/dbraw/zinc/23/76/40/986237640.db2.gz FDMQWIIGKJQEQU-MXWKQRLJSA-N 0 1 267.329 0.542 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@H](C)[C@@](C)(C(=O)[O-])C1 ZINC001589386764 986488659 /nfs/dbraw/zinc/48/86/59/986488659.db2.gz BSNDNWJQBMPOPA-MJVIPROJSA-N 0 1 293.367 0.980 20 30 CCEDMN C[N@@H+](CCCc1[nH]nc(N)c1C#N)CC1(CC(=O)[O-])CC1 ZINC001598485615 992203439 /nfs/dbraw/zinc/20/34/39/992203439.db2.gz RHALUIQNTVIQBZ-UHFFFAOYSA-N 0 1 291.355 0.983 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)O[C@H]1C[N@@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001598576001 993275786 /nfs/dbraw/zinc/27/57/86/993275786.db2.gz WPWGZIURPABBTI-YGRLFVJLSA-N 0 1 291.307 0.601 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](Cc2ccc(C#N)o2)C[C@@H]1C(=O)[O-] ZINC001598827854 996402974 /nfs/dbraw/zinc/40/29/74/996402974.db2.gz MZYJNAXWTSIXRW-QWRGUYRKSA-N 0 1 278.264 0.457 20 30 CCEDMN CN(C[C@H]1C[C@@H](O)C1)[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000599655532 361772763 /nfs/dbraw/zinc/77/27/63/361772763.db2.gz NSNCYZFWJBQXNI-KWCYVHTRSA-N 0 1 273.336 0.787 20 30 CCEDMN CCC(C#N)(CC)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000599713868 361796062 /nfs/dbraw/zinc/79/60/62/361796062.db2.gz OOOBMIZTJCGWPY-NSHDSACASA-N 0 1 292.339 0.940 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H](N3CC[C@@H](O)C3)C2)s1 ZINC000599939563 361836071 /nfs/dbraw/zinc/83/60/71/361836071.db2.gz XFOBMURSFYVGCW-WDEREUQCSA-N 0 1 291.376 0.901 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CC[C@H](N2CC[C@H](O)C2)C1 ZINC000599939399 361836414 /nfs/dbraw/zinc/83/64/14/361836414.db2.gz IHENGTYWMZZDPW-SGMGOOAPSA-N 0 1 265.357 0.594 20 30 CCEDMN N#CC1(NC(=O)Cn2ccnc2CN)CCCCC1 ZINC000600966407 362104190 /nfs/dbraw/zinc/10/41/90/362104190.db2.gz NSYMPQXMIDLTAD-UHFFFAOYSA-N 0 1 261.329 0.684 20 30 CCEDMN N#Cc1ccc2c(c1)N(C(=O)CCc1nn[nH]n1)CC2 ZINC000601376789 362248806 /nfs/dbraw/zinc/24/88/06/362248806.db2.gz RZYDIZFPLNHBRJ-UHFFFAOYSA-N 0 1 268.280 0.593 20 30 CCEDMN CN1CC[C@H](N2CCC(NC(=O)[C@@H]3CCOC3)CC2)C1=O ZINC000329192401 529805151 /nfs/dbraw/zinc/80/51/51/529805151.db2.gz RZVXRJZNJOXYJD-YPMHNXCESA-N 0 1 295.383 0.675 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccccc1CC#N)N(C)C ZINC000601430944 362269629 /nfs/dbraw/zinc/26/96/29/362269629.db2.gz AGHKZYGOSXDYOP-NSHDSACASA-N 0 1 281.381 0.981 20 30 CCEDMN CC(=O)N[C@@H]1C(C)=NN(Cc2ccc(C#N)cc2)C1=O ZINC000601944490 362431822 /nfs/dbraw/zinc/43/18/22/362431822.db2.gz HROVAHBZLMUTMZ-CYBMUJFWSA-N 0 1 270.292 0.781 20 30 CCEDMN CN(CCC#N)C(=O)C(C)(C)CN1CCOCC1 ZINC000602079868 362474973 /nfs/dbraw/zinc/47/49/73/362474973.db2.gz FIKGWDVQKQFLOM-UHFFFAOYSA-N 0 1 253.346 0.717 20 30 CCEDMN CN1C(=O)CC[C@@H]1C(=O)NCCN1CC=CCC1 ZINC000329028054 530041170 /nfs/dbraw/zinc/04/11/70/530041170.db2.gz WDRDYFRIYLNIDE-LLVKDONJSA-N 0 1 251.330 0.826 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N1CCSC[C@@H]1C#N ZINC000330868365 264058220 /nfs/dbraw/zinc/05/82/20/264058220.db2.gz WFJBPVVCBSCPTB-NSHDSACASA-N 0 1 291.442 0.599 20 30 CCEDMN N#CCCCCNC(=O)NCCN1CC[C@@H](O)C1 ZINC000602245940 362567228 /nfs/dbraw/zinc/56/72/28/362567228.db2.gz BLPIRPHBHMKFCU-LLVKDONJSA-N 0 1 254.334 0.046 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)NCCN2CC[C@H](O)C2)C1 ZINC000602329513 362587736 /nfs/dbraw/zinc/58/77/36/362587736.db2.gz ZTGHKDJWQJLQOT-TUAOUCFPSA-N 0 1 266.345 0.044 20 30 CCEDMN C[C@H]1CN(C(=O)Cc2ccc(C#N)nc2)C[C@H](C)N1 ZINC000602435026 362616214 /nfs/dbraw/zinc/61/62/14/362616214.db2.gz SEJLIQBFPCNYMU-QWRGUYRKSA-N 0 1 258.325 0.705 20 30 CCEDMN C[C@@H](O)C(=O)N1CCN(C[C@H](C)CCC#N)CC1 ZINC000602484968 362639907 /nfs/dbraw/zinc/63/99/07/362639907.db2.gz LBPHDCZYMPJJBV-VXGBXAGGSA-N 0 1 253.346 0.451 20 30 CCEDMN C[C@@H](CCC#N)CN1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000602585473 362692221 /nfs/dbraw/zinc/69/22/21/362692221.db2.gz SUPRSMVZKPJWDC-RYUDHWBXSA-N 0 1 273.402 0.892 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(C(=O)N(CC)CC)CC1 ZINC000120112447 349969664 /nfs/dbraw/zinc/96/96/64/349969664.db2.gz AVEMWXJPRKCPIK-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN C[C@H]1COCC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000617891604 365818726 /nfs/dbraw/zinc/81/87/26/365818726.db2.gz UEXIVXKYBHCRTM-WCBMZHEXSA-N 0 1 263.301 0.260 20 30 CCEDMN CN1CCN(Cc2ccc(C#N)c(F)c2)[C@@H](CO)C1 ZINC000602716351 362766191 /nfs/dbraw/zinc/76/61/91/362766191.db2.gz UCXUJBICJAMCAF-CYBMUJFWSA-N 0 1 263.316 0.806 20 30 CCEDMN C[C@@H]1C(=O)N(C)[C@H](C)[C@H](C)N1CCC(=O)N(C)CCC#N ZINC000602847779 362834586 /nfs/dbraw/zinc/83/45/86/362834586.db2.gz KNLZKNRKJUNDQB-FRRDWIJNSA-N 0 1 294.399 0.688 20 30 CCEDMN CO[C@@H]1C[C@H](CN(C)C(=O)c2cnn(C)c2C#N)N(C)C1 ZINC000344488692 137197124 /nfs/dbraw/zinc/19/71/24/137197124.db2.gz CEXBCNGDWCTJAF-GHMZBOCLSA-N 0 1 291.355 0.083 20 30 CCEDMN Cc1noc([C@@H](C)NS(=O)(=O)c2ccc(C#N)o2)n1 ZINC000344410243 137193215 /nfs/dbraw/zinc/19/32/15/137193215.db2.gz PAGTVUGRPYNPMF-ZCFIWIBFSA-N 0 1 282.281 0.882 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NCC[C@@H](O)C(F)(F)F)o1 ZINC000344561369 137201749 /nfs/dbraw/zinc/20/17/49/137201749.db2.gz OKBOEPZTDMCKMG-SSDOTTSWSA-N 0 1 298.242 0.743 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000035083970 348155143 /nfs/dbraw/zinc/15/51/43/348155143.db2.gz OLBQATWHGYGPSV-GFCCVEGCSA-N 0 1 266.341 0.984 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)[C@@H](C(C)(C)C)C1 ZINC000602874124 362854028 /nfs/dbraw/zinc/85/40/28/362854028.db2.gz NUUNGSLCGASMJA-GFCCVEGCSA-N 0 1 296.415 0.660 20 30 CCEDMN CC(=O)N[C@@H](C)C(=O)N=c1[nH]n(C(C)C)cc1C#N ZINC000345178599 137238217 /nfs/dbraw/zinc/23/82/17/137238217.db2.gz FMMXWIKASXLSHN-QMMMGPOBSA-N 0 1 263.301 0.221 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CC23CCOCC3)[nH]1 ZINC000602879927 362860533 /nfs/dbraw/zinc/86/05/33/362860533.db2.gz HMXVZRGXBDGBDH-JTQLQIEISA-N 0 1 275.312 0.404 20 30 CCEDMN COC1CCC(NC(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000602880241 362860571 /nfs/dbraw/zinc/86/05/71/362860571.db2.gz JWNSKLFLLQBDKI-UHFFFAOYSA-N 0 1 277.328 0.793 20 30 CCEDMN Cc1ncsc1CCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000602880259 362860676 /nfs/dbraw/zinc/86/06/76/362860676.db2.gz KDMDEVJEFNLJQX-UHFFFAOYSA-N 0 1 290.352 0.843 20 30 CCEDMN CCNC(=O)CN(C)CCC(=O)Nc1ccc(C#N)cc1 ZINC000047262986 348373141 /nfs/dbraw/zinc/37/31/41/348373141.db2.gz RFMLAVPCQLMBKN-UHFFFAOYSA-N 0 1 288.351 0.955 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](OC)C[C@]1(C)CO ZINC000602965048 362912201 /nfs/dbraw/zinc/91/22/01/362912201.db2.gz YHPHVMITYVISHF-TZMCWYRMSA-N 0 1 283.372 0.220 20 30 CCEDMN C#CCN(CC(=O)N1CCNC1=O)C1CCCCC1 ZINC000065960770 348730313 /nfs/dbraw/zinc/73/03/13/348730313.db2.gz QKKSGVIHXYJQLG-UHFFFAOYSA-N 0 1 263.341 0.806 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)OC[C@@H]2C)CC1 ZINC000068063991 348795137 /nfs/dbraw/zinc/79/51/37/348795137.db2.gz RWVHKRBSYMQWGV-QWHCGFSZSA-N 0 1 264.369 0.967 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2ccc(C#N)cn2)CC1 ZINC000073587611 348954924 /nfs/dbraw/zinc/95/49/24/348954924.db2.gz VINAJMDJPPXCKD-UHFFFAOYSA-N 0 1 273.340 0.163 20 30 CCEDMN CCNC(=O)CCN1CCN(c2ncccc2C#N)CC1 ZINC000076479497 349084807 /nfs/dbraw/zinc/08/48/07/349084807.db2.gz CLJSOZXCHUSLEM-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN CCCCNC(=O)[C@@H]1CC[C@@H](C)N(CC(=O)NCC#N)C1 ZINC000076669274 349089508 /nfs/dbraw/zinc/08/95/08/349089508.db2.gz XDDBSBKQDIXFOE-CHWSQXEVSA-N 0 1 294.399 0.643 20 30 CCEDMN N#Cc1ccc(CNC[C@@H]2CS(=O)(=O)CCO2)s1 ZINC000538421508 290882035 /nfs/dbraw/zinc/88/20/35/290882035.db2.gz LZCXKPNPKIFFCI-SECBINFHSA-N 0 1 286.378 0.523 20 30 CCEDMN CCc1nnc(NCc2nn[nH]n2)c(C#N)c1CC ZINC000124085289 350088997 /nfs/dbraw/zinc/08/89/97/350088997.db2.gz MWHVEZFGRSZHQQ-UHFFFAOYSA-N 0 1 258.289 0.598 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)C(C)(C)CO ZINC000134837595 350378062 /nfs/dbraw/zinc/37/80/62/350378062.db2.gz DRUOZYNBJHQLLU-ZDUSSCGKSA-N 0 1 255.362 0.744 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)C(C)(C)CO ZINC000134843253 350378187 /nfs/dbraw/zinc/37/81/87/350378187.db2.gz HTCNGCAKPBJGHO-UHFFFAOYSA-N 0 1 266.345 0.345 20 30 CCEDMN C[C@H](C(=O)N1C[C@H](C)N(CC#N)[C@@H](C)C1)N(C)C ZINC000603089909 362957438 /nfs/dbraw/zinc/95/74/38/362957438.db2.gz FQYAJDIOHDGCEX-SDDRHHMPSA-N 0 1 252.362 0.381 20 30 CCEDMN C[C@@H]1CN(C(=O)C2(N(C)C)CC2)C[C@H](C)N1CC#N ZINC000603093530 362959554 /nfs/dbraw/zinc/95/95/54/362959554.db2.gz QVASYYTVEWOKIJ-TXEJJXNPSA-N 0 1 264.373 0.525 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC(OCCCO)CC1 ZINC000154827391 350601361 /nfs/dbraw/zinc/60/13/61/350601361.db2.gz BWRQYXCOKZDXHL-CYBMUJFWSA-N 0 1 297.399 0.610 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)CCC(=O)NC ZINC000170782323 350860568 /nfs/dbraw/zinc/86/05/68/350860568.db2.gz NNMDPPZCIRKOAJ-GFCCVEGCSA-N 0 1 267.373 0.643 20 30 CCEDMN CNC(=O)CCN(C)[C@@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000170791997 350862343 /nfs/dbraw/zinc/86/23/43/350862343.db2.gz CZDVNSIHXIEUIR-NSHDSACASA-N 0 1 288.351 0.953 20 30 CCEDMN CN1CCN(Cc2cn(Cc3ccnc(C#N)c3)nn2)CC1 ZINC000603224131 363008358 /nfs/dbraw/zinc/00/83/58/363008358.db2.gz XBJHGVIFEZFGQI-UHFFFAOYSA-N 0 1 297.366 0.340 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)C[C@@H](C)C(=O)NC ZINC000176366782 351171032 /nfs/dbraw/zinc/17/10/32/351171032.db2.gz UEEGNXWZSRTDMZ-GFCCVEGCSA-N 0 1 267.373 0.501 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1cc(C#N)c[nH]1 ZINC000175594110 351135933 /nfs/dbraw/zinc/13/59/33/351135933.db2.gz ZOMXYKRTHOOXQQ-NSHDSACASA-N 0 1 275.356 0.252 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC[C@@H](CCC(N)=O)C1 ZINC000245397485 352319107 /nfs/dbraw/zinc/31/91/07/352319107.db2.gz PLGUVMCKLSOTJY-STQMWFEESA-N 0 1 294.399 0.724 20 30 CCEDMN N#Cc1ccc(CNC(=O)CN2CCC[C@H](CO)C2)cc1 ZINC000265908373 352671116 /nfs/dbraw/zinc/67/11/16/352671116.db2.gz VWURNIWYVJBMOV-HNNXBMFYSA-N 0 1 287.363 0.879 20 30 CCEDMN C=CC[C@@H](C)NC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000265328751 352631902 /nfs/dbraw/zinc/63/19/02/352631902.db2.gz VGABTFOAWWNCSS-NEPJUHHUSA-N 0 1 282.388 0.590 20 30 CCEDMN NC(=O)[C@@H]1CCCN1CCCNC(=O)C#Cc1ccccc1 ZINC000267048491 352741154 /nfs/dbraw/zinc/74/11/54/352741154.db2.gz VOSJESIRPCVTSV-HNNXBMFYSA-N 0 1 299.374 0.494 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000270499370 352940118 /nfs/dbraw/zinc/94/01/18/352940118.db2.gz PHYUSSOQAWSSLO-JQWIXIFHSA-N 0 1 295.339 0.591 20 30 CCEDMN N#Cc1cnc(NCCCN2CCC[C@H]2C(N)=O)cn1 ZINC000274679594 353152656 /nfs/dbraw/zinc/15/26/56/353152656.db2.gz IAUJQVXEDJAIRD-NSHDSACASA-N 0 1 274.328 0.100 20 30 CCEDMN C=C(C)CNC(=O)C(=NOCC(=O)OCC)c1ccn[nH]1 ZINC000278645376 353302885 /nfs/dbraw/zinc/30/28/85/353302885.db2.gz NDAKWNKAGRFDEO-SFQUDFHCSA-N 0 1 294.311 0.386 20 30 CCEDMN C#C[C@@H](C)NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000279641812 353306930 /nfs/dbraw/zinc/30/69/30/353306930.db2.gz CPDCFBGKEZNRMJ-SFYZADRCSA-N 0 1 264.247 0.827 20 30 CCEDMN COCCN1CCCN(C(=O)c2ccnc(C#N)c2)CC1 ZINC000280612717 353372584 /nfs/dbraw/zinc/37/25/84/353372584.db2.gz OWVPWVCDYQOQML-UHFFFAOYSA-N 0 1 288.351 0.748 20 30 CCEDMN C#CC[C@H](NCC(=O)N1CCNC1=O)c1ccccc1 ZINC000271690994 191279597 /nfs/dbraw/zinc/27/95/97/191279597.db2.gz KHJXNIUDQCVYRQ-ZDUSSCGKSA-N 0 1 271.320 0.892 20 30 CCEDMN C#CCNC(=O)CCN[C@@]1(CO)CCOc2ccccc21 ZINC000294106276 353869150 /nfs/dbraw/zinc/86/91/50/353869150.db2.gz MPHBEXDOAAVVKP-MRXNPFEDSA-N 0 1 288.347 0.386 20 30 CCEDMN C[C@H](O)[C@@H]1CCCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000305209529 354139102 /nfs/dbraw/zinc/13/91/02/354139102.db2.gz ZJDWTMQFQMOMSP-YWPYICTPSA-N 0 1 279.384 0.888 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000607928059 363372411 /nfs/dbraw/zinc/37/24/11/363372411.db2.gz AUWKTTKECSMSOV-AWEZNQCLSA-N 0 1 282.384 0.760 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC000330416225 354350210 /nfs/dbraw/zinc/35/02/10/354350210.db2.gz UVRJSPGUPSHSBQ-CYBMUJFWSA-N 0 1 290.367 0.973 20 30 CCEDMN CC1(C)[C@H](O)CCN1CC(=O)N(CCC#N)CCC#N ZINC000336833808 355207257 /nfs/dbraw/zinc/20/72/57/355207257.db2.gz OZVLEIIFQWBEPL-GFCCVEGCSA-N 0 1 278.356 0.488 20 30 CCEDMN Cc1noc(CNS(=O)(=O)c2cnc(C)c(C#N)c2)n1 ZINC000336843139 355213527 /nfs/dbraw/zinc/21/35/27/355213527.db2.gz WAMPUUMVWFSLNH-UHFFFAOYSA-N 0 1 293.308 0.432 20 30 CCEDMN CN1CC[C@@H]2OCCN(C(=O)CSCC#N)[C@@H]2C1 ZINC000332699910 283085212 /nfs/dbraw/zinc/08/52/12/283085212.db2.gz VARGEQYGFZSGGU-MNOVXSKESA-N 0 1 269.370 0.175 20 30 CCEDMN C[C@@H](C(=O)N1[C@H](C)CN(C)C[C@H]1C)n1cnc(C#N)n1 ZINC000336990841 355241890 /nfs/dbraw/zinc/24/18/90/355241890.db2.gz LBCJSQYAECGGSN-MXWKQRLJSA-N 0 1 276.344 0.262 20 30 CCEDMN C[C@H](C(=O)N1C[C@@H](C)N(C)C[C@H]1C)n1cnc(C#N)n1 ZINC000336998426 355243613 /nfs/dbraw/zinc/24/36/13/355243613.db2.gz PWSLOCVRPPDFME-GMTAPVOTSA-N 0 1 276.344 0.262 20 30 CCEDMN N#Cc1ccc(OCC(=O)NC2(c3nn[nH]n3)CC2)cc1 ZINC000348298059 355907989 /nfs/dbraw/zinc/90/79/89/355907989.db2.gz NTRBNWHBVUWNOM-UHFFFAOYSA-N 0 1 284.279 0.256 20 30 CCEDMN CCN(CCO)CC(=O)NCc1cccc(C#N)c1 ZINC000348537344 355945641 /nfs/dbraw/zinc/94/56/41/355945641.db2.gz SOUVCNQMGDMPLU-UHFFFAOYSA-N 0 1 261.325 0.489 20 30 CCEDMN CN(CC(=O)NCc1cccc(C#N)c1)Cc1nnc[nH]1 ZINC000348633830 355957458 /nfs/dbraw/zinc/95/74/58/355957458.db2.gz MGXGRCARLAAVHG-UHFFFAOYSA-N 0 1 284.323 0.425 20 30 CCEDMN N#Cc1csc(CNC[C@@H](O)C2CCOCC2)n1 ZINC000352835830 356093120 /nfs/dbraw/zinc/09/31/20/356093120.db2.gz LGQBSXPZKPLJLL-LLVKDONJSA-N 0 1 267.354 0.892 20 30 CCEDMN C=CCOCCCN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000353131077 356161129 /nfs/dbraw/zinc/16/11/29/356161129.db2.gz YFIUMIOPIDBXEA-NWDGAFQWSA-N 0 1 259.371 0.698 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC1CCN(CC#N)CC1 ZINC000609332178 363490605 /nfs/dbraw/zinc/49/06/05/363490605.db2.gz AWZJMISPRPCECZ-CQSZACIVSA-N 0 1 278.400 0.965 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCN(C2CCC2)CC1 ZINC000609600827 363508515 /nfs/dbraw/zinc/50/85/15/363508515.db2.gz KMMOKXVTNBEMSA-CYBMUJFWSA-N 0 1 278.400 0.917 20 30 CCEDMN N#CCSCC(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000619871514 366365540 /nfs/dbraw/zinc/36/55/40/366365540.db2.gz LVRKOWMFJGVVFA-CYBMUJFWSA-N 0 1 297.424 0.956 20 30 CCEDMN N#Cc1cccc(N2C(=O)N[C@H](Cc3cnc[nH]3)C2=O)c1 ZINC000359474204 356828390 /nfs/dbraw/zinc/82/83/90/356828390.db2.gz GLSFKENFTONPRU-GFCCVEGCSA-N 0 1 281.275 0.949 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2ccc(C#N)nc2)C1 ZINC000360210999 356970931 /nfs/dbraw/zinc/97/09/31/356970931.db2.gz ATTSIZSWKHOKFN-CYBMUJFWSA-N 0 1 274.324 0.404 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1C[C@H]2CCC[C@@H](C1)C2O)C1CC1 ZINC000360971321 357043340 /nfs/dbraw/zinc/04/33/40/357043340.db2.gz ATUUCBOJJFXHRL-VTGZOESTSA-N 0 1 291.395 0.888 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](c2ccnn2C)C1 ZINC000363096142 357059003 /nfs/dbraw/zinc/05/90/03/357059003.db2.gz YUQVYRLBWXUVHS-LBPRGKRZSA-N 0 1 262.357 0.902 20 30 CCEDMN CCN(C[C@@H]1CCOC1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610563032 363577711 /nfs/dbraw/zinc/57/77/11/363577711.db2.gz PHILAGHKYPXIHI-JTQLQIEISA-N 0 1 277.328 0.604 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCCn2cccn2)[nH]1 ZINC000610528025 363572892 /nfs/dbraw/zinc/57/28/92/363572892.db2.gz HOIVAEMNZUCPDC-UHFFFAOYSA-N 0 1 273.300 0.122 20 30 CCEDMN Cn1cc(CN2CCC[C@@H](N3CCNC3=O)C2)cc1C#N ZINC000364588126 357122965 /nfs/dbraw/zinc/12/29/65/357122965.db2.gz HJRQAMWBPWFVRY-CYBMUJFWSA-N 0 1 287.367 0.886 20 30 CCEDMN Cn1cc(C#N)c(NC(=O)N2CCN(CC3CC3)CC2)n1 ZINC000610563394 363578192 /nfs/dbraw/zinc/57/81/92/363578192.db2.gz BPDTVKHFXCJMJD-UHFFFAOYSA-N 0 1 288.355 0.273 20 30 CCEDMN CCC(CC)[C@@H](O)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610564730 363578872 /nfs/dbraw/zinc/57/88/72/363578872.db2.gz LGUXFJBDLCEDMK-NSHDSACASA-N 0 1 279.344 0.632 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC[N@@H+](C)C2CCCC2)[n-]1 ZINC000610564332 363579129 /nfs/dbraw/zinc/57/91/29/363579129.db2.gz HNWOVGNGDJREMZ-UHFFFAOYSA-N 0 1 290.371 0.710 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H]2CCC[C@@H](O)C2)[nH]1 ZINC000610564302 363579254 /nfs/dbraw/zinc/57/92/54/363579254.db2.gz HEGWPQDNLSPYHJ-GXSJLCMTSA-N 0 1 277.328 0.386 20 30 CCEDMN N#Cc1cccnc1N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000376057917 357428836 /nfs/dbraw/zinc/42/88/36/357428836.db2.gz RSAOJOIHDJVHOL-KGLIPLIRSA-N 0 1 272.352 0.989 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000394797167 357759014 /nfs/dbraw/zinc/75/90/14/357759014.db2.gz LWANSOINFCCYQC-GXTWGEPZSA-N 0 1 264.373 0.432 20 30 CCEDMN Cn1cc(CN[C@@H]2CCN(S(C)(=O)=O)C2)cc1C#N ZINC000400284045 357794068 /nfs/dbraw/zinc/79/40/68/357794068.db2.gz SJGPUFBLKNUQGI-LLVKDONJSA-N 0 1 282.369 0.020 20 30 CCEDMN COC[C@H]1CCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000610634650 363589645 /nfs/dbraw/zinc/58/96/45/363589645.db2.gz XPKQZXAXURWFKH-SNVBAGLBSA-N 0 1 263.301 0.356 20 30 CCEDMN N#Cc1ccc(CN[C@@]2(CO)CCOC2)cc1F ZINC000404062264 357815021 /nfs/dbraw/zinc/81/50/21/357815021.db2.gz MLTHDCXNCWSQDH-CYBMUJFWSA-N 0 1 250.273 0.938 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1C[C@@H]2CC[C@H](O)C[C@@H]2C1)C1CC1 ZINC000398829719 357778706 /nfs/dbraw/zinc/77/87/06/357778706.db2.gz LBWCXBOWFBFWJH-CQJJVWNLSA-N 0 1 291.395 0.888 20 30 CCEDMN CO[C@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)C1(C)C ZINC000610652609 363590052 /nfs/dbraw/zinc/59/00/52/363590052.db2.gz ZHPPRIMRBDUTKU-UWVGGRQHSA-N 0 1 277.328 0.649 20 30 CCEDMN N#C[C@@H]1CC[C@@H](N2CCC[C@H](S(N)(=O)=O)C2)C1 ZINC000407993924 357856338 /nfs/dbraw/zinc/85/63/38/357856338.db2.gz WNQSIERLVZJDEF-MXWKQRLJSA-N 0 1 257.359 0.432 20 30 CCEDMN N#C[C@@H]1CC[C@H](N2CCC[C@@H](S(N)(=O)=O)C2)C1 ZINC000407993925 357856434 /nfs/dbraw/zinc/85/64/34/357856434.db2.gz WNQSIERLVZJDEF-OUAUKWLOSA-N 0 1 257.359 0.432 20 30 CCEDMN C[C@H](O)CCN1CCN(c2cccc(C#N)n2)CC1 ZINC000450746481 236109479 /nfs/dbraw/zinc/10/94/79/236109479.db2.gz JQILAAOMJUDXQB-LBPRGKRZSA-N 0 1 260.341 0.846 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)nc2)C[C@H](C)N1CCO ZINC000450795008 236112903 /nfs/dbraw/zinc/11/29/03/236112903.db2.gz OKVNPLJMXHJZSW-TXEJJXNPSA-N 0 1 260.341 0.845 20 30 CCEDMN C#CCN1CCC(C(=O)OCCN2CCOC[C@H]2C)CC1 ZINC000491147560 358292037 /nfs/dbraw/zinc/29/20/37/358292037.db2.gz SAERPIQVOVXRRL-CQSZACIVSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCNC(=O)CCN1C[C@]2(CCCO2)[C@H]1C1CC1 ZINC000491254997 358293444 /nfs/dbraw/zinc/29/34/44/358293444.db2.gz UVTSBHQQRYLDGY-HUUCEWRRSA-N 0 1 262.353 0.769 20 30 CCEDMN Cn1nnc2ccc(C(=O)NC3(C#N)CCN(C)CC3)cc21 ZINC000544417546 358548421 /nfs/dbraw/zinc/54/84/21/358548421.db2.gz VBROZVWKBROCJS-UHFFFAOYSA-N 0 1 298.350 0.686 20 30 CCEDMN COC[C@@H](C)OC[C@@H](O)CNCC1(C#N)CCC1 ZINC000566118899 358567500 /nfs/dbraw/zinc/56/75/00/358567500.db2.gz JYKFIAOBWGJZFR-NEPJUHHUSA-N 0 1 256.346 0.682 20 30 CCEDMN C=CCOC[C@@H](NC(=O)CN1CCC(C)(C)C1)C(=O)OC ZINC000568216395 358650617 /nfs/dbraw/zinc/65/06/17/358650617.db2.gz PWIAYFVQQZMXIE-GFCCVEGCSA-N 0 1 298.383 0.579 20 30 CCEDMN N#Cc1ccnc(NCCN2C[C@H]3CC[C@@H](C2)O3)n1 ZINC000562535206 291157512 /nfs/dbraw/zinc/15/75/12/291157512.db2.gz KEHOMKXFUOFILZ-TXEJJXNPSA-N 0 1 259.313 0.623 20 30 CCEDMN C[C@H](C(=O)N1CCN(C)C(C)(C)C1)n1cnc(C#N)n1 ZINC000568882339 358715179 /nfs/dbraw/zinc/71/51/79/358715179.db2.gz UWDSOBCZORYTDC-SNVBAGLBSA-N 0 1 276.344 0.263 20 30 CCEDMN CCN1CCN([C@H]2CCN(c3cc(C#N)ncn3)C2)CC1 ZINC000571005006 358902676 /nfs/dbraw/zinc/90/26/76/358902676.db2.gz LQHDYCXQEVGWKG-AWEZNQCLSA-N 0 1 286.383 0.564 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@@H+]1[C@@H]2CC[C@H]1CCN(C)C2 ZINC000574141541 359031795 /nfs/dbraw/zinc/03/17/95/359031795.db2.gz GVRJBQIJOQJDHS-RWMBFGLXSA-N 0 1 251.374 0.846 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H](O)Cc2ccccc2)[nH]1 ZINC000588259846 359319474 /nfs/dbraw/zinc/31/94/74/359319474.db2.gz NRRZFQWLFLCLHE-CYBMUJFWSA-N 0 1 299.334 0.439 20 30 CCEDMN COC(=O)C(C)(C)CCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000588258763 359319633 /nfs/dbraw/zinc/31/96/33/359319633.db2.gz HXAJTJIHVBUJKX-UHFFFAOYSA-N 0 1 293.327 0.424 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)c2cccc(C#N)n2)C1 ZINC000589398096 359380208 /nfs/dbraw/zinc/38/02/08/359380208.db2.gz RTKRKMZFKXUMLJ-CYBMUJFWSA-N 0 1 288.351 0.794 20 30 CCEDMN CC(C)[C@H](O)C(C)(C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611097961 363659372 /nfs/dbraw/zinc/65/93/72/363659372.db2.gz PMDCLMQPRCKTRK-NSHDSACASA-N 0 1 293.371 0.878 20 30 CCEDMN CCN(C[C@H](C)OC)C(=O)N=c1[nH]n(C)cc1C#N ZINC000589761816 359400695 /nfs/dbraw/zinc/40/06/95/359400695.db2.gz HHMTXYRPTOLJJY-VIFPVBQESA-N 0 1 265.317 0.602 20 30 CCEDMN CO[C@@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)C12CCC2 ZINC000590957444 359542114 /nfs/dbraw/zinc/54/21/14/359542114.db2.gz JCPGZDMQAXJGPU-WDEREUQCSA-N 0 1 289.339 0.793 20 30 CCEDMN C[C@@H]1CC[C@@H](CNC(=O)N=c2[nH]n(C)cc2C#N)O1 ZINC000590822324 359527428 /nfs/dbraw/zinc/52/74/28/359527428.db2.gz NRHVVUZTHXKBNQ-SCZZXKLOSA-N 0 1 263.301 0.403 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCCC(F)(F)[C@H]2O)[nH]1 ZINC000591338182 359579843 /nfs/dbraw/zinc/57/98/43/359579843.db2.gz SQZWKAFBICPESL-BDAKNGLRSA-N 0 1 299.281 0.384 20 30 CCEDMN CNC(=O)C[C@]1(O)CCCN(Cc2ccc(C#N)o2)C1 ZINC000591629302 359617394 /nfs/dbraw/zinc/61/73/94/359617394.db2.gz CWKKRNFTANPPEY-CQSZACIVSA-N 0 1 277.324 0.614 20 30 CCEDMN CO[C@@H]1CN(c2nccc(C)c2C#N)C[C@H]1c1cn[nH]n1 ZINC000591629275 359616545 /nfs/dbraw/zinc/61/65/45/359616545.db2.gz JIZIERMTQUZSBD-WCQYABFASA-N 0 1 284.323 0.999 20 30 CCEDMN Cc1noc(CCCN2CC[C@](O)(CC#N)C2)n1 ZINC000592150404 359768579 /nfs/dbraw/zinc/76/85/79/359768579.db2.gz BSJHRJFHTNQIGN-GFCCVEGCSA-N 0 1 250.302 0.661 20 30 CCEDMN CCN(CCCO)Cc1cnc2c(C#N)cnn2c1 ZINC000592502989 359862078 /nfs/dbraw/zinc/86/20/78/359862078.db2.gz XKIHEOOXRJBTQL-UHFFFAOYSA-N 0 1 259.313 0.805 20 30 CCEDMN N#Cc1cnn2cc(CN(CCCO)C3CC3)cnc12 ZINC000592501539 359862485 /nfs/dbraw/zinc/86/24/85/359862485.db2.gz YVGUZQOIQDAWLL-UHFFFAOYSA-N 0 1 271.324 0.948 20 30 CCEDMN N#Cc1cnn2cc(CNC3COCCOC3)cnc12 ZINC000592515216 359869478 /nfs/dbraw/zinc/86/94/78/359869478.db2.gz XATYNTPIFCZRQD-UHFFFAOYSA-N 0 1 273.296 0.106 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCOC[C@H]2C)[nH]n1C ZINC000592651832 359909127 /nfs/dbraw/zinc/90/91/27/359909127.db2.gz VUGBDHOJWRQWAY-PSASIEDQSA-N 0 1 262.313 0.633 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC000592852443 359956611 /nfs/dbraw/zinc/95/66/11/359956611.db2.gz JPMMJPOZYGSZKS-SNVBAGLBSA-N 0 1 288.229 0.919 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCN([C@@H]2CCNC(=O)CC2)CC1 ZINC000593738277 360144107 /nfs/dbraw/zinc/14/41/07/360144107.db2.gz AHIURYLDDGWVMR-STQMWFEESA-N 0 1 292.383 0.349 20 30 CCEDMN Cc1nc(CN2CCN(Cc3ccc(C#N)cn3)CC2)n[nH]1 ZINC000593967854 360223787 /nfs/dbraw/zinc/22/37/87/360223787.db2.gz GCJOCAZUUZWHHB-UHFFFAOYSA-N 0 1 297.366 0.698 20 30 CCEDMN CCC[C@@H](NC(=O)[C@@H]([NH3+])CCCCC#N)c1nn[n-]n1 ZINC000594432565 360303609 /nfs/dbraw/zinc/30/36/09/360303609.db2.gz DCGIGEPOLFKZDV-VHSXEESVSA-N 0 1 279.348 0.568 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)CCCCC#N ZINC000594370251 360285074 /nfs/dbraw/zinc/28/50/74/360285074.db2.gz UEOMAEIAQVCUAB-QWHCGFSZSA-N 0 1 267.373 0.984 20 30 CCEDMN C=CCCS(=O)(=O)Nc1ccn(CC(=O)OCC)n1 ZINC000594461906 360320784 /nfs/dbraw/zinc/32/07/84/360320784.db2.gz HTRZMOFXAGEWGK-UHFFFAOYSA-N 0 1 287.341 0.764 20 30 CCEDMN CCN(C(=O)C(=O)N(C)C[C@H](C)C#N)[C@H]1CCN(C)C1 ZINC000595205355 360450544 /nfs/dbraw/zinc/45/05/44/360450544.db2.gz ZYBFEGICOIDWJJ-NEPJUHHUSA-N 0 1 280.372 0.157 20 30 CCEDMN COC[C@@H](CN1CCN([C@@H](C#N)C(C)C)CC1)OC ZINC000595399428 360519062 /nfs/dbraw/zinc/51/90/62/360519062.db2.gz WQUKYHQMWUFCAU-KGLIPLIRSA-N 0 1 269.389 0.814 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCN(C[C@@H]3CCOC3)CC2)c1 ZINC000178084949 189089760 /nfs/dbraw/zinc/08/97/60/189089760.db2.gz VILNJPJBMUNXHP-LBPRGKRZSA-N 0 1 288.351 0.681 20 30 CCEDMN C=CCN([C@@H](C)COC)[C@@H](C)C(=O)NC(=O)NCC ZINC000595646421 360605248 /nfs/dbraw/zinc/60/52/48/360605248.db2.gz JBEYVTAMZAYXLB-QWRGUYRKSA-N 0 1 271.361 0.744 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)N1CCC(C(=O)OCC)CC1 ZINC000595863440 360683082 /nfs/dbraw/zinc/68/30/82/360683082.db2.gz GVYRATSFSJTBPS-DGCLKSJQSA-N 0 1 298.383 0.313 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@@H](C)[C@@](C)(CO)C1 ZINC000595880774 360690105 /nfs/dbraw/zinc/69/01/05/360690105.db2.gz ZCRZKZZHACKHRP-UHIISALHSA-N 0 1 283.372 0.337 20 30 CCEDMN COCc1nc(CNC(=O)c2cscc2C#N)n[nH]1 ZINC000598050901 361324697 /nfs/dbraw/zinc/32/46/97/361324697.db2.gz NWNVTXLPKUIGSB-UHFFFAOYSA-N 0 1 277.309 0.814 20 30 CCEDMN COCc1nnc(CNC(=O)c2cscc2C#N)[nH]1 ZINC000598050901 361324702 /nfs/dbraw/zinc/32/47/02/361324702.db2.gz NWNVTXLPKUIGSB-UHFFFAOYSA-N 0 1 277.309 0.814 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@@H](C)c1nnc[nH]1 ZINC000611707813 363767763 /nfs/dbraw/zinc/76/77/63/363767763.db2.gz SEZHXKXSDOTULA-WPRPVWTQSA-N 0 1 265.317 0.453 20 30 CCEDMN CCN1CCN(CC(=O)N2CC[C@](C)(C#N)C2)CC1 ZINC000598409123 361405831 /nfs/dbraw/zinc/40/58/31/361405831.db2.gz OPIJZGURAHMWRA-CQSZACIVSA-N 0 1 264.373 0.386 20 30 CCEDMN CN(CC(=O)N1CCOCC1)C[C@H](O)CC(C)(C)C#N ZINC000598585826 361448221 /nfs/dbraw/zinc/44/82/21/361448221.db2.gz BGZCLXNNTWJVCR-GFCCVEGCSA-N 0 1 283.372 0.078 20 30 CCEDMN C[C@H]1CCN(CC(=O)N(CCC#N)CCC#N)C[C@@H]1CO ZINC000598645324 361471712 /nfs/dbraw/zinc/47/17/12/361471712.db2.gz GSWKZCRCXZBDJX-UONOGXRCSA-N 0 1 292.383 0.593 20 30 CCEDMN COCCN1CCN(C[C@@H](O)CC(C)(C)C#N)CC1 ZINC000598591060 361451545 /nfs/dbraw/zinc/45/15/45/361451545.db2.gz YUGLHNUBMZMCTR-ZDUSSCGKSA-N 0 1 269.389 0.551 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCC[C@@H](S(N)(=O)=O)C1 ZINC000598599645 361454450 /nfs/dbraw/zinc/45/44/50/361454450.db2.gz KTKUMERTVURMTM-GHMZBOCLSA-N 0 1 289.401 0.040 20 30 CCEDMN C=C[C@H](C)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599258896 361623362 /nfs/dbraw/zinc/62/33/62/361623362.db2.gz RUGDHEXUHZXTPE-LURJTMIESA-N 0 1 260.271 0.314 20 30 CCEDMN CN1CCC(C#N)(NC(=O)COCC(F)F)CC1 ZINC000599379917 361674933 /nfs/dbraw/zinc/67/49/33/361674933.db2.gz MYAOJLSZBYDPFK-UHFFFAOYSA-N 0 1 261.272 0.372 20 30 CCEDMN CCO[C@@H](CN1CCN(C(=O)CC#N)CC1)C1CC1 ZINC000599433650 361698872 /nfs/dbraw/zinc/69/88/72/361698872.db2.gz KFCYNENPDBBNEZ-ZDUSSCGKSA-N 0 1 265.357 0.859 20 30 CCEDMN COC(=O)CNC(=O)CCN1CCC[C@](C)(C#N)C1 ZINC000612163679 363858474 /nfs/dbraw/zinc/85/84/74/363858474.db2.gz WGNADVMIBOITCV-CYBMUJFWSA-N 0 1 267.329 0.291 20 30 CCEDMN CN(C)c1ccnc(NC(=O)CNc2ccc(C#N)cn2)c1 ZINC000612345359 363889751 /nfs/dbraw/zinc/88/97/51/363889751.db2.gz GGFBBZIBFUCDPY-UHFFFAOYSA-N 0 1 296.334 0.887 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@]2(C)CCCO)[nH]1 ZINC000612573633 363961786 /nfs/dbraw/zinc/96/17/86/363961786.db2.gz XDTYRAOQIDAASD-AWEZNQCLSA-N 0 1 291.355 0.873 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000614522388 364648120 /nfs/dbraw/zinc/64/81/20/364648120.db2.gz ZHNKWCIGPWYHFZ-HIFRSBDPSA-N 0 1 282.384 0.494 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000614491220 364628046 /nfs/dbraw/zinc/62/80/46/364628046.db2.gz QSPJVLAMRSLFHT-STQMWFEESA-N 0 1 268.357 0.104 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)[C@@H](C)[C@H](C)O1 ZINC000615025478 364822393 /nfs/dbraw/zinc/82/23/93/364822393.db2.gz RGUJDGYGFSODBV-UTLUCORTSA-N 0 1 277.328 0.743 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N(C)C[C@H](O)C1CC1 ZINC000615788947 365089305 /nfs/dbraw/zinc/08/93/05/365089305.db2.gz WCQVOQBVEKLSFD-JQWIXIFHSA-N 0 1 265.357 0.640 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCc2cn[nH]c2C1 ZINC000615793535 365091291 /nfs/dbraw/zinc/09/12/91/365091291.db2.gz OHNFLSIEAPWZFL-SNVBAGLBSA-N 0 1 273.340 0.719 20 30 CCEDMN CCOC(=O)CCN(C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000615842438 365104246 /nfs/dbraw/zinc/10/42/46/365104246.db2.gz YZVUHIRXWBFSHT-UHFFFAOYSA-N 0 1 279.300 0.131 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000616505410 365348153 /nfs/dbraw/zinc/34/81/53/365348153.db2.gz MAPRIRRSYALJGW-VHSXEESVSA-N 0 1 262.313 0.115 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)NC[C@@H]2COCCN2)C1 ZINC000627190718 368861290 /nfs/dbraw/zinc/86/12/90/368861290.db2.gz CIYSNOVHIKMRMM-QWHCGFSZSA-N 0 1 267.373 0.973 20 30 CCEDMN C=CCCOCC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000628584779 369328541 /nfs/dbraw/zinc/32/85/41/369328541.db2.gz NKTDTPVFQXYFME-LBPRGKRZSA-N 0 1 283.372 0.035 20 30 CCEDMN C=CCC1(C(=O)NCCN2CC[C@H](O)C2)CCC1 ZINC000629916815 369831400 /nfs/dbraw/zinc/83/14/00/369831400.db2.gz WVPRQSKZUHJIJQ-LBPRGKRZSA-N 0 1 252.358 0.916 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2nc(C)no2)CC1 ZINC000490839107 261221548 /nfs/dbraw/zinc/22/15/48/261221548.db2.gz GGPYSANMUMUWAY-UHFFFAOYSA-N 0 1 263.297 0.766 20 30 CCEDMN CC#CCCNC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000637057117 373904368 /nfs/dbraw/zinc/90/43/68/373904368.db2.gz LQNBTXISVWZXFO-LBPRGKRZSA-N 0 1 280.372 0.039 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@](C)(CC)OC)CC1 ZINC000637880093 374445299 /nfs/dbraw/zinc/44/52/99/374445299.db2.gz AXLMJMHNKPWINS-HNNXBMFYSA-N 0 1 283.416 0.721 20 30 CCEDMN C=CCn1cc(CNC(=O)c2oc(CN)cc2C)nn1 ZINC000638363468 374959413 /nfs/dbraw/zinc/95/94/13/374959413.db2.gz VFEFWPRAEXGJPV-UHFFFAOYSA-N 0 1 275.312 0.754 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@H](OC)C[C@]1(C)CO ZINC000639997116 376173056 /nfs/dbraw/zinc/17/30/56/376173056.db2.gz HBOBIPUONBTSOB-UKRRQHHQSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCN(CC=C)C(=O)Cn1cc([C@H](C)NC)nn1 ZINC000640791727 376541713 /nfs/dbraw/zinc/54/17/13/376541713.db2.gz JPOKXFZDSRLOEP-NSHDSACASA-N 0 1 263.345 0.759 20 30 CCEDMN C=CC[C@@H](CO)CNS(=O)(=O)c1ccccc1O ZINC000656950448 412867960 /nfs/dbraw/zinc/86/79/60/412867960.db2.gz ADEBSEMLXCMMNR-SNVBAGLBSA-N 0 1 271.338 0.855 20 30 CCEDMN CN(C)C(=O)C(C)(C)NCc1cnc2c(C#N)cnn2c1 ZINC000570232909 291743848 /nfs/dbraw/zinc/74/38/48/291743848.db2.gz GWBQARFMYCTDKD-UHFFFAOYSA-N 0 1 286.339 0.557 20 30 CCEDMN C=CCCn1cc(CN[C@@H](C)CN2CCOCC2)nn1 ZINC000657309932 412958937 /nfs/dbraw/zinc/95/89/37/412958937.db2.gz HSKKSSGTAOMHQP-ZDUSSCGKSA-N 0 1 279.388 0.665 20 30 CCEDMN C=CCCn1cc(CNC[C@]2(O)CCSC2)nn1 ZINC000657382259 412978153 /nfs/dbraw/zinc/97/81/53/412978153.db2.gz BZAYQWWYSZOACO-GFCCVEGCSA-N 0 1 268.386 0.812 20 30 CCEDMN C=CCCn1cc(CNC[C@@H](CO)[C@@H]2CCOC2)nn1 ZINC000657455207 412995521 /nfs/dbraw/zinc/99/55/21/412995521.db2.gz PZAHGPMKNMLSGR-OLZOCXBDSA-N 0 1 280.372 0.589 20 30 CCEDMN C=CCCn1cc(CNCC[C@@]2(O)CCOC2)nn1 ZINC000657500045 413011453 /nfs/dbraw/zinc/01/14/53/413011453.db2.gz FRRIYZSXFBRRRC-CYBMUJFWSA-N 0 1 266.345 0.485 20 30 CCEDMN CNC(=O)[C@@H](NCC(=O)N[C@](C)(C#N)C(C)C)C(C)C ZINC000183647466 388760290 /nfs/dbraw/zinc/76/02/90/388760290.db2.gz BBLJNNGFAUTGGW-GXTWGEPZSA-N 0 1 282.388 0.401 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@H](O)C[C@@H]1CO ZINC000184736736 388777982 /nfs/dbraw/zinc/77/79/82/388777982.db2.gz FMPNEDXZNUNACH-YNEHKIRRSA-N 0 1 268.357 0.003 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000035301861 388836400 /nfs/dbraw/zinc/83/64/00/388836400.db2.gz NJMFATOPTHMTKE-NSHDSACASA-N 0 1 252.314 0.736 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000035301861 388836402 /nfs/dbraw/zinc/83/64/02/388836402.db2.gz NJMFATOPTHMTKE-NSHDSACASA-N 0 1 252.314 0.736 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N(C)CCC(N)=O ZINC000286381148 388840281 /nfs/dbraw/zinc/84/02/81/388840281.db2.gz ILBINLXYRFGBAC-NSHDSACASA-N 0 1 255.362 0.607 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@H](c3nc(=O)[nH][nH]3)C2)C1=O ZINC000288563693 388863897 /nfs/dbraw/zinc/86/38/97/388863897.db2.gz AGGQUEWPNQAKLR-WDEREUQCSA-N 0 1 291.355 0.064 20 30 CCEDMN C#Cc1ccc(CNCCS(=O)(=O)NC)cc1 ZINC000289751238 388888642 /nfs/dbraw/zinc/88/86/42/388888642.db2.gz PZJFUHDGVGEZTG-UHFFFAOYSA-N 0 1 252.339 0.307 20 30 CCEDMN CSc1nn(CC(=O)Nc2cccc(C#N)c2)c(=O)[nH]1 ZINC000188158050 388916172 /nfs/dbraw/zinc/91/61/72/388916172.db2.gz AWAPUSMUKVBQMS-UHFFFAOYSA-N 0 1 289.320 0.804 20 30 CCEDMN C#CCO[N-]C(=O)[C@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000291038795 388916770 /nfs/dbraw/zinc/91/67/70/388916770.db2.gz GCCNZIKIUGRMNK-CQSZACIVSA-N 0 1 274.320 0.568 20 30 CCEDMN C#CCO[N-]C(=O)[C@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000291038795 388916771 /nfs/dbraw/zinc/91/67/71/388916771.db2.gz GCCNZIKIUGRMNK-CQSZACIVSA-N 0 1 274.320 0.568 20 30 CCEDMN C=C[C@@H](CO)NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000291097283 388916959 /nfs/dbraw/zinc/91/69/59/388916959.db2.gz SZIVQLFGPYGCAJ-UWVGGRQHSA-N 0 1 250.302 0.633 20 30 CCEDMN C#CCONC(=O)[C@H]1COc2ccc(OC)cc2C1 ZINC000291337223 388920813 /nfs/dbraw/zinc/92/08/13/388920813.db2.gz VKIGGFQMFLXCAB-LLVKDONJSA-N 0 1 261.277 0.927 20 30 CCEDMN CCN(CCC(=O)OC)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000050054175 388925179 /nfs/dbraw/zinc/92/51/79/388925179.db2.gz CHEVFEBADLXBNX-CQSZACIVSA-N 0 1 281.356 0.680 20 30 CCEDMN C[N@@H+]1CC[C@@H](CNC(=O)c2ccc(C#N)c([O-])c2)C1 ZINC000188348504 388925397 /nfs/dbraw/zinc/92/53/97/388925397.db2.gz YDYSYVNDCVFKJB-JTQLQIEISA-N 0 1 259.309 0.945 20 30 CCEDMN C[N@H+]1CC[C@@H](CNC(=O)c2ccc(C#N)c([O-])c2)C1 ZINC000188348504 388925400 /nfs/dbraw/zinc/92/54/00/388925400.db2.gz YDYSYVNDCVFKJB-JTQLQIEISA-N 0 1 259.309 0.945 20 30 CCEDMN C[C@H](O)CN(C)CC(=O)NC1(C#N)CCCCC1 ZINC000051821620 388927134 /nfs/dbraw/zinc/92/71/34/388927134.db2.gz JQPGCDUYUCXDCL-NSHDSACASA-N 0 1 253.346 0.642 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOCC2(C)C)CC1 ZINC000067233647 388947242 /nfs/dbraw/zinc/94/72/42/388947242.db2.gz IXWILAPSYZONEQ-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN CC[C@@H](O)CN1CCN(c2ncccc2C#N)CC1 ZINC000075594207 388983880 /nfs/dbraw/zinc/98/38/80/388983880.db2.gz OPKGWZBCMKUJOK-CYBMUJFWSA-N 0 1 260.341 0.846 20 30 CCEDMN C=CCN(CC=C)[C@H](CNS(N)(=O)=O)C(C)C ZINC000076106012 388985289 /nfs/dbraw/zinc/98/52/89/388985289.db2.gz XMKRZRWKWPJGPL-LLVKDONJSA-N 0 1 261.391 0.478 20 30 CCEDMN C#CCN(CC#C)CCC(=O)NC(=O)NCc1ccccc1 ZINC000076185817 388985972 /nfs/dbraw/zinc/98/59/72/388985972.db2.gz ABVNIJUNLPCAGC-UHFFFAOYSA-N 0 1 297.358 0.971 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2cncc(F)c2)CC1 ZINC000293744029 388987470 /nfs/dbraw/zinc/98/74/70/388987470.db2.gz HYTSYAJUQVBFJX-UHFFFAOYSA-N 0 1 290.342 0.482 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)CSc1nnc(C)[n-]1 ZINC000294015574 388991233 /nfs/dbraw/zinc/99/12/33/388991233.db2.gz PZYUQMFDVVMYKD-UHFFFAOYSA-N 0 1 281.385 0.277 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)CSc1nnc(C)[n-]1 ZINC000294015574 388991237 /nfs/dbraw/zinc/99/12/37/388991237.db2.gz PZYUQMFDVVMYKD-UHFFFAOYSA-N 0 1 281.385 0.277 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@@H+](C[C@H](C)O)[C@@H](C)CO ZINC000190876526 389001457 /nfs/dbraw/zinc/00/14/57/389001457.db2.gz LAOOKPACUPGTQI-STQMWFEESA-N 0 1 272.389 0.475 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C[C@H](C)O)[C@@H](C)CO ZINC000190876526 389001459 /nfs/dbraw/zinc/00/14/59/389001459.db2.gz LAOOKPACUPGTQI-STQMWFEESA-N 0 1 272.389 0.475 20 30 CCEDMN C[C@@H]1CC(O)C[C@@H](C)N1CC(=O)N(CCC#N)CCC#N ZINC000191278777 389009312 /nfs/dbraw/zinc/00/93/12/389009312.db2.gz SRBNWFOFVPZNQK-CHWSQXEVSA-N 0 1 292.383 0.876 20 30 CCEDMN COc1ccc(CN2CCN(CCO)CC2)cc1C#N ZINC000087832743 389012029 /nfs/dbraw/zinc/01/20/29/389012029.db2.gz BFDRNEAYDZTJQI-UHFFFAOYSA-N 0 1 275.352 0.677 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)[C@H](CO)C2)CC1 ZINC000191832312 389017963 /nfs/dbraw/zinc/01/79/63/389017963.db2.gz XPRYNKFDQSYSIK-OCCSQVGLSA-N 0 1 264.369 0.418 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H](C)CN2CCOCC2)C1=O ZINC000337225445 389056983 /nfs/dbraw/zinc/05/69/83/389056983.db2.gz FIIICMJJSKRSTE-KGLIPLIRSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCCN1CCN([C@@H](C)C(=O)NCCCOC)CC1 ZINC000337244675 389057917 /nfs/dbraw/zinc/05/79/17/389057917.db2.gz IGQXXLNPEVLLAT-AWEZNQCLSA-N 0 1 283.416 0.721 20 30 CCEDMN C=C[C@](C)(O)CC(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC000355395532 389072085 /nfs/dbraw/zinc/07/20/85/389072085.db2.gz QPIOUTPEXJQXMA-AWEZNQCLSA-N 0 1 288.307 0.927 20 30 CCEDMN C[C@@H]1CN(c2nc3ccccn3c2C#N)CCN1CCO ZINC000302472978 389072242 /nfs/dbraw/zinc/07/22/42/389072242.db2.gz WFKCIKAQJIWEKY-GFCCVEGCSA-N 0 1 285.351 0.709 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](CNC(=O)CCC)C1 ZINC000101913088 389073794 /nfs/dbraw/zinc/07/37/94/389073794.db2.gz SODUSLLZEUVJNV-UONOGXRCSA-N 0 1 293.411 0.753 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CCO1 ZINC000106051392 389090404 /nfs/dbraw/zinc/09/04/04/389090404.db2.gz YEMWSZVTVRGYKN-VIFPVBQESA-N 0 1 257.253 0.322 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000355143698 389043993 /nfs/dbraw/zinc/04/39/93/389043993.db2.gz ZLXCSDVAOZMQBR-LLVKDONJSA-N 0 1 297.424 0.375 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000355150540 389044135 /nfs/dbraw/zinc/04/41/35/389044135.db2.gz RXICPQZSXWRTOA-LLVKDONJSA-N 0 1 265.357 0.374 20 30 CCEDMN N#CCCN(CCCOC(=O)NC(N)=O)CC1CC1 ZINC000121180498 389146873 /nfs/dbraw/zinc/14/68/73/389146873.db2.gz NIZKUXHZJNSAMF-UHFFFAOYSA-N 0 1 268.317 0.807 20 30 CCEDMN CN(CC(=O)NCC#N)[C@@H]1CCCN(c2cccnn2)C1 ZINC000108867193 389098453 /nfs/dbraw/zinc/09/84/53/389098453.db2.gz WLJYYCIRIXVMCF-GFCCVEGCSA-N 0 1 288.355 0.017 20 30 CCEDMN CS(=O)(=O)N1CC[C@@H](CN(CCC#N)CC2CC2)C1 ZINC000338309079 389108005 /nfs/dbraw/zinc/10/80/05/389108005.db2.gz STQHOSLUMKKWBD-ZDUSSCGKSA-N 0 1 285.413 0.894 20 30 CCEDMN CCN1CCC[C@@H](NC(=O)c2cnn(C)c2C#N)C1 ZINC000355665708 389108943 /nfs/dbraw/zinc/10/89/43/389108943.db2.gz VVSPOFVADZLHEN-SNVBAGLBSA-N 0 1 261.329 0.506 20 30 CCEDMN C=CCCS(=O)(=O)N1CCO[C@@H]2CCN(CC)C[C@H]21 ZINC000339017590 389121622 /nfs/dbraw/zinc/12/16/22/389121622.db2.gz QDSBIHDZLOQLKQ-CHWSQXEVSA-N 0 1 288.413 0.687 20 30 CCEDMN CN(C1CCN(C)CC1)S(=O)(=O)CCCC#N ZINC000113943283 389129948 /nfs/dbraw/zinc/12/99/48/389129948.db2.gz NURRXVNXEQVCHC-UHFFFAOYSA-N 0 1 259.375 0.646 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](C)N(C)[C@H](C)C1 ZINC000339632062 389136857 /nfs/dbraw/zinc/13/68/57/389136857.db2.gz VNCZIMACXXBYAS-CHWSQXEVSA-N 0 1 266.389 0.773 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC(OCCO)CC1 ZINC000339776968 389139695 /nfs/dbraw/zinc/13/96/95/389139695.db2.gz LJSDHAVGAVPQDH-LBPRGKRZSA-N 0 1 283.372 0.220 20 30 CCEDMN C[C@]1(NC(=O)N[C@@H]2CCc3c[nH]nc3C2)CCOC1 ZINC000328871630 389142413 /nfs/dbraw/zinc/14/24/13/389142413.db2.gz QCUOCFAOZQAQDK-MFKMUULPSA-N 0 1 264.329 0.950 20 30 CCEDMN CCNC(=O)CON=C(N)c1ccc(N(C)C)cc1 ZINC000120382365 389142633 /nfs/dbraw/zinc/14/26/33/389142633.db2.gz FRNHTDXHLSSUKX-UHFFFAOYSA-N 0 1 264.329 0.526 20 30 CCEDMN Cc1n[nH]cc1CNC(=O)N1CCn2cncc2C1 ZINC000329631864 389179703 /nfs/dbraw/zinc/17/97/03/389179703.db2.gz WDBCCHSKWXLZCP-UHFFFAOYSA-N 0 1 260.301 0.844 20 30 CCEDMN [O-]C(=[NH+]C[C@H]1CCc2nccn2C1)N1CCOCC1 ZINC000329849738 389206017 /nfs/dbraw/zinc/20/60/17/389206017.db2.gz RYZBKSWPTMCYDJ-LLVKDONJSA-N 0 1 264.329 0.692 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2cnnc(C)c2)C1 ZINC000329847223 389206205 /nfs/dbraw/zinc/20/62/05/389206205.db2.gz QZAUPQAGRDPMLT-GFCCVEGCSA-N 0 1 264.329 0.810 20 30 CCEDMN COC1(C)CN(C(=O)N[C@@H]2CCc3ncnn3C2)C1 ZINC000329759708 389197370 /nfs/dbraw/zinc/19/73/70/389197370.db2.gz PERJGVORXXIQNQ-SECBINFHSA-N 0 1 265.317 0.228 20 30 CCEDMN CC(C)C[C@@H](CO)N(C)CC(=O)N(CCC#N)CCC#N ZINC000360497121 389199095 /nfs/dbraw/zinc/19/90/95/389199095.db2.gz XKANNLRJUOUCGO-AWEZNQCLSA-N 0 1 294.399 0.981 20 30 CCEDMN C[C@H]([NH+]=C([O-])N[C@@H]1CCc2ncnn2C1)[C@H]1CCCOC1 ZINC000329345612 389158215 /nfs/dbraw/zinc/15/82/15/389158215.db2.gz QYXQBSLWHASXBE-SDDRHHMPSA-N 0 1 293.371 0.912 20 30 CCEDMN C[C@H](NC(=O)N[C@@H]1CCc2ncnn2C1)[C@H]1CCCOC1 ZINC000329345612 389158218 /nfs/dbraw/zinc/15/82/18/389158218.db2.gz QYXQBSLWHASXBE-SDDRHHMPSA-N 0 1 293.371 0.912 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@H]1CC(=O)N(C2CC2)C1 ZINC000329343134 389158462 /nfs/dbraw/zinc/15/84/62/389158462.db2.gz SXGRUVMHSRIQJM-NEPJUHHUSA-N 0 1 295.383 0.675 20 30 CCEDMN O=C(N[C@@H]1CCCOC1)N[C@H]1CCc2c[nH]nc2C1 ZINC000329349678 389159151 /nfs/dbraw/zinc/15/91/51/389159151.db2.gz WNMWDBMJELVODG-WDEREUQCSA-N 0 1 264.329 0.950 20 30 CCEDMN CN(C(=O)N[C@H]1CCCc2cn[nH]c21)[C@H]1CCN(C)C1=O ZINC000329468479 389165973 /nfs/dbraw/zinc/16/59/73/389165973.db2.gz XJJXRTLETQVBLQ-QWRGUYRKSA-N 0 1 291.355 0.864 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](N2CCN(CC)CC2)C1 ZINC000359050849 389166164 /nfs/dbraw/zinc/16/61/64/389166164.db2.gz KYWFHIQPDBBNKJ-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N(C)CC1(O)CCC1)CC2 ZINC000329548908 389170208 /nfs/dbraw/zinc/17/02/08/389170208.db2.gz FACCTPQUNSYQJM-LLVKDONJSA-N 0 1 293.371 0.662 20 30 CCEDMN CN1CC[C@@H]2CCN(S(=O)(=O)CC3(C#N)CC3)[C@@H]2C1 ZINC000329596845 389173652 /nfs/dbraw/zinc/17/36/52/389173652.db2.gz CFOBUHHBDBQNGQ-VXGBXAGGSA-N 0 1 283.397 0.646 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)NCC(C)(C)C(N)=O ZINC000330639171 389248286 /nfs/dbraw/zinc/24/82/86/389248286.db2.gz DLIXYWBRPSHXNQ-NSHDSACASA-N 0 1 299.419 0.687 20 30 CCEDMN N#C[C@H]1CN(C(=O)NCCCn2ccnc2)CCO1 ZINC000330641960 389248979 /nfs/dbraw/zinc/24/89/79/389248979.db2.gz DSEVPQYAPXNMPP-NSHDSACASA-N 0 1 263.301 0.412 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@H](C)CC(=O)OCC ZINC000343641156 389268632 /nfs/dbraw/zinc/26/86/32/389268632.db2.gz NARYVUHIEDVHIA-SNVBAGLBSA-N 0 1 285.344 0.272 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CCN2C(=O)NC[C@H]2C1 ZINC000329858184 389207017 /nfs/dbraw/zinc/20/70/17/389207017.db2.gz ZWSZDEBWHVURNI-JTQLQIEISA-N 0 1 278.316 0.046 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2cc3n(n2)CCCO3)C1 ZINC000329885670 389208878 /nfs/dbraw/zinc/20/88/78/389208878.db2.gz UZBNMLLPEITKRG-LLVKDONJSA-N 0 1 294.355 0.691 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC2(COC)CCC2)CC1 ZINC000361291579 389211436 /nfs/dbraw/zinc/21/14/36/389211436.db2.gz YAIIUWQJUQJZRU-UHFFFAOYSA-N 0 1 295.427 0.723 20 30 CCEDMN C[C@H](NC(=O)N1CCN2CCCC[C@@H]2C1)c1ncnn1C ZINC000329926252 389211668 /nfs/dbraw/zinc/21/16/68/389211668.db2.gz KMLFNQLUCUOJBO-NWDGAFQWSA-N 0 1 292.387 0.960 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N(C)C[C@H](C)O ZINC000361515342 389218542 /nfs/dbraw/zinc/21/85/42/389218542.db2.gz XIOOXQYJTSCJHE-GVXVVHGQSA-N 0 1 255.362 0.742 20 30 CCEDMN CN(C([O-])=[NH+][C@@H]1CCn2ccnc2C1)C1CC(O)C1 ZINC000330283306 389219317 /nfs/dbraw/zinc/21/93/17/389219317.db2.gz WBPUUSLUSITJHF-KPPDAEKUSA-N 0 1 264.329 0.567 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000330455175 389231629 /nfs/dbraw/zinc/23/16/29/389231629.db2.gz MUGKZZHTWZWGES-SWLSCSKDSA-N 0 1 284.400 0.617 20 30 CCEDMN C=CCN(C(=O)c1ccn[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC000330464675 389232474 /nfs/dbraw/zinc/23/24/74/389232474.db2.gz DZBWKTMGUITXQL-VIFPVBQESA-N 0 1 269.326 0.225 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@]1(CC(=O)OC)CCOC1 ZINC000362260721 389233053 /nfs/dbraw/zinc/23/30/53/389233053.db2.gz BCGGIIKLYYZQGO-OAHLLOKOSA-N 0 1 296.367 0.499 20 30 CCEDMN CCC(=O)N[C@H]1CCCN(C([O-])=[NH+]CCn2ccnc2)C1 ZINC000330469250 389233046 /nfs/dbraw/zinc/23/30/46/389233046.db2.gz ZIFYDFAREDLQGX-LBPRGKRZSA-N 0 1 293.371 0.788 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@]1(CC(=O)OC)CCOC1 ZINC000362269384 389233385 /nfs/dbraw/zinc/23/33/85/389233385.db2.gz IPIQBVXAUHBPGU-AWEZNQCLSA-N 0 1 297.355 0.060 20 30 CCEDMN Cc1nnc(SCCC(=O)N2CCOC[C@@H]2C#N)[nH]1 ZINC000362404754 389235756 /nfs/dbraw/zinc/23/57/56/389235756.db2.gz ARAINDJMMANNMM-VIFPVBQESA-N 0 1 281.341 0.346 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@@H]1C[C@H](OC)CN1C ZINC000330518167 389237692 /nfs/dbraw/zinc/23/76/92/389237692.db2.gz GTMSXONPSCRHRX-WOPDTQHZSA-N 0 1 253.346 0.714 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN([C@@H]3CCC[C@@H]3O)CC2)n1 ZINC000367420279 389331918 /nfs/dbraw/zinc/33/19/18/389331918.db2.gz PXRZICSBEGGLER-KGLIPLIRSA-N 0 1 287.367 0.692 20 30 CCEDMN CN1CCC(C#N)(NC(=O)COC(C)(C)C)CC1 ZINC000158095664 389336556 /nfs/dbraw/zinc/33/65/56/389336556.db2.gz GXLJXLCGFRVVBX-UHFFFAOYSA-N 0 1 253.346 0.906 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@@H](O)C1(C)C ZINC000311747305 262340373 /nfs/dbraw/zinc/34/03/73/262340373.db2.gz UHHAHHWSTSEVDL-BXUZGUMPSA-N 0 1 267.373 0.886 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000153576072 389303493 /nfs/dbraw/zinc/30/34/93/389303493.db2.gz NHSSKIGWJPICIC-CQSZACIVSA-N 0 1 280.368 0.207 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN(C3CCOCC3)CC2)[nH]1 ZINC000160252589 389384554 /nfs/dbraw/zinc/38/45/54/389384554.db2.gz CLRUGXPJAFFYOO-UHFFFAOYSA-N 0 1 288.351 0.823 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2ccc(F)cc2C#N)CC1 ZINC000266179324 389363745 /nfs/dbraw/zinc/36/37/45/389363745.db2.gz AJXXXTUSTGVCTG-UHFFFAOYSA-N 0 1 290.342 0.908 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(c2cn[nH]c2)CC1 ZINC000372943432 389460465 /nfs/dbraw/zinc/46/04/65/389460465.db2.gz BJBOEUTXNBMWAS-NSHDSACASA-N 0 1 260.341 0.727 20 30 CCEDMN C[C@@H](CNC(=O)C1(C#N)CCCC1)N1CCN(C)CC1 ZINC000171133516 389472140 /nfs/dbraw/zinc/47/21/40/389472140.db2.gz RPBZPKVYCJKPCD-ZDUSSCGKSA-N 0 1 278.400 0.822 20 30 CCEDMN Cc1cccc2nc(CCC(=O)NCC#CCO)[nH]c21 ZINC000412324946 389478684 /nfs/dbraw/zinc/47/86/84/389478684.db2.gz RKBFDLYYYSMKFZ-UHFFFAOYSA-N 0 1 271.320 0.916 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(C2CCOCC2)CC1 ZINC000371639435 389435366 /nfs/dbraw/zinc/43/53/66/389435366.db2.gz YGEHXXDDHONXLD-UHFFFAOYSA-N 0 1 297.399 0.695 20 30 CCEDMN C=C[C@@H](CO)NS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000349803823 389438721 /nfs/dbraw/zinc/43/87/21/389438721.db2.gz SZMFNABLNDQLNZ-QMMMGPOBSA-N 0 1 288.275 0.662 20 30 CCEDMN C[C@@H](O)[C@H]1CCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000168386836 389448950 /nfs/dbraw/zinc/44/89/50/389448950.db2.gz AITLBXKMBUNLTF-OLZOCXBDSA-N 0 1 278.356 0.345 20 30 CCEDMN CC[C@](C)(O)CN1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000349882712 389451298 /nfs/dbraw/zinc/45/12/98/389451298.db2.gz NCYYBTPCVIDMAM-HNNXBMFYSA-N 0 1 291.399 0.883 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2nc(C)cc(C#N)n2)C1 ZINC000413492152 389520912 /nfs/dbraw/zinc/52/09/12/389520912.db2.gz CKKUKXQBFDHTIH-LLVKDONJSA-N 0 1 289.339 0.198 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2cc(C#N)cc(C)n2)C1 ZINC000413494703 389522249 /nfs/dbraw/zinc/52/22/49/389522249.db2.gz HWFCPNQPBQPXCS-CYBMUJFWSA-N 0 1 288.351 0.803 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2ccc(C)c(C#N)n2)C1 ZINC000413502128 389524214 /nfs/dbraw/zinc/52/42/14/389524214.db2.gz QIFKWUXUKPNMNQ-LBPRGKRZSA-N 0 1 288.351 0.803 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCC(=O)N(C)CC)C1=O ZINC000281352427 389614045 /nfs/dbraw/zinc/61/40/45/389614045.db2.gz NFFKLZKQNGRCTJ-LBPRGKRZSA-N 0 1 267.373 0.574 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H]1CC(=O)OC ZINC000179142210 389640001 /nfs/dbraw/zinc/64/00/01/389640001.db2.gz VHKQFTWLKMDKNI-LBPRGKRZSA-N 0 1 281.356 0.776 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](OCc2ccncc2)C1 ZINC000280949852 389606032 /nfs/dbraw/zinc/60/60/32/389606032.db2.gz IOGSUSHZFMYFBF-ZFWWWQNUSA-N 0 1 287.363 0.810 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)c[nH]1 ZINC000336558934 389650980 /nfs/dbraw/zinc/65/09/80/389650980.db2.gz BLRVRZOYDGDQJV-SECBINFHSA-N 0 1 255.281 0.897 20 30 CCEDMN C=CCN1CC[C@@H](N[C@H](C(N)=O)C(C)(C)C)C1=O ZINC000282092882 389657070 /nfs/dbraw/zinc/65/70/70/389657070.db2.gz VKDHWGNYRGPIGQ-NXEZZACHSA-N 0 1 253.346 0.263 20 30 CCEDMN Cc1cccc(NC(=O)C(=O)N2CCNC[C@@H]2C)c1C#N ZINC000415341434 389675655 /nfs/dbraw/zinc/67/56/55/389675655.db2.gz GWUWQGSZYGRFAR-NSHDSACASA-N 0 1 286.335 0.626 20 30 CCEDMN C=C(C)CNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000427046939 389680178 /nfs/dbraw/zinc/68/01/78/389680178.db2.gz YPINCLSMXRHACE-UHFFFAOYSA-N 0 1 285.307 0.320 20 30 CCEDMN Cc1cccc(NC(=O)C(=O)N2CCN[C@@H](C)C2)c1C#N ZINC000415417104 389682172 /nfs/dbraw/zinc/68/21/72/389682172.db2.gz OWTJNZYNCBWZLS-NSHDSACASA-N 0 1 286.335 0.626 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)c(C#N)c2)CCN1 ZINC000415426880 389683021 /nfs/dbraw/zinc/68/30/21/389683021.db2.gz FDWQTCBIISOWFK-VIFPVBQESA-N 0 1 290.298 0.456 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2ccc(C#N)s2)[C@@H]1C ZINC000379504231 389762029 /nfs/dbraw/zinc/76/20/29/389762029.db2.gz ZERFUMMBNBNWQU-RKDXNWHRSA-N 0 1 285.394 0.991 20 30 CCEDMN C[C@H]1[C@H](CO)CCN1CC(=O)N(CCC#N)CCC#N ZINC000395214773 389776645 /nfs/dbraw/zinc/77/66/45/389776645.db2.gz WFCMLLIVZQHPEA-STQMWFEESA-N 0 1 278.356 0.345 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1cc(C#N)cn1C)c1nn[nH]n1 ZINC000352483124 389817893 /nfs/dbraw/zinc/81/78/93/389817893.db2.gz YHZHZXBHTHGCPC-QMMMGPOBSA-N 0 1 273.300 0.286 20 30 CCEDMN CC(C)(C(N)=O)N1CCN(CCCSCC#N)CC1 ZINC000430728947 389820766 /nfs/dbraw/zinc/82/07/66/389820766.db2.gz DTBIRDGWDTXZOS-UHFFFAOYSA-N 0 1 284.429 0.515 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CCC(C#N)CC1 ZINC000408180474 389831843 /nfs/dbraw/zinc/83/18/43/389831843.db2.gz RHKCQDAKHMAIDD-LBPRGKRZSA-N 0 1 265.357 0.717 20 30 CCEDMN CCN1CCN(CC(=O)N(CCC#N)CCC#N)[C@@H](C)C1 ZINC000352660098 389833668 /nfs/dbraw/zinc/83/36/68/389833668.db2.gz QKJFDYNNJFHXRX-AWEZNQCLSA-N 0 1 291.399 0.668 20 30 CCEDMN C[C@@H]1[C@H](C)N(C)CCN1C(=O)N=c1ccn(CCC#N)[nH]1 ZINC000408207040 389835303 /nfs/dbraw/zinc/83/53/03/389835303.db2.gz QKTGPYWHYSMYKL-NWDGAFQWSA-N 0 1 290.371 0.775 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000419133265 389887480 /nfs/dbraw/zinc/88/74/80/389887480.db2.gz FTNMZZBXJIODMF-TXEJJXNPSA-N 0 1 297.424 0.375 20 30 CCEDMN C=C(CC)CN1CCC[C@@H]1C(=O)NCC(=O)OC ZINC000419359787 389891668 /nfs/dbraw/zinc/89/16/68/389891668.db2.gz QYQBAWUJGPNYCY-LLVKDONJSA-N 0 1 254.330 0.706 20 30 CCEDMN N#Cc1ccc(NC(=O)CN[C@@H](CO)[C@H]2CCCO2)cc1 ZINC000447916564 389971554 /nfs/dbraw/zinc/97/15/54/389971554.db2.gz VTMDRKBNMYFGQM-UONOGXRCSA-N 0 1 289.335 0.626 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)NC(C)(C)c1nn[nH]n1 ZINC000434351346 389930395 /nfs/dbraw/zinc/93/03/95/389930395.db2.gz BOQDKGMBEKUNMH-UHFFFAOYSA-N 0 1 259.273 0.075 20 30 CCEDMN N#Cc1cc(C#N)c(SCc2nn[nH]n2)nc1N ZINC000435094914 389952229 /nfs/dbraw/zinc/95/22/29/389952229.db2.gz FAYDFFIHVVLTQP-UHFFFAOYSA-N 0 1 258.270 0.043 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCCc1nc[nH]n1 ZINC000437890748 389998337 /nfs/dbraw/zinc/99/83/37/389998337.db2.gz RBZMTYXDSCJWGJ-LBPRGKRZSA-N 0 1 252.318 0.692 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1C[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000491254998 390110973 /nfs/dbraw/zinc/11/09/73/390110973.db2.gz UVTSBHQQRYLDGY-LSDHHAIUSA-N 0 1 262.353 0.769 20 30 CCEDMN C#CCNC(=O)CCN1C[C@]2(CCCO2)[C@@H]1C1CC1 ZINC000491254998 390110974 /nfs/dbraw/zinc/11/09/74/390110974.db2.gz UVTSBHQQRYLDGY-LSDHHAIUSA-N 0 1 262.353 0.769 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1cc(C#N)cn1C ZINC000491350734 390112256 /nfs/dbraw/zinc/11/22/56/390112256.db2.gz PWNQCBFOHRDTEV-UHFFFAOYSA-N 0 1 258.325 0.582 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000491646344 390115507 /nfs/dbraw/zinc/11/55/07/390115507.db2.gz SWWYJCBSMHKIFN-CMPLNLGQSA-N 0 1 250.254 0.212 20 30 CCEDMN N#Cc1ccc(NCC(=O)N[C@@H]2CCCc3cn[nH]c32)nc1 ZINC000543745211 390291964 /nfs/dbraw/zinc/29/19/64/390291964.db2.gz RUCVUDKVQHJPGS-GFCCVEGCSA-N 0 1 296.334 0.704 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](CN3CCOC3=O)C2)C1=O ZINC000496070572 390293548 /nfs/dbraw/zinc/29/35/48/390293548.db2.gz UKMQWEHIMKTAPS-STQMWFEESA-N 0 1 293.367 0.547 20 30 CCEDMN CN(CCCN(C)C(=O)OC(C)(C)C)CC(=O)NCC#N ZINC000495928468 390268422 /nfs/dbraw/zinc/26/84/22/390268422.db2.gz LFGISPMHSLYZCZ-UHFFFAOYSA-N 0 1 298.387 0.815 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(C)C[C@H]2CC)C1=O ZINC000644938381 390350089 /nfs/dbraw/zinc/35/00/89/390350089.db2.gz CTCRRGXWXHPIKC-CHWSQXEVSA-N 0 1 251.374 0.799 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCC(=O)[C@H](C)C2)[nH]n1C ZINC000648434701 390372439 /nfs/dbraw/zinc/37/24/39/390372439.db2.gz WVTICKJVESQZDE-PSASIEDQSA-N 0 1 274.324 0.966 20 30 CCEDMN C=CC[C@H](CO)CNc1nc(N)nc2c1CCCC2 ZINC000645204947 390387891 /nfs/dbraw/zinc/38/78/91/390387891.db2.gz BZJUCFRHIHDJMH-JTQLQIEISA-N 0 1 262.357 0.786 20 30 CCEDMN COc1cc(CNC[C@@H]2CC(C(N)=O)=NO2)ccc1C#N ZINC000282482559 195623551 /nfs/dbraw/zinc/62/35/51/195623551.db2.gz WZTQRKNSTCFMAI-NSHDSACASA-N 0 1 288.307 0.287 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000649186457 390515491 /nfs/dbraw/zinc/51/54/91/390515491.db2.gz ZNUUQAJYVKWETQ-GHMZBOCLSA-N 0 1 261.325 0.720 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000555830026 390466408 /nfs/dbraw/zinc/46/64/08/390466408.db2.gz KMTYEWXDFUCAFL-BXUZGUMPSA-N 0 1 290.367 0.149 20 30 CCEDMN N#Cc1ccc(C(=O)NC2(c3nn[nH]n3)CCCC2)nc1 ZINC000524574170 390648527 /nfs/dbraw/zinc/64/85/27/390648527.db2.gz IQCASHNQKJAWCG-UHFFFAOYSA-N 0 1 283.295 0.666 20 30 CCEDMN N#Cc1cccc(OCCN[C@@]2(C(N)=O)CCOC2)c1 ZINC000521266488 390601792 /nfs/dbraw/zinc/60/17/92/390601792.db2.gz CIKAADRJOIVFKG-AWEZNQCLSA-N 0 1 275.308 0.171 20 30 CCEDMN CC#CCCCC(=O)NC[C@H]1CN2CCN1CCC2 ZINC000646118396 390607222 /nfs/dbraw/zinc/60/72/22/390607222.db2.gz DXUQVTZNEWJZBI-AWEZNQCLSA-N 0 1 263.385 0.686 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000646683063 390708325 /nfs/dbraw/zinc/70/83/25/390708325.db2.gz HOIUWRWWWQFNKT-CYBMUJFWSA-N 0 1 268.357 0.104 20 30 CCEDMN COC(=O)CC1(NCC(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000569850768 390716921 /nfs/dbraw/zinc/71/69/21/390716921.db2.gz FADVLKVWISMRAF-ZDUSSCGKSA-N 0 1 281.356 0.726 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1(c2nnc[nH]2)CCC1 ZINC000570405063 390718686 /nfs/dbraw/zinc/71/86/86/390718686.db2.gz UAURLZCLIBWFND-LLVKDONJSA-N 0 1 250.302 0.627 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cccc2nn[nH]c21 ZINC000527107136 390691501 /nfs/dbraw/zinc/69/15/01/390691501.db2.gz UVDHNWXLLFYMSY-QMMMGPOBSA-N 0 1 257.253 0.322 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCCCC(=O)N2)CC1 ZINC000567451314 390698828 /nfs/dbraw/zinc/69/88/28/390698828.db2.gz BLOJWBXRDARLBK-LLVKDONJSA-N 0 1 278.356 0.149 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)N=c1ccn(CCC#N)[nH]1 ZINC000528680413 390768088 /nfs/dbraw/zinc/76/80/88/390768088.db2.gz OPERAOKOGRQGIY-GFCCVEGCSA-N 0 1 290.371 0.680 20 30 CCEDMN C[C@]1(O)CCN(CC#CCN2C(=O)c3ccccc3C2=O)C1 ZINC000528398545 390741491 /nfs/dbraw/zinc/74/14/91/390741491.db2.gz UPVPFKJPRRZONL-KRWDZBQOSA-N 0 1 298.342 0.743 20 30 CCEDMN C[C@@H](C#N)CNC(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000530596903 390876761 /nfs/dbraw/zinc/87/67/61/390876761.db2.gz APEWKODJQDQEEG-VHSXEESVSA-N 0 1 299.334 0.957 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NC[C@H]1COCCN1 ZINC000529332847 390811220 /nfs/dbraw/zinc/81/12/20/390811220.db2.gz XNMKBGBJMYGNSC-AGIUHOORSA-N 0 1 283.372 0.255 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](C)[C@H](O)C1 ZINC000351775807 397462088 /nfs/dbraw/zinc/46/20/88/397462088.db2.gz WKZDGFKCPVCJHC-GRYCIOLGSA-N 0 1 253.346 0.307 20 30 CCEDMN CCc1nc([C@@H](C)NC(=O)c2cnn(C)c2C#N)n[nH]1 ZINC000356618649 144019619 /nfs/dbraw/zinc/01/96/19/144019619.db2.gz JSWNTVIMIZIAIL-SSDOTTSWSA-N 0 1 273.300 0.463 20 30 CCEDMN CO[C@H]1CCN(C([O-])=[NH+][C@H]2CCn3ccnc3C2)C1 ZINC000330281818 286046845 /nfs/dbraw/zinc/04/68/45/286046845.db2.gz UYKUHXYQTUCTKX-QWRGUYRKSA-N 0 1 264.329 0.833 20 30 CCEDMN C=CCCn1cc(CN[C@H](CO)C2CCOCC2)nn1 ZINC000653612428 412323710 /nfs/dbraw/zinc/32/37/10/412323710.db2.gz PDYYTWBYZWDCOJ-CQSZACIVSA-N 0 1 280.372 0.731 20 30 CCEDMN C=CCCn1cc(CN2CCN(C[C@H](C)O)C[C@H]2C)nn1 ZINC000653623771 412326084 /nfs/dbraw/zinc/32/60/84/412326084.db2.gz NNPBXOWNJSWJIQ-KGLIPLIRSA-N 0 1 293.415 0.741 20 30 CCEDMN COc1cccc(CNCCn2cnc(C#N)n2)n1 ZINC000285377599 196266422 /nfs/dbraw/zinc/26/64/22/196266422.db2.gz CPPAZKHAHPGVBQ-UHFFFAOYSA-N 0 1 258.285 0.343 20 30 CCEDMN CN1CCC(NS(=O)(=O)c2cccc(C#N)c2)CC1 ZINC000037247456 173060283 /nfs/dbraw/zinc/06/02/83/173060283.db2.gz FFXWJMGKQDPJKC-UHFFFAOYSA-N 0 1 279.365 0.931 20 30 CCEDMN CN(C)C(=O)CN(C)CCC(=O)Nc1ccc(C#N)cc1 ZINC000037250724 173062780 /nfs/dbraw/zinc/06/27/80/173062780.db2.gz DWFFTYXRWVLFDK-UHFFFAOYSA-N 0 1 288.351 0.907 20 30 CCEDMN C=CCN(CC(=O)N[C@H](C)C(=O)N(C)C)C(C)(C)C ZINC000661755545 414672971 /nfs/dbraw/zinc/67/29/71/414672971.db2.gz STYVOFLATGACQC-LLVKDONJSA-N 0 1 269.389 0.866 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N1CCO[C@@H]2CCCC[C@H]21 ZINC000662165874 414694828 /nfs/dbraw/zinc/69/48/28/414694828.db2.gz SVSFIXJCLINRIQ-JHJVBQTASA-N 0 1 268.357 0.293 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)C(=O)N2CCNCC2)C1 ZINC000662947410 414909794 /nfs/dbraw/zinc/90/97/94/414909794.db2.gz OJWNBYBZKZJRBO-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C=C[C@](C)(O)C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000665538645 416451154 /nfs/dbraw/zinc/45/11/54/416451154.db2.gz PVZZTPZYDCUFGZ-JSGCOSHPSA-N 0 1 270.373 0.396 20 30 CCEDMN C[C@H]1C[C@H](NS(=O)(=O)CC2(C#N)CC2)CN1C1CC1 ZINC000165953239 225190339 /nfs/dbraw/zinc/19/03/39/225190339.db2.gz ZQNUPUZIYKTQCF-QWRGUYRKSA-N 0 1 283.397 0.835 20 30 CCEDMN COC[C@@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347062294 226010411 /nfs/dbraw/zinc/01/04/11/226010411.db2.gz VHJCWAAVZDVALX-LLVKDONJSA-N 0 1 251.330 0.517 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCC2(C#N)CC2)[C@@H](C)C1 ZINC000575206973 335081066 /nfs/dbraw/zinc/08/10/66/335081066.db2.gz BMFQJSYZNFXNBD-NWDGAFQWSA-N 0 1 280.372 0.387 20 30 CCEDMN C[C@@H]1C[C@@H](NC([O-])=[NH+]CCn2cncn2)CCO1 ZINC000329107509 227301941 /nfs/dbraw/zinc/30/19/41/227301941.db2.gz PDGXZDLHWSBGNR-ZJUUUORDSA-N 0 1 253.306 0.349 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)NCCn2cncn2)CCO1 ZINC000329107509 227301946 /nfs/dbraw/zinc/30/19/46/227301946.db2.gz PDGXZDLHWSBGNR-ZJUUUORDSA-N 0 1 253.306 0.349 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N1CCC[C@H](Cn2ccnn2)C1 ZINC000330027220 228020496 /nfs/dbraw/zinc/02/04/96/228020496.db2.gz MSZNDODAOUGHPE-WUHRBBMRSA-N 0 1 291.355 0.549 20 30 CCEDMN Cc1cc(NC(=O)NC[C@@H]2COCCN2)nn1CCC#N ZINC000528688870 420400450 /nfs/dbraw/zinc/40/04/50/420400450.db2.gz XEEQIJFOWKRBAH-LLVKDONJSA-N 0 1 292.343 0.215 20 30 CCEDMN CO[C@H]1C[C@@H](C(=O)NCCC2(O)CCOCC2)N(C)C1 ZINC000329327401 545021947 /nfs/dbraw/zinc/02/19/47/545021947.db2.gz UOUFPUCEVFWVEM-RYUDHWBXSA-N 0 1 286.372 0.594 20 30 CCEDMN N#Cc1ncn(CC(=O)N2CCN(C3CCCC3)CC2)n1 ZINC000330215629 545029797 /nfs/dbraw/zinc/02/97/97/545029797.db2.gz HETYAMKQQHPBHT-UHFFFAOYSA-N 0 1 288.355 0.237 20 30 CCEDMN CNS(=O)(=O)C[C@@H]1CCCN1[C@@H]1CC[C@H](C#N)C1 ZINC000407989653 260153266 /nfs/dbraw/zinc/15/32/66/260153266.db2.gz IMECOOPUWJZWEJ-TUAOUCFPSA-N 0 1 271.386 0.692 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCCC1)c1ncnc2[nH]cnc21 ZINC000071847753 260273931 /nfs/dbraw/zinc/27/39/31/260273931.db2.gz YYPAUJZWVSHIEN-UHFFFAOYSA-N 0 1 299.338 0.742 20 30 CCEDMN N#CCN1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC000153415527 261078155 /nfs/dbraw/zinc/07/81/55/261078155.db2.gz MFWWMUQRLXKNJX-UHFFFAOYSA-N 0 1 296.334 0.753 20 30 CCEDMN C=CCSCCNC(=O)NCCN1CCCOCC1 ZINC000187061830 262377568 /nfs/dbraw/zinc/37/75/68/262377568.db2.gz SJANQHCUABXABP-UHFFFAOYSA-N 0 1 287.429 0.927 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)NC1CCN(CC)CC1 ZINC000336924117 262404549 /nfs/dbraw/zinc/40/45/49/262404549.db2.gz TVJITXKDQQCOIZ-UHFFFAOYSA-N 0 1 288.417 0.541 20 30 CCEDMN CCOC(=O)CON=C(C(=O)NC1CCC1)c1ccn[nH]1 ZINC000278009488 263045163 /nfs/dbraw/zinc/04/51/63/263045163.db2.gz PBPIIVRYKBMLRB-SFQUDFHCSA-N 0 1 294.311 0.362 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000425868691 263088013 /nfs/dbraw/zinc/08/80/13/263088013.db2.gz TWFYVVXQCGOZQE-RFQIPJPRSA-N 0 1 294.399 0.775 20 30 CCEDMN CN(CC(=O)N[C@@]1(C#N)CC1(C)C)c1ncnc2[nH]cnc21 ZINC000291474945 263123018 /nfs/dbraw/zinc/12/30/18/263123018.db2.gz QVEGAEVEYDPZSJ-CQSZACIVSA-N 0 1 299.338 0.598 20 30 CCEDMN CCN(CCC#N)C(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000353204506 263135789 /nfs/dbraw/zinc/13/57/89/263135789.db2.gz HPSZWCPBAVBCIA-UHFFFAOYSA-N 0 1 278.360 0.634 20 30 CCEDMN N#C[C@@H]1CC[C@@H](N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000292877592 263136017 /nfs/dbraw/zinc/13/60/17/263136017.db2.gz TWINHIVBOZMFKA-TZMCWYRMSA-N 0 1 299.378 0.995 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)CSCC#N)C1 ZINC000340977430 263316917 /nfs/dbraw/zinc/31/69/17/263316917.db2.gz LVNTWTRKTQIIKO-SNVBAGLBSA-N 0 1 257.359 0.080 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N(CC)C[C@H](C)C#N)C[C@H]1O ZINC000341058498 263320048 /nfs/dbraw/zinc/32/00/48/263320048.db2.gz VQUBKOOOWZJRML-JHJVBQTASA-N 0 1 267.373 0.697 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)CCC1CC1 ZINC000341334171 263332394 /nfs/dbraw/zinc/33/23/94/263332394.db2.gz HYUAPTDUQXSGIK-UHFFFAOYSA-N 0 1 257.315 0.744 20 30 CCEDMN CC(=O)N1CCC[C@@H](C(=O)NCCN2CCC2)C1 ZINC000328765895 263858748 /nfs/dbraw/zinc/85/87/48/263858748.db2.gz FCFCHLQAYMPQHC-GFCCVEGCSA-N 0 1 253.346 0.907 20 30 CCEDMN CCN1CCC[C@H](NC(=O)c2cnc(COC)nc2N)C1 ZINC000330725343 264025803 /nfs/dbraw/zinc/02/58/03/264025803.db2.gz JTBNZRJMTDSAIS-JTQLQIEISA-N 0 1 293.371 0.824 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC000330775054 264034343 /nfs/dbraw/zinc/03/43/43/264034343.db2.gz YOFSBQKJUQIWEB-NSHDSACASA-N 0 1 293.371 0.568 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)CCCC#N ZINC000330882516 264061857 /nfs/dbraw/zinc/06/18/57/264061857.db2.gz CSFOWSFFFASTCS-GFCCVEGCSA-N 0 1 267.373 0.984 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N1CC[C@@H](CCO)C1 ZINC000330934692 264080070 /nfs/dbraw/zinc/08/00/70/264080070.db2.gz JXGGLLLJYFISLX-KGLIPLIRSA-N 0 1 298.431 0.241 20 30 CCEDMN CCN(CCCO)C(=O)N[C@@H]1CCc2ncnn2C1 ZINC000330933978 264097162 /nfs/dbraw/zinc/09/71/62/264097162.db2.gz GKYHAIPYQSZUID-SNVBAGLBSA-N 0 1 267.333 0.211 20 30 CCEDMN CCN(CCCO)C(=O)N[C@H]1CCc2ncnn2C1 ZINC000330933977 264097420 /nfs/dbraw/zinc/09/74/20/264097420.db2.gz GKYHAIPYQSZUID-JTQLQIEISA-N 0 1 267.333 0.211 20 30 CCEDMN CC(C)NC(=O)N1CCC[C@H]1C(=O)N(C)Cc1nnc[nH]1 ZINC000330983549 264102981 /nfs/dbraw/zinc/10/29/81/264102981.db2.gz HPWYYCQMRDFZFA-JTQLQIEISA-N 0 1 294.359 0.550 20 30 CCEDMN C[C@H](CC[NH+]=C([O-])N[C@@H]1CCc2c[nH]nc2C1)[S@@](C)=O ZINC000331101149 264123428 /nfs/dbraw/zinc/12/34/28/264123428.db2.gz BULRLJMEPXHEEL-GYKIULCDSA-N 0 1 298.412 0.928 20 30 CCEDMN C[C@H](CCNC(=O)N[C@@H]1CCc2c[nH]nc2C1)[S@@](C)=O ZINC000331101149 264123429 /nfs/dbraw/zinc/12/34/29/264123429.db2.gz BULRLJMEPXHEEL-GYKIULCDSA-N 0 1 298.412 0.928 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NCCC#N ZINC000331121722 264131103 /nfs/dbraw/zinc/13/11/03/264131103.db2.gz BJTKQOUMYAWDIE-LLVKDONJSA-N 0 1 253.350 0.040 20 30 CCEDMN C[C@H](O)CCN(C)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000331161514 264143179 /nfs/dbraw/zinc/14/31/79/264143179.db2.gz RIUAOODOLWKWTB-STQMWFEESA-N 0 1 286.420 0.239 20 30 CCEDMN Cc1nc2n(n1)CCN(C(=O)NCCC(=O)OC(C)C)C2 ZINC000331258508 264184078 /nfs/dbraw/zinc/18/40/78/264184078.db2.gz FQLHJJPSGJLNOR-UHFFFAOYSA-N 0 1 295.343 0.658 20 30 CCEDMN C=CCNC(=O)CN1CCN([C@@H](C)c2n[nH]c(C)n2)CC1 ZINC000331326876 264190276 /nfs/dbraw/zinc/19/02/76/264190276.db2.gz GOAUCVCRXHGOBI-NSHDSACASA-N 0 1 292.387 0.094 20 30 CCEDMN Cc1ncc(C(=O)NC[C@@H]2CCCCN2CCO)[nH]1 ZINC000331340652 264195381 /nfs/dbraw/zinc/19/53/81/264195381.db2.gz SFPKKUIMWRNTCW-NSHDSACASA-N 0 1 266.345 0.870 20 30 CCEDMN C=CCn1cc(CNC(=O)CSc2nnc(C)[nH]2)nn1 ZINC000424910006 264375754 /nfs/dbraw/zinc/37/57/54/264375754.db2.gz XCTJQEBDNSXGLX-UHFFFAOYSA-N 0 1 293.356 0.299 20 30 CCEDMN C=CCn1cc(CNC(=O)CSc2nc(C)n[nH]2)nn1 ZINC000424910006 264375755 /nfs/dbraw/zinc/37/57/55/264375755.db2.gz XCTJQEBDNSXGLX-UHFFFAOYSA-N 0 1 293.356 0.299 20 30 CCEDMN C=C[C@@H]1CCCC[N@@H+]1CC(=O)N1CCN(C)CC1 ZINC000335852577 265223040 /nfs/dbraw/zinc/22/30/40/265223040.db2.gz TUGLMIASQHFFIM-CYBMUJFWSA-N 0 1 251.374 0.801 20 30 CCEDMN C=C[C@H](CO)NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000356858736 266195149 /nfs/dbraw/zinc/19/51/49/266195149.db2.gz IZQLOMMKZHAEOH-SSDOTTSWSA-N 0 1 276.252 0.748 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCOC[C@@H](C2CCC2)C1 ZINC000368345759 267165144 /nfs/dbraw/zinc/16/51/44/267165144.db2.gz AAWSYEKDMGRWCU-JSGCOSHPSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCCCNC2=O)CC1 ZINC000368982522 267215484 /nfs/dbraw/zinc/21/54/84/267215484.db2.gz AWKCDURFLHXJOX-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H]1C[C@@H](O)c1cnn(C)c1 ZINC000369232756 267228084 /nfs/dbraw/zinc/22/80/84/267228084.db2.gz ZHMYGZSXEILIDX-ZIAGYGMSSA-N 0 1 292.383 0.610 20 30 CCEDMN C#CCCNC(=O)NC[C@@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000369285609 267232342 /nfs/dbraw/zinc/23/23/42/267232342.db2.gz PZVPFXPEODZLCQ-NWDGAFQWSA-N 0 1 251.330 0.172 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCCN(CCC(C)(C)C#N)C1 ZINC000371205277 267370537 /nfs/dbraw/zinc/37/05/37/267370537.db2.gz QDMBCWZBFLZIOF-LLVKDONJSA-N 0 1 273.402 0.940 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)N1CCN[C@@H](C)C1 ZINC000418128446 267357713 /nfs/dbraw/zinc/35/77/13/267357713.db2.gz BMAPZGMJLJIFBT-YNEHKIRRSA-N 0 1 267.373 0.971 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)N1CCNCC1 ZINC000418136444 267367317 /nfs/dbraw/zinc/36/73/17/267367317.db2.gz QOAXYCXMSKXAOR-NWDGAFQWSA-N 0 1 253.346 0.582 20 30 CCEDMN C=CCCS(=O)(=O)N1C[C@@H](SC)[C@H](N(C)C)C1 ZINC000348344407 276885746 /nfs/dbraw/zinc/88/57/46/276885746.db2.gz WRQJIINAASADNU-GHMZBOCLSA-N 0 1 278.443 0.870 20 30 CCEDMN CO[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3[nH]cnc3C2)C[C@@H]1OC ZINC000329697007 277297186 /nfs/dbraw/zinc/29/71/86/277297186.db2.gz CCDZHIXGYPDDDT-WQAKAFBOSA-N 0 1 294.355 0.527 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)c1ccc(C#N)cc1 ZINC000168810221 279279486 /nfs/dbraw/zinc/27/94/86/279279486.db2.gz FLDPFEVFRMLCHA-SNVBAGLBSA-N 0 1 267.354 0.787 20 30 CCEDMN C[C@H](NCC(=O)NC1(C#N)CCC1)c1cnn(C)c1 ZINC000525893441 328834455 /nfs/dbraw/zinc/83/44/55/328834455.db2.gz GAPQKENECBISBT-JTQLQIEISA-N 0 1 261.329 0.633 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCO[C@]3(CCOC3)C2)CC1 ZINC000528454626 328222733 /nfs/dbraw/zinc/22/27/33/328222733.db2.gz WTJCQGQFGAZUMN-GXTWGEPZSA-N 0 1 266.341 0.532 20 30 CCEDMN C=CCn1c(S(=O)(=O)CCC(C)(C)C#N)n[nH]c1=O ZINC000582881839 329284881 /nfs/dbraw/zinc/28/48/81/329284881.db2.gz IXNWQNSKSJZOPH-UHFFFAOYSA-N 0 1 284.341 0.883 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC([C@@H](C)O)CC1 ZINC000304008796 328412961 /nfs/dbraw/zinc/41/29/61/328412961.db2.gz BFUNKWUKLUSSJA-GFCCVEGCSA-N 0 1 267.373 0.841 20 30 CCEDMN COc1cnccc1[C@H](C)NC[C@H](O)CN(C)CCC#N ZINC000568620050 327005503 /nfs/dbraw/zinc/00/55/03/327005503.db2.gz FYKPSSUUHAFBSE-STQMWFEESA-N 0 1 292.383 0.947 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@H](CC(N)=O)C1 ZINC000377607539 329020001 /nfs/dbraw/zinc/02/00/01/329020001.db2.gz QJQLPDJCUJEFCZ-VXGBXAGGSA-N 0 1 280.372 0.192 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](CC(N)=O)C1 ZINC000377607539 329020002 /nfs/dbraw/zinc/02/00/02/329020002.db2.gz QJQLPDJCUJEFCZ-VXGBXAGGSA-N 0 1 280.372 0.192 20 30 CCEDMN CNC(=O)CCN(C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000170792432 329068275 /nfs/dbraw/zinc/06/82/75/329068275.db2.gz MUVRCGGZQLNTAS-UHFFFAOYSA-N 0 1 294.399 0.739 20 30 CCEDMN CNC(=O)CCN(C)[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000170792181 329068474 /nfs/dbraw/zinc/06/84/74/329068474.db2.gz XUABFHLRXVEKJB-LBPRGKRZSA-N 0 1 294.399 0.785 20 30 CCEDMN CCc1cncc(C(=O)N=c2[nH]n(C)cc2C#N)c1 ZINC000582765477 329154849 /nfs/dbraw/zinc/15/48/49/329154849.db2.gz WAROHLGFULKJAA-UHFFFAOYSA-N 0 1 255.281 0.923 20 30 CCEDMN COc1ccc(CNC[C@H](O)CN(C)CCC#N)nc1 ZINC000583019888 329298138 /nfs/dbraw/zinc/29/81/38/329298138.db2.gz IAGBIVHVZHOORK-ZDUSSCGKSA-N 0 1 278.356 0.386 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000186324511 329340937 /nfs/dbraw/zinc/34/09/37/329340937.db2.gz QOSPPDJLOFRIIY-UHFFFAOYSA-N 0 1 285.307 0.504 20 30 CCEDMN COCCCNC(=O)CN1CCC(CC#N)CC1 ZINC000397229584 329345090 /nfs/dbraw/zinc/34/50/90/329345090.db2.gz PTXWBCYFHWHWLE-UHFFFAOYSA-N 0 1 253.346 0.765 20 30 CCEDMN CC(C)N1C[C@@H](NCc2cnc3c(C#N)cnn3c2)CC1=O ZINC000583813022 329481941 /nfs/dbraw/zinc/48/19/41/329481941.db2.gz KVVFHDMQRBGVHG-ZDUSSCGKSA-N 0 1 298.350 0.700 20 30 CCEDMN C=CCCC[C@@H](C)NC(=O)C(=O)N1CCNC[C@H]1C ZINC000419150312 329710946 /nfs/dbraw/zinc/71/09/46/329710946.db2.gz LAMVQORCUJSUBV-VXGBXAGGSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCNc1ncc(C(=O)N2CCc3[nH]nnc3C2)s1 ZINC000433213061 330213234 /nfs/dbraw/zinc/21/32/34/330213234.db2.gz BPTTWQKVIWCZBF-UHFFFAOYSA-N 0 1 290.352 0.480 20 30 CCEDMN CC[C@@H](C#N)S(=O)(=O)Nc1ccc(C(N)=O)cc1 ZINC000088475031 330376482 /nfs/dbraw/zinc/37/64/82/330376482.db2.gz FOMNNEZXKLKYGB-JTQLQIEISA-N 0 1 267.310 0.829 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CN(CCC#N)CCC#N)C[C@H](C)O1 ZINC000089941124 330389093 /nfs/dbraw/zinc/38/90/93/330389093.db2.gz QSYHGACMONULRK-QLFBSQMISA-N 0 1 294.399 0.586 20 30 CCEDMN CN(C)C[C@@](C)(C#N)NC(=O)c1ccc2cncn2c1 ZINC000515352724 330475874 /nfs/dbraw/zinc/47/58/74/330475874.db2.gz MSDAASWJFAOBTE-CQSZACIVSA-N 0 1 271.324 0.908 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCC1(CC#N)CC1 ZINC000435956193 330666681 /nfs/dbraw/zinc/66/66/81/330666681.db2.gz GJYFFJJJAHEVDM-LBPRGKRZSA-N 0 1 265.357 0.765 20 30 CCEDMN C=CCN1CCC(NC(=O)NCC2([S@](C)=O)CC2)CC1 ZINC000441174166 330762053 /nfs/dbraw/zinc/76/20/53/330762053.db2.gz DCAYPEIZCYVRSD-FQEVSTJZSA-N 0 1 299.440 0.847 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@H](O)[C@@H]1C ZINC000451461194 331030440 /nfs/dbraw/zinc/03/04/40/331030440.db2.gz PQEJOTUNCNQCCY-AGIUHOORSA-N 0 1 267.373 0.840 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](OC)C(C)(C)C1 ZINC000451556915 331034449 /nfs/dbraw/zinc/03/44/49/331034449.db2.gz WCBGAKAWIQJNCN-LLVKDONJSA-N 0 1 283.372 0.745 20 30 CCEDMN C=CC(C)(C)CNC(=O)NCCC[N@H+]1CCC[C@@H]1C(N)=O ZINC000454475560 331147062 /nfs/dbraw/zinc/14/70/62/331147062.db2.gz FWYGYPPYGCKESZ-GFCCVEGCSA-N 0 1 296.415 0.838 20 30 CCEDMN C=CC(C)(C)CNC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000454475560 331147063 /nfs/dbraw/zinc/14/70/63/331147063.db2.gz FWYGYPPYGCKESZ-GFCCVEGCSA-N 0 1 296.415 0.838 20 30 CCEDMN C=C(C)CN(C)C(=O)NCCN1CCCOCC1 ZINC000454992805 331158378 /nfs/dbraw/zinc/15/83/78/331158378.db2.gz BTIJMCAHEFGERB-UHFFFAOYSA-N 0 1 255.362 0.926 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)CSc1nnc(C)[nH]1 ZINC000456763024 331201025 /nfs/dbraw/zinc/20/10/25/331201025.db2.gz CZCNKRVHNYFDLF-VIFPVBQESA-N 0 1 270.358 0.649 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)CSc1nc(C)n[nH]1 ZINC000456763024 331201026 /nfs/dbraw/zinc/20/10/26/331201026.db2.gz CZCNKRVHNYFDLF-VIFPVBQESA-N 0 1 270.358 0.649 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)NCCCCN1CCOCC1 ZINC000457731881 331232755 /nfs/dbraw/zinc/23/27/55/331232755.db2.gz CUUWVDGENJWQSW-CQSZACIVSA-N 0 1 299.415 0.725 20 30 CCEDMN C#CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)C1CCCCC1 ZINC000490574919 332092951 /nfs/dbraw/zinc/09/29/51/332092951.db2.gz FVPKPFJLNVQUDI-ZDUSSCGKSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCN2CCCC2=O)CC1 ZINC000490669450 332101367 /nfs/dbraw/zinc/10/13/67/332101367.db2.gz HOURFDMOZVQBDL-UHFFFAOYSA-N 0 1 291.395 0.412 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)c1ccc2nncn2c1 ZINC000490899880 332127391 /nfs/dbraw/zinc/12/73/91/332127391.db2.gz QQCDJYKNZNZUMX-ZDUSSCGKSA-N 0 1 284.319 0.984 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOCC3(CC3)C2)CC1 ZINC000490938589 332131656 /nfs/dbraw/zinc/13/16/56/332131656.db2.gz YATJGUSNJTWWFQ-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CC(C)(C)OC2=O)CC1 ZINC000491179299 332161003 /nfs/dbraw/zinc/16/10/03/332161003.db2.gz DVVMFHMNHOJODH-ZDUSSCGKSA-N 0 1 292.379 0.884 20 30 CCEDMN C#CCN1CCC(C(=O)NO[C@H](CO)C(C)C)CC1 ZINC000491252739 332174469 /nfs/dbraw/zinc/17/44/69/332174469.db2.gz JTJAQFKLMNQQLP-CYBMUJFWSA-N 0 1 268.357 0.396 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)Cc2ccn[nH]2)n1 ZINC000491536153 332231929 /nfs/dbraw/zinc/23/19/29/332231929.db2.gz ICWSZRWERHCVMY-UHFFFAOYSA-N 0 1 271.324 0.831 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000491538295 332233012 /nfs/dbraw/zinc/23/30/12/332233012.db2.gz XXGBBAMZBXZIKY-QWRGUYRKSA-N 0 1 259.309 0.690 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)CN(C)[C@H]1CCSC1 ZINC000491652679 332265452 /nfs/dbraw/zinc/26/54/52/332265452.db2.gz BJTFZFBNGMDQRF-RYUDHWBXSA-N 0 1 268.382 0.284 20 30 CCEDMN C#C[C@@H](NC(=O)N[C@@H](C)CN1CCN(C)CC1)C(C)(C)C ZINC000491662353 332271794 /nfs/dbraw/zinc/27/17/94/332271794.db2.gz BPVQVUSJLLWQCW-UONOGXRCSA-N 0 1 294.443 0.969 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1ccc(C)cc1C ZINC000491694287 332290339 /nfs/dbraw/zinc/29/03/39/332290339.db2.gz VRZTUMVMBSUFHZ-UONOGXRCSA-N 0 1 263.337 0.627 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC000491728604 332311144 /nfs/dbraw/zinc/31/11/44/332311144.db2.gz AZQXSYKVFFNIRU-LLVKDONJSA-N 0 1 256.346 0.779 20 30 CCEDMN C#CCN(C)C[C@@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000491733554 332314574 /nfs/dbraw/zinc/31/45/74/332314574.db2.gz NBTMYSVGAQDFAN-CYBMUJFWSA-N 0 1 288.347 0.876 20 30 CCEDMN C#CCn1ccnc1C=NNCCN1CCCCC1 ZINC000491744093 332322122 /nfs/dbraw/zinc/32/21/22/332322122.db2.gz VAPDESPJLGVFLH-UHFFFAOYSA-N 0 1 259.357 0.926 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1COC(=O)C1 ZINC000491820028 332369968 /nfs/dbraw/zinc/36/99/68/332369968.db2.gz WMYMBMIQKOKIIT-GHMZBOCLSA-N 0 1 251.282 0.190 20 30 CCEDMN CCS(=O)(=O)NCCN1CCC(CC#N)CC1 ZINC000542878107 333847294 /nfs/dbraw/zinc/84/72/94/333847294.db2.gz BQWGKDDUNYLWLM-UHFFFAOYSA-N 0 1 259.375 0.551 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@H](C(=O)NC)C(C)C ZINC000183639656 333121538 /nfs/dbraw/zinc/12/15/38/333121538.db2.gz UDOMTINEGGMPLI-ZDUSSCGKSA-N 0 1 267.373 0.547 20 30 CCEDMN C#CCN(C)C(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000191116072 333215471 /nfs/dbraw/zinc/21/54/71/333215471.db2.gz KXGIWRFQUIKXOH-UHFFFAOYSA-N 0 1 297.314 0.762 20 30 CCEDMN CC(C)NC(=O)CCCC(=O)NC1(C#N)CCN(C)CC1 ZINC000524163138 333354822 /nfs/dbraw/zinc/35/48/22/333354822.db2.gz KBEXWLAIQAAEAS-UHFFFAOYSA-N 0 1 294.399 0.785 20 30 CCEDMN C[C@H]1[C@@H](C(=O)NC2(C#N)CCN(C)CC2)C1(F)F ZINC000545183051 333967135 /nfs/dbraw/zinc/96/71/35/333967135.db2.gz PUWXBAGVZDFCDX-IUCAKERBSA-N 0 1 257.284 0.992 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@H]([C@H](C)O)C1 ZINC000089932120 334353888 /nfs/dbraw/zinc/35/38/88/334353888.db2.gz ITEMJOAOYKFTJZ-SRVKXCTJSA-N 0 1 283.372 0.480 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1Nc1cc(-n2cccn2)nc(N)n1 ZINC000565814820 334599271 /nfs/dbraw/zinc/59/92/71/334599271.db2.gz VSWPPYOKDRMOOS-ZJUUUORDSA-N 0 1 272.312 0.830 20 30 CCEDMN Cc1cc(CNC[C@H](O)CN(C)CCC#N)nc(C)n1 ZINC000567707800 334754196 /nfs/dbraw/zinc/75/41/96/334754196.db2.gz FQEKVADLOOWHHJ-AWEZNQCLSA-N 0 1 277.372 0.389 20 30 CCEDMN N#CCNC(=O)CN1CCS[C@H]2CCCC[C@H]21 ZINC000177210406 335368432 /nfs/dbraw/zinc/36/84/32/335368432.db2.gz FFIPVPABVJBDDG-MNOVXSKESA-N 0 1 253.371 0.986 20 30 CCEDMN N#Cc1cncnc1N1CCN(C[C@H]2CCOC2)CC1 ZINC000581659736 336119426 /nfs/dbraw/zinc/11/94/26/336119426.db2.gz WOUJKGDKZOZJBW-GFCCVEGCSA-N 0 1 273.340 0.507 20 30 CCEDMN CC[C@@H]1[C@H](CO)CCN1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000385712928 336154910 /nfs/dbraw/zinc/15/49/10/336154910.db2.gz RDIGEAYFJLJHNY-LNSITVRQSA-N 0 1 279.384 0.888 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCn2cccn2)C[C@@]2(CCOC2)O1 ZINC000329976970 534272473 /nfs/dbraw/zinc/27/24/73/534272473.db2.gz ZEMSJHNEZPICAB-TZMCWYRMSA-N 0 1 294.355 0.677 20 30 CCEDMN COC(=O)c1ncsc1S(=O)(=O)N[C@@H](C)C#N ZINC000347321697 534368633 /nfs/dbraw/zinc/36/86/33/534368633.db2.gz NUFQAQPUGNMCNW-YFKPBYRVSA-N 0 1 275.311 0.120 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCC(=O)N2CCCC2)[C@H](C)CN1C ZINC000329034063 534449634 /nfs/dbraw/zinc/44/96/34/534449634.db2.gz YBOMALOLULGONR-CHWSQXEVSA-N 0 1 296.415 0.590 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N(C)[C@@H]1CCC[C@H]1O)CC2 ZINC000329439463 534703531 /nfs/dbraw/zinc/70/35/31/534703531.db2.gz MQLLGRUTCDWGKB-IJLUTSLNSA-N 0 1 293.371 0.661 20 30 CCEDMN N#C[C@@]1(NC(=O)Cc2nnc[nH]2)CCc2ccccc2C1 ZINC000601456921 545102942 /nfs/dbraw/zinc/10/29/42/545102942.db2.gz CEGOVZKNLDQXGW-OAHLLOKOSA-N 0 1 281.319 0.915 20 30 CCEDMN C[C@@H]1C[C@@H](O)CN1CC(=O)NCc1ccc(C#N)cc1 ZINC000340887780 525278667 /nfs/dbraw/zinc/27/86/67/525278667.db2.gz DRRNNJOZUAQDQC-BXUZGUMPSA-N 0 1 273.336 0.630 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCCCF)CC1 ZINC000352096287 526537442 /nfs/dbraw/zinc/53/74/42/526537442.db2.gz DOMFPJONFSYPFV-UHFFFAOYSA-N 0 1 257.353 0.656 20 30 CCEDMN C=CCNC(=O)CNC(=O)CCSc1nnc(C)[nH]1 ZINC000347888227 526544705 /nfs/dbraw/zinc/54/47/05/526544705.db2.gz CLNRTMWIABXRET-UHFFFAOYSA-N 0 1 283.357 0.014 20 30 CCEDMN C=CCOCC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000354607876 526690355 /nfs/dbraw/zinc/69/03/55/526690355.db2.gz ZLHJBYPJFGRLEF-UHFFFAOYSA-N 0 1 282.384 0.760 20 30 CCEDMN N#Cc1ccnc(NCCc2nc[nH]n2)c1[N+](=O)[O-] ZINC000148904038 526761546 /nfs/dbraw/zinc/76/15/46/526761546.db2.gz SWARMKVBHCGANJ-UHFFFAOYSA-N 0 1 259.229 0.634 20 30 CCEDMN C=CCOCCCNC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000666514528 545124501 /nfs/dbraw/zinc/12/45/01/545124501.db2.gz CBDNHYDTVLDHDE-UONOGXRCSA-N 0 1 299.415 0.676 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000666515110 545124609 /nfs/dbraw/zinc/12/46/09/545124609.db2.gz REWVWNMHPXFGCW-OAHLLOKOSA-N 0 1 282.384 0.494 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@H](CN2CCOCC2)C1 ZINC000669936935 545223922 /nfs/dbraw/zinc/22/39/22/545223922.db2.gz FVXOERGCJQKSSV-HNNXBMFYSA-N 0 1 266.385 0.680 20 30 CCEDMN CCN(CCCO)CC(=O)N(CC)C[C@@H](C)C#N ZINC000347512612 528467445 /nfs/dbraw/zinc/46/74/45/528467445.db2.gz DQTQYIFZRQIHFW-LBPRGKRZSA-N 0 1 255.362 0.699 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000670407576 545233073 /nfs/dbraw/zinc/23/30/73/545233073.db2.gz VEVWNBJMGHLYOO-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN CCN1CCN(CC(=O)NCC(=O)N2CCCC2)[C@@H](C)C1 ZINC000329065617 529136235 /nfs/dbraw/zinc/13/62/35/529136235.db2.gz LACCCQWGLQTIOV-ZDUSSCGKSA-N 0 1 296.415 0.591 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000352859459 529348752 /nfs/dbraw/zinc/34/87/52/529348752.db2.gz FQZDTNXIHJYDBJ-TZMCWYRMSA-N 0 1 266.389 0.678 20 30 CCEDMN C=CCOCCNC(=O)CN(C)[C@H]1CCSC1 ZINC000679586514 545412053 /nfs/dbraw/zinc/41/20/53/545412053.db2.gz QHPKWLIUMBUFHK-NSHDSACASA-N 0 1 258.387 0.743 20 30 CCEDMN COc1ccc(C#N)cc1CN1[C@@H](CO)CC[C@H]1CO ZINC000347286742 536645016 /nfs/dbraw/zinc/64/50/16/536645016.db2.gz IRAVKOXQYZPJPN-OKILXGFUSA-N 0 1 276.336 0.884 20 30 CCEDMN COCC#CCN[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000679935055 545419309 /nfs/dbraw/zinc/41/93/09/545419309.db2.gz LTPAOUOEHPENDM-NSHDSACASA-N 0 1 282.365 0.634 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2ccc(O)c(C(=O)[O-])c2)N1 ZINC000777442552 581115752 /nfs/dbraw/zinc/11/57/52/581115752.db2.gz KQLPROVHRZLXAD-SSDOTTSWSA-N 0 1 262.269 0.362 20 30 CCEDMN N#CCCNC(=O)COC(=O)c1[nH]nc2c1CCCCC2 ZINC000789177227 581136696 /nfs/dbraw/zinc/13/66/96/581136696.db2.gz SAHJIWPCIDCEHJ-UHFFFAOYSA-N 0 1 290.323 0.865 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)c2[nH]nnc2C)n1 ZINC000790118795 581177195 /nfs/dbraw/zinc/17/71/95/581177195.db2.gz FFVHGBLVEDSLOF-UHFFFAOYSA-N 0 1 272.312 0.605 20 30 CCEDMN C=C(CO)C(=O)Nc1cccc(CN2CCN(C)CC2)c1 ZINC000791333927 581215905 /nfs/dbraw/zinc/21/59/05/581215905.db2.gz SREHUBUEMCSZKC-UHFFFAOYSA-N 0 1 289.379 0.921 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](CNC(=O)CCC)C1 ZINC000726189296 581232110 /nfs/dbraw/zinc/23/21/10/581232110.db2.gz ZLISGBYYCYOMIE-ZDUSSCGKSA-N 0 1 279.384 0.364 20 30 CCEDMN COC1(CC(=O)C(C#N)C(=O)NC2CC2)CCC1 ZINC000791772150 581233443 /nfs/dbraw/zinc/23/34/43/581233443.db2.gz XIRLKARBCCNNRY-JTQLQIEISA-N 0 1 250.298 0.933 20 30 CCEDMN CN1CCCN(C(=O)[C@@H](O)c2ccc(C#N)cc2)CC1 ZINC000780847753 581246427 /nfs/dbraw/zinc/24/64/27/581246427.db2.gz AJYFVIHZGGMHHP-AWEZNQCLSA-N 0 1 273.336 0.756 20 30 CCEDMN C#CCNC(=O)CN(C[C@@H](C)C(=O)OC)C1CC1 ZINC000726843331 581254813 /nfs/dbraw/zinc/25/48/13/581254813.db2.gz AXGNKKYVUDCQFV-SNVBAGLBSA-N 0 1 252.314 0.009 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1ccc2c(c1)nnn2C ZINC000782968750 581329849 /nfs/dbraw/zinc/32/98/49/581329849.db2.gz OJEVQSAAMAPFSB-UHFFFAOYSA-N 0 1 294.380 0.659 20 30 CCEDMN COCCN(C)N=Cc1c(C)nn(C)c1N1CCOCC1 ZINC000794963747 581392525 /nfs/dbraw/zinc/39/25/25/581392525.db2.gz JPPKKBRNLOEMJE-UHFFFAOYSA-N 0 1 295.387 0.477 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1C[C@H]2[C@H](C(=O)OC)[C@H]2C1 ZINC000794982275 581393673 /nfs/dbraw/zinc/39/36/73/581393673.db2.gz HFFRTBRSTPIKON-MQYQWHSLSA-N 0 1 292.379 0.926 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1CCCN1C1CC1)[C@@H]1CCCO1 ZINC000787534700 581472203 /nfs/dbraw/zinc/47/22/03/581472203.db2.gz SHZPNRADMYVTRI-IHRRRGAJSA-N 0 1 262.353 0.910 20 30 CCEDMN C#CCC(C)(C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000767075593 581595370 /nfs/dbraw/zinc/59/53/70/581595370.db2.gz NFRKUEUDMIJYQE-LBPRGKRZSA-N 0 1 251.374 0.398 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](n2ccnc2)C1 ZINC000729920674 581620543 /nfs/dbraw/zinc/62/05/43/581620543.db2.gz QTLSMFQOWIJPKO-STQMWFEESA-N 0 1 260.341 0.658 20 30 CCEDMN CCN(CCC#N)C(=O)COC(=O)Cc1cc(C)[nH]n1 ZINC000756703602 581675343 /nfs/dbraw/zinc/67/53/43/581675343.db2.gz AJXCTLBOMKIZGY-UHFFFAOYSA-N 0 1 278.312 0.566 20 30 CCEDMN Cc1cc(CC(=O)O[C@@H](C)C(=O)N(C)CCC#N)n[nH]1 ZINC000756705088 581676217 /nfs/dbraw/zinc/67/62/17/581676217.db2.gz XLUCUFXOCDBAQE-JTQLQIEISA-N 0 1 278.312 0.564 20 30 CCEDMN Cc1nnc(N=NC2CCC[N@H+](C)CC2)[n-]c1=O ZINC000758094892 581721220 /nfs/dbraw/zinc/72/12/20/581721220.db2.gz HHFVGFRRHSOMDC-UHFFFAOYSA-N 0 1 250.306 0.769 20 30 CCEDMN CC(=NNCCS(C)(=O)=O)c1ccc(N)cc1C ZINC000758111307 581721459 /nfs/dbraw/zinc/72/14/59/581721459.db2.gz WEHIGBCDNBDJCU-UHFFFAOYSA-N 0 1 269.370 0.935 20 30 CCEDMN C#CCNC(=O)CN(C)[C@@H]1C[C@@H](OCC)C1(C)C ZINC000740886516 581722689 /nfs/dbraw/zinc/72/26/89/581722689.db2.gz KWIJHVOBDVDOBA-VXGBXAGGSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCn1cc(CNC(=O)c2ccc3[nH]nnc3c2)cn1 ZINC000788218540 581805151 /nfs/dbraw/zinc/80/51/51/581805151.db2.gz AYFCYCPLVMTURR-UHFFFAOYSA-N 0 1 280.291 0.718 20 30 CCEDMN C[C@@H]1CN(c2ccccc2C=NOCC(N)=O)CCO1 ZINC000771577971 581810535 /nfs/dbraw/zinc/81/05/35/581810535.db2.gz JMQICJHXZZMAPJ-LLVKDONJSA-N 0 1 277.324 0.748 20 30 CCEDMN CC(C)(NS(C)(=O)=O)C(=O)Nc1cc(C#N)ccc1O ZINC000759714251 581817569 /nfs/dbraw/zinc/81/75/69/581817569.db2.gz YLBIZAIJLJJJMR-UHFFFAOYSA-N 0 1 297.336 0.530 20 30 CCEDMN CN1CCC[C@@H](NC(=O)N2CCN(C3CC3)[C@H](C#N)C2)C1 ZINC000732701174 581842733 /nfs/dbraw/zinc/84/27/33/581842733.db2.gz LDJAHWXKCWGSRQ-TZMCWYRMSA-N 0 1 291.399 0.462 20 30 CCEDMN CC(C)[C@@H](C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000733155185 581867605 /nfs/dbraw/zinc/86/76/05/581867605.db2.gz MIQUYRNMMNVAPR-XUXSRRMHSA-N 0 1 279.340 0.921 20 30 CCEDMN Cc1n[nH]c([C@@H]2CCCN(CC(=O)NCCC#N)C2)n1 ZINC000760958754 581881153 /nfs/dbraw/zinc/88/11/53/581881153.db2.gz ATPCUWYQGAOQOD-LLVKDONJSA-N 0 1 276.344 0.322 20 30 CCEDMN Cc1nnc([C@@H]2CCCN(CC(=O)NCCC#N)C2)[nH]1 ZINC000760958754 581881155 /nfs/dbraw/zinc/88/11/55/581881155.db2.gz ATPCUWYQGAOQOD-LLVKDONJSA-N 0 1 276.344 0.322 20 30 CCEDMN C#CCNC(=O)CN1CCC(OC[C@@H]2CCOC2)CC1 ZINC000761112586 581889004 /nfs/dbraw/zinc/88/90/04/581889004.db2.gz JRLOGPDNDPPHHW-CYBMUJFWSA-N 0 1 280.368 0.253 20 30 CCEDMN CCOC(=O)C[C@H](O)C(=O)Nc1cc(C#N)ccc1O ZINC000774080442 581912933 /nfs/dbraw/zinc/91/29/33/581912933.db2.gz GWQIDNDWLCMSHS-NSHDSACASA-N 0 1 278.264 0.516 20 30 CCEDMN C#CCNCC(=O)NC1(c2noc(C)n2)CCCC1 ZINC000746512954 581917314 /nfs/dbraw/zinc/91/73/14/581917314.db2.gz BMADDDVFHYSOAW-UHFFFAOYSA-N 0 1 262.313 0.486 20 30 CCEDMN C[C@H]1CC(N=Nc2ccc(S(N)(=O)=O)cn2)CN1C ZINC000761805560 581921107 /nfs/dbraw/zinc/92/11/07/581921107.db2.gz FAZPQYRDSKTXIM-QMMMGPOBSA-N 0 1 283.357 0.221 20 30 CCEDMN O=C(C#Cc1ccc2c(c1)OCO2)NCc1cnc[nH]1 ZINC000762223142 581936233 /nfs/dbraw/zinc/93/62/33/581936233.db2.gz OZCOWWGLHHINNB-UHFFFAOYSA-N 0 1 269.260 0.806 20 30 CCEDMN C#CCCN1CCC(NC(=O)c2c[nH]c(C)cc2=O)CC1 ZINC000747405138 581938986 /nfs/dbraw/zinc/93/89/86/581938986.db2.gz UZEXPSCPVKUFCV-UHFFFAOYSA-N 0 1 287.363 0.901 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NC(=O)NCC(C)C)[C@@H]1CCCO1 ZINC000775792456 582038761 /nfs/dbraw/zinc/03/87/61/582038761.db2.gz GSLUSPVOHJKZKA-AVGNSLFASA-N 0 1 295.383 0.627 20 30 CCEDMN C#C[C@H](N[C@H]1CCN(C(C)C)C1=O)[C@@H]1CCCO1 ZINC000775794200 582039057 /nfs/dbraw/zinc/03/90/57/582039057.db2.gz YHZJVCXCWWOOOY-AVGNSLFASA-N 0 1 250.342 0.766 20 30 CCEDMN COCCCOCC(=O)C(C#N)C(=O)NC(C)C ZINC000776078555 582050611 /nfs/dbraw/zinc/05/06/11/582050611.db2.gz ZCAYYARQJHSOOB-SNVBAGLBSA-N 0 1 256.302 0.273 20 30 CCEDMN C=C(CN(C)C)C(=O)NCC[S@@](=O)C(C)(C)C ZINC000764508706 582053463 /nfs/dbraw/zinc/05/34/63/582053463.db2.gz PIDXDRNOHOPQNP-QGZVFWFLSA-N 0 1 260.403 0.768 20 30 CCEDMN CC#CC(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000764540721 582055589 /nfs/dbraw/zinc/05/55/89/582055589.db2.gz UFSJEEMVWBHTOO-UHFFFAOYSA-N 0 1 259.309 0.809 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)[O-])[C@@H](C)C1 ZINC000738496359 582056686 /nfs/dbraw/zinc/05/66/86/582056686.db2.gz WUYDUXPZMGVVDN-DCAQKATOSA-N 0 1 269.345 0.655 20 30 CCEDMN C#CCCCNC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000933690052 612981319 /nfs/dbraw/zinc/98/13/19/612981319.db2.gz GMKXBFMZIDQGDK-UHFFFAOYSA-N 0 1 263.341 0.905 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000251015747 601921149 /nfs/dbraw/zinc/92/11/49/601921149.db2.gz APRQDMGYAKNAOY-NXEZZACHSA-N 0 1 270.354 0.016 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCC(C)(C)CC#N ZINC000909881812 616473075 /nfs/dbraw/zinc/47/30/75/616473075.db2.gz GACYENYBSZYQEH-MFKMUULPSA-N 0 1 253.346 0.498 20 30 CCEDMN C#CCOCCN1C[C@@H](C)O[C@]2(CCCOC2)C1 ZINC000852003071 612984713 /nfs/dbraw/zinc/98/47/13/612984713.db2.gz HWMCVDYPBNLWEW-ZIAGYGMSSA-N 0 1 253.342 0.906 20 30 CCEDMN C#CCCN(CC(F)(F)F)C(=O)CCCc1nn[nH]n1 ZINC000821996709 612986196 /nfs/dbraw/zinc/98/61/96/612986196.db2.gz JBZVXKNRPVCENO-UHFFFAOYSA-N 0 1 289.261 0.937 20 30 CCEDMN C#CCOCCNC(=O)N1CCNC[C@H]1C(C)C ZINC000861619001 612986257 /nfs/dbraw/zinc/98/62/57/612986257.db2.gz VKDSUUCADKGQLW-LBPRGKRZSA-N 0 1 253.346 0.276 20 30 CCEDMN C#CCCN(CCNC(=O)OC(C)(C)C)C[C@H](O)CO ZINC000852180261 612986377 /nfs/dbraw/zinc/98/63/77/612986377.db2.gz RJVMITWKUJTTLQ-LBPRGKRZSA-N 0 1 286.372 0.190 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@@H+](C)C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000817772344 597493178 /nfs/dbraw/zinc/49/31/78/597493178.db2.gz VGHHJTYOQGRHRF-WCQYABFASA-N 0 1 292.335 0.897 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC000820246416 597650152 /nfs/dbraw/zinc/65/01/52/597650152.db2.gz XEHNLDISRIVQTC-SKDRFNHKSA-N 0 1 253.302 0.153 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC[N@@H+]1C ZINC000820246416 597650149 /nfs/dbraw/zinc/65/01/49/597650149.db2.gz XEHNLDISRIVQTC-SKDRFNHKSA-N 0 1 253.302 0.153 20 30 CCEDMN N#Cc1ccc(C[N@@H+](CC(=O)NCC(=O)[O-])C2CC2)cc1 ZINC000821329690 597772460 /nfs/dbraw/zinc/77/24/60/597772460.db2.gz FHPCKPQUNMSKHD-UHFFFAOYSA-N 0 1 287.319 0.723 20 30 CCEDMN N#Cc1ccc(C[N@H+](CC(=O)NCC(=O)[O-])C2CC2)cc1 ZINC000821329690 597772465 /nfs/dbraw/zinc/77/24/65/597772465.db2.gz FHPCKPQUNMSKHD-UHFFFAOYSA-N 0 1 287.319 0.723 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCc1cc(N(C)C)ccn1 ZINC000927697481 612996616 /nfs/dbraw/zinc/99/66/16/612996616.db2.gz MZXVGAXWIJQEFP-NSHDSACASA-N 0 1 261.325 0.802 20 30 CCEDMN C#CC1(F)CN(C(=O)C(C)(C)CN2CCOCC2)C1 ZINC000919967999 612946431 /nfs/dbraw/zinc/94/64/31/612946431.db2.gz SOJFTJSLZUYDIP-UHFFFAOYSA-N 0 1 268.332 0.529 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(Cc2noc(C)n2)CC1 ZINC000932246057 612947567 /nfs/dbraw/zinc/94/75/67/612947567.db2.gz AZARQPRWTNLHOL-LLVKDONJSA-N 0 1 290.367 0.770 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000135034846 612947871 /nfs/dbraw/zinc/94/78/71/612947871.db2.gz CRYIHPVVLWRJRW-NEPJUHHUSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)CSc1nnc(C)[nH]1 ZINC000863786034 613019554 /nfs/dbraw/zinc/01/95/54/613019554.db2.gz RQGPAZZFNSBEOU-JTQLQIEISA-N 0 1 282.369 0.750 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)CSc1nc(C)n[nH]1 ZINC000863786034 613019555 /nfs/dbraw/zinc/01/95/55/613019555.db2.gz RQGPAZZFNSBEOU-JTQLQIEISA-N 0 1 282.369 0.750 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCCN1CCCOCC1 ZINC000858488105 613034966 /nfs/dbraw/zinc/03/49/66/613034966.db2.gz CIYLKKLNPVLKFC-ZDUSSCGKSA-N 0 1 283.372 0.046 20 30 CCEDMN C#CC[C@@H](COC)NCc1ccc(S(N)(=O)=O)s1 ZINC000877662104 613038033 /nfs/dbraw/zinc/03/80/33/613038033.db2.gz MZQMZKBTXPJPCI-VIFPVBQESA-N 0 1 288.394 0.523 20 30 CCEDMN C#CC1(O)CCN(c2nc(Cl)nc3[nH]cnc32)CC1 ZINC000896764784 612953079 /nfs/dbraw/zinc/95/30/79/612953079.db2.gz GCQXDFHOMSKPRB-UHFFFAOYSA-N 0 1 277.715 0.971 20 30 CCEDMN C=CC[N@@H+](CC(=O)N1CCC(C(=O)[O-])CC1)C1CC1 ZINC000382488390 604971358 /nfs/dbraw/zinc/97/13/58/604971358.db2.gz XZEAOPQMIFXMPE-UHFFFAOYSA-N 0 1 266.341 0.960 20 30 CCEDMN C=CC[N@H+](CC(=O)N1CCC(C(=O)[O-])CC1)C1CC1 ZINC000382488390 604971363 /nfs/dbraw/zinc/97/13/63/604971363.db2.gz XZEAOPQMIFXMPE-UHFFFAOYSA-N 0 1 266.341 0.960 20 30 CCEDMN COc1cc(CNC[C@@H](O)CS(C)(=O)=O)ccc1C#N ZINC000922823928 617188934 /nfs/dbraw/zinc/18/89/34/617188934.db2.gz OZUACWUZCDYEKV-GFCCVEGCSA-N 0 1 298.364 0.062 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)[C@@]2(C)C[C@H](O)CN2C)C1 ZINC000912985269 613046421 /nfs/dbraw/zinc/04/64/21/613046421.db2.gz CQKFTMGEZRRFKM-MBNYWOFBSA-N 0 1 250.342 0.313 20 30 CCEDMN C[C@@H]1[C@H](C)N(C(=O)[O-])CC[N@@H+]1CCC(=O)N(C)CCC#N ZINC000833826033 606043551 /nfs/dbraw/zinc/04/35/51/606043551.db2.gz FKQDXAVUKGWKHO-NEPJUHHUSA-N 0 1 296.371 0.821 20 30 CCEDMN C#CC[C@H](CO)NC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000880062723 613057259 /nfs/dbraw/zinc/05/72/59/613057259.db2.gz BEVDCIBAUYNUPK-OPQQBVKSSA-N 0 1 261.325 0.576 20 30 CCEDMN C#CCNCC(=O)N1CCN(Cc2csc(C)n2)CC1 ZINC000105964750 612956289 /nfs/dbraw/zinc/95/62/89/612956289.db2.gz OSIJQXCPHGSHRB-UHFFFAOYSA-N 0 1 292.408 0.319 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2cccc(-c3nn[nH]n3)c2)NO1 ZINC000824891628 608108791 /nfs/dbraw/zinc/10/87/91/608108791.db2.gz BHVQWGMVOWACMV-ZETCQYMHSA-N 0 1 272.268 0.719 20 30 CCEDMN C#CCNCC(=O)NCCc1cnn(-c2ccccc2)c1 ZINC000857577269 612956499 /nfs/dbraw/zinc/95/64/99/612956499.db2.gz YAXNSXQJZALZDX-UHFFFAOYSA-N 0 1 282.347 0.754 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)[C@@H](C)COC ZINC000922025286 613098021 /nfs/dbraw/zinc/09/80/21/613098021.db2.gz WSYAMOQVRXFJAM-LBPRGKRZSA-N 0 1 288.413 0.381 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(C)[C@H](C)[C@@H](C)S(C)(=O)=O ZINC000876663004 613389175 /nfs/dbraw/zinc/38/91/75/613389175.db2.gz VMUZZNLPAAPVFG-BBBLOLIVSA-N 0 1 296.820 0.736 20 30 CCEDMN C=CCC[C@@H](O)C[N@H+](CC(N)=O)C1CCOCC1 ZINC000880392604 613585546 /nfs/dbraw/zinc/58/55/46/613585546.db2.gz HAOVHWHICSXBPU-GFCCVEGCSA-N 0 1 256.346 0.280 20 30 CCEDMN CC(C)O[C@]1(C(=O)NCC#CCN(C)C)CCOC1 ZINC000913523023 614049514 /nfs/dbraw/zinc/04/95/14/614049514.db2.gz JNGDEKCYBOYCCD-CQSZACIVSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCCCCCN1CCN2[C@@H](CNS2(=O)=O)C1 ZINC000933098416 612976781 /nfs/dbraw/zinc/97/67/81/612976781.db2.gz WUGCGTODEAETGZ-LBPRGKRZSA-N 0 1 271.386 0.014 20 30 CCEDMN CSCC[C@H](NC(=O)C#CC1CC1)c1nn[nH]n1 ZINC000912863280 617244429 /nfs/dbraw/zinc/24/44/29/617244429.db2.gz RPZNXBVXEMTLOP-VIFPVBQESA-N 0 1 265.342 0.524 20 30 CCEDMN CSc1cccnc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000846676836 617281423 /nfs/dbraw/zinc/28/14/23/617281423.db2.gz IFMFMNBDUJXTAL-DTWKUNHWSA-N 0 1 262.338 0.645 20 30 CCEDMN COc1ccc2[nH]cc(CC(=O)N[C@H]3CNC[C@@H]3C#N)c2c1 ZINC000846677544 617282822 /nfs/dbraw/zinc/28/28/22/617282822.db2.gz NOIMJYLWVRXIMQ-NHYWBVRUSA-N 0 1 298.346 0.947 20 30 CCEDMN COc1cccc(CC(=O)NCC#CCN(C)C)c1 ZINC000913514340 617295421 /nfs/dbraw/zinc/29/54/21/617295421.db2.gz MYALZCZURQXWSL-UHFFFAOYSA-N 0 1 260.337 0.919 20 30 CCEDMN COc1cccc(CCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1F ZINC000834499296 617295999 /nfs/dbraw/zinc/29/59/99/617295999.db2.gz GZCFAYIOFWASOZ-NWDGAFQWSA-N 0 1 291.326 0.995 20 30 CCEDMN C#CC(=O)N1CC[C@H](C)[C@H](NC(=O)C(F)(F)F)[C@@H]1C ZINC000813635322 612945527 /nfs/dbraw/zinc/94/55/27/612945527.db2.gz PLSWDFZPVWBBSD-NRPADANISA-N 0 1 276.258 0.924 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2cccc3[nH]c(CO)nc32)CC1 ZINC000912971441 612951803 /nfs/dbraw/zinc/95/18/03/612951803.db2.gz MITNFXWQJUYTNH-UHFFFAOYSA-N 0 1 299.330 0.656 20 30 CCEDMN C#CC[NH2+]C1CCC(N(C)C(=O)c2[nH]nnc2C)CC1 ZINC000963778170 612955924 /nfs/dbraw/zinc/95/59/24/612955924.db2.gz DKLILUUXZCTXOQ-UHFFFAOYSA-N 0 1 275.356 0.719 20 30 CCEDMN C#CC1(O)CN(C(=O)NCc2nc3ccc(C)cc3[nH]2)C1 ZINC000893360854 612956086 /nfs/dbraw/zinc/95/60/86/612956086.db2.gz SKYGWXBVEVYVMV-UHFFFAOYSA-N 0 1 284.319 0.761 20 30 CCEDMN C#CC1(O)CN(C(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC000890522837 612958573 /nfs/dbraw/zinc/95/85/73/612958573.db2.gz GTURUDYISREXEB-UHFFFAOYSA-N 0 1 273.214 0.557 20 30 CCEDMN C#CC1CCN([C@H](C)C(=O)NC(=O)NCC)CC1 ZINC000830311571 612964947 /nfs/dbraw/zinc/96/49/47/612964947.db2.gz PMXAIUKJNIEHEP-SNVBAGLBSA-N 0 1 251.330 0.566 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913744294 612965480 /nfs/dbraw/zinc/96/54/80/612965480.db2.gz IPKISSYXUXNYRD-UHFFFAOYSA-N 0 1 261.329 0.955 20 30 CCEDMN C#CCC(CC#C)C(=O)N1CCC(N2CCOCC2)CC1 ZINC000898798575 612966429 /nfs/dbraw/zinc/96/64/29/612966429.db2.gz HMZHXDIKBPZOPP-UHFFFAOYSA-N 0 1 288.391 0.972 20 30 CCEDMN C#CC[NH2+][C@@H]1CCN(C(=O)c2n[n-]c3ccccc3c2=O)C1 ZINC000970150319 612968190 /nfs/dbraw/zinc/96/81/90/612968190.db2.gz ZPMZFIHUAOPJCE-LLVKDONJSA-N 0 1 296.330 0.773 20 30 CCEDMN C#CCC1(NC(=O)[C@H]2CCCc3n[nH]nc32)CCOCC1 ZINC000925422156 612970325 /nfs/dbraw/zinc/97/03/25/612970325.db2.gz UKYRKDUIOCGUJS-NSHDSACASA-N 0 1 288.351 0.913 20 30 CCEDMN C#CCC1(NS(=O)(=O)c2cc(C(=O)OC)no2)CCC1 ZINC000922045102 612971304 /nfs/dbraw/zinc/97/13/04/612971304.db2.gz XELKTNFFTNLJNL-UHFFFAOYSA-N 0 1 298.320 0.686 20 30 CCEDMN C#CCCCCCN1CCN(CC(=O)NCCOC)CC1 ZINC000877892411 612977007 /nfs/dbraw/zinc/97/70/07/612977007.db2.gz WGFGPYDPJDCTEC-UHFFFAOYSA-N 0 1 295.427 0.560 20 30 CCEDMN C#CCCCCNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000896966536 612977064 /nfs/dbraw/zinc/97/70/64/612977064.db2.gz QQBYAQLWXCJSBX-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCOCCN(CCC)[C@H]1CC(=O)N(C)C1=O ZINC000852018448 612982137 /nfs/dbraw/zinc/98/21/37/612982137.db2.gz MXKSEWTUEZVBSM-NSHDSACASA-N 0 1 252.314 0.106 20 30 CCEDMN C#CCOCCN1CCCN(C(=O)C(C)C)CC1 ZINC000851748885 612982521 /nfs/dbraw/zinc/98/25/21/612982521.db2.gz RLZHPYASRJMQPO-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN C#CCOCCN1CCC[C@@H](NC(=O)OCC)C1 ZINC000851729933 612983048 /nfs/dbraw/zinc/98/30/48/612983048.db2.gz SNDPWAAKXNNOBY-GFCCVEGCSA-N 0 1 254.330 0.847 20 30 CCEDMN C#CCOCCN1C[C@H](CC)O[C@@]2(CCOC2)C1 ZINC000852001859 612985054 /nfs/dbraw/zinc/98/50/54/612985054.db2.gz OZBKWNIQEHHVJA-KBPBESRZSA-N 0 1 253.342 0.906 20 30 CCEDMN C#CCOCCNC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000879457914 612988542 /nfs/dbraw/zinc/98/85/42/612988542.db2.gz BMMHGRVLVRGRGI-GWCFXTLKSA-N 0 1 261.325 0.842 20 30 CCEDMN C#CCO[N-]C(=O)[C@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000812782247 612992865 /nfs/dbraw/zinc/99/28/65/612992865.db2.gz TXMKFPYUHLGBLN-XQQFMLRXSA-N 0 1 268.357 0.801 20 30 CCEDMN C#CCO[N-]C(=O)[C@H](CC)[N@@H+]1CCO[C@H](CC)C1 ZINC000855606751 612993027 /nfs/dbraw/zinc/99/30/27/612993027.db2.gz PNCZPHOCAWKZGR-NEPJUHHUSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCO[N-]C(=O)[C@H](CC)[N@H+]1CCO[C@H](CC)C1 ZINC000855606751 612993029 /nfs/dbraw/zinc/99/30/29/612993029.db2.gz PNCZPHOCAWKZGR-NEPJUHHUSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccnc3n[nH]nc32)CC1 ZINC000981598316 613006222 /nfs/dbraw/zinc/00/62/22/613006222.db2.gz PJSYBQLKRLJENX-UHFFFAOYSA-N 0 1 298.350 0.524 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnn3ccncc23)CC1 ZINC000981343193 613006784 /nfs/dbraw/zinc/00/67/84/613006784.db2.gz VMGVEWQGIVUDNK-UHFFFAOYSA-N 0 1 297.362 0.901 20 30 CCEDMN C#CCOc1ccccc1CNC(=O)N1CCNCC1 ZINC000841821556 613007655 /nfs/dbraw/zinc/00/76/55/613007655.db2.gz HJBWCCOTEIBVNN-UHFFFAOYSA-N 0 1 273.336 0.813 20 30 CCEDMN C#CCOc1ccccc1CNC(=O)CCCc1nn[nH]n1 ZINC000820013038 613007827 /nfs/dbraw/zinc/00/78/27/613007827.db2.gz UGGCYOFYTJJPPU-UHFFFAOYSA-N 0 1 299.334 0.851 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000863784650 613019285 /nfs/dbraw/zinc/01/92/85/613019285.db2.gz PBAYLVVEOYWGAW-QWHCGFSZSA-N 0 1 284.425 0.968 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NC1CCN(CC#C)CC1 ZINC000923486064 613020743 /nfs/dbraw/zinc/02/07/43/613020743.db2.gz BLBDLYSVELNGNT-AWEZNQCLSA-N 0 1 291.395 0.812 20 30 CCEDMN C#CC[C@@H](NC(=O)C(F)(F)C(F)F)C(=O)OC ZINC000837945318 613039474 /nfs/dbraw/zinc/03/94/74/613039474.db2.gz ZVTDGSNJZUTYEM-RXMQYKEDSA-N 0 1 255.167 0.568 20 30 CCEDMN C#CCCOC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000859054912 613049212 /nfs/dbraw/zinc/04/92/12/613049212.db2.gz FXGYKYBVOPALCO-ZDUSSCGKSA-N 0 1 266.341 0.800 20 30 CCEDMN C#CCCOC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000919982122 613050709 /nfs/dbraw/zinc/05/07/09/613050709.db2.gz RJXQEBCPLYPFAX-NEPJUHHUSA-N 0 1 253.346 0.370 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@H]1CCCN1CCOC ZINC000808290645 613054394 /nfs/dbraw/zinc/05/43/94/613054394.db2.gz UOPWKGXJAPCNAK-GFCCVEGCSA-N 0 1 274.386 0.040 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000847158142 613058113 /nfs/dbraw/zinc/05/81/13/613058113.db2.gz BCNPBWSJXWQYOT-NXEZZACHSA-N 0 1 262.313 0.115 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)Cc1n[nH]c2c1CCCC2 ZINC000799079239 613060685 /nfs/dbraw/zinc/06/06/85/613060685.db2.gz LQMQYFFPDOPXIZ-NSHDSACASA-N 0 1 275.352 0.722 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000859036901 613062665 /nfs/dbraw/zinc/06/26/65/613062665.db2.gz FWUZDZDJPLYRJI-FRRDWIJNSA-N 0 1 267.373 0.759 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1cncc2nc[nH]c21 ZINC000897657598 613063985 /nfs/dbraw/zinc/06/39/85/613063985.db2.gz URHFUBAORWDBMU-VIFPVBQESA-N 0 1 258.281 0.462 20 30 CCEDMN C#CCN(C(=O)NCCCN1CCC[C@H]1C(N)=O)C(C)C ZINC000923403548 613066888 /nfs/dbraw/zinc/06/68/88/613066888.db2.gz DQPPGGIVLGZMAC-ZDUSSCGKSA-N 0 1 294.399 0.379 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)C(=O)NCc2cn[nH]c2C)C1 ZINC000834589869 613071597 /nfs/dbraw/zinc/07/15/97/613071597.db2.gz XIIKXPQUWAJQJU-LBPRGKRZSA-N 0 1 288.351 0.596 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)NCCN2CCCOCC2)C1 ZINC000889094031 613073333 /nfs/dbraw/zinc/07/33/33/613073333.db2.gz LRTCZSDQCUPXSE-AWEZNQCLSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H](C/C=C\C)C(=O)[O-] ZINC000909038233 613077116 /nfs/dbraw/zinc/07/71/16/613077116.db2.gz VENKSKPDOCMYGN-TXNVCOODSA-N 0 1 281.356 0.660 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000908437781 613078252 /nfs/dbraw/zinc/07/82/52/613078252.db2.gz SUIYLVYKUOOJDD-UHFFFAOYSA-N 0 1 288.351 0.745 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC000908437781 613078254 /nfs/dbraw/zinc/07/82/54/613078254.db2.gz SUIYLVYKUOOJDD-UHFFFAOYSA-N 0 1 288.351 0.745 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(=O)N2CCC[C@H](C)C2)C1 ZINC000972494777 613079618 /nfs/dbraw/zinc/07/96/18/613079618.db2.gz DYXMCYDFLFJGDX-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2cn(C)nc2C)C1 ZINC000972477543 613080589 /nfs/dbraw/zinc/08/05/89/613080589.db2.gz ZIQHABXPEUSWSO-OAHLLOKOSA-N 0 1 288.395 0.827 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2ccncn2)C1 ZINC000971619357 613080722 /nfs/dbraw/zinc/08/07/22/613080722.db2.gz MSGWPOVPMOXOPN-CQSZACIVSA-N 0 1 272.352 0.575 20 30 CCEDMN C#C[C@@H](C)NC(=O)NCc1nc(CSC)n[nH]1 ZINC000884276400 613081776 /nfs/dbraw/zinc/08/17/76/613081776.db2.gz OQDJKGSTHDUTJD-SSDOTTSWSA-N 0 1 253.331 0.489 20 30 CCEDMN C#C[C@@H](C)NC(=O)NCc1nnc(CSC)[nH]1 ZINC000884276400 613081778 /nfs/dbraw/zinc/08/17/78/613081778.db2.gz OQDJKGSTHDUTJD-SSDOTTSWSA-N 0 1 253.331 0.489 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2ncccc2F)C1 ZINC000972178319 613081871 /nfs/dbraw/zinc/08/18/71/613081871.db2.gz YNKNEXSMRFDOQV-GFCCVEGCSA-N 0 1 275.327 0.929 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2ccc(F)cn2)C1 ZINC000971811091 613082000 /nfs/dbraw/zinc/08/20/00/613082000.db2.gz OXIPJAFEPJHMAS-CQSZACIVSA-N 0 1 275.327 0.929 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cn2cc(C3CC3)cn2)C1 ZINC000971822000 613082010 /nfs/dbraw/zinc/08/20/10/613082010.db2.gz RNSIAUDQZIRZOT-OAHLLOKOSA-N 0 1 286.379 0.926 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCn3nccc32)C1 ZINC000971812907 613082921 /nfs/dbraw/zinc/08/29/21/613082921.db2.gz FQOZBXAKIQPTEE-ZIAGYGMSSA-N 0 1 286.379 0.926 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000847401275 613083776 /nfs/dbraw/zinc/08/37/76/613083776.db2.gz FDQPHGBFZYHUSA-UWVGGRQHSA-N 0 1 277.328 0.867 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)nn2C)C1 ZINC000971153825 613085141 /nfs/dbraw/zinc/08/51/41/613085141.db2.gz PPGKIAZSLQBLED-GFCCVEGCSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc3nncn3c2)C1 ZINC000972544654 613086373 /nfs/dbraw/zinc/08/63/73/613086373.db2.gz NKDSMDSTFYKLLO-CYBMUJFWSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(C)nc2Cl)C1 ZINC000972710512 613087296 /nfs/dbraw/zinc/08/72/96/613087296.db2.gz OVSIAAJUHAZNBE-SNVBAGLBSA-N 0 1 280.759 0.853 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn3c(n2)CCC3)C1 ZINC000971559783 613087351 /nfs/dbraw/zinc/08/73/51/613087351.db2.gz ZPVSWJTVMSNPSZ-GFCCVEGCSA-N 0 1 272.352 0.609 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CC[C@H]2CCOC2)C1 ZINC000971297049 613089512 /nfs/dbraw/zinc/08/95/12/613089512.db2.gz DAHFDLGMRODBNH-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2ccon2)C1 ZINC000971942692 613089737 /nfs/dbraw/zinc/08/97/37/613089737.db2.gz IHNDFSARAYBEIS-ZDUSSCGKSA-N 0 1 261.325 0.773 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2ccn(C)n2)C1 ZINC000971518486 613090960 /nfs/dbraw/zinc/09/09/60/613090960.db2.gz ZDDLUMQUNBUKEH-ZDUSSCGKSA-N 0 1 260.341 0.129 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cn2ccc(C)n2)C1 ZINC000972362420 613091037 /nfs/dbraw/zinc/09/10/37/613091037.db2.gz NOMYVDBZOPLINZ-ZDUSSCGKSA-N 0 1 260.341 0.357 20 30 CCEDMN C#C[C@@H](NC(=O)C1CN([C@@H]2CCOC2)C1)C1CCOCC1 ZINC000898978779 613091606 /nfs/dbraw/zinc/09/16/06/613091606.db2.gz YFSBNHAZNGWQRN-HUUCEWRRSA-N 0 1 292.379 0.252 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)Cc2cnn(C)c2)C1 ZINC000972637275 613092183 /nfs/dbraw/zinc/09/21/83/613092183.db2.gz JUOBULMNATVJSD-HIFRSBDPSA-N 0 1 288.395 0.765 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(OC)no2)C1 ZINC000971380063 613094391 /nfs/dbraw/zinc/09/43/91/613094391.db2.gz FCENRRNKAVIZIA-JTQLQIEISA-N 0 1 263.297 0.463 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cccc(C(N)=O)c2)C1 ZINC000971804555 613095259 /nfs/dbraw/zinc/09/52/59/613095259.db2.gz HHVGZUVTOLZBCZ-AWEZNQCLSA-N 0 1 285.347 0.565 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(CCC)nn2)C1 ZINC000972634210 613096180 /nfs/dbraw/zinc/09/61/80/613096180.db2.gz FIPDQFOLYPSIPT-LBPRGKRZSA-N 0 1 275.356 0.468 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc3cccnn32)C1 ZINC000972495133 613096389 /nfs/dbraw/zinc/09/63/89/613096389.db2.gz KWPKYVYSOWYTAT-LBPRGKRZSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnsn2)C1 ZINC000971137232 613096791 /nfs/dbraw/zinc/09/67/91/613096791.db2.gz IWXRBENRGAZNFU-VIFPVBQESA-N 0 1 250.327 0.318 20 30 CCEDMN C#CCN(C)c1nnc([C@@H]2CN3CCC[C@@H]3CO2)n1C ZINC000828243732 613097576 /nfs/dbraw/zinc/09/75/76/613097576.db2.gz WBMRHTJFNHJAPO-NEPJUHHUSA-N 0 1 275.356 0.420 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)[C@@H]1CCOC1 ZINC000922022933 613097996 /nfs/dbraw/zinc/09/79/96/613097996.db2.gz DWRHFRNKRVYEJD-CYBMUJFWSA-N 0 1 286.397 0.135 20 30 CCEDMN C#C[C@@H]([C@@H]1CCCO1)N1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000804178710 613101135 /nfs/dbraw/zinc/10/11/35/613101135.db2.gz VPNTUKMIBHBPHR-SRVKXCTJSA-N 0 1 288.307 0.053 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000898436850 613103577 /nfs/dbraw/zinc/10/35/77/613103577.db2.gz QKMAYOZQJMAFBN-TZMCWYRMSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN(CCC(=O)N1CCOCC1)C1CSC1 ZINC000878913597 613107040 /nfs/dbraw/zinc/10/70/40/613107040.db2.gz BNVKAHCUKNRVRN-UHFFFAOYSA-N 0 1 268.382 0.286 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC[C@@H](CCF)C2)C1=O ZINC000849181375 613109527 /nfs/dbraw/zinc/10/95/27/613109527.db2.gz MYGKXWLJPYRYKW-NWDGAFQWSA-N 0 1 266.316 0.819 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC[C@@H](CCF)C2)C1=O ZINC000849181376 613109540 /nfs/dbraw/zinc/10/95/40/613109540.db2.gz MYGKXWLJPYRYKW-RYUDHWBXSA-N 0 1 266.316 0.819 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CC[C@@]3(CC3(F)F)C2)C1=O ZINC000844274197 613109669 /nfs/dbraw/zinc/10/96/69/613109669.db2.gz OZKOMZDCCUPQMF-BXKDBHETSA-N 0 1 268.263 0.478 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000897963112 613111407 /nfs/dbraw/zinc/11/14/07/613111407.db2.gz SXWRMTQVYHRDDV-SECBINFHSA-N 0 1 256.265 0.432 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000854070443 613120368 /nfs/dbraw/zinc/12/03/68/613120368.db2.gz NLYQGEIGVKQLFB-MGPQQGTHSA-N 0 1 279.384 0.639 20 30 CCEDMN C#CCN1CC([C@@H](C)NC(=O)c2ccnc3n[nH]nc32)C1 ZINC000970122129 613120444 /nfs/dbraw/zinc/12/04/44/613120444.db2.gz ZLLDBTPFYOHNHY-SECBINFHSA-N 0 1 284.323 0.036 20 30 CCEDMN C#C[C@H](CO)NC(=O)CN(C)CCc1ccccc1 ZINC000823313682 613125050 /nfs/dbraw/zinc/12/50/50/613125050.db2.gz CDKAJCHTCCCICB-CQSZACIVSA-N 0 1 260.337 0.271 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCNC(=O)[C@H]2CC)CC1 ZINC000886510810 613128485 /nfs/dbraw/zinc/12/84/85/613128485.db2.gz ZURFLSBEOYCQQU-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H]3COC[C@@H]3C2)CC1 ZINC000856151831 613128962 /nfs/dbraw/zinc/12/89/62/613128962.db2.gz VMGRLGQIINWXIQ-CABCVRRESA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@H]3COCC[C@@H]32)CC1 ZINC000888773451 613128975 /nfs/dbraw/zinc/12/89/75/613128975.db2.gz JDEHYOLJEWHODZ-LSDHHAIUSA-N 0 1 292.379 0.348 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H]2C[C@@H]3COC[C@@H]3O2)CC1 ZINC000909008328 613131906 /nfs/dbraw/zinc/13/19/06/613131906.db2.gz RPMLXMAFFDKCOZ-ILXRZTDVSA-N 0 1 293.363 0.679 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C/[C@@H]2CCOC2)CC1 ZINC000928650483 613132477 /nfs/dbraw/zinc/13/24/77/613132477.db2.gz CRTZIJJZXRVVHD-ITDFMYJTSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)N2CCC[C@@H](C)C2)CC1 ZINC000928653986 613132542 /nfs/dbraw/zinc/13/25/42/613132542.db2.gz IZVAUIGVBXUZGC-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCn2cncn2)CC1 ZINC000928654737 613133073 /nfs/dbraw/zinc/13/30/73/613133073.db2.gz BBSGKLYANWEOGQ-UHFFFAOYSA-N 0 1 275.356 0.272 20 30 CCEDMN C#CCN1CCC(NC(=O)CC2(O)CCCC2)CC1 ZINC000928654776 613133080 /nfs/dbraw/zinc/13/30/80/613133080.db2.gz CYZWPHJFJGXSGH-UHFFFAOYSA-N 0 1 264.369 0.895 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cnc(C)o2)CC1 ZINC000928660035 613133535 /nfs/dbraw/zinc/13/35/35/613133535.db2.gz IXEMFRPONSXERZ-UHFFFAOYSA-N 0 1 261.325 0.739 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCCC(=O)N(C)C)CC1 ZINC000914360028 613134007 /nfs/dbraw/zinc/13/40/07/613134007.db2.gz DFNYUKOCCRQWLF-UHFFFAOYSA-N 0 1 294.399 0.252 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)c2ncnn2C)CC1 ZINC000922160345 613134980 /nfs/dbraw/zinc/13/49/80/613134980.db2.gz KSNTZIIZCWHHSR-NSHDSACASA-N 0 1 290.371 0.273 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2COC[C@@H]2C)CC1 ZINC000926028838 613135048 /nfs/dbraw/zinc/13/50/48/613135048.db2.gz FUOFYVHNDJYIAP-WCQYABFASA-N 0 1 265.357 0.418 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ccnn2C)CC1 ZINC000930806685 613135257 /nfs/dbraw/zinc/13/52/57/613135257.db2.gz XAXJNFSNUVOSDX-UHFFFAOYSA-N 0 1 261.329 0.639 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)[C@@H]2CCOC2)CC1 ZINC000920474291 613135435 /nfs/dbraw/zinc/13/54/35/613135435.db2.gz XOOWAYDOZCWIPB-CHWSQXEVSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2nnn(C)c2C)CC1 ZINC000931751798 613135486 /nfs/dbraw/zinc/13/54/86/613135486.db2.gz DYAVBPJKRPLABF-UHFFFAOYSA-N 0 1 276.344 0.343 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](NC(C)=O)C(C)C)CC1 ZINC000928658403 613135807 /nfs/dbraw/zinc/13/58/07/613135807.db2.gz JOHMPCRDZRPRPO-AWEZNQCLSA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](O)c2ccc(C#N)cc2)CC1 ZINC000928654005 613135824 /nfs/dbraw/zinc/13/58/24/613135824.db2.gz JSQIURJICUAGMJ-INIZCTEOSA-N 0 1 297.358 0.805 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C)C[C@@H]1CC)[C@@H]1CCCO1 ZINC000838560699 613136186 /nfs/dbraw/zinc/13/61/86/613136186.db2.gz ZBSYDCHZZAIUFE-IHRRRGAJSA-N 0 1 279.384 0.903 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@]2(O)CCSC2)CC1 ZINC000928654550 613136326 /nfs/dbraw/zinc/13/63/26/613136326.db2.gz HDZWJJBEYORBGZ-CYBMUJFWSA-N 0 1 268.382 0.068 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c[nH]c(=O)cc2OC)CC1 ZINC000830163035 613137576 /nfs/dbraw/zinc/13/75/76/613137576.db2.gz SYCSSYPUJXFXGC-UHFFFAOYSA-N 0 1 289.335 0.623 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@H](C)C#N)CCO2 ZINC000949051270 613140148 /nfs/dbraw/zinc/14/01/48/613140148.db2.gz CRLBZXDYDYRPEL-CYBMUJFWSA-N 0 1 275.352 0.473 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@]3(C)CCNC3=O)C2)C1 ZINC000982009079 613143225 /nfs/dbraw/zinc/14/32/25/613143225.db2.gz YUAZHWDIPSNMFP-OAHLLOKOSA-N 0 1 289.379 0.070 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H](C)Cc1cnc[nH]1)[C@H]1CCCO1 ZINC000847757893 613145253 /nfs/dbraw/zinc/14/52/53/613145253.db2.gz GARQSCOVXFRXIM-WCFLWFBJSA-N 0 1 261.325 0.885 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3nccnc3N)C2)C1 ZINC000981673760 613145646 /nfs/dbraw/zinc/14/56/46/613145646.db2.gz BPUVYXKERIWOQJ-UHFFFAOYSA-N 0 1 285.351 0.230 20 30 CCEDMN C#C[C@H](NC(=O)[C@H]1CCCN1C)C1CCOCC1 ZINC000856109274 613147158 /nfs/dbraw/zinc/14/71/58/613147158.db2.gz PBXQZAWYOOTGBC-QWHCGFSZSA-N 0 1 250.342 0.625 20 30 CCEDMN C#C[C@H](NC(=O)[C@]1(C)C[C@@H](O)CN1C)C(C)(C)C ZINC000908224677 613148067 /nfs/dbraw/zinc/14/80/67/613148067.db2.gz JOBSMPWNUDZAFE-SUNKGSAMSA-N 0 1 252.358 0.606 20 30 CCEDMN C#C[C@H](NC(=O)c1cccc2nc(CO)[nH]c21)[C@H]1CCCO1 ZINC000907485323 613149224 /nfs/dbraw/zinc/14/92/24/613149224.db2.gz FBBHHOCOSYXMCI-WCQYABFASA-N 0 1 299.330 0.966 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000890647660 613154157 /nfs/dbraw/zinc/15/41/57/613154157.db2.gz JGHUZGFHBMVAEF-NSHDSACASA-N 0 1 298.350 0.803 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N(C)CC(=O)OCC)C1 ZINC000847028416 613158684 /nfs/dbraw/zinc/15/86/84/613158684.db2.gz YAZAIHIGIUQQBN-LBPRGKRZSA-N 0 1 266.341 0.353 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](N(C)C(=O)c2n[nH]c(C)c2[O-])CC1 ZINC000948303890 613180170 /nfs/dbraw/zinc/18/01/70/613180170.db2.gz RYEDPJZUJLHXAU-LBPRGKRZSA-N 0 1 290.367 0.983 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](N(C)C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000948303890 613180172 /nfs/dbraw/zinc/18/01/72/613180172.db2.gz RYEDPJZUJLHXAU-LBPRGKRZSA-N 0 1 290.367 0.983 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](N(C)C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC000948303890 613180174 /nfs/dbraw/zinc/18/01/74/613180174.db2.gz RYEDPJZUJLHXAU-LBPRGKRZSA-N 0 1 290.367 0.983 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N(C)CC(C)(C)CNC(=O)[O-] ZINC000823450978 613194268 /nfs/dbraw/zinc/19/42/68/613194268.db2.gz LYWUWCDLZXIDOP-LBPRGKRZSA-N 0 1 295.383 0.836 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)CC(C)(C)CNC(=O)[O-] ZINC000823450978 613194269 /nfs/dbraw/zinc/19/42/69/613194269.db2.gz LYWUWCDLZXIDOP-LBPRGKRZSA-N 0 1 295.383 0.836 20 30 CCEDMN C#Cc1ccc(NC(=O)NCCN2CC[C@H](O)C2)cc1 ZINC000934923372 613195379 /nfs/dbraw/zinc/19/53/79/613195379.db2.gz XIRVYZLAUCGRIH-AWEZNQCLSA-N 0 1 273.336 0.856 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@@]3(CC3(F)F)C2)CC1 ZINC000844472363 613205317 /nfs/dbraw/zinc/20/53/17/613205317.db2.gz XCLDCSPQXXXWFD-CQSZACIVSA-N 0 1 297.349 0.495 20 30 CCEDMN C#Cc1cnc(N2CCN(C[C@@H](C)O)C[C@@H]2C)nc1 ZINC000827944210 613209885 /nfs/dbraw/zinc/20/98/85/613209885.db2.gz BUEKMQLTMMAXHL-NWDGAFQWSA-N 0 1 260.341 0.349 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@@H]2CN(C)CCN2C)c1 ZINC000806835670 613217397 /nfs/dbraw/zinc/21/73/97/613217397.db2.gz OPIZHKGQHRBASC-CQSZACIVSA-N 0 1 272.352 0.039 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@H](C)N2CCN(C)CC2)c1 ZINC000798171385 613217786 /nfs/dbraw/zinc/21/77/86/613217786.db2.gz YUARICPNXJVORN-ZDUSSCGKSA-N 0 1 286.379 0.429 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC000968557885 613220866 /nfs/dbraw/zinc/22/08/66/613220866.db2.gz PABRNGSPYGYWIX-TZMCWYRMSA-N 0 1 287.363 0.459 20 30 CCEDMN C1CC(N=NC2=N[C@@H]3CCCC[C@@H]3N2)[C@]2(CCOC2)O1 ZINC000901207171 613225389 /nfs/dbraw/zinc/22/53/89/613225389.db2.gz OQQSKEYQHSEHAB-UHIISALHSA-N 0 1 278.356 0.782 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C(C)(C)C1 ZINC000941008950 613229991 /nfs/dbraw/zinc/22/99/91/613229991.db2.gz VUYPVHFLIWNELI-WBMJQRKESA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn(CC)n2)[C@H](C)C1 ZINC000943302095 613238610 /nfs/dbraw/zinc/23/86/10/613238610.db2.gz FAMYCQRYLGYURI-CHWSQXEVSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnnc2)[C@@H](C)C1 ZINC000942958584 613239470 /nfs/dbraw/zinc/23/94/70/613239470.db2.gz GARRJRCWOYVLIF-WCQYABFASA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)nc2C)[C@@H](C)C1 ZINC000941889876 613239971 /nfs/dbraw/zinc/23/99/71/613239971.db2.gz CDRPPJUOIIMIED-SMDDNHRTSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc(OC)nc2)C[C@H]1C ZINC000947891448 613240432 /nfs/dbraw/zinc/24/04/32/613240432.db2.gz QVZPYFLJZSVFIV-DGCLKSJQSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3cc[nH]c23)[C@H](C)C1 ZINC000944319495 613242330 /nfs/dbraw/zinc/24/23/30/613242330.db2.gz XIVVGDZSZDVOBO-DGCLKSJQSA-N 0 1 285.351 0.736 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC000972072231 613242993 /nfs/dbraw/zinc/24/29/93/613242993.db2.gz HEMVGBCCHBZUKF-RYUDHWBXSA-N 0 1 281.400 0.995 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000938239712 613243106 /nfs/dbraw/zinc/24/31/06/613243106.db2.gz NYVRDSSUFNBHNO-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2n[nH]cc2C)C[C@@H]1C ZINC000947290581 613243599 /nfs/dbraw/zinc/24/35/99/613243599.db2.gz HTRZIDNNEDJFDM-NWDGAFQWSA-N 0 1 260.341 0.934 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nnn(C)c2C)[C@H](C)C1 ZINC000941914737 613244409 /nfs/dbraw/zinc/24/44/09/613244409.db2.gz XMAHDBUZRASCPC-ZYHUDNBSSA-N 0 1 275.356 0.197 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC000957295958 613245046 /nfs/dbraw/zinc/24/50/46/613245046.db2.gz ADDXBVUGLLDLSI-ZDUSSCGKSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968626607 613247167 /nfs/dbraw/zinc/24/71/67/613247167.db2.gz BLLGMSPOLCQLSJ-KBPBESRZSA-N 0 1 287.363 0.308 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cn2nccc2C)C1 ZINC000968368956 613247307 /nfs/dbraw/zinc/24/73/07/613247307.db2.gz BLONMNCGBWCJPP-JSGCOSHPSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000669 613252328 /nfs/dbraw/zinc/25/23/28/613252328.db2.gz QRUMWVLHNMPBOU-CMPLNLGQSA-N 0 1 275.356 0.115 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CC(=O)N(CC)C2)CC1 ZINC000948619613 613259389 /nfs/dbraw/zinc/25/93/89/613259389.db2.gz OBOWEHOLIBROBY-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)o2)[C@H](C)C1 ZINC000942405851 613268398 /nfs/dbraw/zinc/26/83/98/613268398.db2.gz IFQNZHXYGUSZNE-MNOVXSKESA-N 0 1 289.335 0.452 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccn3nnnc23)C[C@@H]1C ZINC000947346524 613271306 /nfs/dbraw/zinc/27/13/06/613271306.db2.gz AEYOMXOLFZHLMO-RYUDHWBXSA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3ccncc23)[C@@H](C)C1 ZINC000942129683 613275632 /nfs/dbraw/zinc/27/56/32/613275632.db2.gz KOIYTXKCLYTRKC-JSGCOSHPSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2OC)[C@H](C)C1 ZINC000944348273 613275988 /nfs/dbraw/zinc/27/59/88/613275988.db2.gz UKMCGRWKPVVHIK-YPMHNXCESA-N 0 1 290.367 0.502 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2coc(OC)n2)C[C@H]1C ZINC000947576059 613276428 /nfs/dbraw/zinc/27/64/28/613276428.db2.gz GYYZEBRSBLGRFJ-MNOVXSKESA-N 0 1 277.324 0.899 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC2OCCCO2)C(C)(C)C1 ZINC000974505521 613280288 /nfs/dbraw/zinc/28/02/88/613280288.db2.gz HPNPXCWXEDTLKL-GFCCVEGCSA-N 0 1 280.368 0.599 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2ccccc2=O)C(C)(C)C1 ZINC000977566510 613280868 /nfs/dbraw/zinc/28/08/68/613280868.db2.gz LEAVHBCSYTXGBQ-CYBMUJFWSA-N 0 1 287.363 0.308 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC000958740975 613285800 /nfs/dbraw/zinc/28/58/00/613285800.db2.gz YDWCGNASLVUKQG-FYFPFLJLSA-N 0 1 288.391 0.321 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc(OC)c2)C1 ZINC000958614770 613286591 /nfs/dbraw/zinc/28/65/91/613286591.db2.gz XXHDZFZQTKADSU-DZGCQCFKSA-N 0 1 288.347 0.351 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c(C)cccc2C)[C@H](O)C1 ZINC000958419185 613288234 /nfs/dbraw/zinc/28/82/34/613288234.db2.gz YWLLJOBVSLJXCC-HUUCEWRRSA-N 0 1 286.375 0.959 20 30 CCEDMN C=C(C)C[N@H+](C)[C@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000971870421 613292563 /nfs/dbraw/zinc/29/25/63/613292563.db2.gz QIFHGUCPVIBLRJ-VIFPVBQESA-N 0 1 293.327 0.005 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2coc(C(F)F)c2)C1 ZINC000958356671 613296280 /nfs/dbraw/zinc/29/62/80/613296280.db2.gz QQSRRBRIIBZVFR-QWRGUYRKSA-N 0 1 298.289 0.873 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ccnn2C)C(C)(C)C1 ZINC000974497483 613296977 /nfs/dbraw/zinc/29/69/77/613296977.db2.gz DVRZYQCGFVDVKJ-ZDUSSCGKSA-N 0 1 274.368 0.422 20 30 CCEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cn(CC)nn2)C1 ZINC000970660285 613300823 /nfs/dbraw/zinc/30/08/23/613300823.db2.gz PDXKSQDYJLIOIH-LLVKDONJSA-N 0 1 277.372 0.924 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ocnc2C(F)F)C1 ZINC000958395478 613304480 /nfs/dbraw/zinc/30/44/80/613304480.db2.gz VIBUSYMPNSEXSB-BDAKNGLRSA-N 0 1 299.277 0.268 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2sc(C)nc2C)C1 ZINC000958658236 613304736 /nfs/dbraw/zinc/30/47/36/613304736.db2.gz WWCSAGYRFZUPPJ-NEPJUHHUSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(c2cc(C)no2)CC1 ZINC000961563989 613313354 /nfs/dbraw/zinc/31/33/54/613313354.db2.gz DTEMRNJZJXPACS-IMRBUKKESA-N 0 1 285.347 0.694 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1c(C)noc1C ZINC000962535567 613314533 /nfs/dbraw/zinc/31/45/33/613314533.db2.gz NPKCRIOIJIRLNP-NHAGDIPZSA-N 0 1 273.336 0.514 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc(Cl)cn1 ZINC000961918781 613314969 /nfs/dbraw/zinc/31/49/69/613314969.db2.gz CCJIWIFDBJXBGJ-PJXYFTJBSA-N 0 1 278.743 0.216 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1Cc2ccccc2CO1 ZINC000962434404 613316344 /nfs/dbraw/zinc/31/63/44/613316344.db2.gz CLFOXLXHEQCGCG-VVLHAWIVSA-N 0 1 296.370 0.808 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1COc2ccccc21 ZINC000963036584 613316696 /nfs/dbraw/zinc/31/66/96/613316696.db2.gz QKNMLHDJHFGBCJ-KNCOVGOOSA-N 0 1 282.343 0.842 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C(C)C)n(C)n1 ZINC000958816093 613317962 /nfs/dbraw/zinc/31/79/62/613317962.db2.gz HMNLYDBQQYVHLS-JYAVWHMHSA-N 0 1 286.379 0.837 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(=O)[nH]1 ZINC000958483437 613319853 /nfs/dbraw/zinc/31/98/53/613319853.db2.gz OSFNEZBDBSZBBM-IWIIMEHWSA-N 0 1 257.293 0.080 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnc1N(C)C ZINC000962544761 613320112 /nfs/dbraw/zinc/32/01/12/613320112.db2.gz MHZOIYCBHQNLHM-WDNDVIMCSA-N 0 1 284.363 0.441 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2[nH]cnc21 ZINC000962126602 613320134 /nfs/dbraw/zinc/32/01/34/613320134.db2.gz ZFDPHNOAMOCSLR-IMRBUKKESA-N 0 1 280.331 0.856 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnn1CC(F)F ZINC000959885684 613320858 /nfs/dbraw/zinc/32/08/58/613320858.db2.gz ARQAXEFFFGXTTD-IWIIMEHWSA-N 0 1 294.305 0.441 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2cc[nH]c2c1 ZINC000960482499 613321477 /nfs/dbraw/zinc/32/14/77/613321477.db2.gz AFYUZWIBPJUGKC-JYAVWHMHSA-N 0 1 280.331 0.856 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2nccnc2C)CC1 ZINC000857013619 613323497 /nfs/dbraw/zinc/32/34/97/613323497.db2.gz XTZRKWVROOSJJN-UHFFFAOYSA-N 0 1 272.352 0.746 20 30 CCEDMN C#CCNC(=O)CN(C)[C@H]1C[C@H](OC)C12CCC2 ZINC000832204406 613327713 /nfs/dbraw/zinc/32/77/13/613327713.db2.gz LLWFKSWOKKPEDT-RYUDHWBXSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@](C)(C(=O)OC(C)(C)C)C1 ZINC000839101873 613329899 /nfs/dbraw/zinc/32/98/99/613329899.db2.gz PVRWVGYYSXBWDK-OAHLLOKOSA-N 0 1 280.368 0.790 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](c2cnn(C)c2)C(C)(C)C1 ZINC000846929191 613330556 /nfs/dbraw/zinc/33/05/56/613330556.db2.gz RWBJISVRVOXJSZ-ZDUSSCGKSA-N 0 1 274.368 0.595 20 30 CCEDMN C#CCNC(=O)CNC1(c2cccc(-c3nn[nH]n3)c2)CC1 ZINC000823849953 613330733 /nfs/dbraw/zinc/33/07/33/613330733.db2.gz DWJHARYHWIOZLT-UHFFFAOYSA-N 0 1 296.334 0.195 20 30 CCEDMN C#CCNC(=O)CN[C@H](C)c1ccc(-c2nn[nH]n2)cc1 ZINC000823850007 613331135 /nfs/dbraw/zinc/33/11/35/613331135.db2.gz GTVMIWUDUPANID-SNVBAGLBSA-N 0 1 284.323 0.267 20 30 CCEDMN C=C(C)COCCNC(=O)N1CCN(C)CCN(C)CC1 ZINC000893694124 613351966 /nfs/dbraw/zinc/35/19/66/613351966.db2.gz NYZMLYUCTKQEQO-UHFFFAOYSA-N 0 1 298.431 0.468 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000882899918 613354436 /nfs/dbraw/zinc/35/44/36/613354436.db2.gz ZJLQNZKXHMJFLM-QMMMGPOBSA-N 0 1 296.289 0.887 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)NCc1cc(C)[nH]n1 ZINC000883434016 613354762 /nfs/dbraw/zinc/35/47/62/613354762.db2.gz DVJAKYSVRNNUGE-NSHDSACASA-N 0 1 252.318 0.844 20 30 CCEDMN C=C(C)C[C@H](NC(=O)c1[nH]ncc1F)C(=O)OC ZINC000913661765 613359124 /nfs/dbraw/zinc/35/91/24/613359124.db2.gz VELPQKCWXMZVIT-QMMMGPOBSA-N 0 1 255.249 0.786 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)[C@@]1(C)C[C@@H](O)CN1C ZINC000907949738 613361922 /nfs/dbraw/zinc/36/19/22/613361922.db2.gz ZFYJRAYEPHCWFS-GUIRCDHDSA-N 0 1 282.384 0.539 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NC[C@@H]1CCN1C ZINC000922323840 613361938 /nfs/dbraw/zinc/36/19/38/613361938.db2.gz JIDKONPMKLPWSD-AGIUHOORSA-N 0 1 267.373 0.971 20 30 CCEDMN C=C(Cl)CN1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC000957131757 613374754 /nfs/dbraw/zinc/37/47/54/613374754.db2.gz VZJUQJSTOKMFJS-UHFFFAOYSA-N 0 1 269.736 0.244 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)C3=COCCO3)[C@@H]2C1 ZINC000977719887 613381700 /nfs/dbraw/zinc/38/17/00/613381700.db2.gz QJZRLNOFJJVSPV-CNDDSTCGSA-N 0 1 298.770 0.921 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N1CC(=O)Nc2cnccc21 ZINC000876746383 613389183 /nfs/dbraw/zinc/38/91/83/613389183.db2.gz LFGJAPAZOOPMGO-QMMMGPOBSA-N 0 1 280.715 0.837 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)NC[C@@H](C)S(C)(=O)=O ZINC000876981138 613389846 /nfs/dbraw/zinc/38/98/46/613389846.db2.gz NCPXEUISOMTGPT-SFYZADRCSA-N 0 1 268.766 0.006 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H](N(C)[C@H](C)C(N)=O)C2)C1 ZINC000972277118 613393817 /nfs/dbraw/zinc/39/38/17/613393817.db2.gz XFHPFVGDZIOYIB-VXGBXAGGSA-N 0 1 279.384 0.749 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N(C)C[C@H]1CCN(C(C)=O)C1 ZINC000878301191 613396275 /nfs/dbraw/zinc/39/62/75/613396275.db2.gz LWCDQIMPEVIJQT-OLZOCXBDSA-N 0 1 268.357 0.904 20 30 CCEDMN C=CC[C@H](C)N1C[C@H]2[C@@H](C1)[C@H](OC)CS2(=O)=O ZINC000878956226 613413775 /nfs/dbraw/zinc/41/37/75/613413775.db2.gz MTQOYJFOVPOAPT-YFKTTZPYSA-N 0 1 259.371 0.695 20 30 CCEDMN C=CC[C@H](CO)NS(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872472845 613418686 /nfs/dbraw/zinc/41/86/86/613418686.db2.gz VABVCAKKVCZRRE-VVVCHXIZSA-N 0 1 298.430 0.654 20 30 CCEDMN C=CC[C@H](NC(=O)NC[C@H]1COCCN1)c1ccncc1 ZINC000861580974 613418706 /nfs/dbraw/zinc/41/87/06/613418706.db2.gz DNCDPEFWEALBQJ-KBPBESRZSA-N 0 1 290.367 0.986 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000900627456 613445256 /nfs/dbraw/zinc/44/52/56/613445256.db2.gz XZPOVRSLNQFZBI-SECBINFHSA-N 0 1 265.317 0.702 20 30 CCEDMN C=CCC1(O)CCN(C(=O)CN2CCN(CC)CC2)CC1 ZINC000899589760 613457918 /nfs/dbraw/zinc/45/79/18/613457918.db2.gz DRVSQUXZXKWDFW-UHFFFAOYSA-N 0 1 295.427 0.554 20 30 CCEDMN C=CCC1(O)CCN(C(=O)NCc2n[nH]c(CC)n2)CC1 ZINC000889112195 613458352 /nfs/dbraw/zinc/45/83/52/613458352.db2.gz YWMBESIPVKFCCE-UHFFFAOYSA-N 0 1 293.371 0.980 20 30 CCEDMN C=CCC1(O)CCN(C(=O)[C@]2(C)C[C@@H](O)CN2C)CC1 ZINC000924440398 613459394 /nfs/dbraw/zinc/45/93/94/613459394.db2.gz SHCLLUQWAZVUTC-OCCSQVGLSA-N 0 1 282.384 0.371 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NC[C@H]1CCN1C ZINC000922952451 613469324 /nfs/dbraw/zinc/46/93/24/613469324.db2.gz BXRQXBRPKHVLCY-TUAOUCFPSA-N 0 1 253.346 0.581 20 30 CCEDMN C=CCCC[C@@H](NC(=O)Cc1nnc[nH]1)C(=O)OC ZINC000928322136 613470706 /nfs/dbraw/zinc/47/07/06/613470706.db2.gz BACJLQQZMMUXMU-SECBINFHSA-N 0 1 266.301 0.361 20 30 CCEDMN C=C[C@H](C)ONC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000845634984 613487473 /nfs/dbraw/zinc/48/74/73/613487473.db2.gz CZHWMJRZKFQICU-RYUDHWBXSA-N 0 1 270.377 0.428 20 30 CCEDMN C=CCCN1CCN(C(=O)CCc2cnn(C)n2)CC1 ZINC000950541873 613503128 /nfs/dbraw/zinc/50/31/28/613503128.db2.gz XXTFUJYLZONFRL-UHFFFAOYSA-N 0 1 277.372 0.468 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@@H]2CCC(=O)NC2)CC1 ZINC000948723438 613503905 /nfs/dbraw/zinc/50/39/05/613503905.db2.gz PPIZQLCDAFYUGO-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC000970931105 613530425 /nfs/dbraw/zinc/53/04/25/613530425.db2.gz ISYWTNSVQXZSKR-CHWSQXEVSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cccn(C)c2=O)C1 ZINC000970757530 613534292 /nfs/dbraw/zinc/53/42/92/613534292.db2.gz QXIKLSJSYPZSTH-GFCCVEGCSA-N 0 1 287.363 0.459 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)C2=COCCO2)C1 ZINC000969247189 613535355 /nfs/dbraw/zinc/53/53/55/613535355.db2.gz VCYHRJJXHVPGPO-NSHDSACASA-N 0 1 264.325 0.334 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CCCO2)C1 ZINC000969261882 613536794 /nfs/dbraw/zinc/53/67/94/613536794.db2.gz XGJZKHSXEZNXIN-WCQYABFASA-N 0 1 250.342 0.625 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3nc[nH]n3)C2)C1 ZINC000982075434 613544306 /nfs/dbraw/zinc/54/43/06/613544306.db2.gz IXYBSETWAANBDW-UHFFFAOYSA-N 0 1 273.340 0.366 20 30 CCEDMN C=CCC[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000957985332 613553558 /nfs/dbraw/zinc/55/35/58/613553558.db2.gz GCDDQKDYXSWPDD-QWRGUYRKSA-N 0 1 294.355 0.022 20 30 CCEDMN CC#CC[N@@H+]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000981026389 613556994 /nfs/dbraw/zinc/55/69/94/613556994.db2.gz DKLOWTXVIRGHEW-UHFFFAOYSA-N 0 1 273.336 0.958 20 30 CCEDMN CC#CC[N@H+]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000981026389 613556996 /nfs/dbraw/zinc/55/69/96/613556996.db2.gz DKLOWTXVIRGHEW-UHFFFAOYSA-N 0 1 273.336 0.958 20 30 CCEDMN C=CCCOCC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907474443 613571269 /nfs/dbraw/zinc/57/12/69/613571269.db2.gz KJDZPRYCCZDCBQ-SECBINFHSA-N 0 1 283.357 0.409 20 30 CCEDMN C=CCCOCC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833373801 613571597 /nfs/dbraw/zinc/57/15/97/613571597.db2.gz DIYDGWOWCMTVGF-GFCCVEGCSA-N 0 1 284.356 0.587 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2nc[nH]n2)C1 ZINC000968520195 613571606 /nfs/dbraw/zinc/57/16/06/613571606.db2.gz DKOHENDZWGOOKT-NEPJUHHUSA-N 0 1 275.356 0.197 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC000965001303 613571865 /nfs/dbraw/zinc/57/18/65/613571865.db2.gz PZTONZASTGQLPH-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2cccn2)C1 ZINC000965147983 613572835 /nfs/dbraw/zinc/57/28/35/613572835.db2.gz HACIZEKGUPWTHO-KGLIPLIRSA-N 0 1 274.368 0.733 20 30 CCEDMN C=CCCOCCNC(=O)[C@]1(C)C[C@H](O)CN1C ZINC000901212135 613575558 /nfs/dbraw/zinc/57/55/58/613575558.db2.gz AJAUNCHXJCEKKL-AAEUAGOBSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000896567661 613579612 /nfs/dbraw/zinc/57/96/12/613579612.db2.gz HNHDBZPLHWFZOM-MGPQQGTHSA-N 0 1 283.416 0.718 20 30 CCEDMN C=CCC[C@@H](O)Cn1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881406164 613586371 /nfs/dbraw/zinc/58/63/71/613586371.db2.gz HDWQNMZDRFDBHM-SECBINFHSA-N 0 1 292.261 0.784 20 30 CCEDMN C=CCC[C@H]1NC(=O)N(C[C@H]2CCN2CC)C1=O ZINC000925099866 613592215 /nfs/dbraw/zinc/59/22/15/613592215.db2.gz YXQWNYZYHRQYTR-GHMZBOCLSA-N 0 1 251.330 0.967 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2cnn[n-]2)C1 ZINC000967154220 613592553 /nfs/dbraw/zinc/59/25/53/613592553.db2.gz IHACGYJOMWRBEM-JQWIXIFHSA-N 0 1 261.329 0.268 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)CN2CCCC2=O)C1 ZINC000965001304 613592787 /nfs/dbraw/zinc/59/27/87/613592787.db2.gz PZTONZASTGQLPH-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(CC)n[nH]2)C1 ZINC000957893353 613613164 /nfs/dbraw/zinc/61/31/64/613613164.db2.gz BDUASZWCFDTIOW-SMDDNHRTSA-N 0 1 290.367 0.018 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2nocc2C)C1 ZINC000958571453 613613650 /nfs/dbraw/zinc/61/36/50/613613650.db2.gz XILNZWZLOZHHIV-NWDGAFQWSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccc(F)cn2)[C@H](O)C1 ZINC000958000426 613614953 /nfs/dbraw/zinc/61/49/53/613614953.db2.gz WOAJCFQDWCYEFE-BXUZGUMPSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc(C)sn2)[C@H](O)C1 ZINC000958014703 613615035 /nfs/dbraw/zinc/61/50/35/613615035.db2.gz ICAYJWBJJPTHKS-DGCLKSJQSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2oc(C)cc2C)[C@H](O)C1 ZINC000957938714 613616377 /nfs/dbraw/zinc/61/63/77/613616377.db2.gz ADLAUCMBGWFYFR-ZIAGYGMSSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cnoc2CC)C1 ZINC000958445109 613619225 /nfs/dbraw/zinc/61/92/25/613619225.db2.gz VBKGBHCJYJMTGE-AAEUAGOBSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)c1cnn(C)c1 ZINC000963197655 613635008 /nfs/dbraw/zinc/63/50/08/613635008.db2.gz XCXHDTCZXFMDRB-SPWCGHHHSA-N 0 1 286.379 0.593 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC000959914053 613636652 /nfs/dbraw/zinc/63/66/52/613636652.db2.gz NJLKYPMBCMCAIL-UYHMYPTGSA-N 0 1 298.390 0.899 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(OC)o1 ZINC000962130277 613640219 /nfs/dbraw/zinc/64/02/19/613640219.db2.gz MMQQLJUSZTWAIR-YABSGUDNSA-N 0 1 274.320 0.972 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(=O)[nH]c1 ZINC000962449097 613640334 /nfs/dbraw/zinc/64/03/34/613640334.db2.gz KINSEYQINYOHMO-IMRBUKKESA-N 0 1 271.320 0.471 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(N(C)C)nn1 ZINC000962325700 613640787 /nfs/dbraw/zinc/64/07/87/613640787.db2.gz RKHAUXCMVLDJIA-JYAVWHMHSA-N 0 1 299.378 0.226 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccsn1 ZINC000960499165 613640972 /nfs/dbraw/zinc/64/09/72/613640972.db2.gz NQEFGDRVEOIILD-IAZYJMLFSA-N 0 1 261.350 0.826 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc2[nH]cnc21 ZINC000960131562 613641534 /nfs/dbraw/zinc/64/15/34/613641534.db2.gz KVAXGXMBMGFNSF-ITGUQSILSA-N 0 1 295.346 0.641 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)CCc1c[nH]nn1 ZINC000866990078 613644071 /nfs/dbraw/zinc/64/40/71/613644071.db2.gz NNCWLHIEDODXHT-UHFFFAOYSA-N 0 1 266.301 0.315 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)CCc1cnn[nH]1 ZINC000866990078 613644072 /nfs/dbraw/zinc/64/40/72/613644072.db2.gz NNCWLHIEDODXHT-UHFFFAOYSA-N 0 1 266.301 0.315 20 30 CCEDMN C=CCN(CCOC)C(=O)[C@@]1(C)C[C@@H](O)CN1C ZINC000907700238 613650051 /nfs/dbraw/zinc/65/00/51/613650051.db2.gz UPGIEBIFQSNNOT-DGCLKSJQSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CCN(CCOC)C(=O)[C@]1(C)C[C@H](O)CN1C ZINC000907700236 613650099 /nfs/dbraw/zinc/65/00/99/613650099.db2.gz UPGIEBIFQSNNOT-AAEUAGOBSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCN(C(N)=O)C2)C1 ZINC000970096137 613662369 /nfs/dbraw/zinc/66/23/69/613662369.db2.gz MYJWDJUERBAYPQ-VXGBXAGGSA-N 0 1 294.399 0.400 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccnn2CCOC)C1 ZINC000969927350 613666566 /nfs/dbraw/zinc/66/65/66/613666566.db2.gz UYBFZENECLKICD-GFCCVEGCSA-N 0 1 292.383 0.766 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC000970412610 613669668 /nfs/dbraw/zinc/66/96/68/613669668.db2.gz PMUNONYFWPOWIN-WCQYABFASA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC000969870567 613670098 /nfs/dbraw/zinc/67/00/98/613670098.db2.gz YJLHKTBOJQNESK-GVXVVHGQSA-N 0 1 268.357 0.413 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2c(C)ncn2C)C1 ZINC000969899109 613670229 /nfs/dbraw/zinc/67/02/29/613670229.db2.gz ZJRDIOFWGNHIGO-JTQLQIEISA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cncc(C(N)=O)c2)C1 ZINC000970895981 613673081 /nfs/dbraw/zinc/67/30/81/613673081.db2.gz QYORSWSRFCPLIK-JTQLQIEISA-N 0 1 288.351 0.417 20 30 CCEDMN CC(=O)N1c2ccccc2C[C@H]1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834493957 613684475 /nfs/dbraw/zinc/68/44/75/613684475.db2.gz JGZDFZOSPRSVBN-GUTXKFCHSA-N 0 1 298.346 0.192 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2COCCO2)CC1 ZINC000980737514 613694730 /nfs/dbraw/zinc/69/47/30/613694730.db2.gz IMVFWNIEDVGMLL-GFCCVEGCSA-N 0 1 254.330 0.122 20 30 CCEDMN C=CCN1CCN(CN2CCC[C@@H]2COC)C1=O ZINC000840027902 613720131 /nfs/dbraw/zinc/72/01/31/613720131.db2.gz XDTIVLXCCIJCOV-GFCCVEGCSA-N 0 1 253.346 0.978 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000964877446 613729676 /nfs/dbraw/zinc/72/96/76/613729676.db2.gz UGZSYEFFAJPXFH-OCCSQVGLSA-N 0 1 275.352 0.946 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967726450 613731367 /nfs/dbraw/zinc/73/13/67/613731367.db2.gz VMLJPHWNSCBJFK-MNOVXSKESA-N 0 1 278.356 0.752 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)CN2C(=O)CCC2=O)C1 ZINC000968631609 613733026 /nfs/dbraw/zinc/73/30/26/613733026.db2.gz HRQXEXHHZHOQGL-VXGBXAGGSA-N 0 1 293.367 0.148 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](O)C2(O)CCCC2)C1=O ZINC000930310494 613738528 /nfs/dbraw/zinc/73/85/28/613738528.db2.gz GXTYVJCVSGPYGA-OLZOCXBDSA-N 0 1 282.384 0.371 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@@H](O)CC(C)(C)C2)C1=O ZINC000879624006 613739894 /nfs/dbraw/zinc/73/98/94/613739894.db2.gz KOBBOBFGSQOAMB-NWDGAFQWSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965990735 613767684 /nfs/dbraw/zinc/76/76/84/613767684.db2.gz PHTBHZKXJDMFIT-JQWIXIFHSA-N 0 1 278.356 0.933 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC000966750407 613768783 /nfs/dbraw/zinc/76/87/83/613768783.db2.gz HUMMOULICMDAKH-AAEUAGOBSA-N 0 1 290.367 0.902 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965954223 613771571 /nfs/dbraw/zinc/77/15/71/613771571.db2.gz XHPVJYGLQNYJFJ-SMDDNHRTSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000843 613772465 /nfs/dbraw/zinc/77/24/65/613772465.db2.gz SUSVORMZZDAQDW-CMPLNLGQSA-N 0 1 277.372 0.668 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC000968084917 613772727 /nfs/dbraw/zinc/77/27/27/613772727.db2.gz ZMEIMEHQPSSVBF-CMPLNLGQSA-N 0 1 276.340 0.396 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC000965924318 613774545 /nfs/dbraw/zinc/77/45/45/613774545.db2.gz BCTOHUQXCVLBLV-CMPLNLGQSA-N 0 1 277.372 0.629 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@@H]2CCN(C(C)=O)C2)C1=O ZINC000970917112 613777311 /nfs/dbraw/zinc/77/73/11/613777311.db2.gz WMMCCOBWLPCLTR-OLZOCXBDSA-N 0 1 265.357 0.326 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C[C@@H]1C ZINC000947506953 613801271 /nfs/dbraw/zinc/80/12/71/613801271.db2.gz UNGVOABXPDYIGP-IUCAKERBSA-N 0 1 293.327 0.052 20 30 CCEDMN C=CCN1C[C@H](O)[C@H](CNC(=O)c2cc(OCC)no2)C1 ZINC000958267077 613831173 /nfs/dbraw/zinc/83/11/73/613831173.db2.gz INIGDSYBEKBOEL-MNOVXSKESA-N 0 1 295.339 0.282 20 30 CCEDMN C=CCNC(=O)CN1CCC([C@]2(C)COC(=O)N2)CC1 ZINC000932093413 613845217 /nfs/dbraw/zinc/84/52/17/613845217.db2.gz ZERRNOOYKBVHCM-AWEZNQCLSA-N 0 1 281.356 0.499 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC000825687601 613851762 /nfs/dbraw/zinc/85/17/62/613851762.db2.gz QOMSMVXKQVXKKN-ZANVPECISA-N 0 1 283.328 0.183 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](C(=O)OCC)C1 ZINC000932650974 613855255 /nfs/dbraw/zinc/85/52/55/613855255.db2.gz XLJRQCDOKHHEDM-QWRGUYRKSA-N 0 1 254.330 0.562 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N(C[C@H]2CC[N@@H+]2CC)C1=O ZINC000925095165 613882938 /nfs/dbraw/zinc/88/29/38/613882938.db2.gz GZFAKFNMUDDOLQ-GHMZBOCLSA-N 0 1 267.329 0.204 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N(C[C@H]2CCN2CC)C1=O ZINC000925095165 613882939 /nfs/dbraw/zinc/88/29/39/613882939.db2.gz GZFAKFNMUDDOLQ-GHMZBOCLSA-N 0 1 267.329 0.204 20 30 CCEDMN C=CCONC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000838709594 613883375 /nfs/dbraw/zinc/88/33/75/613883375.db2.gz DNNYPMKMUMOGNK-NSHDSACASA-N 0 1 271.361 0.591 20 30 CCEDMN C=CCO[N-]C(=O)[C@]1(O)CC[N@@H+](Cc2ccccc2)C1 ZINC000837046006 613884551 /nfs/dbraw/zinc/88/45/51/613884551.db2.gz DBUORWWAROCBFC-HNNXBMFYSA-N 0 1 276.336 0.857 20 30 CCEDMN CC(=O)NC[C@H]1CN(Cc2cccc(C#N)c2)C[C@@H]1O ZINC000957779957 613930757 /nfs/dbraw/zinc/93/07/57/613930757.db2.gz IGAQMWSUPWLBRR-GJZGRUSLSA-N 0 1 273.336 0.487 20 30 CCEDMN CC(C)NC(=O)[C@H]1CCCN(CC(=O)NCCC#N)C1 ZINC000852698381 613936286 /nfs/dbraw/zinc/93/62/86/613936286.db2.gz JGLDLDUNJCPEGZ-LBPRGKRZSA-N 0 1 280.372 0.253 20 30 CCEDMN CC(C)NCc1cn(CC(=O)N[C@](C)(C#N)C2CC2)nn1 ZINC000905785633 613960473 /nfs/dbraw/zinc/96/04/73/613960473.db2.gz OQPFLQLSRFYJLQ-CQSZACIVSA-N 0 1 290.371 0.585 20 30 CCEDMN CC(C)(C)OC(=O)N1CC[C@H](NCCC#N)[C@H](O)C1 ZINC000872225308 613979372 /nfs/dbraw/zinc/97/93/72/613979372.db2.gz HEJFQHLSVYAMAI-WDEREUQCSA-N 0 1 269.345 0.860 20 30 CCEDMN CC(C)(C)c1noc(CCC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000834520965 614058625 /nfs/dbraw/zinc/05/86/25/614058625.db2.gz AOKOTUZINCBOQF-UWVGGRQHSA-N 0 1 291.355 0.527 20 30 CCEDMN CC(C)[C@H](NCC(=O)NCCC#N)[C@H](O)C(F)(F)F ZINC000852034963 614248716 /nfs/dbraw/zinc/24/87/16/614248716.db2.gz HJKLPUVLDDXHKD-UWVGGRQHSA-N 0 1 281.278 0.554 20 30 CCEDMN CC(C)n1ncc(C(=O)NCC#CCN(C)C)c1N ZINC000913522046 614369432 /nfs/dbraw/zinc/36/94/32/614369432.db2.gz XQNFAUNOWHVBJM-UHFFFAOYSA-N 0 1 263.345 0.341 20 30 CCEDMN CC1(C(N)=O)CN(C[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000829054048 614497796 /nfs/dbraw/zinc/49/77/96/614497796.db2.gz MQAAPFQFBCOWPZ-GFCCVEGCSA-N 0 1 259.309 0.399 20 30 CCEDMN CCC1(CC)CNC(=O)[C@H]1N[C@H](C)C(=O)N(C)CCC#N ZINC000933293240 614689493 /nfs/dbraw/zinc/68/94/93/614689493.db2.gz MASSCZLYNDAXNO-VXGBXAGGSA-N 0 1 294.399 0.641 20 30 CCEDMN CCN(C(=O)c1ccn[nH]1)[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000942679988 614724037 /nfs/dbraw/zinc/72/40/37/614724037.db2.gz RGBYKXZSQQCLAJ-WDEREUQCSA-N 0 1 289.339 0.632 20 30 CCEDMN CCCC(=O)N1CCCC[C@@H]1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834485563 614742234 /nfs/dbraw/zinc/74/22/34/614742234.db2.gz YNSIRZYSSXTXFQ-RWMBFGLXSA-N 0 1 292.383 0.395 20 30 CCEDMN CCCC(=O)N1CCC[C@H](C(=O)N[C@@H]2CNC[C@H]2C#N)C1 ZINC000834488621 614765165 /nfs/dbraw/zinc/76/51/65/614765165.db2.gz DZAYZBPDWXZIII-YNEHKIRRSA-N 0 1 292.383 0.253 20 30 CCEDMN CCCCCCCS(=O)(=O)NC[C@@]1(O)CCN(C)C1 ZINC000799798767 614903710 /nfs/dbraw/zinc/90/37/10/614903710.db2.gz YXOBUAFCUGTDOK-ZDUSSCGKSA-N 0 1 292.445 0.943 20 30 CCEDMN CCN(CC1CC[NH+](CC(=O)NCCC#N)CC1)C(=O)[O-] ZINC000827092639 615020345 /nfs/dbraw/zinc/02/03/45/615020345.db2.gz FZDKBKRNIUZINN-UHFFFAOYSA-N 0 1 296.371 0.728 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000818202624 615021175 /nfs/dbraw/zinc/02/11/75/615021175.db2.gz KXSUPWFDYLGJBA-GFCCVEGCSA-N 0 1 281.356 0.935 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@H](C)c1ccnn1C ZINC000879684122 615022634 /nfs/dbraw/zinc/02/26/34/615022634.db2.gz FXEHVWRFTYEJDH-LLVKDONJSA-N 0 1 263.345 0.833 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC000829641784 615061538 /nfs/dbraw/zinc/06/15/38/615061538.db2.gz AGMTZIGMZNRFLF-SMDDNHRTSA-N 0 1 281.356 0.791 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000827019617 615061607 /nfs/dbraw/zinc/06/16/07/615061607.db2.gz AQMWNXLCGYUKIY-RYUDHWBXSA-N 0 1 296.371 0.727 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000827019617 615061609 /nfs/dbraw/zinc/06/16/09/615061609.db2.gz AQMWNXLCGYUKIY-RYUDHWBXSA-N 0 1 296.371 0.727 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@H]1C(=O)NCC1(C)C ZINC000931661154 615064716 /nfs/dbraw/zinc/06/47/16/615064716.db2.gz WAMPJRUJLMJHLZ-PWSUYJOCSA-N 0 1 280.372 0.109 20 30 CCEDMN CCN1CCC(NS(=O)(=O)CC(C)(C)C#N)CC1 ZINC000913908883 615101505 /nfs/dbraw/zinc/10/15/05/615101505.db2.gz KMHOHPUZWNOUQY-UHFFFAOYSA-N 0 1 273.402 0.940 20 30 CCEDMN CCN1CCC[C@@H]1CNS(=O)(=O)c1ccc(C#N)cn1 ZINC000906799100 615133439 /nfs/dbraw/zinc/13/34/39/615133439.db2.gz QELNCQOKNOEXCV-GFCCVEGCSA-N 0 1 294.380 0.716 20 30 CCEDMN CC[N@@H+]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@H]1C ZINC000820353950 615151976 /nfs/dbraw/zinc/15/19/76/615151976.db2.gz IWRNCYBRTDUGSL-ZWNOBZJWSA-N 0 1 267.329 0.544 20 30 CCEDMN CC[N@H+]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@H]1C ZINC000820353950 615151977 /nfs/dbraw/zinc/15/19/77/615151977.db2.gz IWRNCYBRTDUGSL-ZWNOBZJWSA-N 0 1 267.329 0.544 20 30 CCEDMN CCOC(=O)CC[C@H](O)CNCc1nc(C#N)cs1 ZINC000905655929 615183502 /nfs/dbraw/zinc/18/35/02/615183502.db2.gz TWVZIGVGPQUHJM-JTQLQIEISA-N 0 1 283.353 0.809 20 30 CCEDMN CCOC1CC2(C[C@@H]2C(=O)C(C#N)C(=O)NCCOC)C1 ZINC000870139131 615222159 /nfs/dbraw/zinc/22/21/59/615222159.db2.gz RMQMLHODHCXFST-XWAURUHHSA-N 0 1 294.351 0.663 20 30 CCEDMN CCOCCCCCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000870940396 615239859 /nfs/dbraw/zinc/23/98/59/615239859.db2.gz IQCDFNHGZZDGJU-RYUDHWBXSA-N 0 1 253.346 0.811 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)Cc1ccc(C#N)nc1 ZINC000882495648 615244237 /nfs/dbraw/zinc/24/42/37/615244237.db2.gz JHOBJQPGVZPEBI-CYBMUJFWSA-N 0 1 258.325 0.706 20 30 CCEDMN CCOC(=O)[C@@H]1CCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000932647974 615293245 /nfs/dbraw/zinc/29/32/45/615293245.db2.gz BLXSOKUVLJFXHE-VXGBXAGGSA-N 0 1 281.356 0.632 20 30 CCEDMN CCO[C@@H](C(=O)C(C#N)C(=O)NCCCOC)C1CC1 ZINC000860462379 615398894 /nfs/dbraw/zinc/39/88/94/615398894.db2.gz UGTDTMSLZJAVLR-DGCLKSJQSA-N 0 1 282.340 0.663 20 30 CCEDMN CCO[C@H]1[C@H](C(=O)NC2(C#N)CCN(C)CC2)[C@@H]1C ZINC000906599719 615455796 /nfs/dbraw/zinc/45/57/96/615455796.db2.gz GOUWRQOXRUDDON-QJPTWQEYSA-N 0 1 265.357 0.762 20 30 CCEDMN CCNC(=O)CCN1CCN(c2ccc(C#N)nc2)CC1 ZINC000801554004 615463107 /nfs/dbraw/zinc/46/31/07/615463107.db2.gz NKOQPYRIKFCRIS-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NCCCOC)C1CC1 ZINC000860462381 615476692 /nfs/dbraw/zinc/47/66/92/615476692.db2.gz UGTDTMSLZJAVLR-YPMHNXCESA-N 0 1 282.340 0.663 20 30 CCEDMN CCc1c[nH]c(=O)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000852827182 615710499 /nfs/dbraw/zinc/71/04/99/615710499.db2.gz CLGCWHQJCSQRCN-ONGXEEELSA-N 0 1 260.297 0.191 20 30 CCEDMN CC[C@H](O)CNN=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000811655981 615830364 /nfs/dbraw/zinc/83/03/64/615830364.db2.gz CELTXUUEQBETNH-VIFPVBQESA-N 0 1 253.258 0.995 20 30 CCEDMN CN(C)CC#CCNC(=O)CSc1nncs1 ZINC000913517536 615858251 /nfs/dbraw/zinc/85/82/51/615858251.db2.gz FQZGAMSSRIFPBO-UHFFFAOYSA-N 0 1 270.383 0.311 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)c1ccc(F)cc1 ZINC000913514114 615858219 /nfs/dbraw/zinc/85/82/19/615858219.db2.gz FJOSQUKLGCEIAZ-UHFFFAOYSA-N 0 1 291.326 0.237 20 30 CCEDMN CN(C)CC#CCNC(=O)COc1ccc(O)cc1 ZINC000913519326 615858326 /nfs/dbraw/zinc/85/83/26/615858326.db2.gz WFQNLYQLHIFLKS-UHFFFAOYSA-N 0 1 262.309 0.452 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccn(C)c(=O)c1 ZINC000931640150 615859160 /nfs/dbraw/zinc/85/91/60/615859160.db2.gz SFTXMRPLYZVPGZ-UHFFFAOYSA-N 0 1 262.313 0.072 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1C[C@H]1OC(C)(C)C ZINC000913523783 615859442 /nfs/dbraw/zinc/85/94/42/615859442.db2.gz MCGULZGRCVJBGC-VXGBXAGGSA-N 0 1 252.358 0.871 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1C[C@]1(C)Br ZINC000913524518 615859505 /nfs/dbraw/zinc/85/95/05/615859505.db2.gz PNCBRKRSWRJWIW-ONGXEEELSA-N 0 1 273.174 0.841 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@]1(C2CCCC2)CCNC1=O ZINC000913524480 615860317 /nfs/dbraw/zinc/86/03/17/615860317.db2.gz OCPYACJHEOTUJA-MRXNPFEDSA-N 0 1 291.395 0.364 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(-n2cccn2)ccn1 ZINC000913519144 615860367 /nfs/dbraw/zinc/86/03/67/615860367.db2.gz PGSMJFJTXPHATQ-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C)nc1-c1cccnc1 ZINC000913517539 615861714 /nfs/dbraw/zinc/86/17/14/615861714.db2.gz FVIWNAOSVQDZKT-UHFFFAOYSA-N 0 1 297.362 0.777 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncc(F)cc1F ZINC000913522011 615862014 /nfs/dbraw/zinc/86/20/14/615862014.db2.gz WOVCWZCFZKNAAD-UHFFFAOYSA-N 0 1 253.252 0.655 20 30 CCEDMN CN(C)CC(=O)N(C)C[C@H](O)c1cccc(C#N)c1 ZINC000846341203 615863226 /nfs/dbraw/zinc/86/32/26/615863226.db2.gz NVAPNSMXCIXHGL-ZDUSSCGKSA-N 0 1 261.325 0.612 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)C1CN(C(=O)C#CC2CC2)C1 ZINC000953771332 615898499 /nfs/dbraw/zinc/89/84/99/615898499.db2.gz JGDGNQCHTULOQY-UHFFFAOYSA-N 0 1 272.308 0.106 20 30 CCEDMN CCn1c2ccc(C(=O)N[C@H]3CNC[C@@H]3C#N)cc2[nH]c1=O ZINC000852827960 615923493 /nfs/dbraw/zinc/92/34/93/615923493.db2.gz QTZJWQAFKAOKEH-JQWIXIFHSA-N 0 1 299.334 0.603 20 30 CCEDMN CCn1cc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)nn1 ZINC000901206407 615962967 /nfs/dbraw/zinc/96/29/67/615962967.db2.gz KUYOWWDUSRLYGC-PHIMTYICSA-N 0 1 261.333 0.492 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN1CCN(CCO)CC1 ZINC000876509769 616011876 /nfs/dbraw/zinc/01/18/76/616011876.db2.gz JHXDTEDGGQGONS-UHFFFAOYSA-N 0 1 288.395 0.734 20 30 CCEDMN C[N@@H+](Cc1n[nH]c(=O)[n-]1)C[C@@H](O)c1cccc(C#N)c1 ZINC000844365801 616102855 /nfs/dbraw/zinc/10/28/55/616102855.db2.gz IMWWEEVPSBWDMT-LLVKDONJSA-N 0 1 273.296 0.547 20 30 CCEDMN C[N@H+](Cc1n[nH]c(=O)[n-]1)C[C@@H](O)c1cccc(C#N)c1 ZINC000844365801 616102857 /nfs/dbraw/zinc/10/28/57/616102857.db2.gz IMWWEEVPSBWDMT-LLVKDONJSA-N 0 1 273.296 0.547 20 30 CCEDMN CN([C@@H]1CCN(C(=O)C#CC2CC2)C1)[C@H]1CCCNC1=O ZINC000971510013 616115901 /nfs/dbraw/zinc/11/59/01/616115901.db2.gz ZVXVOKPXHQKVDQ-KGLIPLIRSA-N 0 1 289.379 0.211 20 30 CCEDMN CN1c2ccc(C(=O)N[C@H]3CNC[C@@H]3C#N)cc2CCC1=O ZINC000834485411 616133904 /nfs/dbraw/zinc/13/39/04/616133904.db2.gz WHKFQYGGOUVKIX-STQMWFEESA-N 0 1 298.346 0.437 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)NCc1nc(C#N)cs1 ZINC000897269703 616163109 /nfs/dbraw/zinc/16/31/09/616163109.db2.gz NEGGFVYHUFXIEW-UHFFFAOYSA-N 0 1 279.369 0.718 20 30 CCEDMN CN1CCC(C#N)(NC(=O)/C=C/[C@H]2CCCO2)CC1 ZINC000819250093 616165556 /nfs/dbraw/zinc/16/55/56/616165556.db2.gz AAYFFWKVSTWNEE-ZYOFXKKJSA-N 0 1 263.341 0.826 20 30 CCEDMN CN1CCC(NS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000906811988 616174607 /nfs/dbraw/zinc/17/46/07/616174607.db2.gz ASKZSVITMSJRLA-UHFFFAOYSA-N 0 1 280.353 0.326 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1Cc2ccccc2[C@H]1NCC#N ZINC000960254097 616194347 /nfs/dbraw/zinc/19/43/47/616194347.db2.gz FJHIHFYXXMTZTI-BZUAXINKSA-N 0 1 298.390 0.976 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CC2(C1)CCCN(CC#N)C2 ZINC000981665464 616208967 /nfs/dbraw/zinc/20/89/67/616208967.db2.gz CSNLLTSBUGZLSF-ZDUSSCGKSA-N 0 1 276.384 0.529 20 30 CCEDMN CN1CCN(Cc2ccc(OCC#N)cc2)[C@H](CO)C1 ZINC000879002674 616245180 /nfs/dbraw/zinc/24/51/80/616245180.db2.gz LDDUXWFUEYLGLT-AWEZNQCLSA-N 0 1 275.352 0.697 20 30 CCEDMN C[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820620727 616343435 /nfs/dbraw/zinc/34/34/35/616343435.db2.gz RGYSHXKMMOIQEB-LALPHHSUSA-N 0 1 293.367 0.934 20 30 CCEDMN COC(=O)C1(C#N)CCN(Cc2[nH]ncc2C)CC1 ZINC000886231528 616345103 /nfs/dbraw/zinc/34/51/03/616345103.db2.gz FUSBFGGWRFTBSV-UHFFFAOYSA-N 0 1 262.313 0.997 20 30 CCEDMN CN1C[C@H]2CC[C@@H](C1)N2CC(=O)N(CCC#N)CCC#N ZINC000931396010 616370190 /nfs/dbraw/zinc/37/01/90/616370190.db2.gz HSABLWUMUICOTI-OKILXGFUSA-N 0 1 289.383 0.421 20 30 CCEDMN COC(=O)C1=NO[C@@]2(CCN(CCOCCC#N)C2)C1 ZINC000879490673 616435654 /nfs/dbraw/zinc/43/56/54/616435654.db2.gz UOBMPFCKFDCSAD-ZDUSSCGKSA-N 0 1 281.312 0.310 20 30 CCEDMN CN1C[C@H](O)C[C@@H]1CNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000924977771 616460647 /nfs/dbraw/zinc/46/06/47/616460647.db2.gz GMYHPLPYEQVGIS-NXEZZACHSA-N 0 1 295.289 0.631 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCc1cccc(C#N)c1 ZINC000900127623 616477366 /nfs/dbraw/zinc/47/73/66/616477366.db2.gz OIXVLRULNLXRBK-HIFRSBDPSA-N 0 1 273.336 0.630 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1CCC1(C)C ZINC000850757379 616491723 /nfs/dbraw/zinc/49/17/23/616491723.db2.gz JSWGYFGRXIJIBE-UWVGGRQHSA-N 0 1 252.314 0.894 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)[C@@H]1CCN1C1CCCC1 ZINC000825374295 616545329 /nfs/dbraw/zinc/54/53/29/616545329.db2.gz JBPGSFSSSPEENV-ZDUSSCGKSA-N 0 1 293.367 0.919 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)c1cc(C2CC2)[nH]n1 ZINC000871339499 616546480 /nfs/dbraw/zinc/54/64/80/616546480.db2.gz DNTJXZPZFIQVKX-UHFFFAOYSA-N 0 1 276.296 0.816 20 30 CCEDMN CO[C@@H]1CCN(C(=O)[C@@H]2NCCc3cc(C#N)ccc32)C1 ZINC000876670297 616649559 /nfs/dbraw/zinc/64/95/59/616649559.db2.gz JIQPAPALENVNSC-UKRRQHHQSA-N 0 1 285.347 0.992 20 30 CCEDMN COCCn1c(=O)cc(O)nc1S[C@H](C)C#N ZINC000901656308 616714350 /nfs/dbraw/zinc/71/43/50/616714350.db2.gz YGMSNQXSNMMWOG-SSDOTTSWSA-N 0 1 255.299 0.599 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](C)N(C[C@H](O)CC#N)[C@@H](C)C1 ZINC000931338439 616722711 /nfs/dbraw/zinc/72/27/11/616722711.db2.gz QTRWJYUVUCHYJW-WRWGMCAJSA-N 0 1 254.330 0.923 20 30 CCEDMN COC(=O)N1CCC(C(=O)C(C#N)C(=O)NC(C)C)CC1 ZINC000850760621 616819386 /nfs/dbraw/zinc/81/93/86/616819386.db2.gz AWCROZCINLJOKT-NSHDSACASA-N 0 1 295.339 0.698 20 30 CCEDMN COC(=O)c1cc(C(=O)NC2=NO[C@@H](C)C2)c[nH]1 ZINC000815885367 616822211 /nfs/dbraw/zinc/82/22/11/616822211.db2.gz LALSENLSZGUESD-LURJTMIESA-N 0 1 251.242 0.653 20 30 CCEDMN CNC(=O)C(C#N)C(=O)CCS(=O)(=O)C1CCCC1 ZINC000917001648 616837522 /nfs/dbraw/zinc/83/75/22/616837522.db2.gz DQTXLFAGXWIYML-SNVBAGLBSA-N 0 1 286.353 0.189 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H](C)[C@@H](C)COC ZINC000813058340 616901471 /nfs/dbraw/zinc/90/14/71/616901471.db2.gz ICQVCDGDFACKNP-SDDRHHMPSA-N 0 1 284.356 0.767 20 30 CCEDMN COC(=O)c1cccc(CC(=O)NCC#CCN(C)C)c1 ZINC000913520775 616925945 /nfs/dbraw/zinc/92/59/45/616925945.db2.gz YCPLEPWZNLAMAZ-UHFFFAOYSA-N 0 1 288.347 0.697 20 30 CCEDMN COC(=O)Nc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834485496 616936094 /nfs/dbraw/zinc/93/60/94/616936094.db2.gz XRPAOLDUDOQLOV-ZYHUDNBSSA-N 0 1 288.307 0.706 20 30 CCEDMN COCCN(C)c1ccc(C=NN(C)C[C@@H](C)O)cn1 ZINC000841649415 616971806 /nfs/dbraw/zinc/97/18/06/616971806.db2.gz HMHXUQAWQSQCHM-GFCCVEGCSA-N 0 1 280.372 0.811 20 30 CCEDMN COCCN(CC#N)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000822283431 616973562 /nfs/dbraw/zinc/97/35/62/616973562.db2.gz NOYUYFINDQGMRQ-UHFFFAOYSA-N 0 1 294.355 0.373 20 30 CCEDMN CNS(=O)(=O)c1ccc(CCNCCC#N)cc1 ZINC000872235108 617038080 /nfs/dbraw/zinc/03/80/80/617038080.db2.gz OMQHLSHGAVXILN-UHFFFAOYSA-N 0 1 267.354 0.640 20 30 CCEDMN CON=CC(=O)N1CCN(C(=O)c2cc(C)[nH]n2)C[C@H]1C ZINC000837263110 617103913 /nfs/dbraw/zinc/10/39/13/617103913.db2.gz OXMSCJUYAWVSMT-SNVBAGLBSA-N 0 1 293.327 0.023 20 30 CCEDMN CON=Cc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000843463449 617106738 /nfs/dbraw/zinc/10/67/38/617106738.db2.gz WMBJCPBRILSJNH-OLZOCXBDSA-N 0 1 272.308 0.508 20 30 CCEDMN COc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)ccc1C ZINC000834510913 617167355 /nfs/dbraw/zinc/16/73/55/617167355.db2.gz HESVOAQKPUZGGU-RYUDHWBXSA-N 0 1 259.309 0.845 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)[C@H]1CCCO1 ZINC001026025038 625323074 /nfs/dbraw/zinc/32/30/74/625323074.db2.gz PZEQFJXGZFHUSE-VQJWOFKYSA-N 0 1 276.380 0.871 20 30 CCEDMN C[C@@H]1CN(C[C@H](C#N)CCC#N)C[C@H](C)N1CCO ZINC000930645202 625398431 /nfs/dbraw/zinc/39/84/31/625398431.db2.gz NMOPRGNVWXRMPF-MJBXVCDLSA-N 0 1 264.373 0.817 20 30 CCEDMN CCn1ncc(CNC[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001026732325 625573699 /nfs/dbraw/zinc/57/36/99/625573699.db2.gz IDELTXHLLQCSPA-VXGBXAGGSA-N 0 1 290.371 0.396 20 30 CCEDMN C=CC[C@@H]1CCN1[C@@H](C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000934469167 622712358 /nfs/dbraw/zinc/71/23/58/622712358.db2.gz POWSUICOEVENGH-RWMBFGLXSA-N 0 1 279.384 0.749 20 30 CCEDMN C=CC[C@@H]1CCN1CC(=O)N(C)CC(=O)OCC ZINC000934469506 622712567 /nfs/dbraw/zinc/71/25/67/622712567.db2.gz UWDZGFVTQHEWLN-LLVKDONJSA-N 0 1 254.330 0.658 20 30 CCEDMN C=CC[C@@H]1CCN1CC(=O)NC(=O)N[C@@H](C)COC ZINC000934472558 622712727 /nfs/dbraw/zinc/71/27/27/622712727.db2.gz RZKUWLCOPFCZHZ-WDEREUQCSA-N 0 1 269.345 0.498 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@]2(C1)CN(CC1CC1)CCO2 ZINC000972297418 622748749 /nfs/dbraw/zinc/74/87/49/622748749.db2.gz IXEJBZYSYRVFCL-IUODEOHRSA-N 0 1 277.368 0.859 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CC[C@@H](N(C)CC#N)C1 ZINC000972516226 622921719 /nfs/dbraw/zinc/92/17/19/622921719.db2.gz KEADQMLXENAFSZ-DGCLKSJQSA-N 0 1 275.356 0.645 20 30 CCEDMN Cc1cc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)n(C)n1 ZINC000841633423 622963635 /nfs/dbraw/zinc/96/36/35/622963635.db2.gz OQFLSIJWRLTKOK-VXGBXAGGSA-N 0 1 260.345 0.922 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2c(C)nnn2CC)C1 ZINC001023411417 623025435 /nfs/dbraw/zinc/02/54/35/623025435.db2.gz FDJZTCJYFVSVQO-ZDUSSCGKSA-N 0 1 289.383 0.681 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2ncccn2)C1 ZINC001023663409 623099147 /nfs/dbraw/zinc/09/91/47/623099147.db2.gz YFJVKQCGOZJIJF-GFCCVEGCSA-N 0 1 258.325 0.552 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1COCCO1 ZINC001024340048 623292244 /nfs/dbraw/zinc/29/22/44/623292244.db2.gz TTWVIJRTEBZTOV-QWHCGFSZSA-N 0 1 268.357 0.559 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC001024363703 623294959 /nfs/dbraw/zinc/29/49/59/623294959.db2.gz ICTFWZXCJGSEFE-VXGBXAGGSA-N 0 1 265.357 0.422 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC001024363702 623296762 /nfs/dbraw/zinc/29/67/62/623296762.db2.gz ICTFWZXCJGSEFE-NWDGAFQWSA-N 0 1 265.357 0.422 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@@H]1CCCCN1CC#N ZINC001024365681 623301800 /nfs/dbraw/zinc/30/18/00/623301800.db2.gz FOUBMBMYWBVTQQ-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1COCCN1C ZINC001024392610 623316235 /nfs/dbraw/zinc/31/62/35/623316235.db2.gz UDDOCDRIJKIKIU-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1COC(=O)N1 ZINC001024422382 623318524 /nfs/dbraw/zinc/31/85/24/623318524.db2.gz OKIGSJBUVIZKKD-WDEREUQCSA-N 0 1 267.329 0.252 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001024490284 623335513 /nfs/dbraw/zinc/33/55/13/623335513.db2.gz KZLYAUGZNDAOKY-IHRRRGAJSA-N 0 1 282.384 0.947 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cn(C)c(=O)cn1 ZINC001024563536 623368350 /nfs/dbraw/zinc/36/83/50/623368350.db2.gz BVEUXHJANIFPET-GFCCVEGCSA-N 0 1 290.367 0.551 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1CN(C)C(=O)N1 ZINC001024595624 623387592 /nfs/dbraw/zinc/38/75/92/623387592.db2.gz KOSDOAXRRRDKMS-NWDGAFQWSA-N 0 1 280.372 0.167 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001024614766 623398268 /nfs/dbraw/zinc/39/82/68/623398268.db2.gz ASNXXYSKMIRYKC-GFCCVEGCSA-N 0 1 288.351 0.708 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001024646465 623407886 /nfs/dbraw/zinc/40/78/86/623407886.db2.gz YTFRBAQDVCZNFF-KGLIPLIRSA-N 0 1 291.395 0.649 20 30 CCEDMN C[C@@H]1CN2CCCC[C@@H]2CN1C(=O)NCC#CCO ZINC000923780189 623418335 /nfs/dbraw/zinc/41/83/35/623418335.db2.gz HYRUHAQQEUBVQR-CHWSQXEVSA-N 0 1 265.357 0.250 20 30 CCEDMN C=CCOCC(=O)OCCCN1CCO[C@H](C)C1 ZINC000893390286 625652360 /nfs/dbraw/zinc/65/23/60/625652360.db2.gz ROHSHHIZLSYXCH-GFCCVEGCSA-N 0 1 257.330 0.843 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cn(C)nc2C)C1 ZINC001006938098 623598084 /nfs/dbraw/zinc/59/80/84/623598084.db2.gz KBFYDLHODHMDFF-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCNC1=O ZINC001017612022 623615293 /nfs/dbraw/zinc/61/52/93/623615293.db2.gz DRMIPCSHLWKFMP-MELADBBJSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]([C@H](C)NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001025384862 623742232 /nfs/dbraw/zinc/74/22/32/623742232.db2.gz KXVGBXXFZWJWTP-JQWIXIFHSA-N 0 1 290.367 0.887 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]([C@H](C)NC(=O)c2cccnn2)C1 ZINC001025572506 623833617 /nfs/dbraw/zinc/83/36/17/623833617.db2.gz DTEYVELQHOMLJT-STQMWFEESA-N 0 1 272.352 0.940 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)C1(C(=O)[O-])CCC1 ZINC000328320021 623877446 /nfs/dbraw/zinc/87/74/46/623877446.db2.gz YWAJKFGIZLOYEJ-UHFFFAOYSA-N 0 1 252.314 0.313 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCO[C@@H]1CC ZINC001025810154 623885323 /nfs/dbraw/zinc/88/53/23/623885323.db2.gz BNSFKXZKQMGFEZ-VQJWOFKYSA-N 0 1 276.380 0.871 20 30 CCEDMN C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCOC[C@@H]1OC ZINC001025811859 623886796 /nfs/dbraw/zinc/88/67/96/623886796.db2.gz XCCWFOZPXPDYDZ-JHZZJYKESA-N 0 1 294.395 0.660 20 30 CCEDMN N#CCN1CCN(C(=O)c2ccn(-c3ccncc3)n2)CC1 ZINC000949246136 624465989 /nfs/dbraw/zinc/46/59/89/624465989.db2.gz DXWASJHJFAQZPE-UHFFFAOYSA-N 0 1 296.334 0.549 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001020894638 624621628 /nfs/dbraw/zinc/62/16/28/624621628.db2.gz XBSWOEGVAJAOMN-DCAQKATOSA-N 0 1 273.340 0.275 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCN(CC#N)[C@@H](C)C2)[nH]n1 ZINC000947194346 624815413 /nfs/dbraw/zinc/81/54/13/624815413.db2.gz AGAGWKAEGIZCDH-RYUDHWBXSA-N 0 1 275.356 0.753 20 30 CCEDMN C#C[C@H]1CCCN([C@H](C)C(=O)NCCCOC)C1 ZINC000847024842 624846724 /nfs/dbraw/zinc/84/67/24/624846724.db2.gz UAWLFJZRSDREQN-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)CN2CC[C@H]2CO)cc1 ZINC000934027984 625006251 /nfs/dbraw/zinc/00/62/51/625006251.db2.gz WONSPDQQKLLSBS-QWHCGFSZSA-N 0 1 262.309 0.365 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnn(C)n2)C1 ZINC001008848910 625730363 /nfs/dbraw/zinc/73/03/63/625730363.db2.gz MYUKFXNQNHPPHR-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC001009168252 625772031 /nfs/dbraw/zinc/77/20/31/625772031.db2.gz LREOBPTUSUTFHP-STQMWFEESA-N 0 1 294.399 0.686 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2COCCN2C(C)=O)C1 ZINC001009576754 625848515 /nfs/dbraw/zinc/84/85/15/625848515.db2.gz IEEHFWAUHRPOLY-KGLIPLIRSA-N 0 1 295.383 0.000 20 30 CCEDMN CN([C@@H]1CCNC1=O)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405101 625871081 /nfs/dbraw/zinc/87/10/81/625871081.db2.gz BEQIAPCKVMTOOS-UONOGXRCSA-N 0 1 289.379 0.211 20 30 CCEDMN C[C@H](NC[C@]1(CO)COCCN1C)c1cc(C#N)ccn1 ZINC000903280171 634551135 /nfs/dbraw/zinc/55/11/35/634551135.db2.gz SVNXUXFMLBXMQH-WFASDCNBSA-N 0 1 290.367 0.297 20 30 CCEDMN COc1nccc(/C=C2\C(=O)c3ncnn3C2C)n1 ZINC000905087071 634791284 /nfs/dbraw/zinc/79/12/84/634791284.db2.gz VIDIYCGCYGNUDH-WVXOVRIESA-N 0 1 257.253 0.918 20 30 CCEDMN N#Cc1ccc(CNC[C@@H](O)C[C@@]2(O)CCOC2)c(F)c1 ZINC000905097167 634802291 /nfs/dbraw/zinc/80/22/91/634802291.db2.gz YSLKHQIURRLKMP-ZFWWWQNUSA-N 0 1 294.326 0.689 20 30 CCEDMN N#Cc1ccc(CNC[C@H](O)C[C@]2(O)CCOC2)c(F)c1 ZINC000905097166 634802732 /nfs/dbraw/zinc/80/27/32/634802732.db2.gz YSLKHQIURRLKMP-UKRRQHHQSA-N 0 1 294.326 0.689 20 30 CCEDMN CN(CC#N)[C@@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001027584477 630776738 /nfs/dbraw/zinc/77/67/38/630776738.db2.gz MYRDTVQKHKVSPW-GFCCVEGCSA-N 0 1 276.344 0.184 20 30 CCEDMN CN(CC#N)[C@@H]1CCCN(C(=O)CCc2cnn[nH]2)C1 ZINC001027584477 630776742 /nfs/dbraw/zinc/77/67/42/630776742.db2.gz MYRDTVQKHKVSPW-GFCCVEGCSA-N 0 1 276.344 0.184 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001027829644 630955666 /nfs/dbraw/zinc/95/56/66/630955666.db2.gz GGXNHHLTXXYWHE-JTQLQIEISA-N 0 1 262.313 0.251 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001027829644 630955672 /nfs/dbraw/zinc/95/56/72/630955672.db2.gz GGXNHHLTXXYWHE-JTQLQIEISA-N 0 1 262.313 0.251 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(C(N)=O)cc2)C1 ZINC000980366210 631015483 /nfs/dbraw/zinc/01/54/83/631015483.db2.gz IPKPJWSYHFYZIT-AWEZNQCLSA-N 0 1 285.347 0.565 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CC[C@H](N(C)CC#N)C1 ZINC000980412447 631017489 /nfs/dbraw/zinc/01/74/89/631017489.db2.gz JYHYZXACWYOSKN-KGLIPLIRSA-N 0 1 294.399 0.011 20 30 CCEDMN C[C@@H]1C[C@H](CNCc2cnon2)CN1C(=O)C#CC1CC1 ZINC000980573756 631030951 /nfs/dbraw/zinc/03/09/51/631030951.db2.gz DULRZTSADQDMAE-DGCLKSJQSA-N 0 1 288.351 0.810 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001010256871 631077737 /nfs/dbraw/zinc/07/77/37/631077737.db2.gz RDFRZBAHRTWATB-NEPJUHHUSA-N 0 1 254.330 0.168 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H]2CCN2C(=O)[C@H](C)C#N)c1C ZINC000949158066 631391365 /nfs/dbraw/zinc/39/13/65/631391365.db2.gz JPLCGNDRLBNAHF-LDYMZIIASA-N 0 1 289.339 0.517 20 30 CCEDMN Cc1ccc(C(=O)/C=C/C(=O)NCCN(C)CCO)cc1 ZINC000924998354 631704354 /nfs/dbraw/zinc/70/43/54/631704354.db2.gz BUOBMWNQFJJPIK-BQYQJAHWSA-N 0 1 290.363 0.774 20 30 CCEDMN Cc1nc(C#N)cc(N[C@@H](C)[C@H]2CN(C)CCN2C)n1 ZINC000895247477 632036936 /nfs/dbraw/zinc/03/69/36/632036936.db2.gz UNGKPFPEYHPPLZ-GXFFZTMASA-N 0 1 274.372 0.703 20 30 CCEDMN C[C@@]1(CO)C[C@H](O)CN1Cc1csc(C#N)c1 ZINC000895435296 632081736 /nfs/dbraw/zinc/08/17/36/632081736.db2.gz YIRNOXHXGXASMV-JQWIXIFHSA-N 0 1 252.339 0.937 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000895722918 632138463 /nfs/dbraw/zinc/13/84/63/632138463.db2.gz HAXZNTORJSZRNW-PWSUYJOCSA-N 0 1 251.330 0.325 20 30 CCEDMN N#Cc1ccc(CN[C@@H]2C(=O)NCC23CCOCC3)cc1 ZINC000895774465 632152159 /nfs/dbraw/zinc/15/21/59/632152159.db2.gz XXIWLKMOSZZFEM-CQSZACIVSA-N 0 1 285.347 0.943 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(C[C@@H](C)CCC)CC1 ZINC000895915774 632175173 /nfs/dbraw/zinc/17/51/73/632175173.db2.gz JXDGULOEUAQNHC-ZDUSSCGKSA-N 0 1 287.429 0.508 20 30 CCEDMN C[C@@H](NC(=O)c1cc(C#N)c[nH]1)[C@H]1C[N@@H+](C)CCN1C ZINC000896555219 632284032 /nfs/dbraw/zinc/28/40/32/632284032.db2.gz IFUIHGACFUODJP-ZWNOBZJWSA-N 0 1 275.356 0.250 20 30 CCEDMN C[C@@H](NC(=O)c1cc(C#N)c[nH]1)[C@H]1CN(C)CCN1C ZINC000896555219 632284037 /nfs/dbraw/zinc/28/40/37/632284037.db2.gz IFUIHGACFUODJP-ZWNOBZJWSA-N 0 1 275.356 0.250 20 30 CCEDMN C[C@@H](NC(=O)c1cc(C#N)c[nH]1)[C@@H]1C[N@@H+](C)CCN1C ZINC000896555218 632285116 /nfs/dbraw/zinc/28/51/16/632285116.db2.gz IFUIHGACFUODJP-MFKMUULPSA-N 0 1 275.356 0.250 20 30 CCEDMN C[C@@H](NC(=O)c1cc(C#N)c[nH]1)[C@@H]1CN(C)CCN1C ZINC000896555218 632285122 /nfs/dbraw/zinc/28/51/22/632285122.db2.gz IFUIHGACFUODJP-MFKMUULPSA-N 0 1 275.356 0.250 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)s1)[C@H]1CN(C)CCN1C ZINC000896555982 632285421 /nfs/dbraw/zinc/28/54/21/632285421.db2.gz MMAIJJBBISEOEX-CMPLNLGQSA-N 0 1 292.408 0.984 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)cn1)[C@H]1C[N@@H+](C)CCN1C ZINC000896560437 632286631 /nfs/dbraw/zinc/28/66/31/632286631.db2.gz QECZLMOGVXJIPF-BXUZGUMPSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)cn1)[C@H]1CN(C)CCN1C ZINC000896560437 632286636 /nfs/dbraw/zinc/28/66/36/632286636.db2.gz QECZLMOGVXJIPF-BXUZGUMPSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@@H](NC(=O)c1sccc1C#N)[C@@H]1CN(C)CCN1C ZINC000896563284 632288765 /nfs/dbraw/zinc/28/87/65/632288765.db2.gz JQTLDHNOUGERPL-PWSUYJOCSA-N 0 1 292.408 0.984 20 30 CCEDMN C[C@H](C#N)CN(C(=O)C1CN([C@@H]2CCOC2)C1)C1CC1 ZINC000897195217 632415934 /nfs/dbraw/zinc/41/59/34/632415934.db2.gz QJGRUZJMHSKDCV-BXUZGUMPSA-N 0 1 277.368 0.858 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(OC)no2)C1 ZINC001007167652 650069791 /nfs/dbraw/zinc/06/97/91/650069791.db2.gz NPYJSOHGVBPUPL-LLVKDONJSA-N 0 1 277.324 0.901 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cc2cncnc2)C1 ZINC001007234558 650071930 /nfs/dbraw/zinc/07/19/30/650071930.db2.gz NJQPJZMUHYSDLX-ZDUSSCGKSA-N 0 1 260.341 0.786 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001007581005 650085441 /nfs/dbraw/zinc/08/54/41/650085441.db2.gz IWTUDPKSGIDOTE-JTQLQIEISA-N 0 1 262.313 0.562 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001007735250 650089610 /nfs/dbraw/zinc/08/96/10/650089610.db2.gz CHWMZMDLKFYBAB-NEPJUHHUSA-N 0 1 265.357 0.279 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC000986210113 632729896 /nfs/dbraw/zinc/72/98/96/632729896.db2.gz UGOSHZMVMFHHNP-GWCFXTLKSA-N 0 1 299.802 0.796 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cn2nccn2)[C@@H]1C ZINC000986338974 632747593 /nfs/dbraw/zinc/74/75/93/632747593.db2.gz RXZKPRFHXOBADP-GHMZBOCLSA-N 0 1 283.763 0.610 20 30 CCEDMN CCc1cc(C(=O)N2C[C@H](NCC#N)C[C@H]2C)n[nH]1 ZINC000988099031 632947216 /nfs/dbraw/zinc/94/72/16/632947216.db2.gz DNISUBYZLWPPMI-MWLCHTKSSA-N 0 1 261.329 0.688 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cc2nnc(C)[nH]2)C1 ZINC000988824496 633117554 /nfs/dbraw/zinc/11/75/54/633117554.db2.gz HIXUNEYWAXABCU-GXSJLCMTSA-N 0 1 297.790 0.987 20 30 CCEDMN C[C@H]1CN(Cc2cnc3c(C#N)cnn3c2)C[C@@H]1O ZINC000897683820 633229595 /nfs/dbraw/zinc/22/95/95/633229595.db2.gz HMCFFVQGIUDSRO-CABZTGNLSA-N 0 1 257.297 0.414 20 30 CCEDMN C=CCN1CCCN(C(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000989675137 633342357 /nfs/dbraw/zinc/34/23/57/633342357.db2.gz RXSHQKGIHYZZSX-UHFFFAOYSA-N 0 1 276.340 0.448 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000989674697 633342444 /nfs/dbraw/zinc/34/24/44/633342444.db2.gz GFSYNRWZORRPRS-UHFFFAOYSA-N 0 1 288.351 0.285 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cn[nH]c3)CCC[C@H]12 ZINC000989974273 633397250 /nfs/dbraw/zinc/39/72/50/633397250.db2.gz TVLBQPQTEAZQOA-GXTWGEPZSA-N 0 1 258.325 0.770 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3CN(C)CCO3)CCC[C@@H]12 ZINC000990119288 633452762 /nfs/dbraw/zinc/45/27/62/633452762.db2.gz BQJTWOYLYSCKDG-IIAWOOMASA-N 0 1 291.395 0.063 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(NCc2cnn(C)n2)CC1 ZINC000990261679 633498556 /nfs/dbraw/zinc/49/85/56/633498556.db2.gz HIXHAFKBILPIOE-JTQLQIEISA-N 0 1 276.344 0.055 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1cccc2c1OCCO2 ZINC000841071737 634146671 /nfs/dbraw/zinc/14/66/71/634146671.db2.gz ACSGORONKAJDAR-KOLCDFICSA-N 0 1 288.307 0.691 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2ccc3[nH]c(CO)nc3c2)NO1 ZINC000901249123 634214135 /nfs/dbraw/zinc/21/41/35/634214135.db2.gz CMXXHZUDVIPMJX-SSDOTTSWSA-N 0 1 274.280 0.907 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@@H]2CCCO2)C1 ZINC001010300148 650198213 /nfs/dbraw/zinc/19/82/13/650198213.db2.gz SPBILRVRONCZBF-OLZOCXBDSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CC[N@H+]1CC[C@]2(NC(=O)c3[nH]c(=O)[nH]c3C)CCC[C@H]12 ZINC000991202622 635622581 /nfs/dbraw/zinc/62/25/81/635622581.db2.gz AQKULOZEOPYFPZ-XHDPSFHLSA-N 0 1 288.351 0.784 20 30 CCEDMN C[Si](C)(C)C#CCCNC(=O)CCc1nn[nH]n1 ZINC000912330108 636547720 /nfs/dbraw/zinc/54/77/20/636547720.db2.gz FDGWWNHBOMRVEJ-UHFFFAOYSA-N 0 1 265.393 0.519 20 30 CCEDMN C[C@H](NC(=O)c1cccs1)C(=O)NCC#CCN(C)C ZINC000913515979 636833009 /nfs/dbraw/zinc/83/30/09/636833009.db2.gz VKHICAGBSPYALQ-NSHDSACASA-N 0 1 293.392 0.548 20 30 CCEDMN C[C@H](C(=O)NCC#CCN(C)C)N1Cc2ccccc2C1=O ZINC000913524706 636833960 /nfs/dbraw/zinc/83/39/60/636833960.db2.gz ZFEFUNFPRFHSHJ-CYBMUJFWSA-N 0 1 299.374 0.712 20 30 CCEDMN Cc1nc(CC(=O)NCC#CCN(C)C)c(C)s1 ZINC000913519719 636835205 /nfs/dbraw/zinc/83/52/05/636835205.db2.gz LTIKKLYUEAXNGC-UHFFFAOYSA-N 0 1 265.382 0.984 20 30 CCEDMN Cc1nc2ccnn2c(C)c1C(=O)NCC#CCN(C)C ZINC000913520379 636835758 /nfs/dbraw/zinc/83/57/58/636835758.db2.gz OFASYMOEWBDKNR-UHFFFAOYSA-N 0 1 285.351 0.641 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccc(-n2ccnn2)cc1 ZINC000841101650 636963071 /nfs/dbraw/zinc/96/30/71/636963071.db2.gz YDZICAGHRLFVPL-GWCFXTLKSA-N 0 1 297.322 0.500 20 30 CCEDMN C#CCOc1ccc(C(=O)NCc2nnc[nH]2)cc1 ZINC000172917021 637199518 /nfs/dbraw/zinc/19/95/18/637199518.db2.gz SSSBKJVGOIGZGA-UHFFFAOYSA-N 0 1 256.265 0.747 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ccnnc3)CCC[C@H]12 ZINC000992257646 637350392 /nfs/dbraw/zinc/35/03/92/637350392.db2.gz JNHXZVWTZZYSHQ-DZGCQCFKSA-N 0 1 270.336 0.837 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(NC(=O)c3ncn[nH]3)CCC[C@H]12 ZINC000992326388 637365549 /nfs/dbraw/zinc/36/55/49/637365549.db2.gz GJDWRRFPWBFTJA-GWCFXTLKSA-N 0 1 259.313 0.165 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913744866 637427648 /nfs/dbraw/zinc/42/76/48/637427648.db2.gz HZOXDGXMHOLKNA-LBPRGKRZSA-N 0 1 265.317 0.233 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)CN3CCCC3)CCC[C@@H]12 ZINC000992484858 637448242 /nfs/dbraw/zinc/44/82/42/637448242.db2.gz HTMKYTOGICRNOE-HIFRSBDPSA-N 0 1 276.384 0.719 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ccn(C)n3)CCC[C@@H]12 ZINC000992511739 637459170 /nfs/dbraw/zinc/45/91/70/637459170.db2.gz ZHBFLKIDEABNLO-UKRRQHHQSA-N 0 1 272.352 0.780 20 30 CCEDMN C[C@@H]1CN(C(=O)NC[C@H]2CCC[N@H+]2C)C[C@@H](C)N1CC#N ZINC000914391711 637614081 /nfs/dbraw/zinc/61/40/81/637614081.db2.gz MCTSRNRXRRERST-MGPQQGTHSA-N 0 1 293.415 0.708 20 30 CCEDMN Cc1c(C=NNC(=N)N)c(=O)n(-c2ccccc2)n1C ZINC000915048506 637724072 /nfs/dbraw/zinc/72/40/72/637724072.db2.gz GCDYHXHKYDBAOD-UHFFFAOYSA-N 0 1 272.312 0.301 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001015612230 637746709 /nfs/dbraw/zinc/74/67/09/637746709.db2.gz NWZWLTSKVNVUCA-WCQYABFASA-N 0 1 254.305 0.329 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cn(C)nn2)[C@@H]1C ZINC000993261859 637759828 /nfs/dbraw/zinc/75/98/28/637759828.db2.gz SJMQHZZQDGAEEF-WDEREUQCSA-N 0 1 263.345 0.584 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2ccnn2C)[C@H]1C ZINC000993260953 637760023 /nfs/dbraw/zinc/76/00/23/637760023.db2.gz QTFOIDDPXVTURD-OCCSQVGLSA-N 0 1 274.368 0.565 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cn[nH]c(=O)c2)[C@H]1C ZINC000993468100 637811407 /nfs/dbraw/zinc/81/14/07/637811407.db2.gz VKHQVHLMKNMCDY-YPMHNXCESA-N 0 1 288.351 0.788 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cnc[nH]c2=O)[C@H]1C ZINC000993483010 637812634 /nfs/dbraw/zinc/81/26/34/637812634.db2.gz UKRZRHYLQWUFIK-YPMHNXCESA-N 0 1 288.351 0.788 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cn(CC)nn2)[C@H]1C ZINC000993619593 637822393 /nfs/dbraw/zinc/82/23/93/637822393.db2.gz GWHOTGWMFOFMQZ-TZMCWYRMSA-N 0 1 289.383 0.443 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2c[nH]cn2)[C@@H]1C ZINC000993885037 637851544 /nfs/dbraw/zinc/85/15/44/637851544.db2.gz HVDMUSSQZYSGKY-AAEUAGOBSA-N 0 1 260.341 0.555 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(CCO)[C@H]2C)cn1 ZINC000994250792 637894688 /nfs/dbraw/zinc/89/46/88/637894688.db2.gz LNFIKPMVYLGPBE-SWLSCSKDSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2c(C)nn(C)c2OC)C1 ZINC001015785138 638053996 /nfs/dbraw/zinc/05/39/96/638053996.db2.gz USYQYIKLMACDNG-LBPRGKRZSA-N 0 1 290.367 0.565 20 30 CCEDMN C[C@H]1CN(C(=O)C(C)(C)c2c[nH]cn2)C[C@@H]1NCC#N ZINC000939535059 638375990 /nfs/dbraw/zinc/37/59/90/638375990.db2.gz OVAADMJQPCKHAL-QWRGUYRKSA-N 0 1 275.356 0.647 20 30 CCEDMN Cc1noc(CNS(=O)(=O)c2cccc(F)c2C#N)n1 ZINC000070398169 638484077 /nfs/dbraw/zinc/48/40/77/638484077.db2.gz VACPTTUGMHSKGX-UHFFFAOYSA-N 0 1 296.283 0.867 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1cccc(F)c1C#N ZINC000070397692 638484452 /nfs/dbraw/zinc/48/44/52/638484452.db2.gz GKUBJMBZPVKGEL-UHFFFAOYSA-N 0 1 271.317 0.537 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000943353827 638716950 /nfs/dbraw/zinc/71/69/50/638716950.db2.gz ARPKBKCOSZQBQB-NXEZZACHSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC000943437671 638720649 /nfs/dbraw/zinc/72/06/49/638720649.db2.gz NUHIOFWMZGZNGX-UWVGGRQHSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC000943437671 638720654 /nfs/dbraw/zinc/72/06/54/638720654.db2.gz NUHIOFWMZGZNGX-UWVGGRQHSA-N 0 1 290.327 0.075 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2nnn(C)n2)CC1(C)C ZINC000996170145 638811505 /nfs/dbraw/zinc/81/15/05/638811505.db2.gz PCKFQODITIKPKQ-SECBINFHSA-N 0 1 298.778 0.403 20 30 CCEDMN C=C1CC(C)(C(=O)NC2CN(C(=O)[C@@H]3CC3[N+](=O)[O-])C2)C1 ZINC000999288612 638971981 /nfs/dbraw/zinc/97/19/81/638971981.db2.gz VCNIEUKFPWXJAC-GHMZBOCLSA-N 0 1 293.323 0.335 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC000999575012 638986950 /nfs/dbraw/zinc/98/69/50/638986950.db2.gz WYHASFDAWDYBEK-RYUDHWBXSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001000170153 639047887 /nfs/dbraw/zinc/04/78/87/639047887.db2.gz MGLLFHKHMYUART-FZMZJTMJSA-N 0 1 299.802 0.846 20 30 CCEDMN C[C@H]1CCC[N@H+]1CC(=O)N1CCC[C@@H](NCC#N)C1 ZINC001000183642 639049640 /nfs/dbraw/zinc/04/96/40/639049640.db2.gz JXZQTQVPIWHYNO-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN CC1CCN(CC(=O)N2CCC[C@H](NCC#N)C2)CC1 ZINC001000534472 639114395 /nfs/dbraw/zinc/11/43/95/639114395.db2.gz WSAZDPDEMKESSN-AWEZNQCLSA-N 0 1 278.400 0.822 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001000587876 639129614 /nfs/dbraw/zinc/12/96/14/639129614.db2.gz MOBVDLUDDOPGFP-UHFFFAOYSA-N 0 1 276.340 0.972 20 30 CCEDMN C=CCCN1CC=C(CNC(=O)C2CS(=O)(=O)C2)CC1 ZINC001000728565 639156389 /nfs/dbraw/zinc/15/63/89/639156389.db2.gz SLMGZWNNOZENRM-UHFFFAOYSA-N 0 1 298.408 0.355 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC001001120112 639237966 /nfs/dbraw/zinc/23/79/66/639237966.db2.gz KJOHNTHPKVVRIM-UHFFFAOYSA-N 0 1 288.351 0.511 20 30 CCEDMN N#CCN1CC=C(CNC(=O)c2[nH]ncc2F)CC1 ZINC001001119917 639238350 /nfs/dbraw/zinc/23/83/50/639238350.db2.gz JNLYGWDOIRWCBI-UHFFFAOYSA-N 0 1 263.276 0.434 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCCCC(=O)N2)CC1 ZINC001001142702 639245116 /nfs/dbraw/zinc/24/51/16/639245116.db2.gz AVDWCDQXUZZBIZ-AWEZNQCLSA-N 0 1 289.379 0.427 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ncccn2)CC1 ZINC001001281479 639273518 /nfs/dbraw/zinc/27/35/18/639273518.db2.gz IRTXJHSRRHOUMQ-UHFFFAOYSA-N 0 1 256.309 0.472 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2ccn(C)n2)CC1 ZINC001001446180 639305079 /nfs/dbraw/zinc/30/50/79/639305079.db2.gz JOJNQZXESVNCLW-UHFFFAOYSA-N 0 1 272.352 0.805 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc3nccnc3c2)C1 ZINC001014751980 650485581 /nfs/dbraw/zinc/48/55/81/650485581.db2.gz KGIUBSVWJVYMED-CYBMUJFWSA-N 0 1 295.346 0.852 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCC(=O)N(C)C2)CC1 ZINC001001478055 639310462 /nfs/dbraw/zinc/31/04/62/639310462.db2.gz XDPWQCKDQQIUBD-AWEZNQCLSA-N 0 1 289.379 0.236 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnc3n[nH]nc3c2)CC1 ZINC001003030683 639496942 /nfs/dbraw/zinc/49/69/42/639496942.db2.gz IQRASZLRLRULSP-UHFFFAOYSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H]2CCN(C)C2=O)CC1 ZINC001003090854 639504564 /nfs/dbraw/zinc/50/45/64/639504564.db2.gz XDPWYOZFKFQIGA-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(CN(C)C)on2)CC1 ZINC001003218904 639518270 /nfs/dbraw/zinc/51/82/70/639518270.db2.gz CGKRRXHWPOITMC-UHFFFAOYSA-N 0 1 290.367 0.564 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001014918516 650502793 /nfs/dbraw/zinc/50/27/93/650502793.db2.gz BBFCZTQRLGFIEO-RISCZKNCSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2COCCN2CC)C1 ZINC001015023523 650516020 /nfs/dbraw/zinc/51/60/20/650516020.db2.gz JLENMYYTHPNMKL-QWHCGFSZSA-N 0 1 267.373 0.084 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CCN(CC#N)C2)ccc2cncn21 ZINC001015030876 650516728 /nfs/dbraw/zinc/51/67/28/650516728.db2.gz CCCRFZGPDDLSSP-LBPRGKRZSA-N 0 1 283.335 0.970 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CN2CCCCC2=O)C1 ZINC001015057579 650521698 /nfs/dbraw/zinc/52/16/98/650521698.db2.gz NSAYIBHJGSYDSM-CYBMUJFWSA-N 0 1 277.368 0.213 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2nnn(C)c2C)CC1 ZINC001005205346 639889502 /nfs/dbraw/zinc/88/95/02/639889502.db2.gz JMHXTRGSWGSOOT-UHFFFAOYSA-N 0 1 275.356 0.293 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001014737027 639890846 /nfs/dbraw/zinc/89/08/46/639890846.db2.gz NCNBJSVKWOVSGD-NSHDSACASA-N 0 1 274.324 0.103 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc[nH]c(=O)c2)CC1 ZINC001005239107 639892537 /nfs/dbraw/zinc/89/25/37/639892537.db2.gz YHRKZVHIWVKKIB-UHFFFAOYSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2[nH]c(=O)[nH]c2C)CC1 ZINC001005538419 639916732 /nfs/dbraw/zinc/91/67/32/639916732.db2.gz CNEDKWIISPZLNT-UHFFFAOYSA-N 0 1 276.340 0.593 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001015257788 650544573 /nfs/dbraw/zinc/54/45/73/650544573.db2.gz PQVNHXKYUUDIOS-LBPRGKRZSA-N 0 1 260.341 0.095 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc3n(n2)CCCO3)C1 ZINC001015217692 650540404 /nfs/dbraw/zinc/54/04/04/650540404.db2.gz YZUOVJBXDLOBMD-NSHDSACASA-N 0 1 276.340 0.656 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC001006005713 640063993 /nfs/dbraw/zinc/06/39/93/640063993.db2.gz YJJJICGJPGHFBO-OLZOCXBDSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H](C)[C@H]2CCC(=O)N2)CC1 ZINC001006029335 640073713 /nfs/dbraw/zinc/07/37/13/640073713.db2.gz RPJYVNCFQWPDLO-TZMCWYRMSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cc2cnc[nH]2)CC1 ZINC001006067372 640115216 /nfs/dbraw/zinc/11/52/16/640115216.db2.gz VFZHFUSHSYTSKW-UHFFFAOYSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001015590778 650586635 /nfs/dbraw/zinc/58/66/35/650586635.db2.gz COJKLXOBLJMGIH-LLVKDONJSA-N 0 1 274.324 0.318 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ncn(C(C)(C)C)n2)C1 ZINC001015705080 650611794 /nfs/dbraw/zinc/61/17/94/650611794.db2.gz HKLFREOBEXIBSX-GFCCVEGCSA-N 0 1 289.383 0.861 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001015713896 650614625 /nfs/dbraw/zinc/61/46/25/650614625.db2.gz SLXPBMLMBAJFSV-SNVBAGLBSA-N 0 1 276.340 0.494 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001015716920 650615797 /nfs/dbraw/zinc/61/57/97/650615797.db2.gz ILGJHJIYMNYHSV-JTQLQIEISA-N 0 1 277.324 0.786 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001015772642 650627167 /nfs/dbraw/zinc/62/71/67/650627167.db2.gz CIIUNGBIHHFZGA-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN Cn1c(N)nc(Cl)c1CN=Nc1cccnn1 ZINC000814852743 641078271 /nfs/dbraw/zinc/07/82/71/641078271.db2.gz MZYWGCNRUAGQNY-UHFFFAOYSA-N 0 1 251.681 0.892 20 30 CCEDMN CN(C)c1ncc(C=NNC2=N[C@H]3CCCC[C@H]3N2)cn1 ZINC000814886159 641079977 /nfs/dbraw/zinc/07/99/77/641079977.db2.gz SXLKKTPPPSNRIC-TXEJJXNPSA-N 0 1 287.371 0.737 20 30 CCEDMN C[C@@H](O)CNN=Cc1ccc(N2CCOCC2)c(F)c1 ZINC000814963302 641086023 /nfs/dbraw/zinc/08/60/23/641086023.db2.gz ITJKNLGLXLVIKJ-LLVKDONJSA-N 0 1 281.331 0.967 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)N[C@@H]3CCN(O)C3=O)c2C1 ZINC000820120430 641459022 /nfs/dbraw/zinc/45/90/22/641459022.db2.gz NXMVAHLCCXWOBY-OIBJUYFYSA-N 0 1 278.312 0.254 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001015964520 650665538 /nfs/dbraw/zinc/66/55/38/650665538.db2.gz ZHQMGLXAGWMOQW-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC001015973675 650667427 /nfs/dbraw/zinc/66/74/27/650667427.db2.gz BXFVBNQSLMDMOE-RYUDHWBXSA-N 0 1 280.372 0.296 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001015985516 650668740 /nfs/dbraw/zinc/66/87/40/650668740.db2.gz XTUDFFBLMMZQIO-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC001015973552 650667837 /nfs/dbraw/zinc/66/78/37/650667837.db2.gz BXFVBNQSLMDMOE-NWDGAFQWSA-N 0 1 280.372 0.296 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(OC)cc[nH]c2=O)C1 ZINC001016003262 650673784 /nfs/dbraw/zinc/67/37/84/650673784.db2.gz FEMMHDDMWIPUDP-SNVBAGLBSA-N 0 1 277.324 0.786 20 30 CCEDMN N#Cc1cccc(ONC(=O)C[C@H]2CCCS2(=O)=O)c1 ZINC000825268719 641878415 /nfs/dbraw/zinc/87/84/15/641878415.db2.gz WLTQMZMGXVNTDA-GFCCVEGCSA-N 0 1 294.332 0.936 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccc(C)nc2)C1 ZINC001016258988 650706822 /nfs/dbraw/zinc/70/68/22/650706822.db2.gz KHOCFNJTAOCSSH-AWEZNQCLSA-N 0 1 257.337 0.756 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)NCCN1CC[C@H](O)C1 ZINC000827748925 642039798 /nfs/dbraw/zinc/03/97/98/642039798.db2.gz AUXTWMNFNMHFOE-ZDUSSCGKSA-N 0 1 273.336 0.663 20 30 CCEDMN C[S@@](=O)CCN1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000828567283 642104842 /nfs/dbraw/zinc/10/48/42/642104842.db2.gz ZTGGSSUEIVLASL-CWTRNNRKSA-N 0 1 279.365 0.785 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cncc(N3CCCC3)n2)=NO1 ZINC000867062576 642169461 /nfs/dbraw/zinc/16/94/61/642169461.db2.gz FXZCPKDEXRDFLG-SECBINFHSA-N 0 1 275.312 0.929 20 30 CCEDMN C[C@@H]1CC[C@H](CCC(=O)N[C@@H]2CNC[C@@H]2C#N)O1 ZINC000834479602 642571745 /nfs/dbraw/zinc/57/17/45/642571745.db2.gz GNDQVPPOXVTBAH-WRWGMCAJSA-N 0 1 251.330 0.562 20 30 CCEDMN Cc1ccc(-c2cc(C(=O)N[C@@H]3CNC[C@H]3C#N)[nH]n2)o1 ZINC000834480298 642572643 /nfs/dbraw/zinc/57/26/43/642572643.db2.gz NSTARMVGQMFKLR-BXKDBHETSA-N 0 1 285.307 0.820 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnn2c1CCCC2 ZINC000834485302 642576414 /nfs/dbraw/zinc/57/64/14/642576414.db2.gz UXHVLFMSPQXNNX-ONGXEEELSA-N 0 1 259.313 0.061 20 30 CCEDMN Cn1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1-c1ccccc1 ZINC000834485144 642576802 /nfs/dbraw/zinc/57/68/02/642576802.db2.gz SFOQLIDZBRKEDD-GXTWGEPZSA-N 0 1 295.346 0.928 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(N)cc1Cl ZINC000834485601 642577600 /nfs/dbraw/zinc/57/76/00/642577600.db2.gz ZBLZCAQJFAPWKS-RDDDGLTNSA-N 0 1 264.716 0.764 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnn2ccccc12 ZINC000834485584 642578184 /nfs/dbraw/zinc/57/81/84/642578184.db2.gz YWQRYEVKNJKUHC-KOLCDFICSA-N 0 1 255.281 0.176 20 30 CCEDMN Cc1ncc(Cl)c(C(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000834489505 642579514 /nfs/dbraw/zinc/57/95/14/642579514.db2.gz NBALDRUCCBODNS-CBAPKCEASA-N 0 1 265.704 0.280 20 30 CCEDMN C[C@H](CC(=O)N[C@@H]1CNC[C@H]1C#N)NC(=O)C1CCCC1 ZINC000834495401 642586110 /nfs/dbraw/zinc/58/61/10/642586110.db2.gz VJBDBLCIYHYEBO-RAIGVLPGSA-N 0 1 292.383 0.299 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(N2CCNC2=O)cc1 ZINC000834500585 642588807 /nfs/dbraw/zinc/58/88/07/642588807.db2.gz UUOJFUOGTBHZFX-DGCLKSJQSA-N 0 1 299.334 0.058 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnc(C(F)(F)F)nc1 ZINC000834501024 642590818 /nfs/dbraw/zinc/59/08/18/642590818.db2.gz YZYGKSLXTNEPOA-POYBYMJQSA-N 0 1 285.229 0.337 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000834505062 642591725 /nfs/dbraw/zinc/59/17/25/642591725.db2.gz HIECQTMJKBFQAF-WPRPVWTQSA-N 0 1 260.253 0.436 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC000834511022 642595414 /nfs/dbraw/zinc/59/54/14/642595414.db2.gz HYQJGIAQMOLODF-XWIASGKRSA-N 0 1 291.738 0.871 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCc1ccccc1F ZINC000834511787 642596573 /nfs/dbraw/zinc/59/65/73/642596573.db2.gz NZKAEYUHXCPIFF-AAEUAGOBSA-N 0 1 261.300 0.986 20 30 CCEDMN Cc1cccc(OCC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834511564 642596892 /nfs/dbraw/zinc/59/68/92/642596892.db2.gz MJVKKMZFRUFRLJ-DGCLKSJQSA-N 0 1 259.309 0.602 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000834515959 642598324 /nfs/dbraw/zinc/59/83/24/642598324.db2.gz DKWQKSZODHGTJH-JOYOIKCWSA-N 0 1 290.279 0.201 20 30 CCEDMN Cc1noc(C(C)C)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834516872 642599737 /nfs/dbraw/zinc/59/97/37/642599737.db2.gz MZMZKCFGLITJDV-NXEZZACHSA-N 0 1 262.313 0.948 20 30 CCEDMN C[C@H](Oc1ccccc1F)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834517678 642600979 /nfs/dbraw/zinc/60/09/79/642600979.db2.gz YDZOZXZAMLHWLJ-JBLDHEPKSA-N 0 1 277.299 0.821 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(-c2cccs2)n[nH]1 ZINC000834521815 642603169 /nfs/dbraw/zinc/60/31/69/642603169.db2.gz UANPLDSNLRZPQU-GZMMTYOYSA-N 0 1 287.348 0.980 20 30 CCEDMN COC(=O)Cn1cc(C=NN=c2cc(C)cc[nH]2)nn1 ZINC000834964142 642644154 /nfs/dbraw/zinc/64/41/54/642644154.db2.gz ISZVZRANVMQGBU-UHFFFAOYSA-N 0 1 274.284 0.601 20 30 CCEDMN COC(=O)Cn1cc(C=N[N-]c2cc(C)cc[nH+]2)nn1 ZINC000834964142 642644161 /nfs/dbraw/zinc/64/41/61/642644161.db2.gz ISZVZRANVMQGBU-UHFFFAOYSA-N 0 1 274.284 0.601 20 30 CCEDMN COC(=O)Cn1cc(C=NNc2cc(C)ccn2)nn1 ZINC000834964142 642644167 /nfs/dbraw/zinc/64/41/67/642644167.db2.gz ISZVZRANVMQGBU-UHFFFAOYSA-N 0 1 274.284 0.601 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccc(-n2nccn2)cc1 ZINC000841046949 642801184 /nfs/dbraw/zinc/80/11/84/642801184.db2.gz HQRAKZONDVYOQT-GXFFZTMASA-N 0 1 297.322 0.500 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccnc(Cl)c1 ZINC000841168553 642805499 /nfs/dbraw/zinc/80/54/99/642805499.db2.gz LMLVCWLIARKUFP-CBAPKCEASA-N 0 1 265.704 0.968 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccnc(Cl)c1 ZINC000841168555 642805803 /nfs/dbraw/zinc/80/58/03/642805803.db2.gz LMLVCWLIARKUFP-VXNVDRBHSA-N 0 1 265.704 0.968 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1cc(Cl)ccn1 ZINC000841233920 642807661 /nfs/dbraw/zinc/80/76/61/642807661.db2.gz KWZQOMJFSDPCBV-VXNVDRBHSA-N 0 1 265.704 0.968 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccc(OC(F)F)cn1 ZINC000841249266 642809647 /nfs/dbraw/zinc/80/96/47/642809647.db2.gz LTUIXMSEEKJDGP-IONNQARKSA-N 0 1 297.265 0.916 20 30 CCEDMN C#CC[C@H]1CCCN(CN2CC(=O)N(CC)C2=O)C1 ZINC000842628658 642920137 /nfs/dbraw/zinc/92/01/37/642920137.db2.gz DKFXVOCKBUYZCF-LBPRGKRZSA-N 0 1 263.341 0.963 20 30 CCEDMN Cn1nccc1[C@@H](CO)NC[C@@H](O)c1ccc(C#N)cc1 ZINC000843108457 642997383 /nfs/dbraw/zinc/99/73/83/642997383.db2.gz ODDDNBRKGOCWTJ-UKRRQHHQSA-N 0 1 286.335 0.648 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COCCN1C ZINC001017496335 650805621 /nfs/dbraw/zinc/80/56/21/650805621.db2.gz ONDPUCUSUSBADA-ZNMIVQPWSA-N 0 1 293.411 0.568 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)nn1 ZINC001017527974 650809521 /nfs/dbraw/zinc/80/95/21/650809521.db2.gz KRKZCFFVHGITOU-TXEJJXNPSA-N 0 1 275.356 0.680 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc2ccccc2c(=O)[nH]1 ZINC000843459691 643062606 /nfs/dbraw/zinc/06/26/06/643062606.db2.gz GLIUFYXULYSTSN-GXFFZTMASA-N 0 1 282.303 0.782 20 30 CCEDMN Cc1cccc(OCCC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000843459453 643062943 /nfs/dbraw/zinc/06/29/43/643062943.db2.gz FGTVNKJDKOMOOW-TZMCWYRMSA-N 0 1 273.336 0.992 20 30 CCEDMN Cc1ccc(OCC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000843459195 643063643 /nfs/dbraw/zinc/06/36/43/643063643.db2.gz DBWBWLURFAPTLK-AAEUAGOBSA-N 0 1 259.309 0.602 20 30 CCEDMN C[C@@H]1CCn2ncc(C(=O)N[C@H]3CNC[C@H]3C#N)c2C1 ZINC000843460055 643065147 /nfs/dbraw/zinc/06/51/47/643065147.db2.gz LEOLIYCXVLEGKS-FOGDFJRCSA-N 0 1 273.340 0.307 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1noc2ccccc12 ZINC000843462275 643065903 /nfs/dbraw/zinc/06/59/03/643065903.db2.gz PDQVBBASNUZYHW-CABZTGNLSA-N 0 1 270.292 0.598 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1cn2ccccc2n1 ZINC000844194158 643177851 /nfs/dbraw/zinc/17/78/51/643177851.db2.gz AQMQGSSJDUDQFJ-CMPLNLGQSA-N 0 1 269.308 0.105 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1=NC(=O)N(C)C1 ZINC001017891959 650833067 /nfs/dbraw/zinc/83/30/67/650833067.db2.gz OYYYWZCCCDPNPH-PHIMTYICSA-N 0 1 274.324 0.048 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnc[nH]1 ZINC001018001870 650839628 /nfs/dbraw/zinc/83/96/28/650839628.db2.gz URFFGZICJSDAGE-OKILXGFUSA-N 0 1 272.352 0.651 20 30 CCEDMN COc1cccc(OC)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000846676364 643442061 /nfs/dbraw/zinc/44/20/61/643442061.db2.gz DGJYRNLAPRPKHM-NXEZZACHSA-N 0 1 275.308 0.545 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1cc(F)c(F)cc1F ZINC000846678457 643442984 /nfs/dbraw/zinc/44/29/84/643442984.db2.gz UQSXQBXMMMZLQA-QPUJVOFHSA-N 0 1 283.253 0.874 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1scc2c1OCCO2 ZINC000848418610 643634580 /nfs/dbraw/zinc/63/45/80/643634580.db2.gz LLQLRYQUJCTEMB-SFYZADRCSA-N 0 1 279.321 0.361 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@@H]1OCc2ccccc21 ZINC000848419006 643634735 /nfs/dbraw/zinc/63/47/35/643634735.db2.gz PGDWWKSIPJFGOB-XBFCOCLRSA-N 0 1 271.320 0.876 20 30 CCEDMN COc1cnc(F)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000848419224 643634864 /nfs/dbraw/zinc/63/48/64/643634864.db2.gz RMVFWSMYEJFUMH-XVKPBYJWSA-N 0 1 264.260 0.071 20 30 CCEDMN C=C(C)CN(CCNC(=O)OC(C)(C)C)C[C@@H](O)CO ZINC000852180722 643938696 /nfs/dbraw/zinc/93/86/96/643938696.db2.gz XLXGOVQHGVACKG-GFCCVEGCSA-N 0 1 288.388 0.742 20 30 CCEDMN Cc1ccn([C@H](C)CC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000852874843 644036604 /nfs/dbraw/zinc/03/66/04/644036604.db2.gz CZXAYHCYZSMYIG-GRYCIOLGSA-N 0 1 261.329 0.370 20 30 CCEDMN C=CCN(C(=O)c1[nH]nc2c1CNCC2)[C@H](C)COC ZINC000852901641 644051092 /nfs/dbraw/zinc/05/10/92/644051092.db2.gz MLVISUSTANALIK-SNVBAGLBSA-N 0 1 278.356 0.719 20 30 CCEDMN Cc1cc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)ncn1 ZINC001018632613 650887128 /nfs/dbraw/zinc/88/71/28/650887128.db2.gz PHOWJSWLNOWJQD-JQWIXIFHSA-N 0 1 273.340 0.635 20 30 CCEDMN O=C(C#Cc1cccs1)NC[C@@H]1CN2CCN1CCC2 ZINC000854355022 644192755 /nfs/dbraw/zinc/19/27/55/644192755.db2.gz XMYPNETZJNBILO-CYBMUJFWSA-N 0 1 289.404 0.606 20 30 CCEDMN C#CCCCNS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000859036759 644661519 /nfs/dbraw/zinc/66/15/19/644661519.db2.gz ZYONBQAJXJYLRV-UHFFFAOYSA-N 0 1 272.282 0.153 20 30 CCEDMN C[C@H](CC#N)OC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000860545827 644809109 /nfs/dbraw/zinc/80/91/09/644809109.db2.gz ULMHGTIHARUDEA-MRVPVSSYSA-N 0 1 283.309 0.912 20 30 CCEDMN C[C@@H](C#N)COC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000860616353 644815946 /nfs/dbraw/zinc/81/59/46/644815946.db2.gz NRGQRYXTTSPHNT-QMMMGPOBSA-N 0 1 283.309 0.770 20 30 CCEDMN C[C@@H]1CCN(Cc2ccccc2)CC1N=NC1=NCC(=O)N1 ZINC000863175681 645066766 /nfs/dbraw/zinc/06/67/66/645066766.db2.gz OKEOIUNOIASMHM-GFCCVEGCSA-N 0 1 299.378 0.960 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cncn2C)C1 ZINC001019655683 650995876 /nfs/dbraw/zinc/99/58/76/650995876.db2.gz VUVXECVGCOBBTJ-NSHDSACASA-N 0 1 282.775 0.906 20 30 CCEDMN CC(C)(C(=O)N1CC[C@H](NCC#N)C1)c1c[nH]cn1 ZINC001019655673 650996010 /nfs/dbraw/zinc/99/60/10/650996010.db2.gz VGVNYTUJIFMWEL-JTQLQIEISA-N 0 1 261.329 0.401 20 30 CCEDMN C[C@H]1CCCCN1CC(N)=NOCCC1OCCO1 ZINC000120232406 645284849 /nfs/dbraw/zinc/28/48/49/645284849.db2.gz OPGUYFDGZIGYOB-NSHDSACASA-N 0 1 271.361 0.913 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccnn1CC1CCC1 ZINC000868196662 645730389 /nfs/dbraw/zinc/73/03/89/645730389.db2.gz VSIGNGAOBYEPAR-VXGBXAGGSA-N 0 1 288.355 0.916 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NCCc1ncc[nH]1 ZINC000876764431 646659361 /nfs/dbraw/zinc/65/93/61/646659361.db2.gz PIPNWXQTYRPDBX-HNNXBMFYSA-N 0 1 295.346 0.827 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccccc1-c1cn[nH]c1 ZINC000876801413 646668478 /nfs/dbraw/zinc/66/84/78/646668478.db2.gz BXHMJCMXNJHJAG-IINYFYTJSA-N 0 1 281.319 0.918 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H](O)C[C@H](O)c2ccccc2)C1 ZINC000878761579 646974898 /nfs/dbraw/zinc/97/48/98/646974898.db2.gz NXXNXUJVUBFPFQ-PMPSAXMXSA-N 0 1 290.363 0.821 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1C[C@H](O)CC(C)(C)C1 ZINC000879618223 647087027 /nfs/dbraw/zinc/08/70/27/647087027.db2.gz WOPDZKVVZIYRHO-VXGBXAGGSA-N 0 1 267.373 0.840 20 30 CCEDMN Cc1nc(C#N)cc(NCCN2C[C@H]3CC[C@@H](C2)O3)n1 ZINC000882422528 647406913 /nfs/dbraw/zinc/40/69/13/647406913.db2.gz QWAFZSSLOMWFMP-BETUJISGSA-N 0 1 273.340 0.932 20 30 CCEDMN C[C@@H]1CN(C(=O)Nc2cccc(CC#N)n2)CCN1 ZINC000883587655 647541582 /nfs/dbraw/zinc/54/15/82/647541582.db2.gz OHJPORJPMGHECY-SNVBAGLBSA-N 0 1 259.313 0.973 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CC(F)(F)C(F)F ZINC000884249214 647679695 /nfs/dbraw/zinc/67/96/95/647679695.db2.gz GMTJPZPIXQHQIJ-NTSWFWBYSA-N 0 1 253.199 0.505 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnc2cc[nH]c2c1 ZINC000884250921 647681851 /nfs/dbraw/zinc/68/18/51/647681851.db2.gz ROWGJQLWCXZACX-SKDRFNHKSA-N 0 1 255.281 0.404 20 30 CCEDMN N#Cc1cc(Cl)cc(CN(CCO)CCO)c1 ZINC000929513066 648748370 /nfs/dbraw/zinc/74/83/70/648748370.db2.gz DTVSDRKUXKFLMK-UHFFFAOYSA-N 0 1 254.717 0.998 20 30 CCEDMN Cc1noc(C)c1NC(=O)NCC#CCN(C)C ZINC000930070297 648818595 /nfs/dbraw/zinc/81/85/95/648818595.db2.gz RWSQQBXFOXJAKN-UHFFFAOYSA-N 0 1 250.302 0.978 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(C[C@@H]2C[C@H]2CC)CC1 ZINC000930118929 648825804 /nfs/dbraw/zinc/82/58/04/648825804.db2.gz MQBFLCBJROTJHS-OLZOCXBDSA-N 0 1 285.413 0.118 20 30 CCEDMN C[C@H](O)[C@H](O)CN1CCC(C#N)(c2ccccn2)CC1 ZINC000930333523 648851126 /nfs/dbraw/zinc/85/11/26/648851126.db2.gz OWVWTPSAYXGRPV-QWHCGFSZSA-N 0 1 275.352 0.680 20 30 CCEDMN C[C@]1([C@@H]2CCCN(CC(=O)NCCC#N)C2)COC(=O)N1 ZINC000932030222 649044299 /nfs/dbraw/zinc/04/42/99/649044299.db2.gz RCXSHFCJVRMSCH-BXUZGUMPSA-N 0 1 294.355 0.227 20 30 CCEDMN CN(CCON=C(N)CCO)Cc1ccc(F)cc1 ZINC000189357914 649374810 /nfs/dbraw/zinc/37/48/10/649374810.db2.gz VLYTZEJBESOYRR-UHFFFAOYSA-N 0 1 269.320 0.929 20 30 CCEDMN C#CCCCC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000192115243 649499263 /nfs/dbraw/zinc/49/92/63/649499263.db2.gz NEIIDIAZFYRLCX-UHFFFAOYSA-N 0 1 252.358 0.705 20 30 CCEDMN Cc1cc(C(=O)N(C)C2CN(C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC000953816429 649632267 /nfs/dbraw/zinc/63/22/67/649632267.db2.gz MDBZHQBYHMPPFR-QMMMGPOBSA-N 0 1 275.312 0.161 20 30 CCEDMN CN1CCO[C@H]2CN(c3ccc4c(C#N)c[nH]c4n3)C[C@@H]21 ZINC001164668311 719399926 /nfs/dbraw/zinc/39/99/26/719399926.db2.gz QWYJDDXIULYOHC-STQMWFEESA-N 0 1 283.335 0.954 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC1CCN([C@@H](C)C(=O)NC)CC1 ZINC001230414680 805601888 /nfs/dbraw/zinc/60/18/88/805601888.db2.gz DXJLRWQJVHNYOM-NWDGAFQWSA-N 0 1 297.399 0.293 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cccc(=O)[nH]1 ZINC001125291532 790416006 /nfs/dbraw/zinc/41/60/06/790416006.db2.gz LATAVXCKTQYSPL-UHFFFAOYSA-N 0 1 255.705 0.859 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H]2C[C@]23CCOC3)CC1 ZINC001266294908 790443243 /nfs/dbraw/zinc/44/32/43/790443243.db2.gz OUEJIBFEPZOTRS-ZBFHGGJFSA-N 0 1 293.411 0.333 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@]2(CCN(CC(=O)NC)C2)C1 ZINC001041544831 790532246 /nfs/dbraw/zinc/53/22/46/790532246.db2.gz QDLLOFBWZZATED-MRXNPFEDSA-N 0 1 293.411 0.869 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccc2c(c1)C(=O)NC2=O ZINC001266350763 790565942 /nfs/dbraw/zinc/56/59/42/790565942.db2.gz RGKHGQHIGOCQCC-UHFFFAOYSA-N 0 1 299.330 0.255 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cccc(-n2ccnn2)c1 ZINC001266368719 790603151 /nfs/dbraw/zinc/60/31/51/790603151.db2.gz XIRNQWVXXCUZMI-UHFFFAOYSA-N 0 1 297.362 0.952 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H]1CCOC1 ZINC001266379990 790615213 /nfs/dbraw/zinc/61/52/13/790615213.db2.gz FRRDFGZWYLTPIB-ZDUSSCGKSA-N 0 1 268.357 0.111 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@]12C[C@H]1COC2 ZINC001266392919 790625069 /nfs/dbraw/zinc/62/50/69/790625069.db2.gz RKVSZBZXQOIPPQ-ZFWWWQNUSA-N 0 1 280.368 0.111 20 30 CCEDMN CCNCc1cn([C@H]2CCCN(C(=O)[C@H](C)C#N)C2)nn1 ZINC001075374806 790735806 /nfs/dbraw/zinc/73/58/06/790735806.db2.gz SWUAAKHEEPRYLO-YPMHNXCESA-N 0 1 290.371 0.711 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@]1(C)CCC(=O)N1 ZINC001266480549 790774239 /nfs/dbraw/zinc/77/42/39/790774239.db2.gz SUPWDWHKXJUNIM-FZMZJTMJSA-N 0 1 265.357 0.422 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN(CC(N)=O)C1 ZINC001266537708 790895617 /nfs/dbraw/zinc/89/56/17/790895617.db2.gz QYSBJCFGSTZRRX-NSHDSACASA-N 0 1 267.373 0.512 20 30 CCEDMN NC(=O)CN1CC[C@@H](CNC(=O)CC#Cc2ccccc2)C1 ZINC001266548982 790910853 /nfs/dbraw/zinc/91/08/53/790910853.db2.gz LJBNNWGOSIVRDB-HNNXBMFYSA-N 0 1 299.374 0.352 20 30 CCEDMN CC#CCN(CCNC(=O)C[C@H]1CCNC1=O)C1CC1 ZINC001266594834 790999274 /nfs/dbraw/zinc/99/92/74/790999274.db2.gz SFVJWEUIFZFKGC-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230814242 805670627 /nfs/dbraw/zinc/67/06/27/805670627.db2.gz AURAKHBNSJAKBX-GFCCVEGCSA-N 0 1 265.382 0.799 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCn1cccn1 ZINC001230806443 805670665 /nfs/dbraw/zinc/67/06/65/805670665.db2.gz MPMCHWKDQWNISN-CQSZACIVSA-N 0 1 274.368 0.829 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CCN1CCCO ZINC001231240603 805756828 /nfs/dbraw/zinc/75/68/28/805756828.db2.gz MUZWYIUKOVLCAZ-LBPRGKRZSA-N 0 1 256.346 0.104 20 30 CCEDMN C=CCOCCN1CC(N(C)C(=O)c2cc(C)[nH]n2)C1 ZINC001277565078 805854376 /nfs/dbraw/zinc/85/43/76/805854376.db2.gz WEXVZSGCPFACAP-UHFFFAOYSA-N 0 1 278.356 0.677 20 30 CCEDMN C=CCOCCN1CC(N(C)C(=O)c2cnc(C)[nH]2)C1 ZINC001277570320 805858614 /nfs/dbraw/zinc/85/86/14/805858614.db2.gz DNMJEPGOIAGALL-UHFFFAOYSA-N 0 1 278.356 0.677 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001277644352 805917569 /nfs/dbraw/zinc/91/75/69/805917569.db2.gz KOHFQCVNIDGTMA-CYBMUJFWSA-N 0 1 279.384 0.716 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@@H+]2CCC[C@@H](C(N)=O)C2)c1 ZINC001232674156 805967594 /nfs/dbraw/zinc/96/75/94/805967594.db2.gz SGWJGZQFVQVXSQ-LLVKDONJSA-N 0 1 259.309 0.961 20 30 CCEDMN Cc1nc(CN(C)[C@@H]2CCN(C(=O)C#CC3CC3)C2)n[nH]1 ZINC000971511922 791230394 /nfs/dbraw/zinc/23/03/94/791230394.db2.gz URICMRFEQMHAQN-CYBMUJFWSA-N 0 1 287.367 0.559 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1cc(C)[nH]n1 ZINC001125542399 791290957 /nfs/dbraw/zinc/29/09/57/791290957.db2.gz LQCPGXDHBRCKPJ-UHFFFAOYSA-N 0 1 256.737 0.719 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1cc(C)n[nH]1 ZINC001125542399 791290966 /nfs/dbraw/zinc/29/09/66/791290966.db2.gz LQCPGXDHBRCKPJ-UHFFFAOYSA-N 0 1 256.737 0.719 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)C[C@@H]1CCNC1=O ZINC001266844313 791282934 /nfs/dbraw/zinc/28/29/34/791282934.db2.gz JEJRPHDDIBAPLH-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN N#CCN1CCCC[C@@H]1CNC(=O)CCc1cnc[nH]1 ZINC001266845416 791283890 /nfs/dbraw/zinc/28/38/90/791283890.db2.gz YKSMNRDBCQYLSV-CYBMUJFWSA-N 0 1 275.356 0.837 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)C(C)(C)C#N ZINC001143407991 791313444 /nfs/dbraw/zinc/31/34/44/791313444.db2.gz NUBWWZDTDARBMG-UHFFFAOYSA-N 0 1 264.285 0.752 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)NCc1cc2n(n1)CCC2 ZINC001266927971 791361436 /nfs/dbraw/zinc/36/14/36/791361436.db2.gz ODMJGMLLRMHINM-LBPRGKRZSA-N 0 1 292.383 0.626 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cncnc3C)C[C@H]21 ZINC001041953862 791499094 /nfs/dbraw/zinc/49/90/94/791499094.db2.gz PBJZMWMPMXKQCR-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN N#CCN[C@H]1CCC[C@H](NC(=O)CCc2c[nH]nn2)C1 ZINC001267089226 791524408 /nfs/dbraw/zinc/52/44/08/791524408.db2.gz QNJHUQMNQKVTJR-QWRGUYRKSA-N 0 1 276.344 0.278 20 30 CCEDMN N#CCN[C@H]1CCC[C@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001267089226 791524415 /nfs/dbraw/zinc/52/44/15/791524415.db2.gz QNJHUQMNQKVTJR-QWRGUYRKSA-N 0 1 276.344 0.278 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc(C)n(C)n3)C[C@@H]21 ZINC001041964666 791546728 /nfs/dbraw/zinc/54/67/28/791546728.db2.gz RAFNITPRRUKONV-HIFRSBDPSA-N 0 1 286.379 0.898 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCOC1CCOCC1 ZINC001267224591 791699750 /nfs/dbraw/zinc/69/97/50/791699750.db2.gz FZWRWGHDDYTIQP-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN N#Cc1ccnc(N2CCN(Cc3c[nH]nn3)CC2)c1 ZINC001203206110 791705979 /nfs/dbraw/zinc/70/59/79/791705979.db2.gz NOZYTRJURXPFRY-UHFFFAOYSA-N 0 1 269.312 0.394 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)C[C@H]1CCN1CCOC ZINC001233936357 806149941 /nfs/dbraw/zinc/14/99/41/806149941.db2.gz HNSUECFJPDOHEA-TZMCWYRMSA-N 0 1 270.373 0.493 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CCN1CCOC ZINC001233936352 806150753 /nfs/dbraw/zinc/15/07/53/806150753.db2.gz HNSUECFJPDOHEA-GXTWGEPZSA-N 0 1 270.373 0.493 20 30 CCEDMN CC(C)C#CC(=O)N(C)C[C@H]1CCN1C[C@@H](C)O ZINC001234162776 806194079 /nfs/dbraw/zinc/19/40/79/806194079.db2.gz VBTVOKBRJQTIDJ-CHWSQXEVSA-N 0 1 252.358 0.559 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CNC(=O)N1CCCC1 ZINC001234224105 806206713 /nfs/dbraw/zinc/20/67/13/806206713.db2.gz GHHNDGKTMRBGFI-ZDUSSCGKSA-N 0 1 294.399 0.511 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CCN1CCOC(C)C ZINC001234265123 806212203 /nfs/dbraw/zinc/21/22/03/806212203.db2.gz HISZULYAULQSJH-GJZGRUSLSA-N 0 1 296.411 0.982 20 30 CCEDMN C=CC[N@@H+](CC)CCNC(=O)c1cnn2c1OCCC2 ZINC001267245486 793238100 /nfs/dbraw/zinc/23/81/00/793238100.db2.gz MZUYXWAMXBZEGA-UHFFFAOYSA-N 0 1 278.356 0.903 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC001267248637 793241055 /nfs/dbraw/zinc/24/10/55/793241055.db2.gz DXHTWERCJURDCU-CYBMUJFWSA-N 0 1 288.395 0.596 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)COCCC ZINC001235137551 806377913 /nfs/dbraw/zinc/37/79/13/806377913.db2.gz OBXJOJRXSWRDMX-UHFFFAOYSA-N 0 1 285.388 0.153 20 30 CCEDMN CN(C)S(=O)(=O)N1CC[C@H]2[C@@H]1CCN2CCCC#N ZINC001277945087 806422320 /nfs/dbraw/zinc/42/23/20/806422320.db2.gz LQOGKNNSLRBRLT-RYUDHWBXSA-N 0 1 286.401 0.245 20 30 CCEDMN C#C[C@](C)(CC)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC001211923356 814930917 /nfs/dbraw/zinc/93/09/17/814930917.db2.gz ZRNGGHBEWBHEBJ-SNVBAGLBSA-N 0 1 286.313 0.409 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235701760 806494220 /nfs/dbraw/zinc/49/42/20/806494220.db2.gz PWGBZXZLMUOSDO-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235701760 806494224 /nfs/dbraw/zinc/49/42/24/806494224.db2.gz PWGBZXZLMUOSDO-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN N#Cc1cccc(CN[C@H](CO)CNC(=O)C#CC2CC2)c1 ZINC001278077549 806652485 /nfs/dbraw/zinc/65/24/85/806652485.db2.gz KWBPCKCYADREIS-INIZCTEOSA-N 0 1 297.358 0.538 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccnc(C2CC2)c1 ZINC001278104990 806675522 /nfs/dbraw/zinc/67/55/22/806675522.db2.gz DBYHRKJATKTOPV-CYBMUJFWSA-N 0 1 273.336 0.273 20 30 CCEDMN C=C(C)C[NH+]1CCC(NC(=O)c2cnncc2[O-])CC1 ZINC001120600555 798884371 /nfs/dbraw/zinc/88/43/71/798884371.db2.gz CGTLMASCKLVYBV-UHFFFAOYSA-N 0 1 276.340 0.953 20 30 CCEDMN C#CCN(C(=O)CCn1cccn1)C1CCN(CC#C)CC1 ZINC001278122039 806703041 /nfs/dbraw/zinc/70/30/41/806703041.db2.gz VQXHAOPRFWPVGS-UHFFFAOYSA-N 0 1 298.390 0.833 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)C1CC2(CCC2)C1 ZINC001278137726 806712727 /nfs/dbraw/zinc/71/27/27/806712727.db2.gz ISDXHPUFVNONPQ-AWEZNQCLSA-N 0 1 294.395 0.283 20 30 CCEDMN C#CCN1CCC(c2nnc(N(C)OC)n2C)CC1 ZINC001121423201 799045765 /nfs/dbraw/zinc/04/57/65/799045765.db2.gz UZJUFUDZXFIGNW-UHFFFAOYSA-N 0 1 263.345 0.625 20 30 CCEDMN C=CCn1c(CO)nnc1N1CCN(C)C(C)(C)C1 ZINC001121599661 799059325 /nfs/dbraw/zinc/05/93/25/799059325.db2.gz YMCYOLCUDYLWNE-UHFFFAOYSA-N 0 1 265.361 0.487 20 30 CCEDMN C=CCn1c(C(N)=O)nnc1N1CCN(C)C(C)(C)C1 ZINC001121613454 799060750 /nfs/dbraw/zinc/06/07/50/799060750.db2.gz ZYJTVCLILOSOAB-UHFFFAOYSA-N 0 1 278.360 0.093 20 30 CCEDMN C#C[C@@H]1CCCN(c2nnc(-c3c[nH]nn3)n2CC)C1 ZINC001121779393 799069910 /nfs/dbraw/zinc/06/99/10/799069910.db2.gz CASKARPNAJPYTG-SNVBAGLBSA-N 0 1 271.328 0.933 20 30 CCEDMN COCCC(=O)NCCNCc1ccc(C#N)cc1F ZINC001122394282 799222992 /nfs/dbraw/zinc/22/29/92/799222992.db2.gz SYHDAPDOHGNTTF-UHFFFAOYSA-N 0 1 279.315 0.940 20 30 CCEDMN CNC(=O)C(C#N)C(=O)C1CCC(NC(C)=O)CC1 ZINC001122465517 799241554 /nfs/dbraw/zinc/24/15/54/799241554.db2.gz YJBPSHXGWDOWJQ-VQXHTEKXSA-N 0 1 265.313 0.136 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1sc(CC)nc1C ZINC001278171324 806739431 /nfs/dbraw/zinc/73/94/31/806739431.db2.gz FBLMHQYEKVDXQN-NSHDSACASA-N 0 1 295.408 0.718 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(OC)c(C)c1 ZINC001278171233 806740085 /nfs/dbraw/zinc/74/00/85/806740085.db2.gz CDXDQWDKZAUWOI-CYBMUJFWSA-N 0 1 276.336 0.317 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1c[nH]cc1C1CC1 ZINC001278179943 806742711 /nfs/dbraw/zinc/74/27/11/806742711.db2.gz XAEXOLCAXZMCHG-LBPRGKRZSA-N 0 1 275.352 0.596 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)CCn1cncn1 ZINC001123659375 799450516 /nfs/dbraw/zinc/45/05/16/799450516.db2.gz JAFXNUCGGHHSGC-UHFFFAOYSA-N 0 1 298.350 0.446 20 30 CCEDMN CCN1CCN(C2CN(C(=O)C#CC(C)(C)C)C2)CC1 ZINC001123965153 799517835 /nfs/dbraw/zinc/51/78/35/799517835.db2.gz SYXVPQFCBZDZPF-UHFFFAOYSA-N 0 1 277.412 0.884 20 30 CCEDMN CCN1CCN(C2CN(C(=O)C#CC3CC3)C2)CC1 ZINC001123964840 799517880 /nfs/dbraw/zinc/51/78/80/799517880.db2.gz OKZRATLVXGVVHL-UHFFFAOYSA-N 0 1 261.369 0.248 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc2ncsc2c1 ZINC001278193704 806755935 /nfs/dbraw/zinc/75/59/35/806755935.db2.gz BNKQDOIIKXEVAJ-NSHDSACASA-N 0 1 289.360 0.610 20 30 CCEDMN COC(=O)NCC(=O)NCCNCc1ccccc1C#N ZINC001124172918 799571746 /nfs/dbraw/zinc/57/17/46/799571746.db2.gz SELMOOJHGMREBW-UHFFFAOYSA-N 0 1 290.323 0.120 20 30 CCEDMN N#Cc1ccc(F)c(CNCCNC(=O)[C@H]2CCOC2)c1 ZINC001124503347 799610636 /nfs/dbraw/zinc/61/06/36/799610636.db2.gz ZAYAAYXJHSDGTE-LBPRGKRZSA-N 0 1 291.326 0.940 20 30 CCEDMN C=CCCC(=O)NCCNCc1cnc(OC)nc1C ZINC001124636536 799620655 /nfs/dbraw/zinc/62/06/55/799620655.db2.gz SNCSOTZYDZIFQQ-UHFFFAOYSA-N 0 1 278.356 0.966 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cncs1 ZINC001124767408 799633929 /nfs/dbraw/zinc/63/39/29/799633929.db2.gz FKHZDDAPQOOOEP-UHFFFAOYSA-N 0 1 255.343 0.552 20 30 CCEDMN Cc1cnc([C@H](C)NCCNC(=O)[C@H](C)C#N)cn1 ZINC001124895360 799644927 /nfs/dbraw/zinc/64/49/27/799644927.db2.gz GXCPHBXXGYDCLF-KOLCDFICSA-N 0 1 261.329 0.712 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1nccnc1N ZINC001125028908 799652629 /nfs/dbraw/zinc/65/26/29/799652629.db2.gz AGMXGMOEKPGPTR-UHFFFAOYSA-N 0 1 255.709 0.131 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)C(C)(C)c1cnc[nH]1 ZINC001137919544 799695066 /nfs/dbraw/zinc/69/50/66/799695066.db2.gz OTTWJSFHOYAWNK-JTQLQIEISA-N 0 1 251.330 0.988 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@]1(C)CC=C(C)CC1 ZINC001278201640 806769778 /nfs/dbraw/zinc/76/97/78/806769778.db2.gz IEIGRQSORJSIHS-ZFWWWQNUSA-N 0 1 264.369 0.823 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1coc2ccccc21 ZINC001278213789 806775569 /nfs/dbraw/zinc/77/55/69/806775569.db2.gz QFHNZNBGXOXADG-NSHDSACASA-N 0 1 272.304 0.746 20 30 CCEDMN C=CCONC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC001140879942 799956311 /nfs/dbraw/zinc/95/63/11/799956311.db2.gz UZWVGVCGNRICJQ-UHFFFAOYSA-N 0 1 271.298 0.301 20 30 CCEDMN Cc1nc(NC(=O)N[C@H]2CNC[C@H]2C#N)sc1C ZINC000841015336 800065423 /nfs/dbraw/zinc/06/54/23/800065423.db2.gz CZFUNOVJIZUSJC-BDAKNGLRSA-N 0 1 265.342 0.993 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)COCC2CC2)C1 ZINC001149248591 800239359 /nfs/dbraw/zinc/23/93/59/800239359.db2.gz QZPLZJXJQPDQQZ-HNNXBMFYSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCCN1CCCO[C@H](CNC(=O)C(=O)C(C)(C)C)C1 ZINC001149440249 800261809 /nfs/dbraw/zinc/26/18/09/800261809.db2.gz UANAPHCFPRBQKJ-CYBMUJFWSA-N 0 1 294.395 0.832 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@@H](CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001150487320 800339446 /nfs/dbraw/zinc/33/94/46/800339446.db2.gz HGEWNQXHSZCWEW-HOCLYGCPSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001150487320 800339451 /nfs/dbraw/zinc/33/94/51/800339451.db2.gz HGEWNQXHSZCWEW-HOCLYGCPSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1n[nH]c(C(C)C)n1 ZINC001153135219 800600691 /nfs/dbraw/zinc/60/06/91/800600691.db2.gz NYQQCJCOAYTKOR-LLVKDONJSA-N 0 1 295.387 0.725 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2n[nH]c3cccnc32)CCO1 ZINC001153151173 800603070 /nfs/dbraw/zinc/60/30/70/800603070.db2.gz IBHPDSQNICDGHN-MRVPVSSYSA-N 0 1 257.253 0.322 20 30 CCEDMN Cc1ccnc(CNCCCNC(=O)CSCC#N)n1 ZINC001154803029 800848410 /nfs/dbraw/zinc/84/84/10/800848410.db2.gz RPQBPTFPYSBRFB-UHFFFAOYSA-N 0 1 293.396 0.638 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCNCc1cnn(C)n1 ZINC001155426635 800973136 /nfs/dbraw/zinc/97/31/36/800973136.db2.gz HPZLKVPUMQWWSI-GFCCVEGCSA-N 0 1 295.387 0.392 20 30 CCEDMN C=CCCC(=O)NCCCN[C@H](C)c1nncn1C ZINC001155587003 801017388 /nfs/dbraw/zinc/01/73/88/801017388.db2.gz TWEGDMHRRBAGDB-LLVKDONJSA-N 0 1 265.361 0.938 20 30 CCEDMN Cc1cc(C(=O)N(C)Cc2nnc[nH]2)ncc1C#N ZINC001155786181 801050814 /nfs/dbraw/zinc/05/08/14/801050814.db2.gz QRBYIPWVDWEUPR-UHFFFAOYSA-N 0 1 256.269 0.652 20 30 CCEDMN Cc1cnc(CNCCCNC(=O)[C@H](C)C#N)o1 ZINC001155828702 801060278 /nfs/dbraw/zinc/06/02/78/801060278.db2.gz QVQYPMUVBXOPRP-SECBINFHSA-N 0 1 250.302 0.739 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1ncc(Cl)n1C ZINC001155835846 801061292 /nfs/dbraw/zinc/06/12/92/801061292.db2.gz GHJKQLOKQCWJMC-VIFPVBQESA-N 0 1 283.763 0.829 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1C[C@H]2C[C@@H](C1)Cn1c2cccc1=O ZINC001157589855 801426867 /nfs/dbraw/zinc/42/68/67/801426867.db2.gz AFJCRHQLVJQHFP-OZVIIMIRSA-N 0 1 285.347 0.145 20 30 CCEDMN CC(C)C#CC(=O)NCCCNCc1nnnn1C(C)C ZINC001157633117 801441691 /nfs/dbraw/zinc/44/16/91/801441691.db2.gz QNLPDVFAAJEANF-UHFFFAOYSA-N 0 1 292.387 0.509 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cc1cc[nH]n1 ZINC001157729230 801463968 /nfs/dbraw/zinc/46/39/68/801463968.db2.gz QMKZDAABJZCNOK-CQSZACIVSA-N 0 1 274.368 0.898 20 30 CCEDMN CN(CC#N)C[C@H]1CCCCN1C(=O)CCc1nc[nH]n1 ZINC001157775877 801481933 /nfs/dbraw/zinc/48/19/33/801481933.db2.gz ZVZWIDRBSGUPII-GFCCVEGCSA-N 0 1 290.371 0.574 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CN1CCOCC1 ZINC001157828012 801497102 /nfs/dbraw/zinc/49/71/02/801497102.db2.gz YFWCKXAMKCDXMS-OAHLLOKOSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1COC(=O)N1 ZINC001157892408 801512352 /nfs/dbraw/zinc/51/23/52/801512352.db2.gz CHHZKEZEXRJQDD-NEPJUHHUSA-N 0 1 279.340 0.041 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cnc[nH]c1=O ZINC001158113023 801573726 /nfs/dbraw/zinc/57/37/26/801573726.db2.gz BOPCAJKJGUCSKR-GFCCVEGCSA-N 0 1 288.351 0.742 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)c1cnc[nH]c1=O ZINC001158113023 801573719 /nfs/dbraw/zinc/57/37/19/801573719.db2.gz BOPCAJKJGUCSKR-GFCCVEGCSA-N 0 1 288.351 0.742 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1N[C@@](N)(CC)CO ZINC001159747104 801861369 /nfs/dbraw/zinc/86/13/69/801861369.db2.gz DDTAMQKXDUUXMS-LBPRGKRZSA-N 0 1 260.301 0.252 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@@H](N)Cc2c[nH]c3ncccc23)CCO1 ZINC001160877776 802038857 /nfs/dbraw/zinc/03/88/57/802038857.db2.gz CCYNEJXXLLPEEH-AAEUAGOBSA-N 0 1 299.334 0.184 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cc2cnoc2)CC1 ZINC001160985771 802058200 /nfs/dbraw/zinc/05/82/00/802058200.db2.gz IECSKKWMHCWBQN-UHFFFAOYSA-N 0 1 273.336 0.989 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2CCC(=O)N2C)CC1 ZINC001160997339 802059564 /nfs/dbraw/zinc/05/95/64/802059564.db2.gz OTMZMLPKXHZXDB-CQSZACIVSA-N 0 1 289.379 0.379 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cccc(=O)n2C)CC1 ZINC001161182540 802106204 /nfs/dbraw/zinc/10/62/04/802106204.db2.gz ZXCVGEPWVXQRPU-UHFFFAOYSA-N 0 1 299.374 0.771 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@@H](Oc2ncccn2)C1 ZINC001161295552 802120621 /nfs/dbraw/zinc/12/06/21/802120621.db2.gz LMFNZWOSURPJLJ-RISCZKNCSA-N 0 1 274.324 0.197 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cccnn2)CC1 ZINC001161530796 802171202 /nfs/dbraw/zinc/17/12/02/802171202.db2.gz SJQZQIJTKBFFNI-UHFFFAOYSA-N 0 1 270.336 0.862 20 30 CCEDMN N#CCNCCCNC(=O)c1ccn2cncc2c1 ZINC001161859267 802232103 /nfs/dbraw/zinc/23/21/03/802232103.db2.gz FRCJXINVMUODHR-UHFFFAOYSA-N 0 1 257.297 0.567 20 30 CCEDMN C=CCS(=O)(=O)[N-]C(=O)[C@@H]1CCCC[N@@H+]1CCC ZINC001255147655 808322223 /nfs/dbraw/zinc/32/22/23/808322223.db2.gz DBYPOUOVBOYCAJ-NSHDSACASA-N 0 1 274.386 0.883 20 30 CCEDMN COc1ccc(C#N)c(NCc2nnc3n2CCCNC3)n1 ZINC001163028084 802464135 /nfs/dbraw/zinc/46/41/35/802464135.db2.gz WMWGQICSIBNZGK-UHFFFAOYSA-N 0 1 299.338 0.659 20 30 CCEDMN C=CC[C@@](C)(Nc1ncc2c(n1)CNC2)C(=O)OC ZINC001163089675 802479132 /nfs/dbraw/zinc/47/91/32/802479132.db2.gz SJBSLTLOCPBKLC-CYBMUJFWSA-N 0 1 262.313 1.000 20 30 CCEDMN N#Cc1ccc(NCc2noc3c2CNCC3)nn1 ZINC001163124666 802487074 /nfs/dbraw/zinc/48/70/74/802487074.db2.gz QWTZHTPDTOLTEZ-UHFFFAOYSA-N 0 1 256.269 0.594 20 30 CCEDMN Cn1ncc(NS(=O)(=O)C2CCOCC2)c1C#N ZINC001259909163 808797715 /nfs/dbraw/zinc/79/77/15/808797715.db2.gz ZBHXEZVOZHNHSD-UHFFFAOYSA-N 0 1 270.314 0.212 20 30 CCEDMN C=CCS(=O)(=O)Nc1c(OC)ncnc1OC ZINC001259922482 808804622 /nfs/dbraw/zinc/80/46/22/808804622.db2.gz KZONTOZRBALLNL-UHFFFAOYSA-N 0 1 259.287 0.422 20 30 CCEDMN CC(=O)C=C(C)Nc1ncc(S(C)(=O)=O)cn1 ZINC001163737429 802601726 /nfs/dbraw/zinc/60/17/26/802601726.db2.gz BDJRUURNAZJDFO-DAXSKMNVSA-N 0 1 255.299 0.785 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1C[C@@H](NCc2nccn2C)C1 ZINC001264387580 809649610 /nfs/dbraw/zinc/64/96/10/809649610.db2.gz SNSLFSQZABCKGN-BETUJISGSA-N 0 1 292.383 0.607 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCNCc1cn(C)nn1 ZINC001164221512 802690650 /nfs/dbraw/zinc/69/06/50/802690650.db2.gz BWCRNHHDGPKOHH-RYUDHWBXSA-N 0 1 279.388 0.869 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCNCc1ncnn1C ZINC001164221490 802690704 /nfs/dbraw/zinc/69/07/04/802690704.db2.gz BOVPDYIBFSELQE-NEPJUHHUSA-N 0 1 279.388 0.869 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(C(=O)NC)CC1 ZINC001279587216 809987638 /nfs/dbraw/zinc/98/76/38/809987638.db2.gz LPUGHMCNJKJINJ-QWHCGFSZSA-N 0 1 289.379 0.211 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001164323419 802723320 /nfs/dbraw/zinc/72/33/20/802723320.db2.gz HIKDTPKGIXWZJW-NWDGAFQWSA-N 0 1 294.403 0.969 20 30 CCEDMN C=CCCCC(=O)NCCCN[C@H](C)c1nnnn1C ZINC001165543135 802853225 /nfs/dbraw/zinc/85/32/25/802853225.db2.gz ZHPYBOGDMGOWCF-LLVKDONJSA-N 0 1 280.376 0.723 20 30 CCEDMN C=CC[N@@H+]1CC[C@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001038921147 812343001 /nfs/dbraw/zinc/34/30/01/812343001.db2.gz KSBFJVGOUFPHMI-VIFPVBQESA-N 0 1 288.307 0.919 20 30 CCEDMN C=CC[N@H+]1CC[C@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001038921147 812343009 /nfs/dbraw/zinc/34/30/09/812343009.db2.gz KSBFJVGOUFPHMI-VIFPVBQESA-N 0 1 288.307 0.919 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001028191854 812343679 /nfs/dbraw/zinc/34/36/79/812343679.db2.gz ZIPUBODOROIWES-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@H](C)COC ZINC001268301214 812460080 /nfs/dbraw/zinc/46/00/80/812460080.db2.gz RZLCJWLMMDGMEA-PSASIEDQSA-N 0 1 264.753 0.088 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H](COC)OC)C1 ZINC001276431458 803055222 /nfs/dbraw/zinc/05/52/22/803055222.db2.gz DNJUKUKVUBWRIX-YPMHNXCESA-N 0 1 268.357 0.108 20 30 CCEDMN COCCOCCN1C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C1 ZINC001206945933 803314461 /nfs/dbraw/zinc/31/44/61/803314461.db2.gz BGTSTAQNIOEEPX-HUUCEWRRSA-N 0 1 296.411 0.745 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CC2(O)CCC2)C1 ZINC001206945141 803314511 /nfs/dbraw/zinc/31/45/11/803314511.db2.gz OTOCCJFNWYFOJB-VXGBXAGGSA-N 0 1 250.342 0.361 20 30 CCEDMN CNC(=O)NC1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC001207110449 803354871 /nfs/dbraw/zinc/35/48/71/803354871.db2.gz NBTSDXRTXJXZBV-UHFFFAOYSA-N 0 1 275.356 0.790 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COc2cc(C)on2)C1 ZINC001207641307 803428895 /nfs/dbraw/zinc/42/88/95/803428895.db2.gz GFYRYBXHUIPJMT-DGCLKSJQSA-N 0 1 291.351 0.822 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@@H](NCc2cnnn2C)C1 ZINC001207944616 803470204 /nfs/dbraw/zinc/47/02/04/803470204.db2.gz PPTIIRUBVCMNCV-CYBMUJFWSA-N 0 1 289.383 0.699 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H](C)c2cnn(C)c2)C1 ZINC001208070992 803485993 /nfs/dbraw/zinc/48/59/93/803485993.db2.gz IPKPPPQSWZKUFN-UMVBOHGHSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COCC(F)F)C(C)(C)C1 ZINC001276593119 803549506 /nfs/dbraw/zinc/54/95/06/803549506.db2.gz GUWMKDGTKDOMQR-JTQLQIEISA-N 0 1 274.311 0.728 20 30 CCEDMN C=CCOCC[N@H+]1C[C@@H](C)[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001208914457 803554023 /nfs/dbraw/zinc/55/40/23/803554023.db2.gz WXZORJNUZLHFMM-TZMCWYRMSA-N 0 1 292.383 0.591 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)CCc2cn[nH]c2)C1 ZINC001209350911 803598558 /nfs/dbraw/zinc/59/85/58/803598558.db2.gz ITMOVNBFLUWEDR-TZMCWYRMSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCc2cn[nH]c2)C1 ZINC001209350911 803598559 /nfs/dbraw/zinc/59/85/59/803598559.db2.gz ITMOVNBFLUWEDR-TZMCWYRMSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCOCCN1C[C@@H](C)[C@H](NC(=O)c2cnn(C)n2)C1 ZINC001209437578 803604349 /nfs/dbraw/zinc/60/43/49/803604349.db2.gz XACLTJMGPZQEOM-DGCLKSJQSA-N 0 1 293.371 0.068 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H](COC)OC)C1 ZINC001209542701 803610172 /nfs/dbraw/zinc/61/01/72/803610172.db2.gz ALUQJODHTFCJIS-VXGBXAGGSA-N 0 1 290.791 0.981 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCCOCC)C1 ZINC001211291903 803675993 /nfs/dbraw/zinc/67/59/93/803675993.db2.gz DVNMLYDPSJXCRQ-HZSPNIEDSA-N 0 1 282.384 0.498 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCC=C)C1 ZINC001211422554 803681090 /nfs/dbraw/zinc/68/10/90/803681090.db2.gz NWTXDKVZMFKUCA-FRRDWIJNSA-N 0 1 250.342 0.647 20 30 CCEDMN N#C[C@]1(F)CCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000897516369 814965093 /nfs/dbraw/zinc/96/50/93/814965093.db2.gz DZSCTYHAFXEZOZ-WCQYABFASA-N 0 1 267.304 0.171 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCNC3=O)[C@@H]2C1 ZINC001075912633 814972817 /nfs/dbraw/zinc/97/28/17/814972817.db2.gz XFDAMKHDLZVTJS-MELADBBJSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3c(C)ncn3C)[C@@H]2C1 ZINC001075912274 814973078 /nfs/dbraw/zinc/97/30/78/814973078.db2.gz RUHANNJJUXRCBF-QWHCGFSZSA-N 0 1 272.352 0.508 20 30 CCEDMN N#Cc1ccc(-c2noc(-c3cc4n(n3)CCNC4)n2)nc1 ZINC001217215262 803877747 /nfs/dbraw/zinc/87/77/47/803877747.db2.gz BBMPLAPEASNYKB-UHFFFAOYSA-N 0 1 293.290 0.970 20 30 CCEDMN C=CCOCC(=O)N1CCO[C@@H]2CN(CCCOC)C[C@@H]21 ZINC001217523327 803894690 /nfs/dbraw/zinc/89/46/90/803894690.db2.gz TZPKCBJIJHJNTO-UONOGXRCSA-N 0 1 298.383 0.137 20 30 CCEDMN C#C[C@](C)(N)C(=O)Nc1nc2n[nH]cc2c(Cl)n1 ZINC001218799520 804124321 /nfs/dbraw/zinc/12/43/21/804124321.db2.gz SEFIURPIFXOOIQ-JTQLQIEISA-N 0 1 264.676 0.295 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2cc(C)no2)[C@@H](O)C1 ZINC001219175323 804226573 /nfs/dbraw/zinc/22/65/73/804226573.db2.gz DJXQYXFQGHNQNP-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccncc2C)[C@@H](O)C1 ZINC001083449198 815002078 /nfs/dbraw/zinc/00/20/78/815002078.db2.gz KQFGCBBKEQZDPC-KGLIPLIRSA-N 0 1 273.336 0.188 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)nnn2CC)[C@H]1C ZINC001088617356 815005001 /nfs/dbraw/zinc/00/50/01/815005001.db2.gz IRNZWGNJTMCDRI-NEPJUHHUSA-N 0 1 277.372 0.985 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)C(F)=C(C)C)[C@@H](O)C1 ZINC001219459642 804322323 /nfs/dbraw/zinc/32/23/23/804322323.db2.gz ZPKRZTFILLKUHB-MNOVXSKESA-N 0 1 256.321 0.987 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C3CC(OC)C3)[C@@H]2C1 ZINC001075921719 815008542 /nfs/dbraw/zinc/00/85/42/815008542.db2.gz KQALUCWUUPMILG-GFJIZPEISA-N 0 1 262.353 0.577 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CN(CC(=C)C)C[C@@H]1O ZINC001219535573 804340399 /nfs/dbraw/zinc/34/03/99/804340399.db2.gz BUWLVNLAIBHZOK-DYEKYZERSA-N 0 1 268.357 0.051 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCOCC2CC2)[C@@H](O)C1 ZINC001219615483 804376726 /nfs/dbraw/zinc/37/67/26/804376726.db2.gz QHZYPFVWSPUVCP-KGLIPLIRSA-N 0 1 282.384 0.541 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@]2(O)CCNC[C@@H]2F)C1 ZINC001219622317 804378552 /nfs/dbraw/zinc/37/85/52/804378552.db2.gz QYXWBTIXHSLRAF-GXFFZTMASA-N 0 1 256.321 0.521 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@@H]1O ZINC001219706863 804410697 /nfs/dbraw/zinc/41/06/97/804410697.db2.gz RVMOERNZWPOQDF-MJBXVCDLSA-N 0 1 298.427 0.911 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@@H]1O ZINC001219706736 804410792 /nfs/dbraw/zinc/41/07/92/804410792.db2.gz MNTJFJIKCGQZHB-UPJWGTAASA-N 0 1 284.400 0.785 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@@H]1O ZINC001219720250 804414041 /nfs/dbraw/zinc/41/40/41/804414041.db2.gz HQNMISRLVJRVHI-XQQFMLRXSA-N 0 1 270.373 0.539 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H]1CCC[C@H](C(N)=O)C1 ZINC001272125713 815021855 /nfs/dbraw/zinc/02/18/55/815021855.db2.gz NEWWRUYHSJKWIA-UONOGXRCSA-N 0 1 293.411 0.692 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2ccncc2)[C@@H](O)C1 ZINC001219934422 804451964 /nfs/dbraw/zinc/45/19/64/804451964.db2.gz PRGIIRVXROGMBF-CABCVRRESA-N 0 1 287.363 0.199 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H]1CCC[C@H](C(N)=O)C1 ZINC001272125711 815022402 /nfs/dbraw/zinc/02/24/02/815022402.db2.gz NEWWRUYHSJKWIA-KBPBESRZSA-N 0 1 293.411 0.692 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)NCCCCC#N ZINC001220191305 804532075 /nfs/dbraw/zinc/53/20/75/804532075.db2.gz DJNGUTPHUPSFGC-NSHDSACASA-N 0 1 268.361 0.286 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCOCC2CC2)C[C@@H]1O ZINC001220247724 804547722 /nfs/dbraw/zinc/54/77/22/804547722.db2.gz RBOPVEWPQSQZQY-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001220280667 804560450 /nfs/dbraw/zinc/56/04/50/804560450.db2.gz QXYCIACLVRJHKV-IPYPFGDCSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CC1(CC(=O)N[C@@H]2CN(CCO)C[C@@H]2O)CCCCC1 ZINC001220526326 804620426 /nfs/dbraw/zinc/62/04/26/804620426.db2.gz SMQZECVRZMCXKC-KGLIPLIRSA-N 0 1 296.411 0.667 20 30 CCEDMN CN(CCN(C)c1ccc(C#N)cn1)C(=O)Cc1ncn[nH]1 ZINC001105471696 815030886 /nfs/dbraw/zinc/03/08/86/815030886.db2.gz XFICPKQBSUIQDU-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CN(CC=C(C)C)C[C@@H]1O ZINC001221145871 804735150 /nfs/dbraw/zinc/73/51/50/804735150.db2.gz NXUHYFAVJBKYOR-MCIONIFRSA-N 0 1 282.384 0.705 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CN(C)C(C)=O)[C@@H]2C1 ZINC001221299177 804771834 /nfs/dbraw/zinc/77/18/34/804771834.db2.gz TXHVSLQKKDFTHC-ZIAGYGMSSA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CNC(=O)OC)[C@@H]2C1 ZINC001221319669 804775159 /nfs/dbraw/zinc/77/51/59/804775159.db2.gz GOUOIZWKVMFKKZ-GHMZBOCLSA-N 0 1 267.329 0.061 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](C)COC)[C@@H]2C1 ZINC001221440433 804817690 /nfs/dbraw/zinc/81/76/90/804817690.db2.gz ZRMOHDPSQDTDNV-YNEHKIRRSA-N 0 1 252.358 0.988 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CNC(=O)CC(C)(C)C ZINC001272173243 815037102 /nfs/dbraw/zinc/03/71/02/815037102.db2.gz ZYJZEMVVOWDPDN-UHFFFAOYSA-N 0 1 295.427 0.952 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NC[C@@H]1CN(C)CCN1C ZINC001221915087 804854732 /nfs/dbraw/zinc/85/47/32/804854732.db2.gz RALQFHUEXYXEIM-MCIONIFRSA-N 0 1 296.415 0.265 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](CC(C)C)C(N)=O)[C@@H]2C1 ZINC001222136485 804874137 /nfs/dbraw/zinc/87/41/37/804874137.db2.gz WSRBTEKPKGUZHB-HZSPNIEDSA-N 0 1 293.411 0.853 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)CCOC)CC1 ZINC001222214001 804881295 /nfs/dbraw/zinc/88/12/95/804881295.db2.gz LCTHWKBUZNYFGE-UHFFFAOYSA-N 0 1 282.384 0.501 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001222293873 804888939 /nfs/dbraw/zinc/88/89/39/804888939.db2.gz QTXDXWCFULMVPY-GXTWGEPZSA-N 0 1 293.411 0.773 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)[C@H](C)OC)CC1 ZINC001222501022 804908331 /nfs/dbraw/zinc/90/83/31/804908331.db2.gz PMQRDWJAIGGLKL-LBPRGKRZSA-N 0 1 297.399 0.152 20 30 CCEDMN C=CCCC(=O)NCC1CCN(CC(=O)NC)CC1 ZINC001222573690 804917358 /nfs/dbraw/zinc/91/73/58/804917358.db2.gz JUZLMTJZAPPRFH-UHFFFAOYSA-N 0 1 267.373 0.527 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CCN(CC(=O)NC2CC2)CC1 ZINC001222641444 804924153 /nfs/dbraw/zinc/92/41/53/804924153.db2.gz MGUHANWLNJIVKL-NSHDSACASA-N 0 1 292.383 0.253 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)C1=CCOCC1 ZINC001276834247 804930068 /nfs/dbraw/zinc/93/00/68/804930068.db2.gz JLCSQLRPTDZJHC-AWEZNQCLSA-N 0 1 280.368 0.726 20 30 CCEDMN CO[C@H](C)CN1CC[C@@H]1CNC(=O)C#CC(C)C ZINC001276842161 804935116 /nfs/dbraw/zinc/93/51/16/804935116.db2.gz KRZBRKPBXNNXCM-CHWSQXEVSA-N 0 1 252.358 0.871 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)c2cnco2)CC1 ZINC001223973108 804999471 /nfs/dbraw/zinc/99/94/71/804999471.db2.gz OXABQRNJXVQEQA-UHFFFAOYSA-N 0 1 291.351 0.766 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1CCN([C@@H](C)C(N)=O)CC1 ZINC001224051359 805005577 /nfs/dbraw/zinc/00/55/77/805005577.db2.gz SQYBMPYHJFCDBH-NSHDSACASA-N 0 1 281.400 0.901 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)NCC#CCN(C)C)[nH]n1 ZINC001224059539 805006134 /nfs/dbraw/zinc/00/61/34/805006134.db2.gz JVZDAODAVBYBNF-NSHDSACASA-N 0 1 277.372 0.513 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224187678 805013025 /nfs/dbraw/zinc/01/30/25/805013025.db2.gz XIKKWWSZJKVZCW-GFCCVEGCSA-N 0 1 281.400 0.902 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1C[C@H](CNCc2ncnn2C)C1 ZINC001276976727 805045003 /nfs/dbraw/zinc/04/50/03/805045003.db2.gz KIZJWZWCPLTJRE-JOCQHMNTSA-N 0 1 289.383 0.459 20 30 CCEDMN C=CCOCC(=O)N[C@]1(C)CCN([C@H](C)C(=O)N(C)C)C1 ZINC001278369227 807041170 /nfs/dbraw/zinc/04/11/70/807041170.db2.gz NPOFNCMLPZXHKV-IUODEOHRSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)c2ccoc2)CC1 ZINC001277002127 805088445 /nfs/dbraw/zinc/08/84/45/805088445.db2.gz LRWRVUWUAWMMEB-NSHDSACASA-N 0 1 289.335 0.270 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1ccc(C(N)=O)cc1 ZINC001225060631 805104946 /nfs/dbraw/zinc/10/49/46/805104946.db2.gz WKQQQNDZAXTCIW-UHFFFAOYSA-N 0 1 288.351 0.150 20 30 CCEDMN C#C[C@H](Oc1nccc(O)c1[N+](=O)[O-])C(=O)OCC ZINC001225667637 805148970 /nfs/dbraw/zinc/14/89/70/805148970.db2.gz UVKYYGVPPCMMGT-QMMMGPOBSA-N 0 1 266.209 0.639 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccc(F)cn2)[C@H]1C ZINC001088668076 815053676 /nfs/dbraw/zinc/05/36/76/815053676.db2.gz AKOBCNGEUGLYCZ-RISCZKNCSA-N 0 1 275.327 0.975 20 30 CCEDMN Cc1cc(=O)[n-]c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)n1 ZINC001226159833 805199285 /nfs/dbraw/zinc/19/92/85/805199285.db2.gz XJRABQOFHTWIOS-ADAFDVPTSA-N 0 1 263.297 0.482 20 30 CCEDMN Cc1cc(=O)[n-]c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)n1 ZINC001226159833 805199291 /nfs/dbraw/zinc/19/92/91/805199291.db2.gz XJRABQOFHTWIOS-ADAFDVPTSA-N 0 1 263.297 0.482 20 30 CCEDMN Cc1cc(=O)[nH]c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)N3C)n1 ZINC001226159833 805199293 /nfs/dbraw/zinc/19/92/93/805199293.db2.gz XJRABQOFHTWIOS-ADAFDVPTSA-N 0 1 263.297 0.482 20 30 CCEDMN COCC#CCN1CCC(NC(=O)Cc2ccon2)CC1 ZINC001226680971 805264677 /nfs/dbraw/zinc/26/46/77/805264677.db2.gz CGZJQXWROSBIIB-UHFFFAOYSA-N 0 1 291.351 0.448 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@@H]2CC[C@H](F)C2)CC1 ZINC001277150298 805271840 /nfs/dbraw/zinc/27/18/40/805271840.db2.gz OORAPOXOYMADLA-NEPJUHHUSA-N 0 1 297.374 0.665 20 30 CCEDMN CCc1nc(CNC(=O)NC2CCN(CC#N)CC2)n[nH]1 ZINC001226859465 805289144 /nfs/dbraw/zinc/28/91/44/805289144.db2.gz GNJZZYMOAWEZNT-UHFFFAOYSA-N 0 1 291.359 0.154 20 30 CCEDMN N#CCCN1CC(Oc2n[nH]c(=O)cc2Br)C1 ZINC001226849105 805289232 /nfs/dbraw/zinc/28/92/32/805289232.db2.gz JZAZHCISNRCUTO-UHFFFAOYSA-N 0 1 299.128 0.921 20 30 CCEDMN C#C[C@H](Oc1[nH]c(=O)nc2cnccc21)C(=O)OCC ZINC001226976321 805301190 /nfs/dbraw/zinc/30/11/90/805301190.db2.gz KUBCOHOOMGBOQB-JTQLQIEISA-N 0 1 273.248 0.262 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2CC2(F)F)CC1 ZINC001227193142 805329424 /nfs/dbraw/zinc/32/94/24/805329424.db2.gz ZXQDFKIQZBXTFQ-GFCCVEGCSA-N 0 1 286.322 0.872 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC(NC(=O)C#CC2CC2)CC1 ZINC001227298945 805341546 /nfs/dbraw/zinc/34/15/46/805341546.db2.gz GHBPDVSLQLZDNL-CYBMUJFWSA-N 0 1 277.368 0.244 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](C)NC(=O)CC)CC1 ZINC001227504626 805359161 /nfs/dbraw/zinc/35/91/61/805359161.db2.gz NQHCLIFERQMRDN-GFCCVEGCSA-N 0 1 279.384 0.505 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCc2nccn2C)CC1 ZINC001228408771 805443712 /nfs/dbraw/zinc/44/37/12/805443712.db2.gz YQILMNUDTHSMTQ-UHFFFAOYSA-N 0 1 288.395 0.957 20 30 CCEDMN CC[C@H](C)[C@@H](CNC(=O)NCC#CCN(C)C)OC ZINC001228720764 805474568 /nfs/dbraw/zinc/47/45/68/805474568.db2.gz BGMNFVFXKNZZKE-QWHCGFSZSA-N 0 1 269.389 0.912 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H](NCc2cnnn2C)C[C@H]1C ZINC001278371186 807042869 /nfs/dbraw/zinc/04/28/69/807042869.db2.gz OALYYMQEWYBERZ-CHWSQXEVSA-N 0 1 289.383 0.554 20 30 CCEDMN N#Cc1ccc(NC(=S)NCCN2CC[C@@H](O)C2)cc1 ZINC001245941763 807102517 /nfs/dbraw/zinc/10/25/17/807102517.db2.gz RYAOAUXHLVUKRI-CYBMUJFWSA-N 0 1 290.392 0.911 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cn3cc(C4CC4)cn3)[C@@H]2C1 ZINC001075970208 815132108 /nfs/dbraw/zinc/13/21/08/815132108.db2.gz NPIZPLDCWLCXNT-GOEBONIOSA-N 0 1 298.390 0.926 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1CCO[C@H]1C1CC1 ZINC001251707629 807695295 /nfs/dbraw/zinc/69/52/95/807695295.db2.gz AXOQUKWUMSUTKC-OLZOCXBDSA-N 0 1 265.357 0.418 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H]1C[C@@H](O)C12CCC2 ZINC001251708175 807697108 /nfs/dbraw/zinc/69/71/08/807697108.db2.gz MEUJZWPEGVDQPW-NWDGAFQWSA-N 0 1 265.357 0.154 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cccc(Cl)n1 ZINC001251887404 807735451 /nfs/dbraw/zinc/73/54/51/807735451.db2.gz BZSWRYOHKIRIRW-NSHDSACASA-N 0 1 254.717 0.835 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cncc(Cl)n1 ZINC001251890916 807736452 /nfs/dbraw/zinc/73/64/52/807736452.db2.gz JRNDEZQZSMVACE-JTQLQIEISA-N 0 1 255.705 0.230 20 30 CCEDMN N#Cc1ccccc1O[C@@H]1CCC[N@H+](C[C@H](O)CO)C1 ZINC001252061636 807785068 /nfs/dbraw/zinc/78/50/68/807785068.db2.gz QGZIQPRPHCOLAY-UONOGXRCSA-N 0 1 276.336 0.755 20 30 CCEDMN N#Cc1ccccc1O[C@@H]1CCCN(C[C@H](O)CO)C1 ZINC001252061636 807785070 /nfs/dbraw/zinc/78/50/70/807785070.db2.gz QGZIQPRPHCOLAY-UONOGXRCSA-N 0 1 276.336 0.755 20 30 CCEDMN COC(=O)[C@H](O)CNc1sc2c(c1C#N)CCN(C)C2 ZINC001252407108 807821374 /nfs/dbraw/zinc/82/13/74/807821374.db2.gz FDKDSGJUBTTZRI-SNVBAGLBSA-N 0 1 295.364 0.553 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccnnc3C)[C@@H]2C1 ZINC001075994543 815151456 /nfs/dbraw/zinc/15/14/56/815151456.db2.gz UWCYGJVHSBYFBF-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C=CCOC[C@H](O)CNc1cc(=O)[nH]c(OC)n1 ZINC001252453868 807869709 /nfs/dbraw/zinc/86/97/09/807869709.db2.gz IVBXNVVAJICWOY-MRVPVSSYSA-N 0 1 255.274 0.166 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cnc(Cl)cn1 ZINC001252497756 807896767 /nfs/dbraw/zinc/89/67/67/807896767.db2.gz YCKINVCKVLVITG-SNVBAGLBSA-N 0 1 257.721 0.783 20 30 CCEDMN C=CCC[C@H](O)CNc1cc(=O)[nH]c(N(C)C)n1 ZINC001252590964 807915810 /nfs/dbraw/zinc/91/58/10/807915810.db2.gz GTTHUXBLMDKXJY-VIFPVBQESA-N 0 1 252.318 0.987 20 30 CCEDMN C=CCC[C@@H](O)CNC1(CC(=O)OCC)COC1 ZINC001252615626 807920374 /nfs/dbraw/zinc/92/03/74/807920374.db2.gz BJCXNOCWIZCOQB-LLVKDONJSA-N 0 1 257.330 0.625 20 30 CCEDMN CCOC(=O)C1(C#N)CCN(CC2(O)CCOCC2)CC1 ZINC001252962977 807992294 /nfs/dbraw/zinc/99/22/94/807992294.db2.gz KHOVCRHEAQHJEZ-UHFFFAOYSA-N 0 1 296.367 0.697 20 30 CCEDMN C=C[C@@H](O)CN1C[C@@H]2[C@H](C1)OCCN2c1ccc(C)nn1 ZINC001253577424 808085081 /nfs/dbraw/zinc/08/50/81/808085081.db2.gz NALZHKMDKJIYSK-MCIONIFRSA-N 0 1 290.367 0.221 20 30 CCEDMN C=C[C@H](O)CN1CCO[C@@H]2C[C@@H](C(=O)NC(C)C)C[C@H]21 ZINC001253580808 808088144 /nfs/dbraw/zinc/08/81/44/808088144.db2.gz FKSFFNVWLJANDQ-IGQOVBAYSA-N 0 1 282.384 0.537 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cn(C)ccc1=O ZINC001038493798 815179943 /nfs/dbraw/zinc/17/99/43/815179943.db2.gz PZEAMPFQDZKGNF-LBPRGKRZSA-N 0 1 275.352 0.766 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H](C)CCCOC)C1 ZINC001278816993 808282092 /nfs/dbraw/zinc/28/20/92/808282092.db2.gz ZSELQHUYUGOURC-UKRRQHHQSA-N 0 1 282.384 0.235 20 30 CCEDMN CC[C@H](CC#N)NC1(C(=O)OC)CCN(C)CC1 ZINC001255156176 808323558 /nfs/dbraw/zinc/32/35/58/808323558.db2.gz FWRHKUNTRLQIBT-LLVKDONJSA-N 0 1 253.346 0.906 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1c(C)ncn1C ZINC001278860434 808349979 /nfs/dbraw/zinc/34/99/79/808349979.db2.gz WPJYRXLEIGDWAU-ZDUSSCGKSA-N 0 1 292.383 0.117 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc(Cl)no1 ZINC001278882208 808425385 /nfs/dbraw/zinc/42/53/85/808425385.db2.gz CASDQOYLUQIXHE-SECBINFHSA-N 0 1 285.731 0.326 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@H](C(C)(C)C)[C@@H](O)C1 ZINC001256584770 808537802 /nfs/dbraw/zinc/53/78/02/808537802.db2.gz KCNPAYVMPMYUML-KBPBESRZSA-N 0 1 295.427 0.990 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc(OC)nc3)[C@@H]2C1 ZINC001076013562 815233366 /nfs/dbraw/zinc/23/33/66/815233366.db2.gz FSMMVUDOFOIGLP-UONOGXRCSA-N 0 1 285.347 0.870 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cc(C)nn3C)[C@@H]2C1 ZINC001076036667 815236441 /nfs/dbraw/zinc/23/64/41/815236441.db2.gz OHPUNHFWXSPSOJ-DZGCQCFKSA-N 0 1 286.379 0.437 20 30 CCEDMN CCc1nocc1CNCCNC(=O)C1N=CC=CC1=O ZINC001261237818 808935653 /nfs/dbraw/zinc/93/56/53/808935653.db2.gz GSADJMVRANQMPG-BUHFOSPRSA-N 0 1 290.323 0.853 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3OCC[C@H]3C)[C@@H]2C1 ZINC001076260461 815269338 /nfs/dbraw/zinc/26/93/38/815269338.db2.gz MJUUQVLRZPDYBZ-BARDWOONSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C)C(C)C ZINC001262281716 809265879 /nfs/dbraw/zinc/26/58/79/809265879.db2.gz ADYIEDXRGZHENY-GXTWGEPZSA-N 0 1 291.395 0.456 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCNCCC(F)F)nc1 ZINC001262564974 809344121 /nfs/dbraw/zinc/34/41/21/809344121.db2.gz YGVXBQYIDLGQJM-UHFFFAOYSA-N 0 1 289.307 0.972 20 30 CCEDMN C#CCN(C)c1nnc(-c2nnc(CCC)[nH]2)n1C ZINC001262847004 809415787 /nfs/dbraw/zinc/41/57/87/809415787.db2.gz ZSMYJWCUGNOWHW-UHFFFAOYSA-N 0 1 259.317 0.622 20 30 CCEDMN C#CCN(C)c1nnc(-c2nc(CCC)n[nH]2)n1C ZINC001262847004 809415789 /nfs/dbraw/zinc/41/57/89/809415789.db2.gz ZSMYJWCUGNOWHW-UHFFFAOYSA-N 0 1 259.317 0.622 20 30 CCEDMN CC[C@H](C)n1nccc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC001263105143 809446707 /nfs/dbraw/zinc/44/67/07/809446707.db2.gz GQLUEPWSDAFEJI-AXFHLTTASA-N 0 1 261.329 0.695 20 30 CCEDMN C#CCNCC(=O)N1CCn2ncc(Br)c2C1 ZINC001263160722 809464761 /nfs/dbraw/zinc/46/47/61/809464761.db2.gz LSFQIJSJTQKVSH-UHFFFAOYSA-N 0 1 297.156 0.211 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)N1CCNC[C@H]1CO ZINC001263180463 809472526 /nfs/dbraw/zinc/47/25/26/809472526.db2.gz MJECMBBYWXQZPZ-LBPRGKRZSA-N 0 1 259.309 0.273 20 30 CCEDMN C#CCNCC(=O)NC1CC(Nc2ccccc2)C1 ZINC001263179352 809472855 /nfs/dbraw/zinc/47/28/55/809472855.db2.gz LEYPEOVEWYCLFP-UHFFFAOYSA-N 0 1 257.337 0.969 20 30 CCEDMN C#CCN1CCC(OC(=O)COCCCOC)CC1 ZINC001263620920 809542369 /nfs/dbraw/zinc/54/23/69/809542369.db2.gz IHOVAQBKPIVJBQ-UHFFFAOYSA-N 0 1 269.341 0.680 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCc3cnccn3)[C@@H]2C1 ZINC001076430944 815297574 /nfs/dbraw/zinc/29/75/74/815297574.db2.gz CCEMRKAXGYDGBE-GOEBONIOSA-N 0 1 298.390 0.965 20 30 CCEDMN CC(C)C[C@H](C#N)NC(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001263725239 809557668 /nfs/dbraw/zinc/55/76/68/809557668.db2.gz YPKIHUHFZMNZKM-JHJVBQTASA-N 0 1 264.373 0.429 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001263994478 809619105 /nfs/dbraw/zinc/61/91/05/809619105.db2.gz RSLDTMWRGOIBCJ-DZGCQCFKSA-N 0 1 282.384 0.685 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C(C)(C)COC)C1 ZINC001264631710 809666734 /nfs/dbraw/zinc/66/67/34/809666734.db2.gz AABARWJWYCQARB-AWEZNQCLSA-N 0 1 296.411 0.578 20 30 CCEDMN C=CCCNCc1nc2c(s1)CCN(C(=O)C(N)=O)C2 ZINC001264748519 809676909 /nfs/dbraw/zinc/67/69/09/809676909.db2.gz SPKQNBIVCCIKDB-UHFFFAOYSA-N 0 1 294.380 0.179 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN1CCN(CCF)CC1 ZINC001265240495 809730410 /nfs/dbraw/zinc/73/04/10/809730410.db2.gz RWZHABWFRGLUFD-LBPRGKRZSA-N 0 1 270.352 0.239 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C[C@@H](C)COC)CC1 ZINC001265265159 809735051 /nfs/dbraw/zinc/73/50/51/809735051.db2.gz RCZGMVVOHGABRC-CQSZACIVSA-N 0 1 283.416 0.579 20 30 CCEDMN COC[C@@H](O)CN1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283343 809747489 /nfs/dbraw/zinc/74/74/89/809747489.db2.gz DKMYQAKIWFWHHO-OLZOCXBDSA-N 0 1 282.384 0.234 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1ccn(-c2ccncc2)n1 ZINC001038504371 815314904 /nfs/dbraw/zinc/31/49/04/815314904.db2.gz ZLZHLEZFFIBCCK-ZDUSSCGKSA-N 0 1 296.334 0.595 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@@H](NCc2cn(C)nn2)C1 ZINC001265531750 809797342 /nfs/dbraw/zinc/79/73/42/809797342.db2.gz UTQUZJKQRLZOSK-PHIMTYICSA-N 0 1 263.345 0.518 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCc4nncn4C3)[C@@H]2C1 ZINC001076600385 815317530 /nfs/dbraw/zinc/31/75/30/815317530.db2.gz FIWYIPKOVYUYMT-BFHYXJOUSA-N 0 1 299.378 0.006 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)CN1CCOCC1 ZINC001265643254 809818475 /nfs/dbraw/zinc/81/84/75/809818475.db2.gz IFVRKLTZPWREAW-OAHLLOKOSA-N 0 1 293.411 0.313 20 30 CCEDMN C#CC[NH2+][C@H](C)CNC(=O)c1[n-]cnc1C(F)(F)F ZINC001265782687 809851657 /nfs/dbraw/zinc/85/16/57/809851657.db2.gz ARJWXXZFBYFTPV-SSDOTTSWSA-N 0 1 274.246 0.770 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN(C)Cc1cnc(C)o1 ZINC001265845148 809873681 /nfs/dbraw/zinc/87/36/81/809873681.db2.gz DVFINHTXKGXGTB-LBPRGKRZSA-N 0 1 293.367 0.959 20 30 CCEDMN Cc1nonc1CNC[C@@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001265972794 809910304 /nfs/dbraw/zinc/91/03/04/809910304.db2.gz BIXWAWAVJPGGME-ZDUSSCGKSA-N 0 1 290.367 0.976 20 30 CCEDMN C[C@@H]1C[C@@H]1C(=O)NCCCN(CC(=O)NCC#N)C1CC1 ZINC001266118892 809938687 /nfs/dbraw/zinc/93/86/87/809938687.db2.gz WKPQFKBUJQIORG-YPMHNXCESA-N 0 1 292.383 0.253 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(CCCNC(C)=O)C1CC1 ZINC001266117022 809939359 /nfs/dbraw/zinc/93/93/59/809939359.db2.gz PDNPAOPXIFWPJX-NSHDSACASA-N 0 1 267.373 0.668 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001266222452 809967082 /nfs/dbraw/zinc/96/70/82/809967082.db2.gz SOJBANLHPVJGAH-OAHLLOKOSA-N 0 1 288.395 0.957 20 30 CCEDMN C=C(Cl)CNCCOCCN(C)C(=O)[C@@H]1CCOC1 ZINC001279392997 809977528 /nfs/dbraw/zinc/97/75/28/809977528.db2.gz MQGSEHBOYHWBKT-GFCCVEGCSA-N 0 1 290.791 0.840 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)[C@@H]2CCOC2)C1 ZINC001279469994 809981419 /nfs/dbraw/zinc/98/14/19/809981419.db2.gz BIZXXAJFKYCNPB-OCCSQVGLSA-N 0 1 268.357 0.152 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)c2ncccn2)C1 ZINC001279518665 809985662 /nfs/dbraw/zinc/98/56/62/809985662.db2.gz MGHCRMMTQXPMJR-HNNXBMFYSA-N 0 1 290.367 0.609 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@@H]2CN(CCCF)C[C@H]2O)c1 ZINC001076855917 815397982 /nfs/dbraw/zinc/39/79/82/815397982.db2.gz SOHMBJVPHHEODW-VXGBXAGGSA-N 0 1 280.303 0.021 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cncc(F)c2)C1 ZINC001076872918 815398068 /nfs/dbraw/zinc/39/80/68/815398068.db2.gz XZAFYAGFXKCMMJ-CHWSQXEVSA-N 0 1 279.315 0.572 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)COCCOCC ZINC001267283657 811092377 /nfs/dbraw/zinc/09/23/77/811092377.db2.gz PGXXJQNFLQAXMV-ZDUSSCGKSA-N 0 1 268.357 0.253 20 30 CCEDMN COc1cnc(-n2c(C)ncc(CN)c2=N)c(C#N)c1 ZINC001167671320 811105618 /nfs/dbraw/zinc/10/56/18/811105618.db2.gz AJVHVXQRGRZGIZ-UHFFFAOYSA-N 0 1 270.296 0.394 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nn1)N(C)C(=O)c1ccn[nH]1 ZINC001104480103 811120032 /nfs/dbraw/zinc/12/00/32/811120032.db2.gz WXOYFXHYGHFNSJ-SECBINFHSA-N 0 1 285.311 0.644 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC001279644466 811149316 /nfs/dbraw/zinc/14/93/16/811149316.db2.gz OWONUEZSOQLLBD-OCCSQVGLSA-N 0 1 289.379 0.283 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)=C2CCC2)C1 ZINC001076978315 815426484 /nfs/dbraw/zinc/42/64/84/815426484.db2.gz KYXSPCCSGNXTBH-ZIAGYGMSSA-N 0 1 262.353 0.671 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@H](C)CNc2ccnc(C#N)n2)[nH]1 ZINC001104501254 811187405 /nfs/dbraw/zinc/18/74/05/811187405.db2.gz PQTBNFVNRHHXDJ-SECBINFHSA-N 0 1 299.338 0.374 20 30 CCEDMN N#Cc1ccc(C(=O)NCCNCc2n[nH]c(C3CC3)n2)[nH]1 ZINC001125776786 811321738 /nfs/dbraw/zinc/32/17/38/811321738.db2.gz YGASMYBGJBZZEB-UHFFFAOYSA-N 0 1 299.338 0.401 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(CCC)[C@H]1CCN(CCO)C1 ZINC001267460269 811325427 /nfs/dbraw/zinc/32/54/27/811325427.db2.gz UBBFDOKPGLFUFZ-KBPBESRZSA-N 0 1 282.384 0.330 20 30 CCEDMN C=CCCNCc1cn([C@H]2CCN(C(=O)COC)C2)nn1 ZINC001098586942 811357120 /nfs/dbraw/zinc/35/71/20/811357120.db2.gz QJKYAEVRRYQBRG-ZDUSSCGKSA-N 0 1 293.371 0.364 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccnn2CCC)C1 ZINC001077069962 815441719 /nfs/dbraw/zinc/44/17/19/815441719.db2.gz GPQIWGWARPKSPM-TZMCWYRMSA-N 0 1 290.367 0.091 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CCNC(=O)[C@@H](C)CC)C1 ZINC001267507975 811384162 /nfs/dbraw/zinc/38/41/62/811384162.db2.gz HVOVJBGDHDLLCT-UONOGXRCSA-N 0 1 293.411 0.610 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1cn[nH]c1-c1ccccn1 ZINC001038325318 811520134 /nfs/dbraw/zinc/52/01/34/811520134.db2.gz GJZJDELHYQQDLH-NSHDSACASA-N 0 1 296.334 0.799 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@H]1CCCN(CCO)C1 ZINC001267623851 811582875 /nfs/dbraw/zinc/58/28/75/811582875.db2.gz ZICLCYVJWKTOSM-OLZOCXBDSA-N 0 1 270.373 0.541 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(OCC)n[nH]2)C1 ZINC001077207618 815460417 /nfs/dbraw/zinc/46/04/17/815460417.db2.gz MKOXYCKMQRWUDS-VXGBXAGGSA-N 0 1 294.355 0.159 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1CN(CCC2CCOCC2)C1 ZINC001267644841 811609965 /nfs/dbraw/zinc/60/99/65/811609965.db2.gz XJIHVXAKNVAXLZ-INIZCTEOSA-N 0 1 296.411 0.931 20 30 CCEDMN N#C[C@@H](NC(=O)C[C@@H]1COCCN1)c1ccccc1 ZINC001219558407 811683730 /nfs/dbraw/zinc/68/37/30/811683730.db2.gz PSMAYBAJXWKGIC-CHWSQXEVSA-N 0 1 259.309 0.746 20 30 CCEDMN COCC#CCN1CC[C@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001267726096 811693286 /nfs/dbraw/zinc/69/32/86/811693286.db2.gz QWOAMUUCKYBLLV-AWEZNQCLSA-N 0 1 290.367 0.183 20 30 CCEDMN C[C@@H](CNc1nccnc1C#N)N(C)C(=O)Cc1c[nH]cn1 ZINC001104825729 811735121 /nfs/dbraw/zinc/73/51/21/811735121.db2.gz MRBGVKSWFKXAHB-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H](C)Cc2c[nH]cn2)C1 ZINC001267749294 811741375 /nfs/dbraw/zinc/74/13/75/811741375.db2.gz FZKHAIFOUZNCKH-CHWSQXEVSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCOCCN1CC[C@H](N(C)C(=O)c2csnn2)C1 ZINC001267767889 811764619 /nfs/dbraw/zinc/76/46/19/811764619.db2.gz KFMJNDFVNPTUIP-NSHDSACASA-N 0 1 296.396 0.887 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnon3)C[C@@H]21 ZINC001042278412 811765228 /nfs/dbraw/zinc/76/52/28/811765228.db2.gz UNAQDOZEXBIALS-PWSUYJOCSA-N 0 1 260.297 0.239 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CCn2cncn2)C1 ZINC001267780387 811780737 /nfs/dbraw/zinc/78/07/37/811780737.db2.gz NIMDKYHOUWPGJQ-CQSZACIVSA-N 0 1 289.383 0.614 20 30 CCEDMN N#CCNCc1ccc(CNC(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC001267936020 811878152 /nfs/dbraw/zinc/87/81/52/811878152.db2.gz DGVTXMYKTLHPJJ-CHWSQXEVSA-N 0 1 288.307 0.581 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)[C@@H](F)CC)C2)nn1 ZINC001105300938 811897995 /nfs/dbraw/zinc/89/79/95/811897995.db2.gz FLERPDLRBDAUJU-ZDUSSCGKSA-N 0 1 293.346 0.522 20 30 CCEDMN Cc1nc([C@H](C)NCCNC(=O)c2c[nH]c(C#N)c2)n[nH]1 ZINC001125994365 811971364 /nfs/dbraw/zinc/97/13/64/811971364.db2.gz ABDLFNMDWOCXTC-QMMMGPOBSA-N 0 1 287.327 0.394 20 30 CCEDMN CC(C)C#CC(=O)NCC1CCN(CC(=O)N(C)C)CC1 ZINC001223096426 812090793 /nfs/dbraw/zinc/09/07/93/812090793.db2.gz HUHGGVJXPLDCHT-UHFFFAOYSA-N 0 1 293.411 0.562 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1nnn(C(C)(C)C)n1 ZINC001027893095 812125967 /nfs/dbraw/zinc/12/59/67/812125967.db2.gz MLMOJSFXTGJNBD-LLVKDONJSA-N 0 1 292.387 0.808 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)[C@@H](C)CNCc1ccn(C)n1 ZINC001268064573 812177158 /nfs/dbraw/zinc/17/71/58/812177158.db2.gz VENWDPCRPDBTRA-STQMWFEESA-N 0 1 292.383 0.395 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](Nc3ccncc3C#N)C2)[nH]1 ZINC001058848878 812190204 /nfs/dbraw/zinc/19/02/04/812190204.db2.gz QKJZVNSJOINDLU-LBPRGKRZSA-N 0 1 296.334 0.733 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cccc2ncnn21 ZINC001028001625 812206726 /nfs/dbraw/zinc/20/67/26/812206726.db2.gz IOHZYWFYJFBRRT-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001028014318 812214460 /nfs/dbraw/zinc/21/44/60/812214460.db2.gz FDSXFSGHZYWRNY-JTQLQIEISA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001028014319 812216217 /nfs/dbraw/zinc/21/62/17/812216217.db2.gz FDSXFSGHZYWRNY-SNVBAGLBSA-N 0 1 284.323 0.180 20 30 CCEDMN N#Cc1cnccc1N[C@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001058864875 812233280 /nfs/dbraw/zinc/23/32/80/812233280.db2.gz PSFKFNGPWPZZFB-ZDUSSCGKSA-N 0 1 296.334 0.354 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)cc(C)n2)[C@@H](O)C1 ZINC001083592361 815517350 /nfs/dbraw/zinc/51/73/50/815517350.db2.gz YFSYULCMSIQPDZ-CABCVRRESA-N 0 1 287.363 0.497 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCc2[nH]cnc21 ZINC001028130751 812299653 /nfs/dbraw/zinc/29/96/53/812299653.db2.gz UZWZQXRQNMZWFT-VXGBXAGGSA-N 0 1 287.367 0.934 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccnn3C)[C@@H]2C1 ZINC001075606506 812333140 /nfs/dbraw/zinc/33/31/40/812333140.db2.gz QAPYCWXCWWFUGL-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnc2cccnn21 ZINC001028182786 812337045 /nfs/dbraw/zinc/33/70/45/812337045.db2.gz FGQXBUSWHQGTGX-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2CCCN2CC#CC)nn1 ZINC001028187298 812341386 /nfs/dbraw/zinc/34/13/86/812341386.db2.gz HPYMDOVTYFZIFY-ZDUSSCGKSA-N 0 1 287.367 0.682 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2[C@@H](C)COC)cn1 ZINC001038927954 812354281 /nfs/dbraw/zinc/35/42/81/812354281.db2.gz FLKGQOTVTWRTAZ-WFASDCNBSA-N 0 1 287.363 0.902 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)COCC1CC1 ZINC001268231948 812394669 /nfs/dbraw/zinc/39/46/69/812394669.db2.gz GLCXKHBJXCTHGW-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN C#CCCCC(=O)NCCNCc1cnc(OC)nc1C ZINC001126334071 812369133 /nfs/dbraw/zinc/36/91/33/812369133.db2.gz ODVQQVQFVYEPJR-UHFFFAOYSA-N 0 1 290.367 0.803 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001028261406 812525563 /nfs/dbraw/zinc/52/55/63/812525563.db2.gz XWXSFWMKCKQMEZ-GHMZBOCLSA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001028261406 812525569 /nfs/dbraw/zinc/52/55/69/812525569.db2.gz XWXSFWMKCKQMEZ-GHMZBOCLSA-N 0 1 288.355 0.014 20 30 CCEDMN C[C@@H](CCNCC#N)NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001268372568 812527259 /nfs/dbraw/zinc/52/72/59/812527259.db2.gz FWDDZIHUYICKBE-HBNTYKKESA-N 0 1 261.329 0.521 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ccon3)[C@@H]2C1 ZINC001075624479 812529517 /nfs/dbraw/zinc/52/95/17/812529517.db2.gz LIICFSYWUMGMGD-WCQYABFASA-N 0 1 259.309 0.383 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1[nH]cnc1CC ZINC001028291856 812590023 /nfs/dbraw/zinc/59/00/23/812590023.db2.gz YVBHFBFUWCJKAI-LLVKDONJSA-N 0 1 260.341 0.800 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001028327685 812620319 /nfs/dbraw/zinc/62/03/19/812620319.db2.gz AYIPHSWGUXBYOK-OCCSQVGLSA-N 0 1 286.379 0.728 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCCC(N)=O)C1 ZINC001268496070 812707418 /nfs/dbraw/zinc/70/74/18/812707418.db2.gz NJOUOIPWCBOZEA-LLVKDONJSA-N 0 1 267.373 0.797 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@@H]1CCN(CC#N)C1 ZINC001028447013 812712933 /nfs/dbraw/zinc/71/29/33/812712933.db2.gz KXVDZXUKARLDNS-RYUDHWBXSA-N 0 1 250.346 0.042 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1nccnc1C ZINC001126378356 812814659 /nfs/dbraw/zinc/81/46/59/812814659.db2.gz KRDDOYCOKGEYRJ-AWEZNQCLSA-N 0 1 278.356 0.318 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H]2CCCO2)[C@@H](O)C1 ZINC001083351453 812901496 /nfs/dbraw/zinc/90/14/96/812901496.db2.gz DKZSSHPYXKFFQI-XQQFMLRXSA-N 0 1 268.357 0.293 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCOC2)[C@@H](O)C1 ZINC001083362519 812909969 /nfs/dbraw/zinc/90/99/69/812909969.db2.gz LOVJAPCEEBEFDQ-XQQFMLRXSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCOC2)[C@@H](O)C1 ZINC001083361154 812911071 /nfs/dbraw/zinc/91/10/71/812911071.db2.gz IFIWRWAMXBWYDU-XQQFMLRXSA-N 0 1 268.357 0.150 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)CNCc1cc(C)ncn1 ZINC001268689523 813012085 /nfs/dbraw/zinc/01/20/85/813012085.db2.gz ZTBFZCJCLFHYNM-ZDUSSCGKSA-N 0 1 290.367 0.419 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H]1CCN(C)C1=O ZINC001268699836 813017792 /nfs/dbraw/zinc/01/77/92/813017792.db2.gz UWJBWBNWOMFBGQ-VHSXEESVSA-N 0 1 273.764 0.312 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCOCC(F)F ZINC001268730292 813044397 /nfs/dbraw/zinc/04/43/97/813044397.db2.gz IDAKYLFJHQAIKP-JTQLQIEISA-N 0 1 262.300 0.728 20 30 CCEDMN C#CC[N@@H+](C)C[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001268733869 813049576 /nfs/dbraw/zinc/04/95/76/813049576.db2.gz MWHRMNUERSUYHM-IJLUTSLNSA-N 0 1 260.341 0.583 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cnn2c1OCCC2 ZINC001268737668 813051141 /nfs/dbraw/zinc/05/11/41/813051141.db2.gz LWFHYHZQEOWEDA-GFCCVEGCSA-N 0 1 290.367 0.739 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ncnc2[nH]ccc21 ZINC001268736100 813053959 /nfs/dbraw/zinc/05/39/59/813053959.db2.gz BMRQZEOXBBLLCA-JTQLQIEISA-N 0 1 271.324 0.593 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)Cc1ccco1 ZINC001268749817 813065773 /nfs/dbraw/zinc/06/57/73/813065773.db2.gz AGRSIKNHGCJOBL-CYBMUJFWSA-N 0 1 278.352 0.908 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)Cc1ccco1 ZINC001268749818 813066394 /nfs/dbraw/zinc/06/63/94/813066394.db2.gz AGRSIKNHGCJOBL-ZDUSSCGKSA-N 0 1 278.352 0.908 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)C1CCN(C(C)=O)CC1 ZINC001268755850 813067790 /nfs/dbraw/zinc/06/77/90/813067790.db2.gz DTKSSVFSKINEGG-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN CCC#CC(=O)N1CCc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001268872766 813126521 /nfs/dbraw/zinc/12/65/21/813126521.db2.gz BMLDJVQMMYNNAO-UHFFFAOYSA-N 0 1 274.324 0.410 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](O)COC ZINC001268964944 813160110 /nfs/dbraw/zinc/16/01/10/813160110.db2.gz HRIPZBMLELRBOA-RZLSGREXSA-N 0 1 296.411 0.881 20 30 CCEDMN C=C(C)[C@H](CC(=O)N1C[C@@H]2C[C@H]1CN2CCO)OCC ZINC001268947517 813160629 /nfs/dbraw/zinc/16/06/29/813160629.db2.gz RRRCYAGTVHDDAT-IHRRRGAJSA-N 0 1 282.384 0.635 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)C1(C#N)CC1)C2 ZINC001269020841 813180735 /nfs/dbraw/zinc/18/07/35/813180735.db2.gz SJLSKIFVHXGRPO-UHFFFAOYSA-N 0 1 273.296 0.257 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H]3COC(=O)C3)[C@@H]2C1 ZINC001075687846 813237291 /nfs/dbraw/zinc/23/72/91/813237291.db2.gz HUKSTVFPUDCZLB-HZSPNIEDSA-N 0 1 290.363 0.496 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)Cc1cnn(C)c1C ZINC001269241123 813285423 /nfs/dbraw/zinc/28/54/23/813285423.db2.gz QRAMNMJYRLFMQA-CQSZACIVSA-N 0 1 274.368 0.485 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)Cc1c(C)nn(C)c1C ZINC001269266775 813297195 /nfs/dbraw/zinc/29/71/95/813297195.db2.gz JTMSBOFXTUBBGW-CQSZACIVSA-N 0 1 288.395 0.793 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)c2ccc3cncn3c2)C1 ZINC001028692903 813316380 /nfs/dbraw/zinc/31/63/80/813316380.db2.gz CQHXVEULPUZORC-GFCCVEGCSA-N 0 1 283.335 0.910 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)Cc1cscn1 ZINC001269320976 813323948 /nfs/dbraw/zinc/32/39/48/813323948.db2.gz MNIAQMRQEXCRRF-GFCCVEGCSA-N 0 1 263.366 0.899 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C(CC)CC)CC1 ZINC001269379807 813349011 /nfs/dbraw/zinc/34/90/11/813349011.db2.gz QIBGXWAJRNSRAY-UHFFFAOYSA-N 0 1 279.384 0.410 20 30 CCEDMN CO/N=C(\C(=O)N1C[C@H]2CC[C@@H](C1)N2C)c1ccco1 ZINC001269909811 813552680 /nfs/dbraw/zinc/55/26/80/813552680.db2.gz PCRPBGOBVUQBGO-SOYSVUIQSA-N 0 1 277.324 0.935 20 30 CCEDMN CC(C)(O)[C@@H]1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000721787796 813736820 /nfs/dbraw/zinc/73/68/20/813736820.db2.gz JTKOXTUATZQLML-DOMZBBRYSA-N 0 1 279.384 0.888 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cn(C)nn3)[C@@H]2C1 ZINC001075758626 813832986 /nfs/dbraw/zinc/83/29/86/813832986.db2.gz GVMMCABMJCEDRR-CMPLNLGQSA-N 0 1 261.329 0.147 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCc2nccn2C)C1 ZINC001270606270 813834472 /nfs/dbraw/zinc/83/44/72/813834472.db2.gz ATOFDKLBLBEYDW-OAHLLOKOSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)n2cccc2)[C@@H](O)C1 ZINC001083408958 813952537 /nfs/dbraw/zinc/95/25/37/813952537.db2.gz GQFOKRFEOOUFGX-MJBXVCDLSA-N 0 1 275.352 0.234 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@H]2CN(CCn3cncn3)C[C@H]21 ZINC001075780623 813988713 /nfs/dbraw/zinc/98/87/13/813988713.db2.gz RQOAOSPAOZOFRD-LSDHHAIUSA-N 0 1 299.378 0.224 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3C[C@@H](C)CC(=O)N3)[C@@H]2C1 ZINC001075804026 814076224 /nfs/dbraw/zinc/07/62/24/814076224.db2.gz QMZDOKYMMSRHGO-XJFOESAGSA-N 0 1 289.379 0.067 20 30 CCEDMN CC#CCN1CCC[C@@](C)(CNC(=O)c2ncn(C)n2)C1 ZINC001270958120 814100273 /nfs/dbraw/zinc/10/02/73/814100273.db2.gz HBNQDMRRJIETSS-HNNXBMFYSA-N 0 1 289.383 0.670 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001270957860 814100469 /nfs/dbraw/zinc/10/04/69/814100469.db2.gz BPNCVWOWVNZDDY-BPUTZDHNSA-N 0 1 276.380 0.874 20 30 CCEDMN CC#CCN1CCC[C@](C)(CNC(=O)c2cnon2)C1 ZINC001270998239 814110585 /nfs/dbraw/zinc/11/05/85/814110585.db2.gz AACRCTZAXSFWRN-CQSZACIVSA-N 0 1 276.340 0.925 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCNC(=O)C2)[C@H]1CC ZINC001087537540 814113559 /nfs/dbraw/zinc/11/35/59/814113559.db2.gz LDXZEBVPZJPENF-RWMBFGLXSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)[C@H]1CC ZINC001087621786 814175240 /nfs/dbraw/zinc/17/52/40/814175240.db2.gz IWKFGGKMVPFADJ-WOSRLPQWSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)[C@H]1CC ZINC001087627980 814177138 /nfs/dbraw/zinc/17/71/38/814177138.db2.gz VLFGMZCDWJLOON-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN CCC[C@H](C)C(=O)NC[C@@]1(O)CCN(CC#CCOC)C1 ZINC001271133382 814192234 /nfs/dbraw/zinc/19/22/34/814192234.db2.gz ZPYUAGLPKXNBNH-HOCLYGCPSA-N 0 1 296.411 0.625 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CCc2cccs2)C1 ZINC001271221359 814339027 /nfs/dbraw/zinc/33/90/27/814339027.db2.gz VHDAVBKINDRFHB-HNNXBMFYSA-N 0 1 292.404 0.867 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)C2=COCCO2)C1 ZINC001271237144 814345623 /nfs/dbraw/zinc/34/56/23/814345623.db2.gz UVONFMCNDRESTF-GFCCVEGCSA-N 0 1 296.367 0.392 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC1(O)CN([C@H](C)CCC=C)C1 ZINC001271281863 814365285 /nfs/dbraw/zinc/36/52/85/814365285.db2.gz JZTQZBOUIKKIRN-KGLIPLIRSA-N 0 1 294.395 0.542 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCO)cn1 ZINC001029558803 814423884 /nfs/dbraw/zinc/42/38/84/814423884.db2.gz WKKSMBVUMJGYQJ-GASCZTMLSA-N 0 1 285.347 0.344 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)c3c[nH]c(C)cc3=O)C[C@@H]2C1 ZINC001088159634 814430115 /nfs/dbraw/zinc/43/01/15/814430115.db2.gz BWILINJECOFQEI-KGLIPLIRSA-N 0 1 299.374 0.710 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)C(C)(C)F ZINC001271950520 814644666 /nfs/dbraw/zinc/64/46/66/814644666.db2.gz JUWKEWMJYUHEJP-UHFFFAOYSA-N 0 1 258.337 0.775 20 30 CCEDMN C#CCOCCC(=O)NCCN[C@@H](C)c1n[nH]c(CC)n1 ZINC001127032177 815582102 /nfs/dbraw/zinc/58/21/02/815582102.db2.gz VJXYKFVYHOKEGC-NSHDSACASA-N 0 1 293.371 0.174 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnn(C)c2)[C@H]1C ZINC001088462858 814713938 /nfs/dbraw/zinc/71/39/38/814713938.db2.gz QTMCUHARHXCLAY-YPMHNXCESA-N 0 1 260.341 0.636 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c[nH]c(=O)cn2)[C@H]1C ZINC000986744330 814765422 /nfs/dbraw/zinc/76/54/22/814765422.db2.gz AKBDPJCEJHKDJD-UWVGGRQHSA-N 0 1 296.758 0.715 20 30 CCEDMN C=CCCC(=O)NCC[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001075885524 814860389 /nfs/dbraw/zinc/86/03/89/814860389.db2.gz BEOLYSMNZXCSDG-NSHDSACASA-N 0 1 278.356 0.929 20 30 CCEDMN COCC#CCN1C[C@@H]2CCCN(C(=O)CCOC)[C@@H]2C1 ZINC001272054538 814887718 /nfs/dbraw/zinc/88/77/18/814887718.db2.gz XEHUVGNACUMCMG-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cc(OC)ccn1 ZINC001127040025 815590327 /nfs/dbraw/zinc/59/03/27/815590327.db2.gz WWCNFNXAAMDVGJ-GFCCVEGCSA-N 0 1 291.351 0.334 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCN[C@H](C)c1n[nH]c(CC)n1 ZINC001127046169 815601550 /nfs/dbraw/zinc/60/15/50/815601550.db2.gz NDRQQEXARSKLLP-GHMZBOCLSA-N 0 1 293.371 0.172 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cncc(C)c2)[C@@H](O)C1 ZINC001083669250 815641684 /nfs/dbraw/zinc/64/16/84/815641684.db2.gz AMYCJQAQHNQKPH-CABCVRRESA-N 0 1 289.379 0.670 20 30 CCEDMN COc1cc(CN2C[C@H](O)C[C@]2(C)CO)ccc1C#N ZINC000698217681 815717759 /nfs/dbraw/zinc/71/77/59/815717759.db2.gz XZTUQDIPFQBPSX-UKRRQHHQSA-N 0 1 276.336 0.884 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@@H]2CCCCN2C)C1 ZINC001030532999 816090465 /nfs/dbraw/zinc/09/04/65/816090465.db2.gz COUUXTZNNDDGRE-ZDUSSCGKSA-N 0 1 251.374 0.847 20 30 CCEDMN C#CCN1CC(NC(=O)[C@@H]2CCc3[nH]c(C)nc3C2)C1 ZINC001030554236 816105553 /nfs/dbraw/zinc/10/55/53/816105553.db2.gz JTFKGVZGZGDCPM-LLVKDONJSA-N 0 1 272.352 0.257 20 30 CCEDMN N#CCN1CC(NC(=O)c2[nH]nc(C3CC3)c2Cl)C1 ZINC001030609687 816144604 /nfs/dbraw/zinc/14/46/04/816144604.db2.gz HMLIBIKJYGAMAN-UHFFFAOYSA-N 0 1 279.731 0.878 20 30 CCEDMN N#CCN1CC(NC(=O)c2ccn3cncc3c2)C1 ZINC001030617598 816151928 /nfs/dbraw/zinc/15/19/28/816151928.db2.gz LNLAJVMANVELTD-UHFFFAOYSA-N 0 1 255.281 0.272 20 30 CCEDMN CNCc1cc(NC(=N)c2nccc(OC)n2)ncn1 ZINC001168419207 816161043 /nfs/dbraw/zinc/16/10/43/816161043.db2.gz UGMFSNLNVUZHON-UHFFFAOYSA-N 0 1 273.300 0.032 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnc3cccnn32)[C@H]1C ZINC001088905044 816168455 /nfs/dbraw/zinc/16/84/55/816168455.db2.gz GSPHVZMRMJJGOF-OLZOCXBDSA-N 0 1 297.362 0.945 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001030649673 816183261 /nfs/dbraw/zinc/18/32/61/816183261.db2.gz ZAQIPLKDHYPXHK-LBPRGKRZSA-N 0 1 279.384 0.812 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CC)C[C@@H]2O)cc1 ZINC001083691593 816423347 /nfs/dbraw/zinc/42/33/47/816423347.db2.gz XENSVMBVMFXNKD-KGLIPLIRSA-N 0 1 258.321 0.463 20 30 CCEDMN C=CCN1C(=O)C[C@@]2(CCCN(Cc3cc[nH]n3)C2)C1=O ZINC001272539578 816562910 /nfs/dbraw/zinc/56/29/10/816562910.db2.gz YBODGBKJHWEWAT-OAHLLOKOSA-N 0 1 288.351 0.937 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(Cc1cn[nH]c1)CC2 ZINC001272544390 816567378 /nfs/dbraw/zinc/56/73/78/816567378.db2.gz RLQSNANMIGLVQO-CYBMUJFWSA-N 0 1 272.352 0.761 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC(C)(C)O)[C@H](OC)C1 ZINC001212199309 816636244 /nfs/dbraw/zinc/63/62/44/816636244.db2.gz UNGRCWRYNYSRSP-VXGBXAGGSA-N 0 1 270.373 0.539 20 30 CCEDMN C[C@@H](CCNc1nccnc1C#N)NC(=O)c1ncn[nH]1 ZINC001106411922 816760823 /nfs/dbraw/zinc/76/08/23/816760823.db2.gz IMWVOZDCUNLNCT-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CCNc1nccnc1C#N)NC(=O)c1nc[nH]n1 ZINC001106411922 816760827 /nfs/dbraw/zinc/76/08/27/816760827.db2.gz IMWVOZDCUNLNCT-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CCNc1cncc(C#N)n1)NC(=O)Cc1cnc[nH]1 ZINC001106597935 816817856 /nfs/dbraw/zinc/81/78/56/816817856.db2.gz CAPHESRFARXXOK-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN CCN(CCNc1ccc(C#N)nn1)C(=O)c1ccn[nH]1 ZINC001106697954 816853992 /nfs/dbraw/zinc/85/39/92/816853992.db2.gz UVINOQXJLONIRV-UHFFFAOYSA-N 0 1 285.311 0.646 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001046765687 816882553 /nfs/dbraw/zinc/88/25/53/816882553.db2.gz AVRSPIRKOKDLGI-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCC[NH+]1CC(NC(=O)c2ccc3oc(=O)nc-3[n-]2)C1 ZINC001031251661 816893519 /nfs/dbraw/zinc/89/35/19/816893519.db2.gz ULIJLBUUWRMNDJ-UHFFFAOYSA-N 0 1 288.307 0.919 20 30 CCEDMN C[C@@H]1COC[C@@H]1NCCS(=O)(=O)c1cccc(C#N)c1 ZINC000699813029 816904384 /nfs/dbraw/zinc/90/43/84/816904384.db2.gz OHEQXWWMMKXJBL-RISCZKNCSA-N 0 1 294.376 0.956 20 30 CCEDMN C#C[C@H](CC)NC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001123560019 816978479 /nfs/dbraw/zinc/97/84/79/816978479.db2.gz XUVCNISCCYHOMK-YNEHKIRRSA-N 0 1 266.389 0.332 20 30 CCEDMN CCOCCN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](OC)C1 ZINC001212248922 817218823 /nfs/dbraw/zinc/21/88/23/817218823.db2.gz QBWXWAVBBILGIO-ZIAGYGMSSA-N 0 1 296.411 0.888 20 30 CCEDMN COC[C@@H](C)N1C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](OC)C1 ZINC001212248788 817221124 /nfs/dbraw/zinc/22/11/24/817221124.db2.gz NJXHHLNDGZBVKE-MGPQQGTHSA-N 0 1 296.411 0.886 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1COCCO1 ZINC001089612354 817265997 /nfs/dbraw/zinc/26/59/97/817265997.db2.gz YHHVOIKHXMLXEL-UONOGXRCSA-N 0 1 294.395 0.642 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](C[C@@H]3CCOC3)C[C@@H]2O)C1 ZINC001083763741 817281447 /nfs/dbraw/zinc/28/14/47/817281447.db2.gz ZETBKDUVQDSNCT-MJBXVCDLSA-N 0 1 294.395 0.541 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(C(=O)Cc2ccn[nH]2)C1 ZINC001089787812 817355609 /nfs/dbraw/zinc/35/56/09/817355609.db2.gz OZCBJYLCPAILMV-MXAVVETBSA-N 0 1 299.378 0.645 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cn(C)nc2COC)C1 ZINC001031779797 817360596 /nfs/dbraw/zinc/36/05/96/817360596.db2.gz BPDBIWSYYHRUAW-UHFFFAOYSA-N 0 1 292.383 0.804 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(C)nc2COC)C1 ZINC001031779956 817361487 /nfs/dbraw/zinc/36/14/87/817361487.db2.gz HBOCUBISDDEXEA-UHFFFAOYSA-N 0 1 290.367 0.251 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCN(C(N)=O)C2)C1 ZINC001031828198 817400188 /nfs/dbraw/zinc/40/01/88/817400188.db2.gz SMJONNQGKXQCOF-GFCCVEGCSA-N 0 1 280.372 0.011 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCNCc1nccnc1C ZINC001124488075 817486447 /nfs/dbraw/zinc/48/64/47/817486447.db2.gz JMIHHVBQTWCVDI-ZDUSSCGKSA-N 0 1 292.383 0.972 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cccc(=O)n2C)C1 ZINC001031966383 817499216 /nfs/dbraw/zinc/49/92/16/817499216.db2.gz GFWYAULCZOORHR-UHFFFAOYSA-N 0 1 275.352 0.623 20 30 CCEDMN Cn1nccc1C(=O)NCCNCC#Cc1ccccc1 ZINC001124544719 817501390 /nfs/dbraw/zinc/50/13/90/817501390.db2.gz OOKNWOHBAQNNGG-UHFFFAOYSA-N 0 1 282.347 0.791 20 30 CCEDMN CCOc1cccc(CNCCNC(=O)[C@@H](C)C#N)n1 ZINC001124890107 817617416 /nfs/dbraw/zinc/61/74/16/817617416.db2.gz WRYZNMLBOJRACA-NSHDSACASA-N 0 1 276.340 0.846 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c3c(nn2C)CCC3)C1 ZINC001032123802 817652110 /nfs/dbraw/zinc/65/21/10/817652110.db2.gz KDDNLXOOKRFCBX-UHFFFAOYSA-N 0 1 274.368 0.756 20 30 CCEDMN N#CCN1CC(CNC(=O)c2n[nH]c3ccccc32)C1 ZINC001032167794 817675923 /nfs/dbraw/zinc/67/59/23/817675923.db2.gz YMTVHZMZDFFZPB-UHFFFAOYSA-N 0 1 269.308 0.748 20 30 CCEDMN C=CCOCCCC(=O)NCCNCc1cc(C)ncn1 ZINC001125252567 817744040 /nfs/dbraw/zinc/74/40/40/817744040.db2.gz HZIPNYMAZBDAKQ-UHFFFAOYSA-N 0 1 292.383 0.974 20 30 CCEDMN N#Cc1cccnc1NC/C=C/CNC(=O)Cc1nnc[nH]1 ZINC001107334359 817836672 /nfs/dbraw/zinc/83/66/72/817836672.db2.gz XYUOTTPDDKZKII-OWOJBTEDSA-N 0 1 297.322 0.398 20 30 CCEDMN N#Cc1nccnc1NCC=CCNC(=O)Cc1cnc[nH]1 ZINC001107539406 817876772 /nfs/dbraw/zinc/87/67/72/817876772.db2.gz LPDLHUPFNALRKT-OWOJBTEDSA-N 0 1 297.322 0.398 20 30 CCEDMN C[C@H](CNc1ncnc2[nH]cnc21)NC(=O)[C@@H](C)C#N ZINC001107652814 817917772 /nfs/dbraw/zinc/91/77/72/817917772.db2.gz ICAWBGXBIYLZSU-JGVFFNPUSA-N 0 1 273.300 0.381 20 30 CCEDMN C[C@@H](CCNC(=O)Cc1cnc[nH]1)NC(=O)C#CC1CC1 ZINC001078753014 818044039 /nfs/dbraw/zinc/04/40/39/818044039.db2.gz WPDYIYYRMPHIDT-NSHDSACASA-N 0 1 288.351 0.377 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)F)[C@@H](O)C1 ZINC001089995837 818237260 /nfs/dbraw/zinc/23/72/60/818237260.db2.gz DIUULALMHUXHBV-MNOVXSKESA-N 0 1 256.321 0.309 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CCC2)[C@H](O)C1 ZINC001090007302 818262288 /nfs/dbraw/zinc/26/22/88/818262288.db2.gz QUUNYUDMUKXWBE-QWHCGFSZSA-N 0 1 250.342 0.361 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cn2cc(C)cn2)C1 ZINC001032939285 818373267 /nfs/dbraw/zinc/37/32/67/818373267.db2.gz WTBOWLUHUAUSPS-CYBMUJFWSA-N 0 1 262.357 0.910 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(Cl)c[nH]2)[C@@H](O)C1 ZINC001090057442 818399963 /nfs/dbraw/zinc/39/99/63/818399963.db2.gz LQHVTWMZXHRTPH-AAEUAGOBSA-N 0 1 295.770 0.856 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C)no2)[C@H](O)C1 ZINC001090058504 818413482 /nfs/dbraw/zinc/41/34/82/818413482.db2.gz SDTWSNWPDNVNRV-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2COCCN2C)C1 ZINC001033017578 818428611 /nfs/dbraw/zinc/42/86/11/818428611.db2.gz WAAXFOLQGFUFTN-CHWSQXEVSA-N 0 1 267.373 0.036 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H]2CNC(=O)c2ncn[nH]2)nc1 ZINC001061382803 818431753 /nfs/dbraw/zinc/43/17/53/818431753.db2.gz NOQZCYLMUAFAKR-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H]2CNC(=O)c2nc[nH]n2)nc1 ZINC001061382803 818431755 /nfs/dbraw/zinc/43/17/55/818431755.db2.gz NOQZCYLMUAFAKR-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2cc(OC)no2)C1 ZINC001033027079 818437709 /nfs/dbraw/zinc/43/77/09/818437709.db2.gz TUMGFKYUFRZBHV-LLVKDONJSA-N 0 1 277.324 0.853 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C)n2C)[C@H](O)C1 ZINC001090065311 818442805 /nfs/dbraw/zinc/44/28/05/818442805.db2.gz QAWRPXAUJVTHDR-GXTWGEPZSA-N 0 1 277.368 0.685 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccon2)[C@@H](O)C1 ZINC001090096568 818504986 /nfs/dbraw/zinc/50/49/86/818504986.db2.gz RWUSCNLACXHLBI-JQWIXIFHSA-N 0 1 265.313 0.416 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H](C)c2ccnn2C)C1 ZINC001033089806 818505109 /nfs/dbraw/zinc/50/51/09/818505109.db2.gz DMIZYBIHCWLJCR-CHWSQXEVSA-N 0 1 274.368 0.690 20 30 CCEDMN Cc1nc(Cl)c(C#N)c(NC(=N)N2CCN(C)CC2)n1 ZINC001168735925 818540843 /nfs/dbraw/zinc/54/08/43/818540843.db2.gz WJXFWNKPJSTXAM-UHFFFAOYSA-N 0 1 293.762 0.904 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033160227 818588346 /nfs/dbraw/zinc/58/83/46/818588346.db2.gz IIFZFDSTERBIQD-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001033182910 818632232 /nfs/dbraw/zinc/63/22/32/818632232.db2.gz UDZZTXVTZXYILM-GFCCVEGCSA-N 0 1 290.367 0.719 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033192626 818637366 /nfs/dbraw/zinc/63/73/66/818637366.db2.gz PPOFYOFADURKGC-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)C1 ZINC001033194899 818638228 /nfs/dbraw/zinc/63/82/28/818638228.db2.gz DWYBBYDJWFTJCR-QLFBSQMISA-N 0 1 293.411 0.262 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)C2=COCCC2)[C@H](O)C1 ZINC001090118330 818646032 /nfs/dbraw/zinc/64/60/32/818646032.db2.gz JALFKAVPJMODES-ZIAGYGMSSA-N 0 1 278.352 0.255 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2=COCCC2)[C@H](O)C1 ZINC001090118330 818646036 /nfs/dbraw/zinc/64/60/36/818646036.db2.gz JALFKAVPJMODES-ZIAGYGMSSA-N 0 1 278.352 0.255 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCn3nccc32)C1 ZINC001033201848 818649192 /nfs/dbraw/zinc/64/91/92/818649192.db2.gz IANWLQAEMMXQLY-KGLIPLIRSA-N 0 1 286.379 0.926 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2cn(CC)nn2)C1 ZINC001033286601 818693697 /nfs/dbraw/zinc/69/36/97/818693697.db2.gz GUGLKFJAXOEEBG-CQSZACIVSA-N 0 1 289.383 0.397 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001033299805 818696030 /nfs/dbraw/zinc/69/60/30/818696030.db2.gz VIZOLNBZYJICKZ-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001033289723 818696171 /nfs/dbraw/zinc/69/61/71/818696171.db2.gz KNTNOVOFLRNZQN-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cscn2)[C@H](O)C1 ZINC001090132439 818698513 /nfs/dbraw/zinc/69/85/13/818698513.db2.gz FXMLJDUGYBGPAT-MWLCHTKSSA-N 0 1 267.354 0.494 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)[C@H]2CCN(CCOC)C2)c1 ZINC001033406463 818766211 /nfs/dbraw/zinc/76/62/11/818766211.db2.gz WUZSZYSQUUABKY-HNNXBMFYSA-N 0 1 287.363 0.856 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ccc(C)[nH]c2=O)C1 ZINC001033461065 818786322 /nfs/dbraw/zinc/78/63/22/818786322.db2.gz WOIIKSIDWPPFQX-GFCCVEGCSA-N 0 1 273.336 0.875 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001033570343 818838371 /nfs/dbraw/zinc/83/83/71/818838371.db2.gz GRMRONCPPKTQLS-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@H]2CCN(CCO)C2)cn1 ZINC001033595293 818842930 /nfs/dbraw/zinc/84/29/30/818842930.db2.gz IZYKFNDGCOOXIJ-AWEZNQCLSA-N 0 1 273.336 0.202 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001033688777 818889816 /nfs/dbraw/zinc/88/98/16/818889816.db2.gz QEBNVGLGHDNAPM-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033878354 818972351 /nfs/dbraw/zinc/97/23/51/818972351.db2.gz JDXFSMQHBHBIOP-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ncoc2CC)[C@H](O)C1 ZINC001090148674 818980428 /nfs/dbraw/zinc/98/04/28/818980428.db2.gz CMTOGUUFURKAML-NWDGAFQWSA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ncoc2CC)[C@@H](O)C1 ZINC001090148675 818981508 /nfs/dbraw/zinc/98/15/08/818981508.db2.gz CMTOGUUFURKAML-RYUDHWBXSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001033923421 818998243 /nfs/dbraw/zinc/99/82/43/818998243.db2.gz TZHUSNYDZLVUSG-NEPJUHHUSA-N 0 1 280.372 0.119 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2CCC2)[C@@H](O)C1 ZINC001090168345 819005020 /nfs/dbraw/zinc/00/50/20/819005020.db2.gz AHLVAUYNQGYGBJ-KGLIPLIRSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cccn(C)c2=O)C1 ZINC001034021417 819032685 /nfs/dbraw/zinc/03/26/85/819032685.db2.gz OIVNRRGMLKKCPF-CYBMUJFWSA-N 0 1 287.363 0.555 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(=O)n(C)n2)C1 ZINC001034037363 819036896 /nfs/dbraw/zinc/03/68/96/819036896.db2.gz YFHQWMIRPVYXSZ-LBPRGKRZSA-N 0 1 290.367 0.503 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(=O)n(C)n2)C1 ZINC001034037359 819037263 /nfs/dbraw/zinc/03/72/63/819037263.db2.gz YFHQWMIRPVYXSZ-GFCCVEGCSA-N 0 1 290.367 0.503 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H](C)n2cncn2)C1 ZINC001034171050 819106299 /nfs/dbraw/zinc/10/62/99/819106299.db2.gz HRZILYQWPXLXTO-OLZOCXBDSA-N 0 1 275.356 0.443 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC001034205766 819119067 /nfs/dbraw/zinc/11/90/67/819119067.db2.gz YCEGQJMGBSOSPH-HNNXBMFYSA-N 0 1 288.395 0.957 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC001034205376 819119374 /nfs/dbraw/zinc/11/93/74/819119374.db2.gz WDOIKASIRXFBBU-CQSZACIVSA-N 0 1 274.368 0.567 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn(C)c2)[C@@H](O)C1 ZINC001090195700 819120162 /nfs/dbraw/zinc/12/01/62/819120162.db2.gz XAIMMILKJBPXJZ-STQMWFEESA-N 0 1 263.341 0.376 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cccnc2)[C@@H](O)C1 ZINC001090199770 819134749 /nfs/dbraw/zinc/13/47/49/819134749.db2.gz JKFTVBYTZOGCTO-CABCVRRESA-N 0 1 287.363 0.199 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@H]2CCNC2=O)C1 ZINC001034239947 819136835 /nfs/dbraw/zinc/13/68/35/819136835.db2.gz FHYWJJGMKMNAFN-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN C[N@H+]1CC[C@](O)(CNC(=O)C#Cc2ccccc2)C1 ZINC000702556187 819144328 /nfs/dbraw/zinc/14/43/28/819144328.db2.gz MZCJFYCDFGLMCV-HNNXBMFYSA-N 0 1 258.321 0.221 20 30 CCEDMN C#CCC[N@@H+]1CCCC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001034252710 819144547 /nfs/dbraw/zinc/14/45/47/819144547.db2.gz XYMOJJCKDJUUCV-KKUMJFAQSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001034266847 819148648 /nfs/dbraw/zinc/14/86/48/819148648.db2.gz ZRXULEJJJWDAIF-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)CN2CCCNC2=O)C1 ZINC001034291234 819157009 /nfs/dbraw/zinc/15/70/09/819157009.db2.gz SBUMSEBPXVZYPN-CYBMUJFWSA-N 0 1 292.383 0.006 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)CN2CCCNC2=O)C1 ZINC001034291235 819157174 /nfs/dbraw/zinc/15/71/74/819157174.db2.gz SBUMSEBPXVZYPN-ZDUSSCGKSA-N 0 1 292.383 0.006 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001034353251 819174065 /nfs/dbraw/zinc/17/40/65/819174065.db2.gz GYAIPBDYAKQFPN-KBXIAJHMSA-N 0 1 276.380 0.873 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(F)cn2)[C@H](O)C1 ZINC001090211042 819188815 /nfs/dbraw/zinc/18/88/15/819188815.db2.gz UNSAHZIICLNLGC-WCQYABFASA-N 0 1 279.315 0.572 20 30 CCEDMN C[C@@H]1CCC[N@H+]1CC(=O)N[C@@H]1CCCCN(CC#N)C1 ZINC001034397215 819194465 /nfs/dbraw/zinc/19/44/65/819194465.db2.gz JOAIZLHOXIELQM-ZIAGYGMSSA-N 0 1 278.400 0.965 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccncn2)C1 ZINC001034448646 819204851 /nfs/dbraw/zinc/20/48/51/819204851.db2.gz SXSWRZIKNSXIBJ-LBPRGKRZSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001034505032 819224472 /nfs/dbraw/zinc/22/44/72/819224472.db2.gz IBNOYLUDBDQTQM-LSDHHAIUSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc[nH]c2CC)[C@H](O)C1 ZINC001090242715 819296201 /nfs/dbraw/zinc/29/62/01/819296201.db2.gz AUTAERCHVGXKRE-LSDHHAIUSA-N 0 1 289.379 0.765 20 30 CCEDMN C=CCN1CCO[C@H](CNC(=O)[C@@H]2CCCN2C)C1 ZINC001035306115 819457474 /nfs/dbraw/zinc/45/74/74/819457474.db2.gz HJJWAPIPDYIMPM-OLZOCXBDSA-N 0 1 267.373 0.084 20 30 CCEDMN C=C(C)CC[N@H+]1CCO[C@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001035314781 819464935 /nfs/dbraw/zinc/46/49/35/819464935.db2.gz GMNNMOJRNQKFHC-ZIAGYGMSSA-N 0 1 282.384 0.806 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001035314781 819464943 /nfs/dbraw/zinc/46/49/43/819464943.db2.gz GMNNMOJRNQKFHC-ZIAGYGMSSA-N 0 1 282.384 0.806 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnccn1)CC2 ZINC001035638896 819597081 /nfs/dbraw/zinc/59/70/81/819597081.db2.gz XUZHABFWCJVVJM-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1cnn(C)c1N)CC2 ZINC001035692756 819608043 /nfs/dbraw/zinc/60/80/43/819608043.db2.gz DXPRHDIXADSILX-UHFFFAOYSA-N 0 1 289.383 0.726 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1csnn1)CC2 ZINC001035758990 819619494 /nfs/dbraw/zinc/61/94/94/819619494.db2.gz MHITYYMZVNAKLF-UHFFFAOYSA-N 0 1 276.365 0.709 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCn1ccnc1)CC2 ZINC001035763337 819620110 /nfs/dbraw/zinc/62/01/10/819620110.db2.gz UDNLNTBFXKHWRG-UHFFFAOYSA-N 0 1 286.379 0.831 20 30 CCEDMN C[C@H]1CN(C(=O)c2cccc3nn[nH]c32)CC[C@@H]1NCC#N ZINC001036048633 819677341 /nfs/dbraw/zinc/67/73/41/819677341.db2.gz NDTYIQLYWWJXSF-JQWIXIFHSA-N 0 1 298.350 0.922 20 30 CCEDMN C#CC1(O)CCN([C@H](C)C(=O)NC2CCCC2)CC1 ZINC000708089658 819757587 /nfs/dbraw/zinc/75/75/87/819757587.db2.gz SKZWMHKRBDCOBY-GFCCVEGCSA-N 0 1 264.369 0.894 20 30 CCEDMN CCCCCCCN1CCO[C@](C)(CNC(=O)C(N)=O)C1 ZINC001108174973 820029287 /nfs/dbraw/zinc/02/92/87/820029287.db2.gz MPOMMPHEGKGFTB-OAHLLOKOSA-N 0 1 299.415 0.649 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C)CC2)[C@@H](O)C1 ZINC001090284916 820090758 /nfs/dbraw/zinc/09/07/58/820090758.db2.gz ZLYGXHFPPDEULL-RYUDHWBXSA-N 0 1 250.342 0.361 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@H]1CCN(c2cncc(C#N)n2)C1 ZINC001062890772 820120885 /nfs/dbraw/zinc/12/08/85/820120885.db2.gz RTEGMJPMPFWVQH-NSHDSACASA-N 0 1 297.322 0.422 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnn2C(C)C)[C@@H](O)C1 ZINC001090290398 820149543 /nfs/dbraw/zinc/14/95/43/820149543.db2.gz ULLNUIZJRPXAQG-JSGCOSHPSA-N 0 1 292.383 0.815 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CNC(=O)Cc2cnc[nH]2)CCC1 ZINC001064703001 820222441 /nfs/dbraw/zinc/22/24/41/820222441.db2.gz VRAHDJUIJAVHGJ-SNVBAGLBSA-N 0 1 289.339 0.267 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)C2C=CC=CC=C2)[C@@H](O)C1 ZINC001090302337 820229576 /nfs/dbraw/zinc/22/95/76/820229576.db2.gz WRKAQHDVMVTMAS-CVEARBPZSA-N 0 1 286.375 0.860 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2C=CC=CC=C2)[C@@H](O)C1 ZINC001090302337 820229583 /nfs/dbraw/zinc/22/95/83/820229583.db2.gz WRKAQHDVMVTMAS-CVEARBPZSA-N 0 1 286.375 0.860 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)Cc2cnc(C)o2)[C@H](O)C1 ZINC001090323406 820260422 /nfs/dbraw/zinc/26/04/22/820260422.db2.gz ROIUAACWKGPXIO-UONOGXRCSA-N 0 1 291.351 0.100 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cnc(C)o2)[C@H](O)C1 ZINC001090323406 820260428 /nfs/dbraw/zinc/26/04/28/820260428.db2.gz ROIUAACWKGPXIO-UONOGXRCSA-N 0 1 291.351 0.100 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cnccc2C)[C@H](O)C1 ZINC001090334792 820274246 /nfs/dbraw/zinc/27/42/46/820274246.db2.gz SMYOCBJNPVJEIU-HUUCEWRRSA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccc(F)cn2)[C@@H](O)C1 ZINC001090358635 820296573 /nfs/dbraw/zinc/29/65/73/820296573.db2.gz NVNDJQGWLUNZSR-KBPBESRZSA-N 0 1 293.342 0.501 20 30 CCEDMN C#CCCN1C[C@@H](C)[C@H](NC(=O)c2snnc2C)C1 ZINC001079411730 820377345 /nfs/dbraw/zinc/37/73/45/820377345.db2.gz HYZCEMWSYBFIFV-MWLCHTKSSA-N 0 1 278.381 0.920 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnnn2CC)C1 ZINC001079665353 820454041 /nfs/dbraw/zinc/45/40/41/820454041.db2.gz ZGAHROZGBSNQCY-VXGBXAGGSA-N 0 1 275.356 0.371 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccnc3ccnn32)C1 ZINC001079838987 820475922 /nfs/dbraw/zinc/47/59/22/820475922.db2.gz WXSBNOSXNYWGKU-VXGBXAGGSA-N 0 1 285.351 0.965 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001079923080 820489775 /nfs/dbraw/zinc/48/97/75/820489775.db2.gz PJFYZONKBXHOSD-HZSPNIEDSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001079954200 820491818 /nfs/dbraw/zinc/49/18/18/820491818.db2.gz WKVBSTJXDQUACI-XJKCOSOUSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001080158694 820521782 /nfs/dbraw/zinc/52/17/82/820521782.db2.gz YEOSOABCLMMDLN-ZCXSAGNTSA-N 0 1 274.364 0.480 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001080158694 820521790 /nfs/dbraw/zinc/52/17/90/820521790.db2.gz YEOSOABCLMMDLN-ZCXSAGNTSA-N 0 1 274.364 0.480 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2[nH]nnc2C)C1 ZINC001080245770 820532394 /nfs/dbraw/zinc/53/23/94/820532394.db2.gz DQODRZHOEPDKHA-MWLCHTKSSA-N 0 1 261.329 0.187 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCCOC)[C@@H](O)C1 ZINC001099711928 820554628 /nfs/dbraw/zinc/55/46/28/820554628.db2.gz HVKFENZUOKUXRF-OLZOCXBDSA-N 0 1 270.373 0.541 20 30 CCEDMN CCN(C(=O)[C@@H](C)C#N)C1CN(C(=O)c2cc(C)[nH]n2)C1 ZINC001080411012 820569065 /nfs/dbraw/zinc/56/90/65/820569065.db2.gz BZAAKVAQJNJHIB-VIFPVBQESA-N 0 1 289.339 0.551 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCCOC2)C1 ZINC001080682884 820621540 /nfs/dbraw/zinc/62/15/40/820621540.db2.gz CGKCYPUKRWTEHM-NFAWXSAZSA-N 0 1 264.369 0.873 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N[C@@H]1CN(CC#N)C[C@H]1C ZINC001080998065 820669610 /nfs/dbraw/zinc/66/96/10/820669610.db2.gz RDGXSSSMJFDLAT-MGPQQGTHSA-N 0 1 278.400 0.821 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2nn(CC)nc2C)C1 ZINC001081052551 820674349 /nfs/dbraw/zinc/67/43/49/820674349.db2.gz VTTORPMPZIVKNH-DGCLKSJQSA-N 0 1 289.383 0.680 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC001081058542 820675826 /nfs/dbraw/zinc/67/58/26/820675826.db2.gz GSVSBQNBPPGUNA-QLFBSQMISA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccon2)[C@H](OC)C1 ZINC001081464654 820768877 /nfs/dbraw/zinc/76/88/77/820768877.db2.gz JVGAPUITXCXSOV-CHWSQXEVSA-N 0 1 277.324 0.056 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc[nH]c2C)[C@H](OC)C1 ZINC001081508274 820780508 /nfs/dbraw/zinc/78/05/08/820780508.db2.gz JJNCDSNMJXNIJN-ZIAGYGMSSA-N 0 1 275.352 0.775 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCC2(F)F)[C@H](OC)C1 ZINC001081836825 820846466 /nfs/dbraw/zinc/84/64/66/820846466.db2.gz HTABMBVMXZGXJN-QJPTWQEYSA-N 0 1 286.322 0.870 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(F)c[nH]2)[C@H](OC)C1 ZINC001081955945 820869745 /nfs/dbraw/zinc/86/97/45/820869745.db2.gz CMRMFGHBWBRWIV-CHWSQXEVSA-N 0 1 279.315 0.606 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1OC ZINC001082149591 820909299 /nfs/dbraw/zinc/90/92/99/820909299.db2.gz BXDOTPUNFZZFHY-APIJFGDWSA-N 0 1 294.395 0.969 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@]2(C)CCOC2)[C@H](OC)C1 ZINC001082274520 820929034 /nfs/dbraw/zinc/92/90/34/820929034.db2.gz LWRWTLKQGSOEBV-NFAWXSAZSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cn(CC)nn2)[C@H](OC)C1 ZINC001082283079 820932719 /nfs/dbraw/zinc/93/27/19/820932719.db2.gz NMQLSRZOJMRQTF-DGCLKSJQSA-N 0 1 293.371 0.303 20 30 CCEDMN C[C@@H](CCNC(=O)c1cnn[nH]1)Nc1ccc(C#N)nc1 ZINC001099777133 821059472 /nfs/dbraw/zinc/05/94/72/821059472.db2.gz GGQOCMRPCSEBAU-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C[C@@H]3CCOC3)[C@H]2C1 ZINC001083031363 821114861 /nfs/dbraw/zinc/11/48/61/821114861.db2.gz BANKIGYWDYVXOZ-SOUVJXGZSA-N 0 1 292.379 0.348 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)/C=C/C3CC3)[C@H]2C1 ZINC001083036808 821120863 /nfs/dbraw/zinc/12/08/63/821120863.db2.gz PUBQVDVBQLBILV-MFHOCJEASA-N 0 1 274.364 0.888 20 30 CCEDMN CO[C@H](C)CN1C[C@H]2OCCN(C(=O)C#CC3CC3)[C@H]2C1 ZINC001083076798 821123135 /nfs/dbraw/zinc/12/31/35/821123135.db2.gz DBLWEPDXZPYRSG-VHDGCEQUSA-N 0 1 292.379 0.346 20 30 CCEDMN CC(C)N1C[C@H]2OCCN(C(=O)c3cc(C#N)c[nH]3)[C@H]2C1 ZINC001083040201 821124283 /nfs/dbraw/zinc/12/42/83/821124283.db2.gz ANUHYZOURLFBIS-UONOGXRCSA-N 0 1 288.351 0.820 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3c[nH]nc3C)[C@H]2C1 ZINC001083159106 821150515 /nfs/dbraw/zinc/15/05/15/821150515.db2.gz JOPPOUFWXQCTDZ-UONOGXRCSA-N 0 1 290.367 0.819 20 30 CCEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CNC(N)=O ZINC001098900771 821185802 /nfs/dbraw/zinc/18/58/02/821185802.db2.gz UYCJXRKEFNAUGN-LBPRGKRZSA-N 0 1 294.399 0.285 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CCC=CCC2)[C@@H](O)C1 ZINC001084067484 821193177 /nfs/dbraw/zinc/19/31/77/821193177.db2.gz SHBFFLLUDPIYFH-CABCVRRESA-N 0 1 276.380 0.917 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(CF)cc2)[C@@H](O)C1 ZINC001084103693 821200751 /nfs/dbraw/zinc/20/07/51/821200751.db2.gz YOLAIWLICHAFBK-CABCVRRESA-N 0 1 290.338 0.954 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cn3cc(C)cn3)[C@@H]2C1 ZINC001084217249 821245259 /nfs/dbraw/zinc/24/52/59/821245259.db2.gz HPRHOEHDYDLIBA-ZIAGYGMSSA-N 0 1 274.368 0.910 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3nnc(C)o3)[C@@H]2C1 ZINC001084324529 821270804 /nfs/dbraw/zinc/27/08/04/821270804.db2.gz HPLNZKASMWEJFJ-VXGBXAGGSA-N 0 1 276.340 0.639 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CC3(O)CCC3)[C@@H]2C1 ZINC001084333410 821274885 /nfs/dbraw/zinc/27/48/85/821274885.db2.gz RBZUKWGDOGIKPG-ZIAGYGMSSA-N 0 1 276.380 0.848 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cn[nH]n3)[C@@H]2C1 ZINC001084479394 821301609 /nfs/dbraw/zinc/30/16/09/821301609.db2.gz FVPRAXLNRUEJAC-ZYHUDNBSSA-N 0 1 261.329 0.527 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)[C@@]3(F)CCOC3)[C@@H]2C1 ZINC001084605904 821323952 /nfs/dbraw/zinc/32/39/52/821323952.db2.gz DLBWNTKPDHQYAR-UMVBOHGHSA-N 0 1 280.343 0.671 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N1CC(N2CCN(C)CC2)C1 ZINC001119569078 821372214 /nfs/dbraw/zinc/37/22/14/821372214.db2.gz ZIQIEKSRBDDQOV-INIZCTEOSA-N 0 1 295.427 0.673 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3c[nH]c(C)cc3=O)[C@@H]2C1 ZINC001084755070 821376222 /nfs/dbraw/zinc/37/62/22/821376222.db2.gz WXRXHASKMJSHEO-UKRRQHHQSA-N 0 1 299.374 0.853 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001099827589 821388156 /nfs/dbraw/zinc/38/81/56/821388156.db2.gz XPJYLUSXWQQZPX-KGLIPLIRSA-N 0 1 262.353 0.527 20 30 CCEDMN COCCC[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001099829917 821392184 /nfs/dbraw/zinc/39/21/84/821392184.db2.gz KDXSYOFRQURDRV-KGLIPLIRSA-N 0 1 296.411 0.624 20 30 CCEDMN N#CCN1CC[C@@H](Oc2nc[nH]c(=O)c2Cl)C1 ZINC001230018732 821402355 /nfs/dbraw/zinc/40/23/55/821402355.db2.gz STFOFMLEUZWZLF-SSDOTTSWSA-N 0 1 254.677 0.812 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CN3CCCC3)[C@@H]2C1 ZINC001084832510 821406460 /nfs/dbraw/zinc/40/64/60/821406460.db2.gz KDVXUQQOKPGJFQ-CHWSQXEVSA-N 0 1 262.357 0.138 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCN(C2CCOCC2)CC1 ZINC001119647777 821409437 /nfs/dbraw/zinc/40/94/37/821409437.db2.gz LUZXJKMZIZGASV-UHFFFAOYSA-N 0 1 292.387 0.550 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H]2CCN(C(=O)[C@@H](C)OC)C[C@@H]21 ZINC001084898759 821425965 /nfs/dbraw/zinc/42/59/65/821425965.db2.gz FFCDFHDSWZESIW-MCIONIFRSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)[C@@H](C)OC)C[C@@H]21 ZINC001084898759 821425969 /nfs/dbraw/zinc/42/59/69/821425969.db2.gz FFCDFHDSWZESIW-MCIONIFRSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H]3CCNC(=O)C3)C[C@@H]21 ZINC001084919631 821431299 /nfs/dbraw/zinc/43/12/99/821431299.db2.gz DLMOYQUODSANMP-MCIONIFRSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCCC(=O)N(C)C[C@H]1CCN1C[C@H](O)COC ZINC001231170910 821502450 /nfs/dbraw/zinc/50/24/50/821502450.db2.gz VPCFXONMESZYPY-OLZOCXBDSA-N 0 1 270.373 0.493 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)CS(C)(=O)=O ZINC001273289007 821548602 /nfs/dbraw/zinc/54/86/02/821548602.db2.gz RQLWFPTWTSWPCM-UHFFFAOYSA-N 0 1 282.793 0.222 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1=COCCO1 ZINC001085468946 821724852 /nfs/dbraw/zinc/72/48/52/821724852.db2.gz FFJBFTOTMJPNEU-LBPRGKRZSA-N 0 1 266.341 0.983 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cn(C)cn1 ZINC001085545799 821780863 /nfs/dbraw/zinc/78/08/63/821780863.db2.gz PICKMBGANIWTQK-LBPRGKRZSA-N 0 1 260.341 0.590 20 30 CCEDMN C[C@@H](O)CN1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556569 821789370 /nfs/dbraw/zinc/78/93/70/821789370.db2.gz UOQPEOBHVLKLTJ-ZWNOBZJWSA-N 0 1 276.340 0.413 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1CN(C(C)=O)C1 ZINC001085567448 821814966 /nfs/dbraw/zinc/81/49/66/821814966.db2.gz JOVMSYGHOKYZHQ-AWEZNQCLSA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnn2ncccc12 ZINC001085644504 821869818 /nfs/dbraw/zinc/86/98/18/821869818.db2.gz PCTZMZKMAPRNTQ-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnc2n1CCC2 ZINC001085647786 821878404 /nfs/dbraw/zinc/87/84/04/821878404.db2.gz UUPHNQRFKASIHY-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnc2n1CCC2 ZINC001085647785 821880388 /nfs/dbraw/zinc/88/03/88/821880388.db2.gz UUPHNQRFKASIHY-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnc[nH]c1=O ZINC001085663093 821882238 /nfs/dbraw/zinc/88/22/38/821882238.db2.gz XWDIELLBUKOKFB-NSHDSACASA-N 0 1 274.324 0.352 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1COCCN1CC ZINC001085683405 821898045 /nfs/dbraw/zinc/89/80/45/821898045.db2.gz PRTBZQVAPJTNMG-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)NC(=O)c1cnn[nH]1 ZINC001108204548 821901713 /nfs/dbraw/zinc/90/17/13/821901713.db2.gz NPOREONVLFGDLG-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001085732424 821924285 /nfs/dbraw/zinc/92/42/85/821924285.db2.gz AUCQOEVHSNNCEP-RDGWLKQMSA-N 0 1 288.391 0.966 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001085769949 821941148 /nfs/dbraw/zinc/94/11/48/821941148.db2.gz VPCFTFDGQKSRGG-MQYQWHSLSA-N 0 1 262.353 0.435 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCCC(=O)N1 ZINC001085814151 821966794 /nfs/dbraw/zinc/96/67/94/821966794.db2.gz QUKXOZINZKZYDX-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)C[C@H]1CCN1CCCO ZINC001085869840 821988969 /nfs/dbraw/zinc/98/89/69/821988969.db2.gz DCJBWKZSGHWTEY-ILXRZTDVSA-N 0 1 296.411 0.883 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2ccc(C#N)cn2)CC1 ZINC001155153021 822063674 /nfs/dbraw/zinc/06/36/74/822063674.db2.gz BTHSJQOBILAZJC-ZDUSSCGKSA-N 0 1 274.324 0.670 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2cnc(C#N)cn2)CC1 ZINC001155154917 822069230 /nfs/dbraw/zinc/06/92/30/822069230.db2.gz SYWGJOLGDHXYMF-LBPRGKRZSA-N 0 1 275.312 0.065 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1nnc(C(C)(C)C)[nH]1 ZINC001155836224 822258351 /nfs/dbraw/zinc/25/83/51/822258351.db2.gz LNJHOULOXXXCGJ-SNVBAGLBSA-N 0 1 292.387 0.858 20 30 CCEDMN C[C@@H](CNc1cncc(C#N)n1)NC(=O)[C@H]1CCCN1C ZINC001108290951 822323090 /nfs/dbraw/zinc/32/30/90/822323090.db2.gz ZTKLULAQLHOKKO-CMPLNLGQSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@@H](CNc1ccncc1C#N)NC(=O)[C@H]1CCCN1C ZINC001108311812 822354739 /nfs/dbraw/zinc/35/47/39/822354739.db2.gz QHHBHUTYKYDWBC-SMDDNHRTSA-N 0 1 287.367 0.386 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1=COCCC1 ZINC001114002784 837400068 /nfs/dbraw/zinc/40/00/68/837400068.db2.gz NSLMYOZBFXNTPL-FICVDOATSA-N 0 1 290.363 0.377 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)CC)C[C@H]21 ZINC001114004039 837401315 /nfs/dbraw/zinc/40/13/15/837401315.db2.gz FNQOJAHOZUJABE-JYAVWHMHSA-N 0 1 279.384 0.477 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C)[nH]1 ZINC001114037667 837413002 /nfs/dbraw/zinc/41/30/02/837413002.db2.gz HBLJHLGAIBIJMB-IMRBUKKESA-N 0 1 288.351 0.028 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)COC ZINC001114045420 837415348 /nfs/dbraw/zinc/41/53/48/837415348.db2.gz ZUSHDEWHVWVKCD-WUHRBBMRSA-N 0 1 250.342 0.339 20 30 CCEDMN C#CCNC(=O)CCN1CCCC[C@@H]1CS(C)(=O)=O ZINC001170443157 837485111 /nfs/dbraw/zinc/48/51/11/837485111.db2.gz MWWYPIUGLKJMCM-GFCCVEGCSA-N 0 1 286.397 0.025 20 30 CCEDMN CC(C)(C)c1ccc(C#N)c(-n2nnnc2CN)n1 ZINC001168921235 836054358 /nfs/dbraw/zinc/05/43/58/836054358.db2.gz XBJYMEAPJOHMNH-UHFFFAOYSA-N 0 1 257.301 0.685 20 30 CCEDMN Cc1nsc(NC[C@H](C)NC(=O)c2ncn[nH]2)c1C#N ZINC001108475564 836272559 /nfs/dbraw/zinc/27/25/59/836272559.db2.gz NUUBSVFCJASOIN-LURJTMIESA-N 0 1 291.340 0.672 20 30 CCEDMN Cc1nsc(NC[C@H](C)NC(=O)c2nc[nH]n2)c1C#N ZINC001108475564 836272569 /nfs/dbraw/zinc/27/25/69/836272569.db2.gz NUUBSVFCJASOIN-LURJTMIESA-N 0 1 291.340 0.672 20 30 CCEDMN Cc1nc(NC[C@H](C)NC(=O)c2ncn[nH]2)ccc1C#N ZINC001108475672 836273321 /nfs/dbraw/zinc/27/33/21/836273321.db2.gz POSPTHQJPFKRMS-QMMMGPOBSA-N 0 1 285.311 0.610 20 30 CCEDMN Cc1nc(NC[C@H](C)NC(=O)c2nc[nH]n2)ccc1C#N ZINC001108475672 836273333 /nfs/dbraw/zinc/27/33/33/836273333.db2.gz POSPTHQJPFKRMS-QMMMGPOBSA-N 0 1 285.311 0.610 20 30 CCEDMN N#CN=C(Nc1ncnc2c1CNC2)c1ccncc1 ZINC001156323880 836302561 /nfs/dbraw/zinc/30/25/61/836302561.db2.gz YWORRWVZHHEQIY-UHFFFAOYSA-N 0 1 265.280 0.624 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCCCS1(=O)=O ZINC001129332864 836310984 /nfs/dbraw/zinc/31/09/84/836310984.db2.gz CRGLGTXNGAJVDC-SNVBAGLBSA-N 0 1 294.804 0.412 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCn2cc(C)cn2)[C@H](O)C1 ZINC001100126245 836527415 /nfs/dbraw/zinc/52/74/15/836527415.db2.gz DQKDCULUUDUHTG-ZIAGYGMSSA-N 0 1 292.383 0.319 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC(=O)NCC)C2 ZINC001109066257 836607735 /nfs/dbraw/zinc/60/77/35/836607735.db2.gz XYGNVYLPSMJYBY-RDBSUJKOSA-N 0 1 291.395 0.648 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCCNc2cncc(C#N)n2)[nH]1 ZINC001109394781 836670458 /nfs/dbraw/zinc/67/04/58/836670458.db2.gz KNUBSNXXZHKXBX-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](COC)OC)C2 ZINC001109696029 836716901 /nfs/dbraw/zinc/71/69/01/836716901.db2.gz OBOAIRQBMKYFCA-RFGFWPKPSA-N 0 1 282.384 0.945 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cc(C)nn2C)[C@H](O)C1 ZINC001090427997 836724070 /nfs/dbraw/zinc/72/40/70/836724070.db2.gz QZUZVVACRFCWQR-ZIAGYGMSSA-N 0 1 292.383 0.008 20 30 CCEDMN N#Cc1ccc(NC[C@@H](NC(=O)c2ncn[nH]2)C2CC2)cn1 ZINC001109885097 836742780 /nfs/dbraw/zinc/74/27/80/836742780.db2.gz UEJWWTPXXNZUKR-GFCCVEGCSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(NC[C@@H](NC(=O)c2nc[nH]n2)C2CC2)cn1 ZINC001109885097 836742786 /nfs/dbraw/zinc/74/27/86/836742786.db2.gz UEJWWTPXXNZUKR-GFCCVEGCSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1c(Cl)nc(Cl)nc1NC[C@H]1COCCN1 ZINC001170035194 836753736 /nfs/dbraw/zinc/75/37/36/836753736.db2.gz JRTGNDBECHSYJM-LURJTMIESA-N 0 1 288.138 0.477 20 30 CCEDMN N#Cc1ccc(NC[C@@H](NC(=O)c2cnn[nH]2)C2CC2)nn1 ZINC001110012860 836761849 /nfs/dbraw/zinc/76/18/49/836761849.db2.gz OTIAZUOWDTVKGV-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cccnc1NC[C@H](NC(=O)c1cnn[nH]1)C1CC1 ZINC001110012690 836764045 /nfs/dbraw/zinc/76/40/45/836764045.db2.gz LASMPKYAZVREQL-NSHDSACASA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2cc(=O)n(C)o2)CC1 ZINC001112798207 836915831 /nfs/dbraw/zinc/91/58/31/836915831.db2.gz SUVAVGWCNMFIGM-UHFFFAOYSA-N 0 1 279.340 0.702 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CCC=C)CC1 ZINC001112846589 836938307 /nfs/dbraw/zinc/93/83/07/836938307.db2.gz SMZYLLULSSPEDQ-CYBMUJFWSA-N 0 1 250.342 0.745 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC001112909434 836961054 /nfs/dbraw/zinc/96/10/54/836961054.db2.gz QVRMKCWRMDAFFJ-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN C[C@@H](NC(=O)Cc1cnc[nH]1)[C@H](C)Nc1ccncc1C#N ZINC001113315169 837086511 /nfs/dbraw/zinc/08/65/11/837086511.db2.gz YGLLKMCWOVPWJB-WDEREUQCSA-N 0 1 298.350 0.646 20 30 CCEDMN C=CCCCCCN1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC001113350960 837099707 /nfs/dbraw/zinc/09/97/07/837099707.db2.gz FNYYOYVUGJSEQS-KGLIPLIRSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2cn(C)c(=O)n2C)CC1 ZINC001113693236 837198094 /nfs/dbraw/zinc/19/80/94/837198094.db2.gz AYBRDZJYCHJECD-UHFFFAOYSA-N 0 1 292.383 0.448 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CCCC(N)=O)CC1 ZINC001113731640 837208836 /nfs/dbraw/zinc/20/88/36/837208836.db2.gz UPLXREUJIHTZEK-UHFFFAOYSA-N 0 1 267.373 0.752 20 30 CCEDMN COCCN1CCN(C(=O)[C@@H]2C[C@@H]2C#N)C(C)(C)C1 ZINC001130636015 837877410 /nfs/dbraw/zinc/87/74/10/837877410.db2.gz UVDPHVGWZSEFKM-VXGBXAGGSA-N 0 1 265.357 0.715 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](CNCC#N)[C@H](C)C1 ZINC001184912336 844310780 /nfs/dbraw/zinc/31/07/80/844310780.db2.gz HXFOKSOSMZLQEX-MWLCHTKSSA-N 0 1 276.344 0.325 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC2(O)CCC2)CC[C@H]1C ZINC001132016050 838299928 /nfs/dbraw/zinc/29/99/28/838299928.db2.gz DFRAFQMYRJQNOP-OLZOCXBDSA-N 0 1 264.369 0.894 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COc2cc(C)on2)CC[C@H]1C ZINC001132116492 838321706 /nfs/dbraw/zinc/32/17/06/838321706.db2.gz QQCWMBBZOUWGJH-YPMHNXCESA-N 0 1 291.351 0.964 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2nc(C)no2)CC[C@H]1C ZINC001132211800 838335946 /nfs/dbraw/zinc/33/59/46/838335946.db2.gz RLBAKNQWEBCUKG-DGCLKSJQSA-N 0 1 290.367 0.913 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2(C(=O)NC)CC2)CC[C@H]1C ZINC001132349285 838369439 /nfs/dbraw/zinc/36/94/39/838369439.db2.gz ZCVSGSYBLJGWTD-VXGBXAGGSA-N 0 1 277.368 0.115 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001132605505 838446387 /nfs/dbraw/zinc/44/63/87/838446387.db2.gz SQAHOHWEBGCABB-MYJAWHEDSA-N 0 1 289.173 0.493 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1cnnn1C ZINC001132653064 838459404 /nfs/dbraw/zinc/45/94/04/838459404.db2.gz DHWUANNOKHVYFR-LLVKDONJSA-N 0 1 265.361 0.623 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCNCc1n[nH]c(C)n1 ZINC001132659600 838464575 /nfs/dbraw/zinc/46/45/75/838464575.db2.gz BIEMCULJHAYZFE-LLVKDONJSA-N 0 1 265.361 0.921 20 30 CCEDMN C=C(Br)CNCCNC(=O)Cc1cnoc1 ZINC001132738409 838481482 /nfs/dbraw/zinc/48/14/82/838481482.db2.gz CFZNLMKEQOUVKY-UHFFFAOYSA-N 0 1 288.145 0.832 20 30 CCEDMN C=CCCCC(=O)NCCNCc1cnnn1CC ZINC001133154383 838563041 /nfs/dbraw/zinc/56/30/41/838563041.db2.gz IFRMMSWHLZCOLL-UHFFFAOYSA-N 0 1 265.361 0.860 20 30 CCEDMN C[C@@H]1CCN(C(=O)CN2CCCC2)C[C@H]1CNCC#N ZINC001133309925 838593421 /nfs/dbraw/zinc/59/34/21/838593421.db2.gz IUELCZLFXIIOMZ-ZIAGYGMSSA-N 0 1 278.400 0.680 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001133676974 838693882 /nfs/dbraw/zinc/69/38/82/838693882.db2.gz AMSCCLPUJZTPIP-JTQLQIEISA-N 0 1 273.764 0.504 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@@H](C)NCc1cn(C)nn1 ZINC001133910017 838775603 /nfs/dbraw/zinc/77/56/03/838775603.db2.gz UUDICTSNFZIJRV-VXGBXAGGSA-N 0 1 295.387 0.391 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CC(=O)N(CCC)C1 ZINC001134507441 838991787 /nfs/dbraw/zinc/99/17/87/838991787.db2.gz CPTOUYVWBQPFJA-NSHDSACASA-N 0 1 287.791 0.703 20 30 CCEDMN CC(C)C[C@H](C#N)NC(=O)[C@H]1CN(C)CCN1C ZINC001185267106 844390404 /nfs/dbraw/zinc/39/04/04/844390404.db2.gz MVWIAKJHWRTLJK-VXGBXAGGSA-N 0 1 252.362 0.287 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)C[N@@H+](C)C1CCC1)NCC#N ZINC001134607439 839023686 /nfs/dbraw/zinc/02/36/86/839023686.db2.gz HHIKCPDIKPCDAB-RYUDHWBXSA-N 0 1 266.389 0.867 20 30 CCEDMN COCC#CC[NH2+][C@H](C)C[C@@H](C)NC(=O)c1[n-]nnc1C ZINC001135166212 839171188 /nfs/dbraw/zinc/17/11/88/839171188.db2.gz LAVDCGHASKEFRV-GHMZBOCLSA-N 0 1 293.371 0.249 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C(C)(C)CNC(C)=O ZINC001135169215 839172812 /nfs/dbraw/zinc/17/28/12/839172812.db2.gz KUXWLLIMOZRLGF-UHFFFAOYSA-N 0 1 275.780 0.607 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1ncccn1 ZINC001135599342 839313318 /nfs/dbraw/zinc/31/33/18/839313318.db2.gz CZEUONCFGTVYRF-UHFFFAOYSA-N 0 1 285.145 0.705 20 30 CCEDMN CCN(CC#N)C(=O)c1nc[nH]c(=O)c1Br ZINC001136377919 839605893 /nfs/dbraw/zinc/60/58/93/839605893.db2.gz UQHVRLYUXIRUDU-UHFFFAOYSA-N 0 1 285.101 0.930 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CCN(CCO)C[C@H]2O)CC1 ZINC001090548293 839630465 /nfs/dbraw/zinc/63/04/65/839630465.db2.gz WHHRXAGJFWDLTG-ZIAGYGMSSA-N 0 1 282.384 0.277 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(C)[nH]c2C)[C@@H](O)C1 ZINC001090604935 839671091 /nfs/dbraw/zinc/67/10/91/839671091.db2.gz GUFFSBWOJLZZFT-CABCVRRESA-N 0 1 289.379 0.820 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(C3CC3)n2)[C@@H](O)C1 ZINC001090630117 839689466 /nfs/dbraw/zinc/68/94/66/839689466.db2.gz KTXPJUOCGVMOGZ-YPMHNXCESA-N 0 1 291.351 0.903 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cn(CC)cn2)[C@@H](O)C1 ZINC001090731890 839762094 /nfs/dbraw/zinc/76/20/94/839762094.db2.gz XNPVBEYINUJUTR-YPMHNXCESA-N 0 1 278.356 0.254 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(CC)cn2)[C@@H](O)C1 ZINC001090731890 839762096 /nfs/dbraw/zinc/76/20/96/839762096.db2.gz XNPVBEYINUJUTR-YPMHNXCESA-N 0 1 278.356 0.254 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(CC=C)C[C@H]2O)nc1 ZINC001090736015 839764344 /nfs/dbraw/zinc/76/43/44/839764344.db2.gz GVKKUQNVRKGMSV-UKRRQHHQSA-N 0 1 285.347 0.414 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)c2[n-]c(=O)c(C#N)cc2C)CC1 ZINC001136862826 839784856 /nfs/dbraw/zinc/78/48/56/839784856.db2.gz CKENDPOFTJWQGD-UHFFFAOYSA-N 0 1 298.346 0.748 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)c2[n-]c(=O)c(C#N)cc2C)CC1 ZINC001136862826 839784862 /nfs/dbraw/zinc/78/48/62/839784862.db2.gz CKENDPOFTJWQGD-UHFFFAOYSA-N 0 1 298.346 0.748 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2[nH]cnc2CC)[C@H](O)C1 ZINC001090767311 839787979 /nfs/dbraw/zinc/78/79/79/839787979.db2.gz IOHMLMOWGVODAL-CHWSQXEVSA-N 0 1 290.367 0.160 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2ccc(=O)[nH]c2)[C@@H](O)C1 ZINC001090778887 839804501 /nfs/dbraw/zinc/80/45/01/839804501.db2.gz AKLSEDSTKDRALK-RYUDHWBXSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(=O)[nH]c2)[C@@H](O)C1 ZINC001090778887 839804512 /nfs/dbraw/zinc/80/45/12/839804512.db2.gz AKLSEDSTKDRALK-RYUDHWBXSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]cc2C)[C@H](O)C1 ZINC001090803913 839821175 /nfs/dbraw/zinc/82/11/75/839821175.db2.gz DBAKOWDPZZUWJO-CHWSQXEVSA-N 0 1 263.341 0.674 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)noc2CC)[C@H](O)C1 ZINC001090802553 839823336 /nfs/dbraw/zinc/82/33/36/839823336.db2.gz PGRLNABCMLYBCQ-VXGBXAGGSA-N 0 1 293.367 0.896 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CCOCC)C1 ZINC001149356985 839926769 /nfs/dbraw/zinc/92/67/69/839926769.db2.gz VZLYUVLZOUUECZ-CYBMUJFWSA-N 0 1 270.373 0.806 20 30 CCEDMN COc1cccc(C[C@H](N)C(=O)N(C)[C@@H](C)C#N)c1 ZINC001144937030 840203922 /nfs/dbraw/zinc/20/39/22/840203922.db2.gz HQQVWXNWAPDPOM-GWCFXTLKSA-N 0 1 261.325 0.935 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CCn2cccn2)C1 ZINC001149215368 840242517 /nfs/dbraw/zinc/24/25/17/840242517.db2.gz SPPKWNPTIYHYKR-CQSZACIVSA-N 0 1 292.383 0.666 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CN(CCF)C[C@@]2(C)C1 ZINC001091543661 840262177 /nfs/dbraw/zinc/26/21/77/840262177.db2.gz MZJDAFZHNDSJIA-LOWVWBTDSA-N 0 1 253.321 0.896 20 30 CCEDMN CCCC(=O)N1CC2(C1)CCN(CC#CCOC)C2 ZINC001146875261 840413438 /nfs/dbraw/zinc/41/34/38/840413438.db2.gz CAVKKYLVFQRQCM-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCSC)C2)C1 ZINC001146957797 840426432 /nfs/dbraw/zinc/42/64/32/840426432.db2.gz AVJOJSYCKDTVBV-UHFFFAOYSA-N 0 1 252.383 0.907 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCOCC)C2)C1 ZINC001147181176 840524714 /nfs/dbraw/zinc/52/47/14/840524714.db2.gz IHYWAFGHIWLGIZ-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN C=C(C)CCC(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001147640288 840644592 /nfs/dbraw/zinc/64/45/92/840644592.db2.gz UGYYXXHTSJWWKJ-GFCCVEGCSA-N 0 1 279.384 0.751 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2ccccn2)nc1 ZINC001148370335 840795328 /nfs/dbraw/zinc/79/53/28/840795328.db2.gz SOQKYXZDPLWVGF-UHFFFAOYSA-N 0 1 280.331 0.978 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CN(C(=O)c3csnn3)C[C@]2(C)C1 ZINC001091890224 840969190 /nfs/dbraw/zinc/96/91/90/840969190.db2.gz LZJAYFYRVMLHIJ-RISCZKNCSA-N 0 1 290.392 0.955 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C(C)(C)COC)[C@H](O)C1 ZINC001099921252 841086921 /nfs/dbraw/zinc/08/69/21/841086921.db2.gz MFEHHTCTOOFFNP-VXGBXAGGSA-N 0 1 270.373 0.396 20 30 CCEDMN COCC#CC[N@H+]1C[C@@H]2CCN(C(=O)C(C)C)[C@@H]2C1 ZINC001186867025 844614952 /nfs/dbraw/zinc/61/49/52/844614952.db2.gz RGYVDYZIGCGZNF-UONOGXRCSA-N 0 1 264.369 0.825 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)C(C)C)[C@@H]2C1 ZINC001186867025 844614957 /nfs/dbraw/zinc/61/49/57/844614957.db2.gz RGYVDYZIGCGZNF-UONOGXRCSA-N 0 1 264.369 0.825 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H]2[C@@H](CCN2CC(N)=O)C1 ZINC001036789012 841220677 /nfs/dbraw/zinc/22/06/77/841220677.db2.gz KZKYRCCOBRGJIM-NWDGAFQWSA-N 0 1 279.384 0.607 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(N)=O)CC[C@H]21 ZINC001036804863 841235864 /nfs/dbraw/zinc/23/58/64/841235864.db2.gz QRTXHQLHMXSLTG-NXEZZACHSA-N 0 1 271.748 0.147 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H]3[C@H](CCN3CC(N)=O)C2)C1 ZINC001036815231 841250992 /nfs/dbraw/zinc/25/09/92/841250992.db2.gz ASHMXUJLLWHUOQ-CHWSQXEVSA-N 0 1 291.395 0.751 20 30 CCEDMN Cc1cc(CC(=O)NCCNc2nc(C)cc(C#N)n2)[nH]n1 ZINC001093551491 841337263 /nfs/dbraw/zinc/33/72/63/841337263.db2.gz HYZGCBMKWWWDFW-UHFFFAOYSA-N 0 1 299.338 0.459 20 30 CCEDMN Cc1nc(NCCNC(=O)c2ncn[nH]2)ccc1C#N ZINC001093793558 841460150 /nfs/dbraw/zinc/46/01/50/841460150.db2.gz VSXVNEGLAKQQTM-UHFFFAOYSA-N 0 1 271.284 0.222 20 30 CCEDMN Cc1nc(NCCNC(=O)c2nc[nH]n2)ccc1C#N ZINC001093793558 841460152 /nfs/dbraw/zinc/46/01/52/841460152.db2.gz VSXVNEGLAKQQTM-UHFFFAOYSA-N 0 1 271.284 0.222 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2(C)CC2)[C@H](O)C1 ZINC001099932088 841522032 /nfs/dbraw/zinc/52/20/32/841522032.db2.gz ZYEVXCBVAVWHGR-QWHCGFSZSA-N 0 1 264.369 0.751 20 30 CCEDMN N#Cc1cccnc1NCCCNC(=O)Cc1nnc[nH]1 ZINC001094572322 841670393 /nfs/dbraw/zinc/67/03/93/841670393.db2.gz MUJBWDBXAWIVLV-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN Cc1cc(NCCCNC(=O)Cc2cnc[nH]2)c(C#N)cn1 ZINC001094808194 841728127 /nfs/dbraw/zinc/72/81/27/841728127.db2.gz HJAUXBBHLCSTRD-UHFFFAOYSA-N 0 1 298.350 0.568 20 30 CCEDMN C[C@H](Cc1ccc(C#N)cc1)NC1CS(=O)(=O)C1 ZINC001172292480 841922179 /nfs/dbraw/zinc/92/21/79/841922179.db2.gz PFMIIHIMWVHCME-SNVBAGLBSA-N 0 1 264.350 0.876 20 30 CCEDMN N#C[C@H](NC(=O)c1cc2c(Cl)[nH]ccc-2n1)C(N)=O ZINC001174601774 842196286 /nfs/dbraw/zinc/19/62/86/842196286.db2.gz UQXBAVSAISPLCA-QMMMGPOBSA-N 0 1 277.671 0.324 20 30 CCEDMN CNC(=O)CN1CCCN(CC2(CC#N)CC2)CC1 ZINC001176304635 842358265 /nfs/dbraw/zinc/35/82/65/842358265.db2.gz JBBKQXAMGGJYIK-UHFFFAOYSA-N 0 1 264.373 0.434 20 30 CCEDMN Cc1nc(NC2(CNC(=O)c3ncn[nH]3)CC2)ccc1C#N ZINC001110200251 842507300 /nfs/dbraw/zinc/50/73/00/842507300.db2.gz ZBNNRMKNKMIXMR-UHFFFAOYSA-N 0 1 297.322 0.754 20 30 CCEDMN Cc1nc(NC2(CNC(=O)c3nc[nH]n3)CC2)ccc1C#N ZINC001110200251 842507305 /nfs/dbraw/zinc/50/73/05/842507305.db2.gz ZBNNRMKNKMIXMR-UHFFFAOYSA-N 0 1 297.322 0.754 20 30 CCEDMN N#Cc1ccnnc1NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001177172139 842508215 /nfs/dbraw/zinc/50/82/15/842508215.db2.gz BPROUGCIONWGEZ-QMMMGPOBSA-N 0 1 268.280 0.815 20 30 CCEDMN N#Cc1c(N)nn(C(=O)[C@H]2CCc3[nH]cnc3C2)c1N ZINC001177172270 842511588 /nfs/dbraw/zinc/51/15/88/842511588.db2.gz DREGOECMRRWKBV-LURJTMIESA-N 0 1 271.284 0.088 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001177181259 842518876 /nfs/dbraw/zinc/51/88/76/842518876.db2.gz CSMQPPIZCLARTF-XCBNKYQSSA-N 0 1 283.353 0.102 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1cc(OC)no1 ZINC001177260813 842539937 /nfs/dbraw/zinc/53/99/37/842539937.db2.gz WUXKHQCOEILKPR-UHFFFAOYSA-N 0 1 297.355 0.872 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1cnon1 ZINC001177272930 842540595 /nfs/dbraw/zinc/54/05/95/842540595.db2.gz BRGXXEFDVFTXAZ-SNVBAGLBSA-N 0 1 268.317 0.257 20 30 CCEDMN COC(=O)c1cc(C#N)cc(NC(=O)Cc2n[nH]c(C)n2)c1 ZINC001177439937 842606023 /nfs/dbraw/zinc/60/60/23/842606023.db2.gz OPIAGGIBAJRPLU-UHFFFAOYSA-N 0 1 299.290 0.953 20 30 CCEDMN O=C(C#Cc1cccnc1)N1CCN(C2CCOCC2)CC1 ZINC001177776684 842660459 /nfs/dbraw/zinc/66/04/59/842660459.db2.gz DBSMTGOBXQCZFQ-UHFFFAOYSA-N 0 1 299.374 0.756 20 30 CCEDMN O=C(C#Cc1ccc(F)cc1F)NCc1nnc[nH]1 ZINC001178574418 842884273 /nfs/dbraw/zinc/88/42/73/842884273.db2.gz ZVHUPKIICKIZGU-UHFFFAOYSA-N 0 1 262.219 0.751 20 30 CCEDMN C[C@](O)(CC(=O)Nc1nc[nH]c1C#N)C(F)(F)F ZINC001179804952 843052466 /nfs/dbraw/zinc/05/24/66/843052466.db2.gz WBQYZUMMWHYZBD-QMMMGPOBSA-N 0 1 262.191 0.923 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(=O)NCC2CC2)[C@H]1C ZINC001179807241 843052516 /nfs/dbraw/zinc/05/25/16/843052516.db2.gz ZCVIRRSBALPQIR-JQWIXIFHSA-N 0 1 299.802 0.844 20 30 CCEDMN Cc1cc(C(=O)N2C[C@@H](C)[C@](C)(CNCC#N)C2)n[nH]1 ZINC001180746869 843268866 /nfs/dbraw/zinc/26/88/66/843268866.db2.gz BZDWZLKOVABFGK-QMTHXVAHSA-N 0 1 275.356 0.930 20 30 CCEDMN C=C[C@@H]1C[C@@]1(NC(=O)[C@H](C)N(C)C)C(=O)OCC ZINC001180863854 843327807 /nfs/dbraw/zinc/32/78/07/843327807.db2.gz MVTMJGKAQUJWNI-CWSCBRNRSA-N 0 1 254.330 0.561 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2ccccn2)C1 ZINC001181644554 843551153 /nfs/dbraw/zinc/55/11/53/843551153.db2.gz RGKTVPJVKXDAOT-CQSZACIVSA-N 0 1 275.352 0.975 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N[C@@H](C)c2cnccn2)C1 ZINC001181617427 843556278 /nfs/dbraw/zinc/55/62/78/843556278.db2.gz CPAADHWFNRHZSN-QWHCGFSZSA-N 0 1 290.367 0.931 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)Cc1[nH]nc2ccccc21 ZINC001182063190 843701482 /nfs/dbraw/zinc/70/14/82/843701482.db2.gz VJZKNZXTMKZXPJ-LBPRGKRZSA-N 0 1 286.291 0.677 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(N(C)C)c(C=O)c1 ZINC001151241732 843846902 /nfs/dbraw/zinc/84/69/02/843846902.db2.gz GNBLMCRWXJUMMU-UHFFFAOYSA-N 0 1 287.363 0.860 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)Cc1cn2cccnc2n1 ZINC001182718215 843894818 /nfs/dbraw/zinc/89/48/18/843894818.db2.gz JDKQTKBVJALGEY-UHFFFAOYSA-N 0 1 267.252 0.505 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)CN1CCC(=O)C1 ZINC001187335522 844697951 /nfs/dbraw/zinc/69/79/51/844697951.db2.gz DKJPXKZEYFYFNS-LBPRGKRZSA-N 0 1 265.357 0.028 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2ncc(C(F)(F)F)[nH]2)CCO1 ZINC001188288215 844855509 /nfs/dbraw/zinc/85/55/09/844855509.db2.gz NVNUYDOTUDKUBY-LURJTMIESA-N 0 1 274.202 0.793 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cccnc2)C1 ZINC001188642931 844945052 /nfs/dbraw/zinc/94/50/52/844945052.db2.gz SBTNDMCNADFQFP-OAHLLOKOSA-N 0 1 287.363 0.878 20 30 CCEDMN COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2ccon2)C1 ZINC001189103633 845053878 /nfs/dbraw/zinc/05/38/78/845053878.db2.gz FEKDZFSYHBJYME-GFCCVEGCSA-N 0 1 277.324 0.471 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ccon2)C1 ZINC001189103633 845053888 /nfs/dbraw/zinc/05/38/88/845053888.db2.gz FEKDZFSYHBJYME-GFCCVEGCSA-N 0 1 277.324 0.471 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COCCC)C1 ZINC001189224843 845080793 /nfs/dbraw/zinc/08/07/93/845080793.db2.gz YGNONACWGRCIMQ-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@H]2CCNC2=O)C1 ZINC001189280748 845093648 /nfs/dbraw/zinc/09/36/48/845093648.db2.gz WLFRNSCFYJPWLO-RYUDHWBXSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2CCN(C(=O)COC[C@H]3CCOC3)[C@@H]2C1 ZINC001189294124 845096311 /nfs/dbraw/zinc/09/63/11/845096311.db2.gz YYEWAZAHPMLTBM-SOUVJXGZSA-N 0 1 294.395 0.758 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@H]2CCN(C)C2=O)C1 ZINC001189319443 845107123 /nfs/dbraw/zinc/10/71/23/845107123.db2.gz ZRDLSXVSYZVNRL-STQMWFEESA-N 0 1 279.384 0.716 20 30 CCEDMN C=C[C@@H]1C[C@]1(NC(=O)c1cnn[nH]1)C(=O)OCC ZINC001189355598 845125720 /nfs/dbraw/zinc/12/57/20/845125720.db2.gz PDLVZEHDAWLDIV-RDDDGLTNSA-N 0 1 250.258 0.042 20 30 CCEDMN C=CCOC(=O)N1CCC(CNC(=O)c2cnn[nH]2)CC1 ZINC001189355071 845125899 /nfs/dbraw/zinc/12/58/99/845125899.db2.gz KCRVIQFMAUMJTM-UHFFFAOYSA-N 0 1 293.327 0.569 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)n2cncn2)C1 ZINC001189695302 845192374 /nfs/dbraw/zinc/19/23/74/845192374.db2.gz HBXIFFIKDUEUAH-CHWSQXEVSA-N 0 1 275.356 0.395 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@H](N(C)[C@@H](CC)C(N)=O)C1 ZINC001189744578 845199363 /nfs/dbraw/zinc/19/93/63/845199363.db2.gz VVAZRGBFOKCCNJ-KGLIPLIRSA-N 0 1 293.411 0.977 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001189743891 845199727 /nfs/dbraw/zinc/19/97/27/845199727.db2.gz KEMPYJMFGKPBJJ-CHWSQXEVSA-N 0 1 279.384 0.587 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2nnc(C)o2)C1 ZINC001189962604 845299761 /nfs/dbraw/zinc/29/97/61/845299761.db2.gz GGIJUSJUEHHTBI-GFCCVEGCSA-N 0 1 276.340 0.477 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CNC(=O)C2CCCC2)C1 ZINC001190319692 845386549 /nfs/dbraw/zinc/38/65/49/845386549.db2.gz RIANEIOMZLTJCT-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCc2ccnn2C)C1 ZINC001190415791 845402126 /nfs/dbraw/zinc/40/21/26/845402126.db2.gz RHUUDFCKBWGIOR-HNNXBMFYSA-N 0 1 288.395 0.909 20 30 CCEDMN C=CCCS(=O)(=O)NCc1n[nH]c(C(C)C)n1 ZINC001190656501 845454532 /nfs/dbraw/zinc/45/45/32/845454532.db2.gz UTBVFJHWQGHWSY-UHFFFAOYSA-N 0 1 258.347 0.924 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COCc2ccnn2C)C1 ZINC001190890390 845536832 /nfs/dbraw/zinc/53/68/32/845536832.db2.gz QTZVDJIMTNUNAO-CYBMUJFWSA-N 0 1 290.367 0.103 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cccc(C(N)=O)c2)C1 ZINC001190965642 845551875 /nfs/dbraw/zinc/55/18/75/845551875.db2.gz IWLCYLRWTVVUOT-HNNXBMFYSA-N 0 1 299.374 0.955 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C[C@H]2C=CCC2)C1 ZINC001190968150 845554311 /nfs/dbraw/zinc/55/43/11/845554311.db2.gz CSMBYLQFIGTONV-RRFJBIMHSA-N 0 1 294.395 0.707 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)no2)C1 ZINC001190998208 845570745 /nfs/dbraw/zinc/57/07/45/845570745.db2.gz DJXQYXFQGHNQNP-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN CCCCCCN1C[C@@H](O)[C@H](NC(=O)CSCC#N)C1 ZINC001191149597 845595864 /nfs/dbraw/zinc/59/58/64/845595864.db2.gz ZTUNENGFTKONBQ-CHWSQXEVSA-N 0 1 299.440 0.985 20 30 CCEDMN C[C@H](F)CCN1C[C@@H](O)[C@H](NC(=O)CSCC#N)C1 ZINC001191162464 845599301 /nfs/dbraw/zinc/59/93/01/845599301.db2.gz VLNXIQYTVUMUDZ-HBNTYKKESA-N 0 1 289.376 0.153 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2cnsn2)C1 ZINC001191307517 845626780 /nfs/dbraw/zinc/62/67/80/845626780.db2.gz MLBLRTUITUSFTG-GHMZBOCLSA-N 0 1 282.369 0.279 20 30 CCEDMN C=CCC[C@@H](C)N1C[C@@H](O)[C@H](NC(=O)c2cnns2)C1 ZINC001191306867 845627702 /nfs/dbraw/zinc/62/77/02/845627702.db2.gz DMKCXRUNLCUOIC-GMTAPVOTSA-N 0 1 296.396 0.668 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCN(C(=O)C(C)(C)COC)C1 ZINC001191360074 845641501 /nfs/dbraw/zinc/64/15/01/845641501.db2.gz KREIEKGNNHFFOF-LBPRGKRZSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(C)(C)COC)C1 ZINC001191360074 845641506 /nfs/dbraw/zinc/64/15/06/845641506.db2.gz KREIEKGNNHFFOF-LBPRGKRZSA-N 0 1 252.358 0.825 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(COC)CCOC2)C1 ZINC001191569863 845695054 /nfs/dbraw/zinc/69/50/54/845695054.db2.gz SJARWLUOMHOVTD-GDBMZVCRSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001191622858 845698669 /nfs/dbraw/zinc/69/86/69/845698669.db2.gz YPXNTIBQJGSPDH-IJLUTSLNSA-N 0 1 256.346 0.149 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)NC(=O)C(C)(C)C)C1 ZINC001191649646 845707025 /nfs/dbraw/zinc/70/70/25/845707025.db2.gz CEARWMNQPQHHRA-CHWSQXEVSA-N 0 1 293.411 0.703 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC001192325559 845821034 /nfs/dbraw/zinc/82/10/34/845821034.db2.gz LUOANLVAZSEZPQ-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(C(N)=O)co2)C1 ZINC001192303224 845824738 /nfs/dbraw/zinc/82/47/38/845824738.db2.gz CAPVENVVMJHXSD-GFCCVEGCSA-N 0 1 289.335 0.548 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COC[C@H]2CCOC2)C1 ZINC001192631840 845888265 /nfs/dbraw/zinc/88/82/65/845888265.db2.gz JEQNCLBYIMQCLR-UONOGXRCSA-N 0 1 280.368 0.205 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(C[C@H]2CCCCO2)C[C@H]1O ZINC001192761393 845903674 /nfs/dbraw/zinc/90/36/74/845903674.db2.gz HKUSWYGIIZNTLE-RBSFLKMASA-N 0 1 294.395 0.376 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](CC=C(C)C)C[C@H]1O ZINC001192844369 845921199 /nfs/dbraw/zinc/92/11/99/845921199.db2.gz QMXNFJIZQUJQIJ-MGPQQGTHSA-N 0 1 280.368 0.152 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1O ZINC001192844369 845921204 /nfs/dbraw/zinc/92/12/04/845921204.db2.gz QMXNFJIZQUJQIJ-MGPQQGTHSA-N 0 1 280.368 0.152 20 30 CCEDMN COc1ccc(CO)c(NS(=O)(=O)CC#N)c1 ZINC001192982223 845943855 /nfs/dbraw/zinc/94/38/55/845943855.db2.gz KKTQZLYPNJPOLP-UHFFFAOYSA-N 0 1 256.283 0.453 20 30 CCEDMN CN1CCN(c2ccc(NS(=O)(=O)CC#N)cc2)CC1 ZINC001192972024 845956931 /nfs/dbraw/zinc/95/69/31/845956931.db2.gz FUORTTIWJDUHBD-UHFFFAOYSA-N 0 1 294.380 0.704 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2nc3ncccn3n2)C1 ZINC001192977110 845959881 /nfs/dbraw/zinc/95/98/81/845959881.db2.gz HTLZEOZKCFYFKM-LBPRGKRZSA-N 0 1 298.350 0.294 20 30 CCEDMN N#CCS(=O)(=O)Nc1cc(C(N)=O)ccc1Cl ZINC001192978774 845961482 /nfs/dbraw/zinc/96/14/82/845961482.db2.gz FPUKCXAYCXXZFR-UHFFFAOYSA-N 0 1 273.701 0.704 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cccnc1N1CCOCC1 ZINC001193104005 845978910 /nfs/dbraw/zinc/97/89/10/845978910.db2.gz CPYUOUSZFLYNEQ-JTQLQIEISA-N 0 1 296.352 0.572 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccc2nc[nH]c(=O)c2c1 ZINC001193145991 846004540 /nfs/dbraw/zinc/00/45/40/846004540.db2.gz DWZMOZMLDXMMSF-SSDOTTSWSA-N 0 1 278.293 0.989 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2coc(COC)n2)C1 ZINC001193147453 846004852 /nfs/dbraw/zinc/00/48/52/846004852.db2.gz ZKQLNJYSTPTUCK-GFCCVEGCSA-N 0 1 291.351 0.991 20 30 CCEDMN CCOC(=O)[C@@H](NS(=O)(=O)[C@@H](C)C#N)c1cccnc1 ZINC001193182583 846026638 /nfs/dbraw/zinc/02/66/38/846026638.db2.gz OMLLDIGHIHJWOL-ONGXEEELSA-N 0 1 297.336 0.517 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](NC(N)=O)C(C)(C)C)C1 ZINC001193477700 846089113 /nfs/dbraw/zinc/08/91/13/846089113.db2.gz BVDHMHNKWBJQER-RYUDHWBXSA-N 0 1 294.399 0.235 20 30 CCEDMN C[C@]1(CO)CCN(C(=O)c2ccc(O)c(C#N)c2)C[C@H]1O ZINC001193505832 846101109 /nfs/dbraw/zinc/10/11/09/846101109.db2.gz JGMOBMVCZGPWPU-UKRRQHHQSA-N 0 1 290.319 0.469 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[N@H+]3CC[C@@H]3C2)ccc1[O-] ZINC001193506839 846101306 /nfs/dbraw/zinc/10/13/06/846101306.db2.gz ZLTVYJJQWORSJM-GFCCVEGCSA-N 0 1 257.293 0.794 20 30 CCEDMN COC(=O)[C@H]1C[C@@H]1CNC(=O)c1ccc(O)c(C#N)c1 ZINC001193514160 846103517 /nfs/dbraw/zinc/10/35/17/846103517.db2.gz CCUJCQPFFBWKLI-MNOVXSKESA-N 0 1 274.276 0.803 20 30 CCEDMN CN1CCN(c2cncc(C(=O)N3CC(C)(C#N)C3)c2)CC1 ZINC001193938475 846176681 /nfs/dbraw/zinc/17/66/81/846176681.db2.gz UHVYRKFKXFXTKA-UHFFFAOYSA-N 0 1 299.378 0.819 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](N(C)[C@H]2CCNC2=O)C1 ZINC001194382430 846283352 /nfs/dbraw/zinc/28/33/52/846283352.db2.gz ZMFHEWYNTXNRKN-OLZOCXBDSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@H](C)OCC)CC1 ZINC001194956473 846427513 /nfs/dbraw/zinc/42/75/13/846427513.db2.gz SRJBIKSVSDALCH-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(C)C)C1 ZINC001195433695 846542477 /nfs/dbraw/zinc/54/24/77/846542477.db2.gz JYDGLPNMDWRMKC-IJLUTSLNSA-N 0 1 256.321 0.165 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](CCC)OCC)C1 ZINC001195509932 846545009 /nfs/dbraw/zinc/54/50/09/846545009.db2.gz FWLNBVYGZPJTBW-MCIONIFRSA-N 0 1 282.384 0.376 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CC(=C)C)C1 ZINC001195532533 846569366 /nfs/dbraw/zinc/56/93/66/846569366.db2.gz PJMSNNKEHMJCSV-BFHYXJOUSA-N 0 1 264.369 0.773 20 30 CCEDMN CC(=O)N1C[C@H]2C[C@@]2(NC(=O)c2ccc(C#N)cc2O)C1 ZINC001195758768 846610721 /nfs/dbraw/zinc/61/07/21/846610721.db2.gz SCZBWVNQWPOWDM-IAQYHMDHSA-N 0 1 285.303 0.614 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](CO)[C@H](O)C2)c(O)c1 ZINC001195740462 846619517 /nfs/dbraw/zinc/61/95/17/846619517.db2.gz GCWIEPKCLLTEHQ-GXFFZTMASA-N 0 1 276.292 0.079 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN(CCF)CC2)c(O)c1 ZINC001195741877 846619644 /nfs/dbraw/zinc/61/96/44/846619644.db2.gz RNJBFTNEDPEGBL-UHFFFAOYSA-N 0 1 277.299 0.991 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CCCN(CCO)CC1 ZINC001195781552 846622194 /nfs/dbraw/zinc/62/21/94/846622194.db2.gz YFEMBOFEQUXKHO-UHFFFAOYSA-N 0 1 252.358 0.563 20 30 CCEDMN CCCCCCCCC(=O)N[C@@H]1CN(CCO)C[C@H]1O ZINC001198087701 847038447 /nfs/dbraw/zinc/03/84/47/847038447.db2.gz KATFARIZGQFONU-ZIAGYGMSSA-N 0 1 286.416 0.891 20 30 CCEDMN C=CCN1CCCN(C(=O)CNC(=O)[C@H](C)CC)CC1 ZINC001198136519 847045916 /nfs/dbraw/zinc/04/59/16/847045916.db2.gz TXCNZGYNLMPPPD-CYBMUJFWSA-N 0 1 281.400 0.869 20 30 CCEDMN Cc1cc([C@H]2CCCCN2CC(=O)NCC#N)n[nH]1 ZINC001198122628 847053118 /nfs/dbraw/zinc/05/31/18/847053118.db2.gz QZWGIEYIAUPBSO-GFCCVEGCSA-N 0 1 261.329 0.885 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)C(C)(C)NC(=O)NC)CC1 ZINC001198252926 847075389 /nfs/dbraw/zinc/07/53/89/847075389.db2.gz XYDUHRGWAKCCMO-UHFFFAOYSA-N 0 1 296.415 0.804 20 30 CCEDMN C=CCN1CCCN(C(=O)CCOCCOC)CC1 ZINC001198350420 847100831 /nfs/dbraw/zinc/10/08/31/847100831.db2.gz GFBLUNHEPWWJEC-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN COCCOc1ncc(C(=O)Nc2nc[nH]c2C#N)cn1 ZINC001199250978 847272802 /nfs/dbraw/zinc/27/28/02/847272802.db2.gz OZLMYMFNWBQUJQ-UHFFFAOYSA-N 0 1 288.267 0.349 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ccccc1C(=O)OC ZINC001251880958 847309792 /nfs/dbraw/zinc/30/97/92/847309792.db2.gz LEVJYGYJTKLXKW-CYBMUJFWSA-N 0 1 277.320 0.574 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC1(O)CCC1)C2 ZINC001110537440 847360486 /nfs/dbraw/zinc/36/04/86/847360486.db2.gz PTRNHEZHZIZOPB-UPJWGTAASA-N 0 1 262.353 0.646 20 30 CCEDMN Cc1cc(CNCCNC(=O)C2N=CC=CC2=O)n(C)n1 ZINC001200402148 847616814 /nfs/dbraw/zinc/61/68/14/847616814.db2.gz ZKTCZMQUPRASPK-BUHFOSPRSA-N 0 1 289.339 0.345 20 30 CCEDMN N#CC1(c2ccccc2)CCN(C[C@H](O)CO)CC1 ZINC001252053378 847723424 /nfs/dbraw/zinc/72/34/24/847723424.db2.gz BHWMZXOOZVAJQD-AWEZNQCLSA-N 0 1 260.337 0.897 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1[nH]nc2c1CCC2 ZINC001201242466 847748029 /nfs/dbraw/zinc/74/80/29/847748029.db2.gz BVHXBVRHFYJFFZ-VIFPVBQESA-N 0 1 262.269 0.083 20 30 CCEDMN Cn1nncc1CNC/C=C/CNC(=O)c1cc(C#N)c[nH]1 ZINC001273938852 847879666 /nfs/dbraw/zinc/87/96/66/847879666.db2.gz KVVSOEGGUSFVBV-NSCUHMNNSA-N 0 1 299.338 0.091 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C(C)(C)CS(C)(=O)=O ZINC001153064931 847919267 /nfs/dbraw/zinc/91/92/67/847919267.db2.gz BBTISGZFFKVYCM-UHFFFAOYSA-N 0 1 296.820 0.516 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1COC(=O)C1)C2 ZINC001095314586 847980596 /nfs/dbraw/zinc/98/05/96/847980596.db2.gz DKOYANYIPUBBBL-MQYQWHSLSA-N 0 1 290.363 0.684 20 30 CCEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2CCNC(C)=O ZINC001274000362 848273654 /nfs/dbraw/zinc/27/36/54/848273654.db2.gz SFQFDSMZQZVHGN-HNNXBMFYSA-N 0 1 279.384 0.766 20 30 CCEDMN C=C(C)CN1C[C@@]2(CC1=O)COCC[N@H+](C[C@@H](C)O)C2 ZINC001274047860 848318665 /nfs/dbraw/zinc/31/86/65/848318665.db2.gz UOLYUDZKXSPDMT-HIFRSBDPSA-N 0 1 282.384 0.494 20 30 CCEDMN N#CCCCN1CCC2(CCN(CC(N)=O)CC2)C1=O ZINC001274062360 848332890 /nfs/dbraw/zinc/33/28/90/848332890.db2.gz PFCULHCMAUVFGB-UHFFFAOYSA-N 0 1 278.356 0.090 20 30 CCEDMN C#CC[C@H](CO)Nc1nc(Cl)nc2[nH]cnc21 ZINC000717787262 848391457 /nfs/dbraw/zinc/39/14/57/848391457.db2.gz NWDYTRUPSVLYMO-ZCFIWIBFSA-N 0 1 251.677 0.802 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCN(c2ncnc3[nH]cnc32)CC1 ZINC001095399114 848402303 /nfs/dbraw/zinc/40/23/03/848402303.db2.gz OVKIHQRTUJXFKZ-SECBINFHSA-N 0 1 299.338 0.598 20 30 CCEDMN C=C[C@](C)(O)CN1CCC[C@@]12CCN([C@H](C)C(C)=O)C2=O ZINC001274614122 848523609 /nfs/dbraw/zinc/52/36/09/848523609.db2.gz BEIYCLPMUAWDQX-KCXAZCMYSA-N 0 1 294.395 0.968 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2c2ncnc3[nH]cnc32)C1=O ZINC001274672403 848537825 /nfs/dbraw/zinc/53/78/25/848537825.db2.gz ARTYPVNZDGOCFA-HNNXBMFYSA-N 0 1 296.334 0.557 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cnc[nH]c1=O ZINC001275121049 848643588 /nfs/dbraw/zinc/64/35/88/848643588.db2.gz JHEWRFUGXNOXGJ-LBPRGKRZSA-N 0 1 288.351 0.742 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1nn(CC)nc1C ZINC001275175120 848658039 /nfs/dbraw/zinc/65/80/39/848658039.db2.gz FYNUGCAOYJCWIE-CYBMUJFWSA-N 0 1 289.383 0.776 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CC1CCOCC1 ZINC001275520745 848749409 /nfs/dbraw/zinc/74/94/09/848749409.db2.gz JFUMZRQYPCHTNQ-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cccc2ncnn21 ZINC001275599416 848771684 /nfs/dbraw/zinc/77/16/84/848771684.db2.gz HARRSMHXURJDIA-LLVKDONJSA-N 0 1 271.324 0.413 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccc(N(C)C)nc1 ZINC001275601039 848772110 /nfs/dbraw/zinc/77/21/10/848772110.db2.gz XMLKHLHICHAQGB-LBPRGKRZSA-N 0 1 274.368 0.831 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H](CC(=C)C)NC(C)=O ZINC001275665842 848786711 /nfs/dbraw/zinc/78/67/11/848786711.db2.gz ONJBOJRSDGSIAV-JSGCOSHPSA-N 0 1 279.384 0.527 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCN1CCCC1=O ZINC001275721402 848800297 /nfs/dbraw/zinc/80/02/97/848800297.db2.gz UEJOZGXGKDEXIH-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H](C)OCC1CC1 ZINC001275780598 848821060 /nfs/dbraw/zinc/82/10/60/848821060.db2.gz GMUZLJZVGBEWKG-VXGBXAGGSA-N 0 1 252.358 0.871 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCCOC1 ZINC001275780055 848821108 /nfs/dbraw/zinc/82/11/08/848821108.db2.gz BPTLVVRIKOFOGW-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cnc[nH]c1=O ZINC001275858302 848848726 /nfs/dbraw/zinc/84/87/26/848848726.db2.gz IHTIKRXQCFUSLN-SNVBAGLBSA-N 0 1 262.313 0.256 20 30 CCEDMN CN(C)C(=O)[C@@]12C[C@@H]1CCN2C(=O)c1ccc(O)c(C#N)c1 ZINC001276155205 848940424 /nfs/dbraw/zinc/94/04/24/848940424.db2.gz BOPZJMJNOHAYBI-BLLLJJGKSA-N 0 1 299.330 0.957 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCN(C)C1=O)C2 ZINC001095587244 848994893 /nfs/dbraw/zinc/99/48/93/848994893.db2.gz BWIGADUTEBMAOL-CRWXNKLISA-N 0 1 289.379 0.210 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](COC)OC)C2 ZINC001111000605 849040197 /nfs/dbraw/zinc/04/01/97/849040197.db2.gz OBOAIRQBMKYFCA-YIYPIFLZSA-N 0 1 282.384 0.945 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)ccc1=O)C2 ZINC001095736863 849127849 /nfs/dbraw/zinc/12/78/49/849127849.db2.gz NDIUGTUCTYYEEV-SNPRPXQTSA-N 0 1 299.374 0.744 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC(=O)NCC)C2 ZINC001111291778 849155543 /nfs/dbraw/zinc/15/55/43/849155543.db2.gz PCNWAHKXRMSDAG-MCIONIFRSA-N 0 1 291.395 0.648 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1(C)CC1 ZINC001114596328 849282012 /nfs/dbraw/zinc/28/20/12/849282012.db2.gz UGARFHOLRMIXAO-NHAGDIPZSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1nc(C)no1 ZINC001114648263 849347003 /nfs/dbraw/zinc/34/70/03/849347003.db2.gz RUABQDBFMHEFAD-JYAVWHMHSA-N 0 1 288.351 0.380 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NC)C[C@H]21 ZINC001114680725 849360509 /nfs/dbraw/zinc/36/05/09/849360509.db2.gz LHTKZADYFPPODY-WUHRBBMRSA-N 0 1 293.411 0.770 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114725990 849380335 /nfs/dbraw/zinc/38/03/35/849380335.db2.gz FPDOICJWWXHVPN-BCUIYNNISA-N 0 1 293.411 0.723 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000720446334 849464200 /nfs/dbraw/zinc/46/42/00/849464200.db2.gz WNIHEDHUSOSJDN-GFCCVEGCSA-N 0 1 262.317 0.846 20 30 CCEDMN N#CC1(C(=O)N2CCC(c3nn[nH]n3)CC2)CCCC1 ZINC000720453447 849464657 /nfs/dbraw/zinc/46/46/57/849464657.db2.gz TUABFRVREKVQRB-UHFFFAOYSA-N 0 1 274.328 0.990 20 30 CCEDMN C=C[C@@H](O)CN1Cc2cnn(C)c2[C@H](COCC)C1 ZINC001253578335 849528818 /nfs/dbraw/zinc/52/88/18/849528818.db2.gz ZFHONQQFYSHWEQ-QWHCGFSZSA-N 0 1 265.357 0.903 20 30 CCEDMN C=CCN1CC[C@H]1CNC(=O)[C@H]1CN(C(C)C)CCO1 ZINC001038621109 849676644 /nfs/dbraw/zinc/67/66/44/849676644.db2.gz HERUIQPCHPSAGZ-UONOGXRCSA-N 0 1 281.400 0.472 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccnc2c1nnn2C ZINC001038636427 849760002 /nfs/dbraw/zinc/76/00/02/849760002.db2.gz OSAXAYDFOUKSPV-NSHDSACASA-N 0 1 298.350 0.191 20 30 CCEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1ncn(C)n1 ZINC001038235809 849826949 /nfs/dbraw/zinc/82/69/49/849826949.db2.gz QDUZCVIEQSYSID-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccnn1CC(F)F ZINC001038253955 849832126 /nfs/dbraw/zinc/83/21/26/849832126.db2.gz PIXXLZSFURJPRO-LLVKDONJSA-N 0 1 296.321 0.976 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1nnn(C(C)(C)C)n1 ZINC001038280197 849839455 /nfs/dbraw/zinc/83/94/55/849839455.db2.gz KWIBEEHGJQCMEW-NSHDSACASA-N 0 1 292.387 0.808 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cccc2n[nH]nc21 ZINC001038292549 849841394 /nfs/dbraw/zinc/84/13/94/849841394.db2.gz HZERUGKDLXGBEN-NSHDSACASA-N 0 1 283.335 0.785 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCCN(C(N)=O)C1 ZINC001038484886 849921401 /nfs/dbraw/zinc/92/14/01/849921401.db2.gz LYJKWOGDTHPNIM-CHWSQXEVSA-N 0 1 294.399 0.544 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnc2c(c1)ncn2C ZINC001038584979 849951858 /nfs/dbraw/zinc/95/18/58/849951858.db2.gz GTNPWKTVQABNEV-CYBMUJFWSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001038642866 849967602 /nfs/dbraw/zinc/96/76/02/849967602.db2.gz CRXAZZZKKHZIBS-NEPJUHHUSA-N 0 1 287.367 0.438 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCc2nc[nH]c2C1 ZINC001038892604 850070997 /nfs/dbraw/zinc/07/09/97/850070997.db2.gz GJNJTNRJGAIHEN-QWHCGFSZSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)c1cnccc1N(C)C ZINC001038921362 850082353 /nfs/dbraw/zinc/08/23/53/850082353.db2.gz JGJICUPCLHXWPB-LBPRGKRZSA-N 0 1 272.352 0.585 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2[C@H](C)COC)nc1 ZINC001038929654 850087333 /nfs/dbraw/zinc/08/73/33/850087333.db2.gz CRUCRUZGYFMARX-TZMCWYRMSA-N 0 1 287.363 0.902 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001038970186 850106119 /nfs/dbraw/zinc/10/61/19/850106119.db2.gz IBGPAUAAZNSYNB-UONOGXRCSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cnn(CCOC)c1 ZINC001038973936 850109056 /nfs/dbraw/zinc/10/90/56/850109056.db2.gz BWEPJPWLCCKBSV-AWEZNQCLSA-N 0 1 292.383 0.910 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]([C@H]2CCCCN2C(=O)C(N)=O)C1 ZINC001039224098 850165467 /nfs/dbraw/zinc/16/54/67/850165467.db2.gz CXZGRPMIISEWND-VXGBXAGGSA-N 0 1 299.802 0.927 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CN1CCOCC1 ZINC001039363027 850178909 /nfs/dbraw/zinc/17/89/09/850178909.db2.gz KOOMCGRNULMJPN-CABCVRRESA-N 0 1 291.395 0.017 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CCC(=O)N1 ZINC001039429457 850190198 /nfs/dbraw/zinc/19/01/98/850190198.db2.gz RXYWBJDOWWHDFE-WWGRRREGSA-N 0 1 291.395 0.906 20 30 CCEDMN C[C@H]1[C@@H](Nc2cncc(C#N)n2)CCN1C(=O)c1ccn[nH]1 ZINC001040043481 850257225 /nfs/dbraw/zinc/25/72/25/850257225.db2.gz AEKMHELSDGSXQW-ONGXEEELSA-N 0 1 297.322 0.786 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@]2(CCN(CC#N)C2)C1 ZINC001041528530 850460091 /nfs/dbraw/zinc/46/00/91/850460091.db2.gz VFTJRZSMFSELNI-ZDUSSCGKSA-N 0 1 274.328 0.175 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)CCc3nc[nH]n3)C[C@@H]21 ZINC001042005196 850555565 /nfs/dbraw/zinc/55/55/65/850555565.db2.gz CYQXYOXMEOBTGQ-NEPJUHHUSA-N 0 1 288.355 0.184 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3ncn(C)n3)C[C@@H]21 ZINC001042049872 850569571 /nfs/dbraw/zinc/56/95/71/850569571.db2.gz LNACRCJODCKQOD-OLZOCXBDSA-N 0 1 287.367 0.375 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc4n(n3)CCC4)C[C@H]21 ZINC001042082157 850574529 /nfs/dbraw/zinc/57/45/29/850574529.db2.gz FLCLVWYSXJATNY-CZUORRHYSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnn4cc[nH]c34)C[C@H]21 ZINC001042325756 850615480 /nfs/dbraw/zinc/61/54/80/850615480.db2.gz IMSPZMASPGLUII-GXTWGEPZSA-N 0 1 297.362 0.832 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3nonc3C)C[C@@H]21 ZINC001042379360 850621858 /nfs/dbraw/zinc/62/18/58/850621858.db2.gz KIXPFTRJIMPCQV-OLZOCXBDSA-N 0 1 288.351 0.938 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001042753259 850751703 /nfs/dbraw/zinc/75/17/03/850751703.db2.gz IGEWAHGCSMAZDM-UHFFFAOYSA-N 0 1 269.308 0.347 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001042842349 850768403 /nfs/dbraw/zinc/76/84/03/850768403.db2.gz VDAMYEFJJFQNDH-ZDUSSCGKSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N(C)C1CN(CC(C)C)C1 ZINC001042964021 850787576 /nfs/dbraw/zinc/78/75/76/850787576.db2.gz ZCLMUJVTBUMXFA-HNNXBMFYSA-N 0 1 277.412 0.883 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001044178688 851032489 /nfs/dbraw/zinc/03/24/89/851032489.db2.gz QKDCHVHUTPBZBR-LLVKDONJSA-N 0 1 287.367 0.076 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001044178688 851032493 /nfs/dbraw/zinc/03/24/93/851032493.db2.gz QKDCHVHUTPBZBR-LLVKDONJSA-N 0 1 287.367 0.076 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)Cn2ccnc2)C1 ZINC001044365510 851086441 /nfs/dbraw/zinc/08/64/41/851086441.db2.gz RUDWCRCEDWKFPA-UHFFFAOYSA-N 0 1 262.357 0.992 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001045400750 851249832 /nfs/dbraw/zinc/24/98/32/851249832.db2.gz ZRQVKASBGPIKKE-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnc[nH]1)C2 ZINC001096072885 851377931 /nfs/dbraw/zinc/37/79/31/851377931.db2.gz MACTXHOBHWTGHB-WOPDTQHZSA-N 0 1 259.313 0.197 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc(C)n[nH]2)C1 ZINC001046174781 851407763 /nfs/dbraw/zinc/40/77/63/851407763.db2.gz XPVHYGYZYWMSON-CQSZACIVSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccnn2CCOC)C1 ZINC001046410128 851492836 /nfs/dbraw/zinc/49/28/36/851492836.db2.gz WWHTVHPOPYJFGJ-OAHLLOKOSA-N 0 1 290.367 0.357 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc3nnnn3c2)C1 ZINC001046477354 851521920 /nfs/dbraw/zinc/52/19/20/851521920.db2.gz CQNXJHPGLSQLIJ-AWEZNQCLSA-N 0 1 286.339 0.505 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnc3nccn3c2)C1 ZINC001046479448 851522079 /nfs/dbraw/zinc/52/20/79/851522079.db2.gz PKBSHYKDAQPMOF-INIZCTEOSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001046512308 851529931 /nfs/dbraw/zinc/52/99/31/851529931.db2.gz CZUNCRQMAGRWBD-CZUORRHYSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cn(C)nc2OC)C1 ZINC001046538378 851537574 /nfs/dbraw/zinc/53/75/74/851537574.db2.gz JWHGKIGNUFDSIC-AWEZNQCLSA-N 0 1 278.356 0.809 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001046558452 851549218 /nfs/dbraw/zinc/54/92/18/851549218.db2.gz USDHWYZAJHOFQH-UKTARXLSSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001046614899 851564172 /nfs/dbraw/zinc/56/41/72/851564172.db2.gz VCOGCHBRLCKSRY-CJNGLKHVSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cccc(=O)n2C)C1 ZINC001046610322 851566403 /nfs/dbraw/zinc/56/64/03/851566403.db2.gz MFIMFJGDUIUXNN-MRXNPFEDSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cccc3nnn(C)c32)C1 ZINC001046879114 851638946 /nfs/dbraw/zinc/63/89/46/851638946.db2.gz BXKJHEDLXOAPJG-MRXNPFEDSA-N 0 1 297.362 0.796 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN(c2ncnc3[nH]cnc32)C1 ZINC001096149848 851661656 /nfs/dbraw/zinc/66/16/56/851661656.db2.gz FMKYWBUDKZNIBZ-NXEZZACHSA-N 0 1 299.338 0.598 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)CC2CC2)C1 ZINC001047275767 851692258 /nfs/dbraw/zinc/69/22/58/851692258.db2.gz YKZPVYMEUBIPJM-STQMWFEESA-N 0 1 250.342 0.313 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C2=CCCC2)C1 ZINC001047345198 851729220 /nfs/dbraw/zinc/72/92/20/851729220.db2.gz LMQVDXHDZGLAEU-STQMWFEESA-N 0 1 250.342 0.786 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CC2(C)C)C1 ZINC001047353140 851733148 /nfs/dbraw/zinc/73/31/48/851733148.db2.gz APOFDEQQHYMIEM-AVGNSLFASA-N 0 1 264.369 0.559 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(F)c[nH]2)C1 ZINC001047461482 851773987 /nfs/dbraw/zinc/77/39/87/851773987.db2.gz AJQSJJYQJRTHLE-STQMWFEESA-N 0 1 281.331 0.847 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(C)n2C)C1 ZINC001047501357 851791478 /nfs/dbraw/zinc/79/14/78/851791478.db2.gz NPGXHAKGGZQFGG-KBPBESRZSA-N 0 1 292.383 0.422 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccn1)C2 ZINC001096216452 851814839 /nfs/dbraw/zinc/81/48/39/851814839.db2.gz VQTGXBAVRUGINC-AGIUHOORSA-N 0 1 270.336 0.835 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cccn2CC)C1 ZINC001047628734 851841587 /nfs/dbraw/zinc/84/15/87/851841587.db2.gz MVLVSUVVMMBOSF-GJZGRUSLSA-N 0 1 289.379 0.648 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)c3c[nH]cc4ncnc3-4)C[C@@H]2C1 ZINC001048833056 852078592 /nfs/dbraw/zinc/07/85/92/852078592.db2.gz IVVSTGNUEBKXSU-PHIMTYICSA-N 0 1 296.334 0.485 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[N@@H+]([C@H](C)C(N)=O)C[C@@H]2C1 ZINC001048976302 852124506 /nfs/dbraw/zinc/12/45/06/852124506.db2.gz HFBKQDRFFJGGRO-UPJWGTAASA-N 0 1 293.411 0.853 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CN([C@H](C)C(N)=O)C[C@H]2C1 ZINC001048976302 852124517 /nfs/dbraw/zinc/12/45/17/852124517.db2.gz HFBKQDRFFJGGRO-UPJWGTAASA-N 0 1 293.411 0.853 20 30 CCEDMN C=C1CC(C)(C(=O)N2C[C@H]3CN([C@@H](C)C(N)=O)C[C@H]3C2)C1 ZINC001049043637 852147296 /nfs/dbraw/zinc/14/72/96/852147296.db2.gz PQLSTCTYGGEPMT-RWMBFGLXSA-N 0 1 291.395 0.607 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)[C@@H]3CCc4[nH]cnc4C3)C[C@@H]2C1 ZINC001049162301 852180071 /nfs/dbraw/zinc/18/00/71/852180071.db2.gz UFEHSHGUNDJLRA-UPJWGTAASA-N 0 1 299.378 0.428 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@@H]3[C@@H]2CCN3CC#N)n[nH]1 ZINC001049357080 852240555 /nfs/dbraw/zinc/24/05/55/852240555.db2.gz OEKZBYMQDZJOFY-OLZOCXBDSA-N 0 1 273.340 0.921 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@@H]3[C@H]2CCN3CC#N)[nH]1 ZINC001049381449 852252935 /nfs/dbraw/zinc/25/29/35/852252935.db2.gz KXWAMKWLTXCGOC-CHWSQXEVSA-N 0 1 273.340 0.921 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1nnn(C)c1C ZINC001049393457 852258059 /nfs/dbraw/zinc/25/80/59/852258059.db2.gz DMLUEBCFWRAGJI-CHWSQXEVSA-N 0 1 287.367 0.436 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049844896 852383696 /nfs/dbraw/zinc/38/36/96/852383696.db2.gz VNYTYXWJSLIPMS-QWHCGFSZSA-N 0 1 287.367 0.436 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049844896 852383704 /nfs/dbraw/zinc/38/37/04/852383704.db2.gz VNYTYXWJSLIPMS-QWHCGFSZSA-N 0 1 287.367 0.436 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](Nc1ncnc2[nH]cnc21)C1CC1 ZINC001096599054 852398187 /nfs/dbraw/zinc/39/81/87/852398187.db2.gz VFFWKNQGPOMLDY-WCBMZHEXSA-N 0 1 299.338 0.819 20 30 CCEDMN N#Cc1cnc(N[C@H](CNC(=O)c2ncn[nH]2)C2CC2)cn1 ZINC001096705064 852427529 /nfs/dbraw/zinc/42/75/29/852427529.db2.gz HPGXUQADTLXTDA-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cnc(N[C@H](CNC(=O)c2nc[nH]n2)C2CC2)cn1 ZINC001096705064 852427533 /nfs/dbraw/zinc/42/75/33/852427533.db2.gz HPGXUQADTLXTDA-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnn(C)c1)C2 ZINC001096874004 852459963 /nfs/dbraw/zinc/45/99/63/852459963.db2.gz UCPINIITMFMJIM-KFWWJZLASA-N 0 1 286.379 0.707 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(C)cn1)C2 ZINC001096953453 852477909 /nfs/dbraw/zinc/47/79/09/852477909.db2.gz ZCEHAWAJZLAHNW-WZRBSPASSA-N 0 1 260.341 0.941 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CC(=O)N(CC)C1)C2 ZINC001097168836 852510133 /nfs/dbraw/zinc/51/01/33/852510133.db2.gz VWJSLZISYBCIEY-DGAVXFQQSA-N 0 1 291.395 0.762 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1OCCO[C@H]1C)C2 ZINC001097252092 852520084 /nfs/dbraw/zinc/52/00/84/852520084.db2.gz DDVLMLXETYJGQS-ZSLBOAEBSA-N 0 1 280.368 0.698 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCNC(=O)CC1)C2 ZINC001097584476 852547512 /nfs/dbraw/zinc/54/75/12/852547512.db2.gz UBGKJKQPBKLUAI-DGAVXFQQSA-N 0 1 291.395 0.810 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCC(=O)N1C)C2 ZINC001097635514 852551101 /nfs/dbraw/zinc/55/11/01/852551101.db2.gz XJEPGVWTBCJMPY-SYQHCUMBSA-N 0 1 289.379 0.352 20 30 CCEDMN C#CCN1CC2(C1)C[C@H](NC(=O)CN1CCC[C@H]1C)CO2 ZINC001053926424 852849704 /nfs/dbraw/zinc/84/97/04/852849704.db2.gz FHAICPYPHRMYMG-KGLIPLIRSA-N 0 1 291.395 0.063 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)CN1CCC[C@@H]1C)CO2 ZINC001053926632 852849985 /nfs/dbraw/zinc/84/99/85/852849985.db2.gz KFNWHHIUBOBQPD-KBPBESRZSA-N 0 1 293.411 0.616 20 30 CCEDMN C=C(C)CN1CC2(C1)C[C@H](NC(=O)Cc1cnc[nH]1)CO2 ZINC001053938904 852851747 /nfs/dbraw/zinc/85/17/47/852851747.db2.gz BWHILCZZEUAYMK-ZDUSSCGKSA-N 0 1 290.367 0.488 20 30 CCEDMN C=C(Cl)CN1CC2(C1)C[C@@H](NC(=O)c1ncn[nH]1)CO2 ZINC001053960139 852855072 /nfs/dbraw/zinc/85/50/72/852855072.db2.gz PENGDPBJLOMBEQ-SECBINFHSA-N 0 1 297.746 0.130 20 30 CCEDMN C=C(Cl)CN1CC2(C1)C[C@@H](NC(=O)c1nc[nH]n1)CO2 ZINC001053960139 852855078 /nfs/dbraw/zinc/85/50/78/852855078.db2.gz PENGDPBJLOMBEQ-SECBINFHSA-N 0 1 297.746 0.130 20 30 CCEDMN C=C(Br)CN[C@@H]1CN(C(=O)COC)C[C@@H]1C ZINC001054303198 852912618 /nfs/dbraw/zinc/91/26/18/852912618.db2.gz KSEJONFSVWZBBY-WCBMZHEXSA-N 0 1 291.189 0.978 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2nnn(C)c2C)C[C@@H]1C ZINC001054523002 852967075 /nfs/dbraw/zinc/96/70/75/852967075.db2.gz ILVBSXMMCHSBJF-KWQFWETISA-N 0 1 297.790 0.926 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1nccc1C)C2 ZINC001097951154 852982473 /nfs/dbraw/zinc/98/24/73/852982473.db2.gz HSSWLMJXOMOLOY-KFWWJZLASA-N 0 1 286.379 0.936 20 30 CCEDMN C#CC[NH2+][C@H]1CN(C(=O)c2cccc3nn[n-]c32)C[C@H]1C ZINC001054673434 852994015 /nfs/dbraw/zinc/99/40/15/852994015.db2.gz PTWFSBHVTXZRNP-MFKMUULPSA-N 0 1 283.335 0.641 20 30 CCEDMN C[C@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)C[C@@H]1NCC#N ZINC001054697372 852999287 /nfs/dbraw/zinc/99/92/87/852999287.db2.gz YGAIFZRPWRMZLH-CABZTGNLSA-N 0 1 284.323 0.532 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cn[nH]c(=O)c2)C[C@H]1C ZINC001054765201 853010899 /nfs/dbraw/zinc/01/08/99/853010899.db2.gz MAZJYFOCASUEKL-KCJUWKMLSA-N 0 1 296.758 0.985 20 30 CCEDMN CCCc1cc(C(=O)N2C[C@@H](C)[C@H](NCC#N)C2)n[nH]1 ZINC001054959174 853044574 /nfs/dbraw/zinc/04/45/74/853044574.db2.gz HUGVCSKFENNBQZ-ZWNOBZJWSA-N 0 1 275.356 0.936 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](N(C)c2ncnc3[nH]cnc32)C1 ZINC001056872239 853239002 /nfs/dbraw/zinc/23/90/02/853239002.db2.gz XZJJDWOUIUFTGS-ZJUUUORDSA-N 0 1 299.338 0.550 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001050268388 853347746 /nfs/dbraw/zinc/34/77/46/853347746.db2.gz LBJKFOOEZWLGJX-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001050268388 853347751 /nfs/dbraw/zinc/34/77/51/853347751.db2.gz LBJKFOOEZWLGJX-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@@H](C)NCc1cnon1 ZINC001266130675 853443626 /nfs/dbraw/zinc/44/36/26/853443626.db2.gz PLVMWEUWNRARFE-RKDXNWHRSA-N 0 1 251.290 0.214 20 30 CCEDMN C=CCN1CCOC[C@@H]1CNC(=O)[C@@H]1CCCN1C ZINC001050838090 853453717 /nfs/dbraw/zinc/45/37/17/853453717.db2.gz IOWXNNRHTJPQJJ-STQMWFEESA-N 0 1 267.373 0.084 20 30 CCEDMN C=C(C)CCN1CCOC[C@@H]1CNC(=O)[C@@H]1CCCN1C ZINC001050847617 853459322 /nfs/dbraw/zinc/45/93/22/853459322.db2.gz BBZKFNOCRPEHBC-GJZGRUSLSA-N 0 1 295.427 0.864 20 30 CCEDMN C=CCCN1CCOC[C@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001050981312 853501335 /nfs/dbraw/zinc/50/13/35/853501335.db2.gz GFIBYGQFQHFGTJ-CABCVRRESA-N 0 1 295.427 0.864 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@@H]1COCCN1CC#N ZINC001051254267 853556214 /nfs/dbraw/zinc/55/62/14/853556214.db2.gz GJIKEHVFYGLBDS-ZIAGYGMSSA-N 0 1 294.399 0.201 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)Cn2cnnn2)CC1 ZINC001052190119 853701805 /nfs/dbraw/zinc/70/18/05/853701805.db2.gz HZIZBXSYQHQHRX-NSHDSACASA-N 0 1 298.778 0.396 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](NC(=O)Cc3nnc[nH]3)C2)nc1 ZINC001058443246 853847194 /nfs/dbraw/zinc/84/71/94/853847194.db2.gz GPCYTKHQWUPQHR-NSHDSACASA-N 0 1 297.322 0.009 20 30 CCEDMN CC(C)C1(O)CN(CCC(=O)N(C)CCC#N)C1 ZINC000384085972 853866413 /nfs/dbraw/zinc/86/64/13/853866413.db2.gz AKERCQCKZLIOKB-UHFFFAOYSA-N 0 1 253.346 0.451 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccncn1)C2 ZINC001098068410 853902976 /nfs/dbraw/zinc/90/29/76/853902976.db2.gz GRWKOWMZKLDYMV-BNOWGMLFSA-N 0 1 270.336 0.835 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CC2)[C@@H](n2ccnn2)C1 ZINC001069886487 853992396 /nfs/dbraw/zinc/99/23/96/853992396.db2.gz DVPCEBLNVMVVET-OLZOCXBDSA-N 0 1 273.340 0.053 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C(F)F)C[C@H]1c1cn(C)cn1 ZINC001070454333 854061212 /nfs/dbraw/zinc/06/12/12/854061212.db2.gz VQSMFJVZRGYVEI-GXSJLCMTSA-N 0 1 282.294 0.202 20 30 CCEDMN CC(C)CN1CCNC(=O)CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001070948433 854108061 /nfs/dbraw/zinc/10/80/61/854108061.db2.gz MDRDYZRYMNDRLE-ZDUSSCGKSA-N 0 1 294.399 0.453 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2cc(C)cn2)CC[C@@H]1C ZINC001071376550 854171828 /nfs/dbraw/zinc/17/18/28/854171828.db2.gz WCDNNZKIJLOSNO-UONOGXRCSA-N 0 1 274.368 0.794 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C2CN(C(C)=O)C2)CC[C@@H]1C ZINC001071463845 854208327 /nfs/dbraw/zinc/20/83/27/854208327.db2.gz SOBVQEZELDHUDR-SWLSCSKDSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@H]1C ZINC001071501092 854222248 /nfs/dbraw/zinc/22/22/48/854222248.db2.gz IFLDYIDFMFGFSN-DGCLKSJQSA-N 0 1 289.383 0.648 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN2CCCNC2=O)CC[C@H]1C ZINC001071642736 854257267 /nfs/dbraw/zinc/25/72/67/854257267.db2.gz VXTMAYLGFVHOFH-OLZOCXBDSA-N 0 1 292.383 0.004 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1C ZINC001071653223 854258566 /nfs/dbraw/zinc/25/85/66/854258566.db2.gz VFOTYPFCWSKULK-BDAKNGLRSA-N 0 1 293.327 0.052 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1C ZINC001071653225 854259293 /nfs/dbraw/zinc/25/92/93/854259293.db2.gz VFOTYPFCWSKULK-IUCAKERBSA-N 0 1 293.327 0.052 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cn2cncn2)CC[C@H]1C ZINC001072055288 854342590 /nfs/dbraw/zinc/34/25/90/854342590.db2.gz RTNFHWXQJNTUDT-OLZOCXBDSA-N 0 1 275.356 0.271 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001072226324 854359270 /nfs/dbraw/zinc/35/92/70/854359270.db2.gz NHUFFGLBCBSTQA-ZYHUDNBSSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccncc3)C2)C1 ZINC001072394326 854377187 /nfs/dbraw/zinc/37/71/87/854377187.db2.gz AHJJRLXDSOFQDC-UHFFFAOYSA-N 0 1 255.321 0.863 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc[n+]([O-])cc3)C2)C1 ZINC001072433858 854385296 /nfs/dbraw/zinc/38/52/96/854385296.db2.gz GHTPKUXMRHVWEW-UHFFFAOYSA-N 0 1 271.320 0.101 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3coc(OCC)n3)C2)C1 ZINC001072898431 854491131 /nfs/dbraw/zinc/49/11/31/854491131.db2.gz ZNPIUPYVWMSRQM-UHFFFAOYSA-N 0 1 289.335 0.854 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001072944408 854497952 /nfs/dbraw/zinc/49/79/52/854497952.db2.gz BOSGGUWVLOGTAP-DOMZBBRYSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc(C)[nH]c3=O)C2)C1 ZINC001073016288 854515782 /nfs/dbraw/zinc/51/57/82/854515782.db2.gz RQKTTYPSKWJJDF-UHFFFAOYSA-N 0 1 285.347 0.877 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccn(C)n3)C2)C1 ZINC001073429619 854557182 /nfs/dbraw/zinc/55/71/82/854557182.db2.gz KFZUSGUMRIMUHD-UHFFFAOYSA-N 0 1 258.325 0.201 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3ccc[nH]3)C2)C1 ZINC001073443322 854559929 /nfs/dbraw/zinc/55/99/29/854559929.db2.gz KAWVFFHLLLPVHI-UHFFFAOYSA-N 0 1 257.337 0.725 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2snnc2C)C1 ZINC001073530231 854578000 /nfs/dbraw/zinc/57/80/00/854578000.db2.gz LQXGYIHDOMRKNM-LLVKDONJSA-N 0 1 296.396 0.853 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnns2)C1 ZINC001073547215 854587984 /nfs/dbraw/zinc/58/79/84/854587984.db2.gz CWQMCEWQMCZDRD-SNVBAGLBSA-N 0 1 282.369 0.545 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cccc(=O)[nH]2)C1 ZINC001073565570 854598168 /nfs/dbraw/zinc/59/81/68/854598168.db2.gz HKGFRKSGTWZJRQ-LBPRGKRZSA-N 0 1 291.351 0.794 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001073580440 854602787 /nfs/dbraw/zinc/60/27/87/854602787.db2.gz BLXIRAVKOUAHTO-ZDUSSCGKSA-N 0 1 288.351 0.893 20 30 CCEDMN C#CC[N@H+]1CCCO[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073582611 854604477 /nfs/dbraw/zinc/60/44/77/854604477.db2.gz OZRQDBJIHCMIIF-GFCCVEGCSA-N 0 1 289.335 0.241 20 30 CCEDMN C#CCN1CCCO[C@H](CNC(=O)c2ncccc2O)C1 ZINC001073582611 854604480 /nfs/dbraw/zinc/60/44/80/854604480.db2.gz OZRQDBJIHCMIIF-GFCCVEGCSA-N 0 1 289.335 0.241 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2nccn2CC)C1 ZINC001073587468 854607179 /nfs/dbraw/zinc/60/71/79/854607179.db2.gz RFMGWCUMPUFMID-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN C=C(Cl)CN1CCCO[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001073824766 854650429 /nfs/dbraw/zinc/65/04/29/854650429.db2.gz XGCVBCUCLRZZNR-SNVBAGLBSA-N 0 1 299.762 0.378 20 30 CCEDMN C=C(Cl)CN1CCCO[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001073824766 854650436 /nfs/dbraw/zinc/65/04/36/854650436.db2.gz XGCVBCUCLRZZNR-SNVBAGLBSA-N 0 1 299.762 0.378 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2nocc2C)C1 ZINC001073848323 854655369 /nfs/dbraw/zinc/65/53/69/854655369.db2.gz SVPBHLILIXZUSJ-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2[nH]cnc2C)C1 ZINC001073913870 854661457 /nfs/dbraw/zinc/66/14/57/854661457.db2.gz CZQSLJJAGCZKGI-CYBMUJFWSA-N 0 1 290.367 0.562 20 30 CCEDMN CC#CCN1CCO[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001074166280 854683372 /nfs/dbraw/zinc/68/33/72/854683372.db2.gz FGMYHEAPPDJLDR-ZIAGYGMSSA-N 0 1 288.351 0.348 20 30 CCEDMN CC#CCN1CCO[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC001074166277 854683491 /nfs/dbraw/zinc/68/34/91/854683491.db2.gz FGMYHEAPPDJLDR-KBPBESRZSA-N 0 1 288.351 0.348 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@H]21 ZINC001074199304 854693513 /nfs/dbraw/zinc/69/35/13/854693513.db2.gz AEFWKKVGZWPMDK-KGLIPLIRSA-N 0 1 290.367 0.440 20 30 CCEDMN C#CCN1CCO[C@@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@@H]21 ZINC001074193953 854694008 /nfs/dbraw/zinc/69/40/08/854694008.db2.gz FUOKGWGYXFTODL-UONOGXRCSA-N 0 1 288.351 0.267 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2COC(=O)N2)[C@H]1C ZINC001074633398 854759328 /nfs/dbraw/zinc/75/93/28/854759328.db2.gz FTZZLUROEZKXSA-GUBZILKMSA-N 0 1 287.747 0.426 20 30 CCEDMN Cc1nsc(N[C@@H](C)CNC(=O)c2cnn[nH]2)c1C#N ZINC001098404683 854862794 /nfs/dbraw/zinc/86/27/94/854862794.db2.gz KNPOLZHKVPQUGX-LURJTMIESA-N 0 1 291.340 0.672 20 30 CCEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC(=O)NC ZINC001099028939 854907326 /nfs/dbraw/zinc/90/73/26/854907326.db2.gz ODCFESWCGWUJAO-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC(=O)NC ZINC001099028938 854908030 /nfs/dbraw/zinc/90/80/30/854908030.db2.gz ODCFESWCGWUJAO-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN N#CCN1C[C@@H]2CCC[C@]2(NC(=O)CN2CCCC2)C1 ZINC001099164351 854918818 /nfs/dbraw/zinc/91/88/18/854918818.db2.gz ZIMDFHPMFXQVDO-ZFWWWQNUSA-N 0 1 276.384 0.576 20 30 CCEDMN C=CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(N)=O ZINC001099203000 854920287 /nfs/dbraw/zinc/92/02/87/854920287.db2.gz IHTPJZJGCPNNAP-SNVBAGLBSA-N 0 1 253.346 0.265 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)Cn1cncn1 ZINC001099335329 854928181 /nfs/dbraw/zinc/92/81/81/854928181.db2.gz VTFBWDAXMPXHKS-CYBMUJFWSA-N 0 1 289.383 0.518 20 30 CCEDMN Cc1cc(N[C@H](C)CCNC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001099382499 854929470 /nfs/dbraw/zinc/92/94/70/854929470.db2.gz NWAUOUHCNFLCRD-SECBINFHSA-N 0 1 299.338 0.422 20 30 CCEDMN Cc1cc(N[C@H](C)CCNC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001099382499 854929471 /nfs/dbraw/zinc/92/94/71/854929471.db2.gz NWAUOUHCNFLCRD-SECBINFHSA-N 0 1 299.338 0.422 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cocn2)[C@H](O)C1 ZINC001099720909 854975014 /nfs/dbraw/zinc/97/50/14/854975014.db2.gz LMXURZIURJNQHM-WCQYABFASA-N 0 1 295.339 0.042 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccoc2)[C@@H](O)C1 ZINC001099778364 854992548 /nfs/dbraw/zinc/99/25/48/854992548.db2.gz JPENPIZXRQIVQR-KBPBESRZSA-N 0 1 278.352 0.950 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)COC(C)(C)C)[C@@H](O)C1 ZINC001099783177 854994926 /nfs/dbraw/zinc/99/49/26/854994926.db2.gz MFAOXZLDTFZBRF-STQMWFEESA-N 0 1 282.384 0.376 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001099807051 854999080 /nfs/dbraw/zinc/99/90/80/854999080.db2.gz KBRYVBNRAKBWAA-KGLIPLIRSA-N 0 1 294.395 0.520 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCOCC(F)F)[C@H](O)C1 ZINC001099838372 855007990 /nfs/dbraw/zinc/00/79/90/855007990.db2.gz MRFKMHQUYDGRQN-GHMZBOCLSA-N 0 1 292.326 0.396 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)CCCOC(C)C)[C@@H](O)C1 ZINC001100142620 855106808 /nfs/dbraw/zinc/10/68/08/855106808.db2.gz FVTQYSJQXXMRQO-CABCVRRESA-N 0 1 296.411 0.766 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCOC(C)C)[C@@H](O)C1 ZINC001100142620 855106811 /nfs/dbraw/zinc/10/68/11/855106811.db2.gz FVTQYSJQXXMRQO-CABCVRRESA-N 0 1 296.411 0.766 20 30 CCEDMN CN(CCNC(=O)CCc1c[nH]nn1)c1ccc(C#N)cn1 ZINC001100334882 855150402 /nfs/dbraw/zinc/15/04/02/855150402.db2.gz YLYHAUYOMGPTFG-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CN(CCNC(=O)CCc1cnn[nH]1)c1ccc(C#N)cn1 ZINC001100334882 855150408 /nfs/dbraw/zinc/15/04/08/855150408.db2.gz YLYHAUYOMGPTFG-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H]1CN([C@H](C)C(N)=O)C[C@H]1C ZINC001101947053 855396902 /nfs/dbraw/zinc/39/69/02/855396902.db2.gz WKQOFDFLZFTSFB-JHJVBQTASA-N 0 1 279.384 0.204 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](C)OCCOC)C1 ZINC001111889833 855602390 /nfs/dbraw/zinc/60/23/90/855602390.db2.gz GEGKHIRTBLVYRM-DZKIICNBSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)Cc1cnn(C)c1 ZINC001115044097 855637784 /nfs/dbraw/zinc/63/77/84/855637784.db2.gz NOCQHAKWMFSNQO-RZFFKMDDSA-N 0 1 286.379 0.278 20 30 CCEDMN N#CCNC1(CNC(=O)CCc2nc[nH]n2)CCCCC1 ZINC001115471362 855674961 /nfs/dbraw/zinc/67/49/61/855674961.db2.gz JSQDKPIVMCDUQB-UHFFFAOYSA-N 0 1 290.371 0.670 20 30 CCEDMN C#CCN(CC1CC1)C(=O)NC[C@@H]1CN(C)CCN1C ZINC001117688220 856047589 /nfs/dbraw/zinc/04/75/89/856047589.db2.gz ABOIOCVRUBPIAV-CQSZACIVSA-N 0 1 278.400 0.287 20 30 CCEDMN CCC[C@@H](NC(=O)[C@H]([NH3+])CCCC#N)c1nn[n-]n1 ZINC001118123511 856169091 /nfs/dbraw/zinc/16/90/91/856169091.db2.gz OYDLWVPCWQDNJJ-RKDXNWHRSA-N 0 1 265.321 0.178 20 30 CCEDMN C#CCN(CC)C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001118374951 856278501 /nfs/dbraw/zinc/27/85/01/856278501.db2.gz ZXIKGCDKTIHJSY-SNVBAGLBSA-N 0 1 277.328 0.821 20 30 CCEDMN C=CCOCCNC(=O)C(=O)NC[C@H]1CCN1C(C)(C)C ZINC001118632966 856373878 /nfs/dbraw/zinc/37/38/78/856373878.db2.gz PQSILLFMUSITAX-GFCCVEGCSA-N 0 1 297.399 0.294 20 30 CCEDMN CC[C@@]1(C)NC(=O)N(NC(=O)c2csc(C#N)c2)C1=O ZINC001118757406 856426828 /nfs/dbraw/zinc/42/68/28/856426828.db2.gz GYZAVJBVIOWEQZ-GFCCVEGCSA-N 0 1 292.320 0.985 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)o1 ZINC001118947037 856502438 /nfs/dbraw/zinc/50/24/38/856502438.db2.gz BCWBFUYBOVABBU-MRVPVSSYSA-N 0 1 257.253 0.899 20 30 CCEDMN N#CCCCCC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC001119629620 856792437 /nfs/dbraw/zinc/79/24/37/856792437.db2.gz GTWDPEZVIQNHOY-VIFPVBQESA-N 0 1 280.357 0.900 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)[C@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC001119646004 856807712 /nfs/dbraw/zinc/80/77/12/856807712.db2.gz XNRNQDJDKQWYSS-DTWKUNHWSA-N 0 1 260.721 0.650 20 30 CCEDMN Cc1cnc(C)nc1NC(=O)NCC#CCN(C)C ZINC001119828149 856891440 /nfs/dbraw/zinc/89/14/40/856891440.db2.gz WIKOPMIUZRROJQ-UHFFFAOYSA-N 0 1 261.329 0.780 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1ncn[nH]1)Nc1ccc(C#N)cn1 ZINC001120067931 856974625 /nfs/dbraw/zinc/97/46/25/856974625.db2.gz PWICOGGZPZWUQM-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C[C@H](C)Nc1ccc(C#N)nc1 ZINC001120398573 857055741 /nfs/dbraw/zinc/05/57/41/857055741.db2.gz FUNSQWSIOMERKW-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)NCc2cc(C)[nH]n2)CC1 ZINC001323353683 912291803 /nfs/dbraw/zinc/29/18/03/912291803.db2.gz GGQLEPIHTNZXSE-UHFFFAOYSA-N 0 1 276.340 0.759 20 30 CCEDMN C=CC(C)(C)CC(=O)NCC1(NCC(=O)NCC#N)CC1 ZINC001323457342 912357264 /nfs/dbraw/zinc/35/72/64/912357264.db2.gz ORNHMMABPMUPST-UHFFFAOYSA-N 0 1 292.383 0.467 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCCC(=O)N(C)C ZINC001492818048 912371656 /nfs/dbraw/zinc/37/16/56/912371656.db2.gz AVVROOLMUWDZMX-UHFFFAOYSA-N 0 1 267.373 0.316 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2ncn(C)n2)CCC1 ZINC001393535408 912632865 /nfs/dbraw/zinc/63/28/65/912632865.db2.gz GNYPAOQIGPTDFS-UHFFFAOYSA-N 0 1 283.763 0.810 20 30 CCEDMN C#CCN(C(=O)c1nccc(C)n1)C1CCN(CC#C)CC1 ZINC001324386571 912815295 /nfs/dbraw/zinc/81/52/95/912815295.db2.gz JKOUPAOJFVXVCR-UHFFFAOYSA-N 0 1 296.374 0.958 20 30 CCEDMN C=CCOCCN1CC[C@@](C)(NC(=O)c2csnn2)C1 ZINC001324633445 912955630 /nfs/dbraw/zinc/95/56/30/912955630.db2.gz UFBWNVGTTXZXOE-CYBMUJFWSA-N 0 1 296.396 0.935 20 30 CCEDMN Cn1nncc1N=NCc1ccc([S@](C)=O)cc1 ZINC001324927599 913101473 /nfs/dbraw/zinc/10/14/73/913101473.db2.gz JXDJROXDQSQFMM-SFHVURJKSA-N 0 1 263.326 0.999 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)/C=C(\C)C2CC2)C1 ZINC001325071821 913191020 /nfs/dbraw/zinc/19/10/20/913191020.db2.gz ZCUOABTWWBJHNO-ISBHARSQSA-N 0 1 276.380 0.919 20 30 CCEDMN CCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(CC(=O)NCC#N)C2 ZINC001325326770 913347746 /nfs/dbraw/zinc/34/77/46/913347746.db2.gz JLONPHMXJYPFOL-XQQFMLRXSA-N 0 1 292.383 0.395 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CN(C(=O)[C@H]3C[C@H]3C)C[C@@H]2C1 ZINC001325717353 913543968 /nfs/dbraw/zinc/54/39/68/913543968.db2.gz ZBNCCOLRSODOIS-MQYQWHSLSA-N 0 1 291.395 0.335 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CC(=O)N(C(C)(C)C)C1 ZINC001480870881 891463715 /nfs/dbraw/zinc/46/37/15/891463715.db2.gz CMIBXMNHZSNPAW-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](C)N(C)C(=O)CCc1cnc[nH]1 ZINC001395162493 913571012 /nfs/dbraw/zinc/57/10/12/913571012.db2.gz VAEZFQPMOKVXPF-QWRGUYRKSA-N 0 1 291.355 0.465 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1ccc(C#N)[nH]1 ZINC001283362664 891757591 /nfs/dbraw/zinc/75/75/91/891757591.db2.gz FWWVVSYGGPLKSF-UHFFFAOYSA-N 0 1 288.351 0.588 20 30 CCEDMN C#CCN(C)CCNC(=O)CCc1cncs1 ZINC001480914350 891765628 /nfs/dbraw/zinc/76/56/28/891765628.db2.gz XAPYSAWJGGMDMJ-UHFFFAOYSA-N 0 1 251.355 0.757 20 30 CCEDMN C=C1CC(C)(C(=O)NCCN(CC)[C@@H]2CCNC2=O)C1 ZINC001480999118 892036266 /nfs/dbraw/zinc/03/62/66/892036266.db2.gz KARUIDSNQTWQSZ-GFCCVEGCSA-N 0 1 279.384 0.669 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H]1CCO[C@H](C)C1 ZINC001481007483 892048887 /nfs/dbraw/zinc/04/88/87/892048887.db2.gz CURVZYIHUPWAEK-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN(CC)CCNC(=O)Cc1cnn(CC)c1 ZINC001481010662 892055028 /nfs/dbraw/zinc/05/50/28/892055028.db2.gz CNBJBAZPCXVWGV-UHFFFAOYSA-N 0 1 262.357 0.517 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCN(CC#N)C1CC1 ZINC001481181208 892279924 /nfs/dbraw/zinc/27/99/24/892279924.db2.gz NZIWJCFNRGDHAV-GFCCVEGCSA-N 0 1 250.346 0.185 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCN[C@H](C)c1cnccn1 ZINC001481214823 892358922 /nfs/dbraw/zinc/35/89/22/892358922.db2.gz AXFPHZAZTMMEOM-GHMZBOCLSA-N 0 1 261.329 0.745 20 30 CCEDMN C=CCC[C@@H](O)C[N@@H+]1CC[C@](COC)(C(=O)[O-])C1 ZINC001354124008 892562251 /nfs/dbraw/zinc/56/22/51/892562251.db2.gz OSQXYHCEJHXZCR-YPMHNXCESA-N 0 1 257.330 0.737 20 30 CCEDMN C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2[C@H]1CCNC1=O ZINC001481379376 892604921 /nfs/dbraw/zinc/60/49/21/892604921.db2.gz WGKMQLALSGIZNB-MJBXVCDLSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@H](C)O ZINC001481380385 892606397 /nfs/dbraw/zinc/60/63/97/892606397.db2.gz VOMJHFRIFVLQOO-XDQVBPFNSA-N 0 1 280.368 0.081 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cnccn2)C1 ZINC001481609706 892891442 /nfs/dbraw/zinc/89/14/42/892891442.db2.gz MVRTYPROIYLMKA-UHFFFAOYSA-N 0 1 276.340 0.341 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H]1CCN(CCOCC(F)F)C1 ZINC001481719644 893085857 /nfs/dbraw/zinc/08/58/57/893085857.db2.gz IXNKXMNWMSYYDA-MNOVXSKESA-N 0 1 289.326 0.960 20 30 CCEDMN CC[C@H](CNC(=O)c1ccc2[nH]nnc2c1)NCC#N ZINC001481875092 893233101 /nfs/dbraw/zinc/23/31/01/893233101.db2.gz KUQWZDQMPKDHMU-SNVBAGLBSA-N 0 1 272.312 0.579 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)CNCc1cnon1 ZINC001482092367 893384896 /nfs/dbraw/zinc/38/48/96/893384896.db2.gz YEIVTJVCJMFRPM-DTWKUNHWSA-N 0 1 251.290 0.071 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)CNCc1cnon1 ZINC001482143154 893433932 /nfs/dbraw/zinc/43/39/32/893433932.db2.gz ONEBEUHFLQBQFI-UHTWSYAYSA-N 0 1 294.355 0.503 20 30 CCEDMN CN(CC(=O)N(C)[C@H]1CCC[C@H]1C#N)[C@@H]1CCC[C@H]1O ZINC001363551844 893768216 /nfs/dbraw/zinc/76/82/16/893768216.db2.gz XUVGJKVNWGKHRU-IGQOVBAYSA-N 0 1 279.384 0.982 20 30 CCEDMN C=CCOCC(=O)NC[C@]1(O)CCN(C[C@H](F)CC)C1 ZINC001500651431 893812179 /nfs/dbraw/zinc/81/21/79/893812179.db2.gz SBWLCBXDOULBOY-TZMCWYRMSA-N 0 1 288.363 0.490 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2C(=O)c2ccncc2O)C1=O ZINC001271402696 894122148 /nfs/dbraw/zinc/12/21/48/894122148.db2.gz PRNDKEKCYTYYKP-MRXNPFEDSA-N 0 1 299.330 0.628 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@@H]([C@@H]2CCOC2)C1 ZINC001355650738 894136405 /nfs/dbraw/zinc/13/64/05/894136405.db2.gz IWDUFBPZXIVLEW-ZIAGYGMSSA-N 0 1 264.369 0.874 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnn(C)c1OC ZINC001482645514 894442584 /nfs/dbraw/zinc/44/25/84/894442584.db2.gz WACWLDBBUGTYCK-UHFFFAOYSA-N 0 1 264.329 0.066 20 30 CCEDMN C[C@@H](CCNCc1cnn(C)n1)NC(=O)C#CC1CC1 ZINC001482830865 894638506 /nfs/dbraw/zinc/63/85/06/894638506.db2.gz BAVNEPSICYDVII-NSHDSACASA-N 0 1 275.356 0.213 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)c1ccccc1C ZINC001482978131 894748476 /nfs/dbraw/zinc/74/84/76/894748476.db2.gz FQFRWHMFGDOYRL-SREVYHEPSA-N 0 1 299.374 0.620 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]2C)n[nH]1 ZINC001396609013 913918015 /nfs/dbraw/zinc/91/80/15/913918015.db2.gz SOLRJPGEZZRRKP-GDPRMGEGSA-N 0 1 289.339 0.597 20 30 CCEDMN C[C@H](CN(C)C(=O)C#CC1CC1)NCc1cnns1 ZINC001507073572 895368362 /nfs/dbraw/zinc/36/83/62/895368362.db2.gz AWPJSYVGVDAOKM-SNVBAGLBSA-N 0 1 278.381 0.888 20 30 CCEDMN C=C(C)CCN(C)C[C@@H](C)NC(=O)C1CS(=O)(=O)C1 ZINC001483341730 895481363 /nfs/dbraw/zinc/48/13/63/895481363.db2.gz NWUQEMZLNCLOMI-LLVKDONJSA-N 0 1 288.413 0.434 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)C1(F)CCOCC1 ZINC001483347689 895485452 /nfs/dbraw/zinc/48/54/52/895485452.db2.gz YFXRYUNHMGWYTD-GFCCVEGCSA-N 0 1 270.348 0.965 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCCNC(=O)C(C)(C)C ZINC001483371972 895509871 /nfs/dbraw/zinc/50/98/71/895509871.db2.gz YOZLEWAHUONSRG-CYBMUJFWSA-N 0 1 295.427 0.999 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccc(C(N)=O)cc1 ZINC001483413742 895549839 /nfs/dbraw/zinc/54/98/39/895549839.db2.gz DAHUUUKZVHFJJB-NSHDSACASA-N 0 1 273.336 0.469 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCNCc1nncs1 ZINC001483455890 895580082 /nfs/dbraw/zinc/58/00/82/895580082.db2.gz HEVFHVQZWIQFLV-SECBINFHSA-N 0 1 267.358 0.636 20 30 CCEDMN CC#CCCCC(=O)N(C)CCCNCc1ncnn1C ZINC001483486082 895605054 /nfs/dbraw/zinc/60/50/54/895605054.db2.gz SZWCPVYGCPNBSW-UHFFFAOYSA-N 0 1 291.399 0.947 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](CNCc1ccn(C)n1)C1CC1 ZINC001483550973 895638336 /nfs/dbraw/zinc/63/83/36/895638336.db2.gz SNGMQYJWRBMCMX-ZWNOBZJWSA-N 0 1 275.356 0.564 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)COCc1ncc(C)o1 ZINC001483664419 895819358 /nfs/dbraw/zinc/81/93/58/895819358.db2.gz ANGZIYAHIKDDBF-ZDUSSCGKSA-N 0 1 291.351 0.713 20 30 CCEDMN C=CCC1(C(=O)NC[C@H]2CCN2C[C@H](C)O)CCOCC1 ZINC001483695392 895871265 /nfs/dbraw/zinc/87/12/65/895871265.db2.gz BNBWHCUPZYHRFQ-UONOGXRCSA-N 0 1 296.411 0.931 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CN(C[C@H]2CCNC(=O)CC2)C1 ZINC001483856565 896080055 /nfs/dbraw/zinc/08/00/55/896080055.db2.gz OPHQOPNKOUGMOU-VXGBXAGGSA-N 0 1 292.383 0.205 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CN(C[C@H]2CCNC(=O)CC2)C1 ZINC001483856560 896081018 /nfs/dbraw/zinc/08/10/18/896081018.db2.gz OPHQOPNKOUGMOU-NWDGAFQWSA-N 0 1 292.383 0.205 20 30 CCEDMN C#CCN(C(=O)c1cc[n+]([O-])cc1)C1CCN(CC#C)CC1 ZINC001483978658 896141598 /nfs/dbraw/zinc/14/15/98/896141598.db2.gz DHUISIQQVVUMNH-UHFFFAOYSA-N 0 1 297.358 0.493 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@](O)(CNC(=O)c2ccsn2)C1 ZINC001484225506 896252553 /nfs/dbraw/zinc/25/25/53/896252553.db2.gz NWBLUCQYNIPPNV-ZDUSSCGKSA-N 0 1 281.381 0.886 20 30 CCEDMN C=CCCCCCN1CC(O)(CNC(=O)c2ncn[nH]2)C1 ZINC001484300519 896332483 /nfs/dbraw/zinc/33/24/83/896332483.db2.gz DISPUJWTHHNYTN-UHFFFAOYSA-N 0 1 293.371 0.328 20 30 CCEDMN C=CCCCCCN1CC(O)(CNC(=O)c2nc[nH]n2)C1 ZINC001484300519 896332497 /nfs/dbraw/zinc/33/24/97/896332497.db2.gz DISPUJWTHHNYTN-UHFFFAOYSA-N 0 1 293.371 0.328 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCCC(N)=O)[C@@H]2C1 ZINC001484472035 896418248 /nfs/dbraw/zinc/41/82/48/896418248.db2.gz JQGSUCWVXVSEFU-QWHCGFSZSA-N 0 1 277.368 0.198 20 30 CCEDMN CC#CC[NH2+]CCN(CCO)C(=O)c1cc(CC)[nH]n1 ZINC001484475961 896426373 /nfs/dbraw/zinc/42/63/73/896426373.db2.gz NVAXRVBWFGMXFM-UHFFFAOYSA-N 0 1 278.356 0.020 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)[C@@H]1CC12CC2 ZINC001484494860 896444836 /nfs/dbraw/zinc/44/48/36/896444836.db2.gz RMEIXMHCJYNBNT-NSHDSACASA-N 0 1 272.776 0.950 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2C[C@H]2C(N)=O)CCC1 ZINC001484653426 896522292 /nfs/dbraw/zinc/52/22/92/896522292.db2.gz RYOYUWFFAYMUAH-ZJUUUORDSA-N 0 1 285.775 0.489 20 30 CCEDMN C#CCCCC(=O)N(C)CCCN(C)[C@@H]1CCN(C)C1=O ZINC001484741362 896569567 /nfs/dbraw/zinc/56/95/67/896569567.db2.gz UWILVRIHRVBMQE-CQSZACIVSA-N 0 1 293.411 0.801 20 30 CCEDMN C[C@@H](NC(=O)[C@@H](N)C(C)(C)O)c1cccc(C#N)c1 ZINC001522480304 896583303 /nfs/dbraw/zinc/58/33/03/896583303.db2.gz ABNDOHIHJMPGBZ-BXKDBHETSA-N 0 1 261.325 0.834 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@]2(C1)CCCN(C(C)=O)C2 ZINC001484826991 896615162 /nfs/dbraw/zinc/61/51/62/896615162.db2.gz YQAXPMVJMBCSCL-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC1(CNCC#N)CCOCC1 ZINC001484867715 896645882 /nfs/dbraw/zinc/64/58/82/896645882.db2.gz OFLKNFSQFXQFAR-ZDUSSCGKSA-N 0 1 294.399 0.249 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CCN(CC(=O)NC)[C@@H](C)C1 ZINC001484979071 896699114 /nfs/dbraw/zinc/69/91/14/896699114.db2.gz XCZYUNLXVMWDEI-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H]2C[C@H]2C(C)C)CC1 ZINC001485026092 896723077 /nfs/dbraw/zinc/72/30/77/896723077.db2.gz XCDMZFMQPHFLND-UONOGXRCSA-N 0 1 278.396 0.855 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@]12C[C@H]1COC2 ZINC001485057205 896747985 /nfs/dbraw/zinc/74/79/85/896747985.db2.gz WCOCFDWERZORRK-GUTXKFCHSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001485395120 897019449 /nfs/dbraw/zinc/01/94/49/897019449.db2.gz XVVILXMRPQOLIV-DOMZBBRYSA-N 0 1 279.384 0.505 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCn2cncn2)C1 ZINC001485493949 897078995 /nfs/dbraw/zinc/07/89/95/897078995.db2.gz UFRRAXLTJYJNIP-GFCCVEGCSA-N 0 1 275.356 0.128 20 30 CCEDMN C[C@H](CCCNCC#N)NC(=O)[C@H]1CCCCN1C ZINC001485727527 897212262 /nfs/dbraw/zinc/21/22/62/897212262.db2.gz MESKFDNFFSFKPF-CHWSQXEVSA-N 0 1 266.389 0.869 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C1CN(C(C)=O)C1 ZINC001032375828 897364456 /nfs/dbraw/zinc/36/44/56/897364456.db2.gz ZPFKWOKGXUVEPK-KBPBESRZSA-N 0 1 277.368 0.326 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001326777402 914161407 /nfs/dbraw/zinc/16/14/07/914161407.db2.gz MWGOSVNAXIQLSX-NXEZZACHSA-N 0 1 295.343 0.656 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@]1(C)CN(CC2CC2)CCO1 ZINC001107902303 897469116 /nfs/dbraw/zinc/46/91/16/897469116.db2.gz HBHONWCYEDZVBQ-HOTGVXAUSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccn2nnnc12 ZINC001032423207 897541201 /nfs/dbraw/zinc/54/12/01/897541201.db2.gz AHLIWGMACXFSQV-RYUDHWBXSA-N 0 1 296.334 0.046 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCNC1=O ZINC001032442228 897576144 /nfs/dbraw/zinc/57/61/44/897576144.db2.gz OOVOINNSBRLWEE-IHRRRGAJSA-N 0 1 291.395 0.764 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCN(C)C1=O ZINC001032463483 897604619 /nfs/dbraw/zinc/60/46/19/897604619.db2.gz VAMGOMKDLQVRGP-IHRRRGAJSA-N 0 1 291.395 0.716 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)CCNC(=O)C1 ZINC001032473809 897616529 /nfs/dbraw/zinc/61/65/29/897616529.db2.gz CSIKFOPLEFNCBD-HEHGZKQESA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCCN1C ZINC001032478163 897622910 /nfs/dbraw/zinc/62/29/10/897622910.db2.gz ZXAMKCPWVBKOAT-IHRRRGAJSA-N 0 1 261.369 0.389 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCn1ccnc1 ZINC001032550529 897737753 /nfs/dbraw/zinc/73/77/53/897737753.db2.gz WAJNCRNWNSSRJI-KBPBESRZSA-N 0 1 272.352 0.582 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1OCC[C@H]1C ZINC001032636012 897862480 /nfs/dbraw/zinc/86/24/80/897862480.db2.gz PGIYVAGDSVYCGF-RFGFWPKPSA-N 0 1 262.353 0.720 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1OCC[C@H]1C ZINC001032636012 897862483 /nfs/dbraw/zinc/86/24/83/897862483.db2.gz PGIYVAGDSVYCGF-RFGFWPKPSA-N 0 1 262.353 0.720 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccnnc1 ZINC001032646541 897897131 /nfs/dbraw/zinc/89/71/31/897897131.db2.gz UACPFAGNFQPMMU-STQMWFEESA-N 0 1 258.325 0.951 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(Cc1cnccn1)CC2 ZINC001272834563 898059906 /nfs/dbraw/zinc/05/99/06/898059906.db2.gz ZGUHRQUPUWSFJA-AWEZNQCLSA-N 0 1 284.363 0.828 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](C)O ZINC001032752406 898086164 /nfs/dbraw/zinc/08/61/64/898086164.db2.gz KMHZOAPQPQFQCC-JQFCIGGWSA-N 0 1 298.386 0.876 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2CC(OC)OC)C1=O ZINC001272860374 898090243 /nfs/dbraw/zinc/09/02/43/898090243.db2.gz BWFASBFCHJOXOS-AWEZNQCLSA-N 0 1 268.357 0.858 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(C)CC(F)(F)C2)C1 ZINC001077984231 898140890 /nfs/dbraw/zinc/14/08/90/898140890.db2.gz DZJNIZHDLAUVEG-GHMZBOCLSA-N 0 1 286.322 0.606 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H]2CCN(C3CC3)C2=O)CC1 ZINC001116637961 898147342 /nfs/dbraw/zinc/14/73/42/898147342.db2.gz HOCPRCSZCZHLDM-CQSZACIVSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccccc2OC)C1 ZINC001078051099 898201642 /nfs/dbraw/zinc/20/16/42/898201642.db2.gz RYSFIRMDAAACMW-ZIAGYGMSSA-N 0 1 288.347 0.493 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2c(C)noc2CC)C1 ZINC001078161416 898273428 /nfs/dbraw/zinc/27/34/28/898273428.db2.gz SINPCHRQZHBXNW-VXGBXAGGSA-N 0 1 293.367 0.896 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccc(C)s2)C1 ZINC001078226619 898311233 /nfs/dbraw/zinc/31/12/33/898311233.db2.gz KMHXVRPQNZEVTI-ZIAGYGMSSA-N 0 1 292.404 0.784 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1c[nH]c(=O)cn1 ZINC001485915723 898503396 /nfs/dbraw/zinc/50/33/96/898503396.db2.gz CKJHUDLYSIKNFU-SECBINFHSA-N 0 1 284.747 0.573 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(OCC)nc1 ZINC001486002046 898561861 /nfs/dbraw/zinc/56/18/61/898561861.db2.gz LTIIHEWTOZHNIZ-ZDUSSCGKSA-N 0 1 291.351 0.184 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](CO)N[C@H](C)c1n[nH]c(C)n1 ZINC001486000231 898562533 /nfs/dbraw/zinc/56/25/33/898562533.db2.gz NODQRCPZRYBRCM-PWSUYJOCSA-N 0 1 295.387 0.597 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(Cl)cn1C ZINC001486016108 898590010 /nfs/dbraw/zinc/59/00/10/898590010.db2.gz YRMWCGJUNCLUMI-NSHDSACASA-N 0 1 283.759 0.382 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H]1CCCc2ccccc21 ZINC001486010082 898605121 /nfs/dbraw/zinc/60/51/21/898605121.db2.gz YTWNQGFBFWMVGN-ZBFHGGJFSA-N 0 1 286.375 0.806 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001486026474 898614122 /nfs/dbraw/zinc/61/41/22/898614122.db2.gz GKOGBVWKDCZABA-ZFWWWQNUSA-N 0 1 290.338 0.555 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)C[C@H](C)C1CC1 ZINC001486066561 898632143 /nfs/dbraw/zinc/63/21/43/898632143.db2.gz QJAWYKUSFPTFPK-GXTWGEPZSA-N 0 1 282.384 0.139 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H](C)COC ZINC001486265103 898749449 /nfs/dbraw/zinc/74/94/49/898749449.db2.gz LXJUJVKIHKBJBA-KOLCDFICSA-N 0 1 278.780 0.430 20 30 CCEDMN C#CC[N@H+](C)C[C@@H](O)CN(C)C(=O)c1ccccn1 ZINC001486322023 898781219 /nfs/dbraw/zinc/78/12/19/898781219.db2.gz XFLPQEYUKSPMOE-GFCCVEGCSA-N 0 1 261.325 0.080 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccccn1 ZINC001486322023 898781227 /nfs/dbraw/zinc/78/12/27/898781227.db2.gz XFLPQEYUKSPMOE-GFCCVEGCSA-N 0 1 261.325 0.080 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H](C)OCC(C)C ZINC001486326110 898790615 /nfs/dbraw/zinc/79/06/15/898790615.db2.gz IJEZZIMPPOBTIS-CABCVRRESA-N 0 1 298.427 0.822 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccc[nH]1 ZINC001486324704 898808072 /nfs/dbraw/zinc/80/80/72/898808072.db2.gz LCEDQDFFFGMNHC-CYBMUJFWSA-N 0 1 293.367 0.029 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@H]1[C@@H]2COC[C@@H]21)C(C)C ZINC001486373961 898839500 /nfs/dbraw/zinc/83/95/00/898839500.db2.gz IDCMXMOPZYRJDX-WDNDVIMCSA-N 0 1 264.369 0.681 20 30 CCEDMN CN(C(=O)C#CC1CC1)C1CC(NCc2ncnn2C)C1 ZINC001486461578 898890972 /nfs/dbraw/zinc/89/09/72/898890972.db2.gz UMISPLOBTAKTQG-UHFFFAOYSA-N 0 1 287.367 0.308 20 30 CCEDMN C#CCCCC(=O)NCC1=CCN([C@@H](CC)C(N)=O)CC1 ZINC001486505897 898908200 /nfs/dbraw/zinc/90/82/00/898908200.db2.gz JGTMKRJAPCLRSY-AWEZNQCLSA-N 0 1 291.395 0.802 20 30 CCEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)CNC(C)=O ZINC001410278306 899314547 /nfs/dbraw/zinc/31/45/47/899314547.db2.gz GAQJLJVZOBHDPZ-GFCCVEGCSA-N 0 1 287.791 0.702 20 30 CCEDMN CC(C)C#CC(=O)NCC1(NCC(=O)N2CCCC2)CC1 ZINC001323438268 899432962 /nfs/dbraw/zinc/43/29/62/899432962.db2.gz ZPYWZYRCNARZRR-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1CCN(CCOCC2CC2)C1 ZINC001494704205 899569360 /nfs/dbraw/zinc/56/93/60/899569360.db2.gz ADQUXASQDARWGN-HIFRSBDPSA-N 0 1 294.395 0.642 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(=O)NC)[C@H]1C ZINC001410825712 899590728 /nfs/dbraw/zinc/59/07/28/899590728.db2.gz WKPLACFGZSXJEN-VHSXEESVSA-N 0 1 288.779 0.247 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CN(C(=O)C[N@@H+](C)C2CCC2)C1 ZINC001410933808 899635965 /nfs/dbraw/zinc/63/59/65/899635965.db2.gz YUVYANYVAMBAPX-LLVKDONJSA-N 0 1 292.383 0.300 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)Cc1cccnc1 ZINC001493092983 899751278 /nfs/dbraw/zinc/75/12/78/899751278.db2.gz KXKYIFCKUITALR-CQSZACIVSA-N 0 1 257.337 0.838 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](CNC(=O)c1cnn[nH]1)C(C)(C)C ZINC001488518901 900305388 /nfs/dbraw/zinc/30/53/88/900305388.db2.gz QENXCJSOGKPEKZ-SCZZXKLOSA-N 0 1 292.343 0.225 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)CCCF)C1 ZINC001489164539 900446432 /nfs/dbraw/zinc/44/64/32/900446432.db2.gz TXRQTODWQDLDLY-ZDUSSCGKSA-N 0 1 270.348 0.655 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cc[n+]([O-])cc1 ZINC001489316123 900464188 /nfs/dbraw/zinc/46/41/88/900464188.db2.gz MAYVJFOCJHLXHJ-HNNXBMFYSA-N 0 1 287.363 0.880 20 30 CCEDMN CC#CC[N@H+](CC)CCCNC(=O)c1[n-]nnc1C ZINC001490341654 900609247 /nfs/dbraw/zinc/60/92/47/900609247.db2.gz SBSIJQBKXNTYQG-UHFFFAOYSA-N 0 1 263.345 0.578 20 30 CCEDMN CC#CC[N@@H+](CC)CCCNC(=O)c1[n-]nnc1C ZINC001490341654 900609256 /nfs/dbraw/zinc/60/92/56/900609256.db2.gz SBSIJQBKXNTYQG-UHFFFAOYSA-N 0 1 263.345 0.578 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CCn2ccnc2)CC1 ZINC001490414473 900624288 /nfs/dbraw/zinc/62/42/88/900624288.db2.gz IBPUYAZIVQHWRW-UHFFFAOYSA-N 0 1 274.368 0.829 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H]2CO[C@@H](C)C2)CC1 ZINC001490494965 900641594 /nfs/dbraw/zinc/64/15/94/900641594.db2.gz PLQBBTIXVNVQHB-UONOGXRCSA-N 0 1 281.400 0.331 20 30 CCEDMN COCCC[N@H+]1CC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001490537224 900650752 /nfs/dbraw/zinc/65/07/52/900650752.db2.gz JMVXUKNUNMXRRJ-LBPRGKRZSA-N 0 1 276.340 0.727 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001490552814 900665591 /nfs/dbraw/zinc/66/55/91/900665591.db2.gz HDVRUSPECSYESA-KGLIPLIRSA-N 0 1 292.383 0.874 20 30 CCEDMN C=C1CC(C)(C(=O)NCCNC(=O)CCc2c[nH]nn2)C1 ZINC001293081861 900976533 /nfs/dbraw/zinc/97/65/33/900976533.db2.gz VRTILXCJGOULRN-UHFFFAOYSA-N 0 1 291.355 0.326 20 30 CCEDMN C=C1CC(C)(C(=O)NCCNC(=O)CCc2cnn[nH]2)C1 ZINC001293081861 900976547 /nfs/dbraw/zinc/97/65/47/900976547.db2.gz VRTILXCJGOULRN-UHFFFAOYSA-N 0 1 291.355 0.326 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CNC(=O)c1ccoc1C ZINC001275567225 901132507 /nfs/dbraw/zinc/13/25/07/901132507.db2.gz SHCLNVJPXKYMOC-LLVKDONJSA-N 0 1 291.351 0.388 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001412206813 901560237 /nfs/dbraw/zinc/56/02/37/901560237.db2.gz XOKMIHSUYLLHHI-FDYHWXHSSA-N 0 1 292.383 0.251 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)[C@H](O)c1cccnc1 ZINC001412460964 901738268 /nfs/dbraw/zinc/73/82/68/901738268.db2.gz PMKSNORALKABKS-YUSALJHKSA-N 0 1 291.307 0.323 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cc(OC)n(C)n1 ZINC001492987006 902154979 /nfs/dbraw/zinc/15/49/79/902154979.db2.gz OQYWDMHGDVFBEC-UHFFFAOYSA-N 0 1 278.356 0.504 20 30 CCEDMN CCn1ccc(CN[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001490878269 902221875 /nfs/dbraw/zinc/22/18/75/902221875.db2.gz GTVSEDXZFNLZMF-RAIGVLPGSA-N 0 1 275.356 0.799 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)NCCN1CCC(O)CC1 ZINC001412974431 902416923 /nfs/dbraw/zinc/41/69/23/902416923.db2.gz YJXSVMKLNNMSGS-UHFFFAOYSA-N 0 1 276.340 0.381 20 30 CCEDMN CN(C(=O)c1ccc(C#N)[nH]1)C1CN(C[C@@H]2CCOC2)C1 ZINC001042629059 902679128 /nfs/dbraw/zinc/67/91/28/902679128.db2.gz SCGKDTMFKIPRMT-NSHDSACASA-N 0 1 288.351 0.679 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CC(N(C)C(=O)c2ccn[nH]2)C1 ZINC001413548611 903035405 /nfs/dbraw/zinc/03/54/05/903035405.db2.gz PCOPYJSOLCFRKZ-XNWIYYODSA-N 0 1 275.312 0.289 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@H](NC(=O)C#CC3CC3)C2)[nH]1 ZINC001490890106 903146818 /nfs/dbraw/zinc/14/68/18/903146818.db2.gz MJJVQOOLWPZVSI-HAQNSBGRSA-N 0 1 273.340 0.263 20 30 CCEDMN COCC#CCN1CCC[C@@H](CNC(=O)[C@@H](C)OC)C1 ZINC001491092331 903364714 /nfs/dbraw/zinc/36/47/14/903364714.db2.gz BNXXSDZTGKVGNL-KGLIPLIRSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)CC(=O)NCC ZINC001491208595 903440363 /nfs/dbraw/zinc/44/03/63/903440363.db2.gz SPBUSTZGNZDOFF-GFCCVEGCSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)CCc2cn[nH]c2)[C@H](OC)C1 ZINC001213557641 903838233 /nfs/dbraw/zinc/83/82/33/903838233.db2.gz UKRMNBNMIBFSNE-ZIAGYGMSSA-N 0 1 290.367 0.181 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2cn[nH]c2)[C@H](OC)C1 ZINC001213557641 903838242 /nfs/dbraw/zinc/83/82/42/903838242.db2.gz UKRMNBNMIBFSNE-ZIAGYGMSSA-N 0 1 290.367 0.181 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001330684807 903905983 /nfs/dbraw/zinc/90/59/83/903905983.db2.gz YOBWQJMZFQCTOQ-RNCFNFMXSA-N 0 1 262.313 0.559 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](CNC(=O)Cc2nnc[nH]2)C1 ZINC001280751742 904148062 /nfs/dbraw/zinc/14/80/62/904148062.db2.gz NWFIQHSTFQBBNI-XYPYZODXSA-N 0 1 291.355 0.324 20 30 CCEDMN C=CCCC(=O)N(C)CCC[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001280795120 904152489 /nfs/dbraw/zinc/15/24/89/904152489.db2.gz ZSIDYSOKVBJYCC-UHFFFAOYSA-N 0 1 281.360 0.757 20 30 CCEDMN C=CCCC(=O)N(C)CCC[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001280795120 904152500 /nfs/dbraw/zinc/15/25/00/904152500.db2.gz ZSIDYSOKVBJYCC-UHFFFAOYSA-N 0 1 281.360 0.757 20 30 CCEDMN COCC#CCN1CC[C@H](NC(=O)c2ccon2)C[C@H]1C ZINC001281653380 904320656 /nfs/dbraw/zinc/32/06/56/904320656.db2.gz SLAKINNHQXSUAJ-OLZOCXBDSA-N 0 1 291.351 0.907 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)CS(C)(=O)=O)C[C@H]1C ZINC001281651726 904323687 /nfs/dbraw/zinc/32/36/87/904323687.db2.gz KRUJYQZDSUQYOV-NEPJUHHUSA-N 0 1 288.413 0.576 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)CNC(=O)C1CC1 ZINC001281915763 904361655 /nfs/dbraw/zinc/36/16/55/904361655.db2.gz DOFZXCSTCNJJJC-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CNC(=O)C1CC1 ZINC001281915763 904361667 /nfs/dbraw/zinc/36/16/67/904361667.db2.gz DOFZXCSTCNJJJC-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cnc2cccnn21 ZINC001281954348 904379901 /nfs/dbraw/zinc/37/99/01/904379901.db2.gz CJGQODOKQKLHDT-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CCCC(=O)N1C ZINC001281968341 904380628 /nfs/dbraw/zinc/38/06/28/904380628.db2.gz JUGKWAOUTCQWOD-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN CC(C)C#CC(=O)N(C)C[C@H]1CCN1C(=O)c1ccn[nH]1 ZINC001282035489 904393620 /nfs/dbraw/zinc/39/36/20/904393620.db2.gz JJGXELHSPUUAEB-GFCCVEGCSA-N 0 1 288.351 0.742 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1cscn1 ZINC001282364557 904456066 /nfs/dbraw/zinc/45/60/66/904456066.db2.gz NZKQIWKWDITCTB-LLVKDONJSA-N 0 1 281.381 0.843 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCC(=O)NCC ZINC001282364280 904457828 /nfs/dbraw/zinc/45/78/28/904457828.db2.gz LCXRNPSNMBIIGD-LBPRGKRZSA-N 0 1 267.373 0.363 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)COC(C)C ZINC001282357853 904458609 /nfs/dbraw/zinc/45/86/09/904458609.db2.gz XEZAEQQHKLQRPS-CYBMUJFWSA-N 0 1 270.373 0.498 20 30 CCEDMN CCCC[C@H](CNCC#N)NC(=O)c1nc[nH]n1 ZINC001282653318 904516940 /nfs/dbraw/zinc/51/69/40/904516940.db2.gz KLMLWYZGFQCJDC-SECBINFHSA-N 0 1 250.306 0.206 20 30 CCEDMN CCCC[C@H](CNCC#N)NC(=O)c1ncn[nH]1 ZINC001282653318 904516949 /nfs/dbraw/zinc/51/69/49/904516949.db2.gz KLMLWYZGFQCJDC-SECBINFHSA-N 0 1 250.306 0.206 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCC(=O)NCC)C(C)(C)C1 ZINC001282790796 904542142 /nfs/dbraw/zinc/54/21/42/904542142.db2.gz JSUUUUAHFKOTGD-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCCCC(=O)N1CCN(C(=O)c2cnc(C)[nH]2)CC1 ZINC001282856723 904555362 /nfs/dbraw/zinc/55/53/62/904555362.db2.gz KMKCSFVBCMIQSH-UHFFFAOYSA-N 0 1 288.351 0.806 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CNC(=O)C3CC3)C2)C1 ZINC001282955228 904633757 /nfs/dbraw/zinc/63/37/57/904633757.db2.gz RXBLTFTWBNJNTL-UHFFFAOYSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCOCCC(=O)N1CC2(C1)CCCN(CC#CC)C2 ZINC001282964230 904638952 /nfs/dbraw/zinc/63/89/52/904638952.db2.gz FBFYGDGZVSDKCY-UHFFFAOYSA-N 0 1 288.391 0.974 20 30 CCEDMN C=C[C@H](COC)NS(=O)(=O)c1ccccc1O ZINC001327907700 914852704 /nfs/dbraw/zinc/85/27/04/914852704.db2.gz KHVTUZGEHLWTOC-SECBINFHSA-N 0 1 257.311 0.872 20 30 CCEDMN N#Cc1ccc(CC[N@@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC001327913249 914855902 /nfs/dbraw/zinc/85/59/02/914855902.db2.gz MDJSYSOBGGRHIH-ZDUSSCGKSA-N 0 1 260.293 0.886 20 30 CCEDMN N#Cc1ccc(CC[N@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC001327913249 914855923 /nfs/dbraw/zinc/85/59/23/914855923.db2.gz MDJSYSOBGGRHIH-ZDUSSCGKSA-N 0 1 260.293 0.886 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@H](CO)N[C@@H](C)C(=O)N(C)C ZINC001283760170 905002432 /nfs/dbraw/zinc/00/24/32/905002432.db2.gz TZSRHPURQOUVQS-NWDGAFQWSA-N 0 1 299.415 0.132 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ncccc1OCCC ZINC001283802354 905024722 /nfs/dbraw/zinc/02/47/22/905024722.db2.gz BDGNBEJIJPGHRC-GFCCVEGCSA-N 0 1 291.351 0.184 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)CC1CC(C)(C)C1 ZINC001283797520 905024986 /nfs/dbraw/zinc/02/49/86/905024986.db2.gz PQCICXYBSJFLJK-AWEZNQCLSA-N 0 1 296.411 0.529 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1c(C)onc1CC ZINC001283826768 905042518 /nfs/dbraw/zinc/04/25/18/905042518.db2.gz DDHITBYTWISIBI-LLVKDONJSA-N 0 1 279.340 0.249 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cnn(C)n1 ZINC001377874428 905206649 /nfs/dbraw/zinc/20/66/49/905206649.db2.gz UHIFUVXQEZIQLE-DTWKUNHWSA-N 0 1 271.752 0.664 20 30 CCEDMN C=CCCCC(=O)NC1CN(C(=O)c2ccn[nH]2)C1 ZINC001284938523 905498139 /nfs/dbraw/zinc/49/81/39/905498139.db2.gz VIPHSRYHJRFGSD-UHFFFAOYSA-N 0 1 262.313 0.707 20 30 CCEDMN CC[C@H](CNC(=O)C#CC(C)C)NC(=O)[C@H]1CCCN1C ZINC001285052874 905536115 /nfs/dbraw/zinc/53/61/15/905536115.db2.gz XQFWEBFYFLCVJJ-ZIAGYGMSSA-N 0 1 293.411 0.751 20 30 CCEDMN C=CCCOCC(=O)N[C@@H](C)CNC(=O)[C@H]1CCCN1C ZINC001285744345 905753301 /nfs/dbraw/zinc/75/33/01/905753301.db2.gz KJMMZPCFCOLJSL-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H]2CNC(=O)C#CC(C)C)[nH]1 ZINC001285853746 905788985 /nfs/dbraw/zinc/78/89/85/905788985.db2.gz SSEWFGGVCSDZKA-LBPRGKRZSA-N 0 1 288.351 0.708 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001285876265 905791667 /nfs/dbraw/zinc/79/16/67/905791667.db2.gz GMXAHPXRRZVIRG-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN(C(=O)[C@@H]1CC1[N+](=O)[O-])C1CCN(C(C)=O)CC1 ZINC001286050827 905843112 /nfs/dbraw/zinc/84/31/12/905843112.db2.gz KZJUCZWJCKTLBD-CHWSQXEVSA-N 0 1 293.323 0.124 20 30 CCEDMN CCn1cc(CNC[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)nn1 ZINC001378828872 905844090 /nfs/dbraw/zinc/84/40/90/905844090.db2.gz KWFCKVZGXUGUIG-IJLUTSLNSA-N 0 1 290.371 0.442 20 30 CCEDMN C=CCCCC(=O)N[C@H]1C[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001286480777 905882908 /nfs/dbraw/zinc/88/29/08/905882908.db2.gz BGOWMAYCDVUZCF-XYPYZODXSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCCC(=O)N[C@H]1C[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001286480777 905882919 /nfs/dbraw/zinc/88/29/19/905882919.db2.gz BGOWMAYCDVUZCF-XYPYZODXSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCC(=O)N[C@H]1CC[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001288029768 906002364 /nfs/dbraw/zinc/00/23/64/906002364.db2.gz QDWVNUJWPQAWGB-MNOVXSKESA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCC(=O)N[C@H]1CC[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001288029768 906002379 /nfs/dbraw/zinc/00/23/79/906002379.db2.gz QDWVNUJWPQAWGB-MNOVXSKESA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCC(=O)N(CC)[C@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001288656869 906046930 /nfs/dbraw/zinc/04/69/30/906046930.db2.gz FPPMUIPKVGVGTK-LLVKDONJSA-N 0 1 293.371 0.667 20 30 CCEDMN C[C@@H]1C[C@H]1C(=O)NC[C@@H](CO)NCc1cccc(C#N)c1 ZINC001379466574 906264124 /nfs/dbraw/zinc/26/41/24/906264124.db2.gz OSZXTUNFKZPBDU-BYCMXARLSA-N 0 1 287.363 0.781 20 30 CCEDMN C=CCCCC(=O)NCCNC(=O)Cc1n[nH]c(C)n1 ZINC001292719115 906330346 /nfs/dbraw/zinc/33/03/46/906330346.db2.gz WQRGULYNEGCHEL-UHFFFAOYSA-N 0 1 279.344 0.244 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCCNC(=O)C#CC1CC1 ZINC001292714553 906330664 /nfs/dbraw/zinc/33/06/64/906330664.db2.gz QRRXRURNYMXOTI-ZDUSSCGKSA-N 0 1 277.368 0.117 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N(C)C[C@H](C)NC(=O)C#CC1CC1 ZINC001337341877 921237287 /nfs/dbraw/zinc/23/72/87/921237287.db2.gz GTVCIKRFWKWXTG-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001293533648 906485068 /nfs/dbraw/zinc/48/50/68/906485068.db2.gz KFVUPTYVYLKDIB-WDEREUQCSA-N 0 1 292.339 0.332 20 30 CCEDMN Cc1cc(CNC[C@@H](NC(=O)[C@H](C)C#N)C2CC2)ncn1 ZINC001379845314 906503553 /nfs/dbraw/zinc/50/35/53/906503553.db2.gz BMLIRVZWWKMYIP-QMTHXVAHSA-N 0 1 287.367 0.929 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(C(=O)Cc2ncn[nH]2)C1 ZINC001294716029 906622251 /nfs/dbraw/zinc/62/22/51/906622251.db2.gz RNXMLMHACGILTD-SNVBAGLBSA-N 0 1 277.328 0.031 20 30 CCEDMN C=CCC1(C(=O)NC[C@@H](C)NC(=O)c2ncn[nH]2)CCC1 ZINC001295638297 906776899 /nfs/dbraw/zinc/77/68/99/906776899.db2.gz OXHVSDABTLDVFF-SNVBAGLBSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCC1(C(=O)NC[C@@H](C)NC(=O)c2nc[nH]n2)CCC1 ZINC001295638297 906776915 /nfs/dbraw/zinc/77/69/15/906776915.db2.gz OXHVSDABTLDVFF-SNVBAGLBSA-N 0 1 291.355 0.786 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)C(=O)Nc2cccc(C#N)c2)CCN1C ZINC001337587198 921273986 /nfs/dbraw/zinc/27/39/86/921273986.db2.gz PDPHGRMBWZRXJD-MFKMUULPSA-N 0 1 286.335 0.706 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001297744779 907113714 /nfs/dbraw/zinc/11/37/14/907113714.db2.gz RVCHEENPIHDXAR-GFCCVEGCSA-N 0 1 290.367 0.624 20 30 CCEDMN C=CCONC(=O)Cn1ncc(=O)c2ccccc21 ZINC001297984979 907136696 /nfs/dbraw/zinc/13/66/96/907136696.db2.gz JEDRSGNHBDPJOA-UHFFFAOYSA-N 0 1 259.265 0.630 20 30 CCEDMN C=CCCC(=O)N[C@@H](CNC(=O)Cc1nnc[nH]1)C(C)C ZINC001298017740 907139615 /nfs/dbraw/zinc/13/96/15/907139615.db2.gz IONHHVPGGHRXLS-NSHDSACASA-N 0 1 293.371 0.570 20 30 CCEDMN C=CCCC(=O)NCC1(NC(=O)[C@H]2CCCN2C)CC1 ZINC001299131132 907394134 /nfs/dbraw/zinc/39/41/34/907394134.db2.gz UOSBJQSPYWSBHY-GFCCVEGCSA-N 0 1 279.384 0.812 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCCNC(=O)C#CC1CC1 ZINC001491637291 907473428 /nfs/dbraw/zinc/47/34/28/907473428.db2.gz SUVWEWZXQBCUGX-ZDUSSCGKSA-N 0 1 277.368 0.117 20 30 CCEDMN N#Cc1cccc(CN[C@@H](CO)CNC(=O)c2ncc[nH]2)c1 ZINC001382209526 907621628 /nfs/dbraw/zinc/62/16/28/907621628.db2.gz WRKBKQYDWTUWJH-CYBMUJFWSA-N 0 1 299.334 0.162 20 30 CCEDMN C#CCN(C)CCNC(=O)C1(c2ccccn2)CC1 ZINC001492251595 907805504 /nfs/dbraw/zinc/80/55/04/907805504.db2.gz RPYQBUMUPJSUQV-UHFFFAOYSA-N 0 1 257.337 0.794 20 30 CCEDMN CSCC[C@H](NC(=O)[C@@H](C)CC#N)c1nn[nH]n1 ZINC001301162448 907958889 /nfs/dbraw/zinc/95/88/89/907958889.db2.gz XSLQWDOAFFLAJM-YUMQZZPRSA-N 0 1 268.346 0.660 20 30 CCEDMN Cc1nc(CC(=O)Nc2ccc(F)c(C#N)c2N)n[nH]1 ZINC001301824677 907994058 /nfs/dbraw/zinc/99/40/58/907994058.db2.gz JWJNXBOZRCIPRC-UHFFFAOYSA-N 0 1 274.259 0.887 20 30 CCEDMN C#CCN1CCC(C(=O)NCc2cc(=O)c(O)co2)CC1 ZINC001304513962 908168347 /nfs/dbraw/zinc/16/83/47/908168347.db2.gz CTLXKFKPQXYVOA-UHFFFAOYSA-N 0 1 290.319 0.307 20 30 CCEDMN C=C(C)CN(C)CCNC(=O)c1cn(C)ccc1=O ZINC001317451254 908245540 /nfs/dbraw/zinc/24/55/40/908245540.db2.gz RENNVOVSRWHCAY-UHFFFAOYSA-N 0 1 263.341 0.623 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N(C)CC2CN(C)C2)cc1 ZINC001338252896 921406925 /nfs/dbraw/zinc/40/69/25/921406925.db2.gz MBUPRAPOJWYFJX-UHFFFAOYSA-N 0 1 285.347 0.626 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC001317487374 908496341 /nfs/dbraw/zinc/49/63/41/908496341.db2.gz VFOIRGSUIIASNC-UHFFFAOYSA-N 0 1 286.335 0.953 20 30 CCEDMN COc1ncc(NC(=O)Nc2c[nH]nn2)cc1C#N ZINC001311196785 908539634 /nfs/dbraw/zinc/53/96/34/908539634.db2.gz IYWUFIBUEFSDCZ-UHFFFAOYSA-N 0 1 259.229 0.724 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H]1COC2(CCC2)C1 ZINC001313204567 908659033 /nfs/dbraw/zinc/65/90/33/908659033.db2.gz AURCFFGHWNATCJ-GFCCVEGCSA-N 0 1 265.357 0.562 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCc2ncsc2C1 ZINC001313439340 908665212 /nfs/dbraw/zinc/66/52/12/908665212.db2.gz KAUHTMAQYYSXFK-UHFFFAOYSA-N 0 1 278.381 0.776 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC[C@@H]1C1OCCO1 ZINC001313438842 908667174 /nfs/dbraw/zinc/66/71/74/908667174.db2.gz CAULPUABXVPQCQ-GFCCVEGCSA-N 0 1 281.356 0.098 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2ccn(C)n2)CC1 ZINC001316968214 908705940 /nfs/dbraw/zinc/70/59/40/908705940.db2.gz RERMVPNMWNGCDH-UHFFFAOYSA-N 0 1 291.399 0.344 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)COC ZINC001317040914 908725330 /nfs/dbraw/zinc/72/53/30/908725330.db2.gz RWOCYFBITBJBRB-MELADBBJSA-N 0 1 264.369 0.967 20 30 CCEDMN Cc1conc1CN[C@H]1C[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001317073237 908737499 /nfs/dbraw/zinc/73/74/99/908737499.db2.gz NANITSVCYRYDMW-LSJOCFKGSA-N 0 1 262.313 0.880 20 30 CCEDMN CN(CC#N)CCOCCN(C)C(=O)[C@@H]1CCCCN1C ZINC001316763256 908764233 /nfs/dbraw/zinc/76/42/33/908764233.db2.gz SQUKHNUWVHRKOW-AWEZNQCLSA-N 0 1 296.415 0.401 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)CO[C@H](C)CC)C1 ZINC001316751131 908766913 /nfs/dbraw/zinc/76/69/13/908766913.db2.gz YQFFSQKVLMZBFQ-CABCVRRESA-N 0 1 296.411 0.720 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2ccnn2C)CC1 ZINC001316963620 908875386 /nfs/dbraw/zinc/87/53/86/908875386.db2.gz WQYOERSCWXVPDQ-UHFFFAOYSA-N 0 1 291.399 0.344 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCCC(=O)NC)C1 ZINC001316993549 908908371 /nfs/dbraw/zinc/90/83/71/908908371.db2.gz CEMFOZSAULIMLO-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)CCNC(N)=O)CC1 ZINC001317006559 908910983 /nfs/dbraw/zinc/91/09/83/908910983.db2.gz VVXPJMHDDLWDCL-UHFFFAOYSA-N 0 1 294.399 0.381 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1CC[C@H](NCC#N)C1 ZINC001317057636 908947876 /nfs/dbraw/zinc/94/78/76/908947876.db2.gz YUYROMGWQLDNRK-NWDGAFQWSA-N 0 1 270.352 0.380 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@@H](NCc2ncnn2C)C1 ZINC001317108023 908983517 /nfs/dbraw/zinc/98/35/17/908983517.db2.gz QQWQVLRIUJRQTB-PHIMTYICSA-N 0 1 263.345 0.518 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](CNC(=O)[C@H](F)CC)C1 ZINC001317140620 909013763 /nfs/dbraw/zinc/01/37/63/909013763.db2.gz UYXSYSISZKYFFF-CHWSQXEVSA-N 0 1 299.390 0.865 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cnsn1 ZINC001317227691 909057895 /nfs/dbraw/zinc/05/78/95/909057895.db2.gz SQICICJJUGMOKG-ZETCQYMHSA-N 0 1 260.750 0.999 20 30 CCEDMN C[C@H](CNC(=O)C(N)=O)NCC#Cc1cccc(Cl)c1 ZINC001317264735 909100949 /nfs/dbraw/zinc/10/09/49/909100949.db2.gz JVXSOJTUBONHBR-SNVBAGLBSA-N 0 1 293.754 0.271 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@@H](CNCc2cnon2)C1 ZINC001317316005 909140726 /nfs/dbraw/zinc/14/07/26/909140726.db2.gz CRMHXKHNQZXDOF-FZMZJTMJSA-N 0 1 294.355 0.335 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@H]1COC(=O)C1 ZINC001317442324 909209210 /nfs/dbraw/zinc/20/92/10/909209210.db2.gz HAWBPBMQANEEQY-LLVKDONJSA-N 0 1 252.314 0.011 20 30 CCEDMN C#CCN(C)CCNC(=O)CCc1ccc(C(N)=O)cc1 ZINC001317452727 909225153 /nfs/dbraw/zinc/22/51/53/909225153.db2.gz BBTXUWYVZURFAE-UHFFFAOYSA-N 0 1 287.363 0.399 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc(NC(=O)NC)cc1 ZINC001317471499 909249212 /nfs/dbraw/zinc/24/92/12/909249212.db2.gz KJWRSQAMAVUBFX-UHFFFAOYSA-N 0 1 288.351 0.733 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H]1CC12CCOCC2 ZINC001317476420 909251887 /nfs/dbraw/zinc/25/18/87/909251887.db2.gz RIRKTSMBMBUSLV-CQSZACIVSA-N 0 1 294.395 0.501 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1c(C)nn(C)c1F ZINC001317492217 909264442 /nfs/dbraw/zinc/26/44/42/909264442.db2.gz GBWSYQSGAFNUTQ-UHFFFAOYSA-N 0 1 280.347 0.943 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)Cn1nc(C)cc1C ZINC001317500560 909273118 /nfs/dbraw/zinc/27/31/18/909273118.db2.gz DRPDPSRXKCJENA-AWEZNQCLSA-N 0 1 274.368 0.714 20 30 CCEDMN CCCN(CCNC(=O)C#CC1CC1)[C@H](C)C(=O)N(C)C ZINC001317541357 909305182 /nfs/dbraw/zinc/30/51/82/909305182.db2.gz YBNHUZCYCGVKLV-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(CCC)CCNC(=O)C(=O)N1CCC(C)CC1 ZINC001317544813 909309272 /nfs/dbraw/zinc/30/92/72/909309272.db2.gz SBCLALPITDKXNY-UHFFFAOYSA-N 0 1 293.411 0.706 20 30 CCEDMN COCC#CCN(CCNC(=O)Cc1cnoc1)C1CC1 ZINC001317555076 909330157 /nfs/dbraw/zinc/33/01/57/909330157.db2.gz MVZBNFQUTXZZSC-UHFFFAOYSA-N 0 1 291.351 0.448 20 30 CCEDMN CC#CCCCC(=O)N(C)CCNCCS(C)(=O)=O ZINC001317621822 909418260 /nfs/dbraw/zinc/41/82/60/909418260.db2.gz XDVRPXWKKVCDFC-UHFFFAOYSA-N 0 1 288.413 0.273 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001317767539 909485699 /nfs/dbraw/zinc/48/56/99/909485699.db2.gz QTTGNGMGGIZFOQ-UPJWGTAASA-N 0 1 279.384 0.607 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](CCNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001317782670 909494915 /nfs/dbraw/zinc/49/49/15/909494915.db2.gz BWWLPFPUMONRMH-LBPRGKRZSA-N 0 1 290.367 0.889 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001317782670 909494924 /nfs/dbraw/zinc/49/49/24/909494924.db2.gz BWWLPFPUMONRMH-LBPRGKRZSA-N 0 1 290.367 0.889 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001317782670 909494934 /nfs/dbraw/zinc/49/49/34/909494934.db2.gz BWWLPFPUMONRMH-LBPRGKRZSA-N 0 1 290.367 0.889 20 30 CCEDMN Cc1nc(CN2CC(CNC(=O)C#CC(C)(C)C)C2)n[nH]1 ZINC001318119457 909632363 /nfs/dbraw/zinc/63/23/63/909632363.db2.gz UXLSYINKYWADHC-UHFFFAOYSA-N 0 1 289.383 0.711 20 30 CCEDMN C=CCOCCN1CC[C@@H](N(C)C(=O)c2nonc2C)C1 ZINC001318453221 909764644 /nfs/dbraw/zinc/76/46/44/909764644.db2.gz JZBFVQWKDTXXMM-GFCCVEGCSA-N 0 1 294.355 0.727 20 30 CCEDMN C[C@H](CNCc1cnon1)CNC(=O)C#CC(C)(C)C ZINC001319185914 910025081 /nfs/dbraw/zinc/02/50/81/910025081.db2.gz NMSZGDDUXMVLKK-LLVKDONJSA-N 0 1 278.356 0.961 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H](C)CNCc1ccn(C)n1 ZINC001319437143 910146161 /nfs/dbraw/zinc/14/61/61/910146161.db2.gz QAQIJGBIHOELFN-MNOVXSKESA-N 0 1 263.345 0.516 20 30 CCEDMN C[C@H](CNCC#Cc1ccc(F)cc1)N(C)C(=O)C(N)=O ZINC001319525140 910187366 /nfs/dbraw/zinc/18/73/66/910187366.db2.gz KYDRROHYLQTBAB-LLVKDONJSA-N 0 1 291.326 0.099 20 30 CCEDMN CC(C)[C@@H](CNC(=O)C#CC1CC1)NCc1cn(C)nn1 ZINC001319911385 910347710 /nfs/dbraw/zinc/34/77/10/910347710.db2.gz QYIUMMCGIPWPHM-CQSZACIVSA-N 0 1 289.383 0.459 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@H]1CCCc2n[nH]nc21)NCC#N ZINC001319943750 910366460 /nfs/dbraw/zinc/36/64/60/910366460.db2.gz LLJCBFDSBKPIEF-JQWIXIFHSA-N 0 1 290.371 0.479 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(=O)n(C)c1 ZINC001320016750 910412088 /nfs/dbraw/zinc/41/20/88/910412088.db2.gz VYJBBIBOJOVVHY-UHFFFAOYSA-N 0 1 275.352 0.412 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1nn(CC)nc1C ZINC001320090162 910459449 /nfs/dbraw/zinc/45/94/49/910459449.db2.gz JCJYGJGGYAXJLC-UHFFFAOYSA-N 0 1 263.345 0.243 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2CCC[C@@H]2CNCC#N)=NC1=O ZINC001320166618 910485660 /nfs/dbraw/zinc/48/56/60/910485660.db2.gz RYJCZXSLBFFHSS-NXEZZACHSA-N 0 1 277.328 0.137 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](O)CNCc1conc1C ZINC001320260265 910560367 /nfs/dbraw/zinc/56/03/67/910560367.db2.gz UHOWJIHVRPCWGY-GFCCVEGCSA-N 0 1 281.356 0.762 20 30 CCEDMN Cc1cnc(CN[C@H](C)CCNC(=O)[C@H](C)C#N)nc1 ZINC001390647067 910564413 /nfs/dbraw/zinc/56/44/13/910564413.db2.gz OLLABGWNAJOZLL-VXGBXAGGSA-N 0 1 275.356 0.929 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CCCF ZINC001320260972 910564659 /nfs/dbraw/zinc/56/46/59/910564659.db2.gz VTJZEPUUSDUTMK-VIFPVBQESA-N 0 1 252.717 0.555 20 30 CCEDMN C=CCn1cnnc1S(=O)(=O)Cc1n[nH]c(CC)n1 ZINC001320399877 910659682 /nfs/dbraw/zinc/65/96/82/910659682.db2.gz UZHDLSBETWLBQC-UHFFFAOYSA-N 0 1 282.329 0.119 20 30 CCEDMN C#Cc1ccc(C(=O)NC/C=C/CN[C@@H]2CCNC2=O)cc1 ZINC001321191904 911151888 /nfs/dbraw/zinc/15/18/88/911151888.db2.gz JUYIRDOJLVYBID-NHZBNJEXSA-N 0 1 297.358 0.432 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2C[C@@H]2C(F)F)CC1 ZINC001321258105 911197449 /nfs/dbraw/zinc/19/74/49/911197449.db2.gz HETIFCCXKGWXFO-IUCAKERBSA-N 0 1 257.284 0.992 20 30 CCEDMN C=C(Br)CNC[C@@H](C)NC(=O)COC ZINC001321445874 911303493 /nfs/dbraw/zinc/30/34/93/911303493.db2.gz XCRRXZYXFDXYOC-MRVPVSSYSA-N 0 1 265.151 0.636 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H](O)c2cccnc2)CC1 ZINC001321891740 911558764 /nfs/dbraw/zinc/55/87/64/911558764.db2.gz UGAJOQIFCTZKRI-GFCCVEGCSA-N 0 1 274.324 0.219 20 30 CCEDMN C[C@H](CNCc1ccccc1C#N)N(C)C(=O)c1nc[nH]n1 ZINC001392119779 911588273 /nfs/dbraw/zinc/58/82/73/911588273.db2.gz OZVAUQBIFXABAN-LLVKDONJSA-N 0 1 298.350 0.927 20 30 CCEDMN O=C(NCCNCc1ccc(CO)o1)C1N=CC=CC1=O ZINC001322089241 911648835 /nfs/dbraw/zinc/64/88/35/911648835.db2.gz LOGKNIGKVLVQEQ-BUHFOSPRSA-N 0 1 291.307 0.388 20 30 CCEDMN CC#CC[N@H+](C)C[C@H](C)NC(=O)[C@@H](CCC)NC(N)=O ZINC001322287323 911746186 /nfs/dbraw/zinc/74/61/86/911746186.db2.gz YPQLERHIFHRZKX-NWDGAFQWSA-N 0 1 282.388 0.283 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](CCC)NC(N)=O ZINC001322287323 911746196 /nfs/dbraw/zinc/74/61/96/911746196.db2.gz YPQLERHIFHRZKX-NWDGAFQWSA-N 0 1 282.388 0.283 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1COc2ccccc2O1 ZINC001322292634 911751164 /nfs/dbraw/zinc/75/11/64/911751164.db2.gz HPVMNKYAWYDQRC-DOMZBBRYSA-N 0 1 288.347 0.896 20 30 CCEDMN C=CCCCC(=O)N(C)C1CC(NC(=O)c2ncn[nH]2)C1 ZINC001339278438 921696039 /nfs/dbraw/zinc/69/60/39/921696039.db2.gz QNANNFSDKZDRKY-UHFFFAOYSA-N 0 1 291.355 0.880 20 30 CCEDMN C=CCCCC(=O)N(C)C1CC(NC(=O)c2nc[nH]n2)C1 ZINC001339278438 921696045 /nfs/dbraw/zinc/69/60/45/921696045.db2.gz QNANNFSDKZDRKY-UHFFFAOYSA-N 0 1 291.355 0.880 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cn(CC)nn1 ZINC001392519156 911885082 /nfs/dbraw/zinc/88/50/82/911885082.db2.gz HCFRYAUZQNIEIX-SECBINFHSA-N 0 1 271.752 0.758 20 30 CCEDMN CCOc1cc(C(=O)NC2(C#N)CCN(C)CC2)[nH]n1 ZINC001328221246 915033335 /nfs/dbraw/zinc/03/33/35/915033335.db2.gz MVFUPNBYWFUAME-UHFFFAOYSA-N 0 1 277.328 0.526 20 30 CCEDMN C[C@@H](CCNC(=O)CSCC#N)NCc1nncn1C ZINC001328310344 915096859 /nfs/dbraw/zinc/09/68/59/915096859.db2.gz JDIZMYADWAXFSM-JTQLQIEISA-N 0 1 296.400 0.056 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H]2C[C@H](C)CO2)CC1 ZINC001328542709 915264166 /nfs/dbraw/zinc/26/41/66/915264166.db2.gz SAYBTNARIKMGSG-STQMWFEESA-N 0 1 282.384 0.541 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CO[C@@H]1CCOC1 ZINC001328720052 915379561 /nfs/dbraw/zinc/37/95/61/915379561.db2.gz LQWCFUGAMWTHOK-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C[C@@H]1CCN(C)C1=O ZINC001328734504 915397987 /nfs/dbraw/zinc/39/79/87/915397987.db2.gz XMRPSORVUDYILT-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN COC(=O)[C@@]1(N2CCN(CCCCC#N)CC2)CCOC1 ZINC001328818361 915451227 /nfs/dbraw/zinc/45/12/27/915451227.db2.gz DPYSJANZWKYLPP-OAHLLOKOSA-N 0 1 295.383 0.630 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1=CCN(C(=O)c2ccn[nH]2)CC1 ZINC001339591531 921773036 /nfs/dbraw/zinc/77/30/36/921773036.db2.gz XUJPHASWMJZFNA-SNVBAGLBSA-N 0 1 287.323 0.458 20 30 CCEDMN C#CC[C@@H](COC)NCc1cc(C(=O)OCC)n[nH]1 ZINC001329171581 915722387 /nfs/dbraw/zinc/72/23/87/915722387.db2.gz DWRNDXCOSGGZGW-JTQLQIEISA-N 0 1 265.313 0.714 20 30 CCEDMN N#C[C@@]1(NC(=O)CCc2c[nH]nn2)CCSC1 ZINC001329331101 915820880 /nfs/dbraw/zinc/82/08/80/915820880.db2.gz HBLZMTNEFPQSEQ-JTQLQIEISA-N 0 1 251.315 0.253 20 30 CCEDMN N#C[C@@]1(NC(=O)CCc2cnn[nH]2)CCSC1 ZINC001329331101 915820898 /nfs/dbraw/zinc/82/08/98/915820898.db2.gz HBLZMTNEFPQSEQ-JTQLQIEISA-N 0 1 251.315 0.253 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1cc(C)ncn1 ZINC001329413853 915893918 /nfs/dbraw/zinc/89/39/18/915893918.db2.gz ITRLHMWPFXUWJY-CYBMUJFWSA-N 0 1 290.367 0.485 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cn2c(n1)COCC2 ZINC001329475010 915948489 /nfs/dbraw/zinc/94/84/89/915948489.db2.gz RTLPADFUTUCTGY-NSHDSACASA-N 0 1 276.340 0.097 20 30 CCEDMN C[C@@H](CNC(=O)C(N)=O)N(C)Cc1ccc(C#N)c(F)c1 ZINC001329532853 915993577 /nfs/dbraw/zinc/99/35/77/915993577.db2.gz RFHLEAVFASIWOY-VIFPVBQESA-N 0 1 292.314 0.119 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCc1cnccn1 ZINC001329586986 916040188 /nfs/dbraw/zinc/04/01/88/916040188.db2.gz FTCXVYCZUONSCO-ZDUSSCGKSA-N 0 1 274.368 0.869 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)CCNC(=O)C(C)(C)F)C1=O ZINC001492900933 916086815 /nfs/dbraw/zinc/08/68/15/916086815.db2.gz FCMKYSJEBXHENA-GFCCVEGCSA-N 0 1 299.390 0.960 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H](C)CNCC(=O)Nc1ccon1 ZINC001401199744 916104918 /nfs/dbraw/zinc/10/49/18/916104918.db2.gz HZPYONOIUFECJJ-UWVGGRQHSA-N 0 1 293.327 0.209 20 30 CCEDMN CO[C@H](C)C(=O)NC[C@H](O)CNCc1ccccc1C#N ZINC001401484169 916267719 /nfs/dbraw/zinc/26/77/19/916267719.db2.gz FJNORAWJUNOVDO-BXUZGUMPSA-N 0 1 291.351 0.160 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001330001207 916350662 /nfs/dbraw/zinc/35/06/62/916350662.db2.gz UZAOPTCXJQCMOC-WDEREUQCSA-N 0 1 267.373 0.524 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H](C)NC(N)=O ZINC001401681433 916475398 /nfs/dbraw/zinc/47/53/98/916475398.db2.gz KTVHHIJBQVAZJQ-BDAKNGLRSA-N 0 1 276.768 0.280 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1COCCO1)C1CC1 ZINC001401831562 916618775 /nfs/dbraw/zinc/61/87/75/916618775.db2.gz OVMIWPULIVUVOP-NWDGAFQWSA-N 0 1 288.775 0.639 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)N[C@H]1CC[N@H+](CCC)C1 ZINC001330575322 916712382 /nfs/dbraw/zinc/71/23/82/916712382.db2.gz CEHYLUWVUKEZRF-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN Cc1nonc1CNC[C@]1(C)CCN(C(=O)[C@@H](C)C#N)C1 ZINC001402163604 916844170 /nfs/dbraw/zinc/84/41/70/916844170.db2.gz RNORFICPDYTZEP-HZMBPMFUSA-N 0 1 291.355 0.866 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CC(=O)N(C)C1)C1CC1 ZINC001402306672 916945892 /nfs/dbraw/zinc/94/58/92/916945892.db2.gz ZADCQZMDAYEVQC-NEPJUHHUSA-N 0 1 299.802 0.702 20 30 CCEDMN C[C@@H](NCCN(CCO)C(=O)[C@@H](C)C#N)c1cnccn1 ZINC001402566099 917100875 /nfs/dbraw/zinc/10/08/75/917100875.db2.gz YVSQMGLPWLTGDD-NWDGAFQWSA-N 0 1 291.355 0.108 20 30 CCEDMN CN(C(=O)c1ccc2[nH]nnc2c1)[C@@H](CNCC#N)C1CC1 ZINC001331355260 917280933 /nfs/dbraw/zinc/28/09/33/917280933.db2.gz NBBUIORRDSCDFY-AWEZNQCLSA-N 0 1 298.350 0.922 20 30 CCEDMN Cc1cnc(CN[C@H](C)CNC(=O)c2ccc(C#N)[nH]2)cn1 ZINC001402859657 917302139 /nfs/dbraw/zinc/30/21/39/917302139.db2.gz FGSRTXLQSURWGF-LLVKDONJSA-N 0 1 298.350 0.893 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(OC)n(C)n1 ZINC001402930670 917358694 /nfs/dbraw/zinc/35/86/94/917358694.db2.gz AJUCZNBPUDMSLT-VIFPVBQESA-N 0 1 286.763 0.889 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCn1ccnn1 ZINC001402963159 917384356 /nfs/dbraw/zinc/38/43/56/917384356.db2.gz CFAVSIPKGVAVFD-QWRGUYRKSA-N 0 1 285.779 0.904 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C[C@@H](C)c1ccncc1 ZINC001331738004 917561472 /nfs/dbraw/zinc/56/14/72/917561472.db2.gz DPSOIKDSFBTKQU-UKRRQHHQSA-N 0 1 289.379 0.665 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccncc1Cl ZINC001331791136 917608829 /nfs/dbraw/zinc/60/88/29/917608829.db2.gz TZFPVIWSOCCNBD-VIFPVBQESA-N 0 1 267.716 0.049 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H](CO)NC[C@H](F)CC)nc1 ZINC001331826567 917644880 /nfs/dbraw/zinc/64/48/80/917644880.db2.gz ULRICACTQWNJJM-CHWSQXEVSA-N 0 1 293.342 0.491 20 30 CCEDMN CCC(C)(CC)C(=O)NC[C@H](CO)NCC#CCOC ZINC001331834187 917650630 /nfs/dbraw/zinc/65/06/30/917650630.db2.gz FIQOGPYIRHHGPK-CYBMUJFWSA-N 0 1 284.400 0.529 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CCN(C)C(C)(C)C1 ZINC001331939834 917734610 /nfs/dbraw/zinc/73/46/10/917734610.db2.gz QUHXAXXOILVODD-NSHDSACASA-N 0 1 255.362 0.923 20 30 CCEDMN CCN(CCNC(=O)[C@@H](C)C#N)[C@H]1CCN(C(C)C)C1=O ZINC001403828586 918043969 /nfs/dbraw/zinc/04/39/69/918043969.db2.gz UGWIDIIEBYJJLC-STQMWFEESA-N 0 1 294.399 0.593 20 30 CCEDMN C=CC[C@H]1CC[N@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001332420865 918144469 /nfs/dbraw/zinc/14/44/69/918144469.db2.gz SYPIPWOELOLWHP-JTQLQIEISA-N 0 1 250.302 0.761 20 30 CCEDMN C=CC[NH+]1CCC(NCc2cc(C(=O)[O-])nn2C)CC1 ZINC001332426137 918149430 /nfs/dbraw/zinc/14/94/30/918149430.db2.gz LEOBGLRAWFYDMH-UHFFFAOYSA-N 0 1 278.356 0.858 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(CCn1cncn1)C1CC1 ZINC001404213983 918240185 /nfs/dbraw/zinc/24/01/85/918240185.db2.gz WZSVHNQEHTXFNR-NSHDSACASA-N 0 1 276.344 0.018 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cccc(CC)n1 ZINC001332633013 918335351 /nfs/dbraw/zinc/33/53/51/918335351.db2.gz QKDJWAOEZVUUIS-AWEZNQCLSA-N 0 1 289.379 0.642 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@H](C)C(F)(F)F ZINC001332661996 918365603 /nfs/dbraw/zinc/36/56/03/918365603.db2.gz BWJPWPSDCQTXEZ-WDEREUQCSA-N 0 1 294.317 0.959 20 30 CCEDMN C#CCCN1CCN(CCO[C@@H]2CCOC2)CC1 ZINC001333157152 918695846 /nfs/dbraw/zinc/69/58/46/918695846.db2.gz OFBRCMANEJPIMZ-CQSZACIVSA-N 0 1 252.358 0.433 20 30 CCEDMN C#CCOc1ccccc1CN[C@@H](C[NH+](C)C)C(=O)[O-] ZINC001333185120 918716114 /nfs/dbraw/zinc/71/61/14/918716114.db2.gz FMGTZBKIJJULRG-ZDUSSCGKSA-N 0 1 276.336 0.803 20 30 CCEDMN C=CCCn1cc(CNCCC2CS(=O)(=O)C2)nn1 ZINC001333307988 918789482 /nfs/dbraw/zinc/78/94/82/918789482.db2.gz SYEIPUFLKIEUGP-UHFFFAOYSA-N 0 1 284.385 0.379 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1nccc(C)n1 ZINC001492944011 918855278 /nfs/dbraw/zinc/85/52/78/918855278.db2.gz ZRXDWWYCHBPTSP-UHFFFAOYSA-N 0 1 290.367 0.487 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H](COC)OC)CC1 ZINC001333402178 918869349 /nfs/dbraw/zinc/86/93/49/918869349.db2.gz VBXBJEAOEKFHSF-ZDUSSCGKSA-N 0 1 266.341 0.029 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)C(=O)NCC2CC2)CC1 ZINC001333433077 918904552 /nfs/dbraw/zinc/90/45/52/918904552.db2.gz WQHPACQAVPCWRT-UHFFFAOYSA-N 0 1 289.379 0.284 20 30 CCEDMN Cc1cc(C(=O)N(C)C2CC(NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001405815133 918926922 /nfs/dbraw/zinc/92/69/22/918926922.db2.gz GGQPOBRATFRXSV-PUSIOWJLSA-N 0 1 289.339 0.597 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccc(=O)n1C ZINC001406399450 919237110 /nfs/dbraw/zinc/23/71/10/919237110.db2.gz OSGIMKJZKZJMHK-SNVBAGLBSA-N 0 1 283.759 0.846 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)n[nH]1 ZINC001406487592 919300071 /nfs/dbraw/zinc/30/00/71/919300071.db2.gz BCQZJSKMNDZMFT-GXSJLCMTSA-N 0 1 289.339 0.551 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1[nH]c(=O)[nH]c1C ZINC001406486733 919300627 /nfs/dbraw/zinc/30/06/27/919300627.db2.gz ZTQOZODPIBGMBU-ZETCQYMHSA-N 0 1 272.736 0.884 20 30 CCEDMN CNC(=O)[C@H](C)N1CCC(C)(NC(=O)[C@@H](C)C#N)CC1 ZINC001407120178 919626593 /nfs/dbraw/zinc/62/65/93/919626593.db2.gz SUCYUPZYAAYRCX-QWRGUYRKSA-N 0 1 280.372 0.251 20 30 CCEDMN C#CCCCC(=O)N(C)[C@H](C)CNC(=O)c1[nH]ncc1F ZINC001334517443 919634649 /nfs/dbraw/zinc/63/46/49/919634649.db2.gz QZJKCSBWBJPGCU-SNVBAGLBSA-N 0 1 294.330 0.929 20 30 CCEDMN COC(=O)CC(C)(C)N(C)CC(=O)NCCC#N ZINC001335182755 920001060 /nfs/dbraw/zinc/00/10/60/920001060.db2.gz MYMJWGMJESHPFW-UHFFFAOYSA-N 0 1 255.318 0.290 20 30 CCEDMN CCn1cc(CN[C@@H](C)CCNC(=O)[C@@H](C)C#N)nn1 ZINC001408177408 920083991 /nfs/dbraw/zinc/08/39/91/920083991.db2.gz YMCNCZPVIPFETO-QWRGUYRKSA-N 0 1 278.360 0.442 20 30 CCEDMN C=C(C)Cn1nnnc1N1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC001335343770 920131923 /nfs/dbraw/zinc/13/19/23/920131923.db2.gz SXBMJDVBCLWOEM-SNVBAGLBSA-N 0 1 274.332 0.670 20 30 CCEDMN C=C(C)Cn1nnnc1N1CC[C@@H](c2nnc(C)[nH]2)C1 ZINC001335343770 920131930 /nfs/dbraw/zinc/13/19/30/920131930.db2.gz SXBMJDVBCLWOEM-SNVBAGLBSA-N 0 1 274.332 0.670 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)N1CCNC[C@@H]1CCOC ZINC001335538775 920261431 /nfs/dbraw/zinc/26/14/31/920261431.db2.gz OCQUDFQYIWXFBV-MJBXVCDLSA-N 0 1 297.399 0.740 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)CN2CCC(CO)CC2)C1 ZINC001335856116 920482192 /nfs/dbraw/zinc/48/21/92/920482192.db2.gz BGEJCUQJNIZWQB-CQSZACIVSA-N 0 1 282.384 0.494 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)CN2CCC(CO)CC2)C1 ZINC001335959202 920528725 /nfs/dbraw/zinc/52/87/25/920528725.db2.gz CSEPGSPLRRWHCI-QWHCGFSZSA-N 0 1 265.357 0.499 20 30 CCEDMN CCON(CC)C(=O)CNC[C@@H](O)c1ccc(C#N)cc1 ZINC001336059510 920587356 /nfs/dbraw/zinc/58/73/56/920587356.db2.gz FYMUYEUWQYBHFW-CQSZACIVSA-N 0 1 291.351 0.981 20 30 CCEDMN N#CC[C@@H](O)CN1CCC([C@H]2COC(=O)N2)CC1 ZINC001336313943 920712711 /nfs/dbraw/zinc/71/27/11/920712711.db2.gz FGINATAGTMOJNO-GHMZBOCLSA-N 0 1 253.302 0.081 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCc2nc[nH]c2[C@H]1c1cccnc1 ZINC001336538634 920820305 /nfs/dbraw/zinc/82/03/05/920820305.db2.gz ZSKKNHVTRLYSOF-TZMCWYRMSA-N 0 1 284.319 0.826 20 30 CCEDMN CCN(C(=O)CN1CCC(CO)CC1)[C@@H](C)C#N ZINC001336569938 920843000 /nfs/dbraw/zinc/84/30/00/920843000.db2.gz KAFQMVYLJDCFAT-NSHDSACASA-N 0 1 253.346 0.451 20 30 CCEDMN C#CCNC(=O)CCNCc1cn2cccc(C)c2n1 ZINC001336777686 921003025 /nfs/dbraw/zinc/00/30/25/921003025.db2.gz ABSGUFDDWIDDGJ-UHFFFAOYSA-N 0 1 270.336 0.872 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@@H](c2ccccc2F)C1 ZINC001339908844 921875100 /nfs/dbraw/zinc/87/51/00/921875100.db2.gz NJEWWIVTNADOMW-CQSZACIVSA-N 0 1 276.311 0.948 20 30 CCEDMN C#CCC1(O)CCN(C(=O)NCCCc2nc[nH]n2)CC1 ZINC001339911967 921875495 /nfs/dbraw/zinc/87/54/95/921875495.db2.gz AUHZFHZQKHRECK-UHFFFAOYSA-N 0 1 291.355 0.297 20 30 CCEDMN C#CCNCC(=O)NCc1cc2c(cc1OC)C[C@@H](C)O2 ZINC001340319375 922052975 /nfs/dbraw/zinc/05/29/75/922052975.db2.gz JYUVXFKFEXHICG-LLVKDONJSA-N 0 1 288.347 0.858 20 30 CCEDMN C#CCNCC(=O)NCc1ccccc1-c1cnn(C)c1 ZINC001340381363 922071597 /nfs/dbraw/zinc/07/15/97/922071597.db2.gz FYXCWFWYLOPQIF-UHFFFAOYSA-N 0 1 282.347 0.926 20 30 CCEDMN C#CCNCC(=O)N1CCN(Cc2ccccc2C)CC1 ZINC001340494032 922099428 /nfs/dbraw/zinc/09/94/28/922099428.db2.gz JGYFQQOUFRTUBM-UHFFFAOYSA-N 0 1 285.391 0.862 20 30 CCEDMN C=CCOc1ccccc1CNC(=O)[C@@H](N)C(C)(C)O ZINC001340698794 922175007 /nfs/dbraw/zinc/17/50/07/922175007.db2.gz AZCOQCIALBSFGS-CYBMUJFWSA-N 0 1 278.352 0.966 20 30 CCEDMN C=CCCCN(CC)C(=O)C1CNCCS1(=O)=O ZINC001341486721 922506601 /nfs/dbraw/zinc/50/66/01/922506601.db2.gz XZIZYZIIWIVCNB-LLVKDONJSA-N 0 1 274.386 0.188 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C(=O)OCC)c1ccccc1 ZINC001341483944 922506616 /nfs/dbraw/zinc/50/66/16/922506616.db2.gz POIGWODEZCWCGZ-AWEZNQCLSA-N 0 1 288.347 0.672 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2ccc(C#N)cc2)CC1 ZINC001341530819 922538227 /nfs/dbraw/zinc/53/82/27/922538227.db2.gz YGGSVMISAZMPOK-UHFFFAOYSA-N 0 1 282.347 0.430 20 30 CCEDMN C#CCNCC(=O)N(C)CCc1ccccc1OC ZINC001341538785 922541619 /nfs/dbraw/zinc/54/16/19/922541619.db2.gz LBBRJDSLLCJNOS-UHFFFAOYSA-N 0 1 260.337 0.919 20 30 CCEDMN C#CCNCC(=O)N1CCc2[nH]cnc2C12CCC2 ZINC001342045735 922779088 /nfs/dbraw/zinc/77/90/88/922779088.db2.gz XKEOTGLJDJTTND-UHFFFAOYSA-N 0 1 258.325 0.396 20 30 CCEDMN C#CC[NH2+]CC(=O)Nc1ccc([C@@H]2C[NH2+]CCO2)cc1 ZINC001342129362 922820615 /nfs/dbraw/zinc/82/06/15/922820615.db2.gz HDXWXWYKUWTBFS-AWEZNQCLSA-N 0 1 273.336 0.509 20 30 CCEDMN C[C@H](ON=Cc1ccc(N2CCOCC2)cc1)C(N)=O ZINC001342587459 923013324 /nfs/dbraw/zinc/01/33/24/923013324.db2.gz ZFBGLECGKJLMLS-NSHDSACASA-N 0 1 277.324 0.748 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2cccnc2n1 ZINC001343469292 923330876 /nfs/dbraw/zinc/33/08/76/923330876.db2.gz WJIMODUGTIBPNJ-ZYHUDNBSSA-N 0 1 267.292 0.471 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccc(C)nn1 ZINC001420131326 923333994 /nfs/dbraw/zinc/33/39/94/923333994.db2.gz VNEYPVVMTAMTOW-SNVBAGLBSA-N 0 1 284.747 0.218 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1CC(OC)C1 ZINC001420132376 923336007 /nfs/dbraw/zinc/33/60/07/923336007.db2.gz GFKQZQMPDCDNQH-YVNMAJEFSA-N 0 1 276.764 0.231 20 30 CCEDMN CC(=O)NC1CCC(C(=O)C(C#N)C(=O)NC(C)C)CC1 ZINC001343509608 923357722 /nfs/dbraw/zinc/35/77/22/923357722.db2.gz QUBRHPGIGMBOFH-WXRRBKDZSA-N 0 1 293.367 0.915 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@H](c2cccc(OC)c2)C1 ZINC001343544371 923368274 /nfs/dbraw/zinc/36/82/74/923368274.db2.gz WEMXFOMBVXMACH-HNNXBMFYSA-N 0 1 288.347 0.818 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2nc(C)c(C)s2)CC1 ZINC001343558212 923372637 /nfs/dbraw/zinc/37/26/37/923372637.db2.gz TUGTWBCNYMARLT-UHFFFAOYSA-N 0 1 292.408 0.631 20 30 CCEDMN CCn1ccnc1[C@H](C#N)C(=O)[C@H]1CCC(=O)N1C ZINC001344322168 923579019 /nfs/dbraw/zinc/57/90/19/923579019.db2.gz JGRNYSFFEPDNLO-NXEZZACHSA-N 0 1 260.297 0.700 20 30 CCEDMN C#CCN(CC1CC1)[C@@H](C)C(=O)NCCCOC ZINC001345028967 923765486 /nfs/dbraw/zinc/76/54/86/923765486.db2.gz CUXYCXRXMPTZQA-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)c1nnn(C)n1)C1CC1 ZINC001420762450 923924711 /nfs/dbraw/zinc/92/47/11/923924711.db2.gz YKUYREQDJOMJKB-VIFPVBQESA-N 0 1 284.751 0.061 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H]2CCCN(C(N)=O)C2)CC1 ZINC001346160890 924091606 /nfs/dbraw/zinc/09/16/06/924091606.db2.gz JANBVYQZDRNBMM-GFCCVEGCSA-N 0 1 293.367 0.418 20 30 CCEDMN CCCCCNC(=O)C(C#N)C(=O)C[C@@H]1CCC(=O)NC1 ZINC001346198926 924107216 /nfs/dbraw/zinc/10/72/16/924107216.db2.gz HRAZICFOSMMQIQ-RYUDHWBXSA-N 0 1 293.367 0.918 20 30 CCEDMN C[C@@H](CNCC#C[C@H]1CCCCO1)S(C)(=O)=O ZINC001346377980 924180007 /nfs/dbraw/zinc/18/00/07/924180007.db2.gz NTYFLGQRSDEVKF-NWDGAFQWSA-N 0 1 259.371 0.582 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001346606721 924275508 /nfs/dbraw/zinc/27/55/08/924275508.db2.gz NCRXPWVBEOBYEE-IHRRRGAJSA-N 0 1 298.431 0.105 20 30 CCEDMN C=C(C)CN(C)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001346604776 924277037 /nfs/dbraw/zinc/27/70/37/924277037.db2.gz BSYNMTDPAMGWIJ-CHWSQXEVSA-N 0 1 268.405 0.838 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)NC[C@@H](C)N2CCN(C)CC2)C1 ZINC001347102847 924370632 /nfs/dbraw/zinc/37/06/32/924370632.db2.gz SUOYAXOZPLQXJA-HUUCEWRRSA-N 0 1 292.427 0.677 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001347196559 924395796 /nfs/dbraw/zinc/39/57/96/924395796.db2.gz FPHNSVRGLXTRNU-NXEZZACHSA-N 0 1 265.317 0.497 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001347291426 924413434 /nfs/dbraw/zinc/41/34/34/924413434.db2.gz JJZXTLQUQJIUIQ-WCQGTBRESA-N 0 1 265.313 0.541 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@@H](C)N1CCN(C)CC1)C(C)C ZINC001347618077 924495279 /nfs/dbraw/zinc/49/52/79/924495279.db2.gz RUGSTERRBGQEBN-KGLIPLIRSA-N 0 1 280.416 0.579 20 30 CCEDMN C=CCCOCC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001348752881 924793580 /nfs/dbraw/zinc/79/35/80/924793580.db2.gz KLCIHDNFSHGWDO-UHFFFAOYSA-N 0 1 294.355 0.908 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001349867454 925080537 /nfs/dbraw/zinc/08/05/37/925080537.db2.gz MSASLXFFCHRWOM-KGLIPLIRSA-N 0 1 298.431 0.249 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](CC=C)C(=O)OC)CC1 ZINC001349959694 925106125 /nfs/dbraw/zinc/10/61/25/925106125.db2.gz BOCGEAIBUKMQON-CYBMUJFWSA-N 0 1 279.336 0.993 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@]1([C@H]2CCCCO2)CCCO1 ZINC001350136172 925132000 /nfs/dbraw/zinc/13/20/00/925132000.db2.gz WBDBIXJPNQASML-GDBMZVCRSA-N 0 1 294.395 0.786 20 30 CCEDMN CCN(C(=O)C(=O)N1CCC(C#N)CC1)[C@H]1CCN(C)C1 ZINC001350270147 925160549 /nfs/dbraw/zinc/16/05/49/925160549.db2.gz DOBKEWILUKZPCN-ZDUSSCGKSA-N 0 1 292.383 0.301 20 30 CCEDMN C=CCOC[C@@H](NC(=O)N[C@@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC001350758792 925271715 /nfs/dbraw/zinc/27/17/15/925271715.db2.gz LACFIKMQVDDTNI-GHMZBOCLSA-N 0 1 285.344 0.036 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCC[C@H]1c1nnc[nH]1 ZINC001351020822 925341176 /nfs/dbraw/zinc/34/11/76/925341176.db2.gz NVXPAFYZJNLXRI-VIFPVBQESA-N 0 1 260.305 0.709 20 30 CCEDMN C#CCC(C)(C)C(=O)NCc1n[nH]c(COC)n1 ZINC001351073093 925356649 /nfs/dbraw/zinc/35/66/49/925356649.db2.gz BHLKGTKEDQBIJX-UHFFFAOYSA-N 0 1 250.302 0.617 20 30 CCEDMN C#CCC(C)(C)C(=O)NCc1nnc(COC)[nH]1 ZINC001351073093 925356660 /nfs/dbraw/zinc/35/66/60/925356660.db2.gz BHLKGTKEDQBIJX-UHFFFAOYSA-N 0 1 250.302 0.617 20 30 CCEDMN C=C(CC)CNC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001351188617 925382483 /nfs/dbraw/zinc/38/24/83/925382483.db2.gz UUZKCNBOJSFJLX-ZDUSSCGKSA-N 0 1 268.405 0.888 20 30 CCEDMN C=CCCCC(=O)N(C)CCCNC(=O)c1cnn[nH]1 ZINC001351685749 925455719 /nfs/dbraw/zinc/45/57/19/925455719.db2.gz LWHUJVHIEUPMBO-UHFFFAOYSA-N 0 1 279.344 0.739 20 30 CCEDMN C#CCNCC(=O)N1C[C@@H](C(=O)NCCCC)CC[C@@H]1C ZINC001353211859 925764575 /nfs/dbraw/zinc/76/45/75/925764575.db2.gz LFLXBWFQBSZMEC-KBPBESRZSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCNCC(=O)N[C@H](CCCCC)C(=O)OC ZINC001353393757 925819786 /nfs/dbraw/zinc/81/97/86/925819786.db2.gz NPLCWXJTHHZZBV-LLVKDONJSA-N 0 1 254.330 0.447 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1CCN(c2ccc(F)cc2)C1 ZINC001353508000 925855279 /nfs/dbraw/zinc/85/52/79/925855279.db2.gz HEMNSGHDPXZFSD-CYBMUJFWSA-N 0 1 289.354 0.991 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)c2cnncc2O)n1 ZINC001353669793 925900623 /nfs/dbraw/zinc/90/06/23/925900623.db2.gz OOFGGKGCXGFPJP-UHFFFAOYSA-N 0 1 285.307 0.674 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001353812961 925936934 /nfs/dbraw/zinc/93/69/34/925936934.db2.gz DJUJZTFYSXCXPE-GARJFASQSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001353812961 925936940 /nfs/dbraw/zinc/93/69/40/925936940.db2.gz DJUJZTFYSXCXPE-GARJFASQSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C(Cl)CNC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001354040962 925992076 /nfs/dbraw/zinc/99/20/76/925992076.db2.gz ZNYPCPKDYIOUNJ-SECBINFHSA-N 0 1 260.721 0.652 20 30 CCEDMN C=C(Cl)CNC(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001354040962 925992080 /nfs/dbraw/zinc/99/20/80/925992080.db2.gz ZNYPCPKDYIOUNJ-SECBINFHSA-N 0 1 260.721 0.652 20 30 CCEDMN C=CCOC[C@H](NC(=O)C[N@H+](C)CCC)C(=O)[O-] ZINC001354051218 925997883 /nfs/dbraw/zinc/99/78/83/925997883.db2.gz NJNHZZOLHNQHNU-JTQLQIEISA-N 0 1 258.318 0.100 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@](COC)(C(=O)[O-])C1 ZINC001354123938 926022697 /nfs/dbraw/zinc/02/26/97/926022697.db2.gz OSQXYHCEJHXZCR-AAEUAGOBSA-N 0 1 257.330 0.737 20 30 CCEDMN C=CCCOCC(=O)NC[C@H](C)NC(=O)[C@@H]1CCCN1C ZINC001354495527 926118536 /nfs/dbraw/zinc/11/85/36/926118536.db2.gz RWILUSVLIVXZCJ-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC(C)C)C1 ZINC001356273911 926363499 /nfs/dbraw/zinc/36/34/99/926363499.db2.gz CFGNQWCWFNWZGL-HBNTYKKESA-N 0 1 280.324 0.809 20 30 CCEDMN CC(=O)N1CCN(CCNC[C@@H](C#N)CCC#N)CC1 ZINC001356570411 926401485 /nfs/dbraw/zinc/40/14/85/926401485.db2.gz SOTVXCFIQDLAQQ-CQSZACIVSA-N 0 1 277.372 0.184 20 30 CCEDMN C#CCCCCC(=O)N1CC(NC(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001356758445 926434013 /nfs/dbraw/zinc/43/40/13/926434013.db2.gz NTWJIWUKKJFANK-VXGBXAGGSA-N 0 1 293.323 0.172 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001357021557 926477129 /nfs/dbraw/zinc/47/71/29/926477129.db2.gz WAADNJBUJIDHRB-GFCCVEGCSA-N 0 1 290.367 0.480 20 30 CCEDMN C#CCNCC(=O)NC1CCN(CC(F)(F)F)CC1 ZINC001357351403 926515870 /nfs/dbraw/zinc/51/58/70/926515870.db2.gz PWYXJRBTCWJBBZ-UHFFFAOYSA-N 0 1 277.290 0.352 20 30 CCEDMN C#CCNCC(=O)NCCOc1ccc(Cl)cn1 ZINC001357430456 926528490 /nfs/dbraw/zinc/52/84/90/926528490.db2.gz GRRWDOARHTVSQM-UHFFFAOYSA-N 0 1 267.716 0.453 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)CNC(=O)c2cnn[nH]2)cc1 ZINC001358301716 926667674 /nfs/dbraw/zinc/66/76/74/926667674.db2.gz WDNORLQDOFUIAR-SNVBAGLBSA-N 0 1 297.318 0.334 20 30 CCEDMN C=CCCCC(=O)NC[C@@H](C)NC(=O)Cc1cnc[nH]1 ZINC001358410945 926692507 /nfs/dbraw/zinc/69/25/07/926692507.db2.gz XMEHXIGKQOGGAV-LLVKDONJSA-N 0 1 278.356 0.929 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)[C@@H](C)C(=O)NC1CC1 ZINC001421844573 926942189 /nfs/dbraw/zinc/94/21/89/926942189.db2.gz YIRAOVRDLIMUIT-NEPJUHHUSA-N 0 1 294.399 0.593 20 30 CCEDMN CC(=O)N[C@@H](C)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001361828291 927364188 /nfs/dbraw/zinc/36/41/88/927364188.db2.gz CSTLEUODTMNOQQ-ZETCQYMHSA-N 0 1 271.280 0.898 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCc1cn(C)nn1 ZINC001422765553 927494156 /nfs/dbraw/zinc/49/41/56/927494156.db2.gz LFAVLJMZSYEOEV-JTQLQIEISA-N 0 1 285.779 0.595 20 30 CCEDMN CC(C)(C#N)CC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC001361986373 927498662 /nfs/dbraw/zinc/49/86/62/927498662.db2.gz ZAAZNTJTSWMGOS-OLZOCXBDSA-N 0 1 279.384 0.984 20 30 CCEDMN CCc1cc(CNC(=O)CNc2ccc(C#N)cn2)n[nH]1 ZINC001362280111 927820246 /nfs/dbraw/zinc/82/02/46/927820246.db2.gz YZSXWZKVGJCLEN-UHFFFAOYSA-N 0 1 284.323 0.967 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1c[nH]c(C)n1 ZINC001423428723 927855191 /nfs/dbraw/zinc/85/51/91/927855191.db2.gz CMNPBZWDPPZWQF-JTQLQIEISA-N 0 1 286.763 0.493 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H](OC)C(C)C ZINC001423476225 927880731 /nfs/dbraw/zinc/88/07/31/927880731.db2.gz SCYQLOXTUJTRCR-NWDGAFQWSA-N 0 1 292.807 0.819 20 30 CCEDMN C[C@@H](NCC1(C#N)CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001423490011 927886191 /nfs/dbraw/zinc/88/61/91/927886191.db2.gz HXODIMGKBUTJHB-NEPJUHHUSA-N 0 1 286.397 0.720 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@H](O)CN(C)CCC(F)(F)F ZINC001423504495 927897353 /nfs/dbraw/zinc/89/73/53/927897353.db2.gz OXITVIYRRGPALA-VHSXEESVSA-N 0 1 295.305 0.850 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@]1(C)CCN(CCNC(=O)C2CC2)C1 ZINC001423575597 927926440 /nfs/dbraw/zinc/92/64/40/927926440.db2.gz VZJXRPCVFZXACK-ABAIWWIYSA-N 0 1 292.383 0.253 20 30 CCEDMN C[C@H](NS(=O)(=O)Cc1csc(C#N)c1)c1nnc[nH]1 ZINC001423907039 928030114 /nfs/dbraw/zinc/03/01/14/928030114.db2.gz UKJRRSFYAWUFEM-ZETCQYMHSA-N 0 1 297.365 0.918 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H](C)CNC(=O)CCc1cnc[nH]1 ZINC001424176403 928118207 /nfs/dbraw/zinc/11/82/07/928118207.db2.gz SJTJVJOAGOYKSW-GHMZBOCLSA-N 0 1 291.355 0.465 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NC[C@H]1CC[N@@H+](C)C1 ZINC001424186496 928119960 /nfs/dbraw/zinc/11/99/60/928119960.db2.gz KVZOATHOYZMDDF-GHMZBOCLSA-N 0 1 274.390 0.006 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)[C@H](C)OC)CCO1 ZINC001424216554 928128081 /nfs/dbraw/zinc/12/80/81/928128081.db2.gz FUJAAPRFYUAUMR-QWRGUYRKSA-N 0 1 276.764 0.591 20 30 CCEDMN N#Cc1ccc(CC(C#N)C(=O)N[C@H]2CCOC2=O)cc1 ZINC001362594474 928223604 /nfs/dbraw/zinc/22/36/04/928223604.db2.gz KIIQAHXSWZGLIY-STQMWFEESA-N 0 1 283.287 0.672 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CC(C)(C)CNCc1cnon1 ZINC001424881407 928330709 /nfs/dbraw/zinc/33/07/09/928330709.db2.gz KQQQESGCZNNHQE-JTQLQIEISA-N 0 1 279.344 0.803 20 30 CCEDMN COc1ccc(CNC(=O)c2cnncc2O)cc1C#N ZINC001362838794 928556902 /nfs/dbraw/zinc/55/69/02/928556902.db2.gz DUSKRYVDZKMZKD-UHFFFAOYSA-N 0 1 284.275 0.992 20 30 CCEDMN Cc1ccncc1CN[C@@H](CO)CNC(=O)[C@H](C)C#N ZINC001425708779 928633001 /nfs/dbraw/zinc/63/30/01/928633001.db2.gz GOXRJLCDSWAREE-DGCLKSJQSA-N 0 1 276.340 0.116 20 30 CCEDMN CC[C@H](F)CN[C@@H](CO)CNC(=O)c1cc(C#N)c[nH]1 ZINC001425739459 928650137 /nfs/dbraw/zinc/65/01/37/928650137.db2.gz QYRJEMBOLIYAHT-WDEREUQCSA-N 0 1 282.319 0.315 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CNC(=O)OC)C1 ZINC001425878799 928696988 /nfs/dbraw/zinc/69/69/88/928696988.db2.gz GEYMKPJJLDHTEA-ZJUUUORDSA-N 0 1 289.763 0.674 20 30 CCEDMN COCc1cccc(Cn2c(=O)[nH]cc(C#N)c2=O)c1 ZINC001363184773 928955176 /nfs/dbraw/zinc/95/51/76/928955176.db2.gz LPGFTLAEDDZNQY-UHFFFAOYSA-N 0 1 271.276 0.603 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)NC(=O)CCc1cnc[nH]1 ZINC001428786830 929317310 /nfs/dbraw/zinc/31/73/10/929317310.db2.gz LKZNFRNMIMNKRC-ZJUUUORDSA-N 0 1 277.328 0.123 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)NC(=O)CCc1cnc[nH]1 ZINC001428786827 929318335 /nfs/dbraw/zinc/31/83/35/929318335.db2.gz LKZNFRNMIMNKRC-NXEZZACHSA-N 0 1 277.328 0.123 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@H](CO)Cc2cnc[nH]2)c1 ZINC001363518731 929322734 /nfs/dbraw/zinc/32/27/34/929322734.db2.gz IVOYMURKZLLFPM-ZDUSSCGKSA-N 0 1 270.292 0.615 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)n1C)C1=NN(C)CC1=O ZINC001363657730 929459620 /nfs/dbraw/zinc/45/96/20/929459620.db2.gz CDLQGTCPJJMWHL-QMMMGPOBSA-N 0 1 273.296 0.827 20 30 CCEDMN CCNC(=O)C(C)(C)NCC(=O)N(CCC#N)CCC#N ZINC001363787890 929575212 /nfs/dbraw/zinc/57/52/12/929575212.db2.gz KZNACBHWIPVHNA-UHFFFAOYSA-N 0 1 293.371 0.147 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@@H](O)c2cccc(C#N)c2)C1 ZINC001363806310 929595622 /nfs/dbraw/zinc/59/56/22/929595622.db2.gz KEZODTCWRVKLMR-HUUCEWRRSA-N 0 1 271.320 0.942 20 30 CCEDMN Cc1nc([C@H](C)NS(=O)(=O)c2ccc(C#N)cn2)n[nH]1 ZINC001363878953 929629897 /nfs/dbraw/zinc/62/98/97/929629897.db2.gz XJACELUZLSJMDZ-ZETCQYMHSA-N 0 1 292.324 0.419 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001444014905 929765543 /nfs/dbraw/zinc/76/55/43/929765543.db2.gz SZMIQCYFWNQMIC-MNOVXSKESA-N 0 1 287.791 0.892 20 30 CCEDMN Cn1nncc1CNC1(CNC(=O)c2ccc(C#N)[nH]2)CC1 ZINC001446164514 930358401 /nfs/dbraw/zinc/35/84/01/930358401.db2.gz KVSZGMJCRUEOJU-UHFFFAOYSA-N 0 1 299.338 0.067 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](COC)OC ZINC001446442777 930413121 /nfs/dbraw/zinc/41/31/21/930413121.db2.gz GMPJCOFFGSUMAB-NWDGAFQWSA-N 0 1 290.791 0.981 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1CCC(=O)N1C ZINC001374271357 930472850 /nfs/dbraw/zinc/47/28/50/930472850.db2.gz MQIMMHPMOGUCDH-MNOVXSKESA-N 0 1 287.791 0.796 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H]1CCNC1=O ZINC001374773167 930655346 /nfs/dbraw/zinc/65/53/46/930655346.db2.gz VJSXKUCODOBCSE-NXEZZACHSA-N 0 1 273.764 0.359 20 30 CCEDMN Cc1nn(C)cc1CN(C)[C@@H](C)CNC(=O)[C@@H](C)C#N ZINC001447996681 930776989 /nfs/dbraw/zinc/77/69/89/930776989.db2.gz SITUFWNZXKGUIX-QWRGUYRKSA-N 0 1 277.372 0.825 20 30 CCEDMN CC1(C#N)CN(C(=O)c2nc[nH]c(=O)c2Br)C1 ZINC001448722683 930959103 /nfs/dbraw/zinc/95/91/03/930959103.db2.gz QTHJHCOCULUOMM-UHFFFAOYSA-N 0 1 297.112 0.930 20 30 CCEDMN CC(C)[C@H](CNC(=O)CN1CCCC1)NC(=O)[C@@H](C)C#N ZINC001375987793 931011460 /nfs/dbraw/zinc/01/14/60/931011460.db2.gz IMRIVQNFTWDFFH-STQMWFEESA-N 0 1 294.399 0.499 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)Cc2cnoc2)CCO1 ZINC001376877089 931310857 /nfs/dbraw/zinc/31/08/57/931310857.db2.gz VHJVZOVZMWZWNV-GFCCVEGCSA-N 0 1 299.758 0.787 20 30 CCEDMN CCc1nc([C@@H](C)N(C)CCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001377129316 931396491 /nfs/dbraw/zinc/39/64/91/931396491.db2.gz KGCMJAXNXBIAQI-NXEZZACHSA-N 0 1 278.360 0.636 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](CCCO)C2)CC1 ZINC001451388136 931427546 /nfs/dbraw/zinc/42/75/46/931427546.db2.gz PISAKLKIAMBROL-CQSZACIVSA-N 0 1 278.396 0.953 20 30 CCEDMN C=CC[NH+]1CCC(NCc2c(C(=O)[O-])cnn2C)CC1 ZINC001602722951 971391262 /nfs/dbraw/zinc/39/12/62/971391262.db2.gz CJCAZQASGLRORF-UHFFFAOYSA-N 0 1 278.356 0.858 20 30 CCEDMN CCCC[N@@H+](C)CCNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC001589648095 949758243 /nfs/dbraw/zinc/75/82/43/949758243.db2.gz NHVAIINQASKAAQ-CYBMUJFWSA-N 0 1 269.345 0.839 20 30 CCEDMN CC[N@H+]1CCC[C@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC001589744749 950665693 /nfs/dbraw/zinc/66/56/93/950665693.db2.gz CIBLJMWIEPGYLG-RISCZKNCSA-N 0 1 281.356 0.839 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCC(C)(C(=O)[O-])CC1 ZINC000328248621 951123589 /nfs/dbraw/zinc/12/35/89/951123589.db2.gz IOSMHHVFIFTHBU-UHFFFAOYSA-N 0 1 295.383 0.838 20 30 CCEDMN C[N@H+](CC(=O)[O-])C[C@H](O)CC1(C#N)CCOCC1 ZINC001593721485 954256783 /nfs/dbraw/zinc/25/67/83/954256783.db2.gz VQJZADOVPFQUFP-SNVBAGLBSA-N 0 1 256.302 0.074 20 30 CCEDMN C[N@@H+](CCCCNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1CC1 ZINC001593751068 954411545 /nfs/dbraw/zinc/41/15/45/954411545.db2.gz DEAVFZDCYQAFPE-CQSZACIVSA-N 0 1 281.356 0.982 20 30 CCEDMN C[N@H+]1CCCC[C@H]1CNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC001593780210 954642921 /nfs/dbraw/zinc/64/29/21/954642921.db2.gz SLSGUVQARDHSCD-GWCFXTLKSA-N 0 1 267.329 0.591 20 30 CCEDMN N#C[C@@H]1CC[C@@H]([N@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)C1 ZINC001594606555 956185109 /nfs/dbraw/zinc/18/51/09/956185109.db2.gz ZZXNQSPBVJOMRN-PRULPYPASA-N 0 1 250.298 0.712 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@@H]1CC[N@H+](CC(C)C)C1 ZINC001588408652 958114407 /nfs/dbraw/zinc/11/44/07/958114407.db2.gz WZYWXYZQIUBNIS-ZDUSSCGKSA-N 0 1 295.383 0.694 20 30 CCEDMN C=CCN1CCN(C[N@H+]2CC[C@@H](C(=O)[O-])[C@H](C)C2)C1=O ZINC001588465589 958506978 /nfs/dbraw/zinc/50/69/78/958506978.db2.gz VDOHNGBLVBUKEQ-VXGBXAGGSA-N 0 1 281.356 0.910 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](CC(=O)[O-])CC1CC1 ZINC001588811968 961523627 /nfs/dbraw/zinc/52/36/27/961523627.db2.gz GXWIKPIRTBRYPL-AWEZNQCLSA-N 0 1 281.356 0.837 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+](CC(=O)[O-])CC1CC1 ZINC001588811968 961523638 /nfs/dbraw/zinc/52/36/38/961523638.db2.gz GXWIKPIRTBRYPL-AWEZNQCLSA-N 0 1 281.356 0.837 20 30 CCEDMN C[N@H+]1CC[C@@H]2CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@@H]21 ZINC001574030173 962003469 /nfs/dbraw/zinc/00/34/69/962003469.db2.gz NMDBUJSDWAZVRK-GBIKHYSHSA-N 0 1 265.313 0.153 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]2[C@@H](NC(=O)CNC(=O)[O-])C(C)(C)[C@H]21 ZINC001573311607 962708086 /nfs/dbraw/zinc/70/80/86/962708086.db2.gz UTRHOBWNDFGYBV-RTXFEEFZSA-N 0 1 293.367 0.492 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](NC(=O)CNC(=O)[O-])C[C@H]1C ZINC001573369724 963101802 /nfs/dbraw/zinc/10/18/02/963101802.db2.gz YPOGUNJDNSNVSZ-NEPJUHHUSA-N 0 1 299.371 0.426 20 30 CCEDMN C[N@H+]1CC[C@@H]2CC[C@H](C1)N2C(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC001604227335 972724536 /nfs/dbraw/zinc/72/45/36/972724536.db2.gz AYVUPQLVGRBNGI-MISXGVKJSA-N 0 1 279.340 0.686 20 30 CCEDMN N#Cc1ccc(C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](O)C2)cc1F ZINC000404069158 973702937 /nfs/dbraw/zinc/70/29/37/973702937.db2.gz HCZSRENTRXXXRY-NEPJUHHUSA-N 0 1 278.283 0.965 20 30 CCEDMN C#C[C@@H](NC(=O)N1CC[N@@H+](C)C[C@H](C(=O)[O-])C1)C(C)C ZINC001588372854 983334339 /nfs/dbraw/zinc/33/43/39/983334339.db2.gz UWVJYZFBENGTNP-NWDGAFQWSA-N 0 1 281.356 0.302 20 30 CCEDMN C#C[C@@H](NC(=O)N1CC[N@@H+](C)C[C@@H](C(=O)[O-])C1)C(C)C ZINC001588372856 983334373 /nfs/dbraw/zinc/33/43/73/983334373.db2.gz UWVJYZFBENGTNP-VXGBXAGGSA-N 0 1 281.356 0.302 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588534513 983585992 /nfs/dbraw/zinc/58/59/92/983585992.db2.gz HIYSRXYRKUPUPK-GXSJLCMTSA-N 0 1 266.301 0.160 20 30 CCEDMN C=C(C)C[N@@H+](CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])C1CC1 ZINC001588568030 983648927 /nfs/dbraw/zinc/64/89/27/983648927.db2.gz ZVTJPFFZAMYLJS-NEPJUHHUSA-N 0 1 266.341 0.864 20 30 CCEDMN C=C(C)COCCNC(=O)N1CC[N@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588570339 983651102 /nfs/dbraw/zinc/65/11/02/983651102.db2.gz ZMCLCFKEQYOZBN-GFCCVEGCSA-N 0 1 299.371 0.237 20 30 CCEDMN C=C(C)COCCNC(=O)N1CC[N@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588570340 983651198 /nfs/dbraw/zinc/65/11/98/983651198.db2.gz ZMCLCFKEQYOZBN-LBPRGKRZSA-N 0 1 299.371 0.237 20 30 CCEDMN C=C(C)CONC(=O)N1CC[N@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588573360 983652162 /nfs/dbraw/zinc/65/21/62/983652162.db2.gz IJPRPWZLIUHKFS-JTQLQIEISA-N 0 1 271.317 0.152 20 30 CCEDMN C=C(Cl)C[N@H+](C)CCCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001588642373 983764446 /nfs/dbraw/zinc/76/44/46/983764446.db2.gz TWPWXEDTJZLDKJ-NXEZZACHSA-N 0 1 274.748 0.898 20 30 CCEDMN C=C(Cl)C[N@@H+](C)CCCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001588642373 983764448 /nfs/dbraw/zinc/76/44/48/983764448.db2.gz TWPWXEDTJZLDKJ-NXEZZACHSA-N 0 1 274.748 0.898 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1C[C@H](C(=O)OCC)C2(COC2)C1 ZINC001588663166 983793719 /nfs/dbraw/zinc/79/37/19/983793719.db2.gz CFUXFOMCGWEIRJ-ZJUUUORDSA-N 0 1 269.297 0.137 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CC[C@](C(N)=O)(c2ccccc2)C1 ZINC001588663605 983796070 /nfs/dbraw/zinc/79/60/70/983796070.db2.gz OMIAYSRIQRZSAL-IUODEOHRSA-N 0 1 274.320 0.755 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CC[C@H]2[C@@H](C(=O)OCC)[C@H]2C1 ZINC001588669362 983812133 /nfs/dbraw/zinc/81/21/33/983812133.db2.gz JUYKFQPVCWNXHI-LMLFDSFASA-N 0 1 253.298 0.757 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[N@@H+](CCC(=O)[O-])[C@H](C)C1 ZINC001588684395 983858365 /nfs/dbraw/zinc/85/83/65/983858365.db2.gz PWAUMBRLWBRMEZ-RISCZKNCSA-N 0 1 284.356 0.321 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@H](NC(=O)NC2CC2)C1 ZINC001588729304 983988382 /nfs/dbraw/zinc/98/83/82/983988382.db2.gz PIGSDFUYBDVBHB-QWRGUYRKSA-N 0 1 267.329 0.552 20 30 CCEDMN C=CC[C@H](C(=O)OC)[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588731701 984011556 /nfs/dbraw/zinc/01/15/56/984011556.db2.gz NILJIBWRAMUNQD-MWLCHTKSSA-N 0 1 294.311 0.341 20 30 CCEDMN C=CC[C@H](C(=O)OC)[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588731701 984011564 /nfs/dbraw/zinc/01/15/64/984011564.db2.gz NILJIBWRAMUNQD-MWLCHTKSSA-N 0 1 294.311 0.341 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[C@@](OC)(C(=O)[O-])C1 ZINC001588825187 984299083 /nfs/dbraw/zinc/29/90/83/984299083.db2.gz FTQYEDIJIUFRLF-WFASDCNBSA-N 0 1 296.367 0.751 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1C[C@@H](OC)C[C@]1(C)C(=O)[O-] ZINC001588825496 984300777 /nfs/dbraw/zinc/30/07/77/984300777.db2.gz RGNSBAHJAINPLN-SWLSCSKDSA-N 0 1 296.367 0.751 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H](OC)C[C@]1(C)C(=O)[O-] ZINC001588825496 984300781 /nfs/dbraw/zinc/30/07/81/984300781.db2.gz RGNSBAHJAINPLN-SWLSCSKDSA-N 0 1 296.367 0.751 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588836839 984330422 /nfs/dbraw/zinc/33/04/22/984330422.db2.gz KAJJBVSLWGTWFC-NEPJUHHUSA-N 0 1 264.325 0.641 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2C[C@H](C)[C@@](C)(C(=O)[O-])C2)C1=O ZINC001588838135 984335173 /nfs/dbraw/zinc/33/51/73/984335173.db2.gz CITGYZNEFABOHO-MJVIPROJSA-N 0 1 266.341 0.816 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CCCC[C@]2(COC)C(=O)[O-])C1=O ZINC001588838932 984342807 /nfs/dbraw/zinc/34/28/07/984342807.db2.gz MLDPUMIMXLRQRV-DOMZBBRYSA-N 0 1 296.367 0.729 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CCCC[C@]2(COC)C(=O)[O-])C1=O ZINC001588838932 984342813 /nfs/dbraw/zinc/34/28/13/984342813.db2.gz MLDPUMIMXLRQRV-DOMZBBRYSA-N 0 1 296.367 0.729 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2C[C@@H]3CCC[C@]3(C(=O)[O-])C2)C1=O ZINC001588839436 984347308 /nfs/dbraw/zinc/34/73/08/984347308.db2.gz RJCNHOVHWFYOHJ-HUBLWGQQSA-N 0 1 278.352 0.960 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](C(=O)OC)C[C@@H]1C(=O)[O-] ZINC001588868976 984436929 /nfs/dbraw/zinc/43/69/29/984436929.db2.gz JALKFSVWYVBLRZ-WDEREUQCSA-N 0 1 271.313 0.527 20 30 CCEDMN Cc1c(C#N)cccc1C(=O)NCC[N@H+](C)CC(=O)[O-] ZINC001599973002 986040500 /nfs/dbraw/zinc/04/05/00/986040500.db2.gz DQAUQBJPEHKBEU-UHFFFAOYSA-N 0 1 275.308 0.613 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001589378920 986451579 /nfs/dbraw/zinc/45/15/79/986451579.db2.gz VTBRDNOQFJAQEV-VUABOHJTSA-N 0 1 293.367 0.692 20 30 CCEDMN C[N@H+]1CCCC[C@H]1C(=O)N1CCC(C#N)(C(=O)[O-])CC1 ZINC001598600523 993567593 /nfs/dbraw/zinc/56/75/93/993567593.db2.gz MIQCLJBJFHAQNV-NSHDSACASA-N 0 1 279.340 0.688 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2cc(C#N)ccn2)C[C@@H](C(=O)[O-])C1 ZINC001598610142 993753179 /nfs/dbraw/zinc/75/31/79/993753179.db2.gz AYSJUCNGODXJRN-NSHDSACASA-N 0 1 288.307 0.042 20 30 CCEDMN CN1CC[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)CCC1=O ZINC001598611181 993777188 /nfs/dbraw/zinc/77/71/88/993777188.db2.gz HAZRAJOYVNJEJQ-AWEZNQCLSA-N 0 1 287.319 0.848 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2cccc(C#N)c2)C[C@H](C(=O)[O-])C1 ZINC001598613239 993824484 /nfs/dbraw/zinc/82/44/84/993824484.db2.gz NMFGACCFCVKSRA-CYBMUJFWSA-N 0 1 287.319 0.647 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](Cc2cncc(C#N)c2)C[C@@H]1C(=O)[O-] ZINC001598824549 996367837 /nfs/dbraw/zinc/36/78/37/996367837.db2.gz HLOQAEDQCXLFEP-NWDGAFQWSA-N 0 1 289.291 0.259 20 30 CCEDMN COC(=O)/C=C(/C)C[NH+]1CCC(C#N)(C(=O)[O-])CC1 ZINC001598829866 996424380 /nfs/dbraw/zinc/42/43/80/996424380.db2.gz FSTVLZUTPJTNDB-YFHOEESVSA-N 0 1 266.297 0.796 20 30 CCEDMN CO[C@]1(C(=O)[O-])CC[N@@H+](Cc2ccc(C#N)cn2)C1 ZINC001599078779 996944443 /nfs/dbraw/zinc/94/44/43/996944443.db2.gz KMDHZWJVEYOYKD-CYBMUJFWSA-N 0 1 261.281 0.629 20 30 CCEDMN COC[C@]1(C(=O)[O-])CCC[N@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC001599193240 997163973 /nfs/dbraw/zinc/16/39/73/997163973.db2.gz BVYFARGPWJHRPM-WFASDCNBSA-N 0 1 296.367 0.997 20 30 CCEDMN C[C@H]1C[C@H]1c1cc(=NC(=O)N=c2[nH]n(C)cc2C#N)[nH][nH]1 ZINC000617277174 365588943 /nfs/dbraw/zinc/58/89/43/365588943.db2.gz ZUSXCZGVMILGOB-IONNQARKSA-N 0 1 285.311 0.626 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CC[C@@H]2CC[C@@H](O)[C@@H]21 ZINC000599682012 361784765 /nfs/dbraw/zinc/78/47/65/361784765.db2.gz VAYLRDNKQSAUJV-GZBFAFLISA-N 0 1 290.367 0.488 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N1CCc2nc[nH]c2C1 ZINC000599952425 361841509 /nfs/dbraw/zinc/84/15/09/361841509.db2.gz OAXIUJRGFOAVBL-UHFFFAOYSA-N 0 1 255.281 0.818 20 30 CCEDMN CCN(CCC(=O)N(C)CC(=O)OC)C[C@@H](C)C#N ZINC000109833143 349755579 /nfs/dbraw/zinc/75/55/79/349755579.db2.gz LHYFASXDNYOQCI-NSHDSACASA-N 0 1 269.345 0.489 20 30 CCEDMN C=CCOC[C@H](NC(=O)C[N@@H+](C)C1CCC1)C(=O)OC ZINC000600824316 362071866 /nfs/dbraw/zinc/07/18/66/362071866.db2.gz AKCIKXHWTKPAFV-LBPRGKRZSA-N 0 1 284.356 0.331 20 30 CCEDMN C=CCOC[C@H](NC(=O)CN(C)C1CCC1)C(=O)OC ZINC000600824316 362071871 /nfs/dbraw/zinc/07/18/71/362071871.db2.gz AKCIKXHWTKPAFV-LBPRGKRZSA-N 0 1 284.356 0.331 20 30 CCEDMN N#CCCN(Cc1ccco1)C(=O)Cc1ncn[nH]1 ZINC000601024258 362132416 /nfs/dbraw/zinc/13/24/16/362132416.db2.gz VFPXWQVPQYDIAY-UHFFFAOYSA-N 0 1 259.269 0.883 20 30 CCEDMN COCCN1CC[C@H](NC(=O)C2(C#N)CC3(CC3)C2)C1 ZINC000601126423 362153115 /nfs/dbraw/zinc/15/31/15/362153115.db2.gz YLQYQRQUQHHDBZ-LBPRGKRZSA-N 0 1 277.368 0.907 20 30 CCEDMN C[C@@H](C#N)CNS(=O)(=O)c1cc(O)cc(F)c1 ZINC000601360111 362244132 /nfs/dbraw/zinc/24/41/32/362244132.db2.gz UTBKOKBMPKGEEQ-ZETCQYMHSA-N 0 1 258.274 0.969 20 30 CCEDMN CN(CC(=O)NCc1nnn(C)n1)[C@H]1CCSC1 ZINC000330211840 529819585 /nfs/dbraw/zinc/81/95/85/529819585.db2.gz JMONFCOOUWPCJU-QMMMGPOBSA-N 0 1 270.362 0.104 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H](CCC)c1nn[nH]n1 ZINC000295076697 199333391 /nfs/dbraw/zinc/33/33/91/199333391.db2.gz WKNFYLOPFSYNAL-RKDXNWHRSA-N 0 1 251.290 0.195 20 30 CCEDMN CN(CC(=O)N1CCNCC1)c1c(F)cc(C#N)cc1F ZINC000127034120 187277815 /nfs/dbraw/zinc/27/78/15/187277815.db2.gz UMYLRNMQCCRKTA-UHFFFAOYSA-N 0 1 294.305 0.704 20 30 CCEDMN CN(C)C1(CNS(=O)(=O)c2ccc(C#N)o2)CC1 ZINC000601450080 362276189 /nfs/dbraw/zinc/27/61/89/362276189.db2.gz IEMRVJVQOQUHGW-UHFFFAOYSA-N 0 1 269.326 0.524 20 30 CCEDMN C=CCCSCCNS(=O)(=O)c1ncc[nH]1 ZINC000601464995 362281791 /nfs/dbraw/zinc/28/17/91/362281791.db2.gz TZRZYVGEKFOEFR-UHFFFAOYSA-N 0 1 261.372 0.997 20 30 CCEDMN N#CC1(C(=O)N2CC[C@@H](N3CC[C@H](O)C3)C2)CC2(CC2)C1 ZINC000601588834 362320107 /nfs/dbraw/zinc/32/01/07/362320107.db2.gz QNVZFNVELZNULB-OLZOCXBDSA-N 0 1 289.379 0.738 20 30 CCEDMN N#Cc1c(F)cccc1CNC[C@]1(O)CCOC1 ZINC000602016721 362458362 /nfs/dbraw/zinc/45/83/62/362458362.db2.gz YBIIYWBCWPTDDX-CYBMUJFWSA-N 0 1 250.273 0.938 20 30 CCEDMN CC(C)N(CCC#N)C(=O)CCCc1nn[nH]n1 ZINC000602089767 362480554 /nfs/dbraw/zinc/48/05/54/362480554.db2.gz XRDXQGPTKHVCDV-UHFFFAOYSA-N 0 1 250.306 0.673 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CCO[C@@H](C#N)C1 ZINC000602095898 362485068 /nfs/dbraw/zinc/48/50/68/362485068.db2.gz GXUMKVLEEQHGPV-LBPRGKRZSA-N 0 1 281.356 0.096 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC(=O)NCC2CC2)C1 ZINC000329228634 530070437 /nfs/dbraw/zinc/07/04/37/530070437.db2.gz BWUQYCAEKKVACE-GFCCVEGCSA-N 0 1 297.403 0.102 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CCN(CCC#N)CC1 ZINC000602200996 362555169 /nfs/dbraw/zinc/55/51/69/362555169.db2.gz WCVVNUIGMSOTDI-LBPRGKRZSA-N 0 1 275.356 0.646 20 30 CCEDMN CC(C)(O)CN1CCN(Cc2cccc(C#N)n2)CC1 ZINC000602452414 362624627 /nfs/dbraw/zinc/62/46/27/362624627.db2.gz AZVKXKBRJWOFDV-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN N#CC1(CN2CCC(O)(CN3CCOCC3)CC2)CC1 ZINC000408152935 260296756 /nfs/dbraw/zinc/29/67/56/260296756.db2.gz GNDCCTRHBIEVSX-UHFFFAOYSA-N 0 1 279.384 0.449 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)[C@@H](N)CC(=O)OC ZINC000602587284 362693241 /nfs/dbraw/zinc/69/32/41/362693241.db2.gz YUVYTQWOZLDEAJ-JTQLQIEISA-N 0 1 256.346 0.985 20 30 CCEDMN CN(C(=O)C[C@@H]1COCCN1)[C@H]1CCC[C@H]1C#N ZINC000602603425 362707748 /nfs/dbraw/zinc/70/77/48/362707748.db2.gz RWEGPHZQKMKEMP-TUAOUCFPSA-N 0 1 251.330 0.516 20 30 CCEDMN C=CCOCCNC(=O)NCCN1CC=CCC1 ZINC000684403753 545664108 /nfs/dbraw/zinc/66/41/08/545664108.db2.gz XFIXTHFLPUSTIU-UHFFFAOYSA-N 0 1 253.346 0.750 20 30 CCEDMN C[C@@H]1[C@H](C)NCCN1S(=O)(=O)c1ccc(C#N)cc1 ZINC000262748163 137052470 /nfs/dbraw/zinc/05/24/70/137052470.db2.gz FHJKXSZNQLSEDV-WDEREUQCSA-N 0 1 279.365 0.929 20 30 CCEDMN CC[C@H]1CN(c2cnc(C#N)cn2)CCN1C[C@H](C)O ZINC000273911544 137069390 /nfs/dbraw/zinc/06/93/90/137069390.db2.gz ARCMBLBOHTYSLF-AAEUAGOBSA-N 0 1 275.356 0.630 20 30 CCEDMN CCCN(CC(N)=O)C[C@H](O)COc1ccc(C#N)cc1 ZINC000313964150 137078588 /nfs/dbraw/zinc/07/85/88/137078588.db2.gz GFVKCKAVGOSONI-ZDUSSCGKSA-N 0 1 291.351 0.495 20 30 CCEDMN C[C@@H](NCCN1C(=O)CNC1=O)c1ccc(C#N)cc1F ZINC000344382113 137191908 /nfs/dbraw/zinc/19/19/08/137191908.db2.gz XNUXVRJVTNZYHF-SECBINFHSA-N 0 1 290.298 0.900 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)[C@@H](C)n2cnc(C#N)n2)[C@@H](C)C1 ZINC000344470063 137196204 /nfs/dbraw/zinc/19/62/04/137196204.db2.gz VDSGBGHVDOWYPJ-SDDRHHMPSA-N 0 1 290.371 0.652 20 30 CCEDMN N#Cc1cccc(CNC[C@H]2CS(=O)(=O)CCO2)c1 ZINC000535785316 290842327 /nfs/dbraw/zinc/84/23/27/290842327.db2.gz AKGWPWAXURTMQN-ZDUSSCGKSA-N 0 1 280.349 0.461 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NCCOC(F)(F)F)o1 ZINC000344763101 137211827 /nfs/dbraw/zinc/21/18/27/137211827.db2.gz SXBSTXVFCRYNBX-UHFFFAOYSA-N 0 1 284.215 0.966 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(C#N)cc1)N(C)C ZINC000026857521 348095414 /nfs/dbraw/zinc/09/54/14/348095414.db2.gz GUAHJSICSMBJAB-JTQLQIEISA-N 0 1 267.354 0.787 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@]3(CC3(F)F)C2)[nH]1 ZINC000602886152 362866290 /nfs/dbraw/zinc/86/62/90/362866290.db2.gz JQXULUYKVZBRPT-NSHDSACASA-N 0 1 281.266 0.977 20 30 CCEDMN CC[C@H]1[C@@H](CO)CCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000602880234 362860605 /nfs/dbraw/zinc/86/06/05/362860605.db2.gz JSHROVSOKFMRPH-KOLCDFICSA-N 0 1 277.328 0.338 20 30 CCEDMN CO[C@@H]1CN(Cc2ccc(C#N)cn2)[C@](C)(CO)C1 ZINC000602964264 362911291 /nfs/dbraw/zinc/91/12/91/362911291.db2.gz ODKZQEYVOCEDSL-KBPBESRZSA-N 0 1 261.325 0.925 20 30 CCEDMN CN(C)CCN(Cc1cccc(C#N)c1)S(C)(=O)=O ZINC000049443688 348453187 /nfs/dbraw/zinc/45/31/87/348453187.db2.gz WUIPNGUBMFKKGX-UHFFFAOYSA-N 0 1 281.381 0.881 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](O)[C@H]2CCCC[C@@H]21 ZINC000602998074 362928876 /nfs/dbraw/zinc/92/88/76/362928876.db2.gz WWJJGONLFISTJJ-AVGNSLFASA-N 0 1 295.383 0.624 20 30 CCEDMN COC1CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000053907061 348536503 /nfs/dbraw/zinc/53/65/03/348536503.db2.gz LCMFMPLGYWQZBV-LLVKDONJSA-N 0 1 253.346 0.858 20 30 CCEDMN CC(C)(O)CN1CCN(c2ccnc(C#N)c2)CC1 ZINC000071381507 348909330 /nfs/dbraw/zinc/90/93/30/348909330.db2.gz AXQWKAWXEGDREQ-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN C=CCCCN(C)CC(=O)N[C@H](C)C(=O)N(C)C ZINC000076737709 349090720 /nfs/dbraw/zinc/09/07/20/349090720.db2.gz VNCWCIGZOCLKAF-LLVKDONJSA-N 0 1 255.362 0.477 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC(CO)CC1 ZINC000076992470 349097025 /nfs/dbraw/zinc/09/70/25/349097025.db2.gz CMISRIHQNQPDEL-LBPRGKRZSA-N 0 1 267.373 0.699 20 30 CCEDMN N#Cc1ccc(NC(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)cc1 ZINC000077208779 349101833 /nfs/dbraw/zinc/10/18/33/349101833.db2.gz VVGWNYPZFZTJEL-CYBMUJFWSA-N 0 1 298.346 0.850 20 30 CCEDMN N#Cc1cccc(NC(=O)C(=O)N[C@H]2CCN(C3CC3)C2)c1 ZINC000077208716 349102024 /nfs/dbraw/zinc/10/20/24/349102024.db2.gz XNQOLKOHOMYDSN-ZDUSSCGKSA-N 0 1 298.346 0.850 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCCC[C@@H]1CN1CCOCC1 ZINC000075968997 349061359 /nfs/dbraw/zinc/06/13/59/349061359.db2.gz KNPJICBSZOKMGU-LSDHHAIUSA-N 0 1 295.427 0.864 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)COc1ccccc1C#N ZINC000078006862 349127537 /nfs/dbraw/zinc/12/75/37/349127537.db2.gz KXYZTZTYZPVFFL-UHFFFAOYSA-N 0 1 271.280 0.714 20 30 CCEDMN CCN1CCN(CC(=O)N(C)C[C@H](C)C#N)CC1 ZINC000081284108 349254188 /nfs/dbraw/zinc/25/41/88/349254188.db2.gz FLSTWYRDEHGVDC-GFCCVEGCSA-N 0 1 252.362 0.242 20 30 CCEDMN CN[C@H](C)c1cn(CC(=O)N[C@@](C)(C#N)C2CC2)nn1 ZINC000603183696 362988185 /nfs/dbraw/zinc/98/81/85/362988185.db2.gz SLSJPOGCYFXMMP-RNCFNFMXSA-N 0 1 276.344 0.367 20 30 CCEDMN N#Cc1cccc(NC(=O)C(=O)NCCN2CCCC2)c1 ZINC000172304611 350974566 /nfs/dbraw/zinc/97/45/66/350974566.db2.gz JUFIUJKJWJMSJH-UHFFFAOYSA-N 0 1 286.335 0.709 20 30 CCEDMN CN1CCN(Cc2cn(CC3(C#N)CC3)nn2)CC1 ZINC000603227945 363011805 /nfs/dbraw/zinc/01/18/05/363011805.db2.gz VYOKJSDHWNWYAC-UHFFFAOYSA-N 0 1 260.345 0.329 20 30 CCEDMN CN[C@H](C)c1cn([C@@H](C)C(=O)NC2(C#N)CCC2)nn1 ZINC000603240303 363018114 /nfs/dbraw/zinc/01/81/14/363018114.db2.gz RXZHAUPAIWTFHH-ZJUUUORDSA-N 0 1 276.344 0.682 20 30 CCEDMN C=CCNC(=O)CNCc1ccc2c(c1)CCC(=O)N2C ZINC000187354813 351539482 /nfs/dbraw/zinc/53/94/82/351539482.db2.gz UOSKVEPYSLJNJX-UHFFFAOYSA-N 0 1 287.363 0.987 20 30 CCEDMN CN(C[C@H]1CCCN1C)S(=O)(=O)c1ccc(C#N)nc1 ZINC000122079261 187013731 /nfs/dbraw/zinc/01/37/31/187013731.db2.gz MMRWSVPPRODXOG-GFCCVEGCSA-N 0 1 294.380 0.668 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC000194226556 351859801 /nfs/dbraw/zinc/85/98/01/351859801.db2.gz QZNNAZQBDUIZPH-UHFFFAOYSA-N 0 1 285.307 0.504 20 30 CCEDMN C[C@H](CO)NS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000122832966 187054511 /nfs/dbraw/zinc/05/45/11/187054511.db2.gz CGJJLJZEILHVEA-ZCFIWIBFSA-N 0 1 276.264 0.496 20 30 CCEDMN CCOC(=O)[C@]1(C(C)C)CCN(CC(=O)NCC#N)C1 ZINC000194870957 351889225 /nfs/dbraw/zinc/88/92/25/351889225.db2.gz OLKLPTRHVZVSJD-CQSZACIVSA-N 0 1 281.356 0.537 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@H](CCC(N)=O)C1 ZINC000245394250 352318786 /nfs/dbraw/zinc/31/87/86/352318786.db2.gz FFGJEJSMRJBWQC-RYUDHWBXSA-N 0 1 267.373 0.655 20 30 CCEDMN CN(CCO)[C@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000272354593 353055565 /nfs/dbraw/zinc/05/55/65/353055565.db2.gz RDHCYCJDXFRHFS-AWEZNQCLSA-N 0 1 273.336 0.978 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)c2ccn[nH]2)CC1 ZINC000604561210 363205339 /nfs/dbraw/zinc/20/53/39/363205339.db2.gz OXZFOMNCIACZCY-GFCCVEGCSA-N 0 1 261.329 0.716 20 30 CCEDMN N#Cc1cnn2cc(CN[C@H]3CCCCNC3=O)cnc12 ZINC000555860146 290982882 /nfs/dbraw/zinc/98/28/82/290982882.db2.gz BFAFPHFYJRBUBN-LBPRGKRZSA-N 0 1 284.323 0.359 20 30 CCEDMN CC(C)(C)c1nnc(Cn2c(N)nc(C#N)c2C#N)[nH]1 ZINC000292410164 198277773 /nfs/dbraw/zinc/27/77/73/198277773.db2.gz JTUSFUJRWWANCE-UHFFFAOYSA-N 0 1 270.300 0.673 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N[C@H](C)c1cn[nH]c1 ZINC000275259099 353200163 /nfs/dbraw/zinc/20/01/63/353200163.db2.gz ZUBYXMAXOIIJQZ-BDAKNGLRSA-N 0 1 265.317 0.461 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@H](C(=O)OCC)C1 ZINC000155917187 188235746 /nfs/dbraw/zinc/23/57/46/188235746.db2.gz OKGCJRHZGDNXAO-VXGBXAGGSA-N 0 1 268.357 0.952 20 30 CCEDMN N#Cc1cc(F)c(S(=O)(=O)NCCCCO)c(F)c1 ZINC000278464002 353301874 /nfs/dbraw/zinc/30/18/74/353301874.db2.gz BLJZOSRGFCMIQN-UHFFFAOYSA-N 0 1 290.291 0.887 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H](O)C(C)(C)C1 ZINC000279967841 353328747 /nfs/dbraw/zinc/32/87/47/353328747.db2.gz YSJHZCVQIMDZOE-LBPRGKRZSA-N 0 1 252.358 0.890 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccnc(C#N)c2)C[C@@H]1C ZINC000283921731 353537256 /nfs/dbraw/zinc/53/72/56/353537256.db2.gz WZZOCSKUBWVBAS-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN Cn1cc(CN2CC[C@H]3OCCN(C)[C@@H]3C2)cc1C#N ZINC000284506586 353555982 /nfs/dbraw/zinc/55/59/82/353555982.db2.gz YALIIRGJICHFMC-HUUCEWRRSA-N 0 1 274.368 0.802 20 30 CCEDMN COC[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@H]1CCCO1 ZINC000618994222 366140161 /nfs/dbraw/zinc/14/01/61/366140161.db2.gz MPUXCTCAUFBASH-WDEREUQCSA-N 0 1 293.327 0.029 20 30 CCEDMN C[C@@H]1C[C@H](O)CN1C([O-])=[NH+][C@H]1CCn2ccnc2C1 ZINC000329909306 354271236 /nfs/dbraw/zinc/27/12/36/354271236.db2.gz MKEHMSXNTVCTFD-VWYCJHECSA-N 0 1 264.329 0.567 20 30 CCEDMN CN(C([O-])=[NH+][C@@H]1CCn2ccnc2C1)[C@@H]1CCOC1 ZINC000330268846 354314540 /nfs/dbraw/zinc/31/45/40/354314540.db2.gz IXMPAFVMHLXDLU-GHMZBOCLSA-N 0 1 264.329 0.833 20 30 CCEDMN CN(CCOc1cccc(C#N)c1)[C@H]1CCNC1=O ZINC000336800493 355174414 /nfs/dbraw/zinc/17/44/14/355174414.db2.gz MKAYVQMTPIADLW-ZDUSSCGKSA-N 0 1 259.309 0.757 20 30 CCEDMN N#Cc1cccc(-n2ccc(C(=O)NCc3nnc[nH]3)n2)c1 ZINC000336948657 355231752 /nfs/dbraw/zinc/23/17/52/355231752.db2.gz QVRSCYSQTZKUER-UHFFFAOYSA-N 0 1 293.290 0.792 20 30 CCEDMN CCN(CCNS(=O)(=O)N(C)[C@@H](C)CC#N)C1CC1 ZINC000337852986 355306820 /nfs/dbraw/zinc/30/68/20/355306820.db2.gz YJSXDFBTEGYYQH-NSHDSACASA-N 0 1 288.417 0.539 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@H](OCCOC)C2)C1=O ZINC000337174344 355277884 /nfs/dbraw/zinc/27/78/84/355277884.db2.gz HADQHZVGSGEYMA-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](OCCOC)C2)C1=O ZINC000337186248 355280626 /nfs/dbraw/zinc/28/06/26/355280626.db2.gz DEEJUKWIOPUALJ-QWHCGFSZSA-N 0 1 268.357 0.511 20 30 CCEDMN Cc1cc(NCCCN2CCC[C@@H]2C(N)=O)c(C#N)cn1 ZINC000425229904 235275686 /nfs/dbraw/zinc/27/56/86/235275686.db2.gz QWOKBAMTNWCKRT-CQSZACIVSA-N 0 1 287.367 0.435 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N(CC)CCC#N)C[C@@H]1O ZINC000341045316 355593816 /nfs/dbraw/zinc/59/38/16/355593816.db2.gz LDUCUWPFKNLYSX-NEPJUHHUSA-N 0 1 253.346 0.451 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CCC(=O)OCC ZINC000343413604 355769946 /nfs/dbraw/zinc/76/99/46/355769946.db2.gz ADSCARZWTANWTO-JTQLQIEISA-N 0 1 285.344 0.272 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCCOC(C)C)CC1 ZINC000344174153 355787809 /nfs/dbraw/zinc/78/78/09/355787809.db2.gz NAZNDJYWGDKYRL-UHFFFAOYSA-N 0 1 283.416 0.721 20 30 CCEDMN CN([C@H](C(N)=O)c1ccc(C#N)cc1)[C@H]1CCC[C@@H]1O ZINC000352659315 356042744 /nfs/dbraw/zinc/04/27/44/356042744.db2.gz MPGYRBFSIMGKTK-IHRRRGAJSA-N 0 1 273.336 0.930 20 30 CCEDMN N#CCNC(=O)CN1C[C@H]2CN(c3ccccc3)C[C@@H]2C1 ZINC000609417649 363494980 /nfs/dbraw/zinc/49/49/80/363494980.db2.gz POPNBOFBMRYOEO-KBPBESRZSA-N 0 1 284.363 0.694 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC1CCN(CC#N)CC1 ZINC000609550417 363503735 /nfs/dbraw/zinc/50/37/35/363503735.db2.gz WLJMEUNFULUVEH-CYBMUJFWSA-N 0 1 264.373 0.575 20 30 CCEDMN Cc1nnc(SCCC(=O)NC[C@H](C)C#N)[nH]1 ZINC000610414696 363556451 /nfs/dbraw/zinc/55/64/51/363556451.db2.gz UXSCXZHMCNGHBW-SSDOTTSWSA-N 0 1 253.331 0.871 20 30 CCEDMN CO[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)[C@H](C)C1 ZINC000619662355 366315323 /nfs/dbraw/zinc/31/53/23/366315323.db2.gz CNFNZPYLGWKXJS-KOLCDFICSA-N 0 1 277.328 0.745 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000619717200 366334581 /nfs/dbraw/zinc/33/45/81/366334581.db2.gz JODDTUUCVOOZRK-FRRDWIJNSA-N 0 1 268.357 0.245 20 30 CCEDMN CC(C)(NC(=O)COc1ccc(C#N)cc1)c1nn[nH]n1 ZINC000359786373 356893149 /nfs/dbraw/zinc/89/31/49/356893149.db2.gz KXNOTFJFOPAHIV-UHFFFAOYSA-N 0 1 286.295 0.502 20 30 CCEDMN C[C@]1(C(N)=O)CCN([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000361566218 357049261 /nfs/dbraw/zinc/04/92/61/357049261.db2.gz RGYCCKGPRULSBC-DOMZBBRYSA-N 0 1 286.335 0.282 20 30 CCEDMN CC[C@@H](C)[N@@H+](C)CCNC(=O)N=c1[n-]n(C)cc1C#N ZINC000610527836 363572836 /nfs/dbraw/zinc/57/28/36/363572836.db2.gz ORUZKSWEBDEFBN-SNVBAGLBSA-N 0 1 278.360 0.565 20 30 CCEDMN CCN(C[C@@H]1CCCO1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610528203 363572605 /nfs/dbraw/zinc/57/26/05/363572605.db2.gz VVLIHKYTAFBZAO-NSHDSACASA-N 0 1 277.328 0.746 20 30 CCEDMN CCCCOCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610563746 363577586 /nfs/dbraw/zinc/57/75/86/363577586.db2.gz KNIGIYPNIWGFHK-UHFFFAOYSA-N 0 1 265.317 0.652 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@H](c3cc[nH]n3)C2)[nH]1 ZINC000610562941 363577613 /nfs/dbraw/zinc/57/76/13/363577613.db2.gz LYAUBTUWLFDACI-JTQLQIEISA-N 0 1 299.338 0.848 20 30 CCEDMN C[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)c1ccccn1 ZINC000610528135 363573040 /nfs/dbraw/zinc/57/30/40/363573040.db2.gz PXDJGOQVIRRXPZ-SECBINFHSA-N 0 1 270.296 0.991 20 30 CCEDMN CCOC[C@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610560728 363575543 /nfs/dbraw/zinc/57/55/43/363575543.db2.gz IQQDHPHQMAOYKS-NSHDSACASA-N 0 1 291.355 0.994 20 30 CCEDMN Cc1ccc(CCNC(=O)N=c2[nH]n(C)cc2C#N)o1 ZINC000610561772 363576419 /nfs/dbraw/zinc/57/64/19/363576419.db2.gz CWMYHCQRHUACKR-UHFFFAOYSA-N 0 1 273.296 0.979 20 30 CCEDMN CCOC[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610560912 363577045 /nfs/dbraw/zinc/57/70/45/363577045.db2.gz BEZURBHXUZEOIM-SNVBAGLBSA-N 0 1 277.328 0.604 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2(O)CCCCCC2)[nH]1 ZINC000610564166 363578888 /nfs/dbraw/zinc/57/88/88/363578888.db2.gz FOPCRQRDNNPEGR-UHFFFAOYSA-N 0 1 291.355 0.920 20 30 CCEDMN C=CCCCN(C)S(=O)(=O)C[C@@H]1CNCCO1 ZINC000383996852 357719307 /nfs/dbraw/zinc/71/93/07/357719307.db2.gz GUGCCUDOIMRURJ-NSHDSACASA-N 0 1 262.375 0.203 20 30 CCEDMN CC(C)(C#N)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000394777014 357758604 /nfs/dbraw/zinc/75/86/04/357758604.db2.gz CHNOPGWUKPRKFT-LLVKDONJSA-N 0 1 250.346 0.042 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1Cc1cnc2ccc(C#N)cn12 ZINC000449786946 236052827 /nfs/dbraw/zinc/05/28/27/236052827.db2.gz RIROYTFPKYJTND-CYBMUJFWSA-N 0 1 299.378 0.704 20 30 CCEDMN Cc1onc(CC(=O)N2C[C@H](C)[N@H+](C)C[C@H]2C)c1C#N ZINC000408150053 357941538 /nfs/dbraw/zinc/94/15/38/357941538.db2.gz KRPDPQLFKDWQDA-VHSXEESVSA-N 0 1 276.340 0.948 20 30 CCEDMN Cc1onc(CC(=O)N2C[C@H](C)N(C)C[C@H]2C)c1C#N ZINC000408150053 357941542 /nfs/dbraw/zinc/94/15/42/357941542.db2.gz KRPDPQLFKDWQDA-VHSXEESVSA-N 0 1 276.340 0.948 20 30 CCEDMN Cc1onc(CC(=O)N2CCCN(C)CC2)c1C#N ZINC000408152081 357941894 /nfs/dbraw/zinc/94/18/94/357941894.db2.gz VLBKKDVKUMBASU-UHFFFAOYSA-N 0 1 262.313 0.561 20 30 CCEDMN Cc1onc(CC(=O)N2C[C@@H](C)N(C)[C@@H](C)C2)c1C#N ZINC000408170859 357952237 /nfs/dbraw/zinc/95/22/37/357952237.db2.gz YRCSECVQOZOMEV-AOOOYVTPSA-N 0 1 276.340 0.948 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1CCOc1ccccc1C#N ZINC000452113217 236222650 /nfs/dbraw/zinc/22/26/50/236222650.db2.gz IAIUQQCNRRYXCG-CQSZACIVSA-N 0 1 289.379 0.935 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2CCCCN2CCO)cn1 ZINC000452387325 236242882 /nfs/dbraw/zinc/24/28/82/236242882.db2.gz GWUHPLZDZBXTNV-CQSZACIVSA-N 0 1 288.351 0.530 20 30 CCEDMN CC#CCN(C)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000294629597 199114375 /nfs/dbraw/zinc/11/43/75/199114375.db2.gz RXLFWXTUTMLOCM-UHFFFAOYSA-N 0 1 264.247 0.925 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CC[C@H](CO)CC2)[nH]1 ZINC000610730774 363604719 /nfs/dbraw/zinc/60/47/19/363604719.db2.gz BRMZUAKKNGLSQK-HOMQSWHASA-N 0 1 277.328 0.386 20 30 CCEDMN C#CCCN1CCN([C@@H](C)c2nc(N)nc(Cl)n2)CC1 ZINC000294855608 199216006 /nfs/dbraw/zinc/21/60/06/199216006.db2.gz BOCWOSLBTRFBEP-JTQLQIEISA-N 0 1 294.790 0.809 20 30 CCEDMN Cc1nc([C@@H]2CCN(c3ncncc3C#N)C2)n[nH]1 ZINC000569480324 358768359 /nfs/dbraw/zinc/76/83/59/358768359.db2.gz VULVWMUJIGSZHW-SECBINFHSA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@@H]2CCN(c3ncncc3C#N)C2)[nH]1 ZINC000569480324 358768360 /nfs/dbraw/zinc/76/83/60/358768360.db2.gz VULVWMUJIGSZHW-SECBINFHSA-N 0 1 255.285 0.769 20 30 CCEDMN N#Cc1ccccc1OCCN1CC[C@H](CO)[C@H](O)C1 ZINC000573991498 359019157 /nfs/dbraw/zinc/01/91/57/359019157.db2.gz WFRCJAPWRFIDOO-ZIAGYGMSSA-N 0 1 276.336 0.612 20 30 CCEDMN C[C@H](NC[C@H](C#N)CCC#N)[C@@H]1CN(C)CCO1 ZINC000610897272 363628602 /nfs/dbraw/zinc/62/86/02/363628602.db2.gz ALFIQFPKXWDQFA-AVGNSLFASA-N 0 1 250.346 0.739 20 30 CCEDMN N#Cc1cccc(F)c1CC(=O)NCc1c[nH]nn1 ZINC000586792769 359291354 /nfs/dbraw/zinc/29/13/54/359291354.db2.gz XAMKSYGXVWZMJZ-UHFFFAOYSA-N 0 1 259.244 0.674 20 30 CCEDMN CCn1c(=O)[nH]nc1S(=O)(=O)Cc1ccnc(C#N)c1 ZINC000610953917 363637557 /nfs/dbraw/zinc/63/75/57/363637557.db2.gz YIOAJGIFWWSPTK-UHFFFAOYSA-N 0 1 293.308 0.244 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC000611030326 363652638 /nfs/dbraw/zinc/65/26/38/363652638.db2.gz FIHUCDKKUDAZCZ-GFCCVEGCSA-N 0 1 276.340 0.727 20 30 CCEDMN C[C@H](O)C[C@@H]1CCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000611050232 363653716 /nfs/dbraw/zinc/65/37/16/363653716.db2.gz QINUCSKBBVVHSV-ONGXEEELSA-N 0 1 277.328 0.481 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3cccc(C#N)c3)[C@@H]2C1 ZINC000589930037 359410192 /nfs/dbraw/zinc/41/01/92/359410192.db2.gz RKFWAMLVQUAZDM-TZMCWYRMSA-N 0 1 291.376 0.883 20 30 CCEDMN N#Cc1cncnc1N1CCN(C2CCOCC2)CC1 ZINC000590584653 359489830 /nfs/dbraw/zinc/48/98/30/359489830.db2.gz LLXAGLNFCNOBHF-UHFFFAOYSA-N 0 1 273.340 0.649 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H](C2CC2)C(C)(C)CO)[nH]1 ZINC000590957459 359541962 /nfs/dbraw/zinc/54/19/62/359541962.db2.gz MEGNNUYQWCWPFL-LLVKDONJSA-N 0 1 291.355 0.632 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCc3n[nH]cc3C2)[nH]1 ZINC000611125798 363662453 /nfs/dbraw/zinc/66/24/53/363662453.db2.gz SIVCNTOKXJBZPZ-SNVBAGLBSA-N 0 1 285.311 0.116 20 30 CCEDMN CN(C(=O)N=c1[nH]n(C)cc1C#N)[C@H](CO)CC(C)(C)C ZINC000590819549 359526874 /nfs/dbraw/zinc/52/68/74/359526874.db2.gz MNYMDIPSRCQAOW-NSHDSACASA-N 0 1 293.371 0.974 20 30 CCEDMN CC#CC[C@@H](CO)Nc1nc(C(=O)OC)c(C)s1 ZINC000592000794 359725615 /nfs/dbraw/zinc/72/56/15/359725615.db2.gz WPZJDQKMVHSJHD-VIFPVBQESA-N 0 1 268.338 0.846 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2Cc3ccccc3O2)[nH]n1C ZINC000592646925 359907936 /nfs/dbraw/zinc/90/79/36/359907936.db2.gz OBRTUQAJDDVHNI-CYBMUJFWSA-N 0 1 282.303 0.964 20 30 CCEDMN CC(=O)N1CCC[C@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)C1 ZINC000592645510 359907952 /nfs/dbraw/zinc/90/79/52/359907952.db2.gz BFLHTYGSJHEYIT-NSHDSACASA-N 0 1 289.339 0.219 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2CCCS2)[nH]n1C ZINC000592655895 359910770 /nfs/dbraw/zinc/91/07/70/359910770.db2.gz YYFIVUINYYEDKA-SECBINFHSA-N 0 1 250.327 0.856 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)c2ccc3cncn3c2)C1 ZINC000592851265 359955126 /nfs/dbraw/zinc/95/51/26/359955126.db2.gz BQZQYRGEOXQNSE-AWEZNQCLSA-N 0 1 270.292 0.825 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(C2(O)CC2)CC1 ZINC000593048337 360020343 /nfs/dbraw/zinc/02/03/43/360020343.db2.gz BXMHSOPESKOKIR-LLVKDONJSA-N 0 1 295.383 0.624 20 30 CCEDMN Cc1cc(N2CC(N3CC[C@@H](O)C3)C2)cc(C#N)n1 ZINC000593504573 360101460 /nfs/dbraw/zinc/10/14/60/360101460.db2.gz QJEDCZMSRYEJKO-CQSZACIVSA-N 0 1 258.325 0.517 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCN(C)CC1)N1CC(C)C1 ZINC000594282635 360267983 /nfs/dbraw/zinc/26/79/83/360267983.db2.gz UYUUHBNHHRVWCF-LBPRGKRZSA-N 0 1 264.373 0.431 20 30 CCEDMN N#Cc1cscc1C(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000594386198 360288722 /nfs/dbraw/zinc/28/87/22/360288722.db2.gz NDMRSEHRCICVKN-WDEREUQCSA-N 0 1 277.349 0.511 20 30 CCEDMN N#C[C@]1(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)CC12CCCC2 ZINC000594387181 360288934 /nfs/dbraw/zinc/28/89/34/360288934.db2.gz RSTQKDVXJUAXBK-ZENOOKHLSA-N 0 1 289.379 0.738 20 30 CCEDMN N#CCCCC[C@@H]([NH3+])C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000594447033 360314547 /nfs/dbraw/zinc/31/45/47/360314547.db2.gz RUSUDWUNXWILDU-SNVBAGLBSA-N 0 1 291.359 0.496 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(CC2(O)CCOCC2)CC1 ZINC000611431788 363717965 /nfs/dbraw/zinc/71/79/65/363717965.db2.gz ALMPYKFNUQTLOT-AWEZNQCLSA-N 0 1 281.400 0.694 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@H]2CC[C@H](C[NH3+])C2)o1 ZINC000595109718 360438140 /nfs/dbraw/zinc/43/81/40/360438140.db2.gz JYRZGIFSDDRRAQ-IUCAKERBSA-N 0 1 269.326 0.557 20 30 CCEDMN CN(CCN1C(=O)CN(C)C1=O)Cc1ccc(C#N)cc1 ZINC000595290031 360482746 /nfs/dbraw/zinc/48/27/46/360482746.db2.gz SUAYNXNHIXSOBK-UHFFFAOYSA-N 0 1 286.335 0.884 20 30 CCEDMN CCOC(=O)c1c(C)[nH]nc1CN1CCO[C@H](C#N)C1 ZINC000595315899 360494310 /nfs/dbraw/zinc/49/43/10/360494310.db2.gz HGFAYIAXVZMBAQ-SNVBAGLBSA-N 0 1 278.312 0.619 20 30 CCEDMN C[C@H]1CN(C(=O)CC2(C#N)CCOCC2)C[C@H](C)N1 ZINC000595254753 360460293 /nfs/dbraw/zinc/46/02/93/360460293.db2.gz WSRNLIWGTXQUBZ-RYUDHWBXSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CCN(C)[C@H]1CCCN(CCC(=O)OC)C1=O ZINC000595451489 360535846 /nfs/dbraw/zinc/53/58/46/360535846.db2.gz ZBOKFFCTGMRIEZ-NSHDSACASA-N 0 1 254.330 0.658 20 30 CCEDMN CCCN1CC[C@H](NS(=O)(=O)c2ccc(C#N)o2)C1 ZINC000595353338 360506161 /nfs/dbraw/zinc/50/61/61/360506161.db2.gz FPICNRPWFULJKF-JTQLQIEISA-N 0 1 283.353 0.914 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N1CC[C@](C)(C#N)C1 ZINC000595372005 360510567 /nfs/dbraw/zinc/51/05/67/360510567.db2.gz NBNVTACJZJMUDV-GFCCVEGCSA-N 0 1 273.402 0.894 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCCCC1)Cc1n[nH]c(=O)o1 ZINC000595551225 360577370 /nfs/dbraw/zinc/57/73/70/360577370.db2.gz GUTNNNMAHFMNCK-UHFFFAOYSA-N 0 1 293.327 0.550 20 30 CCEDMN CCOC(=O)c1cnn(CCc2c[nH]nn2)c1C#N ZINC000563438523 291230171 /nfs/dbraw/zinc/23/01/71/291230171.db2.gz HACYPVCOFKDNLZ-UHFFFAOYSA-N 0 1 260.257 0.292 20 30 CCEDMN C[C@@H]1CN(CCN2CC[C@@](O)(CC#N)C2)C[C@H](C)O1 ZINC000595558045 360579500 /nfs/dbraw/zinc/57/95/00/360579500.db2.gz NJBYTPVNYQZJFZ-MJBXVCDLSA-N 0 1 267.373 0.446 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000595743763 360635907 /nfs/dbraw/zinc/63/59/07/360635907.db2.gz QLQAHGTVICFLJI-QNWHQSFQSA-N 0 1 279.384 0.886 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC(=O)NC1CCCCC1 ZINC000595863933 360683626 /nfs/dbraw/zinc/68/36/26/360683626.db2.gz LAEWELWTWWYCGT-SNVBAGLBSA-N 0 1 269.345 0.281 20 30 CCEDMN C[C@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@@]1(C)CO ZINC000595873758 360686961 /nfs/dbraw/zinc/68/69/61/360686961.db2.gz NLXUILLMKQZGQO-AAEUAGOBSA-N 0 1 265.357 0.499 20 30 CCEDMN C[C@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@]1(C)CO ZINC000595875588 360688287 /nfs/dbraw/zinc/68/82/87/360688287.db2.gz QZNPGBISMQXTDW-DZGCQCFKSA-N 0 1 292.383 0.593 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](C(=O)OC(C)(C)C)C1 ZINC000178515856 189122828 /nfs/dbraw/zinc/12/28/28/189122828.db2.gz UHOYPBLLNYVKSR-RYUDHWBXSA-N 0 1 280.368 0.788 20 30 CCEDMN Cc1ccc(C#N)nc1N1CCN(CCO)[C@H](C)C1 ZINC000596207152 360835189 /nfs/dbraw/zinc/83/51/89/360835189.db2.gz CKKIZJRGDYCDTB-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN Cc1nc(C(=O)N2CC[C@@H]3OCCN[C@@H]3C2)ccc1C#N ZINC000563627592 291243644 /nfs/dbraw/zinc/24/36/44/291243644.db2.gz FUHDUHBNQRAADC-KGLIPLIRSA-N 0 1 286.335 0.465 20 30 CCEDMN Cn1cnc(=NC(=O)NCC2(C#N)CCCCC2)[nH]1 ZINC000596922106 360979129 /nfs/dbraw/zinc/97/91/29/360979129.db2.gz ZSNYDNMOPYHKFB-UHFFFAOYSA-N 0 1 262.317 0.833 20 30 CCEDMN C[C@H](CC#N)C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000597256573 361042801 /nfs/dbraw/zinc/04/28/01/361042801.db2.gz NOVXCSLUNAQEGL-ZIAGYGMSSA-N 0 1 294.399 0.059 20 30 CCEDMN CN(C)C1(C(=O)N2CCN(c3cccc(C#N)n3)CC2)CC1 ZINC000597506008 361119897 /nfs/dbraw/zinc/11/98/97/361119897.db2.gz DUWRKYXTWAWRKD-UHFFFAOYSA-N 0 1 299.378 0.696 20 30 CCEDMN C=CCOCCCNC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000598206114 361354825 /nfs/dbraw/zinc/35/48/25/361354825.db2.gz AXZPUPGKOVBTKL-UHFFFAOYSA-N 0 1 254.246 0.640 20 30 CCEDMN Cc1nnc(NCCN2CC[C@@H](O)C2)c(C#N)c1C ZINC000599175689 361598552 /nfs/dbraw/zinc/59/85/52/361598552.db2.gz HZTMJXBLANLOFF-LLVKDONJSA-N 0 1 261.329 0.444 20 30 CCEDMN C[C@@H](CO)CN1CCN(c2cccc(C#N)n2)CC1 ZINC000612830655 364019783 /nfs/dbraw/zinc/01/97/83/364019783.db2.gz MTFONXIRXBWWFM-GFCCVEGCSA-N 0 1 260.341 0.704 20 30 CCEDMN C=CCOCCNCc1cn(C)nc1C(=O)OCC ZINC000613742844 364360843 /nfs/dbraw/zinc/36/08/43/364360843.db2.gz KUTHBQNYAKXOLX-UHFFFAOYSA-N 0 1 267.329 0.889 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)N=c2[nH]n(C)cc2C#N)[nH]n1 ZINC000614182065 364523719 /nfs/dbraw/zinc/52/37/19/364523719.db2.gz BHKHWNCLMRZUBR-MRVPVSSYSA-N 0 1 287.327 0.498 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N=c2[nH]n(C)cc2C#N)[nH]n1 ZINC000614182066 364524253 /nfs/dbraw/zinc/52/42/53/364524253.db2.gz BHKHWNCLMRZUBR-QMMMGPOBSA-N 0 1 287.327 0.498 20 30 CCEDMN C=C(C)[C@H](CC(=O)NC[C@@H]1CN2CCN1CCC2)OCC ZINC000614503007 364632818 /nfs/dbraw/zinc/63/28/18/364632818.db2.gz MUTRUJSHFAHRHU-CABCVRRESA-N 0 1 295.427 0.864 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCN(CCO)C(C)(C)C1 ZINC000614920395 364782768 /nfs/dbraw/zinc/78/27/68/364782768.db2.gz HYTGYASZRNFKDW-CYBMUJFWSA-N 0 1 296.415 0.135 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N2CC[C@@](F)(C#N)C2)C1 ZINC000615540610 364998043 /nfs/dbraw/zinc/99/80/43/364998043.db2.gz VTSQTTNOQGSHRH-DGCLKSJQSA-N 0 1 284.335 0.354 20 30 CCEDMN C=CCCC(C)(C)CNS(=O)(=O)C[C@@H]1CNCCO1 ZINC000631962585 370790755 /nfs/dbraw/zinc/79/07/55/370790755.db2.gz QQBQQHJZGGDQEX-LBPRGKRZSA-N 0 1 290.429 0.887 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC000623600696 367601623 /nfs/dbraw/zinc/60/16/23/367601623.db2.gz YCTHTCOTPQIOGS-ZIAGYGMSSA-N 0 1 280.416 0.840 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN(CCCF)CC1 ZINC000626072790 368421532 /nfs/dbraw/zinc/42/15/32/368421532.db2.gz LXYDAKBOOSFAJC-LBPRGKRZSA-N 0 1 257.353 0.654 20 30 CCEDMN C=CCN(C(=O)NC[C@@H]1COCCN1)[C@@H](C)COC ZINC000627191736 368862081 /nfs/dbraw/zinc/86/20/81/368862081.db2.gz VYIGAMHCSKKWRY-NWDGAFQWSA-N 0 1 271.361 0.207 20 30 CCEDMN C#CCOc1ccc(CNC[C@@H]2CC(C(N)=O)=NO2)cc1 ZINC000276423951 193325188 /nfs/dbraw/zinc/32/51/88/193325188.db2.gz ITOQVPNFBYMAEB-ZDUSSCGKSA-N 0 1 287.319 0.418 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CCC[NH2+]C2CC2)o1 ZINC000567915938 291536896 /nfs/dbraw/zinc/53/68/96/291536896.db2.gz CIWBDTHLYNEAIW-UHFFFAOYSA-N 0 1 269.326 0.572 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)C(C)(C)CN1CCOCC1 ZINC000635765515 372877711 /nfs/dbraw/zinc/87/77/11/372877711.db2.gz GODFALYJARHKBO-ZDUSSCGKSA-N 0 1 284.400 0.646 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)C(C)(C)CN1CCOCC1 ZINC000636112168 373373457 /nfs/dbraw/zinc/37/34/57/373373457.db2.gz PGNOGZPMGGBNNC-ZDUSSCGKSA-N 0 1 282.384 0.235 20 30 CCEDMN C#CCN(C)CCCNC(=O)C(=O)c1cccn1C ZINC000491230435 261380907 /nfs/dbraw/zinc/38/09/07/261380907.db2.gz MZWQONFSYRBFKG-UHFFFAOYSA-N 0 1 261.325 0.279 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCCN1CC[C@@H](O)C1 ZINC000637117670 373939576 /nfs/dbraw/zinc/93/95/76/373939576.db2.gz ZTWGPFXKJPTYDO-MGPQQGTHSA-N 0 1 297.399 0.333 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCCN1CC[C@@H](O)C1 ZINC000637117671 373939663 /nfs/dbraw/zinc/93/96/63/373939663.db2.gz ZTWGPFXKJPTYDO-MJBXVCDLSA-N 0 1 297.399 0.333 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(NC(=O)COC)CC2)C1=O ZINC000685000303 545782706 /nfs/dbraw/zinc/78/27/06/545782706.db2.gz DQWHMOVDSGCPSF-ZDUSSCGKSA-N 0 1 295.383 0.000 20 30 CCEDMN C=C(C)[C@H](CO)n1cc([C@H](N)[C@H]2CCCO2)nn1 ZINC000641084380 376756470 /nfs/dbraw/zinc/75/64/70/376756470.db2.gz JTBXWADCFIJWAO-TUAOUCFPSA-N 0 1 252.318 0.566 20 30 CCEDMN CC#CCNCc1cn([C@H](C)c2nnc(CC)[nH]2)nn1 ZINC000641208100 376882154 /nfs/dbraw/zinc/88/21/54/376882154.db2.gz SMXVFANCVQUGDJ-SNVBAGLBSA-N 0 1 273.344 0.681 20 30 CCEDMN CCOC(=O)[C@H]1NCC[C@@H]1NCc1cccc(C#N)c1 ZINC000422964951 249011562 /nfs/dbraw/zinc/01/15/62/249011562.db2.gz NJFDRIODEYIZNH-KBPBESRZSA-N 0 1 273.336 0.941 20 30 CCEDMN N#Cc1ccc(NC(=O)NC[C@@H]2COCCN2)cc1 ZINC000236402304 284956657 /nfs/dbraw/zinc/95/66/57/284956657.db2.gz AQYXFCLQVXSCDQ-GFCCVEGCSA-N 0 1 260.297 0.668 20 30 CCEDMN C=CCCn1cc(CNCCCn2ccnn2)nn1 ZINC000657431378 412988105 /nfs/dbraw/zinc/98/81/05/412988105.db2.gz GBNMTPXQCDBFSS-UHFFFAOYSA-N 0 1 261.333 0.626 20 30 CCEDMN COCCOCCc1ncc(C(=O)N2CC(C)(C#N)C2)[nH]1 ZINC000352578809 533094759 /nfs/dbraw/zinc/09/47/59/533094759.db2.gz ZGZDDESWFIBCRJ-UHFFFAOYSA-N 0 1 292.339 0.601 20 30 CCEDMN C=CCCn1cc(CNCCCc2ncn(C)n2)nn1 ZINC000657498873 413011590 /nfs/dbraw/zinc/01/15/90/413011590.db2.gz ZIXKNFBVNHYLAZ-UHFFFAOYSA-N 0 1 275.360 0.705 20 30 CCEDMN COc1ccc(CN(C)[C@@H]2COC[C@H]2O)cc1C#N ZINC000285505655 388793604 /nfs/dbraw/zinc/79/36/04/388793604.db2.gz JLPPLZLUIKDPOT-CHWSQXEVSA-N 0 1 262.309 0.758 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1CC[C@@H]2CCN(C)C[C@H]21 ZINC000288665668 388864640 /nfs/dbraw/zinc/86/46/40/388864640.db2.gz VQAARTRWURJDSE-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C[C@@H](CO)NC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000290894034 388914768 /nfs/dbraw/zinc/91/47/68/388914768.db2.gz BVEJOIZMZDJBHW-WPRPVWTQSA-N 0 1 252.318 0.495 20 30 CCEDMN CCCN(CCO)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000049825957 388924139 /nfs/dbraw/zinc/92/41/39/388924139.db2.gz QLQVLLQECPUIHT-ZDUSSCGKSA-N 0 1 253.346 0.499 20 30 CCEDMN C[C@@H]1[C@H]([N@@H+](C)CCO)CCN1C(=O)c1c[nH]c(C#N)c1 ZINC000291791673 388936593 /nfs/dbraw/zinc/93/65/93/388936593.db2.gz OZGRHKJVNJQKMX-ZWNOBZJWSA-N 0 1 276.340 0.413 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(C(=O)N(C)OC)CC2)C1=O ZINC000291680110 388933252 /nfs/dbraw/zinc/93/32/52/388933252.db2.gz GVSRGCWWEZSOJJ-ZDUSSCGKSA-N 0 1 295.383 0.505 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@@H](n2cccn2)C1 ZINC000291710498 388935435 /nfs/dbraw/zinc/93/54/35/388935435.db2.gz IOKMRCVFXHQLQS-VXGBXAGGSA-N 0 1 291.355 0.530 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@@H](C)[C@H]1CO ZINC000189721309 388969780 /nfs/dbraw/zinc/96/97/80/388969780.db2.gz FKCJDOSQUDMYFF-BZPMIXESSA-N 0 1 267.373 0.744 20 30 CCEDMN C#CCNC(=O)CCN1CCNC(=O)C[C@@H]1c1ccccc1 ZINC000293157922 388980050 /nfs/dbraw/zinc/98/00/50/388980050.db2.gz RGOYLDSZYMWHCZ-OAHLLOKOSA-N 0 1 299.374 0.689 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C)C[C@H](C)C(=O)NC ZINC000081524716 388999990 /nfs/dbraw/zinc/99/99/90/388999990.db2.gz UIVSDQHJLHRHEQ-LBPRGKRZSA-N 0 1 269.389 0.725 20 30 CCEDMN CN(C)CC(=O)N1CCN(CC#Cc2ccccc2)CC1 ZINC000191935712 389020658 /nfs/dbraw/zinc/02/06/58/389020658.db2.gz ZSXMKPMMZHWAMP-UHFFFAOYSA-N 0 1 285.391 0.744 20 30 CCEDMN CCN1CCC(NS(=O)(=O)N(C)C[C@H](C)C#N)CC1 ZINC000336925364 389032713 /nfs/dbraw/zinc/03/27/13/389032713.db2.gz UVOMDXCCMBSBIG-LLVKDONJSA-N 0 1 288.417 0.397 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCC(=O)NCC)C1=O ZINC000337222642 389056408 /nfs/dbraw/zinc/05/64/08/389056408.db2.gz MBIZZAWEURDBQV-NSHDSACASA-N 0 1 253.346 0.231 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCCC[C@H]1CCO ZINC000304020685 389082246 /nfs/dbraw/zinc/08/22/46/389082246.db2.gz XXPYONKRCCROSW-ZDUSSCGKSA-N 0 1 267.373 0.985 20 30 CCEDMN C[C@H](CC#N)N(C)C[C@@H](O)CN1C[C@@H](C)O[C@H](C)C1 ZINC000305078284 389083750 /nfs/dbraw/zinc/08/37/50/389083750.db2.gz WGPCJVMQJDWYCH-AAVRWANBSA-N 0 1 269.389 0.690 20 30 CCEDMN C[C@H](CCO)N(CC(=O)NCC#N)Cc1ccccc1 ZINC000093303183 389034652 /nfs/dbraw/zinc/03/46/52/389034652.db2.gz BTTJYKHPVARLMZ-CYBMUJFWSA-N 0 1 275.352 0.899 20 30 CCEDMN CN1C[C@H](C(=O)N[C@H]2CCc3nc[nH]c3C2)CC1=O ZINC000328927920 389145588 /nfs/dbraw/zinc/14/55/88/389145588.db2.gz GEDRPEIVFSSRIT-BDAKNGLRSA-N 0 1 262.313 0.702 20 30 CCEDMN Cn1ncc(C(=O)Nc2nc(-c3ccco3)n[nH]2)c1C#N ZINC000355612660 389101581 /nfs/dbraw/zinc/10/15/81/389101581.db2.gz WLTIQTJVZDRTJB-UHFFFAOYSA-N 0 1 283.251 0.922 20 30 CCEDMN CSc1n[nH]c(NC(=O)[C@H]2COCCN2C)n1 ZINC000328983816 389148029 /nfs/dbraw/zinc/14/80/29/389148029.db2.gz ZBFGRUNEQZPJIY-ZCFIWIBFSA-N 0 1 257.319 0.445 20 30 CCEDMN C=CCOCCN1CCCC[C@@H]1CNS(C)(=O)=O ZINC000338902280 389118853 /nfs/dbraw/zinc/11/88/53/389118853.db2.gz JMPBYDJGXOYGMX-GFCCVEGCSA-N 0 1 276.402 0.593 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](C(=O)OC)C1 ZINC000339228741 389129122 /nfs/dbraw/zinc/12/91/22/389129122.db2.gz XUJRGYLDEVZXGQ-NSHDSACASA-N 0 1 267.329 0.243 20 30 CCEDMN C=CCN(CC=C)C(=O)CNC(C)(C)C(=O)N(C)C ZINC000114457467 389130701 /nfs/dbraw/zinc/13/07/01/389130701.db2.gz HTVRKXATEDGTNC-UHFFFAOYSA-N 0 1 267.373 0.643 20 30 CCEDMN O=C(N[C@@H]1CCc2c[nH]nc2C1)N1C[C@@H]2COC[C@H](C1)O2 ZINC000328758396 389136315 /nfs/dbraw/zinc/13/63/15/389136315.db2.gz VSAWPGYHIPBGID-UTUOFQBUSA-N 0 1 292.339 0.281 20 30 CCEDMN C=CCCOCCNC(=O)CN1CCN(CC)CC1 ZINC000339887668 389140427 /nfs/dbraw/zinc/14/04/27/389140427.db2.gz RZFHIRKZLPYBFB-UHFFFAOYSA-N 0 1 269.389 0.333 20 30 CCEDMN C[C@]1(O)CCN(C(=O)N[C@H]2CCc3nc[nH]c3C2)C1 ZINC000329641305 389181139 /nfs/dbraw/zinc/18/11/39/389181139.db2.gz XQWWNLBOUKBMOP-ZANVPECISA-N 0 1 264.329 0.638 20 30 CCEDMN C[C@]1(O)CCN(C([O-])=[NH+][C@H]2CCc3nc[nH]c3C2)C1 ZINC000329641305 389181141 /nfs/dbraw/zinc/18/11/41/389181141.db2.gz XQWWNLBOUKBMOP-ZANVPECISA-N 0 1 264.329 0.638 20 30 CCEDMN C[C@]1(O)CCN(C([O-])=[NH+][C@H]2CCc3[nH]cnc3C2)C1 ZINC000329641305 389181143 /nfs/dbraw/zinc/18/11/43/389181143.db2.gz XQWWNLBOUKBMOP-ZANVPECISA-N 0 1 264.329 0.638 20 30 CCEDMN CN(Cc1ccc(C#N)s1)C[C@@H](O)CN1CCOCC1 ZINC000129324044 389186187 /nfs/dbraw/zinc/18/61/87/389186187.db2.gz FWLUUCSTLGGORN-GFCCVEGCSA-N 0 1 295.408 0.745 20 30 CCEDMN O=C(N[C@@H]1CC[C@H](F)C1)N1CCn2ncnc2C1 ZINC000329698403 389189795 /nfs/dbraw/zinc/18/97/95/389189795.db2.gz FPLYUYJFTDGTED-DTWKUNHWSA-N 0 1 253.281 0.898 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)N[C@H]2CCCOC2)n[nH]1 ZINC000329707746 389190636 /nfs/dbraw/zinc/19/06/36/389190636.db2.gz LTWICUCDWIRBHH-APPZFPTMSA-N 0 1 253.306 0.857 20 30 CCEDMN CO[C@H]1C[C@@H](CO)N(C([O-])=[NH+][C@H]2CCc3[nH]cnc3C2)C1 ZINC000329733589 389194361 /nfs/dbraw/zinc/19/43/61/389194361.db2.gz JSPUNHRECFXPPL-DCAQKATOSA-N 0 1 294.355 0.263 20 30 CCEDMN C=C[C@@H](CO)NC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000358462392 389151862 /nfs/dbraw/zinc/15/18/62/389151862.db2.gz QVPMZMSPUTUTJH-UONOGXRCSA-N 0 1 298.431 0.105 20 30 CCEDMN Cc1cnn(CCC(=O)NC[C@H]2CN(C)CCN2C)c1 ZINC000329346446 389158566 /nfs/dbraw/zinc/15/85/66/389158566.db2.gz CZTRHGXVMLGLKN-ZDUSSCGKSA-N 0 1 279.388 0.784 20 30 CCEDMN Cn1nnc2cc(C(=O)N[C@@H]3CCc4nc[nH]c4C3)cnc21 ZINC000329411480 389162498 /nfs/dbraw/zinc/16/24/98/389162498.db2.gz DVWKWPNVTCOKHX-SECBINFHSA-N 0 1 297.322 0.949 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CC[C@H](O)C(C)(C)C2)C1 ZINC000329421096 389163198 /nfs/dbraw/zinc/16/31/98/389163198.db2.gz HAXOGXUSBYDXJZ-OLZOCXBDSA-N 0 1 298.431 0.239 20 30 CCEDMN C=CCSCCN1C(=O)N[C@H](Cc2cnc[nH]2)C1=O ZINC000358980667 389164146 /nfs/dbraw/zinc/16/41/46/389164146.db2.gz LVFARRNOWBPGGS-SNVBAGLBSA-N 0 1 280.353 0.792 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CCC(F)(C#N)CC1 ZINC000359357653 389172231 /nfs/dbraw/zinc/17/22/31/389172231.db2.gz GLQYLZBYVDYAFW-GFCCVEGCSA-N 0 1 283.347 0.809 20 30 CCEDMN C[C@@H](CC#N)N(C)C[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000248328137 389244545 /nfs/dbraw/zinc/24/45/45/389244545.db2.gz CSJVFECTUKTZNW-NWDGAFQWSA-N 0 1 273.402 0.892 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000248581449 389246589 /nfs/dbraw/zinc/24/65/89/389246589.db2.gz FLDCGZRJGBTWCV-KGLIPLIRSA-N 0 1 299.415 0.579 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](C)[C@@H](O)C1 ZINC000330644856 389249013 /nfs/dbraw/zinc/24/90/13/389249013.db2.gz FYRQDLZSLCBUPG-UPJWGTAASA-N 0 1 267.373 0.697 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000363686200 389252183 /nfs/dbraw/zinc/25/21/83/389252183.db2.gz JWNVBQPPFCDFRB-GXFFZTMASA-N 0 1 295.343 0.328 20 30 CCEDMN C[C@@H]1C[C@H](O)CN1C([O-])=[NH+][C@@H]1CCn2ccnc2C1 ZINC000329909305 389210361 /nfs/dbraw/zinc/21/03/61/389210361.db2.gz MKEHMSXNTVCTFD-MXWKQRLJSA-N 0 1 264.329 0.567 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2csc(=NC3CC3)[nH]2)C1 ZINC000329945192 389213374 /nfs/dbraw/zinc/21/33/74/389213374.db2.gz XCTYZODGJJQPFL-UHFFFAOYSA-N 0 1 278.337 0.240 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)CC2(O)CCCC2)C1 ZINC000329940570 389213806 /nfs/dbraw/zinc/21/38/06/389213806.db2.gz GGGBDCHYYSFEAT-LBPRGKRZSA-N 0 1 269.389 0.884 20 30 CCEDMN N#CCCN(CCC#N)C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000330441591 389229576 /nfs/dbraw/zinc/22/95/76/389229576.db2.gz DPEDBZOFIKLWAV-SNVBAGLBSA-N 0 1 272.312 0.566 20 30 CCEDMN N#CCCN(CCC#N)C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000330441591 389229578 /nfs/dbraw/zinc/22/95/78/389229578.db2.gz DPEDBZOFIKLWAV-SNVBAGLBSA-N 0 1 272.312 0.566 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@@]1(CC(=O)OC)CCOC1 ZINC000362269364 389233271 /nfs/dbraw/zinc/23/32/71/389233271.db2.gz IDUDHZZAFKOOEW-OAHLLOKOSA-N 0 1 298.383 0.723 20 30 CCEDMN CC(=O)NC[C@@H]1CCCN(C([O-])=[NH+]CCn2ccnc2)C1 ZINC000330473266 389234068 /nfs/dbraw/zinc/23/40/68/389234068.db2.gz GFKLSZBCFBQVMQ-ZDUSSCGKSA-N 0 1 293.371 0.645 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000345721806 389312929 /nfs/dbraw/zinc/31/29/29/389312929.db2.gz LVCVLHXUZPBJPF-KFWWJZLASA-N 0 1 295.427 0.720 20 30 CCEDMN CC#CCNC(=O)CCN1CCN(c2ccccn2)CC1 ZINC000154447486 389313005 /nfs/dbraw/zinc/31/30/05/389313005.db2.gz FCCJTBJLMMOKBP-UHFFFAOYSA-N 0 1 286.379 0.733 20 30 CCEDMN CNC(=O)[C@H]1CCCN([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346314023 389327011 /nfs/dbraw/zinc/32/70/11/389327011.db2.gz XMTOCLGBUBGOJH-RYUDHWBXSA-N 0 1 292.383 0.395 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](C)[C@@H](O)C1 ZINC000346579833 389332435 /nfs/dbraw/zinc/33/24/35/389332435.db2.gz YHSMFGZBUOJLED-WOPDTQHZSA-N 0 1 265.357 0.640 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)c3c[nH]c(C#N)c3)CC2)n[nH]1 ZINC000332120175 389339613 /nfs/dbraw/zinc/33/96/13/389339613.db2.gz YQSQYUXOEQGNMA-UHFFFAOYSA-N 0 1 299.338 0.271 20 30 CCEDMN C[C@H]1CC[C@@H](C(N)=O)CN1CC(=O)NC1(C#N)CCC1 ZINC000346845493 389339818 /nfs/dbraw/zinc/33/98/18/389339818.db2.gz FAMPCFJKMPSZAH-WDEREUQCSA-N 0 1 278.356 0.135 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000346861245 389340761 /nfs/dbraw/zinc/34/07/61/389340761.db2.gz ACKFALIHMIXZJA-MELADBBJSA-N 0 1 299.415 0.722 20 30 CCEDMN C[C@@H](O)[C@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000346961885 389342786 /nfs/dbraw/zinc/34/27/86/389342786.db2.gz LKHVEXACUQJQCO-MNOVXSKESA-N 0 1 251.330 0.252 20 30 CCEDMN C[C@H]1CN(C)CCN1CC(=O)NC1(C#N)CCC1 ZINC000347053041 389345741 /nfs/dbraw/zinc/34/57/41/389345741.db2.gz LZUOMRXISVJKJN-NSHDSACASA-N 0 1 250.346 0.185 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1[C@H](C)CCC[C@@H]1CO ZINC000159100667 389358348 /nfs/dbraw/zinc/35/83/48/389358348.db2.gz YFQCASJLMRLMLH-GHMZBOCLSA-N 0 1 269.345 0.234 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1C[C@H](O)C[C@H](C)C1 ZINC000412045753 389474682 /nfs/dbraw/zinc/47/46/82/389474682.db2.gz QAAGKEDZZKTJTB-HBNTYKKESA-N 0 1 269.345 0.089 20 30 CCEDMN N#CC1(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)CCCCC1 ZINC000370868635 389421666 /nfs/dbraw/zinc/42/16/66/389421666.db2.gz OIAOLWMZIBFKKP-JTQLQIEISA-N 0 1 290.327 0.574 20 30 CCEDMN N#Cc1csc(C(=O)NCCCc2nc(=O)[nH][nH]2)c1 ZINC000176312192 389556840 /nfs/dbraw/zinc/55/68/40/389556840.db2.gz CLHFOZVXTBTWFE-UHFFFAOYSA-N 0 1 277.309 0.394 20 30 CCEDMN N#Cc1ccncc1C(=O)N[C@H](CO)CN1CCCCC1 ZINC000413799055 389559682 /nfs/dbraw/zinc/55/96/82/389559682.db2.gz MRVFFVYFKNNMRG-ZDUSSCGKSA-N 0 1 288.351 0.530 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCC(=O)OC)C1=O ZINC000281393050 389615330 /nfs/dbraw/zinc/61/53/30/389615330.db2.gz PWQGVFSTWQXCQO-NSHDSACASA-N 0 1 254.330 0.658 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCCN2C2CC2)C1=O ZINC000281650527 389621656 /nfs/dbraw/zinc/62/16/56/389621656.db2.gz ICQORVFBEZQZEZ-UONOGXRCSA-N 0 1 291.395 0.859 20 30 CCEDMN NC(=O)[C@]1(O)CCN(CC#Cc2ccc(F)cc2)C1 ZINC000279405574 389572963 /nfs/dbraw/zinc/57/29/63/389572963.db2.gz ZZIJNBXPGMGOGW-AWEZNQCLSA-N 0 1 262.284 0.099 20 30 CCEDMN Cc1ccc(C#N)cc1OC[C@H](O)CN1CCN(C)CC1 ZINC000414155825 389602688 /nfs/dbraw/zinc/60/26/88/389602688.db2.gz VPIJGIUKABGPDB-OAHLLOKOSA-N 0 1 289.379 0.854 20 30 CCEDMN C=C[C@@H]1CCCCN1Cc1cc(=O)n(C)c(=O)n1C ZINC000336606057 389660673 /nfs/dbraw/zinc/66/06/73/389660673.db2.gz MEDOBLIQUMVFPE-LLVKDONJSA-N 0 1 263.341 0.625 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(C2CCOCC2)C[C@@H]1C ZINC000427907699 389683914 /nfs/dbraw/zinc/68/39/14/389683914.db2.gz VQLTZNKJQJXKRN-RYUDHWBXSA-N 0 1 265.357 0.858 20 30 CCEDMN Cc1cc(C#N)cc(NC(=O)C(=O)N2CCN[C@@H](C)C2)c1 ZINC000415449105 389684018 /nfs/dbraw/zinc/68/40/18/389684018.db2.gz YMHSVEIAVHOIAN-NSHDSACASA-N 0 1 286.335 0.626 20 30 CCEDMN Cc1nc(CN(CCC#N)CCN2CCOCC2)n[nH]1 ZINC000428236841 389687885 /nfs/dbraw/zinc/68/78/85/389687885.db2.gz IYGSBDHBKPJZHO-UHFFFAOYSA-N 0 1 278.360 0.161 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@H](O)C[C@@H]1C ZINC000429139089 389740241 /nfs/dbraw/zinc/74/02/41/389740241.db2.gz GMNAKKHLSHDKBN-AVGNSLFASA-N 0 1 267.373 0.840 20 30 CCEDMN CS(=O)(=O)NCCNCc1ccc(C#N)c(F)c1 ZINC000404141045 389810220 /nfs/dbraw/zinc/81/02/20/389810220.db2.gz LMCSYDYJSXGSHN-UHFFFAOYSA-N 0 1 271.317 0.336 20 30 CCEDMN CCC(C#N)(CC)C(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000405235668 389813689 /nfs/dbraw/zinc/81/36/89/389813689.db2.gz DLOLNVBLMOYBKR-NWDGAFQWSA-N 0 1 265.357 0.594 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCCCCC#N)[C@H](C)C1 ZINC000352898505 389840627 /nfs/dbraw/zinc/84/06/27/389840627.db2.gz GCFRTXSHVJAHQG-OLZOCXBDSA-N 0 1 282.388 0.777 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N1CCC(F)(C#N)CC1 ZINC000352892042 389840629 /nfs/dbraw/zinc/84/06/29/389840629.db2.gz JILIABIWHYOZQW-UHFFFAOYSA-N 0 1 291.392 0.986 20 30 CCEDMN C=C(C)CN(CC)C(=O)COCCc1c[nH]nn1 ZINC000419057799 389886636 /nfs/dbraw/zinc/88/66/36/389886636.db2.gz VMBRVQMFIQYIIC-UHFFFAOYSA-N 0 1 252.318 0.788 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000419135936 389887185 /nfs/dbraw/zinc/88/71/85/389887185.db2.gz MLYAXPHUXVFXDY-UPJWGTAASA-N 0 1 279.384 0.810 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1CCNC[C@@H]1C ZINC000419134198 389887360 /nfs/dbraw/zinc/88/73/60/389887360.db2.gz IENYSNPXZRDLOO-QWRGUYRKSA-N 0 1 253.346 0.278 20 30 CCEDMN CC1(C)CN(CC(=O)NCc2cccc(C#N)c2)C[C@H]1O ZINC000447867541 389967189 /nfs/dbraw/zinc/96/71/89/389967189.db2.gz DHYCXUDLUQUMBT-CQSZACIVSA-N 0 1 287.363 0.877 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000433974646 389922177 /nfs/dbraw/zinc/92/21/77/389922177.db2.gz PMXMXRVCEFAKSH-RNCFNFMXSA-N 0 1 262.313 0.874 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000437858065 389998576 /nfs/dbraw/zinc/99/85/76/389998576.db2.gz BEGXMVBJTNYELN-HIFRSBDPSA-N 0 1 283.416 0.577 20 30 CCEDMN CN(C[C@H]1CCCN1C)S(=O)(=O)CCCC#N ZINC000420763124 390030463 /nfs/dbraw/zinc/03/04/63/390030463.db2.gz IYYLHOKIDVFABV-LLVKDONJSA-N 0 1 259.375 0.646 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCN(CC2CC2)CC1 ZINC000491461375 390113699 /nfs/dbraw/zinc/11/36/99/390113699.db2.gz DHQUPTJSXPSAKV-UHFFFAOYSA-N 0 1 292.427 0.679 20 30 CCEDMN CC[C@]1(O)CCN(CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000495194396 390223640 /nfs/dbraw/zinc/22/36/40/390223640.db2.gz NZATVJYVXFNQER-KGLIPLIRSA-N 0 1 267.373 0.888 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1conc1CC ZINC000580325776 390239779 /nfs/dbraw/zinc/23/97/79/390239779.db2.gz YEVCKSLGWYWXHQ-UHFFFAOYSA-N 0 1 298.324 0.694 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](CN3CCOC3=O)C2)C1=O ZINC000496070571 390293469 /nfs/dbraw/zinc/29/34/69/390293469.db2.gz UKMQWEHIMKTAPS-QWHCGFSZSA-N 0 1 293.367 0.547 20 30 CCEDMN C=CCn1cc(C(=O)NCCN2CCC(OC)CC2)nn1 ZINC000648814872 390414994 /nfs/dbraw/zinc/41/49/94/390414994.db2.gz XCBTWHUIGZLUGO-UHFFFAOYSA-N 0 1 293.371 0.305 20 30 CCEDMN CCOC1CC(C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000554329112 390424858 /nfs/dbraw/zinc/42/48/58/390424858.db2.gz PUFYRMIQQQRPRO-UHFFFAOYSA-N 0 1 265.357 0.906 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@@H]1CCCN1C1CC1 ZINC000649182192 390511592 /nfs/dbraw/zinc/51/15/92/390511592.db2.gz QIPQNPMRIJBXGI-YPMHNXCESA-N 0 1 250.342 0.504 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N[C@@H](C)c1nnc[nH]1 ZINC000556432169 390480737 /nfs/dbraw/zinc/48/07/37/390480737.db2.gz IKUQROIYZUSLQD-QMMMGPOBSA-N 0 1 256.269 0.871 20 30 CCEDMN COC[C@@]1(C(=O)OC)CCN(Cc2ccc(C#N)cn2)C1 ZINC000567624419 390707321 /nfs/dbraw/zinc/70/73/21/390707321.db2.gz OLRWLRCHHXRDJF-OAHLLOKOSA-N 0 1 289.335 0.965 20 30 CCEDMN C=CCn1cc(CNC[C@@H](O)CCOC(C)C)nn1 ZINC000569804639 390716501 /nfs/dbraw/zinc/71/65/01/390716501.db2.gz UZCWRXQHGVJERQ-ZDUSSCGKSA-N 0 1 268.361 0.730 20 30 CCEDMN C[C@@H]1C[C@@H](NC[C@@H](O)CN(C)CCC#N)c2nccn21 ZINC000570711563 390719283 /nfs/dbraw/zinc/71/92/83/390719283.db2.gz WTUMKOAIMMDRJA-JHJVBQTASA-N 0 1 277.372 0.685 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cccc2nn[nH]c21 ZINC000527107135 390691526 /nfs/dbraw/zinc/69/15/26/390691526.db2.gz UVDHNWXLLFYMSY-MRVPVSSYSA-N 0 1 257.253 0.322 20 30 CCEDMN C[C@H]1COCCN1CCNC[C@H](C#N)CCC#N ZINC000572511524 390787011 /nfs/dbraw/zinc/78/70/11/390787011.db2.gz CPMFGJWZPQCRDZ-STQMWFEESA-N 0 1 250.346 0.740 20 30 CCEDMN N#Cc1ccc(CNC(=O)NC[C@@H]2COCCN2)cc1 ZINC000529310641 390804586 /nfs/dbraw/zinc/80/45/86/390804586.db2.gz RFTIQMPFSFQBMJ-CYBMUJFWSA-N 0 1 274.324 0.346 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N(C)C1CC(O)C1 ZINC000530337244 390876413 /nfs/dbraw/zinc/87/64/13/390876413.db2.gz JHFJIWDNNSCRJQ-WHXUTIOJSA-N 0 1 251.330 0.392 20 30 CCEDMN CCC(C#N)(CC)C(=O)N1CC[C@H](N2CC[C@H](O)C2)C1 ZINC000529388032 390827401 /nfs/dbraw/zinc/82/74/01/390827401.db2.gz NXISAWKTQOBIEI-STQMWFEESA-N 0 1 279.384 0.984 20 30 CCEDMN C[C@@H](CNC(=O)C1(C#N)CC(C)C1)N1CCN(C)CC1 ZINC000532318714 390879509 /nfs/dbraw/zinc/87/95/09/390879509.db2.gz BWPKHIDPJBCFOA-OWYJLGKBSA-N 0 1 278.400 0.678 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(CCO)CC1CC1 ZINC000352489524 397464318 /nfs/dbraw/zinc/46/43/18/397464318.db2.gz BAAWQAPGKWPBIN-GFCCVEGCSA-N 0 1 267.373 0.699 20 30 CCEDMN N#Cc1ccncc1C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000358577063 144163848 /nfs/dbraw/zinc/16/38/48/144163848.db2.gz HRSCFQRJJRBNGK-NSHDSACASA-N 0 1 256.309 0.920 20 30 CCEDMN C=CCNC(=O)CN1CCC(Cn2cnnc2)CC1 ZINC000649789468 397668918 /nfs/dbraw/zinc/66/89/18/397668918.db2.gz YKBRIJAYSPYHGW-UHFFFAOYSA-N 0 1 263.345 0.292 20 30 CCEDMN C=CCOCC(=O)N1CCN(CCOC)CC1(C)C ZINC000650832006 397771742 /nfs/dbraw/zinc/77/17/42/397771742.db2.gz VFBYWDZKBZITQA-UHFFFAOYSA-N 0 1 270.373 0.758 20 30 CCEDMN CN(C)C(=O)CCN1CCN(c2ccncc2C#N)CC1 ZINC000548162232 286012085 /nfs/dbraw/zinc/01/20/85/286012085.db2.gz DFKZEJIITLZRQL-UHFFFAOYSA-N 0 1 287.367 0.554 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+](C)[C@H](CC)C(=O)[O-] ZINC000044622717 411630033 /nfs/dbraw/zinc/63/00/33/411630033.db2.gz QWIXQPJOFOJZPC-LLVKDONJSA-N 0 1 254.330 0.982 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+](C)[C@H](CC)C(=O)[O-] ZINC000044622717 411630036 /nfs/dbraw/zinc/63/00/36/411630036.db2.gz QWIXQPJOFOJZPC-LLVKDONJSA-N 0 1 254.330 0.982 20 30 CCEDMN C=CCCn1cc(CN[C@@H](CO)[C@H]2CCCOC2)nn1 ZINC000653761816 412343114 /nfs/dbraw/zinc/34/31/14/412343114.db2.gz INSCCGDKEYFDRI-JSGCOSHPSA-N 0 1 280.372 0.731 20 30 CCEDMN CC#CCCNC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000654537471 412411472 /nfs/dbraw/zinc/41/14/72/412411472.db2.gz DYVBNXHFGWOONG-JTQLQIEISA-N 0 1 277.328 0.869 20 30 CCEDMN CC#CCCNC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000654537777 412411561 /nfs/dbraw/zinc/41/15/61/412411561.db2.gz DYVBNXHFGWOONG-SNVBAGLBSA-N 0 1 277.328 0.869 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC(O)(CO)CC1 ZINC000661617515 414654704 /nfs/dbraw/zinc/65/47/04/414654704.db2.gz GGJPSNXUXLYTNH-CYBMUJFWSA-N 0 1 284.400 0.619 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CC[C@@]2(O)C[C@H]2C1 ZINC000661712048 414668128 /nfs/dbraw/zinc/66/81/28/414668128.db2.gz JYNWVMJCHJSGLD-GXTWGEPZSA-N 0 1 252.358 0.868 20 30 CCEDMN C=C1C[C@H]2CC[C@@H](C1)[N@@H+]2CC(=O)N1CCN(C)CC1 ZINC000662078666 414689825 /nfs/dbraw/zinc/68/98/25/414689825.db2.gz FLBZAVUTZAUWDV-OKILXGFUSA-N 0 1 263.385 0.943 20 30 CCEDMN C=C[C@H](CO)N[C@@H]1CCCN(c2cnn(C)c2)C1=O ZINC000662165498 414694788 /nfs/dbraw/zinc/69/47/88/414694788.db2.gz HYBXOVGQJBMCKE-ZYHUDNBSSA-N 0 1 264.329 0.052 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N1CCC[C@@H](C(F)(F)F)C1 ZINC000662167604 414695050 /nfs/dbraw/zinc/69/50/50/414695050.db2.gz AZPCARITKDARIO-NXEZZACHSA-N 0 1 280.290 0.924 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCc1ccc(F)cc1 ZINC000662167938 414695124 /nfs/dbraw/zinc/69/51/24/414695124.db2.gz TUFQXYWODLEXDU-CYBMUJFWSA-N 0 1 266.316 0.621 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N1CCC[C@H](C(F)(F)F)C1 ZINC000662167605 414695168 /nfs/dbraw/zinc/69/51/68/414695168.db2.gz AZPCARITKDARIO-VHSXEESVSA-N 0 1 280.290 0.924 20 30 CCEDMN C=C[C@H](CO)NCCN(Cc1ccccc1)S(C)(=O)=O ZINC000662168318 414695183 /nfs/dbraw/zinc/69/51/83/414695183.db2.gz BBKNCDQWYITIQW-CQSZACIVSA-N 0 1 298.408 0.585 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)C(=O)N2CCNCC2)C1 ZINC000662947408 414909945 /nfs/dbraw/zinc/90/99/45/414909945.db2.gz OJWNBYBZKZJRBO-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN CCN(CC)Cc1ccc(C(=O)NCC#CCO)o1 ZINC000665488184 416441309 /nfs/dbraw/zinc/44/13/09/416441309.db2.gz DYZZTZVUWVCMSG-UHFFFAOYSA-N 0 1 264.325 0.847 20 30 CCEDMN Cc1nnc(CCNC(=O)[C@H](C)CN2CCOCC2)[nH]1 ZINC000329077896 227285264 /nfs/dbraw/zinc/28/52/64/227285264.db2.gz BIJSGGQUGBRJGX-SNVBAGLBSA-N 0 1 281.360 0.580 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCC2(CC#N)CC2)[C@@H](C)C1 ZINC000355873213 228030006 /nfs/dbraw/zinc/03/00/06/228030006.db2.gz LVAQNDVUHLMQBL-QWHCGFSZSA-N 0 1 294.399 0.777 20 30 CCEDMN C[C@H](NC(=O)N1CCn2ncnc2C1)[C@H]1CCCOC1 ZINC000329967136 228001389 /nfs/dbraw/zinc/00/13/89/228001389.db2.gz SIEHCNCSKGAVQY-QWRGUYRKSA-N 0 1 279.344 0.823 20 30 CCEDMN Cn1nccc1[NH+]=C([O-])N[C@H]1CCn2ccnc2C1 ZINC000330259947 228083928 /nfs/dbraw/zinc/08/39/28/228083928.db2.gz SKDRRDVAAJDRAR-VIFPVBQESA-N 0 1 260.301 0.958 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2c(O)cccc2F)C1 ZINC000331964683 228141868 /nfs/dbraw/zinc/14/18/68/228141868.db2.gz MGSKQLJGSNTJFR-UHFFFAOYSA-N 0 1 250.229 0.632 20 30 CCEDMN C[C@H](Cn1cncn1)NC(=O)N[C@@H]1CCOC[C@@H]1C ZINC000330341227 228160450 /nfs/dbraw/zinc/16/04/50/228160450.db2.gz YZLZZKVRZHJQFB-HBNTYKKESA-N 0 1 267.333 0.595 20 30 CCEDMN CS(=O)(=O)NCC1CCN(CCCC#N)CC1 ZINC000352242475 228205288 /nfs/dbraw/zinc/20/52/88/228205288.db2.gz QPGVFUVNXSSLNA-UHFFFAOYSA-N 0 1 259.375 0.551 20 30 CCEDMN C#CCNC(=O)c1ccc(NCCc2nc[nH]n2)nc1 ZINC000490839845 420310366 /nfs/dbraw/zinc/31/03/66/420310366.db2.gz KWUZCYAEEJZMSW-UHFFFAOYSA-N 0 1 270.296 0.217 20 30 CCEDMN CCn1cc(NC(=O)NC[C@@H]2CN(C)CCN2C)cn1 ZINC000329369335 545022266 /nfs/dbraw/zinc/02/22/66/545022266.db2.gz LNKJEDSSXLTYTD-GFCCVEGCSA-N 0 1 280.376 0.475 20 30 CCEDMN Cc1ncsc1CNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000329428215 545022977 /nfs/dbraw/zinc/02/29/77/545022977.db2.gz HAARIFSTBZWTSJ-LLVKDONJSA-N 0 1 297.428 0.701 20 30 CCEDMN C[C@H](NC(=O)NC[C@@H]1CN(C)CCN1C)[C@@H]1CCCCO1 ZINC000329438022 545022995 /nfs/dbraw/zinc/02/29/95/545022995.db2.gz JPLGMVJYMXEZGZ-MJBXVCDLSA-N 0 1 298.431 0.693 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2[nH]cnc2C1)N1C[C@@H]2COC[C@H](C1)O2 ZINC000329736000 545025668 /nfs/dbraw/zinc/02/56/68/545025668.db2.gz SHHACIOOKFMOQH-MXWKQRLJSA-N 0 1 292.339 0.281 20 30 CCEDMN C=CCOc1ccc(CNCCS(=O)(=O)NC)cc1 ZINC000221004733 260143434 /nfs/dbraw/zinc/14/34/34/260143434.db2.gz QCUBDJRSMPGGCK-UHFFFAOYSA-N 0 1 284.381 0.890 20 30 CCEDMN C[C@H](OC[C@H]1CCCO1)C(=O)NC1(C#N)CCN(C)CC1 ZINC000518025602 260335987 /nfs/dbraw/zinc/33/59/87/260335987.db2.gz XZHUZJRAGMTMJZ-QWHCGFSZSA-N 0 1 295.383 0.675 20 30 CCEDMN C=CCNS(=O)(=O)c1scnc1C(=O)OC ZINC000128528964 260375879 /nfs/dbraw/zinc/37/58/79/260375879.db2.gz HZJSDTJNRXQZBG-UHFFFAOYSA-N 0 1 262.312 0.394 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@](C)(C#N)C2CC2)[C@H]1CO ZINC000248967671 261227239 /nfs/dbraw/zinc/22/72/39/261227239.db2.gz RZZREDRIHYVKRD-SCDSUCTJSA-N 0 1 265.357 0.498 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1COCCN1CC ZINC000490934645 261254402 /nfs/dbraw/zinc/25/44/02/261254402.db2.gz JTNYGYINHDOREW-ZIAGYGMSSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC([C@@H](C)NC(C)=O)CC1 ZINC000491037256 261301561 /nfs/dbraw/zinc/30/15/61/261301561.db2.gz CPTAQDBPSMJUCQ-NEPJUHHUSA-N 0 1 279.384 0.361 20 30 CCEDMN C=CCNC(=O)CN[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000364751762 262261057 /nfs/dbraw/zinc/26/10/57/262261057.db2.gz BCXVJHKSLPELFC-CYBMUJFWSA-N 0 1 271.324 0.786 20 30 CCEDMN Cn1ccnc(N2CCN(CCCCC#N)CC2)c1=O ZINC000336824430 262398442 /nfs/dbraw/zinc/39/84/42/262398442.db2.gz UTAINBYYGOPPNP-UHFFFAOYSA-N 0 1 275.356 0.596 20 30 CCEDMN CO[C@@H](C)CN(C)CC(=O)N(CCC#N)CCC#N ZINC000353209623 263180658 /nfs/dbraw/zinc/18/06/58/263180658.db2.gz ZRENVDLAFSQFKF-LBPRGKRZSA-N 0 1 266.345 0.609 20 30 CCEDMN Cc1nc(CN(C)C[C@@H]2CCCN2C(=O)[C@@H](C)C#N)n[nH]1 ZINC000960498988 649854908 /nfs/dbraw/zinc/85/49/08/649854908.db2.gz DZFJAEDYWCUAIT-JQWIXIFHSA-N 0 1 290.371 0.696 20 30 CCEDMN COCC[C@H](C)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000330695276 264004803 /nfs/dbraw/zinc/00/48/03/264004803.db2.gz JEGBIYNCHPYHNE-NWDGAFQWSA-N 0 1 272.393 0.161 20 30 CCEDMN C[C@H](C[S@](C)=O)NC(=O)N[C@@H]1CCc2n[nH]cc2C1 ZINC000330822169 264047410 /nfs/dbraw/zinc/04/74/10/264047410.db2.gz GEQSRLKWKBZJJR-QFHRKFJASA-N 0 1 284.385 0.538 20 30 CCEDMN Cc1cnn(CCNC(=O)N2CCO[C@H](C(N)=O)C2)c1 ZINC000330831122 264049606 /nfs/dbraw/zinc/04/96/06/264049606.db2.gz QSEIKCOYUADUJF-JTQLQIEISA-N 0 1 281.316 0.342 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC[C@@H](c2nc[nH]n2)C1 ZINC000330870000 264058832 /nfs/dbraw/zinc/05/88/32/264058832.db2.gz QHCGWMGORDPDJX-MFKMUULPSA-N 0 1 264.329 0.838 20 30 CCEDMN N#C[C@@H]1CCC[C@@H]1NC[C@H](O)Cn1cc([N+](=O)[O-])cn1 ZINC000330846632 264067327 /nfs/dbraw/zinc/06/73/27/264067327.db2.gz OWOBHMVOCIVFHK-DLOVCJGASA-N 0 1 279.300 0.434 20 30 CCEDMN C[C@H](C[S@](C)=O)NC(=O)NC[C@@H]1CCc2nccn2C1 ZINC000330964120 264089792 /nfs/dbraw/zinc/08/97/92/264089792.db2.gz KREWOGXHBCQCLV-NLQQUQSOSA-N 0 1 298.412 0.716 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N(C)C[C@H](O)C(F)(F)F ZINC000331003718 264105970 /nfs/dbraw/zinc/10/59/70/264105970.db2.gz RRQZNXLOJSBKRG-QMMMGPOBSA-N 0 1 280.250 0.987 20 30 CCEDMN CCn1ncnc1CNC(=O)N1CCN(C)C[C@@H]1C(C)C ZINC000331062449 264111093 /nfs/dbraw/zinc/11/10/93/264111093.db2.gz OTMBZFWSIJCPPH-GFCCVEGCSA-N 0 1 294.403 0.984 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCCn2ccnc2)CC1 ZINC000343256919 264222832 /nfs/dbraw/zinc/22/28/32/264222832.db2.gz DRPROIJSHFQHKV-UHFFFAOYSA-N 0 1 291.399 0.193 20 30 CCEDMN CN(C)C(=O)CN(C)CCC(=O)Nc1cccc(C#N)c1 ZINC000037250726 264268600 /nfs/dbraw/zinc/26/86/00/264268600.db2.gz UVMBBYVJDRLAKL-UHFFFAOYSA-N 0 1 288.351 0.907 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)CC1(O)CCOCC1 ZINC000189787589 264326775 /nfs/dbraw/zinc/32/67/75/264326775.db2.gz DVXCDGFCQMWPSD-ZDUSSCGKSA-N 0 1 297.399 0.468 20 30 CCEDMN CC(C)C[C@H]1C(=O)NCCN1CCC(=O)N(C)CCC#N ZINC000189849296 264334688 /nfs/dbraw/zinc/33/46/88/264334688.db2.gz DVFWCJLMXYDFQV-ZDUSSCGKSA-N 0 1 294.399 0.595 20 30 CCEDMN CC(C)[C@@H]1C(=O)NCCN1CCC(=O)N(C)CCC#N ZINC000131550886 264373536 /nfs/dbraw/zinc/37/35/36/264373536.db2.gz GKUQTHTZETXGSA-CYBMUJFWSA-N 0 1 280.372 0.205 20 30 CCEDMN C=CCn1cc(CNC(=O)c2cnccc2N(C)C)nn1 ZINC000424910358 264375742 /nfs/dbraw/zinc/37/57/42/264375742.db2.gz ZCHARQVFIKKXJR-UHFFFAOYSA-N 0 1 286.339 0.855 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)Cc2cnc[nH]2)C1=O ZINC000518998394 265289541 /nfs/dbraw/zinc/28/95/41/265289541.db2.gz IWPPCTMHWSPRFF-YPMHNXCESA-N 0 1 290.367 0.834 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N1CC[C@H](N2CC=CC2)C1 ZINC000360573069 266725396 /nfs/dbraw/zinc/72/53/96/266725396.db2.gz ZJLQXPJUFZZUEH-LBPRGKRZSA-N 0 1 284.385 0.023 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)CC(C)(C)O ZINC000304125615 267778203 /nfs/dbraw/zinc/77/82/03/267778203.db2.gz OENBJPMEUFHAOK-NSHDSACASA-N 0 1 255.362 0.697 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2ccc(C#N)cc2)C1 ZINC000225358242 277101497 /nfs/dbraw/zinc/10/14/97/277101497.db2.gz FYOTXLBGEREWLZ-AWEZNQCLSA-N 0 1 272.352 0.534 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](C(=O)OC)[C@@H]1C ZINC000346657908 279172812 /nfs/dbraw/zinc/17/28/12/279172812.db2.gz HGVWKMWFGQVLBO-RYUDHWBXSA-N 0 1 281.356 0.632 20 30 CCEDMN CC(C)[C@H](NCCC(=O)N(C)CCC#N)c1nncn1C ZINC000352458490 280324866 /nfs/dbraw/zinc/32/48/66/280324866.db2.gz LCSGNNLQBBYFRF-ZDUSSCGKSA-N 0 1 292.387 0.864 20 30 CCEDMN C=CCCC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000773161767 581911835 /nfs/dbraw/zinc/91/18/35/581911835.db2.gz MCIHMEJKTSFTFZ-QMMMGPOBSA-N 0 1 253.331 0.782 20 30 CCEDMN OCC#CCNC[C@H](O)COc1cccc2[nH]ccc21 ZINC000567877178 327929298 /nfs/dbraw/zinc/92/92/98/327929298.db2.gz IYIDWJCVGDIVMZ-LBPRGKRZSA-N 0 1 274.320 0.493 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCO[C@@]3(CCOC3)C2)CC1 ZINC000528454630 328222686 /nfs/dbraw/zinc/22/26/86/328222686.db2.gz WTJCQGQFGAZUMN-JSGCOSHPSA-N 0 1 266.341 0.532 20 30 CCEDMN C=CCCC(=O)N(CCN1CCN(C)CC1)CC(=O)OC ZINC000281127289 328238819 /nfs/dbraw/zinc/23/88/19/328238819.db2.gz SVSJUUQOPGXXEH-UHFFFAOYSA-N 0 1 297.399 0.202 20 30 CCEDMN C=CCCS(=O)(=O)N[C@H](C)CCN1CCN(C)CC1 ZINC000185336178 329270645 /nfs/dbraw/zinc/27/06/45/329270645.db2.gz JVLXRZJHHNHWSD-CYBMUJFWSA-N 0 1 289.445 0.508 20 30 CCEDMN N#CC1(CNC(=O)NCCN2CCCOCC2)CC1 ZINC000559345080 327162808 /nfs/dbraw/zinc/16/28/08/327162808.db2.gz OVTDZJIDUYCSMV-UHFFFAOYSA-N 0 1 266.345 0.312 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NCCc1nc[nH]n1 ZINC000569617467 327308411 /nfs/dbraw/zinc/30/84/11/327308411.db2.gz SURHVWPWWGVVQJ-MWLCHTKSSA-N 0 1 250.302 0.445 20 30 CCEDMN C=C(C)CN(CC)C(=O)CNC(C)(C)C(=O)N(C)C ZINC000114457968 328646763 /nfs/dbraw/zinc/64/67/63/328646763.db2.gz JLLNZCPVBQBVND-UHFFFAOYSA-N 0 1 269.389 0.867 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(C(=O)NCCC)CC1 ZINC000042687211 328770251 /nfs/dbraw/zinc/77/02/51/328770251.db2.gz QRGDAVXIDFGCAI-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[C@@H](CC(N)=O)C1 ZINC000377605611 329020061 /nfs/dbraw/zinc/02/00/61/329020061.db2.gz UNRKRPKAHUFXIF-STQMWFEESA-N 0 1 279.384 0.773 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@H](C(=O)OC)C1 ZINC000218048316 329066949 /nfs/dbraw/zinc/06/69/49/329066949.db2.gz YUFHEIKHWJDVDJ-GHMZBOCLSA-N 0 1 254.330 0.562 20 30 CCEDMN C=C(C)CN(C)CC(=O)NCCCN1CCCC1=O ZINC000181289081 329229954 /nfs/dbraw/zinc/22/99/54/329229954.db2.gz BUCFPWQYQYGVLD-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN CO[C@@H]1COC[C@H]1NCC(=O)N[C@@](C)(C#N)C(C)C ZINC000583083076 329311616 /nfs/dbraw/zinc/31/16/16/329311616.db2.gz GIGLFLRWKUMFNR-WZRBSPASSA-N 0 1 269.345 0.044 20 30 CCEDMN CCN1CCCN(CCC(=O)N(C)CCC#N)CC1=O ZINC000190169888 329392737 /nfs/dbraw/zinc/39/27/37/329392737.db2.gz BQQYEXLWIAQTLC-UHFFFAOYSA-N 0 1 280.372 0.303 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000192135914 329429424 /nfs/dbraw/zinc/42/94/24/329429424.db2.gz LVEVTOPMNUETGX-AWEZNQCLSA-N 0 1 264.369 0.971 20 30 CCEDMN C#CCNC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000043481003 329582333 /nfs/dbraw/zinc/58/23/33/329582333.db2.gz HCFXZCMHUHJEBI-UHFFFAOYSA-N 0 1 265.357 0.373 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC(C)(C)CC#N)[C@H](C)CN1 ZINC000418969549 329702495 /nfs/dbraw/zinc/70/24/95/329702495.db2.gz FZACNSNHPHRESO-GHMZBOCLSA-N 0 1 280.372 0.251 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1C[C@@H](C)NC[C@@H]1C ZINC000419066173 329707222 /nfs/dbraw/zinc/70/72/22/329707222.db2.gz QGHVLWHUVSZSFC-MNOVXSKESA-N 0 1 253.346 0.278 20 30 CCEDMN CC1(C)CN(C(=O)C(=O)N2CCC(C)(C#N)CC2)CCN1 ZINC000423390396 330200448 /nfs/dbraw/zinc/20/04/48/330200448.db2.gz VXMVDKXPYDWVDQ-UHFFFAOYSA-N 0 1 292.383 0.349 20 30 CCEDMN C=CCN(C(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1)C1CC1 ZINC000423565075 330212192 /nfs/dbraw/zinc/21/21/92/330212192.db2.gz UETXDCOYRNNVAW-PHIMTYICSA-N 0 1 265.357 0.372 20 30 CCEDMN N#CC1(C[C@H](O)C[N@H+]2CCC[C@@H](c3n[nH]c(=O)[n-]3)C2)CC1 ZINC000528501377 330318071 /nfs/dbraw/zinc/31/80/71/330318071.db2.gz FQDSKSKPLFEDJW-MNOVXSKESA-N 0 1 291.355 0.744 20 30 CCEDMN C=CCn1cc(CN[C@@H](C)Cc2cnccn2)nn1 ZINC000424299489 330336720 /nfs/dbraw/zinc/33/67/20/330336720.db2.gz VJOODBOFNBMVGR-NSHDSACASA-N 0 1 258.329 0.975 20 30 CCEDMN C#CCN(CC#C)C(=O)CCSc1nnc(C)[nH]1 ZINC000080432126 330355741 /nfs/dbraw/zinc/35/57/41/330355741.db2.gz WQCMYICDQSPBJY-UHFFFAOYSA-N 0 1 262.338 0.690 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC(C)(OCC)CC1 ZINC000428754604 330531045 /nfs/dbraw/zinc/53/10/45/330531045.db2.gz UTFHPXAZUSJHMJ-UHFFFAOYSA-N 0 1 283.372 0.889 20 30 CCEDMN CC[C@@H](CO)N(C)CC(=O)N(CC)C[C@@H](C)C#N ZINC000451155636 331017981 /nfs/dbraw/zinc/01/79/81/331017981.db2.gz PKEHRPPNEQBMMW-RYUDHWBXSA-N 0 1 255.362 0.697 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(CC)C[C@H]1CC ZINC000451724865 331040252 /nfs/dbraw/zinc/04/02/52/331040252.db2.gz JFKDGYMEUOGERT-GFCCVEGCSA-N 0 1 282.388 0.414 20 30 CCEDMN CCO[C@@H]1COC[C@H]1N[C@@H](C)C(=O)N(C)CCC#N ZINC000451944165 331047861 /nfs/dbraw/zinc/04/78/61/331047861.db2.gz NAKSHMMETAPTAR-QJPTWQEYSA-N 0 1 269.345 0.140 20 30 CCEDMN C=C(C)COCCNC(=O)N1C[C@H]2CC[N@H+](C)[C@H]2C1 ZINC000454701064 331151803 /nfs/dbraw/zinc/15/18/03/331151803.db2.gz WQJQMEOTCJWNAF-OLZOCXBDSA-N 0 1 267.373 0.925 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)[C@H]1CCSC1 ZINC000164264830 331188754 /nfs/dbraw/zinc/18/87/54/331188754.db2.gz PYYBIGWGMZPYGW-JTQLQIEISA-N 0 1 253.371 0.986 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCC[C@H](C(N)=O)C1 ZINC000490717936 332108566 /nfs/dbraw/zinc/10/85/66/332108566.db2.gz GFGWZAWBCWEYKC-MELADBBJSA-N 0 1 292.379 0.919 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](C(C)(C)C)[C@H](O)C1 ZINC000490951337 332132574 /nfs/dbraw/zinc/13/25/74/332132574.db2.gz WWFALGPMXNNCAL-RWMBFGLXSA-N 0 1 266.385 0.853 20 30 CCEDMN C#CCNC(=O)C1CCN(C(=O)CN(CC)C2CC2)CC1 ZINC000491100341 332149852 /nfs/dbraw/zinc/14/98/52/332149852.db2.gz GOZLAVYTSWIOSL-UHFFFAOYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)N(C)CC2CC2)CC1 ZINC000491203828 332165676 /nfs/dbraw/zinc/16/56/76/332165676.db2.gz ZOLVQXUQIBPALJ-UHFFFAOYSA-N 0 1 291.395 0.316 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2CCO)CC1 ZINC000491305947 332186409 /nfs/dbraw/zinc/18/64/09/332186409.db2.gz KZJYTWLJGLPTEG-CQSZACIVSA-N 0 1 264.369 0.705 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@@H](C)[C@@H](OC)C2)C1=O ZINC000491325256 332189485 /nfs/dbraw/zinc/18/94/85/332189485.db2.gz AXUSABPTGNQUPO-WOPDTQHZSA-N 0 1 264.325 0.104 20 30 CCEDMN C#C[C@H](NC(=O)N1CC[C@H](c2nc[nH]n2)C1)C(C)C ZINC000491452846 332214337 /nfs/dbraw/zinc/21/43/37/332214337.db2.gz NRNKIQVCASGJAO-QWRGUYRKSA-N 0 1 261.329 0.961 20 30 CCEDMN C#CCN(C(=O)C(=O)N1CCNCC1)C1CCCCC1 ZINC000491514533 332226842 /nfs/dbraw/zinc/22/68/42/332226842.db2.gz INSDLTJCQUSBMW-UHFFFAOYSA-N 0 1 277.368 0.213 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](O)C2(C)C)CC1 ZINC000491660086 332270560 /nfs/dbraw/zinc/27/05/60/332270560.db2.gz NDMLHRBKTYFWTD-ZDUSSCGKSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@@H](c2cnn(C)c2)C(C)(C)C1 ZINC000491667991 332276021 /nfs/dbraw/zinc/27/60/21/332276021.db2.gz SFJBIXHCPBUYPG-OCCSQVGLSA-N 0 1 288.395 0.983 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1ccc(OCC)cc1 ZINC000491731333 332313096 /nfs/dbraw/zinc/31/30/96/332313096.db2.gz QDUGLSJPJKXJCU-STQMWFEESA-N 0 1 279.336 0.409 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[C@H](C)[C@H](C(=O)[O-])C1 ZINC000491746922 332324252 /nfs/dbraw/zinc/32/42/52/332324252.db2.gz VMPZKUBBQGIRGQ-QWHCGFSZSA-N 0 1 295.383 0.694 20 30 CCEDMN C#CCN1C(=O)C[C@H](NCc2ccc(F)cc2)C1=O ZINC000491760216 332331363 /nfs/dbraw/zinc/33/13/63/332331363.db2.gz PYLFKZLAUMNIEX-LBPRGKRZSA-N 0 1 260.268 0.676 20 30 CCEDMN C#CCN(C)C[C@H](O)COc1ccc2c(c1)OCO2 ZINC000491767461 332335223 /nfs/dbraw/zinc/33/52/23/332335223.db2.gz GUHYWSNXBPFKKU-NSHDSACASA-N 0 1 263.293 0.720 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(c2ccncn2)CC1 ZINC000491792387 332353064 /nfs/dbraw/zinc/35/30/64/332353064.db2.gz FOZJILZQUNSLQZ-GFCCVEGCSA-N 0 1 272.352 0.794 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC[C@H](COC)C2)C1=O ZINC000491819811 332369601 /nfs/dbraw/zinc/36/96/01/332369601.db2.gz PATJSTCBFPXZID-NWDGAFQWSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCNC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000187087388 333167966 /nfs/dbraw/zinc/16/79/66/333167966.db2.gz YLTQTYLGRKJVLP-LLVKDONJSA-N 0 1 253.346 0.106 20 30 CCEDMN N#CCC1CCN(C(=O)NC[C@@H]2COCCN2)CC1 ZINC000529375658 333483813 /nfs/dbraw/zinc/48/38/13/333483813.db2.gz KTWKDOUGCYUYBX-GFCCVEGCSA-N 0 1 266.345 0.310 20 30 CCEDMN CC#CCCNC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000543605687 333884172 /nfs/dbraw/zinc/88/41/72/333884172.db2.gz UAKQGGSPURQQQD-UHFFFAOYSA-N 0 1 286.379 0.977 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C(C)C)C[C@H]1O ZINC000341023879 335165564 /nfs/dbraw/zinc/16/55/64/335165564.db2.gz XSDZVCJFFXFTKM-BZPMIXESSA-N 0 1 267.373 0.744 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)C1(C#N)CCC1 ZINC000134868487 334106234 /nfs/dbraw/zinc/10/62/34/334106234.db2.gz KMAWUEVUDFELHV-LBPRGKRZSA-N 0 1 264.373 0.432 20 30 CCEDMN C#CCNC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000077668397 334111675 /nfs/dbraw/zinc/11/16/75/334111675.db2.gz SSDLYXMMIDQMHM-LBPRGKRZSA-N 0 1 253.346 0.276 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@@H]1COC[C@H]1OC ZINC000576277298 335181019 /nfs/dbraw/zinc/18/10/19/335181019.db2.gz YYCNJHXJQIRFSA-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN OCC#CCNC[C@@H](O)COc1ccccc1Cl ZINC000564570925 334512468 /nfs/dbraw/zinc/51/24/68/334512468.db2.gz IFFZCZJAVRLTDB-LLVKDONJSA-N 0 1 269.728 0.665 20 30 CCEDMN C=CCNC(=O)CNCc1cc(OC)c(O)c(OC)c1 ZINC000290471363 334872372 /nfs/dbraw/zinc/87/23/72/334872372.db2.gz UTSREHAVAMDKTF-UHFFFAOYSA-N 0 1 280.324 0.801 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNS(=O)(=O)CCN1CCCC1 ZINC000576393259 335197804 /nfs/dbraw/zinc/19/78/04/335197804.db2.gz BRTMEDYCBMCWBQ-STQMWFEESA-N 0 1 288.413 0.593 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccc(C#N)c(N)n2)[C@@H](C)C1 ZINC000577412969 335350559 /nfs/dbraw/zinc/35/05/59/335350559.db2.gz VNFHEWAIAJYURT-WDEREUQCSA-N 0 1 275.356 0.427 20 30 CCEDMN COC(=O)[C@@H](CF)NS(=O)(=O)CCCCC#N ZINC000344950605 534256164 /nfs/dbraw/zinc/25/61/64/534256164.db2.gz DMLKMMBUOVIFMY-MRVPVSSYSA-N 0 1 266.294 0.111 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)CC(C)(C)C#N)CC2)n[nH]1 ZINC000353796850 534352535 /nfs/dbraw/zinc/35/25/35/534352535.db2.gz KDDVPEATHWGBJA-UHFFFAOYSA-N 0 1 290.371 0.697 20 30 CCEDMN C=C[C@@H](O)C(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC000665853375 545108637 /nfs/dbraw/zinc/10/86/37/545108637.db2.gz HLHSJPNXBHTWFX-GFCCVEGCSA-N 0 1 276.340 0.319 20 30 CCEDMN C=CCN(Cc1cnc[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC000352562305 526380310 /nfs/dbraw/zinc/38/03/10/526380310.db2.gz JHWXFMYSJSJUSN-LLVKDONJSA-N 0 1 255.343 0.585 20 30 CCEDMN C=CCCNC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000665940707 545110228 /nfs/dbraw/zinc/11/02/28/545110228.db2.gz JZIMZOYUVLQENY-NWDGAFQWSA-N 0 1 255.362 0.659 20 30 CCEDMN CC(=O)N1CC[C@H](NC(=O)N[C@H]2CCc3c[nH]nc3C2)C1 ZINC000329987806 526663700 /nfs/dbraw/zinc/66/37/00/526663700.db2.gz SWEBNDLIVDEPHW-RYUDHWBXSA-N 0 1 291.355 0.391 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NCCCCN1CCOCC1 ZINC000666469694 545123573 /nfs/dbraw/zinc/12/35/73/545123573.db2.gz JFUAVDCOCMHVLK-CYBMUJFWSA-N 0 1 256.346 0.152 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000668435875 545177465 /nfs/dbraw/zinc/17/74/65/545177465.db2.gz QRUKKSOWDJYEAO-UONOGXRCSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCOCCCC(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000670410041 545233620 /nfs/dbraw/zinc/23/36/20/545233620.db2.gz AGRXIVWKNNZEGA-CQSZACIVSA-N 0 1 281.400 0.475 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)NCc1cn[nH]c1C ZINC000344314282 528643973 /nfs/dbraw/zinc/64/39/73/528643973.db2.gz ZHHSICCDIWUDLE-VIFPVBQESA-N 0 1 285.373 0.534 20 30 CCEDMN CCNC(=O)C1CN(C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC000329061630 528821036 /nfs/dbraw/zinc/82/10/36/528821036.db2.gz ZJOXZCIWYJTJRS-QMMMGPOBSA-N 0 1 277.328 0.344 20 30 CCEDMN CCNC(=O)C1CN(C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC000329061630 528821043 /nfs/dbraw/zinc/82/10/43/528821043.db2.gz ZJOXZCIWYJTJRS-QMMMGPOBSA-N 0 1 277.328 0.344 20 30 CCEDMN CC[C@H](CC#N)NS(=O)(=O)CCN(CC)CC ZINC000352192052 529300824 /nfs/dbraw/zinc/30/08/24/529300824.db2.gz UKHXODUTIWXGLG-LLVKDONJSA-N 0 1 261.391 0.940 20 30 CCEDMN CCOC(=O)c1coc(CNCCn2cnc(C#N)n2)c1 ZINC000348871553 535717854 /nfs/dbraw/zinc/71/78/54/535717854.db2.gz XWHJACAFWDXBNK-UHFFFAOYSA-N 0 1 289.295 0.709 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)OC(C)(C)C)C1 ZINC000725810137 581137381 /nfs/dbraw/zinc/13/73/81/581137381.db2.gz NUKPRKFORMQRTA-LBPRGKRZSA-N 0 1 295.383 0.582 20 30 CCEDMN C[C@H](NC(=O)[O-])[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC000739008199 581123843 /nfs/dbraw/zinc/12/38/43/581123843.db2.gz IJZGEYDNYYQWPI-VHSXEESVSA-N 0 1 268.317 0.137 20 30 CCEDMN C[C@H](NC(=O)[O-])[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC000739008199 581123846 /nfs/dbraw/zinc/12/38/46/581123846.db2.gz IJZGEYDNYYQWPI-VHSXEESVSA-N 0 1 268.317 0.137 20 30 CCEDMN C#CCNC(=O)COC(=O)c1[nH]nc2c1CCCCC2 ZINC000789171353 581136278 /nfs/dbraw/zinc/13/62/78/581136278.db2.gz KPLPIKNGGXZMAQ-UHFFFAOYSA-N 0 1 275.308 0.585 20 30 CCEDMN COCCN(C)c1ccc(C=NN[C@@H](C)CO)cn1 ZINC000790167457 581178960 /nfs/dbraw/zinc/17/89/60/581178960.db2.gz SSFBZUBEANMJQQ-NSHDSACASA-N 0 1 266.345 0.469 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2[nH]nc3cc(C(=O)[O-])ccc23)N1 ZINC000779791220 581205204 /nfs/dbraw/zinc/20/52/04/581205204.db2.gz HZVPUJSNDQXTNB-ZETCQYMHSA-N 0 1 286.295 0.532 20 30 CCEDMN COC(=O)[C@@H](C)n1cccc1C=NNC1=NC[C@@H](C)N1 ZINC000779794238 581205520 /nfs/dbraw/zinc/20/55/20/581205520.db2.gz FKMYDHGACSYLKL-NXEZZACHSA-N 0 1 277.328 0.493 20 30 CCEDMN C[C@@H]1CN=C(NN=C2CCN(Cc3ccccc3)C2=O)N1 ZINC000779793684 581205566 /nfs/dbraw/zinc/20/55/66/581205566.db2.gz XCJKMBKATPFNSS-LLVKDONJSA-N 0 1 285.351 0.712 20 30 CCEDMN N#CC1(C(=O)N[C@H](CO)CN2CCCCC2)CCC1 ZINC000331876889 581222014 /nfs/dbraw/zinc/22/20/14/581222014.db2.gz NAKXWDGXXXQZKB-LBPRGKRZSA-N 0 1 265.357 0.643 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)NCc1ccc(C#N)cn1 ZINC000780295601 581224210 /nfs/dbraw/zinc/22/42/10/581224210.db2.gz BQBIILHFWCZVIO-UHFFFAOYSA-N 0 1 270.296 0.984 20 30 CCEDMN COc1cncc(C(=O)[C@@H](C#N)C(=O)Nc2ccccn2)n1 ZINC000791785092 581234011 /nfs/dbraw/zinc/23/40/11/581234011.db2.gz ZNYRLVBWSQEQBM-SECBINFHSA-N 0 1 297.274 0.841 20 30 CCEDMN COc1cncc(C(=O)C(C#N)C(=O)Nc2ccccn2)n1 ZINC000791785092 581234014 /nfs/dbraw/zinc/23/40/14/581234014.db2.gz ZNYRLVBWSQEQBM-SECBINFHSA-N 0 1 297.274 0.841 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000780602278 581235733 /nfs/dbraw/zinc/23/57/33/581235733.db2.gz ORXVPJJJVQRCDF-ZDUSSCGKSA-N 0 1 297.399 0.123 20 30 CCEDMN COC(=O)c1cc(C(=O)NC2=NO[C@@H](C)C2)on1 ZINC000781431126 581268286 /nfs/dbraw/zinc/26/82/86/581268286.db2.gz LMDADYUEBFZLQL-YFKPBYRVSA-N 0 1 253.214 0.313 20 30 CCEDMN CCNC(=O)C[C@@H]1CCCCN1CC(=O)NCCC#N ZINC000792930935 581276691 /nfs/dbraw/zinc/27/66/91/581276691.db2.gz MRIPCNKSOCNIDJ-LBPRGKRZSA-N 0 1 280.372 0.397 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H]2CCC[C@H]2NC(=O)[O-])CC1 ZINC000738457716 581332127 /nfs/dbraw/zinc/33/21/27/581332127.db2.gz VHXOMFBNTSPLMQ-CHWSQXEVSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C2(NC(=O)[O-])CCCC2)CC1 ZINC000738457641 581332169 /nfs/dbraw/zinc/33/21/69/581332169.db2.gz SCUXOCYDNGVGDF-UHFFFAOYSA-N 0 1 293.367 0.734 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)[C@H](NC(=O)[O-])C(C)C ZINC000738459829 581333090 /nfs/dbraw/zinc/33/30/90/581333090.db2.gz FQXLKSPYMZVQTI-VXGBXAGGSA-N 0 1 282.340 0.919 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738461163 581333688 /nfs/dbraw/zinc/33/36/88/581333688.db2.gz OMBKLBUCKPDSND-WDEREUQCSA-N 0 1 267.329 0.199 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738461163 581333689 /nfs/dbraw/zinc/33/36/89/581333689.db2.gz OMBKLBUCKPDSND-WDEREUQCSA-N 0 1 267.329 0.199 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H](CNC(=O)[O-])C1 ZINC000738489859 581360019 /nfs/dbraw/zinc/36/00/19/581360019.db2.gz JGGCPTMUFMVOAQ-GFCCVEGCSA-N 0 1 281.356 0.777 20 30 CCEDMN CC(C)c1n[nH]c(COC(=O)[C@@H](C)n2cnc(C#N)n2)n1 ZINC000795576957 581413697 /nfs/dbraw/zinc/41/36/97/581413697.db2.gz GPTUBLKRLZFXAD-MRVPVSSYSA-N 0 1 289.299 0.696 20 30 CCEDMN CC(C)c1nc(COC(=O)[C@@H](C)n2cnc(C#N)n2)n[nH]1 ZINC000795576957 581413698 /nfs/dbraw/zinc/41/36/98/581413698.db2.gz GPTUBLKRLZFXAD-MRVPVSSYSA-N 0 1 289.299 0.696 20 30 CCEDMN Cc1cnc(COCC(=O)C(C#N)C(=O)NC(C)C)o1 ZINC000796791223 581450855 /nfs/dbraw/zinc/45/08/55/581450855.db2.gz DROQWJISGPTVJX-JTQLQIEISA-N 0 1 279.296 0.733 20 30 CCEDMN N#CCNC(=O)COC(=O)[C@H]1CCCN1C1CCCC1 ZINC000786885124 581452322 /nfs/dbraw/zinc/45/23/22/581452322.db2.gz RTENQGBWLCTDBB-GFCCVEGCSA-N 0 1 279.340 0.576 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@H]2CCCN2C2CC2)CCN1C1CC1 ZINC000787348815 581468492 /nfs/dbraw/zinc/46/84/92/581468492.db2.gz SLUHARSJRBGDGL-HUUCEWRRSA-N 0 1 288.395 0.812 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)C[N@H+]2CCC[C@@H]2C(=O)[O-])cc1 ZINC000739128429 581508715 /nfs/dbraw/zinc/50/87/15/581508715.db2.gz DCMACJOYDSNEJD-TZMCWYRMSA-N 0 1 290.319 0.847 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)Cc2cn[nH]c2)CC1 ZINC000733893258 581531144 /nfs/dbraw/zinc/53/11/44/581531144.db2.gz GVEOFVWVIUXVJE-UHFFFAOYSA-N 0 1 260.341 0.713 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+](C)[C@H](C)CNC(=O)[O-] ZINC000739414695 581549137 /nfs/dbraw/zinc/54/91/37/581549137.db2.gz JKIXKAXYVFSFET-SNVBAGLBSA-N 0 1 270.333 0.336 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C)[C@H](C)CNC(=O)[O-] ZINC000739414695 581549138 /nfs/dbraw/zinc/54/91/38/581549138.db2.gz JKIXKAXYVFSFET-SNVBAGLBSA-N 0 1 270.333 0.336 20 30 CCEDMN C[N@@H+](CCCCC#N)CCN1CCN(C(=O)[O-])CC1 ZINC000739669025 581589940 /nfs/dbraw/zinc/58/99/40/581589940.db2.gz NAIUXMATFYNNFH-UHFFFAOYSA-N 0 1 268.361 0.908 20 30 CCEDMN N#CCCNC(=O)COC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000767804361 581631452 /nfs/dbraw/zinc/63/14/52/581631452.db2.gz GSKMKEWOVBYIJM-UHFFFAOYSA-N 0 1 299.290 0.658 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C[C@H]1CCOC1 ZINC000740529906 581692351 /nfs/dbraw/zinc/69/23/51/581692351.db2.gz YHOFEFFBIYQVQC-MNOVXSKESA-N 0 1 268.313 0.275 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H](NC(=O)[O-])C(C)C)CC1 ZINC000736077372 581695348 /nfs/dbraw/zinc/69/53/48/581695348.db2.gz SELSHWZIIIWVRQ-GFCCVEGCSA-N 0 1 281.356 0.446 20 30 CCEDMN N#CCCNC(=O)COC(=O)c1[nH]nc2c1CCCC2 ZINC000731706397 581776056 /nfs/dbraw/zinc/77/60/56/581776056.db2.gz IZMCLSLNEKIPIQ-UHFFFAOYSA-N 0 1 276.296 0.475 20 30 CCEDMN N#Cc1ccc([C@@H](N[C@@H](CO)C2CC2)C(N)=O)cc1 ZINC000771812372 581823739 /nfs/dbraw/zinc/82/37/39/581823739.db2.gz HQFOVOGKOUKFBY-QWHCGFSZSA-N 0 1 259.309 0.445 20 30 CCEDMN N#Cc1ccc([C@H](N[C@H](CO)C2CC2)C(N)=O)cc1 ZINC000771812371 581823852 /nfs/dbraw/zinc/82/38/52/581823852.db2.gz HQFOVOGKOUKFBY-OLZOCXBDSA-N 0 1 259.309 0.445 20 30 CCEDMN N#CCOc1cccc(CC(=O)NCCN2CCC2)c1 ZINC000760759167 581872217 /nfs/dbraw/zinc/87/22/17/581872217.db2.gz BJTMGLPHIKJFAI-UHFFFAOYSA-N 0 1 273.336 0.953 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])CC(=O)N(CC)CCC#N ZINC000737013241 581885699 /nfs/dbraw/zinc/88/56/99/581885699.db2.gz LMKBYOAHYAZXAS-UHFFFAOYSA-N 0 1 255.318 0.545 20 30 CCEDMN CCC[N@@H+](CC(=O)[O-])CC(=O)N(CC)CCC#N ZINC000737013241 581885702 /nfs/dbraw/zinc/88/57/02/581885702.db2.gz LMKBYOAHYAZXAS-UHFFFAOYSA-N 0 1 255.318 0.545 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCN(C)[C@@H](C)C1 ZINC000761072838 581885751 /nfs/dbraw/zinc/88/57/51/581885751.db2.gz FINWNCKBYQGBMQ-NWDGAFQWSA-N 0 1 252.362 0.383 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCN(C)[C@@H](C)C1 ZINC000761078570 581886562 /nfs/dbraw/zinc/88/65/62/581886562.db2.gz RPYKAWGRACFQRY-OLZOCXBDSA-N 0 1 266.389 0.630 20 30 CCEDMN CN1CC[C@H](C(=O)Nc2cc(C#N)ccc2O)C1=O ZINC000773499533 581930059 /nfs/dbraw/zinc/93/00/59/581930059.db2.gz ALIVMYUTGFVMAO-SECBINFHSA-N 0 1 259.265 0.681 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCN(C)C1=O ZINC000774061155 581956140 /nfs/dbraw/zinc/95/61/40/581956140.db2.gz FWNIUBYLGVFDIQ-VXGBXAGGSA-N 0 1 264.325 0.106 20 30 CCEDMN Cn1cc(C(=O)Nc2cc(C#N)ccc2O)c(=O)[nH]c1=O ZINC000748411029 581974072 /nfs/dbraw/zinc/97/40/72/581974072.db2.gz UFYXLFQGFGQQCU-UHFFFAOYSA-N 0 1 286.247 0.315 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC[C@H]1CCCO1)[C@H]1CCCO1 ZINC000775789476 582038124 /nfs/dbraw/zinc/03/81/24/582038124.db2.gz CWULROFGUBLRAD-YIYPIFLZSA-N 0 1 280.368 0.441 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC[C@@H]1CCCO1)[C@H]1CCCO1 ZINC000775789477 582038297 /nfs/dbraw/zinc/03/82/97/582038297.db2.gz CWULROFGUBLRAD-ZOBORPQBSA-N 0 1 280.368 0.441 20 30 CCEDMN C=C(CN(C)C)C(=O)NCC[S@](=O)C(C)(C)C ZINC000764508704 582053379 /nfs/dbraw/zinc/05/33/79/582053379.db2.gz PIDXDRNOHOPQNP-KRWDZBQOSA-N 0 1 260.403 0.768 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000738489229 582055681 /nfs/dbraw/zinc/05/56/81/582055681.db2.gz BAFFDOPZYICRKW-LLVKDONJSA-N 0 1 267.329 0.529 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000738489229 582055684 /nfs/dbraw/zinc/05/56/84/582055684.db2.gz BAFFDOPZYICRKW-LLVKDONJSA-N 0 1 267.329 0.529 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(CC2CC2)CC1)[C@H]1CCCO1 ZINC000776225069 582061087 /nfs/dbraw/zinc/06/10/87/582061087.db2.gz OWVKYJDBFYAIDG-LSDHHAIUSA-N 0 1 291.395 0.904 20 30 CCEDMN C=CCNC(=O)CNC(=S)NC[C@H]1CCC[N@@H+]1CC ZINC000776735760 582088055 /nfs/dbraw/zinc/08/80/55/582088055.db2.gz UNDZVFBCSCPSGO-LLVKDONJSA-N 0 1 284.429 0.237 20 30 CCEDMN CC[S@](C)(=O)=NS(=O)(=O)NCC1(C#N)CC1 ZINC000867221620 616020202 /nfs/dbraw/zinc/02/02/02/616020202.db2.gz BURMCTRHJFPXBL-HNNXBMFYSA-N 0 1 265.360 0.242 20 30 CCEDMN COC[C@H](C)[C@@H](C)C(=O)C(C#N)C(=O)NC1CC1 ZINC000813060042 616635603 /nfs/dbraw/zinc/63/56/03/616635603.db2.gz OFYZLSBKBHFTGD-NGZCFLSTSA-N 0 1 252.314 0.892 20 30 CCEDMN C#CCCCS(=O)(=O)NCCN1CCC(OC)CC1 ZINC000805028746 612984238 /nfs/dbraw/zinc/98/42/38/612984238.db2.gz JNDHZGOCAGKRHY-UHFFFAOYSA-N 0 1 288.413 0.430 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC001007199098 649907123 /nfs/dbraw/zinc/90/71/23/649907123.db2.gz AFEZKMVDSMVGLF-CYBMUJFWSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000062134593 597528868 /nfs/dbraw/zinc/52/88/68/597528868.db2.gz BRDSPCYVKJIPQA-LLVKDONJSA-N 0 1 252.314 0.594 20 30 CCEDMN C#CCCN(CCOC)C[C@H]1CCCS(=O)(=O)C1 ZINC000852748590 612989197 /nfs/dbraw/zinc/98/91/97/612989197.db2.gz DSSRJYPSKVQYPS-CYBMUJFWSA-N 0 1 273.398 0.783 20 30 CCEDMN C#CCSCC[NH2+]Cc1ccc(-c2nn[n-]n2)o1 ZINC000819710018 599443667 /nfs/dbraw/zinc/44/36/67/599443667.db2.gz VWDMWXANYOFGCY-UHFFFAOYSA-N 0 1 263.326 0.916 20 30 CCEDMN C[N@H+](CC(=O)N(CCC#N)CCC#N)C(C)(C)C(=O)[O-] ZINC000061172321 600133979 /nfs/dbraw/zinc/13/39/79/600133979.db2.gz XDSOSXHDPIUNOV-UHFFFAOYSA-N 0 1 280.328 0.437 20 30 CCEDMN C[N@@H+](CC(=O)N(CCC#N)CCC#N)C(C)(C)C(=O)[O-] ZINC000061172321 600133980 /nfs/dbraw/zinc/13/39/80/600133980.db2.gz XDSOSXHDPIUNOV-UHFFFAOYSA-N 0 1 280.328 0.437 20 30 CCEDMN C#CCNC(=O)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000132607153 612946562 /nfs/dbraw/zinc/94/65/62/612946562.db2.gz DTIDNEHEPRLGJD-TXEJJXNPSA-N 0 1 253.346 0.370 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC2(CC1)COCCO2 ZINC000880540460 612947629 /nfs/dbraw/zinc/94/76/29/612947629.db2.gz DTPDWDLHRKAYKZ-GFCCVEGCSA-N 0 1 266.341 0.006 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)OCC2(C#N)CC2)cn1 ZINC000859924751 617178244 /nfs/dbraw/zinc/17/82/44/617178244.db2.gz XPIFNZSJADEWFE-UHFFFAOYSA-N 0 1 295.320 0.914 20 30 CCEDMN C#CC1(F)CN(C(=O)c2cc([C@@H]3CCCN3C)n[nH]2)C1 ZINC000899215271 612948976 /nfs/dbraw/zinc/94/89/76/612948976.db2.gz KUBDIFVCKGNRMV-LBPRGKRZSA-N 0 1 276.315 0.974 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+]1CC[C@](C)(C(=O)[O-])C1)C1CC1 ZINC000828020394 601923230 /nfs/dbraw/zinc/92/32/30/601923230.db2.gz PUMBPHIMKQKDCQ-KBPBESRZSA-N 0 1 279.340 0.591 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000825971751 601926326 /nfs/dbraw/zinc/92/63/26/601926326.db2.gz FKPYDIUPWMXRAB-MNOVXSKESA-N 0 1 299.396 0.639 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000825971755 601927134 /nfs/dbraw/zinc/92/71/34/601927134.db2.gz FKPYDIUPWMXRAB-WDEREUQCSA-N 0 1 299.396 0.639 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(CC1CC1)[C@@H](C(N)=O)C1CC1 ZINC000879491841 612949810 /nfs/dbraw/zinc/94/98/10/612949810.db2.gz BKXNNAPDCAFEFP-GXFFZTMASA-N 0 1 277.368 0.100 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCCN1CC=CCC1 ZINC000875930047 613034815 /nfs/dbraw/zinc/03/48/15/613034815.db2.gz YFYOZZHPJCJNOY-ZDUSSCGKSA-N 0 1 265.357 0.586 20 30 CCEDMN C[C@@H]1CC[C@H](C)[N@@H+]1CC(=O)N1CCN(C(=O)[O-])C[C@H]1C#N ZINC000825872285 604085012 /nfs/dbraw/zinc/08/50/12/604085012.db2.gz NKQSYYYRYPJXAC-GRYCIOLGSA-N 0 1 294.355 0.574 20 30 CCEDMN C#CC[C@@H](COC)N[C@H](Cc1ccccc1)C(N)=O ZINC000863391703 613038727 /nfs/dbraw/zinc/03/87/27/613038727.db2.gz WCAYCULPGNWNFJ-UONOGXRCSA-N 0 1 260.337 0.711 20 30 CCEDMN C[C@H](NC(=O)[O-])[C@@H]1C[N@H+](CCCSCC#N)CCO1 ZINC000825350637 604596446 /nfs/dbraw/zinc/59/64/46/604596446.db2.gz JWZKKINUVNCFIZ-QWRGUYRKSA-N 0 1 287.385 0.990 20 30 CCEDMN C[C@H](NC(=O)[O-])[C@@H]1C[N@@H+](CCCSCC#N)CCO1 ZINC000825350637 604596449 /nfs/dbraw/zinc/59/64/49/604596449.db2.gz JWZKKINUVNCFIZ-QWRGUYRKSA-N 0 1 287.385 0.990 20 30 CCEDMN N#Cc1ccc([C@@H](O)Cn2cnc(-c3nn[nH]n3)n2)cc1 ZINC000826384810 607869129 /nfs/dbraw/zinc/86/91/29/607869129.db2.gz KNNFOTDSJKPNFD-JTQLQIEISA-N 0 1 282.267 0.063 20 30 CCEDMN C#CCNCC(=O)N[C@H](Cc1ccccc1)C(=O)OC ZINC000105965049 612956549 /nfs/dbraw/zinc/95/65/49/612956549.db2.gz UCTNBELQWIOBSC-CYBMUJFWSA-N 0 1 274.320 0.110 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(NC(=O)CC)c1 ZINC000912293644 612956696 /nfs/dbraw/zinc/95/66/96/612956696.db2.gz TUTFMRCFTYNYIK-UHFFFAOYSA-N 0 1 273.336 0.874 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000820647951 613085282 /nfs/dbraw/zinc/08/52/82/613085282.db2.gz YAPOEQYUDXWWSM-ZDUSSCGKSA-N 0 1 281.400 0.886 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(OC)no2)C1 ZINC000971380064 613085741 /nfs/dbraw/zinc/08/57/41/613085741.db2.gz FCENRRNKAVIZIA-SNVBAGLBSA-N 0 1 263.297 0.463 20 30 CCEDMN C#C[C@@H](NC(=O)C1CN([C@H]2CCOC2)C1)C(C)(C)C ZINC000897774515 613091746 /nfs/dbraw/zinc/09/17/46/613091746.db2.gz GDGQRSQMTMOVIM-QWHCGFSZSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)N(CC)CC ZINC000922027281 613098052 /nfs/dbraw/zinc/09/80/52/613098052.db2.gz VVAWWMKTOXOZQL-UHFFFAOYSA-N 0 1 287.429 0.602 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)CCC=C ZINC000922024360 613098029 /nfs/dbraw/zinc/09/80/29/613098029.db2.gz PSRCFPLPDZHDCK-UHFFFAOYSA-N 0 1 270.398 0.922 20 30 CCEDMN C#CCN(C[C@@H](O)CO[C@H](C)COC)C1CSC1 ZINC000878910388 613108292 /nfs/dbraw/zinc/10/82/92/613108292.db2.gz UCXTWKWZFIJLEF-DGCLKSJQSA-N 0 1 273.398 0.449 20 30 CCEDMN C#CC1CCN(CC2(O)CCS(=O)(=O)CC2)CC1 ZINC000830329946 612964367 /nfs/dbraw/zinc/96/43/67/612964367.db2.gz NGSBDAKBHLROHV-UHFFFAOYSA-N 0 1 271.382 0.271 20 30 CCEDMN C#CCC1(O)CCN([C@H](C)C(=O)NCCCOCC)CC1 ZINC000880479079 612974194 /nfs/dbraw/zinc/97/41/94/612974194.db2.gz PKPZNPDEHYUVCQ-CQSZACIVSA-N 0 1 296.411 0.768 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1F ZINC000834510420 617234931 /nfs/dbraw/zinc/23/49/31/617234931.db2.gz AQGQOCKUZZKXQF-ONGXEEELSA-N 0 1 263.272 0.676 20 30 CCEDMN COc1ccc(C=O)cc1C(=O)NCC#CCN(C)C ZINC000913525232 617239328 /nfs/dbraw/zinc/23/93/28/617239328.db2.gz OTVAVEKBELSVOE-UHFFFAOYSA-N 0 1 274.320 0.803 20 30 CCEDMN COc1ccc(CN[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)nn1 ZINC000967942600 617259627 /nfs/dbraw/zinc/25/96/27/617259627.db2.gz CZZAYHVIJFZRSE-CMPLNLGQSA-N 0 1 289.339 0.335 20 30 CCEDMN COc1ccc(F)cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834516832 617265006 /nfs/dbraw/zinc/26/50/06/617265006.db2.gz MLGOFZIFKBYDOV-GZMMTYOYSA-N 0 1 263.272 0.676 20 30 CCEDMN COc1ccc(OC)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834512213 617274934 /nfs/dbraw/zinc/27/49/34/617274934.db2.gz SWLZTAFISAMENU-JOYOIKCWSA-N 0 1 275.308 0.545 20 30 CCEDMN COc1cccc(F)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834480399 617302555 /nfs/dbraw/zinc/30/25/55/617302555.db2.gz PNPJSFGSPAPTQF-PSASIEDQSA-N 0 1 263.272 0.676 20 30 CCEDMN COc1cccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c1OC ZINC000886976593 617304009 /nfs/dbraw/zinc/30/40/09/617304009.db2.gz GIXDXBXCBBDJFK-GXSJLCMTSA-N 0 1 290.323 0.937 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000811450174 612949036 /nfs/dbraw/zinc/94/90/36/612949036.db2.gz WXMJPYKTOJYYLY-ABAIWWIYSA-N 0 1 298.358 0.880 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2ccc(CN(C)C)nc2)CC1 ZINC000912972041 612951898 /nfs/dbraw/zinc/95/18/98/612951898.db2.gz TYAOOATWFCNHRT-UHFFFAOYSA-N 0 1 287.363 0.744 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2nnc3ccccc3c2O)CC1 ZINC000899116474 612952079 /nfs/dbraw/zinc/95/20/79/612952079.db2.gz OFIDKKUYLIERHX-UHFFFAOYSA-N 0 1 297.314 0.936 20 30 CCEDMN C#CCNC(=O)c1ccc(N[C@H]2CCCN(CC#C)C2)nc1 ZINC000884612443 612952776 /nfs/dbraw/zinc/95/27/76/612952776.db2.gz LSSFVFZNUSWWMS-HNNXBMFYSA-N 0 1 296.374 0.954 20 30 CCEDMN C#CC1(O)CN(Cc2ccnn2-c2ccncc2)C1 ZINC000898144905 612961264 /nfs/dbraw/zinc/96/12/64/612961264.db2.gz RAJIOZHAZSXEEO-UHFFFAOYSA-N 0 1 254.293 0.447 20 30 CCEDMN C#CCC1(O)CCN(C/C(C)=C\C(=O)OC)CC1 ZINC000931700961 612973297 /nfs/dbraw/zinc/97/32/97/612973297.db2.gz UHJFNPMTWKFMKY-BENRWUELSA-N 0 1 251.326 0.956 20 30 CCEDMN C#CCOCCC(=O)NC[C@H]1CCO[C@H]1c1n[nH]c(C)n1 ZINC000926646388 612979917 /nfs/dbraw/zinc/97/99/17/612979917.db2.gz MEZVMJFGPFZYGV-DGCLKSJQSA-N 0 1 292.339 0.347 20 30 CCEDMN C#CCOCCN1CCC(N2CCCS2(=O)=O)CC1 ZINC000852170934 612982259 /nfs/dbraw/zinc/98/22/59/612982259.db2.gz UGSRXMBTUANCGP-UHFFFAOYSA-N 0 1 286.397 0.136 20 30 CCEDMN C#CCOCCN1CCC(N(C)S(=O)(=O)CC)CC1 ZINC000851842461 612982405 /nfs/dbraw/zinc/98/24/05/612982405.db2.gz WQEIBGOZUITWIN-UHFFFAOYSA-N 0 1 288.413 0.382 20 30 CCEDMN C#CCOCCN1CCN(C(=O)c2cc(C)[nH]n2)C[C@H]1C ZINC000852155363 612983283 /nfs/dbraw/zinc/98/32/83/612983283.db2.gz FVYQSVKQADFYDU-CYBMUJFWSA-N 0 1 290.367 0.514 20 30 CCEDMN C#CCOCCN1C[C@@H](CC)O[C@]2(CCOC2)C1 ZINC000852001862 612984633 /nfs/dbraw/zinc/98/46/33/612984633.db2.gz OZBKWNIQEHHVJA-ZIAGYGMSSA-N 0 1 253.342 0.906 20 30 CCEDMN C#CCOCCN1C[C@H](C)O[C@]2(CCCOC2)C1 ZINC000852003069 612985188 /nfs/dbraw/zinc/98/51/88/612985188.db2.gz HWMCVDYPBNLWEW-UONOGXRCSA-N 0 1 253.342 0.906 20 30 CCEDMN C#CCCN(CCOC)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000853767327 612987811 /nfs/dbraw/zinc/98/78/11/612987811.db2.gz NLCGESNDPJUWDM-CQSZACIVSA-N 0 1 297.399 0.388 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H](C)n2cncn2)CC1 ZINC000981011041 613004465 /nfs/dbraw/zinc/00/44/65/613004465.db2.gz YVGUXFKOEPYAQO-ZDUSSCGKSA-N 0 1 275.356 0.397 20 30 CCEDMN C#CCOc1ccccc1CN1CC(N2CC[C@@H](O)C2)C1 ZINC000843421777 613007632 /nfs/dbraw/zinc/00/76/32/613007632.db2.gz LOYGJJGVXMKZAT-MRXNPFEDSA-N 0 1 286.375 0.949 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)CN(CC2CC2)C(=O)[O-])CC1 ZINC000823444497 613009460 /nfs/dbraw/zinc/00/94/60/613009460.db2.gz KHLONGLWLILYGD-UHFFFAOYSA-N 0 1 293.367 0.544 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H](C)CN(C)C(=O)[O-])CC1 ZINC000823444008 613010086 /nfs/dbraw/zinc/01/00/86/613010086.db2.gz AWDKTPXOUXLHAZ-GFCCVEGCSA-N 0 1 281.356 0.400 20 30 CCEDMN C#CCSCC(=O)N1CCN(CC2CCOCC2)CC1 ZINC000889062932 613010255 /nfs/dbraw/zinc/01/02/55/613010255.db2.gz ZRRQKAUWIWOGGM-UHFFFAOYSA-N 0 1 296.436 0.924 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H]2CC[C@H](NC(=O)[O-])C2)CC1 ZINC000823444504 613010398 /nfs/dbraw/zinc/01/03/98/613010398.db2.gz KUHMNAIQHCAUPM-STQMWFEESA-N 0 1 293.367 0.590 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2nccnc2N)C1 ZINC000965692609 613015915 /nfs/dbraw/zinc/01/59/15/613015915.db2.gz NBDLDIPUNIMXMN-VXGBXAGGSA-N 0 1 287.367 0.522 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2ncn(C)n2)C1 ZINC000965994796 613023929 /nfs/dbraw/zinc/02/39/29/613023929.db2.gz ADDMNGHHDFWDES-RYUDHWBXSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000875922063 613033803 /nfs/dbraw/zinc/03/38/03/613033803.db2.gz APPYWMDNGMCIFC-ZDUSSCGKSA-N 0 1 297.399 0.123 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)c2ncn(C)n2)C(C)(C)C1 ZINC000974557431 613039619 /nfs/dbraw/zinc/03/96/19/613039619.db2.gz CXQUZESQQOUSNE-NSHDSACASA-N 0 1 275.356 0.279 20 30 CCEDMN C#CC[C@@H]1NC(=O)N(C2CCN([C@H]3CCOC3)CC2)C1=O ZINC000853086279 613047455 /nfs/dbraw/zinc/04/74/55/613047455.db2.gz JRKQJDKWSIBHRJ-STQMWFEESA-N 0 1 291.351 0.183 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000875916288 613051451 /nfs/dbraw/zinc/05/14/51/613051451.db2.gz FIUSJIIYQUHBEL-GXSJLCMTSA-N 0 1 279.344 0.902 20 30 CCEDMN C#CC[C@H](COC)NC(=O)CN1CCC(C)CC1 ZINC000863800435 613060882 /nfs/dbraw/zinc/06/08/82/613060882.db2.gz GFYSNFGGRJMEFA-CYBMUJFWSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCc1n[nH]c(C(C)C)n1 ZINC000875630514 613063835 /nfs/dbraw/zinc/06/38/35/613063835.db2.gz HERKNROJLIEKEE-SNVBAGLBSA-N 0 1 279.344 0.766 20 30 CCEDMN C#CCN(C(=O)N[C@@H](C)C[S@](C)=O)C1CCN(C)CC1 ZINC000921925849 613067619 /nfs/dbraw/zinc/06/76/19/613067619.db2.gz UEKMWDMSXYJHSE-YUNKPMOVSA-N 0 1 299.440 0.492 20 30 CCEDMN C#CCN(C)C(=O)C(=O)N(CCC)[C@@H]1CCN(C)C1 ZINC000843255851 613070982 /nfs/dbraw/zinc/07/09/82/613070982.db2.gz UEGYIMPNDJEDST-GFCCVEGCSA-N 0 1 265.357 0.021 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2c(C)n[nH]c2C)C1 ZINC000980375260 613081383 /nfs/dbraw/zinc/08/13/83/613081383.db2.gz UGBUJSZABZUUKA-CYBMUJFWSA-N 0 1 274.368 0.735 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c(C)nnn2CC)C1 ZINC000971666902 613084534 /nfs/dbraw/zinc/08/45/34/613084534.db2.gz DXECLNJZOUDENE-GFCCVEGCSA-N 0 1 275.356 0.386 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c(C)ncn2C)C1 ZINC000971733336 613084754 /nfs/dbraw/zinc/08/47/54/613084754.db2.gz GCHWWAUIGVPOQX-GFCCVEGCSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c(OC)cc[nH]c2=O)C1 ZINC000972740445 613085028 /nfs/dbraw/zinc/08/50/28/613085028.db2.gz NFBYGJVWOGHQHR-LLVKDONJSA-N 0 1 289.335 0.575 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000834363569 613085768 /nfs/dbraw/zinc/08/57/68/613085768.db2.gz GXIIIPVKRMFEMM-VIFPVBQESA-N 0 1 296.348 0.571 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000861296762 613085776 /nfs/dbraw/zinc/08/57/76/613085776.db2.gz FUBQUKKVUUCLRY-NSHDSACASA-N 0 1 295.364 0.985 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnc3n[nH]nc32)C1 ZINC000971920081 613087185 /nfs/dbraw/zinc/08/71/85/613087185.db2.gz QWPQAFAJMJNXPW-SNVBAGLBSA-N 0 1 284.323 0.132 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(CC)nc2C)C1 ZINC000972449828 613087221 /nfs/dbraw/zinc/08/72/21/613087221.db2.gz NPHTWAZSGFENHS-CYBMUJFWSA-N 0 1 274.368 0.991 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn3c2CCCC3)C1 ZINC000972678747 613087978 /nfs/dbraw/zinc/08/79/78/613087978.db2.gz YYTJBDZGOFVXOX-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(C)nn2CC)C1 ZINC000972407672 613094099 /nfs/dbraw/zinc/09/40/99/613094099.db2.gz CNHODSADFFIXRF-ZDUSSCGKSA-N 0 1 274.368 0.991 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cccnc2N(C)C)C1 ZINC000980391179 613095922 /nfs/dbraw/zinc/09/59/22/613095922.db2.gz ONRIYICCHHTXPG-ZDUSSCGKSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(C(C)(C)C)nn2)C1 ZINC000971658511 613096174 /nfs/dbraw/zinc/09/61/74/613096174.db2.gz QDHBORYTDUVCSZ-LBPRGKRZSA-N 0 1 289.383 0.813 20 30 CCEDMN C#C[C@@H](NCCc1nnn(C(C)(C)C)n1)[C@H]1CCCO1 ZINC000851949126 613099762 /nfs/dbraw/zinc/09/97/62/613099762.db2.gz AGLMERQQYNOSJS-VXGBXAGGSA-N 0 1 277.372 0.741 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2CCO[C@@H](C)C2)C1 ZINC000847032611 613105927 /nfs/dbraw/zinc/10/59/27/613105927.db2.gz XQWIUAQKPRTFEC-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)NC(=O)NCC)C1 ZINC000847030195 613106988 /nfs/dbraw/zinc/10/69/88/613106988.db2.gz PCZWPOLLFPBMQZ-GHMZBOCLSA-N 0 1 251.330 0.566 20 30 CCEDMN C#CCN(Cc1ccccc1)C(=O)NCc1n[nH]c(=O)n1C ZINC000889568115 613108733 /nfs/dbraw/zinc/10/87/33/613108733.db2.gz FYUBAVVJXRJCAH-UHFFFAOYSA-N 0 1 299.334 0.866 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC(CCF)CC2)C1=O ZINC000864350133 613109518 /nfs/dbraw/zinc/10/95/18/613109518.db2.gz AESCPRZMQAOIHC-LBPRGKRZSA-N 0 1 266.316 0.819 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC[C@@H](CF)C2)C1=O ZINC000887298756 613109696 /nfs/dbraw/zinc/10/96/96/613109696.db2.gz HPLFOFDDLGDJNA-QWRGUYRKSA-N 0 1 252.289 0.429 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@@]3(CC3(F)F)C2)C1=O ZINC000844274199 613109972 /nfs/dbraw/zinc/10/99/72/613109972.db2.gz OZKOMZDCCUPQMF-JOYOIKCWSA-N 0 1 268.263 0.478 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC000950096724 613110192 /nfs/dbraw/zinc/11/01/92/613110192.db2.gz AFJJKQAZSOKYON-CQSZACIVSA-N 0 1 286.379 0.996 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000820389624 613115950 /nfs/dbraw/zinc/11/59/50/613115950.db2.gz RLRNVPXJGHDGCR-KBPBESRZSA-N 0 1 279.384 0.904 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(C)CCN(C)CC1 ZINC000894936598 613119943 /nfs/dbraw/zinc/11/99/43/613119943.db2.gz IJXMTYYMKIUSDL-CYBMUJFWSA-N 0 1 266.389 0.287 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NC[C@@H]1CCCC[N@H+]1CCO ZINC000820666400 613123783 /nfs/dbraw/zinc/12/37/83/613123783.db2.gz JUKQDWVDVZFFJY-KGLIPLIRSA-N 0 1 281.400 0.934 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@](C)(COC)C2)CC1 ZINC000863830367 613129121 /nfs/dbraw/zinc/12/91/21/613129121.db2.gz WLVLWHOIDXRVGN-MRXNPFEDSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](C)[C@](C)(CO)C2)CC1 ZINC000856247139 613130076 /nfs/dbraw/zinc/13/00/76/613130076.db2.gz KWWAISQZVGEEIF-BBRMVZONSA-N 0 1 278.396 0.809 20 30 CCEDMN C#C[C@H](NC(=O)C(C)(C)CN1CCOCC1)[C@H]1CCCO1 ZINC000822072229 613132229 /nfs/dbraw/zinc/13/22/29/613132229.db2.gz GFOQTFUDEIDYMI-UONOGXRCSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2ccccc2=O)CC1 ZINC000928658191 613133254 /nfs/dbraw/zinc/13/32/54/613133254.db2.gz DKJHVQPLIVVAHQ-UHFFFAOYSA-N 0 1 273.336 0.062 20 30 CCEDMN C#C[C@H](NC(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1)[C@H]1CCCO1 ZINC000911577422 613133428 /nfs/dbraw/zinc/13/34/28/613133428.db2.gz BNJZEAGCFAKYLF-RWMBFGLXSA-N 0 1 294.351 0.080 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCOCC(F)F)CC1 ZINC000922148300 613133764 /nfs/dbraw/zinc/13/37/64/613133764.db2.gz FXGCKWHEAJQXFV-UHFFFAOYSA-N 0 1 289.326 0.665 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cc(C)n[nH]2)CC1 ZINC000919566050 613134300 /nfs/dbraw/zinc/13/43/00/613134300.db2.gz VDSPQVZNJPJDOK-UHFFFAOYSA-N 0 1 275.356 0.615 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ncoc2C)CC1 ZINC000921793541 613134396 /nfs/dbraw/zinc/13/43/96/613134396.db2.gz CDLQECLWHIEZIO-UHFFFAOYSA-N 0 1 276.340 0.880 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2snnc2C)CC1 ZINC000922584649 613134527 /nfs/dbraw/zinc/13/45/27/613134527.db2.gz DTDAOGLOKBVHFE-UHFFFAOYSA-N 0 1 293.396 0.743 20 30 CCEDMN C#C[C@H](NC(=O)N(C)CC1CN(C)C1)C1CCOCC1 ZINC000852640021 613134595 /nfs/dbraw/zinc/13/45/95/613134595.db2.gz YTXPUNYAFGUJKA-AWEZNQCLSA-N 0 1 279.384 0.618 20 30 CCEDMN C#C[C@H](NC(=O)N(C)[C@H]1CCC[N@@H+](C)C1)[C@H]1CCCO1 ZINC000894280523 613134721 /nfs/dbraw/zinc/13/47/21/613134721.db2.gz WUJHLZAUEOWCFA-MELADBBJSA-N 0 1 279.384 0.903 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCO[C@H]2CC)CC1 ZINC000922855069 613135040 /nfs/dbraw/zinc/13/50/40/613135040.db2.gz IFFSXZJGKSJRBA-KGLIPLIRSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)N2CCSCC2)CC1 ZINC000928654978 613135965 /nfs/dbraw/zinc/13/59/65/613135965.db2.gz OTSMWMPLNLOTOB-CYBMUJFWSA-N 0 1 295.452 0.638 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)c2cnccn2)CC1 ZINC000928659990 613136030 /nfs/dbraw/zinc/13/60/30/613136030.db2.gz HHLFNOBTWCGNMY-LBPRGKRZSA-N 0 1 272.352 0.794 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2C[C@H](C)CO2)CC1 ZINC000928660043 613136831 /nfs/dbraw/zinc/13/68/31/613136831.db2.gz FMZINVCBXZLEFQ-WCQYABFASA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C(N)=O)cn2)CC1 ZINC000928657547 613137090 /nfs/dbraw/zinc/13/70/90/613137090.db2.gz NJVJWSIJBYAPFI-UHFFFAOYSA-N 0 1 286.335 0.008 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(OC)nc2)CC1 ZINC000928659722 613137289 /nfs/dbraw/zinc/13/72/89/613137289.db2.gz ZAODTEXBNLQVMJ-UHFFFAOYSA-N 0 1 273.336 0.918 20 30 CCEDMN C#CCN1CCC(NC(=O)c2coc(OCC)n2)CC1 ZINC000928654348 613138301 /nfs/dbraw/zinc/13/83/01/613138301.db2.gz XWYORHPTUFYCRN-UHFFFAOYSA-N 0 1 277.324 0.901 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CC=CCC1)C1CCOCC1 ZINC000823169281 613139408 /nfs/dbraw/zinc/13/94/08/613139408.db2.gz XULSIJYVBGRIQY-HNNXBMFYSA-N 0 1 291.395 0.976 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)c1cnon1)CCO2 ZINC000949212857 613139914 /nfs/dbraw/zinc/13/99/14/613139914.db2.gz QQHBESJGQOKMFB-UHFFFAOYSA-N 0 1 290.323 0.010 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3nnc(C)o3)C2)C1 ZINC000981749110 613141470 /nfs/dbraw/zinc/14/14/70/613141470.db2.gz UKTVFOKHDSVBQL-UHFFFAOYSA-N 0 1 288.351 0.478 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H](OC)C3CC3)C2)C1 ZINC000981741839 613142750 /nfs/dbraw/zinc/14/27/50/613142750.db2.gz CCEUASCBOSGLEK-CQSZACIVSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CCCC(=O)N3)C2)C1 ZINC000981832407 613142795 /nfs/dbraw/zinc/14/27/95/613142795.db2.gz LCQCNHOBIKBZJJ-CYBMUJFWSA-N 0 1 289.379 0.213 20 30 CCEDMN C#C[C@H](NC(=O)[C@H](C)CN1CCOCC1)C1CCOCC1 ZINC000856106611 613146630 /nfs/dbraw/zinc/14/66/30/613146630.db2.gz WONSHPALZBWXNI-HIFRSBDPSA-N 0 1 294.395 0.499 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1 ZINC000969118175 613148411 /nfs/dbraw/zinc/14/84/11/613148411.db2.gz HKGFXPZSWUAOSK-STQMWFEESA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC000968812021 613149154 /nfs/dbraw/zinc/14/91/54/613149154.db2.gz IQULQVQVAIJGEM-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN C#C[C@](C)(CC)N[C@@H](C)C(=O)N(C)CC(=O)NC1CC1 ZINC000852102801 613164798 /nfs/dbraw/zinc/16/47/98/613164798.db2.gz QFXJKDMEXWRTFM-XHDPSFHLSA-N 0 1 279.384 0.503 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCC(=O)N1C ZINC000838795162 613176731 /nfs/dbraw/zinc/17/67/31/613176731.db2.gz CEIFSZSIUKOMLF-NEPJUHHUSA-N 0 1 264.325 0.248 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)N(C)CCN(C)C)cc1 ZINC000907016234 613196148 /nfs/dbraw/zinc/19/61/48/613196148.db2.gz GWOQCUQGIPKJDM-UHFFFAOYSA-N 0 1 266.366 0.850 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000825619569 613197618 /nfs/dbraw/zinc/19/76/18/613197618.db2.gz OFOLEFSKVMAOFJ-WOPDTQHZSA-N 0 1 264.325 0.263 20 30 CCEDMN C#Cc1cccc(CNC(=O)NCCN2CC[C@H](O)C2)c1 ZINC000875651874 613200395 /nfs/dbraw/zinc/20/03/95/613200395.db2.gz XSKFWQRVTVTLCQ-HNNXBMFYSA-N 0 1 287.363 0.534 20 30 CCEDMN C#Cc1cccc(CNC(=O)[C@]2(C)C[C@H](O)CN2C)c1 ZINC000909389861 613201050 /nfs/dbraw/zinc/20/10/50/613201050.db2.gz BJUCQTGFPUJKPR-HOCLYGCPSA-N 0 1 272.348 0.739 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C2CC2)C2CC2)CC1 ZINC000859623682 613204789 /nfs/dbraw/zinc/20/47/89/613204789.db2.gz QLYFPBGZLLYPSL-UHFFFAOYSA-N 0 1 261.369 0.391 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2cc(C)cn2)C1 ZINC000965474330 613213977 /nfs/dbraw/zinc/21/39/77/613213977.db2.gz GWJVLJJWGPORSU-KGLIPLIRSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000967005593 613220664 /nfs/dbraw/zinc/22/06/64/613220664.db2.gz FWIZVBPOVIZBJF-TZMCWYRMSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2cncnc2)C(C)(C)C1 ZINC000940714803 613227191 /nfs/dbraw/zinc/22/71/91/613227191.db2.gz OHRPZEZFVMILKZ-CQSZACIVSA-N 0 1 286.379 0.869 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](C)n2cncn2)C(C)(C)C1 ZINC000940692656 613228771 /nfs/dbraw/zinc/22/87/71/613228771.db2.gz CVHREKALPLAFPU-CHWSQXEVSA-N 0 1 289.383 0.689 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000940855197 613229553 /nfs/dbraw/zinc/22/95/53/613229553.db2.gz AXVYRPBNDBXKDO-QWHCGFSZSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000940912318 613229787 /nfs/dbraw/zinc/22/97/87/613229787.db2.gz ONAOWNGVOVWLER-WBMJQRKESA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc3n(n2)CCO3)[C@@H](C)C1 ZINC000942131498 613234129 /nfs/dbraw/zinc/23/41/29/613234129.db2.gz WCZGJWRQHJJSPP-NWDGAFQWSA-N 0 1 288.351 0.349 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnnc2C)[C@H](C)C1 ZINC000942285358 613239422 /nfs/dbraw/zinc/23/94/22/613239422.db2.gz CQWTYHKZIPZMKF-BXUZGUMPSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3ccncc23)C[C@@H]1C ZINC000947396374 613241876 /nfs/dbraw/zinc/24/18/76/613241876.db2.gz UIQPGDBFSDDFLD-QWHCGFSZSA-N 0 1 297.362 0.945 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC000938453527 613243064 /nfs/dbraw/zinc/24/30/64/613243064.db2.gz LPZJYWGLEFXUMD-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC000938453527 613243066 /nfs/dbraw/zinc/24/30/66/613243066.db2.gz LPZJYWGLEFXUMD-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C/C(=C/c1ccccn1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000848417452 613244652 /nfs/dbraw/zinc/24/46/52/613244652.db2.gz AAWHAWBZYHSOPJ-LGFRJGPESA-N 0 1 256.309 0.713 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cc[nH]n2)C1 ZINC000965755141 613247524 /nfs/dbraw/zinc/24/75/24/613247524.db2.gz CIOFPQCYDIPAQG-AAEUAGOBSA-N 0 1 260.341 0.412 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC000968318164 613253998 /nfs/dbraw/zinc/25/39/98/613253998.db2.gz QDJDJGPZUQLZMX-QWHCGFSZSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965911435 613255355 /nfs/dbraw/zinc/25/53/55/613255355.db2.gz SUMLVEWFNGNDIQ-GXSJLCMTSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965911435 613255357 /nfs/dbraw/zinc/25/53/57/613255357.db2.gz SUMLVEWFNGNDIQ-GXSJLCMTSA-N 0 1 276.340 0.497 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2COCCN2CC)CC1 ZINC000949005459 613260616 /nfs/dbraw/zinc/26/06/16/613260616.db2.gz OJQDKLXEFJWRIM-OAHLLOKOSA-N 0 1 295.427 0.818 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)ncn2)[C@@H](C)C1 ZINC000941962003 613265559 /nfs/dbraw/zinc/26/55/59/613265559.db2.gz BZNNEDFVPMMIAK-AAEUAGOBSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(COC)on2)[C@H](C)C1 ZINC000943464439 613266178 /nfs/dbraw/zinc/26/61/78/613266178.db2.gz GYYSTYCLDZGPEC-YPMHNXCESA-N 0 1 291.351 0.894 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)o2)C[C@H]1C ZINC000947529731 613268381 /nfs/dbraw/zinc/26/83/81/613268381.db2.gz UOBBRZCBNNBKEM-MNOVXSKESA-N 0 1 289.335 0.594 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn(CC)n2)[C@H](C)C1 ZINC000943302096 613272523 /nfs/dbraw/zinc/27/25/23/613272523.db2.gz FAMYCQRYLGYURI-OLZOCXBDSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccncn2)[C@H](C)C1 ZINC000943716524 613273257 /nfs/dbraw/zinc/27/32/57/613273257.db2.gz FECIWTWWLUFCFS-NEPJUHHUSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nn2)C(C)(C)C1 ZINC000940724354 613273946 /nfs/dbraw/zinc/27/39/46/613273946.db2.gz GCTFGDPBTXZRLD-LBPRGKRZSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnccn2)[C@H](C)C1 ZINC000941762321 613275222 /nfs/dbraw/zinc/27/52/22/613275222.db2.gz AQNWGVGUXREMAM-NEPJUHHUSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnnn2CC)C(C)(C)C1 ZINC000940718803 613275748 /nfs/dbraw/zinc/27/57/48/613275748.db2.gz RJSPGKLIPGVFCM-ZDUSSCGKSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977315773 613280757 /nfs/dbraw/zinc/28/07/57/613280757.db2.gz KJWNNQOXOBSRAJ-NWDGAFQWSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2nc(C)cc2C)C(C)(C)C1 ZINC000974788106 613280907 /nfs/dbraw/zinc/28/09/07/613280907.db2.gz DCYZXARFCCKOTP-CQSZACIVSA-N 0 1 288.395 0.960 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(C)cccc2F)C1 ZINC000958739275 613285630 /nfs/dbraw/zinc/28/56/30/613285630.db2.gz AMAVQXZBEXNGHS-GXTWGEPZSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc3occc3[nH]2)C1 ZINC000958113307 613286126 /nfs/dbraw/zinc/28/61/26/613286126.db2.gz WUROXKYFBZZSAY-GXFFZTMASA-N 0 1 287.319 0.417 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)cs2)C1 ZINC000958051604 613286315 /nfs/dbraw/zinc/28/63/15/613286315.db2.gz RHVYFQKKNJEZLG-NWDGAFQWSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c(C)cncc2C)[C@H](O)C1 ZINC000958263337 613288579 /nfs/dbraw/zinc/28/85/79/613288579.db2.gz PAODOISYVPINJJ-ZIAGYGMSSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C=C)cc2)[C@H](O)C1 ZINC000958425524 613288836 /nfs/dbraw/zinc/28/88/36/613288836.db2.gz ZFVXSTONVVWELH-HZPDHXFCSA-N 0 1 284.359 0.985 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc[nH]c2CCC)[C@H](O)C1 ZINC000958335418 613289216 /nfs/dbraw/zinc/28/92/16/613289216.db2.gz XBLXCGJAVOKROQ-IUODEOHRSA-N 0 1 289.379 0.623 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc(OC)c2)[C@H](O)C1 ZINC000958614779 613289558 /nfs/dbraw/zinc/28/95/58/613289558.db2.gz XXHDZFZQTKADSU-UKRRQHHQSA-N 0 1 288.347 0.351 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3cn(C)nn3)C[C@]2(C)C1 ZINC000982272883 613293632 /nfs/dbraw/zinc/29/36/32/613293632.db2.gz AVUHPKQMAJTASW-GASCZTMLSA-N 0 1 287.367 0.232 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccccc2C)C1 ZINC000957834859 613295218 /nfs/dbraw/zinc/29/52/18/613295218.db2.gz ODERGAMLWJDBLS-ZFWWWQNUSA-N 0 1 272.348 0.651 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccncc2CC)C1 ZINC000958190153 613295540 /nfs/dbraw/zinc/29/55/40/613295540.db2.gz BLMSFZQQMYURDN-ZFWWWQNUSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cc[nH]n2)C(C)(C)C1 ZINC000972982711 613297169 /nfs/dbraw/zinc/29/71/69/613297169.db2.gz MVORGZXHYSQDRM-LBPRGKRZSA-N 0 1 260.341 0.412 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@H]2CCOC2)C(C)(C)C1 ZINC000972979689 613297211 /nfs/dbraw/zinc/29/72/11/613297211.db2.gz JIEUTEMMCMDHJC-OLZOCXBDSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2c[nH]cn2)C(C)(C)C1 ZINC000975092125 613298114 /nfs/dbraw/zinc/29/81/14/613298114.db2.gz IPGJYNIAVRRHDD-LBPRGKRZSA-N 0 1 260.341 0.412 20 30 CCEDMN C=C(C)CN1CC(N2CCN(C(=O)c3ccn[nH]3)CC2)C1 ZINC000941324910 613298637 /nfs/dbraw/zinc/29/86/37/613298637.db2.gz KQJQUOJGANVIAV-UHFFFAOYSA-N 0 1 289.383 0.428 20 30 CCEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cc3n(n2)CCO3)C1 ZINC000969846751 613302951 /nfs/dbraw/zinc/30/29/51/613302951.db2.gz ARLDALDPYQZWQE-NSHDSACASA-N 0 1 290.367 0.902 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc(F)c2C)C1 ZINC000958072759 613303302 /nfs/dbraw/zinc/30/33/02/613303302.db2.gz FXTKFWZWUZJYCA-DOMZBBRYSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ocnc2C2CC2)C1 ZINC000958395358 613304593 /nfs/dbraw/zinc/30/45/93/613304593.db2.gz TVKVTQRTMZVEPO-NEPJUHHUSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC000961838982 613316162 /nfs/dbraw/zinc/31/61/62/613316162.db2.gz MZDMVGMGJBOTAC-XPABHHOTSA-N 0 1 284.363 0.203 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC000962961010 613316253 /nfs/dbraw/zinc/31/62/53/613316253.db2.gz KLZQYCZHKRXPHL-FIJUGYKASA-N 0 1 270.376 0.958 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(N(C)C)cn1 ZINC000962908037 613318991 /nfs/dbraw/zinc/31/89/91/613318991.db2.gz PKMOJIXJRFKVSA-NHAGDIPZSA-N 0 1 284.363 0.441 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2n[nH]cc2c1 ZINC000961619110 613319594 /nfs/dbraw/zinc/31/95/94/613319594.db2.gz ZKBGAMCITWXCQN-NHAGDIPZSA-N 0 1 280.331 0.856 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC000931489040 613330393 /nfs/dbraw/zinc/33/03/93/613330393.db2.gz XKWPHAGYLDLJTI-HOTGVXAUSA-N 0 1 283.375 0.695 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnnn2C)C(C)(C)C1 ZINC000974533021 613338840 /nfs/dbraw/zinc/33/88/40/613338840.db2.gz RGHXRJAEKYKOPQ-GFCCVEGCSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnn(C)n2)C(C)(C)C1 ZINC000977339993 613338851 /nfs/dbraw/zinc/33/88/51/613338851.db2.gz XKFRHGVZRUHTAZ-GFCCVEGCSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2cn(C)nn2)C(C)(C)C1 ZINC000974552989 613342354 /nfs/dbraw/zinc/34/23/54/613342354.db2.gz OXAXAFNQSSVOJZ-LBPRGKRZSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)CONC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000845611132 613352969 /nfs/dbraw/zinc/35/29/69/613352969.db2.gz JLDSGLGSHSGJLH-LLVKDONJSA-N 0 1 285.344 0.240 20 30 CCEDMN C=C(C)CS(=O)(=O)N1C[C@@H]2CCC[N@H+]2C[C@@H]1CO ZINC000872617127 613354157 /nfs/dbraw/zinc/35/41/57/613354157.db2.gz JWBSYNUJEIKDEY-NWDGAFQWSA-N 0 1 274.386 0.033 20 30 CCEDMN C=C(C)CS(=O)(=O)N1C[C@@H]2CCCN2C[C@@H]1CO ZINC000872617127 613354158 /nfs/dbraw/zinc/35/41/58/613354158.db2.gz JWBSYNUJEIKDEY-NWDGAFQWSA-N 0 1 274.386 0.033 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)N[C@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC000908872196 613355907 /nfs/dbraw/zinc/35/59/07/613355907.db2.gz XFGBVJGFJKHICP-WDEREUQCSA-N 0 1 269.345 0.799 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NC[C@H]1CCN1CC ZINC000893873503 613356390 /nfs/dbraw/zinc/35/63/90/613356390.db2.gz QJGQYEJHAYNVLA-CHWSQXEVSA-N 0 1 267.373 0.668 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1CC(N2CCCC2)C1 ZINC000912322620 613359204 /nfs/dbraw/zinc/35/92/04/613359204.db2.gz TWBLDFUNVSDGKM-AWEZNQCLSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC[C@@](O)(Cc2nc(CC)no2)C1 ZINC000856676897 613360305 /nfs/dbraw/zinc/36/03/05/613360305.db2.gz LLRUBMIICVKASY-IUODEOHRSA-N 0 1 295.383 0.938 20 30 CCEDMN C=C(CN(C)C)C(=O)N1CCC2(CC1)CC(=O)C=CO2 ZINC000887773966 613365855 /nfs/dbraw/zinc/36/58/55/613365855.db2.gz PVZFUVIASXHQDX-UHFFFAOYSA-N 0 1 278.352 0.969 20 30 CCEDMN C=C(Cl)CN1CC([C@H](C)NC(=O)c2ncn[nH]2)C1 ZINC000970609119 613372610 /nfs/dbraw/zinc/37/26/10/613372610.db2.gz RLPWYOWSQRRXGM-QMMMGPOBSA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(Cl)CN1CC([C@H](C)NC(=O)c2nc[nH]n2)C1 ZINC000970609119 613372611 /nfs/dbraw/zinc/37/26/11/613372611.db2.gz RLPWYOWSQRRXGM-QMMMGPOBSA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cnn(C)c2)C1 ZINC000967645413 613384775 /nfs/dbraw/zinc/38/47/75/613384775.db2.gz NEQHBTPZPHHXDI-GFCCVEGCSA-N 0 1 282.775 0.906 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(=O)n(C)c2)C1 ZINC000969187689 613385727 /nfs/dbraw/zinc/38/57/27/613385727.db2.gz XSEDWEPJLBWIPM-GFCCVEGCSA-N 0 1 295.770 0.942 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000969827654 613385784 /nfs/dbraw/zinc/38/57/84/613385784.db2.gz SBRWSTLFOOXUKD-SECBINFHSA-N 0 1 284.747 0.682 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)[C@H]1CNCCO1)C(=O)OCC ZINC000877144561 613388665 /nfs/dbraw/zinc/38/86/65/613388665.db2.gz PRWLOIRMDIOYQF-NXEZZACHSA-N 0 1 290.747 0.165 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1C[C@@H](O)CC2(CCOCC2)C1 ZINC000880579076 613399090 /nfs/dbraw/zinc/39/90/90/613399090.db2.gz OXHJXUFIUNZVPR-STQMWFEESA-N 0 1 283.368 0.968 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)C1 ZINC000973509374 613399421 /nfs/dbraw/zinc/39/94/21/613399421.db2.gz ISOOQWTUUKATET-MGCOHNPYSA-N 0 1 289.339 0.538 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)C1 ZINC000973509374 613399422 /nfs/dbraw/zinc/39/94/22/613399422.db2.gz ISOOQWTUUKATET-MGCOHNPYSA-N 0 1 289.339 0.538 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCC(CCO)(CCO)CC1 ZINC000879277891 613410297 /nfs/dbraw/zinc/41/02/97/613410297.db2.gz CPWLYUXFEQPAEQ-CYBMUJFWSA-N 0 1 285.384 0.951 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1C[C@@H]2CN(C(C)=O)C[C@@H]2C1 ZINC000880314231 613413293 /nfs/dbraw/zinc/41/32/93/613413293.db2.gz XAAPNJGRDCKZTO-FRRDWIJNSA-N 0 1 266.341 0.514 20 30 CCEDMN C=CCN1C(=O)N=NC1SCC(=O)c1nccn1C ZINC000917216980 613424501 /nfs/dbraw/zinc/42/45/01/613424501.db2.gz FYIMFNAVOMCFHG-UHFFFAOYSA-N 0 1 279.325 0.878 20 30 CCEDMN C=CC(C)(C)CC(=O)Nc1nn[nH]c1C(=O)NC ZINC000891457169 613446115 /nfs/dbraw/zinc/44/61/15/613446115.db2.gz XKNHLGPSJYVYIU-UHFFFAOYSA-N 0 1 251.290 0.705 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CN(C)CCN1C ZINC000932526991 613448711 /nfs/dbraw/zinc/44/87/11/613448711.db2.gz NSUYCWSKAOQEBE-STQMWFEESA-N 0 1 253.390 0.951 20 30 CCEDMN C=CC1(S(=O)(=O)[N-]C(=O)[C@@H]([NH3+])C[C@H](C)CC)CC1 ZINC000901058494 613450883 /nfs/dbraw/zinc/45/08/83/613450883.db2.gz BKRZPRXUZDCDBQ-ZJUUUORDSA-N 0 1 274.386 0.915 20 30 CCEDMN C=CC1(S(=O)(=O)[N-]C(=O)[C@H]([NH3+])CC2CC2)CC1 ZINC000901060513 613451691 /nfs/dbraw/zinc/45/16/91/613451691.db2.gz ZAWYSZSQTJHMFT-SECBINFHSA-N 0 1 258.343 0.278 20 30 CCEDMN C=C[C@@H](O)C(=O)NCc1ccc(N2CCN(C)CC2)cc1 ZINC000918844472 613452057 /nfs/dbraw/zinc/45/20/57/613452057.db2.gz FHWJPKLPFDVGKX-OAHLLOKOSA-N 0 1 289.379 0.602 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)NCc1n[nH]c(=O)n1C ZINC000889574532 613455996 /nfs/dbraw/zinc/45/59/96/613455996.db2.gz KBRVYCUJCPETGE-SECBINFHSA-N 0 1 265.317 0.771 20 30 CCEDMN C=CCC1(O)CCN(C(=O)NC[C@H]2CCN2C)CC1 ZINC000925433390 613458404 /nfs/dbraw/zinc/45/84/04/613458404.db2.gz VQIXRHFKRNSUAF-GFCCVEGCSA-N 0 1 267.373 0.803 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NOCCN1CCCC1=O ZINC000856043966 613465697 /nfs/dbraw/zinc/46/56/97/613465697.db2.gz OWBAUHGLFIVHRW-MNOVXSKESA-N 0 1 268.313 0.248 20 30 CCEDMN C=CCCCS(=O)(=O)NC[C@H]1CN2CCC[C@@H]2CO1 ZINC000813660861 613469247 /nfs/dbraw/zinc/46/92/47/613469247.db2.gz SSICVBNSPMBDMI-OLZOCXBDSA-N 0 1 288.413 0.735 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NC[C@H]1CCN1CC ZINC000883698551 613469404 /nfs/dbraw/zinc/46/94/04/613469404.db2.gz KMJVKHABYRPHNU-XQQFMLRXSA-N 0 1 267.373 0.971 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000941446520 613474583 /nfs/dbraw/zinc/47/45/83/613474583.db2.gz WJBNDZZARMNOEL-YGRLFVJLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@H](O)C(=O)N1CC[C@H](c2[nH]ncc2C(=O)OCC)C1 ZINC000912493825 613494915 /nfs/dbraw/zinc/49/49/15/613494915.db2.gz LYTVOHYQAXYKOC-ONGXEEELSA-N 0 1 293.323 0.449 20 30 CCEDMN C=CCCN1CCN(C(=O)Cn2nnnc2C(C)C)CC1 ZINC000951567856 613505164 /nfs/dbraw/zinc/50/51/64/613505164.db2.gz CSYTUJXEOGIGNF-UHFFFAOYSA-N 0 1 292.387 0.517 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000900812840 613506033 /nfs/dbraw/zinc/50/60/33/613506033.db2.gz XFZJVRNEZJMLCH-OFQRWUPVSA-N 0 1 297.443 0.966 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(CCCCCO)CC1 ZINC000913693553 613508832 /nfs/dbraw/zinc/50/88/32/613508832.db2.gz GWZOABHHSGKUJH-HNNXBMFYSA-N 0 1 284.400 0.620 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896544562 613509957 /nfs/dbraw/zinc/50/99/57/613509957.db2.gz LBPZHRSEFFMOEE-OBJOEFQTSA-N 0 1 269.389 0.064 20 30 CCEDMN CC#CC(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000801141987 613517695 /nfs/dbraw/zinc/51/76/95/613517695.db2.gz ZWCNYJUBLZXYDI-ZDUSSCGKSA-N 0 1 250.342 0.723 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896548111 613520565 /nfs/dbraw/zinc/52/05/65/613520565.db2.gz JSKSZAGIVGRIKZ-KBPBESRZSA-N 0 1 265.401 0.931 20 30 CCEDMN CC#CCN(C)C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000911702630 613523770 /nfs/dbraw/zinc/52/37/70/613523770.db2.gz GCZDKSRIXCVFLN-NSHDSACASA-N 0 1 252.314 0.265 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC000970813301 613536464 /nfs/dbraw/zinc/53/64/64/613536464.db2.gz ILEHDICRDOUUDK-GXTWGEPZSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccnn2C)C1 ZINC000969373389 613539384 /nfs/dbraw/zinc/53/93/84/613539384.db2.gz RESLABDZKACCRP-NSHDSACASA-N 0 1 260.341 0.494 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cncn2C)C1 ZINC000970074163 613539651 /nfs/dbraw/zinc/53/96/51/613539651.db2.gz BFJRFTPVPGIRAI-NSHDSACASA-N 0 1 260.341 0.494 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cnc[nH]c2=O)C1 ZINC000969928131 613539667 /nfs/dbraw/zinc/53/96/67/613539667.db2.gz LGBWQFWCXYREPW-JTQLQIEISA-N 0 1 274.324 0.256 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cnon2)C(C)(C)C1 ZINC000941112677 613588345 /nfs/dbraw/zinc/58/83/45/613588345.db2.gz GKNOJTIPYRXUBT-GFCCVEGCSA-N 0 1 276.340 0.923 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cn2nccn2)C1 ZINC000966428202 613591326 /nfs/dbraw/zinc/59/13/26/613591326.db2.gz WUYQLTMINWLCSO-STQMWFEESA-N 0 1 275.356 0.128 20 30 CCEDMN C=CCCn1cc(CNC[C@H]2C[C@@H]3COC[C@@H]3O2)nn1 ZINC000922726380 613599377 /nfs/dbraw/zinc/59/93/77/613599377.db2.gz RCRNCMSBSUJSDS-BNOWGMLFSA-N 0 1 278.356 0.748 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2nc[nH]n2)C(C)(C)C1 ZINC000977470524 613609527 /nfs/dbraw/zinc/60/95/27/613609527.db2.gz GAVDZKAGNJJADG-LLVKDONJSA-N 0 1 275.356 0.197 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2ccn[nH]2)C(C)(C)C1 ZINC000972826848 613611197 /nfs/dbraw/zinc/61/11/97/613611197.db2.gz BMVGMTXBDLCLMP-GFCCVEGCSA-N 0 1 260.341 0.873 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](NC(=O)c2ncn[n-]2)C(C)(C)C1 ZINC000977374423 613611729 /nfs/dbraw/zinc/61/17/29/613611729.db2.gz WGESCCQUVFDHGL-SNVBAGLBSA-N 0 1 261.329 0.268 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(CC)on2)C1 ZINC000958602273 613612918 /nfs/dbraw/zinc/61/29/18/613612918.db2.gz DRVZECYBAZASIS-SMDDNHRTSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(CC)o2)C1 ZINC000958191038 613612925 /nfs/dbraw/zinc/61/29/25/613612925.db2.gz RJOLPYBKCCLJJM-GXTWGEPZSA-N 0 1 290.363 0.888 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(F)nc2)C1 ZINC000957923030 613612950 /nfs/dbraw/zinc/61/29/50/613612950.db2.gz SGXBXBGMTDVXBV-QWHCGFSZSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccccn2)[C@H](O)C1 ZINC000957817248 613614419 /nfs/dbraw/zinc/61/44/19/613614419.db2.gz ZCMKEJOCLJLXIW-TZMCWYRMSA-N 0 1 273.336 0.127 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2sccc2F)[C@H](O)C1 ZINC000958031941 613616289 /nfs/dbraw/zinc/61/62/89/613616289.db2.gz YIWXSKNIMPFPKO-ZYHUDNBSSA-N 0 1 296.367 0.933 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)C2CCCC2)C1 ZINC000957807970 613618340 /nfs/dbraw/zinc/61/83/40/613618340.db2.gz MOOQWISYFVWABP-KBPBESRZSA-N 0 1 264.369 0.609 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ncoc2C)C1 ZINC000957905324 613619352 /nfs/dbraw/zinc/61/93/52/613619352.db2.gz GOTHJAYCLHJFRZ-RYUDHWBXSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)on2)C1 ZINC000957847768 613624733 /nfs/dbraw/zinc/62/47/33/613624733.db2.gz UDWQUOUGUKOCOH-YPMHNXCESA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C)n2C)C1 ZINC000957872493 613625082 /nfs/dbraw/zinc/62/50/82/613625082.db2.gz CJVXVTFNVILEGC-HIFRSBDPSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(OC)ccn1 ZINC000961470474 613639742 /nfs/dbraw/zinc/63/97/42/613639742.db2.gz TYIQAWVBLZNPDI-NHAGDIPZSA-N 0 1 285.347 0.774 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(=O)n1C ZINC000961758630 613640585 /nfs/dbraw/zinc/64/05/85/613640585.db2.gz ZYKBFMNAFVRXTQ-JYAVWHMHSA-N 0 1 285.347 0.069 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NCCCn1cc[nH+]c1C ZINC000908812557 613642777 /nfs/dbraw/zinc/64/27/77/613642777.db2.gz QUOMQANFQLQIPR-UHFFFAOYSA-N 0 1 280.328 0.864 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)NC[C@@H]1CCN1CC ZINC000890059072 613648882 /nfs/dbraw/zinc/64/88/82/613648882.db2.gz BKKMTQYCGPIZBB-RYUDHWBXSA-N 0 1 253.346 0.154 20 30 CCEDMN C=CCN1CC(N(CC)C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC000957129397 613654242 /nfs/dbraw/zinc/65/42/42/613654242.db2.gz CQJDCIPNNKUXNX-LLVKDONJSA-N 0 1 289.383 0.628 20 30 CCEDMN C=CCN1CC(N(CC)C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC000957129397 613654243 /nfs/dbraw/zinc/65/42/43/613654243.db2.gz CQJDCIPNNKUXNX-LLVKDONJSA-N 0 1 289.383 0.628 20 30 CCEDMN CC(=NNCCO)c1ccc(N2CCOCC2)cc1 ZINC000864346643 613654928 /nfs/dbraw/zinc/65/49/28/613654928.db2.gz YPICUNMRUVYXNM-UHFFFAOYSA-N 0 1 263.341 0.829 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000970281702 613662869 /nfs/dbraw/zinc/66/28/69/613662869.db2.gz JQLQIOXYGOUMDL-XEZLXBQYSA-N 0 1 250.342 0.501 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(C)nn2C)C1 ZINC000969366013 613663914 /nfs/dbraw/zinc/66/39/14/613663914.db2.gz PKZKLSKAJZHOHW-LLVKDONJSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000970369797 613666611 /nfs/dbraw/zinc/66/66/11/613666611.db2.gz WYNUCFYBCZAGCP-SECBINFHSA-N 0 1 264.329 0.362 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCCN(C(N)=O)C2)C1 ZINC000970096134 613668804 /nfs/dbraw/zinc/66/88/04/613668804.db2.gz MYJWDJUERBAYPQ-NWDGAFQWSA-N 0 1 294.399 0.400 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC000970206376 613669327 /nfs/dbraw/zinc/66/93/27/613669327.db2.gz ZINAERDUWGLROS-CYUUQNCZSA-N 0 1 294.395 0.660 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC000970705028 613670172 /nfs/dbraw/zinc/67/01/72/613670172.db2.gz KYGLNRWFFCUZSO-STQMWFEESA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CCC(NC(=O)c2cc(=O)cc[nH]2)CC1 ZINC000932141085 613675370 /nfs/dbraw/zinc/67/53/70/613675370.db2.gz PGCFAPZRGFSEFR-UHFFFAOYSA-N 0 1 261.325 0.755 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2COCCN2CC)CC1 ZINC000981505847 613694497 /nfs/dbraw/zinc/69/44/97/613694497.db2.gz UJLJDMKHFRORAI-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cc(C(N)=O)cn2C)CC1 ZINC000981550131 613696164 /nfs/dbraw/zinc/69/61/64/613696164.db2.gz XWMOVASTIWVNPW-UHFFFAOYSA-N 0 1 290.367 0.458 20 30 CCEDMN C=CCN1CCN(C(=O)[C@H](C)Cc2cnc[nH]2)CC1 ZINC000951607875 613712270 /nfs/dbraw/zinc/71/22/70/613712270.db2.gz YTMVUFJMBXZDFQ-GFCCVEGCSA-N 0 1 262.357 0.919 20 30 CCEDMN C=CCN1CCN(C(=O)[C@H](C)CN2CCOCC2)CC1 ZINC000957665300 613712404 /nfs/dbraw/zinc/71/24/04/613712404.db2.gz QXPWTNSDFBPKEE-CQSZACIVSA-N 0 1 281.400 0.285 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C2CC(=O)NC(=O)C2)C1 ZINC000968418757 613732851 /nfs/dbraw/zinc/73/28/51/613732851.db2.gz NSWKEYWBMOHQAF-ZYHUDNBSSA-N 0 1 293.367 0.052 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cn(C)c(=O)cn2)C1 ZINC000967415838 613735670 /nfs/dbraw/zinc/73/56/70/613735670.db2.gz YFMHOIDVIWORCP-VXGBXAGGSA-N 0 1 290.367 0.407 20 30 CCEDMN CC(=O)N/C(=C/c1ccccc1)C(=O)NCC#CCN(C)C ZINC000913515693 613757446 /nfs/dbraw/zinc/75/74/46/613757446.db2.gz JDTDSGQVIPGZPD-DTQAZKPQSA-N 0 1 299.374 0.845 20 30 CCEDMN C=CCN1CC[C@@H](Nc2cc3n(n2)CC[N@H+](C)C3)C1=O ZINC000871927289 613763650 /nfs/dbraw/zinc/76/36/50/613763650.db2.gz SGRMPWHRSNRLEZ-GFCCVEGCSA-N 0 1 275.356 0.527 20 30 CCEDMN C=CCN1CC[C@@H](OC(=O)Cc2cc(C)[nH]n2)C1=O ZINC000798634084 613764664 /nfs/dbraw/zinc/76/46/64/613764664.db2.gz OOLDXUTYRHYSRN-LLVKDONJSA-N 0 1 263.297 0.591 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C2(N(C)C)CCC2)C1=O ZINC000799026920 613776100 /nfs/dbraw/zinc/77/61/00/613776100.db2.gz RHZOZJWEUXRKNG-LBPRGKRZSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN2CCCC2)C1=O ZINC000822657733 613776218 /nfs/dbraw/zinc/77/62/18/613776218.db2.gz RBBRVUFKCSXEHY-LBPRGKRZSA-N 0 1 265.357 0.328 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(NC(=O)OC)CC2)C1=O ZINC000852765890 613777865 /nfs/dbraw/zinc/77/78/65/613777865.db2.gz XBYIXFGXRILBIM-LBPRGKRZSA-N 0 1 281.356 0.594 20 30 CCEDMN C=CCN1CC[C@H](N2CCC([C@H](F)C(N)=O)CC2)C1=O ZINC000879045295 613778036 /nfs/dbraw/zinc/77/80/36/613778036.db2.gz XTLJBSUFGOYZFG-RYUDHWBXSA-N 0 1 283.347 0.309 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CN(C)C(=O)N2)C(C)(C)C1 ZINC000974900882 613807435 /nfs/dbraw/zinc/80/74/35/613807435.db2.gz IRWYQWFZZRNOOA-WDEREUQCSA-N 0 1 280.372 0.023 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C(C)(C)C1 ZINC000975048843 613808471 /nfs/dbraw/zinc/80/84/71/613808471.db2.gz QWFXBCWLULKXEE-FRRDWIJNSA-N 0 1 293.411 0.901 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C(C)(C)C1 ZINC000974693297 613809404 /nfs/dbraw/zinc/80/94/04/613809404.db2.gz ROTRYAHAQKIZTR-XQQFMLRXSA-N 0 1 282.384 0.803 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cn2cncn2)C(C)(C)C1 ZINC000977555605 613826830 /nfs/dbraw/zinc/82/68/30/613826830.db2.gz GHXZHAIFRPAWSL-NSHDSACASA-N 0 1 263.345 0.291 20 30 CCEDMN C=CCNC(=O)C[N@H+](CCC(=O)[O-])C1CCOCC1 ZINC000825687754 613845008 /nfs/dbraw/zinc/84/50/08/613845008.db2.gz VDWSLTLGMDYIMC-UHFFFAOYSA-N 0 1 270.329 0.244 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000879402318 613846785 /nfs/dbraw/zinc/84/67/85/613846785.db2.gz JYFRHPKPEVPLMP-TVQRCGJNSA-N 0 1 276.340 0.494 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC000897320924 613847037 /nfs/dbraw/zinc/84/70/37/613847037.db2.gz HPVZXWYHQBGMEC-VIFPVBQESA-N 0 1 276.340 0.567 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)[C@H]1CC[C@@H]1OC ZINC000934126224 613851639 /nfs/dbraw/zinc/85/16/39/613851639.db2.gz UQIDKNVITCSXAE-DCAQKATOSA-N 0 1 269.345 0.496 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CC1)COCCO2 ZINC000880541304 613854851 /nfs/dbraw/zinc/85/48/51/613854851.db2.gz JZANBHXIQWOAHY-LBPRGKRZSA-N 0 1 268.357 0.559 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC([C@@H]2COC(=O)N2)CC1 ZINC000932783380 613854865 /nfs/dbraw/zinc/85/48/65/613854865.db2.gz OVUHGNUNHWWKOP-JQWIXIFHSA-N 0 1 281.356 0.498 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823507357 613855117 /nfs/dbraw/zinc/85/51/17/613855117.db2.gz WCQUICGIEAIWPS-VHSXEESVSA-N 0 1 255.318 0.361 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823507357 613855118 /nfs/dbraw/zinc/85/51/18/613855118.db2.gz WCQUICGIEAIWPS-VHSXEESVSA-N 0 1 255.318 0.361 20 30 CCEDMN C=CCOCCCNC(=O)NC[C@H]1CCN1CC ZINC000883723223 613880170 /nfs/dbraw/zinc/88/01/70/613880170.db2.gz QVTRCILRXFTCKA-GFCCVEGCSA-N 0 1 255.362 0.973 20 30 CCEDMN C=CCOC[C@@H](NC(=O)C(F)(F)C(F)F)C(=O)OC ZINC000797761122 613881604 /nfs/dbraw/zinc/88/16/04/613881604.db2.gz DHDNHRFMKYXNIN-ZCFIWIBFSA-N 0 1 287.209 0.747 20 30 CCEDMN C=CCOC[C@@H](NC(=O)NC[C@H](C)[N@@H+](C)C1CC1)C(=O)[O-] ZINC000908934967 613881651 /nfs/dbraw/zinc/88/16/51/613881651.db2.gz CBDIHJNGVJCPOQ-CMPLNLGQSA-N 0 1 299.371 0.424 20 30 CCEDMN C=CCONC(=O)[C@H]1CC(=O)N(C)[C@H]1c1cccnc1 ZINC000837046380 613884478 /nfs/dbraw/zinc/88/44/78/613884478.db2.gz HROFJSGWQRDACI-AAEUAGOBSA-N 0 1 275.308 0.835 20 30 CCEDMN CC(C)NC(=O)CCCC(=O)NCC#CCN(C)C ZINC000913518654 613907858 /nfs/dbraw/zinc/90/78/58/613907858.db2.gz WKTVPHZZNYLSPY-UHFFFAOYSA-N 0 1 267.373 0.363 20 30 CCEDMN CC(=O)N[C@@H](C(=O)NCC#CCN(C)C)C1CCCC1 ZINC000913515585 613947830 /nfs/dbraw/zinc/94/78/30/613947830.db2.gz DEUQCKWCZWCTGO-CQSZACIVSA-N 0 1 279.384 0.363 20 30 CCEDMN CC(C)(C)OC(=O)[C@H]1CCN(C[C@H](O)CC#N)C1 ZINC000929795375 614004726 /nfs/dbraw/zinc/00/47/26/614004726.db2.gz MCTPYPQLIFBPDM-WDEREUQCSA-N 0 1 254.330 0.925 20 30 CCEDMN CC(C)(C)c1noc(CCC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000834520967 614058556 /nfs/dbraw/zinc/05/85/56/614058556.db2.gz AOKOTUZINCBOQF-ZJUUUORDSA-N 0 1 291.355 0.527 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@@H+](C)CCC(=O)[O-] ZINC000817733728 614180682 /nfs/dbraw/zinc/18/06/82/614180682.db2.gz XCACKRIQXUAJDS-GXFFZTMASA-N 0 1 269.345 0.836 20 30 CCEDMN CC(C)(O)CN1CC[C@H]([NH2+]C[C@H](C#N)CCC#N)C1 ZINC000872217734 614192123 /nfs/dbraw/zinc/19/21/23/614192123.db2.gz FDRCVKUWAVMEHV-STQMWFEESA-N 0 1 264.373 0.865 20 30 CCEDMN CC(C)(O)CONC(=O)c1ccc(C#N)c(O)c1 ZINC000839744905 614198159 /nfs/dbraw/zinc/19/81/59/614198159.db2.gz IITSMKQIJYELOZ-UHFFFAOYSA-N 0 1 250.254 0.696 20 30 CCEDMN CC(=O)Nc1nc(C(=O)NCC#CCN(C)C)cs1 ZINC000913517017 614209079 /nfs/dbraw/zinc/20/90/79/614209079.db2.gz PFWCDHRPAJACCW-UHFFFAOYSA-N 0 1 280.353 0.396 20 30 CCEDMN CC(=O)c1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834520939 614252565 /nfs/dbraw/zinc/25/25/65/614252565.db2.gz AAESWDBRLUKCMF-OLZOCXBDSA-N 0 1 257.293 0.731 20 30 CCEDMN CC(C)C#CC(=O)N1CCC([N@H+]2CCC[C@H]2C(N)=O)CC1 ZINC000825371424 614324891 /nfs/dbraw/zinc/32/48/91/614324891.db2.gz XGVSWDISPVQLMH-AWEZNQCLSA-N 0 1 291.395 0.587 20 30 CCEDMN CC(C)[N@H+]1CCC[C@@H]1C(=O)N1CCOC[C@@H]1C#N ZINC000933560957 614340540 /nfs/dbraw/zinc/34/05/40/614340540.db2.gz ZKFSWJVJNRDDIB-NWDGAFQWSA-N 0 1 251.330 0.610 20 30 CCEDMN CC(C)[N@H+]1C[C@@H](C)[C@@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820244927 614390889 /nfs/dbraw/zinc/39/08/89/614390889.db2.gz KAXONAWRLWPQJP-UHIISALHSA-N 0 1 281.356 0.836 20 30 CCEDMN CCC(=O)Nc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834489387 614466351 /nfs/dbraw/zinc/46/63/51/614466351.db2.gz MBMHDQFXOPSVTC-WCQYABFASA-N 0 1 286.335 0.876 20 30 CCEDMN CCCc1nnc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000841015528 614497256 /nfs/dbraw/zinc/49/72/56/614497256.db2.gz IPASGCKGGCIZKT-JGVFFNPUSA-N 0 1 280.357 0.724 20 30 CCEDMN CCC(=O)N1CCC[C@@H](C(=O)NCC#CCN(C)C)C1 ZINC000913516801 614551649 /nfs/dbraw/zinc/55/16/49/614551649.db2.gz HEMHUVOXRFXILN-CYBMUJFWSA-N 0 1 279.384 0.316 20 30 CCEDMN CC1(C)CNCCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000903487323 614700038 /nfs/dbraw/zinc/70/00/38/614700038.db2.gz WUISGSFIHFKDAX-UHFFFAOYSA-N 0 1 280.353 0.326 20 30 CCEDMN CCN(C(=O)c1ccc2[nH]nnc2c1)C1CN(CC#N)C1 ZINC000957375888 614732877 /nfs/dbraw/zinc/73/28/77/614732877.db2.gz OJGODSBBEYOUEC-UHFFFAOYSA-N 0 1 284.323 0.628 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@@H]1CC[C@@H]1OC ZINC000934124396 615021559 /nfs/dbraw/zinc/02/15/59/615021559.db2.gz HSERXLKTVVOSLI-NEPJUHHUSA-N 0 1 253.346 0.858 20 30 CCEDMN CC[N@@H+](Cc1cnc2c(C#N)cnn2c1)[C@@H](C)CO ZINC000843411783 615069075 /nfs/dbraw/zinc/06/90/75/615069075.db2.gz QDNIBHNWEXATMS-JTQLQIEISA-N 0 1 259.313 0.804 20 30 CCEDMN CCN(Cc1cnc2c(C#N)cnn2c1)[C@@H](C)CO ZINC000843411783 615069077 /nfs/dbraw/zinc/06/90/77/615069077.db2.gz QDNIBHNWEXATMS-JTQLQIEISA-N 0 1 259.313 0.804 20 30 CCEDMN CCNc1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000834480006 615141219 /nfs/dbraw/zinc/14/12/19/615141219.db2.gz KHIJPBFUOPKNMF-YUMQZZPRSA-N 0 1 265.342 0.416 20 30 CCEDMN CCOC(=O)c1cn[nH]c1[C@@H]1CCN(C(=O)C=NOC)C1 ZINC000898765147 615399260 /nfs/dbraw/zinc/39/92/60/615399260.db2.gz KLTCYNPFEJFFOO-SECBINFHSA-N 0 1 294.311 0.535 20 30 CCEDMN CCO[C@H](C(=O)N[C@@H]1CNC[C@H]1C#N)c1ccccc1 ZINC000834493176 615472767 /nfs/dbraw/zinc/47/27/67/615472767.db2.gz BKGCBWAEKQFRBS-MCIONIFRSA-N 0 1 273.336 0.992 20 30 CCEDMN CCOc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1OC ZINC000834506070 615509359 /nfs/dbraw/zinc/50/93/59/615509359.db2.gz PMZNRHKUONICQE-VXGBXAGGSA-N 0 1 289.335 0.935 20 30 CCEDMN CCOc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834512664 615509490 /nfs/dbraw/zinc/50/94/90/615509490.db2.gz ZVZISVQGZJMFQC-AAEUAGOBSA-N 0 1 259.309 0.927 20 30 CCEDMN CCOc1cncc(C=Nn2c(=O)c(C)n[nH]c2=S)c1 ZINC000857205731 615521687 /nfs/dbraw/zinc/52/16/87/615521687.db2.gz JZBVQJMDQBRNDW-UHFFFAOYSA-N 0 1 291.336 0.911 20 30 CCEDMN CCc1c(C(=O)N[C@H]2CNC[C@H]2C#N)[nH]c(C)c1C(C)=O ZINC000834489494 615606973 /nfs/dbraw/zinc/60/69/73/615606973.db2.gz MYAGPKFSQKGDAY-PWSUYJOCSA-N 0 1 288.351 0.930 20 30 CCEDMN CCn1ncn(N=Cc2cc(O)ccc2[N+](=O)[O-])c1=O ZINC000814235095 615729293 /nfs/dbraw/zinc/72/92/93/615729293.db2.gz SNVWMYBBKYUCRC-UHFFFAOYSA-N 0 1 277.240 0.561 20 30 CCEDMN CCc1nc(C)c(CC(=O)N[C@@H]2CNC[C@@H]2C#N)c(C)n1 ZINC000884249760 615736006 /nfs/dbraw/zinc/73/60/06/615736006.db2.gz QXDDGKGLQZBXDI-WCQYABFASA-N 0 1 287.367 0.426 20 30 CCEDMN CN(C)CC#CCNC(=O)C(C)(C)NC(=O)OC(C)(C)C ZINC000913517632 615857732 /nfs/dbraw/zinc/85/77/32/615857732.db2.gz JSJFOCSZRGXXKY-UHFFFAOYSA-N 0 1 297.399 0.971 20 30 CCEDMN CN(C)CC#CCNC(=O)CSc1ccncc1 ZINC000913514555 615858184 /nfs/dbraw/zinc/85/81/84/615858184.db2.gz UXHWAIAKTGMZRN-UHFFFAOYSA-N 0 1 263.366 0.855 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@@H]1OC(=O)c2ccccc21 ZINC000913515483 615858287 /nfs/dbraw/zinc/85/82/87/615858287.db2.gz YRFYXIVKOJWPGI-AWEZNQCLSA-N 0 1 286.331 0.969 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc([S@](C)=O)cc1 ZINC000913521676 615858435 /nfs/dbraw/zinc/85/84/35/615858435.db2.gz JBKOIEIPJVRSNG-FQEVSTJZSA-N 0 1 292.404 0.648 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc2c(c1)NC(=O)C2 ZINC000932505284 615858848 /nfs/dbraw/zinc/85/88/48/615858848.db2.gz BMHCEUREGOFOQA-UHFFFAOYSA-N 0 1 286.335 0.868 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1OCCc2ccccc21 ZINC000913519615 615860098 /nfs/dbraw/zinc/86/00/98/615860098.db2.gz HNVJSPVXVHCWKL-HNNXBMFYSA-N 0 1 272.348 0.982 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1Cc2cc(F)ccc2O1 ZINC000913519010 615860160 /nfs/dbraw/zinc/86/01/60/615860160.db2.gz JMMRZYVEMAOFHJ-CQSZACIVSA-N 0 1 276.311 0.810 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(Cn2cncn2)cc1 ZINC000913516336 615860746 /nfs/dbraw/zinc/86/07/46/615860746.db2.gz LRJVOZMTEVAECX-UHFFFAOYSA-N 0 1 297.362 0.621 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc([S@@](C)=O)cc1 ZINC000913515947 615861030 /nfs/dbraw/zinc/86/10/30/615861030.db2.gz UKLRZICXKGQIGV-LJQANCHMSA-N 0 1 278.377 0.719 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2ncnn21 ZINC000913520890 615861280 /nfs/dbraw/zinc/86/12/80/615861280.db2.gz CCMIPSZPFMOJSV-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc(C(N)=O)c1 ZINC000913516123 615861287 /nfs/dbraw/zinc/86/12/87/615861287.db2.gz BUHVVPUJFWNVLB-UHFFFAOYSA-N 0 1 259.309 0.080 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2nnn(C)c2c1 ZINC000913521275 615861358 /nfs/dbraw/zinc/86/13/58/615861358.db2.gz RVIYSGZARPZCKC-UHFFFAOYSA-N 0 1 271.324 0.263 20 30 CCEDMN CN(C)CC#CCNC(=O)c1csc(C=O)c1 ZINC000913519943 615861900 /nfs/dbraw/zinc/86/19/00/615861900.db2.gz VWZLMRVPLZSBLC-UHFFFAOYSA-N 0 1 250.323 0.855 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncoc1C(F)(F)F ZINC000913522513 615861950 /nfs/dbraw/zinc/86/19/50/615861950.db2.gz MVEVFCJZGGWKOM-UHFFFAOYSA-N 0 1 275.230 0.988 20 30 CCEDMN CN(C)CC#CCNC(=O)c1csc2cncn21 ZINC000913518518 615861979 /nfs/dbraw/zinc/86/19/79/615861979.db2.gz SDOGTDFSCHIDFL-UHFFFAOYSA-N 0 1 262.338 0.691 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nc2cccnc2s1 ZINC000905151729 615862019 /nfs/dbraw/zinc/86/20/19/615862019.db2.gz QJXFYPVWKGBHDQ-UHFFFAOYSA-N 0 1 274.349 0.986 20 30 CCEDMN CN(C)CC(=O)N(C)C[C@@H](O)c1cccc(C#N)c1 ZINC000846341201 615863016 /nfs/dbraw/zinc/86/30/16/615863016.db2.gz NVAPNSMXCIXHGL-CYBMUJFWSA-N 0 1 261.325 0.612 20 30 CCEDMN CN(C)c1ccc(CCC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834512116 616013949 /nfs/dbraw/zinc/01/39/49/616013949.db2.gz RXGWDQRGUGNRLL-ZFWWWQNUSA-N 0 1 286.379 0.913 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1nc2c(s1)CCC2 ZINC000905520848 616067828 /nfs/dbraw/zinc/06/78/28/616067828.db2.gz MHXWPMNYTMTEEX-LLVKDONJSA-N 0 1 294.424 0.928 20 30 CCEDMN CN(CCC(=O)NC(N)=O)Cc1cc(C#N)cs1 ZINC000933777283 616068484 /nfs/dbraw/zinc/06/84/84/616068484.db2.gz IZHIDHGCVZHYEK-UHFFFAOYSA-N 0 1 266.326 0.637 20 30 CCEDMN CN(Cc1cncc(C#N)c1)[C@@H]1CCN2CCO[C@H]1C2 ZINC000930596856 616093557 /nfs/dbraw/zinc/09/35/57/616093557.db2.gz DSILKZVQRXLSHR-CABCVRRESA-N 0 1 272.352 0.858 20 30 CCEDMN CN1CCCC(=NN[C@H]2CCCS(=O)(=O)C2)CC1 ZINC000863134872 616177611 /nfs/dbraw/zinc/17/76/11/616177611.db2.gz VRDCLONVMFWGHW-LBPRGKRZSA-N 0 1 273.402 0.625 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCO[C@](C)(C#N)C1 ZINC000887675165 616179334 /nfs/dbraw/zinc/17/93/34/616179334.db2.gz RBCNFAKZJNIZDE-DGCLKSJQSA-N 0 1 251.330 0.612 20 30 CCEDMN CN(C(=O)[C@]1(C#N)CCCOC1)[C@@H]1CCCN(C)C1 ZINC000866068280 616188770 /nfs/dbraw/zinc/18/87/70/616188770.db2.gz MSZBRDSFOBYVDV-OCCSQVGLSA-N 0 1 265.357 0.859 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC1CC2(CC(NCC#N)C2)C1 ZINC000963621936 616192754 /nfs/dbraw/zinc/19/27/54/616192754.db2.gz CABLNLIGOIOHLS-PYYBWGNESA-N 0 1 276.384 0.621 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000939749703 616193830 /nfs/dbraw/zinc/19/38/30/616193830.db2.gz GSEFPMOLYYTTQU-ZIAGYGMSSA-N 0 1 289.379 0.211 20 30 CCEDMN C[N@H+]1CCC[C@H]1CNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820619972 616214412 /nfs/dbraw/zinc/21/44/12/616214412.db2.gz CNYLPONVBUYSGX-CABZTGNLSA-N 0 1 253.302 0.201 20 30 CCEDMN CN1CCN(c2ccc(C=Nn3ccnn3)cc2)CC1 ZINC000835010762 616247016 /nfs/dbraw/zinc/24/70/16/616247016.db2.gz GZCWKBHEEXQUAY-UHFFFAOYSA-N 0 1 270.340 0.912 20 30 CCEDMN CN1C[C@@H](O)C[C@@H]1CNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000924977779 616305656 /nfs/dbraw/zinc/30/56/56/616305656.db2.gz GMYHPLPYEQVGIS-ZJUUUORDSA-N 0 1 295.289 0.631 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2coc(C#N)c2)C1 ZINC000865584388 616402983 /nfs/dbraw/zinc/40/29/83/616402983.db2.gz QMXQDRPJXULKEM-LLVKDONJSA-N 0 1 263.297 0.602 20 30 CCEDMN COC(=O)C1C[C@H](C)N(C[C@H](O)CC#N)[C@@H](C)C1 ZINC000931338438 616469604 /nfs/dbraw/zinc/46/96/04/616469604.db2.gz QTRWJYUVUCHYJW-JBLDHEPKSA-N 0 1 254.330 0.923 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCC(C)(C)CCC#N ZINC000908214233 616473280 /nfs/dbraw/zinc/47/32/80/616473280.db2.gz IXEIBEPEAHLVCV-RISCZKNCSA-N 0 1 267.373 0.888 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H](C)C(C)(F)F ZINC000815907142 616496007 /nfs/dbraw/zinc/49/60/07/616496007.db2.gz SYQCKKXEHOAHQW-JGVFFNPUSA-N 0 1 262.256 0.749 20 30 CCEDMN COC(=O)[C@@H]1[C@@H](CN[C@@H]2CCCN(O)C2=O)C1(F)F ZINC000895158992 616506179 /nfs/dbraw/zinc/50/61/79/616506179.db2.gz BDPGQNBDOZXBKT-PRJMDXOYSA-N 0 1 278.255 0.011 20 30 CCEDMN COC(=O)[C@@H]1[C@H](CN[C@H]2CCCN(O)C2=O)C1(F)F ZINC000895158991 616516637 /nfs/dbraw/zinc/51/66/37/616516637.db2.gz BDPGQNBDOZXBKT-FXQIFTODSA-N 0 1 278.255 0.011 20 30 CCEDMN COC1CCN(CCNC(=O)[C@@]2(C#N)CCCOC2)CC1 ZINC000866416441 616523472 /nfs/dbraw/zinc/52/34/72/616523472.db2.gz WDRYWYOVVHMDGI-OAHLLOKOSA-N 0 1 295.383 0.534 20 30 CCEDMN COC(=O)CCN(C[C@H](O)CC#N)C[C@@H]1CCCO1 ZINC000929652438 616551388 /nfs/dbraw/zinc/55/13/88/616551388.db2.gz ZFMMEUYMVVIGMF-NEPJUHHUSA-N 0 1 270.329 0.305 20 30 CCEDMN COC(=O)[C@@]1(O)CCN(CCOc2ccc(C#N)cc2)C1 ZINC000880560034 616558977 /nfs/dbraw/zinc/55/89/77/616558977.db2.gz TYEZLMSNSYWXMX-OAHLLOKOSA-N 0 1 290.319 0.547 20 30 CCEDMN COC(=O)[C@H]1CCC[C@@H](C(=O)NCC#CCN(C)C)C1 ZINC000913518987 616658028 /nfs/dbraw/zinc/65/80/28/616658028.db2.gz IVGBXNHVMUWVEM-OLZOCXBDSA-N 0 1 280.368 0.647 20 30 CCEDMN COCCn1c(=O)cc(O)nc1SCCCC#N ZINC000901652467 616714757 /nfs/dbraw/zinc/71/47/57/616714757.db2.gz ISMYKVQJDNJXGH-UHFFFAOYSA-N 0 1 269.326 0.991 20 30 CCEDMN COCc1csc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000852827718 616917631 /nfs/dbraw/zinc/91/76/31/616917631.db2.gz ODZKIXQDVWYCGS-VHSXEESVSA-N 0 1 265.338 0.736 20 30 CCEDMN COCCN(C)c1ccc(C=NN2CCC[C@@H]2CO)cn1 ZINC000841657150 616971897 /nfs/dbraw/zinc/97/18/97/616971897.db2.gz GZIFBIDBXIFNOQ-CQSZACIVSA-N 0 1 292.383 0.955 20 30 CCEDMN COCCN(CC(=O)NC1(C#N)CCC1)C1CCOCC1 ZINC000879709658 616978454 /nfs/dbraw/zinc/97/84/54/616978454.db2.gz OWSAVUZEWDKRTA-UHFFFAOYSA-N 0 1 295.383 0.676 20 30 CCEDMN COCCN(CCC#N)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000896634284 616992180 /nfs/dbraw/zinc/99/21/80/616992180.db2.gz OVHNHWVYYICTMQ-ZDUSSCGKSA-N 0 1 281.356 0.096 20 30 CCEDMN COC(=O)[C@@H](F)CNC[C@@H](O)COc1ccccc1C#N ZINC000905490717 617001548 /nfs/dbraw/zinc/00/15/48/617001548.db2.gz SITIXAODFRKJJD-NEPJUHHUSA-N 0 1 296.298 0.399 20 30 CCEDMN CON=CC(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000816508036 617103731 /nfs/dbraw/zinc/10/37/31/617103731.db2.gz AKYGSBNHDMIAIE-GFCCVEGCSA-N 0 1 269.345 0.332 20 30 CCEDMN CO[C@H]1CC[C@H](N2CCN(C(=O)CC#N)CC2)C1 ZINC000852125507 617105266 /nfs/dbraw/zinc/10/52/66/617105266.db2.gz KJIGCTAOVLROKJ-RYUDHWBXSA-N 0 1 251.330 0.612 20 30 CCEDMN CO[C@@H]1CCCC[C@H]1C(=O)NCC#CCN(C)C ZINC000913522668 617171823 /nfs/dbraw/zinc/17/18/23/617171823.db2.gz TYGFBCRLISECKB-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC12CC(OCC)C2 ZINC001026039982 625324670 /nfs/dbraw/zinc/32/46/70/625324670.db2.gz QEZAYZMMKGEKSW-AHRVAXILSA-N 0 1 288.391 0.871 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001026207885 625356499 /nfs/dbraw/zinc/35/64/99/625356499.db2.gz ZPLQCGVKQLQSQR-HBNTYKKESA-N 0 1 259.313 0.373 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)Cc3ccn[nH]3)C[C@@H]12 ZINC001026224487 625362666 /nfs/dbraw/zinc/36/26/66/625362666.db2.gz ARRKTEONZLHHDV-RAIGVLPGSA-N 0 1 273.340 0.302 20 30 CCEDMN C[C@@H]1CN(C[C@H]2CCC3(COC3)O2)C[C@@H](C)N1CC#N ZINC000930828629 625438650 /nfs/dbraw/zinc/43/86/50/625438650.db2.gz LVTZKFZQUUGCSO-MGPQQGTHSA-N 0 1 279.384 0.853 20 30 CCEDMN C[C@H]1C[C@H](NC(=O)C2=NC(=O)N(C)C2)CCN1CC#N ZINC000947690146 625483112 /nfs/dbraw/zinc/48/31/12/625483112.db2.gz QWQOFMIBGCNUPC-VHSXEESVSA-N 0 1 277.328 0.232 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CNCc2ccon2)C1 ZINC001026732234 625573245 /nfs/dbraw/zinc/57/32/45/625573245.db2.gz HYXHKJJUZDATQI-MNOVXSKESA-N 0 1 262.313 0.772 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@@H](NCc3nncn3C)C2)C1 ZINC001022674577 622639072 /nfs/dbraw/zinc/63/90/72/622639072.db2.gz CZXQJRBUFQQUAT-TXEJJXNPSA-N 0 1 289.383 0.908 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2cnns2)C1 ZINC001023252986 622967732 /nfs/dbraw/zinc/96/77/32/622967732.db2.gz NBGDSGNNQNIGSI-JTQLQIEISA-N 0 1 264.354 0.613 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2ncccn2)C1 ZINC001023663411 623098250 /nfs/dbraw/zinc/09/82/50/623098250.db2.gz YFJVKQCGOZJIJF-LBPRGKRZSA-N 0 1 258.325 0.552 20 30 CCEDMN Cn1ncnc1CNC[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001026835312 625635311 /nfs/dbraw/zinc/63/53/11/625635311.db2.gz UMVOTAYBXCQTFA-CYBMUJFWSA-N 0 1 287.367 0.167 20 30 CCEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cnnn1C ZINC001024419468 623316964 /nfs/dbraw/zinc/31/69/64/623316964.db2.gz YVWBOJUGHAYAPT-LBPRGKRZSA-N 0 1 277.372 0.976 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001024515127 623345580 /nfs/dbraw/zinc/34/55/80/623345580.db2.gz MCRQWXRLCNFEQT-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024520836 623349779 /nfs/dbraw/zinc/34/97/79/623349779.db2.gz OCRCKEJIQHICBX-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024520836 623349781 /nfs/dbraw/zinc/34/97/81/623349781.db2.gz OCRCKEJIQHICBX-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCN(C)C1=O ZINC001024597685 623387222 /nfs/dbraw/zinc/38/72/22/623387222.db2.gz VQBNQQADNONHQE-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001024646466 623408058 /nfs/dbraw/zinc/40/80/58/623408058.db2.gz YTFRBAQDVCZNFF-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)O1 ZINC001024650357 623409119 /nfs/dbraw/zinc/40/91/19/623409119.db2.gz APLSDDFNFFVNGT-RWMBFGLXSA-N 0 1 295.383 0.176 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1 ZINC001024769502 623435722 /nfs/dbraw/zinc/43/57/22/623435722.db2.gz ICTFWZXCJGSEFE-NEPJUHHUSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001024767596 623436648 /nfs/dbraw/zinc/43/66/48/623436648.db2.gz FQDWSNISOQAGRQ-CABCVRRESA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@@H]1CCCN(CC=C)C1 ZINC001007519051 623660193 /nfs/dbraw/zinc/66/01/93/623660193.db2.gz HYHUEIIEKCTRJB-CABCVRRESA-N 0 1 275.396 0.851 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2[nH]nnc2C)C1 ZINC001025507113 623820867 /nfs/dbraw/zinc/82/08/67/623820867.db2.gz SGCRITNZWCYSOB-DGCLKSJQSA-N 0 1 289.383 0.967 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025507113 623820874 /nfs/dbraw/zinc/82/08/74/623820874.db2.gz SGCRITNZWCYSOB-DGCLKSJQSA-N 0 1 289.383 0.967 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025507113 623820877 /nfs/dbraw/zinc/82/08/77/623820877.db2.gz SGCRITNZWCYSOB-DGCLKSJQSA-N 0 1 289.383 0.967 20 30 CCEDMN Cc1c(C(=O)N(C)CC2CN(C)C2)cnn1CCC#N ZINC000892869984 623857701 /nfs/dbraw/zinc/85/77/01/623857701.db2.gz HHFUAZYEQIQCEI-UHFFFAOYSA-N 0 1 275.356 0.739 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@H](C(=O)[O-])C(C)(C)C ZINC000328219800 623870731 /nfs/dbraw/zinc/87/07/31/623870731.db2.gz ZKCDGMAURHLWEC-LLVKDONJSA-N 0 1 283.372 0.740 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@](C)(CCC)C(=O)[O-] ZINC000328257869 623872835 /nfs/dbraw/zinc/87/28/35/623872835.db2.gz KRPMMBFUZIQARF-CQSZACIVSA-N 0 1 283.372 0.884 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC[C@@H](OC)C1 ZINC001025824564 623889657 /nfs/dbraw/zinc/88/96/57/623889657.db2.gz NZLHMGYQRJHPQE-MEBFFEOJSA-N 0 1 262.353 0.481 20 30 CCEDMN CN1CC(C(=O)NC2C[C@H]3CC[C@@H](C2)N3CC#N)=NC1=O ZINC001021369755 624707281 /nfs/dbraw/zinc/70/72/81/624707281.db2.gz OUXSNJZCHKTFAR-FGWVZKOKSA-N 0 1 289.339 0.374 20 30 CCEDMN N#CCN1CC[C@H]2[C@H](CCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001021708573 624814160 /nfs/dbraw/zinc/81/41/60/624814160.db2.gz FOPGCXQSUPSGRF-NEPJUHHUSA-N 0 1 288.355 0.184 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](N(C)Cc2cnn(C)c2)C1 ZINC000971219158 624828819 /nfs/dbraw/zinc/82/88/19/624828819.db2.gz WZLIDBSNSFUSDO-AAEUAGOBSA-N 0 1 275.356 0.612 20 30 CCEDMN N#Cc1ccc(C(=O)N=S2(=O)CCOCC2)cc1O ZINC000891367334 624837184 /nfs/dbraw/zinc/83/71/84/624837184.db2.gz PJBUSMDDYDDCAA-UHFFFAOYSA-N 0 1 280.305 0.902 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)[nH]1 ZINC001021946513 624854307 /nfs/dbraw/zinc/85/43/07/624854307.db2.gz OYVIZWPPYBWTAJ-PHIMTYICSA-N 0 1 299.338 0.364 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CN(CC)CCO2)C1 ZINC001009592295 625860629 /nfs/dbraw/zinc/86/06/29/625860629.db2.gz HFBXJAIXGRPTLZ-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H]2[C@H]3CN(CC#N)C[C@H]32)c1C ZINC000977921770 630763143 /nfs/dbraw/zinc/76/31/43/630763143.db2.gz PUHBZSIVDYNPJH-CNDDSTCGSA-N 0 1 273.340 0.458 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)CCc2cnn(C)c2)C1 ZINC001027692440 630855932 /nfs/dbraw/zinc/85/59/32/630855932.db2.gz JFDDBZMMTCEHST-HNNXBMFYSA-N 0 1 288.395 0.909 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)[C@H]1COCCO1 ZINC001027758304 630897657 /nfs/dbraw/zinc/89/76/57/630897657.db2.gz VHOZDJQHVLAERZ-VXGBXAGGSA-N 0 1 254.330 0.168 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnn(C)c1C ZINC001027760799 630898642 /nfs/dbraw/zinc/89/86/42/630898642.db2.gz YUTQEJDEUBKNSB-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cc[nH]c(=O)c1 ZINC001027822072 630948163 /nfs/dbraw/zinc/94/81/63/630948163.db2.gz XMJGCMJPAYOQHJ-GFCCVEGCSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCCO1 ZINC001027829004 630955964 /nfs/dbraw/zinc/95/59/64/630955964.db2.gz SPOADUYBQKMEDZ-STQMWFEESA-N 0 1 250.342 0.769 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@@H](NC(=O)Cc3nnc[nH]3)C[C@H]2C1 ZINC000980208940 631003791 /nfs/dbraw/zinc/00/37/91/631003791.db2.gz JPXLAAIRBLPAJD-SDDRHHMPSA-N 0 1 288.355 0.087 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@H]2COCCO2)C1 ZINC001010256873 631077960 /nfs/dbraw/zinc/07/79/60/631077960.db2.gz RDFRZBAHRTWATB-NWDGAFQWSA-N 0 1 254.330 0.168 20 30 CCEDMN N#CC1(CNC[C@@H](O)C[C@@]2(O)CCOC2)CCCCC1 ZINC000905387848 634852592 /nfs/dbraw/zinc/85/25/92/634852592.db2.gz ZAQKBCZEYCWGNY-ZFWWWQNUSA-N 0 1 282.384 0.952 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2nc[nH]c2C(F)(F)F)C1 ZINC000968921640 650033926 /nfs/dbraw/zinc/03/39/26/650033926.db2.gz CMDGBWBHKYXMIH-SSDOTTSWSA-N 0 1 287.245 0.756 20 30 CCEDMN CCN(CCC(=O)Nc1ccc(C#N)cc1)CC(=O)NC ZINC000049024160 631876812 /nfs/dbraw/zinc/87/68/12/631876812.db2.gz KSHWYNWOJIZFQV-UHFFFAOYSA-N 0 1 288.351 0.955 20 30 CCEDMN N#Cc1cnc(N2CCN(CCCCCO)CC2)cn1 ZINC000894963881 631887307 /nfs/dbraw/zinc/88/73/07/631887307.db2.gz DVWPRRFTUIQFGF-UHFFFAOYSA-N 0 1 275.356 0.633 20 30 CCEDMN C#CC[C@@H](CO)NS(=O)(=O)c1ccccc1O ZINC000882339597 631984586 /nfs/dbraw/zinc/98/45/86/631984586.db2.gz JECHURGHQHSDNU-VIFPVBQESA-N 0 1 255.295 0.055 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC001006993622 632009724 /nfs/dbraw/zinc/00/97/24/632009724.db2.gz ICPFOWLEPSRTSY-LBPRGKRZSA-N 0 1 277.372 0.894 20 30 CCEDMN C[C@H](Nc1ncccc1C#N)[C@@H]1CN(C)CCN1C ZINC000895247992 632032003 /nfs/dbraw/zinc/03/20/03/632032003.db2.gz XXWFLKZKIBWQBV-AAEUAGOBSA-N 0 1 259.357 0.999 20 30 CCEDMN C[C@]1(CO)C[C@H](O)CN1Cc1csc(C#N)c1 ZINC000895435294 632082489 /nfs/dbraw/zinc/08/24/89/632082489.db2.gz YIRNOXHXGXASMV-CMPLNLGQSA-N 0 1 252.339 0.937 20 30 CCEDMN N#Cc1cccc(CNC(=O)C2CN([C@@H]3CCOC3)C2)c1 ZINC000895637056 632118991 /nfs/dbraw/zinc/11/89/91/632118991.db2.gz DJAGGPCNPHTEOC-OAHLLOKOSA-N 0 1 285.347 0.895 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC001006864285 650055988 /nfs/dbraw/zinc/05/59/88/650055988.db2.gz PGNSNRAXHXGCNL-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C[C@H](NC(=O)c1sccc1C#N)[C@@H]1CN(C)CCN1C ZINC000896563283 632288805 /nfs/dbraw/zinc/28/88/05/632288805.db2.gz JQTLDHNOUGERPL-JQWIXIFHSA-N 0 1 292.408 0.984 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CCN1C(=O)[C@@H](C)C#N ZINC000985271008 632405321 /nfs/dbraw/zinc/40/53/21/632405321.db2.gz IZRQFKOJABODMA-LPEHRKFASA-N 0 1 290.327 0.074 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CCN1C(=O)[C@@H](C)C#N ZINC000985271008 632405326 /nfs/dbraw/zinc/40/53/26/632405326.db2.gz IZRQFKOJABODMA-LPEHRKFASA-N 0 1 290.327 0.074 20 30 CCEDMN C[C@@H](C#N)CN(C(=O)C1CN([C@@H]2CCOC2)C1)C1CC1 ZINC000897195223 632415414 /nfs/dbraw/zinc/41/54/14/632415414.db2.gz QJGRUZJMHSKDCV-SMDDNHRTSA-N 0 1 277.368 0.858 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccnn2CCOC)C1 ZINC001007575920 650084789 /nfs/dbraw/zinc/08/47/89/650084789.db2.gz OTEPAVORMYLVMN-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001007581006 650085325 /nfs/dbraw/zinc/08/53/25/650085325.db2.gz IWTUDPKSGIDOTE-SNVBAGLBSA-N 0 1 262.313 0.562 20 30 CCEDMN C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)C1 ZINC001007625274 650086644 /nfs/dbraw/zinc/08/66/44/650086644.db2.gz SDVYSHONKLEVCM-RBSFLKMASA-N 0 1 295.427 0.862 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)c1cccc2nn[nH]c21 ZINC000986309633 632742990 /nfs/dbraw/zinc/74/29/90/632742990.db2.gz FDSSPCKHCMXSNG-ONGXEEELSA-N 0 1 284.323 0.674 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cn(C)ccc2=O)C1 ZINC001007889487 650096183 /nfs/dbraw/zinc/09/61/83/650096183.db2.gz IDTXKGRUXJMVME-ZDUSSCGKSA-N 0 1 287.363 0.603 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@H]2CCCN(CCO)C2)c1 ZINC001007030039 632816011 /nfs/dbraw/zinc/81/60/11/632816011.db2.gz PZITUIDXQZKOMV-NSHDSACASA-N 0 1 262.313 0.073 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](NCC#N)[C@@H]2C)CC1 ZINC000987573873 632881743 /nfs/dbraw/zinc/88/17/43/632881743.db2.gz CZORQDYOCZAPII-ZFWWWQNUSA-N 0 1 288.395 0.434 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001008201258 650105615 /nfs/dbraw/zinc/10/56/15/650105615.db2.gz BOCANKVHTYZIEC-NEPJUHHUSA-N 0 1 265.357 0.374 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H]2CCN(C(=O)c3ccn[nH]3)C2)c[nH]1 ZINC000937850522 633084651 /nfs/dbraw/zinc/08/46/51/633084651.db2.gz BJHGUJAZAVVBOG-JTQLQIEISA-N 0 1 298.306 0.254 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cn(C)nn2)C1 ZINC000988777879 633110199 /nfs/dbraw/zinc/11/01/99/633110199.db2.gz OLEZPDFSLVGNNC-NXEZZACHSA-N 0 1 283.763 0.760 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2ncn(C)n2)C1 ZINC000988787410 633113337 /nfs/dbraw/zinc/11/33/37/633113337.db2.gz UYWDIRLSPHSWRG-VHSXEESVSA-N 0 1 283.763 0.760 20 30 CCEDMN Cc1nn(C)c(C=NNC2=NC[C@H](C)N2)c1Cl ZINC000905459469 634867240 /nfs/dbraw/zinc/86/72/40/634867240.db2.gz BDHDGZDBYNRSPG-LURJTMIESA-N 0 1 254.725 0.653 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)N2CCOCC2)CC1 ZINC000989811111 633356704 /nfs/dbraw/zinc/35/67/04/633356704.db2.gz BICANKCEMOVKQW-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](C)N2CCOCC2)CC1 ZINC000989811217 633356994 /nfs/dbraw/zinc/35/69/94/633356994.db2.gz JCABHZLVJQSLFN-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN CCc1cc(C(=O)N2CCC(NCC#N)CC2)n[nH]1 ZINC000989977892 633398025 /nfs/dbraw/zinc/39/80/25/633398025.db2.gz CNOZGBODIJVPNL-UHFFFAOYSA-N 0 1 261.329 0.690 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@@H]3CCCO3)CCC[C@@H]12 ZINC000990306858 633505278 /nfs/dbraw/zinc/50/52/78/633505278.db2.gz LKKUCDHIOFRJCJ-GUTXKFCHSA-N 0 1 262.353 0.912 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cn(C)nn3)CCC[C@@H]12 ZINC000990517032 633548484 /nfs/dbraw/zinc/54/84/84/633548484.db2.gz SBVKZAQDMXWPKZ-OCCSQVGLSA-N 0 1 273.340 0.175 20 30 CCEDMN Cc1nc(CC(=O)N[C@@]23CCC[C@@H]2N(CC#N)CC3)n[nH]1 ZINC000990638067 633572535 /nfs/dbraw/zinc/57/25/35/633572535.db2.gz KYFVMZKCKOGNPQ-SMDDNHRTSA-N 0 1 288.355 0.292 20 30 CCEDMN C[C@H](NC[C@@H](O)CS(C)(=O)=O)c1cccc(C#N)c1 ZINC000927029769 633578652 /nfs/dbraw/zinc/57/86/52/633578652.db2.gz LDAKNCITQUETCD-GXFFZTMASA-N 0 1 282.365 0.614 20 30 CCEDMN Cc1nc(S(=O)(=O)N[C@H](CC#N)C(F)(F)F)cn1C ZINC000901771285 634275982 /nfs/dbraw/zinc/27/59/82/634275982.db2.gz CGKMKTWFBGDKKQ-SSDOTTSWSA-N 0 1 296.274 0.851 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCCOC2)C1 ZINC001010371573 650208575 /nfs/dbraw/zinc/20/85/75/650208575.db2.gz IRTNSESADIEGSQ-CHWSQXEVSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CCC(O)CC2)C1 ZINC001010818565 650266937 /nfs/dbraw/zinc/26/69/37/650266937.db2.gz UADTYNMHSDPUHF-MOKVOYLWSA-N 0 1 264.369 0.751 20 30 CCEDMN C[C@@H](c1ccccc1F)[C@H](O)C(=O)NCC#CCN(C)C ZINC000913525191 636833019 /nfs/dbraw/zinc/83/30/19/636833019.db2.gz NHIJCHIWCMJKNY-WFASDCNBSA-N 0 1 292.354 0.971 20 30 CCEDMN C[C@H]1Cc2c[nH]nc2[C@H](C(=O)NCC#CCN(C)C)C1 ZINC000913524090 636833660 /nfs/dbraw/zinc/83/36/60/636833660.db2.gz ZLADILYBGYDYNO-WCQYABFASA-N 0 1 274.368 0.757 20 30 CCEDMN Cc1nn(C)c2nc(C)cc(C(=O)NCC#CCN(C)C)c12 ZINC000913516257 636834502 /nfs/dbraw/zinc/83/45/02/636834502.db2.gz IOZFWNRZWMMISH-UHFFFAOYSA-N 0 1 299.378 0.880 20 30 CCEDMN Cc1nccc(CCC(=O)NCC#CCN(C)C)n1 ZINC000913522546 636835973 /nfs/dbraw/zinc/83/59/73/636835973.db2.gz OBLBSJQQGHGXAC-UHFFFAOYSA-N 0 1 260.341 0.399 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)c3[nH]ncc3F)CCC[C@@H]12 ZINC000992149631 637322468 /nfs/dbraw/zinc/32/24/68/637322468.db2.gz GAZVHVZUMOMVMB-ZWNOBZJWSA-N 0 1 277.303 0.799 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)Cc3cnc[nH]3)CCC[C@@H]12 ZINC000992279145 637353739 /nfs/dbraw/zinc/35/37/39/637353739.db2.gz XYRNGJIFUBUETM-TZMCWYRMSA-N 0 1 273.340 0.589 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCCN(C(N)=O)C2)C1 ZINC001015166682 637378846 /nfs/dbraw/zinc/37/88/46/637378846.db2.gz RQTXWAMPRWGKGX-VXGBXAGGSA-N 0 1 280.372 0.154 20 30 CCEDMN Cc1c(C(=O)NC23CCN(CC2)C3)cnn1CCC#N ZINC000913629205 637390223 /nfs/dbraw/zinc/39/02/23/637390223.db2.gz SHLCWJQVNSFOFQ-UHFFFAOYSA-N 0 1 273.340 0.683 20 30 CCEDMN C[C@@H]1CN(C(=O)N[C@H]2CCCN(C)C2)C[C@H](C)N1CC#N ZINC000914313778 637589157 /nfs/dbraw/zinc/58/91/57/637589157.db2.gz FQVUIZDAKSXEEA-MJBXVCDLSA-N 0 1 293.415 0.708 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1C ZINC000993006333 637662127 /nfs/dbraw/zinc/66/21/27/637662127.db2.gz UCEBUKRFIVFQJW-MNOVXSKESA-N 0 1 276.340 0.951 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CN2CCCC2=O)[C@@H]1C ZINC000993032145 637671086 /nfs/dbraw/zinc/67/10/86/637671086.db2.gz HMGJIVRTIMEXNB-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cc[nH]n2)[C@H]1C ZINC000993151319 637716717 /nfs/dbraw/zinc/71/67/17/637716717.db2.gz SLJZLXAPRAEYBA-YPMHNXCESA-N 0 1 260.341 0.555 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cc[nH]n2)[C@H]1C ZINC000993160927 637721421 /nfs/dbraw/zinc/72/14/21/637721421.db2.gz IZRSYTIUBJQRHQ-OCCSQVGLSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cnn(C)c2C)[C@@H]1C ZINC000993401634 637802305 /nfs/dbraw/zinc/80/23/05/637802305.db2.gz SSLYKYFHHKRMFY-DZGCQCFKSA-N 0 1 288.395 0.873 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cc(=O)n(C)cn2)[C@H]1C ZINC000993458034 637811133 /nfs/dbraw/zinc/81/11/33/637811133.db2.gz GWYCPXNTOXLDCN-NEPJUHHUSA-N 0 1 290.367 0.549 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2c[nH]c(=O)cn2)[C@@H]1C ZINC000993727962 637842709 /nfs/dbraw/zinc/84/27/09/637842709.db2.gz MQDKMNMPMWJYTQ-WDEREUQCSA-N 0 1 276.340 0.539 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CCCN(CCO)[C@H]2C)c1 ZINC000993776916 637847422 /nfs/dbraw/zinc/84/74/22/637847422.db2.gz YBGRIDWQBVEGGC-WFASDCNBSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cn2ccc(C)n2)[C@@H]1C ZINC000993858559 637850359 /nfs/dbraw/zinc/85/03/59/637850359.db2.gz RPQIXDNUXFUZBM-UONOGXRCSA-N 0 1 274.368 0.794 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2ncc[nH]2)[C@H]1C ZINC000994103720 637870931 /nfs/dbraw/zinc/87/09/31/637870931.db2.gz UBZTWBIVPOSLHX-VXGBXAGGSA-N 0 1 260.341 0.555 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)Cc2[nH]cnc2C)[C@H]1C ZINC000994299311 637919018 /nfs/dbraw/zinc/91/90/18/637919018.db2.gz VRJVZSRXAVXPFV-OLZOCXBDSA-N 0 1 274.368 0.863 20 30 CCEDMN Cc1cc(OCC(=O)C(C#N)C(=O)NC2CC2)no1 ZINC000916926469 637933071 /nfs/dbraw/zinc/93/30/71/637933071.db2.gz CTDIYGZLTOSLKH-SECBINFHSA-N 0 1 263.253 0.349 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2nonc2C)[C@@H]1C ZINC000994534835 637982228 /nfs/dbraw/zinc/98/22/28/637982228.db2.gz ODXNEJLRCOWKLJ-NWDGAFQWSA-N 0 1 276.340 0.984 20 30 CCEDMN Cc1[nH]ncc1CN(C)S(=O)(=O)N(C)C[C@@H](C)C#N ZINC000918688930 638031889 /nfs/dbraw/zinc/03/18/89/638031889.db2.gz PDFWIFJXLDHVQQ-VIFPVBQESA-N 0 1 285.373 0.486 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](N(C)C(=O)Cc2ccn[nH]2)C1 ZINC000939395692 638358079 /nfs/dbraw/zinc/35/80/79/638358079.db2.gz GENKTCITSOWPFM-ZYHUDNBSSA-N 0 1 289.339 0.171 20 30 CCEDMN Cc1cc(C(=O)N2CCCN(C(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC000940395397 638460080 /nfs/dbraw/zinc/46/00/80/638460080.db2.gz UKQVDQNKWPZWCF-JTQLQIEISA-N 0 1 289.339 0.552 20 30 CCEDMN COC[C@H](C)N1CC(N2CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000941348609 638528830 /nfs/dbraw/zinc/52/88/30/638528830.db2.gz QGYCZZJMRDNQQL-OLZOCXBDSA-N 0 1 294.399 0.009 20 30 CCEDMN C[C@H]1CN(CC#Cc2ccccc2)CC[C@H]1NC(=O)C(N)=O ZINC000942590776 638672953 /nfs/dbraw/zinc/67/29/53/638672953.db2.gz AFFBARZPXCZRKS-DZGCQCFKSA-N 0 1 299.374 0.350 20 30 CCEDMN C#C[C@H](C)NC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000185350117 638766455 /nfs/dbraw/zinc/76/64/55/638766455.db2.gz XQYIQLGTKAUZIQ-JTQLQIEISA-N 0 1 297.314 0.808 20 30 CCEDMN C#CCC(C)(C)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000185420751 638767926 /nfs/dbraw/zinc/76/79/26/638767926.db2.gz KKVCXJFZUXSUMK-ZDUSSCGKSA-N 0 1 265.401 0.788 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H](NCc2nccn2C)C(C)(C)C1 ZINC000995567086 638778253 /nfs/dbraw/zinc/77/82/53/638778253.db2.gz VQUVLHWXVQBXOP-NEPJUHHUSA-N 0 1 289.383 0.906 20 30 CCEDMN C=CC[C@H](CO)NC(=O)c1nnc2ccccc2c1O ZINC000185813380 638787906 /nfs/dbraw/zinc/78/79/06/638787906.db2.gz YICVBRKUAYQAPB-SECBINFHSA-N 0 1 273.292 0.590 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@@H]2CCNC2=O)CC1(C)C ZINC000996132670 638810317 /nfs/dbraw/zinc/81/03/17/638810317.db2.gz FVOPGIWLVNFRQV-MNOVXSKESA-N 0 1 299.802 0.702 20 30 CCEDMN CN(C)c1ccncc1C(=O)N[C@H]1CCN(CC#N)C1 ZINC001016009105 638877441 /nfs/dbraw/zinc/87/74/41/638877441.db2.gz OFJPUGAXNNFECP-NSHDSACASA-N 0 1 273.340 0.475 20 30 CCEDMN CCc1cc(C(=O)N2CCC[C@@H](NCC#N)C2)n[nH]1 ZINC000998588799 638929253 /nfs/dbraw/zinc/92/92/53/638929253.db2.gz RIVJOVMKUDSEAT-LLVKDONJSA-N 0 1 261.329 0.690 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2nn(C)cc2Cl)C1 ZINC001014539100 650460748 /nfs/dbraw/zinc/46/07/48/650460748.db2.gz HKCMZQZCEZOHCF-JTQLQIEISA-N 0 1 280.759 0.901 20 30 CCEDMN CN(C(=O)C(C)(C)c1c[nH]cn1)C1CC(NCC#N)C1 ZINC000999698016 638992247 /nfs/dbraw/zinc/99/22/47/638992247.db2.gz PAMUIFMTDZYKTG-UHFFFAOYSA-N 0 1 275.356 0.790 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001014593127 650465559 /nfs/dbraw/zinc/46/55/59/650465559.db2.gz GYSQEELPYOIGHE-JTQLQIEISA-N 0 1 271.324 0.948 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2nccnc2N)CC1 ZINC001000531873 639114233 /nfs/dbraw/zinc/11/42/33/639114233.db2.gz HXBCVLUWRXOBEI-UHFFFAOYSA-N 0 1 285.351 0.444 20 30 CCEDMN CC1CCN(CC(=O)N2CCC[C@@H](NCC#N)C2)CC1 ZINC001000534476 639114303 /nfs/dbraw/zinc/11/43/03/639114303.db2.gz WSAZDPDEMKESSN-CQSZACIVSA-N 0 1 278.400 0.822 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001000733397 639157619 /nfs/dbraw/zinc/15/76/19/639157619.db2.gz QLTKFXALVZSIDV-MNOVXSKESA-N 0 1 285.775 0.598 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cncc3[nH]cnc32)CC1 ZINC001000718566 639160528 /nfs/dbraw/zinc/16/05/28/639160528.db2.gz WBNDDJUXOIXONM-UHFFFAOYSA-N 0 1 295.346 0.953 20 30 CCEDMN C#CC[N@@H+]1CC=C(CNC(=O)c2ccnc3ccnn32)CC1 ZINC001000769451 639161100 /nfs/dbraw/zinc/16/11/00/639161100.db2.gz FMOZCMRZCDTCLX-UHFFFAOYSA-N 0 1 295.346 0.724 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccnc3ccnn32)CC1 ZINC001000769451 639161104 /nfs/dbraw/zinc/16/11/04/639161104.db2.gz FMOZCMRZCDTCLX-UHFFFAOYSA-N 0 1 295.346 0.724 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC001001036942 639220610 /nfs/dbraw/zinc/22/06/10/639220610.db2.gz OBLMIXMZYQPJCZ-OLZOCXBDSA-N 0 1 277.368 0.432 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn3ncccc23)C1 ZINC001014747675 650484645 /nfs/dbraw/zinc/48/46/45/650484645.db2.gz UQLVGDPZWQOXLS-NSHDSACASA-N 0 1 271.324 0.719 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccc3c(c2)NC(=O)C3)C1 ZINC001014770415 650486887 /nfs/dbraw/zinc/48/68/87/650486887.db2.gz WCJOQFBAPZRYOT-AWEZNQCLSA-N 0 1 297.358 0.547 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(C)c(C)cc2=O)C1 ZINC001014828953 650492677 /nfs/dbraw/zinc/49/26/77/650492677.db2.gz LANPKAQGWFJBCF-ZDUSSCGKSA-N 0 1 287.363 0.521 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001014854323 650495810 /nfs/dbraw/zinc/49/58/10/650495810.db2.gz DXFMWTSWOTZYTC-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnn3ccncc23)CC1 ZINC001003030656 639497396 /nfs/dbraw/zinc/49/73/96/639497396.db2.gz HTTMNVLODPXTAN-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC001003221369 639518054 /nfs/dbraw/zinc/51/80/54/639518054.db2.gz IBGRUWDXUXWBCT-QWHCGFSZSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3ccccn3c2=O)C1 ZINC001014959496 650507110 /nfs/dbraw/zinc/50/71/10/650507110.db2.gz FPJNWABXXARODH-GFCCVEGCSA-N 0 1 298.346 0.685 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001003686545 639593972 /nfs/dbraw/zinc/59/39/72/639593972.db2.gz WLUZEAYMZYRZME-UHFFFAOYSA-N 0 1 291.355 0.595 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001003686545 639593973 /nfs/dbraw/zinc/59/39/73/639593973.db2.gz WLUZEAYMZYRZME-UHFFFAOYSA-N 0 1 291.355 0.595 20 30 CCEDMN C=C(Cl)CN1CCC(NC(=O)c2ncn[nH]2)CC1 ZINC001003811534 639621836 /nfs/dbraw/zinc/62/18/36/639621836.db2.gz YPUYHYWFGOPNAB-UHFFFAOYSA-N 0 1 269.736 0.751 20 30 CCEDMN C=C(Cl)CN1CCC(NC(=O)c2nc[nH]n2)CC1 ZINC001003811534 639621843 /nfs/dbraw/zinc/62/18/43/639621843.db2.gz YPUYHYWFGOPNAB-UHFFFAOYSA-N 0 1 269.736 0.751 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001015001328 650513697 /nfs/dbraw/zinc/51/36/97/650513697.db2.gz VKVNWDWJEUGPQN-QWHCGFSZSA-N 0 1 274.368 0.822 20 30 CCEDMN N#Cc1c(NC[C@@H]2CCCOC2)n[nH]c1N1CCOCC1 ZINC000922359948 639668289 /nfs/dbraw/zinc/66/82/89/639668289.db2.gz NJYLEVOICSWTFC-NSHDSACASA-N 0 1 291.355 0.956 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)N2CCCCC2=O)CC1 ZINC001004202552 639718813 /nfs/dbraw/zinc/71/88/13/639718813.db2.gz CSTQDTKYLLUEFJ-ZDUSSCGKSA-N 0 1 291.395 0.601 20 30 CCEDMN CS(=O)(=O)C[C@H](O)CNCc1cccc(C#N)c1 ZINC000922827113 639798183 /nfs/dbraw/zinc/79/81/83/639798183.db2.gz NWIDDSNTLMCXON-GFCCVEGCSA-N 0 1 268.338 0.053 20 30 CCEDMN C=C(C)CC[N@H+]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001015110493 650528393 /nfs/dbraw/zinc/52/83/93/650528393.db2.gz SSDCFPQKICQACL-SECBINFHSA-N 0 1 293.327 0.053 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC([C@H](C)NC(C)=O)C2)CC1 ZINC001005543713 639922006 /nfs/dbraw/zinc/92/20/06/639922006.db2.gz RZQHTKNKSIKBLP-LBPRGKRZSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccn(C)c(=O)c2)CC1 ZINC001005600499 639942297 /nfs/dbraw/zinc/94/22/97/639942297.db2.gz TUSXPDJIOMLTBW-UHFFFAOYSA-N 0 1 287.363 0.555 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC001005640862 639945836 /nfs/dbraw/zinc/94/58/36/639945836.db2.gz RYPZMGGGMRDPJH-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cn(C)ccc2=O)C1 ZINC001015210638 650539565 /nfs/dbraw/zinc/53/95/65/650539565.db2.gz CVSDIGZVHWDVDM-LBPRGKRZSA-N 0 1 275.352 0.766 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1CC12CCN(CC#N)CC2 ZINC001016541860 640212550 /nfs/dbraw/zinc/21/25/50/640212550.db2.gz ZCMAUQHWYRGHDH-QWHCGFSZSA-N 0 1 276.384 0.575 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001015470706 650570644 /nfs/dbraw/zinc/57/06/44/650570644.db2.gz IHGFVKIWXLJLOK-LLVKDONJSA-N 0 1 276.340 0.789 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(OC)ccn2)C1 ZINC001015485302 650571478 /nfs/dbraw/zinc/57/14/78/650571478.db2.gz VYJZHSCLMDWQEU-LBPRGKRZSA-N 0 1 273.336 0.918 20 30 CCEDMN NC(=O)c1csc(C=NNc2ncnc3nc[nH]c32)c1 ZINC000755890975 640339519 /nfs/dbraw/zinc/33/95/19/640339519.db2.gz UFIHKFAQFJQXAQ-UHFFFAOYSA-N 0 1 287.308 0.959 20 30 CCEDMN COc1nc(C(=O)OCCCSCC#N)cc(=O)[nH]1 ZINC000805531273 640401894 /nfs/dbraw/zinc/40/18/94/640401894.db2.gz LMBSSGQOBULFIX-UHFFFAOYSA-N 0 1 283.309 0.994 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000801940470 640677895 /nfs/dbraw/zinc/67/78/95/640677895.db2.gz AXFGNXFRGBYUBM-ZYHUDNBSSA-N 0 1 266.345 0.451 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C(N)=O)co2)C1 ZINC001015685236 650609243 /nfs/dbraw/zinc/60/92/43/650609243.db2.gz AYWSOOIPXZSCIQ-LLVKDONJSA-N 0 1 277.324 0.759 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001015715999 650615969 /nfs/dbraw/zinc/61/59/69/650615969.db2.gz MCODOXWHKIIRAL-GRYCIOLGSA-N 0 1 265.357 0.265 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001015770890 650625852 /nfs/dbraw/zinc/62/58/52/650625852.db2.gz UPVHGEHKNJKMDB-LBPRGKRZSA-N 0 1 280.759 0.750 20 30 CCEDMN Cc1nn(-c2ccccc2)c(N)c1C=NNCCO ZINC000814942112 641083924 /nfs/dbraw/zinc/08/39/24/641083924.db2.gz JQOKYYGPLPLYCO-UHFFFAOYSA-N 0 1 259.313 0.679 20 30 CCEDMN N#Cc1ccccc1CN1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001015872423 650643738 /nfs/dbraw/zinc/64/37/38/650643738.db2.gz GXNDUGFSSQEPRX-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN N#Cc1ccccc1CN1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001015872423 650643739 /nfs/dbraw/zinc/64/37/39/650643739.db2.gz GXNDUGFSSQEPRX-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001015938524 650660375 /nfs/dbraw/zinc/66/03/75/650660375.db2.gz OVTDMHFDRJSVTJ-GFCCVEGCSA-N 0 1 274.324 0.313 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)c1[nH]nc2c1CCCCC2 ZINC000820476210 641509699 /nfs/dbraw/zinc/50/96/99/641509699.db2.gz JQOBOQURIIJNKA-NSHDSACASA-N 0 1 292.339 0.789 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC001015971268 650666384 /nfs/dbraw/zinc/66/63/84/650666384.db2.gz DEOWQBOMRQBUPD-CQSZACIVSA-N 0 1 274.368 0.659 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CCN(C(N)=O)CC2)C1 ZINC001016215926 650698467 /nfs/dbraw/zinc/69/84/67/650698467.db2.gz KVGCPCZBDOXUDV-GFCCVEGCSA-N 0 1 280.372 0.154 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC001016221683 650699881 /nfs/dbraw/zinc/69/98/81/650699881.db2.gz LRFBEMIAKYUWSZ-GFCCVEGCSA-N 0 1 261.325 0.225 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(-n3cnnn3)c2)C1 ZINC001016228435 650700708 /nfs/dbraw/zinc/70/07/08/650700708.db2.gz HAPIKWQAHHSDCU-CYBMUJFWSA-N 0 1 298.350 0.652 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@H]2CCCOC2)C1 ZINC001016279066 650711615 /nfs/dbraw/zinc/71/16/15/650711615.db2.gz WCDSKZRGMAIPJH-CHWSQXEVSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001016402334 650726589 /nfs/dbraw/zinc/72/65/89/650726589.db2.gz LSFHXVXPJOTDCB-GFCCVEGCSA-N 0 1 286.335 0.008 20 30 CCEDMN C#CC[C@H]1CCCN(CC(=O)N[C@H](C)C(=O)N(C)C)C1 ZINC000829778424 642191313 /nfs/dbraw/zinc/19/13/13/642191313.db2.gz BXSLRQCDRJCTPI-OLZOCXBDSA-N 0 1 279.384 0.315 20 30 CCEDMN N#Cc1cccc([C@@H]2CN(C(=O)Cc3ncn[nH]3)CCO2)c1 ZINC000867066246 642238960 /nfs/dbraw/zinc/23/89/60/642238960.db2.gz JFEXKIGAHJCCFI-ZDUSSCGKSA-N 0 1 297.318 0.819 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)C[C@@H]2CCC(=O)N2)c1 ZINC000831271508 642340918 /nfs/dbraw/zinc/34/09/18/642340918.db2.gz HXCOSRMMJDNOTN-VIFPVBQESA-N 0 1 259.265 0.871 20 30 CCEDMN CN1CC(C(=O)N2CCSC[C@H]2C#N)=NC1=O ZINC000831691222 642385303 /nfs/dbraw/zinc/38/53/03/642385303.db2.gz QAUGWEHLFFSWAW-SSDOTTSWSA-N 0 1 252.299 0.207 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(-c2ccccn2)no1 ZINC000834479773 642573185 /nfs/dbraw/zinc/57/31/85/642573185.db2.gz IMCUZBVWBODWAX-BXKDBHETSA-N 0 1 283.291 0.578 20 30 CCEDMN C[C@@H]1CCN(c2cc(C(=O)N[C@H]3CNC[C@H]3C#N)ccn2)C1 ZINC000834480661 642573444 /nfs/dbraw/zinc/57/34/44/642573444.db2.gz ULVRLMBPSXOYQP-BNOWGMLFSA-N 0 1 299.378 0.769 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc2c(s1)CCOC2 ZINC000834484766 642576234 /nfs/dbraw/zinc/57/62/34/642576234.db2.gz MUYGROGZXDAROO-NXEZZACHSA-N 0 1 277.349 0.662 20 30 CCEDMN C[C@@H]1CCC[C@H](OCC(=O)N[C@H]2CNC[C@@H]2C#N)C1 ZINC000834489118 642580068 /nfs/dbraw/zinc/58/00/68/642580068.db2.gz JSFFWSYDIGJUOK-VOAKCMCISA-N 0 1 265.357 0.809 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cn1ccc(=O)c2ccccc21 ZINC000834495288 642584985 /nfs/dbraw/zinc/58/49/85/642584985.db2.gz TXCOUDHEFYSGAY-WCQYABFASA-N 0 1 296.330 0.229 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(N)c([N+](=O)[O-])c1 ZINC000834494886 642585269 /nfs/dbraw/zinc/58/52/69/642585269.db2.gz QORARTCQSAXMKT-WPRPVWTQSA-N 0 1 289.295 0.327 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(NC2CC2)nc1 ZINC000834495695 642587616 /nfs/dbraw/zinc/58/76/16/642587616.db2.gz YGECXEBRLBHVAQ-PWSUYJOCSA-N 0 1 271.324 0.497 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCn1cnc2ccccc21 ZINC000834498787 642587770 /nfs/dbraw/zinc/58/77/70/642587770.db2.gz BPACVHGHXBJARI-DGCLKSJQSA-N 0 1 283.335 0.654 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc2ccc(=O)[nH]c21 ZINC000834499441 642588835 /nfs/dbraw/zinc/58/88/35/642588835.db2.gz IMQWFIQEYQPVPB-PWSUYJOCSA-N 0 1 282.303 0.782 20 30 CCEDMN COc1cccc2c1OCC(C(=O)N[C@H]1CNC[C@H]1C#N)=C2 ZINC000834500470 642589161 /nfs/dbraw/zinc/58/91/61/642589161.db2.gz UBXBRNKEPCQBBT-OLZOCXBDSA-N 0 1 299.330 0.699 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000834505353 642591757 /nfs/dbraw/zinc/59/17/57/642591757.db2.gz JXVXNRJEDFNATJ-JOYOIKCWSA-N 0 1 290.279 0.201 20 30 CCEDMN Cc1ccc(O[C@H](C)C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834506394 642593130 /nfs/dbraw/zinc/59/31/30/642593130.db2.gz SHVCJKHENOROBF-YRGRVCCFSA-N 0 1 273.336 0.990 20 30 CCEDMN C[C@H](Oc1ccc(F)cc1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834506078 642593820 /nfs/dbraw/zinc/59/38/20/642593820.db2.gz PNLXDLGHMFTHGC-OPQQBVKSSA-N 0 1 277.299 0.821 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(Br)o1 ZINC000834512324 642596773 /nfs/dbraw/zinc/59/67/73/642596773.db2.gz UWRJNQYKVBOMDX-NKWVEPMBSA-N 0 1 284.113 0.883 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COc1ccc(Cl)cc1 ZINC000834511758 642596981 /nfs/dbraw/zinc/59/69/81/642596981.db2.gz NSEUIEIJAIEQJB-SKDRFNHKSA-N 0 1 279.727 0.947 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc(N2CCCC2=O)c1 ZINC000834512511 642597243 /nfs/dbraw/zinc/59/72/43/642597243.db2.gz YECMFWSXAWNAEF-JSGCOSHPSA-N 0 1 298.346 0.655 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COCc1ccccc1 ZINC000834512642 642598430 /nfs/dbraw/zinc/59/84/30/642598430.db2.gz ZQUTTZWHQFWOLR-QWHCGFSZSA-N 0 1 259.309 0.431 20 30 CCEDMN C[C@H](NC(=O)c1cccs1)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834516167 642599261 /nfs/dbraw/zinc/59/92/61/642599261.db2.gz FUEAOBQGOOYBLP-AEJSXWLSSA-N 0 1 292.364 0.094 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccc2c(c1)CCO2 ZINC000834517462 642600874 /nfs/dbraw/zinc/60/08/74/642600874.db2.gz VVQSZAOWUKNQPK-OLZOCXBDSA-N 0 1 271.320 0.392 20 30 CCEDMN COc1ccc(CCC(=O)N[C@@H]2CNC[C@H]2C#N)cc1F ZINC000834516443 642600886 /nfs/dbraw/zinc/60/08/86/642600886.db2.gz IQJNMKMJOFCANF-DGCLKSJQSA-N 0 1 291.326 0.995 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@@H]2C#N)oc2c1C(=O)CCC2 ZINC000834521696 642602601 /nfs/dbraw/zinc/60/26/01/642602601.db2.gz QBKSTPLPSQMKPS-VHSXEESVSA-N 0 1 287.319 0.948 20 30 CCEDMN COc1nccc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)n1 ZINC000834965736 642644428 /nfs/dbraw/zinc/64/44/28/642644428.db2.gz HSQWDCKZDOQFAD-QWRGUYRKSA-N 0 1 274.328 0.679 20 30 CCEDMN N#Cc1cc(NC(=O)N[C@@H]2CNC[C@H]2C#N)ccc1F ZINC000841104452 642803356 /nfs/dbraw/zinc/80/33/56/642803356.db2.gz RRLBYVWKCQHMQH-BXKDBHETSA-N 0 1 273.271 0.930 20 30 CCEDMN Cc1ccc(NC(=O)N[C@H]2CNC[C@H]2C#N)c(C)n1 ZINC000841141660 642804135 /nfs/dbraw/zinc/80/41/35/642804135.db2.gz OGPXBFQESZCMQN-PWSUYJOCSA-N 0 1 259.313 0.932 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CN1CCCC1=O ZINC001017344602 650795693 /nfs/dbraw/zinc/79/56/93/650795693.db2.gz OPBIQYNTADOUFV-OKILXGFUSA-N 0 1 289.379 0.307 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cn1cc(-c2ccccc2)nn1 ZINC000843460296 643064540 /nfs/dbraw/zinc/06/45/40/643064540.db2.gz NGWRDGOFNYHJJU-STQMWFEESA-N 0 1 296.334 0.173 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000843460875 643064792 /nfs/dbraw/zinc/06/47/92/643064792.db2.gz ISKUUYLMTPDIRD-OIBJUYFYSA-N 0 1 278.243 0.575 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000843461473 643066019 /nfs/dbraw/zinc/06/60/19/643066019.db2.gz LNSGEBXJJFSGAR-NKWVEPMBSA-N 0 1 250.214 0.029 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn(C)c(=O)c1 ZINC001017668520 650816848 /nfs/dbraw/zinc/81/68/48/650816848.db2.gz RXPMGMAPFLBOFL-GASCZTMLSA-N 0 1 299.374 0.697 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCC(=O)N1 ZINC001018056694 650841715 /nfs/dbraw/zinc/84/17/15/650841715.db2.gz FYTACRUXPXZVEF-MCIONIFRSA-N 0 1 291.395 0.906 20 30 CCEDMN C#C[C@H]1CCCN([C@@H](C)C(=O)NC(=O)NC2CC2)C1 ZINC000847021829 643479690 /nfs/dbraw/zinc/47/96/90/643479690.db2.gz BPRNTZVWENVRTA-QWRGUYRKSA-N 0 1 263.341 0.708 20 30 CCEDMN Cn1ncc(C2CCC2)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000852874860 644036351 /nfs/dbraw/zinc/03/63/51/644036351.db2.gz DEEABAPTROYBNR-PWSUYJOCSA-N 0 1 273.340 0.529 20 30 CCEDMN O=C(C#CC1CC1)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000854342120 644189809 /nfs/dbraw/zinc/18/98/09/644189809.db2.gz HQULYIJJEMOUHU-UHFFFAOYSA-N 0 1 261.281 0.895 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC2(CN(C(=O)c3ccn[nH]3)C2)C1 ZINC001018812495 650910760 /nfs/dbraw/zinc/91/07/60/650910760.db2.gz DJHUPWFWNOKHQZ-SNVBAGLBSA-N 0 1 287.323 0.244 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001019008787 650929803 /nfs/dbraw/zinc/92/98/03/650929803.db2.gz YKDCTQZRLGAMJO-NEPJUHHUSA-N 0 1 299.802 0.798 20 30 CCEDMN COC(=O)Cn1cc(C=NNc2cccc(C)n2)nn1 ZINC000863160384 645063904 /nfs/dbraw/zinc/06/39/04/645063904.db2.gz BUQGSTWJDJBRNB-UHFFFAOYSA-N 0 1 274.284 0.601 20 30 CCEDMN COC(=O)Cn1cc(C=N[N-]c2cccc(C)[nH+]2)nn1 ZINC000863160384 645063910 /nfs/dbraw/zinc/06/39/10/645063910.db2.gz BUQGSTWJDJBRNB-UHFFFAOYSA-N 0 1 274.284 0.601 20 30 CCEDMN Cc1cnccc1NN=Cc1nnn(C(C)(C)C)n1 ZINC000863157858 645064425 /nfs/dbraw/zinc/06/44/25/645064425.db2.gz IRGUOAQXIVDKJM-UHFFFAOYSA-N 0 1 259.317 0.999 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001019761471 651003776 /nfs/dbraw/zinc/00/37/76/651003776.db2.gz IEBBZGSMCYBSII-JTQLQIEISA-N 0 1 270.296 0.286 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001019780086 651005040 /nfs/dbraw/zinc/00/50/40/651005040.db2.gz IHXKEIUQBBROHX-JTQLQIEISA-N 0 1 296.758 0.670 20 30 CCEDMN C[C@H](C(=O)NOc1cccc(C#N)c1)[C@@H]1CCC(=O)N1 ZINC000870689965 646017707 /nfs/dbraw/zinc/01/77/07/646017707.db2.gz FXCVTXMQGCWDIT-CABZTGNLSA-N 0 1 273.292 0.883 20 30 CCEDMN O=S1(=O)CC[C@@H](NN=Cc2ccc(F)c(F)c2O)C1 ZINC000872324814 646248507 /nfs/dbraw/zinc/24/85/07/646248507.db2.gz PRBXPWRIJIJZNF-MRVPVSSYSA-N 0 1 290.291 0.781 20 30 CCEDMN Cn1ccnc(N=NC2CCCN3CCSC[C@@H]23)c1=O ZINC000872354696 646250467 /nfs/dbraw/zinc/25/04/67/646250467.db2.gz HNBDDONHQPFSOF-NSHDSACASA-N 0 1 293.396 0.759 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cn1ccc(C(F)F)n1 ZINC000876801786 646669497 /nfs/dbraw/zinc/66/94/97/646669497.db2.gz IPQBPIAREQWVNZ-IONNQARKSA-N 0 1 269.255 0.048 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CC(F)(F)C(F)(F)F ZINC000876802172 646670324 /nfs/dbraw/zinc/67/03/24/646670324.db2.gz MKUZVGJHEUKEDM-RITPCOANSA-N 0 1 271.189 0.802 20 30 CCEDMN Cc1cc(C2(C(=O)N[C@@H]3CNC[C@H]3C#N)CC2)on1 ZINC000876803594 646670426 /nfs/dbraw/zinc/67/04/26/646670426.db2.gz XILSBCLKZHIUTP-NXEZZACHSA-N 0 1 260.297 0.242 20 30 CCEDMN Cn1nnnc1CCNCc1cc(C#N)cnc1Cl ZINC000877133528 646740476 /nfs/dbraw/zinc/74/04/76/646740476.db2.gz JWJZYELQZJLJJY-UHFFFAOYSA-N 0 1 277.719 0.462 20 30 CCEDMN C[C@H]1CC(=O)NCCN1C[C@@H](O)CC1(C#N)CCC1 ZINC000886092281 647900262 /nfs/dbraw/zinc/90/02/62/647900262.db2.gz SNIQVENFJZIFFF-RYUDHWBXSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)CCCCc2cn[nH]n2)CCO1 ZINC000887682319 648140786 /nfs/dbraw/zinc/14/07/86/648140786.db2.gz DPALAMBEMZYMJQ-ZDUSSCGKSA-N 0 1 277.328 0.659 20 30 CCEDMN C#CCSCC(=O)N1CCN(C2CCOCC2)CC1 ZINC000889337465 648201819 /nfs/dbraw/zinc/20/18/19/648201819.db2.gz CFKVTQHJSNBORC-UHFFFAOYSA-N 0 1 282.409 0.676 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H]3[C@@H]2CCCN3CCO)o1 ZINC000889749573 648242406 /nfs/dbraw/zinc/24/24/06/648242406.db2.gz IMVBLMKZEDEYBE-STQMWFEESA-N 0 1 289.335 0.822 20 30 CCEDMN Cc1cc(C(=O)NC[C@H]2C[C@H](O)CN2C)cc(C)c1C#N ZINC000924992933 648394522 /nfs/dbraw/zinc/39/45/22/648394522.db2.gz RFYCOXVFOWPBJB-KGLIPLIRSA-N 0 1 287.363 0.970 20 30 CCEDMN Cc1cc(C(=O)NC[C@@H]2C[C@@H](O)CN2C)cc(C)c1C#N ZINC000924992935 648394992 /nfs/dbraw/zinc/39/49/92/648394992.db2.gz RFYCOXVFOWPBJB-UONOGXRCSA-N 0 1 287.363 0.970 20 30 CCEDMN C[C@]1([N-]C(=O)C(F)(F)F)CC[N@H+](C[C@H](O)CC#N)C1 ZINC000931568893 649007144 /nfs/dbraw/zinc/00/71/44/649007144.db2.gz NITHNPGQAZIFRK-SCZZXKLOSA-N 0 1 279.262 0.404 20 30 CCEDMN C[C@]1([N-]C(=O)C(F)(F)F)CC[N@@H+](C[C@H](O)CC#N)C1 ZINC000931568893 649007147 /nfs/dbraw/zinc/00/71/47/649007147.db2.gz NITHNPGQAZIFRK-SCZZXKLOSA-N 0 1 279.262 0.404 20 30 CCEDMN N#Cc1ccc(CN2CC[C@H](CS(N)(=O)=O)C2)s1 ZINC000932946541 649104121 /nfs/dbraw/zinc/10/41/21/649104121.db2.gz GQPZOUZWQOFOIE-VIFPVBQESA-N 0 1 285.394 0.730 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2ccc(Cn3ccnn3)o2)NO1 ZINC000935137051 649212901 /nfs/dbraw/zinc/21/29/01/649212901.db2.gz RFCJEIUSOXOUPO-MRVPVSSYSA-N 0 1 275.268 0.772 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC2(CC1)OCCO2 ZINC000079955653 649529657 /nfs/dbraw/zinc/52/96/57/649529657.db2.gz UBMQEAGSAKQAQP-UHFFFAOYSA-N 0 1 281.356 0.587 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001020381497 651065358 /nfs/dbraw/zinc/06/53/58/651065358.db2.gz KXGKZUAGMPMFEZ-HAQNSBGRSA-N 0 1 296.334 0.641 20 30 CCEDMN Cc1ccc(N2C[C@@H]3[C@H](C2)OCCN3C)nc1C#N ZINC000302625473 719390633 /nfs/dbraw/zinc/39/06/33/719390633.db2.gz IBAFDEOMCWEUMX-OLZOCXBDSA-N 0 1 258.325 0.781 20 30 CCEDMN COc1cc(C#N)nc(N2C[C@H]3OCCN(C)[C@@H]3C2)c1 ZINC001164666874 719396684 /nfs/dbraw/zinc/39/66/84/719396684.db2.gz MAMWMUHJKCVYGJ-CHWSQXEVSA-N 0 1 274.324 0.481 20 30 CCEDMN CN1CCO[C@H]2CN(c3nccc(F)c3C#N)C[C@H]21 ZINC001164671928 719402123 /nfs/dbraw/zinc/40/21/23/719402123.db2.gz ZXTIBKVRETZFRK-NEPJUHHUSA-N 0 1 262.288 0.612 20 30 CCEDMN CN1CCO[C@H]2CN(c3nccc(F)c3C#N)C[C@@H]21 ZINC001164671930 719403137 /nfs/dbraw/zinc/40/31/37/719403137.db2.gz ZXTIBKVRETZFRK-RYUDHWBXSA-N 0 1 262.288 0.612 20 30 CCEDMN CCC[N@H+](C)CC(=O)NC1CCN(CC#CCOC)CC1 ZINC001230112893 805582291 /nfs/dbraw/zinc/58/22/91/805582291.db2.gz FZDSCYIQZMAOOG-UHFFFAOYSA-N 0 1 295.427 0.559 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2[nH]cnc2C)CC1 ZINC001230469358 805603234 /nfs/dbraw/zinc/60/32/34/805603234.db2.gz DIXPKMQDTOMYLR-UHFFFAOYSA-N 0 1 290.367 0.562 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cc(C)no1 ZINC001266255404 790335673 /nfs/dbraw/zinc/33/56/73/790335673.db2.gz QLZJDRKMHUKDKY-UHFFFAOYSA-N 0 1 265.313 0.294 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)CCC)CC1 ZINC001266279250 790388025 /nfs/dbraw/zinc/38/80/25/790388025.db2.gz JSNCQOPQEQZWIG-UHFFFAOYSA-N 0 1 251.374 0.544 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cc(CC)on2)CC1 ZINC001266297217 790450865 /nfs/dbraw/zinc/45/08/65/790450865.db2.gz MBEGNOBDTBHACH-UHFFFAOYSA-N 0 1 292.383 0.770 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCCCNC(C)=O)C1 ZINC001266358163 790581597 /nfs/dbraw/zinc/58/15/97/790581597.db2.gz AAGFHTYMQSWMTR-AWEZNQCLSA-N 0 1 279.384 0.507 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H](Cc1ccccc1)OC ZINC001266360154 790585979 /nfs/dbraw/zinc/58/59/79/790585979.db2.gz SPBBWYAQQBZMGS-HNNXBMFYSA-N 0 1 274.364 0.925 20 30 CCEDMN C#CCN(CC)CCNC(=O)Cc1nnn(C(C)(C)C)n1 ZINC001266392471 790625384 /nfs/dbraw/zinc/62/53/84/790625384.db2.gz IRPPNMBPYGNNAN-UHFFFAOYSA-N 0 1 292.387 0.042 20 30 CCEDMN CC#CCN(CC)CCNC(=O)C[C@@H]1CCOC[C@H]1OC ZINC001266394527 790628024 /nfs/dbraw/zinc/62/80/24/790628024.db2.gz SKDIYVAXIBGONI-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN N#CCN[C@H](CNC(=O)[C@H]1CCCc2n[nH]nc21)C1CC1 ZINC001266426358 790674444 /nfs/dbraw/zinc/67/44/44/790674444.db2.gz JZSCBNIEVYSBHK-CMPLNLGQSA-N 0 1 288.355 0.233 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@H]3OCC[C@H]3C)[C@H]2C1 ZINC001083197219 790676098 /nfs/dbraw/zinc/67/60/98/790676098.db2.gz DDMFUJTZICYERI-BARDWOONSA-N 0 1 294.395 0.899 20 30 CCEDMN CN(CC#N)C[C@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC001266453053 790741291 /nfs/dbraw/zinc/74/12/91/790741291.db2.gz WWSVRLFVZYMLRW-GFCCVEGCSA-N 0 1 275.356 0.646 20 30 CCEDMN C#CCCCCC(=O)N[C@H]1C[C@H](NCc2ncnn2C)C1 ZINC001266586885 790989135 /nfs/dbraw/zinc/98/91/35/790989135.db2.gz NNYUJHDNQHUOLR-JOCQHMNTSA-N 0 1 289.383 0.746 20 30 CCEDMN C=CCN(CCNC(=O)C(=O)Nc1cc(C)on1)C1CC1 ZINC001266619161 791044205 /nfs/dbraw/zinc/04/42/05/791044205.db2.gz BDVKQKCAJNBWRC-UHFFFAOYSA-N 0 1 292.339 0.688 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)CC2(O)CCC2)C1 ZINC001279479553 791139850 /nfs/dbraw/zinc/13/98/50/791139850.db2.gz JJPZBGZOBTZIHI-AWEZNQCLSA-N 0 1 282.384 0.421 20 30 CCEDMN COCC#CCN1CC[C@@H]1CN(C)C(=O)c1cc(C)[nH]n1 ZINC001230897952 805687420 /nfs/dbraw/zinc/68/74/20/805687420.db2.gz AUVFQRWQMFRYQS-CYBMUJFWSA-N 0 1 290.367 0.514 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CNC(=O)CCC ZINC001230976857 805703114 /nfs/dbraw/zinc/70/31/14/805703114.db2.gz MFZQPKJRDSEPEW-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN N#Cc1cccc(CN2CC3(C2)CCOC[C@H]3C(N)=O)c1 ZINC001277479402 805710018 /nfs/dbraw/zinc/71/00/18/805710018.db2.gz WIJQQQAEILIVLQ-AWEZNQCLSA-N 0 1 285.347 0.882 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)COCCC ZINC001231080433 805725695 /nfs/dbraw/zinc/72/56/95/805725695.db2.gz RJBHMBHVTOUTHN-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@@H]1CCN1CCc1cnn(C)c1 ZINC001231293950 805772488 /nfs/dbraw/zinc/77/24/88/805772488.db2.gz SVRUNMPKKPMLEZ-JSGCOSHPSA-N 0 1 289.383 0.655 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@H]1CCOC1 ZINC001231402050 805793495 /nfs/dbraw/zinc/79/34/95/805793495.db2.gz QYWHHEPKLWKLHR-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001277637576 805914442 /nfs/dbraw/zinc/91/44/42/805914442.db2.gz ZCZUMDBGROQQOS-GFCCVEGCSA-N 0 1 280.372 0.119 20 30 CCEDMN CN(C1CN(Cc2cc(C#N)ccn2)C1)[C@H]1CCOC1 ZINC001232479343 805945566 /nfs/dbraw/zinc/94/55/66/805945566.db2.gz QOLJBFMCAGQKBF-AWEZNQCLSA-N 0 1 272.352 0.858 20 30 CCEDMN CN1CCN(c2ccc(C#N)cn2)C[C@@]2(CCCC(=O)N2)C1 ZINC001277686931 805961343 /nfs/dbraw/zinc/96/13/43/805961343.db2.gz ARMRKAIEESFJQE-MRXNPFEDSA-N 0 1 299.378 0.744 20 30 CCEDMN N#C[C@@H]1CN(Cc2c[nH]c3ncncc23)CCC1=O ZINC001232657205 805966181 /nfs/dbraw/zinc/96/61/81/805966181.db2.gz ODNBRHPYTHYFAP-SECBINFHSA-N 0 1 255.281 0.824 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@@H+]2CCN3C(=O)OC[C@H]3C2)c1 ZINC001232678932 805969286 /nfs/dbraw/zinc/96/92/86/805969286.db2.gz VFIGIHGHKKFLJS-GFCCVEGCSA-N 0 1 273.292 0.900 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@H+]2CCN3C(=O)OC[C@H]3C2)c1 ZINC001232678932 805969290 /nfs/dbraw/zinc/96/92/90/805969290.db2.gz VFIGIHGHKKFLJS-GFCCVEGCSA-N 0 1 273.292 0.900 20 30 CCEDMN CCN1CCN(Cc2cc(C#N)ccc2O)CC1=O ZINC001232678931 805970500 /nfs/dbraw/zinc/97/05/00/805970500.db2.gz VFDBOWHBZYWGBK-UHFFFAOYSA-N 0 1 259.309 0.928 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COCCN1C ZINC001039359269 791156030 /nfs/dbraw/zinc/15/60/30/791156030.db2.gz GQVWWENHDQLHEC-QLFBSQMISA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](CNC(=O)[C@H](C)CC)C1 ZINC001266739757 791201856 /nfs/dbraw/zinc/20/18/56/791201856.db2.gz DABNGJGQPFTTTH-KGLIPLIRSA-N 0 1 293.411 0.610 20 30 CCEDMN N#CCN1CCCC[C@H]1CNC(=O)CCc1cnc[nH]1 ZINC001266845417 791283803 /nfs/dbraw/zinc/28/38/03/791283803.db2.gz YKSMNRDBCQYLSV-ZDUSSCGKSA-N 0 1 275.356 0.837 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC[C@H](C)NCc1cnn(C)n1 ZINC001267124795 791569448 /nfs/dbraw/zinc/56/94/48/791569448.db2.gz KVFIAKZFPMQOKU-SMDDNHRTSA-N 0 1 295.387 0.127 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCOCCOC)C2 ZINC001111490788 791629895 /nfs/dbraw/zinc/62/98/95/791629895.db2.gz AEDOEIFJOFRGDJ-MCIONIFRSA-N 0 1 280.368 0.394 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H](OCC)C1CCOCC1 ZINC001267209196 791675662 /nfs/dbraw/zinc/67/56/62/791675662.db2.gz NJQRTRIOBNZRRQ-AWEZNQCLSA-N 0 1 282.384 0.499 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC001267211552 791680762 /nfs/dbraw/zinc/68/07/62/791680762.db2.gz BYGXHCOFCQMOLP-NWDGAFQWSA-N 0 1 293.367 0.680 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](NC(C)=O)C(C)C ZINC001267226809 791703578 /nfs/dbraw/zinc/70/35/78/791703578.db2.gz QUZGKBXYMNIMOO-CYBMUJFWSA-N 0 1 267.373 0.218 20 30 CCEDMN C#CCC[N@@H+](C)CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001267226065 791704102 /nfs/dbraw/zinc/70/41/02/791704102.db2.gz MAZRQUWGROGIQJ-UHFFFAOYSA-N 0 1 288.307 0.613 20 30 CCEDMN N#C[C@@H]1CN(Cc2ccc3c(n2)OCCO3)CCC1=O ZINC001233877381 806138808 /nfs/dbraw/zinc/13/88/08/806138808.db2.gz RGEXNVUJZFYGDO-SNVBAGLBSA-N 0 1 273.292 0.767 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CCN1CCOC ZINC001233936354 806150615 /nfs/dbraw/zinc/15/06/15/806150615.db2.gz HNSUECFJPDOHEA-JSGCOSHPSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CCCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1COC(=O)N1 ZINC001234040211 806173011 /nfs/dbraw/zinc/17/30/11/806173011.db2.gz UVRKJHWWEPBGEO-RYUDHWBXSA-N 0 1 281.356 0.594 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)CCCC ZINC001234052443 806174151 /nfs/dbraw/zinc/17/41/51/806174151.db2.gz MAISEXSUDDCWTE-ZDUSSCGKSA-N 0 1 281.400 0.753 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cn(CCC)nn1 ZINC001267253552 793250248 /nfs/dbraw/zinc/25/02/48/793250248.db2.gz OPWYPCXEEYKZGY-UHFFFAOYSA-N 0 1 277.372 0.763 20 30 CCEDMN C#CCN(CC)CCNC(=O)COCc1cccnc1 ZINC001267254957 793251734 /nfs/dbraw/zinc/25/17/34/793251734.db2.gz LYDSAXMLFGPSTE-UHFFFAOYSA-N 0 1 275.352 0.670 20 30 CCEDMN COC[C@H](C)N1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038142280 793254819 /nfs/dbraw/zinc/25/48/19/793254819.db2.gz CKIOEAUMUPFZGX-CMPLNLGQSA-N 0 1 276.340 0.725 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCOCC(C)C)[C@H](OC)C1 ZINC001211921083 814930044 /nfs/dbraw/zinc/93/00/44/814930044.db2.gz UASBDYNJRALFLR-HUUCEWRRSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCC(=O)NC1 ZINC001235262994 806398873 /nfs/dbraw/zinc/39/88/73/806398873.db2.gz SHFMQZWNCCRDRE-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@H]1CCC(=O)NC1 ZINC001235262991 806398899 /nfs/dbraw/zinc/39/88/99/806398899.db2.gz SHFMQZWNCCRDRE-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN Cn1ncnc1C(=O)N1C[C@@H]2CCN(CCCC#N)[C@@H]2C1 ZINC001277943429 806419932 /nfs/dbraw/zinc/41/99/32/806419932.db2.gz WEMUOEVFOBFLSV-NWDGAFQWSA-N 0 1 288.355 0.265 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@]1(CC)CCNC1=O ZINC001235572114 806459708 /nfs/dbraw/zinc/45/97/08/806459708.db2.gz WOQCJLACPCIZIB-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN CCC(C)(C)CC(=O)NC[C@H](CO)NCC#CCOC ZINC001278086805 806654893 /nfs/dbraw/zinc/65/48/93/806654893.db2.gz ZUDSBDHURHBRTB-CYBMUJFWSA-N 0 1 284.400 0.529 20 30 CCEDMN C[N@H+]1[C@H]2CC[C@H]([C@H](O)C2)[C@H]1C(=O)N1CC(CC#N)C1 ZINC001278096098 806659244 /nfs/dbraw/zinc/65/92/44/806659244.db2.gz DNAIYEVDKJRPTB-LOWDOPEQSA-N 0 1 263.341 0.202 20 30 CCEDMN CN1[C@H]2CC[C@H]([C@H](O)C2)[C@H]1C(=O)N1CC(CC#N)C1 ZINC001278096098 806659248 /nfs/dbraw/zinc/65/92/48/806659248.db2.gz DNAIYEVDKJRPTB-LOWDOPEQSA-N 0 1 263.341 0.202 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C[C@H](C)c1c(C)n[nH]c1C ZINC001278105245 806675578 /nfs/dbraw/zinc/67/55/78/806675578.db2.gz HIKAPGYQSUSVLF-GXFFZTMASA-N 0 1 292.383 0.220 20 30 CCEDMN N#Cc1ccc2nc(CN3CC[C@@]4(CCNC4=O)C3)cn2c1 ZINC001237605041 806697383 /nfs/dbraw/zinc/69/73/83/806697383.db2.gz UTRVWBIKAMVNIX-INIZCTEOSA-N 0 1 295.346 0.918 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1c[nH]cn1)Nc1cnc(C#N)cn1 ZINC001120711785 798918832 /nfs/dbraw/zinc/91/88/32/798918832.db2.gz FGNJZFJLMZOQLD-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1c[nH]cn1)Nc1nccnc1C#N ZINC001120712321 798918886 /nfs/dbraw/zinc/91/88/86/798918886.db2.gz LUVJJJYVNIZKCP-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1c[nH]cn1)Nc1ccc(C#N)nn1 ZINC001120712982 798920167 /nfs/dbraw/zinc/92/01/67/798920167.db2.gz VLQBONQYURAOII-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN N#Cc1ccnc(C(=O)N[C@H]2CN3CCC2CC3)c1 ZINC001120773194 798933122 /nfs/dbraw/zinc/93/31/22/798933122.db2.gz CHVCQUOIBBFDFZ-ZDUSSCGKSA-N 0 1 256.309 0.777 20 30 CCEDMN CCN(CCC(N)=O)Cc1cn2cc(C#N)ccc2n1 ZINC001237614026 806702462 /nfs/dbraw/zinc/70/24/62/806702462.db2.gz LUIHRIIFIWFKEO-UHFFFAOYSA-N 0 1 271.324 0.903 20 30 CCEDMN C=CCn1c(C2=NO[C@@H](CO)C2)nnc1N1CC=CCC1 ZINC001121656578 799063395 /nfs/dbraw/zinc/06/33/95/799063395.db2.gz AWFMWSYTIOTDRT-LLVKDONJSA-N 0 1 289.339 0.716 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1CCCO[C@@H]1C(C)C ZINC001121894638 799085672 /nfs/dbraw/zinc/08/56/72/799085672.db2.gz JNIRJOMPCBVDOW-GXTWGEPZSA-N 0 1 252.358 0.777 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)[C@@H](O)c1ccc(F)cc1 ZINC001121951567 799106312 /nfs/dbraw/zinc/10/63/12/799106312.db2.gz DKJFSILUMOSVIW-QMTHXVAHSA-N 0 1 264.300 0.587 20 30 CCEDMN C#CCNCC(=O)NCCc1cn2ccccc2n1 ZINC001121980765 799112496 /nfs/dbraw/zinc/11/24/96/799112496.db2.gz DVTDNWXWVQDMQT-UHFFFAOYSA-N 0 1 256.309 0.216 20 30 CCEDMN Cn1nc(C2CC2)cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC001122011980 799121293 /nfs/dbraw/zinc/12/12/93/799121293.db2.gz YEBQIVUOYFCIFE-MWLCHTKSSA-N 0 1 259.313 0.139 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCN(c2ccc(OC)cc2)C1 ZINC001122059560 799131847 /nfs/dbraw/zinc/13/18/47/799131847.db2.gz OOVSQTAUBXEWEX-CYBMUJFWSA-N 0 1 287.363 0.613 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1CC(=O)N(Cc2ccccc2)C1 ZINC001122056347 799132002 /nfs/dbraw/zinc/13/20/02/799132002.db2.gz CXADGMHDFSVBGY-OAHLLOKOSA-N 0 1 299.374 0.374 20 30 CCEDMN C#CCNCC(=O)N1CCn2cnc(C(F)(F)F)c2C1 ZINC001122213355 799171146 /nfs/dbraw/zinc/17/11/46/799171146.db2.gz FZMAJLCBFZMBOZ-UHFFFAOYSA-N 0 1 286.257 0.467 20 30 CCEDMN C#Cc1cccc(NC(=O)CNCCNC(C)=O)c1 ZINC001122380323 799219568 /nfs/dbraw/zinc/21/95/68/799219568.db2.gz VUOUDGWZBKWLAT-UHFFFAOYSA-N 0 1 259.309 0.332 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CSC(F)F ZINC001122533473 799258037 /nfs/dbraw/zinc/25/80/37/799258037.db2.gz MPBYXMKAVGPJBW-ZETCQYMHSA-N 0 1 280.296 0.804 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC001122453137 799238037 /nfs/dbraw/zinc/23/80/37/799238037.db2.gz VULUEQVPLAOASP-VLEAKVRGSA-N 0 1 262.309 0.835 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CSCC#N ZINC001122706862 799287346 /nfs/dbraw/zinc/28/73/46/799287346.db2.gz FAKIFFVMLMSLHG-VIFPVBQESA-N 0 1 269.326 0.105 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(Cl)ccc1C ZINC001278171461 806739541 /nfs/dbraw/zinc/73/95/41/806739541.db2.gz HHZMWQGIZBOZAV-GFCCVEGCSA-N 0 1 280.755 0.962 20 30 CCEDMN C#CCN1CCC(OC(=O)CN(C)C(=O)C2CC2)CC1 ZINC001123349156 799394779 /nfs/dbraw/zinc/39/47/79/799394779.db2.gz QJUDLIUKVZSFNH-UHFFFAOYSA-N 0 1 278.352 0.496 20 30 CCEDMN COc1ccnc(CNCCNC(=O)CSCC#N)c1 ZINC001123786930 799474142 /nfs/dbraw/zinc/47/41/42/799474142.db2.gz GVIOHYCQPBKTSK-UHFFFAOYSA-N 0 1 294.380 0.553 20 30 CCEDMN C#Cc1cccc(CNC(=O)[C@@H]2CN3CCN2C[C@@H]3C)c1 ZINC001123898801 799498674 /nfs/dbraw/zinc/49/86/74/799498674.db2.gz WZAWLYMHWAZYIO-BBRMVZONSA-N 0 1 283.375 0.672 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1cnns1 ZINC001124159482 799569289 /nfs/dbraw/zinc/56/92/89/799569289.db2.gz JWWFBHYSBSFPNV-UHFFFAOYSA-N 0 1 286.360 0.909 20 30 CCEDMN C=CCCC(=O)NCCN[C@@H](C)C(=O)Nc1cc(C)no1 ZINC001124656764 799622644 /nfs/dbraw/zinc/62/26/44/799622644.db2.gz WXBCGGKEXRWJIX-NSHDSACASA-N 0 1 294.355 0.982 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)[C@H]1CCC(=O)N1 ZINC001124805537 799637222 /nfs/dbraw/zinc/63/72/22/799637222.db2.gz ZBBHWMIPXBRBKY-CYBMUJFWSA-N 0 1 286.335 0.043 20 30 CCEDMN C=CCOCCCC(=O)NCCNCc1n[nH]c(C)n1 ZINC001125253023 799670419 /nfs/dbraw/zinc/67/04/19/799670419.db2.gz UZFCIIAKXYCPSO-UHFFFAOYSA-N 0 1 281.360 0.302 20 30 CCEDMN O=C(C#CC1CC1)N1CCC(O)(CN2CCOCC2)CC1 ZINC001137769437 799687335 /nfs/dbraw/zinc/68/73/35/799687335.db2.gz AOAXUWKXFCDRJK-UHFFFAOYSA-N 0 1 292.379 0.086 20 30 CCEDMN C=CCOC(=O)N1CC2(C1)CCN(CC(=O)N(C)C)CC2 ZINC001203004934 799882669 /nfs/dbraw/zinc/88/26/69/799882669.db2.gz FBNYBQKUWDFKBV-UHFFFAOYSA-N 0 1 295.383 0.795 20 30 CCEDMN COCCN1CCCO[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001149686358 800289243 /nfs/dbraw/zinc/28/92/43/800289243.db2.gz DCDUKJAUFBIMHG-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN CCN(Cc1n[nH]c(C)n1)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152413781 800519440 /nfs/dbraw/zinc/51/94/40/800519440.db2.gz RHIWEXQWWOGKPI-NXEZZACHSA-N 0 1 278.360 0.599 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1n[nH]c(C(C)C)n1 ZINC001153081525 800593312 /nfs/dbraw/zinc/59/33/12/800593312.db2.gz SDJIYXMNDMAAAL-UHFFFAOYSA-N 0 1 295.387 0.727 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1cnc(C)cn1 ZINC001153148515 800601729 /nfs/dbraw/zinc/60/17/29/800601729.db2.gz FIJWMZJCUHWFTD-LBPRGKRZSA-N 0 1 278.356 0.582 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1cncc(OC)n1 ZINC001153148431 800601772 /nfs/dbraw/zinc/60/17/72/800601772.db2.gz DOQBNRZKQGFWDT-NSHDSACASA-N 0 1 294.355 0.282 20 30 CCEDMN C=C(C)CN(CC)[C@H](C)CNC(=O)C1CS(=O)(=O)C1 ZINC001153555723 800640208 /nfs/dbraw/zinc/64/02/08/800640208.db2.gz UJTDPMBSAWEDOS-LLVKDONJSA-N 0 1 288.413 0.434 20 30 CCEDMN COC[C@@H](NC(=O)C#Cc1cccs1)c1nn[nH]n1 ZINC001155069425 800902866 /nfs/dbraw/zinc/90/28/66/800902866.db2.gz PSADNYDNTUEEAJ-SECBINFHSA-N 0 1 277.309 0.117 20 30 CCEDMN N#Cc1cncc(NCc2nnc3n2CCCNC3)n1 ZINC001155424565 800972990 /nfs/dbraw/zinc/97/29/90/800972990.db2.gz HRSPQPLFGUVJTF-UHFFFAOYSA-N 0 1 270.300 0.045 20 30 CCEDMN Cc1cnc(NCc2nnc3n2CCCNC3)c(C#N)n1 ZINC001155429973 800974680 /nfs/dbraw/zinc/97/46/80/800974680.db2.gz UJCULZHGIAOZFH-UHFFFAOYSA-N 0 1 284.327 0.354 20 30 CCEDMN Cc1cnc(-n2c(C)ncc(CN)c2=N)c(/C=N/O)c1 ZINC001156830524 801245198 /nfs/dbraw/zinc/24/51/98/801245198.db2.gz KSURXIOOGUMROS-FSAWELSISA-N 0 1 272.312 0.630 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(c2noc3ccccc32)CC1 ZINC001157518954 801404756 /nfs/dbraw/zinc/40/47/56/801404756.db2.gz CHQWQEJLPZGINI-INIZCTEOSA-N 0 1 298.346 0.827 20 30 CCEDMN C#CCN(CC#C)c1nc(Cl)nc2c1CCNC2 ZINC001157558709 801416893 /nfs/dbraw/zinc/41/68/93/801416893.db2.gz KKOAAWAXFDLOME-UHFFFAOYSA-N 0 1 260.728 0.849 20 30 CCEDMN CCc1nnc(CNCCCNC(=O)C#CC(C)C)n1C ZINC001157633106 801441555 /nfs/dbraw/zinc/44/15/55/801441555.db2.gz PWZRWMYDFYCVFZ-UHFFFAOYSA-N 0 1 291.399 0.633 20 30 CCEDMN Cc1nnc([C@@H](C)NCCCNC(=O)C#CC(C)C)[nH]1 ZINC001157638532 801442687 /nfs/dbraw/zinc/44/26/87/801442687.db2.gz FGBPYDDSLFKEFS-LLVKDONJSA-N 0 1 277.372 0.929 20 30 CCEDMN Cc1nnc(CNCCCNC(=O)C#CC(C)C)[nH]1 ZINC001157638541 801442974 /nfs/dbraw/zinc/44/29/74/801442974.db2.gz FOTZOFWUKDDUPG-UHFFFAOYSA-N 0 1 263.345 0.368 20 30 CCEDMN CC(C)C#CC(=O)NCCCN[C@@H](C)c1nncn1C ZINC001157638449 801443343 /nfs/dbraw/zinc/44/33/43/801443343.db2.gz CZQVLBFBOAAYHV-LBPRGKRZSA-N 0 1 277.372 0.631 20 30 CCEDMN C[C@H]1CC[C@@H](CO)N1C(=O)[C@@H](N)Cc1ccccc1C#N ZINC001157915705 801521246 /nfs/dbraw/zinc/52/12/46/801521246.db2.gz UFGFCXCKRPDZPF-CQDKDKBSSA-N 0 1 287.363 0.800 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CC(=O)N(C)C ZINC001158571730 801667475 /nfs/dbraw/zinc/66/74/75/801667475.db2.gz YJUSDGOPDDJHQN-CYBMUJFWSA-N 0 1 279.384 0.411 20 30 CCEDMN CN(C1CN(c2nc(Cl)ncc2C#N)C1)[C@H]1CCOC1 ZINC001158726947 801696066 /nfs/dbraw/zinc/69/60/66/801696066.db2.gz VIXZUVATCKBLII-JTQLQIEISA-N 0 1 293.758 0.911 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCCNCc1nnnn1C ZINC001158886911 801720576 /nfs/dbraw/zinc/72/05/76/801720576.db2.gz IWILUBLKGWCAEK-UHFFFAOYSA-N 0 1 280.376 0.408 20 30 CCEDMN C=C(C)CCC(=O)NCCCNCc1cn(C)nn1 ZINC001159021010 801741542 /nfs/dbraw/zinc/74/15/42/801741542.db2.gz KTZLXZGOEIFPLG-UHFFFAOYSA-N 0 1 265.361 0.767 20 30 CCEDMN COCC#CCN1CC=C(CCNC(=O)[C@@H](C)OC)CC1 ZINC001159438413 801805882 /nfs/dbraw/zinc/80/58/82/801805882.db2.gz PSYKMYAMWGSYAT-CQSZACIVSA-N 0 1 294.395 0.810 20 30 CCEDMN C=CCOCC(=O)NCCC1=CCN([C@@H](C)C(N)=O)CC1 ZINC001159575658 801836519 /nfs/dbraw/zinc/83/65/19/801836519.db2.gz AMLDSCSPTVWXMG-LBPRGKRZSA-N 0 1 295.383 0.201 20 30 CCEDMN CC[C@H](C(N)=O)N1CC=C(CCNC(=O)[C@H](C)C#N)CC1 ZINC001159595128 801837675 /nfs/dbraw/zinc/83/76/75/801837675.db2.gz VNVQVYOJMCGZCH-DGCLKSJQSA-N 0 1 292.383 0.548 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@H]2OCCC[C@@]2(COC)C1 ZINC001159635086 801840849 /nfs/dbraw/zinc/84/08/49/801840849.db2.gz GUCBLEZUJNYWLC-QEJZJMRPSA-N 0 1 280.368 0.381 20 30 CCEDMN CN(CC#N)C(=O)[C@@H]([NH3+])CCCC[N-]C(=O)C(F)(F)F ZINC001160583727 801971253 /nfs/dbraw/zinc/97/12/53/801971253.db2.gz IAPRKKSRASDMPP-QMMMGPOBSA-N 0 1 294.277 0.144 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)N1CCC[C@H](C#N)C1 ZINC001160642852 801987177 /nfs/dbraw/zinc/98/71/77/801987177.db2.gz PQJOLCBGBXQRMJ-NEPJUHHUSA-N 0 1 280.372 0.238 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@H]2Cc3ccccc3CN2)CCN1 ZINC001160651820 801990853 /nfs/dbraw/zinc/99/08/53/801990853.db2.gz ZXNYWUGFRXKIGL-ZIAGYGMSSA-N 0 1 270.336 0.025 20 30 CCEDMN C#C[C@](C)(N)C(=O)N(CC)CC(=O)OC(C)(C)C ZINC001160679771 801998295 /nfs/dbraw/zinc/99/82/95/801998295.db2.gz GARGYQYFNNYUPP-ZDUSSCGKSA-N 0 1 254.330 0.527 20 30 CCEDMN C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)N1CCOC[C@@H]1C#N ZINC001160933397 802049244 /nfs/dbraw/zinc/04/92/44/802049244.db2.gz JYNMMIMBTMVQPI-OUAUKWLOSA-N 0 1 269.345 0.268 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCc2cnc(SC)nc2C1 ZINC001160942875 802051166 /nfs/dbraw/zinc/05/11/66/802051166.db2.gz YRMBAUFSTMVTNJ-ZDUSSCGKSA-N 0 1 276.365 0.434 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCOC[C@H]1Cc1ccccc1 ZINC001161144996 802101715 /nfs/dbraw/zinc/10/17/15/802101715.db2.gz ULLYMAHVEJHEBP-ZBFHGGJFSA-N 0 1 272.348 0.807 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCc2cnc(OC)cc2C1 ZINC001161527372 802170497 /nfs/dbraw/zinc/17/04/97/802170497.db2.gz OIYAIUUKUNXMCS-AWEZNQCLSA-N 0 1 259.309 0.326 20 30 CCEDMN Cc1nonc1CNCC1(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001163854980 802625653 /nfs/dbraw/zinc/62/56/53/802625653.db2.gz VTXTWBIVUWOOQQ-JTQLQIEISA-N 0 1 291.355 0.914 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCNCc1nnc(C)[nH]1 ZINC001163953112 802640461 /nfs/dbraw/zinc/64/04/61/802640461.db2.gz ILNXAJQZKUEWQN-UHFFFAOYSA-N 0 1 265.361 0.921 20 30 CCEDMN CC(C)OCCN1CC[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001265277224 809741885 /nfs/dbraw/zinc/74/18/85/809741885.db2.gz NPMQSMHPHCQUFB-RYUDHWBXSA-N 0 1 253.346 0.762 20 30 CCEDMN N#C[C@@H]1CNCCN1c1nc[nH]c2nc(=S)sc1-2 ZINC001164652277 802774986 /nfs/dbraw/zinc/77/49/86/802774986.db2.gz QLJZLFLEDZJZPT-ZCFIWIBFSA-N 0 1 278.366 0.677 20 30 CCEDMN C[C@H](O)CN1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001267621704 811578788 /nfs/dbraw/zinc/57/87/88/811578788.db2.gz WSPXDZKSVNQAKV-QWRGUYRKSA-N 0 1 271.386 0.205 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1cc(C)c(C#N)cn1 ZINC001165438108 802842984 /nfs/dbraw/zinc/84/29/84/802842984.db2.gz CZPHKXVAUSCPNU-NSHDSACASA-N 0 1 260.297 0.213 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1ncc(Cl)cc1C#N ZINC001165442319 802845514 /nfs/dbraw/zinc/84/55/14/802845514.db2.gz MMVPKXLBHMYJNP-SNVBAGLBSA-N 0 1 280.715 0.558 20 30 CCEDMN COCc1nc(CNC(=O)CCCCC#N)n[nH]1 ZINC001165515254 802847857 /nfs/dbraw/zinc/84/78/57/802847857.db2.gz MVMICISBCWYPCV-UHFFFAOYSA-N 0 1 251.290 0.651 20 30 CCEDMN COCc1nnc(CNC(=O)CCCCC#N)[nH]1 ZINC001165515254 802847861 /nfs/dbraw/zinc/84/78/61/802847861.db2.gz MVMICISBCWYPCV-UHFFFAOYSA-N 0 1 251.290 0.651 20 30 CCEDMN C=CCCCC(=O)NCCCNCc1cnn(C)n1 ZINC001165531737 802850451 /nfs/dbraw/zinc/85/04/51/802850451.db2.gz WQFRCJPFJYIUGD-UHFFFAOYSA-N 0 1 265.361 0.767 20 30 CCEDMN C#CCNCC(=O)NCCOC(F)(F)C(F)(F)F ZINC000720277774 803054765 /nfs/dbraw/zinc/05/47/65/803054765.db2.gz UCTLMQKPMNAQID-UHFFFAOYSA-N 0 1 274.189 0.497 20 30 CCEDMN N#CCNCC1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC001205375672 803139029 /nfs/dbraw/zinc/13/90/29/803139029.db2.gz OWDPSDUWGYELES-UHFFFAOYSA-N 0 1 298.350 0.923 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H](C)OC)C1 ZINC001206525734 803260180 /nfs/dbraw/zinc/26/01/80/803260180.db2.gz XXZPKTPFLKTRAE-HZSPNIEDSA-N 0 1 282.384 0.498 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CO[C@@H](C)CC)C1 ZINC001206878426 803297265 /nfs/dbraw/zinc/29/72/65/803297265.db2.gz MHWGGYNACNCUCX-FRRDWIJNSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCNC(=O)CC(=O)N[C@@H]1CN(C[C@@H](F)CC)C[C@H]1C ZINC001206887273 803299458 /nfs/dbraw/zinc/29/94/58/803299458.db2.gz DYKQQHQLSBCYRG-FRRDWIJNSA-N 0 1 297.374 0.311 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN([C@@H](C)C(=O)N(C)C)C[C@H]1C ZINC001206911281 803305538 /nfs/dbraw/zinc/30/55/38/803305538.db2.gz JDTYAEFCDSATTO-HZSPNIEDSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](OCC)C2CC2)C1 ZINC001206975802 803320899 /nfs/dbraw/zinc/32/08/99/803320899.db2.gz LCCZEGMINCZZAA-BNOWGMLFSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COCC(F)F)C(C)(C)C1 ZINC001276593120 803549422 /nfs/dbraw/zinc/54/94/22/803549422.db2.gz GUWMKDGTKDOMQR-SNVBAGLBSA-N 0 1 274.311 0.728 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccn(CC)n2)[C@H]1C ZINC001088579845 814952297 /nfs/dbraw/zinc/95/22/97/814952297.db2.gz FMKAPOIFEUEUKL-OCCSQVGLSA-N 0 1 274.368 0.658 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H](C)n2cccn2)C1 ZINC001210098039 803638572 /nfs/dbraw/zinc/63/85/72/803638572.db2.gz FVKWOVFFGLXFOT-HZSPNIEDSA-N 0 1 274.368 0.904 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](NC(C)=O)C(C)(C)C)C1 ZINC001211469246 803683238 /nfs/dbraw/zinc/68/32/38/803683238.db2.gz WECLHGCOAORHHT-MRVWCRGKSA-N 0 1 293.411 0.607 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COCC(F)F)[C@H](OC)C1 ZINC001213215945 803686455 /nfs/dbraw/zinc/68/64/55/803686455.db2.gz WMNUXENLNZFFNL-GHMZBOCLSA-N 0 1 290.310 0.107 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001213271307 803688352 /nfs/dbraw/zinc/68/83/52/803688352.db2.gz RSMIFYNEVXHPTE-HUUCEWRRSA-N 0 1 296.411 0.768 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCCCC(N)=O)[C@H](OC)C1 ZINC001213686421 803700399 /nfs/dbraw/zinc/70/03/99/803700399.db2.gz UGKMCZYOFQMCRX-CHWSQXEVSA-N 0 1 297.399 0.424 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](CC)OCC)[C@H](OC)C1 ZINC001211979757 814958558 /nfs/dbraw/zinc/95/85/58/814958558.db2.gz HJGONXBYSWYDKI-MGPQQGTHSA-N 0 1 282.384 0.640 20 30 CCEDMN N#C[C@@]1(F)CCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000897516371 814965229 /nfs/dbraw/zinc/96/52/29/814965229.db2.gz DZSCTYHAFXEZOZ-YPMHNXCESA-N 0 1 267.304 0.171 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(C)C2)[C@H]1C ZINC001088603086 814967333 /nfs/dbraw/zinc/96/73/33/814967333.db2.gz ZJYAWZQDIANCLW-UPJWGTAASA-N 0 1 277.368 0.067 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001211994773 814970060 /nfs/dbraw/zinc/97/00/60/814970060.db2.gz KNXRTHAYIFEOPS-JHJVBQTASA-N 0 1 270.373 0.803 20 30 CCEDMN C=CCCC(=O)N1CCO[C@@H]2CN(C[C@H](C)OC)C[C@@H]21 ZINC001217504139 803891763 /nfs/dbraw/zinc/89/17/63/803891763.db2.gz UAAZZZWROCRGQP-MELADBBJSA-N 0 1 282.384 0.899 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1OC ZINC001212022102 814979524 /nfs/dbraw/zinc/97/95/24/814979524.db2.gz AMNKCPSPUDLSTH-IJLUTSLNSA-N 0 1 256.346 0.149 20 30 CCEDMN N=C(c1nonc1N)N([O-])C(=O)C12CCC([NH3+])(CC1)CC2 ZINC001218517661 803980078 /nfs/dbraw/zinc/98/00/78/803980078.db2.gz JNCZJMDVODDLHF-UHFFFAOYSA-N 0 1 294.315 0.247 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CCO[C@@H]2CN(CCCO)C[C@@H]21 ZINC001218518225 803980462 /nfs/dbraw/zinc/98/04/62/803980462.db2.gz VPXDLLKSFOYKDV-SOUVJXGZSA-N 0 1 296.411 0.883 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COCC=C)[C@H](OC)C1 ZINC001212032418 814982440 /nfs/dbraw/zinc/98/24/40/814982440.db2.gz ZIALLYYCTDQYIB-CHWSQXEVSA-N 0 1 266.341 0.028 20 30 CCEDMN CN(C(=O)[C@@H](N)Cc1ccccc1C#N)c1nccnc1N ZINC001218623763 804040242 /nfs/dbraw/zinc/04/02/42/804040242.db2.gz RSAWFOXNOFWVGG-LBPRGKRZSA-N 0 1 296.334 0.463 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1c[nH]cn1 ZINC001218630987 804047765 /nfs/dbraw/zinc/04/77/65/804047765.db2.gz GUHLTRXSHAJPDX-NSHDSACASA-N 0 1 255.281 0.790 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)[C@@H]1Cc2ccccc2CN1 ZINC001218654545 804064749 /nfs/dbraw/zinc/06/47/49/804064749.db2.gz AVFRWSBPTVNMBL-NSHDSACASA-N 0 1 267.292 0.934 20 30 CCEDMN Cc1coc(NC(=O)[C@@H](N)CC[S@](C)=O)c1C#N ZINC001218764346 804114161 /nfs/dbraw/zinc/11/41/61/804114161.db2.gz KNEMKCRFTAVBPV-YYSFKGJASA-N 0 1 269.326 0.494 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)c2ccccc2)[C@@H](O)C1 ZINC001219110513 804204252 /nfs/dbraw/zinc/20/42/52/804204252.db2.gz QMOLRQXLUWAFAY-CABCVRRESA-N 0 1 290.363 0.664 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C[C@@H]2C=CCC2)[C@@H](O)C1 ZINC001219155318 804220391 /nfs/dbraw/zinc/22/03/91/804220391.db2.gz CSMBYLQFIGTONV-KFWWJZLASA-N 0 1 294.395 0.707 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)NC2)[C@H]1C ZINC001088617389 815004974 /nfs/dbraw/zinc/00/49/74/815004974.db2.gz JSNGYEVFYUBFBI-RDBSUJKOSA-N 0 1 291.395 0.505 20 30 CCEDMN Cc1cccc(CN2C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C2)c1 ZINC001219365639 804287925 /nfs/dbraw/zinc/28/79/25/804287925.db2.gz CAQMZPHEXLNUDG-YUELXQCFSA-N 0 1 287.363 0.816 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H](C)C2CC2)[C@@H](O)C1 ZINC001219418006 804306813 /nfs/dbraw/zinc/30/68/13/804306813.db2.gz LFHZLVHNOTUWNZ-YUTCNCBUSA-N 0 1 282.384 0.396 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)/C=C/c2ccc[nH]2)[C@@H](O)C1 ZINC001219517104 804334913 /nfs/dbraw/zinc/33/49/13/804334913.db2.gz QLCSTMJLBUNXAN-PBJSTTKNSA-N 0 1 261.325 0.375 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCOCC(F)F)[C@@H](O)C1 ZINC001219645911 804389418 /nfs/dbraw/zinc/38/94/18/804389418.db2.gz WBZYAKGQKLNHGJ-MNOVXSKESA-N 0 1 292.326 0.396 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@@H]1O ZINC001219706951 804411124 /nfs/dbraw/zinc/41/11/24/804411124.db2.gz VYWSCKVPEVRDRL-UTUOFQBUSA-N 0 1 270.373 0.131 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@@H]1O ZINC001219718477 804412546 /nfs/dbraw/zinc/41/25/46/804412546.db2.gz FWNBXEAZJAEZSY-MJBXVCDLSA-N 0 1 284.400 0.665 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)CC(F)F)[C@@H](O)C1 ZINC001219786784 804423862 /nfs/dbraw/zinc/42/38/62/804423862.db2.gz AGJRKPRECBEUPV-MNOVXSKESA-N 0 1 288.338 0.852 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2ccon2)[C@@H](O)C1 ZINC001220012622 804472189 /nfs/dbraw/zinc/47/21/89/804472189.db2.gz LGITUCZPPVGIRV-OLZOCXBDSA-N 0 1 279.340 0.345 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CCC[C@@H](C(N)=O)C1 ZINC001272125512 815021984 /nfs/dbraw/zinc/02/19/84/815021984.db2.gz HJNBABRTALBIMS-OLZOCXBDSA-N 0 1 279.384 0.302 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(CCF)CC2)[C@@H](O)C1 ZINC001220056859 804488256 /nfs/dbraw/zinc/48/82/56/804488256.db2.gz IRIKCJYVBKXKTB-NEPJUHHUSA-N 0 1 270.348 0.864 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)O)C[C@@H]1O ZINC001220134014 804507670 /nfs/dbraw/zinc/50/76/70/804507670.db2.gz VRMGTVCLFDNKDZ-TUAOUCFPSA-N 0 1 270.373 0.131 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCc1cc[nH]c(=O)c1 ZINC001220148307 804514583 /nfs/dbraw/zinc/51/45/83/804514583.db2.gz VNLNMVWCQLPKCY-AWEZNQCLSA-N 0 1 296.330 0.845 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C/C=C(/C)C=C)[C@@H](O)C1 ZINC001220172218 804525620 /nfs/dbraw/zinc/52/56/20/804525620.db2.gz MRZAWRXRZKNZOV-SADRXWBLSA-N 0 1 262.353 0.693 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@@H]1CC[C@H](C(F)(F)F)CN1 ZINC001220392796 804592844 /nfs/dbraw/zinc/59/28/44/804592844.db2.gz MFABLHRANUTCMH-CIUDSAMLSA-N 0 1 266.263 0.580 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2CC23CCC3)[C@@H](O)C1 ZINC001220429865 804602723 /nfs/dbraw/zinc/60/27/23/804602723.db2.gz BGYJQWAWRYBXCS-MJBXVCDLSA-N 0 1 294.395 0.541 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H](C)n2cccn2)[C@@H](O)C1 ZINC001220705589 804652484 /nfs/dbraw/zinc/65/24/84/804652484.db2.gz MDHPODABFCMSQZ-MJBXVCDLSA-N 0 1 292.383 0.572 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1C[N@@H+](CC[C@@H](C)F)C[C@@H]1O ZINC001221139809 804734089 /nfs/dbraw/zinc/73/40/89/804734089.db2.gz ONCJGOWLQLMRCL-UPJWGTAASA-N 0 1 288.363 0.489 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CC[C@@H](C)F)C[C@@H]1O ZINC001221139809 804734094 /nfs/dbraw/zinc/73/40/94/804734094.db2.gz ONCJGOWLQLMRCL-UPJWGTAASA-N 0 1 288.363 0.489 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CN(CC=C(C)C)C[C@@H]1O ZINC001221145872 804735964 /nfs/dbraw/zinc/73/59/64/804735964.db2.gz NXUHYFAVJBKYOR-MJBXVCDLSA-N 0 1 282.384 0.705 20 30 CCEDMN CCOCCN1CC[C@@H]2CN(C(=O)CSCC#N)[C@@H]2C1 ZINC001221293942 804769475 /nfs/dbraw/zinc/76/94/75/804769475.db2.gz BOFBIRGJQMGIGW-CHWSQXEVSA-N 0 1 297.424 0.812 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](C)COC)[C@@H]2C1 ZINC001221440432 804815615 /nfs/dbraw/zinc/81/56/15/804815615.db2.gz ZRMOHDPSQDTDNV-JHJVBQTASA-N 0 1 252.358 0.988 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001221535281 804830128 /nfs/dbraw/zinc/83/01/28/804830128.db2.gz VKOJYUVHHMQFJB-CHWSQXEVSA-N 0 1 250.342 0.171 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)[C@H]1C ZINC001088626264 815042467 /nfs/dbraw/zinc/04/24/67/815042467.db2.gz DGQSKCVHKFCHTM-PWSUYJOCSA-N 0 1 298.350 0.569 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C3(C(=O)NC)CC3)[C@@H]2C1 ZINC001221869598 804850663 /nfs/dbraw/zinc/85/06/63/804850663.db2.gz PDJBRDYHYSOBCV-VXGBXAGGSA-N 0 1 277.368 0.231 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CCCC(N)=O)C1 ZINC001222111466 804872351 /nfs/dbraw/zinc/87/23/51/804872351.db2.gz REDSVHWZDOQXCK-LLVKDONJSA-N 0 1 287.791 0.975 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H]2CCN(C(C)=O)C[C@@H]21 ZINC001222185578 804878708 /nfs/dbraw/zinc/87/87/08/804878708.db2.gz QAGWEBHDGYSHKX-STQMWFEESA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001222293874 804888453 /nfs/dbraw/zinc/88/84/53/804888453.db2.gz QTXDXWCFULMVPY-JSGCOSHPSA-N 0 1 293.411 0.773 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)[C@H]2C[C@H]2C)CC1 ZINC001222293875 804888729 /nfs/dbraw/zinc/88/87/29/804888729.db2.gz QTXDXWCFULMVPY-OCCSQVGLSA-N 0 1 293.411 0.773 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]2CCN(CC(=O)N(C)C)[C@H]2C1 ZINC001222406774 804899316 /nfs/dbraw/zinc/89/93/16/804899316.db2.gz FAQLFWWLZUDUBQ-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)c2ccn[nH]2)CC1 ZINC001222416654 804899953 /nfs/dbraw/zinc/89/99/53/804899953.db2.gz NBKJBODOGLOMBU-UHFFFAOYSA-N 0 1 290.367 0.501 20 30 CCEDMN C=CCCC(=O)NCC1CCN([C@H](C)C(N)=O)CC1 ZINC001222609620 804920395 /nfs/dbraw/zinc/92/03/95/804920395.db2.gz NJLCMOMBZMSKED-LLVKDONJSA-N 0 1 267.373 0.655 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@H]1COC(=O)C1 ZINC001276834123 804930284 /nfs/dbraw/zinc/93/02/84/804930284.db2.gz IIRXAKGHEOJGBV-NEPJUHHUSA-N 0 1 264.325 0.153 20 30 CCEDMN C#CCCCC(=O)NCC1CCN([C@H]2CCNC2=O)CC1 ZINC001222994225 804944062 /nfs/dbraw/zinc/94/40/62/804944062.db2.gz QDZDBWIJPYXUEG-AWEZNQCLSA-N 0 1 291.395 0.507 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC1CCN([C@@H](C)C(N)=O)CC1 ZINC001223027415 804947089 /nfs/dbraw/zinc/94/70/89/804947089.db2.gz MXYKSQXLSLRDOD-NHYWBVRUSA-N 0 1 297.399 0.016 20 30 CCEDMN CC(C)(C)OC(=O)N1C[C@H](C#N)[C@H](OCc2nn[nH]n2)C1 ZINC001223030334 804949182 /nfs/dbraw/zinc/94/91/82/804949182.db2.gz VYOBELAQWSUBGR-DTWKUNHWSA-N 0 1 294.315 0.475 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)CC(C)(C)O)CC1 ZINC001223052772 804951155 /nfs/dbraw/zinc/95/11/55/804951155.db2.gz ZYZQOQGCACCRHY-UHFFFAOYSA-N 0 1 296.411 0.625 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)c2ncc[nH]2)CC1 ZINC001223073493 804953563 /nfs/dbraw/zinc/95/35/63/804953563.db2.gz DKZWDQPVIOZBCT-UHFFFAOYSA-N 0 1 290.367 0.501 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C3(COC)CC3)C[C@@H]21 ZINC001223109765 804954595 /nfs/dbraw/zinc/95/45/95/804954595.db2.gz RWTKXDFIGHNLBX-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001276875456 804960906 /nfs/dbraw/zinc/96/09/06/804960906.db2.gz NIXCXJFSCKIHPP-DOMZBBRYSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C1(COC)CC1 ZINC001276883987 804966859 /nfs/dbraw/zinc/96/68/59/804966859.db2.gz OLHGHTKIPJPNBC-GFCCVEGCSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)[C@H]1C ZINC001088633695 815043607 /nfs/dbraw/zinc/04/36/07/815043607.db2.gz YCIRHJOIUGTTMG-VOAKCMCISA-N 0 1 268.357 0.555 20 30 CCEDMN C=CCCCCC[N@H+]1CC[C@H]1CNC(=O)[C@H]1CNC(=O)N1 ZINC001276909769 804985237 /nfs/dbraw/zinc/98/52/37/804985237.db2.gz SMAQJFGQHXNQMS-QWHCGFSZSA-N 0 1 294.399 0.605 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1cnc(CC(=O)OC)nc1 ZINC001225471323 805132088 /nfs/dbraw/zinc/13/20/88/805132088.db2.gz DGDYRVVGQQFVKH-ZETCQYMHSA-N 0 1 278.264 0.790 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCC1CCN(CC(=O)NC)CC1 ZINC001225625032 805144530 /nfs/dbraw/zinc/14/45/30/805144530.db2.gz AIISJAFYMYBYCO-GFCCVEGCSA-N 0 1 297.399 0.152 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1[nH]c(=O)ncc1F ZINC001225886059 805173208 /nfs/dbraw/zinc/17/32/08/805173208.db2.gz SFZDBRNHQQZCRG-ZETCQYMHSA-N 0 1 254.217 0.655 20 30 CCEDMN C=CCCC(=O)NC1CCN([C@@H]2CCC(=O)NC2=O)CC1 ZINC001226527752 805242601 /nfs/dbraw/zinc/24/26/01/805242601.db2.gz NLPQHUAKIBQOCQ-GFCCVEGCSA-N 0 1 293.367 0.338 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCN([C@@H]2CCCN(C)C2=O)CC1 ZINC001226625089 805256185 /nfs/dbraw/zinc/25/61/85/805256185.db2.gz HMCFMWNFJODXMV-WCQYABFASA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCN([C@@H]2CCCNC2=O)CC1 ZINC001226626268 805257834 /nfs/dbraw/zinc/25/78/34/805257834.db2.gz WZIZXFHTQPBYMI-ZYHUDNBSSA-N 0 1 278.356 0.005 20 30 CCEDMN C=C[C@@H](Oc1nc2[nH]cnc2c(=S)[nH]1)C(=O)OC ZINC001226787249 805282554 /nfs/dbraw/zinc/28/25/54/805282554.db2.gz WYYNIRCMMQYDMM-RXMQYKEDSA-N 0 1 266.282 0.748 20 30 CCEDMN C#CCCCC(=O)NC1CCN([C@H](C)C(=O)NC)CC1 ZINC001227108119 805323003 /nfs/dbraw/zinc/32/30/03/805323003.db2.gz LWBPSFUIRUBNTN-GFCCVEGCSA-N 0 1 279.384 0.505 20 30 CCEDMN CC(C)C#CC(=O)NC1CCN([C@H]2CCCNC2=O)CC1 ZINC001227245035 805335645 /nfs/dbraw/zinc/33/56/45/805335645.db2.gz VVGFPEDUPHBRFR-AWEZNQCLSA-N 0 1 291.395 0.505 20 30 CCEDMN Cc1nc(CN2CCC(NC(=O)C#CC(C)C)CC2)n[nH]1 ZINC001227265041 805337819 /nfs/dbraw/zinc/33/78/19/805337819.db2.gz CMLJOLWJRNVDCS-UHFFFAOYSA-N 0 1 289.383 0.853 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1[nH]c(=O)nc2cc[nH]c21 ZINC001227810928 805393613 /nfs/dbraw/zinc/39/36/13/805393613.db2.gz ZBDYINQSDKPANE-MRVPVSSYSA-N 0 1 275.264 0.997 20 30 CCEDMN N#CCC1CN(C(=O)[C@]23C[C@H]2CCN3Cc2cnc[nH]2)C1 ZINC001278350740 807008221 /nfs/dbraw/zinc/00/82/21/807008221.db2.gz DTDAGLWNJWNBHV-DOMZBBRYSA-N 0 1 285.351 0.746 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000989612672 815085183 /nfs/dbraw/zinc/08/51/83/815085183.db2.gz UQROGJDWBMBBPI-UHFFFAOYSA-N 0 1 290.367 0.504 20 30 CCEDMN C#Cc1cccc(CN[C@@H]2CN[C@H](C(=O)OC)C2)c1 ZINC001246262136 807200891 /nfs/dbraw/zinc/20/08/91/807200891.db2.gz HVGVJNJOACTZCO-KBPBESRZSA-N 0 1 258.321 0.661 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](N2CCC(C)(C#N)CC2)CN1 ZINC001246848958 807404658 /nfs/dbraw/zinc/40/46/58/807404658.db2.gz PDNCTVVMQVEWEJ-NEPJUHHUSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C)ccc2=O)[C@H]1C ZINC001088734355 815122899 /nfs/dbraw/zinc/12/28/99/815122899.db2.gz VEHRFVTWPXYRLD-YPMHNXCESA-N 0 1 275.352 0.764 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc3nnnn3c2)[C@H]1C ZINC001088743547 815124063 /nfs/dbraw/zinc/12/40/63/815124063.db2.gz AWDLYXYIBMWYIY-YPMHNXCESA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCn2ccnn2)[C@@H]1C ZINC001278504663 807512810 /nfs/dbraw/zinc/51/28/10/807512810.db2.gz FNUQXXATRHGJCI-STQMWFEESA-N 0 1 275.356 0.271 20 30 CCEDMN N#Cc1ccn2ncc(CN3CCC[C@@H](C(N)=O)C3)c2c1 ZINC001249082050 807539456 /nfs/dbraw/zinc/53/94/56/807539456.db2.gz FSDAYNNQIUOROS-GFCCVEGCSA-N 0 1 283.335 0.903 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2ncn[n-]2)[C@H]1C ZINC001278521767 807562646 /nfs/dbraw/zinc/56/26/46/807562646.db2.gz QTJJSOLGXRKDNG-VXGBXAGGSA-N 0 1 291.355 0.037 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2cnon2)[C@H]1C ZINC001278520903 807563070 /nfs/dbraw/zinc/56/30/70/807563070.db2.gz KBRIHVLRCBSSMK-VXGBXAGGSA-N 0 1 294.355 0.855 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)C(=O)NCC2CC2)[C@H]1C ZINC001278526701 807565164 /nfs/dbraw/zinc/56/51/64/807565164.db2.gz MBXPWQKGQYJXNF-TZMCWYRMSA-N 0 1 291.395 0.505 20 30 CCEDMN C[C@@]1(CNCC#N)CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001278578238 807602254 /nfs/dbraw/zinc/60/22/54/807602254.db2.gz WOGZQTAHDUPWJQ-HNNXBMFYSA-N 0 1 298.350 0.923 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cnccc1Cl ZINC001251820872 807708818 /nfs/dbraw/zinc/70/88/18/807708818.db2.gz AEWTXYILEXNXNQ-NSHDSACASA-N 0 1 254.717 0.835 20 30 CCEDMN C#CCOC[C@H](O)CN[C@@H](CC(=O)OC)c1ccccn1 ZINC001251896633 807739774 /nfs/dbraw/zinc/73/97/74/807739774.db2.gz KWZUDYURKOIGRS-OCCSQVGLSA-N 0 1 292.335 0.286 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@@H]1CCc2ccccc2C1=O ZINC001251904291 807743345 /nfs/dbraw/zinc/74/33/45/807743345.db2.gz XAJPPHOKLAXJRT-DZGCQCFKSA-N 0 1 273.332 0.784 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)[C@H]1C ZINC001088772721 815150588 /nfs/dbraw/zinc/15/05/88/815150588.db2.gz ITGCZFHKIIRRBC-AGIUHOORSA-N 0 1 277.368 0.115 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCN(C)c2ncccc2C1 ZINC001252463718 807874361 /nfs/dbraw/zinc/87/43/61/807874361.db2.gz RNRACVRSHFWTMM-AWEZNQCLSA-N 0 1 277.368 0.897 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cncn2C)C1 ZINC001278667868 807878831 /nfs/dbraw/zinc/87/88/31/807878831.db2.gz JOKLGAVTHCLLGH-HNNXBMFYSA-N 0 1 274.368 0.885 20 30 CCEDMN C=CCOC[C@H](O)CNC1(C#N)CCN(C)CC1 ZINC001252496652 807894922 /nfs/dbraw/zinc/89/49/22/807894922.db2.gz BYJUINOKVHLPIQ-GFCCVEGCSA-N 0 1 253.346 0.127 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(c2ncc(O)cn2)CC1 ZINC001252556417 807909079 /nfs/dbraw/zinc/90/90/79/807909079.db2.gz DHFGAPHYTAZSSQ-CYBMUJFWSA-N 0 1 264.329 0.241 20 30 CCEDMN C=C[C@@](C)(O)CNCc1ccnc(OCCOC)n1 ZINC001252583506 807914283 /nfs/dbraw/zinc/91/42/83/807914283.db2.gz MJHCZPQXJXNTHA-CYBMUJFWSA-N 0 1 267.329 0.528 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2ncc(OC)cn2)CC1 ZINC001252603865 807919122 /nfs/dbraw/zinc/91/91/22/807919122.db2.gz HHMKJMFVFJVHRO-CYBMUJFWSA-N 0 1 292.383 0.934 20 30 CCEDMN C=CCOCc1[nH]nc2c1CN(C[C@H](O)COC)C2 ZINC001252815103 807964902 /nfs/dbraw/zinc/96/49/02/807964902.db2.gz LQIPHXXJZBXKRP-JTQLQIEISA-N 0 1 267.329 0.435 20 30 CCEDMN C=CCN1CCN(C[C@H](O)COC(C)(C)C)CC1 ZINC001253451674 808068984 /nfs/dbraw/zinc/06/89/84/808068984.db2.gz CZOKXMPCDFOMBZ-ZDUSSCGKSA-N 0 1 256.390 0.966 20 30 CCEDMN C=C[C@H](O)CN1CC2(C1)CCOC[C@H]2C(=O)OC ZINC001253580923 808088422 /nfs/dbraw/zinc/08/84/22/808088422.db2.gz AVGQUFFJTKZHJI-QWRGUYRKSA-N 0 1 255.314 0.045 20 30 CCEDMN C=C[C@H](O)CNCc1cc(OCCOC)ncn1 ZINC001253610740 808097281 /nfs/dbraw/zinc/09/72/81/808097281.db2.gz XAIOFJSKWPFRLM-NSHDSACASA-N 0 1 253.302 0.138 20 30 CCEDMN COc1cncc(CNCCNC(=O)C#CC2CC2)n1 ZINC001126881746 815180438 /nfs/dbraw/zinc/18/04/38/815180438.db2.gz ZKRBSKJCDKXLLR-UHFFFAOYSA-N 0 1 274.324 0.104 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)CCc2ccco2)C1 ZINC001278759968 808170084 /nfs/dbraw/zinc/17/00/84/808170084.db2.gz IPCUAAQCUCIAJQ-INIZCTEOSA-N 0 1 290.363 0.789 20 30 CCEDMN C=CCN1CC[C@@](O)(CNC(=O)CCCC(C)=O)C1 ZINC001278760858 808177028 /nfs/dbraw/zinc/17/70/28/808177028.db2.gz OBWSJKJRBJQOKH-CQSZACIVSA-N 0 1 268.357 0.485 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCN2CCCC2=O)CC1 ZINC001254077129 808177121 /nfs/dbraw/zinc/17/71/21/808177121.db2.gz UMPSCZJGHSESOF-UHFFFAOYSA-N 0 1 278.356 0.103 20 30 CCEDMN CC[C@H](F)CN1CC[C@](O)(CNC(=O)C#CC(C)C)C1 ZINC001278776336 808204389 /nfs/dbraw/zinc/20/43/89/808204389.db2.gz INPRNJSEVVBTBB-ZFWWWQNUSA-N 0 1 284.375 0.947 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cc(C)cs2)C1 ZINC001278777382 808218083 /nfs/dbraw/zinc/21/80/83/808218083.db2.gz ZDMRDPXQOCCKCD-CQSZACIVSA-N 0 1 278.377 0.856 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H](OCC)C(C)C)C1 ZINC001278777310 808218519 /nfs/dbraw/zinc/21/85/19/808218519.db2.gz XJZQSDVSOPXUHE-ZFWWWQNUSA-N 0 1 282.384 0.234 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001278790292 808244570 /nfs/dbraw/zinc/24/45/70/808244570.db2.gz HMWDANFQZSVBRD-IOASZLSFSA-N 0 1 278.396 0.855 20 30 CCEDMN C=C[C@@H]1C[C@]1(NC(=O)C1CN(C)C1)C(=O)OCC ZINC001142600944 815190233 /nfs/dbraw/zinc/19/02/33/815190233.db2.gz RBIGJMUISMNHMK-ZWNOBZJWSA-N 0 1 252.314 0.172 20 30 CCEDMN COCC(=O)N[C@@H]1CCCN([C@H]2CC[C@H](C#N)C2)C1 ZINC001254676041 808272615 /nfs/dbraw/zinc/27/26/15/808272615.db2.gz ILOGWAYKBMZDAC-XQQFMLRXSA-N 0 1 265.357 0.906 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H]2CC23CCCC3)C1 ZINC001278815609 808281041 /nfs/dbraw/zinc/28/10/41/808281041.db2.gz HFGUBAQQKLXDFP-CZUORRHYSA-N 0 1 276.380 0.753 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccc3c(c2)CCC3)C1 ZINC001278822813 808294906 /nfs/dbraw/zinc/29/49/06/808294906.db2.gz VBILTZZLYVKJTL-GOSISDBHSA-N 0 1 298.386 0.975 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC001255361344 808349515 /nfs/dbraw/zinc/34/95/15/808349515.db2.gz VEVAQFSLCLFWQY-OLZOCXBDSA-N 0 1 299.415 0.674 20 30 CCEDMN COC(=O)[C@H](Cc1ccc(C#N)cc1)N[C@H]1CCN(C)C1 ZINC001255425498 808360408 /nfs/dbraw/zinc/36/04/08/808360408.db2.gz GOVFVFCUNUYGAS-GJZGRUSLSA-N 0 1 287.363 0.936 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+]C1CCN(c2ncccn2)CC1 ZINC001256049460 808460676 /nfs/dbraw/zinc/46/06/76/808460676.db2.gz APINLIWWHKYUHL-JQWIXIFHSA-N 0 1 291.355 0.271 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)[C@H]1C ZINC001088824164 815213290 /nfs/dbraw/zinc/21/32/90/815213290.db2.gz RXAYWAKKNIAVLL-UTUOFQBUSA-N 0 1 287.367 0.437 20 30 CCEDMN CC[C@]1(O)CCN(C(=O)NCC#CCN(C)C)C1 ZINC001256585414 808537640 /nfs/dbraw/zinc/53/76/40/808537640.db2.gz QKWRCCHSFCBJLF-ZDUSSCGKSA-N 0 1 253.346 0.108 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1ccc(CCO)cc1 ZINC001256584488 808537657 /nfs/dbraw/zinc/53/76/57/808537657.db2.gz DRGBBVDVIOZJHC-UHFFFAOYSA-N 0 1 289.379 0.586 20 30 CCEDMN N#CCCCNC(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC001258480725 808686203 /nfs/dbraw/zinc/68/62/03/808686203.db2.gz AENGWEBHVMBUKJ-SNVBAGLBSA-N 0 1 275.312 0.323 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3ccnc(C)n3)[C@@H]2C1 ZINC001076085090 815239491 /nfs/dbraw/zinc/23/94/91/815239491.db2.gz ZYMBGWDGHRHNMO-GOEBONIOSA-N 0 1 298.390 0.884 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCNC(=O)CC3)[C@@H]2C1 ZINC001076086980 815240238 /nfs/dbraw/zinc/24/02/38/815240238.db2.gz BCMFMYSJHYYSBQ-MELADBBJSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3c(C)nn(C)c3C)[C@@H]2C1 ZINC001076106069 815244995 /nfs/dbraw/zinc/24/49/95/815244995.db2.gz TZDQJXDUJPRRKD-UONOGXRCSA-N 0 1 286.379 0.816 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CCCCN1CCC)C(=O)OC ZINC001261293946 808946606 /nfs/dbraw/zinc/94/66/06/808946606.db2.gz DIQMRTPWDFOQGM-CHWSQXEVSA-N 0 1 280.368 0.932 20 30 CCEDMN C=C[C@@H](COC)NC[C@@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001261437029 808977175 /nfs/dbraw/zinc/97/71/75/808977175.db2.gz RUPAZPSFYZVEKV-GXTWGEPZSA-N 0 1 299.392 0.914 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C(=O)N(C)Cc2cnc[nH]2)C1 ZINC001261623198 809033715 /nfs/dbraw/zinc/03/37/15/809033715.db2.gz PXEFZCXDQQRJLG-NSHDSACASA-N 0 1 274.324 0.240 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1CCNC[C@H]1C(C)C ZINC001261820377 809097564 /nfs/dbraw/zinc/09/75/64/809097564.db2.gz YOPMJPJQVHBQQY-STQMWFEESA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3c3cncn3C)[C@@H]2C1 ZINC001076260358 815269088 /nfs/dbraw/zinc/26/90/88/815269088.db2.gz GAHPELXXGOXAKK-DSJMHWKBSA-N 0 1 298.390 0.690 20 30 CCEDMN C=CCn1c(C2=NO[C@@H](CO)C2)nnc1N(C)C1CC1 ZINC001262965858 809424472 /nfs/dbraw/zinc/42/44/72/809424472.db2.gz XQJUZLWWUWUFMX-SNVBAGLBSA-N 0 1 277.328 0.548 20 30 CCEDMN C=CCn1c(C2=NO[C@H](CO)C2)nnc1N(CC)CC ZINC001262965904 809424959 /nfs/dbraw/zinc/42/49/59/809424959.db2.gz YTTUBNSKMCNZDE-JTQLQIEISA-N 0 1 279.344 0.796 20 30 CCEDMN C#CCNCC(=O)N1CCN([C@H](C)c2cccnc2)CC1 ZINC001263103513 809444039 /nfs/dbraw/zinc/44/40/39/809444039.db2.gz BITDHEVYZAYYPW-CQSZACIVSA-N 0 1 286.379 0.510 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc(CC)nn3C)[C@@H]2C1 ZINC001076395311 815289688 /nfs/dbraw/zinc/28/96/88/815289688.db2.gz QJKAKPDEDRKAJO-SWLSCSKDSA-N 0 1 286.379 0.762 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](Cc2nccn2C)C1 ZINC001263115014 809452096 /nfs/dbraw/zinc/45/20/96/809452096.db2.gz GCSVCKFMAIJTBV-CYBMUJFWSA-N 0 1 274.368 0.424 20 30 CCEDMN C#CCNCC(=O)N[C@]1(C(=O)OC)CCCC(C)(C)C1 ZINC001263165591 809466964 /nfs/dbraw/zinc/46/69/64/809466964.db2.gz IBDXYKGTIXZOOC-OAHLLOKOSA-N 0 1 280.368 0.837 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC1CC1)NC(=O)[C@@H]1CCCN1C ZINC001076423387 815294933 /nfs/dbraw/zinc/29/49/33/815294933.db2.gz HOPZTTIJNIHUNE-JSGCOSHPSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H](C)n2cnc(C#N)n2)CC1 ZINC001263621193 809542633 /nfs/dbraw/zinc/54/26/33/809542633.db2.gz SUSJRARAWGSRFC-LLVKDONJSA-N 0 1 287.323 0.352 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@](O)(C(F)(F)F)C1 ZINC000383078321 809557881 /nfs/dbraw/zinc/55/78/81/809557881.db2.gz SLKYDYABXPKYNZ-DTWKUNHWSA-N 0 1 252.236 0.914 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)[C@H]1CN(C)CCN1C)C(C)(C)C ZINC001263778584 809569577 /nfs/dbraw/zinc/56/95/77/809569577.db2.gz NNZJABOIWZBHPI-MCIONIFRSA-N 0 1 294.443 0.968 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)Cc1ncc[nH]1 ZINC001263814576 809576617 /nfs/dbraw/zinc/57/66/17/809576617.db2.gz HSBFPYKXCGHOPT-ZDUSSCGKSA-N 0 1 292.383 0.688 20 30 CCEDMN C=C[C@@H](C)NC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001263830599 809582067 /nfs/dbraw/zinc/58/20/67/809582067.db2.gz QHBLWOROFRRBAM-NEPJUHHUSA-N 0 1 254.378 0.496 20 30 CCEDMN C#CCC[NH2+][C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001263831355 809582162 /nfs/dbraw/zinc/58/21/62/809582162.db2.gz MDQDMFDMOXDLPT-TXEJJXNPSA-N 0 1 273.336 0.909 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001263895717 809601663 /nfs/dbraw/zinc/60/16/63/809601663.db2.gz DXHIOYSVNHRZOC-ZDUSSCGKSA-N 0 1 290.367 0.951 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)CCC=C)C1 ZINC001264074409 809626560 /nfs/dbraw/zinc/62/65/60/809626560.db2.gz BUUVSWRZBLBSQB-CQSZACIVSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2ccon2)[C@H]1C ZINC001264153054 809635096 /nfs/dbraw/zinc/63/50/96/809635096.db2.gz RKMJFQVHGCWIPH-YPMHNXCESA-N 0 1 261.325 0.819 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CC(=O)N(C)C1 ZINC001264183020 809635995 /nfs/dbraw/zinc/63/59/95/809635995.db2.gz FOGXNPPMYGYKIQ-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C(=O)NC)CC2)[C@H]1C ZINC001264164416 809636092 /nfs/dbraw/zinc/63/60/92/809636092.db2.gz FBULGZNQZGZDJC-NEPJUHHUSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2ccccn2)C1 ZINC001264628129 809666391 /nfs/dbraw/zinc/66/63/91/809666391.db2.gz HNFFOCWBUJVRAA-AWEZNQCLSA-N 0 1 287.363 0.614 20 30 CCEDMN CCN(CC#N)CCCNC(=O)C1=NC(=O)N(C)C1 ZINC001265132660 809714647 /nfs/dbraw/zinc/71/46/47/809714647.db2.gz NNIXDCCUJNSGEF-UHFFFAOYSA-N 0 1 265.317 0.091 20 30 CCEDMN C=CCOCCN1CCN(CCNC(=O)C(C)(C)C)CC1 ZINC001265265007 809735228 /nfs/dbraw/zinc/73/52/28/809735228.db2.gz LZVIGJSGEQMXAT-UHFFFAOYSA-N 0 1 297.443 0.969 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3CCCOC3)[C@@H]2C1 ZINC001076580691 815313462 /nfs/dbraw/zinc/31/34/62/815313462.db2.gz FMSKBJQSETWZII-SOUVJXGZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCOCC(F)F)C1 ZINC001265283537 809746802 /nfs/dbraw/zinc/74/68/02/809746802.db2.gz GBGNIWQXKZRHBG-JTQLQIEISA-N 0 1 260.284 0.482 20 30 CCEDMN C=CCCCC(=O)N[C@H]1CC12CCN(CC(N)=O)CC2 ZINC001265331508 809758972 /nfs/dbraw/zinc/75/89/72/809758972.db2.gz BNBAYNJNEQGUIQ-LBPRGKRZSA-N 0 1 279.384 0.799 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@@H](NCC#N)C1CC1 ZINC001265382000 809768753 /nfs/dbraw/zinc/76/87/53/809768753.db2.gz GLVDDNYUZPPWCG-KGLIPLIRSA-N 0 1 278.400 0.869 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCNC(=O)CN1CCCC1 ZINC001076594419 815317422 /nfs/dbraw/zinc/31/74/22/815317422.db2.gz OZHCHWYIXUMTAX-NEPJUHHUSA-N 0 1 280.372 0.253 20 30 CCEDMN CN(C)C(=O)CN1CCC[C@H](CNC(=O)C#CC2CC2)C1 ZINC001265582150 809809427 /nfs/dbraw/zinc/80/94/27/809809427.db2.gz MMLVDQVPIFPVSH-CQSZACIVSA-N 0 1 291.395 0.316 20 30 CCEDMN C[C@@H](CCNC(=O)CN1CCCC1)NC(=O)C#CC1CC1 ZINC001076595642 815318876 /nfs/dbraw/zinc/31/88/76/815318876.db2.gz VMEVMBOMGUUUJX-ZDUSSCGKSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H](C)NC(C)=O ZINC001265638272 809817911 /nfs/dbraw/zinc/81/79/11/809817911.db2.gz FROYVWKWURWFTM-YPMHNXCESA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@](C)(O)C=C ZINC001265643025 809818921 /nfs/dbraw/zinc/81/89/21/809818921.db2.gz FCBOITPGXOAEOT-UKRRQHHQSA-N 0 1 264.369 0.917 20 30 CCEDMN C[C@H](CNC(=O)C(N)=O)NCC#Cc1ccccc1Cl ZINC001265818405 809863562 /nfs/dbraw/zinc/86/35/62/809863562.db2.gz KJBZECWSEYKEGQ-SNVBAGLBSA-N 0 1 293.754 0.271 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C1CC(C)C1 ZINC001265824994 809865582 /nfs/dbraw/zinc/86/55/82/809865582.db2.gz MXBJDQPLRMCFTN-MOKVOYLWSA-N 0 1 293.411 0.609 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@H](NCc2cnnn2C)C1 ZINC001265924738 809895972 /nfs/dbraw/zinc/89/59/72/809895972.db2.gz DUYOHJZSURIDPN-ZDUSSCGKSA-N 0 1 289.383 0.699 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC[C@H](C)NCc1nonc1C ZINC001266144382 809947339 /nfs/dbraw/zinc/94/73/39/809947339.db2.gz QTZQTWJMIPFQKU-CMPLNLGQSA-N 0 1 294.355 0.401 20 30 CCEDMN C#CCCCC(=O)N(C)C1CCN([C@H](CC)C(N)=O)CC1 ZINC001266181924 809957294 /nfs/dbraw/zinc/95/72/94/809957294.db2.gz VJLLKSXFMJGVBZ-CQSZACIVSA-N 0 1 293.411 0.977 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCCN(CCn2cncn2)C1 ZINC001266210204 809963251 /nfs/dbraw/zinc/96/32/51/809963251.db2.gz YEIPEARXRDSZPC-CYBMUJFWSA-N 0 1 277.372 0.825 20 30 CCEDMN COCC#CCN1CC=C(CNC(=O)CCOC)CC1 ZINC001279464905 809980866 /nfs/dbraw/zinc/98/08/66/809980866.db2.gz CGJYQTOEPHQPPQ-UHFFFAOYSA-N 0 1 280.368 0.421 20 30 CCEDMN C=CCCC(=O)N[C@@]1(CO)CCCN(CCOCC)C1 ZINC001279470490 809981675 /nfs/dbraw/zinc/98/16/75/809981675.db2.gz GJJCCTPXOJKDFO-HNNXBMFYSA-N 0 1 284.400 0.932 20 30 CCEDMN CC#CCCCC(=O)N[C@]1(CO)CCCN(CCOC)C1 ZINC001279508503 809984112 /nfs/dbraw/zinc/98/41/12/809984112.db2.gz DTLADUANQGPLIE-MRXNPFEDSA-N 0 1 296.411 0.770 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C)nc2)C1 ZINC001076751950 815363892 /nfs/dbraw/zinc/36/38/92/815363892.db2.gz NOODZSPMOGVWPY-ZIAGYGMSSA-N 0 1 273.336 0.188 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ncccc2O)C1 ZINC001076916766 815411336 /nfs/dbraw/zinc/41/13/36/815411336.db2.gz APRLMVUERXIUBO-DGCLKSJQSA-N 0 1 291.351 0.528 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076916766 815411343 /nfs/dbraw/zinc/41/13/43/815411343.db2.gz APRLMVUERXIUBO-DGCLKSJQSA-N 0 1 291.351 0.528 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001267271556 811075343 /nfs/dbraw/zinc/07/53/43/811075343.db2.gz XUZNKVGVNKYSCA-DOMZBBRYSA-N 0 1 277.368 0.117 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ccc([N+](=O)[O-])c(C#N)n1 ZINC001167671477 811108033 /nfs/dbraw/zinc/10/80/33/811108033.db2.gz FKAZCHZZIUZKFX-UHFFFAOYSA-N 0 1 285.267 0.294 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN([C@@H]2CCNC2=O)C1 ZINC001267299241 811117171 /nfs/dbraw/zinc/11/71/71/811117171.db2.gz WPQBYNCRUDODEH-NWDGAFQWSA-N 0 1 279.384 0.525 20 30 CCEDMN C[C@@H](CNc1ccnc(C#N)n1)N(C)C(=O)c1ccn[nH]1 ZINC001104479568 811118704 /nfs/dbraw/zinc/11/87/04/811118704.db2.gz NLLVGQSVJQFUGC-VIFPVBQESA-N 0 1 285.311 0.066 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@@H](C)CNc2nccnc2C#N)n[nH]1 ZINC001104486554 811130074 /nfs/dbraw/zinc/13/00/74/811130074.db2.gz HXAGRKNHIBDCKH-JTQLQIEISA-N 0 1 299.338 0.952 20 30 CCEDMN C#CCN(CCNC(=O)C[C@@H]1CCN(C)C1=O)C1CC1 ZINC001267327681 811160390 /nfs/dbraw/zinc/16/03/90/811160390.db2.gz WPMIZBCVMUFSNS-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cccc(/C=N/O)c1 ZINC001167674786 811200676 /nfs/dbraw/zinc/20/06/76/811200676.db2.gz CRKLNQSJWSSVNR-IGHFMFDDSA-N 0 1 257.297 0.927 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cc(C#N)cc(C#N)c1 ZINC001167675119 811211652 /nfs/dbraw/zinc/21/16/52/811211652.db2.gz HTOQOPWUGMNWNW-UHFFFAOYSA-N 0 1 264.292 0.862 20 30 CCEDMN Cc1nocc1CNCCN(C)C(=O)C#CC1CC1 ZINC001267371632 811226631 /nfs/dbraw/zinc/22/66/31/811226631.db2.gz OQFNKHJXBVQNNZ-UHFFFAOYSA-N 0 1 261.325 0.944 20 30 CCEDMN CC#CCN1CC[C@H](N(CCC)C(=O)Cn2cnnn2)C1 ZINC001267451405 811311513 /nfs/dbraw/zinc/31/15/13/811311513.db2.gz ZTOYQFREHCNSGE-ZDUSSCGKSA-N 0 1 290.371 0.009 20 30 CCEDMN C[C@H](NCCNC(=O)c1ccc(C#N)[nH]1)c1cnccn1 ZINC001125776293 811320060 /nfs/dbraw/zinc/32/00/60/811320060.db2.gz KTMNJEDWRASOEJ-JTQLQIEISA-N 0 1 284.323 0.757 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(CCC)[C@@H]1CCN(CCO)C1 ZINC001267460275 811326080 /nfs/dbraw/zinc/32/60/80/811326080.db2.gz UBBFDOKPGLFUFZ-ZIAGYGMSSA-N 0 1 282.384 0.330 20 30 CCEDMN COc1ncnc(OC)c1CNc1ccnc(CC#N)c1 ZINC001167685573 811336892 /nfs/dbraw/zinc/33/68/92/811336892.db2.gz NFWOBNHAPRPLQT-UHFFFAOYSA-N 0 1 285.307 0.989 20 30 CCEDMN C#CCN[C@H](CNC(=O)c1nccnc1N)c1ccccc1 ZINC001267516542 811393113 /nfs/dbraw/zinc/39/31/13/811393113.db2.gz FNCICLWFUPFRHQ-CYBMUJFWSA-N 0 1 295.346 0.753 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001077102364 815445057 /nfs/dbraw/zinc/44/50/57/815445057.db2.gz MPMDYJBTULXADU-IJLUTSLNSA-N 0 1 286.322 0.606 20 30 CCEDMN C#CCCNCc1cn([C@H]2CCN(C(C)=O)C2)nn1 ZINC001098591262 811413090 /nfs/dbraw/zinc/41/30/90/811413090.db2.gz BLKKVZPCNFOBNW-ZDUSSCGKSA-N 0 1 261.329 0.184 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CN3CCCC3=O)[C@@H]2C1 ZINC001075532536 811594727 /nfs/dbraw/zinc/59/47/27/811594727.db2.gz HUNILTBOSSNVNY-UONOGXRCSA-N 0 1 289.379 0.165 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCC2(F)F)C1 ZINC001077203734 815460467 /nfs/dbraw/zinc/46/04/67/815460467.db2.gz JAQXDZZZUAGWCX-GMTAPVOTSA-N 0 1 272.295 0.216 20 30 CCEDMN CN(CCCN(C)c1ccc(C#N)nn1)C(=O)c1ccn[nH]1 ZINC001112028351 811607400 /nfs/dbraw/zinc/60/74/00/811607400.db2.gz HDSGUSRRZLBRFU-UHFFFAOYSA-N 0 1 299.338 0.670 20 30 CCEDMN C=CCOCC(=O)NCC1CN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC001267679074 811643764 /nfs/dbraw/zinc/64/37/64/811643764.db2.gz LCEYJVPLLKZMNW-JTQLQIEISA-N 0 1 293.371 0.425 20 30 CCEDMN CC(C)C#CC(=O)NCC1CN(CCc2cnn(C)c2)C1 ZINC001267680469 811645102 /nfs/dbraw/zinc/64/51/02/811645102.db2.gz MQDZIKBOTFCYJO-UHFFFAOYSA-N 0 1 288.395 0.670 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCC(=O)NCC)C1 ZINC001267738453 811716261 /nfs/dbraw/zinc/71/62/61/811716261.db2.gz HDYSXBIWWKALRR-GFCCVEGCSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CCOCC)C1 ZINC001267782909 811784131 /nfs/dbraw/zinc/78/41/31/811784131.db2.gz ZPSIUJTZYZBWCY-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccc(C)cc2)C1 ZINC001077328304 815481452 /nfs/dbraw/zinc/48/14/52/815481452.db2.gz XFGOPRNUGCRYCP-HZPDHXFCSA-N 0 1 286.375 0.722 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)nc1 ZINC001105211937 811864072 /nfs/dbraw/zinc/86/40/72/811864072.db2.gz SADOIXDAZFGBPN-GFCCVEGCSA-N 0 1 299.338 0.938 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)nc1 ZINC001105211937 811864077 /nfs/dbraw/zinc/86/40/77/811864077.db2.gz SADOIXDAZFGBPN-GFCCVEGCSA-N 0 1 299.338 0.938 20 30 CCEDMN Cc1cc(CNCCNC(=O)c2c[nH]c(C#N)c2)on1 ZINC001125981574 811964136 /nfs/dbraw/zinc/96/41/36/811964136.db2.gz AIFJGKHHMKZJHH-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)c2ccnnc2)C1 ZINC001268026079 811998417 /nfs/dbraw/zinc/99/84/17/811998417.db2.gz LWFVNRUSRMZDHC-CQSZACIVSA-N 0 1 290.367 0.873 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnn(C)c1N ZINC001126087363 812006916 /nfs/dbraw/zinc/00/69/16/812006916.db2.gz YZNQHRUDPAMCLR-UHFFFAOYSA-N 0 1 257.725 0.074 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)COCC)CC2 ZINC001268041948 812072571 /nfs/dbraw/zinc/07/25/71/812072571.db2.gz HZSBGVHOHMNEEV-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN C=CCCN1CCC[C@H]1CNC(=O)C1CS(=O)(=O)C1 ZINC001027904368 812130499 /nfs/dbraw/zinc/13/04/99/812130499.db2.gz BWLAIBYFSBBKJP-LBPRGKRZSA-N 0 1 286.397 0.188 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCNC1=O ZINC001027947801 812158081 /nfs/dbraw/zinc/15/80/81/812158081.db2.gz RZNXXTJOFDSZGH-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001027950305 812160440 /nfs/dbraw/zinc/16/04/40/812160440.db2.gz BBMROYFDBPOEPS-LBPRGKRZSA-N 0 1 274.324 0.400 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)[C@@H](C)CNCc1nccn1C ZINC001268058514 812161992 /nfs/dbraw/zinc/16/19/92/812161992.db2.gz DKMCRBPRNZDQKC-SWLSCSKDSA-N 0 1 294.399 0.684 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)[C@@H](C)CNCc1cnoc1C ZINC001268063114 812173141 /nfs/dbraw/zinc/17/31/41/812173141.db2.gz LGFOBQCOEVEDPP-WCQYABFASA-N 0 1 293.367 0.958 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)[C@H](C)CNCc1ccn(C)n1 ZINC001268064570 812176490 /nfs/dbraw/zinc/17/64/90/812176490.db2.gz VENWDPCRPDBTRA-CHWSQXEVSA-N 0 1 292.383 0.395 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(N(C)C)nc1 ZINC001027989746 812196862 /nfs/dbraw/zinc/19/68/62/812196862.db2.gz OFUCTYRAZPPDIT-CQSZACIVSA-N 0 1 286.379 0.975 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001038156974 812300475 /nfs/dbraw/zinc/30/04/75/812300475.db2.gz HDIJLTPGYDOYOM-NWDGAFQWSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c[nH]c(=O)cc1C ZINC001028130608 812300785 /nfs/dbraw/zinc/30/07/85/812300785.db2.gz RSRGHUXKQRGOSJ-LBPRGKRZSA-N 0 1 273.336 0.923 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCCCN1C(N)=O ZINC001038910545 812335228 /nfs/dbraw/zinc/33/52/28/812335228.db2.gz WEIXWRHXSATTBO-CHWSQXEVSA-N 0 1 292.383 0.133 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](NC(=O)CCn2ccnc2)C1 ZINC001268216599 812369759 /nfs/dbraw/zinc/36/97/59/812369759.db2.gz LFRLMABCONCNGA-AWEZNQCLSA-N 0 1 274.368 0.877 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cc(F)c[nH]1 ZINC001268243800 812410824 /nfs/dbraw/zinc/41/08/24/812410824.db2.gz OFEZJKMYBAYUOH-UHFFFAOYSA-N 0 1 281.331 0.807 20 30 CCEDMN C=CCn1cc(CN2CCC3(CC2)NC(=O)NC3=O)cn1 ZINC001139770774 812423145 /nfs/dbraw/zinc/42/31/45/812423145.db2.gz AEBBPXVFBXPWJD-UHFFFAOYSA-N 0 1 289.339 0.243 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cn(C)nc3C)[C@@H]2C1 ZINC001075624311 812527992 /nfs/dbraw/zinc/52/79/92/812527992.db2.gz CMCTWXQSLJQEOH-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001028291221 812589820 /nfs/dbraw/zinc/58/98/20/812589820.db2.gz RUCVAYXFZWNBLE-KGLIPLIRSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001028291220 812591064 /nfs/dbraw/zinc/59/10/64/812591064.db2.gz RUCVAYXFZWNBLE-KBPBESRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CC)[C@H](OC)C1 ZINC001211738920 812598566 /nfs/dbraw/zinc/59/85/66/812598566.db2.gz YGOQQJVNSKLZBO-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@H]3CCOC3)[C@@H]2C1 ZINC001075636165 812601972 /nfs/dbraw/zinc/60/19/72/812601972.db2.gz PQGBNRGVLLKQKW-HZSPNIEDSA-N 0 1 262.353 0.579 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cc3cc[nH]n3)[C@@H]2C1 ZINC001075640676 812698866 /nfs/dbraw/zinc/69/88/66/812698866.db2.gz WCRDSIJPXSAJMQ-GXTWGEPZSA-N 0 1 272.352 0.508 20 30 CCEDMN C=C(C)CN1CC[C@H](CNC(=O)C2CS(=O)(=O)C2)C1 ZINC001028563354 812840373 /nfs/dbraw/zinc/84/03/73/812840373.db2.gz GLNCVQDWSRUUPC-LLVKDONJSA-N 0 1 286.397 0.045 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001268582163 812865289 /nfs/dbraw/zinc/86/52/89/812865289.db2.gz GSSWQQFSOQMRPO-XGACYXMMSA-N 0 1 299.802 0.917 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)[C@@H]1CCC(=O)N1 ZINC001268592616 812882321 /nfs/dbraw/zinc/88/23/21/812882321.db2.gz IKCJTKHMYJUPHQ-BKGLOODYSA-N 0 1 299.802 0.916 20 30 CCEDMN C[C@@H](CNCc1nccn1C)NC(=O)C#CC1CC1 ZINC001268685728 813009680 /nfs/dbraw/zinc/00/96/80/813009680.db2.gz IYWXYRSCVKHWQB-NSHDSACASA-N 0 1 260.341 0.428 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)C[C@H](C)OC ZINC001268725893 813042342 /nfs/dbraw/zinc/04/23/42/813042342.db2.gz VTXZWGWYFWKPLH-STQMWFEESA-N 0 1 270.373 0.498 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)C1CC(OC)C1 ZINC001268734485 813048984 /nfs/dbraw/zinc/04/89/84/813048984.db2.gz DQOKFNLKIRZVCX-HIFPTAJRSA-N 0 1 252.358 0.871 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H](C)c1cnn(C)c1 ZINC001268765999 813074131 /nfs/dbraw/zinc/07/41/31/813074131.db2.gz VETQGVSRMPXZQH-QWHCGFSZSA-N 0 1 276.384 0.983 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C(=O)NCC2CC2)C1 ZINC001269073012 813204507 /nfs/dbraw/zinc/20/45/07/813204507.db2.gz FFHJGOWWRXHNFQ-LBPRGKRZSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)CCCCN2C(=O)CN(C)CC ZINC001269093033 813212775 /nfs/dbraw/zinc/21/27/75/813212775.db2.gz MXPDDTYZLHBOTB-INIZCTEOSA-N 0 1 291.395 0.555 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)CN(C)CC ZINC001269093176 813213319 /nfs/dbraw/zinc/21/33/19/813213319.db2.gz RHBWXJLARANBBW-CHWSQXEVSA-N 0 1 279.384 0.716 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1oc(CC)nc1C ZINC001127045791 815601925 /nfs/dbraw/zinc/60/19/25/815601925.db2.gz HHGZIJOYJJVJEG-GFCCVEGCSA-N 0 1 293.367 0.790 20 30 CCEDMN N#Cc1ccc(N[C@H]2CCN(C(=O)Cc3c[nH]cn3)C2)nn1 ZINC001059079444 813241000 /nfs/dbraw/zinc/24/10/00/813241000.db2.gz MJCGKQOSENUELC-NSHDSACASA-N 0 1 297.322 0.327 20 30 CCEDMN N#CCSCC(=O)NC[C@H]1CCN1CCCF ZINC001269200766 813259479 /nfs/dbraw/zinc/25/94/79/813259479.db2.gz MKPXPVCQNKGAIT-SNVBAGLBSA-N 0 1 259.350 0.793 20 30 CCEDMN COC[C@@H](O)CN1CC[C@@H]1CNC(=O)C#CC(C)(C)C ZINC001269235847 813282925 /nfs/dbraw/zinc/28/29/25/813282925.db2.gz QBKRFJOOFFBOFD-OLZOCXBDSA-N 0 1 282.384 0.234 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCn2ccnc2)C1 ZINC001269264344 813295907 /nfs/dbraw/zinc/29/59/07/813295907.db2.gz WUAPMGQUTMXSAE-CYBMUJFWSA-N 0 1 260.341 0.439 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)c2ccon2)CC1 ZINC001269379373 813348483 /nfs/dbraw/zinc/34/84/83/813348483.db2.gz IQVSZVPMYFKFOA-SNVBAGLBSA-N 0 1 292.339 0.217 20 30 CCEDMN N#CC1(NC(=O)[C@@]23C[C@@H]2CCN3C(=O)c2ccn[nH]2)CCC1 ZINC001269604104 813442618 /nfs/dbraw/zinc/44/26/18/813442618.db2.gz UXUOOZORNKNASB-ZUZCIYMTSA-N 0 1 299.334 0.577 20 30 CCEDMN N#CCC1CN(C(=O)[C@@]23C[C@@H]2CCN3C(=O)c2ccn[nH]2)C1 ZINC001269604237 813442858 /nfs/dbraw/zinc/44/28/58/813442858.db2.gz ZIHXHCQQXXCGAL-XHDPSFHLSA-N 0 1 299.334 0.386 20 30 CCEDMN N#CCNCC[C@@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001269770788 813502555 /nfs/dbraw/zinc/50/25/55/813502555.db2.gz SHSZXYDEWZFDPG-LBPRGKRZSA-N 0 1 290.371 0.479 20 30 CCEDMN N#CCNCC[C@@H]1CCCN(C(=O)CCc2cnn[nH]2)C1 ZINC001269770788 813502561 /nfs/dbraw/zinc/50/25/61/813502561.db2.gz SHSZXYDEWZFDPG-LBPRGKRZSA-N 0 1 290.371 0.479 20 30 CCEDMN C#CCCN1C[C@@H]2CCN(C(=O)c3cc(OC)no3)[C@@H]2C1 ZINC001075713624 813545906 /nfs/dbraw/zinc/54/59/06/813545906.db2.gz COGADQRLUKAGMJ-NWDGAFQWSA-N 0 1 289.335 0.853 20 30 CCEDMN C[C@H](CNCC#N)CNC(=O)[C@@H]1CCCCN1C ZINC001270485927 813780509 /nfs/dbraw/zinc/78/05/09/813780509.db2.gz HPVGSZXAYPXVEC-NEPJUHHUSA-N 0 1 252.362 0.336 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CC[C@](C)(CNCC#N)C1 ZINC001270698754 813896995 /nfs/dbraw/zinc/89/69/95/813896995.db2.gz WNIFNYWNVOLWCU-GXTWGEPZSA-N 0 1 264.373 0.432 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CC3(F)F)[C@@H]2C1 ZINC001075768856 813931870 /nfs/dbraw/zinc/93/18/70/813931870.db2.gz ZTPAPZDXZHYVTM-GARJFASQSA-N 0 1 254.280 0.808 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCc4n[nH]cc43)[C@@H]2C1 ZINC001075792105 814034746 /nfs/dbraw/zinc/03/47/46/814034746.db2.gz FSKTUDYDUWYJCA-HEHGZKQESA-N 0 1 298.390 0.996 20 30 CCEDMN Cn1nncc1C(=O)NCCNCc1ccccc1C#N ZINC001126524980 814076829 /nfs/dbraw/zinc/07/68/29/814076829.db2.gz YEYYPDVHMBDWII-UHFFFAOYSA-N 0 1 284.323 0.206 20 30 CCEDMN CC#CC(=O)N1CC[C@@H]2C[C@@]21C(=O)NCc1cnc[nH]1 ZINC001271095825 814150088 /nfs/dbraw/zinc/15/00/88/814150088.db2.gz IBTXLESLQDQASH-YGRLFVJLSA-N 0 1 272.308 0.040 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)[C@H]1CC ZINC001087721291 814196749 /nfs/dbraw/zinc/19/67/49/814196749.db2.gz JPRFYVWNXFTTIX-MELADBBJSA-N 0 1 291.395 0.648 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C(=O)N2CC[C@@H](C)C2)[C@H]1CC ZINC001087790048 814214101 /nfs/dbraw/zinc/21/41/01/814214101.db2.gz SEINENWJJCNIHG-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC2(C[C@H]2C(N)=O)C1 ZINC001271157647 814234171 /nfs/dbraw/zinc/23/41/71/814234171.db2.gz ONASIXWTCMATKF-KOLCDFICSA-N 0 1 289.360 0.764 20 30 CCEDMN C[C@@H](C(N)=O)N1CC[C@@H]2CN(C(=O)C#CC3CC3)C[C@@H]2C1 ZINC001087961932 814287020 /nfs/dbraw/zinc/28/70/20/814287020.db2.gz QDBIDZHFGRYVBO-YUTCNCBUSA-N 0 1 289.379 0.054 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2CCOC ZINC001029481094 814301873 /nfs/dbraw/zinc/30/18/73/814301873.db2.gz YTNKXGDJBXYION-BARDWOONSA-N 0 1 294.395 0.899 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3ccn(C)n3)[C@@H]2C1 ZINC001075847032 814448156 /nfs/dbraw/zinc/44/81/56/814448156.db2.gz HOTNVOVTERZKEG-DZGCQCFKSA-N 0 1 286.379 0.519 20 30 CCEDMN C=C1CC(C(=O)N2CCc3c(n[nH]c3C(=O)N(C)C)C2)C1 ZINC001271457524 814452590 /nfs/dbraw/zinc/45/25/90/814452590.db2.gz NIOUSKOLSLLFKY-UHFFFAOYSA-N 0 1 288.351 0.962 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)CCCC#N)C2 ZINC001271769776 814570598 /nfs/dbraw/zinc/57/05/98/814570598.db2.gz XZSNRCZSSMXNSQ-UHFFFAOYSA-N 0 1 275.312 0.648 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001271981646 814661683 /nfs/dbraw/zinc/66/16/83/814661683.db2.gz GXKCGHGZENIHQO-NHIYQJMISA-N 0 1 294.395 0.398 20 30 CCEDMN C#CC[NH2+][C@@H]1CCCCCN(C(=O)Cc2nnc[n-]2)C1 ZINC001088410609 814676311 /nfs/dbraw/zinc/67/63/11/814676311.db2.gz LZBKHSHSKLXVGX-GFCCVEGCSA-N 0 1 275.356 0.341 20 30 CCEDMN Cc1nc(N[C@H]2C[C@@H](NC(=O)c3ncn[nH]3)C2)ccc1C#N ZINC001059692380 814718830 /nfs/dbraw/zinc/71/88/30/814718830.db2.gz NZGGOQNXZCNBRY-PHIMTYICSA-N 0 1 297.322 0.753 20 30 CCEDMN Cc1nc(N[C@H]2C[C@@H](NC(=O)c3nc[nH]n3)C2)ccc1C#N ZINC001059692380 814718835 /nfs/dbraw/zinc/71/88/35/814718835.db2.gz NZGGOQNXZCNBRY-PHIMTYICSA-N 0 1 297.322 0.753 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC001038105229 814756250 /nfs/dbraw/zinc/75/62/50/814756250.db2.gz QPDZRIRXHRACPN-GHMZBOCLSA-N 0 1 251.330 0.032 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2COC(=O)C2)[C@H]1C ZINC001088523489 814851331 /nfs/dbraw/zinc/85/13/31/814851331.db2.gz HNWKOTMHUYDZLL-AGIUHOORSA-N 0 1 278.352 0.542 20 30 CCEDMN N#Cc1cncc(N[C@H]2C[C@@H](NC(=O)Cc3cnc[nH]3)C2)n1 ZINC001059847209 814894881 /nfs/dbraw/zinc/89/48/81/814894881.db2.gz CHVRQKNESSSVLA-AOOOYVTPSA-N 0 1 297.322 0.373 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2cccc(C)c2=O)[C@H]1C ZINC001088564715 814919025 /nfs/dbraw/zinc/91/90/25/814919025.db2.gz GZWPWQTTZYIAJP-KGLIPLIRSA-N 0 1 287.363 0.369 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCC#Cc1ccccc1 ZINC001127039209 815589350 /nfs/dbraw/zinc/58/93/50/815589350.db2.gz FWVSMIWXVMZYSF-HNNXBMFYSA-N 0 1 284.359 0.782 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cc(OC)ccn1 ZINC001127040026 815590414 /nfs/dbraw/zinc/59/04/14/815590414.db2.gz WWCNFNXAAMDVGJ-LBPRGKRZSA-N 0 1 291.351 0.334 20 30 CCEDMN C=CCC[NH+]1CC(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001030222124 815906629 /nfs/dbraw/zinc/90/66/29/815906629.db2.gz GWMHZIFDDNZQIP-UHFFFAOYSA-N 0 1 250.302 0.414 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC1CN(CC2CC(C)C2)C1 ZINC001030464474 816049592 /nfs/dbraw/zinc/04/95/92/816049592.db2.gz VTYYFJXBPDYWGN-XUJLQICISA-N 0 1 289.423 0.931 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001030483375 816058879 /nfs/dbraw/zinc/05/88/79/816058879.db2.gz HJXFABHQKLHFKS-UHFFFAOYSA-N 0 1 262.313 0.562 20 30 CCEDMN C[C@@H]1CCCN1CC(=O)N[C@H]1CCN(CC#N)[C@@H]1C ZINC001088857289 816084807 /nfs/dbraw/zinc/08/48/07/816084807.db2.gz JWOQVUDGYVQPNB-UPJWGTAASA-N 0 1 264.373 0.573 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001030543039 816098618 /nfs/dbraw/zinc/09/86/18/816098618.db2.gz VBQLDJFCCGJYNA-UHFFFAOYSA-N 0 1 275.352 0.766 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2c[nH]c(C)cc2=O)[C@H]1C ZINC001088956085 816192604 /nfs/dbraw/zinc/19/26/04/816192604.db2.gz YAAJEOFPPNHBPR-OCCSQVGLSA-N 0 1 287.363 0.899 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@H]2CCc3[nH]nnc3C2)CCN1CC#N ZINC001088978685 816199782 /nfs/dbraw/zinc/19/97/82/816199782.db2.gz YLKIDFSNCJRCED-VWYCJHECSA-N 0 1 288.355 0.012 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@H]2CCc3nn[nH]c3C2)CCN1CC#N ZINC001088978685 816199787 /nfs/dbraw/zinc/19/97/87/816199787.db2.gz YLKIDFSNCJRCED-VWYCJHECSA-N 0 1 288.355 0.012 20 30 CCEDMN C#CCN1CC(NC(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC001030697394 816231337 /nfs/dbraw/zinc/23/13/37/816231337.db2.gz RRTJHQVYBAAGDY-HZMBPMFUSA-N 0 1 272.352 0.509 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2cnco2)[C@@H](O)C1 ZINC001083681894 816324562 /nfs/dbraw/zinc/32/45/62/816324562.db2.gz ZAMBRMOZJNINJN-MNOVXSKESA-N 0 1 265.313 0.416 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001046125262 816390516 /nfs/dbraw/zinc/39/05/16/816390516.db2.gz PWOOPUSAZSXOMK-AWEZNQCLSA-N 0 1 274.324 0.400 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CCC(C)C)C2 ZINC001272449140 816438533 /nfs/dbraw/zinc/43/85/33/816438533.db2.gz SCZLWIVWOVZSOR-UHFFFAOYSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001046253151 816459941 /nfs/dbraw/zinc/45/99/41/816459941.db2.gz QTZFSBHFRQWJET-CQSZACIVSA-N 0 1 256.309 0.714 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(=O)C(C)C)C1=O ZINC001234081413 816478737 /nfs/dbraw/zinc/47/87/37/816478737.db2.gz DVKQJGWEVRQAEO-CYBMUJFWSA-N 0 1 281.400 0.867 20 30 CCEDMN N#Cc1ccc(CN2CC(NC(=O)Cc3cnc[nH]3)C2)cc1 ZINC001031017314 816566798 /nfs/dbraw/zinc/56/67/98/816566798.db2.gz XNIPFVUZBRMOMS-UHFFFAOYSA-N 0 1 295.346 0.824 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@@H]1c1cccc(F)c1 ZINC001122069947 816567922 /nfs/dbraw/zinc/56/79/22/816567922.db2.gz QMPYHUDFOWBMPX-CQSZACIVSA-N 0 1 276.311 0.948 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2c(C)nn(C)c2C)C1 ZINC001046523930 816614985 /nfs/dbraw/zinc/61/49/85/816614985.db2.gz JQWCIPFLLFKYFI-HNNXBMFYSA-N 0 1 274.368 0.864 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2c[nH]c(=O)n2C)C1 ZINC001046542005 816625336 /nfs/dbraw/zinc/62/53/36/816625336.db2.gz HSCGHZMTQJPIII-CQSZACIVSA-N 0 1 276.340 0.343 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2c[nH]c(=O)n2C)C1 ZINC001046542005 816625344 /nfs/dbraw/zinc/62/53/44/816625344.db2.gz HSCGHZMTQJPIII-CQSZACIVSA-N 0 1 276.340 0.343 20 30 CCEDMN C[C@H](CCNc1ccc(C#N)cn1)NC(=O)Cc1nnc[nH]1 ZINC001106435584 816770164 /nfs/dbraw/zinc/77/01/64/816770164.db2.gz SYKYQGLOIFLCIU-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN CCN(CCNc1ccc(C#N)nn1)C(=O)c1cnc(C)[nH]1 ZINC001106706203 816857362 /nfs/dbraw/zinc/85/73/62/816857362.db2.gz WQYLZTXVSVBISY-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccc(=O)[nH]n2)C1 ZINC001031531967 817111769 /nfs/dbraw/zinc/11/17/69/817111769.db2.gz INWQNQGCFNWRBG-UHFFFAOYSA-N 0 1 262.313 0.420 20 30 CCEDMN CCNCc1cn([C@H]2CCN(C(=O)[C@@H](C)C#N)C2)nn1 ZINC001089581273 817209238 /nfs/dbraw/zinc/20/92/38/817209238.db2.gz ZXKHWWQTLKYHPT-JQWIXIFHSA-N 0 1 276.344 0.321 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001031679058 817240526 /nfs/dbraw/zinc/24/05/26/817240526.db2.gz FXSWBZVMRUIZJW-ZYHUDNBSSA-N 0 1 265.357 0.135 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001038069271 817253374 /nfs/dbraw/zinc/25/33/74/817253374.db2.gz WQSMXDSYKZKGKI-GFCCVEGCSA-N 0 1 260.341 0.800 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001038069271 817253381 /nfs/dbraw/zinc/25/33/81/817253381.db2.gz WQSMXDSYKZKGKI-GFCCVEGCSA-N 0 1 260.341 0.800 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CN(CCCF)C[C@H]1OC ZINC001212262601 817276767 /nfs/dbraw/zinc/27/67/67/817276767.db2.gz UIOBAGDKVDYSFN-CHWSQXEVSA-N 0 1 286.347 0.201 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CC(=O)N(CC(C)C)C2)C1 ZINC001031712687 817277565 /nfs/dbraw/zinc/27/75/65/817277565.db2.gz HAIYFJVFOQIEOX-CQSZACIVSA-N 0 1 293.411 0.725 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn3ccncc23)C1 ZINC001031734604 817298957 /nfs/dbraw/zinc/29/89/57/817298957.db2.gz WYHYJTBWMANZHA-UHFFFAOYSA-N 0 1 283.335 0.414 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN(CCCF)C[C@@H]2O)c1 ZINC001083765153 817306433 /nfs/dbraw/zinc/30/64/33/817306433.db2.gz PYFFIJKJPKGUPH-KGLIPLIRSA-N 0 1 291.326 0.197 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN(CCC)C[C@@H]2O)c1 ZINC001083765451 817316829 /nfs/dbraw/zinc/31/68/29/817316829.db2.gz FGBJVPUSGSYIAV-KGLIPLIRSA-N 0 1 273.336 0.248 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@@H](C)OC ZINC001124273446 817372952 /nfs/dbraw/zinc/37/29/52/817372952.db2.gz NZXAPXUVNCWHFZ-MRVPVSSYSA-N 0 1 265.151 0.636 20 30 CCEDMN CCN(CCNc1ccc(C#N)cn1)C(=O)c1[nH]nnc1C ZINC001106919260 817438742 /nfs/dbraw/zinc/43/87/42/817438742.db2.gz CXTALAAWPIUXMB-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2c[nH]c(=O)cn2)C1 ZINC001031933552 817475993 /nfs/dbraw/zinc/47/59/93/817475993.db2.gz AXTDCJGHUKGJLF-UHFFFAOYSA-N 0 1 262.313 0.008 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001031984349 817520413 /nfs/dbraw/zinc/52/04/13/817520413.db2.gz IADGQYSLQYDGMD-UHFFFAOYSA-N 0 1 277.324 0.643 20 30 CCEDMN C=CCCC(=O)NCCN[C@@H](C)C(=O)Nc1ncccn1 ZINC001124635837 817530587 /nfs/dbraw/zinc/53/05/87/817530587.db2.gz AXDDNVDPDSHKQY-NSHDSACASA-N 0 1 291.355 0.476 20 30 CCEDMN N#CCN1CC(CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001032018023 817552511 /nfs/dbraw/zinc/55/25/11/817552511.db2.gz LZYLFOOKZVNOFI-UHFFFAOYSA-N 0 1 297.318 0.521 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCC[C@H](C(N)=O)C2)C1 ZINC001032020909 817555025 /nfs/dbraw/zinc/55/50/25/817555025.db2.gz YSWSFNHXDOCRBJ-STQMWFEESA-N 0 1 279.384 0.512 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(CCC)nn2)C1 ZINC001032080594 817610932 /nfs/dbraw/zinc/61/09/32/817610932.db2.gz GPUPPBABFOXEMG-UHFFFAOYSA-N 0 1 275.356 0.373 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn([C@@H]3CCOC3)nn2)C1 ZINC001032115211 817643075 /nfs/dbraw/zinc/64/30/75/817643075.db2.gz MEXWYLRZZWHTNB-GFCCVEGCSA-N 0 1 291.355 0.087 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2C[C@@]23CCOC3)C1 ZINC001032116361 817643604 /nfs/dbraw/zinc/64/36/04/817643604.db2.gz RSYZLWBBNFAQTD-GXTWGEPZSA-N 0 1 250.342 0.647 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001032123987 817651814 /nfs/dbraw/zinc/65/18/14/817651814.db2.gz OILHPHGDRSIBHA-GFCCVEGCSA-N 0 1 274.368 0.749 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnn3cc[nH]c23)C1 ZINC001032152996 817667432 /nfs/dbraw/zinc/66/74/32/817667432.db2.gz OIUWMUZFOYHICN-UHFFFAOYSA-N 0 1 273.340 0.900 20 30 CCEDMN C[C@H](CN(C)C(=O)c1ccn[nH]1)Nc1cncc(C#N)n1 ZINC001115656724 817688507 /nfs/dbraw/zinc/68/85/07/817688507.db2.gz PYBGSDUDFLGVQD-SECBINFHSA-N 0 1 285.311 0.644 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001032233466 817735512 /nfs/dbraw/zinc/73/55/12/817735512.db2.gz HRTFNTUBTLFDLU-UHFFFAOYSA-N 0 1 288.351 0.418 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)[nH]n1 ZINC001032299596 817812412 /nfs/dbraw/zinc/81/24/12/817812412.db2.gz JISWVMMHQQYIMH-RYUDHWBXSA-N 0 1 258.325 0.640 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCOC1 ZINC001032313426 817842425 /nfs/dbraw/zinc/84/24/25/817842425.db2.gz KWZSYMJVOLJPMY-IHRRRGAJSA-N 0 1 262.353 0.721 20 30 CCEDMN COC[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032336026 817899201 /nfs/dbraw/zinc/89/92/01/817899201.db2.gz DZJYUIMWELNCJK-DRZSPHRISA-N 0 1 288.351 0.820 20 30 CCEDMN Cc1cc(CC(=O)N[C@H](C)CNc2cncc(C#N)n2)[nH]n1 ZINC001107670822 817929935 /nfs/dbraw/zinc/92/99/35/817929935.db2.gz DACNTAKXCURUDE-SNVBAGLBSA-N 0 1 299.338 0.539 20 30 CCEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)CNC(C)=O)C1 ZINC001107696506 817950917 /nfs/dbraw/zinc/95/09/17/817950917.db2.gz NFJSXKOYUVNKRH-HNNXBMFYSA-N 0 1 297.399 0.296 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2cccnc2C)C1 ZINC001077673214 817978359 /nfs/dbraw/zinc/97/83/59/817978359.db2.gz VPGPLJIDCGDGNT-HUUCEWRRSA-N 0 1 287.363 0.117 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2ccn(C)c2CC)C1 ZINC001077678048 817980693 /nfs/dbraw/zinc/98/06/93/817980693.db2.gz OOQMPEXAOGPVEQ-UKRRQHHQSA-N 0 1 291.395 0.939 20 30 CCEDMN C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c(C)c1[O-] ZINC001032358000 817983910 /nfs/dbraw/zinc/98/39/10/817983910.db2.gz OMWMXFMHGPZRAN-QWRGUYRKSA-N 0 1 274.324 0.346 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c(C)c1[O-] ZINC001032358000 817983912 /nfs/dbraw/zinc/98/39/12/817983912.db2.gz OMWMXFMHGPZRAN-QWRGUYRKSA-N 0 1 274.324 0.346 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1cnn(C)c1 ZINC001032824209 818068059 /nfs/dbraw/zinc/06/80/59/818068059.db2.gz YCWBPNOQQFPEFX-QEJZJMRPSA-N 0 1 286.379 0.832 20 30 CCEDMN COCC#CC(=O)N1CC[C@H]2[C@@H]1CCN2Cc1ccc[nH]1 ZINC001272954609 818122859 /nfs/dbraw/zinc/12/28/59/818122859.db2.gz JGXJOZVJENCQTK-GJZGRUSLSA-N 0 1 287.363 0.840 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)on2)[C@H](O)C1 ZINC001090032772 818295989 /nfs/dbraw/zinc/29/59/89/818295989.db2.gz SRNWRKVAVWQNIY-CMPLNLGQSA-N 0 1 265.313 0.334 20 30 CCEDMN C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NCCC#N ZINC000427647084 818302160 /nfs/dbraw/zinc/30/21/60/818302160.db2.gz UHZIMBOHFJFBRM-VIFPVBQESA-N 0 1 285.307 0.711 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cn2cccn2)C1 ZINC001032911724 818351504 /nfs/dbraw/zinc/35/15/04/818351504.db2.gz QXLHHODKELYJQK-CYBMUJFWSA-N 0 1 260.341 0.439 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)c2cc(C)n[nH]2)C1 ZINC001032940609 818371806 /nfs/dbraw/zinc/37/18/06/818371806.db2.gz WNNSOQHNEVRCEJ-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C=C(C)CN1C[C@@]2(CCN(Cc3cnc[nH]3)C2)OCC1=O ZINC001273022477 818451885 /nfs/dbraw/zinc/45/18/85/818451885.db2.gz AJVPOZFQHQPCET-HNNXBMFYSA-N 0 1 290.367 0.789 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)CCCCN2Cc1cnc[nH]1 ZINC001273024195 818462496 /nfs/dbraw/zinc/46/24/96/818462496.db2.gz ORIWPRUNVVXIGO-OAHLLOKOSA-N 0 1 272.352 1.000 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2ncn(C)n2)C1 ZINC001033061498 818478361 /nfs/dbraw/zinc/47/83/61/818478361.db2.gz MUNVRBPLPJEFGX-LLVKDONJSA-N 0 1 263.345 0.538 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H]2CNC(=O)c2cnn[nH]2)cn1 ZINC001061482775 818524298 /nfs/dbraw/zinc/52/42/98/818524298.db2.gz BHJXLTBWFVFOOW-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001033142612 818569759 /nfs/dbraw/zinc/56/97/59/818569759.db2.gz SQXFKPMWYWGOOY-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCOCC[N@H+]1C[C@H]2COCC[C@]2(C(=O)[O-])C1 ZINC000715869493 818577986 /nfs/dbraw/zinc/57/79/86/818577986.db2.gz CMMHHVGLKTYMJJ-AAEUAGOBSA-N 0 1 255.314 0.612 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnsn2)[C@H](O)C1 ZINC001090106791 818592983 /nfs/dbraw/zinc/59/29/83/818592983.db2.gz DZWLKRABVAEMOI-GXSJLCMTSA-N 0 1 282.369 0.279 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cncs2)C1 ZINC001033168210 818595963 /nfs/dbraw/zinc/59/59/63/818595963.db2.gz OTEHBAWGUVCNGH-NSHDSACASA-N 0 1 263.366 0.852 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001033169386 818597945 /nfs/dbraw/zinc/59/79/45/818597945.db2.gz MQLGPJQTJFGEBB-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)NCCNCC#N ZINC001128553012 818630549 /nfs/dbraw/zinc/63/05/49/818630549.db2.gz UWDBFXFMCOPIEM-UHFFFAOYSA-N 0 1 266.370 0.653 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033193347 818638474 /nfs/dbraw/zinc/63/84/74/818638474.db2.gz SQFNZDPWEBYVMA-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033193347 818638478 /nfs/dbraw/zinc/63/84/78/818638478.db2.gz SQFNZDPWEBYVMA-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncs2)[C@@H](O)C1 ZINC001090116448 818642289 /nfs/dbraw/zinc/64/22/89/818642289.db2.gz ZNIGKMSSOFQKEZ-UWVGGRQHSA-N 0 1 267.354 0.494 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2c(C)nn(C)c2C)C1 ZINC001033307340 818701547 /nfs/dbraw/zinc/70/15/47/818701547.db2.gz XDHDGWDKIBIDHK-AWEZNQCLSA-N 0 1 288.395 0.745 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cscn2)C1 ZINC001033477550 818790390 /nfs/dbraw/zinc/79/03/90/818790390.db2.gz NTYLLMWDEZSRKL-LBPRGKRZSA-N 0 1 263.366 0.852 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2conc2COC)C1 ZINC001033581615 818837856 /nfs/dbraw/zinc/83/78/56/818837856.db2.gz ZYFHYLLYKKTKNI-LBPRGKRZSA-N 0 1 291.351 0.991 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001033630234 818860846 /nfs/dbraw/zinc/86/08/46/818860846.db2.gz VMQDSCMPAKELFW-CHWSQXEVSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(=O)n(C)n2)C1 ZINC001033636050 818861179 /nfs/dbraw/zinc/86/11/79/818861179.db2.gz SFZIBTITYSXOFE-NSHDSACASA-N 0 1 276.340 0.113 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(=O)n(C)n2)C1 ZINC001033636048 818862124 /nfs/dbraw/zinc/86/21/24/818862124.db2.gz SFZIBTITYSXOFE-LLVKDONJSA-N 0 1 276.340 0.113 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001033739644 818910363 /nfs/dbraw/zinc/91/03/63/818910363.db2.gz NVEHPDOVIHOZFQ-LLVKDONJSA-N 0 1 274.324 0.352 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cn[nH]c2)C1 ZINC001033762155 818919782 /nfs/dbraw/zinc/91/97/82/818919782.db2.gz WMGADTHDCYPBOP-CYBMUJFWSA-N 0 1 260.341 0.969 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033860073 818969658 /nfs/dbraw/zinc/96/96/58/818969658.db2.gz PGHKHKVBGUUHBU-ZNMIVQPWSA-N 0 1 294.395 0.736 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cncn2C)C1 ZINC001033888213 818981498 /nfs/dbraw/zinc/98/14/98/818981498.db2.gz RPGQDHXLCUJAHN-CYBMUJFWSA-N 0 1 274.368 0.980 20 30 CCEDMN CCN(C(=O)c1[nH]nnc1C)[C@H]1CCN(CC#N)C1 ZINC001033912352 818985927 /nfs/dbraw/zinc/98/59/27/818985927.db2.gz IKWQWXGLVQHLOS-JTQLQIEISA-N 0 1 262.317 0.173 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001033908250 818987312 /nfs/dbraw/zinc/98/73/12/818987312.db2.gz OKYMZGPJRWUUPC-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ocnc2C)[C@@H](O)C1 ZINC001090172943 819018363 /nfs/dbraw/zinc/01/83/63/819018363.db2.gz XYQHTNUVIYWSDP-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001034168781 819104658 /nfs/dbraw/zinc/10/46/58/819104658.db2.gz JTYRLYWHGAEKKP-STQMWFEESA-N 0 1 278.352 0.544 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC001034186035 819110224 /nfs/dbraw/zinc/11/02/24/819110224.db2.gz HYTCITHYDWLDQV-HNNXBMFYSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CCCC[C@@H](NC(=O)c2cn(C)nn2)C1 ZINC001034195181 819119695 /nfs/dbraw/zinc/11/96/95/819119695.db2.gz PUPVOXOUKYRLHU-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)ncn2)[C@@H](O)C1 ZINC001090199825 819135294 /nfs/dbraw/zinc/13/52/94/819135294.db2.gz KDNUFACXPQMRHQ-YPMHNXCESA-N 0 1 276.340 0.136 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001034259986 819140463 /nfs/dbraw/zinc/14/04/63/819140463.db2.gz ALCTVFUITZZBSR-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CC[N@H+]1CCCC[C@H](NC(=O)[C@H]2CCNC2=O)C1 ZINC001034340520 819172929 /nfs/dbraw/zinc/17/29/29/819172929.db2.gz PZQIRHJAUHBGEV-RYUDHWBXSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001034360773 819185159 /nfs/dbraw/zinc/18/51/59/819185159.db2.gz SXZKMAHHUPCYTA-LBPRGKRZSA-N 0 1 288.351 0.708 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2cnc3cccnn32)C1 ZINC001034429020 819197520 /nfs/dbraw/zinc/19/75/20/819197520.db2.gz TVEJTSNUCKWSJX-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnc3cccnn32)C1 ZINC001034429020 819197525 /nfs/dbraw/zinc/19/75/25/819197525.db2.gz TVEJTSNUCKWSJX-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN N#CCN1CCCC[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001034420195 819200586 /nfs/dbraw/zinc/20/05/86/819200586.db2.gz PPYHSTRZSYUUJB-NSHDSACASA-N 0 1 261.329 0.446 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)ccn2C)[C@H](O)C1 ZINC001090219573 819223669 /nfs/dbraw/zinc/22/36/69/819223669.db2.gz SWHYLAURWCVLMQ-UONOGXRCSA-N 0 1 289.379 0.522 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2nccn2CC)[C@@H](O)C1 ZINC001090222912 819243644 /nfs/dbraw/zinc/24/36/44/819243644.db2.gz XRXILCHZDVQZOJ-OLZOCXBDSA-N 0 1 290.367 0.091 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccncc2C)[C@H](O)C1 ZINC001090237568 819288054 /nfs/dbraw/zinc/28/80/54/819288054.db2.gz PGTFVFAASYMWPM-ZIAGYGMSSA-N 0 1 275.352 0.741 20 30 CCEDMN C#CCN1CCO[C@@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001035603784 819573842 /nfs/dbraw/zinc/57/38/42/819573842.db2.gz YEKSOXIZCKFZPJ-LBPRGKRZSA-N 0 1 299.334 0.022 20 30 CCEDMN C=CCN1CCO[C@H](CNC(=O)[C@H]2CCCCN2CC)C1 ZINC001035614770 819592078 /nfs/dbraw/zinc/59/20/78/819592078.db2.gz RYSFBGULUBGYAW-HUUCEWRRSA-N 0 1 295.427 0.864 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1CCNC1=O)CC2 ZINC001035765249 819620731 /nfs/dbraw/zinc/62/07/31/819620731.db2.gz UITVZNDEZRJEFG-ZDUSSCGKSA-N 0 1 289.379 0.070 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@]1(C)CCC(=O)N1)CC2 ZINC001035783524 819624857 /nfs/dbraw/zinc/62/48/57/819624857.db2.gz DGOYCNUCZYOXNS-HNNXBMFYSA-N 0 1 291.395 0.766 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CCN(C)C1=O)CC2 ZINC001035773710 819628142 /nfs/dbraw/zinc/62/81/42/819628142.db2.gz ZTGCRWHBZWRSHZ-ZDUSSCGKSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCO[C@@H]1C)CC2 ZINC001035825485 819631268 /nfs/dbraw/zinc/63/12/68/819631268.db2.gz LHOPEFRZIJJDKI-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CC1(O)CCN([C@@H](C)C(=O)NCCCC)CC1 ZINC000708160639 819761421 /nfs/dbraw/zinc/76/14/21/819761421.db2.gz ZFNUUIWTKKAYJC-LBPRGKRZSA-N 0 1 252.358 0.751 20 30 CCEDMN C=C1CCN(C(=O)[C@]2(COC)CNCCO2)CC1 ZINC000710845585 819848817 /nfs/dbraw/zinc/84/88/17/819848817.db2.gz UHMSSNVVLXSEKN-CYBMUJFWSA-N 0 1 254.330 0.170 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CN(C(=O)c3cnns3)CC[C@H]21 ZINC001036658090 819875381 /nfs/dbraw/zinc/87/53/81/819875381.db2.gz CSLDYWHBBPCWRG-WDEREUQCSA-N 0 1 276.365 0.708 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nn(C)cc2C)[C@@H](O)C1 ZINC001090261636 819979941 /nfs/dbraw/zinc/97/99/41/819979941.db2.gz OGKXHHIWFGAAPQ-OLZOCXBDSA-N 0 1 292.383 0.470 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(Cl)cnn2C)[C@@H](O)C1 ZINC001090270441 820026638 /nfs/dbraw/zinc/02/66/38/820026638.db2.gz XRKHKDBUDYRICH-MNOVXSKESA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn3c(n2)CCC3)[C@@H](O)C1 ZINC001090275556 820052681 /nfs/dbraw/zinc/05/26/81/820052681.db2.gz ZVENEOIKLGYFDG-AAEUAGOBSA-N 0 1 290.367 0.180 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2cn(CCC)nn2)[C@@H](O)C1 ZINC001083898551 820204762 /nfs/dbraw/zinc/20/47/62/820204762.db2.gz YFEQPHNWIJCWHE-YPMHNXCESA-N 0 1 293.371 0.039 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cn(CCC)nn2)[C@@H](O)C1 ZINC001083898551 820204767 /nfs/dbraw/zinc/20/47/67/820204767.db2.gz YFEQPHNWIJCWHE-YPMHNXCESA-N 0 1 293.371 0.039 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nn(C)cc2Cl)[C@H](O)C1 ZINC001090291893 820216591 /nfs/dbraw/zinc/21/65/91/820216591.db2.gz LCPSHBPHCDQDJO-GHMZBOCLSA-N 0 1 298.774 0.425 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H]1CNc1ncnc2[nH]cnc21 ZINC001064875621 820263668 /nfs/dbraw/zinc/26/36/68/820263668.db2.gz RIXSLWNMPKUYII-ZJUUUORDSA-N 0 1 299.338 0.867 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C2CC2)C2CC2)[C@@H](O)C1 ZINC001083934581 820305381 /nfs/dbraw/zinc/30/53/81/820305381.db2.gz YQWIBXZJLLYVOH-KGLIPLIRSA-N 0 1 276.380 0.607 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCOC2)C1 ZINC001079512654 820418031 /nfs/dbraw/zinc/41/80/31/820418031.db2.gz WUDQTXLVNLGDID-FRRDWIJNSA-N 0 1 250.342 0.483 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001079573591 820427524 /nfs/dbraw/zinc/42/75/24/820427524.db2.gz HSOOVOQBRDCHRT-FRRDWIJNSA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCC(CC#C)C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001118384638 820447779 /nfs/dbraw/zinc/44/77/79/820447779.db2.gz XDEFOEZEJIKFIV-LBPRGKRZSA-N 0 1 286.335 0.935 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001079946260 820491409 /nfs/dbraw/zinc/49/14/09/820491409.db2.gz JSRZOHIZBSCGCU-LALPHHSUSA-N 0 1 279.384 0.525 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCSCC)[C@@H](O)C1 ZINC001099706873 820498617 /nfs/dbraw/zinc/49/86/17/820498617.db2.gz JCEPXDKWXMRCBF-STQMWFEESA-N 0 1 284.425 0.704 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001080149743 820518903 /nfs/dbraw/zinc/51/89/03/820518903.db2.gz YMXJTAHVQWBAFO-DGCLKSJQSA-N 0 1 273.336 0.399 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001080518281 820586761 /nfs/dbraw/zinc/58/67/61/820586761.db2.gz MBAMEAUYURENAG-DGCLKSJQSA-N 0 1 260.341 0.412 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001080769669 820635273 /nfs/dbraw/zinc/63/52/73/820635273.db2.gz CYLWRWCORVAVNU-HZSPNIEDSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001080804940 820639877 /nfs/dbraw/zinc/63/98/77/820639877.db2.gz RNPXYBBVAXXCRO-DGCLKSJQSA-N 0 1 273.336 0.069 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C#C)nc2)C1 ZINC001080810363 820640534 /nfs/dbraw/zinc/64/05/34/820640534.db2.gz HZKGGYFURMSRNI-IUODEOHRSA-N 0 1 267.332 0.746 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CCCOC2)[C@H](OC)C1 ZINC001081435497 820750952 /nfs/dbraw/zinc/75/09/52/820750952.db2.gz VHXAWGDZSWFUDU-BFHYXJOUSA-N 0 1 280.368 0.252 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H]2CCCO2)[C@H](OC)C1 ZINC001081398300 820756226 /nfs/dbraw/zinc/75/62/26/820756226.db2.gz GXSNAUVRXNGFTM-MGPQQGTHSA-N 0 1 282.384 0.947 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)n(C)n2)[C@H](OC)C1 ZINC001081415368 820760046 /nfs/dbraw/zinc/76/00/46/820760046.db2.gz UOWJCIGUDAFEIH-ZIAGYGMSSA-N 0 1 290.367 0.181 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ncccc2C)[C@H](OC)C1 ZINC001081497745 820778471 /nfs/dbraw/zinc/77/84/71/820778471.db2.gz BGEIZVVPOVMTFI-ZIAGYGMSSA-N 0 1 287.363 0.842 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CCCCO2)[C@H](OC)C1 ZINC001081543150 820794627 /nfs/dbraw/zinc/79/46/27/820794627.db2.gz JYCHSFQXRCIFPP-HZSPNIEDSA-N 0 1 282.384 0.947 20 30 CCEDMN CO[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1ccc2cncn2c1 ZINC001081948265 820870623 /nfs/dbraw/zinc/87/06/23/820870623.db2.gz QLOARKIYRUDXOZ-ZIAGYGMSSA-N 0 1 299.334 0.287 20 30 CCEDMN C#CCC[NH+]1C[C@@H](NC(=O)C[N@@H+]2CCC[C@H]2C)[C@H](OC)C1 ZINC001082133551 820906229 /nfs/dbraw/zinc/90/62/29/820906229.db2.gz GSFZDGSEOWCELG-RBSFLKMASA-N 0 1 293.411 0.309 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2nc(C)c[nH]2)[C@H](OC)C1 ZINC001082217647 820918477 /nfs/dbraw/zinc/91/84/77/820918477.db2.gz FLZXGJRPNYZSJC-VXGBXAGGSA-N 0 1 278.356 0.723 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CN(CC)C[C@H]2OC)nn1 ZINC001082218767 820919604 /nfs/dbraw/zinc/91/96/04/820919604.db2.gz BYHUYGRPVZKGGT-DGCLKSJQSA-N 0 1 293.371 0.303 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H]2CCCCN2C)C[C@H]1NCC#N ZINC001082595660 821004335 /nfs/dbraw/zinc/00/43/35/821004335.db2.gz XHBWRFNITIPQDV-JHJVBQTASA-N 0 1 264.373 0.431 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCC(=O)NC2)C[C@H]1C ZINC001082610819 821005890 /nfs/dbraw/zinc/00/58/90/821005890.db2.gz CXBWVNRAIYEHOP-YUSALJHKSA-N 0 1 299.802 0.702 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@]2(C)CNC(=O)C2)C[C@H]1C ZINC001082659385 821013762 /nfs/dbraw/zinc/01/37/62/821013762.db2.gz IROGBCVVQQZRCR-GLXFQSAKSA-N 0 1 299.802 0.702 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2n[nH]c3c2CCC3)[C@H](O)C1 ZINC001090388381 821062112 /nfs/dbraw/zinc/06/21/12/821062112.db2.gz PMYFRBFDRYNYJR-QWHCGFSZSA-N 0 1 290.367 0.249 20 30 CCEDMN C=CCC[N@H+]1C[C@H]2OCCN(C(=O)[C@H]3CCCO3)[C@H]2C1 ZINC001082992316 821094939 /nfs/dbraw/zinc/09/49/39/821094939.db2.gz VXYJYLZLIZTKSA-BFHYXJOUSA-N 0 1 280.368 0.653 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@H]3CCCO3)[C@H]2C1 ZINC001082992316 821094948 /nfs/dbraw/zinc/09/49/48/821094948.db2.gz VXYJYLZLIZTKSA-BFHYXJOUSA-N 0 1 280.368 0.653 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3ccc(C#N)[nH]3)[C@H]2C1 ZINC001083054565 821129636 /nfs/dbraw/zinc/12/96/36/821129636.db2.gz OBZWQRWZPKVODK-LSDHHAIUSA-N 0 1 298.346 0.435 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H](OC)C3CC3)[C@H]2C1 ZINC001083067870 821135636 /nfs/dbraw/zinc/13/56/36/821135636.db2.gz HSSNEDPZWXXLMS-RRFJBIMHSA-N 0 1 292.379 0.346 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccc(C)nc2)[C@@H](O)C1 ZINC001084061948 821190830 /nfs/dbraw/zinc/19/08/30/821190830.db2.gz ZUVUURCIDZGXFY-CABCVRRESA-N 0 1 287.363 0.117 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](C)n3cncn3)[C@@H]2C1 ZINC001084291228 821252476 /nfs/dbraw/zinc/25/24/76/821252476.db2.gz CHTHGGVPMFKDIR-YNEHKIRRSA-N 0 1 275.356 0.558 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001084346012 821275634 /nfs/dbraw/zinc/27/56/34/821275634.db2.gz XUKFKPNABDGMEH-LALPHHSUSA-N 0 1 299.378 0.886 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cn(C)ccc3=O)[C@@H]2C1 ZINC001084508667 821304134 /nfs/dbraw/zinc/30/41/34/821304134.db2.gz VMJZOFHZWUEYSY-UKRRQHHQSA-N 0 1 299.374 0.555 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)CCc3c[nH]nn3)[C@@H]2C1 ZINC001084670895 821347073 /nfs/dbraw/zinc/34/70/73/821347073.db2.gz PCDDNGQCEKZCTN-TZMCWYRMSA-N 0 1 287.367 0.293 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]2CN(C(=O)CCc3c[nH]nn3)[C@@H]2C1 ZINC001084670895 821347082 /nfs/dbraw/zinc/34/70/82/821347082.db2.gz PCDDNGQCEKZCTN-TZMCWYRMSA-N 0 1 287.367 0.293 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CCN(CCOC)C[C@H]21 ZINC001084672597 821347284 /nfs/dbraw/zinc/34/72/84/821347284.db2.gz CFTGOPQCDNPQTH-TUVASFSCSA-N 0 1 294.395 0.757 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001099820887 821368041 /nfs/dbraw/zinc/36/80/41/821368041.db2.gz MIIQKFUXRPDNGF-KGLIPLIRSA-N 0 1 264.369 0.773 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCc4[nH]cnc4C3)[C@@H]2C1 ZINC001084762945 821379824 /nfs/dbraw/zinc/37/98/24/821379824.db2.gz UCVJTJRJNAVHNR-LALPHHSUSA-N 0 1 299.378 0.571 20 30 CCEDMN CC(C)=CCN1CC[C@@H](NC(=O)C#CC2CC2)[C@H](O)C1 ZINC001099825806 821382176 /nfs/dbraw/zinc/38/21/76/821382176.db2.gz AQERGLIMAGNNGH-HUUCEWRRSA-N 0 1 276.380 0.917 20 30 CCEDMN C=C1O[C@H](CC)C(=O)C1Oc1ncc(C(N)=O)cn1 ZINC001230016228 821400386 /nfs/dbraw/zinc/40/03/86/821400386.db2.gz WAINWWWJAKPRBH-MRVPVSSYSA-N 0 1 263.253 0.564 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)COCC ZINC001230691910 821439620 /nfs/dbraw/zinc/43/96/20/821439620.db2.gz OWZRJFKKIJRUHQ-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3OCCO[C@H]3C)C[C@@H]21 ZINC001084961405 821442036 /nfs/dbraw/zinc/44/20/36/821442036.db2.gz FPGBKRJFARWPTH-XGUBFFRZSA-N 0 1 292.379 0.346 20 30 CCEDMN CC(=O)c1cc(C(=O)NCC#CCN(C)C)n(C)c1 ZINC000823675040 821529759 /nfs/dbraw/zinc/52/97/59/821529759.db2.gz WMMYDCVLYYZCJP-UHFFFAOYSA-N 0 1 261.325 0.523 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2n[nH]cc2F)C1 ZINC001108196125 821565215 /nfs/dbraw/zinc/56/52/15/821565215.db2.gz SSAILMKSSFWAQS-AWEZNQCLSA-N 0 1 296.346 0.946 20 30 CCEDMN CN(CCNC(=O)[C@H]1CCCN1C)c1ncccc1C#N ZINC001099965995 821663749 /nfs/dbraw/zinc/66/37/49/821663749.db2.gz HGVICJSZZNTJCH-CYBMUJFWSA-N 0 1 287.367 0.600 20 30 CCEDMN N#CCN[C@@H]1CCCC[C@H]1NC(=O)Cc1cnc[nH]1 ZINC001085345868 821664835 /nfs/dbraw/zinc/66/48/35/821664835.db2.gz UTIGDLSAMBYOTB-VXGBXAGGSA-N 0 1 261.329 0.493 20 30 CCEDMN C[C@@H](NC(=O)C1(C#N)CCCC1)[C@H]1CN(C)CCN1C ZINC000826684475 821669295 /nfs/dbraw/zinc/66/92/95/821669295.db2.gz KBCGEPHWWQYNMC-CHWSQXEVSA-N 0 1 278.400 0.821 20 30 CCEDMN CN1C[C@H]2CC[C@@H](C1)N2C(=O)CNc1ccc(C#N)cn1 ZINC000826785071 821671047 /nfs/dbraw/zinc/67/10/47/821671047.db2.gz CIQYQEQMGWADPZ-BETUJISGSA-N 0 1 285.351 0.670 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCNC(=O)C1 ZINC001085544464 821780291 /nfs/dbraw/zinc/78/02/91/821780291.db2.gz QYOFDRDUNDGYNW-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCC1(C(=O)N[C@H]2CCN(CCO)C[C@@H]2O)CCC1 ZINC001099988492 821869788 /nfs/dbraw/zinc/86/97/88/821869788.db2.gz IXYLIXMRKHXJLU-STQMWFEESA-N 0 1 282.384 0.277 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1COCCN1CC ZINC001085681807 821893242 /nfs/dbraw/zinc/89/32/42/821893242.db2.gz HEWUKVBIWHUHOU-CABCVRRESA-N 0 1 295.427 0.816 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1COCCN1CC ZINC001085681809 821895077 /nfs/dbraw/zinc/89/50/77/821895077.db2.gz HEWUKVBIWHUHOU-HUUCEWRRSA-N 0 1 295.427 0.816 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001085822752 821970881 /nfs/dbraw/zinc/97/08/81/821970881.db2.gz QBBJROOQCPKXSG-HZSPNIEDSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001085823029 821971368 /nfs/dbraw/zinc/97/13/68/821971368.db2.gz XVJDVFJSSBGHOA-RDBSUJKOSA-N 0 1 291.395 0.444 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1ccc(=O)n(C)n1 ZINC001085959826 822038874 /nfs/dbraw/zinc/03/88/74/822038874.db2.gz NBMJGHJCBPJHIF-GFCCVEGCSA-N 0 1 290.367 0.503 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)c2ccn3cncc3c2)C1 ZINC001086138484 822125913 /nfs/dbraw/zinc/12/59/13/822125913.db2.gz LAOPSATYIOIQQC-BJHJDKERSA-N 0 1 283.335 0.956 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ncccn2)[C@H](O)C1 ZINC001100041235 822147025 /nfs/dbraw/zinc/14/70/25/822147025.db2.gz GIYQJQDXGABQJO-QWHCGFSZSA-N 0 1 290.367 0.147 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(OC)nc2)[C@@H](O)C1 ZINC001090412675 822276271 /nfs/dbraw/zinc/27/62/71/822276271.db2.gz HVFIYTWHZHCQEN-STQMWFEESA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2nc(C)cc2C)[C@@H](O)C1 ZINC001090413865 822295854 /nfs/dbraw/zinc/29/58/54/822295854.db2.gz PMQXFVYRBLQPJF-KBPBESRZSA-N 0 1 292.383 0.237 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nc1)NC(=O)[C@H]1CCCN1C ZINC001108311650 822353899 /nfs/dbraw/zinc/35/38/99/822353899.db2.gz KVORPKQRTHHTKM-SMDDNHRTSA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@@H](CNc1nccnc1C#N)NC(=O)[C@H]1CCCN1C ZINC001108311929 822354894 /nfs/dbraw/zinc/35/48/94/822354894.db2.gz VEWHMNXLLKARDI-CMPLNLGQSA-N 0 1 288.355 0.359 20 30 CCEDMN Cc1ncoc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C#N ZINC001114066438 837420467 /nfs/dbraw/zinc/42/04/67/837420467.db2.gz CIQUTGKJNIYRNG-GOONRYMUSA-N 0 1 274.324 0.689 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc2n[nH]nc2c1 ZINC001129573397 837531264 /nfs/dbraw/zinc/53/12/64/837531264.db2.gz DCTMALVMQLYPRP-UHFFFAOYSA-N 0 1 280.719 0.425 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C(C)=C\CC)C[C@@H]1n1ccnn1 ZINC001129647774 837541909 /nfs/dbraw/zinc/54/19/09/837541909.db2.gz VMTHYFGZFAIJKF-QQKWEAIHSA-N 0 1 287.367 0.609 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn(C)c(C)cc1=O ZINC001129982871 837602822 /nfs/dbraw/zinc/60/28/22/837602822.db2.gz TXLADPUOXCFAII-UHFFFAOYSA-N 0 1 283.759 0.766 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)[C@H](C)OC)C1 ZINC001130352737 837775428 /nfs/dbraw/zinc/77/54/28/837775428.db2.gz YCQSZGAVPYWVOZ-NWDGAFQWSA-N 0 1 290.367 0.483 20 30 CCEDMN C[C@@H]([NH2+]Cc1cc(=O)n2[n-]cc(C#N)c2n1)c1cn[nH]c1 ZINC001169065258 836121502 /nfs/dbraw/zinc/12/15/02/836121502.db2.gz NBOJWXHJFJRDDL-MRVPVSSYSA-N 0 1 283.295 0.880 20 30 CCEDMN C=CC(=NCOC)NCc1ccc(N2CCOCC2)nn1 ZINC001169740573 836430721 /nfs/dbraw/zinc/43/07/21/836430721.db2.gz YASJFWJVWNQDGG-UHFFFAOYSA-N 0 1 291.355 0.591 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nc1)NC(=O)c1cnn[nH]1 ZINC001108783397 836526600 /nfs/dbraw/zinc/52/66/00/836526600.db2.gz NPOREONVLFGDLG-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2nc[nH]n2)CC[C@H]1CNCC#N ZINC001184161927 844174096 /nfs/dbraw/zinc/17/40/96/844174096.db2.gz OSQMVTDEZFIBDJ-NEPJUHHUSA-N 0 1 290.371 0.335 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOCCO ZINC001109246122 836644928 /nfs/dbraw/zinc/64/49/28/836644928.db2.gz PNCPLEGSYPIALQ-ILXRZTDVSA-N 0 1 294.395 0.376 20 30 CCEDMN C[C@H](CN(C)c1nccnc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001109255610 836647556 /nfs/dbraw/zinc/64/75/56/836647556.db2.gz UVZXTRDRRZSTSM-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001109605623 836708108 /nfs/dbraw/zinc/70/81/08/836708108.db2.gz KGFLBLNINKUNEH-WOPDTQHZSA-N 0 1 252.358 0.912 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCCc1ccccc1 ZINC001115345410 836713378 /nfs/dbraw/zinc/71/33/78/836713378.db2.gz DZFBLMCLTOLWTH-VQFNDLOPSA-N 0 1 298.386 0.925 20 30 CCEDMN N#Cc1nccnc1NC[C@@H](NC(=O)c1cnn[nH]1)C1CC1 ZINC001110012854 836763413 /nfs/dbraw/zinc/76/34/13/836763413.db2.gz OOSDGJFRZMDZBS-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(OCC)no2)[C@H](O)C1 ZINC001090436264 836777438 /nfs/dbraw/zinc/77/74/38/836777438.db2.gz PAKQBIALOASMPG-GHMZBOCLSA-N 0 1 295.339 0.424 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCN(CCCC=C)CC1 ZINC001112779385 836909392 /nfs/dbraw/zinc/90/93/92/836909392.db2.gz XRKDWEHFEHWCJT-UHFFFAOYSA-N 0 1 277.368 0.236 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(C[C@@H]2CCCOC2)CC1 ZINC001112846060 836938981 /nfs/dbraw/zinc/93/89/81/836938981.db2.gz DQDOXFKTXVXJRC-GJZGRUSLSA-N 0 1 294.395 0.596 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C[C@H](C)NC(N)=O)CC1 ZINC001112853522 836942604 /nfs/dbraw/zinc/94/26/04/836942604.db2.gz BXOZBFJEARPJPI-LBPRGKRZSA-N 0 1 282.388 0.544 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)C(C)(C)C(N)=O)CC1 ZINC001112985838 836987176 /nfs/dbraw/zinc/98/71/76/836987176.db2.gz PPCVJQIUSKAFBB-LBPRGKRZSA-N 0 1 281.400 0.997 20 30 CCEDMN C=CCCCN1CCN(C(=O)C[C@H]2CCN(C)C2=O)CC1 ZINC001112994612 836989562 /nfs/dbraw/zinc/98/95/62/836989562.db2.gz CODRNQIOFZSOGQ-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCCCN1CCN(C(=O)C[C@H]2CCC(=O)N2)CC1 ZINC001113422112 837112627 /nfs/dbraw/zinc/11/26/27/837112627.db2.gz JYWXINLHPPDLKS-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCN(C(C)=O)C2)CC1 ZINC001113588328 837169765 /nfs/dbraw/zinc/16/97/65/837169765.db2.gz IAYSHKGZJPOGSC-OAHLLOKOSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCCN1CCN(C(=O)CNC(=O)C2CCC2)CC1 ZINC001113682817 837194971 /nfs/dbraw/zinc/19/49/71/837194971.db2.gz SUVBINCAKBCXEI-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(F)F ZINC001113768963 837226749 /nfs/dbraw/zinc/22/67/49/837226749.db2.gz CWJDBNHJMQDQNB-URLYPYJESA-N 0 1 272.295 0.338 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C=C(C)C ZINC001113813975 837251802 /nfs/dbraw/zinc/25/18/02/837251802.db2.gz XJNBFHDFYAUYSN-CXTNEJHOSA-N 0 1 291.395 0.690 20 30 CCEDMN CCOC(=O)[C@@H](C#N)Nc1nc2[nH]cnc2c(OC)n1 ZINC001170367851 837313758 /nfs/dbraw/zinc/31/37/58/837313758.db2.gz JJUVRZTYMWYJLU-ZCFIWIBFSA-N 0 1 276.256 0.229 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnco2)[C@H](O)C1 ZINC001090468811 837322331 /nfs/dbraw/zinc/32/23/31/837322331.db2.gz ZDEIUOOFNTUFFW-GHMZBOCLSA-N 0 1 265.313 0.416 20 30 CCEDMN CCOC(=O)C(C#N)Nc1nccc(C#N)c1[N+](=O)[O-] ZINC001170374618 837327086 /nfs/dbraw/zinc/32/70/86/837327086.db2.gz XZAMGQHGSSZYCW-MRVPVSSYSA-N 0 1 275.224 0.729 20 30 CCEDMN CC#CCN1CCOC[C@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001130826386 837935461 /nfs/dbraw/zinc/93/54/61/837935461.db2.gz YBQYXEAXZLWQLR-NSHDSACASA-N 0 1 291.355 0.228 20 30 CCEDMN CC#CCN1CCOC[C@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001130826386 837935469 /nfs/dbraw/zinc/93/54/69/837935469.db2.gz YBQYXEAXZLWQLR-NSHDSACASA-N 0 1 291.355 0.228 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnn2c1OCCC2 ZINC001130834933 837937172 /nfs/dbraw/zinc/93/71/72/837937172.db2.gz HTSYBGVLTFUEDL-UHFFFAOYSA-N 0 1 284.747 0.738 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCC(=O)NCC)CC[C@@H]1C ZINC001131895437 838266025 /nfs/dbraw/zinc/26/60/25/838266025.db2.gz IZSUZWQQDCPSFD-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CC[NH2+]C[C@H]1CCN(C(=O)c2[nH]nnc2C)C[C@@H]1C ZINC001184914527 844311212 /nfs/dbraw/zinc/31/12/12/844311212.db2.gz WBECNNKWTNJFSG-WCQYABFASA-N 0 1 289.383 0.824 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2ccnn2C)CC[C@H]1C ZINC001132072162 838311595 /nfs/dbraw/zinc/31/15/95/838311595.db2.gz JBOPWJHWKROKBC-KGLIPLIRSA-N 0 1 288.395 0.955 20 30 CCEDMN C[C@H]1CC[C@H](NC(=O)CN(C)C2CCC2)CN1CC#N ZINC001132105806 838320396 /nfs/dbraw/zinc/32/03/96/838320396.db2.gz NBJXYZHGMJUKLB-STQMWFEESA-N 0 1 278.400 0.963 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCOCCOC)CC[C@@H]1C ZINC001132528556 838424734 /nfs/dbraw/zinc/42/47/34/838424734.db2.gz DCVBRZZLXOGBPQ-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](C)[C@@H](CNCC#N)C2)n[nH]1 ZINC001132679123 838468619 /nfs/dbraw/zinc/46/86/19/838468619.db2.gz ZOEJMOBGRKLHQG-JQWIXIFHSA-N 0 1 275.356 0.930 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@]1(C)CCC(=O)N1 ZINC001133467650 838642873 /nfs/dbraw/zinc/64/28/73/838642873.db2.gz LNKPFEFXAHCFQA-NSHDSACASA-N 0 1 259.737 0.113 20 30 CCEDMN COC[C@@H](OC)C(=O)NCCNCc1ccccc1C#N ZINC001133516425 838659968 /nfs/dbraw/zinc/65/99/68/838659968.db2.gz APLVZFJCKFZSLZ-CQSZACIVSA-N 0 1 291.351 0.425 20 30 CCEDMN CSc1ncc(O)c(C(=O)N2C[C@@H](F)C[C@H]2C#N)n1 ZINC001185177039 844355328 /nfs/dbraw/zinc/35/53/28/844355328.db2.gz QDUPQTVRQVWZLX-BQBZGAKWSA-N 0 1 282.300 0.980 20 30 CCEDMN C[C@H](C[C@H](C)NCc1cnn(C)n1)NC(=O)C#CC1CC1 ZINC001134321117 838947290 /nfs/dbraw/zinc/94/72/90/838947290.db2.gz DNONXMPIPVXBEK-NWDGAFQWSA-N 0 1 289.383 0.601 20 30 CCEDMN C#CCCC1(CCNC(=O)[C@H]2CN(C)CCN2C)N=N1 ZINC001185269526 844391725 /nfs/dbraw/zinc/39/17/25/844391725.db2.gz OZEITCSZOQPOGO-GFCCVEGCSA-N 0 1 277.372 0.314 20 30 CCEDMN C=CCCc1ccc(C(=O)NCc2nn[nH]n2)c(=O)[nH]1 ZINC001185362523 844402497 /nfs/dbraw/zinc/40/24/97/844402497.db2.gz FRHFMYIRJGRHPZ-UHFFFAOYSA-N 0 1 274.284 0.349 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)C1=NC(=O)N(C)C1)NCC#N ZINC001135386719 839239180 /nfs/dbraw/zinc/23/91/80/839239180.db2.gz JCSAMDOFXPXSJV-RKDXNWHRSA-N 0 1 265.317 0.136 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2CCC(=O)N2)CC1 ZINC001159581345 839394080 /nfs/dbraw/zinc/39/40/80/839394080.db2.gz MZBKPGUSBNULFC-CYBMUJFWSA-N 0 1 275.352 0.037 20 30 CCEDMN CC[C@](N)(CO)Nc1ncc(Br)nc1C#N ZINC001170865256 839460249 /nfs/dbraw/zinc/46/02/49/839460249.db2.gz LIIQHVUTTOGKBX-SECBINFHSA-N 0 1 286.133 0.580 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2occc2C)[C@H](O)C1 ZINC001090547344 839629303 /nfs/dbraw/zinc/62/93/03/839629303.db2.gz ARAKSMVHPJKZEA-QWHCGFSZSA-N 0 1 278.352 0.868 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccnc2OC)[C@H](O)C1 ZINC001090543808 839631410 /nfs/dbraw/zinc/63/14/10/839631410.db2.gz OUKOYROHYICAQT-QWHCGFSZSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ocnc2C2CC2)[C@@H](O)C1 ZINC001090571620 839651029 /nfs/dbraw/zinc/65/10/29/839651029.db2.gz YOIDTRJPSXXOLN-RYUDHWBXSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C3CC3)no2)[C@@H](O)C1 ZINC001090610909 839672410 /nfs/dbraw/zinc/67/24/10/839672410.db2.gz WQPKRUWVDCHLFV-AAEUAGOBSA-N 0 1 291.351 0.903 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccn(CC)n2)[C@@H](O)C1 ZINC001090620594 839685076 /nfs/dbraw/zinc/68/50/76/839685076.db2.gz MBGIWQURQJVSQG-JSGCOSHPSA-N 0 1 292.383 0.644 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(C3CC3)n2)[C@@H](O)C1 ZINC001090630113 839687252 /nfs/dbraw/zinc/68/72/52/839687252.db2.gz KTXPJUOCGVMOGZ-AAEUAGOBSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncccc2F)[C@@H](O)C1 ZINC001090677017 839730388 /nfs/dbraw/zinc/73/03/88/839730388.db2.gz XISOOMWHNDBQRU-RYUDHWBXSA-N 0 1 279.315 0.572 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2[nH]cnc2CC)[C@H](O)C1 ZINC001090769038 839794227 /nfs/dbraw/zinc/79/42/27/839794227.db2.gz ZOUZVVKCWBWSOJ-NWDGAFQWSA-N 0 1 278.356 0.323 20 30 CCEDMN C=CCOC(=O)N1CCN(Cc2cnc[nH]2)CC1 ZINC001136872936 839794483 /nfs/dbraw/zinc/79/44/83/839794483.db2.gz AZJHCJZPYRXTKH-UHFFFAOYSA-N 0 1 250.302 0.850 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)onc2CC)[C@@H](O)C1 ZINC001090799132 839819757 /nfs/dbraw/zinc/81/97/57/839819757.db2.gz SLCKSTPSSQGOOQ-STQMWFEESA-N 0 1 293.367 0.896 20 30 CCEDMN N#C[C@H]1CN(Cc2c(O)cc(O)cc2O)CCC1=O ZINC001144514900 840074839 /nfs/dbraw/zinc/07/48/39/840074839.db2.gz WYAHSTWARZYXAL-QMMMGPOBSA-N 0 1 262.265 0.718 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H](C)COC)C1 ZINC001149384710 840128571 /nfs/dbraw/zinc/12/85/71/840128571.db2.gz WKVSJGITWKZTID-CHWSQXEVSA-N 0 1 270.373 0.662 20 30 CCEDMN COc1ccc([C@H](O)C(=O)Nc2nc[nH]c2C#N)cc1 ZINC001144771362 840134345 /nfs/dbraw/zinc/13/43/45/840134345.db2.gz AXVMCERECQYSRA-NSHDSACASA-N 0 1 272.264 0.962 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(CN(C(=O)C(C)C)C2)C1 ZINC001147005881 840456916 /nfs/dbraw/zinc/45/69/16/840456916.db2.gz MVSJFBGEKGSESH-CYBMUJFWSA-N 0 1 293.411 0.867 20 30 CCEDMN COCC#CC[N@H+]1CCC2(CN(C(=O)c3ccn[nH]3)C2)C1 ZINC001147031380 840473560 /nfs/dbraw/zinc/47/35/60/840473560.db2.gz QMENCHOWCYQFJT-UHFFFAOYSA-N 0 1 288.351 0.207 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)c3cc[nH]n3)C2)C1 ZINC001147031380 840473581 /nfs/dbraw/zinc/47/35/81/840473581.db2.gz QMENCHOWCYQFJT-UHFFFAOYSA-N 0 1 288.351 0.207 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)c3ccn[nH]3)C2)C1 ZINC001147031380 840473585 /nfs/dbraw/zinc/47/35/85/840473585.db2.gz QMENCHOWCYQFJT-UHFFFAOYSA-N 0 1 288.351 0.207 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001091977924 840981772 /nfs/dbraw/zinc/98/17/72/840981772.db2.gz AKQXHKKKIKLTEJ-WQVCFCJDSA-N 0 1 293.411 0.854 20 30 CCEDMN C[C@@]12CN(CC#N)C[C@@H]1CN(C(=O)CCc1c[nH]nn1)C2 ZINC001092028167 840986053 /nfs/dbraw/zinc/98/60/53/840986053.db2.gz ICIZVEXUFQTAOD-RISCZKNCSA-N 0 1 288.355 0.041 20 30 CCEDMN C[C@@]12CN(CC#N)C[C@@H]1CN(C(=O)CCc1cnn[nH]1)C2 ZINC001092028167 840986057 /nfs/dbraw/zinc/98/60/57/840986057.db2.gz ICIZVEXUFQTAOD-RISCZKNCSA-N 0 1 288.355 0.041 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2ccon2)[C@@H](O)C1 ZINC001099923793 841183264 /nfs/dbraw/zinc/18/32/64/841183264.db2.gz JSXOVLLHJLMOPD-KGLIPLIRSA-N 0 1 291.351 0.182 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H]2[C@H](CCN2CC(N)=O)C1 ZINC001036794319 841226101 /nfs/dbraw/zinc/22/61/01/841226101.db2.gz SPBOJMXTGNFCJS-CHWSQXEVSA-N 0 1 293.411 0.997 20 30 CCEDMN C=CCN(C)CCCN(C)C(=O)[C@H](C)S(C)(=O)=O ZINC001273531289 844630321 /nfs/dbraw/zinc/63/03/21/844630321.db2.gz XQGUJVJNDPYAKV-NSHDSACASA-N 0 1 276.402 0.386 20 30 CCEDMN Cc1nc(NCCNC(=O)CN2CCCC2)ccc1C#N ZINC001093652172 841404606 /nfs/dbraw/zinc/40/46/06/841404606.db2.gz HNYKUHURLOBTJU-UHFFFAOYSA-N 0 1 287.367 0.886 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](CNCc2nncs2)[C@H](C)C1 ZINC001093678418 841425925 /nfs/dbraw/zinc/42/59/25/841425925.db2.gz KTJAEPVMIVHVLI-HBNTYKKESA-N 0 1 293.396 0.882 20 30 CCEDMN CC(C)(C(=O)NCCNc1ccc(C#N)nn1)c1cnc[nH]1 ZINC001093751011 841449893 /nfs/dbraw/zinc/44/98/93/841449893.db2.gz TZJNANPSNJGMRR-UHFFFAOYSA-N 0 1 299.338 0.577 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCCNc2cnc(C#N)cn2)c1C ZINC001094348312 841614592 /nfs/dbraw/zinc/61/45/92/841614592.db2.gz GAAHHGVXVIIYIC-UHFFFAOYSA-N 0 1 299.338 0.920 20 30 CCEDMN N#Cc1cnc(NCCCNC(=O)CN2CCCC2)cn1 ZINC001094434804 841635528 /nfs/dbraw/zinc/63/55/28/841635528.db2.gz SFIDVCZVAPXXIC-UHFFFAOYSA-N 0 1 288.355 0.362 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2ncnn2C)cn1 ZINC001171594535 841737755 /nfs/dbraw/zinc/73/77/55/841737755.db2.gz GJYYPPAOCHWHJV-UHFFFAOYSA-N 0 1 298.350 0.101 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2cnn(C)n2)cn1 ZINC001171595152 841739737 /nfs/dbraw/zinc/73/97/37/841739737.db2.gz VSYNHMAGQQMJOD-UHFFFAOYSA-N 0 1 298.350 0.101 20 30 CCEDMN N#Cc1cnc(NCCCNC(=O)c2cnn[nH]2)c(F)c1 ZINC001094881644 841865970 /nfs/dbraw/zinc/86/59/70/841865970.db2.gz QWMIXSFJADSLCA-UHFFFAOYSA-N 0 1 289.274 0.442 20 30 CCEDMN C#CC1(N[C@H]2C[C@@H](C(=O)OC)[C@@H](C(=O)OC)C2)CC1 ZINC001173579632 842110770 /nfs/dbraw/zinc/11/07/70/842110770.db2.gz WOLLVUGGRWCRQI-JGPRNRPPSA-N 0 1 265.309 0.483 20 30 CCEDMN C#CCN1CCO[C@@H]2CCN(C(=O)C[N@H+](C)CCC)C[C@H]21 ZINC001177139693 842510302 /nfs/dbraw/zinc/51/03/02/842510302.db2.gz TYFRXUMSGBJQLU-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001177183756 842521584 /nfs/dbraw/zinc/52/15/84/842521584.db2.gz FWXOPONJJAZNQD-LDYMZIIASA-N 0 1 276.296 0.086 20 30 CCEDMN C=C(C)CNC(=O)C(=NOCC(=O)OCC)c1ccn[nH]1 ZINC001177263015 842539162 /nfs/dbraw/zinc/53/91/62/842539162.db2.gz NDAKWNKAGRFDEO-ATVHPVEESA-N 0 1 294.311 0.386 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC[C@@H](CO)[C@H](O)C1 ZINC001177907960 842706272 /nfs/dbraw/zinc/70/62/72/842706272.db2.gz HAOLOLKDXPMMFX-GMXVVIOVSA-N 0 1 294.376 0.632 20 30 CCEDMN CN(C)C(=O)CN(C)C(=O)C(C#N)Cc1cccs1 ZINC001177908819 842706297 /nfs/dbraw/zinc/70/62/97/842706297.db2.gz FLLXFXVBMQNVHY-SNVBAGLBSA-N 0 1 279.365 0.977 20 30 CCEDMN CN1CCOC[C@H]1CNC(=O)C(C#N)Cc1cccs1 ZINC001177914675 842706862 /nfs/dbraw/zinc/70/68/62/842706862.db2.gz CAXCOPIAWFRLAC-NWDGAFQWSA-N 0 1 293.392 0.877 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCCCCCNCC#N ZINC001178045613 842736166 /nfs/dbraw/zinc/73/61/66/842736166.db2.gz POMRRMLPNLSEEC-ZDUSSCGKSA-N 0 1 266.389 0.870 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@H]1CCc2nccn2C1 ZINC001178101348 842757426 /nfs/dbraw/zinc/75/74/26/842757426.db2.gz WHZRZWIUNOVZLD-QMMMGPOBSA-N 0 1 256.269 0.679 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CN(C)C(C)=O)[C@H]1C ZINC001178156600 842776306 /nfs/dbraw/zinc/77/63/06/842776306.db2.gz ZSUCTUYVBGISCO-JQWIXIFHSA-N 0 1 287.791 0.796 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CNC(=O)C2CC2)[C@H]1C ZINC001178202860 842789845 /nfs/dbraw/zinc/78/98/45/842789845.db2.gz OSXDHOPHYHHQJF-JQWIXIFHSA-N 0 1 299.802 0.844 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC(=O)NCC)[C@H]1C ZINC001178519810 842862793 /nfs/dbraw/zinc/86/27/93/842862793.db2.gz KSQYBQUYTLWASV-QWRGUYRKSA-N 0 1 287.791 0.844 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N[C@@H](CC(C)C)C(=O)NO ZINC001182002515 843671359 /nfs/dbraw/zinc/67/13/59/843671359.db2.gz UICBDMOEHACQPB-RYUDHWBXSA-N 0 1 285.388 0.897 20 30 CCEDMN C=C[C@H]1C[C@]1(NC(=O)C1(N(C)C)CC1)C(=O)OCC ZINC001182602296 843873093 /nfs/dbraw/zinc/87/30/93/843873093.db2.gz ADHCLJLAXYNPQC-IINYFYTJSA-N 0 1 266.341 0.705 20 30 CCEDMN CC#CC[NH2+][C@@H]1CCN(C(=O)c2cccc3nn[n-]c32)C1 ZINC001182792036 843914181 /nfs/dbraw/zinc/91/41/81/843914181.db2.gz HQENWCPMJGPENW-LLVKDONJSA-N 0 1 283.335 0.785 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)Cn1c(=O)cnc2ccccc21 ZINC001182943868 843939037 /nfs/dbraw/zinc/93/90/37/843939037.db2.gz YKSQVIGDQAVVDK-UHFFFAOYSA-N 0 1 294.274 0.630 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CNC(=O)C3CC3)[C@@H]2C1 ZINC001187031466 844643991 /nfs/dbraw/zinc/64/39/91/844643991.db2.gz IOFHXKMQZIPWJG-UONOGXRCSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N(C)Cc2cnn(C)c2)C1 ZINC001189372296 845117479 /nfs/dbraw/zinc/11/74/79/845117479.db2.gz WLNNRHRYZJPVEY-CQSZACIVSA-N 0 1 292.383 0.655 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N(C)Cc2n[nH]c(C)n2)C1 ZINC001189380658 845120612 /nfs/dbraw/zinc/12/06/12/845120612.db2.gz RSUDPYBSFOCLNS-GFCCVEGCSA-N 0 1 293.371 0.348 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cn(C)nc2C)C1 ZINC001189418482 845134712 /nfs/dbraw/zinc/13/47/12/845134712.db2.gz LZPHHSSHHQTFGN-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001189524188 845164685 /nfs/dbraw/zinc/16/46/85/845164685.db2.gz PTFDWSZJWLVBBP-LURJTMIESA-N 0 1 273.218 0.366 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cn(C)cn2)C1 ZINC001189640469 845176917 /nfs/dbraw/zinc/17/69/17/845176917.db2.gz BBUWLBBITIDOGH-ZDUSSCGKSA-N 0 1 290.367 0.216 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCCO2)C1 ZINC001189650795 845188778 /nfs/dbraw/zinc/18/87/78/845188778.db2.gz FCWGBZBODLZLKF-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnn(C)c2N)C1 ZINC001189759967 845211483 /nfs/dbraw/zinc/21/14/83/845211483.db2.gz FZFRRELUUMFVOB-NSHDSACASA-N 0 1 275.356 0.172 20 30 CCEDMN Cc1n[nH]c(C(=O)N2CCN(c3ccccc3C#N)CC2)n1 ZINC001189859528 845228268 /nfs/dbraw/zinc/22/82/68/845228268.db2.gz PHJUJQWHBYLAFR-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C2CN(C(C)=O)C2)C1 ZINC001189848362 845256935 /nfs/dbraw/zinc/25/69/35/845256935.db2.gz QRPKIBWATIPZKT-AWEZNQCLSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@]23C[C@H]2COC3)C1 ZINC001190271440 845380884 /nfs/dbraw/zinc/38/08/84/845380884.db2.gz YPZQOLNVSFNKRY-GUTXKFCHSA-N 0 1 262.353 0.579 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C(C)(C)C(N)=O)C1 ZINC001190775726 845496601 /nfs/dbraw/zinc/49/66/01/845496601.db2.gz IRCAXQANISZDDP-NSHDSACASA-N 0 1 265.357 0.054 20 30 CCEDMN Cc1ccc(C(N=O)=NS(=O)([O-])=C2C=C[NH2+]C=C2)nc1 ZINC001190916111 845547209 /nfs/dbraw/zinc/54/72/09/845547209.db2.gz IMAQKLMFJRSWIQ-UHFFFAOYSA-N 0 1 292.320 0.899 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC=CCC2)C1 ZINC001190982796 845557542 /nfs/dbraw/zinc/55/75/42/845557542.db2.gz AMXCYUNPPRULBW-RBSFLKMASA-N 0 1 294.395 0.707 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)CN2CCCCC2=O)C1 ZINC001191119965 845587234 /nfs/dbraw/zinc/58/72/34/845587234.db2.gz RSJFJEACQXYDBJ-CQSZACIVSA-N 0 1 291.395 0.555 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CN2CCCCC2=O)C1 ZINC001191119965 845587244 /nfs/dbraw/zinc/58/72/44/845587244.db2.gz RSJFJEACQXYDBJ-CQSZACIVSA-N 0 1 291.395 0.555 20 30 CCEDMN CC(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)CSCC#N)C1 ZINC001191156880 845599428 /nfs/dbraw/zinc/59/94/28/845599428.db2.gz TUKFBHQWECQZHO-VXGBXAGGSA-N 0 1 285.413 0.451 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)SC)C1 ZINC001191246089 845619084 /nfs/dbraw/zinc/61/90/84/845619084.db2.gz JTFTZPNSERIGNY-HBNTYKKESA-N 0 1 258.387 0.475 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001191400436 845639394 /nfs/dbraw/zinc/63/93/94/845639394.db2.gz RWVDCPMCTXHGSA-AWEZNQCLSA-N 0 1 287.363 0.886 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2cnoc2)C1 ZINC001191931542 845757052 /nfs/dbraw/zinc/75/70/52/845757052.db2.gz SCIPVKMDJATVCE-CYBMUJFWSA-N 0 1 261.325 0.773 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2ocnc2C)C1 ZINC001192037335 845778492 /nfs/dbraw/zinc/77/84/92/845778492.db2.gz JBBFFGRKVPFHMW-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)/C=C/C2CC2)C1 ZINC001192063695 845785621 /nfs/dbraw/zinc/78/56/21/845785621.db2.gz XBWXLMGABMLUHK-QAMKJQMISA-N 0 1 280.368 0.317 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)/C=C/C2CC2)C1 ZINC001192063695 845785625 /nfs/dbraw/zinc/78/56/25/845785625.db2.gz XBWXLMGABMLUHK-QAMKJQMISA-N 0 1 280.368 0.317 20 30 CCEDMN C=CCCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001192095038 845791853 /nfs/dbraw/zinc/79/18/53/845791853.db2.gz YLDMPTPISIPDNY-VXGBXAGGSA-N 0 1 267.373 0.749 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1O ZINC001192320523 845821283 /nfs/dbraw/zinc/82/12/83/845821283.db2.gz ICHAKNCNUVEQMO-BFHYXJOUSA-N 0 1 282.384 0.376 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001192303786 845825486 /nfs/dbraw/zinc/82/54/86/845825486.db2.gz FVKZIGVVKJLSPI-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC23CC3)C1 ZINC001192531272 845876829 /nfs/dbraw/zinc/87/68/29/845876829.db2.gz BHWQRJCEVCAJMW-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(C)(C)CNC(C)=O)C1 ZINC001192802826 845912435 /nfs/dbraw/zinc/91/24/35/845912435.db2.gz ZBTWGTSINPUXOX-ZDUSSCGKSA-N 0 1 279.384 0.315 20 30 CCEDMN CSc1nc(NS(=O)(=O)CC#N)cc(=O)[nH]1 ZINC001192939433 845943220 /nfs/dbraw/zinc/94/32/20/845943220.db2.gz OVWOAGNAYHGXKZ-UHFFFAOYSA-N 0 1 260.300 0.169 20 30 CCEDMN Cn1nnc2cc(NS(=O)(=O)CC#N)ccc21 ZINC001192978729 845961429 /nfs/dbraw/zinc/96/14/29/845961429.db2.gz CPZDYHYJRJFITK-UHFFFAOYSA-N 0 1 251.271 0.234 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2ncc[nH]2)C1 ZINC001193047423 845963440 /nfs/dbraw/zinc/96/34/40/845963440.db2.gz GASOWCJSGXMNFR-GFCCVEGCSA-N 0 1 260.341 0.508 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CCC[C@@H]2CN(C)C[C@H]21 ZINC001193129319 845993209 /nfs/dbraw/zinc/99/32/09/845993209.db2.gz WYUJUDVWDMXUTM-GMTAPVOTSA-N 0 1 257.359 0.254 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CCO[C@@H](CN2CCCC2)C1 ZINC001193139829 846003128 /nfs/dbraw/zinc/00/31/28/846003128.db2.gz NRWJFIHTWXIDPC-NEPJUHHUSA-N 0 1 287.385 0.025 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1c(F)cccc1CO ZINC001193157131 846008499 /nfs/dbraw/zinc/00/84/99/846008499.db2.gz BEZPFTNWGNWXOP-SSDOTTSWSA-N 0 1 258.274 0.972 20 30 CCEDMN COC(=O)[C@H](COC(C)(C)C)NS(=O)(=O)[C@H](C)C#N ZINC001193168507 846012058 /nfs/dbraw/zinc/01/20/58/846012058.db2.gz CMOVNFUQUNTNTA-BDAKNGLRSA-N 0 1 292.357 0.175 20 30 CCEDMN C=C(C)[C@H](CC(=O)N[C@@H]1CN(CC)C[C@H]1O)OCC ZINC001193422113 846077707 /nfs/dbraw/zinc/07/77/07/846077707.db2.gz MXJVSNOWPNRPAM-UPJWGTAASA-N 0 1 270.373 0.539 20 30 CCEDMN CCOC(=O)CCNC(=O)c1ccc(O)c(C#N)c1 ZINC001193511657 846101429 /nfs/dbraw/zinc/10/14/29/846101429.db2.gz FPLTZRJGFATWJX-UHFFFAOYSA-N 0 1 262.265 0.947 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1ccc(O)c(C#N)c1 ZINC001193512864 846103364 /nfs/dbraw/zinc/10/33/64/846103364.db2.gz ULLVNAWYPTUZEQ-VIFPVBQESA-N 0 1 280.305 0.465 20 30 CCEDMN N#CCCN1CCN(C(=O)c2cccc(C#N)c2O)CC1 ZINC001193644049 846135126 /nfs/dbraw/zinc/13/51/26/846135126.db2.gz NFOCAWKUYHOJPO-UHFFFAOYSA-N 0 1 284.319 0.935 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCNC[C@@H]2C#N)c1O ZINC001193642470 846135279 /nfs/dbraw/zinc/13/52/79/846135279.db2.gz GFMPHERELNUFKS-JTQLQIEISA-N 0 1 256.265 0.201 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COCCOCC)C1 ZINC001194317380 846261381 /nfs/dbraw/zinc/26/13/81/846261381.db2.gz XNLGUDBJLRCCST-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@@H]2COCCO2)CC1 ZINC001194882768 846410560 /nfs/dbraw/zinc/41/05/60/846410560.db2.gz HAURXGJNXHBTBW-AWEZNQCLSA-N 0 1 298.383 0.139 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@]23C[C@H]2CCC3)C1 ZINC001194847877 846413136 /nfs/dbraw/zinc/41/31/36/846413136.db2.gz QNGBQABBEDMQCP-IXYNUQLISA-N 0 1 294.395 0.541 20 30 CCEDMN CO[C@@H](C)CN1CCCN(C(=O)CSCC#N)CC1 ZINC001194919164 846417442 /nfs/dbraw/zinc/41/74/42/846417442.db2.gz LIFFSUHJFRDUJQ-LBPRGKRZSA-N 0 1 285.413 0.812 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CNC(=O)OC)CC1 ZINC001195000176 846437360 /nfs/dbraw/zinc/43/73/60/846437360.db2.gz VWPATALMRXMNIF-UHFFFAOYSA-N 0 1 269.345 0.453 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCCN(CCCO)CC1 ZINC001195124360 846466306 /nfs/dbraw/zinc/46/63/06/846466306.db2.gz CUZPOBOTIOVJFX-CQSZACIVSA-N 0 1 284.400 0.884 20 30 CCEDMN C=CCCC(=O)N1CCCN(CCN2CCNC2=O)CC1 ZINC001195225046 846484014 /nfs/dbraw/zinc/48/40/14/846484014.db2.gz BDCSUSATDMATHB-UHFFFAOYSA-N 0 1 294.399 0.512 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1C[N@@H+](C[C@H](CC)OC)C[C@H]1O ZINC001195473773 846546687 /nfs/dbraw/zinc/54/66/87/846546687.db2.gz QTKSZNXRROJPIA-RRFJBIMHSA-N 0 1 296.411 0.766 20 30 CCEDMN N#Cc1ccc(C(=O)NCCn2ccnn2)c(O)c1 ZINC001195733755 846603717 /nfs/dbraw/zinc/60/37/17/846603717.db2.gz VUFAUVNXAWKBDY-UHFFFAOYSA-N 0 1 257.253 0.285 20 30 CCEDMN COC(=O)N1CCN(C(=O)c2ccc(C#N)cc2O)CC1 ZINC001195733354 846604644 /nfs/dbraw/zinc/60/46/44/846604644.db2.gz LHRSRUMJXMKKBS-UHFFFAOYSA-N 0 1 289.291 0.788 20 30 CCEDMN C[C@@]1(CO)CN(C(=O)c2ccc(C#N)cc2O)CC[C@H]1O ZINC001195741114 846619746 /nfs/dbraw/zinc/61/97/46/846619746.db2.gz OIVVCRLSYQQHJA-HIFRSBDPSA-N 0 1 290.319 0.469 20 30 CCEDMN C[C@H]1C(=O)NCCN1C(=O)c1ccc(C#N)cc1O ZINC001195740668 846619900 /nfs/dbraw/zinc/61/99/00/846619900.db2.gz BTTQIZWVHZAGMM-QMMMGPOBSA-N 0 1 259.265 0.224 20 30 CCEDMN C[C@]1(CO)CCN(C(=O)c2ccc(C#N)cc2O)C[C@H]1O ZINC001195741167 846619971 /nfs/dbraw/zinc/61/99/71/846619971.db2.gz PXWZQUNLUSLMFZ-UKRRQHHQSA-N 0 1 290.319 0.469 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@](C)(C=C)CC)C1 ZINC001195923856 846649443 /nfs/dbraw/zinc/64/94/43/846649443.db2.gz NOXRWPBBRVQIAT-IIAWOOMASA-N 0 1 296.411 0.953 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2(C)CC(=C)C2)C1 ZINC001196021813 846669669 /nfs/dbraw/zinc/66/96/69/846669669.db2.gz XQHGTISTMNLOFA-ZIAGYGMSSA-N 0 1 294.395 0.707 20 30 CCEDMN N#C[C@H](NC(=O)c1cc(Cl)c(F)cc1O)C(N)=O ZINC001196477952 846752797 /nfs/dbraw/zinc/75/27/97/846752797.db2.gz KLYKJOMIJNVPCZ-ZETCQYMHSA-N 0 1 271.635 0.292 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](COC)OC)CC1 ZINC001197084146 846839085 /nfs/dbraw/zinc/83/90/85/846839085.db2.gz SIOQUEXNWHRHML-LBPRGKRZSA-N 0 1 256.346 0.368 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C(C)C)C[C@H]2O)CCOCC1 ZINC001198239683 847073726 /nfs/dbraw/zinc/07/37/26/847073726.db2.gz QJPIPTZLBWKLAL-ZIAGYGMSSA-N 0 1 296.411 0.929 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1cocn1 ZINC001152465382 847121332 /nfs/dbraw/zinc/12/13/32/847121332.db2.gz YWJHIXRXLFJMON-GFCCVEGCSA-N 0 1 279.340 0.765 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2cncn2-c2ccncc2)c1N ZINC001198541642 847129689 /nfs/dbraw/zinc/12/96/89/847129689.db2.gz ODPVFCPBBPOXDD-UHFFFAOYSA-N 0 1 294.278 0.188 20 30 CCEDMN COCCOc1ccnc(C(=O)Nc2nc[nH]c2C#N)n1 ZINC001199189983 847242669 /nfs/dbraw/zinc/24/26/69/847242669.db2.gz UDHNXMLOZCZMDT-UHFFFAOYSA-N 0 1 288.267 0.349 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)c1cnccc1N(C)C ZINC001199352814 847296542 /nfs/dbraw/zinc/29/65/42/847296542.db2.gz HLVKFZIINCNRPK-UHFFFAOYSA-N 0 1 277.324 0.997 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)CC1CCCC1 ZINC001273815738 847565770 /nfs/dbraw/zinc/56/57/70/847565770.db2.gz UULKSVRXOOHAFL-AATRIKPKSA-N 0 1 291.395 0.578 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2C[C@H](C)O ZINC001110551295 847586561 /nfs/dbraw/zinc/58/65/61/847586561.db2.gz SZIXOBKFVVMOKZ-DGAVXFQQSA-N 0 1 280.368 0.129 20 30 CCEDMN C#CCN1C(=O)C[C@]2(CCCN(CC(F)F)C2)C1=O ZINC001273844660 847658544 /nfs/dbraw/zinc/65/85/44/847658544.db2.gz CWRXVRXDMWREKR-ZDUSSCGKSA-N 0 1 270.279 0.726 20 30 CCEDMN C=C[C@H](O)CN1CCC[C@]12CCN([C@@H](C)C(C)=O)C2=O ZINC001273880464 847721377 /nfs/dbraw/zinc/72/13/77/847721377.db2.gz CFNIIZAEDMWLFI-CORIIIEPSA-N 0 1 280.368 0.578 20 30 CCEDMN CC(C)C[C@H](NC(=O)c1[nH]nc2c1CCC2)C(=O)NO ZINC001201247645 847748593 /nfs/dbraw/zinc/74/85/93/847748593.db2.gz LSBRSDKTDMLYIF-JTQLQIEISA-N 0 1 280.328 0.548 20 30 CCEDMN CCN(C(=O)CNC/C=C/CNC(=O)[C@@H](C)C#N)C1CC1 ZINC001273911732 847825576 /nfs/dbraw/zinc/82/55/76/847825576.db2.gz VIYYNVFBYYAEGX-ITKZLYELSA-N 0 1 292.383 0.419 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1COC(=O)C1)C2 ZINC001095312789 847961481 /nfs/dbraw/zinc/96/14/81/847961481.db2.gz GRQJDSPIZKMOTN-NDBYEHHHSA-N 0 1 278.352 0.847 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccn(C)n1 ZINC001114356092 848025200 /nfs/dbraw/zinc/02/52/00/848025200.db2.gz XVSQPJSWXKZFBG-NHAGDIPZSA-N 0 1 272.352 0.032 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCC1(F)F ZINC001114446909 848067316 /nfs/dbraw/zinc/06/73/16/848067316.db2.gz BFIUBFUGIAPTGJ-XQHKEYJVSA-N 0 1 298.333 0.728 20 30 CCEDMN C=CCNC(=O)N1CCC[C@@H](NC(=O)CN(C)C)C1 ZINC001202569078 848179202 /nfs/dbraw/zinc/17/92/02/848179202.db2.gz QWHHCHIRYUPXAU-LLVKDONJSA-N 0 1 268.361 0.024 20 30 CCEDMN N#CCN[C@@H]1C[C@@H](NC(=O)CCc2nc[nH]n2)C12CCC2 ZINC001202724264 848220285 /nfs/dbraw/zinc/22/02/85/848220285.db2.gz ISJQQOPGEZLIDH-GHMZBOCLSA-N 0 1 288.355 0.278 20 30 CCEDMN C=CCC1(O)CCN([C@@H]2CC(=O)N(C)C2=O)CC1 ZINC000717423518 848261269 /nfs/dbraw/zinc/26/12/69/848261269.db2.gz JSPOYYSYGGUWGT-SNVBAGLBSA-N 0 1 252.314 0.147 20 30 CCEDMN C=CCC1(O)CCN([C@H](C)C(=O)NC(=O)NC)CC1 ZINC000717452574 848264884 /nfs/dbraw/zinc/26/48/84/848264884.db2.gz WYSMOYSDVVVTFF-SNVBAGLBSA-N 0 1 269.345 0.234 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nn(C)cc1Br ZINC000717672745 848280802 /nfs/dbraw/zinc/28/08/02/848280802.db2.gz IMEZLAFZIIWGBE-UHFFFAOYSA-N 0 1 299.172 0.477 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)c1cccnc1C#N)C2 ZINC001274015268 848302868 /nfs/dbraw/zinc/30/28/68/848302868.db2.gz FCJRWPPASUBQOG-MNOVXSKESA-N 0 1 256.309 0.729 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@H]1CCC(=O)NC1 ZINC001274087472 848345519 /nfs/dbraw/zinc/34/55/19/848345519.db2.gz AKJNQLRHPZCXQQ-ZZKXABKFSA-N 0 1 299.802 0.917 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)c1cnc(C#N)cn1)C2 ZINC001274121437 848355416 /nfs/dbraw/zinc/35/54/16/848355416.db2.gz KIKSXJTUZRYROC-KOLCDFICSA-N 0 1 257.297 0.124 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)C(C)(C)C(=C)C ZINC001274252351 848430648 /nfs/dbraw/zinc/43/06/48/848430648.db2.gz CNIPRWGJNITZEK-FPLPWBNLSA-N 0 1 291.395 0.600 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(Cc3nnc[nH]3)C2)C1=O ZINC001274354635 848456861 /nfs/dbraw/zinc/45/68/61/848456861.db2.gz PTXHCRWOLUQYNO-CYBMUJFWSA-N 0 1 261.329 0.415 20 30 CCEDMN N#CCCCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1nnc[nH]1 ZINC001274356526 848460926 /nfs/dbraw/zinc/46/09/26/848460926.db2.gz UMHZZVBVORMAJZ-VXGBXAGGSA-N 0 1 288.355 0.674 20 30 CCEDMN C=CCN1C[C@@]2(CCN(Cc3cnc(C)[nH]3)C2)OCC1=O ZINC001274575290 848509579 /nfs/dbraw/zinc/50/95/79/848509579.db2.gz CDBMSSPZQFNIMA-HNNXBMFYSA-N 0 1 290.367 0.707 20 30 CCEDMN Cc1ncoc1CNC[C@@H](C)NC(=O)[C@H](C)C#N ZINC001274703204 848544919 /nfs/dbraw/zinc/54/49/19/848544919.db2.gz XRMVHTGENZGWDT-RKDXNWHRSA-N 0 1 250.302 0.737 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2ocnc2CC)CC1 ZINC001274930246 848595692 /nfs/dbraw/zinc/59/56/92/848595692.db2.gz XHPMJKBUXOOPJI-UHFFFAOYSA-N 0 1 293.367 0.980 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CCOC1 ZINC001275094549 848635250 /nfs/dbraw/zinc/63/52/50/848635250.db2.gz OXTIMYSVBPNHHK-CHWSQXEVSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cnc2n1CCC2 ZINC001275114186 848641422 /nfs/dbraw/zinc/64/14/22/848641422.db2.gz HBUGNICEHBZCMJ-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnn(-c2cccnc2)c1 ZINC001275573974 848766065 /nfs/dbraw/zinc/76/60/65/848766065.db2.gz LFJXSLURNGIGOJ-ZDUSSCGKSA-N 0 1 297.362 0.951 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cn2c(n1)COCC2 ZINC001275592243 848770711 /nfs/dbraw/zinc/77/07/11/848770711.db2.gz HLFRDCBZZOXSEM-GFCCVEGCSA-N 0 1 290.367 0.487 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)CN(C)[C@H]1CCC(=O)NC1=O ZINC001275623687 848777392 /nfs/dbraw/zinc/77/73/92/848777392.db2.gz YKAJHOPZJDIAES-MNOVXSKESA-N 0 1 295.383 0.440 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(OC)nn1 ZINC001275690255 848792877 /nfs/dbraw/zinc/79/28/77/848792877.db2.gz SBNSUOREDHXFHX-NSHDSACASA-N 0 1 276.340 0.559 20 30 CCEDMN N#CCNC[C@@H]1CCCC[C@@H]1NC(=O)CN1CCCC1 ZINC001275817808 848834206 /nfs/dbraw/zinc/83/42/06/848834206.db2.gz SHSDYHDFRMVOOA-KBPBESRZSA-N 0 1 278.400 0.870 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CC(=O)N(CCC)C1 ZINC001275946865 848871666 /nfs/dbraw/zinc/87/16/66/848871666.db2.gz SYBOLFCQKNHSGU-UONOGXRCSA-N 0 1 293.411 0.705 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@]1(C)CCOC1 ZINC001275962308 848874437 /nfs/dbraw/zinc/87/44/37/848874437.db2.gz FIICCCIJIPFTGO-UKRRQHHQSA-N 0 1 282.384 0.499 20 30 CCEDMN CN(CCCNC(=O)c1ncn[nH]1)c1ccc(C#N)cn1 ZINC001095608770 848998299 /nfs/dbraw/zinc/99/82/99/848998299.db2.gz PYFCADQMECGYEF-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN CN(CCCNC(=O)c1nc[nH]n1)c1ccc(C#N)cn1 ZINC001095608770 848998302 /nfs/dbraw/zinc/99/83/02/848998302.db2.gz PYFCADQMECGYEF-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN CN(CCCNC(=O)Cc1nnc[nH]1)c1ccc(C#N)nc1 ZINC001095636909 849001653 /nfs/dbraw/zinc/00/16/53/849001653.db2.gz NEGBTUGFYPSUQU-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1COCCN1CC)C2 ZINC001095655583 849003333 /nfs/dbraw/zinc/00/33/33/849003333.db2.gz LIULAQYUTAZQOR-CBBWQLFWSA-N 0 1 293.411 0.615 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CC)NC(C)=O)C2 ZINC001111054787 849056766 /nfs/dbraw/zinc/05/67/66/849056766.db2.gz PZKQXUJCJATPLO-TUVASFSCSA-N 0 1 291.395 0.646 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001111125613 849075731 /nfs/dbraw/zinc/07/57/31/849075731.db2.gz KLLXTMVANOVTKR-ASEORRQLSA-N 0 1 287.367 0.833 20 30 CCEDMN CN1CCN(C[C@H](O)c2ccc(C#N)cc2)CCC1=O ZINC000688929221 849208183 /nfs/dbraw/zinc/20/81/83/849208183.db2.gz OWRVKHNFTTVALB-AWEZNQCLSA-N 0 1 273.336 0.756 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)co1 ZINC001114711453 849375497 /nfs/dbraw/zinc/37/54/97/849375497.db2.gz VIAWNSHHYSCMPL-NHAGDIPZSA-N 0 1 288.347 0.898 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1[C@H]2CN([C@H](CC)C(N)=O)C[C@H]21 ZINC001114718620 849379216 /nfs/dbraw/zinc/37/92/16/849379216.db2.gz RPMLMBHKRHXHED-COMQUAJESA-N 0 1 291.395 0.490 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CCC)OCC ZINC001114719383 849379691 /nfs/dbraw/zinc/37/96/91/849379691.db2.gz FXBLECHAPRWXPZ-RQJABVFESA-N 0 1 264.369 0.871 20 30 CCEDMN CC[C@@H]1CN(C(=O)c2ccn[nH]2)CC[C@H]1NCC#N ZINC001037787347 849585907 /nfs/dbraw/zinc/58/59/07/849585907.db2.gz ZZOMEWZTUUEZQR-GHMZBOCLSA-N 0 1 261.329 0.764 20 30 CCEDMN CC[C@H]1CN(C(=O)Cc2ncn[nH]2)CC[C@@H]1NCC#N ZINC001037954533 849612257 /nfs/dbraw/zinc/61/22/57/849612257.db2.gz SJTIBRNCIBYWML-QWRGUYRKSA-N 0 1 276.344 0.087 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cnn(CC)n1 ZINC001038233222 849826463 /nfs/dbraw/zinc/82/64/63/849826463.db2.gz DJCXVBUOHFODHU-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1cncc2nc[nH]c21 ZINC001038276331 849836889 /nfs/dbraw/zinc/83/68/89/849836889.db2.gz DFAWPKQKZOBMRW-VIFPVBQESA-N 0 1 270.296 0.286 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001038420693 849890832 /nfs/dbraw/zinc/89/08/32/849890832.db2.gz ZUCGNBRFQAGLTO-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001038442657 849898313 /nfs/dbraw/zinc/89/83/13/849898313.db2.gz MKGRIOXWQQYJMF-SECBINFHSA-N 0 1 259.313 0.226 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCCN(C(N)=O)C1 ZINC001038484891 849920341 /nfs/dbraw/zinc/92/03/41/849920341.db2.gz LYJKWOGDTHPNIM-QWHCGFSZSA-N 0 1 294.399 0.544 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccnc(OC)n1 ZINC001038504344 849925215 /nfs/dbraw/zinc/92/52/15/849925215.db2.gz YGJHZSYDZBXEDG-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001038592172 849954457 /nfs/dbraw/zinc/95/44/57/849954457.db2.gz JMFPTIMCHWSUPC-NEPJUHHUSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2CCN2CC2CC2)nn1 ZINC001038792293 850019531 /nfs/dbraw/zinc/01/95/31/850019531.db2.gz VAMMWGYYWRRNBY-LBPRGKRZSA-N 0 1 275.356 0.678 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc2n[nH]c(=O)n2c1 ZINC001038803222 850020021 /nfs/dbraw/zinc/02/00/21/850020021.db2.gz WWIDFLKQLYGWNX-GFCCVEGCSA-N 0 1 299.334 0.262 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001038898956 850074343 /nfs/dbraw/zinc/07/43/43/850074343.db2.gz NTNRODLJIZYDFY-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC[C@H]1CCN1CC#N ZINC001038920398 850085536 /nfs/dbraw/zinc/08/55/36/850085536.db2.gz CLXUDYIIDGPCNT-LLVKDONJSA-N 0 1 273.340 0.475 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2C[C@H](C)O)cn1 ZINC001038931710 850089525 /nfs/dbraw/zinc/08/95/25/850089525.db2.gz JQDZUWSSAFXBBG-SMDDNHRTSA-N 0 1 273.336 0.248 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001038976344 850107235 /nfs/dbraw/zinc/10/72/35/850107235.db2.gz OAOYMELQLWYBMN-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001038975363 850107626 /nfs/dbraw/zinc/10/76/26/850107626.db2.gz HCNNELDQXVJOSL-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1n[nH]c2ccccc21 ZINC001038977791 850110991 /nfs/dbraw/zinc/11/09/91/850110991.db2.gz BLHDIZWBSIOPGG-SNVBAGLBSA-N 0 1 269.308 0.891 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cccnc1N(C)C ZINC001039017659 850121172 /nfs/dbraw/zinc/12/11/72/850121172.db2.gz HOVDXSMJGVSSHT-ZDUSSCGKSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cccc(C(=O)NC)c1 ZINC001039038181 850132422 /nfs/dbraw/zinc/13/24/22/850132422.db2.gz XHVXAEPIWVMFJU-HNNXBMFYSA-N 0 1 299.374 0.874 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001039052897 850140518 /nfs/dbraw/zinc/14/05/18/850140518.db2.gz QGQBJPBTWZJTMY-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1ncc[nH]1 ZINC001039455782 850193692 /nfs/dbraw/zinc/19/36/92/850193692.db2.gz QSMFTWNGKNZOPL-OLZOCXBDSA-N 0 1 272.352 0.651 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnon1 ZINC001039457309 850195056 /nfs/dbraw/zinc/19/50/56/850195056.db2.gz MHWYMIUMKHKHIR-MNOVXSKESA-N 0 1 262.313 0.935 20 30 CCEDMN N#CCN1CCC[C@@]2(CCN(C(=O)CN3CCCC3)C2)C1 ZINC001040531641 850299375 /nfs/dbraw/zinc/29/93/75/850299375.db2.gz RALNPIPMKBZEJD-MRXNPFEDSA-N 0 1 290.411 0.920 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC2(C1)CCN([C@H](C)C(N)=O)CC2 ZINC001040636914 850309863 /nfs/dbraw/zinc/30/98/63/850309863.db2.gz UJTLLAQXLJCHRA-VXGBXAGGSA-N 0 1 292.383 0.334 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)c3cc[nH]n3)C2)C1 ZINC001041088654 850365067 /nfs/dbraw/zinc/36/50/67/850365067.db2.gz BTHUXNYGZLHEPR-CQSZACIVSA-N 0 1 258.325 0.581 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@]3(CCN(CC#N)C3)C2)[nH]1 ZINC001041144682 850384620 /nfs/dbraw/zinc/38/46/20/850384620.db2.gz XWXISSONEGGQON-AWEZNQCLSA-N 0 1 273.340 0.780 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)c3ccn(C)c(=O)c3)C2)C1 ZINC001041419024 850445455 /nfs/dbraw/zinc/44/54/55/850445455.db2.gz RJJQOATUBLUXRN-KRWDZBQOSA-N 0 1 299.374 0.557 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cn[nH]c3)C[C@@H]21 ZINC001041954265 850538714 /nfs/dbraw/zinc/53/87/14/850538714.db2.gz PWFVVMBVTFEQPY-JSGCOSHPSA-N 0 1 272.352 0.969 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cc3ccon3)C[C@H]21 ZINC001041975275 850547816 /nfs/dbraw/zinc/54/78/16/850547816.db2.gz MCXOYNJJZPRVGU-TZMCWYRMSA-N 0 1 273.336 0.773 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001042024350 850559867 /nfs/dbraw/zinc/55/98/67/850559867.db2.gz XUNABBVJAYEVHJ-STQMWFEESA-N 0 1 285.347 0.957 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H]2CCN(CC#N)[C@H]2C1 ZINC001042179337 850589940 /nfs/dbraw/zinc/58/99/40/850589940.db2.gz LANLZFBJJVBLJA-MNOVXSKESA-N 0 1 274.328 0.173 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H]1CNCc1nccn1C ZINC001044962139 851194469 /nfs/dbraw/zinc/19/44/69/851194469.db2.gz FKTNAEAPHYRKPZ-RYUDHWBXSA-N 0 1 275.356 0.660 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC001045488119 851267379 /nfs/dbraw/zinc/26/73/79/851267379.db2.gz RIWPQCJAFHWNNB-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)[C@H]2COCCO2)C1 ZINC001046152148 851398783 /nfs/dbraw/zinc/39/87/83/851398783.db2.gz HUXPPYMXFVQOHQ-YPMHNXCESA-N 0 1 254.330 0.168 20 30 CCEDMN C#CCC[N@@H+]1CC[C@](C)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001046241137 851437122 /nfs/dbraw/zinc/43/71/22/851437122.db2.gz ZBVZMRDKUBVZPE-AWEZNQCLSA-N 0 1 276.340 0.641 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cnnn2CC)C1 ZINC001046293997 851457374 /nfs/dbraw/zinc/45/73/74/851457374.db2.gz GIGQKJMBPDTIRS-CYBMUJFWSA-N 0 1 263.345 0.678 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001046370889 851478649 /nfs/dbraw/zinc/47/86/49/851478649.db2.gz HTPXRAXKIOXTQB-INIZCTEOSA-N 0 1 287.363 0.915 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001046512309 851529897 /nfs/dbraw/zinc/52/98/97/851529897.db2.gz CZUNCRQMAGRWBD-XJKSGUPXSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2csc(=O)[nH]2)C1 ZINC001046562810 851548145 /nfs/dbraw/zinc/54/81/45/851548145.db2.gz RCZIDYZZUBLFBL-GFCCVEGCSA-N 0 1 265.338 0.676 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001046622429 851568400 /nfs/dbraw/zinc/56/84/00/851568400.db2.gz ZOYVQMOKIQZBKM-WOSRLPQWSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2nccn3ccnc23)C1 ZINC001046632583 851571122 /nfs/dbraw/zinc/57/11/22/851571122.db2.gz ZKIQKHLAKVJKKP-MRXNPFEDSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC001046769784 851609512 /nfs/dbraw/zinc/60/95/12/851609512.db2.gz ASSIVYBMHDBABQ-HNNXBMFYSA-N 0 1 299.334 0.262 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC001046769784 851609515 /nfs/dbraw/zinc/60/95/15/851609515.db2.gz ASSIVYBMHDBABQ-HNNXBMFYSA-N 0 1 299.334 0.262 20 30 CCEDMN C[C@]1(NC(=O)[C@@H]2CCc3[nH]nnc3C2)CCN(CC#N)C1 ZINC001046772287 851609732 /nfs/dbraw/zinc/60/97/32/851609732.db2.gz SIWDXOQLABUKEM-YGRLFVJLSA-N 0 1 288.355 0.014 20 30 CCEDMN C[C@]1(NC(=O)[C@@H]2CCc3nn[nH]c3C2)CCN(CC#N)C1 ZINC001046772287 851609735 /nfs/dbraw/zinc/60/97/35/851609735.db2.gz SIWDXOQLABUKEM-YGRLFVJLSA-N 0 1 288.355 0.014 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](CCOC)C2)cn1 ZINC001046780027 851612976 /nfs/dbraw/zinc/61/29/76/851612976.db2.gz ZMDDDUBMHRZRAZ-MRXNPFEDSA-N 0 1 287.363 0.904 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001046855590 851632669 /nfs/dbraw/zinc/63/26/69/851632669.db2.gz VIKHJNQAKLVCOH-BLLLJJGKSA-N 0 1 286.379 0.728 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CCCCO2)C1 ZINC001047350244 851729696 /nfs/dbraw/zinc/72/96/96/851729696.db2.gz UTCIYHCNZIKNOU-MELADBBJSA-N 0 1 282.384 0.635 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2CCC(O)CC2)C1 ZINC001047362723 851737439 /nfs/dbraw/zinc/73/74/39/851737439.db2.gz IOERALPEOCKMEI-WUCCLRPBSA-N 0 1 294.395 0.064 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2nccn2CC)C1 ZINC001047363655 851737465 /nfs/dbraw/zinc/73/74/65/851737465.db2.gz AXLKWUBWLIYAEA-STQMWFEESA-N 0 1 292.383 0.596 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(CC)o2)C1 ZINC001047433585 851765136 /nfs/dbraw/zinc/76/51/36/851765136.db2.gz NOMCYLPZNQRWET-KBPBESRZSA-N 0 1 290.363 0.982 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2coc(OC)n2)C1 ZINC001047473615 851776416 /nfs/dbraw/zinc/77/64/16/851776416.db2.gz VYAYNADCQGYGGC-RYUDHWBXSA-N 0 1 295.339 0.376 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)CC2=CCOCC2)C1 ZINC001047516103 851798216 /nfs/dbraw/zinc/79/82/16/851798216.db2.gz UJXPSDVOILZQNI-GJZGRUSLSA-N 0 1 294.395 0.803 20 30 CCEDMN C[C@H](NCc1cnon1)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001047837256 851868388 /nfs/dbraw/zinc/86/83/88/851868388.db2.gz VJCFIMLKIRCPBE-WCQYABFASA-N 0 1 288.351 0.810 20 30 CCEDMN Cc1nccnc1CN1C[C@@H]2CN(C(=O)[C@H](C)C#N)C[C@@H]2C1 ZINC001048725953 852036338 /nfs/dbraw/zinc/03/63/38/852036338.db2.gz XOUHGKYCEUCYRU-BNOWGMLFSA-N 0 1 299.378 0.835 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001048782834 852057010 /nfs/dbraw/zinc/05/70/10/852057010.db2.gz RITCLHNAKZBEAJ-TXEJJXNPSA-N 0 1 271.320 0.424 20 30 CCEDMN C#CC[N@H+]1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001048782834 852057018 /nfs/dbraw/zinc/05/70/18/852057018.db2.gz RITCLHNAKZBEAJ-TXEJJXNPSA-N 0 1 271.320 0.424 20 30 CCEDMN C#CC[N@H+]1C[C@H]2CN(C(=O)c3onc(C)c3C)C[C@H]2C1 ZINC001048883446 852096993 /nfs/dbraw/zinc/09/69/93/852096993.db2.gz FWNILFDCRLETQY-BETUJISGSA-N 0 1 273.336 0.928 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)c3ccn4cncc4c3)C[C@@H]2C1 ZINC001048919280 852109625 /nfs/dbraw/zinc/10/96/25/852109625.db2.gz RZMDGDDVZWPLCL-OKILXGFUSA-N 0 1 295.346 0.862 20 30 CCEDMN C#CC[N@H+]1C[C@H]2CN(C(=O)[C@H]3CC[C@@H](C)O3)C[C@H]2C1 ZINC001049072265 852155401 /nfs/dbraw/zinc/15/54/01/852155401.db2.gz XTSTXMDKZDAGIA-YIYPIFLZSA-N 0 1 262.353 0.577 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnnn1C ZINC001049451041 852280303 /nfs/dbraw/zinc/28/03/03/852280303.db2.gz NBYBLYHXYLFSDW-RYUDHWBXSA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnn(CC)n1 ZINC001049456586 852284965 /nfs/dbraw/zinc/28/49/65/852284965.db2.gz MZHYJXGGCATCQP-KBPBESRZSA-N 0 1 287.367 0.610 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049455710 852285614 /nfs/dbraw/zinc/28/56/14/852285614.db2.gz FTGUBXYDMWFLHG-NEPJUHHUSA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cn(C)nn1 ZINC001049461958 852286926 /nfs/dbraw/zinc/28/69/26/852286926.db2.gz MDSVELSJWAUFRF-STQMWFEESA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@]1(F)CCOC1 ZINC001049672364 852344150 /nfs/dbraw/zinc/34/41/50/852344150.db2.gz LMMUHUCSUJRHFW-GUTXKFCHSA-N 0 1 280.343 0.814 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cn(CC)nn1 ZINC001049816084 852380912 /nfs/dbraw/zinc/38/09/12/852380912.db2.gz HHZDUXFOZFBZNS-KBPBESRZSA-N 0 1 287.367 0.610 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@H]3[C@H]2CC[N@@H+]3CCO)cn1 ZINC001049854947 852386733 /nfs/dbraw/zinc/38/67/33/852386733.db2.gz OVZAMJMEXBLKQE-JKSUJKDBSA-N 0 1 299.374 0.734 20 30 CCEDMN N#Cc1cccnc1N[C@H](CNC(=O)c1ncn[nH]1)C1CC1 ZINC001096704803 852428512 /nfs/dbraw/zinc/42/85/12/852428512.db2.gz AAAZSWWCMLWXPS-LLVKDONJSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cccnc1N[C@H](CNC(=O)c1nc[nH]n1)C1CC1 ZINC001096704803 852428515 /nfs/dbraw/zinc/42/85/15/852428515.db2.gz AAAZSWWCMLWXPS-LLVKDONJSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cccnc1N[C@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001096853786 852457978 /nfs/dbraw/zinc/45/79/78/852457978.db2.gz NWOCNCAEBCECDC-LLVKDONJSA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccnn1C)C2 ZINC001096870749 852459127 /nfs/dbraw/zinc/45/91/27/852459127.db2.gz NWSYMIUFRCRRLR-UTUOFQBUSA-N 0 1 260.341 0.941 20 30 CCEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc[nH]n1)C2 ZINC001096905888 852465194 /nfs/dbraw/zinc/46/51/94/852465194.db2.gz QHHQXRQDMPPGNY-MCIONIFRSA-N 0 1 272.352 0.697 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(C)c1[O-])C2 ZINC001096962246 852471246 /nfs/dbraw/zinc/47/12/46/852471246.db2.gz GPVJURXOWRSNOM-MXWKQRLJSA-N 0 1 276.340 0.945 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CCO)[nH]1 ZINC001096971289 852473081 /nfs/dbraw/zinc/47/30/81/852473081.db2.gz KDXLOBQPEKYWDR-RTXFEEFZSA-N 0 1 274.324 0.214 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cccnc1)C2 ZINC001096955920 852477292 /nfs/dbraw/zinc/47/72/92/852477292.db2.gz UXWHZWRDUGZSOC-KFWWJZLASA-N 0 1 269.348 0.979 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C2 ZINC001097257074 852517753 /nfs/dbraw/zinc/51/77/53/852517753.db2.gz UOCAXPNWFZPQNZ-SKENRDBWSA-N 0 1 285.351 0.758 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nnn(C)n1)C2 ZINC001097659054 852561395 /nfs/dbraw/zinc/56/13/95/852561395.db2.gz GJAKVBJCFJWZHJ-MXWKQRLJSA-N 0 1 276.344 0.121 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCCC(=O)N1)C2 ZINC001097827490 852623717 /nfs/dbraw/zinc/62/37/17/852623717.db2.gz WUJBGTOHAWGDQW-RQJABVFESA-N 0 1 291.395 0.953 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCCC(=O)N1)C2 ZINC001097827490 852623722 /nfs/dbraw/zinc/62/37/22/852623722.db2.gz WUJBGTOHAWGDQW-RQJABVFESA-N 0 1 291.395 0.953 20 30 CCEDMN C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[NH+]1CCC[C@H]1C)C2 ZINC001097847046 852630665 /nfs/dbraw/zinc/63/06/65/852630665.db2.gz RUVIXAQWOQSJRD-TUVASFSCSA-N 0 1 275.396 0.825 20 30 CCEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1C[C@H]1C(N)=O)C2 ZINC001097856262 852633745 /nfs/dbraw/zinc/63/37/45/852633745.db2.gz XLWNNTSGPOVJNP-LBELIVKGSA-N 0 1 277.368 0.405 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2c[nH]c(C)cc2=O)CC1 ZINC001052839558 852660818 /nfs/dbraw/zinc/66/08/18/852660818.db2.gz YCDJMJYUGQCMBX-ZDUSSCGKSA-N 0 1 287.363 0.901 20 30 CCEDMN C=CCCN1CC2(C1)CN(C(=O)c1cnc(C)[nH]1)CCO2 ZINC001053184772 852705758 /nfs/dbraw/zinc/70/57/58/852705758.db2.gz CHOONDGFYJANAW-UHFFFAOYSA-N 0 1 290.367 0.821 20 30 CCEDMN C=C(Cl)CN1CC[C@]2(C1)CCCN(C(=O)CC(N)=O)C2 ZINC001054104985 852883976 /nfs/dbraw/zinc/88/39/76/852883976.db2.gz MVEQQKNBCDRPLW-AWEZNQCLSA-N 0 1 299.802 0.929 20 30 CCEDMN C[C@H]1CN(C(=O)C#CC2CC2)C[C@H]1NCc1ccn(C)n1 ZINC001054639668 852987084 /nfs/dbraw/zinc/98/70/84/852987084.db2.gz VAFGUTNJTWMPAD-SWLSCSKDSA-N 0 1 286.379 0.770 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn3cncc3c2)C[C@H]1NCC#N ZINC001054862628 853026579 /nfs/dbraw/zinc/02/65/79/853026579.db2.gz HNQMXWVFYDUTNQ-SMDDNHRTSA-N 0 1 283.335 0.908 20 30 CCEDMN C#CCCCCC(=O)NC1CCN(CCNC(C)=O)CC1 ZINC001055482878 853079086 /nfs/dbraw/zinc/07/90/86/853079086.db2.gz CEZSWXWIPNIGRF-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN C=CCCC(=O)NC1CCN(CCNC(=O)COC)CC1 ZINC001055494947 853080079 /nfs/dbraw/zinc/08/00/79/853080079.db2.gz CANJEBQRZVKPQI-UHFFFAOYSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCCOCC(=O)NCCN1CCC(NC(C)=O)CC1 ZINC001055592182 853089555 /nfs/dbraw/zinc/08/95/55/853089555.db2.gz FGFHZQXNOZZACA-UHFFFAOYSA-N 0 1 297.399 0.296 20 30 CCEDMN N#Cc1cncc(N[C@@H]2CCN(C(=O)c3ccn[nH]3)C2)n1 ZINC001056558388 853170064 /nfs/dbraw/zinc/17/00/64/853170064.db2.gz ZKCAGDBQPXDSTR-SECBINFHSA-N 0 1 283.295 0.398 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CCN1c1ccc(C#N)cn1 ZINC001050516896 853390188 /nfs/dbraw/zinc/39/01/88/853390188.db2.gz OENYPWPBRDXYGI-KOLCDFICSA-N 0 1 297.322 0.469 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CCN1c1ccc(C#N)cn1 ZINC001050516896 853390194 /nfs/dbraw/zinc/39/01/94/853390194.db2.gz OENYPWPBRDXYGI-KOLCDFICSA-N 0 1 297.322 0.469 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CCN1c1ccncc1C#N ZINC001050516857 853390267 /nfs/dbraw/zinc/39/02/67/853390267.db2.gz MDFHZCXNTVTJTF-KOLCDFICSA-N 0 1 297.322 0.469 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CCN1c1ccncc1C#N ZINC001050516857 853390274 /nfs/dbraw/zinc/39/02/74/853390274.db2.gz MDFHZCXNTVTJTF-KOLCDFICSA-N 0 1 297.322 0.469 20 30 CCEDMN C=C(C)CCN1CCOC[C@H]1CNC(=O)c1ncn[nH]1 ZINC001051174984 853540352 /nfs/dbraw/zinc/54/03/52/853540352.db2.gz NGHCKLKKLACICX-LLVKDONJSA-N 0 1 279.344 0.202 20 30 CCEDMN C=C(C)CCN1CCOC[C@H]1CNC(=O)c1nc[nH]n1 ZINC001051174984 853540361 /nfs/dbraw/zinc/54/03/61/853540361.db2.gz NGHCKLKKLACICX-LLVKDONJSA-N 0 1 279.344 0.202 20 30 CCEDMN C#CCN1CCOC[C@H]1CNC(=O)c1n[nH]c2ccccc21 ZINC001051233853 853549957 /nfs/dbraw/zinc/54/99/57/853549957.db2.gz FWXHYEULUHQRSJ-GFCCVEGCSA-N 0 1 298.346 0.627 20 30 CCEDMN Cc1oncc1CNC[C@H]1CN(C(=O)[C@H](C)C#N)CCO1 ZINC001051494305 853597063 /nfs/dbraw/zinc/59/70/63/853597063.db2.gz HGHYVYQRTAPCNR-MFKMUULPSA-N 0 1 292.339 0.460 20 30 CCEDMN N#Cc1cnccc1NC1CC(CNC(=O)c2cnn[nH]2)C1 ZINC001052144435 853694290 /nfs/dbraw/zinc/69/42/90/853694290.db2.gz RXGOUAPHPBJGOY-UHFFFAOYSA-N 0 1 297.322 0.114 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](NC(=O)Cc3nnc[nH]3)C2)cn1 ZINC001058443644 853847229 /nfs/dbraw/zinc/84/72/29/853847229.db2.gz QSYIIDCBKOCZJH-NSHDSACASA-N 0 1 297.322 0.009 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ccc(C)o2)C[C@@H]1n1ccnn1 ZINC001070133232 854028709 /nfs/dbraw/zinc/02/87/09/854028709.db2.gz NLIXULMSWNOTAY-OLZOCXBDSA-N 0 1 299.334 0.468 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C(C)=C2CCC2)C[C@@H]1n1ccnn1 ZINC001070191821 854034855 /nfs/dbraw/zinc/03/48/55/854034855.db2.gz UZCKTLILGGEHBU-CABCVRRESA-N 0 1 299.378 0.753 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(CC)nn1)C2 ZINC001098119735 854069444 /nfs/dbraw/zinc/06/94/44/854069444.db2.gz CVKBQIIVHZTYPC-WZRBSPASSA-N 0 1 275.356 0.819 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H](F)CC)C[C@H]1c1cn(C)cn1 ZINC001070522505 854071417 /nfs/dbraw/zinc/07/14/17/854071417.db2.gz FAJCTIHCZUFWMJ-SGMGOOAPSA-N 0 1 292.358 0.685 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@@H](C)O2 ZINC001071167348 854132005 /nfs/dbraw/zinc/13/20/05/854132005.db2.gz XHPMBNJSPMHDKW-IUODEOHRSA-N 0 1 288.351 0.348 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@H](C)O2 ZINC001071167349 854132544 /nfs/dbraw/zinc/13/25/44/854132544.db2.gz XHPMBNJSPMHDKW-SWLSCSKDSA-N 0 1 288.351 0.348 20 30 CCEDMN C=CCCCC(=O)N1CCC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001071212869 854137493 /nfs/dbraw/zinc/13/74/93/854137493.db2.gz MUFNHBIJKNRKTB-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCCCC(=O)N1CCC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001071212869 854137497 /nfs/dbraw/zinc/13/74/97/854137497.db2.gz MUFNHBIJKNRKTB-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@@H]1C ZINC001071326101 854158439 /nfs/dbraw/zinc/15/84/39/854158439.db2.gz BUTJXIGNJCHGQH-WDEREUQCSA-N 0 1 276.340 0.951 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CN2CCOCC2)CC[C@@H]1C ZINC001071439590 854197157 /nfs/dbraw/zinc/19/71/57/854197157.db2.gz FQQIFQXHHSRKQG-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cc(=O)n(C)o2)CC[C@@H]1C ZINC001071454509 854204587 /nfs/dbraw/zinc/20/45/87/854204587.db2.gz MTXQAWVRENRSJL-RYUDHWBXSA-N 0 1 291.351 0.584 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@@H]1C ZINC001071497160 854221255 /nfs/dbraw/zinc/22/12/55/854221255.db2.gz CYCHKADUXZEUMG-JQWIXIFHSA-N 0 1 275.356 0.258 20 30 CCEDMN C=CC[N@@H+]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@@H]1C ZINC001071653224 854258415 /nfs/dbraw/zinc/25/84/15/854258415.db2.gz VFOTYPFCWSKULK-DTWKUNHWSA-N 0 1 293.327 0.052 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cn(CC)nn2)CC[C@H]1C ZINC001071698051 854267143 /nfs/dbraw/zinc/26/71/43/854267143.db2.gz KGBZYWOVTWDFOE-CHWSQXEVSA-N 0 1 289.383 0.443 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cn(C)c(=O)cn2)CC[C@H]1C ZINC001071694400 854267381 /nfs/dbraw/zinc/26/73/81/854267381.db2.gz GPHVOJOKGHYPMY-VXGBXAGGSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC[C@@H]1C ZINC001071747450 854278764 /nfs/dbraw/zinc/27/87/64/854278764.db2.gz DCSVXHWNWBBNNG-QSLWVIQJSA-N 0 1 262.353 0.481 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@@H]1C ZINC001071775321 854285110 /nfs/dbraw/zinc/28/51/10/854285110.db2.gz AEUOJJIGTFCSNV-QWRGUYRKSA-N 0 1 276.340 0.539 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@H]1C ZINC001071776523 854285167 /nfs/dbraw/zinc/28/51/67/854285167.db2.gz UVUYUHFPZKJEFU-NEPJUHHUSA-N 0 1 288.351 0.376 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@@H]1C ZINC001071803697 854296962 /nfs/dbraw/zinc/29/69/62/854296962.db2.gz QAHYISSXSPWUBL-QWRGUYRKSA-N 0 1 276.340 0.342 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2ccc(C)n2)CC[C@H]1C ZINC001071831352 854302461 /nfs/dbraw/zinc/30/24/61/854302461.db2.gz FEWABJWLIYMQHM-KGLIPLIRSA-N 0 1 274.368 0.794 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2c[nH]cn2)CC[C@@H]1C ZINC001071848552 854307934 /nfs/dbraw/zinc/30/79/34/854307934.db2.gz UJQCBXANBOZCBY-NWDGAFQWSA-N 0 1 260.341 0.555 20 30 CCEDMN C[C@@H]1CC[C@@H](NC(=O)Cc2cnc[nH]2)CN1CC#N ZINC001071847084 854307972 /nfs/dbraw/zinc/30/79/72/854307972.db2.gz HUWYRBWFHYNCDP-GHMZBOCLSA-N 0 1 261.329 0.445 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C2CC(=O)NC(=O)C2)CC[C@@H]1C ZINC001071894518 854313337 /nfs/dbraw/zinc/31/33/37/854313337.db2.gz ZRGGFKNSLBEECV-CMPLNLGQSA-N 0 1 293.367 0.194 20 30 CCEDMN C#CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001071988036 854331277 /nfs/dbraw/zinc/33/12/77/854331277.db2.gz KQUBIFQFOOIEEE-MNOVXSKESA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001071988036 854331285 /nfs/dbraw/zinc/33/12/85/854331285.db2.gz KQUBIFQFOOIEEE-MNOVXSKESA-N 0 1 289.339 0.185 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cn2cncn2)CC[C@@H]1C ZINC001072053693 854341485 /nfs/dbraw/zinc/34/14/85/854341485.db2.gz VASHZZPCGNQODQ-RYUDHWBXSA-N 0 1 263.345 0.433 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCCCO3)C2)C1 ZINC001072630880 854432965 /nfs/dbraw/zinc/43/29/65/854432965.db2.gz DMHCPUCTMDVAOP-CYBMUJFWSA-N 0 1 262.353 0.723 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCc3ccncn3)C2)C1 ZINC001072788346 854462393 /nfs/dbraw/zinc/46/23/93/854462393.db2.gz ISHGKDZIRROSTN-UHFFFAOYSA-N 0 1 284.363 0.577 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3nonc3C)C2)C1 ZINC001073486263 854563919 /nfs/dbraw/zinc/56/39/19/854563919.db2.gz YEOHWWNJQPKBIG-UHFFFAOYSA-N 0 1 274.324 0.549 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001073519234 854575085 /nfs/dbraw/zinc/57/50/85/854575085.db2.gz HVTCVKSAMQXVBN-ZIAGYGMSSA-N 0 1 280.368 0.396 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccc(=O)[nH]n2)C1 ZINC001073526809 854579365 /nfs/dbraw/zinc/57/93/65/854579365.db2.gz LURICHZKLFNQRX-LLVKDONJSA-N 0 1 292.339 0.189 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H]2CN(CC#N)CCCO2)c1C ZINC001073558710 854595872 /nfs/dbraw/zinc/59/58/72/854595872.db2.gz PZWIAXCWQJNWMX-GFCCVEGCSA-N 0 1 291.355 0.371 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cncnc2)C1 ZINC001073582267 854602606 /nfs/dbraw/zinc/60/26/06/854602606.db2.gz HLZRVJPFBSFHCA-ZDUSSCGKSA-N 0 1 276.340 0.483 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2csc(=O)[nH]2)C1 ZINC001073740706 854637474 /nfs/dbraw/zinc/63/74/74/854637474.db2.gz IUKJUKXWWGXKBX-JTQLQIEISA-N 0 1 297.380 0.855 20 30 CCEDMN C#CCN1CCCO[C@H](CNC(=O)c2[nH]c(C)nc2C)C1 ZINC001073769426 854642060 /nfs/dbraw/zinc/64/20/60/854642060.db2.gz GZRIRRLOJCWRSB-CYBMUJFWSA-N 0 1 290.367 0.480 20 30 CCEDMN C[C@@H](CNC(=O)CCc1cnc[nH]1)Nc1cncc(C#N)n1 ZINC001098344316 854859665 /nfs/dbraw/zinc/85/96/65/854859665.db2.gz JTSPKQAULRHQFW-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001098865852 854894254 /nfs/dbraw/zinc/89/42/54/854894254.db2.gz RZHOYBZCQTXALU-WWGRRREGSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001098991722 854904073 /nfs/dbraw/zinc/90/40/73/854904073.db2.gz NNCODJVIZZWYNN-ZENOOKHLSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccncn2)C1 ZINC001099084464 854912663 /nfs/dbraw/zinc/91/26/63/854912663.db2.gz WFQXCWQLBSSGTB-WFASDCNBSA-N 0 1 270.336 0.694 20 30 CCEDMN C#CCC1(C(=O)N[C@H]2CCN(CC=C)C[C@H]2O)CCC1 ZINC001099874998 855016185 /nfs/dbraw/zinc/01/61/85/855016185.db2.gz UEVRXGIDXJXQSO-UONOGXRCSA-N 0 1 276.380 0.917 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(CCOC)CC2)[C@@H](O)C1 ZINC001099874513 855017118 /nfs/dbraw/zinc/01/71/18/855017118.db2.gz MKVDYMKXGUUWAF-KGLIPLIRSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(COCC)CC2)[C@H](O)C1 ZINC001099897625 855024828 /nfs/dbraw/zinc/02/48/28/855024828.db2.gz VSXISRFDLSXYJA-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cccnc2)[C@@H](O)C1 ZINC001099909497 855028457 /nfs/dbraw/zinc/02/84/57/855028457.db2.gz UULRCIVSJLCZNG-GJZGRUSLSA-N 0 1 289.379 0.752 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CC)CC2)[C@H](O)C1 ZINC001099989698 855063121 /nfs/dbraw/zinc/06/31/21/855063121.db2.gz UEKHBXWQDSBDOT-NWDGAFQWSA-N 0 1 252.358 0.914 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001100949665 855246415 /nfs/dbraw/zinc/24/64/15/855246415.db2.gz FIIWNTLVSOKESO-ZJUUUORDSA-N 0 1 299.338 0.455 20 30 CCEDMN CCCCC(=O)NC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001101734790 855357556 /nfs/dbraw/zinc/35/75/56/855357556.db2.gz WQAAAGFUEVFZFU-CHWSQXEVSA-N 0 1 294.399 0.500 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CN(CC(=O)NCC=C)C[C@H]1C ZINC001101803767 855370381 /nfs/dbraw/zinc/37/03/81/855370381.db2.gz BYLGSEJCQOMYBY-ZIAGYGMSSA-N 0 1 293.411 0.939 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)C(C)(C)c1cnc[nH]1 ZINC001102514773 855443036 /nfs/dbraw/zinc/44/30/36/855443036.db2.gz MXZSABDXEOLKIY-VXGBXAGGSA-N 0 1 289.383 0.895 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3cnn[nH]3)CCC2)cn1 ZINC001111926557 855605427 /nfs/dbraw/zinc/60/54/27/855605427.db2.gz WHERQHFWKDHUNG-UHFFFAOYSA-N 0 1 297.322 0.836 20 30 CCEDMN Cc1nc(CC(=O)NCC2(NCC#N)CCCCC2)n[nH]1 ZINC001115529188 855678120 /nfs/dbraw/zinc/67/81/20/855678120.db2.gz DVAAREHPPZYBLG-UHFFFAOYSA-N 0 1 290.371 0.588 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCc3n[nH]cc3C2)CC1 ZINC001116388156 855757302 /nfs/dbraw/zinc/75/73/02/855757302.db2.gz ZVAJLLNMSDGDJL-LLVKDONJSA-N 0 1 287.367 0.619 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)c1ccn(-c2ccncc2)n1 ZINC001116795577 855836822 /nfs/dbraw/zinc/83/68/22/855836822.db2.gz KUJBUYQVLAJCMC-AWEZNQCLSA-N 0 1 285.307 0.926 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)N2CCC(CC#N)CC2)n[nH]1 ZINC001117348024 855950228 /nfs/dbraw/zinc/95/02/28/855950228.db2.gz ZVBRJWPRDAWWAW-UHFFFAOYSA-N 0 1 289.339 0.487 20 30 CCEDMN C#CCN(CC1CC1)C(=O)NC[C@H]1CN(C)CCN1C ZINC001117688217 856048058 /nfs/dbraw/zinc/04/80/58/856048058.db2.gz ABOIOCVRUBPIAV-AWEZNQCLSA-N 0 1 278.400 0.287 20 30 CCEDMN C#CC[C@@H](NC(=O)C(=O)N1CCN[C@H](C)C1)c1ccccc1 ZINC001117971879 856123911 /nfs/dbraw/zinc/12/39/11/856123911.db2.gz ITJHFBXQMLOQAP-UKRRQHHQSA-N 0 1 299.374 0.688 20 30 CCEDMN C=CCOCCNC(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC001118562931 856342463 /nfs/dbraw/zinc/34/24/63/856342463.db2.gz LNNDDFINDFNOCP-RYUDHWBXSA-N 0 1 295.383 0.047 20 30 CCEDMN COCC#CC(=O)N[C@H](C)c1n[nH]c(C(C)C)n1 ZINC001118695888 856399964 /nfs/dbraw/zinc/39/99/64/856399964.db2.gz XEJHZOGESYEAKH-SECBINFHSA-N 0 1 250.302 0.755 20 30 CCEDMN C#CCC1(O)CCN(C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001119271601 856606958 /nfs/dbraw/zinc/60/69/58/856606958.db2.gz YAYRSROXKGLFHZ-GFCCVEGCSA-N 0 1 271.382 0.271 20 30 CCEDMN N#Cc1cccc(CNC[C@@H]2CCS(=O)(=O)C2)n1 ZINC001119297879 856620209 /nfs/dbraw/zinc/62/02/09/856620209.db2.gz TUWUZHSTOYNFSP-JTQLQIEISA-N 0 1 265.338 0.478 20 30 CCEDMN C[C@@H](NC(=O)[C@@H]1CNCCO1)c1cccc(C#N)c1 ZINC001119321556 856631039 /nfs/dbraw/zinc/63/10/39/856631039.db2.gz IBFVOHYVZKGFSW-MFKMUULPSA-N 0 1 259.309 0.724 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CCC[N@H+]1C1CC1)C(=O)[O-] ZINC001119582457 856754691 /nfs/dbraw/zinc/75/46/91/856754691.db2.gz XRMMXGVXUUDFJX-GHMZBOCLSA-N 0 1 250.298 0.206 20 30 CCEDMN CCC#C[C@@H](C)[N@H+]1C[C@H](C(=O)OC)[C@H](C(=O)OC)C1 ZINC001120255986 857019476 /nfs/dbraw/zinc/01/94/76/857019476.db2.gz GJUHVKVWJXTBPS-UTUOFQBUSA-N 0 1 267.325 0.682 20 30 CCEDMN CCC#C[C@@H](C)N1C[C@H](C(=O)OC)[C@H](C(=O)OC)C1 ZINC001120255986 857019484 /nfs/dbraw/zinc/01/94/84/857019484.db2.gz GJUHVKVWJXTBPS-UTUOFQBUSA-N 0 1 267.325 0.682 20 30 CCEDMN CC(C)[C@]1(CO)CCN(CC(=O)NCCC#N)C1 ZINC001323879388 912560391 /nfs/dbraw/zinc/56/03/91/912560391.db2.gz MGSXNEBUINITNN-CYBMUJFWSA-N 0 1 253.346 0.357 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)C[C@@H](C)OC)CCO1 ZINC001393581815 912659733 /nfs/dbraw/zinc/65/97/33/912659733.db2.gz OIKKPLYNJKLTCK-NEPJUHHUSA-N 0 1 290.791 0.981 20 30 CCEDMN C=C(Cl)CN[C@]1(CO)CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001393686697 912716725 /nfs/dbraw/zinc/71/67/25/912716725.db2.gz APLXBHWIBVOBRY-GXFFZTMASA-N 0 1 285.775 0.842 20 30 CCEDMN CCn1nccc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001324381779 912813124 /nfs/dbraw/zinc/81/31/24/912813124.db2.gz OKDWIQDCWPTCKB-UHFFFAOYSA-N 0 1 264.314 0.334 20 30 CCEDMN C=C(Cl)CN1CC([C@@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001394250648 913099274 /nfs/dbraw/zinc/09/92/74/913099274.db2.gz SCTJEMNGBLKCEK-SECBINFHSA-N 0 1 283.763 0.536 20 30 CCEDMN Cc1c(CC(=O)NC2(C#N)CCN(C)CC2)cnn1C ZINC001324954456 913116637 /nfs/dbraw/zinc/11/66/37/913116637.db2.gz ZBTHPKGAPQMSOX-UHFFFAOYSA-N 0 1 275.356 0.375 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2c(C)noc2C)C1 ZINC001325065370 913182171 /nfs/dbraw/zinc/18/21/71/913182171.db2.gz CLHNNCNLQYHNCK-HNNXBMFYSA-N 0 1 291.351 0.481 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@H](C)n2cccc2)C1 ZINC001325085424 913199911 /nfs/dbraw/zinc/19/99/11/913199911.db2.gz LBOPZWYJLGCIPL-HOCLYGCPSA-N 0 1 289.379 0.625 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C2(CCF)CC2)C1 ZINC001325115740 913224088 /nfs/dbraw/zinc/22/40/88/913224088.db2.gz WXSYCYXOIIDXIH-OAHLLOKOSA-N 0 1 282.359 0.703 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)N1CCC(C)(C#N)CC1 ZINC001325652513 913510914 /nfs/dbraw/zinc/51/09/14/913510914.db2.gz OSCQZUYOKVBIEI-UHFFFAOYSA-N 0 1 289.339 0.487 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CN(C(=O)[C@@H]3C[C@@H]3C)C[C@@H]2C1 ZINC001325717352 913541545 /nfs/dbraw/zinc/54/15/45/913541545.db2.gz ZBNCCOLRSODOIS-IGQOVBAYSA-N 0 1 291.395 0.335 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H]1CCCOCC1 ZINC001480859397 891400699 /nfs/dbraw/zinc/40/06/99/891400699.db2.gz HPGVIVIJEOSFQE-AWEZNQCLSA-N 0 1 282.384 0.501 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)c2cscn2)CC1 ZINC001325784502 913574229 /nfs/dbraw/zinc/57/42/29/913574229.db2.gz QTZFTVGPFNDBRF-UHFFFAOYSA-N 0 1 293.396 0.450 20 30 CCEDMN C#CCN(C)CCNC(=O)CCOC1CCOCC1 ZINC001480934536 891882368 /nfs/dbraw/zinc/88/23/68/891882368.db2.gz NCCCAKTZVORHGS-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@](C)(NC(C)=O)C(C)C ZINC001481019743 892065259 /nfs/dbraw/zinc/06/52/59/892065259.db2.gz DLDGWYGNRCVCIE-OAHLLOKOSA-N 0 1 281.400 0.609 20 30 CCEDMN CCCN(C(=O)Cc1ccn[nH]1)[C@H]1CCN(CC#N)C1 ZINC001481395348 892610154 /nfs/dbraw/zinc/61/01/54/892610154.db2.gz OFDRKFTXKQADRE-ZDUSSCGKSA-N 0 1 275.356 0.789 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2cnnn2C)C1 ZINC001481483851 892735409 /nfs/dbraw/zinc/73/54/09/892735409.db2.gz JCRONQDYSXVPAH-NSHDSACASA-N 0 1 297.790 0.962 20 30 CCEDMN COCCCN1C[C@@H]2C[C@H]1CN2C(=O)CSCC#N ZINC001481665403 892979973 /nfs/dbraw/zinc/97/99/73/892979973.db2.gz YTTPYAHGTSAYSH-RYUDHWBXSA-N 0 1 283.397 0.565 20 30 CCEDMN CC#CCCCC(=O)N[C@H]1CCN(CCOCCO)C1 ZINC001481691896 893014107 /nfs/dbraw/zinc/01/41/07/893014107.db2.gz RIBXMWKWROKPBD-AWEZNQCLSA-N 0 1 282.384 0.379 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H]1CCN(CCn2cccn2)C1 ZINC001481718438 893083186 /nfs/dbraw/zinc/08/31/86/893083186.db2.gz BIVDUJVYMNASBS-OLZOCXBDSA-N 0 1 275.356 0.575 20 30 CCEDMN N#CCN1CCC(CCNC(=O)CCc2nc[nH]n2)CC1 ZINC001482040616 893324359 /nfs/dbraw/zinc/32/43/59/893324359.db2.gz VCNQHCAOKFWLPI-UHFFFAOYSA-N 0 1 290.371 0.479 20 30 CCEDMN C[C@@H](CNCc1cnon1)CNC(=O)[C@@H](C)C#N ZINC001482092368 893385925 /nfs/dbraw/zinc/38/59/25/893385925.db2.gz YEIVTJVCJMFRPM-IUCAKERBSA-N 0 1 251.290 0.071 20 30 CCEDMN C[C@@H](CNCC#N)CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001482135209 893421443 /nfs/dbraw/zinc/42/14/43/893421443.db2.gz FWYIISSRCRICCH-VHSXEESVSA-N 0 1 276.344 0.090 20 30 CCEDMN CC(C)c1nc(CN(C)CCN(C)C(=O)[C@@H](C)C#N)n[nH]1 ZINC001496678413 893451750 /nfs/dbraw/zinc/45/17/50/893451750.db2.gz AFVJNULQWUOIFX-NSHDSACASA-N 0 1 292.387 0.978 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)C2=COCCO2)C1 ZINC001271942148 894229039 /nfs/dbraw/zinc/22/90/39/894229039.db2.gz RBCGXELSMXZJHT-HNNXBMFYSA-N 0 1 296.367 0.394 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cc(OCC)n[nH]1 ZINC001482604224 894392874 /nfs/dbraw/zinc/39/28/74/894392874.db2.gz DXWJDAQULYOMNK-UHFFFAOYSA-N 0 1 278.356 0.836 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H](C)CCNCc1nncn1C ZINC001482828754 894631725 /nfs/dbraw/zinc/63/17/25/894631725.db2.gz SCYHXVOAGLWUTI-SMDDNHRTSA-N 0 1 295.387 0.127 20 30 CCEDMN C[C@H](CCNCc1ncccn1)NC(=O)[C@@H](C)C#N ZINC001482818487 894635238 /nfs/dbraw/zinc/63/52/38/894635238.db2.gz PIQYECTUGPBKRV-WDEREUQCSA-N 0 1 261.329 0.621 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)c1ccco1 ZINC001482973993 894739747 /nfs/dbraw/zinc/73/97/47/894739747.db2.gz HRCDBALXEDVPLU-ARJAWSKDSA-N 0 1 277.324 0.457 20 30 CCEDMN C[C@H](C#N)C(=O)NC/C=C/CNCC(=O)N1CCCCC1 ZINC001482991076 894781880 /nfs/dbraw/zinc/78/18/80/894781880.db2.gz LZSAZQWTRKRJKE-ITDFMYJTSA-N 0 1 292.383 0.421 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)C#CC(C)C ZINC001483006283 894795501 /nfs/dbraw/zinc/79/55/01/894795501.db2.gz QBWYRGDRTTXORP-AATRIKPKSA-N 0 1 277.368 0.210 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cnn(C)c1N ZINC001493521672 894825615 /nfs/dbraw/zinc/82/56/15/894825615.db2.gz BHMSXRCDFWPGLK-UHFFFAOYSA-N 0 1 271.752 0.416 20 30 CCEDMN CC(C)[C@@H]1C[C@@H]1C(=O)NC/C=C\CNCC(=O)NCC#N ZINC001483077872 894880729 /nfs/dbraw/zinc/88/07/29/894880729.db2.gz WLRHOFDLFFWYNU-LDIIPZEWSA-N 0 1 292.383 0.180 20 30 CCEDMN CCn1nncc1CN[C@H](C)CN(C)C(=O)[C@H](C)C#N ZINC001507058279 895136952 /nfs/dbraw/zinc/13/69/52/895136952.db2.gz ABOZOWJUBJXESI-GHMZBOCLSA-N 0 1 278.360 0.394 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc(C(N)=O)o1 ZINC001483364440 895500997 /nfs/dbraw/zinc/50/09/97/895500997.db2.gz INJVDLOXVWIQMT-SNVBAGLBSA-N 0 1 277.324 0.452 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001483386293 895525532 /nfs/dbraw/zinc/52/55/32/895525532.db2.gz CLMCGSQKGBOGQX-AEGPPILISA-N 0 1 288.395 0.983 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@]1(C)CCOC1 ZINC001483400188 895540722 /nfs/dbraw/zinc/54/07/22/895540722.db2.gz CTAKSJQZKMUQGG-TZMCWYRMSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CCOC1CCOCC1 ZINC001483692325 895865925 /nfs/dbraw/zinc/86/59/25/895865925.db2.gz XSGVARAROSGTLK-CQSZACIVSA-N 0 1 294.395 0.786 20 30 CCEDMN C=C1CC(C)(C(=O)NCC2(N[C@@H](C)C(=O)NC)CC2)C1 ZINC001483765744 896030859 /nfs/dbraw/zinc/03/08/59/896030859.db2.gz CEDLOEXIZWYDHK-NSHDSACASA-N 0 1 279.384 0.716 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)COCC(F)F ZINC001483810300 896063007 /nfs/dbraw/zinc/06/30/07/896063007.db2.gz SFGUEIQWYBADPH-NEPJUHHUSA-N 0 1 286.322 0.967 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@](C)(NC(=O)[C@H](COC)OC)C1 ZINC001484056419 896178718 /nfs/dbraw/zinc/17/87/18/896178718.db2.gz BFXBVPWQCRPAEK-GXTWGEPZSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CC2CCCC2)C1 ZINC001484201547 896242606 /nfs/dbraw/zinc/24/26/06/896242606.db2.gz FPMFRBUOATUPSF-HNNXBMFYSA-N 0 1 264.369 0.753 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2[nH]ccc2C)C1 ZINC001484216291 896248329 /nfs/dbraw/zinc/24/83/29/896248329.db2.gz LFAGSUWBENYFIV-HNNXBMFYSA-N 0 1 275.352 0.513 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](O)(CNC(=O)c2ccc(C#C)cc2)C1 ZINC001484230339 896261751 /nfs/dbraw/zinc/26/17/51/896261751.db2.gz QBMQVBIZCACDAA-QGZVFWFLSA-N 0 1 282.343 0.468 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccc(C#C)cc2)C1 ZINC001484230339 896261765 /nfs/dbraw/zinc/26/17/65/896261765.db2.gz QBMQVBIZCACDAA-QGZVFWFLSA-N 0 1 282.343 0.468 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@@H](CNCc2ncnn2C)C1 ZINC001484363590 896360221 /nfs/dbraw/zinc/36/02/21/896360221.db2.gz LAUFBXABZSTSRE-STQMWFEESA-N 0 1 291.399 0.965 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)COC(C)C)C1 ZINC001484429743 896397125 /nfs/dbraw/zinc/39/71/25/896397125.db2.gz DYOAJQFXSKFVQG-CQSZACIVSA-N 0 1 270.373 0.541 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2cocn2)CCO1 ZINC001484670385 896526749 /nfs/dbraw/zinc/52/67/49/896526749.db2.gz CKDFQTPQOLVTHE-SNVBAGLBSA-N 0 1 285.731 0.858 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@]2(C1)CCCN(C(C)=O)C2 ZINC001484826994 896614840 /nfs/dbraw/zinc/61/48/40/896614840.db2.gz YQAXPMVJMBCSCL-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CCC(=O)NCC[C@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001484993205 896710001 /nfs/dbraw/zinc/71/00/01/896710001.db2.gz GJFQZYAPWZNQMR-NSHDSACASA-N 0 1 295.387 0.449 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H]2CCC[C@H]2OC)CC1 ZINC001485020532 896723968 /nfs/dbraw/zinc/72/39/68/896723968.db2.gz PIFNDKMFQKRXHG-UONOGXRCSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CN(C)C(C)=O ZINC001485047006 896732680 /nfs/dbraw/zinc/73/26/80/896732680.db2.gz HAZRHFMGKUBMEK-CYBMUJFWSA-N 0 1 265.357 0.021 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H]1CN(C)[C@H]1CCNC1=O ZINC001485050353 896738161 /nfs/dbraw/zinc/73/81/61/896738161.db2.gz MPFGHYYBRFCRHO-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CCN(C)C1=O ZINC001485066819 896742724 /nfs/dbraw/zinc/74/27/24/896742724.db2.gz BTCIQHPCZIVPLA-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H](C)CNC(=O)COCC)C1=O ZINC001485271875 896908799 /nfs/dbraw/zinc/90/87/99/896908799.db2.gz ZRRNODAXKCXVEZ-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cc(OC)no1 ZINC001485294288 896925295 /nfs/dbraw/zinc/92/52/95/896925295.db2.gz VMJNBUVCEMYOHY-VIFPVBQESA-N 0 1 251.286 0.367 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ncn(-c2ccccc2)n1 ZINC001485313880 896935439 /nfs/dbraw/zinc/93/54/39/896935439.db2.gz STQUOHQKLJRFSD-ZDUSSCGKSA-N 0 1 297.362 0.951 20 30 CCEDMN COCC#CC[NH+](C)[C@H](C)CNC(=O)[C@H]1CCC[N@@H+]1C ZINC001485340642 896967523 /nfs/dbraw/zinc/96/75/23/896967523.db2.gz XIQBWSUZQFXYBM-ZIAGYGMSSA-N 0 1 281.400 0.167 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001485526250 897107571 /nfs/dbraw/zinc/10/75/71/897107571.db2.gz IBASKTUEEDYVKE-PWSUYJOCSA-N 0 1 265.357 0.278 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)CCOC)C2)C1 ZINC001485554213 897115474 /nfs/dbraw/zinc/11/54/74/897115474.db2.gz ZIQYFCBAIPVFHC-OAHLLOKOSA-N 0 1 282.384 0.902 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)CO[C@H]2CCOC2)C(C)(C)C1 ZINC001485568731 897120544 /nfs/dbraw/zinc/12/05/44/897120544.db2.gz GNOPAIPOYDKZDD-UONOGXRCSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2cn[nH]c2)C(C)(C)C1 ZINC001485576546 897134397 /nfs/dbraw/zinc/13/43/97/897134397.db2.gz BSGHZGYFRVSRTN-ZDUSSCGKSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCOCCC(=O)NC[C@]1(C)CN(CC2CC2)CCO1 ZINC001107940169 897527778 /nfs/dbraw/zinc/52/77/78/897527778.db2.gz PIPZOLGZPMVMBQ-MRXNPFEDSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1COCCN1CC ZINC001032488326 897645244 /nfs/dbraw/zinc/64/52/44/897645244.db2.gz YXYUYZBSVKUUES-KKUMJFAQSA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCNC(=O)CC1 ZINC001032541998 897726212 /nfs/dbraw/zinc/72/62/12/897726212.db2.gz JFXXUJOYFAEUSH-IHRRRGAJSA-N 0 1 289.379 0.211 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)[C@@H](O)C1 ZINC001083793393 897852867 /nfs/dbraw/zinc/85/28/67/897852867.db2.gz OZKFZZKLKBISML-POQQGIQPSA-N 0 1 280.368 0.291 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)c1ncn[nH]1 ZINC001077830729 897878438 /nfs/dbraw/zinc/87/84/38/897878438.db2.gz WOBQMKCTFVKGFA-JTQLQIEISA-N 0 1 277.328 0.089 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)c1nc[nH]n1 ZINC001077830729 897878450 /nfs/dbraw/zinc/87/84/50/897878450.db2.gz WOBQMKCTFVKGFA-JTQLQIEISA-N 0 1 277.328 0.089 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C2CC2)[nH]n1 ZINC001032644618 897893825 /nfs/dbraw/zinc/89/38/25/897893825.db2.gz NCDYTXGXKPGPNQ-QWRGUYRKSA-N 0 1 271.324 0.709 20 30 CCEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnon1 ZINC001032691633 897939321 /nfs/dbraw/zinc/93/93/21/897939321.db2.gz HHQYNXAFHGHXRI-QWRGUYRKSA-N 0 1 262.313 0.935 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@]2(C)CCCOC2)C1 ZINC001077905025 898031674 /nfs/dbraw/zinc/03/16/74/898031674.db2.gz BFMYJRLBJTZXPD-UMVBOHGHSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)c2c(C)n[nH]c2C(C)C)C1 ZINC001077967151 898124411 /nfs/dbraw/zinc/12/44/11/898124411.db2.gz SSRJWDWARNJSRM-VXGBXAGGSA-N 0 1 292.383 0.802 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C(C)C)n[nH]2)[C@@H](O)C1 ZINC001083796594 898137687 /nfs/dbraw/zinc/13/76/87/898137687.db2.gz LTBLQOWZDLFYIN-KGLIPLIRSA-N 0 1 290.367 0.331 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C(N)=O)cc1 ZINC001032777936 898167688 /nfs/dbraw/zinc/16/76/88/898167688.db2.gz VQKPWXWUTZIOJR-GJZGRUSLSA-N 0 1 297.358 0.708 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@H](NC(=O)c2n[nH]c3ccccc32)C1 ZINC001078115828 898241084 /nfs/dbraw/zinc/24/10/84/898241084.db2.gz XOJXVUVHYYHLFK-ZIAGYGMSSA-N 0 1 298.346 0.361 20 30 CCEDMN C=CCN1CCO[C@@](C)(CNC(=O)[C@H]2CCCCN2C)C1 ZINC001108031039 898245008 /nfs/dbraw/zinc/24/50/08/898245008.db2.gz WRFWKDLGXJBXCQ-ZBFHGGJFSA-N 0 1 295.427 0.864 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C[C@@H]1C=CCC1 ZINC001485973035 898547285 /nfs/dbraw/zinc/54/72/85/898547285.db2.gz QVUZOBGWNRZOEP-CHWSQXEVSA-N 0 1 250.342 0.433 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@](C)(F)CCCC ZINC001486010675 898605304 /nfs/dbraw/zinc/60/53/04/898605304.db2.gz LYZZBNKYYIOOBR-WCQYABFASA-N 0 1 258.337 0.605 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CC1(C)CCCC1 ZINC001485992652 898580329 /nfs/dbraw/zinc/58/03/29/898580329.db2.gz QPINGTYANSLSLK-LBPRGKRZSA-N 0 1 252.358 0.657 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)Cc1ccc(C)cc1 ZINC001486014273 898590901 /nfs/dbraw/zinc/59/09/01/898590901.db2.gz GBKDEGTXECNYGS-OAHLLOKOSA-N 0 1 274.364 0.628 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H]1CCCCC1(F)F ZINC001486019924 898591995 /nfs/dbraw/zinc/59/19/95/898591995.db2.gz RQOMXISYVJMIQN-NEPJUHHUSA-N 0 1 288.338 0.902 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H]1COc2ccccc21 ZINC001486065060 898632003 /nfs/dbraw/zinc/63/20/03/898632003.db2.gz FAKIJDJTEHFIHA-TZMCWYRMSA-N 0 1 288.347 0.253 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@@H](NC(=O)COC)[C@H]1C ZINC001486166642 898691495 /nfs/dbraw/zinc/69/14/95/898691495.db2.gz MIBFRXBTELGIPD-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cnn(C(C)C)c1 ZINC001486355829 898814583 /nfs/dbraw/zinc/81/45/83/898814583.db2.gz KWVBZNMKPYMWDZ-CQSZACIVSA-N 0 1 292.383 0.462 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@H]1CCC(=O)NC1)C(C)C ZINC001486370927 898835861 /nfs/dbraw/zinc/83/58/61/898835861.db2.gz DCAHIKNPXQCELN-ZDUSSCGKSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN1CC=C(CNC(=O)Cc2cnc(C)o2)CC1 ZINC001486510052 898917265 /nfs/dbraw/zinc/91/72/65/898917265.db2.gz HXRPWTMSUSVAKM-UHFFFAOYSA-N 0 1 273.336 0.907 20 30 CCEDMN C=CCCC(=O)NCC1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001486624658 898938805 /nfs/dbraw/zinc/93/88/05/898938805.db2.gz RIIHMPMBGZPQGX-UHFFFAOYSA-N 0 1 290.367 0.929 20 30 CCEDMN C=CCCC(=O)N[C@H](C)[C@H](C)NC(=O)C1=NC(=O)N(C)C1 ZINC001486667632 898957864 /nfs/dbraw/zinc/95/78/64/898957864.db2.gz YOFNSJLTZDMRHD-ZJUUUORDSA-N 0 1 294.355 0.715 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)N(C)C(=O)Cc1c[nH]cn1 ZINC001486861689 899059614 /nfs/dbraw/zinc/05/96/14/899059614.db2.gz HVTCSJYTWRRZLS-GFCCVEGCSA-N 0 1 290.367 0.575 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)CCn2cncn2)C1 ZINC001494339788 899071193 /nfs/dbraw/zinc/07/11/93/899071193.db2.gz HWUCHMKOUJWURU-LBPRGKRZSA-N 0 1 277.372 0.823 20 30 CCEDMN C[C@H](CNC(=O)CN1CCC(C)CC1)NC(=O)[C@@H](C)C#N ZINC001410278868 899312676 /nfs/dbraw/zinc/31/26/76/899312676.db2.gz MYVFRYWRRGZDKH-QWHCGFSZSA-N 0 1 294.399 0.499 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COC[C@@H]2CCCO2)C1 ZINC001494738128 899583399 /nfs/dbraw/zinc/58/33/99/899583399.db2.gz JEIZXFQQRSJBHE-STQMWFEESA-N 0 1 268.357 0.559 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H]1CC12CC2 ZINC001411095371 899680590 /nfs/dbraw/zinc/68/05/90/899680590.db2.gz IHHWVVIFBKJCRF-GHMZBOCLSA-N 0 1 272.776 0.948 20 30 CCEDMN CNC(=O)CN1CCC([C@H](C)NC(=O)[C@H](C)C#N)CC1 ZINC001411228803 899744158 /nfs/dbraw/zinc/74/41/58/899744158.db2.gz CLAVQRSFGQCQHH-MNOVXSKESA-N 0 1 280.372 0.109 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](NC(=O)/C(C)=C/C)CC1 ZINC001327113595 914383097 /nfs/dbraw/zinc/38/30/97/914383097.db2.gz MTXJVZAUASZNGQ-BRRGFWCPSA-N 0 1 291.395 0.673 20 30 CCEDMN CCOC(=O)c1cc(NS(=O)(=O)[C@H](C)C#N)ccn1 ZINC001193106594 900031712 /nfs/dbraw/zinc/03/17/12/900031712.db2.gz HKXNCEYDSSFUIQ-MRVPVSSYSA-N 0 1 283.309 0.334 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)N1CCCN(CCO)CC1 ZINC001196829008 900057655 /nfs/dbraw/zinc/05/76/55/900057655.db2.gz BSOPGFCYSDHWLO-STQMWFEESA-N 0 1 254.374 0.971 20 30 CCEDMN C#C[C@@H](CO)NS(=O)(=O)c1ccc(Br)o1 ZINC000867339250 900079714 /nfs/dbraw/zinc/07/97/14/900079714.db2.gz DQCPFLILDDVXGP-LURJTMIESA-N 0 1 294.126 0.315 20 30 CCEDMN C=CCCC(=O)N(C)C[C@H](C)NC(=O)c1ncn[nH]1 ZINC001487728870 900115637 /nfs/dbraw/zinc/11/56/37/900115637.db2.gz STQHIDBMSYWCFH-VIFPVBQESA-N 0 1 265.317 0.348 20 30 CCEDMN C=CCCC(=O)N(C)C[C@H](C)NC(=O)c1nc[nH]n1 ZINC001487728870 900115642 /nfs/dbraw/zinc/11/56/42/900115642.db2.gz STQHIDBMSYWCFH-VIFPVBQESA-N 0 1 265.317 0.348 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)C#CC2CC2)CCN1C(=O)c1ccn[nH]1 ZINC001488118745 900226251 /nfs/dbraw/zinc/22/62/51/900226251.db2.gz PXGWQHDXMPJRNN-PWSUYJOCSA-N 0 1 286.335 0.542 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C[C@](C)(O)C=C)C1 ZINC001489158050 900444461 /nfs/dbraw/zinc/44/44/61/900444461.db2.gz QIJUTTAPNYIJED-GDBMZVCRSA-N 0 1 294.395 0.232 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)CCc2cnc[nH]2)CCN1CC#N ZINC001489269679 900462503 /nfs/dbraw/zinc/46/25/03/900462503.db2.gz HTSCPLAJMVDWAF-PWSUYJOCSA-N 0 1 261.329 0.445 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H]1CN(C)C(=O)c1ccn[nH]1 ZINC001489715676 900508884 /nfs/dbraw/zinc/50/88/84/900508884.db2.gz PHKKLBSCDJKJTD-LLVKDONJSA-N 0 1 292.339 0.285 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@@H]1CCN1C(=O)c1ccn[nH]1 ZINC001489780577 900519920 /nfs/dbraw/zinc/51/99/20/900519920.db2.gz DTVFBVNIINECFU-UWVGGRQHSA-N 0 1 275.312 0.242 20 30 CCEDMN C=C(C)CN(C)CCCNC(=O)CCS(C)(=O)=O ZINC001490215711 900553198 /nfs/dbraw/zinc/55/31/98/900553198.db2.gz UMKKNILNIUQRMK-UHFFFAOYSA-N 0 1 276.402 0.435 20 30 CCEDMN CN(CCF)CCCNC(=O)c1c[nH]c(C#N)c1 ZINC001490183241 900560857 /nfs/dbraw/zinc/56/08/57/900560857.db2.gz GAJLXTHEEYYFEA-UHFFFAOYSA-N 0 1 252.293 0.908 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN([C@H](C)C(=O)N(C)C)C1CC1 ZINC001490368278 900608846 /nfs/dbraw/zinc/60/88/46/900608846.db2.gz YUKKGRAMRWPBIZ-VXGBXAGGSA-N 0 1 294.399 0.593 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)c2cnco2)CC1 ZINC001490468686 900630545 /nfs/dbraw/zinc/63/05/45/900630545.db2.gz MNGFOPLZVPIMOO-UHFFFAOYSA-N 0 1 276.340 0.045 20 30 CCEDMN C=C(C)CCC(=O)NCCN1CCN(C[C@H](C)O)CC1 ZINC001490493712 900640105 /nfs/dbraw/zinc/64/01/05/900640105.db2.gz QRTXGLRVQZDRRK-AWEZNQCLSA-N 0 1 283.416 0.457 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)c2cc(C)no2)CC1 ZINC001490463258 900642946 /nfs/dbraw/zinc/64/29/46/900642946.db2.gz PKFKGTACINDFGP-UHFFFAOYSA-N 0 1 292.383 0.907 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCN([C@H](C)c2n[nH]c(C)n2)C1 ZINC001490529529 900646767 /nfs/dbraw/zinc/64/67/67/900646767.db2.gz HTBCBRSMLQTBGS-PWSUYJOCSA-N 0 1 293.371 0.567 20 30 CCEDMN COCCN1CCCN(C(=O)c2ccc(C#N)n2C)CC1 ZINC001411693771 901200416 /nfs/dbraw/zinc/20/04/16/901200416.db2.gz GPHAONWLUMYSSN-UHFFFAOYSA-N 0 1 290.367 0.691 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)Nc1nn[nH]c1C(N)=O ZINC001412080145 901452372 /nfs/dbraw/zinc/45/23/72/901452372.db2.gz BPVKLVKWNKXJRA-UHFFFAOYSA-N 0 1 270.252 0.336 20 30 CCEDMN CCC(CC)(NC(=O)c1ccc(C#N)nc1)c1nn[nH]n1 ZINC001412108228 901474910 /nfs/dbraw/zinc/47/49/10/901474910.db2.gz JPHKHZBCRJPOBE-UHFFFAOYSA-N 0 1 285.311 0.912 20 30 CCEDMN N#Cc1c(F)cccc1C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001413552277 903038036 /nfs/dbraw/zinc/03/80/36/903038036.db2.gz JKTVWCVPNBOANZ-GFCCVEGCSA-N 0 1 274.255 0.884 20 30 CCEDMN N#CC1(CC(=O)NC[C@H](O)c2cnc[nH]2)CCOCC1 ZINC001413565874 903055128 /nfs/dbraw/zinc/05/51/28/903055128.db2.gz UQGUZVGMUOBVQD-NSHDSACASA-N 0 1 278.312 0.270 20 30 CCEDMN C[C@H](NCCCC1(C#N)CCOCC1)c1nnnn1C ZINC001308135182 903242268 /nfs/dbraw/zinc/24/22/68/903242268.db2.gz MOXKVNBXYOBMHJ-NSHDSACASA-N 0 1 278.360 0.961 20 30 CCEDMN CCn1cc(CN[C@H]2C[C@@H](NC(=O)[C@@H](C)C#N)C2)nn1 ZINC001398611195 914742065 /nfs/dbraw/zinc/74/20/65/914742065.db2.gz LKRSPSGMVQNYOI-GARJFASQSA-N 0 1 276.344 0.194 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)CNC(=O)CC ZINC001231273108 903935728 /nfs/dbraw/zinc/93/57/28/903935728.db2.gz TZJOVAPEBABLTD-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@@H]2C[C@@H]2OCC)CC1 ZINC001281799617 903980567 /nfs/dbraw/zinc/98/05/67/903980567.db2.gz YETDPEDNFFAFFO-KGLIPLIRSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)[C@H](OCC)[C@H]1CCOC1 ZINC001280836518 904155584 /nfs/dbraw/zinc/15/55/84/904155584.db2.gz XQXQLYHDJMQKAL-LSDHHAIUSA-N 0 1 296.411 0.842 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@]1(F)CCOC1 ZINC001316607661 904180252 /nfs/dbraw/zinc/18/02/52/904180252.db2.gz TWBFPFZBSHBNMY-OCCSQVGLSA-N 0 1 268.332 0.671 20 30 CCEDMN Cc1nc(CC(=O)N[C@@]2(C#N)CCSC2)n[nH]1 ZINC001327828556 914792304 /nfs/dbraw/zinc/79/23/04/914792304.db2.gz DZPMJTUKNAXLHZ-SNVBAGLBSA-N 0 1 251.315 0.171 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)N(CC)C(=O)c1ccn[nH]1 ZINC001281478507 904293905 /nfs/dbraw/zinc/29/39/05/904293905.db2.gz USGMNIBFQSHVGJ-LLVKDONJSA-N 0 1 294.355 0.579 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CN(C)C(C)=O)C(C)(C)C1 ZINC001281543486 904304028 /nfs/dbraw/zinc/30/40/28/904304028.db2.gz ZZCQOXIYQKSOOD-ZDUSSCGKSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)CC(F)(F)F)CC1 ZINC001281798793 904350495 /nfs/dbraw/zinc/35/04/95/904350495.db2.gz JAUZRURLDUWFQX-UHFFFAOYSA-N 0 1 278.274 0.515 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc2ncnn21 ZINC001281949016 904375739 /nfs/dbraw/zinc/37/57/39/904375739.db2.gz CPQGRICKHQAFNU-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CCCC(=O)N1C ZINC001281968343 904379984 /nfs/dbraw/zinc/37/99/84/904379984.db2.gz JUGKWAOUTCQWOD-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C[C@@H](NCC#N)[C@H](C)NC(=O)c1ccn(-c2ccncc2)n1 ZINC001282154885 904422552 /nfs/dbraw/zinc/42/25/52/904422552.db2.gz DHSSWZUSFZHYBP-NEPJUHHUSA-N 0 1 298.350 0.887 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)CC[C@@H]1CCOC1 ZINC001282374308 904461341 /nfs/dbraw/zinc/46/13/41/904461341.db2.gz XVHOZPMULPXSDZ-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)Cc2cnn(CC)c2)C1 ZINC001282737721 904534513 /nfs/dbraw/zinc/53/45/13/904534513.db2.gz CLIOZKNATNAOHK-CYBMUJFWSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COc2cc(C)on2)C(C)(C)C1 ZINC001282812082 904546802 /nfs/dbraw/zinc/54/68/02/904546802.db2.gz SCILBIZAOKFLQZ-GFCCVEGCSA-N 0 1 291.351 0.822 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNC(=O)c1cnn[nH]1 ZINC001283104967 904693918 /nfs/dbraw/zinc/69/39/18/904693918.db2.gz FCXJPDSHPAWDOQ-BDAKNGLRSA-N 0 1 265.317 0.109 20 30 CCEDMN C#CCCCC(=O)NCCCNC(=O)[C@@H]1CCCN1C ZINC001283209280 904757666 /nfs/dbraw/zinc/75/76/66/904757666.db2.gz UTDYWESDJHRYBX-ZDUSSCGKSA-N 0 1 279.384 0.507 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CC1=CCCCC1 ZINC001283747198 904989493 /nfs/dbraw/zinc/98/94/93/904989493.db2.gz SFJBXBXRCGLPEC-CQSZACIVSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(F)c(C)c1 ZINC001283795688 905018101 /nfs/dbraw/zinc/01/81/01/905018101.db2.gz FNJJRTXEVKFEJU-ZDUSSCGKSA-N 0 1 278.327 0.838 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1[nH]nc2ccccc21 ZINC001283824543 905039320 /nfs/dbraw/zinc/03/93/20/905039320.db2.gz LTJAEKMGDNMFCF-NSHDSACASA-N 0 1 286.335 0.267 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(N(C)C)c(F)c1 ZINC001283830675 905046632 /nfs/dbraw/zinc/04/66/32/905046632.db2.gz DZGWFAAPXMUDTN-LBPRGKRZSA-N 0 1 293.342 0.205 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H](C)OC)CCC[C@H]12 ZINC001284043383 905130333 /nfs/dbraw/zinc/13/03/33/905130333.db2.gz AZMVONSTIPCQQK-MBNYWOFBSA-N 0 1 250.342 0.768 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H](C)NC(=O)c2cnn[nH]2)cc1 ZINC001284298211 905242313 /nfs/dbraw/zinc/24/23/13/905242313.db2.gz XNRXUTDFUJMVLZ-JTQLQIEISA-N 0 1 297.318 0.334 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001284307423 905246267 /nfs/dbraw/zinc/24/62/67/905246267.db2.gz YVHDORMXCWNRIN-SNVBAGLBSA-N 0 1 277.328 0.233 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001284307423 905246283 /nfs/dbraw/zinc/24/62/83/905246283.db2.gz YVHDORMXCWNRIN-SNVBAGLBSA-N 0 1 277.328 0.233 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)CSC(C)C ZINC001284481274 905312310 /nfs/dbraw/zinc/31/23/10/905312310.db2.gz FXVIGQNHKGEXHJ-ZDUSSCGKSA-N 0 1 286.441 0.902 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H](C)OCC1CC1 ZINC001284483525 905313055 /nfs/dbraw/zinc/31/30/55/905313055.db2.gz UAAWBEAVFKIMNO-OCCSQVGLSA-N 0 1 282.384 0.186 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@]12CCC[C@H]1OCC2 ZINC001284506568 905340394 /nfs/dbraw/zinc/34/03/94/905340394.db2.gz VEWOOSQNRKHRGM-FMKPAKJESA-N 0 1 294.395 0.330 20 30 CCEDMN CC#CCCCC(=O)N(C)CCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001284523019 905358006 /nfs/dbraw/zinc/35/80/06/905358006.db2.gz ZOGKKFPNLLQYRR-VXGBXAGGSA-N 0 1 295.339 0.420 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC(NC(=O)C#CC2CC2)C1 ZINC001284904227 905480040 /nfs/dbraw/zinc/48/00/40/905480040.db2.gz WXEMHXAOURWOJB-AWEZNQCLSA-N 0 1 289.379 0.211 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C[C@@H]1CCNC1=O ZINC001378245991 905535757 /nfs/dbraw/zinc/53/57/57/905535757.db2.gz ASIKUAJRRCIHJJ-WDEREUQCSA-N 0 1 287.791 0.750 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH]cn1 ZINC001285656465 905708686 /nfs/dbraw/zinc/70/86/86/905708686.db2.gz ZCSFBROZHQFQKE-LBPRGKRZSA-N 0 1 290.367 0.719 20 30 CCEDMN NCc1nc2c(s1)CCN(C(=O)C#CC1CC1)C2 ZINC001337051875 921170601 /nfs/dbraw/zinc/17/06/01/921170601.db2.gz AZVKEZSUGFNXSQ-UHFFFAOYSA-N 0 1 261.350 0.900 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CNC(=O)CN1CCCC1 ZINC001285726880 905745355 /nfs/dbraw/zinc/74/53/55/905745355.db2.gz HYRSHXYNZUSHKT-ZDUSSCGKSA-N 0 1 279.384 0.363 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC[C@H](C)NC(=O)CN1CCCC1 ZINC001285731913 905749453 /nfs/dbraw/zinc/74/94/53/905749453.db2.gz XISASSZWADGTIB-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cnn(C)c1C ZINC001379165462 906030287 /nfs/dbraw/zinc/03/02/87/906030287.db2.gz MHLWXTSMVIUZQC-SNVBAGLBSA-N 0 1 286.763 0.161 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CNCc1cc2n(n1)CCC2 ZINC001379345415 906170771 /nfs/dbraw/zinc/17/07/71/906170771.db2.gz ZLUKOUZRGKUCFY-GHMZBOCLSA-N 0 1 275.356 0.583 20 30 CCEDMN C=CCC1(O)CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001334354873 906181929 /nfs/dbraw/zinc/18/19/29/906181929.db2.gz ABYKSYIRZFPBGQ-UHFFFAOYSA-N 0 1 250.302 0.277 20 30 CCEDMN C=C(C)CCC(=O)NCCNC(=O)Cc1n[nH]c(C)n1 ZINC001292717729 906329676 /nfs/dbraw/zinc/32/96/76/906329676.db2.gz KKUYOASPLNSCNX-UHFFFAOYSA-N 0 1 279.344 0.244 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1nc(C)c[nH]1 ZINC001379838505 906499511 /nfs/dbraw/zinc/49/95/11/906499511.db2.gz GVANUHWTSGUDOE-SNVBAGLBSA-N 0 1 286.763 0.493 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001294733186 906623958 /nfs/dbraw/zinc/62/39/58/906623958.db2.gz WGDAEKNTMIWAOQ-HZMBPMFUSA-N 0 1 292.339 0.068 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCc1nc(CSC)n[nH]1 ZINC001337650791 921281149 /nfs/dbraw/zinc/28/11/49/921281149.db2.gz ITLMJWSJJGEKAC-MRVPVSSYSA-N 0 1 285.373 0.668 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCc1nnc(CSC)[nH]1 ZINC001337650791 921281150 /nfs/dbraw/zinc/28/11/50/921281150.db2.gz ITLMJWSJJGEKAC-MRVPVSSYSA-N 0 1 285.373 0.668 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)Cc2ccon2)CCO1 ZINC001380905345 906987046 /nfs/dbraw/zinc/98/70/46/906987046.db2.gz RRQDXIQBDIDYLM-GFCCVEGCSA-N 0 1 299.758 0.787 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)N1CC(NC(=O)c2ncn[nH]2)C1 ZINC001297120828 907023492 /nfs/dbraw/zinc/02/34/92/907023492.db2.gz ZNPPFWBMVMBPHU-DTWKUNHWSA-N 0 1 277.328 0.204 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)N1CC(NC(=O)c2nc[nH]n2)C1 ZINC001297120828 907023512 /nfs/dbraw/zinc/02/35/12/907023512.db2.gz ZNPPFWBMVMBPHU-DTWKUNHWSA-N 0 1 277.328 0.204 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CNC(=O)NC)C[C@H]1C ZINC001381094850 907071504 /nfs/dbraw/zinc/07/15/04/907071504.db2.gz CLMIGPVDTVKCMD-SCZZXKLOSA-N 0 1 288.779 0.104 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC001297533402 907088740 /nfs/dbraw/zinc/08/87/40/907088740.db2.gz KBINMTFKUAONCW-SFGNSQDASA-N 0 1 250.302 0.881 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC[C@H](O)COCC)c1 ZINC001335940798 907123216 /nfs/dbraw/zinc/12/32/16/907123216.db2.gz GOHDRCSZMKLYCT-AWEZNQCLSA-N 0 1 276.336 0.593 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNC(=O)CN1CCCC1 ZINC001298838837 907340430 /nfs/dbraw/zinc/34/04/30/907340430.db2.gz MHHZAHDRAFSJQC-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCCC(=O)NCC1(NC(=O)CCc2c[nH]nn2)CC1 ZINC001299131166 907394958 /nfs/dbraw/zinc/39/49/58/907394958.db2.gz VJYMOSDEBGNXBM-UHFFFAOYSA-N 0 1 291.355 0.469 20 30 CCEDMN C=CCCC(=O)NCC1(NC(=O)CCc2cnn[nH]2)CC1 ZINC001299131166 907394975 /nfs/dbraw/zinc/39/49/75/907394975.db2.gz VJYMOSDEBGNXBM-UHFFFAOYSA-N 0 1 291.355 0.469 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCc1cn(C)nn1 ZINC001382161525 907581504 /nfs/dbraw/zinc/58/15/04/907581504.db2.gz CCRNNHZDWKSWRY-NSHDSACASA-N 0 1 299.806 0.937 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001491921298 907641323 /nfs/dbraw/zinc/64/13/23/907641323.db2.gz STWSPZNNAIKJKT-VHSXEESVSA-N 0 1 275.312 0.242 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001491939170 907645232 /nfs/dbraw/zinc/64/52/32/907645232.db2.gz VWVKSPVORJQHCV-PWSUYJOCSA-N 0 1 289.339 0.171 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H](C)Cn1ccc(C)n1 ZINC001492263078 907814501 /nfs/dbraw/zinc/81/45/01/907814501.db2.gz UPNUBVWPHOTRMH-LBPRGKRZSA-N 0 1 262.357 0.509 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CN(C(=O)c2ccn[nH]2)CC1(C)C ZINC001383368386 907984861 /nfs/dbraw/zinc/98/48/61/907984861.db2.gz WTBGQJJNTLRLJA-ONGXEEELSA-N 0 1 289.339 0.536 20 30 CCEDMN C#CCC1(NC(=O)CN(C)[C@@H]2CCC[C@H]2O)CCOCC1 ZINC001303777628 908112374 /nfs/dbraw/zinc/11/23/74/908112374.db2.gz RBRMCSZKFPDBKK-ZIAGYGMSSA-N 0 1 294.395 0.520 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)CC(C)(C)O ZINC001317474840 908405249 /nfs/dbraw/zinc/40/52/49/908405249.db2.gz OUBYFHOVGPUOID-UHFFFAOYSA-N 0 1 270.373 0.235 20 30 CCEDMN CC#CC[N@@H+](CC)CCNC(=O)[C@H]1CCO[C@H]1C ZINC001317488012 908493803 /nfs/dbraw/zinc/49/38/03/908493803.db2.gz KPHUGWRRYLZJIO-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CCO[C@H]1C ZINC001317488012 908493812 /nfs/dbraw/zinc/49/38/12/908493812.db2.gz KPHUGWRRYLZJIO-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN C=C1CN(C(=O)NC[C@H]2CN(CC(C)C)CCO2)C1 ZINC001311440322 908549948 /nfs/dbraw/zinc/54/99/48/908549948.db2.gz FGQIRNFGIQGLNA-ZDUSSCGKSA-N 0 1 267.373 0.925 20 30 CCEDMN CCS(=O)(=O)CC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001417569875 921424542 /nfs/dbraw/zinc/42/45/42/921424542.db2.gz BSZATFYOIPXKAO-UHFFFAOYSA-N 0 1 292.320 0.808 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCO[C@@](C)(C(F)F)C1 ZINC001313439461 908665744 /nfs/dbraw/zinc/66/57/44/908665744.db2.gz LZIRTAZPSXQRGP-CYBMUJFWSA-N 0 1 289.326 0.617 20 30 CCEDMN COCC#CC(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC001329277829 908747937 /nfs/dbraw/zinc/74/79/37/908747937.db2.gz WVBVTTPTMRYOHH-CQSZACIVSA-N 0 1 280.368 0.350 20 30 CCEDMN CC(C)C#CC(=O)NCCCN(C)CC(=O)NCC(C)C ZINC001316821757 908756556 /nfs/dbraw/zinc/75/65/56/908756556.db2.gz IAOWYFAVXIFWME-UHFFFAOYSA-N 0 1 295.427 0.856 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001316806376 908779191 /nfs/dbraw/zinc/77/91/91/908779191.db2.gz HQGVGPXGLYTKGK-NSHDSACASA-N 0 1 276.344 0.184 20 30 CCEDMN CC[C@@H](OC)C(=O)N(C)C1CCN(CC#CCOC)CC1 ZINC001316932226 908852996 /nfs/dbraw/zinc/85/29/96/908852996.db2.gz VVQUJRUPUQWGJF-OAHLLOKOSA-N 0 1 296.411 0.984 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)C[C@@H](C)NC(N)=O)CC1 ZINC001316930264 908854431 /nfs/dbraw/zinc/85/44/31/908854431.db2.gz CEOFENMDIZQDFD-GFCCVEGCSA-N 0 1 294.399 0.379 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C2=COCCC2)CC1 ZINC001316957819 908868955 /nfs/dbraw/zinc/86/89/55/908868955.db2.gz RDWAXZMWNGZLHC-UHFFFAOYSA-N 0 1 291.395 0.438 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@H](OC)C2CC2)CC1 ZINC001316964097 908874779 /nfs/dbraw/zinc/87/47/79/908874779.db2.gz UNQCXYOIRYLKBW-OAHLLOKOSA-N 0 1 295.427 0.721 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)CO[C@H]1CCOC1 ZINC001317170604 909030538 /nfs/dbraw/zinc/03/05/38/909030538.db2.gz DWTXAWHNGZJNLN-KBPBESRZSA-N 0 1 282.384 0.949 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)NCc1ocnc1C ZINC001317236148 909074936 /nfs/dbraw/zinc/07/49/36/909074936.db2.gz QYDYRGHHHDWDIW-QMTHXVAHSA-N 0 1 281.356 0.904 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cn(C)nc1C1CCC1 ZINC001317452172 909224082 /nfs/dbraw/zinc/22/40/82/909224082.db2.gz WPKFBKAIACGLJP-UHFFFAOYSA-N 0 1 274.368 0.982 20 30 CCEDMN COc1nccc(CNCCN(C)C(=O)C#CC(C)C)n1 ZINC001317586298 909386955 /nfs/dbraw/zinc/38/69/55/909386955.db2.gz SMDCWOVGTUWXQB-UHFFFAOYSA-N 0 1 290.367 0.693 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001317620991 909413275 /nfs/dbraw/zinc/41/32/75/909413275.db2.gz CHBLYCKUPMLHRP-URLYPYJESA-N 0 1 258.749 0.679 20 30 CCEDMN C#CCCN1CC[C@@H](N(CCC)C(=O)c2cnn(C)n2)C1 ZINC001317767208 909484076 /nfs/dbraw/zinc/48/40/76/909484076.db2.gz NPERMEMRKZGEMR-CYBMUJFWSA-N 0 1 289.383 0.765 20 30 CCEDMN CC[C@H](C)C(=O)NCC[C@@H]1CCN(CC(=O)NCC#N)C1 ZINC001317774971 909489442 /nfs/dbraw/zinc/48/94/42/909489442.db2.gz NBSRSNTYNGFFKM-QWHCGFSZSA-N 0 1 294.399 0.500 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CCNC(=O)CCC=C)C1 ZINC001317777916 909490512 /nfs/dbraw/zinc/49/05/12/909490512.db2.gz CTVVGNJTJQNKKC-CQSZACIVSA-N 0 1 291.395 0.530 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC[C@H](CN(C)CC(N)=O)C1 ZINC001317840804 909521925 /nfs/dbraw/zinc/52/19/25/909521925.db2.gz VJMGKTJUKPPOSY-GFCCVEGCSA-N 0 1 281.400 0.854 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001318247747 909679379 /nfs/dbraw/zinc/67/93/79/909679379.db2.gz JHPKXVPFDKRLHE-GXTWGEPZSA-N 0 1 250.342 0.361 20 30 CCEDMN CC(=O)NCCCCCC(=O)NC1(C#N)CCN(C)CC1 ZINC001318313861 909702209 /nfs/dbraw/zinc/70/22/09/909702209.db2.gz SXKLOQLWFLMCCF-UHFFFAOYSA-N 0 1 294.399 0.787 20 30 CCEDMN CCN(C(=O)C[C@@H](C)OC)[C@H]1CCN(CC#CCOC)C1 ZINC001318457034 909764779 /nfs/dbraw/zinc/76/47/79/909764779.db2.gz GLXOPYGCFWAAOJ-CABCVRRESA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)COC[C@@H]2CCCO2)C1 ZINC001318490608 909778302 /nfs/dbraw/zinc/77/83/02/909778302.db2.gz DCDVMRCRQNAGEY-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CC[NH2+]C[C@@](C)(NC(=O)c1[n-]nnc1C)C1CC1 ZINC001318710274 909866487 /nfs/dbraw/zinc/86/64/87/909866487.db2.gz DWINSNSTKGPPOB-CYBMUJFWSA-N 0 1 261.329 0.234 20 30 CCEDMN C#CCOCCN(C)[C@H](C)C(=O)NC(=O)NCC(C)C ZINC001319552999 910198270 /nfs/dbraw/zinc/19/82/70/910198270.db2.gz RYJMJZCFRHPNHT-GFCCVEGCSA-N 0 1 283.372 0.438 20 30 CCEDMN C#CCOCCC(=O)N(C)CCN(C)Cc1n[nH]c(C)n1 ZINC001319999897 910405941 /nfs/dbraw/zinc/40/59/41/910405941.db2.gz NHYMVPLQJYTAQM-UHFFFAOYSA-N 0 1 293.371 0.043 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccn(CCOC)n1 ZINC001320018707 910414016 /nfs/dbraw/zinc/41/40/16/910414016.db2.gz NZSZXMAUZGENFQ-UHFFFAOYSA-N 0 1 278.356 0.167 20 30 CCEDMN C=CCO[C@@H]1CCN(CC(=O)N(C)Cc2cnn(C)c2)C1 ZINC001320038063 910426973 /nfs/dbraw/zinc/42/69/73/910426973.db2.gz MRLIUZAWHHOCCH-CQSZACIVSA-N 0 1 292.383 0.655 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](O)CNCc1ocnc1C ZINC001320216453 910528583 /nfs/dbraw/zinc/52/85/83/910528583.db2.gz YKPIFBLNLXZWJI-LBPRGKRZSA-N 0 1 279.340 0.353 20 30 CCEDMN C#CCOCCN(C)[C@@H]1CCN(C2CCOCC2)C1=O ZINC001320282960 910577036 /nfs/dbraw/zinc/57/70/36/910577036.db2.gz ZQSPUXFULKCASI-CQSZACIVSA-N 0 1 280.368 0.348 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@H]1CCCCN1CCC ZINC001320685699 910798874 /nfs/dbraw/zinc/79/88/74/910798874.db2.gz SQOHZLLYSRRRJL-GFCCVEGCSA-N 0 1 267.373 0.669 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(CC)CCNCc1cnc(C)o1 ZINC001320733766 910832291 /nfs/dbraw/zinc/83/22/91/910832291.db2.gz AWVUYBJRISVGES-GFCCVEGCSA-N 0 1 293.367 0.959 20 30 CCEDMN Cc1cc(CNC[C@@H](C)NC(=O)CSCC#N)nn1C ZINC001321465092 911320077 /nfs/dbraw/zinc/32/00/77/911320077.db2.gz XGSHYURJENYJPU-SNVBAGLBSA-N 0 1 295.412 0.580 20 30 CCEDMN C[C@H](CN(C)CCNC(=O)C1CC1)NC(=O)C#CC1CC1 ZINC001322159010 911690452 /nfs/dbraw/zinc/69/04/52/911690452.db2.gz XUMRAKPEFUDENI-GFCCVEGCSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)COCc1ncc(C)o1 ZINC001322195903 911702559 /nfs/dbraw/zinc/70/25/59/911702559.db2.gz KXCHDPWEILJBNC-LLVKDONJSA-N 0 1 279.340 0.569 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1c(C)nc[nH]c1=O ZINC001322231296 911716018 /nfs/dbraw/zinc/71/60/18/911716018.db2.gz ROIFVQWYTJTRRL-VIFPVBQESA-N 0 1 262.313 0.174 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cn1cc(C)cn1 ZINC001392356411 911751344 /nfs/dbraw/zinc/75/13/44/911751344.db2.gz HBPJOJGDZMWPJJ-NSHDSACASA-N 0 1 286.763 0.011 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N[C@H]1CCN(CCOC)C1 ZINC001322549459 911855921 /nfs/dbraw/zinc/85/59/21/911855921.db2.gz CJVKBWALAJVKDQ-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N(C)CCCNCc1nnnn1C ZINC001322641414 911896822 /nfs/dbraw/zinc/89/68/22/911896822.db2.gz QDPABSDBZIQYKK-UHFFFAOYSA-N 0 1 294.403 0.751 20 30 CCEDMN N#CCCCCNC(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC001323192537 912166210 /nfs/dbraw/zinc/16/62/10/912166210.db2.gz JGUOHUSKSORPFE-LLVKDONJSA-N 0 1 289.339 0.713 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H]1CCN1CCOC(C)C ZINC001323231102 912199764 /nfs/dbraw/zinc/19/97/64/912199764.db2.gz JHTCBYNHXYCQFW-UKRRQHHQSA-N 0 1 284.400 0.929 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)C#N)NCC(=O)Nc1ccccc1 ZINC001398863364 914890239 /nfs/dbraw/zinc/89/02/39/914890239.db2.gz BFDCHKWAVGAAAE-NWDGAFQWSA-N 0 1 288.351 0.879 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](NC(=O)CCNC(=O)NC)C[C@H]1C ZINC001328239313 915050184 /nfs/dbraw/zinc/05/01/84/915050184.db2.gz JOYAGTNSZRYAOA-CHWSQXEVSA-N 0 1 294.399 0.298 20 30 CCEDMN C=C1C[C@H]2CC[C@@H](C1)N2C(=O)C(=O)N(C)CC1CN(C)C1 ZINC001328311891 915099016 /nfs/dbraw/zinc/09/90/16/915099016.db2.gz JGOUYMSWUCZNGF-OKILXGFUSA-N 0 1 291.395 0.716 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2ccc(C#N)[nH]2)CC1 ZINC001328519526 915248472 /nfs/dbraw/zinc/24/84/72/915248472.db2.gz IMHSQKUSZFWMJZ-UHFFFAOYSA-N 0 1 288.351 0.629 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H]([C@@H]2CCN(CC(N)=O)C2)C1 ZINC001328809064 915443723 /nfs/dbraw/zinc/44/37/23/915443723.db2.gz SKYQHUCCMWHQFE-ZIAGYGMSSA-N 0 1 293.411 0.998 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CNC(=O)CN1CCC(C)(C)C1 ZINC001400292058 915666306 /nfs/dbraw/zinc/66/63/06/915666306.db2.gz BPZBFIJJQYSNQF-NEPJUHHUSA-N 0 1 294.399 0.499 20 30 CCEDMN N#Cc1cccc(NC(=O)NCCN2CC=CC2)n1 ZINC001329287514 915794299 /nfs/dbraw/zinc/79/42/99/915794299.db2.gz MZOFMXCRLRQOKV-UHFFFAOYSA-N 0 1 257.297 0.947 20 30 CCEDMN N#C[C@]1(NC(=O)CCc2c[nH]nn2)CCSC1 ZINC001329331102 915818947 /nfs/dbraw/zinc/81/89/47/915818947.db2.gz HBLZMTNEFPQSEQ-SNVBAGLBSA-N 0 1 251.315 0.253 20 30 CCEDMN N#C[C@]1(NC(=O)CCc2cnn[nH]2)CCSC1 ZINC001329331102 915818957 /nfs/dbraw/zinc/81/89/57/915818957.db2.gz HBLZMTNEFPQSEQ-SNVBAGLBSA-N 0 1 251.315 0.253 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](NC(=O)CCc2nc[nH]n2)C1 ZINC001400648635 915843248 /nfs/dbraw/zinc/84/32/48/915843248.db2.gz IJPHORDZZUDMJY-SNVBAGLBSA-N 0 1 283.763 0.680 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCc1ncccn1 ZINC001329576472 916031936 /nfs/dbraw/zinc/03/19/36/916031936.db2.gz KSQRSNSJKMEHEF-ZDUSSCGKSA-N 0 1 274.368 0.869 20 30 CCEDMN C=CCn1cc(C(=O)N2CCC(c3nc[nH]n3)CC2)nn1 ZINC001329868478 916240699 /nfs/dbraw/zinc/24/06/99/916240699.db2.gz FLVVUXRSZJRYSZ-UHFFFAOYSA-N 0 1 287.327 0.602 20 30 CCEDMN C#CC[C@H]1NC(=O)N(Cc2n[nH]c([C@H]3CCCO3)n2)C1=O ZINC001329871788 916245288 /nfs/dbraw/zinc/24/52/88/916245288.db2.gz WSOHTFLUWDXQGQ-RKDXNWHRSA-N 0 1 289.295 0.100 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc[nH]c(=O)c1 ZINC001401526171 916308442 /nfs/dbraw/zinc/30/84/42/916308442.db2.gz YEURIBZBNMTBDV-JTQLQIEISA-N 0 1 285.731 0.220 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1(F)CCOCC1 ZINC001401550554 916319424 /nfs/dbraw/zinc/31/94/24/916319424.db2.gz KGXNJYUZFBQPGB-SNVBAGLBSA-N 0 1 294.754 0.324 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1ccc(C)nc1 ZINC001401563785 916327636 /nfs/dbraw/zinc/32/76/36/916327636.db2.gz KIKYPVQMFZESTJ-CYBMUJFWSA-N 0 1 297.786 0.752 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001330022412 916361350 /nfs/dbraw/zinc/36/13/50/916361350.db2.gz RFUKIOHIGCBKDO-XHDPSFHLSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@H]1[C@H]2CN(CC(N)=O)C[C@H]21 ZINC001330336894 916562223 /nfs/dbraw/zinc/56/22/23/916562223.db2.gz BLHKHSGFGDAHSA-CNDDSTCGSA-N 0 1 279.384 0.368 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)N2CCC[C@H](CC#N)C2)n[nH]1 ZINC001330448688 916635678 /nfs/dbraw/zinc/63/56/78/916635678.db2.gz BIQKREHASFBLCX-LLVKDONJSA-N 0 1 289.339 0.487 20 30 CCEDMN C[C@H](C(N)=O)[N@@H+](C)C1CCN(C(=O)C#CC(C)(C)C)CC1 ZINC001331017158 917025093 /nfs/dbraw/zinc/02/50/93/917025093.db2.gz VOCYCDUICVIGKP-GFCCVEGCSA-N 0 1 293.411 0.833 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1CCNC[C@@H]1CCC ZINC001331486899 917366145 /nfs/dbraw/zinc/36/61/45/917366145.db2.gz HYDFZABAKSVUHW-ZDUSSCGKSA-N 0 1 279.384 0.507 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@]1(C)CCC(=O)N1 ZINC001402998615 917413086 /nfs/dbraw/zinc/41/30/86/917413086.db2.gz GNVQQWDGISJAIH-SKDRFNHKSA-N 0 1 273.764 0.502 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H](CO)NCc1ccsc1 ZINC001331711489 917539697 /nfs/dbraw/zinc/53/96/97/917539697.db2.gz INSLATABWCISRN-YPMHNXCESA-N 0 1 296.392 0.353 20 30 CCEDMN C=C(C)CC[NH+]1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001331735765 917559348 /nfs/dbraw/zinc/55/93/48/917559348.db2.gz AOMNXUOJAAFNIJ-AWEZNQCLSA-N 0 1 268.357 0.814 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1CCC=CCCC1 ZINC001331740134 917561327 /nfs/dbraw/zinc/56/13/27/917561327.db2.gz OASVXYYPGBGYNW-KGLIPLIRSA-N 0 1 264.369 0.823 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1occc1C(C)C ZINC001331776502 917599619 /nfs/dbraw/zinc/59/96/19/917599619.db2.gz ZUNVVKHIJDOGJH-LLVKDONJSA-N 0 1 264.325 0.717 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](C)Cc1ccco1 ZINC001331804199 917623680 /nfs/dbraw/zinc/62/36/80/917623680.db2.gz LKIKYQMCVKOCPB-VXGBXAGGSA-N 0 1 264.325 0.158 20 30 CCEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001403512732 917759439 /nfs/dbraw/zinc/75/94/39/917759439.db2.gz PROWQRTVKNULCR-GRYCIOLGSA-N 0 1 299.802 0.687 20 30 CCEDMN C=CCOCC(=O)N[C@]12CCC[C@H]1N(CC(=O)NC)CC2 ZINC001332083410 917851134 /nfs/dbraw/zinc/85/11/34/917851134.db2.gz RLMDGGYMQHNJMV-DOMZBBRYSA-N 0 1 295.383 0.048 20 30 CCEDMN C=CCCOCCNC(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001332136387 917897132 /nfs/dbraw/zinc/89/71/32/917897132.db2.gz OKAWECMBMPOYEO-LBPRGKRZSA-N 0 1 284.356 0.492 20 30 CCEDMN Cc1nc(C(=O)NC23CCN(CC2)C3)ccc1C#N ZINC001332229535 917974309 /nfs/dbraw/zinc/97/43/09/917974309.db2.gz UBVCBEKABNJPFA-UHFFFAOYSA-N 0 1 256.309 0.840 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC(N3CCCC3)C2)cn1 ZINC001332335537 918061985 /nfs/dbraw/zinc/06/19/85/918061985.db2.gz OIANWZUUVQNTLM-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN CCCN(CCNC(=O)c1ccc(C#N)[nH]1)[C@@H](C)C(N)=O ZINC001404119525 918212422 /nfs/dbraw/zinc/21/24/22/918212422.db2.gz FNHDYSGTHAJMRG-JTQLQIEISA-N 0 1 291.355 0.202 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccccc1C ZINC001332616332 918317589 /nfs/dbraw/zinc/31/75/89/918317589.db2.gz LUHWEDZOETYQPW-CQSZACIVSA-N 0 1 274.364 0.993 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC([C@@H](C)NC(=O)Cc2cnc[nH]2)C1 ZINC001404686499 918428059 /nfs/dbraw/zinc/42/80/59/918428059.db2.gz YIKROAYJCLHXFT-NXEZZACHSA-N 0 1 289.339 0.075 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1ocnc1C ZINC001492935230 918441120 /nfs/dbraw/zinc/44/11/20/918441120.db2.gz ZPMFHDJCNSJXHO-UHFFFAOYSA-N 0 1 279.340 0.685 20 30 CCEDMN C=CCONC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001332877074 918504296 /nfs/dbraw/zinc/50/42/96/918504296.db2.gz BSBQGUGMNYPMDT-MRVPVSSYSA-N 0 1 267.289 0.573 20 30 CCEDMN N#CC[C@@H](O)CN1CCN(C[C@@H]2CCCO2)CC1 ZINC001333032069 918610703 /nfs/dbraw/zinc/61/07/03/918610703.db2.gz IXULAHMGABPDRZ-OLZOCXBDSA-N 0 1 253.346 0.058 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1ccn(CCOC)n1 ZINC001492985618 918691919 /nfs/dbraw/zinc/69/19/19/918691919.db2.gz AYGQRLPGMCMDNW-UHFFFAOYSA-N 0 1 292.383 0.605 20 30 CCEDMN NC(=O)CN1CCC(C2(NC(=O)C#CC3CC3)CC2)CC1 ZINC001333181229 918716769 /nfs/dbraw/zinc/71/67/69/918716769.db2.gz MVHHYOVKHITXOF-UHFFFAOYSA-N 0 1 289.379 0.246 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCCC(=O)NC ZINC001406346609 919201904 /nfs/dbraw/zinc/20/19/04/919201904.db2.gz NWYMWGFIOFLNPC-SNVBAGLBSA-N 0 1 275.780 0.750 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CO[C@@H]1CCOC1 ZINC001406353347 919205316 /nfs/dbraw/zinc/20/53/16/919205316.db2.gz NKKIOOYQANDVFV-GHMZBOCLSA-N 0 1 276.764 0.639 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H]1CC1(F)F ZINC001492953787 919397638 /nfs/dbraw/zinc/39/76/38/919397638.db2.gz XLXFLESSIRYEPQ-NSHDSACASA-N 0 1 274.311 0.730 20 30 CCEDMN Cn1nccc1[C@@H](O)CNCc1cccc(C#N)n1 ZINC001334333076 919505819 /nfs/dbraw/zinc/50/58/19/919505819.db2.gz QWWRXMRVIHLEJW-ZDUSSCGKSA-N 0 1 257.297 0.510 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H](C)CC(N)=O ZINC001407119549 919626351 /nfs/dbraw/zinc/62/63/51/919626351.db2.gz XGNRICIYCYAEOR-ONGXEEELSA-N 0 1 287.791 0.831 20 30 CCEDMN C[C@H](C(N)=O)N1CCC(C)(CNC(=O)[C@@H](C)C#N)CC1 ZINC001407265815 919683339 /nfs/dbraw/zinc/68/33/39/919683339.db2.gz UJBLEMPCDVRLOG-WDEREUQCSA-N 0 1 280.372 0.238 20 30 CCEDMN Cc1nc(CNC(=O)C2(C#N)CCSCC2)n[nH]1 ZINC001334821450 919786512 /nfs/dbraw/zinc/78/65/12/919786512.db2.gz YTNHXGADCLCVPO-UHFFFAOYSA-N 0 1 265.342 0.766 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001492972438 919985195 /nfs/dbraw/zinc/98/51/95/919985195.db2.gz FVMMGZMMZOSDTD-UHFFFAOYSA-N 0 1 288.351 0.745 20 30 CCEDMN COCC#CC(=O)N1CCc2nc[nH]c2[C@H]1c1cccnc1 ZINC001335963513 920532650 /nfs/dbraw/zinc/53/26/50/920532650.db2.gz SRTMJXBYWLYFNX-MRXNPFEDSA-N 0 1 296.330 0.929 20 30 CCEDMN CCON(CC)C(=O)CNC[C@H](O)c1ccc(C#N)cc1 ZINC001336059509 920586292 /nfs/dbraw/zinc/58/62/92/920586292.db2.gz FYMUYEUWQYBHFW-AWEZNQCLSA-N 0 1 291.351 0.981 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@@H]1CCOC)C(C)C ZINC001336099442 920606864 /nfs/dbraw/zinc/60/68/64/920606864.db2.gz HXTDNEABPFGXJA-STQMWFEESA-N 0 1 267.373 0.664 20 30 CCEDMN C#CCN1CCC(C(=O)N(OC)[C@H](C)CC(=O)OC)CC1 ZINC001336361357 920734063 /nfs/dbraw/zinc/73/40/63/920734063.db2.gz PBEZZXNZEQEVJH-GFCCVEGCSA-N 0 1 296.367 0.673 20 30 CCEDMN C#CCN(CC1CC1)C(=O)CN1CCC(CO)CC1 ZINC001336415497 920759968 /nfs/dbraw/zinc/75/99/68/920759968.db2.gz CLEODMQSMKXMHA-UHFFFAOYSA-N 0 1 264.369 0.563 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)C(=O)c1[nH]nc2ccccc21 ZINC001415599554 920779379 /nfs/dbraw/zinc/77/93/79/920779379.db2.gz RQBCKIDZXOPGLS-JTQLQIEISA-N 0 1 299.334 0.911 20 30 CCEDMN C#Cc1ccc(N2CCN(C[C@H](C)O)C[C@@H]2C)nc1 ZINC001336607223 920869957 /nfs/dbraw/zinc/86/99/57/920869957.db2.gz IIRBEBRMRHFMRM-STQMWFEESA-N 0 1 259.353 0.954 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)NCCCc2nc[nH]n2)C1 ZINC001339912835 921874938 /nfs/dbraw/zinc/87/49/38/921874938.db2.gz HAXFJPUOILCMBO-LLVKDONJSA-N 0 1 279.344 0.724 20 30 CCEDMN COc1nccc(CN[C@H](C)CNC(=O)[C@H](C)C#N)n1 ZINC001418210792 921918108 /nfs/dbraw/zinc/91/81/08/921918108.db2.gz HZOCKBMGIZVMBR-NXEZZACHSA-N 0 1 277.328 0.239 20 30 CCEDMN Cc1cc(NC(=O)CN[C@H](C)CNC(=O)[C@H](C)C#N)no1 ZINC001418216214 921929413 /nfs/dbraw/zinc/92/94/13/921929413.db2.gz SDCSWNGXFHEAPS-RKDXNWHRSA-N 0 1 293.327 0.176 20 30 CCEDMN CN1CC[C@H](NC(=O)C(=O)Nc2ccc(F)cc2C#N)C1 ZINC001340052079 921934574 /nfs/dbraw/zinc/93/45/74/921934574.db2.gz KYZMIBYLJFFNLV-NSHDSACASA-N 0 1 290.298 0.456 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(Cl)n1 ZINC001340133973 921975326 /nfs/dbraw/zinc/97/53/26/921975326.db2.gz NXJNVZXMBOPJJR-IONNQARKSA-N 0 1 280.715 0.585 20 30 CCEDMN C=CCn1c(CCOC)nnc1N1CCN(CC)CC1 ZINC001340264655 922031108 /nfs/dbraw/zinc/03/11/08/922031108.db2.gz RTZZALQLRLLBDR-UHFFFAOYSA-N 0 1 279.388 0.795 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@](C(=O)OCC)(C(C)C)C1 ZINC001340322958 922051488 /nfs/dbraw/zinc/05/14/88/922051488.db2.gz ZQFNKTWSSVEUJD-HNNXBMFYSA-N 0 1 280.368 0.647 20 30 CCEDMN C#CCNCC(=O)N1CCN(CC(F)F)[C@H](CC)C1 ZINC001340998947 922310028 /nfs/dbraw/zinc/31/00/28/922310028.db2.gz FVLCHYSSNUDVML-LLVKDONJSA-N 0 1 273.327 0.397 20 30 CCEDMN C#CCNCC(=O)NC[C@](C)(CC(C)C)C(=O)OCC ZINC001341272630 922406687 /nfs/dbraw/zinc/40/66/87/922406687.db2.gz FFCGKPWXVPQSGP-HNNXBMFYSA-N 0 1 282.384 0.941 20 30 CCEDMN N#CC1(C(=O)N[C@H](CO)Cc2cnc[nH]2)CCSCC1 ZINC001418996769 922552263 /nfs/dbraw/zinc/55/22/63/922552263.db2.gz DFRWHZUJHJHHQP-NSHDSACASA-N 0 1 294.380 0.466 20 30 CCEDMN N#CC1(C(=O)N2CCC(c3nn[nH]n3)CC2)CCOCC1 ZINC001418998873 922554694 /nfs/dbraw/zinc/55/46/94/922554694.db2.gz UUIQIKXWVCHIPW-UHFFFAOYSA-N 0 1 290.327 0.226 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)NCC2 ZINC001341747356 922651447 /nfs/dbraw/zinc/65/14/47/922651447.db2.gz GHPJQGRQFQJVOV-AAEUAGOBSA-N 0 1 256.309 0.496 20 30 CCEDMN Cc1nnc(COCC(=O)C(C#N)C(=O)NC(C)C)s1 ZINC001341821832 922688240 /nfs/dbraw/zinc/68/82/40/922688240.db2.gz WURCPYIZSVATBE-SECBINFHSA-N 0 1 296.352 0.597 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)[C@H](N)c2ccccc2)CCO1 ZINC001342111449 922809692 /nfs/dbraw/zinc/80/96/92/922809692.db2.gz LARKRZLJZKSBKW-OCCSQVGLSA-N 0 1 259.309 0.827 20 30 CCEDMN C=C(C)Cn1c(N2CCN(CC)CC2)nnc1C1(O)CC1 ZINC001342142681 922827407 /nfs/dbraw/zinc/82/74/07/922827407.db2.gz DEDAHBPAVUXQCF-UHFFFAOYSA-N 0 1 291.399 0.978 20 30 CCEDMN C#CCNCC(=O)NC[C@](C)(O)c1ccc(F)cc1F ZINC001342141354 922829406 /nfs/dbraw/zinc/82/94/06/922829406.db2.gz CGFZSSNKNGZGIB-AWEZNQCLSA-N 0 1 282.290 0.511 20 30 CCEDMN N#Cc1cccc(CC(=O)NC[C@@H](O)c2cnc[nH]2)c1 ZINC001419582445 922934427 /nfs/dbraw/zinc/93/44/27/922934427.db2.gz UIOMVMINRBZXJU-CYBMUJFWSA-N 0 1 270.292 0.674 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1nnc(C)o1 ZINC001419738760 923060996 /nfs/dbraw/zinc/06/09/96/923060996.db2.gz BRNWNYQMLZANCQ-QMMMGPOBSA-N 0 1 272.736 0.767 20 30 CCEDMN C=C(C)Cn1c(-c2c[nH]nn2)nnc1N1CCCOCC1 ZINC001342859086 923113496 /nfs/dbraw/zinc/11/34/96/923113496.db2.gz QHLJIPGFHCIMLN-UHFFFAOYSA-N 0 1 289.343 0.866 20 30 CCEDMN C[C@@H](CNC(=O)c1cnn(C)n1)NCc1ccccc1C#N ZINC001419877482 923145735 /nfs/dbraw/zinc/14/57/35/923145735.db2.gz XNLRCDJJQZKKCI-NSHDSACASA-N 0 1 298.350 0.595 20 30 CCEDMN N#CC(C(=O)CCCCC(N)=O)C(=O)NC1CC1 ZINC001343386530 923293595 /nfs/dbraw/zinc/29/35/95/923293595.db2.gz KGCIHIIUQIPRTK-VIFPVBQESA-N 0 1 251.286 0.020 20 30 CCEDMN C#CCNCC(=O)NCCc1nc(C)c(C)s1 ZINC001343438406 923317610 /nfs/dbraw/zinc/31/76/10/923317610.db2.gz DZICVZMMPUEWED-UHFFFAOYSA-N 0 1 251.355 0.641 20 30 CCEDMN Cc1cccc(C(=O)C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC001343467765 923332042 /nfs/dbraw/zinc/33/20/42/923332042.db2.gz OLHNMJQFCPFISW-RYUDHWBXSA-N 0 1 257.293 0.406 20 30 CCEDMN CCOC1CC2(C[C@@H]2C(=O)C(C#N)C(=O)NC)C1 ZINC001343500008 923351472 /nfs/dbraw/zinc/35/14/72/923351472.db2.gz HZLKFUXITKCNIO-CABQIACGSA-N 0 1 250.298 0.646 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1c(C)cnn1C ZINC001420176878 923374519 /nfs/dbraw/zinc/37/45/19/923374519.db2.gz YIFAXMFVHNAZBI-SNVBAGLBSA-N 0 1 286.763 0.161 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@H]1[C@@H]1CCCO1 ZINC001343641223 923401398 /nfs/dbraw/zinc/40/13/98/923401398.db2.gz RHNCJXSLJOIAPH-STQMWFEESA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CCC(OC(=O)CCc2cnn(C)c2)CC1 ZINC001345673367 923943614 /nfs/dbraw/zinc/94/36/14/923943614.db2.gz NDFCMOSGVTXSGI-UHFFFAOYSA-N 0 1 275.352 0.994 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N(C)Cc1nnc[nH]1 ZINC001345671441 923944864 /nfs/dbraw/zinc/94/48/64/923944864.db2.gz HOBVSRIITHXBGO-UWVGGRQHSA-N 0 1 265.317 0.537 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnc(C2CC2)s1 ZINC001345830848 923990545 /nfs/dbraw/zinc/99/05/45/923990545.db2.gz MVIWEFFHQFQZOS-RKDXNWHRSA-N 0 1 262.338 0.862 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cnn3c2NCCC3)CC1 ZINC001345904485 924006383 /nfs/dbraw/zinc/00/63/83/924006383.db2.gz DJGNTIWICKBVSE-UHFFFAOYSA-N 0 1 288.355 0.416 20 30 CCEDMN CCN(CCC#N)C(=O)CNC1(CNC(=O)C(C)C)CC1 ZINC001420913108 924014498 /nfs/dbraw/zinc/01/44/98/924014498.db2.gz GBNQNONURUUQQE-UHFFFAOYSA-N 0 1 294.399 0.643 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2CCCN(C(N)=O)C2)CC1 ZINC001346160891 924093752 /nfs/dbraw/zinc/09/37/52/924093752.db2.gz JANBVYQZDRNBMM-LBPRGKRZSA-N 0 1 293.367 0.418 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)N[C@H](C)[C@H]2CN(C)CCN2C)C1 ZINC001346767798 924308155 /nfs/dbraw/zinc/30/81/55/924308155.db2.gz UJZOMDCSSKRYQA-RBSFLKMASA-N 0 1 292.427 0.676 20 30 CCEDMN C#CCN(CC#CC)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001347187360 924392415 /nfs/dbraw/zinc/39/24/15/924392415.db2.gz QTILFIFMXUKHAQ-HUUCEWRRSA-N 0 1 290.411 0.289 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001347198459 924394781 /nfs/dbraw/zinc/39/47/81/924394781.db2.gz OPBKMKPIRRGVAY-VIFPVBQESA-N 0 1 251.290 0.108 20 30 CCEDMN C=CCC[C@H](NC(=O)C[NH+]1CCC(CO)CC1)C(=O)[O-] ZINC001347548347 924476468 /nfs/dbraw/zinc/47/64/68/924476468.db2.gz QPFJKZNYZRVWSV-LBPRGKRZSA-N 0 1 284.356 0.226 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001349213818 924909299 /nfs/dbraw/zinc/90/92/99/924909299.db2.gz QQZWDNKBRUPTKS-NSHDSACASA-N 0 1 261.329 0.784 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)NCC1(CC#N)CC1 ZINC001349799725 925060220 /nfs/dbraw/zinc/06/02/20/925060220.db2.gz RVIXSFXDDLHRKM-NEPJUHHUSA-N 0 1 262.357 0.185 20 30 CCEDMN CC[C@H](C#N)C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001349811609 925066272 /nfs/dbraw/zinc/06/62/72/925066272.db2.gz MAQKMIKTBKJJBK-RKDXNWHRSA-N 0 1 263.301 0.822 20 30 CCEDMN C=C1CCN(C(=O)NC[C@@H](C)N2CCN(C)CC2)CC1 ZINC001349868496 925080610 /nfs/dbraw/zinc/08/06/10/925080610.db2.gz ZPUFMHCXOYZOCN-CQSZACIVSA-N 0 1 280.416 0.984 20 30 CCEDMN C#CCN(C(=O)NC[C@H](C)N1CCN(C)CC1)C1CC1 ZINC001349879958 925084075 /nfs/dbraw/zinc/08/40/75/925084075.db2.gz KYSFEVWGOAIHMV-ZDUSSCGKSA-N 0 1 278.400 0.430 20 30 CCEDMN C#CCN(CC1CC1)C(=O)C1CN([C@H]2CCOC2)C1 ZINC001350585617 925230743 /nfs/dbraw/zinc/23/07/43/925230743.db2.gz NQSLIMFFHYHMKG-AWEZNQCLSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CC[C@@H](NCc1ccnn1-c1ccncc1)C(=O)OC ZINC001350620027 925242041 /nfs/dbraw/zinc/24/20/41/925242041.db2.gz OYZAMJGNGGFCKI-CQSZACIVSA-N 0 1 284.319 0.922 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)C[N@@H+]2CCC[C@H](C(=O)[O-])C2)C1 ZINC001350767326 925273403 /nfs/dbraw/zinc/27/34/03/925273403.db2.gz CXSCWAJYVGRJNC-QWHCGFSZSA-N 0 1 296.367 0.587 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC(CN2CC[NH+](C)CC2)C1 ZINC001351026181 925343641 /nfs/dbraw/zinc/34/36/41/925343641.db2.gz ZAWJAXQHLKZHLA-CABCVRRESA-N 0 1 293.411 0.283 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCC[C@H](OC)C1 ZINC001352102481 925522548 /nfs/dbraw/zinc/52/25/48/925522548.db2.gz XKYDOIXUSWMRIV-JTQLQIEISA-N 0 1 289.343 0.864 20 30 CCEDMN C[C@H](CN1CCOCC1)N1CCN(CCC#N)CC1 ZINC001352899289 925667496 /nfs/dbraw/zinc/66/74/96/925667496.db2.gz QESPXLWLEWEBOZ-CQSZACIVSA-N 0 1 266.389 0.238 20 30 CCEDMN N#CCC[C@H](C#N)CNCC[C@@]1(O)CCCOC1 ZINC001353248857 925773188 /nfs/dbraw/zinc/77/31/88/925773188.db2.gz VGIVCVGSFILRAV-OLZOCXBDSA-N 0 1 251.330 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CC23CCOCC3)CC1 ZINC001353655336 925897282 /nfs/dbraw/zinc/89/72/82/925897282.db2.gz OFFKGBVQMWEFLL-CQSZACIVSA-N 0 1 291.395 0.952 20 30 CCEDMN C#CCNCC(=O)NCc1ccn(C2CCCC2)n1 ZINC001353810495 925937715 /nfs/dbraw/zinc/93/77/15/925937715.db2.gz YXMVRWRNTNOLKQ-UHFFFAOYSA-N 0 1 260.341 0.837 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001354637968 926143359 /nfs/dbraw/zinc/14/33/59/926143359.db2.gz ICVNOMJQKXKYAW-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001354637968 926143363 /nfs/dbraw/zinc/14/33/63/926143363.db2.gz ICVNOMJQKXKYAW-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001354708775 926158499 /nfs/dbraw/zinc/15/84/99/926158499.db2.gz GNIXQETYDBAZSG-SECBINFHSA-N 0 1 277.328 0.396 20 30 CCEDMN C=CCCCN(CC)C(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001355050194 926201184 /nfs/dbraw/zinc/20/11/84/926201184.db2.gz ZLMHQTJOQYTMBJ-UHFFFAOYSA-N 0 1 279.344 0.544 20 30 CCEDMN C=CCCOCCn1nnnc1N1CCN(C)C(C)(C)C1 ZINC001355637319 926296296 /nfs/dbraw/zinc/29/62/96/926296296.db2.gz BJYZPSYWPJIAFM-UHFFFAOYSA-N 0 1 294.403 0.796 20 30 CCEDMN CC[C@H](CNC(=O)c1cnn[nH]1)NC(=O)C#CC(C)(C)C ZINC001356902900 926461546 /nfs/dbraw/zinc/46/15/46/926461546.db2.gz UXJZOUNAMLYXEF-SNVBAGLBSA-N 0 1 291.355 0.479 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc2c1OCC2 ZINC001357444655 926533178 /nfs/dbraw/zinc/53/31/78/926533178.db2.gz HXWBBXDNRXAAJG-PWSUYJOCSA-N 0 1 257.293 0.463 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2cnco2)CCO1 ZINC001421800708 926914458 /nfs/dbraw/zinc/91/44/58/926914458.db2.gz BXFUXVINRFPMBF-JTQLQIEISA-N 0 1 285.731 0.858 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCCC(N)=O)C[C@@H]1C ZINC001422057042 927054003 /nfs/dbraw/zinc/05/40/03/927054003.db2.gz FRFRJKSXZSJKLC-GXSJLCMTSA-N 0 1 287.791 0.831 20 30 CCEDMN CN1C[C@H](C(=O)Nc2nc3ccc(C#N)cc3[nH]2)CC1=O ZINC001361829305 927363365 /nfs/dbraw/zinc/36/33/65/927363365.db2.gz IBXPKLQWQBPMRH-SECBINFHSA-N 0 1 283.291 0.851 20 30 CCEDMN CC(=O)N[C@H](C)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001361828275 927364872 /nfs/dbraw/zinc/36/48/72/927364872.db2.gz CSTLEUODTMNOQQ-SSDOTTSWSA-N 0 1 271.280 0.898 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)c1c[nH]c(=O)n1C)C1CC1 ZINC001423007907 927622399 /nfs/dbraw/zinc/62/23/99/927622399.db2.gz ICDABYUKYRUMEV-JTQLQIEISA-N 0 1 298.774 0.976 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@H]1CCNC1=O ZINC001423075359 927663220 /nfs/dbraw/zinc/66/32/20/927663220.db2.gz MPEMESKIGODMAT-GHMZBOCLSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1ncoc1C ZINC001423430109 927855454 /nfs/dbraw/zinc/85/54/54/927855454.db2.gz SFUCBTMEJOTVFG-JTQLQIEISA-N 0 1 287.747 0.758 20 30 CCEDMN C[C@H](CN(C)C(=O)[C@@]1(C#N)CCCOC1)c1nn[nH]n1 ZINC001362487038 928086991 /nfs/dbraw/zinc/08/69/91/928086991.db2.gz CFONCXHQNVOAHZ-BXKDBHETSA-N 0 1 278.316 0.082 20 30 CCEDMN N#Cc1cc(C(=O)N2CCSC[C@@H]2c2nn[nH]n2)co1 ZINC001362667318 928329578 /nfs/dbraw/zinc/32/95/78/928329578.db2.gz GSNYMCFTLULYGK-SECBINFHSA-N 0 1 290.308 0.595 20 30 CCEDMN N#Cc1c(F)cc(CNC(=O)Cc2nnc[nH]2)cc1F ZINC001362708803 928391208 /nfs/dbraw/zinc/39/12/08/928391208.db2.gz WGJXGUWRXDTPJC-UHFFFAOYSA-N 0 1 277.234 0.813 20 30 CCEDMN N#Cc1ccc(CN[C@@H](CO)CNC(=O)c2ncc[nH]2)cc1 ZINC001425748509 928655397 /nfs/dbraw/zinc/65/53/97/928655397.db2.gz UMUNYUCJCFKCHU-CYBMUJFWSA-N 0 1 299.334 0.162 20 30 CCEDMN CC(C)N(CCN(C)C(=O)[C@@H](C)C#N)C(=O)c1ccn[nH]1 ZINC001425933061 928711440 /nfs/dbraw/zinc/71/14/40/928711440.db2.gz JHWDPZNOIRMSJG-NSHDSACASA-N 0 1 291.355 0.878 20 30 CCEDMN CC(C)N(CCN(C)CCn1cncn1)C(=O)[C@H](C)C#N ZINC001426102514 928764790 /nfs/dbraw/zinc/76/47/90/928764790.db2.gz GIEYMSZAFLLRCQ-CYBMUJFWSA-N 0 1 292.387 0.607 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)CSCC(N)=O)[nH]c2c1 ZINC001363072282 928839675 /nfs/dbraw/zinc/83/96/75/928839675.db2.gz NBXXLPREELHPSF-UHFFFAOYSA-N 0 1 289.320 0.592 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)c1nccnc1CN ZINC001363125303 928896813 /nfs/dbraw/zinc/89/68/13/928896813.db2.gz HUSGVHUPWMFFHK-UHFFFAOYSA-N 0 1 286.343 0.181 20 30 CCEDMN C[C@H]1CN(Cc2cc(C#N)ccn2)[C@@H](C)CN1CCO ZINC001363267832 929050226 /nfs/dbraw/zinc/05/02/26/929050226.db2.gz SZXRQVMNLDISLT-STQMWFEESA-N 0 1 274.368 0.840 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1Cc1cc(C#N)ccn1 ZINC001363267829 929051893 /nfs/dbraw/zinc/05/18/93/929051893.db2.gz SZXRQVMNLDISLT-QWHCGFSZSA-N 0 1 274.368 0.840 20 30 CCEDMN COC[C@H](NC(=O)c1cc(C#N)cs1)c1nn[nH]n1 ZINC001363410989 929195019 /nfs/dbraw/zinc/19/50/19/929195019.db2.gz HPYVOFSIWVGLMK-ZETCQYMHSA-N 0 1 278.297 0.250 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2CCCCN2C)C1 ZINC001428237097 929203583 /nfs/dbraw/zinc/20/35/83/929203583.db2.gz NYQPNTACRWFGNS-LPWJVIDDSA-N 0 1 292.383 0.394 20 30 CCEDMN CC(C)CN(Cc1nn[nH]n1)C(=O)c1cc(C#N)c[nH]1 ZINC001363810491 929601564 /nfs/dbraw/zinc/60/15/64/929601564.db2.gz WNDZQPHYQQUHRX-UHFFFAOYSA-N 0 1 273.300 0.698 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)C[C@@H](O)c1ccccc1 ZINC001371935705 929675860 /nfs/dbraw/zinc/67/58/60/929675860.db2.gz VMWSHUBYENPLPE-GXTWGEPZSA-N 0 1 275.352 0.928 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1CN(C)C(=O)N1 ZINC001444656323 929927458 /nfs/dbraw/zinc/92/74/58/929927458.db2.gz QCLPBOZRPAGDAB-UWVGGRQHSA-N 0 1 288.779 0.199 20 30 CCEDMN CCc1onc(C)c1CNC[C@@H](O)CNC(=O)[C@@H](C)C#N ZINC001445162420 930057690 /nfs/dbraw/zinc/05/76/90/930057690.db2.gz SLVXEHVPTUECIX-GXSJLCMTSA-N 0 1 294.355 0.272 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1c[nH]cc1C ZINC001445277906 930082853 /nfs/dbraw/zinc/08/28/53/930082853.db2.gz WMARAIYFTLUDJE-JTQLQIEISA-N 0 1 271.748 0.756 20 30 CCEDMN COC[C@H](C)N1CCN(CCN(C)C(=O)[C@@H](C)C#N)CC1 ZINC001373254128 930119258 /nfs/dbraw/zinc/11/92/58/930119258.db2.gz YXIKTXYTTJJHHZ-KBPBESRZSA-N 0 1 296.415 0.257 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](CNCc1cnns1)C1CC1 ZINC001445930610 930289052 /nfs/dbraw/zinc/28/90/52/930289052.db2.gz DCAVVLQPQIUAFV-KWQFWETISA-N 0 1 279.369 0.682 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1CCC(=O)NC1)C1CC1 ZINC001445955893 930294823 /nfs/dbraw/zinc/29/48/23/930294823.db2.gz LFYPHCCDYMLLLK-NWDGAFQWSA-N 0 1 299.802 0.750 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1COC(=O)N1 ZINC001373959900 930380555 /nfs/dbraw/zinc/38/05/55/930380555.db2.gz GVPYNNKURMLMIA-NXEZZACHSA-N 0 1 287.747 0.428 20 30 CCEDMN CC(C)c1nc(CN2CC(N(C)C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001446361329 930400022 /nfs/dbraw/zinc/40/00/22/930400022.db2.gz HBSZHEPMSJEOJS-JTQLQIEISA-N 0 1 290.371 0.730 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2n[nH]cc2C)CCO1 ZINC001447283824 930600656 /nfs/dbraw/zinc/60/06/56/930600656.db2.gz NTRXWPYQSQUWLH-LLVKDONJSA-N 0 1 298.774 0.901 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCN(CC(=O)N2CCC2)CC1 ZINC001447304946 930603289 /nfs/dbraw/zinc/60/32/89/930603289.db2.gz PAZNDSYTUVKYJJ-QWHCGFSZSA-N 0 1 292.383 0.349 20 30 CCEDMN COC(=O)[C@@H](NC(=O)C(C)C#N)c1ccc(O)cc1 ZINC001447432640 930631693 /nfs/dbraw/zinc/63/16/93/930631693.db2.gz GZNUGMDCJWSYIG-KWQFWETISA-N 0 1 262.265 0.882 20 30 CCEDMN C[C@H](C(N)=O)N(C)CCCN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001376922948 931326262 /nfs/dbraw/zinc/32/62/62/931326262.db2.gz RRBDOVLCOPSCAP-SNVBAGLBSA-N 0 1 291.355 0.154 20 30 CCEDMN CCc1nc([C@H](C)N(C)CCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001377129347 931395488 /nfs/dbraw/zinc/39/54/88/931395488.db2.gz KGCMJAXNXBIAQI-ZJUUUORDSA-N 0 1 278.360 0.636 20 30 CCEDMN C#C[C@H]1CCCC[N@@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001602673637 971241559 /nfs/dbraw/zinc/24/15/59/971241559.db2.gz YYHGRBGARHCBTJ-WDEREUQCSA-N 0 1 250.298 0.160 20 30 CCEDMN C#CC[N@H+](CC(=O)N1CCC(C(=O)[O-])CC1)CC1CC1 ZINC000382530457 971819740 /nfs/dbraw/zinc/81/97/40/971819740.db2.gz KBZFDQAPUDRHHA-UHFFFAOYSA-N 0 1 278.352 0.655 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H]1CCn2c(C)[nH+]cc2C1 ZINC001588399022 958069895 /nfs/dbraw/zinc/06/98/95/958069895.db2.gz FPZYDKRATRGBEK-NSHDSACASA-N 0 1 275.308 0.300 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@@H](C)C[N@H+](C)CCCC ZINC001588409512 958127828 /nfs/dbraw/zinc/12/78/28/958127828.db2.gz YIIYUUGRPQNGCB-LBPRGKRZSA-N 0 1 283.372 0.836 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H](O)CC[C@H](O)c1ccccc1 ZINC001588424132 958210443 /nfs/dbraw/zinc/21/04/43/958210443.db2.gz JZHGIVNGGVOYTF-CABCVRRESA-N 0 1 291.347 0.881 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H](O)CC[C@H](O)c1ccccc1 ZINC001588424132 958210464 /nfs/dbraw/zinc/21/04/64/958210464.db2.gz JZHGIVNGGVOYTF-CABCVRRESA-N 0 1 291.347 0.881 20 30 CCEDMN C#CCO[C@H](C)C(=O)OC[C@@H]1CCC[N@H+]1CC(=O)[O-] ZINC001588430441 958255618 /nfs/dbraw/zinc/25/56/18/958255618.db2.gz BXJZXPNPHYZXFR-MNOVXSKESA-N 0 1 269.297 0.117 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001588430399 958256246 /nfs/dbraw/zinc/25/62/46/958256246.db2.gz LLYZQIZUUGACPS-WOPDTQHZSA-N 0 1 264.325 0.454 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC001588430399 958256269 /nfs/dbraw/zinc/25/62/69/958256269.db2.gz LLYZQIZUUGACPS-WOPDTQHZSA-N 0 1 264.325 0.454 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N2CC[C@@](C)(C(=O)[O-])C2)C1 ZINC001588430938 958261597 /nfs/dbraw/zinc/26/15/97/958261597.db2.gz QRPUELFOMVYZRF-SWLSCSKDSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N2CC[C@@](C)(C(=O)[O-])C2)C1 ZINC001588430938 958261609 /nfs/dbraw/zinc/26/16/09/958261609.db2.gz QRPUELFOMVYZRF-SWLSCSKDSA-N 0 1 293.367 0.590 20 30 CCEDMN C=CCCn1cc(C[N@@H+]2CC[C@](COC)(C(=O)[O-])C2)nn1 ZINC001588460440 958474215 /nfs/dbraw/zinc/47/42/15/958474215.db2.gz HDRGHEDEKBSZIM-AWEZNQCLSA-N 0 1 294.355 0.777 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)C(=O)[C@@]1(C(=O)[O-])CCCO1 ZINC001588463372 958484397 /nfs/dbraw/zinc/48/43/97/958484397.db2.gz OFEYTEMDRGGCQR-CQSZACIVSA-N 0 1 284.356 0.587 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)c2ccc(C(=O)[O-])nc2)CC1 ZINC001573327070 958484794 /nfs/dbraw/zinc/48/47/94/958484794.db2.gz CBNIEHHICUOPBE-UHFFFAOYSA-N 0 1 287.319 0.561 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)c2ccc(C(=O)[O-])nc2)CC1 ZINC001573327070 958484808 /nfs/dbraw/zinc/48/48/08/958484808.db2.gz CBNIEHHICUOPBE-UHFFFAOYSA-N 0 1 287.319 0.561 20 30 CCEDMN COC(=O)CC[N@H+](CC(=O)[O-])C[C@@H](C#N)CCC#N ZINC001574060989 962203411 /nfs/dbraw/zinc/20/34/11/962203411.db2.gz CFTQYMHMKJGSSA-SNVBAGLBSA-N 0 1 267.285 0.380 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001573301474 962628268 /nfs/dbraw/zinc/62/82/68/962628268.db2.gz CVWBFRQMIAIKKB-WDEREUQCSA-N 0 1 252.314 0.121 20 30 CCEDMN C=C(Cl)C[N@H+]1CCC[C@H]1CNC(=O)CNC(=O)[O-] ZINC001573338756 962879617 /nfs/dbraw/zinc/87/96/17/962879617.db2.gz HQOZFOGBRRUNER-VIFPVBQESA-N 0 1 275.736 0.587 20 30 CCEDMN C=C(Cl)C[N@@H+]1CCC[C@H]1CNC(=O)CNC(=O)[O-] ZINC001573338756 962879623 /nfs/dbraw/zinc/87/96/23/962879623.db2.gz HQOZFOGBRRUNER-VIFPVBQESA-N 0 1 275.736 0.587 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)CNC(=O)[O-])[C@H](C)C1 ZINC001573344338 962917098 /nfs/dbraw/zinc/91/70/98/962917098.db2.gz UEBHLXORSIYJNJ-PSASIEDQSA-N 0 1 289.763 0.691 20 30 CCEDMN C=CC[N@@H+](CC)CCCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001573360675 963041289 /nfs/dbraw/zinc/04/12/89/963041289.db2.gz VCDPSPKEIVKYPU-GHMZBOCLSA-N 0 1 254.330 0.721 20 30 CCEDMN C=CC[N@H+](CCC(=O)N(C)CC(=O)[O-])[C@@H](C)COC ZINC001573369096 963092317 /nfs/dbraw/zinc/09/23/17/963092317.db2.gz WVKJBFLILWQJKP-NSHDSACASA-N 0 1 272.345 0.442 20 30 CCEDMN C#CCCC[N@@H+]1C[C@@H](C(=O)[O-])CC[C@H]1C(=O)OC ZINC001588446448 964074040 /nfs/dbraw/zinc/07/40/40/964074040.db2.gz MDLYIMXIYSPOFM-QWRGUYRKSA-N 0 1 253.298 0.738 20 30 CCEDMN C#CCC[N@@H+](CC)CCCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001588448213 964093952 /nfs/dbraw/zinc/09/39/52/964093952.db2.gz YHQBWDBTQORSJK-VXGBXAGGSA-N 0 1 266.341 0.559 20 30 CCEDMN C[C@@H]1CC[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C[C@@H]1C(=O)[O-] ZINC001603426146 973155692 /nfs/dbraw/zinc/15/56/92/973155692.db2.gz AVXGNGLRUPELFF-GMXABZIVSA-N 0 1 293.367 0.837 20 30 CCEDMN C[C@H](CCC#N)C[N@H+]1CCN(C)C[C@H](C(=O)[O-])C1 ZINC001592469788 978214019 /nfs/dbraw/zinc/21/40/19/978214019.db2.gz BAFZCTBRBVCWFU-NEPJUHHUSA-N 0 1 253.346 0.874 20 30 CCEDMN C[C@H](CCC#N)C[N@H+](CCN1CCN(C)CC1)CC(=O)[O-] ZINC001592470718 978223981 /nfs/dbraw/zinc/22/39/81/978223981.db2.gz KXHSLNWGGMPMGJ-CQSZACIVSA-N 0 1 296.415 0.560 20 30 CCEDMN C[C@H](CCC#N)C[N@@H+](CCN1CCN(C)CC1)CC(=O)[O-] ZINC001592470718 978223986 /nfs/dbraw/zinc/22/39/86/978223986.db2.gz KXHSLNWGGMPMGJ-CQSZACIVSA-N 0 1 296.415 0.560 20 30 CCEDMN CC[C@](COC)([NH2+]CC(=O)NC1(C#N)CCC1)C(=O)[O-] ZINC001595195331 979912822 /nfs/dbraw/zinc/91/28/22/979912822.db2.gz QJWONLVUEQFHFT-CYBMUJFWSA-N 0 1 283.328 0.018 20 30 CCEDMN CC[C@](COC)([NH2+]CC(=O)N[C@@](C)(C#N)C(C)C)C(=O)[O-] ZINC001595195808 979917153 /nfs/dbraw/zinc/91/71/53/979917153.db2.gz ZGBHSYRKYDVIKD-UONOGXRCSA-N 0 1 299.371 0.510 20 30 CCEDMN C#CC[C@@H]1CC[N@H+](CC(=O)N2CCC(C(=O)[O-])CC2)C1 ZINC001588434785 983472460 /nfs/dbraw/zinc/47/24/60/983472460.db2.gz SWBOPFZACPKIRE-GFCCVEGCSA-N 0 1 278.352 0.655 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1 ZINC001588461187 983489040 /nfs/dbraw/zinc/48/90/40/983489040.db2.gz GTSZBOXIQSLITH-XUJVJEKNSA-N 0 1 293.367 0.494 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)Cn1ccc(C(=O)[O-])n1 ZINC001588477142 983514456 /nfs/dbraw/zinc/51/44/56/983514456.db2.gz KPWJJORXJQPEFW-NSHDSACASA-N 0 1 291.307 0.222 20 30 CCEDMN C#CCNC(=O)C[N@H+]1CC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC001588484280 983523667 /nfs/dbraw/zinc/52/36/67/983523667.db2.gz YRAGILJRWHJSSL-VIFPVBQESA-N 0 1 293.348 0.380 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC001588484280 983523669 /nfs/dbraw/zinc/52/36/69/983523669.db2.gz YRAGILJRWHJSSL-VIFPVBQESA-N 0 1 293.348 0.380 20 30 CCEDMN C#CCO[C@H](C)C(=O)O[C@H]1C[N@@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001588490518 983532706 /nfs/dbraw/zinc/53/27/06/983532706.db2.gz OJNMOPDDDXDIDW-GIPNMCIBSA-N 0 1 269.297 0.115 20 30 CCEDMN C#CCSCCNC(=O)N1CC[N@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588506574 983552083 /nfs/dbraw/zinc/55/20/83/983552083.db2.gz XMSSSHNWOWLFFD-NSHDSACASA-N 0 1 299.396 0.011 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CC[NH+]1CCOCC1)C(=O)[O-] ZINC001588540677 983604749 /nfs/dbraw/zinc/60/47/49/983604749.db2.gz KGPBXJXYFOVPRO-NSHDSACASA-N 0 1 270.329 0.244 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N[C@@H](C)C[NH+]1CCN(C)CC1 ZINC001588618794 983713079 /nfs/dbraw/zinc/71/30/79/983713079.db2.gz AIFABWBWVLQTQH-LBPRGKRZSA-N 0 1 283.372 0.160 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N[C@@H]1CC[N@H+](C2CC2)C1 ZINC001588622950 983726063 /nfs/dbraw/zinc/72/60/63/983726063.db2.gz HGHZMEQJQSNQEL-SNVBAGLBSA-N 0 1 252.314 0.760 20 30 CCEDMN C=C[C@H](C(=O)[O-])N1CCC([N@H+]2CCC[C@H]2C(N)=O)CC1 ZINC001588662553 983789766 /nfs/dbraw/zinc/78/97/66/983789766.db2.gz BRIMGTGPEKTMSC-NEPJUHHUSA-N 0 1 281.356 0.040 20 30 CCEDMN C=C[C@H](C(=O)[O-])N1CCC([N@@H+]2CCC[C@H]2C(N)=O)CC1 ZINC001588662553 983789768 /nfs/dbraw/zinc/78/97/68/983789768.db2.gz BRIMGTGPEKTMSC-NEPJUHHUSA-N 0 1 281.356 0.040 20 30 CCEDMN C=C[C@@H](C(=O)[O-])N1CCC(C(N)=O)([NH+]2CCCCC2)CC1 ZINC001588664089 983798526 /nfs/dbraw/zinc/79/85/26/983798526.db2.gz SMBKORFJZVHROK-LBPRGKRZSA-N 0 1 295.383 0.431 20 30 CCEDMN C=C[C@@H](C(=O)[O-])n1cc(CCC[NH+]2CCOCC2)nn1 ZINC001588672822 983827085 /nfs/dbraw/zinc/82/70/85/983827085.db2.gz ZCNQEUYSIPZJKF-LBPRGKRZSA-N 0 1 280.328 0.355 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1C[C@H](N2CCOCC2)C[C@H]1C ZINC001588727681 983977245 /nfs/dbraw/zinc/97/72/45/983977245.db2.gz DYCHTJRRLSWOEP-JHJVBQTASA-N 0 1 268.357 0.811 20 30 CCEDMN C=CC[C@@H]1CC[N@@H+]1CCc1cn(CC(=O)[O-])nn1 ZINC001588772667 984144117 /nfs/dbraw/zinc/14/41/17/984144117.db2.gz LDDLEVODKYJIQP-LLVKDONJSA-N 0 1 250.302 0.556 20 30 CCEDMN C=CCCC[NH+]1CC(CCO)(NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])C1 ZINC001588801467 984245724 /nfs/dbraw/zinc/24/57/24/984245724.db2.gz MJNYAERZBUOEQR-NEPJUHHUSA-N 0 1 296.367 0.226 20 30 CCEDMN C=CCCOCCNC(=O)N1CC[N@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588813339 984269542 /nfs/dbraw/zinc/26/95/42/984269542.db2.gz NZZCXRSIOXWIQY-GFCCVEGCSA-N 0 1 299.371 0.237 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)[C@@H](C)C(=O)NCC(=O)[O-] ZINC001588822842 984293055 /nfs/dbraw/zinc/29/30/55/984293055.db2.gz SYSNVJJMHHAVTJ-NSHDSACASA-N 0 1 271.361 0.015 20 30 CCEDMN C=CCN(C)CC[N@@H+](CC)[C@@H](C)C(=O)NCC(=O)[O-] ZINC001588822842 984293062 /nfs/dbraw/zinc/29/30/62/984293062.db2.gz SYSNVJJMHHAVTJ-NSHDSACASA-N 0 1 271.361 0.015 20 30 CCEDMN C=CCN(C)CC[N@H+](CC)[C@@H](C)C(=O)NCC(=O)[O-] ZINC001588822842 984293068 /nfs/dbraw/zinc/29/30/68/984293068.db2.gz SYSNVJJMHHAVTJ-NSHDSACASA-N 0 1 271.361 0.015 20 30 CCEDMN CC(=O)NCC[N@H+](C)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001589137918 985444021 /nfs/dbraw/zinc/44/40/21/985444021.db2.gz VJQTYSWXEDGBJQ-ZDUSSCGKSA-N 0 1 275.308 0.752 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001590960609 991053121 /nfs/dbraw/zinc/05/31/21/991053121.db2.gz FWMXMYBQPYVUHY-SVBLFDIKSA-N 0 1 279.340 0.303 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)c2coc(C#N)c2)C[C@@]1(C)C(=O)[O-] ZINC001598572004 993211452 /nfs/dbraw/zinc/21/14/52/993211452.db2.gz CHNPRWROJWFMPM-MFKMUULPSA-N 0 1 278.264 0.855 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)CC2(C#N)CC2)C[C@]1(C)C(=O)[O-] ZINC001598572965 993228339 /nfs/dbraw/zinc/22/83/39/993228339.db2.gz KHJFRQXTPILWKX-JOYOIKCWSA-N 0 1 266.297 0.771 20 30 CCEDMN CO[C@]1(C(=O)[O-])CC[N@H+](C[C@H](C#N)CCC#N)C1 ZINC001599076973 996893419 /nfs/dbraw/zinc/89/34/19/996893419.db2.gz CGUFGLQRMLOOIS-CMPLNLGQSA-N 0 1 251.286 0.605 20 30 CCEDMN CO[C@]1(C(=O)[O-])CC[N@@H+](C[C@H](C#N)CCC#N)C1 ZINC001599076973 996893428 /nfs/dbraw/zinc/89/34/28/996893428.db2.gz CGUFGLQRMLOOIS-CMPLNLGQSA-N 0 1 251.286 0.605 20 30 CCEDMN CO[C@@H]1COC[C@H]1[NH2+]Cc1cccc(C(=O)[O-])c1C#N ZINC001599324262 997635274 /nfs/dbraw/zinc/63/52/74/997635274.db2.gz VOGKSVUDCPXSME-CHWSQXEVSA-N 0 1 276.292 0.760 20 30 CCEDMN COCC[NH+]1CCN(c2ccc(C(=O)[O-])nc2C#N)CC1 ZINC001599242309 998066593 /nfs/dbraw/zinc/06/65/93/998066593.db2.gz AJOXHEZAQQSUGP-UHFFFAOYSA-N 0 1 290.323 0.420 20 30 CCEDMN C[C@@H]1CC[N@@H+](CC(=O)N(CC#N)CCC(=O)[O-])C1 ZINC001594423459 999580855 /nfs/dbraw/zinc/58/08/55/999580855.db2.gz RVDWYEZLUPTKDD-SNVBAGLBSA-N 0 1 253.302 0.155 20 30 CCEDMN C=CCC[C@@H](O)CN1CC[C@H](S(=O)(=O)NCC)C1 ZINC000092843468 349507825 /nfs/dbraw/zinc/50/78/25/349507825.db2.gz WWEFBSNZDUMCRP-NEPJUHHUSA-N 0 1 276.402 0.327 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H]2CC[C@@H](C1)S2 ZINC000599553055 361735135 /nfs/dbraw/zinc/73/51/35/361735135.db2.gz SDAIUHSLCFXRLP-MNOVXSKESA-N 0 1 283.397 0.968 20 30 CCEDMN N#Cc1ccc(NC(=O)NCCN2CC[C@@H](O)C2)cc1 ZINC000599700132 361792697 /nfs/dbraw/zinc/79/26/97/361792697.db2.gz WEQJNIAFIPAUHJ-CYBMUJFWSA-N 0 1 274.324 0.746 20 30 CCEDMN N#CCc1cccc(NC(=O)NCCN2CC[C@H](O)C2)c1 ZINC000599795672 361815870 /nfs/dbraw/zinc/81/58/70/361815870.db2.gz VJGPJRYIMOSANK-AWEZNQCLSA-N 0 1 288.351 0.941 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)NCC2(C#N)CC2)cn1 ZINC000599877147 361823697 /nfs/dbraw/zinc/82/36/97/361823697.db2.gz VVIISJVNOCAFRC-UHFFFAOYSA-N 0 1 294.336 0.487 20 30 CCEDMN CN(Cc1ccc(C#N)o1)C[C@@H](O)CN1CCOCC1 ZINC000093583379 349546918 /nfs/dbraw/zinc/54/69/18/349546918.db2.gz YBAHUQMXHKYNGK-GFCCVEGCSA-N 0 1 279.340 0.276 20 30 CCEDMN CN1C(=O)CC[C@@H](NCc2cc(C#N)cs2)C1=O ZINC000093688461 349551267 /nfs/dbraw/zinc/55/12/67/349551267.db2.gz BMQDFXFLYNUZSX-SNVBAGLBSA-N 0 1 263.322 0.857 20 30 CCEDMN N#CCCCOc1cccc(CN2C[C@@H](O)[C@@H](O)C2)c1 ZINC000093483611 349544153 /nfs/dbraw/zinc/54/41/53/349544153.db2.gz JAUDOPZKAPSPBH-GASCZTMLSA-N 0 1 276.336 0.907 20 30 CCEDMN C=CCN(C(=O)CN1CCN(CC)CC1)[C@H](C)COC ZINC000617579859 365685923 /nfs/dbraw/zinc/68/59/23/365685923.db2.gz MRYCJJWPCQFGRN-CQSZACIVSA-N 0 1 283.416 0.673 20 30 CCEDMN C[C@@H]1C[C@H](C(=O)NC2(C#N)CCN(C)CC2)[C@@H](C)O1 ZINC000601042271 362140405 /nfs/dbraw/zinc/14/04/05/362140405.db2.gz CHRGSZYJCCJHJO-UTUOFQBUSA-N 0 1 265.357 0.904 20 30 CCEDMN CC(C)(N)c1noc(CN2CCN(CCC#N)CC2)n1 ZINC000601333949 362231637 /nfs/dbraw/zinc/23/16/37/362231637.db2.gz WEXLJNUAPCHHLF-UHFFFAOYSA-N 0 1 278.360 0.295 20 30 CCEDMN N#C[C@@H]1CN(S(=O)(=O)c2cc(O)cc(F)c2)CCO1 ZINC000601338440 362234488 /nfs/dbraw/zinc/23/44/88/362234488.db2.gz VITWPSJTAOYHHW-SNVBAGLBSA-N 0 1 286.284 0.444 20 30 CCEDMN CC[C@H](CO)N1CCN(c2nc(C)cc(C#N)n2)CC1 ZINC000288389082 136397678 /nfs/dbraw/zinc/39/76/78/136397678.db2.gz MDQDFDSATNFIFP-CYBMUJFWSA-N 0 1 275.356 0.550 20 30 CCEDMN CN1CC[C@@H](N2CCC(NC(=O)c3cnc[nH]3)CC2)C1=O ZINC000329153447 529794552 /nfs/dbraw/zinc/79/45/52/529794552.db2.gz BPTBGOOKQBJUKS-GFCCVEGCSA-N 0 1 291.355 0.409 20 30 CCEDMN C[C@@H](C#N)CN(C1CC1)S(=O)(=O)c1ncc[nH]1 ZINC000601378279 362250156 /nfs/dbraw/zinc/25/01/56/362250156.db2.gz QZFUWNFJYRCRFZ-QMMMGPOBSA-N 0 1 254.315 0.722 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)C(C)(C)CN1CCOCC1 ZINC000602078485 362473781 /nfs/dbraw/zinc/47/37/81/362473781.db2.gz JGWJHTZCHFQRJX-LBPRGKRZSA-N 0 1 267.373 0.963 20 30 CCEDMN CC(C)(C(=O)N1CCN(CCC#N)CC1)c1c[nH]cn1 ZINC000602205416 362558395 /nfs/dbraw/zinc/55/83/95/362558395.db2.gz FIOZORIKOBHTJE-UHFFFAOYSA-N 0 1 275.356 0.745 20 30 CCEDMN C[C@@]1(C(=O)N2Cc3cccc(C#N)c3C2)CNCCO1 ZINC000602522121 362655369 /nfs/dbraw/zinc/65/53/69/362655369.db2.gz QONTZDANQWXEPF-HNNXBMFYSA-N 0 1 271.320 0.779 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2ccc(OC(F)F)cn2)[nH]1 ZINC000361867398 232268061 /nfs/dbraw/zinc/26/80/61/232268061.db2.gz ZYIKEGMXWYHMHR-UHFFFAOYSA-N 0 1 293.233 0.962 20 30 CCEDMN Cc1cc(CN)oc1C(=O)N1CCN(CCC#N)CC1 ZINC000602602979 362707638 /nfs/dbraw/zinc/70/76/38/362707638.db2.gz GYXPSLVRXQFSSF-UHFFFAOYSA-N 0 1 276.340 0.718 20 30 CCEDMN CNS(=O)(=O)NC1CCN(CC2(CC#N)CC2)CC1 ZINC000602821240 362819071 /nfs/dbraw/zinc/81/90/71/362819071.db2.gz NKTLSWMQIIMLGO-UHFFFAOYSA-N 0 1 286.401 0.198 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N(C)CC1CN(C)C1 ZINC000618188788 365918859 /nfs/dbraw/zinc/91/88/59/365918859.db2.gz LCTZMEWONYAXDB-ZDUSSCGKSA-N 0 1 267.373 0.477 20 30 CCEDMN Cc1ncc(S(=O)(=O)N[C@@H](C)CN(C)C)cc1C#N ZINC000311989505 137076430 /nfs/dbraw/zinc/07/64/30/137076430.db2.gz PSRYSCVBILHFCP-VIFPVBQESA-N 0 1 282.369 0.490 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1cnccc1C#N ZINC000342488490 137097313 /nfs/dbraw/zinc/09/73/13/137097313.db2.gz HZCVMNVHKFVAKR-LBPRGKRZSA-N 0 1 287.367 0.319 20 30 CCEDMN Cc1cc(N2CCN(C[C@H](C)O)CC2)cc(C#N)n1 ZINC000343001113 137108716 /nfs/dbraw/zinc/10/87/16/137108716.db2.gz PQCYQLOERKVSLD-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccnc(C#N)c2)[C@H](C)C1 ZINC000343021633 137110340 /nfs/dbraw/zinc/11/03/40/137110340.db2.gz ARNIBHZUOTYBKT-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN N#CCSCCCN1CCN(Cc2nc[nH]n2)CC1 ZINC000602868191 362850897 /nfs/dbraw/zinc/85/08/97/362850897.db2.gz UCOCXTBNXRZEKF-UHFFFAOYSA-N 0 1 280.401 0.569 20 30 CCEDMN Cc1ccc(NCCN2CCN(C)CC2)nc1C#N ZINC000344991090 137226514 /nfs/dbraw/zinc/22/65/14/137226514.db2.gz VBBUBKWANALBAP-UHFFFAOYSA-N 0 1 259.357 0.343 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NCCN2CCCC2)cc1 ZINC000033871741 348148000 /nfs/dbraw/zinc/14/80/00/348148000.db2.gz JIZQOYSGMXIGFP-UHFFFAOYSA-N 0 1 279.365 0.932 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2C[C@@H]3COC[C@]3(C)C2)[nH]1 ZINC000602886108 362864030 /nfs/dbraw/zinc/86/40/30/362864030.db2.gz JDGCYVPVAFWEQJ-MFKMUULPSA-N 0 1 275.312 0.214 20 30 CCEDMN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602885035 362864564 /nfs/dbraw/zinc/86/45/64/362864564.db2.gz YFASGURBAJUQAJ-LOKLDPHHSA-N 0 1 277.328 0.647 20 30 CCEDMN C[C@H]1CCC[C@@H](CNC(=O)N=c2[nH]n(C)cc2C#N)O1 ZINC000602885945 362865213 /nfs/dbraw/zinc/86/52/13/362865213.db2.gz GSFWEVMOONVJRG-ONGXEEELSA-N 0 1 277.328 0.793 20 30 CCEDMN C[C@H](Cc1ccccn1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602886672 362866172 /nfs/dbraw/zinc/86/61/72/362866172.db2.gz LYNZFLCLJPCJMS-SNVBAGLBSA-N 0 1 284.323 0.861 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC[C@H]2CC2(F)F)[nH]1 ZINC000602886610 362866540 /nfs/dbraw/zinc/86/65/40/362866540.db2.gz KEIRVHZJLFNSPQ-QMMMGPOBSA-N 0 1 269.255 0.880 20 30 CCEDMN CCC[N@@H+](C)CC(C)(C)NC(=O)N=c1[n-]n(C)cc1C#N ZINC000602887738 362867388 /nfs/dbraw/zinc/86/73/88/362867388.db2.gz RQWVURALVPZICB-UHFFFAOYSA-N 0 1 292.387 0.956 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCc3[nH]nnc3C2)cn1 ZINC000346017875 137264685 /nfs/dbraw/zinc/26/46/85/137264685.db2.gz PNTGDZNRSVNDPH-UHFFFAOYSA-N 0 1 254.253 0.270 20 30 CCEDMN CC[C@@]1(O)CCCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000602982192 362919635 /nfs/dbraw/zinc/91/96/35/362919635.db2.gz OVRSXVSNBKAXBP-OAHLLOKOSA-N 0 1 292.383 0.879 20 30 CCEDMN COCCOC[C@H](O)CN(C)[C@@H]1CCC[C@H]1C#N ZINC000602981480 362920530 /nfs/dbraw/zinc/92/05/30/362920530.db2.gz DZJMMXMWDAUOFK-YNEHKIRRSA-N 0 1 256.346 0.634 20 30 CCEDMN Cc1cc(C(=O)N=c2[nH]n(C)cc2C#N)nc(C)n1 ZINC000347567638 137343231 /nfs/dbraw/zinc/34/32/31/137343231.db2.gz OVIIYXPGVUFALA-UHFFFAOYSA-N 0 1 256.269 0.373 20 30 CCEDMN Cc1cc(C(=O)N2CCN(c3cc(C#N)ccn3)CC2)n[nH]1 ZINC000054322680 348551029 /nfs/dbraw/zinc/55/10/29/348551029.db2.gz SKQMVDMUCOOZPP-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCCOC2)CC1 ZINC000062235298 348666532 /nfs/dbraw/zinc/66/65/32/348666532.db2.gz PFZCRCXYIBKGIF-LLVKDONJSA-N 0 1 251.330 0.517 20 30 CCEDMN CCN1CCN(CC(=O)N(CC#N)C2CCCC2)CC1 ZINC000074680233 348998328 /nfs/dbraw/zinc/99/83/28/348998328.db2.gz HFXASJJMUVJVKX-UHFFFAOYSA-N 0 1 278.400 0.919 20 30 CCEDMN COC[C@](C)(CCO)NCC(=O)Nc1cccc(C#N)c1 ZINC000079921166 349195006 /nfs/dbraw/zinc/19/50/06/349195006.db2.gz ZVOOJPDSVGEERY-HNNXBMFYSA-N 0 1 291.351 0.874 20 30 CCEDMN C#CCN1CCN(C(=O)CN(CCCCO)C2CC2)CC1 ZINC000081672505 349279840 /nfs/dbraw/zinc/27/98/40/349279840.db2.gz DZAWFLGOWGWQMA-UHFFFAOYSA-N 0 1 293.411 0.001 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC(c2nnc[nH]2)CC1 ZINC000083443538 349305854 /nfs/dbraw/zinc/30/58/54/349305854.db2.gz HPHOEYZZDJXJEQ-NSHDSACASA-N 0 1 290.371 0.745 20 30 CCEDMN COC[C@H](O)CN1CCN(c2ccccc2C#N)CC1 ZINC000126501935 350148293 /nfs/dbraw/zinc/14/82/93/350148293.db2.gz XUERYTSSCXOJJX-CQSZACIVSA-N 0 1 275.352 0.688 20 30 CCEDMN Cc1ccnc(NCCN2CCN(C)CC2)c1C#N ZINC000135188255 350387063 /nfs/dbraw/zinc/38/70/63/350387063.db2.gz FQCJKFTUIZULMW-UHFFFAOYSA-N 0 1 259.357 0.921 20 30 CCEDMN N#Cc1cnn(C(=O)CCCCc2cn[nH]n2)c1N ZINC000139211679 350445353 /nfs/dbraw/zinc/44/53/53/350445353.db2.gz GJLSQARKKPNLJT-UHFFFAOYSA-N 0 1 259.273 0.508 20 30 CCEDMN C[C@@H](O)CNCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000147730288 350470212 /nfs/dbraw/zinc/47/02/12/350470212.db2.gz ALEZTZGUYJZJCN-SNVBAGLBSA-N 0 1 268.338 0.302 20 30 CCEDMN N#Cc1c[nH]c(C(=O)Nc2nc(SCCO)n[nH]2)c1 ZINC000155330784 350615775 /nfs/dbraw/zinc/61/57/75/350615775.db2.gz HOYLPWHSURYZMI-UHFFFAOYSA-N 0 1 278.297 0.341 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N(C)CCC(=O)NC ZINC000170782195 350860871 /nfs/dbraw/zinc/86/08/71/350860871.db2.gz IKVOIAZLBFHBRS-GFCCVEGCSA-N 0 1 269.389 0.867 20 30 CCEDMN CN[C@@H](C)c1cn(CC(=O)NCc2cccc(C#N)c2)nn1 ZINC000603211927 363001988 /nfs/dbraw/zinc/00/19/88/363001988.db2.gz WSLSEKIXOXPRGY-NSHDSACASA-N 0 1 298.350 0.747 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000185987410 351469160 /nfs/dbraw/zinc/46/91/60/351469160.db2.gz AWOFRRXEQVVXOW-GFCCVEGCSA-N 0 1 288.351 0.944 20 30 CCEDMN C[C@@H]1CCNC(=O)[C@H]1NCC(=O)Nc1cccc(C#N)c1 ZINC000193585796 351834136 /nfs/dbraw/zinc/83/41/36/351834136.db2.gz VKGXSYLHFSLYMY-YGRLFVJLSA-N 0 1 286.335 0.611 20 30 CCEDMN CN(C)C(=O)CNCc1cnc2ccc(C#N)cn12 ZINC000123172763 187076066 /nfs/dbraw/zinc/07/60/66/187076066.db2.gz LXZLPNATVCFYFG-UHFFFAOYSA-N 0 1 257.297 0.384 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](C(=O)OCC)C1 ZINC000211940526 351929787 /nfs/dbraw/zinc/92/97/87/351929787.db2.gz FRMPLQUQIVJIMC-NEPJUHHUSA-N 0 1 266.341 0.399 20 30 CCEDMN Cc1cc(C#N)ccc1S(=O)(=O)N(C)Cc1nnc[nH]1 ZINC000234318357 352157278 /nfs/dbraw/zinc/15/72/78/352157278.db2.gz WCXIPWXARSBGMD-UHFFFAOYSA-N 0 1 291.336 0.806 20 30 CCEDMN N#Cc1ccccc1OC[C@H](O)CNCc1cccnn1 ZINC000236552471 352197345 /nfs/dbraw/zinc/19/73/45/352197345.db2.gz VUDSKISCPLOMHZ-CQSZACIVSA-N 0 1 284.319 0.878 20 30 CCEDMN C[C@]1(C(N)=O)CCN(CC(=O)Nc2ccc(C#N)cc2)C1 ZINC000235738007 352187590 /nfs/dbraw/zinc/18/75/90/352187590.db2.gz WGNFNWGKGGVXDF-HNNXBMFYSA-N 0 1 286.335 0.694 20 30 CCEDMN C=CCOc1ccccc1CNC(=O)[C@@H]1CNCCO1 ZINC000236673196 352204312 /nfs/dbraw/zinc/20/43/12/352204312.db2.gz TUDGYSHALJMJCZ-AWEZNQCLSA-N 0 1 276.336 0.856 20 30 CCEDMN C[C@H](NC(=O)C(C)(C)C)C(=O)NC1(C#N)CCN(C)CC1 ZINC000603886263 363166185 /nfs/dbraw/zinc/16/61/85/363166185.db2.gz UPNIXERSSGMCMY-NSHDSACASA-N 0 1 294.399 0.641 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)C[C@H]1CCCCO1 ZINC000266747390 352718030 /nfs/dbraw/zinc/71/80/30/352718030.db2.gz RTGZLTWYPQEGDW-VXGBXAGGSA-N 0 1 283.372 0.888 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2ccc(C#N)c(C)n2)n1 ZINC000267117116 352744834 /nfs/dbraw/zinc/74/48/34/352744834.db2.gz SNRNEBSTALIKRX-UHFFFAOYSA-N 0 1 270.296 0.661 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC000269719546 352867842 /nfs/dbraw/zinc/86/78/42/352867842.db2.gz BSWUBHHCQIMEGK-NSHDSACASA-N 0 1 262.313 0.337 20 30 CCEDMN C#CC[C@@H](NCCNS(C)(=O)=O)c1ccccc1 ZINC000271649707 191258830 /nfs/dbraw/zinc/25/88/30/191258830.db2.gz AYAJOPOMBZHBHA-CYBMUJFWSA-N 0 1 266.366 0.890 20 30 CCEDMN CC[C@H]1CN(CC(=O)NCc2ccc(C#N)cc2)C[C@@H]1O ZINC000270972010 353009843 /nfs/dbraw/zinc/00/98/43/353009843.db2.gz WJESUAFIILJKGL-GJZGRUSLSA-N 0 1 287.363 0.877 20 30 CCEDMN C[C@H](O)CN1CCN(c2cccnc2C#N)C[C@H]1C ZINC000273993590 353114355 /nfs/dbraw/zinc/11/43/55/353114355.db2.gz IZFVYZXRJPEQBT-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN(Cc3nc(=O)[nH][nH]3)C2)nc1 ZINC000292364435 198259800 /nfs/dbraw/zinc/25/98/00/198259800.db2.gz YDFGJNMFSFVMNY-SNVBAGLBSA-N 0 1 286.295 0.018 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H](CN3CCOCC3)C2)[nH]1 ZINC000156342470 188256690 /nfs/dbraw/zinc/25/66/90/188256690.db2.gz LUZFAAZDMUSOIG-GFCCVEGCSA-N 0 1 288.351 0.681 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](O)C(C)(C)C1 ZINC000279967839 353329099 /nfs/dbraw/zinc/32/90/99/353329099.db2.gz YSJHZCVQIMDZOE-GFCCVEGCSA-N 0 1 252.358 0.890 20 30 CCEDMN N#Cc1cnc(N2CCC[C@H](c3n[nH]c(=O)o3)C2)cn1 ZINC000285805213 353561676 /nfs/dbraw/zinc/56/16/76/353561676.db2.gz YIDKMNSRXUDSRV-QMMMGPOBSA-N 0 1 272.268 0.409 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN(C(=O)Cc3ccn[nH]3)C2)nc1 ZINC000293793864 353839788 /nfs/dbraw/zinc/83/97/88/353839788.db2.gz SKCGNAOEJVDMPV-ZDUSSCGKSA-N 0 1 297.318 0.899 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@](COC)(C(=O)OC)C1 ZINC000296158328 353934867 /nfs/dbraw/zinc/93/48/67/353934867.db2.gz HEPCWCKPPGDJKU-RISCZKNCSA-N 0 1 284.356 0.189 20 30 CCEDMN N#Cc1ccnc(NCCCCN2CCOCC2)n1 ZINC000295521982 353900504 /nfs/dbraw/zinc/90/05/04/353900504.db2.gz MLVKJRSAQMMDJN-UHFFFAOYSA-N 0 1 261.329 0.873 20 30 CCEDMN CC(=O)Nc1ccn([C@H]2CCN([C@@H]3CCN(C)C3=O)C2)n1 ZINC000328644909 354245549 /nfs/dbraw/zinc/24/55/49/354245549.db2.gz ZNEDUDNWSGYNNT-NWDGAFQWSA-N 0 1 291.355 0.969 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC000330416226 354350013 /nfs/dbraw/zinc/35/00/13/354350013.db2.gz UVRJSPGUPSHSBQ-ZDUSSCGKSA-N 0 1 290.367 0.973 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2cccc(C#N)c2)CC[C@@H]1O ZINC000331151772 354468480 /nfs/dbraw/zinc/46/84/80/354468480.db2.gz OFBJOWOBBTUACX-WFASDCNBSA-N 0 1 287.363 0.877 20 30 CCEDMN C[C@@H]1[C@H](C)N(CC(=O)N[C@](C)(C#N)C2CC2)CCN1C ZINC000331789549 354618576 /nfs/dbraw/zinc/61/85/76/354618576.db2.gz GNNBIEBOGOBDMK-TYNCELHUSA-N 0 1 278.400 0.819 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](OCCOC)C2)C1=O ZINC000337186247 355280519 /nfs/dbraw/zinc/28/05/19/355280519.db2.gz DEEJUKWIOPUALJ-OLZOCXBDSA-N 0 1 268.357 0.511 20 30 CCEDMN C[C@H]1OCC[C@@]1(O)CNCc1nc(C#N)cs1 ZINC000352907963 356109233 /nfs/dbraw/zinc/10/92/33/356109233.db2.gz WHXDVERWZCHCBA-LDYMZIIASA-N 0 1 253.327 0.644 20 30 CCEDMN COC[C@H](C)[C@@H](C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000361919767 138038230 /nfs/dbraw/zinc/03/82/30/138038230.db2.gz NXGCATLNCFQKPQ-DTWKUNHWSA-N 0 1 250.302 0.571 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H]2C(=O)NCc2nnc[nH]2)cc1 ZINC000609112758 363473214 /nfs/dbraw/zinc/47/32/14/363473214.db2.gz RRUKJSLFPQRVAM-ZDUSSCGKSA-N 0 1 296.334 0.962 20 30 CCEDMN CNC(=O)c1c(C)[nH][nH]c1=NC(=O)c1ccc(C#N)c(O)c1 ZINC000619619791 366303647 /nfs/dbraw/zinc/30/36/47/366303647.db2.gz XRQPEUXWJJOUFG-UHFFFAOYSA-N 0 1 299.290 0.329 20 30 CCEDMN N#Cc1ccncc1N1CCN(CCCCO)CC1 ZINC000560604919 291109597 /nfs/dbraw/zinc/10/95/97/291109597.db2.gz OMCRXJDAFUHJQY-UHFFFAOYSA-N 0 1 260.341 0.848 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000609919807 363528091 /nfs/dbraw/zinc/52/80/91/363528091.db2.gz QHUZSFGLSNYIHF-STQMWFEESA-N 0 1 265.357 0.859 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N1CCc2cn[nH]c2C1 ZINC000619712549 366331318 /nfs/dbraw/zinc/33/13/18/366331318.db2.gz VRZVIIOGTYNMCK-VIFPVBQESA-N 0 1 283.357 0.104 20 30 CCEDMN Cc1n[nH]c(C(=O)NC2CCN(CC#N)CC2)c1C ZINC000610475909 363563984 /nfs/dbraw/zinc/56/39/84/363563984.db2.gz PTLXEYQBRNIKCO-UHFFFAOYSA-N 0 1 261.329 0.744 20 30 CCEDMN COCC[N@H+]1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000610490386 363566508 /nfs/dbraw/zinc/56/65/08/363566508.db2.gz HJYGKSKLLBMJQF-LBPRGKRZSA-N 0 1 276.340 0.727 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000610490386 363566510 /nfs/dbraw/zinc/56/65/10/363566510.db2.gz HJYGKSKLLBMJQF-LBPRGKRZSA-N 0 1 276.340 0.727 20 30 CCEDMN CCN(CC)S(=O)(=O)CCNCc1cc(C#N)n(C)c1 ZINC000359731416 356881153 /nfs/dbraw/zinc/88/11/53/356881153.db2.gz BLBKCWUFYWRSLN-UHFFFAOYSA-N 0 1 298.412 0.658 20 30 CCEDMN CN(Cc1cnccn1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610528121 363572634 /nfs/dbraw/zinc/57/26/34/363572634.db2.gz PAMKBQBXLRHUFK-UHFFFAOYSA-N 0 1 271.284 0.168 20 30 CCEDMN COc1cc(CNC(=O)N=c2[nH]n(C)cc2C#N)ccn1 ZINC000610527814 363573000 /nfs/dbraw/zinc/57/30/00/363573000.db2.gz LZZBODPFWLBBMX-UHFFFAOYSA-N 0 1 286.295 0.439 20 30 CCEDMN C[C@@H](Cc1ccncc1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610560553 363575301 /nfs/dbraw/zinc/57/53/01/363575301.db2.gz FZABUCZAPVRYCY-JTQLQIEISA-N 0 1 284.323 0.861 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CCCO1 ZINC000610562188 363575852 /nfs/dbraw/zinc/57/58/52/363575852.db2.gz ZDBTZVYIDMRIFO-SECBINFHSA-N 0 1 263.301 0.356 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC3(C2)CCOCC3)[nH]1 ZINC000610564967 363579049 /nfs/dbraw/zinc/57/90/49/363579049.db2.gz XSQWBUDXSFPBJX-UHFFFAOYSA-N 0 1 289.339 0.748 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H]1COC ZINC000610605190 363585797 /nfs/dbraw/zinc/58/57/97/363585797.db2.gz PGIOFWCSOVWWDL-JTQLQIEISA-N 0 1 255.318 0.109 20 30 CCEDMN CS(=O)(=O)N1CC[C@@H](NCc2cc(C#N)cs2)C1 ZINC000400246653 357792704 /nfs/dbraw/zinc/79/27/04/357792704.db2.gz ZNOGZIKSOLCKOT-SNVBAGLBSA-N 0 1 285.394 0.743 20 30 CCEDMN N#Cc1csc(CNCC[C@]2(O)CCOC2)n1 ZINC000404159954 357816458 /nfs/dbraw/zinc/81/64/58/357816458.db2.gz XMGLETSYCQAWIV-NSHDSACASA-N 0 1 253.327 0.646 20 30 CCEDMN C[C@H](O)CCN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000450487798 236092472 /nfs/dbraw/zinc/09/24/72/236092472.db2.gz WCKBSXBJDSASGC-RYUDHWBXSA-N 0 1 275.356 0.630 20 30 CCEDMN Cc1nc(N2CCN(CCO)C[C@H]2C)ccc1C#N ZINC000450950859 236124041 /nfs/dbraw/zinc/12/40/41/236124041.db2.gz DWRRFBNPCNBQRC-LLVKDONJSA-N 0 1 260.341 0.765 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](CCO)c1ccco1 ZINC000294763609 199171815 /nfs/dbraw/zinc/17/18/15/199171815.db2.gz YPISGRKVSQLJAN-NSHDSACASA-N 0 1 250.298 0.432 20 30 CCEDMN C#CCNC(=O)CCNCc1cn2cc(C)ccc2n1 ZINC000491167464 358291793 /nfs/dbraw/zinc/29/17/93/358291793.db2.gz YANYVQBIOKPWFX-UHFFFAOYSA-N 0 1 270.336 0.872 20 30 CCEDMN C[C@@H]1[C@@H](C(=O)NC2(C#N)CCN(C)CC2)C1(F)F ZINC000545183049 358549551 /nfs/dbraw/zinc/54/95/51/358549551.db2.gz PUWXBAGVZDFCDX-BDAKNGLRSA-N 0 1 257.284 0.992 20 30 CCEDMN COC(=O)[C@H](COC(C)C)N(C)C(=O)C(C)C#N ZINC000610835348 363618265 /nfs/dbraw/zinc/61/82/65/363618265.db2.gz IYXWGUINJPRLTP-UWVGGRQHSA-N 0 1 256.302 0.571 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCOC3(CCCC3)C2)[nH]1 ZINC000610821360 363617189 /nfs/dbraw/zinc/61/71/89/363617189.db2.gz KXYBCDUTMMEAQG-UHFFFAOYSA-N 0 1 289.339 0.891 20 30 CCEDMN CC[C@@H]1CN(C)CCN1CC(=O)N(CCC#N)CCC#N ZINC000571860787 358925639 /nfs/dbraw/zinc/92/56/39/358925639.db2.gz BLOZGCREUKHKLN-CQSZACIVSA-N 0 1 291.399 0.668 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cccc(C#N)n2)C1 ZINC000589401809 359381124 /nfs/dbraw/zinc/38/11/24/359381124.db2.gz LUWZIAVALFQIHA-LBPRGKRZSA-N 0 1 274.324 0.404 20 30 CCEDMN N#CC1(CS(=O)(=O)NCCN2CCCC2)CCC1 ZINC000589214436 359372016 /nfs/dbraw/zinc/37/20/16/359372016.db2.gz WWRGLENQTKRCMN-UHFFFAOYSA-N 0 1 271.386 0.695 20 30 CCEDMN CC(C)(CO)N1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000610994487 363645679 /nfs/dbraw/zinc/64/56/79/363645679.db2.gz FYEJNAKMQQPEGQ-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN CC(C)SCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000588258779 359320126 /nfs/dbraw/zinc/32/01/26/359320126.db2.gz IMUQNWMVFKAXSX-UHFFFAOYSA-N 0 1 267.358 0.977 20 30 CCEDMN N#CC1(C(=O)N2CC[C@@H](N3CC[C@@H](O)C3)C2)CCCC1 ZINC000588860021 359343200 /nfs/dbraw/zinc/34/32/00/359343200.db2.gz BGNIJZCOLZBAAA-CHWSQXEVSA-N 0 1 277.368 0.738 20 30 CCEDMN N#CC1(CC(=O)N2CCC[C@H](c3nn[nH]n3)C2)CC1 ZINC000590312777 359438437 /nfs/dbraw/zinc/43/84/37/359438437.db2.gz XLZJOVYQYJXTON-VIFPVBQESA-N 0 1 260.301 0.600 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H](C(=O)N3CCNCC3)C2)nc1 ZINC000591425718 359590037 /nfs/dbraw/zinc/59/00/37/359590037.db2.gz QKNSJCQQWSKFOD-AWEZNQCLSA-N 0 1 299.378 0.601 20 30 CCEDMN N#Cc1cncnc1N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000591908061 359687813 /nfs/dbraw/zinc/68/78/13/359687813.db2.gz PBEVRIPCEKMEIC-CHWSQXEVSA-N 0 1 273.340 0.384 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N1CC[C@](O)(CC#N)C1 ZINC000592078614 359750201 /nfs/dbraw/zinc/75/02/01/359750201.db2.gz SWHKYDOBITXVOT-GFCCVEGCSA-N 0 1 289.401 0.008 20 30 CCEDMN N#Cc1cnccc1CN[C@@H](CO)C1CCOCC1 ZINC000592100729 359754776 /nfs/dbraw/zinc/75/47/76/359754776.db2.gz MRPMOTSCLHNXOM-AWEZNQCLSA-N 0 1 261.325 0.830 20 30 CCEDMN CC(C)N1CCC[C@@H](N2CC[C@](O)(CC#N)C2)C1=O ZINC000592150588 359769784 /nfs/dbraw/zinc/76/97/84/359769784.db2.gz GYXKTNMSZCPVPU-TZMCWYRMSA-N 0 1 265.357 0.736 20 30 CCEDMN Cc1cncc(CNC(=O)N=c2[nH]n(C)cc2C#N)c1 ZINC000611169490 363669430 /nfs/dbraw/zinc/66/94/30/363669430.db2.gz FFQZYNCLBQADCP-UHFFFAOYSA-N 0 1 270.296 0.739 20 30 CCEDMN N#Cc1cnn2c1NC[C@H](CN1CCO[C@@H]3C[C@@H]31)C2 ZINC000592514752 359868524 /nfs/dbraw/zinc/86/85/24/359868524.db2.gz IJJZHVAMTZDGDI-ADEWGFFLSA-N 0 1 259.313 0.270 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H](C)Oc2cccnc2)[nH]n1C ZINC000592646540 359907810 /nfs/dbraw/zinc/90/78/10/359907810.db2.gz PZTAJSSILKGNKB-JTQLQIEISA-N 0 1 285.307 0.823 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cc3n(n2)CCCC3)[nH]n1C ZINC000592652375 359909547 /nfs/dbraw/zinc/90/95/47/359909547.db2.gz YIWDXKATMVTAKN-UHFFFAOYSA-N 0 1 284.323 0.807 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2[C@@H]3CCCO[C@H]23)[nH]n1C ZINC000592655905 359910691 /nfs/dbraw/zinc/91/06/91/359910691.db2.gz ZBRKRZLZBBQPLC-GDPRMGEGSA-N 0 1 260.297 0.386 20 30 CCEDMN C[C@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000611283191 363700937 /nfs/dbraw/zinc/70/09/37/363700937.db2.gz LIUXTFNANAVGDR-WPRPVWTQSA-N 0 1 263.301 0.403 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N1CC[C@@](O)(CC#N)C1 ZINC000592843509 359951584 /nfs/dbraw/zinc/95/15/84/359951584.db2.gz QFTAJQZMVZBKGC-JSGCOSHPSA-N 0 1 265.357 0.738 20 30 CCEDMN C[C@@H](CCO)N(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000593109641 360042105 /nfs/dbraw/zinc/04/21/05/360042105.db2.gz LHDCWTURIMWKPU-GWCFXTLKSA-N 0 1 253.346 0.498 20 30 CCEDMN N#Cc1ccc(CNCCN2CCCS2(=O)=O)s1 ZINC000176964183 189017619 /nfs/dbraw/zinc/01/76/19/189017619.db2.gz XYWFECCNMSZNJX-UHFFFAOYSA-N 0 1 285.394 0.745 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2ccc(C#N)cn2)CC1 ZINC000593961439 360222689 /nfs/dbraw/zinc/22/26/89/360222689.db2.gz JOLVQFSCMVCVCQ-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN Cn1cnnc1N1CCN(CCCSCC#N)CC1 ZINC000594023752 360236666 /nfs/dbraw/zinc/23/66/66/360236666.db2.gz TXWZMKVGVURREF-UHFFFAOYSA-N 0 1 280.401 0.584 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2ccc3c(n2)OCCO3)[nH]n1C ZINC000594052422 360242067 /nfs/dbraw/zinc/24/20/67/360242067.db2.gz HGIMSKVOXQNSKV-UHFFFAOYSA-N 0 1 299.290 0.441 20 30 CCEDMN C[C@H]1CCN(CC(=O)N[C@@]2(C#N)CCSC2)C1 ZINC000594257327 360264756 /nfs/dbraw/zinc/26/47/56/360264756.db2.gz IFHPAMRIPAOQCF-CMPLNLGQSA-N 0 1 253.371 0.844 20 30 CCEDMN C[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@H]1CCCCO1 ZINC000611481073 363720759 /nfs/dbraw/zinc/72/07/59/363720759.db2.gz VZXOUPMFXQWHCT-MWLCHTKSSA-N 0 1 277.328 0.793 20 30 CCEDMN Cc1nc(CN2CCN(CC3(C#N)CC3)CC2)n[nH]1 ZINC000611431187 363718318 /nfs/dbraw/zinc/71/83/18/363718318.db2.gz YDPKQBHRJKLDLA-UHFFFAOYSA-N 0 1 260.345 0.535 20 30 CCEDMN C[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H]1F ZINC000611503772 363728130 /nfs/dbraw/zinc/72/81/30/363728130.db2.gz IVEMQVADRAXALH-WPRPVWTQSA-N 0 1 265.292 0.925 20 30 CCEDMN CO[C@@H](C)CNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000594716076 360365260 /nfs/dbraw/zinc/36/52/60/360365260.db2.gz IDSKTHIGOURDSU-JTQLQIEISA-N 0 1 283.353 0.351 20 30 CCEDMN CCN(C(=O)C(=O)N1CC[C@](C)(C#N)C1)[C@@H]1CCN(C)C1 ZINC000595196910 360449441 /nfs/dbraw/zinc/44/94/41/360449441.db2.gz ODCYDJHBVVPAFN-IUODEOHRSA-N 0 1 292.383 0.301 20 30 CCEDMN C=CCn1cc(CN[C@H](C)[C@H]2C[C@H]2C(=O)OC)nn1 ZINC000594948873 360425253 /nfs/dbraw/zinc/42/52/53/360425253.db2.gz BUQIHEDWNIFBSY-YUSALJHKSA-N 0 1 264.329 0.751 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)CC2(C#N)CCC2)CCN1 ZINC000595410072 360524192 /nfs/dbraw/zinc/52/41/92/360524192.db2.gz AOXCVVGDWUTWSH-GFCCVEGCSA-N 0 1 285.413 0.940 20 30 CCEDMN C[C@H]1CN(CCN2CCN(CCC#N)CC2)C[C@H](C)O1 ZINC000595391666 360516650 /nfs/dbraw/zinc/51/66/50/360516650.db2.gz MIVSTLFQBCVXSZ-GJZGRUSLSA-N 0 1 280.416 0.627 20 30 CCEDMN COC(=O)[C@@H]1OCC[C@@H]1NCc1ccc(C#N)cc1OC ZINC000595665219 360613939 /nfs/dbraw/zinc/61/39/39/360613939.db2.gz VKEDHXDNJDITRG-GXTWGEPZSA-N 0 1 290.319 0.987 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@@]2(O)C[C@H]2C1 ZINC000595764434 360646107 /nfs/dbraw/zinc/64/61/07/360646107.db2.gz ISNLNBDCWCAIKA-GMXVVIOVSA-N 0 1 251.330 0.204 20 30 CCEDMN COC(=O)[C@@H]1CCN(CC(=O)NCC#N)[C@@H]2CCCC[C@H]12 ZINC000595737176 360634882 /nfs/dbraw/zinc/63/48/82/360634882.db2.gz PMBKRMBLNMLKBZ-JHJVBQTASA-N 0 1 293.367 0.680 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CC1=CCCOC1 ZINC000595752529 360639425 /nfs/dbraw/zinc/63/94/25/360639425.db2.gz MLVNFZSAQXQNEV-LLVKDONJSA-N 0 1 281.356 0.665 20 30 CCEDMN CCc1nc(C#N)cc(NCCCCN2CCOCC2)n1 ZINC000596077854 360789973 /nfs/dbraw/zinc/78/99/73/360789973.db2.gz RLZXSDKFJAOCMA-UHFFFAOYSA-N 0 1 289.383 0.857 20 30 CCEDMN COCc1nc(CNc2ncc(C#N)cc2F)n[nH]1 ZINC000596436621 360896337 /nfs/dbraw/zinc/89/63/37/360896337.db2.gz QMAOFLMBYJZXIK-UHFFFAOYSA-N 0 1 262.248 0.969 20 30 CCEDMN COCc1nnc(CNc2ncc(C#N)cc2F)[nH]1 ZINC000596436621 360896341 /nfs/dbraw/zinc/89/63/41/360896341.db2.gz QMAOFLMBYJZXIK-UHFFFAOYSA-N 0 1 262.248 0.969 20 30 CCEDMN CCc1nc(C#N)cc(NCc2n[nH]c(COC)n2)n1 ZINC000596437339 360896428 /nfs/dbraw/zinc/89/64/28/360896428.db2.gz WMMXDVZAPFCQMY-UHFFFAOYSA-N 0 1 273.300 0.209 20 30 CCEDMN CCc1nc(C#N)cc(NCc2nnc(COC)[nH]2)n1 ZINC000596437339 360896434 /nfs/dbraw/zinc/89/64/34/360896434.db2.gz WMMXDVZAPFCQMY-UHFFFAOYSA-N 0 1 273.300 0.209 20 30 CCEDMN CCN1CCN([C@H]2CCN(C(=O)[C@H](C)CC#N)C2)CC1 ZINC000597166874 361020949 /nfs/dbraw/zinc/02/09/49/361020949.db2.gz SQMMZRASNUIIBA-KGLIPLIRSA-N 0 1 278.400 0.775 20 30 CCEDMN CC(C)(C)N1CC[C@H]1CNC(=O)C(=O)NCCCCC#N ZINC000597256664 361043268 /nfs/dbraw/zinc/04/32/68/361043268.db2.gz OPGZUKXDPJIVCI-LBPRGKRZSA-N 0 1 294.399 0.785 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000597543878 361134072 /nfs/dbraw/zinc/13/40/72/361134072.db2.gz DKQZQLASORESNR-RYUDHWBXSA-N 0 1 267.373 0.840 20 30 CCEDMN N#CCCCC(=O)NC[C@H]1CN2CCN1CCC2 ZINC000597627359 361166709 /nfs/dbraw/zinc/16/67/09/361166709.db2.gz YUMBARFOIWZYFF-LBPRGKRZSA-N 0 1 250.346 0.186 20 30 CCEDMN CN(C)C1(C(=O)N2CCN(c3cnccc3C#N)CC2)CC1 ZINC000597688655 361195605 /nfs/dbraw/zinc/19/56/05/361195605.db2.gz FUXKKNMWOZJMFE-UHFFFAOYSA-N 0 1 299.378 0.696 20 30 CCEDMN N#Cc1cccc(CC(=O)NC[C@@H]2CN3CCN2CCC3)c1 ZINC000597623861 361165512 /nfs/dbraw/zinc/16/55/12/361165512.db2.gz CQPIZGPGEAOLFY-MRXNPFEDSA-N 0 1 298.390 0.607 20 30 CCEDMN CN1CCN(c2cccc(C(=O)N3CC(CC#N)C3)n2)CC1 ZINC000598030182 361318972 /nfs/dbraw/zinc/31/89/72/361318972.db2.gz NPGIXVZCXMDPMY-UHFFFAOYSA-N 0 1 299.378 0.819 20 30 CCEDMN N#Cc1cc([N+](=O)[O-])ccc1NCCCc1nc(=O)[nH][nH]1 ZINC000301427707 201004742 /nfs/dbraw/zinc/00/47/42/201004742.db2.gz IBFLRWUENAJNPH-UHFFFAOYSA-N 0 1 288.267 0.923 20 30 CCEDMN COCc1nc(CNC(=O)c2sccc2C#N)n[nH]1 ZINC000598053543 361324942 /nfs/dbraw/zinc/32/49/42/361324942.db2.gz FMNJVLJLPDAQRR-UHFFFAOYSA-N 0 1 277.309 0.814 20 30 CCEDMN COCc1nnc(CNC(=O)c2sccc2C#N)[nH]1 ZINC000598053543 361324947 /nfs/dbraw/zinc/32/49/47/361324947.db2.gz FMNJVLJLPDAQRR-UHFFFAOYSA-N 0 1 277.309 0.814 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](C)[C@@H](CO)C1 ZINC000598649716 361472470 /nfs/dbraw/zinc/47/24/70/361472470.db2.gz YXUOOOHOMSFJOW-JHJVBQTASA-N 0 1 279.384 0.888 20 30 CCEDMN CO[C@H](C(=O)N=c1[nH]n(C)c(C)c1C#N)C1CCC1 ZINC000598841065 361524851 /nfs/dbraw/zinc/52/48/51/361524851.db2.gz DBVCCFYNNAQDPN-NSHDSACASA-N 0 1 262.313 0.776 20 30 CCEDMN C=CCn1cc(CNC[C@H](O)CC(C)(C)C#N)nn1 ZINC000598725465 361496827 /nfs/dbraw/zinc/49/68/27/361496827.db2.gz FYULMOABICSYNA-GFCCVEGCSA-N 0 1 263.345 0.854 20 30 CCEDMN COC(=O)c1cc(S(=O)(=O)NCCCC#N)on1 ZINC000599274885 361628303 /nfs/dbraw/zinc/62/83/03/361628303.db2.gz GDJOQTQQRRBKJN-UHFFFAOYSA-N 0 1 273.270 0.043 20 30 CCEDMN CC[C@@H](CC#N)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599277447 361630038 /nfs/dbraw/zinc/63/00/38/361630038.db2.gz HSWQVYBZBNQJRL-ZETCQYMHSA-N 0 1 287.301 0.299 20 30 CCEDMN CC(C)C[N@H+](CC(N)=O)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000599264319 361625711 /nfs/dbraw/zinc/62/57/11/361625711.db2.gz AMOZWNQIJLIHQA-ZDUSSCGKSA-N 0 1 297.399 0.501 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC[C@@H]2CCOC2)[nH]1 ZINC000612313508 363883299 /nfs/dbraw/zinc/88/32/99/363883299.db2.gz MKFIAOGSHDXDRH-SECBINFHSA-N 0 1 263.301 0.262 20 30 CCEDMN CCN1CCN(CC(=O)N2CCC[C@](C)(C#N)C2)CC1 ZINC000612368575 363895422 /nfs/dbraw/zinc/89/54/22/363895422.db2.gz CGAFSBIXNLDECC-OAHLLOKOSA-N 0 1 278.400 0.776 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCN(CCOC)[C@@H](CCC)C1 ZINC000613159645 364136275 /nfs/dbraw/zinc/13/62/75/364136275.db2.gz IERYQIQPGHLMIO-DZGCQCFKSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000613252450 364181232 /nfs/dbraw/zinc/18/12/32/364181232.db2.gz GKJJZVBMKYEEJU-GHMZBOCLSA-N 0 1 263.341 0.815 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCOCC2CCC2)[nH]1 ZINC000613205018 364157357 /nfs/dbraw/zinc/15/73/57/364157357.db2.gz JMJKGWVJZWJXCQ-UHFFFAOYSA-N 0 1 277.328 0.652 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCCN1CC=CCC1 ZINC000614258389 364550757 /nfs/dbraw/zinc/55/07/57/364550757.db2.gz BGVVJYKMVSDBNU-AWEZNQCLSA-N 0 1 279.384 0.835 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000614522389 364647320 /nfs/dbraw/zinc/64/73/20/364647320.db2.gz ZHNKWCIGPWYHFZ-UKRRQHHQSA-N 0 1 282.384 0.494 20 30 CCEDMN N#CC1(CS(=O)(=O)NCCN2CCCC2)CC1 ZINC000616067812 365169718 /nfs/dbraw/zinc/16/97/18/365169718.db2.gz AECCQXKWNGXITQ-UHFFFAOYSA-N 0 1 257.359 0.305 20 30 CCEDMN C=C(C)[C@@H](CO)N[C@@H]1CCO[C@@H]1c1nc(C)no1 ZINC000626143693 368455798 /nfs/dbraw/zinc/45/57/98/368455798.db2.gz BOUJCWXKYPFLJW-MXWKQRLJSA-N 0 1 253.302 0.735 20 30 CCEDMN C=CCNC(=O)CN1CCC(CCO)(CCO)CC1 ZINC000640014907 376193175 /nfs/dbraw/zinc/19/31/75/376193175.db2.gz OCRZBLGEKAJHPW-UHFFFAOYSA-N 0 1 270.373 0.136 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCCN1CC[C@H](O)C1 ZINC000629915260 369826824 /nfs/dbraw/zinc/82/68/24/369826824.db2.gz HJACHNDNFCJRRA-JSGCOSHPSA-N 0 1 270.373 0.398 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC[C@H](c3n[nH]c(=O)o3)C2)c[nH]1 ZINC000331850404 204229181 /nfs/dbraw/zinc/22/91/81/204229181.db2.gz QREDHANKGIRMOK-QMMMGPOBSA-N 0 1 287.279 0.995 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)C(=O)N=c1ncn(C)[nH]1 ZINC000635261046 372437681 /nfs/dbraw/zinc/43/76/81/372437681.db2.gz UGEGQTSQVXFODT-UHFFFAOYSA-N 0 1 279.344 0.284 20 30 CCEDMN O=C(NCC#Cc1ccccc1)NCCN1CC[C@@H](O)C1 ZINC000636518383 373625462 /nfs/dbraw/zinc/62/54/62/373625462.db2.gz OIOSMIASAUXWNX-OAHLLOKOSA-N 0 1 287.363 0.404 20 30 CCEDMN CCn1nccc1NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000329223905 227363625 /nfs/dbraw/zinc/36/36/25/227363625.db2.gz YTSCWEPVJAKEJU-LLVKDONJSA-N 0 1 280.376 0.475 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H]2CCc3ncnn32)C1=O ZINC000639621617 375865503 /nfs/dbraw/zinc/86/55/03/375865503.db2.gz BGKKIXOHHRUHJI-VXGBXAGGSA-N 0 1 275.356 0.484 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2nnc(C)n2C)CC1 ZINC000639977972 376167183 /nfs/dbraw/zinc/16/71/83/376167183.db2.gz WDXFQGALAHZQEZ-CYBMUJFWSA-N 0 1 279.388 0.573 20 30 CCEDMN N#Cc1ccc(NC(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3)cc1 ZINC000514783336 249103433 /nfs/dbraw/zinc/10/34/33/249103433.db2.gz SDNFFZRTGRDLKQ-OKILXGFUSA-N 0 1 298.346 0.850 20 30 CCEDMN CO[C@@H]1COC[C@H]1NCc1cnc2c(C#N)cnn2c1 ZINC000517938219 249236058 /nfs/dbraw/zinc/23/60/58/249236058.db2.gz AZPPFFONENNVJL-VXGBXAGGSA-N 0 1 273.296 0.104 20 30 CCEDMN CO[C@H](C)CN(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000353251275 533080590 /nfs/dbraw/zinc/08/05/90/533080590.db2.gz FQDNSBXMTYSFDO-ZWNOBZJWSA-N 0 1 253.346 0.762 20 30 CCEDMN C[C@@H](C#N)NC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000347742123 533159364 /nfs/dbraw/zinc/15/93/64/533159364.db2.gz QPVHQOFGHSCTSR-NSHDSACASA-N 0 1 273.340 0.475 20 30 CCEDMN CN(CCN(C)S(=O)(=O)c1ccc(C#N)nc1)C1CC1 ZINC000185232744 388795392 /nfs/dbraw/zinc/79/53/92/388795392.db2.gz AQOJLJODHXBLNC-UHFFFAOYSA-N 0 1 294.380 0.668 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](O)[C@H](C)C1 ZINC000186919443 388859109 /nfs/dbraw/zinc/85/91/09/388859109.db2.gz DVALVERLFBOFOP-VWYCJHECSA-N 0 1 269.345 0.089 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC000288670592 388864660 /nfs/dbraw/zinc/86/46/60/388864660.db2.gz VZFNLTJQTUEIKC-AAEUAGOBSA-N 0 1 279.384 0.668 20 30 CCEDMN COC(=O)[C@H](C)CN(C)CC(=O)N[C@](C)(C#N)C(C)C ZINC000048335725 388890286 /nfs/dbraw/zinc/89/02/86/388890286.db2.gz VIDSAODSXFVUMB-BXUZGUMPSA-N 0 1 283.372 0.782 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(C(=O)NC)CC1 ZINC000048561350 388893367 /nfs/dbraw/zinc/89/33/67/388893367.db2.gz SSROZXNJJINTFV-UHFFFAOYSA-N 0 1 280.372 0.207 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000289612377 388875956 /nfs/dbraw/zinc/87/59/56/388875956.db2.gz DFGDXHXTKSXFDW-MWLCHTKSSA-N 0 1 270.354 0.532 20 30 CCEDMN CCN(CCC(=O)OC)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000050054168 388925440 /nfs/dbraw/zinc/92/54/40/388925440.db2.gz JXJGNVHAIKPVAI-AWEZNQCLSA-N 0 1 283.372 0.926 20 30 CCEDMN C#CCNC(=O)CCN(C)Cc1ccc([S@](C)=O)cc1 ZINC000292888983 388966641 /nfs/dbraw/zinc/96/66/41/388966641.db2.gz UYSKHTLAPSBPDF-FQEVSTJZSA-N 0 1 292.404 0.995 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@](CO)(c2ccccc2)C1 ZINC000292005311 388943866 /nfs/dbraw/zinc/94/38/66/388943866.db2.gz XNLOCDBUTFECJL-YOEHRIQHSA-N 0 1 286.375 0.760 20 30 CCEDMN C=CCOCC[N@H+]1CCC[C@H](c2nc(=O)[nH][nH]2)C1 ZINC000293433510 388982825 /nfs/dbraw/zinc/98/28/25/388982825.db2.gz BJZTZFDRKDWFEQ-JTQLQIEISA-N 0 1 252.318 0.480 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1[nH]nc2c1CCCCC2 ZINC000190997163 389003113 /nfs/dbraw/zinc/00/31/13/389003113.db2.gz BYLANFPFXSXGRM-UHFFFAOYSA-N 0 1 276.340 0.711 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCc1n[nH]c(CC)n1 ZINC000295232049 388995815 /nfs/dbraw/zinc/99/58/15/388995815.db2.gz BURZQPMJJPQPIZ-SECBINFHSA-N 0 1 252.318 0.965 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)NC[C@@H]1CCCN1CC ZINC000336881910 389027522 /nfs/dbraw/zinc/02/75/22/389027522.db2.gz BKHBDZWSKCAIBL-LBPRGKRZSA-N 0 1 288.417 0.541 20 30 CCEDMN C=CCN1CC[C@H](N[C@](C)(COC)CC(=O)OC)C1=O ZINC000337182819 389050702 /nfs/dbraw/zinc/05/07/02/389050702.db2.gz NISCOIFLXONKLJ-FZMZJTMJSA-N 0 1 284.356 0.331 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](O)CCOCC)C1=O ZINC000337227317 389056722 /nfs/dbraw/zinc/05/67/22/389056722.db2.gz QBTHCXNNZRIZGP-STQMWFEESA-N 0 1 270.373 0.493 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCN2CCOC(C)(C)C2)C1=O ZINC000337262835 389057974 /nfs/dbraw/zinc/05/79/74/389057974.db2.gz WSJLVQYAPJXUKK-CQSZACIVSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCOCCNc1cc(-n2cccn2)nc(N)n1 ZINC000302200662 389064848 /nfs/dbraw/zinc/06/48/48/389064848.db2.gz ZCOIFJHHBTYZIJ-UHFFFAOYSA-N 0 1 258.285 0.306 20 30 CCEDMN CCN1CCC(NS(=O)(=O)N(C)[C@@H](C)CC#N)CC1 ZINC000337796887 389069095 /nfs/dbraw/zinc/06/90/95/389069095.db2.gz DQOLEVAOCUBRAW-NSHDSACASA-N 0 1 288.417 0.539 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@@H]1C(=O)NCC[C@H]1C ZINC000193590218 389074180 /nfs/dbraw/zinc/07/41/80/389074180.db2.gz KEUHHLRDAUSTCT-OSMZGAPFSA-N 0 1 280.372 0.155 20 30 CCEDMN C=CCNC(=O)CN1CCC2(CC1)[C@@H](O)C[C@@H]2OCC ZINC000304377351 389083275 /nfs/dbraw/zinc/08/32/75/389083275.db2.gz RBZMLSMFJGCHOS-STQMWFEESA-N 0 1 282.384 0.541 20 30 CCEDMN C[C@H]1CCCN(CC(=O)N(CCC#N)CCC#N)[C@@H]1CO ZINC000305445475 389085796 /nfs/dbraw/zinc/08/57/96/389085796.db2.gz PDTPFRZMOWDWIJ-UONOGXRCSA-N 0 1 292.383 0.735 20 30 CCEDMN C[C@@H]1CCCN(CC(=O)N(CCC#N)CCC#N)[C@H]1CO ZINC000305445474 389086134 /nfs/dbraw/zinc/08/61/34/389086134.db2.gz PDTPFRZMOWDWIJ-KGLIPLIRSA-N 0 1 292.383 0.735 20 30 CCEDMN CC(C)(O)CN(CC(=O)NCC#N)Cc1ccccc1 ZINC000305782934 389088615 /nfs/dbraw/zinc/08/86/15/389088615.db2.gz PZKKBINAQRZHOR-UHFFFAOYSA-N 0 1 275.352 0.899 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N1CC[C@H](N2CC=CC2)C1 ZINC000337054708 389039634 /nfs/dbraw/zinc/03/96/34/389039634.db2.gz AOTRCPVTKIWXOE-ZDUSSCGKSA-N 0 1 298.412 0.413 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N1CC[C@@H](N2CC=CC2)C1 ZINC000337054706 389040111 /nfs/dbraw/zinc/04/01/11/389040111.db2.gz AOTRCPVTKIWXOE-CYBMUJFWSA-N 0 1 298.412 0.413 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000355156242 389045366 /nfs/dbraw/zinc/04/53/66/389045366.db2.gz YSMKQVZCZRPVPS-LBPRGKRZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CC[C@@H](C(=O)OC)C1 ZINC000107820856 389097614 /nfs/dbraw/zinc/09/76/14/389097614.db2.gz XOEKBXDERVDICH-GFCCVEGCSA-N 0 1 268.357 0.906 20 30 CCEDMN [O-]C(N[C@H]1CCc2ncnn2C1)=[NH+][C@H]1CC[C@H](O)CC1 ZINC000328749375 389135481 /nfs/dbraw/zinc/13/54/81/389135481.db2.gz QVJJHGDETKIBOY-DCAQKATOSA-N 0 1 279.344 0.400 20 30 CCEDMN O=C(N[C@H]1CC[C@H](O)CC1)N[C@H]1CCc2ncnn2C1 ZINC000328749375 389135484 /nfs/dbraw/zinc/13/54/84/389135484.db2.gz QVJJHGDETKIBOY-DCAQKATOSA-N 0 1 279.344 0.400 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000339617234 389136037 /nfs/dbraw/zinc/13/60/37/389136037.db2.gz QYVSCHFZPWOSMD-FRRDWIJNSA-N 0 1 266.389 0.771 20 30 CCEDMN O=C(CCn1ccnn1)NCCN1CCCOCC1 ZINC000328775460 389137470 /nfs/dbraw/zinc/13/74/70/389137470.db2.gz SKLZBMBRWFALNV-UHFFFAOYSA-N 0 1 267.333 0.347 20 30 CCEDMN CC(=O)N1CC(NC(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC000328788559 389138198 /nfs/dbraw/zinc/13/81/98/389138198.db2.gz PJZUXIQHQMGHNI-MRVPVSSYSA-N 0 1 263.301 0.097 20 30 CCEDMN CC(=O)N1CC(NC(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC000328788559 389138199 /nfs/dbraw/zinc/13/81/99/389138199.db2.gz PJZUXIQHQMGHNI-MRVPVSSYSA-N 0 1 263.301 0.097 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000357826928 389138483 /nfs/dbraw/zinc/13/84/83/389138483.db2.gz MNTPJTCGFAGSKZ-GFCCVEGCSA-N 0 1 265.357 0.717 20 30 CCEDMN C=CCN(C(=O)CN1CCN(CC)CC1)C1CC1 ZINC000357947400 389140700 /nfs/dbraw/zinc/14/07/00/389140700.db2.gz YWFMTNXIWXLSNC-UHFFFAOYSA-N 0 1 251.374 0.801 20 30 CCEDMN CCC[C@H]1CN(CC(=O)NCC#N)CCN1CCOC ZINC000121756167 389149942 /nfs/dbraw/zinc/14/99/42/389149942.db2.gz YNKMAQZEYQYGRE-ZDUSSCGKSA-N 0 1 282.388 0.059 20 30 CCEDMN CCC(=O)N1CC[C@@H](NC(=O)N2CCn3cncc3C2)C1 ZINC000329665984 389184811 /nfs/dbraw/zinc/18/48/11/389184811.db2.gz QCZAJMGIBSXWGV-LLVKDONJSA-N 0 1 291.355 0.624 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCCO[C@H](C)C1 ZINC000245402672 389206070 /nfs/dbraw/zinc/20/60/70/389206070.db2.gz IYNICLHWLKOHRJ-GHMZBOCLSA-N 0 1 269.345 0.498 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2C[C@@H]3CC[C@H](O)[C@H]3C2)C1 ZINC000329730993 389193465 /nfs/dbraw/zinc/19/34/65/389193465.db2.gz GKEMMRZNMKKYGK-XUXIUFHCSA-N 0 1 297.399 0.324 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000342163681 389199115 /nfs/dbraw/zinc/19/91/15/389199115.db2.gz ORWKDWKFJRIBEI-SSDOTTSWSA-N 0 1 254.246 0.232 20 30 CCEDMN CC#CCNC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000358448384 389150912 /nfs/dbraw/zinc/15/09/12/389150912.db2.gz DZXHSNWWAXFBNL-CQSZACIVSA-N 0 1 280.416 0.581 20 30 CCEDMN C=CCn1c(C)nnc1S(=O)(=O)Cc1n[nH]c(CC)n1 ZINC000358615110 389155844 /nfs/dbraw/zinc/15/58/44/389155844.db2.gz URMXQCBCPNINGM-UHFFFAOYSA-N 0 1 296.356 0.427 20 30 CCEDMN Cc1nc(NC(=O)[C@H]2CCc3[nH]nnc3C2)nn1C ZINC000329309965 389156070 /nfs/dbraw/zinc/15/60/70/389156070.db2.gz ZGJZTQCAOGUDLI-ZETCQYMHSA-N 0 1 261.289 0.635 20 30 CCEDMN Cc1nc(NC(=O)[C@H]2CCc3nn[nH]c3C2)nn1C ZINC000329309965 389156072 /nfs/dbraw/zinc/15/60/72/389156072.db2.gz ZGJZTQCAOGUDLI-ZETCQYMHSA-N 0 1 261.289 0.635 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000358979883 389163991 /nfs/dbraw/zinc/16/39/91/389163991.db2.gz PLSSBLHTIIALIY-OLZOCXBDSA-N 0 1 281.404 0.423 20 30 CCEDMN Cc1nnc(NC(=O)[C@H]2CCc3nnn(C)c3C2)[nH]1 ZINC000329563953 389171036 /nfs/dbraw/zinc/17/10/36/389171036.db2.gz JXULQTTZDMYOEX-ZETCQYMHSA-N 0 1 261.289 0.635 20 30 CCEDMN Cc1nnc2n1C[C@H](C[NH+]=C([O-])N1CCN(C)CC1)CC2 ZINC000329611391 389176446 /nfs/dbraw/zinc/17/64/46/389176446.db2.gz CGWXKEQHGRAVJU-LBPRGKRZSA-N 0 1 292.387 0.310 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C)CC1(C)C ZINC000330565897 389241680 /nfs/dbraw/zinc/24/16/80/389241680.db2.gz KXICBZIDYQROPY-UHFFFAOYSA-N 0 1 266.389 0.775 20 30 CCEDMN C=CCC[C@@H](O)CN1CC[C@H](S(=O)(=O)NC(C)C)C1 ZINC000134306902 389243692 /nfs/dbraw/zinc/24/36/92/389243692.db2.gz XGWJOPYRUBQVMW-OLZOCXBDSA-N 0 1 290.429 0.716 20 30 CCEDMN CCC[C@@H](NC(=O)c1cc(C#N)cn1C)c1nn[nH]n1 ZINC000136664534 389268041 /nfs/dbraw/zinc/26/80/41/389268041.db2.gz QFACZPIDDRFVIQ-SECBINFHSA-N 0 1 273.300 0.681 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCC[C@@H]1C(=O)NC1CC1 ZINC000131582770 389215672 /nfs/dbraw/zinc/21/56/72/389215672.db2.gz VOHKTXWJKLZMQI-CYBMUJFWSA-N 0 1 292.383 0.492 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@@](C)(C(N)=O)C1 ZINC000361558393 389219260 /nfs/dbraw/zinc/21/92/60/389219260.db2.gz WTPNVIZHMMMCEK-ZIAGYGMSSA-N 0 1 280.372 0.238 20 30 CCEDMN C[C@@H](Cn1cncn1)NC(=O)NCC(C)(C)C(N)=O ZINC000330421861 389227571 /nfs/dbraw/zinc/22/75/71/389227571.db2.gz AWRZGRLGSJPGOZ-QMMMGPOBSA-N 0 1 268.321 0.732 20 30 CCEDMN COC(=O)[C@H](C)CN(C)[C@H](C)C(=O)N(C)CCC#N ZINC000246985857 389234124 /nfs/dbraw/zinc/23/41/24/389234124.db2.gz NTWPLPISEZFYCW-GHMZBOCLSA-N 0 1 269.345 0.488 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@@H](C)C#N)N1CC[NH+](C)CC1 ZINC000345680562 389312053 /nfs/dbraw/zinc/31/20/53/389312053.db2.gz HDUZWYSUGXUKFQ-QWHCGFSZSA-N 0 1 266.389 0.534 20 30 CCEDMN Cc1ccc(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)cc1C#N ZINC000367102148 389321135 /nfs/dbraw/zinc/32/11/35/389321135.db2.gz VACKGWOVCVJEOW-GFCCVEGCSA-N 0 1 298.306 0.594 20 30 CCEDMN COCCCOCCN(C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000346588042 389332479 /nfs/dbraw/zinc/33/24/79/389332479.db2.gz WVRQDWCUUOXWOR-CYBMUJFWSA-N 0 1 297.399 0.922 20 30 CCEDMN CCOC(=O)C[C@@H](C)N(C)CC(=O)NC1(C#N)CCC1 ZINC000346975168 389342134 /nfs/dbraw/zinc/34/21/34/389342134.db2.gz VDCCESLORUQKCE-LLVKDONJSA-N 0 1 281.356 0.822 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000348274677 389373041 /nfs/dbraw/zinc/37/30/41/389373041.db2.gz LQDWTUWVWCPJDJ-GFCCVEGCSA-N 0 1 286.401 0.245 20 30 CCEDMN C=CCCS(=O)(=O)N1C[C@@H](SC)[C@@H](N(C)C)C1 ZINC000348344410 389373944 /nfs/dbraw/zinc/37/39/44/389373944.db2.gz WRQJIINAASADNU-WDEREUQCSA-N 0 1 278.443 0.870 20 30 CCEDMN C[C@H](CCC#N)N1CCN(C(=O)CN(C)C)CC1 ZINC000411167497 389418052 /nfs/dbraw/zinc/41/80/52/389418052.db2.gz XLJZHULXWLHEOE-GFCCVEGCSA-N 0 1 252.362 0.384 20 30 CCEDMN C=CCNC(=O)[C@H](C)N[C@@H](C)C1(S(C)(=O)=O)CC1 ZINC000348972943 389398831 /nfs/dbraw/zinc/39/88/31/389398831.db2.gz GQVDAAYGIZFIJS-UWVGGRQHSA-N 0 1 274.386 0.232 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](C(=O)OC)[C@H]1C ZINC000347110768 389346528 /nfs/dbraw/zinc/34/65/28/389346528.db2.gz NWUHRRICNFJSHM-OUAUKWLOSA-N 0 1 254.330 0.561 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2(C#N)CCC2)[C@H](C)C1 ZINC000332437548 389351730 /nfs/dbraw/zinc/35/17/30/389351730.db2.gz CWFSUAJZFQSLRL-OLZOCXBDSA-N 0 1 294.399 0.777 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H](C)[C@H]1CO ZINC000159241682 389362042 /nfs/dbraw/zinc/36/20/42/389362042.db2.gz SNWHUSURWVFYQB-WDEREUQCSA-N 0 1 269.345 0.091 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@](C(N)=O)(c2ccccc2)C1 ZINC000411959502 389470739 /nfs/dbraw/zinc/47/07/39/389470739.db2.gz DHDLVNQQYGUZJM-INIZCTEOSA-N 0 1 287.363 0.418 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)NC[C@H]1C[N@@H+](C)CCN1C ZINC000350050006 389481851 /nfs/dbraw/zinc/48/18/51/389481851.db2.gz LOWGLAYUVVTZSJ-NEPJUHHUSA-N 0 1 267.377 0.033 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000350050006 389481854 /nfs/dbraw/zinc/48/18/54/389481854.db2.gz LOWGLAYUVVTZSJ-NEPJUHHUSA-N 0 1 267.377 0.033 20 30 CCEDMN Cc1cc(C(=O)N2CCN(C3CC3)[C@H](C#N)C2)n[nH]1 ZINC000370990655 389424471 /nfs/dbraw/zinc/42/44/71/389424471.db2.gz IAGSEJBVPXKCGA-LLVKDONJSA-N 0 1 259.313 0.531 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCCCC1)N(C)Cc1nnc[nH]1 ZINC000174819075 389530749 /nfs/dbraw/zinc/53/07/49/389530749.db2.gz GOMQFFWCYJKQDI-LLVKDONJSA-N 0 1 290.371 0.968 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@](COC)(C(=O)OCC)C1 ZINC000281334473 389614160 /nfs/dbraw/zinc/61/41/60/389614160.db2.gz JPMNBQXUABBCID-OAHLLOKOSA-N 0 1 298.383 0.580 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCc2n[nH]nc2C1 ZINC000351615422 389634632 /nfs/dbraw/zinc/63/46/32/389634632.db2.gz MPRAOTORCAMPLM-UHFFFAOYSA-N 0 1 263.345 0.587 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@@H](C)CN1CCN(C)CC1 ZINC000351399519 389580307 /nfs/dbraw/zinc/58/03/07/389580307.db2.gz BEXQEYOWTVXPAV-CYBMUJFWSA-N 0 1 289.445 0.365 20 30 CCEDMN C[C@@H]1CN([C@H]2CCN(c3ccc(C#N)cc3)C2=O)C[C@H]1O ZINC000351945585 389721308 /nfs/dbraw/zinc/72/13/08/389721308.db2.gz ROWQYKRXILWHCW-BYCMXARLSA-N 0 1 285.347 0.976 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@H](OCCOC)C1 ZINC000179338342 389653305 /nfs/dbraw/zinc/65/33/05/389653305.db2.gz HCWUCNBBTJVRLB-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@H](c2nc(C3CC3)n[nH]2)C1 ZINC000179506725 389658079 /nfs/dbraw/zinc/65/80/79/389658079.db2.gz CWXMCBWUYGDRSN-NSHDSACASA-N 0 1 288.355 0.501 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000179506725 389658083 /nfs/dbraw/zinc/65/80/83/389658083.db2.gz CWXMCBWUYGDRSN-NSHDSACASA-N 0 1 288.355 0.501 20 30 CCEDMN COCC[N@@H+](C)CCNC(=O)c1c[nH]c(C#N)c1 ZINC000181275030 389683468 /nfs/dbraw/zinc/68/34/68/389683468.db2.gz VQDCPCNZWWZIJV-UHFFFAOYSA-N 0 1 250.302 0.194 20 30 CCEDMN CCN1CCN(CC(=O)N[C@]2(C#N)CC2(C)C)CC1 ZINC000427887255 389683803 /nfs/dbraw/zinc/68/38/03/389683803.db2.gz PADNQSQGKMMHSJ-AWEZNQCLSA-N 0 1 264.373 0.432 20 30 CCEDMN C[C@@H]1[C@H](CO)CCN1CC(=O)N(CCC#N)CCC#N ZINC000395214770 389776639 /nfs/dbraw/zinc/77/66/39/389776639.db2.gz WFCMLLIVZQHPEA-OLZOCXBDSA-N 0 1 278.356 0.345 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1ccc(F)cc1C#N)c1nnc[nH]1 ZINC000183196864 389727683 /nfs/dbraw/zinc/72/76/83/389727683.db2.gz PBRSIZJBNWAIFJ-SSDOTTSWSA-N 0 1 295.299 0.855 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000416392760 389738963 /nfs/dbraw/zinc/73/89/63/389738963.db2.gz TUGKUSQJOWIABL-GXSJLCMTSA-N 0 1 257.359 0.397 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H](C)N(CCO)C[C@H]1C ZINC000419321548 389891030 /nfs/dbraw/zinc/89/10/30/389891030.db2.gz GECPSCUFVLQKGW-HUUCEWRRSA-N 0 1 297.443 0.798 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000444545186 389908431 /nfs/dbraw/zinc/90/84/31/389908431.db2.gz IBYUACIECGLVAL-GHMZBOCLSA-N 0 1 253.346 0.450 20 30 CCEDMN CN(C[C@H](O)Cn1cc([N+](=O)[O-])cn1)CC(C)(C)C#N ZINC000433324686 389908776 /nfs/dbraw/zinc/90/87/76/389908776.db2.gz FLXIHUAAHORIGM-NSHDSACASA-N 0 1 281.316 0.634 20 30 CCEDMN C=CCCCNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000447967287 389975970 /nfs/dbraw/zinc/97/59/70/389975970.db2.gz VKADZRBJZAJHOY-CYBMUJFWSA-N 0 1 268.405 0.888 20 30 CCEDMN N#Cc1ncn(CCNC(=O)c2ccc(O)c(Cl)c2)n1 ZINC000436655063 389981669 /nfs/dbraw/zinc/98/16/69/389981669.db2.gz HPBIIRKPULXGBG-UHFFFAOYSA-N 0 1 291.698 0.939 20 30 CCEDMN C[C@@H](NC(=O)CNc1ccc(C#N)cc1)c1nnc[nH]1 ZINC000479596971 390082047 /nfs/dbraw/zinc/08/20/47/390082047.db2.gz XOCIFUOXEKWUGW-SECBINFHSA-N 0 1 270.296 0.966 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H]3CC[C@H](O)[C@H]3C2)CC1 ZINC000490933670 390108602 /nfs/dbraw/zinc/10/86/02/390108602.db2.gz FZRNWUJMIXNUPJ-KKUMJFAQSA-N 0 1 276.380 0.561 20 30 CCEDMN C#CCNC(=O)CCN(CC)CC(=O)N1CCCCCC1 ZINC000491165374 390110103 /nfs/dbraw/zinc/11/01/03/390110103.db2.gz KPKGXWBZALEZLQ-UHFFFAOYSA-N 0 1 293.411 0.850 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)[C@@H]1CSCN1C(=O)CC ZINC000491453238 390113474 /nfs/dbraw/zinc/11/34/74/390113474.db2.gz QHGORGZYHGPPMX-LBPRGKRZSA-N 0 1 297.424 0.369 20 30 CCEDMN N#Cc1cccc(NCC(=O)NCc2nnc[nH]2)c1 ZINC000494959229 390210097 /nfs/dbraw/zinc/21/00/97/390210097.db2.gz MNJWFPWEKNIAFN-UHFFFAOYSA-N 0 1 256.269 0.405 20 30 CCEDMN CC1(C)CCN(CC(=O)N2CCOC[C@H]2C#N)C1 ZINC000579819661 390210260 /nfs/dbraw/zinc/21/02/60/390210260.db2.gz RSHCUZJIUZVTLT-LLVKDONJSA-N 0 1 251.330 0.469 20 30 CCEDMN C=CCCCCNC(=O)NCC(=O)N1CCNCC1 ZINC000579691233 390197912 /nfs/dbraw/zinc/19/79/12/390197912.db2.gz KGCZTGSAVRJTJZ-UHFFFAOYSA-N 0 1 268.361 0.074 20 30 CCEDMN COC(=O)[C@H](C1CC1)N(C)CCCc1[nH]nc(N)c1C#N ZINC000541057096 390236038 /nfs/dbraw/zinc/23/60/38/390236038.db2.gz TXJFELCTZVAUOA-LBPRGKRZSA-N 0 1 291.355 0.510 20 30 CCEDMN CNS(=O)(=O)CCNCc1ccc(C#N)c(OC)c1 ZINC000281698806 195341922 /nfs/dbraw/zinc/34/19/22/195341922.db2.gz NQJCBOABCHZVJZ-UHFFFAOYSA-N 0 1 283.353 0.206 20 30 CCEDMN CC(=O)c1cc(C(=O)N=c2[nH]n(C)c(C)c2C#N)n(C)c1 ZINC000648433821 390372360 /nfs/dbraw/zinc/37/23/60/390372360.db2.gz PTPJBUXWFHTKJL-UHFFFAOYSA-N 0 1 285.307 0.816 20 30 CCEDMN CCOC(=O)CC[C@H](O)CNCC1(C#N)CCC1 ZINC000519544670 390508451 /nfs/dbraw/zinc/50/84/51/390508451.db2.gz USLBXNZJIFLDEJ-NSHDSACASA-N 0 1 254.330 0.974 20 30 CCEDMN CCN(CC(=O)NC1(C#N)CCOCC1)C1CC1 ZINC000505248755 390461879 /nfs/dbraw/zinc/46/18/79/390461879.db2.gz GBGNRNQREQSEER-UHFFFAOYSA-N 0 1 251.330 0.660 20 30 CCEDMN Cc1nn(C)c(N2CCN(Cc3cnc[nH]3)CC2)c1C#N ZINC000570875326 390722914 /nfs/dbraw/zinc/72/29/14/390722914.db2.gz GIXQTFZTRJEIKW-UHFFFAOYSA-N 0 1 285.355 0.646 20 30 CCEDMN COc1ccc(C#N)cc1CN1C[C@@H](CO)[C@H](CO)C1 ZINC000528608215 390761507 /nfs/dbraw/zinc/76/15/07/390761507.db2.gz ZVFBCTCXYURVJW-KBPBESRZSA-N 0 1 276.336 0.599 20 30 CCEDMN C=CCN1C(=O)N=NC1SCCNC(=O)NC(C)C ZINC000572640673 390794826 /nfs/dbraw/zinc/79/48/26/390794826.db2.gz COHXDDFYQKEDKM-UHFFFAOYSA-N 0 1 285.373 0.969 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN3C(=O)CC[C@H]3C2)CC1 ZINC000528434148 390743843 /nfs/dbraw/zinc/74/38/43/390743843.db2.gz VQIKYRXHHUAXKQ-RYUDHWBXSA-N 0 1 263.341 0.348 20 30 CCEDMN CCN1CCCN(C[C@@H](O)CC2(C#N)CC2)CC1=O ZINC000528470417 390747291 /nfs/dbraw/zinc/74/72/91/390747291.db2.gz FASBGMRYEYFUTD-LBPRGKRZSA-N 0 1 265.357 0.595 20 30 CCEDMN Cc1nn(C)c(N2CCN(C[C@H]3CCOC3)CC2)c1C#N ZINC000530413894 390876942 /nfs/dbraw/zinc/87/69/42/390876942.db2.gz FXLJGWGXTJWZJN-CYBMUJFWSA-N 0 1 289.383 0.759 20 30 CCEDMN N#CCc1ccc(CNC(=O)NC[C@H]2COCCN2)cc1 ZINC000529341479 390813394 /nfs/dbraw/zinc/81/33/94/390813394.db2.gz KDEFHNLUISXQBU-AWEZNQCLSA-N 0 1 288.351 0.540 20 30 CCEDMN C[C@H]1CCN(CC(=O)NC2(C#N)CCC2)[C@@H]1CO ZINC000347178975 533637451 /nfs/dbraw/zinc/63/74/51/533637451.db2.gz ZAUUQUXGLJUXSE-WDEREUQCSA-N 0 1 251.330 0.252 20 30 CCEDMN Cn1cc(CN2CCC[C@H](OCCO)C2)cc1C#N ZINC000191347853 130223631 /nfs/dbraw/zinc/22/36/31/130223631.db2.gz LWHOOGDKIXZOHL-AWEZNQCLSA-N 0 1 263.341 0.870 20 30 CCEDMN C[C@@H]1CN(c2nccnc2C#N)CCN1Cc1cnc[nH]1 ZINC000091936683 135074557 /nfs/dbraw/zinc/07/45/57/135074557.db2.gz RSQZPKADGDACEW-LLVKDONJSA-N 0 1 283.339 0.782 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccc(C#N)cn2)[C@H](C)C1 ZINC000275405023 135106349 /nfs/dbraw/zinc/10/63/49/135106349.db2.gz RROQURUWXNPMJT-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N(C)CC1CN(C)C1 ZINC000658416599 413144588 /nfs/dbraw/zinc/14/45/88/413144588.db2.gz ONKQWONVTUNEEF-UHFFFAOYSA-N 0 1 265.357 0.207 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)NC2CC(C)C2)[nH]1 ZINC000359242913 144205048 /nfs/dbraw/zinc/20/50/48/144205048.db2.gz VUMNUTWJXFQPFJ-UHFFFAOYSA-N 0 1 289.339 0.611 20 30 CCEDMN N#Cc1ccc(NC2CCN(C(=O)c3ccn[nH]3)CC2)nc1 ZINC000372121703 144353923 /nfs/dbraw/zinc/35/39/23/144353923.db2.gz VVUMUEGZCPWEGB-UHFFFAOYSA-N 0 1 296.334 0.815 20 30 CCEDMN Cc1nn(C)c(Cl)c1CNCCn1cnc(C#N)n1 ZINC000285568233 196328900 /nfs/dbraw/zinc/32/89/00/196328900.db2.gz SHFIKWBKQDNNSU-UHFFFAOYSA-N 0 1 279.735 0.635 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC(CO)(CO)C1 ZINC000661964703 414685057 /nfs/dbraw/zinc/68/50/57/414685057.db2.gz IDIPHAHEMNFLMF-CYBMUJFWSA-N 0 1 282.384 0.252 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N(C)CCOc1ccccc1F ZINC000662167318 414695104 /nfs/dbraw/zinc/69/51/04/414695104.db2.gz ZUHHXWQITHMBEB-GFCCVEGCSA-N 0 1 296.342 0.799 20 30 CCEDMN C=C[C@H](CO)NCc1cc(=O)n2cc(C)ccc2n1 ZINC000662167072 414695116 /nfs/dbraw/zinc/69/51/16/414695116.db2.gz REPOSLQCQRPITH-LLVKDONJSA-N 0 1 259.309 0.639 20 30 CCEDMN C=C[C@H](CO)N[C@@H]1CCN(Cc2ccc(OC)cc2)C1=O ZINC000662169869 414695580 /nfs/dbraw/zinc/69/55/80/414695580.db2.gz WFGCOTDTPHBJJP-UKRRQHHQSA-N 0 1 290.363 0.933 20 30 CCEDMN C[C@]1(NC([O-])=[NH+]CCn2cncn2)CCCOC1 ZINC000329157338 227331629 /nfs/dbraw/zinc/33/16/29/227331629.db2.gz WFJUCAFBJCORRQ-NSHDSACASA-N 0 1 253.306 0.351 20 30 CCEDMN C[C@]1(NC(=O)NCCn2cncn2)CCCOC1 ZINC000329157338 227331632 /nfs/dbraw/zinc/33/16/32/227331632.db2.gz WFJUCAFBJCORRQ-NSHDSACASA-N 0 1 253.306 0.351 20 30 CCEDMN C[C@H](CN1CCCCC1)NC(=O)N[C@@H]1CC[S@](=O)C1 ZINC000329989337 228008434 /nfs/dbraw/zinc/00/84/34/228008434.db2.gz HYYJCENLTOMSSL-CLUVUEOHSA-N 0 1 287.429 0.885 20 30 CCEDMN Cc1cnc(NC(=O)NC[C@@H]2CN(C)CCN2C)o1 ZINC000330034360 228022697 /nfs/dbraw/zinc/02/26/97/228022697.db2.gz WIPPAEPZDUHMPA-SNVBAGLBSA-N 0 1 267.333 0.555 20 30 CCEDMN Nc1noc(CCNC(=O)[C@@H]2CCCN2C2CC2)n1 ZINC000330226676 228072777 /nfs/dbraw/zinc/07/27/77/228072777.db2.gz OXADTUNJRJWSIG-VIFPVBQESA-N 0 1 265.317 0.608 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)NCCN2CCCOCC2)C1 ZINC000333376410 228198590 /nfs/dbraw/zinc/19/85/90/228198590.db2.gz JVBLRNLBUUQKPS-QWHCGFSZSA-N 0 1 280.372 0.700 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC000329862154 545027186 /nfs/dbraw/zinc/02/71/86/545027186.db2.gz QWMWRRKQVZXTDB-OLZOCXBDSA-N 0 1 297.399 0.921 20 30 CCEDMN CN(CC(=O)N1CCOC[C@H]1C#N)[C@@H]1CCSC1 ZINC000408030336 260185457 /nfs/dbraw/zinc/18/54/57/260185457.db2.gz GDGIECGKUNKTGI-GHMZBOCLSA-N 0 1 269.370 0.175 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N1CCO[C@H](c2nn[nH]n2)C1)OCC ZINC000363443601 260260433 /nfs/dbraw/zinc/26/04/33/260260433.db2.gz XDJJDPSJJLQZJG-MNOVXSKESA-N 0 1 295.343 0.471 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](c2cnn(C)c2)C1 ZINC000246920348 260857899 /nfs/dbraw/zinc/85/78/99/260857899.db2.gz HUYDMSJBMJHUFU-NWDGAFQWSA-N 0 1 262.357 0.900 20 30 CCEDMN C#CCN(CC)C(=O)NCCCCN1CCOCC1 ZINC000490556963 261162235 /nfs/dbraw/zinc/16/22/35/261162235.db2.gz ZMOJWBMMGCPRCR-UHFFFAOYSA-N 0 1 267.373 0.764 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@H](O)C[C@H]1CO ZINC000249277280 261248144 /nfs/dbraw/zinc/24/81/44/261248144.db2.gz DSNFECOTCLFBOQ-RWMBFGLXSA-N 0 1 270.373 0.227 20 30 CCEDMN CC[C@@](C)(NCC(=O)N(C)C1(C#N)CCCCC1)C(N)=O ZINC000177139535 261334904 /nfs/dbraw/zinc/33/49/04/261334904.db2.gz GZHBFLJGIZNCLJ-CQSZACIVSA-N 0 1 294.399 0.915 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC(C)(O)CC1 ZINC000177335065 261347847 /nfs/dbraw/zinc/34/78/47/261347847.db2.gz IDXAYZPWDFVPIG-NSHDSACASA-N 0 1 253.346 0.594 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCCOC2)CC1 ZINC000491179663 261362179 /nfs/dbraw/zinc/36/21/79/261362179.db2.gz GWKCKAKSDQBROT-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2conc2C)CC1 ZINC000491180945 261363123 /nfs/dbraw/zinc/36/31/23/261363123.db2.gz PDAQCZFNJQKVLY-UHFFFAOYSA-N 0 1 261.325 0.944 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000491266620 261393854 /nfs/dbraw/zinc/39/38/54/261393854.db2.gz PYOVJRRYDGRCRH-JTQLQIEISA-N 0 1 291.355 0.646 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc(=O)[nH]c2)CC1 ZINC000225765301 261660041 /nfs/dbraw/zinc/66/00/41/261660041.db2.gz BWDBKLUDYFAQMH-UHFFFAOYSA-N 0 1 260.297 0.093 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000364530537 262253044 /nfs/dbraw/zinc/25/30/44/262253044.db2.gz YXZPBYLNBCVNOR-VHSXEESVSA-N 0 1 281.316 0.081 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000337633873 262464185 /nfs/dbraw/zinc/46/41/85/262464185.db2.gz CGKGOLMIRGFHQU-CQSZACIVSA-N 0 1 282.384 0.760 20 30 CCEDMN CCC(N)=NOCCCN1C(=O)NC(C)(C)C1=O ZINC000270249441 262985190 /nfs/dbraw/zinc/98/51/90/262985190.db2.gz LZTZPFUAFLAHNW-UHFFFAOYSA-N 0 1 256.306 0.406 20 30 CCEDMN N#C[C@H]1CC[C@@H](N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000292877586 263135942 /nfs/dbraw/zinc/13/59/42/263135942.db2.gz TWINHIVBOZMFKA-GXTWGEPZSA-N 0 1 299.378 0.995 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2ncccn2)CC1 ZINC000120921670 263289314 /nfs/dbraw/zinc/28/93/14/263289314.db2.gz JXHJYMPIFVEKPM-ZDUSSCGKSA-N 0 1 262.357 0.926 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000341803692 263353628 /nfs/dbraw/zinc/35/36/28/263353628.db2.gz WVNCQZYUZBGXHI-ZIAGYGMSSA-N 0 1 298.431 0.106 20 30 CCEDMN C[C@@H](NC(=O)c1cccn2c(O)nnc12)[C@H](C)CO ZINC000330748613 264029395 /nfs/dbraw/zinc/02/93/95/264029395.db2.gz ALSIMBSGOZXZCQ-HTQZYQBOSA-N 0 1 264.285 0.756 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC[C@@H]1COCCO1 ZINC000330804446 264042555 /nfs/dbraw/zinc/04/25/55/264042555.db2.gz FWCLFHJCYVYJDN-SNVBAGLBSA-N 0 1 265.313 0.868 20 30 CCEDMN CC(C)c1nnc2n1C[C@@H](NC(=O)N(C)CCO)CC2 ZINC000330785901 264053245 /nfs/dbraw/zinc/05/32/45/264053245.db2.gz UWJYWTGIFXMQHS-JTQLQIEISA-N 0 1 281.360 0.554 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1cncnc1 ZINC000330847260 264067216 /nfs/dbraw/zinc/06/72/16/264067216.db2.gz CPAIMCBCPWUAPR-LLVKDONJSA-N 0 1 263.345 0.417 20 30 CCEDMN CCNC(=O)NC(=O)[C@H](C)N(C)C[C@H]1CCCCO1 ZINC000330945616 264085061 /nfs/dbraw/zinc/08/50/61/264085061.db2.gz KDRKOFLVXAKFJS-WDEREUQCSA-N 0 1 271.361 0.926 20 30 CCEDMN COCc1nc2n(n1)C[C@H]([NH+]=C([O-])NCC[C@H](C)O)CC2 ZINC000330958221 264088352 /nfs/dbraw/zinc/08/83/52/264088352.db2.gz WHUNOSANYBGFBA-VHSXEESVSA-N 0 1 297.359 0.014 20 30 CCEDMN COCc1nc2n(n1)C[C@H](NC(=O)NCC[C@H](C)O)CC2 ZINC000330958221 264088354 /nfs/dbraw/zinc/08/83/54/264088354.db2.gz WHUNOSANYBGFBA-VHSXEESVSA-N 0 1 297.359 0.014 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000330982667 264102808 /nfs/dbraw/zinc/10/28/08/264102808.db2.gz VSOSVXZDLNMSOZ-MRXNPFEDSA-N 0 1 296.411 0.884 20 30 CCEDMN CO[C@@H]1CN(C(=O)NCCn2cccn2)C[C@@H]1OC ZINC000331107980 264125660 /nfs/dbraw/zinc/12/56/60/264125660.db2.gz JRVOWTGIJSFQQV-PHIMTYICSA-N 0 1 268.317 0.143 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@H](OC)C[C@@H]1C ZINC000331260038 264184141 /nfs/dbraw/zinc/18/41/41/264184141.db2.gz AJEQXQIFEKLBTF-QWRGUYRKSA-N 0 1 269.345 0.702 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](OC)C[C@@H]1C ZINC000331260038 264184144 /nfs/dbraw/zinc/18/41/44/264184144.db2.gz AJEQXQIFEKLBTF-QWRGUYRKSA-N 0 1 269.345 0.702 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H](C(=O)NC)C1 ZINC000252443143 264301475 /nfs/dbraw/zinc/30/14/75/264301475.db2.gz PKHYOMNRMDNMQL-QWHCGFSZSA-N 0 1 294.399 0.453 20 30 CCEDMN CC[C@]1(CO)CCC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000189687351 264317873 /nfs/dbraw/zinc/31/78/73/264317873.db2.gz OIEAVRMOVJQUEE-OAHLLOKOSA-N 0 1 292.383 0.879 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](OC)C1 ZINC000076343924 264337211 /nfs/dbraw/zinc/33/72/11/264337211.db2.gz BEGAVCBGHDAFFG-GFCCVEGCSA-N 0 1 253.346 0.859 20 30 CCEDMN CC1CCN(CCNS(=O)(=O)CCCC#N)CC1 ZINC000104112283 264768236 /nfs/dbraw/zinc/76/82/36/264768236.db2.gz JAFLZMOYLBVGOX-UHFFFAOYSA-N 0 1 273.402 0.941 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)[C@H](C)[C@H]1C ZINC000356995169 266226751 /nfs/dbraw/zinc/22/67/51/266226751.db2.gz GEQWDGBGXZWJCQ-GHMZBOCLSA-N 0 1 268.361 0.023 20 30 CCEDMN C=C[C@H](CO)N=c1ccc(C(=O)NC2CCCC2)n[nH]1 ZINC000357590341 266331750 /nfs/dbraw/zinc/33/17/50/266331750.db2.gz FIMSOGPHTXOAGF-SNVBAGLBSA-N 0 1 276.340 0.530 20 30 CCEDMN C[C@@H]1C[C@H](NS(=O)(=O)N(C)CCC#N)CCN1C ZINC000360654690 266732256 /nfs/dbraw/zinc/73/22/56/266732256.db2.gz VQDSHYWZDVYQQD-GHMZBOCLSA-N 0 1 274.390 0.149 20 30 CCEDMN C=CCNC(=O)CNC(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC000362850255 267053622 /nfs/dbraw/zinc/05/36/22/267053622.db2.gz ADKKJDIQXRUETA-GFCCVEGCSA-N 0 1 282.388 0.270 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](N2CCOCC2)C[C@@H]1C ZINC000367603887 267104771 /nfs/dbraw/zinc/10/47/71/267104771.db2.gz PHIVIOADRREOQT-STQMWFEESA-N 0 1 267.373 0.084 20 30 CCEDMN O=C1NC(=O)C2(CCN(CC#Cc3ccccc3)CC2)N1 ZINC000276897589 275021656 /nfs/dbraw/zinc/02/16/56/275021656.db2.gz CVXGKKHHGCTWFK-UHFFFAOYSA-N 0 1 283.331 0.712 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)c2cnn(C)c2C#N)CCCN1C ZINC000356741323 280106760 /nfs/dbraw/zinc/10/67/60/280106760.db2.gz RDFKIMUNEJMATO-ONGXEEELSA-N 0 1 261.329 0.504 20 30 CCEDMN O=C(NCC[C@@H]1CCCCO1)N1CCn2ncnc2C1 ZINC000329920930 295378097 /nfs/dbraw/zinc/37/80/97/295378097.db2.gz HEFSMAZIVKVTHG-NSHDSACASA-N 0 1 279.344 0.967 20 30 CCEDMN O=C(N[C@H]1CCc2nc[nH]c2C1)N1CCCOCC1 ZINC000329743002 295387494 /nfs/dbraw/zinc/38/74/94/295387494.db2.gz PULWEZQILMAKKA-JTQLQIEISA-N 0 1 264.329 0.903 20 30 CCEDMN [O-]C(=[NH+][C@H]1CCc2nc[nH]c2C1)N1CCCOCC1 ZINC000329743002 295387497 /nfs/dbraw/zinc/38/74/97/295387497.db2.gz PULWEZQILMAKKA-JTQLQIEISA-N 0 1 264.329 0.903 20 30 CCEDMN [O-]C(=[NH+][C@H]1CCc2[nH]cnc2C1)N1CCCOCC1 ZINC000329743002 295387499 /nfs/dbraw/zinc/38/74/99/295387499.db2.gz PULWEZQILMAKKA-JTQLQIEISA-N 0 1 264.329 0.903 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1ccc(C(C)=O)cc1 ZINC000491804353 327846805 /nfs/dbraw/zinc/84/68/05/327846805.db2.gz LMARVVSNBZMYOP-ZIAGYGMSSA-N 0 1 277.320 0.213 20 30 CCEDMN CCN(CCC#N)C(=O)Cn1nc(SC)[nH]c1=O ZINC000188166218 327881979 /nfs/dbraw/zinc/88/19/79/327881979.db2.gz UTAPHMBXWWIWOH-UHFFFAOYSA-N 0 1 269.330 0.055 20 30 CCEDMN C=CCOCCN1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000339183562 328000095 /nfs/dbraw/zinc/00/00/95/328000095.db2.gz AYYLZXUYEPEFEY-LBPRGKRZSA-N 0 1 276.402 0.545 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000563639291 328080240 /nfs/dbraw/zinc/08/02/40/328080240.db2.gz CHEBYYKXUWBDMT-RQJABVFESA-N 0 1 297.399 0.426 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)NCC1(C#N)CC1 ZINC000571118742 328086852 /nfs/dbraw/zinc/08/68/52/328086852.db2.gz MNNSGXPMJXRDHE-GFCCVEGCSA-N 0 1 294.399 0.777 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)[C@H](C)CN1CCOCC1 ZINC000571163283 328089711 /nfs/dbraw/zinc/08/97/11/328089711.db2.gz BHBNQQAKOPJAHC-MCIONIFRSA-N 0 1 282.384 0.805 20 30 CCEDMN N#CC(C(=O)NC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cn1 ZINC000290992983 328261648 /nfs/dbraw/zinc/26/16/48/328261648.db2.gz RZCRWCWBTKDYIS-MNOVXSKESA-N 0 1 290.279 0.892 20 30 CCEDMN N#CC(C(=O)NC[C@H]1CCCO1)c1ccc([N+](=O)[O-])cn1 ZINC000290992981 328261755 /nfs/dbraw/zinc/26/17/55/328261755.db2.gz RZCRWCWBTKDYIS-GHMZBOCLSA-N 0 1 290.279 0.892 20 30 CCEDMN C[C@H](C#N)NC(=O)[C@H]1CCC[N@H+]1C1CCOCC1 ZINC000340456797 328279866 /nfs/dbraw/zinc/27/98/66/328279866.db2.gz UNRYGTBSSBUNBQ-ZYHUDNBSSA-N 0 1 251.330 0.658 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2cnn(C)c2)CC1 ZINC000132809022 328495722 /nfs/dbraw/zinc/49/57/22/328495722.db2.gz MJPNHFABGXFCSQ-AWEZNQCLSA-N 0 1 264.373 0.869 20 30 CCEDMN COc1cnccc1[C@@H](C)NC[C@H](O)CN(C)CCC#N ZINC000568620043 327005381 /nfs/dbraw/zinc/00/53/81/327005381.db2.gz FYKPSSUUHAFBSE-OLZOCXBDSA-N 0 1 292.383 0.947 20 30 CCEDMN CCN1CCC(N(C)S(=O)(=O)N(C)CCC#N)CC1 ZINC000408153473 327132478 /nfs/dbraw/zinc/13/24/78/327132478.db2.gz CKSDCUPNNKQCFY-UHFFFAOYSA-N 0 1 288.417 0.493 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCC1CCN(CC#N)CC1 ZINC000408247681 327346845 /nfs/dbraw/zinc/34/68/45/327346845.db2.gz WTJNTUDMZXZNAW-ZDUSSCGKSA-N 0 1 264.373 0.432 20 30 CCEDMN C=CCCCCCN(C)C(=O)NCC(=O)N1CCNCC1 ZINC000569911836 327555417 /nfs/dbraw/zinc/55/54/17/327555417.db2.gz ALSFAGFISOIPSD-UHFFFAOYSA-N 0 1 296.415 0.806 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)NCC2(C#N)CC2)[nH]1 ZINC000582218492 328721789 /nfs/dbraw/zinc/72/17/89/328721789.db2.gz LYEXFGKKCKUWKS-UHFFFAOYSA-N 0 1 276.344 0.292 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCCO[C@@H](C)C1 ZINC000170770742 329068092 /nfs/dbraw/zinc/06/80/92/329068092.db2.gz ZFZBUYHPLHBBJF-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCCO[C@@H](C)C1 ZINC000170770742 329068094 /nfs/dbraw/zinc/06/80/94/329068094.db2.gz ZFZBUYHPLHBBJF-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1 ZINC000423477762 330205909 /nfs/dbraw/zinc/20/59/09/330205909.db2.gz UDKSEROWIHDFKB-GHMZBOCLSA-N 0 1 253.346 0.230 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N(C)CC(C)(C)C#N)[C@@H](C)CN1 ZINC000423633660 330213242 /nfs/dbraw/zinc/21/32/42/330213242.db2.gz PLUPIUJWSPTDAJ-MNOVXSKESA-N 0 1 280.372 0.203 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC(=O)NC(C)(C)C)CC1 ZINC000057137926 330371984 /nfs/dbraw/zinc/37/19/84/330371984.db2.gz XKKIUBIZIWYFBM-UHFFFAOYSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCC[C@H]2CC(N)=O)CC1 ZINC000119831617 330379262 /nfs/dbraw/zinc/37/92/62/330379262.db2.gz ZVRDZFJJJOKVJJ-AWEZNQCLSA-N 0 1 291.395 0.588 20 30 CCEDMN COC[C@H](N[C@@H](C)C(=O)NC1(C#N)CCC1)[C@H]1CCCO1 ZINC000432651057 330602864 /nfs/dbraw/zinc/60/28/64/330602864.db2.gz GHRUCSPZFVOHIL-RWMBFGLXSA-N 0 1 295.383 0.721 20 30 CCEDMN CC#CCCNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000440705479 330754597 /nfs/dbraw/zinc/75/45/97/330754597.db2.gz OOYQBTKZRQLJSE-UHFFFAOYSA-N 0 1 297.318 0.157 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)CC(C)(C)CO ZINC000451250016 331021845 /nfs/dbraw/zinc/02/18/45/331021845.db2.gz WJIVZDCOCCGCLF-AWEZNQCLSA-N 0 1 269.389 0.991 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](OC)[C@@H](C)C1 ZINC000451546113 331033518 /nfs/dbraw/zinc/03/35/18/331033518.db2.gz PCUOUYUCYVGXNY-QWRGUYRKSA-N 0 1 269.345 0.355 20 30 CCEDMN C[C@@H](CNS(=O)(=O)CC1(C#N)CCCC1)N(C)C ZINC000451927178 331047411 /nfs/dbraw/zinc/04/74/11/331047411.db2.gz IWWMAQNKGKKYCO-NSHDSACASA-N 0 1 273.402 0.940 20 30 CCEDMN COCCN(CC#N)C(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000457208210 331215957 /nfs/dbraw/zinc/21/59/57/331215957.db2.gz WFPDFUKDILKIRF-UHFFFAOYSA-N 0 1 279.252 0.913 20 30 CCEDMN C=CCOCC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000488837511 332028364 /nfs/dbraw/zinc/02/83/64/332028364.db2.gz ADMVHYGQPRYUEE-CYBMUJFWSA-N 0 1 268.357 0.370 20 30 CCEDMN C#CCNC(=O)[C@H](C)ON=C(N)CN1CCCCCC1 ZINC000490761567 332113646 /nfs/dbraw/zinc/11/36/46/332113646.db2.gz AGEZUFHJCBQMEH-LBPRGKRZSA-N 0 1 280.372 0.499 20 30 CCEDMN C#CCN1CCC(C(=O)OCCN2CCCC2=O)CC1 ZINC000490853454 332120919 /nfs/dbraw/zinc/12/09/19/332120919.db2.gz RJBJWVSPNAWANB-UHFFFAOYSA-N 0 1 278.352 0.497 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](O)C(C)(C)C2)CC1 ZINC000491036731 332141091 /nfs/dbraw/zinc/14/10/91/332141091.db2.gz AQLMOLUKOLMZDA-ZDUSSCGKSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CC[N@H+](C)CCC[N-]S(=O)(=O)[C@@H](C)C(F)(F)F ZINC000491067544 332144736 /nfs/dbraw/zinc/14/47/36/332144736.db2.gz JCTBHFDBXLJADQ-VIFPVBQESA-N 0 1 286.319 0.812 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](CO)CC2)CC1 ZINC000491308048 332187149 /nfs/dbraw/zinc/18/71/49/332187149.db2.gz SFYQZOCHTXYLNW-CQSZACIVSA-N 0 1 278.396 0.953 20 30 CCEDMN C#CCN(CC#CC)C(=O)NCc1n[nH]c(CC)n1 ZINC000491338612 332192545 /nfs/dbraw/zinc/19/25/45/332192545.db2.gz JZKOTVLUFXMUKX-UHFFFAOYSA-N 0 1 259.313 0.535 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@H]2C)CC1 ZINC000491454448 332215343 /nfs/dbraw/zinc/21/53/43/332215343.db2.gz YXECAGZWOWHZHM-GFCCVEGCSA-N 0 1 250.342 0.579 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000491615059 332242660 /nfs/dbraw/zinc/24/26/60/332242660.db2.gz ANVATZYSSQWNDV-CHWSQXEVSA-N 0 1 266.389 0.333 20 30 CCEDMN C#CCN(C)C(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000491640369 332260156 /nfs/dbraw/zinc/26/01/56/332260156.db2.gz YHNQDUNVPGQTFX-UHFFFAOYSA-N 0 1 296.348 0.348 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)NCCN1CC=C(C)CC1 ZINC000491744009 332321763 /nfs/dbraw/zinc/32/17/63/332321763.db2.gz RQCZETHQTAARMW-CQSZACIVSA-N 0 1 277.368 0.682 20 30 CCEDMN C#CCNC(=O)CCN1CCCC[C@@H](S(C)(=O)=O)C1 ZINC000491776503 332342227 /nfs/dbraw/zinc/34/22/27/332342227.db2.gz WCRPFCUUIJFMNI-GFCCVEGCSA-N 0 1 286.397 0.025 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)C[C@H]1CCCC(=O)N1 ZINC000491783910 332347306 /nfs/dbraw/zinc/34/73/06/332347306.db2.gz PUMDDXKGYIRXAG-OLZOCXBDSA-N 0 1 278.352 0.686 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1ccc(Cl)cc1 ZINC000491802938 332356856 /nfs/dbraw/zinc/35/68/56/332356856.db2.gz HLCKITBEMCGVON-RYUDHWBXSA-N 0 1 269.728 0.663 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000491810993 332363674 /nfs/dbraw/zinc/36/36/74/332363674.db2.gz AVISWWHBNNUPSU-GJZGRUSLSA-N 0 1 294.443 0.922 20 30 CCEDMN CN(C)c1cc(C(=O)NC2(C#N)CCN(C)CC2)ccn1 ZINC000517688997 333105859 /nfs/dbraw/zinc/10/58/59/333105859.db2.gz PSZJSFSGXQMVHX-UHFFFAOYSA-N 0 1 287.367 0.865 20 30 CCEDMN CCOC(=O)CC(=O)NC1(C#N)CCN(C)CC1 ZINC000520991235 333109571 /nfs/dbraw/zinc/10/95/71/333109571.db2.gz RGNVENNHTUQWIM-UHFFFAOYSA-N 0 1 253.302 0.044 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC[C@@H](O)CC1 ZINC000339783558 335148400 /nfs/dbraw/zinc/14/84/00/335148400.db2.gz ODVJEBJGBZGKPS-CYBMUJFWSA-N 0 1 278.356 0.489 20 30 CCEDMN N#CCCN(CCN1CCOCC1)CC1CCOCC1 ZINC000081158272 334179641 /nfs/dbraw/zinc/17/96/41/334179641.db2.gz SLGOADIUSCGORH-UHFFFAOYSA-N 0 1 281.400 0.961 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCC[C@@H](CC(N)=O)C1 ZINC000245409884 334190580 /nfs/dbraw/zinc/19/05/80/334190580.db2.gz OKKXJQDYPBUFCY-WFASDCNBSA-N 0 1 294.399 0.628 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)[C@@H]1CCC[C@H]1O ZINC000552005441 334273077 /nfs/dbraw/zinc/27/30/77/334273077.db2.gz QWVRZNNZNYAHRN-GHMZBOCLSA-N 0 1 251.330 0.394 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000249050966 334325745 /nfs/dbraw/zinc/32/57/45/334325745.db2.gz AXAWSUUXYVLSMP-NEPJUHHUSA-N 0 1 282.388 0.633 20 30 CCEDMN CCc1ccnc(CNC[C@H](O)CN(C)CCC#N)c1 ZINC000567702227 334753787 /nfs/dbraw/zinc/75/37/87/334753787.db2.gz XOOIYYZQYODXHJ-HNNXBMFYSA-N 0 1 276.384 0.940 20 30 CCEDMN COC(C)(C)CCN1CCN(C(=O)CC#N)CC1 ZINC000572732843 334874646 /nfs/dbraw/zinc/87/46/46/334874646.db2.gz RRNRAQVZIAEDTE-UHFFFAOYSA-N 0 1 253.346 0.859 20 30 CCEDMN C[C@H]([NH3+])C[C@H](C)[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000576836725 335254265 /nfs/dbraw/zinc/25/42/65/335254265.db2.gz AHAQBENIOOMKEN-YUMQZZPRSA-N 0 1 257.315 0.555 20 30 CCEDMN N#Cc1ccnc(N2CCC[C@@H](C(=O)N3CCNCC3)C2)c1 ZINC000577489753 335358316 /nfs/dbraw/zinc/35/83/16/335358316.db2.gz RAOSGZNEWCYPMY-CQSZACIVSA-N 0 1 299.378 0.601 20 30 CCEDMN C[C@H]1CN(C(=O)N[C@H]2[C@@H]3COC[C@@H]32)CCN1CC(C)(C)O ZINC000330003752 533790294 /nfs/dbraw/zinc/79/02/94/533790294.db2.gz AMZVEQZCTCPYBN-WUHRBBMRSA-N 0 1 297.399 0.322 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)CN1CCCCC1=O ZINC000329167935 533834007 /nfs/dbraw/zinc/83/40/07/533834007.db2.gz IUIXIRMOLZDHCT-ZDUSSCGKSA-N 0 1 296.415 0.591 20 30 CCEDMN C=CCN(Cc1cnc[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC000352562306 526381167 /nfs/dbraw/zinc/38/11/67/526381167.db2.gz JHWXFMYSJSJUSN-NSHDSACASA-N 0 1 255.343 0.585 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCC[C@@H](C)O)CC1 ZINC000354867834 526537534 /nfs/dbraw/zinc/53/75/34/526537534.db2.gz UPMLBBYTNQHZQC-CYBMUJFWSA-N 0 1 269.389 0.067 20 30 CCEDMN CC(C)(CC#N)CS(=O)(=O)NCC(F)(F)CO ZINC000332503943 526959787 /nfs/dbraw/zinc/95/97/87/526959787.db2.gz JOLJOTDYVSDRPB-UHFFFAOYSA-N 0 1 270.301 0.473 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCCCCN1CCOCC1 ZINC000347718335 527195127 /nfs/dbraw/zinc/19/51/27/527195127.db2.gz KRXBTBNZKCLUQA-CYBMUJFWSA-N 0 1 285.388 0.335 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)[C@@H]1CCC[C@@H]1O ZINC000352694373 527926441 /nfs/dbraw/zinc/92/64/41/527926441.db2.gz HSHWGGJHSQUILZ-DYEKYZERSA-N 0 1 267.373 0.886 20 30 CCEDMN CC1=CCN(CCNC(=O)Cn2ccc(=O)[nH]c2=O)CC1 ZINC000329099686 528114735 /nfs/dbraw/zinc/11/47/35/528114735.db2.gz MHQHLWFCLGQRMU-UHFFFAOYSA-N 0 1 292.339 0.557 20 30 CCEDMN CC1CCN(CC(=O)NCCc2nc(N)no2)CC1 ZINC000329989087 528226992 /nfs/dbraw/zinc/22/69/92/528226992.db2.gz HSJCFXBJBOBFPT-UHFFFAOYSA-N 0 1 267.333 0.713 20 30 CCEDMN CCOC(=O)c1cn(C)[nH]c1=NC(=O)NCC(C)(C)C#N ZINC000350785427 528278421 /nfs/dbraw/zinc/27/84/21/528278421.db2.gz ITXXOTUMPPZODG-UHFFFAOYSA-N 0 1 293.327 0.690 20 30 CCEDMN CCOC[C@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347026180 529029273 /nfs/dbraw/zinc/02/92/73/529029273.db2.gz BJZKTXADJAJKGX-LBPRGKRZSA-N 0 1 265.357 0.907 20 30 CCEDMN C=C[C@@H](C)NC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000674972928 545319828 /nfs/dbraw/zinc/31/98/28/545319828.db2.gz ZESQWSAEQSKEMY-GFCCVEGCSA-N 0 1 268.405 0.886 20 30 CCEDMN C=CCOCCNC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000679480703 545410050 /nfs/dbraw/zinc/41/00/50/545410050.db2.gz WXCOFMXBXLMUPK-KBPBESRZSA-N 0 1 299.415 0.988 20 30 CCEDMN COc1ccc(C#N)cc1CN1[C@H](CO)CC[C@H]1CO ZINC000347286736 536645034 /nfs/dbraw/zinc/64/50/34/536645034.db2.gz IRAVKOXQYZPJPN-KBPBESRZSA-N 0 1 276.336 0.884 20 30 CCEDMN CNS(=O)(=O)CCN[C@H](C)c1ccc(C#N)c(F)c1 ZINC000784057961 581165534 /nfs/dbraw/zinc/16/55/34/581165534.db2.gz ZULHSZSDQUGTQR-SECBINFHSA-N 0 1 285.344 0.897 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN(C(C)C)CC1)[C@H]1CCCO1 ZINC000776224740 581182218 /nfs/dbraw/zinc/18/22/18/581182218.db2.gz MVAGQYLXJHKODU-ZIAGYGMSSA-N 0 1 279.384 0.903 20 30 CCEDMN Cc1cc(C(=O)NC2=NO[C@@H](C)C2)ccc1S(N)(=O)=O ZINC000777436072 581115382 /nfs/dbraw/zinc/11/53/82/581115382.db2.gz PVUFUYGEDJQJAC-QMMMGPOBSA-N 0 1 297.336 0.495 20 30 CCEDMN COC[C@@H](C)NN=Cc1c(C)nn(C)c1N1CCOCC1 ZINC000777894700 581138108 /nfs/dbraw/zinc/13/81/08/581138108.db2.gz OMGQKGNOIFHNSO-LLVKDONJSA-N 0 1 295.387 0.524 20 30 CCEDMN O=C(C#Cc1ccc2c(c1)OCO2)Nc1c[nH]nn1 ZINC000789927001 581164225 /nfs/dbraw/zinc/16/42/25/581164225.db2.gz JDMXRFIVYQVRNX-UHFFFAOYSA-N 0 1 256.221 0.524 20 30 CCEDMN CC(=NNc1nccn1C)c1cc(C(N)=O)n(C)c1 ZINC000790302204 581183428 /nfs/dbraw/zinc/18/34/28/581183428.db2.gz ITMKRBMQSMDUPJ-UHFFFAOYSA-N 0 1 260.301 0.694 20 30 CCEDMN C#CCNC(=O)CN(C[C@H](C)C(=O)OC)C1CC1 ZINC000726843330 581255177 /nfs/dbraw/zinc/25/51/77/581255177.db2.gz AXGNKKYVUDCQFV-JTQLQIEISA-N 0 1 252.314 0.009 20 30 CCEDMN COC(=O)CN(C)C(=O)CCN(CCC#N)CC(C)C ZINC000739915299 581259829 /nfs/dbraw/zinc/25/98/29/581259829.db2.gz YBETYFQQPLLUTN-UHFFFAOYSA-N 0 1 283.372 0.880 20 30 CCEDMN N#CCCNC(=O)COC(=O)c1ccc2cncn2c1 ZINC000792569500 581266283 /nfs/dbraw/zinc/26/62/83/581266283.db2.gz BUKNZPWPUGNARV-UHFFFAOYSA-N 0 1 272.264 0.521 20 30 CCEDMN C#CCNC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000727053966 581298815 /nfs/dbraw/zinc/29/88/15/581298815.db2.gz QENBJTSHWXBKHI-UHFFFAOYSA-N 0 1 255.281 0.904 20 30 CCEDMN C#CCNC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000727053966 581298816 /nfs/dbraw/zinc/29/88/16/581298816.db2.gz QENBJTSHWXBKHI-UHFFFAOYSA-N 0 1 255.281 0.904 20 30 CCEDMN Cc1nn(-c2ccncc2)cc1C=NN[C@@H](C)CO ZINC000783855884 581365964 /nfs/dbraw/zinc/36/59/64/581365964.db2.gz UUOHWHFHOAHUOP-JTQLQIEISA-N 0 1 259.313 0.880 20 30 CCEDMN C#CCNC(=O)CN1CCC([C@@H]2CCCO2)CC1 ZINC000784362041 581381522 /nfs/dbraw/zinc/38/15/22/581381522.db2.gz NAJKZKSIBJPTAO-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN CN(C)C(=O)c1ccc(C=NNc2cncnc2)[nH]1 ZINC000794976152 581393232 /nfs/dbraw/zinc/39/32/32/581393232.db2.gz FXYXXEGGNGCLAG-UHFFFAOYSA-N 0 1 258.285 0.953 20 30 CCEDMN Cc1nc(COC(=O)CNC(=O)c2ccc(C#N)cc2)n[nH]1 ZINC000795380132 581405379 /nfs/dbraw/zinc/40/53/79/581405379.db2.gz GLMWUOWFJJMFQM-UHFFFAOYSA-N 0 1 299.290 0.458 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C(=O)N(C)c2ccccc2)C1 ZINC000797529816 581467836 /nfs/dbraw/zinc/46/78/36/581467836.db2.gz HPFHOJCLLFNUOW-AWEZNQCLSA-N 0 1 299.374 0.721 20 30 CCEDMN C#CCn1cc(CNC(=O)c2n[nH]cc2[N+](=O)[O-])cn1 ZINC000788219006 581485613 /nfs/dbraw/zinc/48/56/13/581485613.db2.gz KGTITEIINRNLMQ-UHFFFAOYSA-N 0 1 274.240 0.078 20 30 CCEDMN C#CCOCCNC(=O)N[C@H](C)c1n[nH]c(C(C)C)n1 ZINC000753382799 581538486 /nfs/dbraw/zinc/53/84/86/581538486.db2.gz OROXTOXDWBTIJQ-SNVBAGLBSA-N 0 1 279.344 0.938 20 30 CCEDMN C#CCCCN1CCN(CC(=O)N2CCCC2)CC1 ZINC000766896420 581585729 /nfs/dbraw/zinc/58/57/29/581585729.db2.gz OBMUACLFDUOCRT-UHFFFAOYSA-N 0 1 263.385 0.640 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H]1C[C@@H](O)c1cnn(C)c1 ZINC000766947689 581588880 /nfs/dbraw/zinc/58/88/80/581588880.db2.gz ZWBZTIXJHNYLOD-ZIAGYGMSSA-N 0 1 290.367 0.057 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCOC)[C@H](CCC)C1 ZINC000766969971 581590876 /nfs/dbraw/zinc/59/08/76/581590876.db2.gz XYJORFOLUBKUNU-CQSZACIVSA-N 0 1 281.400 0.169 20 30 CCEDMN CC(=NNC(=N)N)c1ccc(S(=O)(=O)C(F)F)cc1 ZINC000735224406 581630322 /nfs/dbraw/zinc/63/03/22/581630322.db2.gz QZOAQZJTZWQRNZ-UHFFFAOYSA-N 0 1 290.295 0.890 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CCCn1ccccc1=O ZINC000735205498 581631010 /nfs/dbraw/zinc/63/10/10/581631010.db2.gz CSRQLXWNTIIBFI-GFCCVEGCSA-N 0 1 289.335 0.862 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](C)C(=O)NCCC)CC1 ZINC000735937991 581673579 /nfs/dbraw/zinc/67/35/79/581673579.db2.gz QUTBDOVITZODQA-LBPRGKRZSA-N 0 1 280.368 0.790 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)C[C@H](C)C(=O)[O-] ZINC000736078642 581686056 /nfs/dbraw/zinc/68/60/56/581686056.db2.gz CSEUFUWBSZVZJS-QWRGUYRKSA-N 0 1 252.314 0.263 20 30 CCEDMN C#CCCN1CCN(C(=O)C[N@H+](C)CCC)CC1 ZINC000730281514 581691582 /nfs/dbraw/zinc/69/15/82/581691582.db2.gz ZHVRRWRXMRRTMI-UHFFFAOYSA-N 0 1 251.374 0.496 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H](NC(=O)[O-])C(C)C)CC1 ZINC000736077374 581695691 /nfs/dbraw/zinc/69/56/91/581695691.db2.gz SELSHWZIIIWVRQ-LBPRGKRZSA-N 0 1 281.356 0.446 20 30 CCEDMN C#CC(=O)NCCCCN1CCN(c2ncccn2)CC1 ZINC000754012665 581717623 /nfs/dbraw/zinc/71/76/23/581717623.db2.gz FYLZCZRVKBUAJY-UHFFFAOYSA-N 0 1 287.367 0.128 20 30 CCEDMN N#CCNC(=O)COC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000758138453 581723271 /nfs/dbraw/zinc/72/32/71/581723271.db2.gz PBJVUSBFXDSVHR-QMMMGPOBSA-N 0 1 262.269 0.013 20 30 CCEDMN C#CCNC(=S)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000758241253 581731259 /nfs/dbraw/zinc/73/12/59/581731259.db2.gz UDVOPHZSYLMBJO-LBPRGKRZSA-N 0 1 267.398 0.148 20 30 CCEDMN CC(C)[C@H]([NH2+][C@H](C(=O)[O-])c1ccc(C#N)cc1)C(N)=O ZINC000736390993 581757601 /nfs/dbraw/zinc/75/76/01/581757601.db2.gz YJLAJULPJHFJTM-RYUDHWBXSA-N 0 1 275.308 0.783 20 30 CCEDMN CCNC(=O)CCCC(=O)C(C#N)C(=O)NC(C)C ZINC000744033896 581830508 /nfs/dbraw/zinc/83/05/08/581830508.db2.gz WVEXSRLLYCQEKY-JTQLQIEISA-N 0 1 267.329 0.526 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)N[C@H](C)CC)CC1 ZINC000772119998 581843379 /nfs/dbraw/zinc/84/33/79/581843379.db2.gz JSIVYXQXFIWLDR-GFCCVEGCSA-N 0 1 280.368 0.790 20 30 CCEDMN COCCCCCn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000736978471 581877914 /nfs/dbraw/zinc/87/79/14/581877914.db2.gz KOWWILNFJZDIAW-UHFFFAOYSA-N 0 1 276.304 0.334 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CC2CCCC2)CC1 ZINC000761032227 581884073 /nfs/dbraw/zinc/88/40/73/581884073.db2.gz GNXUDMSRNJZUSD-UHFFFAOYSA-N 0 1 285.413 0.262 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCCCC2)CCN1C ZINC000761076306 581886009 /nfs/dbraw/zinc/88/60/09/581886009.db2.gz NQCTZTZRCABMKP-CYBMUJFWSA-N 0 1 278.400 0.965 20 30 CCEDMN C#CCOc1ccc(CNCCS(=O)(=O)NCC)cc1 ZINC000733328603 581900799 /nfs/dbraw/zinc/90/07/99/581900799.db2.gz WLHGFLFOLFVKDO-UHFFFAOYSA-N 0 1 296.392 0.728 20 30 CCEDMN CC1(OCC(=O)C(C#N)C(=O)NC2CC2)CCC1 ZINC000772972794 581903545 /nfs/dbraw/zinc/90/35/45/581903545.db2.gz XJBOXCYYDYHWFN-JTQLQIEISA-N 0 1 250.298 0.933 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)[N-]OCc1cccnc1 ZINC000747173849 581934426 /nfs/dbraw/zinc/93/44/26/581934426.db2.gz CJUXAUVWEZZYSC-ZDUSSCGKSA-N 0 1 259.309 0.727 20 30 CCEDMN O=C(C#Cc1ccc2c(c1)OCO2)NCc1nnc[nH]1 ZINC000762216295 581935889 /nfs/dbraw/zinc/93/58/89/581935889.db2.gz WMHLAAXZMGIPHI-UHFFFAOYSA-N 0 1 270.248 0.201 20 30 CCEDMN CCC(CC)[C@H](CNC(=O)C=NOC)N1CCOCC1 ZINC000773775874 581941161 /nfs/dbraw/zinc/94/11/61/581941161.db2.gz GYKLRVGZGRLOME-ZDUSSCGKSA-N 0 1 285.388 0.872 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC(N(C)C(=O)[O-])CC1 ZINC000737493141 581966508 /nfs/dbraw/zinc/96/65/08/581966508.db2.gz YDWPJOFNCLGFJI-ZDUSSCGKSA-N 0 1 293.367 0.685 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)c1cnc(C)[nH]1 ZINC000763230802 581991203 /nfs/dbraw/zinc/99/12/03/581991203.db2.gz MEUDYAVDIZXOPQ-UHFFFAOYSA-N 0 1 251.286 0.909 20 30 CCEDMN C#C[C@H](NCCn1cc([N+](=O)[O-])ccc1=O)[C@H]1CCCO1 ZINC000775790965 582038237 /nfs/dbraw/zinc/03/82/37/582038237.db2.gz RHPPIMMLLVMUKA-QWHCGFSZSA-N 0 1 291.307 0.527 20 30 CCEDMN C#C[C@H](N[C@H]1CCN(C2CCOCC2)C1=O)[C@H]1CCCO1 ZINC000775804545 582040512 /nfs/dbraw/zinc/04/05/12/582040512.db2.gz ANIVYMROCDQLCX-SOUVJXGZSA-N 0 1 292.379 0.537 20 30 CCEDMN C#C[C@H](NCC1(CS(C)(=O)=O)CC1)[C@H]1CCCO1 ZINC000775807283 582040982 /nfs/dbraw/zinc/04/09/82/582040982.db2.gz QRLHSCPGPAJCDO-NWDGAFQWSA-N 0 1 271.382 0.582 20 30 CCEDMN CCc1cc(CNS(=O)(=O)CCCC#N)n[nH]1 ZINC000738384209 582042323 /nfs/dbraw/zinc/04/23/23/582042323.db2.gz SSKWHKBJKWOLOE-UHFFFAOYSA-N 0 1 256.331 0.695 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCCC[C@H]1[C@H](C)NC(=O)[O-] ZINC000738496396 582056327 /nfs/dbraw/zinc/05/63/27/582056327.db2.gz XKVPDWWOWILDMF-QWRGUYRKSA-N 0 1 269.345 0.799 20 30 CCEDMN N#Cc1ccc(OCC(=O)OCCc2c[nH]nn2)cc1 ZINC000750717138 582056809 /nfs/dbraw/zinc/05/68/09/582056809.db2.gz BHDMTWYAIASRRR-UHFFFAOYSA-N 0 1 272.264 0.841 20 30 CCEDMN N#Cc1ccc(OCC(=O)OCCc2cnn[nH]2)cc1 ZINC000750717138 582056810 /nfs/dbraw/zinc/05/68/10/582056810.db2.gz BHDMTWYAIASRRR-UHFFFAOYSA-N 0 1 272.264 0.841 20 30 CCEDMN CCN(CC(=O)NCCNc1ccc(C#N)cn1)C1CC1 ZINC000750844017 582061194 /nfs/dbraw/zinc/06/11/94/582061194.db2.gz SSEQLVAIUDISJI-UHFFFAOYSA-N 0 1 287.367 0.966 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CSCCS2)CC1 ZINC000751018674 582068444 /nfs/dbraw/zinc/06/84/44/582068444.db2.gz SBNKCFKVJHJHGV-JTQLQIEISA-N 0 1 285.438 0.939 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)nc1 ZINC000765109456 582089805 /nfs/dbraw/zinc/08/98/05/582089805.db2.gz LKBBDUMRKPPBHO-NSHDSACASA-N 0 1 282.307 0.596 20 30 CCEDMN N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CC[C@H](C(=O)[O-])C2)cc1 ZINC000739105397 582102561 /nfs/dbraw/zinc/10/25/61/582102561.db2.gz GTCMSZPNSPVXHO-RYUDHWBXSA-N 0 1 273.292 0.491 20 30 CCEDMN C#CCCCNC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000806876962 612981562 /nfs/dbraw/zinc/98/15/62/612981562.db2.gz JODUKAZHDGWKGV-OLZOCXBDSA-N 0 1 267.373 0.496 20 30 CCEDMN C#CCCCNC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000838499284 612981648 /nfs/dbraw/zinc/98/16/48/612981648.db2.gz JRWUAFTXCOPPEA-BETUJISGSA-N 0 1 267.373 0.496 20 30 CCEDMN CCOC(=O)CCN(CC(=O)NCCC#N)C(C)C ZINC000847083868 615176860 /nfs/dbraw/zinc/17/68/60/615176860.db2.gz HYDXCIKCMUKJTK-UHFFFAOYSA-N 0 1 269.345 0.680 20 30 CCEDMN C#CCOCCN1CCO[C@@H]2CC[C@H](OC)C[C@H]21 ZINC000851867654 612984339 /nfs/dbraw/zinc/98/43/39/612984339.db2.gz CLABOTGEQATQIF-BFHYXJOUSA-N 0 1 253.342 0.905 20 30 CCEDMN Brc1c[nH]c(C=NNC2=NCCN2)c1 ZINC000841660035 612945247 /nfs/dbraw/zinc/94/52/47/612945247.db2.gz PAEXHOGELUXRCJ-UHFFFAOYSA-N 0 1 256.107 0.660 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC000827491159 612999527 /nfs/dbraw/zinc/99/95/27/612999527.db2.gz NBFUBXYBHZTEMW-CHWSQXEVSA-N 0 1 252.358 0.823 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@H](C)N(CC)C[C@@H]1C ZINC000827572881 613000675 /nfs/dbraw/zinc/00/06/75/613000675.db2.gz BZYHOOSQQQVOKX-RWMBFGLXSA-N 0 1 252.358 0.966 20 30 CCEDMN C#CC(=O)Nc1ccc2nc(N3CCOCC3)[nH]c2c1 ZINC000892773150 612945813 /nfs/dbraw/zinc/94/58/13/612945813.db2.gz WINGTCDITXRQCV-UHFFFAOYSA-N 0 1 270.292 0.971 20 30 CCEDMN C#CCSCC(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000898042084 613010839 /nfs/dbraw/zinc/01/08/39/613010839.db2.gz OWRRMMRRDCFOSA-SECBINFHSA-N 0 1 250.327 0.487 20 30 CCEDMN C#CCSCC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000895567350 613011967 /nfs/dbraw/zinc/01/19/67/613011967.db2.gz LVJSQDJBQUVNHD-STQMWFEESA-N 0 1 284.425 0.968 20 30 CCEDMN N#Cc1cccc([C@H](C(=O)[O-])N2C[C@@H]3C[NH2+]C[C@H]3C2)c1 ZINC000833073968 601311704 /nfs/dbraw/zinc/31/17/04/601311704.db2.gz LFUTVCDABCIFCU-MELADBBJSA-N 0 1 271.320 0.835 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000251750046 601499644 /nfs/dbraw/zinc/49/96/44/601499644.db2.gz LHJYTZGDXYELIV-NWDGAFQWSA-N 0 1 266.341 0.840 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+]1CC[C@](C)(C(=O)[O-])C1)C1CC1 ZINC000828020417 601923007 /nfs/dbraw/zinc/92/30/07/601923007.db2.gz PUMBPHIMKQKDCQ-UONOGXRCSA-N 0 1 279.340 0.591 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000825971747 601926543 /nfs/dbraw/zinc/92/65/43/601926543.db2.gz FKPYDIUPWMXRAB-GHMZBOCLSA-N 0 1 299.396 0.639 20 30 CCEDMN N#Cc1ccc([C@@H](O)C[N@@H+]2CCO[C@@H](CC(=O)[O-])C2)cc1 ZINC000833071759 602018745 /nfs/dbraw/zinc/01/87/45/602018745.db2.gz IJEQFVCGPMVUSA-KBPBESRZSA-N 0 1 290.319 0.767 20 30 CCEDMN N#Cc1ccc([C@@H](O)C[N@H+]2CCO[C@@H](CC(=O)[O-])C2)cc1 ZINC000833071759 602018746 /nfs/dbraw/zinc/01/87/46/602018746.db2.gz IJEQFVCGPMVUSA-KBPBESRZSA-N 0 1 290.319 0.767 20 30 CCEDMN C#CCSCCNC(=O)NCc1cc(N)ccn1 ZINC000891588431 613017136 /nfs/dbraw/zinc/01/71/36/613017136.db2.gz BCYXRGOXXLSVJP-UHFFFAOYSA-N 0 1 264.354 0.829 20 30 CCEDMN C#CC1(F)CN(C(=O)c2n[nH]c(CC)c2[N+](=O)[O-])C1 ZINC000881943976 612949466 /nfs/dbraw/zinc/94/94/66/612949466.db2.gz FYYAUMNVKWJTOF-UHFFFAOYSA-N 0 1 266.232 0.678 20 30 CCEDMN C[N@@H+](CCCNC(=O)[O-])CC(=O)NC1(C#N)CCCCC1 ZINC000739681634 603249403 /nfs/dbraw/zinc/24/94/03/603249403.db2.gz HPHBAAGRSLHWTE-UHFFFAOYSA-N 0 1 296.371 0.919 20 30 CCEDMN N#Cc1cccc(C(=O)Cn2cnc(-c3nn[nH]n3)n2)c1 ZINC000826386382 607657178 /nfs/dbraw/zinc/65/71/78/607657178.db2.gz BLPBKFOXPIRMMG-UHFFFAOYSA-N 0 1 280.251 0.213 20 30 CCEDMN C#CC[C@@H](COC)NCc1cnn(CCOC)c1 ZINC000858335390 613038016 /nfs/dbraw/zinc/03/80/16/613038016.db2.gz SRPNAJZKLIKCQJ-ZDUSSCGKSA-N 0 1 251.330 0.657 20 30 CCEDMN C#CCCNC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000925148940 613047622 /nfs/dbraw/zinc/04/76/22/613047622.db2.gz LSRNJRATSAFGDQ-VXGBXAGGSA-N 0 1 267.398 0.889 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@@H]1NC(=O)c1cncc2nc[nH]c21 ZINC000966234476 649963679 /nfs/dbraw/zinc/96/36/79/649963679.db2.gz NGBHOAPSQRLBHW-GWCFXTLKSA-N 0 1 298.350 0.922 20 30 CCEDMN C#CC[C@H](CO)NC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000921532051 613055303 /nfs/dbraw/zinc/05/53/03/613055303.db2.gz HLBFBVRMOMTUBU-GXSJLCMTSA-N 0 1 264.329 0.333 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)NCc1cc(C)[nH]n1 ZINC000798418649 613056898 /nfs/dbraw/zinc/05/68/98/613056898.db2.gz JKRRGRJCENYKFH-LLVKDONJSA-N 0 1 250.302 0.292 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)nc(N)n1C/C(Cl)=C\Cl ZINC000822814568 606867081 /nfs/dbraw/zinc/86/70/81/606867081.db2.gz JKSSEGATBQNFHR-DAFODLJHSA-N 0 1 285.098 0.836 20 30 CCEDMN C#C[C@@H](NC(=O)C1CN([C@@H]2CCOC2)C1)C(C)(C)C ZINC000897774512 613091550 /nfs/dbraw/zinc/09/15/50/613091550.db2.gz GDGQRSQMTMOVIM-CHWSQXEVSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN(CC(=O)N1CCN(C(C)=O)CC1)C(C)(C)C ZINC000932465543 613102213 /nfs/dbraw/zinc/10/22/13/613102213.db2.gz JAKYYSCZGMJIBF-UHFFFAOYSA-N 0 1 279.384 0.411 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)[C@H]1CCC[N@@H+]1C)C(=O)[O-] ZINC000910267484 613390801 /nfs/dbraw/zinc/39/08/01/613390801.db2.gz TUJAYSDBSNGCBF-DTWKUNHWSA-N 0 1 260.721 0.793 20 30 CCEDMN CSC1([C@@H](C#N)N(C)C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC000876456079 617205761 /nfs/dbraw/zinc/20/57/61/617205761.db2.gz DRYAXCZDUGSXIW-IWSPIJDZSA-N 0 1 269.326 0.898 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1[C@@H](C)CC[C@H]1CO ZINC000880440966 614180435 /nfs/dbraw/zinc/18/04/35/614180435.db2.gz MSEFXQXGTXOODB-SGMGOOAPSA-N 0 1 267.373 0.886 20 30 CCEDMN CC(C)C(N)=NOCCCS(=O)(=O)N(C)C ZINC000128517881 614223899 /nfs/dbraw/zinc/22/38/99/614223899.db2.gz JUIUPSKQJUNGGX-UHFFFAOYSA-N 0 1 251.352 0.213 20 30 CCEDMN COc1ccc(F)cc1CC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834500015 617265564 /nfs/dbraw/zinc/26/55/64/617265564.db2.gz PRJNQQKRBIPBLT-PWSUYJOCSA-N 0 1 277.299 0.605 20 30 CCEDMN COc1ccc(OC)c(C(=O)NCC#CCN(C)C)c1 ZINC000913515051 617274929 /nfs/dbraw/zinc/27/49/29/617274929.db2.gz GRLKPMMDAANETD-UHFFFAOYSA-N 0 1 276.336 0.999 20 30 CCEDMN COc1ccc(OC)c(CC(=O)NCC#CCN(C)C)c1 ZINC000913516049 617275025 /nfs/dbraw/zinc/27/50/25/617275025.db2.gz YMOZLWCUQNDOFR-UHFFFAOYSA-N 0 1 290.363 0.928 20 30 CCEDMN COc1ccc2c(c1)C=C(C(=O)N[C@H]1CNC[C@H]1C#N)CO2 ZINC000834500381 617283650 /nfs/dbraw/zinc/28/36/50/617283650.db2.gz SLYBELVFFPZLKF-OCCSQVGLSA-N 0 1 299.330 0.699 20 30 CCEDMN COc1cccc(C=Nn2c(=O)c(C)n[nH]c2=S)c1O ZINC000916303505 617295260 /nfs/dbraw/zinc/29/52/60/617295260.db2.gz JVEFTDNFNMSQHF-UHFFFAOYSA-N 0 1 292.320 0.832 20 30 CCEDMN C#CC1(O)CCN(Cc2cc(=O)n3cccc(C)c3n2)CC1 ZINC000931147412 612952503 /nfs/dbraw/zinc/95/25/03/612952503.db2.gz ZDGWZWYOZLRVQJ-UHFFFAOYSA-N 0 1 297.358 0.963 20 30 CCEDMN C#CCC1(NC(=O)NC[C@@H]2CN(C)CCN2C)CCC1 ZINC000917970567 612969221 /nfs/dbraw/zinc/96/92/21/612969221.db2.gz BPRHGZRHQAZKOZ-CYBMUJFWSA-N 0 1 278.400 0.477 20 30 CCEDMN C#CCCCCCCN1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000877168431 612976680 /nfs/dbraw/zinc/97/66/80/612976680.db2.gz UDPMYTZODUXLNX-LBPRGKRZSA-N 0 1 263.341 0.806 20 30 CCEDMN C#CCOCCN1CCc2nn(CC)c(=O)cc2C1 ZINC000851791856 612984743 /nfs/dbraw/zinc/98/47/43/612984743.db2.gz HJNBNRWZQUKKGO-UHFFFAOYSA-N 0 1 261.325 0.271 20 30 CCEDMN C#CCCN(CC(F)(F)F)C(=O)[C@H](O)c1c[nH]cn1 ZINC000867451517 612986139 /nfs/dbraw/zinc/98/61/39/612986139.db2.gz YASSRCIPBRLTSN-SECBINFHSA-N 0 1 275.230 0.857 20 30 CCEDMN C#CCCN(CCOC)C(=O)CN(CC)C1CC1 ZINC000856389148 612987035 /nfs/dbraw/zinc/98/70/35/612987035.db2.gz FEICSZVKPWLEBZ-UHFFFAOYSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCOCCNC(=O)NCc1cc(N(C)C)ccn1 ZINC000921934713 612987586 /nfs/dbraw/zinc/98/75/86/612987586.db2.gz UTFNHJKZAKTCHQ-UHFFFAOYSA-N 0 1 276.340 0.597 20 30 CCEDMN C#CCONC(=O)Cc1[nH]c(C(C)=O)c(C)c1C(=O)OC ZINC000855604077 612992340 /nfs/dbraw/zinc/99/23/40/612992340.db2.gz YIHGMVRSYDDNQZ-UHFFFAOYSA-N 0 1 292.291 0.536 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H](C(C)C)[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000812782245 612992471 /nfs/dbraw/zinc/99/24/71/612992471.db2.gz TXMKFPYUHLGBLN-FRRDWIJNSA-N 0 1 268.357 0.801 20 30 CCEDMN C#CCONC(=O)[C@H](C)c1ccc(S(C)(=O)=O)cc1 ZINC000812786130 612993110 /nfs/dbraw/zinc/99/31/10/612993110.db2.gz ALCLTEQMDKUVEB-SNVBAGLBSA-N 0 1 281.333 0.875 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000862958626 612994315 /nfs/dbraw/zinc/99/43/15/612994315.db2.gz JAKMBDPCHQVFMV-AWEZNQCLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000822837044 612994523 /nfs/dbraw/zinc/99/45/23/612994523.db2.gz PZUYEMCOJUYASQ-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000854330286 612999396 /nfs/dbraw/zinc/99/93/96/612999396.db2.gz HTDGCOLLFKZCEN-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCO[C@H](C)C(=O)Nc1n[nH]c(C(=O)OCC)c1C ZINC000888133849 613003930 /nfs/dbraw/zinc/00/39/30/613003930.db2.gz DGBMFXBHKVFNEX-SECBINFHSA-N 0 1 279.296 0.872 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnc(C)cn2)CC1 ZINC000980652529 613006286 /nfs/dbraw/zinc/00/62/86/613006286.db2.gz GKXRJFZSGPCPAU-UHFFFAOYSA-N 0 1 272.352 0.956 20 30 CCEDMN C#CCCN1CCN(CCn2nc3ccccn3c2=O)CC1 ZINC000127334837 613011609 /nfs/dbraw/zinc/01/16/09/613011609.db2.gz FEDFWQFCPBVKGH-UHFFFAOYSA-N 0 1 299.378 0.137 20 30 CCEDMN C#CCCN1CCN([C@H](C)c2nccc(N)n2)CC1 ZINC000846838395 613012074 /nfs/dbraw/zinc/01/20/74/613012074.db2.gz ZMULBWAENFUEAD-GFCCVEGCSA-N 0 1 259.357 0.761 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](C)C1 ZINC000941964878 613030410 /nfs/dbraw/zinc/03/04/10/613030410.db2.gz VFPUPTSWLDLFQG-JQWIXIFHSA-N 0 1 290.367 0.887 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NC[C@H]1CCN1CC ZINC000883747754 613035515 /nfs/dbraw/zinc/03/55/15/613035515.db2.gz QAKGWZOJKMSENV-NWDGAFQWSA-N 0 1 253.346 0.418 20 30 CCEDMN C#CC[C@@H](NC(=O)c1[nH]nc2c1CCC2)C(=O)OC ZINC000837935627 613042453 /nfs/dbraw/zinc/04/24/53/613042453.db2.gz HMIKHMBIVCWYQB-SNVBAGLBSA-N 0 1 261.281 0.193 20 30 CCEDMN C#CC[C@@H]1CCN(CCNS(=O)(=O)c2cnn(C)c2)C1 ZINC000886358537 613047283 /nfs/dbraw/zinc/04/72/83/613047283.db2.gz WOCLDKHKBXSXTL-GFCCVEGCSA-N 0 1 296.396 0.044 20 30 CCEDMN C#CC[C@H](CO)NC(=O)NCc1cc(CC)[nH]n1 ZINC000919563919 613055069 /nfs/dbraw/zinc/05/50/69/613055069.db2.gz BCSGMBPAONJYFE-SNVBAGLBSA-N 0 1 250.302 0.156 20 30 CCEDMN C#CC[C@H](CO)NC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000925168849 613055978 /nfs/dbraw/zinc/05/59/78/613055978.db2.gz ILVCZBJAMIAXOQ-AGIUHOORSA-N 0 1 297.424 0.250 20 30 CCEDMN C#CC[C@H](COC)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000827723185 613061181 /nfs/dbraw/zinc/06/11/81/613061181.db2.gz GZEMPKYDLNZQME-GFCCVEGCSA-N 0 1 293.367 0.529 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000875929744 613064038 /nfs/dbraw/zinc/06/40/38/613064038.db2.gz UKCKOHIGCIHDGX-WCBMZHEXSA-N 0 1 265.317 0.512 20 30 CCEDMN COc1ccccc1CC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834506844 617314271 /nfs/dbraw/zinc/31/42/71/617314271.db2.gz WLUADJDVQTZMLE-NEPJUHHUSA-N 0 1 259.309 0.465 20 30 CCEDMN C#CCN(C(=O)NCCCN1CCC[C@H]1C(N)=O)C1CC1 ZINC000875799927 613067109 /nfs/dbraw/zinc/06/71/09/613067109.db2.gz AQQRBXSUBJCQSN-ZDUSSCGKSA-N 0 1 292.383 0.133 20 30 CCEDMN C#CCN(C(=O)N[C@@H](C)C[S@@](C)=O)C1CCN(C)CC1 ZINC000921925846 613067451 /nfs/dbraw/zinc/06/74/51/613067451.db2.gz UEKMWDMSXYJHSE-FKIZINRSSA-N 0 1 299.440 0.492 20 30 CCEDMN C#CCN(C(=O)NCc1n[nH]c(=O)n1C)C1CCCCC1 ZINC000889589172 613067516 /nfs/dbraw/zinc/06/75/16/613067516.db2.gz QSNPUKOLALNLLU-UHFFFAOYSA-N 0 1 291.355 0.998 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CCN1C1CCCC1)C(=O)OC ZINC000823519722 613068681 /nfs/dbraw/zinc/06/86/81/613068681.db2.gz QOIJBCHFKRMNMB-STQMWFEESA-N 0 1 278.352 0.684 20 30 CCEDMN C#CC[C@H](NC(=O)c1[nH]nc2c1CCCCC2)C(=O)OC ZINC000837949587 613070894 /nfs/dbraw/zinc/07/08/94/613070894.db2.gz XYQFBJVSVOGVCB-LBPRGKRZSA-N 0 1 289.335 0.973 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(C)[C@@H](COCC)C(=O)[O-] ZINC000909038516 613073140 /nfs/dbraw/zinc/07/31/40/613073140.db2.gz XAXKJKVTPXQMQA-LBPRGKRZSA-N 0 1 299.371 0.073 20 30 CCEDMN C#CCn1ccc(CN(CC)C[C@@]2(O)CCCOC2)n1 ZINC000851996491 613078591 /nfs/dbraw/zinc/07/85/91/613078591.db2.gz SLIWGYBZCISJIC-HNNXBMFYSA-N 0 1 277.368 0.880 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(=O)N2CCC[C@@H]2CC)C1 ZINC000972690399 613079706 /nfs/dbraw/zinc/07/97/06/613079706.db2.gz JXCYYNRZKPMVRM-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN C#C[C@@H](C)N(C)Cc1cccc(S(N)(=O)=O)c1 ZINC000877480558 613079879 /nfs/dbraw/zinc/07/98/79/613079879.db2.gz ZFLXXAKMCKALBA-SNVBAGLBSA-N 0 1 252.339 0.788 20 30 CCEDMN C#C[C@@H](CC)NCc1ccc(S(N)(=O)=O)cc1F ZINC000877512867 613084611 /nfs/dbraw/zinc/08/46/11/613084611.db2.gz DVTAPNMHIKUYNX-JTQLQIEISA-N 0 1 270.329 0.975 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)ncn2)C1 ZINC000971350527 613085200 /nfs/dbraw/zinc/08/52/00/613085200.db2.gz HLXXMKLIHHVAEM-GFCCVEGCSA-N 0 1 258.325 0.565 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000820661737 613085303 /nfs/dbraw/zinc/08/53/03/613085303.db2.gz VONPICJTGRAGIQ-ZDUSSCGKSA-N 0 1 281.400 0.886 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnc3c2ncn3C)C1 ZINC000972307241 613087051 /nfs/dbraw/zinc/08/70/51/613087051.db2.gz KQHOJQHOTIGFBI-GFCCVEGCSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCn2cc(C)cn2)C1 ZINC000980484147 613089667 /nfs/dbraw/zinc/08/96/67/613089667.db2.gz XHTRKWADRBFKOA-AWEZNQCLSA-N 0 1 274.368 0.748 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cn2ccc(C(F)F)n2)C1 ZINC000971653754 613090764 /nfs/dbraw/zinc/09/07/64/613090764.db2.gz BXKVRLLSSGTXQL-NSHDSACASA-N 0 1 296.321 0.987 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2ccccc2C(N)=O)C1 ZINC000972059056 613091071 /nfs/dbraw/zinc/09/10/71/613091071.db2.gz OTPFVKPXTUAJSA-AWEZNQCLSA-N 0 1 299.374 0.494 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c(C)ncn2C)C1 ZINC000971733338 613093541 /nfs/dbraw/zinc/09/35/41/613093541.db2.gz GCHWWAUIGVPOQX-LBPRGKRZSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cccnc2OC)C1 ZINC000972227564 613095639 /nfs/dbraw/zinc/09/56/39/613095639.db2.gz LFOVKGFAPZHRCT-LBPRGKRZSA-N 0 1 273.336 0.870 20 30 CCEDMN C#CCN(CC(=O)NC[C@@H]1CCN1C)C(=O)OC(C)(C)C ZINC000928551497 613103265 /nfs/dbraw/zinc/10/32/65/613103265.db2.gz OHGQAHXTPSOFIJ-LBPRGKRZSA-N 0 1 295.383 0.677 20 30 CCEDMN C#CCN(CC)c1nnc(Cc2cc(C)n[nH]2)n1C ZINC000871024227 613107190 /nfs/dbraw/zinc/10/71/90/613107190.db2.gz NPXZFDCNBUYTDE-UHFFFAOYSA-N 0 1 258.329 0.897 20 30 CCEDMN C#CCN(C[C@@H](O)C[C@](C)(O)C1CC1)C1CSC1 ZINC000878909264 613108173 /nfs/dbraw/zinc/10/81/73/613108173.db2.gz PUJQEROZWGHRFL-KBPBESRZSA-N 0 1 269.410 0.949 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC(F)(C3CC3)CC2)C1=O ZINC000899244815 613109457 /nfs/dbraw/zinc/10/94/57/613109457.db2.gz IFVHPHVYOHSUSY-LBPRGKRZSA-N 0 1 278.327 0.961 20 30 CCEDMN C#C[C@@](C)(CC)N[C@H]1CCN(c2cnn(C)c2)C1=O ZINC000852102867 613113043 /nfs/dbraw/zinc/11/30/43/613113043.db2.gz RVXREWSUPVBDHR-JSGCOSHPSA-N 0 1 260.341 0.917 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)c2cnccc2N(C)C)C1 ZINC000957285826 613113751 /nfs/dbraw/zinc/11/37/51/613113751.db2.gz DLFQHZBPDMPFCT-UHFFFAOYSA-N 0 1 286.379 0.927 20 30 CCEDMN C#C[C@H](C)NC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000820394357 613116732 /nfs/dbraw/zinc/11/67/32/613116732.db2.gz JLPWGZZYDJWWQM-SDDRHHMPSA-N 0 1 253.346 0.105 20 30 CCEDMN C#C[C@H](CC)NC(=O)C(C)(C)CN1CCOCC1 ZINC000822406986 613119226 /nfs/dbraw/zinc/11/92/26/613119226.db2.gz KZWPJFGZWFRFDG-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000820665829 613122736 /nfs/dbraw/zinc/12/27/36/613122736.db2.gz CGAFNIDUXLIYLV-QWHCGFSZSA-N 0 1 295.383 0.535 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C)[C@@H](CO)C2)CC1 ZINC000857361061 613129059 /nfs/dbraw/zinc/12/90/59/613129059.db2.gz BBGYZUNGZUTUKW-UKRRQHHQSA-N 0 1 278.396 0.809 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C/c2cnn(C)c2)CC1 ZINC000928658757 613132522 /nfs/dbraw/zinc/13/25/22/613132522.db2.gz PVEKODFJBLCOIP-SNAWJCMRSA-N 0 1 272.352 0.647 20 30 CCEDMN C#C[C@H](NC(=O)C1CN([C@@H]2CCOC2)C1)[C@@H]1CCCO1 ZINC000897434524 613132697 /nfs/dbraw/zinc/13/26/97/613132697.db2.gz VMMGFHGOBFSFBW-RDBSUJKOSA-N 0 1 278.352 0.004 20 30 CCEDMN C#C[C@H](NC(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1)[C@H]1CCCO1 ZINC000911577421 613133419 /nfs/dbraw/zinc/13/34/19/613133419.db2.gz BNJZEAGCFAKYLF-FRRDWIJNSA-N 0 1 294.351 0.080 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCN(C)C(=O)OC)CC1 ZINC000923308271 613133890 /nfs/dbraw/zinc/13/38/90/613133890.db2.gz HZPXNYBDDGKSTG-UHFFFAOYSA-N 0 1 296.371 0.081 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCOCCF)CC1 ZINC000923358724 613134032 /nfs/dbraw/zinc/13/40/32/613134032.db2.gz GCGDEYNEUBMERV-UHFFFAOYSA-N 0 1 271.336 0.369 20 30 CCEDMN C#C[C@H](NC(=O)N(C)[C@H]1CCC[N@@H+](C)C1)[C@@H]1CCCO1 ZINC000894280522 613134749 /nfs/dbraw/zinc/13/47/49/613134749.db2.gz WUJHLZAUEOWCFA-IHRRRGAJSA-N 0 1 279.384 0.903 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ccn(C)c(=O)c2)CC1 ZINC000931639470 613135276 /nfs/dbraw/zinc/13/52/76/613135276.db2.gz NUDPBWAZOQSONZ-UHFFFAOYSA-N 0 1 288.351 0.604 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ncncc2OC)CC1 ZINC000931442551 613135403 /nfs/dbraw/zinc/13/54/03/613135403.db2.gz KWHMXYOCJDWZCQ-UHFFFAOYSA-N 0 1 289.339 0.704 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCC(=O)N2C)CC1 ZINC000928660968 613136016 /nfs/dbraw/zinc/13/60/16/613136016.db2.gz VUZYDWKBGOKQAD-ZDUSSCGKSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCN(C)C2=O)CC1 ZINC000928650951 613136024 /nfs/dbraw/zinc/13/60/24/613136024.db2.gz ITVGDJRQDNCMLM-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@]2(C)CCC(=O)NC2)CC1 ZINC000928653500 613136482 /nfs/dbraw/zinc/13/64/82/613136482.db2.gz ONJBQAMVDPFEOV-HNNXBMFYSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCc3ncncc3C2)CC1 ZINC000928649402 613136498 /nfs/dbraw/zinc/13/64/98/613136498.db2.gz JULOGCRFHCUBEQ-CYBMUJFWSA-N 0 1 298.390 0.795 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCC(=O)N(C)C2)CC1 ZINC000928650069 613136688 /nfs/dbraw/zinc/13/66/88/613136688.db2.gz XLSKJZDYDDSHGO-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCc3ncncc3C2)CC1 ZINC000928649403 613136748 /nfs/dbraw/zinc/13/67/48/613136748.db2.gz JULOGCRFHCUBEQ-ZDUSSCGKSA-N 0 1 298.390 0.795 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCO[C@@H]2C)CC1 ZINC000928654340 613136835 /nfs/dbraw/zinc/13/68/35/613136835.db2.gz XGUKQGPSIWWYRD-YPMHNXCESA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C(=O)OC)no2)CC1 ZINC000928654261 613137246 /nfs/dbraw/zinc/13/72/46/613137246.db2.gz UEGKZOUULGQDDU-UHFFFAOYSA-N 0 1 291.307 0.289 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccc(C(N)=O)n2)CC1 ZINC000928653802 613137660 /nfs/dbraw/zinc/13/76/60/613137660.db2.gz BHJZKIMYLBLKHX-UHFFFAOYSA-N 0 1 286.335 0.008 20 30 CCEDMN C#CCN1CCC(NC(=S)NC[C@H]2CCOC2)CC1 ZINC000905657534 613138178 /nfs/dbraw/zinc/13/81/78/613138178.db2.gz PUSNEWNFQHXHGR-GFCCVEGCSA-N 0 1 281.425 0.585 20 30 CCEDMN C#CCN1CCC(Nc2cnc(C(=O)OC)cn2)CC1 ZINC000895810451 613138535 /nfs/dbraw/zinc/13/85/35/613138535.db2.gz DYJCYKOCXBFPPZ-UHFFFAOYSA-N 0 1 274.324 0.773 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)C1CC1)CCO2 ZINC000948997760 613139592 /nfs/dbraw/zinc/13/95/92/613139592.db2.gz DDKDMTXJIWUUQV-UHFFFAOYSA-N 0 1 262.353 0.723 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CCc3cnccn3)C2)C1 ZINC000982104281 613140361 /nfs/dbraw/zinc/14/03/61/613140361.db2.gz HBQKFQYKKJWALN-UHFFFAOYSA-N 0 1 298.390 0.967 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H](C)n3cncn3)C2)C1 ZINC000981723372 613142872 /nfs/dbraw/zinc/14/28/72/613142872.db2.gz QGKIITXHKGDGAZ-ZDUSSCGKSA-N 0 1 287.367 0.397 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cc[n+]([O-])cc3)C2)C1 ZINC000981605134 613144276 /nfs/dbraw/zinc/14/42/76/613144276.db2.gz ODPDVVPKUHIWNB-UHFFFAOYSA-N 0 1 285.347 0.491 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cc[nH]n3)C2)C1 ZINC000981635638 613144314 /nfs/dbraw/zinc/14/43/14/613144314.db2.gz QRRSBTJZJKATOO-UHFFFAOYSA-N 0 1 258.325 0.581 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ccn(C)n3)C2)C1 ZINC000982150870 613144617 /nfs/dbraw/zinc/14/46/17/613144617.db2.gz YSMVWDZDPIZGTK-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1COCCO1 ZINC000968758880 613146407 /nfs/dbraw/zinc/14/64/07/613146407.db2.gz UZRUYCQMOJUUAE-KGLIPLIRSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cnn(C)n1 ZINC000969070861 613147276 /nfs/dbraw/zinc/14/72/76/613147276.db2.gz VQUBKNXACZTDKB-GFCCVEGCSA-N 0 1 275.356 0.423 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC000968812022 613148112 /nfs/dbraw/zinc/14/81/12/613148112.db2.gz IQULQVQVAIJGEM-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC000847315035 613157423 /nfs/dbraw/zinc/15/74/23/613157423.db2.gz CLPVZCQKSKGDAJ-KBPBESRZSA-N 0 1 278.400 0.287 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC000847315037 613157573 /nfs/dbraw/zinc/15/75/73/613157573.db2.gz CLPVZCQKSKGDAJ-UONOGXRCSA-N 0 1 278.400 0.287 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCCN1C(C)=O ZINC000870194557 613176429 /nfs/dbraw/zinc/17/64/29/613176429.db2.gz JTQKRZSBMUOUNH-KGLIPLIRSA-N 0 1 278.352 0.638 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2cc(NC[C@@H](C)O)ncn2)C1 ZINC000884612214 613176634 /nfs/dbraw/zinc/17/66/34/613176634.db2.gz HIHWCWBQRLBIJW-CHWSQXEVSA-N 0 1 289.383 0.779 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C\Cn2cncn2)C1 ZINC000923555255 613181218 /nfs/dbraw/zinc/18/12/18/613181218.db2.gz SCRZPIKJSQFMJE-AGLBCWCQSA-N 0 1 273.340 0.048 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)[C@H]2CN(C)CCN2C)cn1 ZINC000896558703 613188457 /nfs/dbraw/zinc/18/84/57/613188457.db2.gz BDUQQZFFYLOBIR-IUODEOHRSA-N 0 1 286.379 0.427 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](C(=O)[O-])[C@@H]1C ZINC000909806150 613196708 /nfs/dbraw/zinc/19/67/08/613196708.db2.gz LNGAKIWYCKDYKF-SRVKXCTJSA-N 0 1 264.325 0.406 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC000910858321 613197696 /nfs/dbraw/zinc/19/76/96/613197696.db2.gz USAMQOBBQHUUKK-UPJWGTAASA-N 0 1 278.352 0.653 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)[N-]OCc1ccccn1 ZINC000909530427 613200585 /nfs/dbraw/zinc/20/05/85/613200585.db2.gz HPYOYNACIYBKEB-ZDUSSCGKSA-N 0 1 259.309 0.727 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCC[C@]1(C)C(=O)[O-] ZINC000909998523 613202786 /nfs/dbraw/zinc/20/27/86/613202786.db2.gz GIZKPDBWFISNMS-HUBLWGQQSA-N 0 1 278.352 0.844 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CCC[C@]1(C)C(=O)[O-] ZINC000909998523 613202788 /nfs/dbraw/zinc/20/27/88/613202788.db2.gz GIZKPDBWFISNMS-HUBLWGQQSA-N 0 1 278.352 0.844 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N[C@H](C)c2nnc[nH]2)c1 ZINC000123791457 613203154 /nfs/dbraw/zinc/20/31/54/613203154.db2.gz WWQGVDVCOKNKMH-SECBINFHSA-N 0 1 283.291 0.602 20 30 CCEDMN C#Cc1cccc(NC[C@@H](O)CN2CCN(C)CC2)c1 ZINC000819405513 613204650 /nfs/dbraw/zinc/20/46/50/613204650.db2.gz TZTHJMYLWKZWSY-MRXNPFEDSA-N 0 1 273.380 0.688 20 30 CCEDMN C#Cc1cncc(C(=O)NC2(c3nnc[nH]3)CCC2)c1 ZINC000807358683 613215871 /nfs/dbraw/zinc/21/58/71/613215871.db2.gz CHQYXBWNGBJHIC-UHFFFAOYSA-N 0 1 267.292 0.990 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967718842 613216849 /nfs/dbraw/zinc/21/68/49/613216849.db2.gz FQJTYLVDPVTEOJ-MNOVXSKESA-N 0 1 276.340 0.199 20 30 CCEDMN C(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1cnc2n1CCOC2 ZINC000905456620 613223497 /nfs/dbraw/zinc/22/34/97/613223497.db2.gz MDNKZGOAROBVEP-RYUDHWBXSA-N 0 1 288.355 0.607 20 30 CCEDMN C1CC(N=NC2=N[C@H]3CCCC[C@@H]3N2)[C@]2(CCOC2)O1 ZINC000901207166 613225360 /nfs/dbraw/zinc/22/53/60/613225360.db2.gz OQQSKEYQHSEHAB-COPLHBTASA-N 0 1 278.356 0.782 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn(C)n2)C[C@H]1C ZINC000948036731 613238363 /nfs/dbraw/zinc/23/83/63/613238363.db2.gz XIVADROPAUFFGU-VXGBXAGGSA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)nc2OC)C[C@@H]1C ZINC000947615939 613239781 /nfs/dbraw/zinc/23/97/81/613239781.db2.gz MTKGMJIRUXILEC-NWDGAFQWSA-N 0 1 290.367 0.645 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(CC)c2)[C@@H](C)C1 ZINC000941900062 613242295 /nfs/dbraw/zinc/24/22/95/613242295.db2.gz PGXLXQNLSDOHQZ-GXTWGEPZSA-N 0 1 274.368 0.976 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)C2CN(C(C)=O)C2)C1 ZINC000949965953 613245043 /nfs/dbraw/zinc/24/50/43/613245043.db2.gz FOWQTLAALJQOKH-UHFFFAOYSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CC[C@@H]2C(N)=O)C1 ZINC000951133841 613245228 /nfs/dbraw/zinc/24/52/28/613245228.db2.gz MMEIIINFHNPGFF-UONOGXRCSA-N 0 1 293.411 0.997 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CNC(=O)N2)C1 ZINC000951585061 613245239 /nfs/dbraw/zinc/24/52/39/613245239.db2.gz ZYLCGZNLAQVYQH-LBPRGKRZSA-N 0 1 280.372 0.167 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cncnc2)C1 ZINC000965964654 613251500 /nfs/dbraw/zinc/25/15/00/613251500.db2.gz UNRKMIBVESZJRU-GXTWGEPZSA-N 0 1 272.352 0.479 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966896361 613254775 /nfs/dbraw/zinc/25/47/75/613254775.db2.gz PXUITAPMHVVEFV-CMPLNLGQSA-N 0 1 274.324 0.256 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc3ncccn3n2)[C@H](C)C1 ZINC000943737363 613267747 /nfs/dbraw/zinc/26/77/47/613267747.db2.gz BGZZCSWHBCKCEW-OLZOCXBDSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncnc2)C(C)(C)C1 ZINC000940698589 613274985 /nfs/dbraw/zinc/27/49/85/613274985.db2.gz RRYMVIHZJFXHPC-ZDUSSCGKSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3ccncc23)C[C@H]1C ZINC000947396373 613275871 /nfs/dbraw/zinc/27/58/71/613275871.db2.gz UIQPGDBFSDDFLD-OLZOCXBDSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccn3ccnc23)[C@H](C)C1 ZINC000942858767 613278031 /nfs/dbraw/zinc/27/80/31/613278031.db2.gz KVIQMQDQQMLSTM-OLZOCXBDSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C(C)(C)C1 ZINC000975010291 613284292 /nfs/dbraw/zinc/28/42/92/613284292.db2.gz IIWJLPXXIKBORR-LLVKDONJSA-N 0 1 276.340 0.199 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC000958698534 613285732 /nfs/dbraw/zinc/28/57/32/613285732.db2.gz VKGRTXKKHGSNBA-SMDDNHRTSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC000958304506 613286224 /nfs/dbraw/zinc/28/62/24/613286224.db2.gz PUOOHQUGNNAZIA-SMDDNHRTSA-N 0 1 290.367 0.018 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC000958313290 613286738 /nfs/dbraw/zinc/28/67/38/613286738.db2.gz MNCYYHADEFBTPJ-GXTWGEPZSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2sccc2F)C1 ZINC000958029916 613287823 /nfs/dbraw/zinc/28/78/23/613287823.db2.gz FLZNUGDBILZYMC-GXSJLCMTSA-N 0 1 282.340 0.543 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C23CCC(CC2)CC3)[C@H](O)C1 ZINC000958717795 613288445 /nfs/dbraw/zinc/28/84/45/613288445.db2.gz RHDJSDXQWMIPCZ-GYMHETDLSA-N 0 1 290.407 0.999 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccnn2C(C)C)[C@H](O)C1 ZINC000958097407 613289468 /nfs/dbraw/zinc/28/94/68/613289468.db2.gz YCGADMKMPSJIDO-TZMCWYRMSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccsc2C)[C@H](O)C1 ZINC000958020730 613289983 /nfs/dbraw/zinc/28/99/83/613289983.db2.gz TUYNVVVWSOZCCF-DGCLKSJQSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccoc2CCC)[C@H](O)C1 ZINC000958590368 613290229 /nfs/dbraw/zinc/29/02/29/613290229.db2.gz AFYBEESYZQWAEF-TZMCWYRMSA-N 0 1 290.363 0.888 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@H+](CC(=O)[O-])C1CCOCC1 ZINC000825642365 613292981 /nfs/dbraw/zinc/29/29/81/613292981.db2.gz QKDIGJBFWFBHKQ-UHFFFAOYSA-N 0 1 298.383 0.977 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@@H+](CC(=O)[O-])C1CCOCC1 ZINC000825642365 613292983 /nfs/dbraw/zinc/29/29/83/613292983.db2.gz QKDIGJBFWFBHKQ-UHFFFAOYSA-N 0 1 298.383 0.977 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(CC)cc2)C1 ZINC000958640032 613294969 /nfs/dbraw/zinc/29/49/69/613294969.db2.gz OEIZSFOIXFZPLY-HOTGVXAUSA-N 0 1 286.375 0.905 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cnc(C3CC3)o2)C1 ZINC000958148939 613295631 /nfs/dbraw/zinc/29/56/31/613295631.db2.gz MCLLFMAHEABVEL-RYUDHWBXSA-N 0 1 289.335 0.208 20 30 CCEDMN COc1ccsc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834484797 617328288 /nfs/dbraw/zinc/32/82/88/617328288.db2.gz NGMIVHZVGGVXFG-YUMQZZPRSA-N 0 1 251.311 0.598 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2CCCN2CC#C)C(C)(C)C1 ZINC000974701485 613297851 /nfs/dbraw/zinc/29/78/51/613297851.db2.gz MSYIXWZIUMZICT-GJZGRUSLSA-N 0 1 287.407 0.544 20 30 CCEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2nccnc2N)C1 ZINC000969425116 613301244 /nfs/dbraw/zinc/30/12/44/613301244.db2.gz WPTNMQQEJOGRPO-SNVBAGLBSA-N 0 1 275.356 0.685 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)cs2)C1 ZINC000958051603 613302436 /nfs/dbraw/zinc/30/24/36/613302436.db2.gz RHVYFQKKNJEZLG-NEPJUHHUSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC000958354590 613302890 /nfs/dbraw/zinc/30/28/90/613302890.db2.gz IQHXOANUBFRAOU-OCCSQVGLSA-N 0 1 287.363 0.548 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC000981276092 613309547 /nfs/dbraw/zinc/30/95/47/613309547.db2.gz HRBWQKHSEHBTPO-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C=C(C)C[N@H+]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000981549844 613313168 /nfs/dbraw/zinc/31/31/68/613313168.db2.gz QDSVDDGCZTXVFE-UHFFFAOYSA-N 0 1 293.327 0.007 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCc2cnn(C)c2C1 ZINC000961604444 613315282 /nfs/dbraw/zinc/31/52/82/613315282.db2.gz SLFSHMFMDJVUOT-UYHMYPTGSA-N 0 1 298.390 0.205 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC000961263480 613315696 /nfs/dbraw/zinc/31/56/96/613315696.db2.gz JKBDJVHIOYEWCK-VQXGTBOGSA-N 0 1 272.348 0.089 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)onc1CC ZINC000962524824 613317435 /nfs/dbraw/zinc/31/74/35/613317435.db2.gz XKBNIPWJVCEHMA-YABSGUDNSA-N 0 1 273.336 0.839 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C)nn1 ZINC000959845742 613319020 /nfs/dbraw/zinc/31/90/20/613319020.db2.gz JHDWSJHJHNLWLW-PJXYFTJBSA-N 0 1 256.309 0.078 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2[nH]c(=O)oc21 ZINC000963167475 613320266 /nfs/dbraw/zinc/32/02/66/613320266.db2.gz OCWBBHFWRQGOEJ-PJXYFTJBSA-N 0 1 297.314 0.827 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(C)n1 ZINC000962605117 613320285 /nfs/dbraw/zinc/32/02/85/613320285.db2.gz KFZPMLMXQLAXRO-PJXYFTJBSA-N 0 1 256.309 0.078 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(CC(C)C)[nH]1 ZINC000959871156 613320675 /nfs/dbraw/zinc/32/06/75/613320675.db2.gz LUPIZJXPVKIHOX-JYAVWHMHSA-N 0 1 286.379 0.901 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1snnc1C1CC1 ZINC000960391449 613322578 /nfs/dbraw/zinc/32/25/78/613322578.db2.gz CJUIHXXVAVJOLZ-IAZYJMLFSA-N 0 1 288.376 0.709 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H](C)c2n[nH]c(CC)n2)CC1 ZINC000851739450 613323688 /nfs/dbraw/zinc/32/36/88/613323688.db2.gz AUQIIOZLUQBMCP-NSHDSACASA-N 0 1 289.383 0.890 20 30 CCEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2nnn(C)n2)C1 ZINC000967748665 613325112 /nfs/dbraw/zinc/32/51/12/613325112.db2.gz OJIJGLMYEYKXKT-MNOVXSKESA-N 0 1 278.360 0.226 20 30 CCEDMN C#CCNC(=O)C[N@H+](C)C1CCC(NC(=O)[O-])CC1 ZINC000823455212 613327513 /nfs/dbraw/zinc/32/75/13/613327513.db2.gz AUTCKUFZMHBWOM-UHFFFAOYSA-N 0 1 267.329 0.246 20 30 CCEDMN C#CCNC(=O)CN1CCN(C[C@@H](OC)C2CCC2)CC1 ZINC000851750741 613329486 /nfs/dbraw/zinc/32/94/86/613329486.db2.gz JJCVHWRZUXHYEO-OAHLLOKOSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCNC(=O)CN1[C@H](C)[C@H](C(=O)OCC)CC[C@@H]1C ZINC000852202259 613330850 /nfs/dbraw/zinc/33/08/50/613330850.db2.gz CAXZWBBIFXIOLH-YNEHKIRRSA-N 0 1 280.368 0.788 20 30 CCEDMN C=C(C)CONC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000845605654 613352802 /nfs/dbraw/zinc/35/28/02/613352802.db2.gz NJCASKRZDYOUHA-LBPRGKRZSA-N 0 1 285.388 0.981 20 30 CCEDMN C=C(C)CONC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000847392177 613353516 /nfs/dbraw/zinc/35/35/16/613353516.db2.gz NTRWVKPKMAJHOO-SECBINFHSA-N 0 1 281.316 0.963 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(=O)OCC ZINC000881756856 613358907 /nfs/dbraw/zinc/35/89/07/613358907.db2.gz PCVXUQXCFCLRSD-KXUCPTDWSA-N 0 1 270.285 0.666 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@H](C)N(C)C)C(=O)OCC ZINC000881755877 613358964 /nfs/dbraw/zinc/35/89/64/613358964.db2.gz HOKBEBLJOXJPPO-QWRGUYRKSA-N 0 1 256.346 0.951 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)OCc1n[nH]c(CC)n1 ZINC000849961850 613359744 /nfs/dbraw/zinc/35/97/44/613359744.db2.gz NBWCZBODWWAYQX-JTQLQIEISA-N 0 1 280.328 0.881 20 30 CCEDMN C=C(Cl)CN1CCN(C(=O)N2CCNCC2)CC1 ZINC000863546987 613374823 /nfs/dbraw/zinc/37/48/23/613374823.db2.gz INNIBKAWRCXHOR-UHFFFAOYSA-N 0 1 272.780 0.382 20 30 CCEDMN C=C(Cl)CN1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000839527308 613375296 /nfs/dbraw/zinc/37/52/96/613375296.db2.gz LCPURVBHVBVSJX-UHFFFAOYSA-N 0 1 255.753 0.983 20 30 CCEDMN C=C(Cl)CN1CC[C@H](C)[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC000968426844 613377802 /nfs/dbraw/zinc/37/78/02/613377802.db2.gz NJYSLSQAFAUWGT-WPRPVWTQSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN1CC[C@H](C)[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC000968426844 613377803 /nfs/dbraw/zinc/37/78/03/613377803.db2.gz NJYSLSQAFAUWGT-WPRPVWTQSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC000969013537 613384808 /nfs/dbraw/zinc/38/48/08/613384808.db2.gz YKDCTQZRLGAMJO-VXGBXAGGSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC000969935021 613385292 /nfs/dbraw/zinc/38/52/92/613385292.db2.gz UZGKMBQNNCEJSC-MFKMUULPSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCNC2=O)C1 ZINC000969568078 613385392 /nfs/dbraw/zinc/38/53/92/613385392.db2.gz XPLQALXTOXMGHJ-ZJUUUORDSA-N 0 1 271.748 0.066 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc[nH]n2)C1 ZINC000967277370 613385639 /nfs/dbraw/zinc/38/56/39/613385639.db2.gz YEYGEFGIHVVQQB-SECBINFHSA-N 0 1 254.721 0.966 20 30 CCEDMN C=C(Cl)C[C@@H]1NC(=O)N(C[C@@H]2CCN2C)C1=O ZINC000925194657 613388860 /nfs/dbraw/zinc/38/88/60/613388860.db2.gz CJSAWQXYRXXYHB-IUCAKERBSA-N 0 1 257.721 0.754 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N1CCS(=O)(=O)[C@H](C)[C@@H]1C ZINC000876673034 613389453 /nfs/dbraw/zinc/38/94/53/613389453.db2.gz JELXDMMNJIFUTI-AEJSXWLSSA-N 0 1 294.804 0.490 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@@H]1CC[C@H](C)S(=O)(=O)C1 ZINC000876965390 613390456 /nfs/dbraw/zinc/39/04/56/613390456.db2.gz GSXLBKDITCVZLA-AEJSXWLSSA-N 0 1 294.804 0.538 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H](N(C)[C@@H](C)C(N)=O)C2)C1 ZINC000972277114 613393830 /nfs/dbraw/zinc/39/38/30/613393830.db2.gz XFHPFVGDZIOYIB-NWDGAFQWSA-N 0 1 279.384 0.749 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN(CCO)[C@H](C)C1 ZINC000878464690 613397636 /nfs/dbraw/zinc/39/76/36/613397636.db2.gz FIJGXHBTOUGLOE-NEPJUHHUSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CC[C@@H](C)[C@@H](C)NCc1nnc2n1CCNC2=O ZINC000883303366 613400753 /nfs/dbraw/zinc/40/07/53/613400753.db2.gz WBQGVUBCHQBHMK-NXEZZACHSA-N 0 1 263.345 0.712 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000878292438 613411643 /nfs/dbraw/zinc/41/16/43/613411643.db2.gz JODRQHCEWKOGMY-GFCCVEGCSA-N 0 1 293.371 0.348 20 30 CCEDMN C=CC[C@H](C)[C@@H](C)NCc1nnc2n1CCNC2=O ZINC000883303368 613414265 /nfs/dbraw/zinc/41/42/65/613414265.db2.gz WBQGVUBCHQBHMK-VHSXEESVSA-N 0 1 263.345 0.712 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NC[C@H]1CC[N@@H+]1CC ZINC000890065719 613415184 /nfs/dbraw/zinc/41/51/84/613415184.db2.gz MKLURRGIKNPARH-NWDGAFQWSA-N 0 1 255.362 0.564 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NC[C@H]1CCN1CC ZINC000890065719 613415187 /nfs/dbraw/zinc/41/51/87/613415187.db2.gz MKLURRGIKNPARH-NWDGAFQWSA-N 0 1 255.362 0.564 20 30 CCEDMN C=C1CN(C(=O)c2cccc(N3CCN(C)CC3)n2)C1 ZINC000912448032 613417502 /nfs/dbraw/zinc/41/75/02/613417502.db2.gz PGGQVMSXJIMKQW-UHFFFAOYSA-N 0 1 272.352 0.845 20 30 CCEDMN C=CC[C@H](CO)NS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914367210 613418748 /nfs/dbraw/zinc/41/87/48/613418748.db2.gz IUXGUOPSPPTWSA-OLZOCXBDSA-N 0 1 288.413 0.329 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(C2CN(C[C@@H](C)O)C2)CC1 ZINC000941515893 613432840 /nfs/dbraw/zinc/43/28/40/613432840.db2.gz HMKBZGUOTDOUTQ-CYBMUJFWSA-N 0 1 295.427 0.408 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000845623264 613444547 /nfs/dbraw/zinc/44/45/47/613444547.db2.gz OKRMDLMTWFAWKV-VXGBXAGGSA-N 0 1 285.388 0.979 20 30 CCEDMN C=CC(C)(C)CCCNC(=O)NCC(=O)N1CCNCC1 ZINC000891970796 613447080 /nfs/dbraw/zinc/44/70/80/613447080.db2.gz OJEOSDZJEOKNNP-UHFFFAOYSA-N 0 1 296.415 0.710 20 30 CCEDMN C=C[C@@H](OC(=O)CCCCc1cn[nH]n1)C(=O)OC ZINC000870531438 613454532 /nfs/dbraw/zinc/45/45/32/613454532.db2.gz CBAPNEXCVGEYKO-SNVBAGLBSA-N 0 1 267.285 0.788 20 30 CCEDMN C=CCC(F)(F)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896537670 613455189 /nfs/dbraw/zinc/45/51/89/613455189.db2.gz AEDUWALSDUPNLQ-WDEREUQCSA-N 0 1 275.343 0.948 20 30 CCEDMN C=CCCC[C@@H](NC(=O)CCc1c[nH]nn1)C(=O)OC ZINC000928318599 613470428 /nfs/dbraw/zinc/47/04/28/613470428.db2.gz DAQQXGUTTPDEJK-LLVKDONJSA-N 0 1 280.328 0.751 20 30 CCEDMN C=CCCC[C@@H](NC(=O)CCc1cnn[nH]1)C(=O)OC ZINC000928318599 613470430 /nfs/dbraw/zinc/47/04/30/613470430.db2.gz DAQQXGUTTPDEJK-LLVKDONJSA-N 0 1 280.328 0.751 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NC[C@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000836283986 613471196 /nfs/dbraw/zinc/47/11/96/613471196.db2.gz PIFYIICNSFKOAG-FQUUOJAGSA-N 0 1 295.383 0.492 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000910916686 613471523 /nfs/dbraw/zinc/47/15/23/613471523.db2.gz ICRAMMRPKGHION-VXGBXAGGSA-N 0 1 284.356 0.633 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000910916686 613471524 /nfs/dbraw/zinc/47/15/24/613471524.db2.gz ICRAMMRPKGHION-VXGBXAGGSA-N 0 1 284.356 0.633 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NCC(=O)Nc1ccccc1C(N)=O ZINC000831682816 613472071 /nfs/dbraw/zinc/47/20/71/613472071.db2.gz DHMQNOYNISZVJS-OLZOCXBDSA-N 0 1 289.335 0.657 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCc1nc(CSC)n[nH]1 ZINC000899473610 613480414 /nfs/dbraw/zinc/48/04/14/613480414.db2.gz NFEKTLGYOIMIEZ-LLVKDONJSA-N 0 1 270.358 0.611 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCc1nnc(CSC)[nH]1 ZINC000899473610 613480418 /nfs/dbraw/zinc/48/04/18/613480418.db2.gz NFEKTLGYOIMIEZ-LLVKDONJSA-N 0 1 270.358 0.611 20 30 CCEDMN C=CCCN1CCN(C(=O)C2CCN(C(C)=O)CC2)CC1 ZINC000957590594 613502112 /nfs/dbraw/zinc/50/21/12/613502112.db2.gz BCLKFKLYGVOBAS-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@@H]2CCCC(=O)N2)CC1 ZINC000949204789 613503780 /nfs/dbraw/zinc/50/37/80/613503780.db2.gz APDCYOWKQIUNAG-ZDUSSCGKSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC000948918942 613506230 /nfs/dbraw/zinc/50/62/30/613506230.db2.gz LCDXJUKYVFYGDG-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CC[C@@H](c2[nH]ncc2N)C1 ZINC000907416226 613506559 /nfs/dbraw/zinc/50/65/59/613506559.db2.gz GKCJYEIRUANLCM-PELKAZGASA-N 0 1 250.302 0.245 20 30 CCEDMN CC#CCCNC(=O)NCCN1CCC(O)CC1 ZINC000894272286 613522279 /nfs/dbraw/zinc/52/22/79/613522279.db2.gz USAGXKOMGPIJOL-UHFFFAOYSA-N 0 1 253.346 0.156 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCN(C)C2=O)CC1 ZINC000949757949 613508416 /nfs/dbraw/zinc/50/84/16/613508416.db2.gz KTEWSZQZUIGMCN-LBPRGKRZSA-N 0 1 265.357 0.185 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)[C@@H]3CCOC3)C2)C1 ZINC000972290904 613514538 /nfs/dbraw/zinc/51/45/38/613514538.db2.gz IVDYVGQUOKTBFT-GDBMZVCRSA-N 0 1 294.395 0.902 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)c3cn[nH]c3)C2)C1 ZINC000972269529 613514681 /nfs/dbraw/zinc/51/46/81/613514681.db2.gz PGCYANOLKONUDI-OAHLLOKOSA-N 0 1 290.367 0.903 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)C2CCC(O)CC2)C1 ZINC000969575917 613529778 /nfs/dbraw/zinc/52/97/78/613529778.db2.gz NCXFIVWBHSLKHD-DNOWBOINSA-N 0 1 278.396 0.997 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)C2CCOCC2)C1 ZINC000969193498 613535675 /nfs/dbraw/zinc/53/56/75/613535675.db2.gz ZDHASMSPMBOSNX-LBPRGKRZSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2[nH]nnc2C)C1 ZINC000970257433 613536922 /nfs/dbraw/zinc/53/69/22/613536922.db2.gz YCGKAVXOWXSKBC-VIFPVBQESA-N 0 1 261.329 0.187 20 30 CCEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1COCCO1 ZINC000968765313 613544698 /nfs/dbraw/zinc/54/46/98/613544698.db2.gz YXFVEIKYPYXDQX-CABCVRRESA-N 0 1 294.395 0.786 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966884863 613572712 /nfs/dbraw/zinc/57/27/12/613572712.db2.gz STODZZCKANBIKG-PWSUYJOCSA-N 0 1 290.367 0.887 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967724750 613573646 /nfs/dbraw/zinc/57/36/46/613573646.db2.gz RHZYKSZVSBTAES-NEPJUHHUSA-N 0 1 290.367 0.589 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@@H]1CCN1C1CCOCC1 ZINC000921908205 613581508 /nfs/dbraw/zinc/58/15/08/613581508.db2.gz LLVVKUFKJFDXJM-ZDUSSCGKSA-N 0 1 288.413 0.735 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)NC[C@H]1CCN1CC ZINC000890059687 613588550 /nfs/dbraw/zinc/58/85/50/613588550.db2.gz KZYLZDUYLXBPAQ-VXGBXAGGSA-N 0 1 255.362 0.707 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cncn2C)C1 ZINC000968543521 613590897 /nfs/dbraw/zinc/59/08/97/613590897.db2.gz BWOXFYFGBACUMC-ZFWWWQNUSA-N 0 1 288.395 0.813 20 30 CCEDMN C=CCC[C@H](O)CN1CC[C@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879932930 613590991 /nfs/dbraw/zinc/59/09/91/613590991.db2.gz NGGCUBNLDBVGCR-XQQFMLRXSA-N 0 1 273.398 0.680 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000923255637 613591094 /nfs/dbraw/zinc/59/10/94/613591094.db2.gz OPLOGFRJCXRLMO-NTZNESFSSA-N 0 1 255.314 0.347 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC000833373449 613591267 /nfs/dbraw/zinc/59/12/67/613591267.db2.gz PQFSNNYHWKHJGJ-SDDRHHMPSA-N 0 1 285.340 0.652 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC000833373449 613591269 /nfs/dbraw/zinc/59/12/69/613591269.db2.gz PQFSNNYHWKHJGJ-SDDRHHMPSA-N 0 1 285.340 0.652 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966075703 613592958 /nfs/dbraw/zinc/59/29/58/613592958.db2.gz KOWHGXOSAIWFBS-DZGCQCFKSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cn2nccn2)C1 ZINC000966428200 613593605 /nfs/dbraw/zinc/59/36/05/613593605.db2.gz WUYQLTMINWLCSO-QWHCGFSZSA-N 0 1 275.356 0.128 20 30 CCEDMN C=CCN(C(=O)NCCCN1CCC[C@H]1C(N)=O)C(C)C ZINC000875801289 613605665 /nfs/dbraw/zinc/60/56/65/613605665.db2.gz ZZIMLITYZYZMCJ-ZDUSSCGKSA-N 0 1 296.415 0.932 20 30 CCEDMN C=CCN(C(=O)NC[C@H]1CCN1C)[C@H](C)COC ZINC000925430983 613605980 /nfs/dbraw/zinc/60/59/80/613605980.db2.gz RNBCKPIUHXZYDX-VXGBXAGGSA-N 0 1 255.362 0.923 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnon2)C[C@H]1C ZINC000947837938 613606174 /nfs/dbraw/zinc/60/61/74/613606174.db2.gz UUHKUYQBTQHOKC-MNOVXSKESA-N 0 1 262.313 0.676 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2COCCO2)C(C)(C)C1 ZINC000972827514 613608963 /nfs/dbraw/zinc/60/89/63/613608963.db2.gz PWFJFBQWBAHGFL-QWHCGFSZSA-N 0 1 280.368 0.252 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975032520 613610450 /nfs/dbraw/zinc/61/04/50/613610450.db2.gz VTALOEMFRQTVNU-MLGOLLRUSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CC(C)(C)C2)C1 ZINC000958048048 613612148 /nfs/dbraw/zinc/61/21/48/613612148.db2.gz YVYAPZVYSKKWQE-UONOGXRCSA-N 0 1 278.396 0.855 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C)o2)C1 ZINC000957851940 613618533 /nfs/dbraw/zinc/61/85/33/613618533.db2.gz PBHGDHXOMGXAOG-STQMWFEESA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C)nc2)C1 ZINC000957885628 613624680 /nfs/dbraw/zinc/62/46/80/613624680.db2.gz GCERVDJSGDCCKY-CABCVRRESA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2nccs2)C1 ZINC000958520152 613626117 /nfs/dbraw/zinc/62/61/17/613626117.db2.gz UPGZUTUTKAAVED-MNOVXSKESA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc(=O)[nH]c1 ZINC000961191148 613634429 /nfs/dbraw/zinc/63/44/29/613634429.db2.gz RSKKTIHIGNZCOZ-VIKVFOODSA-N 0 1 285.347 0.399 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@H]1C(F)F ZINC000958766967 613637569 /nfs/dbraw/zinc/63/75/69/613637569.db2.gz WOYMWWYAYRMSAU-KKJSVHSVSA-N 0 1 268.307 0.957 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc2[nH]cnc21 ZINC000960007065 613642018 /nfs/dbraw/zinc/64/20/18/613642018.db2.gz XQUSBWNJJWVWPL-IMRBUKKESA-N 0 1 295.346 0.641 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1csnn1 ZINC000961390898 613642583 /nfs/dbraw/zinc/64/25/83/613642583.db2.gz YONGXMCBVRWNTC-JZYVYDRUSA-N 0 1 262.338 0.221 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@@H]1COCC[C@H]1OC ZINC000934044048 613648035 /nfs/dbraw/zinc/64/80/35/613648035.db2.gz SGMORHXZMFFVRS-MGPQQGTHSA-N 0 1 282.384 0.969 20 30 CCEDMN C=CC[N@H+](CCn1ccc([N+](=O)[O-])n1)CC(=O)[O-] ZINC000846263800 613650682 /nfs/dbraw/zinc/65/06/82/613650682.db2.gz XCYURSQFOFFCDL-UHFFFAOYSA-N 0 1 254.246 0.364 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000823729043 613650806 /nfs/dbraw/zinc/65/08/06/613650806.db2.gz YTMKZNAKEJAUGW-GFCCVEGCSA-N 0 1 293.367 0.265 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1nnc2ccccc2c1O ZINC000844119343 613652559 /nfs/dbraw/zinc/65/25/59/613652559.db2.gz XZIDXSYSXGPRRW-SNVBAGLBSA-N 0 1 285.303 0.840 20 30 CCEDMN CC(=NNc1cnnn1C)c1cccc(-n2cnnn2)c1 ZINC000814983916 613657763 /nfs/dbraw/zinc/65/77/63/613657763.db2.gz PSWNAPMKAVUJKW-UHFFFAOYSA-N 0 1 283.299 0.627 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C2CCN(C(C)=O)CC2)C1 ZINC000970858972 613661891 /nfs/dbraw/zinc/66/18/91/613661891.db2.gz XRUNEUYCPNXSSV-GFCCVEGCSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC000970432980 613663435 /nfs/dbraw/zinc/66/34/35/613663435.db2.gz FLCZIMYWYLVZPD-UTUOFQBUSA-N 0 1 279.384 0.511 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(=O)n(C)n2)C1 ZINC000970839579 613664645 /nfs/dbraw/zinc/66/46/45/613664645.db2.gz AHEGVBMHEYBQAO-SNVBAGLBSA-N 0 1 276.340 0.016 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2nonc2C)C1 ZINC000970962084 613668116 /nfs/dbraw/zinc/66/81/16/613668116.db2.gz LUQCIPHOOQCDGI-MRVPVSSYSA-N 0 1 250.302 0.614 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC000970916060 613670199 /nfs/dbraw/zinc/67/01/99/613670199.db2.gz IQVPYSKUSDZUAL-RYUDHWBXSA-N 0 1 289.383 0.463 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000970883393 613670746 /nfs/dbraw/zinc/67/07/46/613670746.db2.gz MPXAKCSBUWOLBG-VIFPVBQESA-N 0 1 276.340 0.350 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(OC)nn2)C1 ZINC000970695003 613671340 /nfs/dbraw/zinc/67/13/40/613671340.db2.gz XXPQZJJIZYNWFR-JTQLQIEISA-N 0 1 276.340 0.721 20 30 CCEDMN C=CC[NH+]1CCC(Nc2ccc(-c3nnn[n-]3)nn2)CC1 ZINC000823919282 613675541 /nfs/dbraw/zinc/67/55/41/613675541.db2.gz BJFLGTJNRQDNQB-UHFFFAOYSA-N 0 1 286.343 0.719 20 30 CCEDMN C=CC[NH+]1CCC(Nc2ccc(-c3nn[n-]n3)nn2)CC1 ZINC000823919282 613675546 /nfs/dbraw/zinc/67/55/46/613675546.db2.gz BJFLGTJNRQDNQB-UHFFFAOYSA-N 0 1 286.343 0.719 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCC[C@@H](C(N)=O)C2)CC1 ZINC000982062303 613694224 /nfs/dbraw/zinc/69/42/24/613694224.db2.gz JNUVDGQFZFMGTL-KGLIPLIRSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCNC(=O)C2)CC1 ZINC000980964148 613694470 /nfs/dbraw/zinc/69/44/70/613694470.db2.gz JZGZURCXGLLXSW-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCN1CCN(CN2CCC[C@@H](C3OCCO3)C2)C1=O ZINC000840002307 613719885 /nfs/dbraw/zinc/71/98/85/613719885.db2.gz HNHWLJWKQKDCNN-CYBMUJFWSA-N 0 1 295.383 0.952 20 30 CCEDMN CC(=O)NCCCN1CCN(c2ccc(C#N)nc2)CC1 ZINC000801552335 613726487 /nfs/dbraw/zinc/72/64/87/613726487.db2.gz ISJGPPHLVIFCQF-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2nonc2C)C1 ZINC000968493868 613728223 /nfs/dbraw/zinc/72/82/23/613728223.db2.gz YQYKZHFIDFFJSP-MFKMUULPSA-N 0 1 278.356 0.933 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965528544 613728450 /nfs/dbraw/zinc/72/84/50/613728450.db2.gz QRZGFZPPTZKMKW-OCCSQVGLSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1CC[C@@H](NC(C)(C)C(=O)N2CCCC2)C1=O ZINC000799170139 613763804 /nfs/dbraw/zinc/76/38/04/613763804.db2.gz SLEBDFZIEDCWAU-GFCCVEGCSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H]2CCN(C(=O)C(C)C)C2)C1=O ZINC000971095909 613777172 /nfs/dbraw/zinc/77/71/72/613777172.db2.gz OMZOPLOWTHFSND-KBPBESRZSA-N 0 1 293.411 0.962 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCNC(=O)CC2)C(C)(C)C1 ZINC000974859454 613808449 /nfs/dbraw/zinc/80/84/49/613808449.db2.gz CAEKWYXKXIPVSV-CHWSQXEVSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974948864 613808680 /nfs/dbraw/zinc/80/86/80/613808680.db2.gz PBTWLDBKWQVBPL-VXGBXAGGSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1C[C@@H](CNC(=O)c2cc(C)no2)[C@H](O)C1 ZINC000957860069 613817498 /nfs/dbraw/zinc/81/74/98/613817498.db2.gz ZKAJVBMWGHLLHW-GHMZBOCLSA-N 0 1 265.313 0.192 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(Cl)no2)C1 ZINC000958603180 613824418 /nfs/dbraw/zinc/82/44/18/613824418.db2.gz SAFBHHIWPNPAQZ-IUCAKERBSA-N 0 1 285.731 0.537 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CN(C)C(=O)N2)C(C)(C)C1 ZINC000974900879 613828510 /nfs/dbraw/zinc/82/85/10/613828510.db2.gz IRWYQWFZZRNOOA-MNOVXSKESA-N 0 1 280.372 0.023 20 30 CCEDMN C=CCNC(=O)CNCc1cnc2onc(C)c2c1 ZINC000894375868 613847241 /nfs/dbraw/zinc/84/72/41/613847241.db2.gz NUOVNTSVOJTWMI-UHFFFAOYSA-N 0 1 260.297 0.923 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@H](C)[C@H](C(=O)[O-])C1 ZINC000825687583 613852034 /nfs/dbraw/zinc/85/20/34/613852034.db2.gz QETFOBNBSWRKQS-LPEHRKFASA-N 0 1 283.328 0.039 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC([C@@]2(C)COC(=O)N2)CC1 ZINC000932089108 613853419 /nfs/dbraw/zinc/85/34/19/613853419.db2.gz AOTNNAHFMFVNJN-IAQYHMDHSA-N 0 1 295.383 0.888 20 30 CCEDMN C=CCOc1ccc(CNC[C@@H](O)CS(C)(=O)=O)cc1 ZINC000922827256 613884675 /nfs/dbraw/zinc/88/46/75/613884675.db2.gz CKYDVUKHMMRBBV-CYBMUJFWSA-N 0 1 299.392 0.747 20 30 CCEDMN C=CCOc1ccc(CNC[C@H](O)CS(C)(=O)=O)cc1 ZINC000922827257 613884747 /nfs/dbraw/zinc/88/47/47/613884747.db2.gz CKYDVUKHMMRBBV-ZDUSSCGKSA-N 0 1 299.392 0.747 20 30 CCEDMN CC(=O)NCc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000834494902 613944050 /nfs/dbraw/zinc/94/40/50/613944050.db2.gz QQJRABOIVFTLHS-ONGXEEELSA-N 0 1 292.364 0.226 20 30 CCEDMN CC(C)NCc1cn([C@H](C)C(=O)NC2(C#N)CCC2)nn1 ZINC000905807632 613960857 /nfs/dbraw/zinc/96/08/57/613960857.db2.gz PFAVKWNNJOVVIK-LLVKDONJSA-N 0 1 290.371 0.900 20 30 CCEDMN CC(C)OCCN1CCN(C[C@H](O)CC#N)CC1 ZINC000929763835 614015062 /nfs/dbraw/zinc/01/50/62/614015062.db2.gz JIRGNXHZMMRKKF-CYBMUJFWSA-N 0 1 255.362 0.304 20 30 CCEDMN CC(C)(C)c1nc(C(=O)N[C@@H]2CNC[C@@H]2C#N)co1 ZINC000876801966 614053839 /nfs/dbraw/zinc/05/38/39/614053839.db2.gz KXXGFMRBWLWQJO-DTWKUNHWSA-N 0 1 262.313 0.813 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)CN2C[C@@H]3C[C@@H]3C2)CC1 ZINC000886346106 614071725 /nfs/dbraw/zinc/07/17/25/614071725.db2.gz ARDCIXLQTKJKEZ-QLFBSQMISA-N 0 1 290.411 0.630 20 30 CCEDMN CC(C)(CO)ONC(=O)c1ccc(C#N)c(O)c1 ZINC000836805630 614123806 /nfs/dbraw/zinc/12/38/06/614123806.db2.gz LIBBGFOZMRDKRK-UHFFFAOYSA-N 0 1 250.254 0.696 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N(C)C[C@H](C)C#N)cc1=O ZINC000930488706 614235032 /nfs/dbraw/zinc/23/50/32/614235032.db2.gz MLEOKHZEPJJRNL-LLVKDONJSA-N 0 1 278.356 0.993 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)NC[C@H](C)C#N)cc1=O ZINC000932471735 614236673 /nfs/dbraw/zinc/23/66/73/614236673.db2.gz JJDCNYFHFQFFSJ-SNVBAGLBSA-N 0 1 264.329 0.651 20 30 CCEDMN CC(=O)[C@](C)(O)CN(C)C[C@H](O)c1cccc(C#N)c1 ZINC000844370877 614243586 /nfs/dbraw/zinc/24/35/86/614243586.db2.gz KLESWYNYFVGEJP-LSDHHAIUSA-N 0 1 276.336 0.863 20 30 CCEDMN CC(=O)[C@](C)(O)CN1CCC2(CC1)CC(=O)C=CO2 ZINC000933647394 614243620 /nfs/dbraw/zinc/24/36/20/614243620.db2.gz DWWMDMNCPVQTRQ-CYBMUJFWSA-N 0 1 267.325 0.664 20 30 CCEDMN CC(C)(C#N)CNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897355885 614285480 /nfs/dbraw/zinc/28/54/80/614285480.db2.gz HLXSVONTEPHJMW-LLVKDONJSA-N 0 1 251.330 0.373 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC000817733687 614286472 /nfs/dbraw/zinc/28/64/72/614286472.db2.gz SKYKCFROSVLFHI-SUNKGSAMSA-N 0 1 281.356 0.836 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000913496833 614314913 /nfs/dbraw/zinc/31/49/13/614314913.db2.gz DXVBSDBPHBDKSU-SECBINFHSA-N 0 1 263.301 0.149 20 30 CCEDMN CC(C)N1CCC[C@H]1C(=O)N[C@H]1CCCN(O)C1=O ZINC000820544628 614354422 /nfs/dbraw/zinc/35/44/22/614354422.db2.gz NVJXHRUDJYDYLN-QWRGUYRKSA-N 0 1 269.345 0.356 20 30 CCEDMN CC(C)[NH+]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC1 ZINC000820024934 614357762 /nfs/dbraw/zinc/35/77/62/614357762.db2.gz KXTGXQCNMYRLBV-CYBMUJFWSA-N 0 1 267.329 0.544 20 30 CCEDMN CC(C)n1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)c1Cl ZINC000876801866 614370610 /nfs/dbraw/zinc/37/06/10/614370610.db2.gz JYCUEIZNZINRHC-SCZZXKLOSA-N 0 1 281.747 0.959 20 30 CCEDMN CC1(C)CCCN(C(=O)[C@@H](O)c2c[nH]cn2)[C@@H]1C#N ZINC000867832449 614526864 /nfs/dbraw/zinc/52/68/64/614526864.db2.gz MZBILQZQDDQWND-MNOVXSKESA-N 0 1 262.313 0.984 20 30 CCEDMN CCC/C=C/[C@H](O)C(=O)NC1(C#N)CCN(C)CC1 ZINC000900607764 614602929 /nfs/dbraw/zinc/60/29/29/614602929.db2.gz HLDQBHUKWGRXMI-FYJFLYSWSA-N 0 1 265.357 0.808 20 30 CCEDMN CC1(C)CNC(=O)[C@@H]1NCC(=O)NC1(C#N)CCCCC1 ZINC000931664597 614690242 /nfs/dbraw/zinc/69/02/42/614690242.db2.gz CRUWAHAKPIMSOL-LBPRGKRZSA-N 0 1 292.383 0.443 20 30 CCEDMN CCCCCC[N@@H+](C)CC(=O)[N-]S(=O)(=O)CCC#N ZINC000835408166 614906556 /nfs/dbraw/zinc/90/65/56/614906556.db2.gz CFYIQGYNZZHGAA-UHFFFAOYSA-N 0 1 289.401 0.858 20 30 CCEDMN CCCCCS(=O)(=O)CC(=O)NC1=NO[C@H](C)C1 ZINC000765380335 614925656 /nfs/dbraw/zinc/92/56/56/614925656.db2.gz LTLOWAVRESPOBD-SECBINFHSA-N 0 1 276.358 0.830 20 30 CCEDMN CCN(CC(=O)NC)C[C@H](O)CC1(C#N)CCC1 ZINC000885963992 614936760 /nfs/dbraw/zinc/93/67/60/614936760.db2.gz UWXKTEUAYSBEAC-LLVKDONJSA-N 0 1 253.346 0.499 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC000829692408 615022221 /nfs/dbraw/zinc/02/22/21/615022221.db2.gz ITXJXRQUEFJMDY-CYBMUJFWSA-N 0 1 267.329 0.545 20 30 CCEDMN CCC[C@H](C)N1C[C@H](C(=O)N[C@H]2CNC[C@H]2C#N)CC1=O ZINC000843459655 615038906 /nfs/dbraw/zinc/03/89/06/615038906.db2.gz GDCYLXRRPGWYPD-LOWDOPEQSA-N 0 1 292.383 0.251 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000827019613 615064304 /nfs/dbraw/zinc/06/43/04/615064304.db2.gz AQMWNXLCGYUKIY-NEPJUHHUSA-N 0 1 296.371 0.727 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000827019613 615064305 /nfs/dbraw/zinc/06/43/05/615064305.db2.gz AQMWNXLCGYUKIY-NEPJUHHUSA-N 0 1 296.371 0.727 20 30 CCEDMN CCOC(=O)C1(C(=O)NCC#CCN(C)C)CCC1 ZINC000913519905 615154022 /nfs/dbraw/zinc/15/40/22/615154022.db2.gz UFZGKSYPAWWGFS-UHFFFAOYSA-N 0 1 266.341 0.401 20 30 CCEDMN CCOC(=O)CCCn1cnc(-c2nn[nH]n2)c1C#N ZINC000825512096 615175636 /nfs/dbraw/zinc/17/56/36/615175636.db2.gz SUZPZPVRGRMZPT-UHFFFAOYSA-N 0 1 275.272 0.278 20 30 CCEDMN CCN1CCO[C@]2(CCN(C(=O)c3ccc(C#N)[nH]3)C2)C1 ZINC000972348946 615191976 /nfs/dbraw/zinc/19/19/76/615191976.db2.gz SWSZOFJPCLUOOM-OAHLLOKOSA-N 0 1 288.351 0.823 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)C(=NOC)c1csc(N)n1 ZINC000899225214 615240207 /nfs/dbraw/zinc/24/02/07/615240207.db2.gz YBNMNJBGUZNCCZ-MRVPVSSYSA-N 0 1 297.384 0.286 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)Cc1noc(C)c1C#N ZINC000922160614 615244143 /nfs/dbraw/zinc/24/41/43/615244143.db2.gz WZUZAWLVDOHSTC-SNVBAGLBSA-N 0 1 262.313 0.608 20 30 CCEDMN CCOC(=O)[C@@H]1[C@H](C)C[C@@H](C)N(CC(=O)NCC#N)[C@@H]1C ZINC000934161547 615328130 /nfs/dbraw/zinc/32/81/30/615328130.db2.gz DMFQHNJMUNNENX-HKUMRIAESA-N 0 1 295.383 0.924 20 30 CCEDMN CCOC(=O)[C@H](C[C@H]1CCCO1)NC(=O)C(C)C#N ZINC000924879400 615362992 /nfs/dbraw/zinc/36/29/92/615362992.db2.gz QKMKESAVMGLVIY-MXWKQRLJSA-N 0 1 268.313 0.763 20 30 CCEDMN CCO[C@@H]1COCC[C@H]1CC(=O)NCC#CCN(C)C ZINC000913524958 615424430 /nfs/dbraw/zinc/42/44/30/615424430.db2.gz DFXPEKOBSPSYNQ-UONOGXRCSA-N 0 1 282.384 0.499 20 30 CCEDMN CCOc1ccc(CNCCn2cnc(C#N)n2)o1 ZINC000894420316 615511109 /nfs/dbraw/zinc/51/11/09/615511109.db2.gz RCJLIJAGYGJYOQ-UHFFFAOYSA-N 0 1 261.285 0.931 20 30 CCEDMN CCOc1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1Cl ZINC000834485446 615525453 /nfs/dbraw/zinc/52/54/53/615525453.db2.gz XCNAXNLABFAQPK-KOLCDFICSA-N 0 1 294.742 0.975 20 30 CCEDMN CN(C(=O)C1CN([C@@H]2CCOC2)C1)[C@H]1CCC[C@H]1C#N ZINC000864078027 615805099 /nfs/dbraw/zinc/80/50/99/615805099.db2.gz KNSXDNLCSUQKDU-YUTCNCBUSA-N 0 1 277.368 0.858 20 30 CCEDMN CN(C(=O)CN1CCCC1)C1CCC(NCC#N)CC1 ZINC000964392307 615814477 /nfs/dbraw/zinc/81/44/77/615814477.db2.gz KDYAGUQIBUJUBC-UHFFFAOYSA-N 0 1 278.400 0.965 20 30 CCEDMN CCc1noc(CC)c1CC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834479780 615834671 /nfs/dbraw/zinc/83/46/71/615834671.db2.gz IPMZZZPAZIZZPA-BXKDBHETSA-N 0 1 276.340 0.570 20 30 CCEDMN CN(C)CC#CCNC(=O)C1(C(=O)OC(C)(C)C)CC1 ZINC000913523234 615857883 /nfs/dbraw/zinc/85/78/83/615857883.db2.gz RANVYCLPPNGASZ-UHFFFAOYSA-N 0 1 280.368 0.790 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc2c(c1)CCO2 ZINC000913516577 615858442 /nfs/dbraw/zinc/85/84/42/615858442.db2.gz WLAKYJLPLFQAEJ-UHFFFAOYSA-N 0 1 272.348 0.845 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1n[nH]c2ccccc21 ZINC000913517449 615859006 /nfs/dbraw/zinc/85/90/06/615859006.db2.gz BAEDGXXELKCEJC-UHFFFAOYSA-N 0 1 270.336 0.787 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C)nc1C1CCC1 ZINC000913523044 615861884 /nfs/dbraw/zinc/86/18/84/615861884.db2.gz KIMPKYHLJJFXOH-UHFFFAOYSA-N 0 1 274.368 0.982 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(-c2cccnc2)c1 ZINC000913519858 615862048 /nfs/dbraw/zinc/86/20/48/615862048.db2.gz RMTPZAROGIHGER-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)N[C@H]1CCCN(O)C1=O ZINC000848135585 615957519 /nfs/dbraw/zinc/95/75/19/615957519.db2.gz FUKYDFOVJJURKR-JTQLQIEISA-N 0 1 297.380 0.920 20 30 CCEDMN CN(C)S(=O)(=O)CCNCc1csc(C#N)c1 ZINC000922141359 615965227 /nfs/dbraw/zinc/96/52/27/615965227.db2.gz QQLANGXGYGESRV-UHFFFAOYSA-N 0 1 273.383 0.601 20 30 CCEDMN CN(C)c1ccccc1NC(=O)N[C@H]1CNC[C@H]1C#N ZINC000841031133 616023459 /nfs/dbraw/zinc/02/34/59/616023459.db2.gz WRFCKGHLJXPXMJ-PWSUYJOCSA-N 0 1 273.340 0.986 20 30 CCEDMN C[N@H+](CC(=O)[O-])C[C@@H](O)COc1ccccc1C#N ZINC000923222817 616049871 /nfs/dbraw/zinc/04/98/71/616049871.db2.gz PAGQCFSAPHEFCF-LLVKDONJSA-N 0 1 264.281 0.314 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@@H]2COCC[C@@H]21 ZINC000933968060 616067498 /nfs/dbraw/zinc/06/74/98/616067498.db2.gz ZWUOZIFMMYGTER-QWHCGFSZSA-N 0 1 281.356 0.238 20 30 CCEDMN CN(CCc1cccc(C#N)c1)C(=O)C[C@H]1COCCN1 ZINC000877224378 616124553 /nfs/dbraw/zinc/12/45/53/616124553.db2.gz WUIDKUBPISUAKX-HNNXBMFYSA-N 0 1 287.363 0.938 20 30 CCEDMN CN(C[C@@H]1CCCN1C(=O)C#CC1CC1)[C@H]1CCNC1=O ZINC000960622297 616135922 /nfs/dbraw/zinc/13/59/22/616135922.db2.gz GHPSTTKVJIPARU-KBPBESRZSA-N 0 1 289.379 0.211 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)[C@H](O)c1ccc(C#N)cc1 ZINC000855638834 616163449 /nfs/dbraw/zinc/16/34/49/616163449.db2.gz UWZQDAKLAXJJTP-CQSZACIVSA-N 0 1 273.336 0.612 20 30 CCEDMN CN1CCC2(CCN2S(=O)(=O)CCCC#N)CC1 ZINC000907852608 616176918 /nfs/dbraw/zinc/17/69/18/616176918.db2.gz CHZBZDLQYRIJRY-UHFFFAOYSA-N 0 1 271.386 0.790 20 30 CCEDMN CN1CCC[C@@H](NC(=O)c2cccc(C#CCO)c2)C1 ZINC000040716557 616190409 /nfs/dbraw/zinc/19/04/09/616190409.db2.gz ZODHBMWGZXEPJG-OAHLLOKOSA-N 0 1 272.348 0.854 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1CN(CC#N)CC1(C)C ZINC000972858019 616194553 /nfs/dbraw/zinc/19/45/53/616194553.db2.gz SCSBETJURBGCJR-NEPJUHHUSA-N 0 1 264.373 0.431 20 30 CCEDMN CN(C(=O)[C@@H]1CCC[N@H+]1C)[C@H]1CCCN(CC#N)CC1 ZINC000948242543 616209046 /nfs/dbraw/zinc/20/90/46/616209046.db2.gz YNRNZPAOHUIZEW-KBPBESRZSA-N 0 1 278.400 0.917 20 30 CCEDMN C[N@H+]1CCC[C@H]1CNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820619973 616214327 /nfs/dbraw/zinc/21/43/27/616214327.db2.gz CNYLPONVBUYSGX-JOYOIKCWSA-N 0 1 253.302 0.201 20 30 CCEDMN CNc1snc(C)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834499428 616279771 /nfs/dbraw/zinc/27/97/71/616279771.db2.gz IIDQAYLEVJRGIA-JGVFFNPUSA-N 0 1 265.342 0.335 20 30 CCEDMN COC(=O)[C@@H]1CCC[C@H](C(=O)N[C@H]2CNC[C@H]2C#N)C1 ZINC000834488800 616296696 /nfs/dbraw/zinc/29/66/96/616296696.db2.gz GOCJSJRWRXCFSC-QCNOEVLYSA-N 0 1 279.340 0.194 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC000826662745 616342147 /nfs/dbraw/zinc/34/21/47/616342147.db2.gz OBICWYVBZWOCOL-CYBMUJFWSA-N 0 1 252.358 0.873 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)N1CCC(C)(C#N)CC1 ZINC000907257818 616342596 /nfs/dbraw/zinc/34/25/96/616342596.db2.gz FVIORWNBIRIJKK-SMDDNHRTSA-N 0 1 265.357 0.594 20 30 CCEDMN COCCN1CCC[C@@H]1CNC(=O)c1coc(C#N)c1 ZINC000865170390 616348299 /nfs/dbraw/zinc/34/82/99/616348299.db2.gz FQMIEHOUTBVEJU-GFCCVEGCSA-N 0 1 277.324 0.992 20 30 CCEDMN COCCN1CC[C@H](NC(=O)[C@]2(C#N)CCCOC2)C1 ZINC000865584310 616419110 /nfs/dbraw/zinc/41/91/10/616419110.db2.gz OXDLSXVESGCMKP-JSGCOSHPSA-N 0 1 281.356 0.144 20 30 CCEDMN CN1C[C@H](C(=O)Nc2cc(C#N)ccc2O)NC1=O ZINC000831271062 616419645 /nfs/dbraw/zinc/41/96/45/616419645.db2.gz CQHBRESWPPBAOS-SECBINFHSA-N 0 1 260.253 0.226 20 30 CCEDMN COCCNC(=O)[C@@H](C#N)C(=O)C(C)=Cc1cccnc1 ZINC000847623458 616491237 /nfs/dbraw/zinc/49/12/37/616491237.db2.gz KREFFKKWDBZEMI-YKWSONSWSA-N 0 1 287.319 0.956 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N1CCC[C@H](C#N)C1 ZINC000928211129 616494995 /nfs/dbraw/zinc/49/49/95/616494995.db2.gz DKYAVRNAMPPHEX-NQBHXWOUSA-N 0 1 251.330 0.204 20 30 CCEDMN COC(=O)CNC(=O)CNCc1c(C)cc(C#N)cc1C ZINC000895810653 616597599 /nfs/dbraw/zinc/59/75/99/616597599.db2.gz IBRSTCKUUIKRON-UHFFFAOYSA-N 0 1 289.335 0.554 20 30 CCEDMN COCCn1c(=O)cc(O)nc1SCCO[C@H](C)C#N ZINC000802726265 616714462 /nfs/dbraw/zinc/71/44/62/616714462.db2.gz HDULMHLZVZWLAF-SECBINFHSA-N 0 1 299.352 0.616 20 30 CCEDMN CO[C@@H]1CCn2cc(C(=O)NCC#CCN(C)C)nc2C1 ZINC000913525441 616716701 /nfs/dbraw/zinc/71/67/01/616716701.db2.gz XXAPZACOQNQGNX-GFCCVEGCSA-N 0 1 290.367 0.139 20 30 CCEDMN COC[C@H](OC)C(=O)Nc1cc(C#N)ccc1O ZINC000831272110 616757510 /nfs/dbraw/zinc/75/75/10/616757510.db2.gz QMXFATTURXLQOF-NSHDSACASA-N 0 1 250.254 0.864 20 30 CCEDMN CNC(=O)C(C#N)C(=O)CCS(=O)(=O)C1CCCC1 ZINC000917001647 616776050 /nfs/dbraw/zinc/77/60/50/616776050.db2.gz DQTXLFAGXWIYML-JTQLQIEISA-N 0 1 286.353 0.189 20 30 CCEDMN CO[C@@H]1COCC[C@@H]1CC(=O)NCC#CCN(C)C ZINC000913523083 616783239 /nfs/dbraw/zinc/78/32/39/616783239.db2.gz LOUQJPIVJLZYDS-CHWSQXEVSA-N 0 1 268.357 0.109 20 30 CCEDMN COC(=O)[C@]1(O)CCN(Cc2cccc(C#N)c2)C1 ZINC000880558042 616785861 /nfs/dbraw/zinc/78/58/61/616785861.db2.gz IIVJLGHKQYDUOO-AWEZNQCLSA-N 0 1 260.293 0.668 20 30 CCEDMN COC(=O)[C@]1(O)CCN(Cc2ccc(C#N)cc2)C1 ZINC000880558360 616786693 /nfs/dbraw/zinc/78/66/93/616786693.db2.gz QGDZQMZONDBAMZ-AWEZNQCLSA-N 0 1 260.293 0.668 20 30 CCEDMN COCC1CN(C[C@@H](O)COc2ccc(C#N)cc2)C1 ZINC000905969630 616823720 /nfs/dbraw/zinc/82/37/20/616823720.db2.gz DEESUZOIMNXXNG-CQSZACIVSA-N 0 1 276.336 0.876 20 30 CCEDMN COC(=O)c1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(C)o1 ZINC000852827573 616827570 /nfs/dbraw/zinc/82/75/70/616827570.db2.gz LGQFRSOLDDOBDS-WPRPVWTQSA-N 0 1 277.280 0.216 20 30 CCEDMN COCCCNC(=O)CN(C)C[C@H](O)CC1(C#N)CCC1 ZINC000885949179 616888517 /nfs/dbraw/zinc/88/85/17/616888517.db2.gz QBQNOZXJBXDMHH-CYBMUJFWSA-N 0 1 297.399 0.516 20 30 CCEDMN COC(=O)c1ccc(CC(=O)NCC#CCN(C)C)cn1 ZINC000913525416 616893993 /nfs/dbraw/zinc/89/39/93/616893993.db2.gz WKQAQNMLHGJPOV-UHFFFAOYSA-N 0 1 289.335 0.092 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CCCCO1 ZINC000121928065 616898486 /nfs/dbraw/zinc/89/84/86/616898486.db2.gz LXGQSLLRCFDELV-WDEREUQCSA-N 0 1 268.313 0.417 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC000892462019 616901977 /nfs/dbraw/zinc/90/19/77/616901977.db2.gz MBROENVGWWKYRI-CIQGVGRVSA-N 0 1 294.351 0.663 20 30 CCEDMN CNC(=O)c1ccc(NC(=O)NCC#CCN(C)C)cc1 ZINC000929389576 616912067 /nfs/dbraw/zinc/91/20/67/616912067.db2.gz PEOJPZSVBFKGNO-UHFFFAOYSA-N 0 1 288.351 0.733 20 30 CCEDMN COC(=O)[C@@H](CC1CCOCC1)NC(=O)C(C)C#N ZINC000881739929 616958061 /nfs/dbraw/zinc/95/80/61/616958061.db2.gz MKRXPVLXLGVSCX-MWLCHTKSSA-N 0 1 268.313 0.621 20 30 CCEDMN COCCN(Cc1ccc(C#N)cc1)C[C@@H](O)[C@H](C)O ZINC000929395058 617026862 /nfs/dbraw/zinc/02/68/62/617026862.db2.gz LTILWJZOCQRDAJ-SWLSCSKDSA-N 0 1 278.352 0.748 20 30 CCEDMN CO[C@@H](C)CN1CC(N2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000941347880 617149717 /nfs/dbraw/zinc/14/97/17/617149717.db2.gz FTGGPRCBGRMZJC-STQMWFEESA-N 0 1 294.399 0.009 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@]1(C)CCO[C@H]1C ZINC001025924272 625304360 /nfs/dbraw/zinc/30/43/60/625304360.db2.gz OUTVCOZFVPCOTB-ZHMBSYLPSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1CC(OCC)C1 ZINC001025967416 625312489 /nfs/dbraw/zinc/31/24/89/625312489.db2.gz VQSBAJBTFCFGTR-RVZSJEDASA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCO[C@H](CC)C1 ZINC001026136679 625340718 /nfs/dbraw/zinc/34/07/18/625340718.db2.gz JLHKEFFBCNQVBS-XPABHHOTSA-N 0 1 276.380 0.871 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)CCc3c[nH]nn3)C[C@@H]21 ZINC001026363309 625414200 /nfs/dbraw/zinc/41/42/00/625414200.db2.gz OXQBKSAYIMXLRD-WCFLWFBJSA-N 0 1 288.355 0.087 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)CCc3cnn[nH]3)C[C@@H]21 ZINC001026363309 625414203 /nfs/dbraw/zinc/41/42/03/625414203.db2.gz OXQBKSAYIMXLRD-WCFLWFBJSA-N 0 1 288.355 0.087 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001008251655 625425657 /nfs/dbraw/zinc/42/56/57/625425657.db2.gz NQZJKGBXEUZYKC-DOMZBBRYSA-N 0 1 279.384 0.669 20 30 CCEDMN Cc1cnc(CNC[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)nc1 ZINC001026732014 625577698 /nfs/dbraw/zinc/57/76/98/625577698.db2.gz FKFXCCIMENWDAJ-QWHCGFSZSA-N 0 1 287.367 0.883 20 30 CCEDMN CCn1ncnc1CNC[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001026733016 625578879 /nfs/dbraw/zinc/57/88/79/625578879.db2.gz QXSDETNYRYDPCA-NEPJUHHUSA-N 0 1 290.371 0.396 20 30 CCEDMN CN1CCN(CCNC(=O)/C=C\c2ccccc2C#N)CC1 ZINC000255523254 622772374 /nfs/dbraw/zinc/77/23/74/622772374.db2.gz AAPYXHKSHYWTDT-SREVYHEPSA-N 0 1 298.390 0.935 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H](CNC(=O)c2nnn(C)c2C)C1 ZINC001023288111 622985277 /nfs/dbraw/zinc/98/52/77/622985277.db2.gz XGFYKYJFBNBMJQ-LBPRGKRZSA-N 0 1 277.372 0.751 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2nccc(C)n2)C1 ZINC001023335044 623003248 /nfs/dbraw/zinc/00/32/48/623003248.db2.gz STBOIMMPOLZDGY-ZDUSSCGKSA-N 0 1 272.352 0.860 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CN(CC#N)CC2(C)C)n[nH]1 ZINC000974592690 625632721 /nfs/dbraw/zinc/63/27/21/625632721.db2.gz AEJZDYBIVFNCHR-SNVBAGLBSA-N 0 1 276.344 0.006 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CN(C)CCO1 ZINC001024375394 623301832 /nfs/dbraw/zinc/30/18/32/623301832.db2.gz RLOINLURPGMRLX-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cnnn1C ZINC001024418402 623317433 /nfs/dbraw/zinc/31/74/33/623317433.db2.gz HLPMDDIPGGSKPG-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCCNC1=O ZINC001024491799 623336106 /nfs/dbraw/zinc/33/61/06/623336106.db2.gz FSJKPDVKWLHJHW-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C[N@H+]1CCCC[C@H]1C(=O)NC[C@@H]1CCCCN1CC#N ZINC001024514160 623344186 /nfs/dbraw/zinc/34/41/86/623344186.db2.gz WLHNMYZPGUYLEK-KBPBESRZSA-N 0 1 278.400 0.965 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@@H]1CCCCN1CC#N ZINC001024514160 623344190 /nfs/dbraw/zinc/34/41/90/623344190.db2.gz WLHNMYZPGUYLEK-KBPBESRZSA-N 0 1 278.400 0.965 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001024545303 623359774 /nfs/dbraw/zinc/35/97/74/623359774.db2.gz HBINYYNSBCDDJA-LLVKDONJSA-N 0 1 298.350 0.570 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1C[C@H]1C(N)=O ZINC001024657015 623409229 /nfs/dbraw/zinc/40/92/29/623409229.db2.gz VVAHYCYCQCWVIM-UTUOFQBUSA-N 0 1 265.357 0.265 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1 ZINC001024769248 623436012 /nfs/dbraw/zinc/43/60/12/623436012.db2.gz DXCVCVMTULPVHC-STQMWFEESA-N 0 1 277.368 0.259 20 30 CCEDMN N#CCCCCNC(=O)N1CC[C@H]2[C@@H]1CCC[N@@H+]2CCO ZINC000892794602 623841074 /nfs/dbraw/zinc/84/10/74/623841074.db2.gz FNMMSHOXUOGQCL-KBPBESRZSA-N 0 1 294.399 0.921 20 30 CCEDMN CN1CC(C(=O)N[C@H]2CN(CC#N)CC2(C)C)=NC1=O ZINC000975014465 625715635 /nfs/dbraw/zinc/71/56/35/625715635.db2.gz WACWQPOJSKFEDG-JTQLQIEISA-N 0 1 277.328 0.089 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCCN(C[C@H](C)O)C2)nn1 ZINC001008795723 625716387 /nfs/dbraw/zinc/71/63/87/625716387.db2.gz RMDVXVISQONRDV-NWDGAFQWSA-N 0 1 293.371 0.039 20 30 CCEDMN Cc1cc(CC(=O)NCC#C[C@H]2CCCCO2)[nH]n1 ZINC000891118974 624730016 /nfs/dbraw/zinc/73/00/16/624730016.db2.gz LEINDXRLFXOMPO-CYBMUJFWSA-N 0 1 261.325 0.949 20 30 CCEDMN Cc1nc(CN(C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC000971210242 624828050 /nfs/dbraw/zinc/82/80/50/624828050.db2.gz ZBNCZDDUOIKWMP-MWLCHTKSSA-N 0 1 276.344 0.306 20 30 CCEDMN O[C@@H](CNCC#Cc1ccccc1)C1(O)CCOCC1 ZINC000905031022 634789531 /nfs/dbraw/zinc/78/95/31/634789531.db2.gz KDDPJVMGRSVSKV-HNNXBMFYSA-N 0 1 275.348 0.530 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001027742895 630890798 /nfs/dbraw/zinc/89/07/98/630890798.db2.gz YHSSDQZVCIUHGD-CYBMUJFWSA-N 0 1 259.309 0.147 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cn(C)cn1 ZINC001027822009 630947603 /nfs/dbraw/zinc/94/76/03/630947603.db2.gz WDMHODMXEATSOM-GFCCVEGCSA-N 0 1 260.341 0.638 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@H](NC(=O)Cc3nnc[nH]3)C[C@H]2C1 ZINC000980208941 631003526 /nfs/dbraw/zinc/00/35/26/631003526.db2.gz JPXLAAIRBLPAJD-SRVKXCTJSA-N 0 1 288.355 0.087 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCC[C@H](NCC#N)C2)[nH]n1 ZINC000981006383 631092337 /nfs/dbraw/zinc/09/23/37/631092337.db2.gz LINSKGMNBYLZTL-RYUDHWBXSA-N 0 1 275.356 0.801 20 30 CCEDMN N#CCN1CCCC2(CN(C(=O)CN3CCCC3)C2)C1 ZINC000982150858 631381544 /nfs/dbraw/zinc/38/15/44/631381544.db2.gz YFAJZQDPQFNZFC-UHFFFAOYSA-N 0 1 276.384 0.530 20 30 CCEDMN Cn1nncc1CN[C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000968442052 650015107 /nfs/dbraw/zinc/01/51/07/650015107.db2.gz MMZAXVRIXMSSEB-LLVKDONJSA-N 0 1 299.338 0.019 20 30 CCEDMN N#Cc1cccc(C(=O)COC(=O)[C@@H]2CC2[N+](=O)[O-])c1 ZINC000840670153 631640466 /nfs/dbraw/zinc/64/04/66/631640466.db2.gz BWELXAJSJITPFO-GHMZBOCLSA-N 0 1 274.232 0.949 20 30 CCEDMN N#CCN1CCC[C@@H](CNC(=O)c2cncc3nc[nH]c32)C1 ZINC000896631121 632304298 /nfs/dbraw/zinc/30/42/98/632304298.db2.gz OFMLRTCUFGJLDR-NSHDSACASA-N 0 1 298.350 0.923 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC001006999397 632326290 /nfs/dbraw/zinc/32/62/90/632326290.db2.gz XUANPYHFCSXODF-LBPRGKRZSA-N 0 1 275.356 0.341 20 30 CCEDMN Cc1cc(C#N)nc(N2CC[C@@H]3[C@H]2CCC[N@H+]3CCO)n1 ZINC000897222671 632425565 /nfs/dbraw/zinc/42/55/65/632425565.db2.gz WRJVQITVEWFFTL-ZIAGYGMSSA-N 0 1 287.367 0.692 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H](C)n2cncn2)C1 ZINC001007163963 650068909 /nfs/dbraw/zinc/06/89/09/650068909.db2.gz MUQQYJZGHNRGNZ-CHWSQXEVSA-N 0 1 277.372 0.996 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ncn(C)n2)C1 ZINC001007259542 650072234 /nfs/dbraw/zinc/07/22/34/650072234.db2.gz FDZUFEHHKFPDOD-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN N#CCC1CCN(C(=O)C2CN([C@@H]3CCOC3)C2)CC1 ZINC000897353713 632606948 /nfs/dbraw/zinc/60/69/48/632606948.db2.gz NBQLZOGEGBTHTC-CQSZACIVSA-N 0 1 277.368 0.859 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@@H](NCC#N)[C@H]2C)n[nH]1 ZINC000986000830 632690968 /nfs/dbraw/zinc/69/09/68/632690968.db2.gz IOVQKEUPOFNFNZ-MWLCHTKSSA-N 0 1 261.329 0.688 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC000986214568 632729885 /nfs/dbraw/zinc/72/98/85/632729885.db2.gz FQXOMUFIRDLMHQ-VHSXEESVSA-N 0 1 299.758 0.923 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001007877286 650096031 /nfs/dbraw/zinc/09/60/31/650096031.db2.gz RYHZNFOJACPLJG-LLVKDONJSA-N 0 1 289.335 0.596 20 30 CCEDMN Cn1c(Cl)cnc1C=NNc1nccn(C)c1=O ZINC000799481702 632817436 /nfs/dbraw/zinc/81/74/36/632817436.db2.gz GXTVVPXFBFVGFB-UHFFFAOYSA-N 0 1 266.692 0.613 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccc2c(c1)OCO2 ZINC000840998941 632829616 /nfs/dbraw/zinc/82/96/16/632829616.db2.gz FZORWOQTAHTSRM-SCZZXKLOSA-N 0 1 274.280 0.648 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(CCO)C2)cc1 ZINC001008146700 650103182 /nfs/dbraw/zinc/10/31/82/650103182.db2.gz HEEIKSBQKWWTRZ-OAHLLOKOSA-N 0 1 272.348 0.854 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001008159638 650103816 /nfs/dbraw/zinc/10/38/16/650103816.db2.gz VWGMCWHAMXDAAA-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000841001742 633013456 /nfs/dbraw/zinc/01/34/56/633013456.db2.gz UFEMJZORNKVXSA-KCJUWKMLSA-N 0 1 275.268 0.828 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cnn(C)c2N)C1 ZINC000988675727 633080248 /nfs/dbraw/zinc/08/02/48/633080248.db2.gz ZDDPDVURFABHNB-NXEZZACHSA-N 0 1 297.790 0.947 20 30 CCEDMN C[C@H]1C[C@H](NCc2ccn(C)n2)CN1C(=O)C#CC1CC1 ZINC000988824051 633117619 /nfs/dbraw/zinc/11/76/19/633117619.db2.gz FBEXMIOGELDQIN-WFASDCNBSA-N 0 1 286.379 0.913 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cn[nH]n2)C1 ZINC000989093453 633149574 /nfs/dbraw/zinc/14/95/74/633149574.db2.gz LTRHLFVKEVBHJE-DTWKUNHWSA-N 0 1 269.736 0.750 20 30 CCEDMN Cc1nn(C)c(C=NNC2=NC[C@@H](C)N2)c1Cl ZINC000905459470 634867260 /nfs/dbraw/zinc/86/72/60/634867260.db2.gz BDHDGZDBYNRSPG-ZCFIWIBFSA-N 0 1 254.725 0.653 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCCN(CCO)CC2)nc1 ZINC000989512279 633322047 /nfs/dbraw/zinc/32/20/47/633322047.db2.gz DBIODBZBFCUJRV-UHFFFAOYSA-N 0 1 273.336 0.203 20 30 CCEDMN N#CCN1CCCN(C(=O)CN2CCCC2)CC1 ZINC000989666595 633341134 /nfs/dbraw/zinc/34/11/34/633341134.db2.gz IYOWODNNIZZCSY-UHFFFAOYSA-N 0 1 250.346 0.140 20 30 CCEDMN C=CC[N@@H+]1CCCN(C(=O)[C@H]2CCC(=O)N(C)C2)CC1 ZINC000989744311 633349777 /nfs/dbraw/zinc/34/97/77/633349777.db2.gz ACIZJPIQONBTCS-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCC(=O)N(C)C2)CC1 ZINC000989744311 633349781 /nfs/dbraw/zinc/34/97/81/633349781.db2.gz ACIZJPIQONBTCS-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H]3CCCO3)CCC[C@@H]12 ZINC000989932137 633385752 /nfs/dbraw/zinc/38/57/52/633385752.db2.gz LKKUCDHIOFRJCJ-GZBFAFLISA-N 0 1 262.353 0.912 20 30 CCEDMN C#CCOCCC(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC000089996671 633431775 /nfs/dbraw/zinc/43/17/75/633431775.db2.gz FMYXSPULNDUNOO-UHFFFAOYSA-N 0 1 286.291 0.640 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(NCc2ncccn2)CC1 ZINC000990261324 633497936 /nfs/dbraw/zinc/49/79/36/633497936.db2.gz DKSDMBVHGUAVFY-NSHDSACASA-N 0 1 273.340 0.717 20 30 CCEDMN Cc1nonc1CNC1CCN(C(=O)[C@@H](C)C#N)CC1 ZINC000990262982 633498980 /nfs/dbraw/zinc/49/89/80/633498980.db2.gz WDHSBGBNWHKLRZ-VIFPVBQESA-N 0 1 277.328 0.618 20 30 CCEDMN Cc1n[nH]c(C(=O)NC2CN(C(=O)C#CC3CC3)C2)c1C ZINC000990549577 633553151 /nfs/dbraw/zinc/55/31/51/633553151.db2.gz YMTKTSCWQKMLJA-UHFFFAOYSA-N 0 1 286.335 0.381 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014610669 633571832 /nfs/dbraw/zinc/57/18/32/633571832.db2.gz LAJQGHOWYAGQPS-STQMWFEESA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cn2nccc2C)C1 ZINC001008740696 650126974 /nfs/dbraw/zinc/12/69/74/650126974.db2.gz VFHRZSAXDHVMPF-CYBMUJFWSA-N 0 1 262.357 0.958 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cnccc2N(C)C)C1 ZINC001009231419 650140763 /nfs/dbraw/zinc/14/07/63/650140763.db2.gz LNMNGZLPQARJRR-CYBMUJFWSA-N 0 1 286.379 0.975 20 30 CCEDMN N#Cc1ccc(F)c(CNC[C@H](O)C[C@]2(O)CCOC2)c1 ZINC000905519817 634874353 /nfs/dbraw/zinc/87/43/53/634874353.db2.gz XIDUHBCZOYLCCO-UKRRQHHQSA-N 0 1 294.326 0.689 20 30 CCEDMN C[C@H](CNS(=O)(=O)c1ccc(C#N)cn1)N(C)C1CC1 ZINC000906911890 635049154 /nfs/dbraw/zinc/04/91/54/635049154.db2.gz JDKIIDSQKBSGKT-SNVBAGLBSA-N 0 1 294.380 0.714 20 30 CCEDMN C#Cc1cncc(C(=O)N2CC[C@H](c3[nH]ncc3N)C2)c1 ZINC000907416810 635122775 /nfs/dbraw/zinc/12/27/75/635122775.db2.gz PJQJVQAYTQQGEC-NSHDSACASA-N 0 1 281.319 0.998 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cncnc2C)C1 ZINC001010322953 650200193 /nfs/dbraw/zinc/20/01/93/650200193.db2.gz HDFQCDHEQISNNG-LBPRGKRZSA-N 0 1 258.325 0.612 20 30 CCEDMN C=CCCN1CC[C@H](NC(=O)c2cnns2)C1 ZINC001010332568 650201997 /nfs/dbraw/zinc/20/19/97/650201997.db2.gz BPTXBLYMSWMRRO-VIFPVBQESA-N 0 1 252.343 0.918 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC001010342545 650203397 /nfs/dbraw/zinc/20/33/97/650203397.db2.gz WDTIILLHOOVUID-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN Cc1cc(C(=O)N2CCN(C(=O)C#CC3CC3)CC2)n[nH]1 ZINC001010519302 650236558 /nfs/dbraw/zinc/23/65/58/650236558.db2.gz UWZDGYODQOCOBS-UHFFFAOYSA-N 0 1 286.335 0.416 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@H]2CCCCO2)C1 ZINC001010529086 650237289 /nfs/dbraw/zinc/23/72/89/650237289.db2.gz NBRMFWJJADOVHL-CHWSQXEVSA-N 0 1 250.342 0.769 20 30 CCEDMN Cc1cc(C(=O)NCCN2CC[C@@H](O)C2)cc(C)c1C#N ZINC000913172433 636762361 /nfs/dbraw/zinc/76/23/61/636762361.db2.gz XMHUHHDKCLQEIC-CQSZACIVSA-N 0 1 287.363 0.972 20 30 CCEDMN C[C@H](OC[C@@H]1CCCCO1)C(=O)NCC#CCN(C)C ZINC000913517611 636834961 /nfs/dbraw/zinc/83/49/61/636834961.db2.gz IUGCITMEDUZTON-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(C)nc2COC)C1 ZINC001014996533 637286610 /nfs/dbraw/zinc/28/66/10/637286610.db2.gz OXSCZSMDYXCDTL-LBPRGKRZSA-N 0 1 290.367 0.394 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3c[nH]c(=O)cn3)CCC[C@@H]12 ZINC000992149586 637321555 /nfs/dbraw/zinc/32/15/55/637321555.db2.gz UNDIGHPLDBGEGF-DOMZBBRYSA-N 0 1 286.335 0.130 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(NC(=O)c3ncn[nH]3)CCC[C@H]12 ZINC000992326390 637365039 /nfs/dbraw/zinc/36/50/39/637365039.db2.gz GJDWRRFPWBFTJA-GXFFZTMASA-N 0 1 259.313 0.165 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnon3)CCC[C@@H]12 ZINC000992367007 637375975 /nfs/dbraw/zinc/37/59/75/637375975.db2.gz QKVUKYJFIXNAQE-YPMHNXCESA-N 0 1 260.297 0.430 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCN(C(N)=O)C2)C1 ZINC001015166680 637379160 /nfs/dbraw/zinc/37/91/60/637379160.db2.gz RQTXWAMPRWGKGX-RYUDHWBXSA-N 0 1 280.372 0.154 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)NC23CCN(CC2)C3)cc1 ZINC000913632163 637385813 /nfs/dbraw/zinc/38/58/13/637385813.db2.gz RTZZVHRGGIGJGX-CYBMUJFWSA-N 0 1 271.320 0.556 20 30 CCEDMN N#CC1(C(=O)N2CCC(c3nn[nH]n3)CC2)CC2(CC2)C1 ZINC000913747148 637431364 /nfs/dbraw/zinc/43/13/64/637431364.db2.gz RKZSYGYGLWNVQR-UHFFFAOYSA-N 0 1 286.339 0.990 20 30 CCEDMN N=C(N)NN=Cc1c[nH]nc1-c1ccc2c(c1)OCCO2 ZINC000915049004 637723917 /nfs/dbraw/zinc/72/39/17/637723917.db2.gz MXYFCJWKUZREAJ-UHFFFAOYSA-N 0 1 286.295 0.665 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)C2CN(C(C)=O)C2)[C@@H]1C ZINC000993247678 637757920 /nfs/dbraw/zinc/75/79/20/637757920.db2.gz LGBBOZARAQVDQK-SMDDNHRTSA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C2CN(C(C)=O)C2)[C@@H]1C ZINC000993269197 637761060 /nfs/dbraw/zinc/76/10/60/637761060.db2.gz UEBCCYFXBBUFPA-SWLSCSKDSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(=O)n(C)o2)[C@@H]1C ZINC000993281044 637763889 /nfs/dbraw/zinc/76/38/89/637763889.db2.gz NMGOYSFFNIZYPJ-WDEREUQCSA-N 0 1 279.340 0.747 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2ccn(C)c(=O)c2)[C@H]1C ZINC000993499291 637812455 /nfs/dbraw/zinc/81/24/55/637812455.db2.gz OKFIEWOUCNFSNQ-TZMCWYRMSA-N 0 1 287.363 0.601 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](NC(=O)c2cnn[n-]2)[C@H]1C ZINC000993542365 637816396 /nfs/dbraw/zinc/81/63/96/637816396.db2.gz OJPCVXKFCFEUTH-MNOVXSKESA-N 0 1 261.329 0.411 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cn(C)c(=O)cn2)[C@@H]1C ZINC000993613751 637821164 /nfs/dbraw/zinc/82/11/64/637821164.db2.gz PIAFQHFQCRJWMB-RYUDHWBXSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2c(C)nc[nH]c2=O)[C@H]1C ZINC000993722404 637835048 /nfs/dbraw/zinc/83/50/48/637835048.db2.gz XCKQYIGIHBXIHL-NEPJUHHUSA-N 0 1 288.351 0.707 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2COCCN2C)C1 ZINC001007156215 637841113 /nfs/dbraw/zinc/84/11/13/637841113.db2.gz NEDHNQFOKGTBQV-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)Cc2cnc[nH]2)CCCN1CC#N ZINC000993886009 637851891 /nfs/dbraw/zinc/85/18/91/637851891.db2.gz KYJVZPAVDNPWKH-ZYHUDNBSSA-N 0 1 261.329 0.445 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnn(C)n2)[C@@H]1C ZINC000994000814 637857842 /nfs/dbraw/zinc/85/78/42/637857842.db2.gz ZHAFOLLWXKSELT-WDEREUQCSA-N 0 1 263.345 0.584 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cn(C)nc2Cl)C1 ZINC001015700187 637870500 /nfs/dbraw/zinc/87/05/00/637870500.db2.gz FKQBNBXETOIUBA-GFCCVEGCSA-N 0 1 294.786 0.830 20 30 CCEDMN N#Cc1ccc(OCC(=O)C(C#N)C(=O)NC2CC2)cc1 ZINC000916926713 637932944 /nfs/dbraw/zinc/93/29/44/637932944.db2.gz SYYBKABESOLWJY-CYBMUJFWSA-N 0 1 283.287 0.925 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCCC2)C[C@@H]1NCC#N ZINC000939753970 638401334 /nfs/dbraw/zinc/40/13/34/638401334.db2.gz KKFCKSHZRBAOOD-NEPJUHHUSA-N 0 1 250.346 0.042 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000943353830 638716777 /nfs/dbraw/zinc/71/67/77/638716777.db2.gz ARPKBKCOSZQBQB-ZJUUUORDSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@H](CS(C)(=O)=O)NC[C@@H](O)c1ccc(C#N)cc1 ZINC000081903814 638756265 /nfs/dbraw/zinc/75/62/65/638756265.db2.gz FTELNJYNDRDVFS-ZWNOBZJWSA-N 0 1 282.365 0.614 20 30 CCEDMN Cn1ncnc1CNC1CCN(C(=O)C#CC2CC2)CC1 ZINC000996395048 638817556 /nfs/dbraw/zinc/81/75/56/638817556.db2.gz XKYIEBGYRCZNGE-UHFFFAOYSA-N 0 1 287.367 0.309 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCC(NCC#N)CC1 ZINC000996729287 638830476 /nfs/dbraw/zinc/83/04/76/638830476.db2.gz NBJWCFDNXPCJFP-CYBMUJFWSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccncc2C)C1 ZINC001014444332 650451979 /nfs/dbraw/zinc/45/19/79/650451979.db2.gz RGALDMGMDRAJND-AWEZNQCLSA-N 0 1 257.337 0.756 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC001015988268 638862454 /nfs/dbraw/zinc/86/24/54/638862454.db2.gz VUHCIIQGAWWUND-NSHDSACASA-N 0 1 299.334 0.262 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(CNCc2cnon2)CC1 ZINC000997623206 638878641 /nfs/dbraw/zinc/87/86/41/638878641.db2.gz GTCGFBFDWMQUSS-SNVBAGLBSA-N 0 1 277.328 0.557 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2cncc3nc[nH]c32)C1 ZINC001014503831 650456981 /nfs/dbraw/zinc/45/69/81/650456981.db2.gz LBRHVFNRBHVKEU-VIFPVBQESA-N 0 1 270.296 0.286 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](NCc2nccn2C)C1 ZINC000998749398 638939041 /nfs/dbraw/zinc/93/90/41/638939041.db2.gz ZSDIFLZLZLMYRK-VXGBXAGGSA-N 0 1 275.356 0.660 20 30 CCEDMN CN(C(=O)c1c[nH]cc2ncnc1-2)C1CC(NCC#N)C1 ZINC000998802751 638941777 /nfs/dbraw/zinc/94/17/77/638941777.db2.gz QHQIYGIZHMHMID-UHFFFAOYSA-N 0 1 284.323 0.674 20 30 CCEDMN Cn1nncc1CN[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC000999125791 638962592 /nfs/dbraw/zinc/96/25/92/638962592.db2.gz CICXKRCNNGYZAM-CYBMUJFWSA-N 0 1 287.367 0.309 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000999207615 638967470 /nfs/dbraw/zinc/96/74/70/638967470.db2.gz IBAHNFGSKHOUTN-JTQLQIEISA-N 0 1 284.323 0.676 20 30 CCEDMN CN(C(=O)[C@H]1CCc2[nH]cnc2C1)C1CC(NCC#N)C1 ZINC000999656627 638990835 /nfs/dbraw/zinc/99/08/35/638990835.db2.gz DLCJXXZLNDZCJX-UNXYVOJBSA-N 0 1 287.367 0.617 20 30 CCEDMN Cc1n[nH]c(C(=O)NCC2=CCN(CC#N)CC2)c1C ZINC001000536106 639115351 /nfs/dbraw/zinc/11/53/51/639115351.db2.gz MOOWNSJTXLOXIB-UHFFFAOYSA-N 0 1 273.340 0.912 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001014647207 650473106 /nfs/dbraw/zinc/47/31/06/650473106.db2.gz IHOOIVAXWPODNX-JTQLQIEISA-N 0 1 284.323 0.180 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2ccc(=O)n(C)c2)CC1 ZINC001000902074 639185941 /nfs/dbraw/zinc/18/59/41/639185941.db2.gz RMKSOQLKZSYAHD-UHFFFAOYSA-N 0 1 299.374 0.771 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001014695789 650479126 /nfs/dbraw/zinc/47/91/26/650479126.db2.gz UGLRTTATBHULQR-LLVKDONJSA-N 0 1 263.345 0.597 20 30 CCEDMN C=C(Cl)CN1CC=C(CNC(=O)c2ncn[nH]2)CC1 ZINC001001271029 639272418 /nfs/dbraw/zinc/27/24/18/639272418.db2.gz HOAGETKLKKNMMB-UHFFFAOYSA-N 0 1 281.747 0.919 20 30 CCEDMN C=C(Cl)CN1CC=C(CNC(=O)c2nc[nH]n2)CC1 ZINC001001271029 639272423 /nfs/dbraw/zinc/27/24/23/639272423.db2.gz HOAGETKLKKNMMB-UHFFFAOYSA-N 0 1 281.747 0.919 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2coc(COC)n2)CC1 ZINC001001319352 639280630 /nfs/dbraw/zinc/28/06/30/639280630.db2.gz OGTXNPYACJGCKB-UHFFFAOYSA-N 0 1 289.335 0.816 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2conc2COC)CC1 ZINC001001369006 639291507 /nfs/dbraw/zinc/29/15/07/639291507.db2.gz SZUCNSFTXNSAGJ-UHFFFAOYSA-N 0 1 289.335 0.816 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001014742381 650484722 /nfs/dbraw/zinc/48/47/22/650484722.db2.gz SOFMESCBVYFMLT-JTQLQIEISA-N 0 1 262.313 0.266 20 30 CCEDMN N#Cc1ccc(C(=O)NCC2CN(C(=O)c3ccn[nH]3)C2)[nH]1 ZINC001001477610 639310488 /nfs/dbraw/zinc/31/04/88/639310488.db2.gz JZBDDRXYZZMYEG-UHFFFAOYSA-N 0 1 298.306 0.111 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cn(C)nc2C)CC1 ZINC001002463613 639419158 /nfs/dbraw/zinc/41/91/58/639419158.db2.gz DOYUTEXPRNKRPH-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2ccnn2C)CC1 ZINC001002704931 639444693 /nfs/dbraw/zinc/44/46/93/639444693.db2.gz AHPDZKDCNJYXPL-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccnc3ccnn32)CC1 ZINC001003004755 639494258 /nfs/dbraw/zinc/49/42/58/639494258.db2.gz NZYPAYYGAKMICU-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC(CNC(=O)c3cnn[nH]3)C2)C1 ZINC001003122213 639510928 /nfs/dbraw/zinc/51/09/28/639510928.db2.gz PUFSVRCZYORQDL-UHFFFAOYSA-N 0 1 289.339 0.349 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccn(C)c(=O)c2)CC1 ZINC001003181955 639514995 /nfs/dbraw/zinc/51/49/95/639514995.db2.gz JQCVAUIDKQYOSQ-UHFFFAOYSA-N 0 1 287.363 0.603 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@]2(C)CNC(=O)C2)CC1 ZINC001003365579 639534785 /nfs/dbraw/zinc/53/47/85/639534785.db2.gz BWDFUSFXIFRPHW-HNNXBMFYSA-N 0 1 277.368 0.117 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CCN(CC#N)C2)ccc2cncn21 ZINC001015030875 650516585 /nfs/dbraw/zinc/51/65/85/650516585.db2.gz CCCRFZGPDDLSSP-GFCCVEGCSA-N 0 1 283.335 0.970 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccnc2C(N)=O)CC1 ZINC001004191288 639715058 /nfs/dbraw/zinc/71/50/58/639715058.db2.gz BDEXRJGKQVXHID-UHFFFAOYSA-N 0 1 286.335 0.008 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2nn(CC)nc2C)CC1 ZINC001004271935 639744119 /nfs/dbraw/zinc/74/41/19/639744119.db2.gz NQAGQOMSVMHFDF-UHFFFAOYSA-N 0 1 289.383 0.824 20 30 CCEDMN Cc1cc(CNC[C@@H](O)CS(C)(=O)=O)ccc1C#N ZINC000922823811 639795609 /nfs/dbraw/zinc/79/56/09/639795609.db2.gz NXUOTWXDNXEVBG-CYBMUJFWSA-N 0 1 282.365 0.362 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001015091570 650527551 /nfs/dbraw/zinc/52/75/51/650527551.db2.gz OXOJCMOXKMJCPB-ZDUSSCGKSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnn(C)c2)CC1 ZINC001005097879 639876854 /nfs/dbraw/zinc/87/68/54/639876854.db2.gz GTEYKSRCPFXWIX-UHFFFAOYSA-N 0 1 260.341 0.590 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cn2cccn2)CC1 ZINC001005112327 639878102 /nfs/dbraw/zinc/87/81/02/639878102.db2.gz VRUVJOIUBVRZIR-UHFFFAOYSA-N 0 1 260.341 0.439 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2cc(C)n[nH]2)CC1 ZINC001005235151 639891779 /nfs/dbraw/zinc/89/17/79/639891779.db2.gz FVFDRRUSWOZLQR-UHFFFAOYSA-N 0 1 274.368 0.817 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccnc3ccnn32)CC1 ZINC001005497739 639912413 /nfs/dbraw/zinc/91/24/13/639912413.db2.gz QOAFOMOWJMGFKS-UHFFFAOYSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnc[nH]c2=O)CC1 ZINC001005557151 639933221 /nfs/dbraw/zinc/93/32/21/639933221.db2.gz OWCZKQOBOFLMFP-UHFFFAOYSA-N 0 1 274.324 0.352 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)CC1 ZINC001005738273 639957883 /nfs/dbraw/zinc/95/78/83/639957883.db2.gz QCHUTEWWPGQPNA-LEOABGAYSA-N 0 1 288.391 0.966 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(OC)n(C)n2)C1 ZINC001015215515 650539938 /nfs/dbraw/zinc/53/99/38/650539938.db2.gz GHOKKAVFZUNDGC-NSHDSACASA-N 0 1 278.356 0.809 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2n[nH]nc2C)CC1 ZINC001005812011 639971892 /nfs/dbraw/zinc/97/18/92/639971892.db2.gz RZAZZKNVEZLDRZ-UHFFFAOYSA-N 0 1 275.356 0.673 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@H]2CCC(=O)N2C)CC1 ZINC001005817527 639973530 /nfs/dbraw/zinc/97/35/30/639973530.db2.gz KWMNLXYSIKMWAE-CQSZACIVSA-N 0 1 291.395 0.553 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001015253769 650543367 /nfs/dbraw/zinc/54/33/67/650543367.db2.gz PIFSPKOWVOSFDM-CYBMUJFWSA-N 0 1 274.368 0.485 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2n[nH]cc2F)CC1 ZINC001005953932 640043161 /nfs/dbraw/zinc/04/31/61/640043161.db2.gz QQYJIQQTUCLNGZ-UHFFFAOYSA-N 0 1 264.304 0.718 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cncc(OC)n2)CC1 ZINC001006092441 640151533 /nfs/dbraw/zinc/15/15/33/640151533.db2.gz TWDLKPJFMVIRMK-UHFFFAOYSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cn(CC=C)nn2)CC1 ZINC001006104445 640157966 /nfs/dbraw/zinc/15/79/66/640157966.db2.gz OSBCSSBSLRUQCZ-UHFFFAOYSA-N 0 1 287.367 0.634 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001015350106 650557358 /nfs/dbraw/zinc/55/73/58/650557358.db2.gz DFGVLXVGYOVRPS-CQSZACIVSA-N 0 1 288.395 0.739 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C(=O)N2CC[C@H](C)C2)CC1 ZINC001006274736 640216081 /nfs/dbraw/zinc/21/60/81/640216081.db2.gz KFBPLDIXYAZEEZ-ZDUSSCGKSA-N 0 1 291.395 0.411 20 30 CCEDMN NC(=O)c1cccc(C=NNc2ncnc3[nH]cnc32)c1 ZINC000755663266 640331272 /nfs/dbraw/zinc/33/12/72/640331272.db2.gz ZQWBMZGJYBYPJS-UHFFFAOYSA-N 0 1 281.279 0.898 20 30 CCEDMN C[C@@H](O)CCN1CCN(c2ccc(C#N)nc2)CC1 ZINC000839590034 640343740 /nfs/dbraw/zinc/34/37/40/640343740.db2.gz MTNKYASKOIEJAN-GFCCVEGCSA-N 0 1 260.341 0.846 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001015438819 650567355 /nfs/dbraw/zinc/56/73/55/650567355.db2.gz PEYGBJUVBVBAGA-CYBMUJFWSA-N 0 1 276.384 0.956 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cccnc2OC)C1 ZINC001015645669 650601503 /nfs/dbraw/zinc/60/15/03/650601503.db2.gz IEONYWQTFJNJDK-GFCCVEGCSA-N 0 1 273.336 0.918 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001015661527 650603779 /nfs/dbraw/zinc/60/37/79/650603779.db2.gz CEOWHSIUSFOFCA-RISCZKNCSA-N 0 1 265.357 0.422 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc3ncn(C)c3n2)C1 ZINC001015699323 650610781 /nfs/dbraw/zinc/61/07/81/650610781.db2.gz WLKDXIOPFHFEQM-LLVKDONJSA-N 0 1 285.351 0.958 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001015692257 650610929 /nfs/dbraw/zinc/61/09/29/650610929.db2.gz ZLBCZRXIFZPQED-GHMZBOCLSA-N 0 1 273.340 0.544 20 30 CCEDMN O=C(N[C@H]1CCN(O)C1=O)c1n[nH]c2ccccc21 ZINC000816693359 641194202 /nfs/dbraw/zinc/19/42/02/641194202.db2.gz JCBDDDMCURLFJH-VIFPVBQESA-N 0 1 260.253 0.283 20 30 CCEDMN N#CCCN(CC(F)(F)F)C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840367790 641360570 /nfs/dbraw/zinc/36/05/70/641360570.db2.gz CDYFNGUBBZCPEN-RNFRBKRXSA-N 0 1 265.191 0.956 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001015985721 650669505 /nfs/dbraw/zinc/66/95/05/650669505.db2.gz CHZXJOUAFZOUFE-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001015991460 650670600 /nfs/dbraw/zinc/67/06/00/650670600.db2.gz BLPPEMFSHVUNTN-MNOVXSKESA-N 0 1 275.356 0.286 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3c(c2)C(=O)NC3=O)C1 ZINC001016018960 650675648 /nfs/dbraw/zinc/67/56/48/650675648.db2.gz MMCKHMYQZMDAGS-NSHDSACASA-N 0 1 299.330 0.560 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001016014293 650675793 /nfs/dbraw/zinc/67/57/93/650675793.db2.gz WQSDHADZLVQOJD-LLVKDONJSA-N 0 1 261.325 0.375 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc3c(c2)C(=O)NC3=O)C1 ZINC001016018959 650675979 /nfs/dbraw/zinc/67/59/79/650675979.db2.gz MMCKHMYQZMDAGS-LLVKDONJSA-N 0 1 299.330 0.560 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(-n3cnnn3)c2)C1 ZINC001016228436 650700464 /nfs/dbraw/zinc/70/04/64/650700464.db2.gz HAPIKWQAHHSDCU-ZDUSSCGKSA-N 0 1 298.350 0.652 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000829736586 642187507 /nfs/dbraw/zinc/18/75/07/642187507.db2.gz LWBZDFWXLVUZDL-QWHCGFSZSA-N 0 1 282.384 0.328 20 30 CCEDMN C#CC[C@@H]1CCC[N@H+](CCCOC(=O)NC(N)=O)C1 ZINC000829804847 642193393 /nfs/dbraw/zinc/19/33/93/642193393.db2.gz LMSPUOSAYSJEAW-LLVKDONJSA-N 0 1 267.329 0.917 20 30 CCEDMN C=C(Cl)CN1CCC2(C[C@@H]2NC(=O)C(N)=O)CC1 ZINC001016799658 650761582 /nfs/dbraw/zinc/76/15/82/650761582.db2.gz LGLFXLBKRONRNL-VIFPVBQESA-N 0 1 271.748 0.195 20 30 CCEDMN Cn1c2cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)ccc2[nH]c1=O ZINC000834479353 642570967 /nfs/dbraw/zinc/57/09/67/642570967.db2.gz DCZQUVVQBDHTKV-GXSJLCMTSA-N 0 1 285.307 0.120 20 30 CCEDMN C[C@H](CC(=O)N[C@H]1CNC[C@@H]1C#N)c1ccncc1 ZINC000834479267 642571430 /nfs/dbraw/zinc/57/14/30/642571430.db2.gz BUIBTLRIRHJURZ-WXHSDQCUSA-N 0 1 258.325 0.803 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(F)cc2nccnc21 ZINC000834488229 642577672 /nfs/dbraw/zinc/57/76/72/642577672.db2.gz ASJYMNSMEPCLSB-PELKAZGASA-N 0 1 285.282 0.610 20 30 CCEDMN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834490111 642579269 /nfs/dbraw/zinc/57/92/69/642579269.db2.gz RYHXNJVONPUUSK-MWLCHTKSSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc(-n2cccn2)n1 ZINC000834490888 642581144 /nfs/dbraw/zinc/58/11/44/642581144.db2.gz XXMMDFFYSMOKEJ-ZYHUDNBSSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1c[nH]nc1-c1ccncc1 ZINC000834491099 642581865 /nfs/dbraw/zinc/58/18/65/642581865.db2.gz ZWCNEVKBIOJTKA-CMPLNLGQSA-N 0 1 282.307 0.313 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnc(-c2ccccc2)nc1 ZINC000834494555 642582683 /nfs/dbraw/zinc/58/26/83/642582683.db2.gz OAYIQZAXWRNEOX-OCCSQVGLSA-N 0 1 293.330 0.985 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(NC2CC2)nc1 ZINC000834495694 642586885 /nfs/dbraw/zinc/58/68/85/642586885.db2.gz YGECXEBRLBHVAQ-JQWIXIFHSA-N 0 1 271.324 0.497 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)COC2 ZINC000834495654 642587137 /nfs/dbraw/zinc/58/71/37/642587137.db2.gz XJMDWLOKCOIZME-OLZOCXBDSA-N 0 1 257.293 0.558 20 30 CCEDMN Cc1nc2ccccc2n1CCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834499012 642587474 /nfs/dbraw/zinc/58/74/74/642587474.db2.gz DHBIYIMETJNCSR-JSGCOSHPSA-N 0 1 297.362 0.963 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1n[nH]c2ccccc21 ZINC000834499560 642588630 /nfs/dbraw/zinc/58/86/30/642588630.db2.gz JRPSDZAKMLZQQU-NOZJJQNGSA-N 0 1 269.308 0.333 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000834505379 642592472 /nfs/dbraw/zinc/59/24/72/642592472.db2.gz KFQXYGDUUDQYPL-PSASIEDQSA-N 0 1 275.268 0.018 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)cs1 ZINC000834507177 642593375 /nfs/dbraw/zinc/59/33/75/642593375.db2.gz YONRAZQKUDPAQY-WCBMZHEXSA-N 0 1 250.327 0.222 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccc2c(c1)CCC2 ZINC000834510497 642595182 /nfs/dbraw/zinc/59/51/82/642595182.db2.gz BRIXYQTWGDGXKD-HUUCEWRRSA-N 0 1 269.348 0.946 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCc1nc2ccccc2o1 ZINC000834510504 642595274 /nfs/dbraw/zinc/59/52/74/642595274.db2.gz BTAHJKNTUDSCKL-JQWIXIFHSA-N 0 1 284.319 0.988 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1Cc2ccccc2O1 ZINC000834510466 642595814 /nfs/dbraw/zinc/59/58/14/642595814.db2.gz AXWPPEUAFVVWNE-WZRBSPASSA-N 0 1 257.293 0.218 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnn(Cc2ccccc2)c1 ZINC000834510858 642595952 /nfs/dbraw/zinc/59/59/52/642595952.db2.gz FZPPQTOXSOBLQK-ZFWWWQNUSA-N 0 1 295.346 0.773 20 30 CCEDMN Cc1nn(C)c2nc(C)c(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc12 ZINC000834516064 642598930 /nfs/dbraw/zinc/59/89/30/642598930.db2.gz FEGDCCJADYJROC-GXFFZTMASA-N 0 1 298.350 0.427 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000834517626 642599725 /nfs/dbraw/zinc/59/97/25/642599725.db2.gz XSSJQFYTJJBZLH-KCJUWKMLSA-N 0 1 271.280 0.511 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1[nH]nc2ccccc21 ZINC000834516736 642600392 /nfs/dbraw/zinc/60/03/92/642600392.db2.gz LMEPFRKMMSYBAM-GZMMTYOYSA-N 0 1 255.281 0.404 20 30 CCEDMN COc1nccc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)n1 ZINC000834965734 642644292 /nfs/dbraw/zinc/64/42/92/642644292.db2.gz HSQWDCKZDOQFAD-PHIMTYICSA-N 0 1 274.328 0.679 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)CCCN2 ZINC000841849765 642843835 /nfs/dbraw/zinc/84/38/35/642843835.db2.gz KRZPGBWFVOFUNA-JSGCOSHPSA-N 0 1 270.336 0.886 20 30 CCEDMN COc1ncncc1C=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000842528886 642909258 /nfs/dbraw/zinc/90/92/58/642909258.db2.gz MOWQFCQVIMJOFK-QWRGUYRKSA-N 0 1 274.328 0.679 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CC[C@@H]2CC2(Cl)Cl)c1=O ZINC000842613906 642915001 /nfs/dbraw/zinc/91/50/01/642915001.db2.gz FIZCVGAHPUWCRS-SSDOTTSWSA-N 0 1 274.107 0.992 20 30 CCEDMN O=[N+]([O-])c1cccc([C@H](O)CNCC#CCO)c1 ZINC000843080447 642988675 /nfs/dbraw/zinc/98/86/75/642988675.db2.gz VVNRHVFCLYSBDR-GFCCVEGCSA-N 0 1 250.254 0.214 20 30 CCEDMN C[C@@H](O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(C#N)c1 ZINC001017489841 650805355 /nfs/dbraw/zinc/80/53/55/650805355.db2.gz KWBFCRUMYNQKBV-HONMWMINSA-N 0 1 288.351 0.556 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(F)c(F)cc1F ZINC000843459087 643062974 /nfs/dbraw/zinc/06/29/74/643062974.db2.gz CHFDBOYZSWTKDE-UPONEAKYSA-N 0 1 269.226 0.945 20 30 CCEDMN Cc1noc(C)c1CSCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000843460958 643065250 /nfs/dbraw/zinc/06/52/50/643065250.db2.gz JFWKAZWSIWOJOP-ZYHUDNBSSA-N 0 1 294.380 0.752 20 30 CCEDMN Cc1ccc2oc(C(=O)N[C@H]3CNC[C@@H]3C#N)cc2n1 ZINC000844194538 643177481 /nfs/dbraw/zinc/17/74/81/643177481.db2.gz JSFYPZAZGQZBNG-ONGXEEELSA-N 0 1 270.292 0.978 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccc(C)n1 ZINC001017963592 650837305 /nfs/dbraw/zinc/83/73/05/650837305.db2.gz QSQNJYJEXUQRSG-GASCZTMLSA-N 0 1 286.379 0.890 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NC(CF)CF)o1 ZINC000885414380 643430413 /nfs/dbraw/zinc/43/04/13/643430413.db2.gz YAGATTRGATWYSM-UHFFFAOYSA-N 0 1 250.226 0.737 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(-n2cc[nH]c2=O)cc1 ZINC000846676985 643441350 /nfs/dbraw/zinc/44/13/50/643441350.db2.gz JBTRNJBPRBZLHB-YPMHNXCESA-N 0 1 297.318 0.419 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)NCCCc2nc[nH]n2)cc1 ZINC000847034152 643481066 /nfs/dbraw/zinc/48/10/66/643481066.db2.gz XPKVVVOWVZEAIS-ZDUSSCGKSA-N 0 1 285.307 0.459 20 30 CCEDMN C=CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnon1 ZINC001018083442 650842889 /nfs/dbraw/zinc/84/28/89/650842889.db2.gz MYBWRUKMGLXGPZ-PHIMTYICSA-N 0 1 262.313 0.935 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnon1 ZINC001018083442 650842890 /nfs/dbraw/zinc/84/28/90/650842890.db2.gz MYBWRUKMGLXGPZ-PHIMTYICSA-N 0 1 262.313 0.935 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C[C@H]1OCc2ccccc21 ZINC000848419004 643634061 /nfs/dbraw/zinc/63/40/61/643634061.db2.gz PGDWWKSIPJFGOB-MRVWCRGKSA-N 0 1 271.320 0.876 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCCC2CCC2)CC1 ZINC000851872957 643894223 /nfs/dbraw/zinc/89/42/23/643894223.db2.gz OTIWCQISBWQCNV-UHFFFAOYSA-N 0 1 299.440 0.652 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@H](N(C)C(=O)N(C)C)C1 ZINC000852652918 643984860 /nfs/dbraw/zinc/98/48/60/643984860.db2.gz HRPUPJWQLGNBCI-NEPJUHHUSA-N 0 1 268.361 0.603 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C(=O)Nc1c(F)cccc1F ZINC000852874523 644036428 /nfs/dbraw/zinc/03/64/28/644036428.db2.gz AKPNTQMGFCXSIN-XCBNKYQSSA-N 0 1 294.261 0.131 20 30 CCEDMN Cc1oncc1CN[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001018633361 650887186 /nfs/dbraw/zinc/88/71/86/650887186.db2.gz WTGYHLKGWZZICW-SKDRFNHKSA-N 0 1 262.313 0.833 20 30 CCEDMN Cc1nocc1CN[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001018632805 650887251 /nfs/dbraw/zinc/88/72/51/650887251.db2.gz PZPOLEOTWNNZNH-SKDRFNHKSA-N 0 1 262.313 0.833 20 30 CCEDMN CCn1nnc(C)c1CN[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001018633575 650887535 /nfs/dbraw/zinc/88/75/35/650887535.db2.gz YEABVZRGGBNCQX-PWSUYJOCSA-N 0 1 290.371 0.457 20 30 CCEDMN Cn1nncc1CN[C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC001018731041 650902410 /nfs/dbraw/zinc/90/24/10/650902410.db2.gz MMZAXVRIXMSSEB-NSHDSACASA-N 0 1 299.338 0.019 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001019035096 650932687 /nfs/dbraw/zinc/93/26/87/650932687.db2.gz YDTBMSRHVLKRCM-SMDDNHRTSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001019052947 650934158 /nfs/dbraw/zinc/93/41/58/650934158.db2.gz WAWNTWRHJXQFKN-NEPJUHHUSA-N 0 1 299.802 0.798 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1cnn(CCF)c1 ZINC000859733734 644727694 /nfs/dbraw/zinc/72/76/94/644727694.db2.gz XZDUFVHRQMDUJL-WCBMZHEXSA-N 0 1 266.280 0.086 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001019838174 651010003 /nfs/dbraw/zinc/01/00/03/651010003.db2.gz IWMPFGFNTIJGNY-QWHCGFSZSA-N 0 1 299.802 0.940 20 30 CCEDMN N#Cc1nccc(N2CC(CNC(=O)C(F)(F)F)C2)n1 ZINC000866294422 645514974 /nfs/dbraw/zinc/51/49/74/645514974.db2.gz PIRYCTAGVJWAII-UHFFFAOYSA-N 0 1 285.229 0.463 20 30 CCEDMN N#C[C@]1(C(=O)N[C@H](CO)CN2CCCCC2)CCCOC1 ZINC000868243123 645735629 /nfs/dbraw/zinc/73/56/29/645735629.db2.gz FZKVZPKHZJQZQM-DZGCQCFKSA-N 0 1 295.383 0.270 20 30 CCEDMN N#CCc1ccsc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000870939917 646054264 /nfs/dbraw/zinc/05/42/64/646054264.db2.gz CWSFHACHXHGVIK-VHSXEESVSA-N 0 1 260.322 0.656 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(C(F)(F)F)ncn1 ZINC000870941321 646055877 /nfs/dbraw/zinc/05/58/77/646055877.db2.gz USVIHIPTFNJLOJ-SVRRBLITSA-N 0 1 285.229 0.337 20 30 CCEDMN C[C@H]1CN(CCCN2CCOC2=O)C[C@H](C)N1CC#N ZINC000878775059 646977218 /nfs/dbraw/zinc/97/72/18/646977218.db2.gz ZSQWJNFBJFLWOO-STQMWFEESA-N 0 1 280.372 0.747 20 30 CCEDMN Cc1nc(C#N)cc(NCCN2CCCOCC2)n1 ZINC000882235472 647386689 /nfs/dbraw/zinc/38/66/89/647386689.db2.gz PRUYQSWSKXGLCM-UHFFFAOYSA-N 0 1 261.329 0.791 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1C[C@H]2CC[C@@H](C1)S2 ZINC000884249782 647680990 /nfs/dbraw/zinc/68/09/90/647680990.db2.gz RENXMPUTAABLFJ-CWZNHRJFSA-N 0 1 265.382 0.888 20 30 CCEDMN Cn1cc(NN=C2CCCc3c2cnn3CCO)cn1 ZINC000884455139 647741642 /nfs/dbraw/zinc/74/16/42/647741642.db2.gz DLEMZDREMWPFDS-UHFFFAOYSA-N 0 1 274.328 0.761 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC000885881806 647882269 /nfs/dbraw/zinc/88/22/69/647882269.db2.gz JQBNASCZLLOIKU-NXEZZACHSA-N 0 1 289.335 0.829 20 30 CCEDMN N#CCC(=O)N1CCN(C[C@H]2C[C@]23CCOC3)CC1 ZINC000886230020 647924450 /nfs/dbraw/zinc/92/44/50/647924450.db2.gz ZNSMXXFUUWPNGY-OCCSQVGLSA-N 0 1 263.341 0.471 20 30 CCEDMN C[C@@H]1CN(C[C@](C)(O)C(F)F)C[C@@H](C)N1CC#N ZINC000886267058 647948467 /nfs/dbraw/zinc/94/84/67/647948467.db2.gz FHBZVUQEOZJEBX-FOGDFJRCSA-N 0 1 261.316 0.921 20 30 CCEDMN CN(C(=O)CN1CCCC1)C1CCN(CC#N)CC1 ZINC001006408577 647985018 /nfs/dbraw/zinc/98/50/18/647985018.db2.gz UCEPAHDVHYMNKB-UHFFFAOYSA-N 0 1 264.373 0.529 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)[C@@H]2CCCc3[nH]ncc32)CCO1 ZINC000887679091 648139987 /nfs/dbraw/zinc/13/99/87/648139987.db2.gz QKLMLNVYMJULSV-YGRLFVJLSA-N 0 1 274.324 0.971 20 30 CCEDMN N#CCSCC(=O)N1CC[C@@H]2[C@@H]1CCCN2CCO ZINC000889777470 648252465 /nfs/dbraw/zinc/25/24/65/648252465.db2.gz ZIYURYCTYIXRDQ-NEPJUHHUSA-N 0 1 283.397 0.301 20 30 CCEDMN C[C@H](NC[C@H](O)CS(C)(=O)=O)c1ccc(C#N)cc1 ZINC000927033084 648540338 /nfs/dbraw/zinc/54/03/38/648540338.db2.gz RXIJKSIOYPYNKS-GWCFXTLKSA-N 0 1 282.365 0.614 20 30 CCEDMN C[C@@H](NC[C@H](O)CS(C)(=O)=O)c1ccc(C#N)cc1 ZINC000927033086 648541154 /nfs/dbraw/zinc/54/11/54/648541154.db2.gz RXIJKSIOYPYNKS-MFKMUULPSA-N 0 1 282.365 0.614 20 30 CCEDMN N#CC[C@@H](O)CN1CC[C@](CO)(c2ccccc2)C1 ZINC000930530600 648872931 /nfs/dbraw/zinc/87/29/31/648872931.db2.gz ANQFYZYWLHCZOM-CABCVRRESA-N 0 1 260.337 0.897 20 30 CCEDMN C#CCN(C[C@@H]1C[C@@H]2COC[C@H]2O1)C1CSC1 ZINC000930959644 648929817 /nfs/dbraw/zinc/92/98/17/648929817.db2.gz BINBVOPQLPAVNL-KGYLQXTDSA-N 0 1 253.367 0.841 20 30 CCEDMN C#C[C@@H]1CCCCN1CC1CN(S(C)(=O)=O)C1 ZINC000934516091 649177729 /nfs/dbraw/zinc/17/77/29/649177729.db2.gz VZCMSADRHZIXPF-GFCCVEGCSA-N 0 1 256.371 0.366 20 30 CCEDMN COCCNC(=O)[C@@H](C#N)C(=O)[C@H]1CC1(Cl)Cl ZINC000193421732 649537591 /nfs/dbraw/zinc/53/75/91/649537591.db2.gz BCJSKRCLSQBDMV-NKWVEPMBSA-N 0 1 279.123 0.652 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnnn2C)C1 ZINC001007251250 649699237 /nfs/dbraw/zinc/69/92/37/649699237.db2.gz RIBGAYUPHAOWSS-LLVKDONJSA-N 0 1 261.329 0.033 20 30 CCEDMN COC[C@@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017409931 649843196 /nfs/dbraw/zinc/84/31/96/649843196.db2.gz SOYKLVLHSSIACK-QNWHQSFQSA-N 0 1 265.357 0.856 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](CC(C)C)C(N)=O)CC1 ZINC001230472085 805604831 /nfs/dbraw/zinc/60/48/31/805604831.db2.gz BIZQGDVXKVCHIN-AWEZNQCLSA-N 0 1 293.411 0.738 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)c2ccccn2)CC1 ZINC001266254842 790336541 /nfs/dbraw/zinc/33/65/41/790336541.db2.gz XFBQXPARWKEAOZ-UHFFFAOYSA-N 0 1 286.379 0.452 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc2nc[nH]c2n1 ZINC001266286579 790412081 /nfs/dbraw/zinc/41/20/81/790412081.db2.gz RYVNVZLUOJHMTF-UHFFFAOYSA-N 0 1 257.297 0.253 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2ccnnc2)CC1 ZINC001266294786 790444571 /nfs/dbraw/zinc/44/45/71/790444571.db2.gz LLWRPLAOISTABI-UHFFFAOYSA-N 0 1 289.383 0.400 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)C[C@@H]1CCC(=O)NC1 ZINC001266467865 790762813 /nfs/dbraw/zinc/76/28/13/790762813.db2.gz FQSXCVWMSKRILF-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1C[C@@H](C(=O)[O-])CC[C@@H]1C ZINC000397493173 790794088 /nfs/dbraw/zinc/79/40/88/790794088.db2.gz NGLIIYNKIYLFCR-STQMWFEESA-N 0 1 295.383 0.836 20 30 CCEDMN CCCCNC(=O)CN1CC[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001266505787 790815971 /nfs/dbraw/zinc/81/59/71/790815971.db2.gz PXTVKFKFMHLFRD-STQMWFEESA-N 0 1 294.399 0.500 20 30 CCEDMN Cc1cc(NC[C@@H](C)CNC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001104280942 790841611 /nfs/dbraw/zinc/84/16/11/790841611.db2.gz XYDAJHVCEGAZSP-SECBINFHSA-N 0 1 299.338 0.280 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCN([C@H](C)C(N)=O)C1 ZINC001266526716 790870416 /nfs/dbraw/zinc/87/04/16/790870416.db2.gz YJJJOJNKGZPFKR-VXGBXAGGSA-N 0 1 281.400 0.901 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3ccnnc3)[C@H]2C1 ZINC001083204507 790906307 /nfs/dbraw/zinc/90/63/07/790906307.db2.gz SYEWLQRJSBAGQG-UONOGXRCSA-N 0 1 288.351 0.578 20 30 CCEDMN Cn1cc(CN2CC[C@](C)(CO)[C@@H](O)C2)cc1C#N ZINC001207103326 791028872 /nfs/dbraw/zinc/02/88/72/791028872.db2.gz UIMSZEFTIHQEHD-UONOGXRCSA-N 0 1 263.341 0.462 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1C[C@@H](NCc2cnc(C)o2)C1 ZINC001266683366 791134384 /nfs/dbraw/zinc/13/43/84/791134384.db2.gz MVGNQHNTANZVIZ-WCFLWFBJSA-N 0 1 291.351 0.758 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)c1cnn(C)c1 ZINC001230714878 805654532 /nfs/dbraw/zinc/65/45/32/805654532.db2.gz LNWQRYZLSAZBLO-CQSZACIVSA-N 0 1 292.383 0.769 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](C)OCC ZINC001230855718 805678309 /nfs/dbraw/zinc/67/83/09/805678309.db2.gz RGYGURXSHJNWSY-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@H]1CCOC1 ZINC001277476376 805704612 /nfs/dbraw/zinc/70/46/12/805704612.db2.gz UHCNROLCFAWUNS-ZDUSSCGKSA-N 0 1 268.357 0.111 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C1CCCC1 ZINC001231099592 805727557 /nfs/dbraw/zinc/72/75/57/805727557.db2.gz NJKDANIBBRGYDA-UHFFFAOYSA-N 0 1 279.384 0.364 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)COCCOC ZINC001231305061 805773753 /nfs/dbraw/zinc/77/37/53/805773753.db2.gz XJHQBQFLCAJNFU-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cc1ccon1 ZINC001231395035 805788545 /nfs/dbraw/zinc/78/85/45/805788545.db2.gz CNYJBNVHVNOKFN-CYBMUJFWSA-N 0 1 261.325 0.773 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)C1CN(CC2CC2)C1 ZINC001277585443 805867334 /nfs/dbraw/zinc/86/73/34/805867334.db2.gz DIAUGMSICNFSHC-CQSZACIVSA-N 0 1 252.358 0.866 20 30 CCEDMN CC(=O)N[C@H]1CC[N@@H+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232677267 805968996 /nfs/dbraw/zinc/96/89/96/805968996.db2.gz ALLPWHUQQNXEBP-ZDUSSCGKSA-N 0 1 259.309 0.974 20 30 CCEDMN N#Cc1ccc(O)c(CN2CCn3nncc3C2)c1 ZINC001232677224 805970545 /nfs/dbraw/zinc/97/05/45/805970545.db2.gz DAGXFIIIGINFHZ-UHFFFAOYSA-N 0 1 255.281 0.871 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)C2CC(OCC)C2)C1 ZINC001279482260 791159090 /nfs/dbraw/zinc/15/90/90/791159090.db2.gz ZSUBPSBJLNRTFB-ZBCRRDGASA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)n(C)o1 ZINC001039366708 791170403 /nfs/dbraw/zinc/17/04/03/791170403.db2.gz XBMIWSUEJWMHHL-NEPJUHHUSA-N 0 1 289.335 0.290 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H]2CN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC000971401561 791176239 /nfs/dbraw/zinc/17/62/39/791176239.db2.gz GIEZUBVCBBFOBW-CKYFFXLPSA-N 0 1 287.323 0.242 20 30 CCEDMN CN(CCNCC#N)C(=O)c1ccn(-c2ccncc2)n1 ZINC001266770688 791226387 /nfs/dbraw/zinc/22/63/87/791226387.db2.gz COGAMDDSSUGVAX-UHFFFAOYSA-N 0 1 284.323 0.452 20 30 CCEDMN CCOC(=O)[C@H](Cc1cnc[nH]1)NC(=O)C(C)(C)C#N ZINC001143408062 791313188 /nfs/dbraw/zinc/31/31/88/791313188.db2.gz QNKJUELYKMCFIS-JTQLQIEISA-N 0 1 278.312 0.550 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OC)[C@H](C)CC ZINC001266902270 791331452 /nfs/dbraw/zinc/33/14/52/791331452.db2.gz QSUOPJSLDCFTJJ-DGTMBMJNSA-N 0 1 264.369 0.727 20 30 CCEDMN C[C@@H](CNC(=O)CC(N)=O)NCC#Cc1ccc(F)cc1 ZINC001266930199 791366577 /nfs/dbraw/zinc/36/65/77/791366577.db2.gz PUCDARXOSSUPIZ-NSHDSACASA-N 0 1 291.326 0.147 20 30 CCEDMN COCC#CCN(C)[C@H]1CCCN(C(=O)c2cnon2)C1 ZINC001267114213 791555765 /nfs/dbraw/zinc/55/57/65/791555765.db2.gz YPFNWKXTYCACGL-LBPRGKRZSA-N 0 1 292.339 0.256 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3nnn(C)c3C)C[C@H]21 ZINC001041992996 791608812 /nfs/dbraw/zinc/60/88/12/791608812.db2.gz WUAZHXQINNWVCN-QWHCGFSZSA-N 0 1 287.367 0.293 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C=C(C)C ZINC001233551794 806080674 /nfs/dbraw/zinc/08/06/74/806080674.db2.gz BYEMZBKPMQORLK-CYBMUJFWSA-N 0 1 279.384 0.529 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C[C@@H]1CCOC1 ZINC001267190083 791647101 /nfs/dbraw/zinc/64/71/01/791647101.db2.gz ZLIUYJPSCJEHHV-ZDUSSCGKSA-N 0 1 268.357 0.111 20 30 CCEDMN C#CCN(C)CCNC(=O)c1nnc2ccccc2n1 ZINC001267208260 791673668 /nfs/dbraw/zinc/67/36/68/791673668.db2.gz YXLOBGPYVWVKSH-UHFFFAOYSA-N 0 1 269.308 0.320 20 30 CCEDMN CC[N@H+](CC#CCOC)CCNC(=O)c1ccccn1 ZINC001267234488 791717456 /nfs/dbraw/zinc/71/74/56/791717456.db2.gz LNIVZZIHRYALFY-UHFFFAOYSA-N 0 1 275.352 0.783 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1ccccn1 ZINC001267234488 791717461 /nfs/dbraw/zinc/71/74/61/791717461.db2.gz LNIVZZIHRYALFY-UHFFFAOYSA-N 0 1 275.352 0.783 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)c1ccsc1 ZINC001233733997 806110792 /nfs/dbraw/zinc/11/07/92/806110792.db2.gz XYQJHVVZDOMVAV-UHFFFAOYSA-N 0 1 293.392 0.549 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1cc(C)no1 ZINC001233864256 806134606 /nfs/dbraw/zinc/13/46/06/806134606.db2.gz SSJGTSUGKXMCEH-UHFFFAOYSA-N 0 1 294.355 0.337 20 30 CCEDMN CC(C)c1nc(CNC(=O)NC[C@@H](C)C#N)n[nH]1 ZINC001233951889 806156522 /nfs/dbraw/zinc/15/65/22/806156522.db2.gz HADTUVQDSNWRLO-QMMMGPOBSA-N 0 1 250.306 0.887 20 30 CCEDMN C=CCCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1COC(=O)N1 ZINC001234040210 806172975 /nfs/dbraw/zinc/17/29/75/806172975.db2.gz UVRKJHWWEPBGEO-NWDGAFQWSA-N 0 1 281.356 0.594 20 30 CCEDMN C[N+](C)(C)C[C@H](O)CC(=O)Oc1cc([O-])cc(C#N)c1 ZINC001234139605 806188389 /nfs/dbraw/zinc/18/83/89/806188389.db2.gz ILDAFGGVKUZDMX-GFCCVEGCSA-O 0 1 279.316 0.626 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CCN1CCOCC ZINC001234265971 806212351 /nfs/dbraw/zinc/21/23/51/806212351.db2.gz NNNIARRDOYUBRL-KBPBESRZSA-N 0 1 282.384 0.594 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cn1cccc(C)c1=O ZINC001234305924 806222285 /nfs/dbraw/zinc/22/22/85/806222285.db2.gz WQUBKDQOEBEAAL-CQSZACIVSA-N 0 1 289.379 0.875 20 30 CCEDMN C#CCN(CC)CCNC(=O)C[C@](C)(O)C1CC1 ZINC001267238306 793221933 /nfs/dbraw/zinc/22/19/33/793221933.db2.gz GRCYQXFSJGYTAF-AWEZNQCLSA-N 0 1 252.358 0.609 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1csnn1 ZINC001267246785 793237950 /nfs/dbraw/zinc/23/79/50/793237950.db2.gz NMBFDBFQBDNWLI-UHFFFAOYSA-N 0 1 252.343 0.613 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001267246753 793238304 /nfs/dbraw/zinc/23/83/04/793238304.db2.gz MFWHXFVIOPBDGX-SEBNEYGDSA-N 0 1 276.380 0.871 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001267256517 793254499 /nfs/dbraw/zinc/25/44/99/793254499.db2.gz ZUJAWURKWRVXHB-CYBMUJFWSA-N 0 1 288.395 0.976 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCc1ccn(C)n1 ZINC001234635251 806291828 /nfs/dbraw/zinc/29/18/28/806291828.db2.gz VEWNGDMCQZHLQM-HNNXBMFYSA-N 0 1 288.395 0.909 20 30 CCEDMN C=C(C)CN(C)CCCNC(=O)CS(C)(=O)=O ZINC001234965875 806319985 /nfs/dbraw/zinc/31/99/85/806319985.db2.gz FWJUIBRHEMNCOM-UHFFFAOYSA-N 0 1 262.375 0.045 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CN(C)C(=O)COC ZINC001234973728 806321781 /nfs/dbraw/zinc/32/17/81/806321781.db2.gz JXTOECGPPDJOAO-CYBMUJFWSA-N 0 1 297.399 0.200 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)NC(=O)NCC ZINC001235436681 806422063 /nfs/dbraw/zinc/42/20/63/806422063.db2.gz PTEZHZOJWCJNOE-UHFFFAOYSA-N 0 1 298.387 0.236 20 30 CCEDMN N#CCCCN1C[C@@H]2COC[C@H](C1)N2CCn1ccnc1 ZINC001277945107 806422076 /nfs/dbraw/zinc/42/20/76/806422076.db2.gz MQONTLGGEVLRDA-GASCZTMLSA-N 0 1 289.383 0.572 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235701093 806494632 /nfs/dbraw/zinc/49/46/32/806494632.db2.gz MZLGJXNBKBFYJH-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235701093 806494637 /nfs/dbraw/zinc/49/46/37/806494637.db2.gz MZLGJXNBKBFYJH-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)CC(=O)N(C)C1CC1 ZINC001235699455 806494885 /nfs/dbraw/zinc/49/48/85/806494885.db2.gz WJAMZIWATYLXHR-UHFFFAOYSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)CC(=O)N(C)C1CC1 ZINC001235699455 806494887 /nfs/dbraw/zinc/49/48/87/806494887.db2.gz WJAMZIWATYLXHR-UHFFFAOYSA-N 0 1 297.399 0.248 20 30 CCEDMN COCC#CCN1CCC(C)(NC(=O)[C@@H](C)COC)CC1 ZINC001278039218 806625446 /nfs/dbraw/zinc/62/54/46/806625446.db2.gz HNCMUTYLKDCEHD-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1cccc2ncccc12 ZINC001278112576 806681138 /nfs/dbraw/zinc/68/11/38/806681138.db2.gz MZLBQNFUHUQTGN-AWEZNQCLSA-N 0 1 297.358 0.477 20 30 CCEDMN CCOC(=O)C1(C#N)CCN(Cc2nnc[nH]2)CC1 ZINC001237600631 806694663 /nfs/dbraw/zinc/69/46/63/806694663.db2.gz BTKJZHSSFPSPOR-UHFFFAOYSA-N 0 1 263.301 0.474 20 30 CCEDMN C[C@@H]1CN(C(=O)NCc2nc(C#N)cs2)CCN1 ZINC001120579222 798875409 /nfs/dbraw/zinc/87/54/09/798875409.db2.gz OHIKYKPKLWWMJU-MRVPVSSYSA-N 0 1 265.342 0.518 20 30 CCEDMN C#CCNC(=O)CCN[C@H]1Cc2ccccc2N(C)C1=O ZINC001120596406 798882121 /nfs/dbraw/zinc/88/21/21/798882121.db2.gz UXGKYGWNLHDTJZ-ZDUSSCGKSA-N 0 1 285.347 0.303 20 30 CCEDMN COCCN(CC#N)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001120656864 798905935 /nfs/dbraw/zinc/90/59/35/798905935.db2.gz XTCLKEAWOBDRGQ-SNVBAGLBSA-N 0 1 262.313 0.828 20 30 CCEDMN CNC(=O)CCN(C)Cc1cn2cc(C#N)ccc2n1 ZINC001237614626 806702602 /nfs/dbraw/zinc/70/26/02/806702602.db2.gz QSGVZUVUZZLDGD-UHFFFAOYSA-N 0 1 271.324 0.774 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)Cc1c(C)nn(C)c1C ZINC001121888618 799083501 /nfs/dbraw/zinc/08/35/01/799083501.db2.gz OBLHSCQLNKPFEZ-SNVBAGLBSA-N 0 1 262.357 0.307 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)[C@H](O)c1ccc(F)cc1 ZINC001121951565 799106401 /nfs/dbraw/zinc/10/64/01/799106401.db2.gz DKJFSILUMOSVIW-HZMBPMFUSA-N 0 1 264.300 0.587 20 30 CCEDMN C#CCN(CC#C)c1nnc(-c2[nH]cnc2C)n1CCOC ZINC001122301467 799192493 /nfs/dbraw/zinc/19/24/93/799192493.db2.gz CCOBMCFHANAQIY-UHFFFAOYSA-N 0 1 298.350 0.696 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@@H](F)CC ZINC001122533707 799257713 /nfs/dbraw/zinc/25/77/13/799257713.db2.gz QTOWHQQXTVHZQZ-UWVGGRQHSA-N 0 1 258.293 0.986 20 30 CCEDMN C=C(Br)CNCCNC(=O)COCC ZINC001123014269 799336644 /nfs/dbraw/zinc/33/66/44/799336644.db2.gz LKDWSZGLAKMUQD-UHFFFAOYSA-N 0 1 265.151 0.637 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001123694417 799455350 /nfs/dbraw/zinc/45/53/50/799455350.db2.gz LBZBKGPBIDNHKG-MJBXVCDLSA-N 0 1 298.431 0.247 20 30 CCEDMN C[C@@H](NCCNC(=O)CSCC#N)c1cnccn1 ZINC001123792372 799476460 /nfs/dbraw/zinc/47/64/60/799476460.db2.gz UVDVUVAHNRSLNY-SNVBAGLBSA-N 0 1 279.369 0.500 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc2c(s1)CCCC2 ZINC001278194539 806756012 /nfs/dbraw/zinc/75/60/12/806756012.db2.gz JAJZESCCTOOKEC-GFCCVEGCSA-N 0 1 292.404 0.940 20 30 CCEDMN C=CCCC(=O)NCCNCC(=O)Nc1nnc(C)s1 ZINC001124636532 799621071 /nfs/dbraw/zinc/62/10/71/799621071.db2.gz SMXASERYXIYWCY-UHFFFAOYSA-N 0 1 297.384 0.457 20 30 CCEDMN C=CCCC(=O)NCCNCC(=O)Nc1ccon1 ZINC001124645949 799622061 /nfs/dbraw/zinc/62/20/61/799622061.db2.gz DBLDVPSKRXJRSK-UHFFFAOYSA-N 0 1 266.301 0.285 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1C[C@H]1C(=O)OC ZINC001124663596 799624323 /nfs/dbraw/zinc/62/43/23/799624323.db2.gz QSHMFZMLASYFKQ-DTWKUNHWSA-N 0 1 260.721 0.254 20 30 CCEDMN C=CCOCCCC(=O)NCCNCc1cnnn1C ZINC001125248360 799668774 /nfs/dbraw/zinc/66/87/74/799668774.db2.gz TZMMPFKASRXCLM-UHFFFAOYSA-N 0 1 281.360 0.004 20 30 CCEDMN Cc1[nH]c(=O)[nH]c(=O)c1CN1CCN(CCC#N)CC1 ZINC001138467290 799731243 /nfs/dbraw/zinc/73/12/43/799731243.db2.gz GXOBLSDJMXVYKV-UHFFFAOYSA-N 0 1 277.328 0.228 20 30 CCEDMN N#C[C@@H]1CN(Cc2ccc(OCCO)cc2)CCC1=O ZINC001138798610 799755691 /nfs/dbraw/zinc/75/56/91/799755691.db2.gz YXQSFNZWDRXUAS-CYBMUJFWSA-N 0 1 274.320 0.972 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)Cn2cccn2)C1 ZINC001149160327 799839538 /nfs/dbraw/zinc/83/95/38/799839538.db2.gz SHYGFTDFIDMKKK-ZDUSSCGKSA-N 0 1 278.356 0.276 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cn2cccn2)C1 ZINC001149160327 799839547 /nfs/dbraw/zinc/83/95/47/799839547.db2.gz SHYGFTDFIDMKKK-ZDUSSCGKSA-N 0 1 278.356 0.276 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@@H]2CCCO2)C1 ZINC001149248094 800238652 /nfs/dbraw/zinc/23/86/52/800238652.db2.gz ORBXZUINSFPQMV-KBPBESRZSA-N 0 1 282.384 0.949 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)Cn1cncn1 ZINC001150262279 800328498 /nfs/dbraw/zinc/32/84/98/800328498.db2.gz XICGEBSNACZIQL-UHFFFAOYSA-N 0 1 284.323 0.056 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@]2(C)CCOC2)C1 ZINC001150497601 800342037 /nfs/dbraw/zinc/34/20/37/800342037.db2.gz KDNSPGWSGUTSRQ-UKRRQHHQSA-N 0 1 282.384 0.806 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cccnc1C(N)=O ZINC001151959110 800475170 /nfs/dbraw/zinc/47/51/70/800475170.db2.gz ZKBCLQWYSBLCMC-UHFFFAOYSA-N 0 1 282.731 0.252 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1ccc(CN(C)C)nc1 ZINC001152525119 800535442 /nfs/dbraw/zinc/53/54/42/800535442.db2.gz HPQDBOXIERADFQ-UHFFFAOYSA-N 0 1 276.340 0.175 20 30 CCEDMN Cc1nn(CC(=O)Nc2cc(C#N)ccc2O)c(=O)o1 ZINC001152999605 800578921 /nfs/dbraw/zinc/57/89/21/800578921.db2.gz AOVCQCDDBHFXMC-UHFFFAOYSA-N 0 1 274.236 0.361 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1ocnc1C ZINC001153086442 800594958 /nfs/dbraw/zinc/59/49/58/800594958.db2.gz YAYYQNPWWBOHPN-UHFFFAOYSA-N 0 1 267.329 0.782 20 30 CCEDMN NC(=NC(=O)c1n[nH]c2cccnc21)c1ncc[nH]1 ZINC001153168191 800605463 /nfs/dbraw/zinc/60/54/63/800605463.db2.gz PUALEPPPJVQITC-UHFFFAOYSA-N 0 1 255.241 0.227 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H](C)N(CC)CCF ZINC001153306484 800618975 /nfs/dbraw/zinc/61/89/75/800618975.db2.gz KIEYSULBZHRRJP-NEPJUHHUSA-N 0 1 258.337 0.821 20 30 CCEDMN Cc1nc2cccc(C(=O)n3nc(N)c(C#N)c3N)c2[nH]1 ZINC001153603689 800645476 /nfs/dbraw/zinc/64/54/76/800645476.db2.gz CRGJYEUIAMZDLB-UHFFFAOYSA-N 0 1 281.279 0.792 20 30 CCEDMN Cc1cc(C(=O)Nc2nc[nH]c2C#N)n2nccc2n1 ZINC001153980702 800697655 /nfs/dbraw/zinc/69/76/55/800697655.db2.gz UEXWNZBFOVKSCY-UHFFFAOYSA-N 0 1 267.252 0.885 20 30 CCEDMN O=C(C#Cc1ccc2c(c1)OCO2)NC[C@@H](O)c1cnc[nH]1 ZINC001155082849 800905837 /nfs/dbraw/zinc/90/58/37/800905837.db2.gz DEGMKTOEAYCEGJ-GFCCVEGCSA-N 0 1 299.286 0.340 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2nccc(C#N)c2F)CC1 ZINC001155151508 800919059 /nfs/dbraw/zinc/91/90/59/800919059.db2.gz FPGFAHJEYPMZDB-LBPRGKRZSA-N 0 1 292.314 0.809 20 30 CCEDMN Cc1nc(C#N)cc(NCc2nnc3n2CCCNC3)n1 ZINC001155431921 800974172 /nfs/dbraw/zinc/97/41/72/800974172.db2.gz ZUFUJQQORLYRLX-UHFFFAOYSA-N 0 1 284.327 0.354 20 30 CCEDMN CC(C)n1cc(CNCCCNC(=O)[C@H](C)C#N)nn1 ZINC001155837402 801062442 /nfs/dbraw/zinc/06/24/42/801062442.db2.gz YZHPDBVPMKAYKG-LLVKDONJSA-N 0 1 278.360 0.615 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1nnc(C2CC2)[nH]1 ZINC001155841682 801063809 /nfs/dbraw/zinc/06/38/09/801063809.db2.gz IKAUBUIHTJTBTM-SECBINFHSA-N 0 1 276.344 0.438 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)NC[C@@H]1CC[N@@H+]1C ZINC001156238242 801136820 /nfs/dbraw/zinc/13/68/20/801136820.db2.gz RWYIWCNBXWARMU-JTQLQIEISA-N 0 1 260.297 0.401 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1nncs1 ZINC001157170613 801316715 /nfs/dbraw/zinc/31/67/15/801316715.db2.gz NKZNSOHQCOGNKH-UHFFFAOYSA-N 0 1 266.370 0.938 20 30 CCEDMN CC(C)C[C@H](NC(=O)[C@@H](N)CC(F)F)C(=O)NO ZINC001157303410 801349262 /nfs/dbraw/zinc/34/92/62/801349262.db2.gz HCUFUGZZIAVNTH-BQBZGAKWSA-N 0 1 267.276 0.005 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCC(=O)N1 ZINC001157680384 801454642 /nfs/dbraw/zinc/45/46/42/801454642.db2.gz RNHYWSQOOSGTJA-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1nccnc1N ZINC001157718502 801463215 /nfs/dbraw/zinc/46/32/15/801463215.db2.gz UEDZJYRIVWRLGB-GFCCVEGCSA-N 0 1 287.367 0.619 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1nnn(C)c1C ZINC001157729284 801464588 /nfs/dbraw/zinc/46/45/88/801464588.db2.gz RUIDUAXXYIABTJ-CYBMUJFWSA-N 0 1 289.383 0.683 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1coc(C)n1 ZINC001157845823 801499611 /nfs/dbraw/zinc/49/96/11/801499611.db2.gz DJXSECCHNKEFGP-UHFFFAOYSA-N 0 1 279.340 0.619 20 30 CCEDMN C#CCOCCC(=O)NCCCN[C@H](C)c1ncccn1 ZINC001157846516 801500531 /nfs/dbraw/zinc/50/05/31/801500531.db2.gz RZXQJEZWWCUXBT-CYBMUJFWSA-N 0 1 290.367 0.673 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cc1cncnc1 ZINC001157876646 801509164 /nfs/dbraw/zinc/50/91/64/801509164.db2.gz SWISASJCUFYQMM-OAHLLOKOSA-N 0 1 286.379 0.965 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CO[C@@H]1CCOC1 ZINC001157944874 801535876 /nfs/dbraw/zinc/53/58/76/801535876.db2.gz DZXSDGOGWCLEPM-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN N#Cc1cc(Cl)ncc1C(F)(F)n1nnnc1CN ZINC001158076496 801565402 /nfs/dbraw/zinc/56/54/02/801565402.db2.gz CVEIIAFPCCIINS-UHFFFAOYSA-N 0 1 285.645 0.649 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cn[nH]n1 ZINC001158171943 801583214 /nfs/dbraw/zinc/58/32/14/801583214.db2.gz PZHZSCAZLFEMID-GFCCVEGCSA-N 0 1 275.356 0.755 20 30 CCEDMN CN(C1CN(c2ncccc2C#N)C1)[C@H]1CCOC1 ZINC001158725829 801693576 /nfs/dbraw/zinc/69/35/76/801693576.db2.gz NSJDCCWQAMEKOJ-LBPRGKRZSA-N 0 1 258.325 0.863 20 30 CCEDMN N#Cc1c[nH]c2ncnc(NC[C@H]3COCCN3)c12 ZINC001159036977 801745109 /nfs/dbraw/zinc/74/51/09/801745109.db2.gz PYIDXZPTABMALK-VIFPVBQESA-N 0 1 258.285 0.230 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1Cc2cnn(C)c2[C@@H](COCC)C1 ZINC001159404202 801801125 /nfs/dbraw/zinc/80/11/25/801801125.db2.gz VUEQFMFDQMZVHJ-DOMZBBRYSA-N 0 1 290.367 0.233 20 30 CCEDMN N#CCNCCCNC(=O)c1cn[nH]c1-c1ccccn1 ZINC001159564616 801833411 /nfs/dbraw/zinc/83/34/11/801833411.db2.gz OZOHDLULTGFQBF-UHFFFAOYSA-N 0 1 284.323 0.705 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC2(CCOC[C@H]2COC)CC1 ZINC001160059004 801902187 /nfs/dbraw/zinc/90/21/87/801902187.db2.gz NYPWGTZUVLRBBE-HIFRSBDPSA-N 0 1 294.395 0.629 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H](C)NC(=O)CC)CC1 ZINC001160221964 801920135 /nfs/dbraw/zinc/92/01/35/801920135.db2.gz SQQYTIDKPILXCK-CYBMUJFWSA-N 0 1 291.395 0.673 20 30 CCEDMN Cc1nonc1CNCC1(CCNC(=O)[C@H](C)C#N)CC1 ZINC001163854981 802625560 /nfs/dbraw/zinc/62/55/60/802625560.db2.gz VTXTWBIVUWOOQQ-SNVBAGLBSA-N 0 1 291.355 0.914 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCNCc1nnnn1C ZINC001164214356 802689506 /nfs/dbraw/zinc/68/95/06/802689506.db2.gz WLVXTJLYGYHLSG-GHMZBOCLSA-N 0 1 280.376 0.264 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)NCCCNCC#N ZINC001165246539 802803827 /nfs/dbraw/zinc/80/38/27/802803827.db2.gz UEHJCLNXYNARMV-UHFFFAOYSA-N 0 1 264.329 0.574 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCCC1(CNCC#N)CC1 ZINC001165764707 802864056 /nfs/dbraw/zinc/86/40/56/802864056.db2.gz SVMSOAKQWRQDHN-ZDUSSCGKSA-N 0 1 278.400 0.870 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cnc2n1CCOC2 ZINC001038363392 811760997 /nfs/dbraw/zinc/76/09/97/811760997.db2.gz BDYGJNRCAZLWKK-GFCCVEGCSA-N 0 1 290.367 0.794 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](NC(N)=O)C(C)(C)C ZINC001268254712 812421380 /nfs/dbraw/zinc/42/13/80/812421380.db2.gz BMPBVLCVOFLPKE-LLVKDONJSA-N 0 1 282.388 0.093 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCCc1nc(CC)no1 ZINC001268257979 812426083 /nfs/dbraw/zinc/42/60/83/812426083.db2.gz YWGGKIMTZMFYPI-UHFFFAOYSA-N 0 1 292.383 0.978 20 30 CCEDMN Cc1nc(N2CC[C@@H](N)C(F)(F)C2)cnc1C#N ZINC001166959305 802995352 /nfs/dbraw/zinc/99/53/52/802995352.db2.gz VVLVOWFHQKFVMQ-SECBINFHSA-N 0 1 253.256 0.829 20 30 CCEDMN N#Cc1ccccc1OC1CN(Cc2c[nH]nn2)C1 ZINC001203213348 803020925 /nfs/dbraw/zinc/02/09/25/803020925.db2.gz OQGWEYRAJCVZQH-UHFFFAOYSA-N 0 1 255.281 0.940 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)[C@H](C)[C@@H](C)CC)CC1 ZINC001269513532 813398499 /nfs/dbraw/zinc/39/84/99/813398499.db2.gz VKRQFGZZOJVRHG-QWHCGFSZSA-N 0 1 293.411 0.656 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(CC)CCNC2=O)C1 ZINC001207617702 803425542 /nfs/dbraw/zinc/42/55/42/803425542.db2.gz WQCNCFOVZIKWFY-XJKCOSOUSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)COC)C1 ZINC001207988656 803475752 /nfs/dbraw/zinc/47/57/52/803475752.db2.gz UAWZAEOKTPYPAO-VXGBXAGGSA-N 0 1 252.358 0.729 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N1CC[C@H](N2CCCC2)C1 ZINC000088485816 803479383 /nfs/dbraw/zinc/47/93/83/803479383.db2.gz WSGVTPAIMISXQL-QWRGUYRKSA-N 0 1 257.359 0.398 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CC23CC3)C1 ZINC001208886538 803552555 /nfs/dbraw/zinc/55/25/55/803552555.db2.gz ANGRWIZHYSYBEC-MGPQQGTHSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCCOC)C1 ZINC001209106607 803574240 /nfs/dbraw/zinc/57/42/40/803574240.db2.gz KWECQOOKJWMEEZ-HZSPNIEDSA-N 0 1 282.384 0.498 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc[nH]c2CC)[C@@H](O)C1 ZINC001083438386 814948618 /nfs/dbraw/zinc/94/86/18/814948618.db2.gz IMKRBBSHEASTQY-KGLIPLIRSA-N 0 1 277.368 0.928 20 30 CCEDMN C[C@H]1NC=C2C(=O)OCCC2=C1CN1CC(CC#N)C1 ZINC001209326590 803596126 /nfs/dbraw/zinc/59/61/26/803596126.db2.gz RKOXDCVQBSOKLL-SNVBAGLBSA-N 0 1 273.336 0.951 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)NC(C)=O)C1 ZINC001209540395 803611160 /nfs/dbraw/zinc/61/11/60/803611160.db2.gz HPJFCVDWZMLXIE-ZYHUDNBSSA-N 0 1 267.373 0.524 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCCC(N)=O)C1 ZINC001211460986 803682964 /nfs/dbraw/zinc/68/29/64/803682964.db2.gz LJUJXZYBDOJKLI-VXGBXAGGSA-N 0 1 265.357 0.102 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCO)C[C@H]2OC)CCC1 ZINC001213397758 803693060 /nfs/dbraw/zinc/69/30/60/803693060.db2.gz XINBNWVVKYVBKP-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCCC(=O)N1CCO[C@@H]2CN(C[C@H](C)O)C[C@@H]21 ZINC001217503537 803893915 /nfs/dbraw/zinc/89/39/15/803893915.db2.gz IDPCWOVLRASGNR-RWMBFGLXSA-N 0 1 268.357 0.245 20 30 CCEDMN C#CCCCC(=O)N1CCO[C@@H]2CN(CCOCC)C[C@@H]21 ZINC001217762660 803911402 /nfs/dbraw/zinc/91/14/02/803911402.db2.gz DNKHBOXPPCFKJS-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN Cc1[nH]c(NC(=O)[C@H](N)CCCNC(N)=O)c(C#N)c1C ZINC001218555392 804003478 /nfs/dbraw/zinc/00/34/78/804003478.db2.gz GNJAWGPRUJQRJL-SNVBAGLBSA-N 0 1 292.343 0.218 20 30 CCEDMN Cn1cc(NC(=O)[C@@H](N)Cc2ccccc2C#N)nn1 ZINC001218629328 804046287 /nfs/dbraw/zinc/04/62/87/804046287.db2.gz UOHZYEKIIRNYHR-NSHDSACASA-N 0 1 270.296 0.195 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)[C@H](C)C#N)C2)c1C ZINC000937760271 814991724 /nfs/dbraw/zinc/99/17/24/814991724.db2.gz BRSMESMBGXPGCQ-KCJUWKMLSA-N 0 1 289.339 0.517 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CCO[C@@H]2CN(CCC)C[C@@H]21 ZINC001219065567 804190296 /nfs/dbraw/zinc/19/02/96/804190296.db2.gz ISEREGZYMOOSBM-MELADBBJSA-N 0 1 282.384 0.899 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)CCCC(C)=O)[C@@H](O)C1 ZINC001219182361 804230254 /nfs/dbraw/zinc/23/02/54/804230254.db2.gz JORJUHFISWMSEA-KGLIPLIRSA-N 0 1 282.384 0.873 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCOCC(C)C)[C@@H](O)C1 ZINC001219251444 804248998 /nfs/dbraw/zinc/24/89/98/804248998.db2.gz JKKXJUMEKCVABF-KGLIPLIRSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](C)CCC)[C@@H](O)C1 ZINC001219342944 804277175 /nfs/dbraw/zinc/27/71/75/804277175.db2.gz LOMVLEJGDQNUEN-MJBXVCDLSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001212092810 815006468 /nfs/dbraw/zinc/00/64/68/815006468.db2.gz SFYOBEDQURRXPQ-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCOCC=C)[C@H](OC)C1 ZINC001212092828 815007161 /nfs/dbraw/zinc/00/71/61/815007161.db2.gz SPALFBQACCIUCX-HUUCEWRRSA-N 0 1 294.395 0.808 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CC(=C)C)C[C@@H]1O ZINC001219482222 804327197 /nfs/dbraw/zinc/32/71/97/804327197.db2.gz QGVZOVWGWOIQRK-KGLIPLIRSA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCCOCCCCC(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001219623586 804378956 /nfs/dbraw/zinc/37/89/56/804378956.db2.gz ZSRBGWYZFSPOJW-KGLIPLIRSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCC[N@H+](C)CCN(C)C(=O)[C@H](C)[C@H]1CCC(=O)N1 ZINC001272110995 815014693 /nfs/dbraw/zinc/01/46/93/815014693.db2.gz JWZZNXAYZPMXBS-CHWSQXEVSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](OCC)C(=C)C)[C@@H](O)C1 ZINC001219732007 804414433 /nfs/dbraw/zinc/41/44/33/804414433.db2.gz MPFCWFOKSDTMNE-QLFBSQMISA-N 0 1 294.395 0.542 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCCOc1ccccc1F ZINC001219936426 804452130 /nfs/dbraw/zinc/45/21/30/804452130.db2.gz DTNRJSQDOLOLER-ZDUSSCGKSA-N 0 1 250.273 0.671 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NC(=N)c1ncc[nH]1 ZINC001220181246 804528565 /nfs/dbraw/zinc/52/85/65/804528565.db2.gz GIVUNFJFRXVZTI-NSHDSACASA-N 0 1 282.307 0.293 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCC#CC)[C@@H](O)C1 ZINC001220184619 804530860 /nfs/dbraw/zinc/53/08/60/804530860.db2.gz MVKFDBHQCCBFOK-KGLIPLIRSA-N 0 1 262.353 0.365 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(CCCO)C[C@@H]1O ZINC001220280924 804559420 /nfs/dbraw/zinc/55/94/20/804559420.db2.gz YVEPMDLMEWMJKM-DYEKYZERSA-N 0 1 270.373 0.132 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCOC)C[C@@H]2O)CCC1 ZINC001220280933 804560414 /nfs/dbraw/zinc/56/04/14/804560414.db2.gz ZCMDXPFCKVVMSZ-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001220300410 804566340 /nfs/dbraw/zinc/56/63/40/804566340.db2.gz JNFMIGZSXPJVLZ-NEPJUHHUSA-N 0 1 252.358 0.914 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC(C)(F)F)[C@@H](O)C1 ZINC001220305630 804567799 /nfs/dbraw/zinc/56/77/99/804567799.db2.gz IYHGOFRKZIGIHN-ZJUUUORDSA-N 0 1 262.300 0.769 20 30 CCEDMN COCC#CCN1CC[C@@](C)(NC(=O)Cn2cccn2)C1 ZINC001278355198 807021550 /nfs/dbraw/zinc/02/15/50/807021550.db2.gz AJFWTSVSMOVFMB-OAHLLOKOSA-N 0 1 290.367 0.114 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cccc(C(=O)OCC)n1 ZINC001220403357 804595525 /nfs/dbraw/zinc/59/55/25/804595525.db2.gz OXUZGXAYJVIWOC-AWEZNQCLSA-N 0 1 275.308 0.225 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H](C)n2ccnc2)[C@@H](O)C1 ZINC001220441409 804603170 /nfs/dbraw/zinc/60/31/70/804603170.db2.gz ASGUHOLFWHQJMM-MJBXVCDLSA-N 0 1 292.383 0.572 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cn(Cc2ccncc2)cn1 ZINC001221025950 804722025 /nfs/dbraw/zinc/72/20/25/804722025.db2.gz NNLHNCYVBWJBNX-HNNXBMFYSA-N 0 1 283.335 0.293 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@@H]1c2ccccc2NC1=O ZINC001221309215 804772722 /nfs/dbraw/zinc/77/27/22/804772722.db2.gz LECNVRKRUDCQNF-YGRLFVJLSA-N 0 1 257.293 0.189 20 30 CCEDMN CC[C@H](CO)NC(=O)[C@@H](N)Cc1cccc(C#N)c1 ZINC001221377378 804794240 /nfs/dbraw/zinc/79/42/40/804794240.db2.gz UTQVEZVSLPBXMT-OLZOCXBDSA-N 0 1 261.325 0.315 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC1(COC)Cc2ccccc2C1 ZINC001221395469 804801735 /nfs/dbraw/zinc/80/17/35/804801735.db2.gz DBQGIMXNUDICTQ-HNNXBMFYSA-N 0 1 272.348 0.637 20 30 CCEDMN C=CCOCC(=O)N1C[C@H]2CCN(CCOCC)C[C@H]21 ZINC001221435917 804817312 /nfs/dbraw/zinc/81/73/12/804817312.db2.gz DGNNGPYVTUGSIP-ZIAGYGMSSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(CNC(=O)CC)CC1 ZINC001222208153 804882982 /nfs/dbraw/zinc/88/29/82/804882982.db2.gz SIHZXWMRFMCPRC-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)C2CC2)CC1 ZINC001222207523 804883198 /nfs/dbraw/zinc/88/31/98/804883198.db2.gz LWOZXYJEFAUWTB-UHFFFAOYSA-N 0 1 279.384 0.527 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)C(F)F)CC1 ZINC001222216819 804883232 /nfs/dbraw/zinc/88/32/32/804883232.db2.gz JUXNAUCVAYHYMC-UHFFFAOYSA-N 0 1 289.326 0.382 20 30 CCEDMN CCOCC(=O)N1CC[C@H]2CCN(CC#CCOC)[C@H]2C1 ZINC001222224879 804884795 /nfs/dbraw/zinc/88/47/95/804884795.db2.gz HZEVFSRXMPOZOZ-CABCVRRESA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)[C@@H](C)CC)CC1 ZINC001222404646 804898150 /nfs/dbraw/zinc/89/81/50/804898150.db2.gz KJSSPNRQGIBPAY-ZDUSSCGKSA-N 0 1 293.411 0.610 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCc1cn[nH]c1C ZINC001222416915 804899551 /nfs/dbraw/zinc/89/95/51/804899551.db2.gz OCVMMPWJGSKZQF-MNOVXSKESA-N 0 1 250.302 0.861 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)Cc1ccn(C)n1 ZINC001276854514 804942673 /nfs/dbraw/zinc/94/26/73/804942673.db2.gz YLLWUMQZEORPTN-CQSZACIVSA-N 0 1 292.383 0.356 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)Cc1nnc(C)[nH]1 ZINC001276854387 804943107 /nfs/dbraw/zinc/94/31/07/804943107.db2.gz VQHRFQHVFRBWTD-GFCCVEGCSA-N 0 1 293.371 0.049 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H]1CCN1CCCF ZINC001276854063 804943479 /nfs/dbraw/zinc/94/34/79/804943479.db2.gz RDSADCNBEWXWQT-RYUDHWBXSA-N 0 1 256.321 0.575 20 30 CCEDMN CC(C)(C)OC(=O)N1C[C@H](OCc2nn[nH]n2)C[C@H]1C#N ZINC001223028049 804947907 /nfs/dbraw/zinc/94/79/07/804947907.db2.gz VVPSJKWPOMNYCS-DTWKUNHWSA-N 0 1 294.315 0.618 20 30 CCEDMN C=CCC1(C(=O)NC[C@@H]2CCN2C[C@@H](O)COC)CCC1 ZINC001276923555 804994274 /nfs/dbraw/zinc/99/42/74/804994274.db2.gz KGCBWOSVOCOHQW-UONOGXRCSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H](CC(=C)C)NC(C)=O ZINC001276942667 805006025 /nfs/dbraw/zinc/00/60/25/805006025.db2.gz ZKLKBZKICLKNRE-GJZGRUSLSA-N 0 1 291.395 0.671 20 30 CCEDMN C#CCCCN1CC[C@H]2C[C@]21C(=O)NCc1noc(C)n1 ZINC001276977914 805045912 /nfs/dbraw/zinc/04/59/12/805045912.db2.gz HTHIYURLMXIJPD-SWLSCSKDSA-N 0 1 288.351 0.872 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)C2CCC2)CC1 ZINC001276992103 805070621 /nfs/dbraw/zinc/07/06/21/805070621.db2.gz QWJTUSKNYHTZOE-LLVKDONJSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CC[NH2+]C1(CNC(=O)c2[n-]ncc2C(F)(F)F)CC1 ZINC001277071587 805160374 /nfs/dbraw/zinc/16/03/74/805160374.db2.gz ITDBGOWZIBMPBG-UHFFFAOYSA-N 0 1 286.257 0.914 20 30 CCEDMN C=C[C@H](Oc1nc(NC(C)=O)nc2nc[nH]c21)C(=O)OC ZINC001225825332 805165945 /nfs/dbraw/zinc/16/59/45/805165945.db2.gz AMFCRJODWPEFBV-ZETCQYMHSA-N 0 1 291.267 0.418 20 30 CCEDMN C=C[C@@H]1O[C@H](Oc2nccc(=O)[nH]2)[C@H]2OC(C)(C)O[C@@H]12 ZINC001226326209 805218574 /nfs/dbraw/zinc/21/85/74/805218574.db2.gz IIKFIVNWSIWKNM-DMLMCSCLSA-N 0 1 280.280 0.992 20 30 CCEDMN C=C[C@@H]1O[C@@H](Oc2nccc(=O)[nH]2)[C@H]2OC(C)(C)O[C@@H]12 ZINC001226326208 805218735 /nfs/dbraw/zinc/21/87/35/805218735.db2.gz IIKFIVNWSIWKNM-ASXGKARISA-N 0 1 280.280 0.992 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCN(C)c1ccncc1C#N ZINC001105515000 815059933 /nfs/dbraw/zinc/05/99/33/815059933.db2.gz NMMIHOQTOOQKIX-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(CC)C[C@H]1C)[C@H]1CCCO1 ZINC001226733838 805274583 /nfs/dbraw/zinc/27/45/83/805274583.db2.gz CENFVAHHHAHOMM-HZSPNIEDSA-N 0 1 279.384 0.903 20 30 CCEDMN CN1CCO[C@H](COc2nc3ccc(C#N)cc3[nH]2)C1=O ZINC001227217382 805333183 /nfs/dbraw/zinc/33/31/83/805333183.db2.gz CAFLMOGHQMSOEQ-GFCCVEGCSA-N 0 1 286.291 0.671 20 30 CCEDMN CSC1(CNC(=O)NCC#CCN(C)C)CC1 ZINC001227395802 805345210 /nfs/dbraw/zinc/34/52/10/805345210.db2.gz DEYFEDFXBOXZOF-UHFFFAOYSA-N 0 1 255.387 0.746 20 30 CCEDMN COC(=O)c1cc(=O)c(OC2CN(CCC#N)C2)c[nH]1 ZINC001227786626 805389359 /nfs/dbraw/zinc/38/93/59/805389359.db2.gz UKEDVPRKPLCHJV-UHFFFAOYSA-N 0 1 277.280 0.138 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1cccc([N+](=O)[O-])c1 ZINC001228678625 805469547 /nfs/dbraw/zinc/46/95/47/805469547.db2.gz KOIJOOICJUMHHH-UHFFFAOYSA-N 0 1 290.323 0.959 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1nc(C)[nH]c(=O)c1[N+](=O)[O-] ZINC001229380176 805527661 /nfs/dbraw/zinc/52/76/61/805527661.db2.gz ZIQOOEJWUNNXSL-MRVPVSSYSA-N 0 1 295.251 0.733 20 30 CCEDMN CC#CCN1CCC(NC(=O)C(=O)NCC2CC2)CC1 ZINC001229800043 805558039 /nfs/dbraw/zinc/55/80/39/805558039.db2.gz WSJXUCWPTRMRMR-UHFFFAOYSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCCc1cc(=O)n(CCCN2CCOCC2)[nH]1 ZINC001245952014 807102606 /nfs/dbraw/zinc/10/26/06/807102606.db2.gz NLZZZWOTWHTXHL-UHFFFAOYSA-N 0 1 263.341 0.877 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](NC(=N)c2ccc(Cl)cn2)CN1 ZINC001246216435 807190291 /nfs/dbraw/zinc/19/02/91/807190291.db2.gz ZIBAENFQOBWNJD-WPRPVWTQSA-N 0 1 282.731 0.344 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H](COC)OC)CCC[C@@H]12 ZINC001278460079 807346764 /nfs/dbraw/zinc/34/67/64/807346764.db2.gz PTORPJPJDQXPMQ-UMVBOHGHSA-N 0 1 280.368 0.394 20 30 CCEDMN C=CCCNC(=S)N1CCN(CC(C)(C)O)CC1 ZINC001247643252 807475179 /nfs/dbraw/zinc/47/51/79/807475179.db2.gz BATJDSNAEZLUME-UHFFFAOYSA-N 0 1 271.430 0.826 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@H](NC(=O)c2ncn[n-]2)[C@@H]1C ZINC001278521766 807563362 /nfs/dbraw/zinc/56/33/62/807563362.db2.gz QTJJSOLGXRKDNG-RYUDHWBXSA-N 0 1 291.355 0.037 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccc(=O)[nH]c2)[C@H]1C ZINC001088752254 815129860 /nfs/dbraw/zinc/12/98/60/815129860.db2.gz DXHWLMPYOIKDOG-OCCSQVGLSA-N 0 1 287.363 0.932 20 30 CCEDMN CN(C)CC#CCNC(=O)N1C[C@H]2[C@@H](C1)C2(F)F ZINC001251708160 807696438 /nfs/dbraw/zinc/69/64/38/807696438.db2.gz LYORGMYTNXYQSQ-AOOOYVTPSA-N 0 1 257.284 0.458 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)[C@@H]1CCCC[C@H]1O ZINC001251708084 807697130 /nfs/dbraw/zinc/69/71/30/807697130.db2.gz KDCGBTVELCDVRM-CHWSQXEVSA-N 0 1 267.373 0.496 20 30 CCEDMN COCC1(C2CC2)CN(C(=O)NCC#CCN(C)C)C1 ZINC001251708539 807697136 /nfs/dbraw/zinc/69/71/36/807697136.db2.gz SJEUSWBJMBLXMT-UHFFFAOYSA-N 0 1 279.384 0.619 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(c2ccc(C)cn2)CC1 ZINC001251847448 807718194 /nfs/dbraw/zinc/71/81/94/807718194.db2.gz GIQBLWUHMPYXCM-HNNXBMFYSA-N 0 1 289.379 0.523 20 30 CCEDMN C#CCOC[C@H](O)CN1CCCC[C@@H]1C(=O)NCCC ZINC001251848101 807718795 /nfs/dbraw/zinc/71/87/95/807718795.db2.gz TYGAMOOXSDFIRZ-ZIAGYGMSSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCOC[C@H](O)CN1CCOc2ccccc2C1 ZINC001251848241 807718969 /nfs/dbraw/zinc/71/89/69/807718969.db2.gz WYLYTSJMQOCWOT-CQSZACIVSA-N 0 1 261.321 0.892 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(OC(C)C)ccn1 ZINC001251897313 807741219 /nfs/dbraw/zinc/74/12/19/807741219.db2.gz XCXWAANNABSOEH-CQSZACIVSA-N 0 1 278.352 0.969 20 30 CCEDMN N#C[C@@H]1CN(C[C@H](O)COCc2ccccc2)CCC1=O ZINC001252283276 807801549 /nfs/dbraw/zinc/80/15/49/807801549.db2.gz DAVLWSUJPZZKNO-CABCVRRESA-N 0 1 288.347 0.979 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ccccc1S(C)(=O)=O ZINC001252490136 807891462 /nfs/dbraw/zinc/89/14/62/807891462.db2.gz DQSUUIHATFRMPZ-ZDUSSCGKSA-N 0 1 299.392 0.743 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ccn(CCF)n1 ZINC001252495128 807893237 /nfs/dbraw/zinc/89/32/37/807893237.db2.gz KFJXQSPBQHKCHI-GFCCVEGCSA-N 0 1 257.309 0.506 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ccnc(OCC)n1 ZINC001252495684 807893686 /nfs/dbraw/zinc/89/36/86/807893686.db2.gz PUJZEFUAWYKRHB-LBPRGKRZSA-N 0 1 267.329 0.528 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@@H](CO)c1cccnc1 ZINC001252497748 807896007 /nfs/dbraw/zinc/89/60/07/807896007.db2.gz YAPSEMJIHKSWHY-STQMWFEESA-N 0 1 252.314 0.268 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cc(OC(C)C)ncn1 ZINC001252497684 807896598 /nfs/dbraw/zinc/89/65/98/807896598.db2.gz WTFKXZDIVUZBJH-ZDUSSCGKSA-N 0 1 281.356 0.917 20 30 CCEDMN C=C[C@](C)(O)CN[C@@H](Cc1cnc[nH]1)C(=O)OCC ZINC001252585613 807915431 /nfs/dbraw/zinc/91/54/31/807915431.db2.gz RHBHDXMUEDKYON-AAEUAGOBSA-N 0 1 267.329 0.411 20 30 CCEDMN C=CCC[C@H](O)CNC1(C(=O)OCC)CCN(C)CC1 ZINC001252618649 807920388 /nfs/dbraw/zinc/92/03/88/807920388.db2.gz ZRPAWWSJYPSXBZ-ZDUSSCGKSA-N 0 1 284.400 0.931 20 30 CCEDMN N#C[C@@H]1CN(C[C@@H](O)COCc2ccco2)CCC1=O ZINC001252641433 807926629 /nfs/dbraw/zinc/92/66/29/807926629.db2.gz ORVYQSXIPRTVAD-VXGBXAGGSA-N 0 1 278.308 0.572 20 30 CCEDMN C=CCCCCCC[C@@H](O)CN[C@@H](CC(N)=O)C(N)=O ZINC001253254648 808040218 /nfs/dbraw/zinc/04/02/18/808040218.db2.gz RFTLBLPZVUZHSN-NEPJUHHUSA-N 0 1 285.388 0.193 20 30 CCEDMN C=C[C@@H](O)CN1CC(N2CCN(c3ccccn3)CC2)C1 ZINC001253584714 808090426 /nfs/dbraw/zinc/09/04/26/808090426.db2.gz QQXRSLAKZZUWNS-OAHLLOKOSA-N 0 1 288.395 0.435 20 30 CCEDMN C=C[C@H](O)CN[C@@H](Cc1cnc[nH]1)C(=O)OCC ZINC001253611772 808097665 /nfs/dbraw/zinc/09/76/65/808097665.db2.gz TUXWBLSROMROKQ-QWRGUYRKSA-N 0 1 253.302 0.020 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)/C=C\c2ccc[nH]2)C1 ZINC001278770833 808199696 /nfs/dbraw/zinc/19/96/96/808199696.db2.gz FXZKRLZODWKSHU-YVACAVLKSA-N 0 1 273.336 0.214 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001278771777 808200435 /nfs/dbraw/zinc/20/04/35/808200435.db2.gz STHJVWVHHGGEPC-OAHLLOKOSA-N 0 1 286.335 0.076 20 30 CCEDMN CC[C@@H](F)CN1CC[C@@](O)(CNC(=O)C#CC(C)C)C1 ZINC001278776335 808203950 /nfs/dbraw/zinc/20/39/50/808203950.db2.gz INPRNJSEVVBTBB-UKRRQHHQSA-N 0 1 284.375 0.947 20 30 CCEDMN N#Cc1ccc(OCCN[C@@H]2CCS(=O)(=O)C2)cc1 ZINC001254320984 808206231 /nfs/dbraw/zinc/20/62/31/808206231.db2.gz FYTLDCYTMBSERA-GFCCVEGCSA-N 0 1 280.349 0.714 20 30 CCEDMN CC(C)(C)OC(=O)C[C@H](N[C@H]1CC[C@@H](C#N)C1)C(N)=O ZINC001254658092 808269919 /nfs/dbraw/zinc/26/99/19/808269919.db2.gz UBBDFHUGSAEBHA-VWYCJHECSA-N 0 1 281.356 0.854 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H](OCC)C1CC1 ZINC001278855544 808338123 /nfs/dbraw/zinc/33/81/23/808338123.db2.gz RQBJZRSYNUKZJV-KGLIPLIRSA-N 0 1 282.384 0.186 20 30 CCEDMN C=CCn1cccc1C(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001083525834 815212435 /nfs/dbraw/zinc/21/24/35/815212435.db2.gz OBXIEHBOMTWJLG-OCCSQVGLSA-N 0 1 295.358 0.809 20 30 CCEDMN CCC[C@@]1(CO)CCN(C(=O)NCC#CCN(C)C)C1 ZINC001256584880 808537042 /nfs/dbraw/zinc/53/70/42/808537042.db2.gz KHJSYNDEXYBTFC-OAHLLOKOSA-N 0 1 281.400 0.746 20 30 CCEDMN N#C[C@H]1CNCCN1C1CCN(Cc2ccncc2)CC1 ZINC001256589027 808539394 /nfs/dbraw/zinc/53/93/94/808539394.db2.gz PCXYUIPMTLDVID-INIZCTEOSA-N 0 1 285.395 0.843 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3cncnc3)[C@@H]2C1 ZINC001076041316 815235680 /nfs/dbraw/zinc/23/56/80/815235680.db2.gz JNFFLAAHOFFZGN-LSDHHAIUSA-N 0 1 284.363 0.575 20 30 CCEDMN C=CCS(=O)(=O)Nc1cn(C)c(C(=O)OCC)n1 ZINC001259923710 808805716 /nfs/dbraw/zinc/80/57/16/808805716.db2.gz XQNIIXKVHADBDB-UHFFFAOYSA-N 0 1 273.314 0.525 20 30 CCEDMN COCCS(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001259966987 808830386 /nfs/dbraw/zinc/83/03/86/808830386.db2.gz FZNBUOZTDNMELZ-VIFPVBQESA-N 0 1 277.367 0.237 20 30 CCEDMN C#CC[C@@H](NC(=O)C(=O)N1CCN[C@@H](C)C1)c1ccccc1 ZINC001261377892 808963000 /nfs/dbraw/zinc/96/30/00/808963000.db2.gz ITJHFBXQMLOQAP-DZGCQCFKSA-N 0 1 299.374 0.688 20 30 CCEDMN C=CCOC[C@@H](NC(=O)C[C@@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC001262177316 809223460 /nfs/dbraw/zinc/22/34/60/809223460.db2.gz QRCGDVCVWQJZLG-WDEREUQCSA-N 0 1 270.329 0.100 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCc3nc[nH]c3C2)cn1 ZINC001262218330 809249586 /nfs/dbraw/zinc/24/95/86/809249586.db2.gz BAHVCQGZMJEGQA-UHFFFAOYSA-N 0 1 253.265 0.875 20 30 CCEDMN C[N@H+]1CC[C@@H]1CNC(=O)Nc1ccn(CCC#N)n1 ZINC001262264483 809261434 /nfs/dbraw/zinc/26/14/34/809261434.db2.gz SRULPRWJVGDNMA-SNVBAGLBSA-N 0 1 262.317 0.622 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)Nc1ccn(CCC#N)n1 ZINC001262264483 809261439 /nfs/dbraw/zinc/26/14/39/809261439.db2.gz SRULPRWJVGDNMA-SNVBAGLBSA-N 0 1 262.317 0.622 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N1CCNC[C@@H]1CCOC ZINC001262542969 809332876 /nfs/dbraw/zinc/33/28/76/809332876.db2.gz NITCKWHLJCSBFY-OLZOCXBDSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C)C[C@H]1CCCO1 ZINC001262962911 809424412 /nfs/dbraw/zinc/42/44/12/809424412.db2.gz ZKDGRERGAQUUAQ-SNVBAGLBSA-N 0 1 289.343 0.864 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3ncccn3)[C@@H]2C1 ZINC001076379568 815288768 /nfs/dbraw/zinc/28/87/68/815288768.db2.gz NBUAMBRMVLBKGA-UONOGXRCSA-N 0 1 284.363 0.575 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CCCCC(N)=O ZINC001263294258 809504116 /nfs/dbraw/zinc/50/41/16/809504116.db2.gz QFYSIPKMAZHNAZ-SECBINFHSA-N 0 1 253.302 0.266 20 30 CCEDMN C#CCN(C)c1nnc(C2=NO[C@H](CO)C2)n1CC(C)C ZINC001263400789 809518002 /nfs/dbraw/zinc/51/80/02/809518002.db2.gz QTDMJOJTXWRVTD-NSHDSACASA-N 0 1 291.355 0.489 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H]2CN(C(C)=O)C[C@@H]2C1 ZINC001264636472 809668061 /nfs/dbraw/zinc/66/80/61/809668061.db2.gz UNFFUILCMUBBAJ-YUTCNCBUSA-N 0 1 279.384 0.477 20 30 CCEDMN CN(CC#N)CCCNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001264986840 809688475 /nfs/dbraw/zinc/68/84/75/809688475.db2.gz YMAKTGXBMLZJDZ-LLVKDONJSA-N 0 1 275.356 0.791 20 30 CCEDMN C=CCN(C)CCCNC(=O)[C@H]1CCCS1(=O)=O ZINC001265071470 809703953 /nfs/dbraw/zinc/70/39/53/809703953.db2.gz MDPXLGKAFYHWOX-LLVKDONJSA-N 0 1 274.386 0.188 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)CNC(=O)C2CC2)CC1 ZINC001265187588 809721086 /nfs/dbraw/zinc/72/10/86/809721086.db2.gz CNFISVBXXGMVGK-UHFFFAOYSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CN(C)C(=O)C2CC2)C1 ZINC001265216039 809726666 /nfs/dbraw/zinc/72/66/66/809726666.db2.gz HPATVTQUMSGDJC-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001265275967 809740444 /nfs/dbraw/zinc/74/04/44/809740444.db2.gz WXACNPFHUIJBBA-NSHDSACASA-N 0 1 263.345 0.770 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H](C)c2cnn(C)c2)C1 ZINC001265294889 809748282 /nfs/dbraw/zinc/74/82/82/809748282.db2.gz LTKVMTNFOFKNOH-JSGCOSHPSA-N 0 1 274.368 0.737 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)CN1CCCC1 ZINC001076595384 815317987 /nfs/dbraw/zinc/31/79/87/815317987.db2.gz NNDHHMFBCCDTNQ-AWEZNQCLSA-N 0 1 293.411 0.753 20 30 CCEDMN N#CCNC[C@H]1CCCN(C(=O)CCc2cnc[nH]2)C1 ZINC001265611755 809814342 /nfs/dbraw/zinc/81/43/42/809814342.db2.gz WDHVULIWPPAKTK-GFCCVEGCSA-N 0 1 275.356 0.694 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ncn(C)n1 ZINC001265771303 809848156 /nfs/dbraw/zinc/84/81/56/809848156.db2.gz SZBGDFRDFNIYFC-QMMMGPOBSA-N 0 1 257.725 0.276 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H](C)NCc1cnoc1C ZINC001265774267 809848717 /nfs/dbraw/zinc/84/87/17/809848717.db2.gz CEDZIHIYRSERGM-LLVKDONJSA-N 0 1 279.340 0.617 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CC34CCOCC4)[C@@H]2C1 ZINC001076646553 815325522 /nfs/dbraw/zinc/32/55/22/815325522.db2.gz LQMNVEUKWYEZQX-SOUVJXGZSA-N 0 1 288.391 0.969 20 30 CCEDMN C=C(Cl)CN(CC)CCCNC(=O)Cc1nc[nH]n1 ZINC001266100842 809935031 /nfs/dbraw/zinc/93/50/31/809935031.db2.gz KMNMCDIBYUPDGO-UHFFFAOYSA-N 0 1 285.779 0.928 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)NCc1nnc(C)[nH]1 ZINC001266141111 809947754 /nfs/dbraw/zinc/94/77/54/809947754.db2.gz XQAWLKLGIJXVKP-NSHDSACASA-N 0 1 277.372 0.901 20 30 CCEDMN COCC#CCN1CCC[C@@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001266233877 809968554 /nfs/dbraw/zinc/96/85/54/809968554.db2.gz SULJVSRRDXPPMP-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN Cc1[nH]ccc1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001279425061 809978817 /nfs/dbraw/zinc/97/88/17/809978817.db2.gz VEQLAUUBEBBQMG-UHFFFAOYSA-N 0 1 289.383 0.631 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)c2[nH]ccc2C)C1 ZINC001279478636 809982239 /nfs/dbraw/zinc/98/22/39/809982239.db2.gz BJJSRKYOKCJNQK-INIZCTEOSA-N 0 1 289.379 0.903 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)[C@@H](CCC)OC)C1 ZINC001279500408 809983955 /nfs/dbraw/zinc/98/39/55/809983955.db2.gz SGGKYUOSNAYRDT-ZBFHGGJFSA-N 0 1 296.411 0.768 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)Cc2ccc[nH]2)C1 ZINC001279529149 809985785 /nfs/dbraw/zinc/98/57/85/809985785.db2.gz KHLKEZSRTTVHCU-OAHLLOKOSA-N 0 1 277.368 0.686 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccsc2)C1 ZINC001076720668 815353762 /nfs/dbraw/zinc/35/37/62/815353762.db2.gz IDOXJRUDMUIAOD-VXGBXAGGSA-N 0 1 264.350 0.546 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc(C)n2)C1 ZINC001076754230 815364795 /nfs/dbraw/zinc/36/47/95/815364795.db2.gz HVZDHGNLPVETOY-ZIAGYGMSSA-N 0 1 273.336 0.188 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2CC=CC2)C1 ZINC001076823938 815385946 /nfs/dbraw/zinc/38/59/46/815385946.db2.gz RDBDNCCIYNFZGB-CHWSQXEVSA-N 0 1 250.342 0.690 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC001076842735 815394336 /nfs/dbraw/zinc/39/43/36/815394336.db2.gz YPTLROZOHIJHBZ-VXGBXAGGSA-N 0 1 277.324 0.138 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001076844084 815394429 /nfs/dbraw/zinc/39/44/29/815394429.db2.gz RRFAQDZKIJOLHZ-KHMAMNHCSA-N 0 1 276.380 0.607 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)COCCOC ZINC001267263140 811062950 /nfs/dbraw/zinc/06/29/50/811062950.db2.gz HBBGXQJXRIRUAC-ZDUSSCGKSA-N 0 1 268.357 0.253 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)CCCC(=O)NCC ZINC001267280467 811086689 /nfs/dbraw/zinc/08/66/89/811086689.db2.gz DSINVZXCKIGXIP-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)Cn2cccn2)C1 ZINC001267285263 811096804 /nfs/dbraw/zinc/09/68/04/811096804.db2.gz FNIMSOVICCGBMT-ZDUSSCGKSA-N 0 1 260.341 0.345 20 30 CCEDMN C[C@@H](CNc1cncc(C#N)n1)N(C)C(=O)c1ccn[nH]1 ZINC001104478846 811115539 /nfs/dbraw/zinc/11/55/39/811115539.db2.gz BEMRASSOGSZGHO-VIFPVBQESA-N 0 1 285.311 0.644 20 30 CCEDMN C#CCN(CCNC(=O)Cc1nnc(C)o1)C1CC1 ZINC001267326741 811161276 /nfs/dbraw/zinc/16/12/76/811161276.db2.gz QYHHTDDMOYYIJJ-UHFFFAOYSA-N 0 1 262.313 0.134 20 30 CCEDMN C#CCN(CCNC(=O)C1(NC(C)=O)CCCC1)C1CC1 ZINC001267334972 811170789 /nfs/dbraw/zinc/17/07/89/811170789.db2.gz JKHJOIDGJBVCSH-UHFFFAOYSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)CCn1ccnn1 ZINC001267366163 811222644 /nfs/dbraw/zinc/22/26/44/811222644.db2.gz BBZIFNYNJUIASL-UHFFFAOYSA-N 0 1 271.752 0.469 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](CNCc2cnn(C)n2)C1 ZINC001023894500 811278640 /nfs/dbraw/zinc/27/86/40/811278640.db2.gz COUBAADFXBQGKN-NWDGAFQWSA-N 0 1 290.371 0.303 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](CNCc2ncccn2)C1 ZINC001023894446 811279752 /nfs/dbraw/zinc/27/97/52/811279752.db2.gz CAEORUMWCQMSLP-OLZOCXBDSA-N 0 1 287.367 0.964 20 30 CCEDMN N#Cc1nccc(N2CC[C@H](NC(=O)Cc3cnc[nH]3)C2)n1 ZINC001058562242 811321448 /nfs/dbraw/zinc/32/14/48/811321448.db2.gz GKRDKXQZEAGMTA-JTQLQIEISA-N 0 1 297.322 0.009 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](NC(=O)Cc3cnc[nH]3)C2)nc1 ZINC001058562813 811322038 /nfs/dbraw/zinc/32/20/38/811322038.db2.gz SRPUSZRSECYROT-LBPRGKRZSA-N 0 1 296.334 0.614 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccn(C(F)F)n2)C1 ZINC001077060685 815440465 /nfs/dbraw/zinc/44/04/65/815440465.db2.gz SCLFLSKKHVOXHR-GHMZBOCLSA-N 0 1 298.293 0.076 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)c2nnn(C)n2)C1 ZINC001267473087 811355672 /nfs/dbraw/zinc/35/56/72/811355672.db2.gz AFJIXTGUAZWWRN-LLVKDONJSA-N 0 1 278.360 0.323 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3c(C)cnn3C)C[C@@H]21 ZINC001042190479 811363803 /nfs/dbraw/zinc/36/38/03/811363803.db2.gz NCLPRTPILJIQRF-KGLIPLIRSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cc3cnoc3)C[C@H]21 ZINC001042198519 811381235 /nfs/dbraw/zinc/38/12/35/811381235.db2.gz LALUZZZOAZKKGM-ZIAGYGMSSA-N 0 1 273.336 0.773 20 30 CCEDMN C#CCN[C@H](CNC(=O)Cc1nc[nH]n1)c1ccccc1 ZINC001267525717 811405065 /nfs/dbraw/zinc/40/50/65/811405065.db2.gz NWTITRNGCYTXFO-CYBMUJFWSA-N 0 1 283.335 0.428 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(CCC)C(=O)CN(C)C(C)=O)C1 ZINC001267537159 811419480 /nfs/dbraw/zinc/41/94/80/811419480.db2.gz GQTDAEVVGIBYMA-CQSZACIVSA-N 0 1 281.400 0.964 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CN(C)C(C)=O)C1 ZINC001267537159 811419485 /nfs/dbraw/zinc/41/94/85/811419485.db2.gz GQTDAEVVGIBYMA-CQSZACIVSA-N 0 1 281.400 0.964 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3c[nH]cc3C)[C@H]2C1 ZINC001083273638 811425683 /nfs/dbraw/zinc/42/56/83/811425683.db2.gz CAGGAJDQYRTVGW-LSDHHAIUSA-N 0 1 287.363 0.872 20 30 CCEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H](C)CC(N)=O)C1 ZINC001267546388 811428428 /nfs/dbraw/zinc/42/84/28/811428428.db2.gz NKTYUSBOOHPOSS-KBPBESRZSA-N 0 1 293.411 0.834 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001267579517 811476626 /nfs/dbraw/zinc/47/66/26/811476626.db2.gz GKDKWYBRTSEWFD-OLZOCXBDSA-N 0 1 292.383 0.229 20 30 CCEDMN CN(CC#N)C[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001267584995 811483882 /nfs/dbraw/zinc/48/38/82/811483882.db2.gz MJVDWTLYNASJGO-JHJVBQTASA-N 0 1 287.367 0.817 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)c2cn[nH]c2)CC1 ZINC001267605760 811543449 /nfs/dbraw/zinc/54/34/49/811543449.db2.gz ANUKIVUMCZZFPF-UHFFFAOYSA-N 0 1 289.383 0.123 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CN(CCOC[C@H]2CCCO2)C1 ZINC001267678229 811641791 /nfs/dbraw/zinc/64/17/91/811641791.db2.gz CEUBTHCXCHNRMW-GXTWGEPZSA-N 0 1 295.383 0.390 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC1CN(CCOCC)C1 ZINC001267679876 811645528 /nfs/dbraw/zinc/64/55/28/811645528.db2.gz CRCHQUUHCBMTGM-CQSZACIVSA-N 0 1 270.373 0.398 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H](OC)[C@H]2CCOC2)C1 ZINC001267686253 811649909 /nfs/dbraw/zinc/64/99/09/811649909.db2.gz OCJDYERXRBKONS-UONOGXRCSA-N 0 1 282.384 0.662 20 30 CCEDMN N#C[C@@H](NC(=O)C[C@H]1COCCN1)c1ccccc1 ZINC001219558409 811683420 /nfs/dbraw/zinc/68/34/20/811683420.db2.gz PSMAYBAJXWKGIC-QWHCGFSZSA-N 0 1 259.309 0.746 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCOCC2CC2)C1 ZINC001267741660 811725286 /nfs/dbraw/zinc/72/52/86/811725286.db2.gz HTHMPYZEEIKBSO-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CCOCCOC)C1 ZINC001267778462 811779123 /nfs/dbraw/zinc/77/91/23/811779123.db2.gz BGFKOOIUUNFVHS-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)CCn2cncn2)C1 ZINC001267780386 811781458 /nfs/dbraw/zinc/78/14/58/811781458.db2.gz NIMDKYHOUWPGJQ-AWEZNQCLSA-N 0 1 289.383 0.614 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C2(C(N)=O)CC2)C1 ZINC001267962772 811898083 /nfs/dbraw/zinc/89/80/83/811898083.db2.gz UCGKLDPTRYPIQK-SNVBAGLBSA-N 0 1 251.330 0.019 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001077371621 815487570 /nfs/dbraw/zinc/48/75/70/815487570.db2.gz YOQFHHFYQAJNRV-KQTLUZQSSA-N 0 1 292.379 0.130 20 30 CCEDMN COc1ncccc1CNCCNC(=O)c1c[nH]c(C#N)c1 ZINC001125987808 811967539 /nfs/dbraw/zinc/96/75/39/811967539.db2.gz DRPIIZPIZSENQJ-UHFFFAOYSA-N 0 1 299.334 0.810 20 30 CCEDMN C=C(Br)CNCCNC(=O)CC(=O)NCC ZINC001126002975 811975991 /nfs/dbraw/zinc/97/59/91/811975991.db2.gz RMRSOTODEJPILI-UHFFFAOYSA-N 0 1 292.177 0.127 20 30 CCEDMN Cn1ncc(C(=O)NCCNCc2ccccc2C#N)c1N ZINC001126099334 812009447 /nfs/dbraw/zinc/00/94/47/812009447.db2.gz MZUUCGNUZCQIQG-UHFFFAOYSA-N 0 1 298.350 0.394 20 30 CCEDMN CNC(=O)CC(=O)NCCNCc1cc(C#N)ccc1F ZINC001126161451 812042843 /nfs/dbraw/zinc/04/28/43/812042843.db2.gz MKNOIHCEABDDET-UHFFFAOYSA-N 0 1 292.314 0.039 20 30 CCEDMN Cc1cc(C(=O)N(C)CCN(C)c2cnc(C#N)cn2)n[nH]1 ZINC001105340450 812053659 /nfs/dbraw/zinc/05/36/59/812053659.db2.gz ZCAPRNCRKLPPQO-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN C=CCCN1CCC[C@@H]1CNC(=O)C1CS(=O)(=O)C1 ZINC001027904367 812130976 /nfs/dbraw/zinc/13/09/76/812130976.db2.gz BWLAIBYFSBBKJP-GFCCVEGCSA-N 0 1 286.397 0.188 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1c(C)nnn1CC ZINC001027928811 812147666 /nfs/dbraw/zinc/14/76/66/812147666.db2.gz YHHMSSJKZSWFKK-ZDUSSCGKSA-N 0 1 289.383 0.824 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC[C@@H]1CCCN1CC#CC ZINC001027940291 812151885 /nfs/dbraw/zinc/15/18/85/812151885.db2.gz JEUODOPUKWXSHH-HOTGVXAUSA-N 0 1 287.407 0.688 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H]2CCN(C(=O)c3ccn[nH]3)C2)n1 ZINC001058846208 812177906 /nfs/dbraw/zinc/17/79/06/812177906.db2.gz LTSHKMNFWPVLDB-JTQLQIEISA-N 0 1 297.322 0.706 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1COCCN1CC ZINC001027982419 812193320 /nfs/dbraw/zinc/19/33/20/812193320.db2.gz VYRPMZJLLBRAGK-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c[nH]nc1CC ZINC001027990572 812198258 /nfs/dbraw/zinc/19/82/58/812198258.db2.gz WSWXQUDWFHJQPE-LLVKDONJSA-N 0 1 260.341 0.800 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccnc2c1CC(=O)N2 ZINC001027997669 812205108 /nfs/dbraw/zinc/20/51/08/812205108.db2.gz QQSOEVTXDIRWAO-LLVKDONJSA-N 0 1 298.346 0.404 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001028039262 812229613 /nfs/dbraw/zinc/22/96/13/812229613.db2.gz KAAGINBAKRWURT-MGPQQGTHSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001028039262 812229621 /nfs/dbraw/zinc/22/96/21/812229621.db2.gz KAAGINBAKRWURT-MGPQQGTHSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001028048691 812231747 /nfs/dbraw/zinc/23/17/47/812231747.db2.gz YOCGCRMUXZOYLJ-ZQDZILKHSA-N 0 1 292.379 0.252 20 30 CCEDMN N#Cc1cnc(N[C@H]2CCN(C(=O)Cc3ccn[nH]3)C2)cn1 ZINC001058864847 812234669 /nfs/dbraw/zinc/23/46/69/812234669.db2.gz OMRBNBJYEKAYKC-NSHDSACASA-N 0 1 297.322 0.327 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCN(C)C1=O ZINC001028085197 812261750 /nfs/dbraw/zinc/26/17/50/812261750.db2.gz GBARHEIDBJKRSD-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccnc2c1nnn2C ZINC001028099728 812276342 /nfs/dbraw/zinc/27/63/42/812276342.db2.gz WGODIGCHTGWMQG-LLVKDONJSA-N 0 1 298.350 0.191 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1nccn2cc(C)nc12 ZINC001038896509 812320782 /nfs/dbraw/zinc/32/07/82/812320782.db2.gz BUTZQICUHDHWNZ-ZDUSSCGKSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](CC)OC)[C@@H]2C1 ZINC001075606343 812330907 /nfs/dbraw/zinc/33/09/07/812330907.db2.gz LPLABCSXSKRWQV-XQQFMLRXSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCc2n[nH]nc2C1 ZINC001038916783 812341115 /nfs/dbraw/zinc/34/11/15/812341115.db2.gz IODLHKFUPHWDNR-NWDGAFQWSA-N 0 1 287.367 0.123 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CN1CCCCCC1=O ZINC001268255857 812422327 /nfs/dbraw/zinc/42/23/27/812422327.db2.gz GURPOVYFIHFFLO-UHFFFAOYSA-N 0 1 293.411 0.803 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC1CN([C@H](C)c2n[nH]c(C)n2)C1 ZINC001268300677 812462253 /nfs/dbraw/zinc/46/22/53/812462253.db2.gz PQMPOQFDPFJFSI-ZJUUUORDSA-N 0 1 291.355 0.013 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001028248695 812492129 /nfs/dbraw/zinc/49/21/29/812492129.db2.gz BLEXGTKSUSGIGV-NEPJUHHUSA-N 0 1 287.367 0.619 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCNCc1ncnn1CCF ZINC001268348356 812512651 /nfs/dbraw/zinc/51/26/51/812512651.db2.gz GPKVWIJUENOFGI-GHMZBOCLSA-N 0 1 296.350 0.392 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000692929282 812577177 /nfs/dbraw/zinc/57/71/77/812577177.db2.gz NWIZHXKSBDCNDC-CQSZACIVSA-N 0 1 263.385 0.686 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c(C)nn2cccnc12 ZINC001028324328 812618348 /nfs/dbraw/zinc/61/83/48/812618348.db2.gz NGHUSISUMJPWOP-ZDUSSCGKSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCCCC(=O)NC/C=C\CNCC(=O)N1CCC1 ZINC001268531977 812775979 /nfs/dbraw/zinc/77/59/79/812775979.db2.gz HETXOYRUJDATEE-WAYWQWQTSA-N 0 1 277.368 0.284 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001028499543 812778434 /nfs/dbraw/zinc/77/84/34/812778434.db2.gz JAAHMMHHPFMGJQ-GFCCVEGCSA-N 0 1 273.336 0.862 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001028499543 812778440 /nfs/dbraw/zinc/77/84/40/812778440.db2.gz JAAHMMHHPFMGJQ-GFCCVEGCSA-N 0 1 273.336 0.862 20 30 CCEDMN C=C(C)CCC(=O)NC/C=C\CNCC(=O)NC(=O)NC ZINC001268544417 812785329 /nfs/dbraw/zinc/78/53/29/812785329.db2.gz DOVQACQPKZZOGU-PLNGDYQASA-N 0 1 296.371 0.060 20 30 CCEDMN COc1ccnc(N2CCN(C(=O)NCCC#N)CC2)c1 ZINC000426448863 812827619 /nfs/dbraw/zinc/82/76/19/812827619.db2.gz DXVHCZMJRWPCMJ-UHFFFAOYSA-N 0 1 289.339 0.835 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CNC(=O)c2cn[nH]c(=O)c2)C1 ZINC001028614500 812977491 /nfs/dbraw/zinc/97/74/91/812977491.db2.gz UJAIZYDPRQBPAI-SNVBAGLBSA-N 0 1 296.758 0.986 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1nccn2ccnc12 ZINC001268747580 813059283 /nfs/dbraw/zinc/05/92/83/813059283.db2.gz SHSOHZSNXMOBMW-NSHDSACASA-N 0 1 271.324 0.413 20 30 CCEDMN C=CC(=O)N1Cc2n[nH]c(C(=O)N3CC(CC#N)C3)c2C1 ZINC001268861841 813123068 /nfs/dbraw/zinc/12/30/68/813123068.db2.gz CHJYXSVRRUJMFA-UHFFFAOYSA-N 0 1 285.307 0.424 20 30 CCEDMN C=C(C)CN1CC2(CN(C(=O)c3cnc[nH]3)C2)OCC1=O ZINC001268870166 813125456 /nfs/dbraw/zinc/12/54/56/813125456.db2.gz MUQWNNCSQZPMGG-UHFFFAOYSA-N 0 1 290.323 0.039 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H](C)NC(=O)c2ccco2)C1 ZINC001268911111 813142163 /nfs/dbraw/zinc/14/21/63/813142163.db2.gz IGXGTEVLBYUBEI-NSHDSACASA-N 0 1 291.351 0.632 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1oc(CC)nc1C ZINC001127045792 815601912 /nfs/dbraw/zinc/60/19/12/815601912.db2.gz HHGZIJOYJJVJEG-LBPRGKRZSA-N 0 1 293.367 0.790 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)Cc1ccn(CC)n1 ZINC001269236063 813283527 /nfs/dbraw/zinc/28/35/27/813283527.db2.gz USIKABAVFGOKLF-AWEZNQCLSA-N 0 1 274.368 0.659 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCc2nc(C)no2)C1 ZINC001269262361 813295501 /nfs/dbraw/zinc/29/55/01/813295501.db2.gz HDRDPNRQHUJBFB-GFCCVEGCSA-N 0 1 276.340 0.477 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CNC(=O)Cc1ncccc1OC ZINC001269278689 813303963 /nfs/dbraw/zinc/30/39/63/813303963.db2.gz NSBIEPZOSFYESP-CYBMUJFWSA-N 0 1 287.363 0.847 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)Cc1ncccc1OC ZINC001269278689 813303971 /nfs/dbraw/zinc/30/39/71/813303971.db2.gz NSBIEPZOSFYESP-CYBMUJFWSA-N 0 1 287.363 0.847 20 30 CCEDMN C#CCN1CC[C@H](CNC(=O)c2cc(=O)c(OC)c[nH]2)C1 ZINC001028691622 813314185 /nfs/dbraw/zinc/31/41/85/813314185.db2.gz XXXAGXWZRJNQHS-LLVKDONJSA-N 0 1 289.335 0.068 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@H]2CCNC2=O)C1 ZINC001269330396 813329162 /nfs/dbraw/zinc/32/91/62/813329162.db2.gz IMNQXFKUWCIHGI-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H](COC)OC)C1 ZINC001269345065 813335405 /nfs/dbraw/zinc/33/54/05/813335405.db2.gz JDLYXTGYCTUFEE-ZIAGYGMSSA-N 0 1 282.384 0.594 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CCCC(N)=O)C1 ZINC001269361578 813338159 /nfs/dbraw/zinc/33/81/59/813338159.db2.gz ZSKZCJJEUTUORS-GFCCVEGCSA-N 0 1 265.357 0.198 20 30 CCEDMN C#CCCCC(=O)NCC1(N[C@@H]2CCN(CC)C2=O)CC1 ZINC001269403393 813358016 /nfs/dbraw/zinc/35/80/16/813358016.db2.gz UWBIOAOLIGJXGV-CYBMUJFWSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2C(=O)Cc2c[nH]cn2)C1=O ZINC001269568912 813424496 /nfs/dbraw/zinc/42/44/96/813424496.db2.gz GQHVPTNUCVPDFQ-HNNXBMFYSA-N 0 1 286.335 0.179 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC[C@H](CCNCC#N)C1 ZINC001280153301 813611741 /nfs/dbraw/zinc/61/17/41/813611741.db2.gz RKNUATRQXLXPAC-KBPBESRZSA-N 0 1 278.400 0.822 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C1CCC(O)CC1 ZINC001126453053 813666454 /nfs/dbraw/zinc/66/64/54/813666454.db2.gz LBTUOKZLPHLVRA-UHFFFAOYSA-N 0 1 260.765 0.996 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)Cn2cccn2)C1 ZINC001042475062 813678457 /nfs/dbraw/zinc/67/84/57/813678457.db2.gz HHUACTPROFENRA-UHFFFAOYSA-N 0 1 262.357 0.992 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC([C@@H](C)NCc2cnon2)CC1 ZINC001029069897 813851434 /nfs/dbraw/zinc/85/14/34/813851434.db2.gz UOEVVQGHNQSFPC-GHMZBOCLSA-N 0 1 291.355 0.946 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(F)=C2CCCC2)[C@@H](O)C1 ZINC001083405009 813853389 /nfs/dbraw/zinc/85/33/89/813853389.db2.gz QXLHLUHXJGJZTE-OLZOCXBDSA-N 0 1 280.343 0.969 20 30 CCEDMN CC(C)(O)CC(=O)NCCNCc1ccccc1C#N ZINC001126477579 813860236 /nfs/dbraw/zinc/86/02/36/813860236.db2.gz SDILVGHUSIZJGM-UHFFFAOYSA-N 0 1 275.352 0.925 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H]1CN(CCC2CC2)CCO1 ZINC001270638346 813863935 /nfs/dbraw/zinc/86/39/35/813863935.db2.gz LHWKLRBEVZHMMX-GOEBONIOSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccn(C)c2)[C@@H](O)C1 ZINC001083406012 813873540 /nfs/dbraw/zinc/87/35/40/813873540.db2.gz MIBKVBFWQIOBMA-OLZOCXBDSA-N 0 1 263.341 0.376 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)N2)[C@H]1CC ZINC001087522097 814055626 /nfs/dbraw/zinc/05/56/26/814055626.db2.gz MTFOIRKLOUASAQ-YNEHKIRRSA-N 0 1 277.368 0.257 20 30 CCEDMN CC#CC(=O)N1CCc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001271095433 814150320 /nfs/dbraw/zinc/15/03/20/814150320.db2.gz UUKWLKHLYATGHN-UHFFFAOYSA-N 0 1 284.319 0.330 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCCC(=O)N2)[C@H]1CC ZINC001087650380 814181503 /nfs/dbraw/zinc/18/15/03/814181503.db2.gz LEONDSJHXFUKJX-HZSPNIEDSA-N 0 1 291.395 0.648 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@](O)(CNC(=O)c2cncc(F)c2)C1 ZINC001271139808 814203587 /nfs/dbraw/zinc/20/35/87/814203587.db2.gz ZFZPXLIHBUZWJD-HNNXBMFYSA-N 0 1 293.342 0.963 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2(F)CCCC2)C1 ZINC001271160709 814253825 /nfs/dbraw/zinc/25/38/25/814253825.db2.gz XPSGLGNUXJFGQR-ZDUSSCGKSA-N 0 1 268.332 0.455 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)c2cccnc2)C1 ZINC001271207343 814333600 /nfs/dbraw/zinc/33/36/00/814333600.db2.gz BXQXPQCJKWNWJS-XJKSGUPXSA-N 0 1 287.363 0.371 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2CCC=CCC2)C1 ZINC001271219781 814338048 /nfs/dbraw/zinc/33/80/48/814338048.db2.gz JAUXHZKUKNEMHH-MRXNPFEDSA-N 0 1 276.380 0.919 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)C[C@H]2CCOC2)C1 ZINC001271262507 814355704 /nfs/dbraw/zinc/35/57/04/814355704.db2.gz KCFVFHVFDWUGML-UONOGXRCSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CC(O)(CNC(=O)c2cccc3nc[nH]c32)C1 ZINC001271368425 814394189 /nfs/dbraw/zinc/39/41/89/814394189.db2.gz PEGMEEFTBFVVEH-UHFFFAOYSA-N 0 1 286.335 0.525 20 30 CCEDMN CC(C)C(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(CC(=O)NCC#N)C2 ZINC001271400355 814405531 /nfs/dbraw/zinc/40/55/31/814405531.db2.gz POWKKYICZNUCTD-XQQFMLRXSA-N 0 1 292.383 0.251 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cc4n(n3)CCC4)[C@@H]2C1 ZINC001075861120 814572712 /nfs/dbraw/zinc/57/27/12/814572712.db2.gz LGKHAZVRHYEPLN-XJKSGUPXSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)C(C)(C)F)C1 ZINC001271932383 814634105 /nfs/dbraw/zinc/63/41/05/814634105.db2.gz PJMRHPILSLGCSW-AWEZNQCLSA-N 0 1 270.348 0.701 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](NC(C)=O)[C@H]2C)C1=O ZINC001088438052 814690260 /nfs/dbraw/zinc/69/02/60/814690260.db2.gz IUWXDELHJHDKQS-WXHSDQCUSA-N 0 1 265.357 0.372 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1ccccc1C#N ZINC001127032395 815582999 /nfs/dbraw/zinc/58/29/99/815582999.db2.gz XVSZMOZQWQAJCZ-UHFFFAOYSA-N 0 1 285.347 0.804 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCC(=C)Br ZINC001127039686 815590461 /nfs/dbraw/zinc/59/04/61/815590461.db2.gz PEYDPVMYAAGEAY-JTQLQIEISA-N 0 1 289.173 0.639 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cccnc1OC ZINC001127039645 815589895 /nfs/dbraw/zinc/58/98/95/815589895.db2.gz NZJMVAJCUPVAHQ-LBPRGKRZSA-N 0 1 291.351 0.334 20 30 CCEDMN C[C@H]1C[C@H](NC(=O)Cc2cnc[nH]2)CCN1CC#N ZINC000947757609 815946280 /nfs/dbraw/zinc/94/62/80/815946280.db2.gz CIUUCOIYSMQMNM-WDEREUQCSA-N 0 1 261.329 0.445 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(F)ccc2C)C1 ZINC001077471506 816065072 /nfs/dbraw/zinc/06/50/72/816065072.db2.gz PGRNISMJWYFVLV-HUUCEWRRSA-N 0 1 290.338 0.932 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)CN2CCC[C@@H]2C)CCN1CC#N ZINC001088857290 816085054 /nfs/dbraw/zinc/08/50/54/816085054.db2.gz JWOQVUDGYVQPNB-XQQFMLRXSA-N 0 1 264.373 0.573 20 30 CCEDMN CC#CCN1CC(NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001030598811 816136578 /nfs/dbraw/zinc/13/65/78/816136578.db2.gz HHVSWSPSHLTECA-UHFFFAOYSA-N 0 1 272.352 0.726 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001030649672 816183718 /nfs/dbraw/zinc/18/37/18/816183718.db2.gz ZAQIPLKDHYPXHK-GFCCVEGCSA-N 0 1 279.384 0.812 20 30 CCEDMN N#CCN1CC(NC(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001030660033 816191874 /nfs/dbraw/zinc/19/18/74/816191874.db2.gz RJWNUWAEZCKEHH-UHFFFAOYSA-N 0 1 282.307 0.205 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCCN2C(N)=O)[C@H]1C ZINC001088972052 816198771 /nfs/dbraw/zinc/19/87/71/816198771.db2.gz PPKAPOWSBSUHMU-FRRDWIJNSA-N 0 1 292.383 0.132 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)[C@H]1C ZINC001089012702 816209784 /nfs/dbraw/zinc/20/97/84/816209784.db2.gz JNKSBIXBPOVPTQ-RDBSUJKOSA-N 0 1 291.395 0.600 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N[C@H]1CCN(CC#N)[C@@H]1C ZINC001089059121 816228543 /nfs/dbraw/zinc/22/85/43/816228543.db2.gz AGSYLIXVNAKCDO-HZSPNIEDSA-N 0 1 278.400 0.963 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C(N)=O)ccn2)[C@H]1C ZINC001089091700 816245528 /nfs/dbraw/zinc/24/55/28/816245528.db2.gz NIMZCJLASZVVOW-PWSUYJOCSA-N 0 1 288.351 0.559 20 30 CCEDMN CN1CC2(C1)CN(C(=O)Cc1cccc(C#N)c1)C2 ZINC001272304041 816288398 /nfs/dbraw/zinc/28/83/98/816288398.db2.gz JTNUZKYEMIPHOE-UHFFFAOYSA-N 0 1 255.321 0.875 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2C[N@@H+](CCC)C[C@H]2O)cc1 ZINC001077496139 816293040 /nfs/dbraw/zinc/29/30/40/816293040.db2.gz IAKPFNRYCMPBLK-HUUCEWRRSA-N 0 1 272.348 0.853 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001015077575 816337257 /nfs/dbraw/zinc/33/72/57/816337257.db2.gz NDWJQVMBKJURJI-JTQLQIEISA-N 0 1 273.340 0.616 20 30 CCEDMN C#CC(C)(C)C(=O)N1CC2(CN(CCn3ccnc3)C2)C1 ZINC001272348393 816348213 /nfs/dbraw/zinc/34/82/13/816348213.db2.gz GBKVSODKDCWIGC-UHFFFAOYSA-N 0 1 286.379 0.687 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)NC1CN(CC#N)C1 ZINC001030857635 816353843 /nfs/dbraw/zinc/35/38/43/816353843.db2.gz DNRXBYRBBWWPJL-UHFFFAOYSA-N 0 1 262.313 0.279 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](O)CNCc1cnc(C)cn1 ZINC001272496143 816505816 /nfs/dbraw/zinc/50/58/16/816505816.db2.gz LWAIGJGECVEBET-CYBMUJFWSA-N 0 1 292.383 0.564 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC1CN(C[C@@H]2CCCOC2)C1 ZINC001030977619 816525552 /nfs/dbraw/zinc/52/55/52/816525552.db2.gz RCZPIAORQTUSSY-CFVMTHIKSA-N 0 1 294.395 0.805 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@](C)(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001046453835 816594284 /nfs/dbraw/zinc/59/42/84/816594284.db2.gz KPUXTIXIABCLHM-CYBMUJFWSA-N 0 1 293.327 0.053 20 30 CCEDMN C[C@H](CCNc1ccc(C#N)nn1)NC(=O)c1ncn[nH]1 ZINC001106412771 816763464 /nfs/dbraw/zinc/76/34/64/816763464.db2.gz RCFMRJNRCLGGBE-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CCNc1ccc(C#N)nn1)NC(=O)c1nc[nH]n1 ZINC001106412771 816763468 /nfs/dbraw/zinc/76/34/68/816763468.db2.gz RCFMRJNRCLGGBE-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CCNc1ccncc1C#N)NC(=O)c1cnn[nH]1 ZINC001106637872 816828805 /nfs/dbraw/zinc/82/88/05/816828805.db2.gz KYYXFPUBVDWXGN-SECBINFHSA-N 0 1 285.311 0.114 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)[C@@H](C)C(=O)N(C)C ZINC001235684211 816900198 /nfs/dbraw/zinc/90/01/98/816900198.db2.gz SPJBSOIOTJGBSH-LBPRGKRZSA-N 0 1 285.388 0.104 20 30 CCEDMN C[C@@H]1COC[C@H]1NCCS(=O)(=O)c1cccc(C#N)c1 ZINC000699813025 816904272 /nfs/dbraw/zinc/90/42/72/816904272.db2.gz OHEQXWWMMKXJBL-BXUZGUMPSA-N 0 1 294.376 0.956 20 30 CCEDMN C#Cc1ccc(C(=O)NC2CN(CC3CCOCC3)C2)nc1 ZINC001031279270 816923643 /nfs/dbraw/zinc/92/36/43/816923643.db2.gz VOIQGQLOXUHABV-UHFFFAOYSA-N 0 1 299.374 0.904 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2cccc(C)c2)[C@@H](O)C1 ZINC001083745749 816964434 /nfs/dbraw/zinc/96/44/34/816964434.db2.gz WGPMPXMRBVVCAW-CVEARBPZSA-N 0 1 286.375 0.722 20 30 CCEDMN C#CCCCCNC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001123515823 816971555 /nfs/dbraw/zinc/97/15/55/816971555.db2.gz VKGUZYFDBGWUNS-ZIAGYGMSSA-N 0 1 280.416 0.723 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(CC)C[C@@H]2O)CC1 ZINC001083754727 817005248 /nfs/dbraw/zinc/00/52/48/817005248.db2.gz OPRCEVQMQZMNID-OLZOCXBDSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)CC2=CCOCC2)[C@@H](O)C1 ZINC001083755503 817011042 /nfs/dbraw/zinc/01/10/42/817011042.db2.gz YESJJLZQQVWUPP-KGLIPLIRSA-N 0 1 280.368 0.461 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnsn2)C1 ZINC001031563964 817142282 /nfs/dbraw/zinc/14/22/82/817142282.db2.gz XFOBKNHZIZDETA-UHFFFAOYSA-N 0 1 250.327 0.223 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnnn2CC)C1 ZINC001031654920 817221390 /nfs/dbraw/zinc/22/13/90/817221390.db2.gz NXCZDSBMTAFNMU-UHFFFAOYSA-N 0 1 263.345 0.536 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn3c(n2)CCC3)C1 ZINC001031680986 817241057 /nfs/dbraw/zinc/24/10/57/817241057.db2.gz WYDZMIKTGGKGHD-UHFFFAOYSA-N 0 1 272.352 0.514 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H]2CCCCN2C(C)=O)C1 ZINC001015831093 817268382 /nfs/dbraw/zinc/26/83/82/817268382.db2.gz LUXKQWLCMCNRDD-CABCVRRESA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001031709911 817272933 /nfs/dbraw/zinc/27/29/33/817272933.db2.gz CAKIJGBXIQKFJN-ZDUSSCGKSA-N 0 1 279.384 0.477 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(C(C)(C)C)nn2)C1 ZINC001031718385 817283857 /nfs/dbraw/zinc/28/38/57/817283857.db2.gz GPHZPPFMJHUPLU-UHFFFAOYSA-N 0 1 289.383 0.718 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](OCC)C(C)C)[C@H](OC)C1 ZINC001212264382 817284481 /nfs/dbraw/zinc/28/44/81/817284481.db2.gz HJUSVZPEGPZJLE-KFWWJZLASA-N 0 1 296.411 0.886 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001031733425 817297266 /nfs/dbraw/zinc/29/72/66/817297266.db2.gz YPQNVEMOBWHJQW-UHFFFAOYSA-N 0 1 272.312 0.201 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc3n(n2)CCO3)C1 ZINC001031734607 817298757 /nfs/dbraw/zinc/29/87/57/817298757.db2.gz XHBSAYHPYMUXKS-UHFFFAOYSA-N 0 1 262.313 0.123 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCNC1=O ZINC001089680735 817310928 /nfs/dbraw/zinc/31/09/28/817310928.db2.gz QVCMOHDULKSOMA-QWHCGFSZSA-N 0 1 291.395 0.363 20 30 CCEDMN CCN(c1ncccc1C#N)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001089773820 817342104 /nfs/dbraw/zinc/34/21/04/817342104.db2.gz ZCHXMABBTIJEBM-SNVBAGLBSA-N 0 1 299.338 0.716 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cnn(C)c2)C1 ZINC001047299525 817351793 /nfs/dbraw/zinc/35/17/93/817351793.db2.gz ZLTIUGJDZSQGGJ-STQMWFEESA-N 0 1 278.356 0.113 20 30 CCEDMN N#CCN1CC(CNC(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001031838141 817407340 /nfs/dbraw/zinc/40/73/40/817407340.db2.gz NHMBAEULBWPRQU-UHFFFAOYSA-N 0 1 296.334 0.452 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2CCCCO2)C1 ZINC001047346106 817411772 /nfs/dbraw/zinc/41/17/72/817411772.db2.gz AVDZTSDCLQATOL-KKUMJFAQSA-N 0 1 294.395 0.472 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001031891760 817446822 /nfs/dbraw/zinc/44/68/22/817446822.db2.gz ZAEMWSNPRSOUTL-UHFFFAOYSA-N 0 1 276.340 0.647 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2c[nH]c(=O)n2C)C1 ZINC001031899043 817450068 /nfs/dbraw/zinc/45/00/68/817450068.db2.gz QLGYUUAOTXOMCS-UHFFFAOYSA-N 0 1 264.329 0.363 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001031930834 817473614 /nfs/dbraw/zinc/47/36/14/817473614.db2.gz GJTOPPBMIGCQRH-NSHDSACASA-N 0 1 275.356 0.459 20 30 CCEDMN C=CCCN1CC(CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001032100708 817630745 /nfs/dbraw/zinc/63/07/45/817630745.db2.gz HDIAQOBDLWJOOZ-UHFFFAOYSA-N 0 1 275.352 0.921 20 30 CCEDMN C=CCN1CC(CNC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001032176354 817673785 /nfs/dbraw/zinc/67/37/85/817673785.db2.gz ZJHUDCOSAYWCDU-UHFFFAOYSA-N 0 1 279.384 0.479 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccc(C(=O)NC)c2)C1 ZINC001032196089 817690313 /nfs/dbraw/zinc/69/03/13/817690313.db2.gz LJBCXVPQWUDVCU-UHFFFAOYSA-N 0 1 287.363 0.894 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1ccn[nH]1)Nc1cncc(C#N)n1 ZINC001115656725 817690862 /nfs/dbraw/zinc/69/08/62/817690862.db2.gz PYBGSDUDFLGVQD-VIFPVBQESA-N 0 1 285.311 0.644 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccnc2C(N)=O)C1 ZINC001032201479 817697220 /nfs/dbraw/zinc/69/72/20/817697220.db2.gz DSZAXIQLDIEMRE-UHFFFAOYSA-N 0 1 274.324 0.028 20 30 CCEDMN C#CCN1CC[C@@]2(CC[N@@H+](Cc3cnco3)C2)C1=O ZINC001272741555 817698915 /nfs/dbraw/zinc/69/89/15/817698915.db2.gz DQZDVCMJLJLBHU-CQSZACIVSA-N 0 1 259.309 0.732 20 30 CCEDMN C=CCN1C[C@H](NC(=O)COC)CC2(CCOCC2)C1 ZINC001099557543 817771323 /nfs/dbraw/zinc/77/13/23/817771323.db2.gz HIRIGRRZYYHJBD-CYBMUJFWSA-N 0 1 282.384 0.806 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)Cc2nnc[nH]2)cn1 ZINC001107333539 817836805 /nfs/dbraw/zinc/83/68/05/817836805.db2.gz MDDKEAGRDUHETJ-OWOJBTEDSA-N 0 1 297.322 0.398 20 30 CCEDMN N#CCN1CC[C@@]2(CNC(=O)Cc3nnc[nH]3)CCC[C@@H]12 ZINC001107604286 817898026 /nfs/dbraw/zinc/89/80/26/817898026.db2.gz OXASQWLSVGDEJK-BXUZGUMPSA-N 0 1 288.355 0.232 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(=O)[nH]1 ZINC001032336008 817898748 /nfs/dbraw/zinc/89/87/48/817898748.db2.gz JNJSQSAOASBGHU-RYUDHWBXSA-N 0 1 271.320 0.709 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2ccco2)C1 ZINC001077664774 817962159 /nfs/dbraw/zinc/96/21/59/817962159.db2.gz QSUHPKSPZOSWHX-JHJVBQTASA-N 0 1 276.336 0.568 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2ccco2)C1 ZINC001077664774 817962166 /nfs/dbraw/zinc/96/21/66/817962166.db2.gz QSUHPKSPZOSWHX-JHJVBQTASA-N 0 1 276.336 0.568 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H]2COCCO2)C1 ZINC001107757929 817988312 /nfs/dbraw/zinc/98/83/12/817988312.db2.gz XZLSJXYMEJZFOZ-UKRRQHHQSA-N 0 1 298.383 0.185 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2Cc3ccccc3C2)[C@@H](O)C1 ZINC001083806038 818060889 /nfs/dbraw/zinc/06/08/89/818060889.db2.gz OFNAUQVBUKPFME-SJORKVTESA-N 0 1 298.386 0.586 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)NCCNCc1cnnn1C ZINC001128208697 818119434 /nfs/dbraw/zinc/11/94/34/818119434.db2.gz HRCOEUZGWOUCMO-UHFFFAOYSA-N 0 1 285.779 0.800 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CCOCC2)[C@H](O)C1 ZINC001090012123 818268968 /nfs/dbraw/zinc/26/89/68/818268968.db2.gz FVUAKGIYLDCUDF-QWHCGFSZSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccncc2)[C@H](O)C1 ZINC001090012662 818269420 /nfs/dbraw/zinc/26/94/20/818269420.db2.gz KGNZTVBKQOKCPR-QWHCGFSZSA-N 0 1 261.325 0.433 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033003648 818416152 /nfs/dbraw/zinc/41/61/52/818416152.db2.gz FHGLWSJORMPVGR-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033010819 818420284 /nfs/dbraw/zinc/42/02/84/818420284.db2.gz VUJRBNSZDHSNEF-JTQLQIEISA-N 0 1 264.329 0.756 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001033009297 818423062 /nfs/dbraw/zinc/42/30/62/818423062.db2.gz GYRMBORNPSDFQZ-RYUDHWBXSA-N 0 1 264.325 0.106 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2COCCN2C)C1 ZINC001033017579 818428216 /nfs/dbraw/zinc/42/82/16/818428216.db2.gz WAAXFOLQGFUFTN-OLZOCXBDSA-N 0 1 267.373 0.036 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccoc2)[C@H](O)C1 ZINC001090068423 818451947 /nfs/dbraw/zinc/45/19/47/818451947.db2.gz LBKNTQRFSITLTH-VXGBXAGGSA-N 0 1 250.298 0.631 20 30 CCEDMN C#CCN1CCC2(CCN(Cc3c[nH]cn3)CC2)C1=O ZINC001273023868 818459038 /nfs/dbraw/zinc/45/90/38/818459038.db2.gz KVDKWAJXHMILHU-UHFFFAOYSA-N 0 1 272.352 0.857 20 30 CCEDMN C=CCN1C(=O)C[C@@]2(CCCN(Cc3c[nH]cn3)C2)C1=O ZINC001273024365 818460046 /nfs/dbraw/zinc/46/00/46/818460046.db2.gz QROOYCQHHJTVEP-OAHLLOKOSA-N 0 1 288.351 0.937 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2ccnn2C)C1 ZINC001033046512 818460080 /nfs/dbraw/zinc/46/00/80/818460080.db2.gz KGEYACKBOMHONT-CYBMUJFWSA-N 0 1 260.341 0.129 20 30 CCEDMN C=CCN1C[C@@]2(F)CN(Cc3cnc[nH]3)C[C@@]2(F)C1=O ZINC001273024900 818462411 /nfs/dbraw/zinc/46/24/11/818462411.db2.gz XLMRPSDGMHEUSA-QWHCGFSZSA-N 0 1 282.294 0.670 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2occc2C)[C@@H](O)C1 ZINC001090078583 818470308 /nfs/dbraw/zinc/47/03/08/818470308.db2.gz KMSUNYSHXCBSJE-NEPJUHHUSA-N 0 1 264.325 0.939 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncnc2C)[C@H](O)C1 ZINC001090096852 818507855 /nfs/dbraw/zinc/50/78/55/818507855.db2.gz VJZWKKRQJIDXLG-CHWSQXEVSA-N 0 1 276.340 0.136 20 30 CCEDMN CN(C(=O)c1c[nH]cc2ncnc1-2)[C@@H]1CCN(CC#N)C1 ZINC001033101094 818519574 /nfs/dbraw/zinc/51/95/74/818519574.db2.gz GNSTZISOKYXZFD-SNVBAGLBSA-N 0 1 284.323 0.628 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2cncc3nc[nH]c32)C1 ZINC001033102449 818521756 /nfs/dbraw/zinc/52/17/56/818521756.db2.gz OUSZBRNJRUBXSC-NSHDSACASA-N 0 1 283.335 0.737 20 30 CCEDMN N#Cc1cccnc1N1CCC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001061483363 818526071 /nfs/dbraw/zinc/52/60/71/818526071.db2.gz KJTBRUNYPDPWMU-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001033136299 818559206 /nfs/dbraw/zinc/55/92/06/818559206.db2.gz UMSGILZWDKHABQ-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cnc(C)o2)C1 ZINC001033138022 818561669 /nfs/dbraw/zinc/56/16/69/818561669.db2.gz DPYARKXPHONVSW-LBPRGKRZSA-N 0 1 261.325 0.691 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033151260 818575655 /nfs/dbraw/zinc/57/56/55/818575655.db2.gz FDGHEDFMJNTTNN-LLVKDONJSA-N 0 1 276.340 0.608 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033169119 818597907 /nfs/dbraw/zinc/59/79/07/818597907.db2.gz GLQPSTLWAMIHNC-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc(F)c2)[C@@H](O)C1 ZINC001090112679 818623241 /nfs/dbraw/zinc/62/32/41/818623241.db2.gz JSAKUQZCDNYSMK-NEPJUHHUSA-N 0 1 279.315 0.572 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2ccc(F)cn2)C1 ZINC001033198103 818639649 /nfs/dbraw/zinc/63/96/49/818639649.db2.gz MXBIHGIREPTHRP-AWEZNQCLSA-N 0 1 275.327 0.929 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033217536 818657196 /nfs/dbraw/zinc/65/71/96/818657196.db2.gz LDNQBJAJGFHSPM-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cn3cccnc3n2)C1 ZINC001033227175 818663503 /nfs/dbraw/zinc/66/35/03/818663503.db2.gz AANZZPGVQOKMCH-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001033298571 818697937 /nfs/dbraw/zinc/69/79/37/818697937.db2.gz JUKXSRJIDUKYCA-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H](C)c2cncnc2)C1 ZINC001033356907 818736749 /nfs/dbraw/zinc/73/67/49/818736749.db2.gz RJZYNPOYESACAN-JSGCOSHPSA-N 0 1 272.352 0.746 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(C(N)=O)co2)C1 ZINC001033408305 818757425 /nfs/dbraw/zinc/75/74/25/818757425.db2.gz AYMNNUMDNJWICJ-NSHDSACASA-N 0 1 277.324 0.711 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C(C)(C)N2CCOCC2)C1 ZINC001033508744 818799648 /nfs/dbraw/zinc/79/96/48/818799648.db2.gz OJHADLGUCGQWET-AWEZNQCLSA-N 0 1 295.427 0.816 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@@H]2CCN(CCO)C2)nc1 ZINC001033596989 818842710 /nfs/dbraw/zinc/84/27/10/818842710.db2.gz PWYIJEPVFGORNU-CYBMUJFWSA-N 0 1 273.336 0.202 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cnn3cc[nH]c23)C1 ZINC001033615569 818854403 /nfs/dbraw/zinc/85/44/03/818854403.db2.gz ACBYULFRASEXCD-LBPRGKRZSA-N 0 1 285.351 0.832 20 30 CCEDMN CN(C(=O)CN1CCCC1)[C@@H]1CCN(CC#N)C1 ZINC001033666743 818873588 /nfs/dbraw/zinc/87/35/88/818873588.db2.gz YYCSMHIQQOPSSH-GFCCVEGCSA-N 0 1 250.346 0.138 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001033697694 818887936 /nfs/dbraw/zinc/88/79/36/818887936.db2.gz WTXIPAVNPBRUGU-ZIAGYGMSSA-N 0 1 279.384 0.716 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCCOC2)C1 ZINC001033774289 818927552 /nfs/dbraw/zinc/92/75/52/818927552.db2.gz UWFVCNVWVQIVRF-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCOC2)C1 ZINC001033765568 818929598 /nfs/dbraw/zinc/92/95/98/818929598.db2.gz DQJVROPRJIBXJO-STQMWFEESA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033865393 818967857 /nfs/dbraw/zinc/96/78/57/818967857.db2.gz ZTNUCNJCMOTSOS-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033849703 818968481 /nfs/dbraw/zinc/96/84/81/818968481.db2.gz BHTZLCOGSOOYNO-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2CCOCC2)[C@H](O)C1 ZINC001090148616 818980413 /nfs/dbraw/zinc/98/04/13/818980413.db2.gz BIDHDBYXYUNSRV-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001033923069 818995567 /nfs/dbraw/zinc/99/55/67/818995567.db2.gz QNFVMIJREIUCKF-MQYQWHSLSA-N 0 1 262.353 0.435 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cnn(C)n2)C1 ZINC001033986478 819020428 /nfs/dbraw/zinc/02/04/28/819020428.db2.gz XYRSOYQEFLTJNE-NSHDSACASA-N 0 1 263.345 0.538 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cnn(C)n2)C1 ZINC001033986478 819020434 /nfs/dbraw/zinc/02/04/34/819020434.db2.gz XYRSOYQEFLTJNE-NSHDSACASA-N 0 1 263.345 0.538 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2ncn[n-]2)C1 ZINC001033992256 819025697 /nfs/dbraw/zinc/02/56/97/819025697.db2.gz HLSFODYSZIZXKN-NSHDSACASA-N 0 1 261.329 0.364 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccc(=O)[nH]c2)C1 ZINC001034035501 819037421 /nfs/dbraw/zinc/03/74/21/819037421.db2.gz QCEARYOLGPRSJG-ZDUSSCGKSA-N 0 1 273.336 0.957 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CC(F)(F)C2)[C@H](O)C1 ZINC001090182197 819042682 /nfs/dbraw/zinc/04/26/82/819042682.db2.gz GYPJZJDGZDDONV-VXGBXAGGSA-N 0 1 286.322 0.606 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CC(F)(F)C2)[C@H](O)C1 ZINC001090182685 819046230 /nfs/dbraw/zinc/04/62/30/819046230.db2.gz NSDXDSJOFADERK-WDEREUQCSA-N 0 1 274.311 0.769 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C=C2CCC2)[C@H](O)C1 ZINC001090182896 819054430 /nfs/dbraw/zinc/05/44/30/819054430.db2.gz QZBQMXFZRUPRJR-QWHCGFSZSA-N 0 1 250.342 0.834 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CCCF)C[C@@H]1n1ccnn1 ZINC001128830797 819056220 /nfs/dbraw/zinc/05/62/20/819056220.db2.gz YNNVPUODLKXPGT-UTUOFQBUSA-N 0 1 294.334 0.139 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CN(C)CCO2)C1 ZINC001034148846 819098419 /nfs/dbraw/zinc/09/84/19/819098419.db2.gz PBYOTHVMTVJEQI-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cccnc2)[C@@H](O)C1 ZINC001090198263 819137622 /nfs/dbraw/zinc/13/76/22/819137622.db2.gz WAJGDOPTMWKYSA-KBPBESRZSA-N 0 1 275.352 0.362 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@H](O)C1 ZINC001090203579 819151273 /nfs/dbraw/zinc/15/12/73/819151273.db2.gz IKJJFQBYWMRVKU-TZMCWYRMSA-N 0 1 286.335 0.075 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001034304054 819154275 /nfs/dbraw/zinc/15/42/75/819154275.db2.gz NBNGHUDKMUIDKA-LLVKDONJSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001034287961 819155415 /nfs/dbraw/zinc/15/54/15/819155415.db2.gz JQYSBPDKVCXGBG-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2c(C)cnn2C)C1 ZINC001034358222 819176311 /nfs/dbraw/zinc/17/63/11/819176311.db2.gz ODBUTGYPVKFNBY-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2cnoc2)C1 ZINC001034359587 819185241 /nfs/dbraw/zinc/18/52/41/819185241.db2.gz FJVGCJKDMRZGMF-CYBMUJFWSA-N 0 1 261.325 0.821 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2cncnc2)[C@H](O)C1 ZINC001090210485 819185776 /nfs/dbraw/zinc/18/57/76/819185776.db2.gz ODTOLHHLOCKIMJ-CHWSQXEVSA-N 0 1 276.340 0.218 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cncnc2)[C@H](O)C1 ZINC001090210485 819185782 /nfs/dbraw/zinc/18/57/82/819185782.db2.gz ODTOLHHLOCKIMJ-CHWSQXEVSA-N 0 1 276.340 0.218 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001034361685 819186192 /nfs/dbraw/zinc/18/61/92/819186192.db2.gz CFSZVAXHJQHCNE-BBRMVZONSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001034505638 819223844 /nfs/dbraw/zinc/22/38/44/819223844.db2.gz KNBVHMNNMWWTOE-GFCCVEGCSA-N 0 1 290.367 0.646 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001034526773 819230421 /nfs/dbraw/zinc/23/04/21/819230421.db2.gz CJOIXJPSTPXWAJ-GFCCVEGCSA-N 0 1 288.351 0.331 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2[nH]cnc2C)C1 ZINC001034552660 819243453 /nfs/dbraw/zinc/24/34/53/819243453.db2.gz GATBBMMDZRPPNH-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN N#Cc1cccnc1N1CC[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001061777202 819294790 /nfs/dbraw/zinc/29/47/90/819294790.db2.gz OBFWWOOGYOOYHP-JTQLQIEISA-N 0 1 297.322 0.328 20 30 CCEDMN N#CCN[C@H]1CC[C@H](NC(=O)c2[nH]ncc2F)CC1 ZINC001034732824 819313857 /nfs/dbraw/zinc/31/38/57/819313857.db2.gz MIXHWERUCCLKDT-KYZUINATSA-N 0 1 265.292 0.703 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2n[nH]cc2C)[C@H](O)C1 ZINC001090252532 819315497 /nfs/dbraw/zinc/31/54/97/819315497.db2.gz UDDFXPAIVVFJDH-GHMZBOCLSA-N 0 1 264.329 0.069 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@@H]2COCCO2)C1 ZINC001035294026 819440433 /nfs/dbraw/zinc/44/04/33/819440433.db2.gz HECZLVUFIRAYFC-KGLIPLIRSA-N 0 1 298.383 0.185 20 30 CCEDMN C=C(C)CC[N@H+]1CCO[C@@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001035314779 819464561 /nfs/dbraw/zinc/46/45/61/819464561.db2.gz GMNNMOJRNQKFHC-KGLIPLIRSA-N 0 1 282.384 0.806 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001035314779 819464565 /nfs/dbraw/zinc/46/45/65/819464565.db2.gz GMNNMOJRNQKFHC-KGLIPLIRSA-N 0 1 282.384 0.806 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H]1COC(=O)C1)CC2 ZINC001035685900 819602924 /nfs/dbraw/zinc/60/29/24/819602924.db2.gz KHLUAZKOQNFNSI-ZDUSSCGKSA-N 0 1 290.363 0.497 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C1CN(C(C)=O)C1)CC2 ZINC001035693896 819607508 /nfs/dbraw/zinc/60/75/08/819607508.db2.gz JXAMTZBDRKOETH-UHFFFAOYSA-N 0 1 289.379 0.022 20 30 CCEDMN N#CCN1CC2(C1)CCN(C(=O)[C@@H]1CC1[N+](=O)[O-])CC2 ZINC001035704354 819611447 /nfs/dbraw/zinc/61/14/47/819611447.db2.gz KPRFXQMTFNGCKT-GHMZBOCLSA-N 0 1 278.312 0.100 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@@H](C)CO1)CC2 ZINC001035758253 819619848 /nfs/dbraw/zinc/61/98/48/819619848.db2.gz SEGOQMDTDIFWCI-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN CN1CC(C(=O)N2CCC3(CN(CC#N)C3)CC2)=NC1=O ZINC001035785176 819624827 /nfs/dbraw/zinc/62/48/27/819624827.db2.gz OVRIWKOHRGNOQU-UHFFFAOYSA-N 0 1 289.339 0.187 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cn1ccc(C)n1)CC2 ZINC001035798413 819625560 /nfs/dbraw/zinc/62/55/60/819625560.db2.gz MBIUFLPVRHSUII-UHFFFAOYSA-N 0 1 286.379 0.749 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1OCC[C@H]1C)CC2 ZINC001035797352 819625661 /nfs/dbraw/zinc/62/56/61/819625661.db2.gz YHQVFRCKAFKIJU-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CCO[C@H]1C)CC2 ZINC001035825482 819631276 /nfs/dbraw/zinc/63/12/76/819631276.db2.gz LHOPEFRZIJJDKI-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cccn(C)c1=O)CC2 ZINC001035839293 819635114 /nfs/dbraw/zinc/63/51/14/819635114.db2.gz OJGJDAZWBRFTFV-UHFFFAOYSA-N 0 1 299.374 0.557 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2nc[nH]n2)CC[C@@H]1NCC#N ZINC001035976238 819664435 /nfs/dbraw/zinc/66/44/35/819664435.db2.gz ZOXFHTMMQSXBRT-QWRGUYRKSA-N 0 1 276.344 0.087 20 30 CCEDMN C#CC1(O)CCN(CCn2c(C)csc2=O)CC1 ZINC000707911131 819749843 /nfs/dbraw/zinc/74/98/43/819749843.db2.gz DHJDGECHSRUHQS-UHFFFAOYSA-N 0 1 266.366 0.678 20 30 CCEDMN N#Cc1cnccc1NC[C@H]1CCCN1C(=O)c1ccn[nH]1 ZINC001063428851 820148648 /nfs/dbraw/zinc/14/86/48/820148648.db2.gz WFNSKISNRLYVDZ-GFCCVEGCSA-N 0 1 296.334 0.815 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnn2C(C)C)[C@H](O)C1 ZINC001090290400 820148940 /nfs/dbraw/zinc/14/89/40/820148940.db2.gz ULLNUIZJRPXAQG-TZMCWYRMSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cncs2)[C@H](O)C1 ZINC001090341993 820282964 /nfs/dbraw/zinc/28/29/64/820282964.db2.gz MTNNMMFFHHSQGZ-VXGBXAGGSA-N 0 1 281.381 0.423 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cncs2)[C@@H](O)C1 ZINC001090341992 820284062 /nfs/dbraw/zinc/28/40/62/820284062.db2.gz MTNNMMFFHHSQGZ-RYUDHWBXSA-N 0 1 281.381 0.423 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2onc(C)c2C)[C@H](O)C1 ZINC001090348406 820287168 /nfs/dbraw/zinc/28/71/68/820287168.db2.gz CNCVPNHBDLSKAW-VXGBXAGGSA-N 0 1 279.340 0.642 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cn2cccn2)C1 ZINC001079423556 820380159 /nfs/dbraw/zinc/38/01/59/820380159.db2.gz OCLVUFXFEBLNIH-CHWSQXEVSA-N 0 1 260.341 0.343 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC001079546848 820422746 /nfs/dbraw/zinc/42/27/46/820422746.db2.gz ZYZJZPKAXIKGDV-ZYHUDNBSSA-N 0 1 259.309 0.471 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001080180637 820523763 /nfs/dbraw/zinc/52/37/63/820523763.db2.gz UOAHBNXSKCEGIZ-GZBLMMOJSA-N 0 1 292.379 0.108 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)cnn2C)C1 ZINC001080283441 820544831 /nfs/dbraw/zinc/54/48/31/820544831.db2.gz MPFABALFQUONGJ-CHWSQXEVSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001080459459 820578969 /nfs/dbraw/zinc/57/89/69/820578969.db2.gz XBAXLNJHPBRDBM-YIYPIFLZSA-N 0 1 291.395 0.348 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCNCc1cnn(C)n1 ZINC001164223138 820671439 /nfs/dbraw/zinc/67/14/39/820671439.db2.gz PXIBUJGATSRDFZ-NWDGAFQWSA-N 0 1 279.388 0.869 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H]2CCOC2)[C@H](OC)C1 ZINC001081470066 820768522 /nfs/dbraw/zinc/76/85/22/820768522.db2.gz MYJLERZTBCGCDW-MGPQQGTHSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H]2CCCOC2)[C@H](OC)C1 ZINC001082374643 820951135 /nfs/dbraw/zinc/95/11/35/820951135.db2.gz LEJYOLDIUUHECJ-RRFJBIMHSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H](C)NC(C)=O ZINC001098874493 820956152 /nfs/dbraw/zinc/95/61/52/820956152.db2.gz XSGFMYGAPLUIGF-GXTWGEPZSA-N 0 1 293.411 0.751 20 30 CCEDMN COc1cc(CN[C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)on1 ZINC001082451026 820972094 /nfs/dbraw/zinc/97/20/94/820972094.db2.gz OAKKHZREXVVJDP-CKYFFXLPSA-N 0 1 292.339 0.779 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H](NCc2nccn2C)C1 ZINC001082451699 820972972 /nfs/dbraw/zinc/97/29/72/820972972.db2.gz SXCSIDHVBHHKKI-IJLUTSLNSA-N 0 1 275.356 0.516 20 30 CCEDMN CC#CCN1C[C@H]2OCCN(C(=O)c3cc(C)[nH]n3)[C@H]2C1 ZINC001083014922 821107679 /nfs/dbraw/zinc/10/76/79/821107679.db2.gz XHKKUHRXOFLZGB-UONOGXRCSA-N 0 1 288.351 0.267 20 30 CCEDMN CC#CCN1C[C@H]2OCCN(C(=O)[C@H]3CCCN3C)[C@H]2C1 ZINC001083023779 821112504 /nfs/dbraw/zinc/11/25/04/821112504.db2.gz OVAZIKYFGMPQPQ-QLFBSQMISA-N 0 1 291.395 0.016 20 30 CCEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CNC(N)=O ZINC001098900770 821185374 /nfs/dbraw/zinc/18/53/74/821185374.db2.gz UYCJXRKEFNAUGN-GFCCVEGCSA-N 0 1 294.399 0.285 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c[nH]cc2C)[C@@H](O)C1 ZINC001084052339 821187079 /nfs/dbraw/zinc/18/70/79/821187079.db2.gz YQFZFYFLIDXJAT-OLZOCXBDSA-N 0 1 261.325 0.121 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cnccn3)[C@@H]2C1 ZINC001084162016 821220948 /nfs/dbraw/zinc/22/09/48/821220948.db2.gz UCAVYMUVVZJTNN-TZMCWYRMSA-N 0 1 270.336 0.646 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cn3cccn3)[C@@H]2C1 ZINC001084188058 821229397 /nfs/dbraw/zinc/22/93/97/821229397.db2.gz RGURZGIILKPXBI-ZIAGYGMSSA-N 0 1 272.352 0.439 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H]3CCCOC3)[C@@H]2C1 ZINC001084223616 821243347 /nfs/dbraw/zinc/24/33/47/821243347.db2.gz AJGDZUMWQKDXGE-QLFBSQMISA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3COCCN3C)[C@@H]2C1 ZINC001084292992 821255148 /nfs/dbraw/zinc/25/51/48/821255148.db2.gz UXOVEYAKZLGZDH-MGPQQGTHSA-N 0 1 279.384 0.036 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(=O)n(C)c3)[C@@H]2C1 ZINC001084480770 821297773 /nfs/dbraw/zinc/29/77/73/821297773.db2.gz BWWVUUJRWYCGMU-TZMCWYRMSA-N 0 1 287.363 0.718 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1C[C@H]2CCN(CC#N)C[C@H]21 ZINC001084712348 821359469 /nfs/dbraw/zinc/35/94/69/821359469.db2.gz CMJUCEOHWAIOGV-YRGRVCCFSA-N 0 1 287.367 0.645 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3[nH]cnc3C)[C@@H]2C1 ZINC001084799484 821395777 /nfs/dbraw/zinc/39/57/77/821395777.db2.gz LUZOJNGNOQARKO-TZMCWYRMSA-N 0 1 274.368 0.979 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCCN3C(C)=O)[C@@H]2C1 ZINC001084857978 821413217 /nfs/dbraw/zinc/41/32/17/821413217.db2.gz DUIQPCALEVNUJX-RBSFLKMASA-N 0 1 291.395 0.716 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3CCCO3)C[C@@H]21 ZINC001084885399 821422653 /nfs/dbraw/zinc/42/26/53/821422653.db2.gz YGWZIHQSAIBKBN-HZSPNIEDSA-N 0 1 262.353 0.721 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC(C)(C)c1c[nH]nn1 ZINC000820510980 821446063 /nfs/dbraw/zinc/44/60/63/821446063.db2.gz ZHWOMYQFWOJMCL-BDAKNGLRSA-N 0 1 250.302 0.747 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCN(CC#N)CC2)C1 ZINC000822351288 821478140 /nfs/dbraw/zinc/47/81/40/821478140.db2.gz JGCILQJOVOTYIJ-YPMHNXCESA-N 0 1 287.367 0.743 20 30 CCEDMN Cc1cc2ncc(C(=O)NCC#CCN(C)C)c(C)n2n1 ZINC000823675058 821530833 /nfs/dbraw/zinc/53/08/33/821530833.db2.gz YFMNINKGGBQZCA-UHFFFAOYSA-N 0 1 285.351 0.641 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnn(C)c1 ZINC001085479945 821733002 /nfs/dbraw/zinc/73/30/02/821733002.db2.gz CVKCAZZPEMFMIV-CYBMUJFWSA-N 0 1 260.341 0.590 20 30 CCEDMN C[C@@H](O)CN1CC[C@@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534337 821774080 /nfs/dbraw/zinc/77/40/80/821774080.db2.gz IFMMYKOYCGRMOU-ZYHUDNBSSA-N 0 1 276.340 0.413 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1[nH]c(=O)[nH]c1C ZINC001085658433 821875999 /nfs/dbraw/zinc/87/59/99/821875999.db2.gz QZTUDUUNYVSUCX-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)[C@@H]1CN(C(C)C)CCO1 ZINC001085779765 821948358 /nfs/dbraw/zinc/94/83/58/821948358.db2.gz CFSRYAIMVZDSTC-GJZGRUSLSA-N 0 1 293.411 0.262 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@@H]2CCN2CCCO)cn1 ZINC001085933984 822024022 /nfs/dbraw/zinc/02/40/22/822024022.db2.gz OTRLWOQHMUFCLD-HNNXBMFYSA-N 0 1 287.363 0.592 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCCC(=O)N1C ZINC001085952721 822033180 /nfs/dbraw/zinc/03/31/80/822033180.db2.gz JQURJYWVWARBFB-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCC(=O)N1C ZINC001085952721 822033187 /nfs/dbraw/zinc/03/31/87/822033187.db2.gz JQURJYWVWARBFB-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(=O)[nH]c1 ZINC001085957547 822037426 /nfs/dbraw/zinc/03/74/26/822037426.db2.gz DRNRGSMVQLCKCB-ZDUSSCGKSA-N 0 1 273.336 0.957 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1c[nH]c(C(N)=O)c1 ZINC001085974397 822048477 /nfs/dbraw/zinc/04/84/77/822048477.db2.gz SYARRDICJQCBEU-LBPRGKRZSA-N 0 1 290.367 0.836 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2snnc2CC)[C@@H](O)C1 ZINC001090406232 822139099 /nfs/dbraw/zinc/13/90/99/822139099.db2.gz DJNFSHGCHYEQDA-MNOVXSKESA-N 0 1 296.396 0.452 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCn2cccc2)[C@H](O)C1 ZINC001100053390 822178951 /nfs/dbraw/zinc/17/89/51/822178951.db2.gz NABLEWNJLJRYRM-HUUCEWRRSA-N 0 1 289.379 0.453 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@H]1C[C@@H](NCC#N)C1 ZINC001086278088 822185750 /nfs/dbraw/zinc/18/57/50/822185750.db2.gz GWVIFCBLFQDKEP-MJBXVCDLSA-N 0 1 278.400 0.869 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)CC(=O)N(C)C)C1 ZINC001108262597 822210682 /nfs/dbraw/zinc/21/06/82/822210682.db2.gz UDNBGZUUSSJGQT-OAHLLOKOSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cnccn2)[C@H](O)C1 ZINC001100066511 822213477 /nfs/dbraw/zinc/21/34/77/822213477.db2.gz JIWSSPLHWCISTO-UONOGXRCSA-N 0 1 290.367 0.147 20 30 CCEDMN C#CCNc1ncnc2[nH]cc(S(C)(=O)=O)c21 ZINC001155650775 822217612 /nfs/dbraw/zinc/21/76/12/822217612.db2.gz INVMFXLDANTFTF-UHFFFAOYSA-N 0 1 250.283 0.358 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001086475483 822271606 /nfs/dbraw/zinc/27/16/06/822271606.db2.gz YCTCTKUWXSUVKL-IJLUTSLNSA-N 0 1 287.367 0.838 20 30 CCEDMN CCO[C@@H](CC)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001113977018 837393034 /nfs/dbraw/zinc/39/30/34/837393034.db2.gz OHEZHBBZWHKFSE-LJISPDSOSA-N 0 1 294.395 0.498 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)CSC ZINC001114041736 837419531 /nfs/dbraw/zinc/41/95/31/837419531.db2.gz POVHJMCJBYFEFE-WYUUTHIRSA-N 0 1 252.383 0.665 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H]2C(NC(=O)/C(C)=C/C)[C@@H]2C1 ZINC001114130357 837446651 /nfs/dbraw/zinc/44/66/51/837446651.db2.gz KCKMXJVJSONCIL-VONYUPSYSA-N 0 1 291.395 0.690 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@H](C)OC ZINC001114168273 837462481 /nfs/dbraw/zinc/46/24/81/837462481.db2.gz OSWMZSOFQAOBNJ-TTZDDIAXSA-N 0 1 294.395 0.498 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@@H](C)OC ZINC001114168272 837462016 /nfs/dbraw/zinc/46/20/16/837462016.db2.gz OSWMZSOFQAOBNJ-NYTXWWLZSA-N 0 1 294.395 0.498 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CN(CC)C[C@@H]1n1ccnn1 ZINC001129480732 837516367 /nfs/dbraw/zinc/51/63/67/837516367.db2.gz BPAXUYZXEAMBRZ-UPJWGTAASA-N 0 1 293.371 0.231 20 30 CCEDMN C[C@H](C(=O)NCc1ccc2cncn2c1)n1cnc(C#N)n1 ZINC001129554405 837527363 /nfs/dbraw/zinc/52/73/63/837527363.db2.gz SLKPHPRJNTYORD-SNVBAGLBSA-N 0 1 295.306 0.675 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccnn1CCOC ZINC001130066292 837675678 /nfs/dbraw/zinc/67/56/78/837675678.db2.gz RDWDTBCHMPSRJQ-UHFFFAOYSA-N 0 1 286.763 0.601 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)C#CC2CC2)C1 ZINC001130389133 837784772 /nfs/dbraw/zinc/78/47/72/837784772.db2.gz JQMDYOGMUNBFRT-AWEZNQCLSA-N 0 1 296.374 0.862 20 30 CCEDMN CN1CC2(C1)CN(C(=O)[C@H](C#N)Cc1ccc(O)cc1)C2 ZINC001183609283 844043610 /nfs/dbraw/zinc/04/36/10/844043610.db2.gz TXWJJHZDKVALFT-ZDUSSCGKSA-N 0 1 285.347 0.848 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)OC)C2 ZINC001110335913 844049690 /nfs/dbraw/zinc/04/96/90/844049690.db2.gz NAUXQWZQUQRULZ-UTUOFQBUSA-N 0 1 279.340 0.087 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C2CC2)C[C@@H]1C ZINC001183746291 844087830 /nfs/dbraw/zinc/08/78/30/844087830.db2.gz TVEMNMNYTXCINB-LBPRGKRZSA-N 0 1 250.342 0.721 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)CC[C@@H]1CNCC#N ZINC001183896178 844107283 /nfs/dbraw/zinc/10/72/83/844107283.db2.gz CLTKDANBWQWQTF-GHMZBOCLSA-N 0 1 261.329 0.621 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnn2c1OCC2 ZINC001156190539 835982993 /nfs/dbraw/zinc/98/29/93/835982993.db2.gz OEWPOJGSVZLTBP-NSHDSACASA-N 0 1 276.340 0.656 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cnn(C)c2)[C@H](O)C1 ZINC001100124906 835992405 /nfs/dbraw/zinc/99/24/05/835992405.db2.gz OTIQRWQRFBCAPX-UONOGXRCSA-N 0 1 292.383 0.090 20 30 CCEDMN N#Cc1nc(-n2nnnc2CN)ccc1C(F)(F)F ZINC001168919271 836050585 /nfs/dbraw/zinc/05/05/85/836050585.db2.gz YZGCBRJDFYIHSA-UHFFFAOYSA-N 0 1 269.190 0.406 20 30 CCEDMN Cc1cnc(CN)n1-c1ncc(C#N)cc1[N+](=O)[O-] ZINC001169020564 836114884 /nfs/dbraw/zinc/11/48/84/836114884.db2.gz OWSWSZXFISVVDJ-UHFFFAOYSA-N 0 1 258.241 0.814 20 30 CCEDMN CC(=O)N1CC[C@H](NC2(C#N)CCN(C)CC2)C1 ZINC001169526748 836327767 /nfs/dbraw/zinc/32/77/67/836327767.db2.gz OPNSCWJFSDQZNC-LBPRGKRZSA-N 0 1 250.346 0.185 20 30 CCEDMN C[C@@H](CN(C)c1ccc(C#N)nc1)NC(=O)c1cnn[nH]1 ZINC001109318352 836657571 /nfs/dbraw/zinc/65/75/71/836657571.db2.gz CGSWXTANXGIBEJ-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccn(C)n1)C2 ZINC001109356568 836661264 /nfs/dbraw/zinc/66/12/64/836661264.db2.gz UAHPVUYQHLBDIQ-ILXRZTDVSA-N 0 1 286.379 0.707 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN(C)C(=O)C1CC1)C2 ZINC001109366530 836662332 /nfs/dbraw/zinc/66/23/32/836662332.db2.gz SQYSFZNZLUAUCK-RDBSUJKOSA-N 0 1 289.379 0.210 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cncnc1)C2 ZINC001109527442 836693047 /nfs/dbraw/zinc/69/30/47/836693047.db2.gz UKVXNMFZJCTWBB-ILXRZTDVSA-N 0 1 284.363 0.764 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(COC)CCOC1)C2 ZINC001109566727 836697553 /nfs/dbraw/zinc/69/75/53/836697553.db2.gz PCJSTCRVGVQGFP-ORIJERBGSA-N 0 1 294.395 0.947 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(COC)CCOC1)C2 ZINC001109566727 836697559 /nfs/dbraw/zinc/69/75/59/836697559.db2.gz PCJSTCRVGVQGFP-ORIJERBGSA-N 0 1 294.395 0.947 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)NC(C)=O)C2 ZINC001109749929 836723550 /nfs/dbraw/zinc/72/35/50/836723550.db2.gz PZKQXUJCJATPLO-KBXIAJHMSA-N 0 1 291.395 0.646 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(=O)N(C)C)C2 ZINC001109816362 836735236 /nfs/dbraw/zinc/73/52/36/836735236.db2.gz RNKNNUBMRYZXQR-AGIUHOORSA-N 0 1 277.368 0.210 20 30 CCEDMN N#Cc1cnc(NC[C@@H](NC(=O)c2ncn[nH]2)C2CC2)cn1 ZINC001109885164 836742803 /nfs/dbraw/zinc/74/28/03/836742803.db2.gz WNWDTUZYAHKADX-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cnc(NC[C@@H](NC(=O)c2nc[nH]n2)C2CC2)cn1 ZINC001109885164 836742808 /nfs/dbraw/zinc/74/28/08/836742808.db2.gz WNWDTUZYAHKADX-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CCN(CC=C)C[C@H]1O ZINC001100135731 836761731 /nfs/dbraw/zinc/76/17/31/836761731.db2.gz AWLDTHGEBJUHEA-CHWSQXEVSA-N 0 1 268.357 0.317 20 30 CCEDMN N#CCSCC(=O)N1CCN(C[C@@H]2CCCOC2)CC1 ZINC001112629148 836831859 /nfs/dbraw/zinc/83/18/59/836831859.db2.gz RRQVPMFOHXZRFI-ZDUSSCGKSA-N 0 1 297.424 0.814 20 30 CCEDMN C=CCCN1CCN(C(=O)CNC(=O)CC)CC1 ZINC001112722005 836887188 /nfs/dbraw/zinc/88/71/88/836887188.db2.gz ZJLWCRZNFFLWKY-UHFFFAOYSA-N 0 1 253.346 0.233 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2COC(=O)N2)CC1 ZINC001112815307 836926365 /nfs/dbraw/zinc/92/63/65/836926365.db2.gz LRZLRVINXNBUGL-VXGBXAGGSA-N 0 1 281.356 0.594 20 30 CCEDMN C=CCCN1CCN(C(=O)CN(C)C(=O)C2CC2)CC1 ZINC001112919882 836968477 /nfs/dbraw/zinc/96/84/77/836968477.db2.gz NUTZQSDYFFOUEA-UHFFFAOYSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2cn[nH]c(=O)c2)CC1 ZINC001112991102 836988674 /nfs/dbraw/zinc/98/86/74/836988674.db2.gz FLQGWROUSXCYNS-UHFFFAOYSA-N 0 1 276.340 0.906 20 30 CCEDMN C[C@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113115989 837023955 /nfs/dbraw/zinc/02/39/55/837023955.db2.gz BFWLFBJRJFMLRG-IUCAKERBSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113115989 837023971 /nfs/dbraw/zinc/02/39/71/837023971.db2.gz BFWLFBJRJFMLRG-IUCAKERBSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@@H](C)Nc1ccc(C#N)nc1 ZINC001113114428 837027757 /nfs/dbraw/zinc/02/77/57/837027757.db2.gz HKDJHKKVZMLAKT-RKDXNWHRSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@@H](C)Nc1ccc(C#N)nc1 ZINC001113114428 837027767 /nfs/dbraw/zinc/02/77/67/837027767.db2.gz HKDJHKKVZMLAKT-RKDXNWHRSA-N 0 1 285.311 0.690 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H](C)CC(N)=O)CC1 ZINC001113192600 837049013 /nfs/dbraw/zinc/04/90/13/837049013.db2.gz AMKWRBPXLIDUEH-LBPRGKRZSA-N 0 1 267.373 0.608 20 30 CCEDMN C=CCCCN1CCN(C(=O)C2(C(N)=O)CC2)CC1 ZINC001113278486 837078298 /nfs/dbraw/zinc/07/82/98/837078298.db2.gz KAQJMWSBFJJNON-UHFFFAOYSA-N 0 1 265.357 0.362 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C(C)C)cn2)[C@@H](O)C1 ZINC001090451010 837105789 /nfs/dbraw/zinc/10/57/89/837105789.db2.gz DNJQMDFPJFODBF-OCCSQVGLSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CCCN1CCN(C(=O)C(C)(C)CNC(C)=O)CC1 ZINC001113424968 837113828 /nfs/dbraw/zinc/11/38/28/837113828.db2.gz NPUXKBWWYNKXIF-UHFFFAOYSA-N 0 1 281.400 0.869 20 30 CCEDMN C#CC[N@H+]1C[C@@H](c2ccccc2)[C@H](NC(=O)c2cnn[n-]2)C1 ZINC001086597034 837153614 /nfs/dbraw/zinc/15/36/14/837153614.db2.gz IOMZKBYZRMVTDS-DZGCQCFKSA-N 0 1 295.346 0.636 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1CC1 ZINC001113768851 837226230 /nfs/dbraw/zinc/22/62/30/837226230.db2.gz AWQZVDHEOJBOFR-NHAGDIPZSA-N 0 1 262.353 0.483 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnco2)[C@@H](O)C1 ZINC001090468826 837322731 /nfs/dbraw/zinc/32/27/31/837322731.db2.gz ZDEIUOOFNTUFFW-QWRGUYRKSA-N 0 1 265.313 0.416 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnco2)[C@@H](O)C1 ZINC001090468814 837322833 /nfs/dbraw/zinc/32/28/33/837322833.db2.gz ZDEIUOOFNTUFFW-MNOVXSKESA-N 0 1 265.313 0.416 20 30 CCEDMN C=CCN1C[C@@H](F)C[C@@H]1c1nc(CNC(=O)COC)n[nH]1 ZINC001131138602 838000746 /nfs/dbraw/zinc/00/07/46/838000746.db2.gz KSSACPDJPJHCEB-VHSXEESVSA-N 0 1 297.334 0.338 20 30 CCEDMN C=CCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(=O)COC)[nH]1 ZINC001131138602 838000752 /nfs/dbraw/zinc/00/07/52/838000752.db2.gz KSSACPDJPJHCEB-VHSXEESVSA-N 0 1 297.334 0.338 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CNC(=O)CCC)CC[C@@H]1C ZINC001131861072 838247363 /nfs/dbraw/zinc/24/73/63/838247363.db2.gz GDXSZNCYXSCVNJ-QWHCGFSZSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCNC(=O)CC(=O)N[C@@H]1CC[C@@H](C)N(CC#CC)C1 ZINC001131980457 838282498 /nfs/dbraw/zinc/28/24/98/838282498.db2.gz NNCQEQZHDJXPAE-ZIAGYGMSSA-N 0 1 289.379 0.118 20 30 CCEDMN CC#CC[NH2+]C[C@@H]1CCN(C(=O)c2[nH]nnc2C)C[C@@H]1C ZINC001184914525 844310909 /nfs/dbraw/zinc/31/09/09/844310909.db2.gz WBECNNKWTNJFSG-AAEUAGOBSA-N 0 1 289.383 0.824 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2ccn(C)n2)CC[C@@H]1C ZINC001132076696 838313190 /nfs/dbraw/zinc/31/31/90/838313190.db2.gz CIMAHHVAHQGIQZ-ZFWWWQNUSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C(C)(C)C(N)=O)CC[C@@H]1C ZINC001132121023 838320697 /nfs/dbraw/zinc/32/06/97/838320697.db2.gz YMPYYPMLBMOQMG-QWRGUYRKSA-N 0 1 265.357 0.100 20 30 CCEDMN COCC#CC[N@H+]1C[C@H](NC(=O)c2cnn[n-]2)CC[C@@H]1C ZINC001132150789 838326876 /nfs/dbraw/zinc/32/68/76/838326876.db2.gz WOSVSNFMWKILKB-NWDGAFQWSA-N 0 1 291.355 0.037 20 30 CCEDMN COCC#CC[N@H+]1C[C@H](NC(=O)c2cnn[n-]2)CC[C@H]1C ZINC001132150791 838327611 /nfs/dbraw/zinc/32/76/11/838327611.db2.gz WOSVSNFMWKILKB-VXGBXAGGSA-N 0 1 291.355 0.037 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2cn[nH]c2)CC[C@@H]1C ZINC001132347942 838369849 /nfs/dbraw/zinc/36/98/49/838369849.db2.gz DUWRVVBVARRLJU-GXTWGEPZSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCCCCCC(=O)NCCNCCS(C)(=O)=O ZINC001132398607 838385940 /nfs/dbraw/zinc/38/59/40/838385940.db2.gz GYHLFLYSCDAAFB-UHFFFAOYSA-N 0 1 288.413 0.321 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCn2cncn2)CC[C@@H]1C ZINC001132407707 838388081 /nfs/dbraw/zinc/38/80/81/838388081.db2.gz YYHSVZFSWVPOHA-KBPBESRZSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCC(=O)N(C)C)CC[C@@H]1C ZINC001132429445 838394995 /nfs/dbraw/zinc/39/49/95/838394995.db2.gz LZVXLYBEZTYLFW-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001132653369 838458971 /nfs/dbraw/zinc/45/89/71/838458971.db2.gz KKVIBWLLKUBONQ-SNVBAGLBSA-N 0 1 265.361 0.921 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@H](C)NCc1cnon1 ZINC001133909416 838773124 /nfs/dbraw/zinc/77/31/24/838773124.db2.gz LMQZQFLEAWWOOV-QWRGUYRKSA-N 0 1 282.344 0.645 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@@H](C)NCc1nncn1C ZINC001133909797 838774838 /nfs/dbraw/zinc/77/48/38/838774838.db2.gz RBZOOJMAENGCBM-VXGBXAGGSA-N 0 1 295.387 0.391 20 30 CCEDMN Cc1ncc(C#N)cc1NC(=O)[C@@H]1CN(C)CCN1C ZINC001185244653 844372621 /nfs/dbraw/zinc/37/26/21/844372621.db2.gz LUUNWGOHUVCOQS-ZDUSSCGKSA-N 0 1 273.340 0.446 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)C[C@H](C)NCc1nncn1C ZINC001134341331 838946952 /nfs/dbraw/zinc/94/69/52/838946952.db2.gz NEOMYWIBKDECCH-STQMWFEESA-N 0 1 291.399 0.847 20 30 CCEDMN C#Cc1ccc(CNC(=O)[C@@H]2CN(C)CCN2C)cc1 ZINC001185261529 844387041 /nfs/dbraw/zinc/38/70/41/844387041.db2.gz GXBVLCOACRTBCW-HNNXBMFYSA-N 0 1 271.364 0.530 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCCN1C(=O)C1CC1 ZINC001134890504 839108974 /nfs/dbraw/zinc/10/89/74/839108974.db2.gz PLAYODIWCBHBHR-LBPRGKRZSA-N 0 1 299.802 0.846 20 30 CCEDMN COCC#CC[NH2+][C@@H](C)C[C@@H](C)NC(=O)c1[n-]nnc1C ZINC001135166215 839170690 /nfs/dbraw/zinc/17/06/90/839170690.db2.gz LAVDCGHASKEFRV-WDEREUQCSA-N 0 1 293.371 0.249 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cnn(C)n1 ZINC001135288757 839199863 /nfs/dbraw/zinc/19/98/63/839199863.db2.gz WREOWQOTEYCIDK-UHFFFAOYSA-N 0 1 288.149 0.043 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(CNC(C)=O)o1 ZINC001135400824 839238635 /nfs/dbraw/zinc/23/86/35/839238635.db2.gz LLTKRHRABLEWHJ-UHFFFAOYSA-N 0 1 299.758 0.988 20 30 CCEDMN CC[C@@](N)(CO)Nc1nc(SC)ncc1C#N ZINC001170857850 839437788 /nfs/dbraw/zinc/43/77/88/839437788.db2.gz HZYCYYRGHBZVPB-JTQLQIEISA-N 0 1 253.331 0.539 20 30 CCEDMN CC[C@](N)(CO)Nc1ccc(OC)c(CC#N)n1 ZINC001170860163 839453698 /nfs/dbraw/zinc/45/36/98/839453698.db2.gz WCEBHEIFUUCKDT-GFCCVEGCSA-N 0 1 250.302 0.625 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2conc2C)[C@@H](O)C1 ZINC001090539659 839626583 /nfs/dbraw/zinc/62/65/83/839626583.db2.gz ZOLBALMCISOOEI-OLZOCXBDSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2cc(Cl)cn2)[C@H](O)C1 ZINC001090604836 839668874 /nfs/dbraw/zinc/66/88/74/839668874.db2.gz FRXFFZNGJLHWIR-VXGBXAGGSA-N 0 1 298.774 0.274 20 30 CCEDMN Cc1cc(CC(=O)NC2(C#N)CCN(C)CC2)on1 ZINC001136630509 839682888 /nfs/dbraw/zinc/68/28/88/839682888.db2.gz SDUQTWRMMBMCBD-UHFFFAOYSA-N 0 1 262.313 0.630 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cscn2)[C@H](O)C1 ZINC001090628165 839687493 /nfs/dbraw/zinc/68/74/93/839687493.db2.gz LHTXCNWHVSALTB-VXGBXAGGSA-N 0 1 281.381 0.423 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nc(CC)oc2C)[C@H](O)C1 ZINC001090637576 839691937 /nfs/dbraw/zinc/69/19/37/839691937.db2.gz KKBSTRWUJLALLV-VXGBXAGGSA-N 0 1 293.367 0.896 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncccc2OC)[C@@H](O)C1 ZINC001090761743 839788476 /nfs/dbraw/zinc/78/84/76/839788476.db2.gz VKVKAFNEWARSGV-NEPJUHHUSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)nn(C)c2F)[C@H](O)C1 ZINC001090770818 839798997 /nfs/dbraw/zinc/79/89/97/839798997.db2.gz KXOYTVKVQNGAEE-WDEREUQCSA-N 0 1 296.346 0.219 20 30 CCEDMN N#C[C@@H]1CN(Cc2c(O)cc(O)cc2O)CCC1=O ZINC001144514899 840074534 /nfs/dbraw/zinc/07/45/34/840074534.db2.gz WYAHSTWARZYXAL-MRVPVSSYSA-N 0 1 262.265 0.718 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@@H](Nc2ncnc3[nH]cnc32)C1 ZINC001091324016 840185176 /nfs/dbraw/zinc/18/51/76/840185176.db2.gz PRKJOYRLEXDQJT-BBBLOLIVSA-N 0 1 299.338 0.819 20 30 CCEDMN COc1cccc(C[C@@H](N)C(=O)N2CCOC[C@@H]2C#N)c1 ZINC001144936202 840197142 /nfs/dbraw/zinc/19/71/42/840197142.db2.gz OLVVBXNKYCOGJE-GXTWGEPZSA-N 0 1 289.335 0.316 20 30 CCEDMN COc1cccc(C[C@H](N)C(=O)N2CCOC[C@@H]2C#N)c1 ZINC001144936203 840197271 /nfs/dbraw/zinc/19/72/71/840197271.db2.gz OLVVBXNKYCOGJE-JSGCOSHPSA-N 0 1 289.335 0.316 20 30 CCEDMN C#CCN1CC[C@H](Oc2ccnc(CNC(C)=O)c2)C1 ZINC001091397165 840198971 /nfs/dbraw/zinc/19/89/71/840198971.db2.gz RDNYBCWBZVETHI-HNNXBMFYSA-N 0 1 273.336 0.804 20 30 CCEDMN C[C@@]12CN(CC#N)C[C@@H]1CN(C(=O)c1ccn[nH]1)C2 ZINC001091499129 840238466 /nfs/dbraw/zinc/23/84/66/840238466.db2.gz FMXFDVKXLCHAOY-MFKMUULPSA-N 0 1 259.313 0.327 20 30 CCEDMN CC(=O)N[C@@H](CS)C(=O)N1CCN(C(C)(C)C)CC1 ZINC000232582144 840249028 /nfs/dbraw/zinc/24/90/28/840249028.db2.gz WJRQCOWQYYYSCK-NSHDSACASA-N 0 1 287.429 0.364 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)COCCOC)C1 ZINC001149423854 840365620 /nfs/dbraw/zinc/36/56/20/840365620.db2.gz DMLRRXKYCYBJMM-ZDUSSCGKSA-N 0 1 286.372 0.043 20 30 CCEDMN C=CCOCC(=O)N1CC2(C1)CC[N@@H+](CC=C)C2 ZINC001147260828 840540245 /nfs/dbraw/zinc/54/02/45/840540245.db2.gz HIRNMRNITFOFFN-UHFFFAOYSA-N 0 1 250.342 0.909 20 30 CCEDMN C#CCCCC(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001147427970 840581354 /nfs/dbraw/zinc/58/13/54/840581354.db2.gz FNDNTWDBZMCDAF-GFCCVEGCSA-N 0 1 277.368 0.198 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@@H]3CC3(F)F)C2)C1 ZINC001147475762 840596419 /nfs/dbraw/zinc/59/64/19/840596419.db2.gz LLCARZRMIXOEDE-LBPRGKRZSA-N 0 1 298.333 0.826 20 30 CCEDMN C=C(C)CC[NH2+]CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001147698285 840664520 /nfs/dbraw/zinc/66/45/20/840664520.db2.gz HQBMKPFFBCIMTQ-UHFFFAOYSA-N 0 1 296.327 0.182 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)C3(CF)CC3)C2)C1 ZINC001148270808 840780450 /nfs/dbraw/zinc/78/04/50/840780450.db2.gz DUENVJIFIXGDSD-UHFFFAOYSA-N 0 1 294.370 0.920 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2n[nH]c(C)n2)nc1 ZINC001148370208 840794559 /nfs/dbraw/zinc/79/45/59/840794559.db2.gz NGTDJYRJTDFVMF-UHFFFAOYSA-N 0 1 284.323 0.009 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H]2[C@H](CCN2CC#N)C1 ZINC001036789935 841218899 /nfs/dbraw/zinc/21/88/99/841218899.db2.gz ZVPSMZUDNRQGAN-GHMZBOCLSA-N 0 1 274.328 0.173 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H]2[C@@H](CCN2CC#N)C1 ZINC001036789938 841219368 /nfs/dbraw/zinc/21/93/68/841219368.db2.gz ZVPSMZUDNRQGAN-WDEREUQCSA-N 0 1 274.328 0.173 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CC(C)(C)C)C2 ZINC001273531881 844626130 /nfs/dbraw/zinc/62/61/30/844626130.db2.gz BLMYSNFCIRSLDQ-UHFFFAOYSA-N 0 1 250.342 0.579 20 30 CCEDMN Cc1nsc(NCCNC(=O)[C@H]2CCCN2C)c1C#N ZINC001093530685 841312114 /nfs/dbraw/zinc/31/21/14/841312114.db2.gz BRHPGWLPKKYQHO-LLVKDONJSA-N 0 1 293.396 0.946 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCNc1ccc(C#N)nc1 ZINC001093531176 841313402 /nfs/dbraw/zinc/31/34/02/841313402.db2.gz HDZSQULLXWQLMF-ZDUSSCGKSA-N 0 1 273.340 0.576 20 30 CCEDMN Cc1cc(CC(=O)NCCNc2ccc(C#N)nc2)[nH]n1 ZINC001093551586 841336641 /nfs/dbraw/zinc/33/66/41/841336641.db2.gz KSPUDVAZKPSIDL-UHFFFAOYSA-N 0 1 284.323 0.756 20 30 CCEDMN CCc1nc(C#N)cc(NCCNC(=O)Cc2cnc[nH]2)n1 ZINC001094150751 841549827 /nfs/dbraw/zinc/54/98/27/841549827.db2.gz ZMUNWGOWWZLPQL-UHFFFAOYSA-N 0 1 299.338 0.405 20 30 CCEDMN N#Cc1cncc(NCCNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)n1 ZINC001094220232 841559353 /nfs/dbraw/zinc/55/93/53/841559353.db2.gz MYWBZFZFJCOPOB-GHMZBOCLSA-N 0 1 297.322 0.403 20 30 CCEDMN N#Cc1nccnc1NCCNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001094220057 841559637 /nfs/dbraw/zinc/55/96/37/841559637.db2.gz CMKYOPATRFBYGF-NXEZZACHSA-N 0 1 297.322 0.403 20 30 CCEDMN Cc1cc(CC(=O)NCCCNc2cncc(C#N)n2)[nH]n1 ZINC001094366580 841621900 /nfs/dbraw/zinc/62/19/00/841621900.db2.gz YSQPWNXBECVHKW-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@]12CCC[C@H]1N(CC#N)CC2 ZINC001094379890 841630588 /nfs/dbraw/zinc/63/05/88/841630588.db2.gz FHOLBPXOUOBIKF-SQWLQELKSA-N 0 1 290.411 0.965 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1COCCO1)C2 ZINC001095191341 842095761 /nfs/dbraw/zinc/09/57/61/842095761.db2.gz CNPDIZJQRBGUOK-RFGFWPKPSA-N 0 1 280.368 0.699 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCOCCOC)C2 ZINC001110186875 842253784 /nfs/dbraw/zinc/25/37/84/842253784.db2.gz FRKVTCZTLDAKPQ-ILXRZTDVSA-N 0 1 294.395 0.784 20 30 CCEDMN C[C@H](CCCCNCC#N)NC(=O)CN1CCCC1 ZINC001176574018 842387493 /nfs/dbraw/zinc/38/74/93/842387493.db2.gz QDNDRTLLQZMDPG-CYBMUJFWSA-N 0 1 266.389 0.870 20 30 CCEDMN COc1cc(C#N)c(F)cc1NC(=O)Cc1nn[nH]n1 ZINC001176845679 842446683 /nfs/dbraw/zinc/44/66/83/842446683.db2.gz XURNSXSONZUMNN-UHFFFAOYSA-N 0 1 276.231 0.400 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1cn(C)nn1 ZINC001177273667 842542917 /nfs/dbraw/zinc/54/29/17/842542917.db2.gz VOXGOLOKOZHHMD-NSHDSACASA-N 0 1 281.360 0.002 20 30 CCEDMN CON=C(C(=O)Nc1cn[nH]c1C(N)=O)c1ccco1 ZINC001177348810 842560469 /nfs/dbraw/zinc/56/04/69/842560469.db2.gz XJWXFGKXJBUZPS-SXGWCWSVSA-N 0 1 277.240 0.091 20 30 CCEDMN C=C[C@H]1C[C@@]1(NC(=O)Cc1n[nH]c(C)n1)C(=O)OCC ZINC001177443384 842607934 /nfs/dbraw/zinc/60/79/34/842607934.db2.gz WXWVFNZXWURAFR-ZANVPECISA-N 0 1 278.312 0.280 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC[C@@H](CO)[C@@H](O)C1 ZINC001177907963 842706374 /nfs/dbraw/zinc/70/63/74/842706374.db2.gz HAOLOLKDXPMMFX-GVXVVHGQSA-N 0 1 294.376 0.632 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@@H]1C=CS(=O)(=O)C1 ZINC001177917370 842708141 /nfs/dbraw/zinc/70/81/41/842708141.db2.gz SKTBTVIUBBLNTO-VHSXEESVSA-N 0 1 296.373 0.857 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CNC(=O)OC)[C@H]1C ZINC001178211245 842790904 /nfs/dbraw/zinc/79/09/04/842790904.db2.gz WNYVRODGZRTEKR-UWVGGRQHSA-N 0 1 289.763 0.674 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1c(C)csc1=O ZINC001150795683 842828146 /nfs/dbraw/zinc/82/81/46/842828146.db2.gz YWWDVPOGJAZEML-UHFFFAOYSA-N 0 1 289.788 0.677 20 30 CCEDMN O=C(C#Cc1ccc(F)cc1F)NCc1c[nH]nn1 ZINC001178573432 842881937 /nfs/dbraw/zinc/88/19/37/842881937.db2.gz HAJMWNDAMGNURV-UHFFFAOYSA-N 0 1 262.219 0.751 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CC[C@H](NC(=O)CN(C)C)CC1 ZINC001179901921 843078179 /nfs/dbraw/zinc/07/81/79/843078179.db2.gz TZZHLNUIIFPBIR-IJLUTSLNSA-N 0 1 280.372 0.251 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@@H](C)C1=NN(C)CC1=O ZINC001179926899 843081972 /nfs/dbraw/zinc/08/19/72/843081972.db2.gz PKTNQNJZXAXTRO-GXSJLCMTSA-N 0 1 294.355 0.774 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)[C@@H](C)C#N ZINC001179902570 843086891 /nfs/dbraw/zinc/08/68/91/843086891.db2.gz NXARCPJSTSUYEA-ZETCQYMHSA-N 0 1 250.258 0.362 20 30 CCEDMN CC(C)N1CCN(CC(=O)Nc2cnc(C#N)cn2)CC1 ZINC001180341757 843147587 /nfs/dbraw/zinc/14/75/87/843147587.db2.gz CQNYIVKUHNRTBW-UHFFFAOYSA-N 0 1 288.355 0.313 20 30 CCEDMN CC(C)N1CCN(CC(=O)NC2(C#N)CCOCC2)CC1 ZINC001180352250 843150254 /nfs/dbraw/zinc/15/02/54/843150254.db2.gz KHMOYFQCKBYJER-UHFFFAOYSA-N 0 1 294.399 0.201 20 30 CCEDMN CC(C)C[C@H](NC(=O)[C@H](C)N1CCCC1)C(=O)NO ZINC001180586656 843221254 /nfs/dbraw/zinc/22/12/54/843221254.db2.gz SYEFENBYLODGCW-QWRGUYRKSA-N 0 1 271.361 0.507 20 30 CCEDMN CC(=O)N[C@H](CC(F)(F)F)C(=O)Nc1nc[nH]c1C#N ZINC001181333355 843450927 /nfs/dbraw/zinc/45/09/27/843450927.db2.gz NFGOVZVDCPFEBO-ZCFIWIBFSA-N 0 1 289.217 0.677 20 30 CCEDMN C[NH+]1CCC(O)(C(=O)N([O-])C(=N)c2ccccc2N)CC1 ZINC001182035194 843685538 /nfs/dbraw/zinc/68/55/38/843685538.db2.gz YOZNUWSBZGIBTB-UHFFFAOYSA-N 0 1 292.339 0.269 20 30 CCEDMN COc1ccc(C#CC(=O)N2CCN3CC[C@H]3C2)cc1 ZINC001182048683 843698084 /nfs/dbraw/zinc/69/80/84/843698084.db2.gz QAONOPYBICMIRQ-AWEZNQCLSA-N 0 1 270.332 0.963 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@H](NCc2cc(C)no2)C1 ZINC001182490359 843850266 /nfs/dbraw/zinc/85/02/66/843850266.db2.gz SGUQUBDLSLNFKE-CYBMUJFWSA-N 0 1 291.351 0.713 20 30 CCEDMN C=C[C@](C)(O)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC001183007677 843950011 /nfs/dbraw/zinc/95/00/11/843950011.db2.gz XTURUQPNCCFJSE-ZDUSSCGKSA-N 0 1 274.280 0.537 20 30 CCEDMN O=C(/C=C/NC(=O)C(CO)C(F)(F)F)C(F)(F)F ZINC001183287698 844004868 /nfs/dbraw/zinc/00/48/68/844004868.db2.gz JMMHHTPAULYBAQ-ROFOPDMZSA-N 0 1 279.136 0.919 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]2CN([C@@H](C)C(=O)N(C)C)C[C@H]21 ZINC001187240593 844675981 /nfs/dbraw/zinc/67/59/81/844675981.db2.gz UCQBGMIZHHBNMQ-MELADBBJSA-N 0 1 293.411 0.962 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2(C(=O)NC)CCC2)C1 ZINC001187298977 844700518 /nfs/dbraw/zinc/70/05/18/844700518.db2.gz UYBRBJVFFNWUEM-LLVKDONJSA-N 0 1 299.802 0.846 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C(C)(C)F)C1 ZINC001188616231 844921709 /nfs/dbraw/zinc/92/17/09/844921709.db2.gz BRPQGULOQHJLNG-LBPRGKRZSA-N 0 1 270.348 0.917 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)CC2CC2)C1 ZINC001188611150 844921780 /nfs/dbraw/zinc/92/17/80/844921780.db2.gz SPXGVUCTGXHKJC-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCO2)C1 ZINC001188738654 844965160 /nfs/dbraw/zinc/96/51/60/844965160.db2.gz UBVBVNRKQCFFAK-KBPBESRZSA-N 0 1 280.368 0.348 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc[n+]([O-])cc2)C1 ZINC001188746806 844967891 /nfs/dbraw/zinc/96/78/91/844967891.db2.gz KAEZWALJWKMDAG-CQSZACIVSA-N 0 1 273.336 0.490 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)CSC)C1 ZINC001188776724 844974056 /nfs/dbraw/zinc/97/40/56/844974056.db2.gz NTJGGUHDIUOLKC-RYUDHWBXSA-N 0 1 297.424 0.020 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCOC2)C1 ZINC001189196233 845074537 /nfs/dbraw/zinc/07/45/37/845074537.db2.gz JAFMJLOWPXUYMC-CHWSQXEVSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H](C)OCCOC)[C@@H]2C1 ZINC001189303290 845096785 /nfs/dbraw/zinc/09/67/85/845096785.db2.gz LHOBEAUGCPUQHR-HZSPNIEDSA-N 0 1 280.368 0.204 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)OCCOC)[C@@H]2C1 ZINC001189303290 845096792 /nfs/dbraw/zinc/09/67/92/845096792.db2.gz LHOBEAUGCPUQHR-HZSPNIEDSA-N 0 1 280.368 0.204 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CC[C@H](N(C)C/C=C/Cl)C1 ZINC001189770086 845204192 /nfs/dbraw/zinc/20/41/92/845204192.db2.gz OGWPEKZWXVKLLY-FUEXJSSKSA-N 0 1 297.786 0.411 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCN(C(=O)CC[C@H](C)OC)C1 ZINC001189798542 845223743 /nfs/dbraw/zinc/22/37/43/845223743.db2.gz UAJKSARMCHMOEH-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CC[C@H](C)OC)C1 ZINC001189798542 845223752 /nfs/dbraw/zinc/22/37/52/845223752.db2.gz UAJKSARMCHMOEH-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189918944 845268754 /nfs/dbraw/zinc/26/87/54/845268754.db2.gz XCAIEUUTWFLTRF-GOEBONIOSA-N 0 1 294.395 0.496 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@H]2CCN(C)C2=O)C1 ZINC001189926723 845272997 /nfs/dbraw/zinc/27/29/97/845272997.db2.gz RCKANJBPGNXSRP-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001190104246 845322215 /nfs/dbraw/zinc/32/22/15/845322215.db2.gz FDNLIGCOROIAFA-CABCVRRESA-N 0 1 292.379 0.207 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190083956 845325519 /nfs/dbraw/zinc/32/55/19/845325519.db2.gz IAUWMVSOOKBNGS-QWHCGFSZSA-N 0 1 293.411 0.833 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190083957 845326250 /nfs/dbraw/zinc/32/62/50/845326250.db2.gz IAUWMVSOOKBNGS-STQMWFEESA-N 0 1 293.411 0.833 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@]23C[C@H]2COC3)C1 ZINC001190257214 845377341 /nfs/dbraw/zinc/37/73/41/845377341.db2.gz DXMJNGUOKCJQBY-DZKIICNBSA-N 0 1 292.379 0.205 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H]([N@H+](C)[C@H](C)C(N)=O)C1 ZINC001190428471 845403801 /nfs/dbraw/zinc/40/38/01/845403801.db2.gz JILMDAFZSJJUDZ-VXGBXAGGSA-N 0 1 267.373 0.749 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001190428471 845403803 /nfs/dbraw/zinc/40/38/03/845403803.db2.gz JILMDAFZSJJUDZ-VXGBXAGGSA-N 0 1 267.373 0.749 20 30 CCEDMN C#CCC1(C(=O)N2CC[C@@H](N(C)[C@@H](C)C(N)=O)C2)CCC1 ZINC001190603243 845443241 /nfs/dbraw/zinc/44/32/41/845443241.db2.gz ANOXYSNZLAEZNH-QWHCGFSZSA-N 0 1 291.395 0.587 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CC2CC2)C1 ZINC001190627166 845450079 /nfs/dbraw/zinc/45/00/79/845450079.db2.gz QGZWKORROACCSN-CHWSQXEVSA-N 0 1 252.358 0.914 20 30 CCEDMN N#Cc1ccc(CNS(=O)(=O)c2ncc[nH]2)o1 ZINC001190755123 845471155 /nfs/dbraw/zinc/47/11/55/845471155.db2.gz LPJACKPQRJYFSX-UHFFFAOYSA-N 0 1 252.255 0.353 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CC(C)C)C1 ZINC001190803809 845506465 /nfs/dbraw/zinc/50/64/65/845506465.db2.gz WZWLMQBTRMKEDD-CHWSQXEVSA-N 0 1 270.373 0.396 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(OCC)n[nH]2)C1 ZINC001190856593 845526492 /nfs/dbraw/zinc/52/64/92/845526492.db2.gz MGYZKUSJEBUVOD-GFCCVEGCSA-N 0 1 290.367 0.978 20 30 CCEDMN COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)C2(COC)CC2)C1 ZINC001191368707 845640836 /nfs/dbraw/zinc/64/08/36/845640836.db2.gz RDEYWKSZECDNNV-AWEZNQCLSA-N 0 1 294.395 0.596 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C2(COC)CC2)C1 ZINC001191368707 845640843 /nfs/dbraw/zinc/64/08/43/845640843.db2.gz RDEYWKSZECDNNV-AWEZNQCLSA-N 0 1 294.395 0.596 20 30 CCEDMN CSc1ncc(C(=O)Nc2nc[nH]c2C#N)c(=O)[nH]1 ZINC001191429765 845651717 /nfs/dbraw/zinc/65/17/17/845651717.db2.gz QVEMNTQHNNYTOD-UHFFFAOYSA-N 0 1 276.281 0.751 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001191489736 845660489 /nfs/dbraw/zinc/66/04/89/845660489.db2.gz HLQKNDFDNAKTQK-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2csnn2)C1 ZINC001191587336 845686086 /nfs/dbraw/zinc/68/60/86/845686086.db2.gz VDWIWXGKMYUPHV-LLVKDONJSA-N 0 1 294.380 0.334 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1O ZINC001191646313 845707250 /nfs/dbraw/zinc/70/72/50/845707250.db2.gz YVVLMZUZOLZNMK-IJLUTSLNSA-N 0 1 256.346 0.149 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccn3nnnc3c2)C1 ZINC001191684224 845719614 /nfs/dbraw/zinc/71/96/14/845719614.db2.gz PVKUBZJEMLLEBN-CYBMUJFWSA-N 0 1 298.350 0.294 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2conc2C)C1 ZINC001192101624 845786836 /nfs/dbraw/zinc/78/68/36/845786836.db2.gz FCYFCNZOHVNOTL-ZDUSSCGKSA-N 0 1 291.351 0.779 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001192151711 845793792 /nfs/dbraw/zinc/79/37/92/845793792.db2.gz CFICVOUXYZRZMR-NSHDSACASA-N 0 1 276.340 0.295 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001192307109 845818142 /nfs/dbraw/zinc/81/81/42/845818142.db2.gz XQJISGCANFCWDL-IIAWOOMASA-N 0 1 296.411 0.665 20 30 CCEDMN COC(=O)c1ccc(O)c(C(=O)N2CCNC[C@H]2C#N)c1 ZINC001192390332 845825144 /nfs/dbraw/zinc/82/51/44/845825144.db2.gz VLUWYRQQZUALMO-SNVBAGLBSA-N 0 1 289.291 0.116 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001192472972 845852382 /nfs/dbraw/zinc/85/23/82/845852382.db2.gz SBLFBKUODNOUIH-UONOGXRCSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOCC(C)C)C[C@H]1O ZINC001192510781 845859238 /nfs/dbraw/zinc/85/92/38/845859238.db2.gz QZTYFYUUVCXOOO-HUUCEWRRSA-N 0 1 296.411 0.624 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[N@H+](CCOCC2CC2)C[C@H]1O ZINC001192518340 845864124 /nfs/dbraw/zinc/86/41/24/845864124.db2.gz RCJOTCMQDDGLIE-HUUCEWRRSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOCC2CC2)C[C@H]1O ZINC001192518340 845864135 /nfs/dbraw/zinc/86/41/35/845864135.db2.gz RCJOTCMQDDGLIE-HUUCEWRRSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001192578264 845877921 /nfs/dbraw/zinc/87/79/21/845877921.db2.gz OBGXPVALQRYNEY-NEPJUHHUSA-N 0 1 281.400 0.995 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ccncn2)C1 ZINC001192932795 845939168 /nfs/dbraw/zinc/93/91/68/845939168.db2.gz DHPLBNDGIZLCSS-ZDUSSCGKSA-N 0 1 288.351 0.273 20 30 CCEDMN Cc1nc2ccc(NS(=O)(=O)CC#N)cn2n1 ZINC001192938763 845942233 /nfs/dbraw/zinc/94/22/33/845942233.db2.gz GNVMLBCBADXORM-UHFFFAOYSA-N 0 1 251.271 0.303 20 30 CCEDMN CCOC(=O)C(C#N)NC(=O)c1cnccc1C#N ZINC001192981290 845943172 /nfs/dbraw/zinc/94/31/72/845943172.db2.gz JLIAFHFXVPJNBR-JTQLQIEISA-N 0 1 258.237 0.138 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc(OC(F)F)nc1 ZINC001192939498 845943483 /nfs/dbraw/zinc/94/34/83/845943483.db2.gz RNUATZOGZFJLEC-UHFFFAOYSA-N 0 1 263.225 0.948 20 30 CCEDMN CN1c2cccc(NS(=O)(=O)CC#N)c2CNC1=O ZINC001192985541 845945522 /nfs/dbraw/zinc/94/55/22/845945522.db2.gz FYAWBANCAJCZOV-UHFFFAOYSA-N 0 1 280.309 0.611 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2ncc[nH]2)C1 ZINC001193047425 845964300 /nfs/dbraw/zinc/96/43/00/845964300.db2.gz GASOWCJSGXMNFR-LBPRGKRZSA-N 0 1 260.341 0.508 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cc(C(N)=O)ccc1F ZINC001193151462 846006569 /nfs/dbraw/zinc/00/65/69/846006569.db2.gz QRWSZEOBZIMXSK-LURJTMIESA-N 0 1 271.273 0.578 20 30 CCEDMN CNC(=O)c1ccc(NS(=O)(=O)[C@@H](C)C#N)c(F)c1 ZINC001193158993 846008000 /nfs/dbraw/zinc/00/80/00/846008000.db2.gz YCLXIPTUEGMPNV-ZETCQYMHSA-N 0 1 285.300 0.839 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cccc2c1CCN(C)C2=O ZINC001193161109 846009453 /nfs/dbraw/zinc/00/94/53/846009453.db2.gz LNRJTUWHSHDAEW-SECBINFHSA-N 0 1 293.348 0.968 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N[C@@H]1Oc2ccc(CCO)cc2O1 ZINC001193192814 846013512 /nfs/dbraw/zinc/01/35/12/846013512.db2.gz GDNXQKPVWQKFKM-PRHODGIISA-N 0 1 298.320 0.108 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC(C)(C)CC)C1 ZINC001193279903 846040286 /nfs/dbraw/zinc/04/02/86/846040286.db2.gz QUPPOJHLROYGNX-CHWSQXEVSA-N 0 1 266.385 0.997 20 30 CCEDMN COC(=O)c1cccc(C(=O)Nc2nc[nH]c2C#N)n1 ZINC001193393526 846070019 /nfs/dbraw/zinc/07/00/19/846070019.db2.gz MCAPWHWMRDJAHI-UHFFFAOYSA-N 0 1 271.236 0.715 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCC(=C)C)C1 ZINC001193400638 846071851 /nfs/dbraw/zinc/07/18/51/846071851.db2.gz UXMFRABBSNWZJH-CHWSQXEVSA-N 0 1 250.342 0.527 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2conc2COC)C1 ZINC001193423798 846077345 /nfs/dbraw/zinc/07/73/45/846077345.db2.gz GKSGQSQGTQCEDD-GFCCVEGCSA-N 0 1 291.351 0.991 20 30 CCEDMN N#Cc1cc(C(=O)Nc2cnc(N)cn2)ccc1O ZINC001193499986 846082192 /nfs/dbraw/zinc/08/21/92/846082192.db2.gz YGPBHYYOKGWUGI-UHFFFAOYSA-N 0 1 255.237 0.888 20 30 CCEDMN CN1C[C@H](NC(=O)c2ccc(O)c(C#N)c2)CCC1=O ZINC001193511625 846100949 /nfs/dbraw/zinc/10/09/49/846100949.db2.gz DRPGUMWHGRBIPF-LLVKDONJSA-N 0 1 273.292 0.614 20 30 CCEDMN N#Cc1cc(C(=O)NCc2cccnn2)ccc1O ZINC001193511513 846101797 /nfs/dbraw/zinc/10/17/97/846101797.db2.gz BNCQBXLSAUJUFS-UHFFFAOYSA-N 0 1 254.249 0.984 20 30 CCEDMN N#Cc1cc(C(=O)NC2CN(C3COC3)C2)ccc1O ZINC001193518735 846103324 /nfs/dbraw/zinc/10/33/24/846103324.db2.gz FXHRXFGJBFLWPT-UHFFFAOYSA-N 0 1 273.292 0.077 20 30 CCEDMN C=C(Cl)CN1C[C@@H](O)[C@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001193613281 846122832 /nfs/dbraw/zinc/12/28/32/846122832.db2.gz WGMZNVAZIOBVLN-VXGBXAGGSA-N 0 1 298.774 0.256 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnn3cc[nH]c23)C1 ZINC001193684734 846141608 /nfs/dbraw/zinc/14/16/08/846141608.db2.gz UOHGBMLOZPNROF-LBPRGKRZSA-N 0 1 285.351 0.832 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001194397044 846285037 /nfs/dbraw/zinc/28/50/37/846285037.db2.gz NNPPGZWIXKQFIL-GJZGRUSLSA-N 0 1 294.395 0.760 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COC[C@@H]2CCCO2)C1 ZINC001194501484 846314858 /nfs/dbraw/zinc/31/48/58/846314858.db2.gz AKUNBVSMKIJICG-KBPBESRZSA-N 0 1 280.368 0.348 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC2(C)CC2)C1 ZINC001194816010 846397313 /nfs/dbraw/zinc/39/73/13/846397313.db2.gz ONWLMMUNXZDAHO-VXGBXAGGSA-N 0 1 252.358 0.914 20 30 CCEDMN CC[NH2+]C([S-])/N=C/COCCOCCOCCO ZINC001195363452 846514639 /nfs/dbraw/zinc/51/46/39/846514639.db2.gz GZLLHRJETMAIHG-UHFFFAOYSA-N 0 1 279.382 0.086 20 30 CCEDMN CCNC(=O)C[N@H+]1CC[C@@H]2[C@H]1CCC(=O)N2CCCC#N ZINC001273698624 846525083 /nfs/dbraw/zinc/52/50/83/846525083.db2.gz OEPNCZFBKXBUKY-CHWSQXEVSA-N 0 1 292.383 0.492 20 30 CCEDMN CCNC(=O)CN1CC[C@@H]2[C@H]1CCC(=O)N2CCCC#N ZINC001273698624 846525090 /nfs/dbraw/zinc/52/50/90/846525090.db2.gz OEPNCZFBKXBUKY-CHWSQXEVSA-N 0 1 292.383 0.492 20 30 CCEDMN C#CCCCCC(=O)N1CCCN(CCOCCO)CC1 ZINC001195556447 846557648 /nfs/dbraw/zinc/55/76/48/846557648.db2.gz ZXYZNBOCTSVWEL-UHFFFAOYSA-N 0 1 296.411 0.723 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2nccnc2C(N)=O)c(O)c1 ZINC001195733143 846604734 /nfs/dbraw/zinc/60/47/34/846604734.db2.gz AMJLTLFPQROIMR-UHFFFAOYSA-N 0 1 283.247 0.405 20 30 CCEDMN Cc1[nH][nH]c(=O)c1CCNC(=O)c1ccc(C#N)cc1O ZINC001195765020 846613946 /nfs/dbraw/zinc/61/39/46/846613946.db2.gz VVLFNQZGQSMAST-UHFFFAOYSA-N 0 1 286.291 0.974 20 30 CCEDMN CC(C)C[C@H](NC(=O)c1ccc(C#N)cc1O)C(=O)NO ZINC001195768140 846616036 /nfs/dbraw/zinc/61/60/36/846616036.db2.gz GMOBOMPMFVOTJU-NSHDSACASA-N 0 1 291.307 0.914 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H](O)[C@H](CO)C2)c(O)c1 ZINC001195740836 846619477 /nfs/dbraw/zinc/61/94/77/846619477.db2.gz LUERJOLIEKUJPJ-JQWIXIFHSA-N 0 1 276.292 0.079 20 30 CCEDMN C[C@]1(CO)CN(C(=O)c2ccc(C#N)cc2O)CC[C@@H]1O ZINC001195741112 846619826 /nfs/dbraw/zinc/61/98/26/846619826.db2.gz OIVVCRLSYQQHJA-DZGCQCFKSA-N 0 1 290.319 0.469 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCCN(CCOCC)CC1 ZINC001195805686 846631615 /nfs/dbraw/zinc/63/16/15/846631615.db2.gz HGDSUFAHRSXIKM-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCCO)C[C@H]2O)CCC1 ZINC001195940029 846643414 /nfs/dbraw/zinc/64/34/14/846643414.db2.gz MOKATSGJTBBWNT-CHWSQXEVSA-N 0 1 282.384 0.277 20 30 CCEDMN CCOC(=O)CS(=O)(=O)NC1=CC(=O)CC(C)(C)C1 ZINC001195993081 846672826 /nfs/dbraw/zinc/67/28/26/846672826.db2.gz KJZJIPMGMLTWRC-UHFFFAOYSA-N 0 1 289.353 0.742 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CN(C)C(=O)COC)CC1 ZINC001196040988 846679038 /nfs/dbraw/zinc/67/90/38/846679038.db2.gz TVGGPVXMGRUYHT-UHFFFAOYSA-N 0 1 297.399 0.202 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1cc(Cl)ncc1O ZINC001196396733 846741993 /nfs/dbraw/zinc/74/19/93/846741993.db2.gz OMDLXRDDBPCARD-LURJTMIESA-N 0 1 290.728 0.642 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCCOC)C[C@H]1O ZINC001196453221 846752239 /nfs/dbraw/zinc/75/22/39/846752239.db2.gz PSJDOSXIEQWNHR-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CCc2ncccn2)C1 ZINC001197098048 846837195 /nfs/dbraw/zinc/83/71/95/846837195.db2.gz MSHFQUGGFANRLN-CHWSQXEVSA-N 0 1 290.367 0.147 20 30 CCEDMN CCCCCCCN1C[C@@H](O)[C@H](NC(=O)c2cnon2)C1 ZINC001197074524 846838969 /nfs/dbraw/zinc/83/89/69/846838969.db2.gz RAGZTIWXFYUXMO-CHWSQXEVSA-N 0 1 296.371 0.815 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](NC(C)=O)C(C)C)CC1 ZINC001197879997 846993932 /nfs/dbraw/zinc/99/39/32/846993932.db2.gz QSVDMMOMFGBTBI-CQSZACIVSA-N 0 1 281.400 0.867 20 30 CCEDMN C=CCN1CCCN(C(=O)CNC(=O)[C@@H](C)CC)CC1 ZINC001198136520 847047028 /nfs/dbraw/zinc/04/70/28/847047028.db2.gz TXCNZGYNLMPPPD-ZDUSSCGKSA-N 0 1 281.400 0.869 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CCCN(CCOC)CC1 ZINC001198343748 847091330 /nfs/dbraw/zinc/09/13/30/847091330.db2.gz NNVDZGMWPPHMBD-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN COc1ccccc1[C@H](CNC(=O)[C@H](C)C#N)NCCO ZINC001198617111 847140222 /nfs/dbraw/zinc/14/02/22/847140222.db2.gz MFLIOAQCQVXPKH-YPMHNXCESA-N 0 1 291.351 0.594 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1O ZINC001199308462 847289963 /nfs/dbraw/zinc/28/99/63/847289963.db2.gz WDGCXUPTQZQHNM-ZIAGYGMSSA-N 0 1 282.384 0.707 20 30 CCEDMN C#CCN1CC[C@@]2(CCN([C@H](C)C(=O)OCC)C2)C1=O ZINC001273908235 847812614 /nfs/dbraw/zinc/81/26/14/847812614.db2.gz RYGSLFRCOFAHJJ-DOMZBBRYSA-N 0 1 278.352 0.496 20 30 CCEDMN C[C@H](C#N)C(=O)NC/C=C\CNCc1cnn(C)c1 ZINC001273910376 847819180 /nfs/dbraw/zinc/81/91/80/847819180.db2.gz PKWAXVORJCJZDR-DLRQAJBASA-N 0 1 261.329 0.342 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC(N)=O)C2 ZINC001111507802 847932210 /nfs/dbraw/zinc/93/22/10/847932210.db2.gz UYOHJSKVBLYYFI-UTUOFQBUSA-N 0 1 265.357 0.550 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)C(N)=O)C2 ZINC001110695723 847970487 /nfs/dbraw/zinc/97/04/87/847970487.db2.gz IZDDGHGJWYWGRX-UTUOFQBUSA-N 0 1 277.368 0.243 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCN(C[C@H]2CCCO2)CC1 ZINC001252465130 847975249 /nfs/dbraw/zinc/97/52/49/847975249.db2.gz CJRVPARLKGSWHT-LSDHHAIUSA-N 0 1 284.400 0.347 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NC)C[C@H]21 ZINC001114340985 848019063 /nfs/dbraw/zinc/01/90/63/848019063.db2.gz QLCWYKVYRYPSBF-CIQGVGRVSA-N 0 1 293.411 0.770 20 30 CCEDMN C=C[C@@](C)(O)CN[C@@H](CC(=O)OC(C)(C)C)C(N)=O ZINC001252583474 848022633 /nfs/dbraw/zinc/02/26/33/848022633.db2.gz LQFOEWWRQNYIDS-TVQRCGJNSA-N 0 1 272.345 0.099 20 30 CCEDMN C=C(C)CN1CC[C@]2(CCCN2CCNC(C)=O)C1=O ZINC001273999986 848268434 /nfs/dbraw/zinc/26/84/34/848268434.db2.gz PHYWWGGOIXESHO-OAHLLOKOSA-N 0 1 279.384 0.766 20 30 CCEDMN CC[C@@H](C(N)=O)N1CC[C@]2(CCN(CCC#N)C2)C1=O ZINC001274031347 848311051 /nfs/dbraw/zinc/31/10/51/848311051.db2.gz ODDOQQUDCKJTPY-FZMZJTMJSA-N 0 1 278.356 0.088 20 30 CCEDMN COCCOCN1CC[C@@]2(CCN(CCC#N)C2)C1=O ZINC001274031181 848312164 /nfs/dbraw/zinc/31/21/64/848312164.db2.gz JNYJBNNQHBPAJA-CQSZACIVSA-N 0 1 281.356 0.445 20 30 CCEDMN C=CCN1C[C@]2(CCN(CCCC#N)C2)OCC1=O ZINC001274039208 848316104 /nfs/dbraw/zinc/31/61/04/848316104.db2.gz USMIIQNLHCEKES-CQSZACIVSA-N 0 1 263.341 0.779 20 30 CCEDMN C#CCN1CCC2(CCN(Cc3nnc[nH]3)CC2)C1=O ZINC001274354163 848456108 /nfs/dbraw/zinc/45/61/08/848456108.db2.gz AVYNDVAKXCXLIL-UHFFFAOYSA-N 0 1 273.340 0.252 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN(Cc3nnc[nH]3)CC2)C1=O ZINC001274354627 848457687 /nfs/dbraw/zinc/45/76/87/848457687.db2.gz PGRDQBNMVLHEID-HNNXBMFYSA-N 0 1 287.367 0.643 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C[C@H](O)C(F)(F)F ZINC001274536844 848498607 /nfs/dbraw/zinc/49/86/07/848498607.db2.gz OWLUHYUJOWADLA-MXWKQRLJSA-N 0 1 290.285 0.608 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2Cc2cnc(C)[nH]2)C1=O ZINC001274576661 848509445 /nfs/dbraw/zinc/50/94/45/848509445.db2.gz PHVOKXOWCHIZDT-HNNXBMFYSA-N 0 1 272.352 0.918 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2ccco2)CC1 ZINC001274891463 848585732 /nfs/dbraw/zinc/58/57/32/848585732.db2.gz HVTUXSMBQUZORN-UHFFFAOYSA-N 0 1 276.336 0.860 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC[C@@H]1CN(C)CC#C ZINC001275109201 848640518 /nfs/dbraw/zinc/64/05/18/848640518.db2.gz XPOWRXCCZBCWBQ-UONOGXRCSA-N 0 1 262.353 0.581 20 30 CCEDMN N#Cc1csc(CNC2CC(CC(N)=O)C2)n1 ZINC000718516926 848647406 /nfs/dbraw/zinc/64/74/06/848647406.db2.gz UIGUPKBKQIWYGM-UHFFFAOYSA-N 0 1 250.327 0.758 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)CNCc1cnns1 ZINC001275310066 848688686 /nfs/dbraw/zinc/68/86/86/848688686.db2.gz YGHJGVLRPLSZBL-WCQGTBRESA-N 0 1 296.396 0.724 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN(C)[C@H]1CCC(=O)NC1=O ZINC001275623688 848777595 /nfs/dbraw/zinc/77/75/95/848777595.db2.gz YKAJHOPZJDIAES-QWRGUYRKSA-N 0 1 295.383 0.440 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccc(-n2ccnc2)nn1 ZINC001275672727 848788941 /nfs/dbraw/zinc/78/89/41/848788941.db2.gz LHJPPIFDVIUXFA-LBPRGKRZSA-N 0 1 298.350 0.346 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@H]1CCCOCC1 ZINC001275818650 848834351 /nfs/dbraw/zinc/83/43/51/848834351.db2.gz KREWIXBWOPKBHQ-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)[N@@H+](C)[C@H]1CCCN(C)C1=O ZINC001275827707 848838125 /nfs/dbraw/zinc/83/81/25/848838125.db2.gz KXMAZLLOWMKZFG-JSGCOSHPSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)N(C)[C@H]1CCCN(C)C1=O ZINC001275827707 848838129 /nfs/dbraw/zinc/83/81/29/848838129.db2.gz KXMAZLLOWMKZFG-JSGCOSHPSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)[N@@H+](C)[C@@H]1CCCN(C)C1=O ZINC001275827706 848838436 /nfs/dbraw/zinc/83/84/36/848838436.db2.gz KXMAZLLOWMKZFG-GXTWGEPZSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)N(C)[C@@H]1CCCN(C)C1=O ZINC001275827706 848838446 /nfs/dbraw/zinc/83/84/46/848838446.db2.gz KXMAZLLOWMKZFG-GXTWGEPZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2nnn(C)c2C)CC1 ZINC001275839618 848841212 /nfs/dbraw/zinc/84/12/12/848841212.db2.gz VQWUMWQNGFQGSS-UHFFFAOYSA-N 0 1 261.329 0.407 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)CCCNCc1cn(C)nn1 ZINC001275973613 848877840 /nfs/dbraw/zinc/87/78/40/848877840.db2.gz KWWJFPJOGAFHRP-CQSZACIVSA-N 0 1 295.387 0.080 20 30 CCEDMN C#CCCCN1C[C@@H]2CN(Cc3cn[nH]c3)C[C@H](C1)O2 ZINC001275975724 848878127 /nfs/dbraw/zinc/87/81/27/848878127.db2.gz LXSVHULVIVZALW-GASCZTMLSA-N 0 1 274.368 0.708 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc3c1CCC3)C2 ZINC001095676784 849006483 /nfs/dbraw/zinc/00/64/83/849006483.db2.gz PDVURZSGZAMMHF-ICCXJUOJSA-N 0 1 285.351 0.757 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)COc1cnn(C)c1)C2 ZINC001110950302 849032013 /nfs/dbraw/zinc/03/20/13/849032013.db2.gz FDTIOMZAFIGLBQ-BNOWGMLFSA-N 0 1 290.367 0.706 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1[nH]nc2c1CCCC2 ZINC000717959908 849213793 /nfs/dbraw/zinc/21/37/93/849213793.db2.gz KHLLDJMOSHGFGK-JTQLQIEISA-N 0 1 261.325 0.793 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cncnc1 ZINC001114563552 849242914 /nfs/dbraw/zinc/24/29/14/849242914.db2.gz WUAXDEGICJZVMV-FOLVSLTJSA-N 0 1 284.363 0.479 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCC(=O)N1C)C2 ZINC001095884010 849318593 /nfs/dbraw/zinc/31/85/93/849318593.db2.gz NULGQLYBYUSWFT-RFGFWPKPSA-N 0 1 289.379 0.352 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H](C(C)(C)O)C1 ZINC000719636380 849321687 /nfs/dbraw/zinc/32/16/87/849321687.db2.gz FSAGXCMNPGRNRW-NSHDSACASA-N 0 1 283.372 0.481 20 30 CCEDMN CC(C)(O)[C@H]1CCCN(CC(=O)NCCC#N)C1 ZINC000719670179 849323374 /nfs/dbraw/zinc/32/33/74/849323374.db2.gz OPAOQEQLQDDLGB-NSHDSACASA-N 0 1 253.346 0.499 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001114683460 849362137 /nfs/dbraw/zinc/36/21/37/849362137.db2.gz PQPHQXRYYWVDJJ-JZYVYDRUSA-N 0 1 291.355 0.269 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001114683460 849362145 /nfs/dbraw/zinc/36/21/45/849362145.db2.gz PQPHQXRYYWVDJJ-JZYVYDRUSA-N 0 1 291.355 0.269 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccc(=O)[nH]c1 ZINC001114736777 849382974 /nfs/dbraw/zinc/38/29/74/849382974.db2.gz NDDDPVQOFWFGJB-HALDLXJZSA-N 0 1 299.374 0.790 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)Oc1cccnc1 ZINC001114818682 849403382 /nfs/dbraw/zinc/40/33/82/849403382.db2.gz CEGBSMVRTKNVTE-RZFFKMDDSA-N 0 1 285.347 0.529 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)CCC(=O)NC1)C2 ZINC001095898507 849424220 /nfs/dbraw/zinc/42/42/20/849424220.db2.gz KIMGQLRRIANOJK-LMOYCYGVSA-N 0 1 291.395 0.810 20 30 CCEDMN CO[C@@]1(CNCC#Cc2ccccc2)CCS(=O)(=O)C1 ZINC000720895781 849562061 /nfs/dbraw/zinc/56/20/61/849562061.db2.gz AVQGTOAUTFEKPR-OAHLLOKOSA-N 0 1 293.388 0.831 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)n1ccnc1 ZINC001114894534 849588275 /nfs/dbraw/zinc/58/82/75/849588275.db2.gz HDZOYVJYVSDGDT-CXTNEJHOSA-N 0 1 272.352 0.514 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)[C@H]1CN(C(C)C)CCO1 ZINC001038626752 849708887 /nfs/dbraw/zinc/70/88/87/849708887.db2.gz IJZCOJWCTUQGCT-HUUCEWRRSA-N 0 1 293.411 0.309 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CCN2CCCO)c[nH]1 ZINC001038168260 849805534 /nfs/dbraw/zinc/80/55/34/849805534.db2.gz KYEAJMJENBLSJK-LBPRGKRZSA-N 0 1 262.313 0.073 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@H]1CCN1CCOC ZINC001038267240 849834636 /nfs/dbraw/zinc/83/46/36/849834636.db2.gz QVXZSKHOELXCPH-MCIONIFRSA-N 0 1 282.384 0.805 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc2c(c1)nnn2C ZINC001038406451 849882842 /nfs/dbraw/zinc/88/28/42/849882842.db2.gz OJJSTOQJBMZSTP-CYBMUJFWSA-N 0 1 297.362 0.796 20 30 CCEDMN Cc1c(C(=O)NC[C@@H]2CCN2CC#N)ccc2cncn21 ZINC001038444202 849902734 /nfs/dbraw/zinc/90/27/34/849902734.db2.gz YWMXLHHDZMSLFZ-LBPRGKRZSA-N 0 1 283.335 0.970 20 30 CCEDMN N#Cc1ccccc1CN1CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001038457405 849909638 /nfs/dbraw/zinc/90/96/38/849909638.db2.gz PBDZYJFDKVZPNB-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN N#Cc1ccc(CN2CC[C@H]2CNC(=O)c2cnn[nH]2)cc1 ZINC001038459992 849912019 /nfs/dbraw/zinc/91/20/19/849912019.db2.gz ZLKICXUJNPLJQL-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@H]2CCN2C[C@H](C)O)c1 ZINC001038679211 849978648 /nfs/dbraw/zinc/97/86/48/849978648.db2.gz AKOVYUMOYDJYLX-SMDDNHRTSA-N 0 1 273.336 0.248 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@H]2CCN2C[C@H](C)OC)nn1 ZINC001038790947 850017754 /nfs/dbraw/zinc/01/77/54/850017754.db2.gz NGDJKGIAKLPNKM-NWDGAFQWSA-N 0 1 293.371 0.303 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001038935529 850093773 /nfs/dbraw/zinc/09/37/73/850093773.db2.gz HTBBVAKKFJSCBL-KGLIPLIRSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1COCCN1C(C)=O ZINC001039027131 850128353 /nfs/dbraw/zinc/12/83/53/850128353.db2.gz AHDYQTOYKPNIQW-KGLIPLIRSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]([C@@H]2CCCCN2C(=O)c2cnn[n-]2)C1 ZINC001039215433 850164868 /nfs/dbraw/zinc/16/48/68/850164868.db2.gz WUTAOBHXXWRFML-JSGCOSHPSA-N 0 1 287.367 0.755 20 30 CCEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCCN2C(=O)C(N)=O)C1 ZINC001039224097 850165135 /nfs/dbraw/zinc/16/51/35/850165135.db2.gz CXZGRPMIISEWND-RYUDHWBXSA-N 0 1 299.802 0.927 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCNC1=O ZINC001039389895 850184259 /nfs/dbraw/zinc/18/42/59/850184259.db2.gz NVWWHKAKSMPXCH-HZSPNIEDSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnon1 ZINC001039454583 850193747 /nfs/dbraw/zinc/19/37/47/850193747.db2.gz JRLKKZIRQCKGLY-NEPJUHHUSA-N 0 1 274.324 0.772 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnc(C)cn3)C[C@H]21 ZINC001041912260 850528369 /nfs/dbraw/zinc/52/83/69/850528369.db2.gz ITLABJJQLFKJER-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnnc(C)c3)C[C@@H]21 ZINC001042030352 850560433 /nfs/dbraw/zinc/56/04/33/850560433.db2.gz ABOVSDNKWKOBHW-ZFWWWQNUSA-N 0 1 284.363 0.955 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3ncn(C)n3)C[C@H]21 ZINC001042049873 850569944 /nfs/dbraw/zinc/56/99/44/850569944.db2.gz LNACRCJODCKQOD-QWHCGFSZSA-N 0 1 287.367 0.375 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3nonc3C)C[C@@H]21 ZINC001042379362 850621308 /nfs/dbraw/zinc/62/13/08/850621308.db2.gz KIXPFTRJIMPCQV-STQMWFEESA-N 0 1 288.351 0.938 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N(C)C1CN(CC#N)C1 ZINC001043384449 850865145 /nfs/dbraw/zinc/86/51/45/850865145.db2.gz DUVWXRPZBQWMRE-QMTHXVAHSA-N 0 1 287.367 0.742 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)N(C)C1CN(CC#N)C1 ZINC001043667674 850921042 /nfs/dbraw/zinc/92/10/42/850921042.db2.gz PKWHAITVLYNMGM-UHFFFAOYSA-N 0 1 276.340 0.621 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2[nH]nc3ccccc32)C1 ZINC001044253169 851052655 /nfs/dbraw/zinc/05/26/55/851052655.db2.gz WNTVKCFLVGPGMN-UHFFFAOYSA-N 0 1 268.320 0.952 20 30 CCEDMN C[C@H]1C[C@H](NCC#N)CCN1C(=O)C1=NC(=O)N(C)C1 ZINC001044732222 851149729 /nfs/dbraw/zinc/14/97/29/851149729.db2.gz ASBJSIHQXNHEAS-VHSXEESVSA-N 0 1 277.328 0.232 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnsn2)CC1 ZINC001045377326 851245119 /nfs/dbraw/zinc/24/51/19/851245119.db2.gz ZZGBMTADBJBALF-UHFFFAOYSA-N 0 1 264.354 0.756 20 30 CCEDMN CC1(NC(=O)c2[nH]ncc2F)CCN(CC#N)CC1 ZINC001045545395 851276698 /nfs/dbraw/zinc/27/66/98/851276698.db2.gz XBFSWMZSNPYWRU-UHFFFAOYSA-N 0 1 265.292 0.657 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccnn2CC)C1 ZINC001046268412 851444224 /nfs/dbraw/zinc/44/42/24/851444224.db2.gz HHZXFYBACGJBNO-AWEZNQCLSA-N 0 1 260.341 0.730 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001046364187 851476796 /nfs/dbraw/zinc/47/67/96/851476796.db2.gz IHBXYWSWTROMOX-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001046395495 851486401 /nfs/dbraw/zinc/48/64/01/851486401.db2.gz DREYRPNBNITOPO-ZDUSSCGKSA-N 0 1 262.313 0.251 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001046431459 851500007 /nfs/dbraw/zinc/50/00/07/851500007.db2.gz DFTUZWSGYYSFAK-ZUEPYMLJSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(N(C)C)nc2)C1 ZINC001046443865 851506006 /nfs/dbraw/zinc/50/60/06/851506006.db2.gz GCHATGXSABNHLR-MRXNPFEDSA-N 0 1 286.379 0.975 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccn3nnnc3c2)C1 ZINC001046539143 851537473 /nfs/dbraw/zinc/53/74/73/851537473.db2.gz SYPIMQJOBTYBCU-CQSZACIVSA-N 0 1 286.339 0.505 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001046577388 851555079 /nfs/dbraw/zinc/55/50/79/851555079.db2.gz WTDAKTHTIDNHDY-NHYWBVRUSA-N 0 1 287.367 0.438 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCO[C@H]2C=C)C1 ZINC001046631980 851570112 /nfs/dbraw/zinc/57/01/12/851570112.db2.gz PEPBKGUGZVHSIV-IPYPFGDCSA-N 0 1 262.353 0.791 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2ccncn2)C1 ZINC001046714289 851589950 /nfs/dbraw/zinc/58/99/50/851589950.db2.gz NKJGBOQEPOVLNB-CQSZACIVSA-N 0 1 258.325 0.694 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccncn2)C1 ZINC001046714289 851589955 /nfs/dbraw/zinc/58/99/55/851589955.db2.gz NKJGBOQEPOVLNB-CQSZACIVSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCC[N@@H+]1CC[C@](C)(NC(=O)c2cn(CCC)nn2)C1 ZINC001046735943 851602181 /nfs/dbraw/zinc/60/21/81/851602181.db2.gz ZFQABPHOUVZLBU-HNNXBMFYSA-N 0 1 289.383 0.906 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC001046759156 851606485 /nfs/dbraw/zinc/60/64/85/851606485.db2.gz VQODAUSWWTVKRD-BLLLJJGKSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001046755599 851606574 /nfs/dbraw/zinc/60/65/74/851606574.db2.gz WBTLIJGLBWOCON-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(C#C)nc2)C1 ZINC001046784165 851613811 /nfs/dbraw/zinc/61/38/11/851613811.db2.gz YKAZVMLLFCCNOP-MRXNPFEDSA-N 0 1 267.332 0.890 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(CC=C)nn1)C2 ZINC001096126429 851614138 /nfs/dbraw/zinc/61/41/38/851614138.db2.gz DRQGBRDPMPETEA-IPYPFGDCSA-N 0 1 299.378 0.823 20 30 CCEDMN C[C@]1(NC(=O)c2ccc3[nH]nnc3c2)CCN(CC#N)C1 ZINC001046827041 851623499 /nfs/dbraw/zinc/62/34/99/851623499.db2.gz JUGVOCUOCMWKBP-AWEZNQCLSA-N 0 1 284.323 0.676 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@H]2CCOC2)C1 ZINC001047331870 851722690 /nfs/dbraw/zinc/72/26/90/851722690.db2.gz LQMIDORWUHZZCF-AGIUHOORSA-N 0 1 268.357 0.103 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1=COCCO1 ZINC001049314586 852224844 /nfs/dbraw/zinc/22/48/44/852224844.db2.gz VVEOVSUBIQQQPT-ZIAGYGMSSA-N 0 1 290.363 0.963 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnsn1 ZINC001049343203 852235668 /nfs/dbraw/zinc/23/56/68/852235668.db2.gz YSGWCMBGHNSAQA-NEPJUHHUSA-N 0 1 276.365 0.850 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)Cn1cc(C)cn1 ZINC001049360954 852240471 /nfs/dbraw/zinc/24/04/71/852240471.db2.gz QFLRFQLRKKEENC-CABCVRRESA-N 0 1 286.379 0.890 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@H]3[C@H]2CCN3CC#N)n[nH]1 ZINC001049357081 852242672 /nfs/dbraw/zinc/24/26/72/852242672.db2.gz OEKZBYMQDZJOFY-QWHCGFSZSA-N 0 1 273.340 0.921 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1nnn(C)c1C ZINC001049393458 852260121 /nfs/dbraw/zinc/26/01/21/852260121.db2.gz DMLUEBCFWRAGJI-OLZOCXBDSA-N 0 1 287.367 0.436 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@H]3[C@H]2CC[N@@H+]3CCO)[nH]1 ZINC001049424949 852268622 /nfs/dbraw/zinc/26/86/22/852268622.db2.gz IPDXKOHAFQHZEF-UONOGXRCSA-N 0 1 288.351 0.558 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnn(C)c1N ZINC001049436788 852270857 /nfs/dbraw/zinc/27/08/57/852270857.db2.gz KONCHDDDUURHMX-STQMWFEESA-N 0 1 287.367 0.314 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnn(C)c1N ZINC001049436788 852270865 /nfs/dbraw/zinc/27/08/65/852270865.db2.gz KONCHDDDUURHMX-STQMWFEESA-N 0 1 287.367 0.314 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1cncnc1 ZINC001049442581 852275693 /nfs/dbraw/zinc/27/56/93/852275693.db2.gz GNYCDGWSGQMTJT-HUUCEWRRSA-N 0 1 284.363 0.718 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1nnc(C)o1 ZINC001049460269 852288796 /nfs/dbraw/zinc/28/87/96/852288796.db2.gz DPEAKYUGSLQHKX-QWHCGFSZSA-N 0 1 288.351 0.619 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn(C)n1)C2 ZINC001096542091 852300708 /nfs/dbraw/zinc/30/07/08/852300708.db2.gz LHOCQVRYPKVUNK-XBFCOCLRSA-N 0 1 272.352 0.779 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cn[nH]n1 ZINC001049570572 852317393 /nfs/dbraw/zinc/31/73/93/852317393.db2.gz NVNUHEWKQONWTQ-RYUDHWBXSA-N 0 1 259.313 0.117 20 30 CCEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049785269 852371833 /nfs/dbraw/zinc/37/18/33/852371833.db2.gz YFQASBYQBFLMJS-QWHCGFSZSA-N 0 1 275.356 0.680 20 30 CCEDMN N#Cc1ccc(N[C@@H](CNC(=O)c2ncn[nH]2)C2CC2)cn1 ZINC001096705018 852428485 /nfs/dbraw/zinc/42/84/85/852428485.db2.gz GSXNJYUIGXDGHC-LBPRGKRZSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(N[C@@H](CNC(=O)c2nc[nH]n2)C2CC2)cn1 ZINC001096705018 852428488 /nfs/dbraw/zinc/42/84/88/852428488.db2.gz GSXNJYUIGXDGHC-LBPRGKRZSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(N[C@H](CNC(=O)c2cnn[nH]2)C2CC2)nc1 ZINC001096853552 852457213 /nfs/dbraw/zinc/45/72/13/852457213.db2.gz KVSOMMRMWMWQPP-LLVKDONJSA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCN(C)C1=O)C2 ZINC001097673586 852570687 /nfs/dbraw/zinc/57/06/87/852570687.db2.gz WMCONGRRIOUMFQ-LPWJVIDDSA-N 0 1 277.368 0.372 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CN2CCCC2=O)C[C@H]1C ZINC001054374502 852938201 /nfs/dbraw/zinc/93/82/01/852938201.db2.gz RNDRYGZQERYWCV-PWSUYJOCSA-N 0 1 299.802 0.798 20 30 CCEDMN N#Cc1ccc(N[C@@H]2CCN(C(=O)Cc3c[nH]cn3)C2)cn1 ZINC001056790453 853214700 /nfs/dbraw/zinc/21/47/00/853214700.db2.gz DQIMQMHJOFNEHA-CYBMUJFWSA-N 0 1 296.334 0.932 20 30 CCEDMN N#Cc1cnc(N[C@@H]2CCN(C(=O)Cc3c[nH]cn3)C2)cn1 ZINC001056790515 853214833 /nfs/dbraw/zinc/21/48/33/853214833.db2.gz HXLMEYGOBISPDR-SNVBAGLBSA-N 0 1 297.322 0.327 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cc[nH]c(=O)c3)[C@@H]2C1 ZINC001050018365 853293779 /nfs/dbraw/zinc/29/37/79/853293779.db2.gz XZCCJXQUDMGKKT-UONOGXRCSA-N 0 1 285.347 0.957 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cc3cncnc3)[C@@H]2C1 ZINC001050033016 853298788 /nfs/dbraw/zinc/29/87/88/853298788.db2.gz MKGVRBDCWFVKAS-LSDHHAIUSA-N 0 1 284.363 0.575 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3CCN(C)C3=O)[C@@H]2C1 ZINC001050116778 853315776 /nfs/dbraw/zinc/31/57/76/853315776.db2.gz CLXZMUILZOYCJR-MELADBBJSA-N 0 1 289.379 0.021 20 30 CCEDMN C=CCCN1CCOC[C@@H]1CNC(=O)[C@H]1CCCCN1C ZINC001050981325 853500492 /nfs/dbraw/zinc/50/04/92/853500492.db2.gz GFIBYGQFQHFGTJ-LSDHHAIUSA-N 0 1 295.427 0.864 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@H]1COCCN1CC#N ZINC001051254263 853555288 /nfs/dbraw/zinc/55/52/88/853555288.db2.gz GJIKEHVFYGLBDS-KBPBESRZSA-N 0 1 294.399 0.201 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCCN(CC#N)CC2)[nH]n1 ZINC001052301469 853728102 /nfs/dbraw/zinc/72/81/02/853728102.db2.gz XERGCKURNKPUMR-GFCCVEGCSA-N 0 1 275.356 0.755 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@@H]1CCCN(CC#N)CC1 ZINC001052499107 853759381 /nfs/dbraw/zinc/75/93/81/853759381.db2.gz NWVVIHPKQNKMTI-ZIAGYGMSSA-N 0 1 278.400 0.965 20 30 CCEDMN N#Cc1cccnc1NCC1CC(NC(=O)c2cnn[nH]2)C1 ZINC001067900849 853865136 /nfs/dbraw/zinc/86/51/36/853865136.db2.gz FRYOPSGYWNUHKO-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)C1CC(Nc2nccnc2C#N)C1 ZINC001069708753 853971706 /nfs/dbraw/zinc/97/17/06/853971706.db2.gz SBACSRDPRHMQHV-UHFFFAOYSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc[nH]c2)[C@@H](n2ccnn2)C1 ZINC001069935360 854007250 /nfs/dbraw/zinc/00/72/50/854007250.db2.gz DHYBTDXHLPTQGA-KGLIPLIRSA-N 0 1 298.350 0.285 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](C)C(C)C)C[C@@H]1n1ccnn1 ZINC001070287011 854040669 /nfs/dbraw/zinc/04/06/69/854040669.db2.gz KSGWWUKVIQVJOZ-MCIONIFRSA-N 0 1 289.383 0.545 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001070460567 854063265 /nfs/dbraw/zinc/06/32/65/854063265.db2.gz QSUZIUHDLAUAQC-GFCCVEGCSA-N 0 1 286.335 0.544 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)c1ccc[nH]1)C[C@H](C)O2 ZINC001071136789 854126942 /nfs/dbraw/zinc/12/69/42/854126942.db2.gz PSHQXTVMGNTVQD-BBRMVZONSA-N 0 1 287.363 0.953 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)c1cn[nH]c1)C[C@H](C)O2 ZINC001071139395 854129004 /nfs/dbraw/zinc/12/90/04/854129004.db2.gz UGRBXIUZEIVXTB-WFASDCNBSA-N 0 1 290.367 0.901 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CC[C@@H](C)N(CC#N)C2)[nH]n1 ZINC001071427079 854190502 /nfs/dbraw/zinc/19/05/02/854190502.db2.gz FDNXXCICEWQFHB-NEPJUHHUSA-N 0 1 275.356 0.753 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ccnn2C)CC[C@@H]1C ZINC001071466818 854209252 /nfs/dbraw/zinc/20/92/52/854209252.db2.gz HQRJUSSOYJTURY-STQMWFEESA-N 0 1 274.368 0.565 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2nnc(C)o2)CC[C@@H]1C ZINC001071489111 854218612 /nfs/dbraw/zinc/21/86/12/854218612.db2.gz ZVHNGTJGTZBGBG-AAEUAGOBSA-N 0 1 290.367 0.913 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cnc[nH]c2=O)CC[C@@H]1C ZINC001071601136 854246249 /nfs/dbraw/zinc/24/62/49/854246249.db2.gz WSHAPCFIXUHSJC-WDEREUQCSA-N 0 1 274.324 0.398 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cnc[nH]c2=O)CC[C@H]1C ZINC001071601133 854247610 /nfs/dbraw/zinc/24/76/10/854247610.db2.gz WSHAPCFIXUHSJC-MNOVXSKESA-N 0 1 274.324 0.398 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1NC(=O)C#CC1CC1 ZINC001071650971 854256907 /nfs/dbraw/zinc/25/69/07/854256907.db2.gz INTVSTNZUCXLMJ-GWCFXTLKSA-N 0 1 286.335 0.400 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccc(=O)n(C)c2)CC[C@H]1C ZINC001071641805 854257213 /nfs/dbraw/zinc/25/72/13/854257213.db2.gz GBODYMRJXRIUOM-OCCSQVGLSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2ccnc3n[nH]nc32)CC[C@@H]1C ZINC001071674050 854261958 /nfs/dbraw/zinc/26/19/58/854261958.db2.gz NTIYHKWOOBXELE-WDEREUQCSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccnc3n[nH]nc32)CC[C@H]1C ZINC001071674048 854262147 /nfs/dbraw/zinc/26/21/47/854262147.db2.gz NTIYHKWOOBXELE-MNOVXSKESA-N 0 1 298.350 0.569 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2cn(CC)nn2)CC[C@H]1C ZINC001071697604 854267516 /nfs/dbraw/zinc/26/75/16/854267516.db2.gz BYOLVLXKKYIWMO-OLZOCXBDSA-N 0 1 291.399 0.996 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CC[C@@H](C)N(CCO)C2)nc1 ZINC001072002413 854334015 /nfs/dbraw/zinc/33/40/15/854334015.db2.gz LJEHJOOPMZWFLO-OCCSQVGLSA-N 0 1 287.363 0.638 20 30 CCEDMN C[C@H]1CC[C@@H](NC(=O)CN2CCCC2)CN1CC#N ZINC001072082349 854345200 /nfs/dbraw/zinc/34/52/00/854345200.db2.gz YOXPUMAJHVDNTL-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(C)ncn3)C2)C1 ZINC001072637310 854434544 /nfs/dbraw/zinc/43/45/44/854434544.db2.gz DTJGVBIEFLCNKD-UHFFFAOYSA-N 0 1 270.336 0.566 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(C4CCC4)nn3)C2)C1 ZINC001072746302 854455798 /nfs/dbraw/zinc/45/57/98/854455798.db2.gz GMHCPWWUOWXGGM-UHFFFAOYSA-N 0 1 299.378 0.784 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccnc(OC)c3)C2)C1 ZINC001072892462 854488450 /nfs/dbraw/zinc/48/84/50/854488450.db2.gz ZVZLQWZYWFTXDT-UHFFFAOYSA-N 0 1 285.347 0.871 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCCCC(=O)N3)C2)C1 ZINC001072972013 854504918 /nfs/dbraw/zinc/50/49/18/854504918.db2.gz HOOYPCJHYYPUQS-CYBMUJFWSA-N 0 1 289.379 0.213 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CC[C@@H](C)O3)C2)C1 ZINC001073002840 854513796 /nfs/dbraw/zinc/51/37/96/854513796.db2.gz YIMMVDZKXCVNEJ-CHWSQXEVSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(CC)nn3C)C2)C1 ZINC001073075270 854524592 /nfs/dbraw/zinc/52/45/92/854524592.db2.gz XWUSJXKILZSZIK-UHFFFAOYSA-N 0 1 286.379 0.764 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCc4c[nH]nc4C3)C2)C1 ZINC001073445306 854560053 /nfs/dbraw/zinc/56/00/53/854560053.db2.gz JLPIOHWZJVDYFY-ZDUSSCGKSA-N 0 1 298.390 0.682 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(CC)n(C)n3)C2)C1 ZINC001073490319 854564179 /nfs/dbraw/zinc/56/41/79/854564179.db2.gz XLOZKEZNZZRHPS-UHFFFAOYSA-N 0 1 286.379 0.764 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cccc(=O)[nH]2)C1 ZINC001073565569 854596893 /nfs/dbraw/zinc/59/68/93/854596893.db2.gz HKGFRKSGTWZJRQ-GFCCVEGCSA-N 0 1 291.351 0.794 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2ccn(C)c2)C1 ZINC001073576951 854601478 /nfs/dbraw/zinc/60/14/78/854601478.db2.gz GPVFRAVWWDHMTO-HNNXBMFYSA-N 0 1 289.379 0.869 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2ncc[nH]2)C1 ZINC001073595500 854608847 /nfs/dbraw/zinc/60/88/47/854608847.db2.gz PDGNIKRBESZIMB-GFCCVEGCSA-N 0 1 276.340 0.254 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2c[nH]c(=O)n2C)C1 ZINC001073729712 854635824 /nfs/dbraw/zinc/63/58/24/854635824.db2.gz UFKIXJWTHUALLK-LLVKDONJSA-N 0 1 294.355 0.132 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H]2OCC[C@H]2C)C1 ZINC001073774215 854644670 /nfs/dbraw/zinc/64/46/70/854644670.db2.gz BZRDBDSWMKGOKM-RDBSUJKOSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cn(CC)cn2)C1 ZINC001073859569 854656001 /nfs/dbraw/zinc/65/60/01/854656001.db2.gz NUWZXKYMBYBOCC-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)[C@H](C)C1 ZINC001074106267 854670645 /nfs/dbraw/zinc/67/06/45/854670645.db2.gz CQVLLVVIVWBAMD-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)[C@H](C)C1 ZINC001074106267 854670648 /nfs/dbraw/zinc/67/06/48/854670648.db2.gz CQVLLVVIVWBAMD-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001074185161 854689688 /nfs/dbraw/zinc/68/96/88/854689688.db2.gz XTXGFIKYHXTDJS-KBPBESRZSA-N 0 1 288.351 0.267 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCCNC2=O)[C@H]1C ZINC001074697421 854767597 /nfs/dbraw/zinc/76/75/97/854767597.db2.gz LJLXCJWFPNHXFO-TUAOUCFPSA-N 0 1 299.802 0.844 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccnn2C)C1 ZINC001098753355 854883542 /nfs/dbraw/zinc/88/35/42/854883542.db2.gz KUDNXBQUSDOGJM-WFASDCNBSA-N 0 1 272.352 0.638 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ncc[nH]2)C1 ZINC001098828858 854892176 /nfs/dbraw/zinc/89/21/76/854892176.db2.gz MRWFCOFFUFJRPN-FZMZJTMJSA-N 0 1 258.325 0.627 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CSC(C)C)[C@@H](O)C1 ZINC001099689656 854968829 /nfs/dbraw/zinc/96/88/29/854968829.db2.gz HLRLWMYQKRCAIE-STQMWFEESA-N 0 1 284.425 0.703 20 30 CCEDMN C[C@H](CCNC(=O)Cc1cnc[nH]1)Nc1ccnc(C#N)n1 ZINC001099713139 854974092 /nfs/dbraw/zinc/97/40/92/854974092.db2.gz LZPGKNXYIYYTIU-SNVBAGLBSA-N 0 1 299.338 0.043 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COC(C)(C)C)[C@@H](O)C1 ZINC001099794612 854996369 /nfs/dbraw/zinc/99/63/69/854996369.db2.gz PDWGWBPYNDTSKY-NEPJUHHUSA-N 0 1 270.373 0.539 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)CC(C)(C)O)[C@@H](O)C1 ZINC001099809258 854998919 /nfs/dbraw/zinc/99/89/19/854998919.db2.gz FFZOMCRGTVBDFU-NEPJUHHUSA-N 0 1 270.373 0.275 20 30 CCEDMN CCOCCN1CC[C@H](NC(=O)C#CC(C)C)[C@H](O)C1 ZINC001099820476 855001193 /nfs/dbraw/zinc/00/11/93/855001193.db2.gz GCTXUZGLFLOMIC-UONOGXRCSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C#CC(C)(C)C)[C@H](O)C1 ZINC001099825840 855003798 /nfs/dbraw/zinc/00/37/98/855003798.db2.gz AZDIGKRXGOKMAQ-CHWSQXEVSA-N 0 1 264.369 0.773 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CCOC)CC2)[C@H](O)C1 ZINC001099871488 855014889 /nfs/dbraw/zinc/01/48/89/855014889.db2.gz FLYYAJVZQCAMAA-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cccnc2)[C@H](O)C1 ZINC001099909499 855028717 /nfs/dbraw/zinc/02/87/17/855028717.db2.gz UULRCIVSJLCZNG-LSDHHAIUSA-N 0 1 289.379 0.752 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(COC)CCC2)[C@H](O)C1 ZINC001099925240 855033779 /nfs/dbraw/zinc/03/37/79/855033779.db2.gz ALACVJZJQXYREO-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CCF)CC2)[C@@H](O)C1 ZINC001099931467 855036447 /nfs/dbraw/zinc/03/64/47/855036447.db2.gz RXURBQKOCCYLQY-NEPJUHHUSA-N 0 1 270.348 0.864 20 30 CCEDMN C#CCCCCCC(=O)N[C@H]1CCN(CCO)C[C@@H]1O ZINC001099957978 855048328 /nfs/dbraw/zinc/04/83/28/855048328.db2.gz ZBVXRJPCGHZORV-KBPBESRZSA-N 0 1 282.384 0.114 20 30 CCEDMN CN(CCNC(=O)[C@@H]1CCCN1C)c1ccc(C#N)cn1 ZINC001099966614 855054226 /nfs/dbraw/zinc/05/42/26/855054226.db2.gz ZTMLMTGVSYYGSV-ZDUSSCGKSA-N 0 1 287.367 0.600 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCn2cccc2)[C@@H](O)C1 ZINC001100050314 855085207 /nfs/dbraw/zinc/08/52/07/855085207.db2.gz GJPWSRNKXFQVAK-KBPBESRZSA-N 0 1 277.368 0.616 20 30 CCEDMN C#CCC[NH2+]C1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001100268149 855134451 /nfs/dbraw/zinc/13/44/51/855134451.db2.gz MDQDMFDMOXDLPT-UHFFFAOYSA-N 0 1 273.336 0.909 20 30 CCEDMN CN(CCNC(=O)Cc1cnc[nH]1)c1ccc(C#N)cn1 ZINC001100354315 855154463 /nfs/dbraw/zinc/15/44/63/855154463.db2.gz WJTTVMZJNCMKKR-UHFFFAOYSA-N 0 1 284.323 0.471 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H]2CN([C@@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001101229563 855275362 /nfs/dbraw/zinc/27/53/62/855275362.db2.gz GBESLSRDHQRHFR-ZENOOKHLSA-N 0 1 291.395 0.300 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001101253540 855277101 /nfs/dbraw/zinc/27/71/01/855277101.db2.gz PQTTZUNTNSWSKE-DOMZBBRYSA-N 0 1 279.384 0.608 20 30 CCEDMN C=CCN1C[C@@H](CNC(=O)[C@H](C)S(C)(=O)=O)[C@H](C)C1 ZINC001102091068 855414443 /nfs/dbraw/zinc/41/44/43/855414443.db2.gz QGSKBGQRBWBCSD-GRYCIOLGSA-N 0 1 288.413 0.290 20 30 CCEDMN CC[C@@H](CNC(=O)c1ncn[nH]1)Nc1cc(C)ncc1C#N ZINC001103171293 855503606 /nfs/dbraw/zinc/50/36/06/855503606.db2.gz ZSFGFAAXPCVXBS-NSHDSACASA-N 0 1 299.338 0.422 20 30 CCEDMN CC[C@@H](CNC(=O)c1nc[nH]n1)Nc1cc(C)ncc1C#N ZINC001103171293 855503610 /nfs/dbraw/zinc/50/36/10/855503610.db2.gz ZSFGFAAXPCVXBS-NSHDSACASA-N 0 1 299.338 0.422 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)C1=NC(=O)N(C)C1 ZINC001103402042 855518399 /nfs/dbraw/zinc/51/83/99/855518399.db2.gz YAVPMEPLWZCSEV-GHMZBOCLSA-N 0 1 291.355 0.337 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](C)COC)C[C@H]1C(F)(F)F ZINC001112065657 855612211 /nfs/dbraw/zinc/61/22/11/855612211.db2.gz KERXKYUVMVVHGZ-GMTAPVOTSA-N 0 1 292.301 0.881 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCC(=O)N(CC)CC ZINC001115276884 855657593 /nfs/dbraw/zinc/65/75/93/855657593.db2.gz YITNHJYOABKTJU-VIKVFOODSA-N 0 1 291.395 0.315 20 30 CCEDMN N#CCCCCC(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC001118313959 856255385 /nfs/dbraw/zinc/25/53/85/856255385.db2.gz XWYCUOUOUGCBKT-SNVBAGLBSA-N 0 1 262.317 0.990 20 30 CCEDMN C=CCNC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001118368253 856276298 /nfs/dbraw/zinc/27/62/98/856276298.db2.gz GXYWESVGBGOIAT-QMMMGPOBSA-N 0 1 251.290 0.641 20 30 CCEDMN O=C(C#CC1CC1)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001118382546 856283349 /nfs/dbraw/zinc/28/33/49/856283349.db2.gz HTXJDYNJOLTSCS-SNVBAGLBSA-N 0 1 260.297 0.686 20 30 CCEDMN C[C@@H](NCCNC(=O)C1N=CC=CC1=O)c1cn(C)cn1 ZINC001119631469 856794249 /nfs/dbraw/zinc/79/42/49/856794249.db2.gz VWUPKWDASLRQTO-YAWLZAOSSA-N 0 1 289.339 0.597 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)c2noc(C)n2)CC1 ZINC001119678453 856832173 /nfs/dbraw/zinc/83/21/73/856832173.db2.gz DXADBPRYQFWMEB-JTQLQIEISA-N 0 1 291.355 0.836 20 30 CCEDMN CC(C)(O)C[C@H](O)CNCC1(C#N)CCOCC1 ZINC001120477837 857084769 /nfs/dbraw/zinc/08/47/69/857084769.db2.gz PBQJSVKCDITQDN-NSHDSACASA-N 0 1 256.346 0.418 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)COCc1ccnn1C ZINC001323263506 912231276 /nfs/dbraw/zinc/23/12/76/912231276.db2.gz RTNYQFUEZKKEPC-CYBMUJFWSA-N 0 1 290.367 0.151 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001392922909 912266063 /nfs/dbraw/zinc/26/60/63/912266063.db2.gz GMOQOJIWXOMALS-URLYPYJESA-N 0 1 270.760 0.870 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001323372288 912300583 /nfs/dbraw/zinc/30/05/83/912300583.db2.gz UHJYRZKCVRCJCH-CJNGLKHVSA-N 0 1 291.395 0.649 20 30 CCEDMN CCc1cc(C(=O)NCCN(C)CC#CCOC)no1 ZINC001492817556 912325293 /nfs/dbraw/zinc/32/52/93/912325293.db2.gz PEKPOTBGJAQJJO-UHFFFAOYSA-N 0 1 279.340 0.548 20 30 CCEDMN CCN1CC[C@@H](NC2(CNC(=O)C#CC(C)C)CC2)C1=O ZINC001323437217 912343325 /nfs/dbraw/zinc/34/33/25/912343325.db2.gz FCGMZPIRKNHRSK-CYBMUJFWSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)[C@@](C)(C=C)CC)CC1 ZINC001323530366 912394251 /nfs/dbraw/zinc/39/42/51/912394251.db2.gz LMDMRZBDUXIVRY-HNNXBMFYSA-N 0 1 291.395 0.577 20 30 CCEDMN CN(C(=O)CSCC#N)C1CN(CC2CCOCC2)C1 ZINC001323887486 912564732 /nfs/dbraw/zinc/56/47/32/912564732.db2.gz JCDUVVAALIWXMY-UHFFFAOYSA-N 0 1 297.424 0.812 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)C[C@H](C)OC)CCO1 ZINC001393581818 912660510 /nfs/dbraw/zinc/66/05/10/912660510.db2.gz OIKKPLYNJKLTCK-NWDGAFQWSA-N 0 1 290.791 0.981 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2[nH]cnc2C)CCO1 ZINC001393588513 912666314 /nfs/dbraw/zinc/66/63/14/912666314.db2.gz NBZFLNMEXKOVFV-LLVKDONJSA-N 0 1 298.774 0.901 20 30 CCEDMN Cn1nccc1CCC(=O)NC1(C#N)CCN(C)CC1 ZINC001324120082 912675730 /nfs/dbraw/zinc/67/57/30/912675730.db2.gz DKMMQNHGEIFQOW-UHFFFAOYSA-N 0 1 275.356 0.457 20 30 CCEDMN C=CC[C@H]1NC(=O)N([C@H]2CCc3nc[nH]c3C2)C1=O ZINC001324236868 912731070 /nfs/dbraw/zinc/73/10/70/912731070.db2.gz ONDGIHKYHXDNTA-WCBMZHEXSA-N 0 1 260.297 0.764 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(C)(NC(C)=O)CC1 ZINC001324291457 912761662 /nfs/dbraw/zinc/76/16/62/912761662.db2.gz LPOWYMFMQWYCEX-LLVKDONJSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](O)CC2)CC1 ZINC001324332099 912783754 /nfs/dbraw/zinc/78/37/54/912783754.db2.gz PZLLQDNFJMODAT-AWEZNQCLSA-N 0 1 264.369 0.705 20 30 CCEDMN N#CCNC[C@H](NC(=O)c1[nH]ncc1F)C1CCCC1 ZINC001324498843 912872930 /nfs/dbraw/zinc/87/29/30/912872930.db2.gz XUXQRXVZJUGWKO-NSHDSACASA-N 0 1 279.319 0.951 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)CCc2cnn(C)n2)C1 ZINC001324647174 912959174 /nfs/dbraw/zinc/95/91/74/912959174.db2.gz MEEDOQBSPHQHNJ-OAHLLOKOSA-N 0 1 289.383 0.352 20 30 CCEDMN N#CCCCCN1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC001325038675 913164493 /nfs/dbraw/zinc/16/44/93/913164493.db2.gz KSJSYMRFDXXTCM-UHFFFAOYSA-N 0 1 299.440 0.875 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)COC2CCCC2)C1 ZINC001325068255 913182214 /nfs/dbraw/zinc/18/22/14/913182214.db2.gz NDKCPTCCTJLBSK-OAHLLOKOSA-N 0 1 280.368 0.132 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)n2cccc2)C1 ZINC001325085420 913200038 /nfs/dbraw/zinc/20/00/38/913200038.db2.gz LBOPZWYJLGCIPL-GDBMZVCRSA-N 0 1 289.379 0.625 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@](C)(C=C)CC)C1 ZINC001325126142 913232104 /nfs/dbraw/zinc/23/21/04/913232104.db2.gz MASBUHNOIMPPKR-GJZGRUSLSA-N 0 1 264.369 0.775 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ocnc2C(F)F)C1 ZINC001325125292 913234494 /nfs/dbraw/zinc/23/44/94/913234494.db2.gz CFBUUFPWFWKKSM-ZDUSSCGKSA-N 0 1 299.277 0.412 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cc(F)cc(F)c2)C1 ZINC001325162795 913250500 /nfs/dbraw/zinc/25/05/00/913250500.db2.gz WSXVQYWKPZBDRD-HNNXBMFYSA-N 0 1 294.301 0.765 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)C[C@@H]1CCCO1 ZINC001394732362 913381795 /nfs/dbraw/zinc/38/17/95/913381795.db2.gz ZOIBLWWRCLWVKE-RYUDHWBXSA-N 0 1 290.791 0.717 20 30 CCEDMN Cc1ncc(CNC[C@@H](O)CN(C)C(=O)[C@@H](C)C#N)o1 ZINC001394734766 913398351 /nfs/dbraw/zinc/39/83/51/913398351.db2.gz YRAGQCYRRXXMRV-GXSJLCMTSA-N 0 1 280.328 0.052 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001347290272 891558310 /nfs/dbraw/zinc/55/83/10/891558310.db2.gz DCURPDCRTCZKEJ-MIMYLULJSA-N 0 1 251.286 0.150 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)CCn2ccnc2)C1 ZINC001325848132 913606859 /nfs/dbraw/zinc/60/68/59/913606859.db2.gz MRHOSEMSPUVNTD-OAHLLOKOSA-N 0 1 292.383 0.402 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@@H](C)NCc1nccn1C ZINC001283609192 891948464 /nfs/dbraw/zinc/94/84/64/891948464.db2.gz ZFOVOHTTXOCUMC-CYBMUJFWSA-N 0 1 292.383 0.397 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1ccc(=O)[nH]c1 ZINC001481015560 892060146 /nfs/dbraw/zinc/06/01/46/892060146.db2.gz WDAUMLDCPQHBQX-UHFFFAOYSA-N 0 1 291.351 0.489 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001481061494 892122243 /nfs/dbraw/zinc/12/22/43/892122243.db2.gz YIFYKQWCKMGUPH-STQMWFEESA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H](CC(C)C)NC(C)=O ZINC001481065089 892124547 /nfs/dbraw/zinc/12/45/47/892124547.db2.gz LOGPNXCKIZWFTE-GJZGRUSLSA-N 0 1 293.411 0.751 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)[C@@H](C)CC)C1 ZINC001481077059 892139524 /nfs/dbraw/zinc/13/95/24/892139524.db2.gz OKZHUYDTQUYQGF-STQMWFEESA-N 0 1 281.400 0.773 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2n[nH]cc2F)C1 ZINC001481109081 892173352 /nfs/dbraw/zinc/17/33/52/892173352.db2.gz KQCFCOSQGKHISI-LLVKDONJSA-N 0 1 294.330 0.250 20 30 CCEDMN C#CCN(CCNC(=O)Cc1cscn1)C1CC1 ZINC001481189593 892286069 /nfs/dbraw/zinc/28/60/69/892286069.db2.gz RNYDLVLVJGLTAW-UHFFFAOYSA-N 0 1 263.366 0.899 20 30 CCEDMN C#CCCCC(=O)N(C)CCNCC(=O)Nc1ccon1 ZINC001481225321 892393057 /nfs/dbraw/zinc/39/30/57/892393057.db2.gz JSADGIQDAYEUPV-UHFFFAOYSA-N 0 1 292.339 0.465 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](C)c1ccc(F)c(F)c1 ZINC001283831499 892455465 /nfs/dbraw/zinc/45/54/65/892455465.db2.gz LJFMEQMESPUGEP-PWSUYJOCSA-N 0 1 296.317 0.768 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](C)c1ccc(F)c(F)c1 ZINC001283831491 892459076 /nfs/dbraw/zinc/45/90/76/892459076.db2.gz LJFMEQMESPUGEP-JQWIXIFHSA-N 0 1 296.317 0.768 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CCNC(=O)C1 ZINC001421392497 892579274 /nfs/dbraw/zinc/57/92/74/892579274.db2.gz MRISWNREUWFTGC-WDEREUQCSA-N 0 1 287.791 0.750 20 30 CCEDMN N#CCNC(=O)CN1CC[C@H](CCNC(=O)C2CCC2)C1 ZINC001481415786 892627656 /nfs/dbraw/zinc/62/76/56/892627656.db2.gz QCZANPBFPMZMJD-LBPRGKRZSA-N 0 1 292.383 0.254 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cccnc2)C1 ZINC001481611306 892895736 /nfs/dbraw/zinc/89/57/36/892895736.db2.gz QVEOAIVKFRDNOW-UHFFFAOYSA-N 0 1 275.352 0.946 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2cncnc2)C1 ZINC001481690953 893011404 /nfs/dbraw/zinc/01/14/04/893011404.db2.gz BKAQIEOACTXFPY-ZDUSSCGKSA-N 0 1 258.325 0.233 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)C(=O)NCC(F)F)C1 ZINC001481771032 893133896 /nfs/dbraw/zinc/13/38/96/893133896.db2.gz QIVKGGXKNDFAJX-JTQLQIEISA-N 0 1 289.326 0.477 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H](C)C#N)NCc1cc2n(n1)CCC2 ZINC001481798325 893160074 /nfs/dbraw/zinc/16/00/74/893160074.db2.gz NQOLABKHUOJWRR-NEPJUHHUSA-N 0 1 289.383 0.973 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001481861876 893224770 /nfs/dbraw/zinc/22/47/70/893224770.db2.gz QTANBMRCPYGDMF-SNVBAGLBSA-N 0 1 298.774 0.964 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H]1CN(CC2CCC2)CCO1 ZINC001482190177 893481514 /nfs/dbraw/zinc/48/15/14/893481514.db2.gz UPTONVMWDJRAEW-HOCLYGCPSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)C(C)(C)C(N)=O)C1 ZINC001482190086 893482704 /nfs/dbraw/zinc/48/27/04/893482704.db2.gz JVVRTNRTXCQGPM-LBPRGKRZSA-N 0 1 297.399 0.281 20 30 CCEDMN CC(C)C#CC(=O)NCCN(C)C(=O)[C@H]1CCCCN1C ZINC001284518820 893598261 /nfs/dbraw/zinc/59/82/61/893598261.db2.gz MRWLNJVUAZRRLJ-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@H]1CCOC1)C(C)C ZINC001284529890 893607977 /nfs/dbraw/zinc/60/79/77/893607977.db2.gz NPRMTBIFGSKWIV-ZDUSSCGKSA-N 0 1 252.358 0.825 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)C[C@H]1N[C@H](C)CC(C)(C)C#N ZINC000697293052 894314933 /nfs/dbraw/zinc/31/49/33/894314933.db2.gz UTSCKRKMTNKXRI-GMTAPVOTSA-N 0 1 274.386 0.716 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](O)CNCc1ccc(F)cc1F ZINC001482716058 894513317 /nfs/dbraw/zinc/51/33/17/894513317.db2.gz LBSHXRWEBYVDST-CABZTGNLSA-N 0 1 297.305 0.691 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H](C)NC(C)=O ZINC001482910181 894681308 /nfs/dbraw/zinc/68/13/08/894681308.db2.gz WMNIVWAIOYCLRG-SNVBAGLBSA-N 0 1 275.780 0.702 20 30 CCEDMN C=CCCC(=O)NC/C=C\CNCC(=O)N1CCC1 ZINC001482987605 894768456 /nfs/dbraw/zinc/76/84/56/894768456.db2.gz IUKFCKXAEWBMJV-PLNGDYQASA-N 0 1 265.357 0.447 20 30 CCEDMN C=CCC1(C(=O)NC/C=C/CNCC(N)=O)CCC1 ZINC001483057858 894859374 /nfs/dbraw/zinc/85/93/74/894859374.db2.gz RFQGEADHMMPTBY-ONEGZZNKSA-N 0 1 265.357 0.480 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cnnn1CC ZINC001483125232 894965692 /nfs/dbraw/zinc/96/56/92/894965692.db2.gz BENDFVXXAAXVOE-SECBINFHSA-N 0 1 271.752 0.758 20 30 CCEDMN Cc1nnsc1CNC[C@@H](C)NC(=O)C#CC1CC1 ZINC001483125932 894968934 /nfs/dbraw/zinc/96/89/34/894968934.db2.gz HANKXHQAOHMALN-SECBINFHSA-N 0 1 278.381 0.854 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@]1(C)CCC(=O)NC1 ZINC001483160205 895043621 /nfs/dbraw/zinc/04/36/21/895043621.db2.gz XHJUDLQZAVZFOV-ZWNOBZJWSA-N 0 1 287.791 0.750 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1CN(C)C(=O)N1 ZINC001425607384 895169034 /nfs/dbraw/zinc/16/90/34/895169034.db2.gz ZRRKUVNRCVCQPN-UWVGGRQHSA-N 0 1 288.779 0.199 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H](C)CNCc2nccn2C)c1 ZINC001483263490 895396579 /nfs/dbraw/zinc/39/65/79/895396579.db2.gz GECITVSAZJGKMG-LBPRGKRZSA-N 0 1 297.362 0.705 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](C)NC(=O)C(C)(C)F)C1=O ZINC001483305458 895428544 /nfs/dbraw/zinc/42/85/44/895428544.db2.gz HUWGEIOEKUKSHH-VXGBXAGGSA-N 0 1 299.390 0.958 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)CCc1cn[nH]c1 ZINC001483393701 895533764 /nfs/dbraw/zinc/53/37/64/895533764.db2.gz FPMGBHLEHFHNOZ-CYBMUJFWSA-N 0 1 292.383 0.429 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1ncccc1F ZINC001483402278 895543767 /nfs/dbraw/zinc/54/37/67/895543767.db2.gz WECQSILXKAKDBO-LBPRGKRZSA-N 0 1 293.342 0.921 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCNCc1cnnn1C ZINC001493538023 895550870 /nfs/dbraw/zinc/55/08/70/895550870.db2.gz SKGKMBWMRFWMQM-UHFFFAOYSA-N 0 1 263.345 0.023 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001483425920 895559781 /nfs/dbraw/zinc/55/97/81/895559781.db2.gz KNQNBTQNBIRQLS-IUODEOHRSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CC[N@H+](C)C[C@H](C)NC(=O)c1csc(COC)n1 ZINC001483427699 895561292 /nfs/dbraw/zinc/56/12/92/895561292.db2.gz RUJQDNAAYRGIDS-JTQLQIEISA-N 0 1 281.381 0.973 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1csc(COC)n1 ZINC001483427699 895561303 /nfs/dbraw/zinc/56/13/03/895561303.db2.gz RUJQDNAAYRGIDS-JTQLQIEISA-N 0 1 281.381 0.973 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H](C)n1cncn1 ZINC001483641728 895779999 /nfs/dbraw/zinc/77/99/99/895779999.db2.gz HWBLHFIJQGJRKY-NWDGAFQWSA-N 0 1 261.329 0.053 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@@H]1CCNC1=O ZINC001483655168 895800117 /nfs/dbraw/zinc/80/01/17/895800117.db2.gz LVUFGBGWWSFWHL-RYUDHWBXSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)COCc1ncc(C)o1 ZINC001483664418 895816555 /nfs/dbraw/zinc/81/65/55/895816555.db2.gz ANGZIYAHIKDDBF-CYBMUJFWSA-N 0 1 291.351 0.713 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN1C[C@@H](O)COC ZINC001483682452 895847471 /nfs/dbraw/zinc/84/74/71/895847471.db2.gz CFFVMAWXQMXRBX-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC(=O)NCC ZINC001483808664 896066098 /nfs/dbraw/zinc/06/60/98/896066098.db2.gz FTONRWBLUFKRDO-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN C=C(C)CN1CC(N(C)C(=O)CCc2c[nH]nn2)C1 ZINC001483879111 896101998 /nfs/dbraw/zinc/10/19/98/896101998.db2.gz SUJYCSRBWKIQTM-UHFFFAOYSA-N 0 1 263.345 0.456 20 30 CCEDMN C=C(C)CN1CC(N(C)C(=O)CCc2cnn[nH]2)C1 ZINC001483879111 896102003 /nfs/dbraw/zinc/10/20/03/896102003.db2.gz SUJYCSRBWKIQTM-UHFFFAOYSA-N 0 1 263.345 0.456 20 30 CCEDMN C=CCOCC(=O)NC1(C)CCN([C@@H](CC)C(N)=O)CC1 ZINC001483962078 896134741 /nfs/dbraw/zinc/13/47/41/896134741.db2.gz FOZSWEUWPIHOEU-LBPRGKRZSA-N 0 1 297.399 0.424 20 30 CCEDMN C=CCCOCC(=O)NC1(C)CCN([C@@H](C)C(N)=O)CC1 ZINC001483976967 896141739 /nfs/dbraw/zinc/14/17/39/896141739.db2.gz RNCFHCRIFHUNKB-LBPRGKRZSA-N 0 1 297.399 0.424 20 30 CCEDMN C#CCN(C(=O)c1[nH]nnc1C)C1CCN(CCF)CC1 ZINC001483985973 896141776 /nfs/dbraw/zinc/14/17/76/896141776.db2.gz PYGPOXSVIMQOGM-UHFFFAOYSA-N 0 1 293.346 0.622 20 30 CCEDMN C#CCN(C(C)=O)C1CCN([C@H]2CCN(C)C2=O)CC1 ZINC001483978275 896141824 /nfs/dbraw/zinc/14/18/24/896141824.db2.gz YKLVAQXKXBHYJC-AWEZNQCLSA-N 0 1 277.368 0.163 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@@]1(C)CCCN(CC#N)C1 ZINC001484166544 896218898 /nfs/dbraw/zinc/21/88/98/896218898.db2.gz JKJZCTHSHWFTOJ-UKRRQHHQSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccc(F)nc2)C1 ZINC001484208509 896236949 /nfs/dbraw/zinc/23/69/49/896236949.db2.gz OAVJAKPSMLOXOM-AWEZNQCLSA-N 0 1 277.299 0.021 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2coc(CC)n2)C1 ZINC001484213443 896249156 /nfs/dbraw/zinc/24/91/56/896249156.db2.gz LGOOMWZVVMMWBF-AWEZNQCLSA-N 0 1 277.324 0.037 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@](C)(C=C)CCOC)C1 ZINC001484231891 896265292 /nfs/dbraw/zinc/26/52/92/896265292.db2.gz SKFHJHYNNCIOMU-HOTGVXAUSA-N 0 1 294.395 0.401 20 30 CCEDMN C=CC[N@@H+]1CC[C@](O)(CNC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001484230448 896266823 /nfs/dbraw/zinc/26/68/23/896266823.db2.gz LULILBPONTXRBU-IZBGITOTSA-N 0 1 292.379 0.149 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]([C@@H](C)NCC#N)C2)n[nH]1 ZINC001484332837 896346919 /nfs/dbraw/zinc/34/69/19/896346919.db2.gz PWQUSCQLIDWMAN-MNOVXSKESA-N 0 1 261.329 0.682 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)c2cnccn2)C1 ZINC001484426683 896394774 /nfs/dbraw/zinc/39/47/74/896394774.db2.gz SSLLONCRRKGFII-OAHLLOKOSA-N 0 1 290.367 0.609 20 30 CCEDMN C=CCOCC(=O)NCC1(NCc2cnnn2C)CCC1 ZINC001484626689 896507876 /nfs/dbraw/zinc/50/78/76/896507876.db2.gz PVRZKBVNMSEHBJ-UHFFFAOYSA-N 0 1 293.371 0.146 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C2CCC(O)CC2)CC1 ZINC001485018162 896718689 /nfs/dbraw/zinc/71/86/89/896718689.db2.gz YMHVCXPZNDUWJF-UHFFFAOYSA-N 0 1 296.411 0.667 20 30 CCEDMN CC[C@@H](F)CN1CCC(CO)(NC(=O)[C@@H](C)C#N)CC1 ZINC001485015304 896721287 /nfs/dbraw/zinc/72/12/87/896721287.db2.gz ANRBMDVEOOLDFW-NWDGAFQWSA-N 0 1 285.363 0.837 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cnn(C)c1 ZINC001485048173 896730846 /nfs/dbraw/zinc/73/08/46/896730846.db2.gz SJQSIPKVWCYSCN-ZDUSSCGKSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](CC)NC(C)=O ZINC001485069397 896744816 /nfs/dbraw/zinc/74/48/16/896744816.db2.gz MJMGWHCKDNOPLE-HUUCEWRRSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C[C@@H]1CCC(=O)N1 ZINC001485071023 896749776 /nfs/dbraw/zinc/74/97/76/896749776.db2.gz IDWSAMLCYJJHDU-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCOCC(=O)NC1CC(CNCc2cnn(C)n2)C1 ZINC001485086939 896764247 /nfs/dbraw/zinc/76/42/47/896764247.db2.gz GBYYNVJHZPIMFG-UHFFFAOYSA-N 0 1 293.371 0.002 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)C(=O)N[C@H]2CCN(C3CC3)C2)C1 ZINC001326700905 914103488 /nfs/dbraw/zinc/10/34/88/914103488.db2.gz GWNGRTVGRKRHRH-TUAOUCFPSA-N 0 1 290.367 0.148 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)C(C)(F)F ZINC001485270052 896897902 /nfs/dbraw/zinc/89/79/02/896897902.db2.gz MWLRJTWLZHEAGL-SNVBAGLBSA-N 0 1 262.300 0.728 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@H]1CCC(=O)NC1 ZINC001485314511 896941799 /nfs/dbraw/zinc/94/17/99/896941799.db2.gz AXNVMPQTRPMXDM-CHWSQXEVSA-N 0 1 279.384 0.363 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCC(=O)NCCC ZINC001485377785 897007098 /nfs/dbraw/zinc/00/70/98/897007098.db2.gz PXZBZDAUIKIGHO-ZDUSSCGKSA-N 0 1 281.400 0.753 20 30 CCEDMN Cc1nc(CN2CC([C@H](C)NC(=O)C#CC(C)C)C2)n[nH]1 ZINC001485502480 897090418 /nfs/dbraw/zinc/09/04/18/897090418.db2.gz IQXNLWAAUNQBCV-NSHDSACASA-N 0 1 289.383 0.709 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C(=O)N2CCC[C@H](C)C2)C1 ZINC001485527167 897108955 /nfs/dbraw/zinc/10/89/55/897108955.db2.gz QMEOIFQYURJZQV-STQMWFEESA-N 0 1 293.411 0.867 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCC(N(C)CC(N)=O)CC1 ZINC001485758315 897220385 /nfs/dbraw/zinc/22/03/85/897220385.db2.gz GOZACNUDRXQPCT-UHFFFAOYSA-N 0 1 281.400 0.997 20 30 CCEDMN CC[C@H](C#N)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001326763976 914151303 /nfs/dbraw/zinc/15/13/03/914151303.db2.gz ZWSQRTMMLAASJC-GFCCVEGCSA-N 0 1 266.389 0.678 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CC1(O)CCC1 ZINC001032396344 897435113 /nfs/dbraw/zinc/43/51/13/897435113.db2.gz QVIMIYOAOIOUFK-STQMWFEESA-N 0 1 262.353 0.600 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1ccnn1C ZINC001032409228 897488166 /nfs/dbraw/zinc/48/81/66/897488166.db2.gz GEGLHZUZCJTOCX-IHRRRGAJSA-N 0 1 286.379 0.832 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]cc2ncnc1-2 ZINC001032415545 897523404 /nfs/dbraw/zinc/52/34/04/897523404.db2.gz ATJCHMXQPKQXST-QWRGUYRKSA-N 0 1 281.319 0.490 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@]1(C)CN(CC2CC2)CCO1 ZINC001107940199 897525518 /nfs/dbraw/zinc/52/55/18/897525518.db2.gz PZWJVROMLDHXAF-CJNGLKHVSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCOCCC(=O)NC[C@@]1(C)CN(CCC)CCO1 ZINC001107939535 897525572 /nfs/dbraw/zinc/52/55/72/897525572.db2.gz FEQQTOKIPUFLNN-HNNXBMFYSA-N 0 1 282.384 0.643 20 30 CCEDMN C#CCOCCC(=O)NC[C@]1(C)CN(C(C)C)CCO1 ZINC001107940886 897532041 /nfs/dbraw/zinc/53/20/41/897532041.db2.gz YFTBDCPPFSUSGX-OAHLLOKOSA-N 0 1 282.384 0.642 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCCC(=O)N1 ZINC001032510476 897673576 /nfs/dbraw/zinc/67/35/76/897673576.db2.gz VRVCUFZYFGDLNL-IHRRRGAJSA-N 0 1 291.395 0.906 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCC(=O)N1C ZINC001032560196 897746264 /nfs/dbraw/zinc/74/62/64/897746264.db2.gz ROTFYTVIAJGTLA-IHRRRGAJSA-N 0 1 289.379 0.306 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2CN3CC)c1 ZINC001032617281 897833973 /nfs/dbraw/zinc/83/39/73/897833973.db2.gz UEJXBEZJYFKEKO-KBPBESRZSA-N 0 1 255.321 0.982 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1cnc[nH]1 ZINC001032675525 897919160 /nfs/dbraw/zinc/91/91/60/897919160.db2.gz ZPXGDMXAVXAEJQ-SNPRPXQTSA-N 0 1 286.379 0.897 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001032674129 897926031 /nfs/dbraw/zinc/92/60/31/897926031.db2.gz KFPUSIZIDXHANS-UBHSHLNASA-N 0 1 272.352 0.507 20 30 CCEDMN C=CC[N@@H+](CC(=O)[O-])C[C@H](O)COC[C@@H]1CCCO1 ZINC001326818356 914204130 /nfs/dbraw/zinc/20/41/30/914204130.db2.gz KNQMCRZLFZICJO-RYUDHWBXSA-N 0 1 273.329 0.116 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2csc(C)c2)C1 ZINC001077840448 897982876 /nfs/dbraw/zinc/98/28/76/897982876.db2.gz VQFDLTJRNLYGJZ-CHWSQXEVSA-N 0 1 278.377 0.855 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(OC)o2)C1 ZINC001077888479 898002851 /nfs/dbraw/zinc/00/28/51/898002851.db2.gz GUUHXYOAIDVZPU-GHMZBOCLSA-N 0 1 280.324 0.639 20 30 CCEDMN C=CCN1CCO[C@](C)(CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001108031037 898248201 /nfs/dbraw/zinc/24/82/01/898248201.db2.gz WRFWKDLGXJBXCQ-GOEBONIOSA-N 0 1 295.427 0.864 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)CCNC(=O)c1[nH]ncc1F ZINC001078247504 898311049 /nfs/dbraw/zinc/31/10/49/898311049.db2.gz AHZMDMQRWDWIJG-VIFPVBQESA-N 0 1 298.318 0.376 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1sc(Cl)nc1C ZINC001486000412 898562896 /nfs/dbraw/zinc/56/28/96/898562896.db2.gz RKYDVBSOTKLNEL-QMMMGPOBSA-N 0 1 287.772 0.418 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc2c1CCCC2 ZINC001485999167 898586648 /nfs/dbraw/zinc/58/66/48/898586648.db2.gz RWEGRKRXFPNATB-AWEZNQCLSA-N 0 1 286.375 0.879 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)CC(C)=C(C)C ZINC001486023232 898595282 /nfs/dbraw/zinc/59/52/82/898595282.db2.gz AKEJAPHGABRMKY-CQSZACIVSA-N 0 1 282.384 0.449 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001486045651 898611627 /nfs/dbraw/zinc/61/16/27/898611627.db2.gz XJAOYYUCYJOHST-HNNXBMFYSA-N 0 1 298.346 0.390 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001486026471 898615425 /nfs/dbraw/zinc/61/54/25/898615425.db2.gz GKOGBVWKDCZABA-DZGCQCFKSA-N 0 1 290.338 0.555 20 30 CCEDMN C=CCC1(C(=O)NC[C@H](CO)N[C@H](C)C(N)=O)CCCC1 ZINC001486068054 898631920 /nfs/dbraw/zinc/63/19/20/898631920.db2.gz NPGYBYLBEZGHIU-VXGBXAGGSA-N 0 1 297.399 0.063 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCc1c[nH]c2ccccc12 ZINC001486058602 898644529 /nfs/dbraw/zinc/64/45/29/898644529.db2.gz HYHMNAZXDGQGBX-CQSZACIVSA-N 0 1 299.374 0.800 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NCc2cnnn2C)[C@H]1C ZINC001486074314 898656053 /nfs/dbraw/zinc/65/60/53/898656053.db2.gz RKLZSYZHLBZRLM-YPMHNXCESA-N 0 1 277.372 0.860 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C(=O)NCC2CC2)[C@H]1C ZINC001486183995 898703336 /nfs/dbraw/zinc/70/33/36/898703336.db2.gz PAIAQBQWYNSAIX-DGCLKSJQSA-N 0 1 279.384 0.668 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001486343593 898822886 /nfs/dbraw/zinc/82/28/86/898822886.db2.gz DNJMYVSMYNTLCQ-MJBXVCDLSA-N 0 1 282.384 0.043 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@H]1CC[C@@H](C(N)=O)C1)C(C)C ZINC001486375964 898836146 /nfs/dbraw/zinc/83/61/46/898836146.db2.gz UOEJSCCLYHURER-KGLIPLIRSA-N 0 1 293.411 0.690 20 30 CCEDMN CO[C@H](C)C(=O)NC[C@H](CO)NCc1ccc(C#N)cc1 ZINC001410580637 899479069 /nfs/dbraw/zinc/47/90/69/899479069.db2.gz MBNROIDUDYNNEV-BXUZGUMPSA-N 0 1 291.351 0.160 20 30 CCEDMN Cc1cc(CN[C@H](CO)CNC(=O)[C@H](C)C#N)sn1 ZINC001410597701 899489644 /nfs/dbraw/zinc/48/96/44/899489644.db2.gz WGYDAGWCUWIDFI-SCZZXKLOSA-N 0 1 282.369 0.178 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H](OC)[C@@H]2CCOC2)CC1 ZINC001327085090 914362549 /nfs/dbraw/zinc/36/25/49/914362549.db2.gz LEWQRGLPXLYLBV-HUUCEWRRSA-N 0 1 295.379 0.926 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](NC(C)=O)CC1 ZINC001327095193 914366156 /nfs/dbraw/zinc/36/61/56/914366156.db2.gz PZLTYLQXTSFMIB-YPMHNXCESA-N 0 1 265.357 0.115 20 30 CCEDMN COc1cc(CNC[C@H](C)N(C)C(=O)[C@@H](C)C#N)on1 ZINC001438642189 899708012 /nfs/dbraw/zinc/70/80/12/899708012.db2.gz ZJBLETRFKDKUHG-UWVGGRQHSA-N 0 1 280.328 0.779 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCOCC(F)F)C1 ZINC001193042037 900030010 /nfs/dbraw/zinc/03/00/10/900030010.db2.gz WBZYAKGQKLNHGJ-GHMZBOCLSA-N 0 1 292.326 0.396 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H]1CCC(=O)N1 ZINC001488672561 900337786 /nfs/dbraw/zinc/33/77/86/900337786.db2.gz PAFPUFHGSXNFPV-NEPJUHHUSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NC[C@H]1CC[C@@H](NCC#N)C1 ZINC001488955669 900419344 /nfs/dbraw/zinc/41/93/44/900419344.db2.gz IWEFWJGKTBRGFU-FRRDWIJNSA-N 0 1 289.383 0.986 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@H]1CC[C@@H](NCC#N)C1 ZINC001488955673 900420610 /nfs/dbraw/zinc/42/06/10/900420610.db2.gz IWEFWJGKTBRGFU-RWMBFGLXSA-N 0 1 289.383 0.986 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2cccnc2)C1 ZINC001489150302 900441423 /nfs/dbraw/zinc/44/14/23/900441423.db2.gz CRCCVFYPKRKTEZ-OAHLLOKOSA-N 0 1 287.363 0.614 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2cscn2)C1 ZINC001489155900 900441967 /nfs/dbraw/zinc/44/19/67/900441967.db2.gz OSKFKUJIRUUPNS-GFCCVEGCSA-N 0 1 293.392 0.675 20 30 CCEDMN CC#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC001489164499 900444548 /nfs/dbraw/zinc/44/45/48/900444548.db2.gz STCRXLOMVMDPTR-RBSFLKMASA-N 0 1 278.396 0.951 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCCN(CCN2CCNC2=O)C1 ZINC001490430773 900627031 /nfs/dbraw/zinc/62/70/31/900627031.db2.gz LTMYKJKADMCMAP-ZDUSSCGKSA-N 0 1 294.399 0.558 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C[C@H]2CCCO2)CC1 ZINC001490481946 900637083 /nfs/dbraw/zinc/63/70/83/900637083.db2.gz KLNIECKQLDOREC-CQSZACIVSA-N 0 1 281.400 0.475 20 30 CCEDMN C=CCCC(=O)NCCN1CCN(C[C@H](C)O)CC1 ZINC001490484123 900637149 /nfs/dbraw/zinc/63/71/49/900637149.db2.gz GTVJNCWUXUHVAY-ZDUSSCGKSA-N 0 1 269.389 0.067 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](NC(=O)c2nccn2CC)C1 ZINC001490538200 900650670 /nfs/dbraw/zinc/65/06/70/900650670.db2.gz WYRWWYHKZNIEFA-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2nccn2CC)C1 ZINC001490538200 900650676 /nfs/dbraw/zinc/65/06/76/900650676.db2.gz WYRWWYHKZNIEFA-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2cnccn2)C1 ZINC001490567796 900669654 /nfs/dbraw/zinc/66/96/54/900669654.db2.gz IQYFIRRBEANBJI-CQSZACIVSA-N 0 1 272.352 0.623 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)c1[nH]nnc1C)C1CC1 ZINC001490692169 900706579 /nfs/dbraw/zinc/70/65/79/900706579.db2.gz AXIHYONPODKYFW-JTQLQIEISA-N 0 1 283.763 0.964 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C\CNCC(=O)N1CCC1 ZINC001321187094 900964948 /nfs/dbraw/zinc/96/49/48/900964948.db2.gz QYBDEXQUYYCWCQ-WAYWQWQTSA-N 0 1 279.384 0.693 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@H]1CCCN(C)C1 ZINC001322969270 901020050 /nfs/dbraw/zinc/02/00/50/901020050.db2.gz QDQRLSICKOHPLV-LBPRGKRZSA-N 0 1 265.357 0.117 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)N(C)CC1CN(C)C1 ZINC001327392269 914515881 /nfs/dbraw/zinc/51/58/81/914515881.db2.gz NHHOBTBJKAXBCH-ZDUSSCGKSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCOCCN1CCN([C@@H]2CCN(C(=O)CC)C2)CC1 ZINC001327082297 902027523 /nfs/dbraw/zinc/02/75/23/902027523.db2.gz LSFUOXSSUKBORA-OAHLLOKOSA-N 0 1 295.427 0.818 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001412866312 902317941 /nfs/dbraw/zinc/31/79/41/902317941.db2.gz ZAIFZMLDKBEDLN-ZANVPECISA-N 0 1 277.328 0.865 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001412866312 902317953 /nfs/dbraw/zinc/31/79/53/902317953.db2.gz ZAIFZMLDKBEDLN-ZANVPECISA-N 0 1 277.328 0.865 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccnn2C)CC1 ZINC001045384640 902685212 /nfs/dbraw/zinc/68/52/12/902685212.db2.gz KZZUDDMGHIBZDD-UHFFFAOYSA-N 0 1 260.341 0.638 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC1(C)CCN(CC#N)CC1 ZINC001045385658 902685679 /nfs/dbraw/zinc/68/56/79/902685679.db2.gz UYVLQOOKVWPYDF-LBPRGKRZSA-N 0 1 264.373 0.575 20 30 CCEDMN CCn1ncnc1CN[C@H]1C[C@H](NC(=O)C#CC(C)C)C1 ZINC001490888993 903138601 /nfs/dbraw/zinc/13/86/01/903138601.db2.gz SDHUVCGSNUKTPJ-JOCQHMNTSA-N 0 1 289.383 0.694 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)C1(C(=O)NC)CCC1 ZINC001491229249 903454952 /nfs/dbraw/zinc/45/49/52/903454952.db2.gz FFAZIJFJQRBYAH-ZDUSSCGKSA-N 0 1 291.395 0.507 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cn(C)nc1OC ZINC001491548042 903675194 /nfs/dbraw/zinc/67/51/94/903675194.db2.gz MULRNNLPJYLJKS-SECBINFHSA-N 0 1 286.763 0.889 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@](C)(F)CCCC ZINC001331741504 904013282 /nfs/dbraw/zinc/01/32/82/904013282.db2.gz VFLKESPAHRWSAC-OCCSQVGLSA-N 0 1 272.364 0.995 20 30 CCEDMN C[N@H+]1CC[C@H]2CN(S(=O)(=O)c3ccc(C#N)cc3)C[C@H]21 ZINC001258089319 904044791 /nfs/dbraw/zinc/04/47/91/904044791.db2.gz ISYJPWWADKGURU-GXTWGEPZSA-N 0 1 291.376 0.883 20 30 CCEDMN CN1CC[C@H]2CN(S(=O)(=O)c3ccc(C#N)cc3)C[C@H]21 ZINC001258089319 904044806 /nfs/dbraw/zinc/04/48/06/904044806.db2.gz ISYJPWWADKGURU-GXTWGEPZSA-N 0 1 291.376 0.883 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@]1(F)CCOC1 ZINC001316607660 904177504 /nfs/dbraw/zinc/17/75/04/904177504.db2.gz TWBFPFZBSHBNMY-JSGCOSHPSA-N 0 1 268.332 0.671 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001281034316 904202762 /nfs/dbraw/zinc/20/27/62/904202762.db2.gz IBLGOZZYMTUTQZ-SECBINFHSA-N 0 1 279.344 0.594 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CNC(=O)CC ZINC001281928333 904372886 /nfs/dbraw/zinc/37/28/86/904372886.db2.gz KEDLFXQZBYUJTH-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@@H]1CN(C)[C@H](CC)C(N)=O ZINC001281932083 904375938 /nfs/dbraw/zinc/37/59/38/904375938.db2.gz IFWPTTOBVDWBRP-ZIAGYGMSSA-N 0 1 293.411 0.977 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)Cc1cnc[nH]1 ZINC001281955734 904377461 /nfs/dbraw/zinc/37/74/61/904377461.db2.gz QEOXABVODJFUQU-AWEZNQCLSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@]1(C)CCC(=O)N1 ZINC001281956983 904378084 /nfs/dbraw/zinc/37/80/84/904378084.db2.gz NXUKVKBRPXNSOZ-CJNGLKHVSA-N 0 1 291.395 0.601 20 30 CCEDMN C[C@H](NCC#N)[C@H](C)NC(=O)c1cncc2nc[nH]c21 ZINC001282129221 904420010 /nfs/dbraw/zinc/42/00/10/904420010.db2.gz QMHBIKZPZZQNPS-IUCAKERBSA-N 0 1 272.312 0.578 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cnns1 ZINC001282355317 904451106 /nfs/dbraw/zinc/45/11/06/904451106.db2.gz KAHNUXXHSCFWKQ-SECBINFHSA-N 0 1 252.343 0.612 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)C(C)(C)N1CCOCC1 ZINC001282466415 904488799 /nfs/dbraw/zinc/48/87/99/904488799.db2.gz BRJXENMXMCUEPJ-CQSZACIVSA-N 0 1 295.427 0.557 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](CC)N1CCCC1=O ZINC001282495648 904490744 /nfs/dbraw/zinc/49/07/44/904490744.db2.gz UQGJTAAOAGCBFV-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN C=CC[C@H](NC(=O)NCC[N@H+]1CCCOCC1)C(=O)[O-] ZINC001332605930 904516489 /nfs/dbraw/zinc/51/64/89/904516489.db2.gz HUOWRQFAMPVEML-NSHDSACASA-N 0 1 285.344 0.037 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N[C@H](C)C1CN(CC#N)C1 ZINC001282716788 904530747 /nfs/dbraw/zinc/53/07/47/904530747.db2.gz MYYMORKETOOBNU-DGCLKSJQSA-N 0 1 284.379 0.626 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@]2(C1)CN(C(C)C)CCO2 ZINC001282773361 904539466 /nfs/dbraw/zinc/53/94/66/904539466.db2.gz QKKUWFUQNZMNQA-HOCLYGCPSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@]2(C1)CN(C(C)C)CCO2 ZINC001282773363 904539695 /nfs/dbraw/zinc/53/96/95/904539695.db2.gz QKKUWFUQNZMNQA-ZBFHGGJFSA-N 0 1 294.395 0.736 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CC[C@@H]2C[N@H+](CC(N)=O)C[C@H]2C1 ZINC001282938964 904619907 /nfs/dbraw/zinc/61/99/07/904619907.db2.gz LTJWYICXJDTUOC-JHJVBQTASA-N 0 1 279.384 0.655 20 30 CCEDMN CC(C)(C)C#CC(=O)NCCNC(=O)Cc1cnc[nH]1 ZINC001283002956 904663707 /nfs/dbraw/zinc/66/37/07/904663707.db2.gz OCWQOSSONDLHHI-UHFFFAOYSA-N 0 1 276.340 0.234 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cnn(C(C)(C)C)c1 ZINC001283769331 905008107 /nfs/dbraw/zinc/00/81/07/905008107.db2.gz BVCOQMAUJIHQML-CYBMUJFWSA-N 0 1 292.383 0.342 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001283796653 905019630 /nfs/dbraw/zinc/01/96/30/905019630.db2.gz JWONTRBKJXWCHN-TZMCWYRMSA-N 0 1 276.311 0.165 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1noc2c1CCCC2 ZINC001283803861 905022074 /nfs/dbraw/zinc/02/20/74/905022074.db2.gz IHENAFODWKOWCB-NSHDSACASA-N 0 1 291.351 0.257 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1cc(C)cc(C)c1 ZINC001283805116 905029220 /nfs/dbraw/zinc/02/92/20/905029220.db2.gz WFBMDUIFXBYHRJ-OAHLLOKOSA-N 0 1 274.364 0.546 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001284095723 905148965 /nfs/dbraw/zinc/14/89/65/905148965.db2.gz FWPSOOSBRRVWSX-DBIOUOCHSA-N 0 1 291.355 0.640 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001284299220 905240490 /nfs/dbraw/zinc/24/04/90/905240490.db2.gz CKTOPBNKTXIPIY-QMMMGPOBSA-N 0 1 265.317 0.251 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccc(C)cc1 ZINC001284515252 905348746 /nfs/dbraw/zinc/34/87/46/905348746.db2.gz BLSIZVVZOQDIFS-OAHLLOKOSA-N 0 1 274.364 0.993 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1c(C)onc1CC ZINC001284518489 905354188 /nfs/dbraw/zinc/35/41/88/905354188.db2.gz CNRQAATZBHHFHA-GFCCVEGCSA-N 0 1 293.367 0.543 20 30 CCEDMN C=C(C)CCC(=O)NCCN(C)C(=O)C1=NC(=O)N(C)C1 ZINC001284535217 905362933 /nfs/dbraw/zinc/36/29/33/905362933.db2.gz RVLYWRUZYKUREE-UHFFFAOYSA-N 0 1 294.355 0.670 20 30 CCEDMN C=CCOCCCC(=O)NC1CN(C(=O)c2ccn[nH]2)C1 ZINC001284894461 905470517 /nfs/dbraw/zinc/47/05/17/905470517.db2.gz MTUCIMDHPRSCRF-UHFFFAOYSA-N 0 1 292.339 0.333 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H]1CCCN1C)NC(=O)C#CC1CC1 ZINC001285082879 905542372 /nfs/dbraw/zinc/54/23/72/905542372.db2.gz NTSRUPPCUQMZAX-UONOGXRCSA-N 0 1 291.395 0.505 20 30 CCEDMN C#C[C@H](C)[N@@H+](C)CC(=O)N[C@@H](C(=O)[O-])[C@H](C)CC ZINC001588368666 983307882 /nfs/dbraw/zinc/30/78/82/983307882.db2.gz QMJFZVPZCFWPPL-JFGNBEQYSA-N 0 1 254.330 0.555 20 30 CCEDMN C#C[C@H](C)[N@H+](C)CC(=O)N[C@@H](C(=O)[O-])[C@H](C)CC ZINC001588368666 983307886 /nfs/dbraw/zinc/30/78/86/983307886.db2.gz QMJFZVPZCFWPPL-JFGNBEQYSA-N 0 1 254.330 0.555 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)Nc2cccnc2)CC1 ZINC001337065032 921175695 /nfs/dbraw/zinc/17/56/95/921175695.db2.gz UJMZSHSYBGDYTJ-UHFFFAOYSA-N 0 1 286.335 0.234 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cnnn1C ZINC001378768572 905809472 /nfs/dbraw/zinc/80/94/72/905809472.db2.gz KZOOXDAGUCVDRK-VIFPVBQESA-N 0 1 271.752 0.618 20 30 CCEDMN O=C(C#CC1CC1)NC1(CNC(=O)Cc2cnc[nH]2)CC1 ZINC001285970688 905826068 /nfs/dbraw/zinc/82/60/68/905826068.db2.gz DKHHKTMYKNCVGL-UHFFFAOYSA-N 0 1 286.335 0.131 20 30 CCEDMN Cc1nn(C)c(C)c1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001337253267 921224857 /nfs/dbraw/zinc/22/48/57/921224857.db2.gz QWWDXUPCTDSBDF-UHFFFAOYSA-N 0 1 278.341 0.468 20 30 CCEDMN C#Cc1cnc(N[C@@H](C)[C@H]2CN(C)CCN2C)nc1 ZINC001337266332 921227313 /nfs/dbraw/zinc/22/73/13/921227313.db2.gz BWAUXICEXADZEN-WCQYABFASA-N 0 1 259.357 0.504 20 30 CCEDMN C=C[C@@](C)(O)C(=O)Nc1ccc(OCc2nn[nH]n2)cc1 ZINC001293574000 906494609 /nfs/dbraw/zinc/49/46/09/906494609.db2.gz AIKXEUPFUNCOIT-CYBMUJFWSA-N 0 1 289.295 0.654 20 30 CCEDMN C=CCCC(=O)N1CCC(NC(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001293753178 906511700 /nfs/dbraw/zinc/51/17/00/906511700.db2.gz HUZJGFXGUUVFNK-VXGBXAGGSA-N 0 1 295.339 0.725 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN(C(=O)c2cnc(C)[nH]2)C1 ZINC001294731866 906624651 /nfs/dbraw/zinc/62/46/51/906624651.db2.gz HZJMKGILSGODMS-LBPRGKRZSA-N 0 1 288.351 0.852 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1CCNC(=O)C1 ZINC001380269896 906706520 /nfs/dbraw/zinc/70/65/20/906706520.db2.gz KYEKNYMSOYHDDR-NWDGAFQWSA-N 0 1 299.802 0.846 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(C)CCN([C@H]2CCNC2=O)CC1 ZINC001380300916 906722212 /nfs/dbraw/zinc/72/22/12/906722212.db2.gz WEXHLGIHSNXCPT-QWRGUYRKSA-N 0 1 278.356 0.005 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001295700169 906793115 /nfs/dbraw/zinc/79/31/15/906793115.db2.gz IXFAUPKCMHZHPX-LBPRGKRZSA-N 0 1 290.367 0.883 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCC[C@](CO)(NCCF)C2)c1 ZINC001381049707 907053631 /nfs/dbraw/zinc/05/36/31/907053631.db2.gz SPHOSZZJBCPTIY-AWEZNQCLSA-N 0 1 294.330 0.413 20 30 CCEDMN CCn1ncnc1CN[C@@H](C)CCNC(=O)[C@@H](C)C#N ZINC001381181571 907109839 /nfs/dbraw/zinc/10/98/39/907109839.db2.gz NNLQZIRDDQFMIH-QWRGUYRKSA-N 0 1 278.360 0.442 20 30 CCEDMN N#C[C@@H](CO)NC(=O)c1cccc(Br)c1O ZINC001297879539 907124748 /nfs/dbraw/zinc/12/47/48/907124748.db2.gz BBRPKZAPOHIWCO-LURJTMIESA-N 0 1 285.097 0.769 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](O)CNC(=O)[C@H]1CCCN1C ZINC001298185711 907171624 /nfs/dbraw/zinc/17/16/24/907171624.db2.gz CWUANFZFHUERDW-QWHCGFSZSA-N 0 1 297.399 0.030 20 30 CCEDMN CC(C)C#CC(=O)NC/C=C/CNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001298385721 907235675 /nfs/dbraw/zinc/23/56/75/907235675.db2.gz IPZBKQYPXUQWSY-BLDJZWNYSA-N 0 1 293.323 0.100 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N1CCc2nc[nH]c2C1 ZINC001298765614 907322201 /nfs/dbraw/zinc/32/22/01/907322201.db2.gz WILKYGZKYLNSEU-UHFFFAOYSA-N 0 1 263.297 0.804 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)C1=NC(=O)N(C)C1 ZINC001298866865 907345505 /nfs/dbraw/zinc/34/55/05/907345505.db2.gz REBCCQIITUXUAZ-VIFPVBQESA-N 0 1 294.355 0.572 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)CCCC(N)=O ZINC001317176634 907409695 /nfs/dbraw/zinc/40/96/95/907409695.db2.gz MYJGRUDYHCSJOF-ZDUSSCGKSA-N 0 1 279.384 0.636 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](C)CC(N)=O ZINC001382126757 907562586 /nfs/dbraw/zinc/56/25/86/907562586.db2.gz WLYCFGOMFWIPOA-SCZZXKLOSA-N 0 1 275.780 0.687 20 30 CCEDMN CCn1cc(CN[C@H](C)CCNC(=O)[C@@H](C)C#N)nn1 ZINC001491999129 907669175 /nfs/dbraw/zinc/66/91/75/907669175.db2.gz YMCNCZPVIPFETO-WDEREUQCSA-N 0 1 278.360 0.442 20 30 CCEDMN C=C(Cl)CN(C)CCNC(=O)[C@@H]1CCCN1C ZINC001492214813 907765679 /nfs/dbraw/zinc/76/56/79/907765679.db2.gz DIGURGRPQRFLJH-NSHDSACASA-N 0 1 259.781 0.881 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1COCCN1C1CCCC1 ZINC001492384104 907889711 /nfs/dbraw/zinc/88/97/11/907889711.db2.gz LVHMNMLPEUZDBS-HNNXBMFYSA-N 0 1 293.411 0.311 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H]1CC[C@H](F)C1 ZINC001492389905 907895577 /nfs/dbraw/zinc/89/55/77/907895577.db2.gz SQKFLDSZBPMUEF-OLZOCXBDSA-N 0 1 270.348 0.822 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc([N+](=O)[O-])c(F)cc1N ZINC001302295685 908012585 /nfs/dbraw/zinc/01/25/85/908012585.db2.gz SLFWHQMNOLTIDG-UHFFFAOYSA-N 0 1 294.286 0.611 20 30 CCEDMN C#CCOc1ccc(C(=O)N[C@H](CO)Cc2cnc[nH]2)cc1 ZINC001302759182 908039623 /nfs/dbraw/zinc/03/96/23/908039623.db2.gz MKSAOOZIIRWRGK-AWEZNQCLSA-N 0 1 299.330 0.755 20 30 CCEDMN C=CCCOCC(=O)N[C@H](Cc1cnc[nH]1)C(=O)OC ZINC001304090500 908144444 /nfs/dbraw/zinc/14/44/44/908144444.db2.gz HJYIDIMOXCJSEL-LLVKDONJSA-N 0 1 281.312 0.203 20 30 CCEDMN C#CC1(O)CN(C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001304605082 908174970 /nfs/dbraw/zinc/17/49/70/908174970.db2.gz FVWBICHDRFJYOG-UHFFFAOYSA-N 0 1 268.276 0.292 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCCN(C(=O)[C@H](C)OC)C1 ZINC001317372143 908185596 /nfs/dbraw/zinc/18/55/96/908185596.db2.gz RKEKRMPKKTUVFW-UONOGXRCSA-N 0 1 282.384 0.594 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C1(CC)CC1 ZINC001316846488 908210141 /nfs/dbraw/zinc/21/01/41/908210141.db2.gz HWJMNRKHQMPOFD-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NCc1nc2c(c(=O)[nH]1)COCC2 ZINC001307775509 908342326 /nfs/dbraw/zinc/34/23/26/908342326.db2.gz RBQWVLCJCVAHFE-NEPJUHHUSA-N 0 1 277.324 0.688 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H](F)C(C)C)C1 ZINC001316743589 908522107 /nfs/dbraw/zinc/52/21/07/908522107.db2.gz HAUYBCRDEAVTEO-KGLIPLIRSA-N 0 1 284.375 0.899 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCOC1 ZINC001317497652 908531468 /nfs/dbraw/zinc/53/14/68/908531468.db2.gz OFWCGUGCYKWOHA-ZIAGYGMSSA-N 0 1 280.368 0.253 20 30 CCEDMN C=CCONC(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001312703050 908619800 /nfs/dbraw/zinc/61/98/00/908619800.db2.gz JSVXRKYKVKPMEO-UHFFFAOYSA-N 0 1 274.284 0.784 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCOC[C@@H]1C1CC1 ZINC001313439022 908665412 /nfs/dbraw/zinc/66/54/12/908665412.db2.gz DEJNUVPUVPJFCD-CYBMUJFWSA-N 0 1 265.357 0.372 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCO[C@](C)(C(F)F)C1 ZINC001313439467 908666718 /nfs/dbraw/zinc/66/67/18/908666718.db2.gz LZIRTAZPSXQRGP-ZDUSSCGKSA-N 0 1 289.326 0.617 20 30 CCEDMN CN(CCCCCCNCC#N)C(=O)C1=NC(=O)N(C)C1 ZINC001316726486 908731210 /nfs/dbraw/zinc/73/12/10/908731210.db2.gz JWUZTRWHVYEUOE-UHFFFAOYSA-N 0 1 293.371 0.871 20 30 CCEDMN C#CCCCC(=O)NCCCN(C)CC(=O)N1CCCC1 ZINC001316820533 908753886 /nfs/dbraw/zinc/75/38/86/908753886.db2.gz XJOUQNOBCJZOPG-UHFFFAOYSA-N 0 1 293.411 0.850 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)COC(C)(C)C)C1 ZINC001316751047 908763695 /nfs/dbraw/zinc/76/36/95/908763695.db2.gz XUZJGQVQFUVONQ-AWEZNQCLSA-N 0 1 296.411 0.720 20 30 CCEDMN COCC#CCN1CCC(N(C)C(=O)c2cocn2)CC1 ZINC001316931064 908853099 /nfs/dbraw/zinc/85/30/99/908853099.db2.gz HJWSCYSCPLZMHS-UHFFFAOYSA-N 0 1 291.351 0.861 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)CN(C)C(C)=O)C1 ZINC001316939009 908857637 /nfs/dbraw/zinc/85/76/37/908857637.db2.gz ZLVYUUJDFZPWGQ-AWEZNQCLSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C[C@H](C)OC)CC1 ZINC001316961616 908865740 /nfs/dbraw/zinc/86/57/40/908865740.db2.gz CCUADTOWECQLOT-ZDUSSCGKSA-N 0 1 269.389 0.331 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)CCCC(N)=O)CC1 ZINC001317005051 908910717 /nfs/dbraw/zinc/91/07/17/908910717.db2.gz FPURRNBNJJPXAG-UHFFFAOYSA-N 0 1 293.411 0.978 20 30 CCEDMN CN(CC#N)C[C@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC001317038354 908937130 /nfs/dbraw/zinc/93/71/30/908937130.db2.gz DAKLQAXATSLTKA-LLVKDONJSA-N 0 1 276.344 0.041 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)Cc1ncc[nH]1 ZINC001317175580 909024988 /nfs/dbraw/zinc/02/49/88/909024988.db2.gz DKTAQXIETCTZTM-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN Cc1[nH+]ccn1CCNC(=O)c1[n-]c(=O)c(C#N)cc1C ZINC001417624514 921464056 /nfs/dbraw/zinc/46/40/56/921464056.db2.gz FTVKHSMUKYMFJG-UHFFFAOYSA-N 0 1 285.307 0.902 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)NCc1cnnn1C ZINC001317229308 909065120 /nfs/dbraw/zinc/06/51/20/909065120.db2.gz NGRVCGWOBQOLAN-JTQLQIEISA-N 0 1 251.334 0.376 20 30 CCEDMN CCn1nncc1CN[C@H](C)CNC(=O)C#CC(C)(C)C ZINC001317239638 909080240 /nfs/dbraw/zinc/08/02/40/909080240.db2.gz COTLHPQEEXXNAD-GFCCVEGCSA-N 0 1 291.399 0.942 20 30 CCEDMN CCN(CCNC(=O)[C@H](C)C#N)C(=O)c1cc(C)[nH]n1 ZINC001387302112 909097982 /nfs/dbraw/zinc/09/79/82/909097982.db2.gz PKEWDJACXGULIY-SECBINFHSA-N 0 1 277.328 0.456 20 30 CCEDMN CCN(CCNC(=O)[C@@H](C)C#N)C(=O)Cc1ccn[nH]1 ZINC001387304816 909100410 /nfs/dbraw/zinc/10/04/10/909100410.db2.gz ZLCYPIBRFAOMTR-JTQLQIEISA-N 0 1 277.328 0.077 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC[C@H](C)NCc1cn(C)nn1 ZINC001317391375 909169316 /nfs/dbraw/zinc/16/93/16/909169316.db2.gz UXQSWRUCQSORNA-FZMZJTMJSA-N 0 1 295.387 0.127 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCn2ccnc2C1 ZINC001317452144 909226228 /nfs/dbraw/zinc/22/62/28/909226228.db2.gz WCCFNXAKMSYDBL-GFCCVEGCSA-N 0 1 260.341 0.127 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCCCN1C(=O)C(C)C ZINC001317472153 909251678 /nfs/dbraw/zinc/25/16/78/909251678.db2.gz VPLXMHNWHUBTRS-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](C(C)C)N1CCCC1=O ZINC001317491954 909261359 /nfs/dbraw/zinc/26/13/59/909261359.db2.gz HHZBFBZNGQGGOM-OAHLLOKOSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@]1(C)CCOC1 ZINC001317488270 909261992 /nfs/dbraw/zinc/26/19/92/909261992.db2.gz NGKIBYPBQAHYRZ-AWEZNQCLSA-N 0 1 252.358 0.874 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2cnn(C)c2)C1 ZINC001317517320 909285933 /nfs/dbraw/zinc/28/59/33/909285933.db2.gz KURQRVZHXALIJT-ZDUSSCGKSA-N 0 1 290.367 0.122 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@H]1CCCOC1)C1CC1 ZINC001317549099 909315340 /nfs/dbraw/zinc/31/53/40/909315340.db2.gz JWELYVCBDMCENM-AWEZNQCLSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H](C)n1cccn1)C1CC1 ZINC001317548610 909317044 /nfs/dbraw/zinc/31/70/44/909317044.db2.gz ZQIDVQWDMWRKFQ-GFCCVEGCSA-N 0 1 260.341 0.658 20 30 CCEDMN C#CCN(CCNC(=O)c1c(C)ncn1C)C1CC1 ZINC001317551888 909327385 /nfs/dbraw/zinc/32/73/85/909327385.db2.gz MDBICGCEGOPPEM-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCNC(=O)CN1CCC(C)CC1 ZINC001388013734 909344374 /nfs/dbraw/zinc/34/43/74/909344374.db2.gz SZGIFYHXVUTMFT-CYBMUJFWSA-N 0 1 294.399 0.453 20 30 CCEDMN C#CCN1CC[C@H](N(CCC)C(=O)CCCCC(N)=O)C1 ZINC001317769669 909483842 /nfs/dbraw/zinc/48/38/42/909483842.db2.gz IEXUFBIRGPERLI-AWEZNQCLSA-N 0 1 293.411 0.978 20 30 CCEDMN CC(C)C[C@@H](CNC(=O)[C@H](C)C#N)NC(=O)c1cnn[nH]1 ZINC001388534702 909506593 /nfs/dbraw/zinc/50/65/93/909506593.db2.gz LGGXGCMOGPTRIX-ZJUUUORDSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCN(CCn2cccn2)[C@@H]1C ZINC001388788093 909590977 /nfs/dbraw/zinc/59/09/77/909590977.db2.gz BLZGQGLZVMMPHC-XQQFMLRXSA-N 0 1 275.356 0.622 20 30 CCEDMN C=CCOCC(=O)NCC1CN(CCn2cccn2)C1 ZINC001318108792 909623151 /nfs/dbraw/zinc/62/31/51/909623151.db2.gz YXKMCUBWHJKFPV-UHFFFAOYSA-N 0 1 278.356 0.134 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCC1CN(CC#N)C1 ZINC001318160713 909647888 /nfs/dbraw/zinc/64/78/88/909647888.db2.gz BSTVMQCOGGOGGJ-SNVBAGLBSA-N 0 1 261.329 0.160 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CNC(=O)c2ccncc2)C1 ZINC001318334267 909713365 /nfs/dbraw/zinc/71/33/65/909713365.db2.gz UPAQGWDRRMUVMG-CYBMUJFWSA-N 0 1 288.351 0.188 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)CCCC(N)=O)C1 ZINC001318454938 909764820 /nfs/dbraw/zinc/76/48/20/909764820.db2.gz YTLKJSMYHKQBAY-LLVKDONJSA-N 0 1 253.346 0.361 20 30 CCEDMN C#CCOCCC(=O)N(CC)[C@H]1CCN(CC#C)C1 ZINC001318469547 909768660 /nfs/dbraw/zinc/76/86/60/909768660.db2.gz FMVMEOSXZTVWAC-AWEZNQCLSA-N 0 1 262.353 0.582 20 30 CCEDMN C[C@](CNCC#N)(NC(=O)c1[nH]ncc1F)C1CC1 ZINC001318715143 909871140 /nfs/dbraw/zinc/87/11/40/909871140.db2.gz UCFNGPILRSLYRQ-GFCCVEGCSA-N 0 1 265.292 0.560 20 30 CCEDMN N#CCNCCC1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001318885507 909911774 /nfs/dbraw/zinc/91/17/74/909911774.db2.gz JTKGAXASMIQIEM-VXGBXAGGSA-N 0 1 280.328 0.393 20 30 CCEDMN C#CCNC(=O)CN1CCC(CCNC(=O)C2CC2)CC1 ZINC001319009129 909955275 /nfs/dbraw/zinc/95/52/75/909955275.db2.gz SFEWKCGHNXPLRQ-UHFFFAOYSA-N 0 1 291.395 0.364 20 30 CCEDMN N#Cc1cccc(OCC(=O)NCCN2CC=CC2)c1 ZINC001319327590 910100206 /nfs/dbraw/zinc/10/02/06/910100206.db2.gz WNCBVBXEKVVGAA-UHFFFAOYSA-N 0 1 271.320 0.925 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H](COC)OC ZINC001320041793 910436013 /nfs/dbraw/zinc/43/60/13/910436013.db2.gz JQLUMEXAVFHVFP-LBPRGKRZSA-N 0 1 256.346 0.061 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H]2[C@@H](CC[N@@H+]2CC(=O)NC)C1 ZINC001320100395 910464039 /nfs/dbraw/zinc/46/40/39/910464039.db2.gz YUUODTMGUOMHHT-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CC(C)(C)C#CC(=O)NC[C@H](O)CNCc1cnns1 ZINC001320219213 910533711 /nfs/dbraw/zinc/53/37/11/910533711.db2.gz WJCPPMAIJKOUTF-SNVBAGLBSA-N 0 1 296.396 0.154 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](O)CNCc1cc(C)no1 ZINC001320262932 910563045 /nfs/dbraw/zinc/56/30/45/910563045.db2.gz KJUXKUMJMYUAJL-CYBMUJFWSA-N 0 1 293.367 0.743 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC001320305789 910597204 /nfs/dbraw/zinc/59/72/04/910597204.db2.gz GGMFLMKWNLGALY-KBPBESRZSA-N 0 1 299.415 0.843 20 30 CCEDMN C=CCO[C@@H]1CCN(CCNS(=O)(=O)CC)C1 ZINC001320322011 910611030 /nfs/dbraw/zinc/61/10/30/910611030.db2.gz RNPXPZLWPZWJKN-LLVKDONJSA-N 0 1 262.375 0.203 20 30 CCEDMN C=Cn1cc(CNCCNC(=O)C2N=CC=CC2=O)cn1 ZINC001320864226 910908878 /nfs/dbraw/zinc/90/88/78/910908878.db2.gz DRRAVQBXJSDFCF-YPKPFQOOSA-N 0 1 287.323 0.600 20 30 CCEDMN CC[C@H](C)NC(=O)CNC/C=C\CNC(=O)[C@H](C)C#N ZINC001320996392 910997902 /nfs/dbraw/zinc/99/79/02/910997902.db2.gz DRJXAIGVZGHAPV-DUVUQDDDSA-N 0 1 280.372 0.323 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)Cc1cc[nH]n1 ZINC001321015617 911019865 /nfs/dbraw/zinc/01/98/65/911019865.db2.gz VGWXTODSTQLUKH-IHWYPQMZSA-N 0 1 268.748 0.967 20 30 CCEDMN CO[C@H](C(=O)NC1(C#N)CCN(C)CC1)c1cnn(C)c1 ZINC001321150515 911122827 /nfs/dbraw/zinc/12/28/27/911122827.db2.gz SPGAQYXJACYWGZ-LBPRGKRZSA-N 0 1 291.355 0.212 20 30 CCEDMN C[C@@H](NS(=O)(=O)CC1(C#N)CC1)c1nnc[nH]1 ZINC001321198532 911154751 /nfs/dbraw/zinc/15/47/51/911154751.db2.gz AFGSEKVRUOIOTN-SSDOTTSWSA-N 0 1 255.303 0.089 20 30 CCEDMN C=CCN(CC=C)c1nnc(C2=NO[C@H](CO)C2)n1C ZINC001339073053 921644408 /nfs/dbraw/zinc/64/44/08/921644408.db2.gz ADSPAZXSNKSOSJ-JTQLQIEISA-N 0 1 277.328 0.479 20 30 CCEDMN CC[C@H](CNC(=O)[C@H](C)C#N)NCc1cc(OC)no1 ZINC001391672268 911312690 /nfs/dbraw/zinc/31/26/90/911312690.db2.gz WQGYDLZAFTVXAZ-NXEZZACHSA-N 0 1 280.328 0.827 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H](C)CNCc1cc(C)no1 ZINC001321513295 911339304 /nfs/dbraw/zinc/33/93/04/911339304.db2.gz CCHLMNPECAYPID-NEPJUHHUSA-N 0 1 279.340 0.616 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)CN[C@H](C)c1cnccn1 ZINC001321513807 911341983 /nfs/dbraw/zinc/34/19/83/911341983.db2.gz IHGKLWFAKUYKFY-CHWSQXEVSA-N 0 1 290.367 0.672 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)Cn1ccccc1=O ZINC001322292172 911752024 /nfs/dbraw/zinc/75/20/24/911752024.db2.gz DZPOTCIVQLOVES-CYBMUJFWSA-N 0 1 275.352 0.308 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCNCc1ccon1 ZINC001322442099 911807976 /nfs/dbraw/zinc/80/79/76/911807976.db2.gz IWOPLUCVOQINJD-JTQLQIEISA-N 0 1 250.302 0.772 20 30 CCEDMN CC(C)(C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001322653931 911898873 /nfs/dbraw/zinc/89/88/73/911898873.db2.gz DHDVRQATSXJRSA-KHPPLWFESA-N 0 1 265.313 0.675 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@@](C)(O)C=C ZINC001323232132 912207372 /nfs/dbraw/zinc/20/73/72/912207372.db2.gz NQPRDYGKBYWMIE-OCCSQVGLSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CC[C@@H](NC(=O)N[C@H]1CC[N@H+](CCOC)C1)C(=O)[O-] ZINC001339491893 921738693 /nfs/dbraw/zinc/73/86/93/921738693.db2.gz DYWXWGUNCBSBOU-WDEREUQCSA-N 0 1 285.344 0.036 20 30 CCEDMN C=C(CO)C(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC001328678561 915356994 /nfs/dbraw/zinc/35/69/94/915356994.db2.gz JGNLGOPJVQFTNZ-MRVPVSSYSA-N 0 1 253.258 0.030 20 30 CCEDMN CCN(CC)C(=O)CN1CC[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001399889284 915422641 /nfs/dbraw/zinc/42/26/41/915422641.db2.gz ANZDOERJOKXCPL-CHWSQXEVSA-N 0 1 294.399 0.453 20 30 CCEDMN N#CCCCNC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001329037576 915611471 /nfs/dbraw/zinc/61/14/71/915611471.db2.gz DERUGYYPSLWQSV-SECBINFHSA-N 0 1 278.316 0.759 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)N1CC[C@](F)(C#N)C1 ZINC001329037356 915611772 /nfs/dbraw/zinc/61/17/72/915611772.db2.gz SYJGIQHKEQLSKQ-FZMZJTMJSA-N 0 1 296.346 0.051 20 30 CCEDMN CC(C)COC[C@@H](O)CN1CC(CNC(=O)[C@H](C)C#N)C1 ZINC001400464957 915760707 /nfs/dbraw/zinc/76/07/07/915760707.db2.gz IFEUUGJYDJWMEZ-OCCSQVGLSA-N 0 1 297.399 0.228 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H](NC(C)=O)C1CCCC1 ZINC001329489321 915961683 /nfs/dbraw/zinc/96/16/83/915961683.db2.gz SFKADGRCYSXVNV-IUODEOHRSA-N 0 1 293.411 0.751 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H](COC)OC ZINC001329538200 915993650 /nfs/dbraw/zinc/99/36/50/915993650.db2.gz AKPULHGIVOZQHQ-NEPJUHHUSA-N 0 1 256.346 0.108 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CNC(=O)CCC ZINC001401485983 916275297 /nfs/dbraw/zinc/27/52/97/916275297.db2.gz OIQUULLMAGLXIH-SECBINFHSA-N 0 1 279.178 0.762 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H](C)NC(=O)CC ZINC001329922491 916279144 /nfs/dbraw/zinc/27/91/44/916279144.db2.gz BZWXZEYPYUEYLL-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H](CC)NC(C)=O ZINC001329935257 916290438 /nfs/dbraw/zinc/29/04/38/916290438.db2.gz ACPDLJRNIXVQFF-GJZGRUSLSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1(F)CCOCC1 ZINC001401550445 916316092 /nfs/dbraw/zinc/31/60/92/916316092.db2.gz KGXNJYUZFBQPGB-JTQLQIEISA-N 0 1 294.754 0.324 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccnc(OC)c1 ZINC001401555328 916322095 /nfs/dbraw/zinc/32/20/95/916322095.db2.gz IBTMXELKYVTKKI-LLVKDONJSA-N 0 1 299.758 0.523 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCc2nc(C)no2)C1 ZINC001330030543 916366240 /nfs/dbraw/zinc/36/62/40/916366240.db2.gz FIPHFLUFGHMBGC-LLVKDONJSA-N 0 1 290.367 0.770 20 30 CCEDMN C=CC[N@@H+](CC(=O)[O-])Cc1ccc(S(=O)(=O)NC)cc1 ZINC001330543002 916687795 /nfs/dbraw/zinc/68/77/95/916687795.db2.gz DTOYFCUHKDPJPK-UHFFFAOYSA-N 0 1 298.364 0.667 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](CNCc2ncnn2C)C1 ZINC001330579336 916715301 /nfs/dbraw/zinc/71/53/01/916715301.db2.gz YDHPROYZPZNFHK-HAQNSBGRSA-N 0 1 293.371 0.002 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@H](CNCc2nncn2C)C1 ZINC001330587836 916723275 /nfs/dbraw/zinc/72/32/75/916723275.db2.gz RFXJRNYHLRDQHC-JOCQHMNTSA-N 0 1 289.383 0.603 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cnn(C)c1N ZINC001402056673 916778138 /nfs/dbraw/zinc/77/81/38/916778138.db2.gz GUKNYFOFJNCKGI-JTQLQIEISA-N 0 1 297.790 0.949 20 30 CCEDMN C[C@H](NC(=O)[C@H]1CNCCO1)c1cccc(C#N)c1 ZINC001331003062 917013932 /nfs/dbraw/zinc/01/39/32/917013932.db2.gz IBFVOHYVZKGFSW-GXFFZTMASA-N 0 1 259.309 0.724 20 30 CCEDMN C[C@H](CN(C)C(=O)C(N)=O)NCc1ccc(C#N)s1 ZINC001331545481 917407247 /nfs/dbraw/zinc/40/72/47/917407247.db2.gz TVFREPHPFRCIPN-MRVPVSSYSA-N 0 1 280.353 0.042 20 30 CCEDMN C#C[C@@H](CC)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC001331555283 917414348 /nfs/dbraw/zinc/41/43/48/917414348.db2.gz ONIJCQSIKSRDDQ-ZETCQYMHSA-N 0 1 272.282 0.151 20 30 CCEDMN CC[C@H](F)CN[C@@H](CO)CNC(=O)C#CC(C)C ZINC001331703752 917528411 /nfs/dbraw/zinc/52/84/11/917528411.db2.gz DALMWPMDGYJMNQ-NWDGAFQWSA-N 0 1 258.337 0.461 20 30 CCEDMN CC(C)(C)C#CC(=O)NC[C@@H](CO)NCc1cccnc1 ZINC001331710484 917534856 /nfs/dbraw/zinc/53/48/56/917534856.db2.gz BQPCOFITTWXCFV-AWEZNQCLSA-N 0 1 289.379 0.698 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)/C=C\c1ccc[nH]1 ZINC001331707748 917536824 /nfs/dbraw/zinc/53/68/24/917536824.db2.gz OOOYWKKKKFGOGE-FMFIFOJESA-N 0 1 261.325 0.118 20 30 CCEDMN Cc1ncccc1CN[C@@H](CO)CNC(=O)C#CC1CC1 ZINC001331712280 917539970 /nfs/dbraw/zinc/53/99/70/917539970.db2.gz MZGVVMDTVVAVEU-OAHLLOKOSA-N 0 1 287.363 0.370 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C[C@@H]1Cc2ccccc2O1 ZINC001331724557 917547716 /nfs/dbraw/zinc/54/77/16/917547716.db2.gz JRHJWDZFJSBFQJ-KGLIPLIRSA-N 0 1 288.347 0.080 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C[C@@H]1CC(C)(C)CO1 ZINC001331755771 917575570 /nfs/dbraw/zinc/57/55/70/917575570.db2.gz DDZVKYWFKNUHGH-QWHCGFSZSA-N 0 1 282.384 0.282 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H](C)c1ccsc1 ZINC001331788409 917611473 /nfs/dbraw/zinc/61/14/73/917611473.db2.gz VNBGMIVHIGUROY-WCQYABFASA-N 0 1 280.393 0.942 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H](C)c1c(C)n[nH]c1C ZINC001331806425 917626302 /nfs/dbraw/zinc/62/63/02/917626302.db2.gz VFUMMAWWQZPETM-GXFFZTMASA-N 0 1 292.383 0.220 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H]1CN(C(=O)CCOC)CCO1 ZINC001403414653 917703962 /nfs/dbraw/zinc/70/39/62/917703962.db2.gz IJGGLFPLHZJVAD-VXGBXAGGSA-N 0 1 290.791 0.981 20 30 CCEDMN CCO[C@H](C(=O)NC1(C#N)CCN(C)CC1)[C@H]1CCOC1 ZINC001331937481 917733126 /nfs/dbraw/zinc/73/31/26/917733126.db2.gz CFXDSOAPUHNUAT-STQMWFEESA-N 0 1 295.383 0.532 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(C)C(C)(C)C1 ZINC001331939832 917734387 /nfs/dbraw/zinc/73/43/87/917734387.db2.gz QUHXAXXOILVODD-LLVKDONJSA-N 0 1 255.362 0.923 20 30 CCEDMN C=CCCn1cc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)nn1 ZINC001332036401 917816753 /nfs/dbraw/zinc/81/67/53/917816753.db2.gz HKBCZZJOQRXCTR-SNVBAGLBSA-N 0 1 287.327 0.602 20 30 CCEDMN Cn1nc2ccccc2c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC001332179560 917937964 /nfs/dbraw/zinc/93/79/64/917937964.db2.gz NZUMAPWHUYGOHY-SKDRFNHKSA-N 0 1 269.308 0.415 20 30 CCEDMN COCC(=O)N(C)C[C@@H](O)CNCc1ccccc1C#N ZINC001403834863 918050160 /nfs/dbraw/zinc/05/01/60/918050160.db2.gz MWFLXLQWHUDOBZ-AWEZNQCLSA-N 0 1 291.351 0.114 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CN(C)C(C)=O ZINC001332466800 918178527 /nfs/dbraw/zinc/17/85/27/918178527.db2.gz ABCBLNXPOWXLNV-SECBINFHSA-N 0 1 265.151 0.324 20 30 CCEDMN CN(CC#N)C[C@H](O)CN(C)C(=O)c1cc(C2CC2)[nH]n1 ZINC001332665732 918363234 /nfs/dbraw/zinc/36/32/34/918363234.db2.gz XDOCJQHLHKENQJ-NSHDSACASA-N 0 1 291.355 0.175 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccc(Cl)cn1 ZINC001332678654 918376103 /nfs/dbraw/zinc/37/61/03/918376103.db2.gz RARIYZHHFSCAJC-GFCCVEGCSA-N 0 1 295.770 0.733 20 30 CCEDMN C#CCN(C)CCN(C(=O)C[C@@H]1CCC(=O)NC1)C(C)C ZINC001332710720 918396528 /nfs/dbraw/zinc/39/65/28/918396528.db2.gz JYTQSISMTIGYEP-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCCCC(=O)N(C)C1CC(NCc2nncn2C)C1 ZINC001333058161 918629539 /nfs/dbraw/zinc/62/95/39/918629539.db2.gz ZRKJGTJPIWRVCE-UHFFFAOYSA-N 0 1 289.383 0.698 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)c1cnc(CN)nc1 ZINC001333338111 918818802 /nfs/dbraw/zinc/81/88/02/918818802.db2.gz MXLZLXCUIBTHBH-ONGXEEELSA-N 0 1 262.313 0.256 20 30 CCEDMN C=CCOCCCC(=O)N1CCC[N@H+](CC(=O)[O-])CC1 ZINC001333367236 918839682 /nfs/dbraw/zinc/83/96/82/918839682.db2.gz VKECSCHATLWPFS-UHFFFAOYSA-N 0 1 284.356 0.588 20 30 CCEDMN C#CCCCS(=O)(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001333489204 918945770 /nfs/dbraw/zinc/94/57/70/918945770.db2.gz HJKDJCARXYPFRU-SNVBAGLBSA-N 0 1 298.368 0.141 20 30 CCEDMN C#CCCCS(=O)(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001333489204 918945777 /nfs/dbraw/zinc/94/57/77/918945777.db2.gz HJKDJCARXYPFRU-SNVBAGLBSA-N 0 1 298.368 0.141 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(O)Cc3ccccc3C2)CC1 ZINC001333660041 919079480 /nfs/dbraw/zinc/07/94/80/919079480.db2.gz KACZGWGVPJSTOC-UHFFFAOYSA-N 0 1 298.386 0.730 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001333934163 919258154 /nfs/dbraw/zinc/25/81/54/919258154.db2.gz MDCDQCRQOHPQEU-IUCAKERBSA-N 0 1 279.344 0.640 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001333934163 919258167 /nfs/dbraw/zinc/25/81/67/919258167.db2.gz MDCDQCRQOHPQEU-IUCAKERBSA-N 0 1 279.344 0.640 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](CC2CN(S(C)(=O)=O)C2)C1 ZINC001334044569 919342361 /nfs/dbraw/zinc/34/23/61/919342361.db2.gz SEKLJHQXAHQFJY-LBPRGKRZSA-N 0 1 274.386 0.155 20 30 CCEDMN C=CCn1nnnc1N1CCC(N2CCOC[C@H]2C)CC1 ZINC001334449592 919593305 /nfs/dbraw/zinc/59/33/05/919593305.db2.gz UPJBPIMSWLBRDJ-GFCCVEGCSA-N 0 1 292.387 0.549 20 30 CCEDMN CC[C@H](C)CN1CC(O)(CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC001407397807 919741098 /nfs/dbraw/zinc/74/10/98/919741098.db2.gz RDAOVKRLWMJQQK-NSHDSACASA-N 0 1 290.367 0.709 20 30 CCEDMN C#CCN(CC)CCNC(=O)Cc1cnccc1OC ZINC001492974664 920061390 /nfs/dbraw/zinc/06/13/90/920061390.db2.gz SILBTXLKSJWENI-UHFFFAOYSA-N 0 1 275.352 0.704 20 30 CCEDMN C#Cc1cccc(NC(=O)CNCCC(=O)N(C)OC)c1 ZINC001335352410 920142310 /nfs/dbraw/zinc/14/23/10/920142310.db2.gz VXLVOUSGRRXAAA-UHFFFAOYSA-N 0 1 289.335 0.606 20 30 CCEDMN C#C[C@H](NCC1(O)CCS(=O)(=O)CC1)c1ccccc1 ZINC001335396584 920168587 /nfs/dbraw/zinc/16/85/87/920168587.db2.gz IQGJQJYUKLYBKP-AWEZNQCLSA-N 0 1 293.388 0.890 20 30 CCEDMN N#CC1(CNC[C@@H](O)C[C@]2(O)CCOC2)CCCC1 ZINC001335448160 920199100 /nfs/dbraw/zinc/19/91/00/920199100.db2.gz MOLQKMZJUFKXRL-GXTWGEPZSA-N 0 1 268.357 0.562 20 30 CCEDMN C#CCN1CCN(C(=O)c2cnc(C3CC3)[nH]2)CC1 ZINC001336019580 920570578 /nfs/dbraw/zinc/57/05/78/920570578.db2.gz VKHOOKTTWFICRG-UHFFFAOYSA-N 0 1 258.325 0.678 20 30 CCEDMN C=CCC1(O)CCN(C(=O)c2cnncc2O)CC1 ZINC001336053214 920584388 /nfs/dbraw/zinc/58/43/88/920584388.db2.gz NIOZSWNVXSVALA-UHFFFAOYSA-N 0 1 263.297 0.725 20 30 CCEDMN CCN(C(=O)CN1CCC(CO)CC1)[C@H](C)C#N ZINC001336569937 920842445 /nfs/dbraw/zinc/84/24/45/920842445.db2.gz KAFQMVYLJDCFAT-LLVKDONJSA-N 0 1 253.346 0.451 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CNC(=O)CN1CCC(C)CC1 ZINC001416940251 921069899 /nfs/dbraw/zinc/06/98/99/921069899.db2.gz MYVFRYWRRGZDKH-STQMWFEESA-N 0 1 294.399 0.499 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CNC(=O)C(C)(C)c1cnc[nH]1 ZINC001416940880 921070218 /nfs/dbraw/zinc/07/02/18/921070218.db2.gz VZLBRRGDJOYYQI-UWVGGRQHSA-N 0 1 291.355 0.468 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ccc[nH]c2=O)CC1 ZINC001339934061 921884149 /nfs/dbraw/zinc/88/41/49/921884149.db2.gz UFQCYGAYGHPZFB-UHFFFAOYSA-N 0 1 288.351 0.684 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(F)c1 ZINC001340131276 921973602 /nfs/dbraw/zinc/97/36/02/921973602.db2.gz AFBLHVFRWIFRRX-JOYOIKCWSA-N 0 1 258.256 0.539 20 30 CCEDMN CC(C)[C@@H]1C[C@H](C(=O)N[C@@H]2CNC[C@@H]2C#N)CCO1 ZINC001340135025 921976267 /nfs/dbraw/zinc/97/62/67/921976267.db2.gz RTOIVNRCWNQTEN-XQHKEYJVSA-N 0 1 265.357 0.665 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCN1CCCC1=O ZINC001418316432 922019703 /nfs/dbraw/zinc/01/97/03/922019703.db2.gz TXNSUYCPDJCANN-LLVKDONJSA-N 0 1 287.791 0.846 20 30 CCEDMN C#CCNCC(=O)N1CCC([C@H](O)C(F)(F)F)CC1 ZINC001340523756 922109319 /nfs/dbraw/zinc/10/93/19/922109319.db2.gz HNOUWKZUWALXRP-NSHDSACASA-N 0 1 278.274 0.371 20 30 CCEDMN C#CCNCC(=O)N1CCN(C(=O)C2CCCCC2)CC1 ZINC001340635919 922150434 /nfs/dbraw/zinc/15/04/34/922150434.db2.gz LAKFQHJBCJJOBX-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](O)c1c(F)cccc1Cl ZINC001340726320 922185597 /nfs/dbraw/zinc/18/55/97/922185597.db2.gz LZQBLVWOZKLFFU-LLVKDONJSA-N 0 1 284.718 0.852 20 30 CCEDMN C#CCNCC(=O)N(C)[C@H]1C[C@@](C)(OC)C1(C)C ZINC001340753208 922198767 /nfs/dbraw/zinc/19/87/67/922198767.db2.gz NQBMQYZFLILQNT-SMDDNHRTSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CCC(NC(=O)NOC2CCOCC2)CC1 ZINC001340846536 922240194 /nfs/dbraw/zinc/24/01/94/922240194.db2.gz VTCSAXUPZKIEGU-UHFFFAOYSA-N 0 1 281.356 0.494 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccnn1C1CCC1 ZINC001341641714 922601083 /nfs/dbraw/zinc/60/10/83/922601083.db2.gz CNLMDCWSUNVHOO-KOLCDFICSA-N 0 1 259.313 0.449 20 30 CCEDMN C#CCNCC(=O)N1CCCN(CC(F)F)CC1 ZINC001341907855 922720711 /nfs/dbraw/zinc/72/07/11/922720711.db2.gz AEKZDTYSDPVTQU-UHFFFAOYSA-N 0 1 259.300 0.009 20 30 CCEDMN CNC(=O)[C@H](C#N)C(=O)c1cc(=O)[nH]c(C2CC2)c1 ZINC001341913447 922721370 /nfs/dbraw/zinc/72/13/70/922721370.db2.gz BNKOEFRGIJBMMJ-SECBINFHSA-N 0 1 259.265 0.733 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)C[C@@H]1CCC(=O)N1)C1CC1 ZINC001419300456 922759293 /nfs/dbraw/zinc/75/92/93/922759293.db2.gz XABPNUMQRGAHQQ-RYUDHWBXSA-N 0 1 299.802 0.892 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(=O)[nH]n1 ZINC001419646586 922963309 /nfs/dbraw/zinc/96/33/09/922963309.db2.gz MFHPKYXXRKJERT-VIFPVBQESA-N 0 1 284.747 0.985 20 30 CCEDMN C=CCNC(=O)C(C#N)C(=O)[C@@H]1CCCN1C(C)C ZINC001342723308 923069517 /nfs/dbraw/zinc/06/95/17/923069517.db2.gz XARRSHXTYKIZPU-NEPJUHHUSA-N 0 1 263.341 0.870 20 30 CCEDMN C=CCC[C@@H]1CCCN1C(=O)[C@@H](N)CCS(C)(=O)=O ZINC001343233758 923242485 /nfs/dbraw/zinc/24/24/85/923242485.db2.gz OIFRAXSEMCRNSV-NEPJUHHUSA-N 0 1 288.413 0.706 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)Cc1cnoc1 ZINC001479677995 923262521 /nfs/dbraw/zinc/26/25/21/923262521.db2.gz FMQUTCQHWQOQPK-UHFFFAOYSA-N 0 1 293.367 0.647 20 30 CCEDMN C#CCNCC(=O)NCc1ncc(C)c(OC)c1C ZINC001343408299 923302945 /nfs/dbraw/zinc/30/29/45/923302945.db2.gz XBRDQXCGKKZHIZ-UHFFFAOYSA-N 0 1 261.325 0.546 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@H]1CC[C@@H](C2CC2)O1 ZINC001343468141 923332614 /nfs/dbraw/zinc/33/26/14/923332614.db2.gz RACWQRANJBFKAX-NDBYEHHHSA-N 0 1 263.341 0.562 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1ncc(Cl)n1C ZINC001343556659 923372948 /nfs/dbraw/zinc/37/29/48/923372948.db2.gz DMZKREVESGGEHO-UHFFFAOYSA-N 0 1 254.721 0.255 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)Cn1ncc2ccccc2c1=O ZINC001343646529 923401647 /nfs/dbraw/zinc/40/16/47/923401647.db2.gz YJTAALRZMBNGHN-GFCCVEGCSA-N 0 1 298.346 0.124 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@@H]1[C@H]1CCCO1 ZINC001343641219 923403259 /nfs/dbraw/zinc/40/32/59/923403259.db2.gz RHNCJXSLJOIAPH-CHWSQXEVSA-N 0 1 250.342 0.769 20 30 CCEDMN Cc1nc(CNC(=O)[C@H](O)c2ccc(C#N)cc2)n[nH]1 ZINC001420452824 923675263 /nfs/dbraw/zinc/67/52/63/923675263.db2.gz SHGLAQSDIFLQMA-GFCCVEGCSA-N 0 1 271.280 0.335 20 30 CCEDMN C#CCN1CCC(OC(=O)C2CN(C(C)=O)C2)CC1 ZINC001344942124 923738752 /nfs/dbraw/zinc/73/87/52/923738752.db2.gz IOHWQSMOOMQFCJ-UHFFFAOYSA-N 0 1 264.325 0.106 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CN(C)CCO2)CC1 ZINC001420920135 924022689 /nfs/dbraw/zinc/02/26/89/924022689.db2.gz NOKGCPOUBREAFO-NSHDSACASA-N 0 1 287.791 0.308 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C[C@H](C)NC(N)=O)CC1 ZINC001420942519 924045275 /nfs/dbraw/zinc/04/52/75/924045275.db2.gz IIIPRGJHPPMACN-VIFPVBQESA-N 0 1 288.779 0.424 20 30 CCEDMN C=CCN(C(=O)[C@H](N)CC(F)F)[C@H]1CCS(=O)(=O)C1 ZINC001346029851 924049021 /nfs/dbraw/zinc/04/90/21/924049021.db2.gz TUISDOZTOUINGC-DTWKUNHWSA-N 0 1 296.339 0.171 20 30 CCEDMN C=CCN(C(=O)[C@@H](N)CC(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC001346029850 924050468 /nfs/dbraw/zinc/05/04/68/924050468.db2.gz TUISDOZTOUINGC-BDAKNGLRSA-N 0 1 296.339 0.171 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)C(=O)c1ccccc1OCC#N ZINC001420975729 924068038 /nfs/dbraw/zinc/06/80/38/924068038.db2.gz NYDCRXCGVHSOFU-UHFFFAOYSA-N 0 1 288.263 0.950 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1ccn(-c2ccncc2)n1 ZINC001346089470 924070543 /nfs/dbraw/zinc/07/05/43/924070543.db2.gz RPRGKGPEFBHAMB-LBPRGKRZSA-N 0 1 284.319 0.771 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2CCCN2C(C)=O)CC1 ZINC001346150239 924085162 /nfs/dbraw/zinc/08/51/62/924085162.db2.gz IYQSQOYKYPYQGD-CQSZACIVSA-N 0 1 278.352 0.638 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)Nc1cnc(C#N)c(C#N)n1 ZINC001421081707 924138797 /nfs/dbraw/zinc/13/87/97/924138797.db2.gz LSRRCUGYXYENQH-NSHDSACASA-N 0 1 285.355 0.268 20 30 CCEDMN C[C@H](CNCC#C[C@H]1CCCCO1)S(C)(=O)=O ZINC001346377984 924180317 /nfs/dbraw/zinc/18/03/17/924180317.db2.gz NTYFLGQRSDEVKF-VXGBXAGGSA-N 0 1 259.371 0.582 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@@H](C(C)(C)C)CC1 ZINC001346385095 924184728 /nfs/dbraw/zinc/18/47/28/924184728.db2.gz LXNTYYXASHPWEN-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCCNC(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC001347055256 924361097 /nfs/dbraw/zinc/36/10/97/924361097.db2.gz CGDTWPDJVANAFC-VXGBXAGGSA-N 0 1 277.368 0.257 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)NCC(C)(C)CC#N ZINC001347174194 924389474 /nfs/dbraw/zinc/38/94/74/924389474.db2.gz VTEPUMQEYDNHMA-RYUDHWBXSA-N 0 1 264.373 0.431 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H](OC)c2cnn(C)c2)CC1 ZINC001347400473 924439772 /nfs/dbraw/zinc/43/97/72/924439772.db2.gz IUJQNRYQDCOQET-CQSZACIVSA-N 0 1 291.351 0.748 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC001347968863 924567831 /nfs/dbraw/zinc/56/78/31/924567831.db2.gz CLXVDMACBNSZPI-TUAOUCFPSA-N 0 1 255.362 0.921 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001348665227 924766504 /nfs/dbraw/zinc/76/65/04/924766504.db2.gz WOBFLXUEFBIHJZ-STQMWFEESA-N 0 1 266.389 0.285 20 30 CCEDMN C=C1CC(C)(C(=O)NCCNC(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001348934593 924846225 /nfs/dbraw/zinc/84/62/25/924846225.db2.gz OQZADNQRAFRYAI-NXEZZACHSA-N 0 1 281.312 0.240 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001349004069 924864899 /nfs/dbraw/zinc/86/48/99/924864899.db2.gz YOOIOMMNPFJGPR-CYBMUJFWSA-N 0 1 281.319 0.740 20 30 CCEDMN C#CCC1(O)CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC001350852805 925298689 /nfs/dbraw/zinc/29/86/89/925298689.db2.gz YJKKHCKBQXCJCB-UHFFFAOYSA-N 0 1 262.313 0.114 20 30 CCEDMN C#CCC1(O)CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC001350852805 925298699 /nfs/dbraw/zinc/29/86/99/925298699.db2.gz YJKKHCKBQXCJCB-UHFFFAOYSA-N 0 1 262.313 0.114 20 30 CCEDMN CCN(CCC#N)C(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001350944082 925317422 /nfs/dbraw/zinc/31/74/22/925317422.db2.gz TUJZLCRXXFARSC-RYUDHWBXSA-N 0 1 250.346 0.137 20 30 CCEDMN C=C[C@H](C)NC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001351787674 925472757 /nfs/dbraw/zinc/47/27/57/925472757.db2.gz OCYUJQIQMSOBBI-TUAOUCFPSA-N 0 1 254.378 0.494 20 30 CCEDMN C=C1CCC(CNC(=O)C(=O)N2CCNCC2)CC1 ZINC001353175321 925749305 /nfs/dbraw/zinc/74/93/05/925749305.db2.gz PBMDQMICADBFFH-UHFFFAOYSA-N 0 1 265.357 0.281 20 30 CCEDMN C#CCOCCC(=O)NC[C@H](C)NCc1c(C)noc1C ZINC001480459971 925780234 /nfs/dbraw/zinc/78/02/34/925780234.db2.gz RUPWWXCGUMEBQT-NSHDSACASA-N 0 1 293.367 0.926 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)C[C@H](O)c1ccccc1 ZINC001353394521 925819500 /nfs/dbraw/zinc/81/95/00/925819500.db2.gz TVASEERTNKFCRU-OCCSQVGLSA-N 0 1 260.337 0.838 20 30 CCEDMN C=CCC[C@@H](NC(=O)[C@H](C)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001354046574 925996635 /nfs/dbraw/zinc/99/66/35/925996635.db2.gz FGQZYSHFVIHHGB-NWDGAFQWSA-N 0 1 283.372 0.158 20 30 CCEDMN C=CCCC(=O)NC1CCC(NC(=O)c2ncn[nH]2)CC1 ZINC001354189224 926036363 /nfs/dbraw/zinc/03/63/63/926036363.db2.gz DPWNGPMOHXUAJF-UHFFFAOYSA-N 0 1 291.355 0.928 20 30 CCEDMN C=CCCC(=O)NC1CCC(NC(=O)c2nc[nH]n2)CC1 ZINC001354189224 926036373 /nfs/dbraw/zinc/03/63/73/926036373.db2.gz DPWNGPMOHXUAJF-UHFFFAOYSA-N 0 1 291.355 0.928 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@H](C)C1=CC[N@@H+](C)CC1 ZINC001354294022 926074565 /nfs/dbraw/zinc/07/45/65/926074565.db2.gz QZDYRFCSWAKTQK-LLVKDONJSA-N 0 1 281.356 0.919 20 30 CCEDMN C=C[C@@H](O)c1nnc(N2CCN(CC)[C@H](C)C2)n1C ZINC001355408414 926254481 /nfs/dbraw/zinc/25/44/81/926254481.db2.gz SXVBRPMLVJXLNY-GHMZBOCLSA-N 0 1 265.361 0.565 20 30 CCEDMN C=CC1CCN(c2nnc(C3=NO[C@H](CO)C3)n2C)CC1 ZINC001355583665 926288267 /nfs/dbraw/zinc/28/82/67/926288267.db2.gz ZSGAJDWVPMENCG-NSHDSACASA-N 0 1 291.355 0.703 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001356147005 926348307 /nfs/dbraw/zinc/34/83/07/926348307.db2.gz OKYYVRULGBQNOX-AGIUHOORSA-N 0 1 278.352 0.529 20 30 CCEDMN Cn1ccnc1[C@@H](C#N)C(=O)[C@@H]1CCCN(C2CC2)C1=O ZINC001356568037 926401588 /nfs/dbraw/zinc/40/15/88/926401588.db2.gz YPAMNBCINBUYCO-RYUDHWBXSA-N 0 1 286.335 0.997 20 30 CCEDMN C=CCCC(=O)NCCN(C(=O)c1[nH]nnc1C)C1CC1 ZINC001356575438 926403829 /nfs/dbraw/zinc/40/38/29/926403829.db2.gz LJWUYBFVOPCYQA-UHFFFAOYSA-N 0 1 291.355 0.800 20 30 CCEDMN CC(C)C#CC(=O)N1CC(CNC(=O)CN2CCCC2)C1 ZINC001356813353 926447467 /nfs/dbraw/zinc/44/74/67/926447467.db2.gz DRDVHLLYXQUMPT-UHFFFAOYSA-N 0 1 291.395 0.316 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C)N(C)c1ccccc1 ZINC001357351406 926515732 /nfs/dbraw/zinc/51/57/32/926515732.db2.gz QAPXPXDCJSGFIB-ZDUSSCGKSA-N 0 1 259.353 0.850 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc2c1OCC2 ZINC001357444657 926532224 /nfs/dbraw/zinc/53/22/24/926532224.db2.gz HXWBBXDNRXAAJG-ZYHUDNBSSA-N 0 1 257.293 0.463 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1nnn(C)c1C ZINC001421372759 926709097 /nfs/dbraw/zinc/70/90/97/926709097.db2.gz DVNXMXSZWMQESA-JTQLQIEISA-N 0 1 285.779 0.974 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNC(=O)CCc1nc[nH]n1 ZINC001358532141 926736489 /nfs/dbraw/zinc/73/64/89/926736489.db2.gz QVYDVMDGQVKXGA-JTQLQIEISA-N 0 1 293.371 0.570 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)COC(C)C)CCO1 ZINC001421798094 926914845 /nfs/dbraw/zinc/91/48/45/926914845.db2.gz JDJYFNYLLYFLFA-GFCCVEGCSA-N 0 1 290.791 0.981 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCN(C)[C@@H](C)C(=O)NC1CC1 ZINC001421844861 926941155 /nfs/dbraw/zinc/94/11/55/926941155.db2.gz YIRAOVRDLIMUIT-RYUDHWBXSA-N 0 1 294.399 0.593 20 30 CCEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)CNC(C)=O ZINC001421995697 927028947 /nfs/dbraw/zinc/02/89/47/927028947.db2.gz HYKQFXJABSEMKM-LBPRGKRZSA-N 0 1 289.807 0.996 20 30 CCEDMN C=C(Br)CNC[C@H](O)CNC(=O)C(F)F ZINC001422281668 927190158 /nfs/dbraw/zinc/19/01/58/927190158.db2.gz WUHSWCHMYOXOIP-LURJTMIESA-N 0 1 287.104 0.227 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1ccncc1C ZINC001422314854 927215379 /nfs/dbraw/zinc/21/53/79/927215379.db2.gz OMOZZLRNLSAAID-ZDUSSCGKSA-N 0 1 297.786 0.752 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)nc1 ZINC001361725971 927278963 /nfs/dbraw/zinc/27/89/63/927278963.db2.gz LTRPWDQEHCEONR-UHFFFAOYSA-N 0 1 297.278 0.216 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)c1ccc(C)c(C#N)c1 ZINC001361727676 927279593 /nfs/dbraw/zinc/27/95/93/927279593.db2.gz YPGSFGGJZWFPFE-UHFFFAOYSA-N 0 1 284.279 0.597 20 30 CCEDMN C=C(Cl)CN1CC([C@@H](C)NC(=O)CCc2nc[nH]n2)C1 ZINC001422720603 927472574 /nfs/dbraw/zinc/47/25/74/927472574.db2.gz PLAFAONSPHJGRP-SNVBAGLBSA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@@H]1CCNC1=O ZINC001423075363 927660841 /nfs/dbraw/zinc/66/08/41/927660841.db2.gz MPEMESKIGODMAT-MNOVXSKESA-N 0 1 287.791 0.702 20 30 CCEDMN CC(F)(F)C(=O)NC[C@@H](CO)NCc1cccc(C#N)c1 ZINC001423176288 927712313 /nfs/dbraw/zinc/71/23/13/927712313.db2.gz KXIWEJDRJMKVJJ-LBPRGKRZSA-N 0 1 297.305 0.780 20 30 CCEDMN CCc1cc(CNS(=O)(=O)c2ccc(C#N)cn2)n[nH]1 ZINC001423719066 927966105 /nfs/dbraw/zinc/96/61/05/927966105.db2.gz QCAWGWUHQRNISJ-UHFFFAOYSA-N 0 1 291.336 0.717 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CN(C)C(=O)CN1CCCC1 ZINC001425082181 928428318 /nfs/dbraw/zinc/42/83/18/928428318.db2.gz NELPUMSJVQKODI-RYUDHWBXSA-N 0 1 280.372 0.205 20 30 CCEDMN Cc1cnc(CN[C@H](C)CN(C)C(=O)[C@@H](C)C#N)cn1 ZINC001425548018 928589470 /nfs/dbraw/zinc/58/94/70/928589470.db2.gz OQEZDXNMZLOATH-CMPLNLGQSA-N 0 1 275.356 0.881 20 30 CCEDMN COC[C@@H](NC(=O)c1csc(C#N)c1)c1nn[nH]n1 ZINC001362909718 928640563 /nfs/dbraw/zinc/64/05/63/928640563.db2.gz MWNAQQCHASRSFW-MRVPVSSYSA-N 0 1 278.297 0.250 20 30 CCEDMN Cc1cc2[nH]nc(NC(=O)[C@@H]3C[C@@H]3C#N)c2c(=O)n1C ZINC001362942162 928679989 /nfs/dbraw/zinc/67/99/89/928679989.db2.gz PWCQTFFSPSZYNY-HTQZYQBOSA-N 0 1 271.280 0.668 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001428700238 929300872 /nfs/dbraw/zinc/30/08/72/929300872.db2.gz ZEWYCBRLVVTMMO-ZETCQYMHSA-N 0 1 299.762 0.818 20 30 CCEDMN N#CCc1cccc(NC(=O)CCCc2nn[nH]n2)n1 ZINC001363524322 929327530 /nfs/dbraw/zinc/32/75/30/929327530.db2.gz BTKMGKXLSSVDCV-UHFFFAOYSA-N 0 1 271.284 0.622 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC([NH2+][C@@H]3CCOC3)C2)cc1[O-] ZINC001363630662 929431446 /nfs/dbraw/zinc/43/14/46/929431446.db2.gz JJWYTGSNJBPJEM-GFCCVEGCSA-N 0 1 287.319 0.467 20 30 CCEDMN Cc1cc(C(=O)N2CCC(NC(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001429651538 929498747 /nfs/dbraw/zinc/49/87/47/929498747.db2.gz ZFURLJKWADPQSW-VIFPVBQESA-N 0 1 289.339 0.599 20 30 CCEDMN CS(=O)(=O)Cc1nc(CNc2cccc(C#N)n2)n[nH]1 ZINC001363766142 929555573 /nfs/dbraw/zinc/55/55/73/929555573.db2.gz ZZYFDHIKQDRUFY-UHFFFAOYSA-N 0 1 292.324 0.228 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H](O)c2cccc(C#N)c2)C1 ZINC001363806275 929595528 /nfs/dbraw/zinc/59/55/28/929595528.db2.gz KEZODTCWRVKLMR-CABCVRRESA-N 0 1 271.320 0.942 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001372132825 929739040 /nfs/dbraw/zinc/73/90/40/929739040.db2.gz USOAYBCMOVMYKV-LBPRGKRZSA-N 0 1 294.399 0.593 20 30 CCEDMN CC(C)c1nnc(CNC[C@@H](C)CNC(=O)[C@H](C)C#N)[nH]1 ZINC001444314325 929840709 /nfs/dbraw/zinc/84/07/09/929840709.db2.gz YFGCUVTWDRYCOQ-GHMZBOCLSA-N 0 1 292.387 0.930 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001445234472 930070393 /nfs/dbraw/zinc/07/03/93/930070393.db2.gz BUNQAKJXBNFZIA-SDDRHHMPSA-N 0 1 272.776 0.852 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(OC)ncn1 ZINC001374889592 930715678 /nfs/dbraw/zinc/71/56/78/930715678.db2.gz LWNUHSNVLPBEGX-VIFPVBQESA-N 0 1 284.747 0.946 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1CN(C)CCO1 ZINC001448644792 930936552 /nfs/dbraw/zinc/93/65/52/930936552.db2.gz ZAURJYBZQAUWHX-RYUDHWBXSA-N 0 1 289.807 0.506 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H]1CNC(=O)CN1CCCC1 ZINC001449582224 931164125 /nfs/dbraw/zinc/16/41/25/931164125.db2.gz DGGWYQRUQIWXLT-OLZOCXBDSA-N 0 1 292.383 0.349 20 30 CCEDMN CCc1nc([C@@H](C)N(C)CCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001377129344 931395859 /nfs/dbraw/zinc/39/58/59/931395859.db2.gz KGCMJAXNXBIAQI-VHSXEESVSA-N 0 1 278.360 0.636 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CC[C@H]2CCCC[N@H+]21 ZINC001602683097 971271742 /nfs/dbraw/zinc/27/17/42/971271742.db2.gz YSBTZWQCLITADM-NEPJUHHUSA-N 0 1 264.325 0.550 20 30 CCEDMN C#CCNC(=O)C1CCN(c2cc(C(=O)[O-])cc[nH+]2)CC1 ZINC001602701872 971327581 /nfs/dbraw/zinc/32/75/81/971327581.db2.gz ALCBZOMJCDGURF-UHFFFAOYSA-N 0 1 287.319 0.746 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@](CC)(C(=O)[O-])C1 ZINC000251256581 950013447 /nfs/dbraw/zinc/01/34/47/950013447.db2.gz NFMCDYDIZIJGLD-RISCZKNCSA-N 0 1 266.341 0.701 20 30 CCEDMN C[C@@H]1[C@H](Nc2cnc(-c3nnn[n-]3)c(C#N)n2)CC[N@H+]1C ZINC001575922889 950653005 /nfs/dbraw/zinc/65/30/05/950653005.db2.gz AZFVQDSSEILIMB-HTQZYQBOSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@@H]1[C@H](Nc2cnc(-c3nn[n-]n3)c(C#N)n2)CC[N@@H+]1C ZINC001575922889 950653042 /nfs/dbraw/zinc/65/30/42/950653042.db2.gz AZFVQDSSEILIMB-HTQZYQBOSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@@H]1[C@H](Nc2cnc(-c3nn[n-]n3)c(C#N)n2)CC[N@H+]1C ZINC001575922889 950653052 /nfs/dbraw/zinc/65/30/52/950653052.db2.gz AZFVQDSSEILIMB-HTQZYQBOSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@H]1CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC[N@H+](C2CC2)C1 ZINC001589367059 954204020 /nfs/dbraw/zinc/20/40/20/954204020.db2.gz UCFHDWWDLWUIBL-IAQYHMDHSA-N 0 1 293.367 0.934 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])C[C@@H](O)CC1(C#N)CCOCC1 ZINC001593736936 954341912 /nfs/dbraw/zinc/34/19/12/954341912.db2.gz DNDQNOUSEADDNK-NSHDSACASA-N 0 1 270.329 0.464 20 30 CCEDMN C[C@@H](C[N@@H+](C)Cc1cnc2c(C#N)cnn2c1)C(=O)[O-] ZINC001589034297 955551780 /nfs/dbraw/zinc/55/17/80/955551780.db2.gz WJADGDUXFVALMW-VIFPVBQESA-N 0 1 273.296 0.753 20 30 CCEDMN N#CC1(NC(=O)C[NH2+][C@@]2(C(=O)[O-])CCOC2)CCCCC1 ZINC001594602308 956145906 /nfs/dbraw/zinc/14/59/06/956145906.db2.gz LRCLSBQGVVBCKY-AWEZNQCLSA-N 0 1 295.339 0.162 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H](c1ccccc1)[NH+](C)C ZINC001588397352 958056542 /nfs/dbraw/zinc/05/65/42/958056542.db2.gz FVRHNHKAJKJOKS-CQSZACIVSA-N 0 1 274.320 0.836 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@H]1CC[N@H+]1C1CCCC1 ZINC001588408188 958104395 /nfs/dbraw/zinc/10/43/95/958104395.db2.gz ABXHWCWRACZADW-CYBMUJFWSA-N 0 1 293.367 0.733 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC000111242074 958414172 /nfs/dbraw/zinc/41/41/72/958414172.db2.gz LFRIQKYNDJINJN-LDYMZIIASA-N 0 1 292.257 0.463 20 30 CCEDMN C[C@H](CCC#N)C[N@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001573578895 959339736 /nfs/dbraw/zinc/33/97/36/959339736.db2.gz BINNHXPYVRWTHL-MDZLAQPJSA-N 0 1 252.314 0.959 20 30 CCEDMN N#CC1(C(=O)[O-])CCN(C(=O)[C@@H]2CCC[N@H+]2C2CC2)CC1 ZINC001571042992 960608316 /nfs/dbraw/zinc/60/83/16/960608316.db2.gz YLPBZNBFXNTRBU-LBPRGKRZSA-N 0 1 291.351 0.830 20 30 CCEDMN C=CCC[N@@H+]1CCC[C@H](NC(=O)CNC(=O)[O-])[C@@H]1C ZINC001573360734 963042871 /nfs/dbraw/zinc/04/28/71/963042871.db2.gz WOWMXUKPNFAEFA-QWRGUYRKSA-N 0 1 269.345 0.799 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@H]1CCCC[N@@H+]1C ZINC000092491204 967597764 /nfs/dbraw/zinc/59/77/64/967597764.db2.gz MKGRYIRYBIQWHR-LLVKDONJSA-N 0 1 267.329 0.200 20 30 CCEDMN C[C@@H]1CC[C@H](C(=O)[O-])[N@H+]1C[C@H](O)CC1(C#N)CCOCC1 ZINC001605011431 972616370 /nfs/dbraw/zinc/61/63/70/972616370.db2.gz OAYOLVIWZMPKKU-JHJVBQTASA-N 0 1 296.367 0.995 20 30 CCEDMN C[C@@H]1CC[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@H]1C(=O)[O-] ZINC001603426149 973155307 /nfs/dbraw/zinc/15/53/07/973155307.db2.gz AVXGNGLRUPELFF-IXPVHAAZSA-N 0 1 293.367 0.837 20 30 CCEDMN N#Cc1cc(C(=O)OCC[N@@H+]2CCC[C@@H]2C(=O)[O-])ccn1 ZINC001605592136 973894471 /nfs/dbraw/zinc/89/44/71/973894471.db2.gz XERXDUNMWJTCEF-GFCCVEGCSA-N 0 1 289.291 0.659 20 30 CCEDMN CC[C@@H](CC#N)[NH2+][C@@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC001595030285 979166043 /nfs/dbraw/zinc/16/60/43/979166043.db2.gz NNVHEQZOTXDMFV-JQWIXIFHSA-N 0 1 281.356 0.980 20 30 CCEDMN C#CC[N@H+](C)CC1CCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588461071 983488496 /nfs/dbraw/zinc/48/84/96/983488496.db2.gz FEYGWHVUOYPPQQ-STQMWFEESA-N 0 1 278.352 0.511 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)CCCC(=O)[O-])C1 ZINC001588475697 983508995 /nfs/dbraw/zinc/50/89/95/983508995.db2.gz GIERJNPQFRUSTI-NSHDSACASA-N 0 1 252.314 0.455 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)CCCC(=O)[O-])C1 ZINC001588475697 983508996 /nfs/dbraw/zinc/50/89/96/983508996.db2.gz GIERJNPQFRUSTI-NSHDSACASA-N 0 1 252.314 0.455 20 30 CCEDMN C#CC[NH2+]CC(=O)Nc1ccc(OCCC(=O)[O-])cc1 ZINC001588485194 983524369 /nfs/dbraw/zinc/52/43/69/983524369.db2.gz HKJMDBBNFGIGAY-UHFFFAOYSA-N 0 1 276.292 0.701 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC[N@H+](C)CC(=O)[O-] ZINC001588599610 983690996 /nfs/dbraw/zinc/69/09/96/983690996.db2.gz ZITPZNBRSAIIOZ-JQWIXIFHSA-N 0 1 270.329 0.100 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[N@H+](C)[C@@H](C)[C@H]1C ZINC001588615248 983711072 /nfs/dbraw/zinc/71/10/72/983711072.db2.gz OEZKQPVYXVPHJF-WDEREUQCSA-N 0 1 254.330 0.958 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+](CC1CC1)[C@@H](C(N)=O)C1CC1 ZINC001588664326 983799536 /nfs/dbraw/zinc/79/95/36/983799536.db2.gz POIRZHYROGHAFR-GHMZBOCLSA-N 0 1 252.314 0.602 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+](CC1CC1)[C@@H](C(N)=O)C1CC1 ZINC001588664326 983799539 /nfs/dbraw/zinc/79/95/39/983799539.db2.gz POIRZHYROGHAFR-GHMZBOCLSA-N 0 1 252.314 0.602 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC001588664651 983802631 /nfs/dbraw/zinc/80/26/31/983802631.db2.gz YOCJGOOFWBXOOD-GHMZBOCLSA-N 0 1 290.385 0.229 20 30 CCEDMN C=C[C@H]([NH2+][C@H]1CCN(c2cc(C)nn2C)C1=O)C(=O)[O-] ZINC001588696556 983903154 /nfs/dbraw/zinc/90/31/54/983903154.db2.gz OWNNVHAAQPRLNS-UWVGGRQHSA-N 0 1 278.312 0.063 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCCN(C(=O)OC)CC1 ZINC001588729200 983987259 /nfs/dbraw/zinc/98/72/59/983987259.db2.gz IBOYTJFPIHFGEE-JTQLQIEISA-N 0 1 256.302 0.790 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[NH+]1CCN(S(=O)(=O)C2CC2)CC1 ZINC001588729633 983992309 /nfs/dbraw/zinc/99/23/09/983992309.db2.gz MBDQQBNHJCNOEO-NSHDSACASA-N 0 1 288.369 0.126 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](N2CCOCC2)C1 ZINC001588730097 983995508 /nfs/dbraw/zinc/99/55/08/983995508.db2.gz PJJKICVXUKVSHO-CHWSQXEVSA-N 0 1 268.357 0.812 20 30 CCEDMN C=CCC[N@@H+](CC)CCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001588807327 984259252 /nfs/dbraw/zinc/25/92/52/984259252.db2.gz JKSIGPANWQRWNS-WDEREUQCSA-N 0 1 254.330 0.721 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+](C)C/C=C(\C)C(=O)[O-])C1=O ZINC001588839159 984344418 /nfs/dbraw/zinc/34/44/18/984344418.db2.gz PHQAAXRCHVGMGI-UAWPZABVSA-N 0 1 252.314 0.736 20 30 CCEDMN C=CCOCCCNC(=O)N1CC[N@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588867130 984430347 /nfs/dbraw/zinc/43/03/47/984430347.db2.gz ZQVXJGSSRGCXFI-LBPRGKRZSA-N 0 1 299.371 0.237 20 30 CCEDMN CC#CCCCC(=O)N1CC[N@@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588925086 984585131 /nfs/dbraw/zinc/58/51/31/984585131.db2.gz KTSBRWWPECNISW-GFCCVEGCSA-N 0 1 266.341 0.655 20 30 CCEDMN C[C@@H]1C[N@@H+](CCN(CC#N)CC(=O)[O-])C[C@H](C)O1 ZINC001594526787 986061437 /nfs/dbraw/zinc/06/14/37/986061437.db2.gz DNXLCGYMNNWSSQ-PHIMTYICSA-N 0 1 255.318 0.006 20 30 CCEDMN C[C@@H]1C[N@H+](CCN(CC#N)CC(=O)[O-])C[C@H](C)O1 ZINC001594526787 986061442 /nfs/dbraw/zinc/06/14/42/986061442.db2.gz DNXLCGYMNNWSSQ-PHIMTYICSA-N 0 1 255.318 0.006 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[NH+]1CCC(CC(=O)[O-])CC1)C1CC1 ZINC001589323716 986149010 /nfs/dbraw/zinc/14/90/10/986149010.db2.gz KTBSVCDRRKMWSM-HNNXBMFYSA-N 0 1 293.367 0.982 20 30 CCEDMN C[N@@H+](CCC(N)=O)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001598470606 992019622 /nfs/dbraw/zinc/01/96/22/992019622.db2.gz KTGYDFPNBBWEJB-LBPRGKRZSA-N 0 1 261.281 0.491 20 30 CCEDMN C[N@@H+](CCc1cn(CC(=O)[O-])nn1)Cc1ccc(C#N)cc1 ZINC001598502875 992458228 /nfs/dbraw/zinc/45/82/28/992458228.db2.gz PVOYFDHBPPFUSX-UHFFFAOYSA-N 0 1 299.334 0.909 20 30 CCEDMN C[N@H+](CCc1cn(CC(=O)[O-])nn1)[C@@H]1CCC[C@@H]1C#N ZINC001598502922 992458878 /nfs/dbraw/zinc/45/88/78/992458878.db2.gz SJKDTMHDKJKEAL-ZYHUDNBSSA-N 0 1 277.328 0.529 20 30 CCEDMN C[N@@H+](CCc1cn(CC(=O)[O-])nn1)[C@@H]1CCC[C@@H]1C#N ZINC001598502922 992458883 /nfs/dbraw/zinc/45/88/83/992458883.db2.gz SJKDTMHDKJKEAL-ZYHUDNBSSA-N 0 1 277.328 0.529 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)CC2(C#N)CC2)C[C@]1(C)C(=O)[O-] ZINC001598572963 993228855 /nfs/dbraw/zinc/22/88/55/993228855.db2.gz KHJFRQXTPILWKX-BXKDBHETSA-N 0 1 266.297 0.771 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)c2ccc(C#N)[nH]2)C[C@@H]1C(=O)[O-] ZINC001598573823 993242774 /nfs/dbraw/zinc/24/27/74/993242774.db2.gz IOADVJMPSMNDHF-PSASIEDQSA-N 0 1 263.253 0.200 20 30 CCEDMN C[C@](O)(C[N@@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1)C(=O)[O-] ZINC001593396211 995138149 /nfs/dbraw/zinc/13/81/49/995138149.db2.gz IYENNQTYCKCRPJ-HIFRSBDPSA-N 0 1 290.319 0.767 20 30 CCEDMN C[C@](O)(C[N@H+]1CCO[C@@H](c2ccc(C#N)cc2)C1)C(=O)[O-] ZINC001593396211 995138157 /nfs/dbraw/zinc/13/81/57/995138157.db2.gz IYENNQTYCKCRPJ-HIFRSBDPSA-N 0 1 290.319 0.767 20 30 CCEDMN C[C@@H]1C[C@H]1c1cc(=NC(=O)N=c2[nH]n(C)cc2C#N)[nH][nH]1 ZINC000617277175 365588677 /nfs/dbraw/zinc/58/86/77/365588677.db2.gz ZUSXCZGVMILGOB-VXNVDRBHSA-N 0 1 285.311 0.626 20 30 CCEDMN CN(C[C@H]1C[C@@H](O)C1)[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000599655534 361773351 /nfs/dbraw/zinc/77/33/51/361773351.db2.gz NSNCYZFWJBQXNI-YUTCNCBUSA-N 0 1 273.336 0.787 20 30 CCEDMN N#CC1(NC(=O)CN2C[C@@H]3CCC[C@]3(CO)C2)CCC1 ZINC000599638071 361767008 /nfs/dbraw/zinc/76/70/08/361767008.db2.gz LYTPWKBBBDKORA-GXTWGEPZSA-N 0 1 277.368 0.643 20 30 CCEDMN N#Cc1cc(F)ccc1NC(=O)NCCN1CC[C@H](O)C1 ZINC000599756264 361806084 /nfs/dbraw/zinc/80/60/84/361806084.db2.gz AWXGGMRMFGOJPE-LBPRGKRZSA-N 0 1 292.314 0.885 20 30 CCEDMN Cc1cc(CNS(=O)(=O)c2cccnc2C#N)n[nH]1 ZINC000617471243 365646481 /nfs/dbraw/zinc/64/64/81/365646481.db2.gz OVKKIWWDMPFADF-UHFFFAOYSA-N 0 1 277.309 0.463 20 30 CCEDMN Cc1cccc(S(=O)(=O)NCCc2nc[nH]n2)c1C#N ZINC000125856709 187203100 /nfs/dbraw/zinc/20/31/00/187203100.db2.gz GMXCBTFXANRFLZ-UHFFFAOYSA-N 0 1 291.336 0.506 20 30 CCEDMN CN1CCN(CCNc2cc(C#N)nc(C3CC3)n2)CC1 ZINC000601100880 362149234 /nfs/dbraw/zinc/14/92/34/362149234.db2.gz JGFMFXLEWSDNBG-UHFFFAOYSA-N 0 1 286.383 0.307 20 30 CCEDMN N#Cc1cc(NCCN2CCCOCC2)nc(C2CC2)n1 ZINC000601152831 362161529 /nfs/dbraw/zinc/16/15/29/362161529.db2.gz GVYSRRXLIOBDJX-UHFFFAOYSA-N 0 1 287.367 0.782 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2ccnc(C#N)c2)CC1 ZINC000288286504 136397579 /nfs/dbraw/zinc/39/75/79/136397579.db2.gz HLGNSDJZGVJXJB-ZDUSSCGKSA-N 0 1 260.341 0.846 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)[C@H]1CCC[C@H]1O ZINC000352670856 529826386 /nfs/dbraw/zinc/82/63/86/529826386.db2.gz GYRQILYYGHXQJC-QWHCGFSZSA-N 0 1 278.356 0.488 20 30 CCEDMN CN(CCN1CCCC1)C(=O)N[C@@H]1CC[S@@](=O)C1 ZINC000330033528 529907694 /nfs/dbraw/zinc/90/76/94/529907694.db2.gz VOYLSDLWTJFTMB-ADLMAVQZSA-N 0 1 273.402 0.449 20 30 CCEDMN CN(CCn1cccn1)C([O-])=[NH+]CC1(C)COC1 ZINC000329468014 529939836 /nfs/dbraw/zinc/93/98/36/529939836.db2.gz WZYUUYRRQHFKLF-UHFFFAOYSA-N 0 1 252.318 0.765 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)NCC1(N(C)C)CC1 ZINC000601450490 362277543 /nfs/dbraw/zinc/27/75/43/362277543.db2.gz ZWXFGVSHLCKPQA-UHFFFAOYSA-N 0 1 274.390 0.151 20 30 CCEDMN C=C(C)C[C@H](NC(=O)Cc1n[nH]c(C)n1)C(=O)OC ZINC000601785726 362392847 /nfs/dbraw/zinc/39/28/47/362392847.db2.gz UBUGDIXAKZSRRX-VIFPVBQESA-N 0 1 266.301 0.280 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1C[C@@H]2COC[C@@H]2C1)C1CC1 ZINC000601971569 362445156 /nfs/dbraw/zinc/44/51/56/362445156.db2.gz DUCYVJFWXBJNJP-UHIISALHSA-N 0 1 263.341 0.373 20 30 CCEDMN Cn1nnnc1CCNCc1cccc(F)c1C#N ZINC000602016090 362457759 /nfs/dbraw/zinc/45/77/59/362457759.db2.gz UJMRXYHDHFABSA-UHFFFAOYSA-N 0 1 260.276 0.553 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@@H]2CCN2C2CCCC2)CCO1 ZINC000602099722 362486367 /nfs/dbraw/zinc/48/63/67/362486367.db2.gz YFSHFYJPZQPGRZ-OLZOCXBDSA-N 0 1 263.341 0.754 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCN(CC#N)CC1 ZINC000602101616 362488276 /nfs/dbraw/zinc/48/82/76/362488276.db2.gz AJSDDZXCHOLCIG-LBPRGKRZSA-N 0 1 250.346 0.138 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)[nH]1 ZINC000127249326 187292038 /nfs/dbraw/zinc/29/20/38/187292038.db2.gz JKUGBEULMFPYHC-LLVKDONJSA-N 0 1 275.356 0.252 20 30 CCEDMN Cc1[nH]ncc1CNS(=O)(=O)N(C)C[C@@H](C)C#N ZINC000286523829 263098723 /nfs/dbraw/zinc/09/87/23/263098723.db2.gz FYQCBHBFRGQJBD-QMMMGPOBSA-N 0 1 271.346 0.144 20 30 CCEDMN N#CC1(CN2CCC[C@H](C(=O)N3CCOCC3)C2)CC1 ZINC000408109932 260236208 /nfs/dbraw/zinc/23/62/08/260236208.db2.gz IRUANFYLIBREBE-ZDUSSCGKSA-N 0 1 277.368 0.861 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2ccnn2CC2CCC2)[nH]1 ZINC000602283829 362574441 /nfs/dbraw/zinc/57/44/41/362574441.db2.gz RXQFYYJCCGSREP-UHFFFAOYSA-N 0 1 284.323 0.963 20 30 CCEDMN CN(C)CCNS(=O)(=O)Cc1cccc(C#N)c1 ZINC000119609944 349945123 /nfs/dbraw/zinc/94/51/23/349945123.db2.gz WWUSXIJOYLHINR-UHFFFAOYSA-N 0 1 267.354 0.539 20 30 CCEDMN C[C@@H](Oc1ccc(C#N)cc1)C(=O)NC[C@H]1COCCN1 ZINC000602549148 362673876 /nfs/dbraw/zinc/67/38/76/362673876.db2.gz CHGREKQXQADDFH-YPMHNXCESA-N 0 1 289.335 0.430 20 30 CCEDMN CC#CCCCC(=O)NCc1n[nH]c(COC)n1 ZINC000617935563 365847885 /nfs/dbraw/zinc/84/78/85/365847885.db2.gz GTDITLZXROWOAE-UHFFFAOYSA-N 0 1 250.302 0.761 20 30 CCEDMN CC#CCCCC(=O)NCc1nnc(COC)[nH]1 ZINC000617935563 365847891 /nfs/dbraw/zinc/84/78/91/365847891.db2.gz GTDITLZXROWOAE-UHFFFAOYSA-N 0 1 250.302 0.761 20 30 CCEDMN C[C@@H]1CN(CCCN2CCCC2=O)C[C@@H](C)N1CC#N ZINC000602854531 362842443 /nfs/dbraw/zinc/84/24/43/362842443.db2.gz CLQGSVCSQLAENU-ZIAGYGMSSA-N 0 1 278.400 0.917 20 30 CCEDMN C[C@@H]1CN(CCN2CCOCC2)C[C@@H](C)N1CC#N ZINC000602856479 362843732 /nfs/dbraw/zinc/84/37/32/362843732.db2.gz MJJUYROIFLAMFV-ZIAGYGMSSA-N 0 1 266.389 0.237 20 30 CCEDMN C[C@H]1CN(CCc2cnn(C)c2)C[C@H](C)N1CC#N ZINC000602856358 362844269 /nfs/dbraw/zinc/84/42/69/362844269.db2.gz ZKTBGVWRHCTUMJ-STQMWFEESA-N 0 1 261.373 0.881 20 30 CCEDMN N#Cc1cnccc1CN1CCN(Cc2nnc[nH]2)CC1 ZINC000602861456 362845106 /nfs/dbraw/zinc/84/51/06/362845106.db2.gz AJQJXZDQEKLNRB-UHFFFAOYSA-N 0 1 283.339 0.389 20 30 CCEDMN N#Cc1ncn(CCNC(=O)Cc2[nH]nc3ccccc32)n1 ZINC000342880558 137102263 /nfs/dbraw/zinc/10/22/63/137102263.db2.gz HPUDPKZJTRFXEN-UHFFFAOYSA-N 0 1 295.306 0.385 20 30 CCEDMN CC(=O)N[C@H](C)C(=O)N=c1[nH]n(C(C)C)cc1C#N ZINC000345178598 137238219 /nfs/dbraw/zinc/23/82/19/137238219.db2.gz FMMXWIKASXLSHN-MRVPVSSYSA-N 0 1 263.301 0.221 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2C[C@H]3COC[C@]3(C)C2)[nH]1 ZINC000602886104 362865353 /nfs/dbraw/zinc/86/53/53/362865353.db2.gz JDGCYVPVAFWEQJ-GWCFXTLKSA-N 0 1 275.312 0.214 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@](O)(C3CC3)C2)[nH]1 ZINC000602887766 362867333 /nfs/dbraw/zinc/86/73/33/362867333.db2.gz SDZKLCGLHPAISL-CQSZACIVSA-N 0 1 289.339 0.482 20 30 CCEDMN CCN(CC(=O)NCC#N)[C@H]1CCN(CC(F)(F)F)C1 ZINC000602892147 362868358 /nfs/dbraw/zinc/86/83/58/362868358.db2.gz MDVSCJPVUGKEQD-JTQLQIEISA-N 0 1 292.305 0.585 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@]3(CCOC3)C2)[nH]1 ZINC000602879440 362858834 /nfs/dbraw/zinc/85/88/34/362858834.db2.gz DRWOEUSLXMLFSE-CQSZACIVSA-N 0 1 289.339 0.748 20 30 CCEDMN CO[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H]1C ZINC000602880834 362860613 /nfs/dbraw/zinc/86/06/13/362860613.db2.gz MVWOGEGGRXQBRW-GXSJLCMTSA-N 0 1 277.328 0.602 20 30 CCEDMN CNC(=O)[C@@H]1CCCN1C[C@H](O)c1ccc(C#N)cc1 ZINC000042393765 348278094 /nfs/dbraw/zinc/27/80/94/348278094.db2.gz XFYXEGHABVFAFC-KBPBESRZSA-N 0 1 273.336 0.802 20 30 CCEDMN CCN1CCN(CC(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000042978348 348303087 /nfs/dbraw/zinc/30/30/87/348303087.db2.gz ZGRHPLSULISOKZ-CQSZACIVSA-N 0 1 266.389 0.678 20 30 CCEDMN CN1CCN(CCOc2cccc(C#N)c2)[C@@H](CO)C1 ZINC000602906570 362880925 /nfs/dbraw/zinc/88/09/25/362880925.db2.gz XDGVMPYGDCRWQZ-CQSZACIVSA-N 0 1 275.352 0.545 20 30 CCEDMN Cc1cn2cc(C(=O)N=c3[nH]n(C)cc3C#N)sc2n1 ZINC000349360083 137392447 /nfs/dbraw/zinc/39/24/47/137392447.db2.gz UQVBYTMZYZBCLO-UHFFFAOYSA-N 0 1 286.320 0.984 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(CC(=O)OC)CC1 ZINC000048840054 348427718 /nfs/dbraw/zinc/42/77/18/348427718.db2.gz VDCVXSGZAGFHBN-NSHDSACASA-N 0 1 266.341 0.399 20 30 CCEDMN CN1CCN(CCNC(=O)c2ccc(C#N)cc2)CC1 ZINC000053778130 348534118 /nfs/dbraw/zinc/53/41/18/348534118.db2.gz JSEQUKBQIJGUIE-UHFFFAOYSA-N 0 1 272.352 0.535 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(CCO)CC1CC1 ZINC000064516678 348701646 /nfs/dbraw/zinc/70/16/46/348701646.db2.gz QREYCUBGEOPVOG-UHFFFAOYSA-N 0 1 252.358 0.891 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@](F)(C(=O)OC)C2)CC1 ZINC000074507556 348993615 /nfs/dbraw/zinc/99/36/15/348993615.db2.gz XECFUQWIDONKGA-HNNXBMFYSA-N 0 1 296.342 0.445 20 30 CCEDMN Cc1nc(C(=O)NCCN2CCN(C)CC2)ccc1C#N ZINC000075893864 349056277 /nfs/dbraw/zinc/05/62/77/349056277.db2.gz DBQLLBODSKYCIF-UHFFFAOYSA-N 0 1 287.367 0.239 20 30 CCEDMN C=CCNC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000079078894 349167297 /nfs/dbraw/zinc/16/72/97/349167297.db2.gz FCZHBDKISYCSJO-CYBMUJFWSA-N 0 1 268.405 0.744 20 30 CCEDMN CC[C@@H](O)CN1CCN(c2cnc(C#N)cn2)CC1 ZINC000124980984 350112463 /nfs/dbraw/zinc/11/24/63/350112463.db2.gz HYQWQBDPWAKITE-GFCCVEGCSA-N 0 1 261.329 0.241 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H]2CCCCN2C)C[C@H](C)N1CC#N ZINC000603099721 362962854 /nfs/dbraw/zinc/96/28/54/362962854.db2.gz LALZVBCVFXRXIS-HZSPNIEDSA-N 0 1 278.400 0.915 20 30 CCEDMN C[C@H](CNS(=O)(=O)c1ccc(C#N)nc1)N(C)C1CC1 ZINC000170787916 350861234 /nfs/dbraw/zinc/86/12/34/350861234.db2.gz JQJWJZFAQSALCF-SNVBAGLBSA-N 0 1 294.380 0.714 20 30 CCEDMN CNCc1cn(Cc2cc(C#N)ccc2OC)nn1 ZINC000603231624 363013589 /nfs/dbraw/zinc/01/35/89/363013589.db2.gz AMUACQCEDRSGGS-UHFFFAOYSA-N 0 1 257.297 0.926 20 30 CCEDMN CCN1CCC[C@@H]1CNS(=O)(=O)c1ccc(C#N)nc1 ZINC000122042960 187011778 /nfs/dbraw/zinc/01/17/78/187011778.db2.gz WABQFEWFZNIUGS-GFCCVEGCSA-N 0 1 294.380 0.716 20 30 CCEDMN CCN(C)CCNS(=O)(=O)c1ccc(C#N)s1 ZINC000230339558 352112781 /nfs/dbraw/zinc/11/27/81/352112781.db2.gz IRZRRTFBNPHEMC-UHFFFAOYSA-N 0 1 273.383 0.850 20 30 CCEDMN C[C@H](NS(=O)(=O)c1cccnc1C#N)c1nnc[nH]1 ZINC000235520093 352185376 /nfs/dbraw/zinc/18/53/76/352185376.db2.gz KMFLYRXINYTQLM-ZETCQYMHSA-N 0 1 278.297 0.111 20 30 CCEDMN COc1cc(C#N)ccc1OCCN1C[C@H](O)C[C@H]1CO ZINC000245731491 352336434 /nfs/dbraw/zinc/33/64/34/352336434.db2.gz TXZONAJCZQMLRC-QWHCGFSZSA-N 0 1 292.335 0.373 20 30 CCEDMN N#Cc1ccc(OCCN2CC[C@H](NC(N)=O)C2)cc1 ZINC000270826260 352992011 /nfs/dbraw/zinc/99/20/11/352992011.db2.gz ZHCXJVJWEWRNPY-LBPRGKRZSA-N 0 1 274.324 0.680 20 30 CCEDMN CCc1nc(CN2CCN(c3cc(C#N)ccn3)CC2)n[nH]1 ZINC000274672440 353152149 /nfs/dbraw/zinc/15/21/49/353152149.db2.gz SZIIAEYOZZDDJT-UHFFFAOYSA-N 0 1 297.366 0.956 20 30 CCEDMN C[C@@H](NCc1cc(=O)n(C)c(=O)n1C)c1ccc(C#N)cc1 ZINC000271671216 191268687 /nfs/dbraw/zinc/26/86/87/191268687.db2.gz NMTHJKQGLVGESU-LLVKDONJSA-N 0 1 298.346 0.806 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)NCCN1CCN(C)CC1 ZINC000280572082 353370235 /nfs/dbraw/zinc/37/02/35/353370235.db2.gz LQSQGIMXDJQXMH-UHFFFAOYSA-N 0 1 276.340 0.437 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCCN2CCOCC2)[nH]1 ZINC000158291830 188368187 /nfs/dbraw/zinc/36/81/87/188368187.db2.gz VYLNFQUYRJZVDO-UHFFFAOYSA-N 0 1 276.340 0.729 20 30 CCEDMN CC(C)N1CC[C@@H](NCc2cc(C#N)n(C)c2)C1=O ZINC000191070740 535238183 /nfs/dbraw/zinc/23/81/83/535238183.db2.gz ZZRMPKYYFSBDTB-CYBMUJFWSA-N 0 1 260.341 0.996 20 30 CCEDMN C[N@H+]1C[C@H]2CN(C(=O)c3cc([O-])cc(C#N)c3)C[C@H]2C1 ZINC000618875891 366112535 /nfs/dbraw/zinc/11/25/35/366112535.db2.gz DWGYLATXZGLLRQ-BETUJISGSA-N 0 1 271.320 0.897 20 30 CCEDMN C[N@@H+]1C[C@H]2CN(C(=O)c3cc([O-])cc(C#N)c3)C[C@H]2C1 ZINC000618875891 366112536 /nfs/dbraw/zinc/11/25/36/366112536.db2.gz DWGYLATXZGLLRQ-BETUJISGSA-N 0 1 271.320 0.897 20 30 CCEDMN Cc1nc(CN2CCN(c3ncccc3C#N)CC2)n[nH]1 ZINC000292183361 353782188 /nfs/dbraw/zinc/78/21/88/353782188.db2.gz NDXJJOJVDHSPLX-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN CC(=O)Nc1ccn([C@@H]2CCN([C@H]3CCN(C)C3=O)C2)n1 ZINC000328644903 354245688 /nfs/dbraw/zinc/24/56/88/354245688.db2.gz ZNEDUDNWSGYNNT-NEPJUHHUSA-N 0 1 291.355 0.969 20 30 CCEDMN C[C@H](Cn1cncn1)NC(=O)N[C@]1(C)CCO[C@@H]1C ZINC000329573436 354258241 /nfs/dbraw/zinc/25/82/41/354258241.db2.gz RJAWCCXSDAPCOO-CKYFFXLPSA-N 0 1 267.333 0.738 20 30 CCEDMN COC1(C)CN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000329725414 354259640 /nfs/dbraw/zinc/25/96/40/354259640.db2.gz GGNJEYFXXFXFSS-SECBINFHSA-N 0 1 264.329 0.902 20 30 CCEDMN COC1(C)CN(C([O-])=[NH+][C@@H]2CCc3nc[nH]c3C2)C1 ZINC000329725414 354259644 /nfs/dbraw/zinc/25/96/44/354259644.db2.gz GGNJEYFXXFXFSS-SECBINFHSA-N 0 1 264.329 0.902 20 30 CCEDMN COC1(C)CN(C([O-])=[NH+][C@@H]2CCc3[nH]cnc3C2)C1 ZINC000329725414 354259645 /nfs/dbraw/zinc/25/96/45/354259645.db2.gz GGNJEYFXXFXFSS-SECBINFHSA-N 0 1 264.329 0.902 20 30 CCEDMN CN(C([O-])=[NH+][C@H]1CCc2[nH]cnc2C1)C1CC(O)C1 ZINC000329731234 354259946 /nfs/dbraw/zinc/25/99/46/354259946.db2.gz ICSIVRUHEXYPPV-IDKOKCKLSA-N 0 1 264.329 0.636 20 30 CCEDMN C[C@H](C(=O)Nc1ccc2[nH]nnc2c1)n1cnc(C#N)n1 ZINC000337036067 355253628 /nfs/dbraw/zinc/25/36/28/355253628.db2.gz GNCOHUPIFDHCEY-SSDOTTSWSA-N 0 1 282.267 0.621 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000337954766 355307961 /nfs/dbraw/zinc/30/79/61/355307961.db2.gz VPWDQWMTXMMTGT-WDEREUQCSA-N 0 1 286.401 0.291 20 30 CCEDMN CC[N@@H+](C[C@H](C)C#N)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000338235876 355311268 /nfs/dbraw/zinc/31/12/68/355311268.db2.gz OCPJYWCPIQHBCK-VXGBXAGGSA-N 0 1 273.402 0.749 20 30 CCEDMN CCN(C[C@H](C)C#N)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000338235876 355311270 /nfs/dbraw/zinc/31/12/70/355311270.db2.gz OCPJYWCPIQHBCK-VXGBXAGGSA-N 0 1 273.402 0.749 20 30 CCEDMN N#CCCCCS(=O)(=O)N[C@H]1CCN(C2CC2)C1 ZINC000339049229 355476895 /nfs/dbraw/zinc/47/68/95/355476895.db2.gz WVOLWPKWTOJEPX-NSHDSACASA-N 0 1 271.386 0.836 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CC[C@H](CN2CCOCC2)C1 ZINC000619718259 366338239 /nfs/dbraw/zinc/33/82/39/366338239.db2.gz DMHAKGBFEIDIOZ-OLZOCXBDSA-N 0 1 268.357 0.368 20 30 CCEDMN C[C@H]1CCN(C)CCN1C(=O)c1cnn(C)c1C#N ZINC000356095754 356702515 /nfs/dbraw/zinc/70/25/15/356702515.db2.gz NNCUKDQHUQKPHF-JTQLQIEISA-N 0 1 261.329 0.458 20 30 CCEDMN Cn1cc(CNCc2ccc(C(N)=O)nc2)cc1C#N ZINC000359771466 356889249 /nfs/dbraw/zinc/88/92/49/356889249.db2.gz XHANSCSHBGWFNE-UHFFFAOYSA-N 0 1 269.308 0.680 20 30 CCEDMN C=CCOC[C@H](NC(=O)c1[nH]nc2c1CCC2)C(=O)OC ZINC000360706792 357040803 /nfs/dbraw/zinc/04/08/03/357040803.db2.gz CXZFSFHHNMMNAE-NSHDSACASA-N 0 1 293.323 0.372 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCCC[C@@H]2O)[nH]1 ZINC000610527966 363572407 /nfs/dbraw/zinc/57/24/07/363572407.db2.gz CJNQSNZEIANCCC-UWVGGRQHSA-N 0 1 263.301 0.139 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@H](n3ccnc3)C2)[nH]1 ZINC000610563141 363577632 /nfs/dbraw/zinc/57/76/32/363577632.db2.gz TYPQNHNFDBYLJR-GFCCVEGCSA-N 0 1 299.338 0.779 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2[C@@H]3CCO[C@@H]3C2(C)C)[nH]1 ZINC000610562231 363575865 /nfs/dbraw/zinc/57/58/65/363575865.db2.gz LKGYSCGDDGEDKL-AXFHLTTASA-N 0 1 289.339 0.649 20 30 CCEDMN COC[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)c1ccco1 ZINC000610561443 363576568 /nfs/dbraw/zinc/57/65/68/363576568.db2.gz WIQUVWQNVDIHML-JTQLQIEISA-N 0 1 289.295 0.816 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCC(F)(F)F)[nH]1 ZINC000610564704 363579464 /nfs/dbraw/zinc/57/94/64/363579464.db2.gz UPYZOTSZYYEEGA-UHFFFAOYSA-N 0 1 261.207 0.788 20 30 CCEDMN C=CCN1CC[C@H](N2CCO[C@H](c3n[nH]c(C)n3)C2)C1=O ZINC000366898411 357252124 /nfs/dbraw/zinc/25/21/24/357252124.db2.gz VVXNGGVVXMQTKA-RYUDHWBXSA-N 0 1 291.355 0.273 20 30 CCEDMN COCC(C)(C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610634564 363589364 /nfs/dbraw/zinc/58/93/64/363589364.db2.gz OTFWNAOVSGTTKP-UHFFFAOYSA-N 0 1 251.290 0.260 20 30 CCEDMN C[C@@H]1CN(c2cc(C#N)ccn2)C[C@H](C)N1CCO ZINC000450794607 236112578 /nfs/dbraw/zinc/11/25/78/236112578.db2.gz RTNLAZMDXOJPRG-TXEJJXNPSA-N 0 1 260.341 0.845 20 30 CCEDMN C#CCNC(=O)CCN1C[C@@]2(CCCO2)[C@H]1C1CC1 ZINC000491254991 358293241 /nfs/dbraw/zinc/29/32/41/358293241.db2.gz UVTSBHQQRYLDGY-CABCVRRESA-N 0 1 262.353 0.769 20 30 CCEDMN CCN1CCN([C@@H]2CCN(c3ncncc3C#N)C2)CC1 ZINC000567750214 358581821 /nfs/dbraw/zinc/58/18/21/358581821.db2.gz MFUIBPSKIWNMHP-CQSZACIVSA-N 0 1 286.383 0.564 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2ccc(C#N)cn2)[C@@H](C)C1 ZINC000565488844 358562248 /nfs/dbraw/zinc/56/22/48/358562248.db2.gz VKIOYNVTEMEXTM-STQMWFEESA-N 0 1 274.368 0.840 20 30 CCEDMN Cc1ccn(Cc2nc(C(C)(C)N)no2)c(=O)c1C#N ZINC000567809317 358589658 /nfs/dbraw/zinc/58/96/58/358589658.db2.gz PKZUEHYUPWGVQP-UHFFFAOYSA-N 0 1 273.296 0.654 20 30 CCEDMN C[C@H]1CCN(CC(=O)N2CCSC[C@H]2C#N)C1 ZINC000573932234 359013172 /nfs/dbraw/zinc/01/31/72/359013172.db2.gz GPSDZDGVDJYPFU-WDEREUQCSA-N 0 1 253.371 0.796 20 30 CCEDMN C[C@H](O)CN1CCN(c2cncc(C#N)n2)[C@H](C)C1 ZINC000581307320 359125323 /nfs/dbraw/zinc/12/53/23/359125323.db2.gz MEBCKRGFXRNPKY-MNOVXSKESA-N 0 1 261.329 0.240 20 30 CCEDMN CC(C)(C)c1nc(CNc2cc(C#N)ncn2)n[nH]1 ZINC000582347407 359136265 /nfs/dbraw/zinc/13/62/65/359136265.db2.gz PJKYUGOHKCSBRV-UHFFFAOYSA-N 0 1 257.301 0.798 20 30 CCEDMN COC(=O)c1cn(CCNC[C@@H](C#N)CCC#N)nn1 ZINC000585729078 359267731 /nfs/dbraw/zinc/26/77/31/359267731.db2.gz ZSJIQNTYXHXIJC-SNVBAGLBSA-N 0 1 276.300 0.098 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H](CO)CC(C)(C)C)[nH]1 ZINC000610949340 363636620 /nfs/dbraw/zinc/63/66/20/363636620.db2.gz JOAFHYCWDPFFSF-SNVBAGLBSA-N 0 1 279.344 0.632 20 30 CCEDMN CC(C)[C@@](C)(CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000589332327 359379033 /nfs/dbraw/zinc/37/90/33/359379033.db2.gz BOZJEHGTRDQRRE-GFCCVEGCSA-N 0 1 265.317 0.242 20 30 CCEDMN COC[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000611007111 363648080 /nfs/dbraw/zinc/64/80/80/363648080.db2.gz JNARZECZEXZZKW-JTQLQIEISA-N 0 1 263.301 0.260 20 30 CCEDMN CCN1CCN([C@@H]2CCN(C(=O)CC3(C#N)CC3)C2)CC1 ZINC000590227253 359431305 /nfs/dbraw/zinc/43/13/05/359431305.db2.gz TYFKZSGUMBRFGF-CQSZACIVSA-N 0 1 290.411 0.919 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)Nc2sccc2C#N)n[nH]1 ZINC000590229108 359431346 /nfs/dbraw/zinc/43/13/46/359431346.db2.gz RYSDIUQFWARJTM-UHFFFAOYSA-N 0 1 289.320 0.906 20 30 CCEDMN N#C[C@@]1(F)CCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000589629997 359393284 /nfs/dbraw/zinc/39/32/84/359393284.db2.gz QWKSOZJHAAQQRD-RNCFNFMXSA-N 0 1 277.303 0.914 20 30 CCEDMN C[C@@H]1[C@H](O)CCN1CC(=O)NCc1cccc(C#N)c1 ZINC000590762194 359519669 /nfs/dbraw/zinc/51/96/69/359519669.db2.gz OXZZRYSASAMDLX-BXUZGUMPSA-N 0 1 273.336 0.630 20 30 CCEDMN CC(C)(C)c1nc(CNc2ccc(C#N)nn2)n[nH]1 ZINC000591177609 359566440 /nfs/dbraw/zinc/56/64/40/359566440.db2.gz XKPLEBLASMNHPG-UHFFFAOYSA-N 0 1 257.301 0.798 20 30 CCEDMN C=CCOCC(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000591165244 359564930 /nfs/dbraw/zinc/56/49/30/359564930.db2.gz ZOZDFRLWSIKQJR-CQSZACIVSA-N 0 1 282.384 0.902 20 30 CCEDMN C[C@@H]1CC[C@H](CNC(=O)N=c2[nH]n(C)cc2C#N)O1 ZINC000590822323 359527162 /nfs/dbraw/zinc/52/71/62/359527162.db2.gz NRHVVUZTHXKBNQ-PSASIEDQSA-N 0 1 263.301 0.403 20 30 CCEDMN C[C@H]1CN(C(=O)CC2(C#N)CC2)[C@@H](C)CN1CCO ZINC000591321584 359577404 /nfs/dbraw/zinc/57/74/04/359577404.db2.gz CFCORDLCWLPZAA-RYUDHWBXSA-N 0 1 265.357 0.594 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H](C(=O)N3CCNCC3)C2)nc1 ZINC000591425719 359589865 /nfs/dbraw/zinc/58/98/65/359589865.db2.gz QKNSJCQQWSKFOD-CQSZACIVSA-N 0 1 299.378 0.601 20 30 CCEDMN COCCN1CC[C@@H](Nc2ncc(C#N)cc2[N+](=O)[O-])C1 ZINC000296913218 200033661 /nfs/dbraw/zinc/03/36/61/200033661.db2.gz AGYNQBTVJAIQEU-LLVKDONJSA-N 0 1 291.311 0.994 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1ccc(F)cn1 ZINC000591754791 359653546 /nfs/dbraw/zinc/65/35/46/359653546.db2.gz YIIUKUSPSCUIOZ-CYBMUJFWSA-N 0 1 266.320 0.517 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H](CO)CC(F)(F)F)[nH]1 ZINC000611141004 363664389 /nfs/dbraw/zinc/66/43/89/363664389.db2.gz XHANHPNIOVVPHX-ZETCQYMHSA-N 0 1 291.233 0.148 20 30 CCEDMN N#Cc1cnccc1CN[C@@H](CO)[C@@H]1CCCOC1 ZINC000592124431 359759854 /nfs/dbraw/zinc/75/98/54/359759854.db2.gz COFYKCPGMBQWFG-OCCSQVGLSA-N 0 1 261.325 0.830 20 30 CCEDMN C[N@H+]1CC[C@H]2CCN(C(=O)CNc3ccc(C#N)cn3)[C@@H]2C1 ZINC000329313019 202278491 /nfs/dbraw/zinc/27/84/91/202278491.db2.gz APZMCCBALLLAJQ-UONOGXRCSA-N 0 1 299.378 0.340 20 30 CCEDMN CN(C)CC(=O)N1CCN(Cc2ccncc2C#N)CC1 ZINC000592059310 359744756 /nfs/dbraw/zinc/74/47/56/359744756.db2.gz DMSZAFFQFDBQCA-UHFFFAOYSA-N 0 1 287.367 0.159 20 30 CCEDMN C=CCCOCC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000592405789 359832995 /nfs/dbraw/zinc/83/29/95/359832995.db2.gz KYCMLNKDJSKRHZ-ZIAGYGMSSA-N 0 1 282.384 0.637 20 30 CCEDMN C[C@@H](CO)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000611235162 363690528 /nfs/dbraw/zinc/69/05/28/363690528.db2.gz GBLWCXBXFDYAPR-GFCCVEGCSA-N 0 1 260.341 0.704 20 30 CCEDMN COCC#CCN(CCC(=O)OC)C[C@H]1CCCO1 ZINC000611194710 363678672 /nfs/dbraw/zinc/67/86/72/363678672.db2.gz CJMZGBWQNIBWJD-CYBMUJFWSA-N 0 1 269.341 0.680 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cn(C(C)C)nn2)[nH]n1C ZINC000592649118 359909167 /nfs/dbraw/zinc/90/91/67/359909167.db2.gz IOUPHVUBVLMPKB-UHFFFAOYSA-N 0 1 273.300 0.447 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000611283188 363700754 /nfs/dbraw/zinc/70/07/54/363700754.db2.gz LIUXTFNANAVGDR-PSASIEDQSA-N 0 1 263.301 0.403 20 30 CCEDMN C=CCN1CCC(NC(=O)N2C[C@@H]3C[C@H]2C[S@@]3=O)CC1 ZINC000593339641 360068505 /nfs/dbraw/zinc/06/85/05/360068505.db2.gz SIVZWJWSRHOXBP-QAJFTPDKSA-N 0 1 297.424 0.552 20 30 CCEDMN N#CC1(NC(=O)CN2CCCCC[C@H]2CO)CCC1 ZINC000594017200 360236390 /nfs/dbraw/zinc/23/63/90/360236390.db2.gz UUWBZDHYFRLZEN-LBPRGKRZSA-N 0 1 265.357 0.786 20 30 CCEDMN N#Cc1ccc(C2(NCC(=O)NC(N)=O)CCC2)cc1 ZINC000594032031 360240053 /nfs/dbraw/zinc/24/00/53/360240053.db2.gz APWSUPABDROJOY-UHFFFAOYSA-N 0 1 272.308 0.722 20 30 CCEDMN C[C@@H](O)CN(CC(=O)N[C@@](C)(C#N)C1CC1)C1CC1 ZINC000594055369 360243851 /nfs/dbraw/zinc/24/38/51/360243851.db2.gz LDERKJYAULDWPP-YGRLFVJLSA-N 0 1 265.357 0.640 20 30 CCEDMN CCOC(=O)c1c(C)[nH]nc1CN(CC#N)CC(=O)OC ZINC000595342416 360501636 /nfs/dbraw/zinc/50/16/36/360501636.db2.gz NQGYLXVGYPDBPA-UHFFFAOYSA-N 0 1 294.311 0.393 20 30 CCEDMN CC[C@@H]1CN(C(=O)c2cc(C#N)c[nH]2)CCN1C[C@@H](C)O ZINC000177899815 189077964 /nfs/dbraw/zinc/07/79/64/189077964.db2.gz ARNBCARJGUDXLR-DGCLKSJQSA-N 0 1 290.367 0.804 20 30 CCEDMN C[C@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@]1(C)CO ZINC000595873760 360687461 /nfs/dbraw/zinc/68/74/61/360687461.db2.gz NLXUILLMKQZGQO-WCQYABFASA-N 0 1 265.357 0.499 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@H]3COC[C@@H]3C2)CCC1 ZINC000595828584 360669125 /nfs/dbraw/zinc/66/91/25/360669125.db2.gz XZUHWCNSTZNQKG-RYUDHWBXSA-N 0 1 263.341 0.517 20 30 CCEDMN Cc1nc([C@@H]2CCN(c3cc(C#N)ncn3)C2)n[nH]1 ZINC000596272652 360863172 /nfs/dbraw/zinc/86/31/72/360863172.db2.gz BOYSPIMGLVSVEJ-SECBINFHSA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@@H]2CCN(c3cc(C#N)ncn3)C2)[nH]1 ZINC000596272652 360863178 /nfs/dbraw/zinc/86/31/78/360863178.db2.gz BOYSPIMGLVSVEJ-SECBINFHSA-N 0 1 255.285 0.769 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)nn2)[C@H](C)CN1CCO ZINC000596275330 360864387 /nfs/dbraw/zinc/86/43/87/360864387.db2.gz QCTSYLXRNOGDAD-GHMZBOCLSA-N 0 1 261.329 0.240 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)N2CC[C@](C)(C#N)C2)[nH]1 ZINC000596764409 360954597 /nfs/dbraw/zinc/95/45/97/360954597.db2.gz HJENNSXJHBUCHL-CQSZACIVSA-N 0 1 290.371 0.634 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000597383314 361079904 /nfs/dbraw/zinc/07/99/04/361079904.db2.gz YRKLZWOFGGPLBH-UFBFGSQYSA-N 0 1 263.301 0.269 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N1CCC2(CN(C)C2)C1 ZINC000597569316 361141873 /nfs/dbraw/zinc/14/18/73/361141873.db2.gz VFFPFSWUAWVPDP-UHFFFAOYSA-N 0 1 258.325 0.973 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2CN3CCN2CCC3)[nH]1 ZINC000597627399 361167007 /nfs/dbraw/zinc/16/70/07/361167007.db2.gz ZEFNKOYSPYYBRL-LBPRGKRZSA-N 0 1 273.340 0.006 20 30 CCEDMN CNS(=O)(=O)c1cc(NC(=O)[C@H](C)CC#N)ccc1O ZINC000597628420 361167153 /nfs/dbraw/zinc/16/71/53/361167153.db2.gz GFJMGGJWVXBQCO-MRVPVSSYSA-N 0 1 297.336 0.789 20 30 CCEDMN C[C@@H]1COCCN1C1CCN(C(=O)CC#N)CC1 ZINC000597678593 361189479 /nfs/dbraw/zinc/18/94/79/361189479.db2.gz MHJNLBANECOUIY-LLVKDONJSA-N 0 1 251.330 0.612 20 30 CCEDMN COC[C@@]1(C(=O)OC)CCCN1C(=O)C(C)C#N ZINC000597957858 361291016 /nfs/dbraw/zinc/29/10/16/361291016.db2.gz KHIXFKUIOKRPCR-JOYOIKCWSA-N 0 1 254.286 0.327 20 30 CCEDMN CCN1CCN(CC(=O)N([C@@H](C)C#N)C2CC2)CC1 ZINC000598127697 361339319 /nfs/dbraw/zinc/33/93/19/361339319.db2.gz CNZHGZPRTFAVOV-LBPRGKRZSA-N 0 1 264.373 0.527 20 30 CCEDMN CNC(=O)[C@@H]1CCCN1C[C@H](O)CC(C)(C)C#N ZINC000598587096 361449275 /nfs/dbraw/zinc/44/92/75/361449275.db2.gz NWMZSGMMCMDLHY-MNOVXSKESA-N 0 1 253.346 0.498 20 30 CCEDMN CN1CCN(C[C@H](O)CC(C)(C)C#N)C[C@H]1c1ncc[nH]1 ZINC000598610128 361459292 /nfs/dbraw/zinc/45/92/92/361459292.db2.gz DIOXFIDUFGFFNF-OLZOCXBDSA-N 0 1 291.399 0.999 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)C[N@H+]1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000598617445 361459484 /nfs/dbraw/zinc/45/94/84/361459484.db2.gz RNLRWUQVCVJEGU-GHMZBOCLSA-N 0 1 293.371 0.990 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCC[C@@H]1CS(C)(=O)=O ZINC000598626390 361466303 /nfs/dbraw/zinc/46/63/03/361466303.db2.gz BZCWVNWYGFEVDS-VXGBXAGGSA-N 0 1 288.413 0.796 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](C)[C@@H](CO)C1 ZINC000598649715 361473013 /nfs/dbraw/zinc/47/30/13/361473013.db2.gz YXUOOOHOMSFJOW-FRRDWIJNSA-N 0 1 279.384 0.888 20 30 CCEDMN CNC(=O)[C@H]1CCCCN1C[C@@H](O)CC(C)(C)C#N ZINC000598588524 361450202 /nfs/dbraw/zinc/45/02/02/361450202.db2.gz BKUKMWNMRZZZRC-NWDGAFQWSA-N 0 1 267.373 0.888 20 30 CCEDMN CNS(=O)(=O)CCNC1(c2cccc(C#N)c2)CC1 ZINC000598603671 361456745 /nfs/dbraw/zinc/45/67/45/361456745.db2.gz YKPONPRZYJATCB-UHFFFAOYSA-N 0 1 279.365 0.686 20 30 CCEDMN CC(C)(C)n1cc(C#N)c(=NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[nH]1 ZINC000598817840 361521806 /nfs/dbraw/zinc/52/18/06/361521806.db2.gz ZCZVRCMUMUNZLG-URLYPYJESA-N 0 1 274.324 0.763 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCO[C@H](C#N)C2)C1 ZINC000598933867 361533498 /nfs/dbraw/zinc/53/34/98/361533498.db2.gz KVRKGAYAJGAUIP-JLLWLGSASA-N 0 1 274.324 0.827 20 30 CCEDMN COC(=O)[C@H]1CC[N@@H+](C[C@@H](O)CC2(C#N)CCOCC2)C1 ZINC000599264809 361626024 /nfs/dbraw/zinc/62/60/24/361626024.db2.gz OBTJZZKBHNLPRO-STQMWFEESA-N 0 1 296.367 0.553 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCCC[C@H]2C(N)=O)CCOCC1 ZINC000599261985 361623425 /nfs/dbraw/zinc/62/34/25/361623425.db2.gz ZOJLJHBIHHFKNY-STQMWFEESA-N 0 1 295.383 0.398 20 30 CCEDMN C[C@@H](CC#N)N(C)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599329377 361654062 /nfs/dbraw/zinc/65/40/62/361654062.db2.gz IHFSXFOPMPCDBX-VIFPVBQESA-N 0 1 296.352 0.827 20 30 CCEDMN C[C@@H](C#N)CNC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599428271 361695868 /nfs/dbraw/zinc/69/58/68/361695868.db2.gz KIUBFKUFQHAQFP-QMMMGPOBSA-N 0 1 282.325 0.343 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NC1CCN(CC#N)CC1 ZINC000611992978 363825914 /nfs/dbraw/zinc/82/59/14/363825914.db2.gz PMGCVTIHAFOWJF-ZDUSSCGKSA-N 0 1 294.399 0.059 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000612076176 363842848 /nfs/dbraw/zinc/84/28/48/363842848.db2.gz JDPLGBMHPNYTRC-GFCCVEGCSA-N 0 1 250.302 0.448 20 30 CCEDMN CCN1CCN(CC(=O)N2CCC[C@@](C)(C#N)C2)CC1 ZINC000612368573 363895887 /nfs/dbraw/zinc/89/58/87/363895887.db2.gz CGAFSBIXNLDECC-HNNXBMFYSA-N 0 1 278.400 0.776 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1CCC2(CN(C)C2)C1 ZINC000614034463 364467566 /nfs/dbraw/zinc/46/75/66/364467566.db2.gz XJXMEUCLXPAKHY-ZDUSSCGKSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)Cc1n[nH]c(C)n1 ZINC000614372326 364587802 /nfs/dbraw/zinc/58/78/02/364587802.db2.gz HWUCGNXGOMQAAI-MFKMUULPSA-N 0 1 264.329 0.753 20 30 CCEDMN CCO[C@@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)[C@@H]1OC ZINC000614325634 364570874 /nfs/dbraw/zinc/57/08/74/364570874.db2.gz AIEQGTSFYDIXTD-AXFHLTTASA-N 0 1 293.327 0.028 20 30 CCEDMN C=CCCOCC(=O)NC[C@H]1CN2CCN1CCC2 ZINC000614507958 364638077 /nfs/dbraw/zinc/63/80/77/364638077.db2.gz RLXLNLOVASPRHN-ZDUSSCGKSA-N 0 1 267.373 0.085 20 30 CCEDMN CC1(C)CN(C[C@H](C#N)CCC#N)CCN1CCO ZINC000614920474 364782750 /nfs/dbraw/zinc/78/27/50/364782750.db2.gz IQARVAHJKICSLR-ZDUSSCGKSA-N 0 1 264.373 0.818 20 30 CCEDMN CCOC(C)(C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000614932149 364790493 /nfs/dbraw/zinc/79/04/93/364790493.db2.gz KLZNXXPIEPWPJQ-UHFFFAOYSA-N 0 1 265.317 0.650 20 30 CCEDMN N#CC1(NC(=O)CN2CCc3cn[nH]c3C2)CCC1 ZINC000615226004 364889943 /nfs/dbraw/zinc/88/99/43/364889943.db2.gz APZJHFLHDANXGO-UHFFFAOYSA-N 0 1 259.313 0.330 20 30 CCEDMN Cc1cccc(NC(=O)C(=O)NCc2c[nH]nn2)c1C#N ZINC000615165063 364866684 /nfs/dbraw/zinc/86/66/84/364866684.db2.gz UNYIKMJQEAEKNU-UHFFFAOYSA-N 0 1 284.279 0.240 20 30 CCEDMN N#C[C@]1(F)CCN(C(=O)NCCCCN2CCOCC2)C1 ZINC000615534434 364994377 /nfs/dbraw/zinc/99/43/77/364994377.db2.gz BFPZKUBQRCPJRL-CQSZACIVSA-N 0 1 298.362 0.746 20 30 CCEDMN Cc1nnc(SCC(=O)N2CC[C@@](F)(C#N)C2)[nH]1 ZINC000615825379 365097916 /nfs/dbraw/zinc/09/79/16/365097916.db2.gz KMSCISJUXWGKJC-SNVBAGLBSA-N 0 1 269.305 0.669 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CC[C@@](F)(C#N)C2)n1 ZINC000615825379 365097924 /nfs/dbraw/zinc/09/79/24/365097924.db2.gz KMSCISJUXWGKJC-SNVBAGLBSA-N 0 1 269.305 0.669 20 30 CCEDMN C=C[C@@H](O)C(=O)Nc1c(C(C)C)n[nH]c1C(N)=O ZINC000616162173 365198697 /nfs/dbraw/zinc/19/86/97/365198697.db2.gz GWOVCVRXNWSYCP-ZCFIWIBFSA-N 0 1 252.274 0.117 20 30 CCEDMN C[C@H]1COC(C)(C)CN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000616990010 365509477 /nfs/dbraw/zinc/50/94/77/365509477.db2.gz RTJGDICKQXHGPE-VIFPVBQESA-N 0 1 277.328 0.745 20 30 CCEDMN C=CCCOCC(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000622610448 367221371 /nfs/dbraw/zinc/22/13/71/367221371.db2.gz VPJOPXKCMXELBB-ZDUSSCGKSA-N 0 1 287.323 0.998 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H](OCC)C2CC2)CC1 ZINC000625042700 368049187 /nfs/dbraw/zinc/04/91/87/368049187.db2.gz GMIKNXBDCSXYQZ-HNNXBMFYSA-N 0 1 295.427 0.721 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@@H](C)C(=O)N(C)CCC#N)[C@H]1C ZINC000347219434 284065621 /nfs/dbraw/zinc/06/56/21/284065621.db2.gz SJKORLROBFLPDQ-SDDRHHMPSA-N 0 1 281.356 0.630 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)c(N)n2)C[C@H](C)N1CCO ZINC000574218977 335001965 /nfs/dbraw/zinc/00/19/65/335001965.db2.gz LXGUCSFRYWOFRX-PHIMTYICSA-N 0 1 275.356 0.427 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)NCC(=O)N2CCNCC2)C1 ZINC000627063743 368765149 /nfs/dbraw/zinc/76/51/49/368765149.db2.gz MWLQOWKPUQZEGN-GFCCVEGCSA-N 0 1 280.372 0.026 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1CCNC[C@@H]1C ZINC000627434749 369001138 /nfs/dbraw/zinc/00/11/38/369001138.db2.gz RFPDJKJGHWDEQT-NSHDSACASA-N 0 1 267.373 0.525 20 30 CCEDMN C=CCC1(NC(=O)CCc2c[nH]nn2)CCOCC1 ZINC000633638030 371649763 /nfs/dbraw/zinc/64/97/63/371649763.db2.gz FPSARCKHEODBSO-UHFFFAOYSA-N 0 1 264.329 0.979 20 30 CCEDMN C=CCC1(NC(=O)CCc2cnn[nH]2)CCOCC1 ZINC000633638030 371649769 /nfs/dbraw/zinc/64/97/69/371649769.db2.gz FPSARCKHEODBSO-UHFFFAOYSA-N 0 1 264.329 0.979 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@@H]1CCN1C1CCCC1 ZINC000635777242 372895607 /nfs/dbraw/zinc/89/56/07/372895607.db2.gz WYVZDLJUWSMEHO-LBPRGKRZSA-N 0 1 265.357 0.422 20 30 CCEDMN C=C(C)CN(CC)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000637376112 374123602 /nfs/dbraw/zinc/12/36/02/374123602.db2.gz HYRUMGSOLJAKIN-ZDUSSCGKSA-N 0 1 296.415 0.934 20 30 CCEDMN O=C(CNC(=O)[C@@H]1CCCc2[nH]ncc21)N1CCOCC1 ZINC000329224774 227363223 /nfs/dbraw/zinc/36/32/23/227363223.db2.gz FSDBGGOVAHFUGT-SNVBAGLBSA-N 0 1 292.339 0.645 20 30 CCEDMN C=CCn1cc(CNC[C@@H](OC)C2CCOCC2)nn1 ZINC000639276549 375689936 /nfs/dbraw/zinc/68/99/36/375689936.db2.gz ZZVDEUDZJQSINV-CQSZACIVSA-N 0 1 280.372 0.995 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2nc[nH]n2)CC1 ZINC000639734221 375961734 /nfs/dbraw/zinc/96/17/34/375961734.db2.gz ZMSAQYDIJZLIFH-LLVKDONJSA-N 0 1 251.334 0.254 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639823439 376034358 /nfs/dbraw/zinc/03/43/58/376034358.db2.gz YMAUFHSWWGXSEQ-ZJUUUORDSA-N 0 1 252.318 0.599 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H](O)C[C@]1(C)CO ZINC000639857821 376087126 /nfs/dbraw/zinc/08/71/26/376087126.db2.gz VPTWEQDPMNPEHH-TZMCWYRMSA-N 0 1 268.357 0.005 20 30 CCEDMN CC#CC[C@H](CO)NCc1ccc(C(=O)N(C)C)[nH]1 ZINC000641768765 377453827 /nfs/dbraw/zinc/45/38/27/377453827.db2.gz HJYRXDXJEOUTDV-GFCCVEGCSA-N 0 1 263.341 0.580 20 30 CCEDMN C=CCN1C(=O)N=NC1S[C@@H]1CCN(CC)C1=O ZINC000657251752 412942652 /nfs/dbraw/zinc/94/26/52/412942652.db2.gz LKFZYDKICQJUKM-MRVPVSSYSA-N 0 1 268.342 0.883 20 30 CCEDMN COc1cc[nH]c(=O)c1C(=O)NCCN1CCCOCC1 ZINC000329119597 533071300 /nfs/dbraw/zinc/07/13/00/533071300.db2.gz VKZZFCZPMACDJG-UHFFFAOYSA-N 0 1 295.339 0.823 20 30 CCEDMN CCN(C)CCNS(=O)(=O)c1cncc(C#N)c1 ZINC000289379514 197319890 /nfs/dbraw/zinc/31/98/90/197319890.db2.gz CDMURSXNOKNBPK-UHFFFAOYSA-N 0 1 268.342 0.183 20 30 CCEDMN C=CCCn1cc(CNC[C@]2(OC)CCOC2)nn1 ZINC000657434333 412990447 /nfs/dbraw/zinc/99/04/47/412990447.db2.gz GAXWEVHAQRZYJY-CYBMUJFWSA-N 0 1 266.345 0.749 20 30 CCEDMN CN(C)C(=O)CN(C)CCC(=O)Nc1sccc1C#N ZINC000026297441 388821203 /nfs/dbraw/zinc/82/12/03/388821203.db2.gz OYNFJZAQDFFNBE-UHFFFAOYSA-N 0 1 294.380 0.968 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)CCC(N)=O ZINC000286266626 388838475 /nfs/dbraw/zinc/83/84/75/388838475.db2.gz BNANJQWPCWUECH-NSHDSACASA-N 0 1 253.346 0.383 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1C[C@@H](O)C[C@H]1CO ZINC000186518404 388840240 /nfs/dbraw/zinc/84/02/40/388840240.db2.gz DSNFECOTCLFBOQ-AGIUHOORSA-N 0 1 270.373 0.227 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC000288899473 388866077 /nfs/dbraw/zinc/86/60/77/388866077.db2.gz JGDQLKCWQRNIQX-VXGBXAGGSA-N 0 1 279.384 0.762 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000286736250 388845335 /nfs/dbraw/zinc/84/53/35/388845335.db2.gz VZEZPKQKSOJFMI-ZDUSSCGKSA-N 0 1 295.383 0.024 20 30 CCEDMN C=C[C@H](CO)NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000290605861 388908635 /nfs/dbraw/zinc/90/86/35/388908635.db2.gz NNBCDKNZEQQWAV-SFYZADRCSA-N 0 1 282.262 0.353 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)O[C@H](COC)C2)CC1 ZINC000188161328 388916560 /nfs/dbraw/zinc/91/65/60/388916560.db2.gz RHEZUFCIKNEKMZ-HIFRSBDPSA-N 0 1 294.395 0.594 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC[C@H](CO)C1 ZINC000049774024 388923992 /nfs/dbraw/zinc/92/39/92/388923992.db2.gz PFHLTZHAQCNPGR-ZDUSSCGKSA-N 0 1 278.356 0.347 20 30 CCEDMN CCN1CCCN(S(=O)(=O)N(C)CCC#N)CC1 ZINC000066579352 388945287 /nfs/dbraw/zinc/94/52/87/388945287.db2.gz JLTVBXQHDUOZSX-UHFFFAOYSA-N 0 1 274.390 0.104 20 30 CCEDMN CNS(=O)(=O)CCNCc1cc(C#N)cs1 ZINC000190309825 388988859 /nfs/dbraw/zinc/98/88/59/388988859.db2.gz MMHDMNGYWHUJPH-UHFFFAOYSA-N 0 1 259.356 0.259 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)C(=O)N[C@H](C)c1nnc[nH]1 ZINC000190996364 389003034 /nfs/dbraw/zinc/00/30/34/389003034.db2.gz RAVDXOJFOZTZDH-SECBINFHSA-N 0 1 298.306 0.801 20 30 CCEDMN C=CCC[C@@H](O)CN1CCCN(CCOC)C(=O)C1 ZINC000190806865 388999480 /nfs/dbraw/zinc/99/94/80/388999480.db2.gz OIIKZOFQPVNBSO-CYBMUJFWSA-N 0 1 270.373 0.494 20 30 CCEDMN COc1ccc(CNCCS(C)(=O)=O)cc1C#N ZINC000087823756 389012256 /nfs/dbraw/zinc/01/22/56/389012256.db2.gz MYPBTVVMJQPQNM-UHFFFAOYSA-N 0 1 268.338 0.701 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@@H](N2CCN(CC)CC2)C1 ZINC000354279192 389019637 /nfs/dbraw/zinc/01/96/37/389019637.db2.gz MUIHUWGEGQKICA-ZBFHGGJFSA-N 0 1 295.427 0.552 20 30 CCEDMN CN(CC#Cc1ccccc1)C[C@@H](O)CN1CCOCC1 ZINC000192063726 389025525 /nfs/dbraw/zinc/02/55/25/389025525.db2.gz SHKITWPOUOKQQT-QGZVFWFLSA-N 0 1 288.391 0.663 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000354523816 389026599 /nfs/dbraw/zinc/02/65/99/389026599.db2.gz ZERWBVFKCGDGFJ-GXFFZTMASA-N 0 1 278.312 0.550 20 30 CCEDMN CN1CCN(CCNC(=O)/C=C/c2cccc(C#N)c2)CC1 ZINC000298506375 389026638 /nfs/dbraw/zinc/02/66/38/389026638.db2.gz MXQHAJIHBSWFQF-AATRIKPKSA-N 0 1 298.390 0.935 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN([C@@H](CC)CO)CC2)C1=O ZINC000337192887 389051474 /nfs/dbraw/zinc/05/14/74/389051474.db2.gz UEJWOKBSEUGSQY-UONOGXRCSA-N 0 1 281.400 0.162 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCOCCCOC)C1=O ZINC000337184095 389051515 /nfs/dbraw/zinc/05/15/15/389051515.db2.gz NYVWHZAELMHIJE-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN CC[C@H](O)CN1CCN(c2ncccc2C#N)CC1 ZINC000106381348 389092554 /nfs/dbraw/zinc/09/25/54/389092554.db2.gz OPKGWZBCMKUJOK-ZDUSSCGKSA-N 0 1 260.341 0.846 20 30 CCEDMN C#CCCN1CCN(c2c(C)c(C)nc3ncnn32)CC1 ZINC000301577199 389055117 /nfs/dbraw/zinc/05/51/17/389055117.db2.gz SZEUIAVNLRRTMG-UHFFFAOYSA-N 0 1 284.367 0.886 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCN2CCOC[C@@H]2C)C1=O ZINC000337224685 389057084 /nfs/dbraw/zinc/05/70/84/389057084.db2.gz NREOFEHPQCNLHG-KBPBESRZSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](O)CCOCC)C1=O ZINC000337227315 389057138 /nfs/dbraw/zinc/05/71/38/389057138.db2.gz QBTHCXNNZRIZGP-OLZOCXBDSA-N 0 1 270.373 0.493 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC[C@@H](CO)C1 ZINC000302834948 389079635 /nfs/dbraw/zinc/07/96/35/389079635.db2.gz XVLWTMJNSXOEEC-NWDGAFQWSA-N 0 1 253.346 0.451 20 30 CCEDMN C=CC[C@H](CO)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000337040839 389038795 /nfs/dbraw/zinc/03/87/95/389038795.db2.gz MEJPULYVQUWEFL-GHMZBOCLSA-N 0 1 258.387 0.477 20 30 CCEDMN CCc1nc([C@@H](C)NC(=O)Cn2cnnn2)n[nH]1 ZINC000328911730 389144799 /nfs/dbraw/zinc/14/47/99/389144799.db2.gz GRGKGCFMMZUOGB-ZCFIWIBFSA-N 0 1 250.266 0.071 20 30 CCEDMN Cc1nnc2n1C[C@H](CNC(=O)N[C@@H]1CCO[C@@H]1C)CC2 ZINC000328910128 389144905 /nfs/dbraw/zinc/14/49/05/389144905.db2.gz FIHYHRROVHRYNH-ADEWGFFLSA-N 0 1 293.371 0.830 20 30 CCEDMN Cc1nnc2n1C[C@H](C[NH+]=C([O-])N[C@@H]1CCO[C@@H]1C)CC2 ZINC000328910128 389144910 /nfs/dbraw/zinc/14/49/10/389144910.db2.gz FIHYHRROVHRYNH-ADEWGFFLSA-N 0 1 293.371 0.830 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC[C@](O)(CO)C1 ZINC000313648402 389115660 /nfs/dbraw/zinc/11/56/60/389115660.db2.gz YQIDDJXDKLJUMU-CQSZACIVSA-N 0 1 268.357 0.006 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](CCCCO)C1CC1 ZINC000355733605 389115941 /nfs/dbraw/zinc/11/59/41/389115941.db2.gz MDYTVNVTNJHISG-UHFFFAOYSA-N 0 1 267.373 0.985 20 30 CCEDMN CCN(CCC#N)C(=O)CN(CCCCO)C1CC1 ZINC000355733605 389115945 /nfs/dbraw/zinc/11/59/45/389115945.db2.gz MDYTVNVTNJHISG-UHFFFAOYSA-N 0 1 267.373 0.985 20 30 CCEDMN C=C(C)CN(CC)CCNS(=O)(=O)CCCOC ZINC000355787630 389116904 /nfs/dbraw/zinc/11/69/04/389116904.db2.gz ATPSYNXQNPBXFR-UHFFFAOYSA-N 0 1 278.418 0.840 20 30 CCEDMN CS(=O)(=O)NC[C@H]1CCCCN1CCOCCC#N ZINC000338899469 389118286 /nfs/dbraw/zinc/11/82/86/389118286.db2.gz CXNNNUCARGMCCG-GFCCVEGCSA-N 0 1 289.401 0.320 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CCO[C@@H]2CCN(CC)C[C@@H]21 ZINC000339015505 389121268 /nfs/dbraw/zinc/12/12/68/389121268.db2.gz SBRZXZJAAPQCFB-QWHCGFSZSA-N 0 1 288.413 0.687 20 30 CCEDMN CCOC(=O)C1(O)CCN(CCOCCC#N)CC1 ZINC000339014821 389121663 /nfs/dbraw/zinc/12/16/63/389121663.db2.gz WUWCPPZTNPVQNI-UHFFFAOYSA-N 0 1 270.329 0.307 20 30 CCEDMN COC(=O)C[C@@H]1CSCCN1CCOCCC#N ZINC000339022015 389122246 /nfs/dbraw/zinc/12/22/46/389122246.db2.gz QTSZZYGZUOQQRD-LLVKDONJSA-N 0 1 272.370 0.897 20 30 CCEDMN Cn1cc([C@@H]2CN(CC(=O)NC3CC3)C[C@H]2CO)cn1 ZINC000328601150 389128663 /nfs/dbraw/zinc/12/86/63/389128663.db2.gz DZSBEKLSBHGXQK-AAEUAGOBSA-N 0 1 278.356 0.547 20 30 CCEDMN C[C@@H]1[C@H](C)N(C)CCN1CC(=O)N(CCC#N)CCC#N ZINC000357041200 389130028 /nfs/dbraw/zinc/13/00/28/389130028.db2.gz QINWGUQNFKQSDY-UONOGXRCSA-N 0 1 291.399 0.667 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+][C@H]1CC[C@H](O)CC1)CC2 ZINC000328733608 389134725 /nfs/dbraw/zinc/13/47/25/389134725.db2.gz JQJIVODEIOVLKC-IJLUTSLNSA-N 0 1 293.371 0.708 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N[C@H]1CC[C@H](O)CC1)CC2 ZINC000328733608 389134727 /nfs/dbraw/zinc/13/47/27/389134727.db2.gz JQJIVODEIOVLKC-IJLUTSLNSA-N 0 1 293.371 0.708 20 30 CCEDMN Cn1cc(NC(=O)[C@@H]2CCc3[nH]nnc3C2)ccc1=O ZINC000328754182 389135306 /nfs/dbraw/zinc/13/53/06/389135306.db2.gz DRNSSTRZYLEUJP-MRVPVSSYSA-N 0 1 273.296 0.897 20 30 CCEDMN Cn1cc(NC(=O)[C@@H]2CCc3nn[nH]c3C2)ccc1=O ZINC000328754182 389135308 /nfs/dbraw/zinc/13/53/08/389135308.db2.gz DRNSSTRZYLEUJP-MRVPVSSYSA-N 0 1 273.296 0.897 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@H](C)N(C)[C@@H](C)C1 ZINC000339620356 389136870 /nfs/dbraw/zinc/13/68/70/389136870.db2.gz VRJLMZZZWWHVMP-QWRGUYRKSA-N 0 1 268.361 0.023 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)N2CCOC[C@@H]2C)C1 ZINC000328782244 389138187 /nfs/dbraw/zinc/13/81/87/389138187.db2.gz GCFTWCFUHQZUGI-UONOGXRCSA-N 0 1 299.415 0.978 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)N2CCO[C@@H](C)C2)C1 ZINC000328862708 389142264 /nfs/dbraw/zinc/14/22/64/389142264.db2.gz MFEKNBKULCKLNR-UONOGXRCSA-N 0 1 299.415 0.978 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCC(C)(C)C#N ZINC000359655175 389180711 /nfs/dbraw/zinc/18/07/11/389180711.db2.gz YXCDEJZJQRZLES-NSHDSACASA-N 0 1 253.346 0.621 20 30 CCEDMN Cc1nnc2n1C[C@H](C[NH+]=C([O-])N1CCOC[C@H]1C)CC2 ZINC000329654649 389182855 /nfs/dbraw/zinc/18/28/55/389182855.db2.gz LXPMFCGYXNVTEJ-PWSUYJOCSA-N 0 1 293.371 0.784 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)N1CCN2CCOC[C@H]2C1 ZINC000329849526 389205949 /nfs/dbraw/zinc/20/59/49/389205949.db2.gz PYKFOIFPVWVMND-CHWSQXEVSA-N 0 1 293.371 0.202 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)[C@@H]2CCCSC2)[nH]1 ZINC000329774112 389199240 /nfs/dbraw/zinc/19/92/40/389199240.db2.gz LYLCUISSGDTOQO-MRVPVSSYSA-N 0 1 250.327 0.795 20 30 CCEDMN CN(C)c1cnc(C(=O)NC[C@H]2CN(C)CCN2C)cn1 ZINC000329119225 389151606 /nfs/dbraw/zinc/15/16/06/389151606.db2.gz VHPJUSLPKISWBP-NSHDSACASA-N 0 1 292.387 0.093 20 30 CCEDMN C[C@H](NCC(=O)N(CCC#N)CCC#N)c1cn[nH]c1 ZINC000358831775 389161387 /nfs/dbraw/zinc/16/13/87/389161387.db2.gz VCOUKGVHNHFCAR-NSHDSACASA-N 0 1 274.328 0.716 20 30 CCEDMN Cc1nc(CNC(=O)N2CCN(C3CCC3)CC2)no1 ZINC000329817482 389204311 /nfs/dbraw/zinc/20/43/11/389204311.db2.gz WRDMHNNEAHEXKS-UHFFFAOYSA-N 0 1 279.344 0.962 20 30 CCEDMN O=C(NCCN1CCCOCC1)N[C@@H]1CCCOC1 ZINC000329553345 389171094 /nfs/dbraw/zinc/17/10/94/389171094.db2.gz AYZBZEVCOGPBPO-GFCCVEGCSA-N 0 1 271.361 0.391 20 30 CCEDMN O=C(NC[C@H]1CCCCS1(=O)=O)c1cnn[nH]1 ZINC000329576612 389171751 /nfs/dbraw/zinc/17/17/51/389171751.db2.gz SIOOLFQJZFZRRY-SSDOTTSWSA-N 0 1 258.303 0.077 20 30 CCEDMN CCN(CCNC(=O)N1CCS(=O)(=O)CC1)C(C)C ZINC000330622454 389247178 /nfs/dbraw/zinc/24/71/78/389247178.db2.gz NVAMGZHZAZNNBC-UHFFFAOYSA-N 0 1 291.417 0.361 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCn2cncn2)C[C@H](C2CC2)O1 ZINC000329900447 389209538 /nfs/dbraw/zinc/20/95/38/389209538.db2.gz GDMKEAVKDVBZET-ZYHUDNBSSA-N 0 1 279.344 0.691 20 30 CCEDMN [O-]C(NC[C@@H]1CCc2nccn2C1)=[NH+][C@@H]1C=C[C@H](CO)C1 ZINC000329913363 389210626 /nfs/dbraw/zinc/21/06/26/389210626.db2.gz ORZXDPYVHSBTJG-RWMBFGLXSA-N 0 1 290.367 0.886 20 30 CCEDMN O=C(NCC[C@H]1CCCCO1)N1CCn2ncnc2C1 ZINC000329920929 389211529 /nfs/dbraw/zinc/21/15/29/389211529.db2.gz HEFSMAZIVKVTHG-LLVKDONJSA-N 0 1 279.344 0.967 20 30 CCEDMN C[C@H]1CN(C2CC2)C[C@H]1NC(=O)C(=O)NCCCCC#N ZINC000343331104 389265304 /nfs/dbraw/zinc/26/53/04/389265304.db2.gz FGTJGNLMMRCFGF-WCQYABFASA-N 0 1 292.383 0.395 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000136254478 389265709 /nfs/dbraw/zinc/26/57/09/389265709.db2.gz OCCGFTYYOBLBIM-DGCLKSJQSA-N 0 1 252.362 0.288 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NCCN1CCCCC1 ZINC000330403989 389225164 /nfs/dbraw/zinc/22/51/64/389225164.db2.gz CLBDTMVCSHTDLA-LBPRGKRZSA-N 0 1 288.417 0.541 20 30 CCEDMN CNC(=O)NC(=O)CN1CCC[C@H](CCC(N)=O)C1 ZINC000330462694 389232109 /nfs/dbraw/zinc/23/21/09/389232109.db2.gz MVZIJLGJGXYVOH-SECBINFHSA-N 0 1 270.333 0.674 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000362410822 389235290 /nfs/dbraw/zinc/23/52/90/389235290.db2.gz PVLQQEKLYDULNU-BXUZGUMPSA-N 0 1 265.357 0.279 20 30 CCEDMN CCNC(=O)NC(=O)[C@H](C)N1CCC(CC(=O)OC)CC1 ZINC000330549267 389240157 /nfs/dbraw/zinc/24/01/57/389240157.db2.gz MJFXYYBBMWDVPJ-JTQLQIEISA-N 0 1 299.371 0.700 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(N2CCO[C@H](C)C2)CC1 ZINC000345755701 389314019 /nfs/dbraw/zinc/31/40/19/389314019.db2.gz GDDUBHDVWXWNFX-NWDGAFQWSA-N 0 1 265.357 0.858 20 30 CCEDMN CC(C)(O)CN1CCN(C(=O)NCCCC#N)CC1 ZINC000345808231 389314631 /nfs/dbraw/zinc/31/46/31/389314631.db2.gz AZALWIJLXVGQJC-UHFFFAOYSA-N 0 1 268.361 0.388 20 30 CCEDMN COC(=O)[C@H](C)CN(C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000345778815 389314922 /nfs/dbraw/zinc/31/49/22/389314922.db2.gz GGPOVLKEQVKKDW-GHMZBOCLSA-N 0 1 281.356 0.678 20 30 CCEDMN CNC(=O)CCCN(C)CC(=O)N[C@](C)(C#N)C(C)C ZINC000155207103 389317826 /nfs/dbraw/zinc/31/78/26/389317826.db2.gz CXFBKACFTQTECK-CQSZACIVSA-N 0 1 282.388 0.499 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000264464022 389330363 /nfs/dbraw/zinc/33/03/63/389330363.db2.gz FGPLWHRWLJZQNV-STQMWFEESA-N 0 1 297.399 0.424 20 30 CCEDMN C=CCCC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000264549242 389336029 /nfs/dbraw/zinc/33/60/29/389336029.db2.gz OQZHNIXSWINDNC-NSHDSACASA-N 0 1 253.346 0.409 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@]2(CCOC2)C1 ZINC000153395698 389299983 /nfs/dbraw/zinc/29/99/83/389299983.db2.gz UCMKMWDFZPQGTK-CYBMUJFWSA-N 0 1 267.329 0.111 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[N@@H+]2CCC[C@H]2[C@@H]1C(=O)[O-] ZINC000368496913 389379909 /nfs/dbraw/zinc/37/99/09/389379909.db2.gz SYHRIBBUFAPQLO-WDEREUQCSA-N 0 1 288.369 0.126 20 30 CCEDMN C=CCN1C(=O)N=NC1SCCN1CCCC1=O ZINC000348122981 389365140 /nfs/dbraw/zinc/36/51/40/389365140.db2.gz QAYINLCWNRCARA-UHFFFAOYSA-N 0 1 268.342 0.884 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@](C)(C(=O)OC)C1 ZINC000412091949 389475527 /nfs/dbraw/zinc/47/55/27/389475527.db2.gz CPJCGTYNHGPYHT-RISCZKNCSA-N 0 1 268.357 0.952 20 30 CCEDMN C#CCCCC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000365243490 262354545 /nfs/dbraw/zinc/35/45/45/262354545.db2.gz HWESSGIMUXEFFZ-KBPBESRZSA-N 0 1 264.369 0.848 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2cc(C#N)cc(C)n2)C1 ZINC000413494704 389522191 /nfs/dbraw/zinc/52/21/91/389522191.db2.gz HWFCPNQPBQPXCS-ZDUSSCGKSA-N 0 1 288.351 0.803 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2cc(C#N)ccn2)C1 ZINC000413510588 389525789 /nfs/dbraw/zinc/52/57/89/389525789.db2.gz YVQWHLWYRFZXLJ-LBPRGKRZSA-N 0 1 274.324 0.494 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(N3CCCC3=O)CC2)C1=O ZINC000374109801 389547325 /nfs/dbraw/zinc/54/73/25/389547325.db2.gz TYDSOIWYVGNTKQ-CQSZACIVSA-N 0 1 291.395 0.860 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(C(C)(C)C(N)=O)CC1 ZINC000351268705 389570969 /nfs/dbraw/zinc/57/09/69/389570969.db2.gz KKJATPLVLZTKNW-LBPRGKRZSA-N 0 1 269.389 0.195 20 30 CCEDMN COC(=O)c1cn([C@@H]2CCN(CCCC#N)C2)nn1 ZINC000375212629 389649363 /nfs/dbraw/zinc/64/93/63/389649363.db2.gz WQHAKXPPMNOUIQ-SNVBAGLBSA-N 0 1 263.301 0.615 20 30 CCEDMN C=CCN(CCC#N)C[C@H](O)CN1C[C@@H](C)O[C@H](C)C1 ZINC000428856843 389724388 /nfs/dbraw/zinc/72/43/88/389724388.db2.gz OIOPIZVNHOCCNF-KFWWJZLASA-N 0 1 281.400 0.858 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)NC[C@@H](C)C#N)C1 ZINC000182370688 389707319 /nfs/dbraw/zinc/70/73/19/389707319.db2.gz ADNJDILVFCATPS-QWHCGFSZSA-N 0 1 282.388 0.802 20 30 CCEDMN N#Cc1cc(C#N)c(SCc2c[nH]nn2)nc1N ZINC000352160091 389762763 /nfs/dbraw/zinc/76/27/63/389762763.db2.gz GKVVFFJLZDVSDL-UHFFFAOYSA-N 0 1 257.282 0.648 20 30 CCEDMN CCN(CCC(=O)N1CCN(C)CC1)C[C@H](C)C#N ZINC000429675950 389764131 /nfs/dbraw/zinc/76/41/31/389764131.db2.gz IIUAYYCUGQXIML-CYBMUJFWSA-N 0 1 266.389 0.632 20 30 CCEDMN CCN(CC)CCS(=O)(=O)NCC1(C#N)CCC1 ZINC000416670000 389765036 /nfs/dbraw/zinc/76/50/36/389765036.db2.gz KLMDDRBPCGMLFS-UHFFFAOYSA-N 0 1 273.402 0.941 20 30 CCEDMN N#Cc1ccc([C@@H](C(N)=O)N2CCc3n[nH]nc3C2)cc1 ZINC000429298321 389749147 /nfs/dbraw/zinc/74/91/47/389749147.db2.gz DEXCMNLQPRGZAV-ZDUSSCGKSA-N 0 1 282.307 0.261 20 30 CCEDMN CCOC(=O)[C@H](Cc1ccccn1)NC(=O)C(C)C#N ZINC000430511095 389803423 /nfs/dbraw/zinc/80/34/23/389803423.db2.gz OBRDRAINBBHOJC-JQWIXIFHSA-N 0 1 275.308 0.832 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1C[C@@H](C)NC[C@H]1C ZINC000419139057 389887950 /nfs/dbraw/zinc/88/79/50/389887950.db2.gz RVYBKFXAZPCSEC-UTUOFQBUSA-N 0 1 267.373 0.666 20 30 CCEDMN COC1(CO)CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC000447076802 389946207 /nfs/dbraw/zinc/94/62/07/389946207.db2.gz LAIXSDMGVMCYPF-UHFFFAOYSA-N 0 1 263.341 0.870 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000439141399 390015131 /nfs/dbraw/zinc/01/51/31/390015131.db2.gz SDTICQYSKNHCNV-UHFFFAOYSA-N 0 1 287.327 0.551 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N(C)C[C@H]1CCCN1C ZINC000420768788 390030699 /nfs/dbraw/zinc/03/06/99/390030699.db2.gz OWJMNTVJHBAZNV-LLVKDONJSA-N 0 1 274.390 0.103 20 30 CCEDMN C#CCNC(=O)CCN1CCN(Cc2cscn2)CC1 ZINC000491203236 390110397 /nfs/dbraw/zinc/11/03/97/390110397.db2.gz UIULXVLBUUXUIC-UHFFFAOYSA-N 0 1 292.408 0.400 20 30 CCEDMN C#CCN(C)C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000491306407 390111720 /nfs/dbraw/zinc/11/17/20/390111720.db2.gz MTISONZIRXNGRY-ZDUSSCGKSA-N 0 1 267.373 0.618 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NCCN1CCCOCC1 ZINC000491656499 390115464 /nfs/dbraw/zinc/11/54/64/390115464.db2.gz BNCRCEAXCPGXRF-LBPRGKRZSA-N 0 1 253.346 0.420 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)C(=O)N=c1[nH]n(C(C)C)cc1C#N ZINC000491749209 390116522 /nfs/dbraw/zinc/11/65/22/390116522.db2.gz PSJRSWIDUGTIEJ-JTQLQIEISA-N 0 1 287.323 0.176 20 30 CCEDMN CC[C@@]1(O)CCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000495208576 390225500 /nfs/dbraw/zinc/22/55/00/390225500.db2.gz FSLDCJIHJYRRIZ-CQSZACIVSA-N 0 1 278.356 0.489 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCN2C(=O)OCC[C@@H]2C1 ZINC000494676206 390191734 /nfs/dbraw/zinc/19/17/34/390191734.db2.gz GIOXMJACERWXFW-GFCCVEGCSA-N 0 1 294.355 0.275 20 30 CCEDMN C=CCn1cc(CNC[C@@H]2CNc3ccnn3C2)nn1 ZINC000648044969 390263852 /nfs/dbraw/zinc/26/38/52/390263852.db2.gz LPYKWZJEMBPTBK-LLVKDONJSA-N 0 1 273.344 0.492 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000645539674 390443294 /nfs/dbraw/zinc/44/32/94/390443294.db2.gz FIWDCUIOAFMDSD-SNVBAGLBSA-N 0 1 293.371 0.676 20 30 CCEDMN CC(C)CC(=O)NCCC(=O)NC1(C#N)CCN(C)CC1 ZINC000525575587 390663558 /nfs/dbraw/zinc/66/35/58/390663558.db2.gz JFKIQWDLGLVCFU-UHFFFAOYSA-N 0 1 294.399 0.643 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NC1(c2nn[nH]n2)CC1 ZINC000567677370 390710399 /nfs/dbraw/zinc/71/03/99/390710399.db2.gz SBDOFUMTUVPBMQ-BDAKNGLRSA-N 0 1 263.301 0.286 20 30 CCEDMN C[C@@H]1C[C@@H](NC[C@H](O)CN(C)CCC#N)c2nccn21 ZINC000570711561 390719200 /nfs/dbraw/zinc/71/92/00/390719200.db2.gz WTUMKOAIMMDRJA-FRRDWIJNSA-N 0 1 277.372 0.685 20 30 CCEDMN Cc1cc(=NC(=O)CCc2cnc[nH]2)[nH]n1CCC#N ZINC000527003229 390688482 /nfs/dbraw/zinc/68/84/82/390688482.db2.gz URTCDJAEBLUMOQ-UHFFFAOYSA-N 0 1 272.312 0.822 20 30 CCEDMN C=C[C@H](O)C(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000646621091 390700755 /nfs/dbraw/zinc/70/07/55/390700755.db2.gz QUYSNZOTOKRAHP-UTUOFQBUSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CCC[C@H](O)CN1CC[C@H]2CC[C@@H](C1)S2(=O)=O ZINC000528777763 390777081 /nfs/dbraw/zinc/77/70/81/390777081.db2.gz RCVZPSBOWKWVDG-XQQFMLRXSA-N 0 1 273.398 0.965 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCOC[C@@H]2CCCO2)C1=O ZINC000532213071 390879139 /nfs/dbraw/zinc/87/91/39/390879139.db2.gz YJJLMCXWBPDYOH-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@@H](C)[C@@H](C(=O)[O-])C2)C1=O ZINC000578891024 390906664 /nfs/dbraw/zinc/90/66/64/390906664.db2.gz CVENJQHHZJUARK-WOPDTQHZSA-N 0 1 266.341 0.816 20 30 CCEDMN COCCN1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC000191201603 130201156 /nfs/dbraw/zinc/20/11/56/130201156.db2.gz XZKCIDAGIYKIIL-UHFFFAOYSA-N 0 1 262.357 0.661 20 30 CCEDMN N#Cc1ncn(CC2=Nc3ccccc3S(=O)(=O)N2)n1 ZINC000357230046 144071332 /nfs/dbraw/zinc/07/13/32/144071332.db2.gz YHLZWNLKVATWCM-UHFFFAOYSA-N 0 1 288.292 0.172 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1cccc(C#N)c1)c1nn[nH]n1 ZINC000548138459 286009868 /nfs/dbraw/zinc/00/98/68/286009868.db2.gz BKZNYVCJSABZOZ-SNVBAGLBSA-N 0 1 284.323 0.876 20 30 CCEDMN CC1(C(N)=O)CN(CCOc2cccc(C#N)c2)C1 ZINC000521868632 411825701 /nfs/dbraw/zinc/82/57/01/411825701.db2.gz HNMNCKNCHPCJEG-UHFFFAOYSA-N 0 1 259.309 0.744 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2nncn2C)CC1 ZINC000653819483 412350825 /nfs/dbraw/zinc/35/08/25/412350825.db2.gz WUQXTIBYFHWHIM-LBPRGKRZSA-N 0 1 265.361 0.264 20 30 CCEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)NCC#CCO ZINC000655057300 412469806 /nfs/dbraw/zinc/46/98/06/412469806.db2.gz HJTXUIFTTWSQNY-UHFFFAOYSA-N 0 1 261.203 0.462 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1ccc(C)c(F)c1 ZINC000662163978 414694751 /nfs/dbraw/zinc/69/47/51/414694751.db2.gz QXWAUZKVFWDADV-GFCCVEGCSA-N 0 1 266.316 0.887 20 30 CCEDMN C=CCC1(NC(=O)[C@@H]2CNCCO2)CCOCC1 ZINC000662876131 414862102 /nfs/dbraw/zinc/86/21/02/414862102.db2.gz ZZIISJGGOJAXSZ-NSHDSACASA-N 0 1 254.330 0.216 20 30 CCEDMN C=C(CC)CNC(=O)NCc1n[nH]c(COC)n1 ZINC000665062671 415527594 /nfs/dbraw/zinc/52/75/94/415527594.db2.gz GSDXMPJLTSJOHK-UHFFFAOYSA-N 0 1 253.306 0.717 20 30 CCEDMN C=C(CC)CNC(=O)NCc1nnc(COC)[nH]1 ZINC000665062671 415527597 /nfs/dbraw/zinc/52/75/97/415527597.db2.gz GSDXMPJLTSJOHK-UHFFFAOYSA-N 0 1 253.306 0.717 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000665113737 415541954 /nfs/dbraw/zinc/54/19/54/415541954.db2.gz ZQUKIZHGLVORAR-MRVPVSSYSA-N 0 1 267.333 0.448 20 30 CCEDMN C[C@@H]1CN(C)CCN1CC(=O)NC1(C#N)CCC1 ZINC000347053039 226004926 /nfs/dbraw/zinc/00/49/26/226004926.db2.gz LZUOMRXISVJKJN-LLVKDONJSA-N 0 1 250.346 0.185 20 30 CCEDMN C[C@H]1[C@H](CO)CCN1CC(=O)NC1(C#N)CCC1 ZINC000347120048 226032351 /nfs/dbraw/zinc/03/23/51/226032351.db2.gz JRHFRSNLNQSHIM-QWRGUYRKSA-N 0 1 251.330 0.252 20 30 CCEDMN O=C(NCCn1cncn1)N1CCOC2(CCC2)C1 ZINC000329020071 227261590 /nfs/dbraw/zinc/26/15/90/227261590.db2.gz LQOMBADCLYLKSW-UHFFFAOYSA-N 0 1 265.317 0.447 20 30 CCEDMN C[C@H](Cn1cncn1)NC(=O)NC[C@@]1(O)CCSC1 ZINC000330003164 228013660 /nfs/dbraw/zinc/01/36/60/228013660.db2.gz BMOBCPXMUBHBLI-KOLCDFICSA-N 0 1 285.373 0.038 20 30 CCEDMN Cc1nn(C)c(C)c1[C@H]1OCC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000330276322 228089349 /nfs/dbraw/zinc/08/93/49/228089349.db2.gz MSUBABLRBIVYIR-CABZTGNLSA-N 0 1 290.327 0.990 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@@H]2OCCN(C)[C@H]2C1 ZINC000332672934 228164871 /nfs/dbraw/zinc/16/48/71/228164871.db2.gz IUXAEISMXKWUCO-RDBSUJKOSA-N 0 1 294.399 0.152 20 30 CCEDMN C#CCN(C)C(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000491118285 420309990 /nfs/dbraw/zinc/30/99/90/420309990.db2.gz BSZGBZIHFMXLKJ-UHFFFAOYSA-N 0 1 283.291 0.297 20 30 CCEDMN C[C@H](NC(=O)CNc1ccc(C#N)cn1)c1nnc[nH]1 ZINC000539801355 420414171 /nfs/dbraw/zinc/41/41/71/420414171.db2.gz KXXFHTNFZXEQRB-QMMMGPOBSA-N 0 1 271.284 0.361 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+]C1CCOCC1)CC2 ZINC000328831237 545019771 /nfs/dbraw/zinc/01/97/71/545019771.db2.gz UXKNDTWZCOYFBJ-LLVKDONJSA-N 0 1 279.344 0.584 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NC1CCOCC1)CC2 ZINC000328831237 545019773 /nfs/dbraw/zinc/01/97/73/545019773.db2.gz UXKNDTWZCOYFBJ-LLVKDONJSA-N 0 1 279.344 0.584 20 30 CCEDMN CN(Cc1cscn1)C(=O)NC[C@H]1CN(C)CCN1C ZINC000329427745 545023049 /nfs/dbraw/zinc/02/30/49/545023049.db2.gz HKKHBXWZOXOVQG-LBPRGKRZSA-N 0 1 297.428 0.735 20 30 CCEDMN C[C@H](O)C1CCN(C(=O)NC[C@H]2CN(C)CCN2C)CC1 ZINC000329826018 545026402 /nfs/dbraw/zinc/02/64/02/545026402.db2.gz IISSVPKABPRFPX-JSGCOSHPSA-N 0 1 298.431 0.239 20 30 CCEDMN N#CCCn1ccc(NC(=O)NCCc2nc[nH]n2)n1 ZINC000610782524 420494871 /nfs/dbraw/zinc/49/48/71/420494871.db2.gz NJWOZCVGGODVQF-UHFFFAOYSA-N 0 1 274.288 0.279 20 30 CCEDMN CC(C)(O)CN1CCN(C(=O)NCC2(C#N)CCC2)CC1 ZINC000332476244 260011922 /nfs/dbraw/zinc/01/19/22/260011922.db2.gz OTEPKJCSIBTJCT-UHFFFAOYSA-N 0 1 294.399 0.778 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)CC1(O)CCOCC1 ZINC000162256902 261136212 /nfs/dbraw/zinc/13/62/12/261136212.db2.gz LGTLSKAULGFAIY-UHFFFAOYSA-N 0 1 282.384 0.660 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC([C@H](C)NC(C)=O)CC1 ZINC000491037258 261301603 /nfs/dbraw/zinc/30/16/03/261301603.db2.gz CPTAQDBPSMJUCQ-RYUDHWBXSA-N 0 1 279.384 0.361 20 30 CCEDMN C=CCCCC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000364362773 262218056 /nfs/dbraw/zinc/21/80/56/262218056.db2.gz WBGTXTOPESVPLV-VIFPVBQESA-N 0 1 251.290 0.456 20 30 CCEDMN N#CCC1(CS(=O)(=O)N2CCN3CCC[C@@H]3C2)CC1 ZINC000329640217 262280309 /nfs/dbraw/zinc/28/03/09/262280309.db2.gz ZHOLAONWGXLMDX-GFCCVEGCSA-N 0 1 283.397 0.790 20 30 CCEDMN CCO[C@@H]1COC[C@H]1NCC(=O)N(CC)C[C@@H](C)C#N ZINC000451940796 263010578 /nfs/dbraw/zinc/01/05/78/263010578.db2.gz VQTSVPVRMJXZCZ-YNEHKIRRSA-N 0 1 283.372 0.388 20 30 CCEDMN C[C@H](CN1CCCCC1)NS(=O)(=O)[C@H](C)C#N ZINC000088476949 263054075 /nfs/dbraw/zinc/05/40/75/263054075.db2.gz SKXWFCDXPWQDJO-GHMZBOCLSA-N 0 1 259.375 0.692 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000288067432 263103346 /nfs/dbraw/zinc/10/33/46/263103346.db2.gz GOULFVVUOPNUCT-UHFFFAOYSA-N 0 1 298.306 0.156 20 30 CCEDMN C=CCNC(=O)CN[C@@H](c1cccs1)c1nnc[nH]1 ZINC000340336437 263116407 /nfs/dbraw/zinc/11/64/07/263116407.db2.gz GLUSMUSKVBHERA-NSHDSACASA-N 0 1 277.353 0.847 20 30 CCEDMN CC1(C)C[C@@]1(C#N)NC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000292612738 263133188 /nfs/dbraw/zinc/13/31/88/263133188.db2.gz XNECMHVRIIRTJG-OQPBUACISA-N 0 1 259.313 0.718 20 30 CCEDMN CC1(C)C[C@@]1(C#N)NC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000292612738 263133189 /nfs/dbraw/zinc/13/31/89/263133189.db2.gz XNECMHVRIIRTJG-OQPBUACISA-N 0 1 259.313 0.718 20 30 CCEDMN CO[C@H](C)CN(C)CC(=O)N(CCC#N)CCC#N ZINC000353209622 263180582 /nfs/dbraw/zinc/18/05/82/263180582.db2.gz ZRENVDLAFSQFKF-GFCCVEGCSA-N 0 1 266.345 0.609 20 30 CCEDMN CN1CCN(CCNC(=O)c2cccc(CC#N)c2)CC1 ZINC000298802274 263225973 /nfs/dbraw/zinc/22/59/73/263225973.db2.gz LUOZGRHZJJSMBI-UHFFFAOYSA-N 0 1 286.379 0.730 20 30 CCEDMN C[C@@H](CC#N)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000299566823 263247711 /nfs/dbraw/zinc/24/77/11/263247711.db2.gz AWZAXYOXFVCOKH-QMMMGPOBSA-N 0 1 273.300 0.207 20 30 CCEDMN CS[C@H](CO)[C@H](C)NC(=O)NC[C@@H]1CCC[N@H+]1C ZINC000330797931 264041043 /nfs/dbraw/zinc/04/10/43/264041043.db2.gz BARGNQMJVFPEJW-GARJFASQSA-N 0 1 275.418 0.697 20 30 CCEDMN COC1CCN(C([O-])=[NH+]CCn2cccn2)CC1 ZINC000330805721 264043461 /nfs/dbraw/zinc/04/34/61/264043461.db2.gz JPZONHBWHGRHOM-UHFFFAOYSA-N 0 1 252.318 0.908 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N[C@@H]1CCC[C@@H]1C#N ZINC000330838367 264051784 /nfs/dbraw/zinc/05/17/84/264051784.db2.gz YAIVUNZKJKPYOF-VXGBXAGGSA-N 0 1 273.402 0.940 20 30 CCEDMN Cc1cnn(CCNC(=O)N2CCO[C@@H](C(N)=O)C2)c1 ZINC000330831123 264049778 /nfs/dbraw/zinc/04/97/78/264049778.db2.gz QSEIKCOYUADUJF-SNVBAGLBSA-N 0 1 281.316 0.342 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N[C@@H]1CCC(=O)N(C)C1 ZINC000330864259 264057206 /nfs/dbraw/zinc/05/72/06/264057206.db2.gz WZVBSXIPNJLVGN-SNVBAGLBSA-N 0 1 265.317 0.343 20 30 CCEDMN N#C[C@@H]1CCC[C@@H]1NC[C@@H](O)Cn1cc([N+](=O)[O-])cn1 ZINC000330846634 264067181 /nfs/dbraw/zinc/06/71/81/264067181.db2.gz OWOBHMVOCIVFHK-WCQGTBRESA-N 0 1 279.300 0.434 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N1CC[C@H](CCO)C1 ZINC000330934693 264079905 /nfs/dbraw/zinc/07/99/05/264079905.db2.gz JXGGLLLJYFISLX-ZIAGYGMSSA-N 0 1 298.431 0.241 20 30 CCEDMN C[C@@H](CNC(=O)CC(C)(C)C#N)N1CCN(C)CC1 ZINC000330989388 264104620 /nfs/dbraw/zinc/10/46/20/264104620.db2.gz ZQFOPCFDTNTFPJ-LBPRGKRZSA-N 0 1 266.389 0.678 20 30 CCEDMN CCn1ncnc1CNC(=O)N1CCN(C)C[C@H]1C(C)C ZINC000331062450 264110653 /nfs/dbraw/zinc/11/06/53/264110653.db2.gz OTMBZFWSIJCPPH-LBPRGKRZSA-N 0 1 294.403 0.984 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC([C@H](C)NC(C)=O)CC1 ZINC000331137373 264135561 /nfs/dbraw/zinc/13/55/61/264135561.db2.gz SLBUKXXIVXRFPX-RYUDHWBXSA-N 0 1 281.400 0.914 20 30 CCEDMN N#Cc1cccc(S(=O)(=O)NCCc2nc[nH]n2)c1 ZINC000080624032 264284124 /nfs/dbraw/zinc/28/41/24/264284124.db2.gz RIRWJVAUKHRRNM-UHFFFAOYSA-N 0 1 277.309 0.197 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000281358413 264474557 /nfs/dbraw/zinc/47/45/57/264474557.db2.gz NQQVXPXZTUAZCC-OLZOCXBDSA-N 0 1 293.367 0.617 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(CNC(C)=O)CC2)C1=O ZINC000282134135 264496300 /nfs/dbraw/zinc/49/63/00/264496300.db2.gz YYESFCDSWVTZQL-AWEZNQCLSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000185494851 265029548 /nfs/dbraw/zinc/02/95/48/265029548.db2.gz JHOOEZDWGNPSHV-CQSZACIVSA-N 0 1 265.401 0.932 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C)[C@H](C)[C@H]1C ZINC000357076315 266243639 /nfs/dbraw/zinc/24/36/39/266243639.db2.gz WTIVAXFQLJAEIM-CHWSQXEVSA-N 0 1 266.389 0.773 20 30 CCEDMN CCN(C)CCNS(=O)(=O)CC1(C#N)CCC1 ZINC000357169552 266259299 /nfs/dbraw/zinc/25/92/99/266259299.db2.gz MDRPNWRAIDNDPS-UHFFFAOYSA-N 0 1 259.375 0.551 20 30 CCEDMN N#C[C@@H]1CN(C(=O)Cc2ccn[nH]2)CCN1C1CC1 ZINC000362889877 267057643 /nfs/dbraw/zinc/05/76/43/267057643.db2.gz VZUXAOUJOJFMPA-GFCCVEGCSA-N 0 1 259.313 0.151 20 30 CCEDMN C(#CCN1CCCOCC1)CN1CCCOCC1 ZINC000368497734 267177840 /nfs/dbraw/zinc/17/78/40/267177840.db2.gz AWWJHLLFIFDQHU-UHFFFAOYSA-N 0 1 252.358 0.434 20 30 CCEDMN CCN(CC#N)CC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000368828073 267207995 /nfs/dbraw/zinc/20/79/95/267207995.db2.gz AINZFXBGMCHOGR-CQSZACIVSA-N 0 1 296.415 0.305 20 30 CCEDMN C#CCNC(=O)[C@H](C)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000369033382 267218313 /nfs/dbraw/zinc/21/83/13/267218313.db2.gz SUFJOTIGMUCNBJ-WCQYABFASA-N 0 1 283.335 0.622 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N1CCN[C@@H](C)C1 ZINC000418128434 267357743 /nfs/dbraw/zinc/35/77/43/267357743.db2.gz BMAPZGMJLJIFBT-AVGNSLFASA-N 0 1 267.373 0.971 20 30 CCEDMN CCC[C@@H]1CN(CC(=O)NCC#N)CCN1CCOC ZINC000121756035 267723754 /nfs/dbraw/zinc/72/37/54/267723754.db2.gz YNKMAQZEYQYGRE-CYBMUJFWSA-N 0 1 282.388 0.059 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N1CCCN(C)CC1 ZINC000376756764 268012152 /nfs/dbraw/zinc/01/21/52/268012152.db2.gz DTLNNWRIMWOLGC-NSHDSACASA-N 0 1 274.390 0.103 20 30 CCEDMN N#CCC1(O)CN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000377089874 268046457 /nfs/dbraw/zinc/04/64/57/268046457.db2.gz JBTLWFKEKVRLOY-SECBINFHSA-N 0 1 260.297 0.317 20 30 CCEDMN Cc1nc(CNS(=O)(=O)c2ccc(C#N)cc2F)no1 ZINC000525185217 268076952 /nfs/dbraw/zinc/07/69/52/268076952.db2.gz RJGKNHHEFJXUCH-UHFFFAOYSA-N 0 1 296.283 0.867 20 30 CCEDMN CN1CC[C@H](N2CCC(NC(=O)c3cnc[nH]3)CC2)C1=O ZINC000329153448 277163314 /nfs/dbraw/zinc/16/33/14/277163314.db2.gz BPTBGOOKQBJUKS-LBPRGKRZSA-N 0 1 291.355 0.409 20 30 CCEDMN Cn1cccc(N=C([O-])[C@@H]2C[N@H+](C)CCO2)c1=O ZINC000329876069 290105841 /nfs/dbraw/zinc/10/58/41/290105841.db2.gz HKOHWVVQSXIGGH-JTQLQIEISA-N 0 1 251.286 0.304 20 30 CCEDMN Cn1cccc(N=C([O-])[C@@H]2C[N@@H+](C)CCO2)c1=O ZINC000329876069 290105842 /nfs/dbraw/zinc/10/58/42/290105842.db2.gz HKOHWVVQSXIGGH-JTQLQIEISA-N 0 1 251.286 0.304 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC[C@](CO)(OC)C1 ZINC000401711114 545090101 /nfs/dbraw/zinc/09/01/01/545090101.db2.gz VPXVQTLTZOJHDZ-HNNXBMFYSA-N 0 1 282.384 0.660 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](CN3CCOCC3)C2)nc1N ZINC000583574799 328618184 /nfs/dbraw/zinc/61/81/84/328618184.db2.gz JTAYWANFNGPHSE-GFCCVEGCSA-N 0 1 287.367 0.694 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(C(=O)N(C)C(C)C)CC1 ZINC000030977989 327063998 /nfs/dbraw/zinc/06/39/98/327063998.db2.gz OBTYESAKGGWAEG-CYBMUJFWSA-N 0 1 293.411 0.703 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@H](C2CC2)C1 ZINC000363771626 327238495 /nfs/dbraw/zinc/23/84/95/327238495.db2.gz GWRKKZJDYBMVNQ-ZDUSSCGKSA-N 0 1 265.357 0.859 20 30 CCEDMN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1cccc(C#N)n1 ZINC000574084631 327288601 /nfs/dbraw/zinc/28/86/01/327288601.db2.gz PMASKFJPTZGDDB-MRVPVSSYSA-N 0 1 282.325 0.172 20 30 CCEDMN C=CCCCS(=O)(=O)NCC1(O)CCN(C)CC1 ZINC000489505618 332057157 /nfs/dbraw/zinc/05/71/57/332057157.db2.gz DVUITWDZTNPWKR-UHFFFAOYSA-N 0 1 276.402 0.329 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC000397083581 329325241 /nfs/dbraw/zinc/32/52/41/329325241.db2.gz RMEBGMJVEUPMSN-VHSXEESVSA-N 0 1 283.328 0.183 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(C2CCOCC2)CC1 ZINC000186078973 329337074 /nfs/dbraw/zinc/33/70/74/329337074.db2.gz ZCHCJNPIXLNWGZ-LBPRGKRZSA-N 0 1 265.357 0.514 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[N@H+](C[C@@H](C)O)C[C@H]1C ZINC000189557969 329382925 /nfs/dbraw/zinc/38/29/25/329382925.db2.gz JUDRAVBQWUBKLS-HUUCEWRRSA-N 0 1 295.427 0.574 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN(C[C@@H](C)O)C[C@H]1C ZINC000189557969 329382926 /nfs/dbraw/zinc/38/29/26/329382926.db2.gz JUDRAVBQWUBKLS-HUUCEWRRSA-N 0 1 295.427 0.574 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@H](O)CN1CCCCC1 ZINC000189643256 329385082 /nfs/dbraw/zinc/38/50/82/329385082.db2.gz OWJQTDREYLPZJX-LBPRGKRZSA-N 0 1 276.402 0.329 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000189949387 329390572 /nfs/dbraw/zinc/39/05/72/329390572.db2.gz LAHZOEUMHLGCAW-OLZOCXBDSA-N 0 1 283.416 0.454 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1C[C@@H](C)NC[C@@H]1C ZINC000419158431 329709746 /nfs/dbraw/zinc/70/97/46/329709746.db2.gz XPSBBSPWEQLOMB-MNOVXSKESA-N 0 1 285.413 0.231 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000423362977 330193847 /nfs/dbraw/zinc/19/38/47/330193847.db2.gz UJDVTBWKJNODOL-BETUJISGSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCn1cc(CN[C@H]2CCN(CCOC)C2)nn1 ZINC000424175285 330315339 /nfs/dbraw/zinc/31/53/39/330315339.db2.gz UGLJEKGVCWWERN-LBPRGKRZSA-N 0 1 265.361 0.274 20 30 CCEDMN C=CCCn1cc(C(=O)N2CCNC(C)(C)C2)nn1 ZINC000424196851 330325657 /nfs/dbraw/zinc/32/56/57/330325657.db2.gz WAMVWBQNXHXVSU-UHFFFAOYSA-N 0 1 263.345 0.678 20 30 CCEDMN C=CCn1cc(CN[C@H]2CCC(=O)NC2(C)C)nn1 ZINC000424292084 330334989 /nfs/dbraw/zinc/33/49/89/330334989.db2.gz GBNBMBXSFZUGLI-NSHDSACASA-N 0 1 263.345 0.611 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@@H]2CCN3CCO[C@H]2C3)C1=O ZINC000451135112 331016988 /nfs/dbraw/zinc/01/69/88/331016988.db2.gz BAXBSIQVJOEOCL-RDBSUJKOSA-N 0 1 279.384 0.178 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC(CF)CC1 ZINC000451385899 331026733 /nfs/dbraw/zinc/02/67/33/331026733.db2.gz UJMZOOXAEQHZSR-UHFFFAOYSA-N 0 1 257.309 0.680 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000456219466 331186687 /nfs/dbraw/zinc/18/66/87/331186687.db2.gz BOFOPIPGIISURN-SCRDCRAPSA-N 0 1 270.373 0.227 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC[C@@](O)(CO)C1 ZINC000459646067 331291976 /nfs/dbraw/zinc/29/19/76/331291976.db2.gz HVBFJRJIHFPKMS-HIFRSBDPSA-N 0 1 282.384 0.395 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000488846982 332029341 /nfs/dbraw/zinc/02/93/41/332029341.db2.gz WOPGRZPTNMSWHK-RYUDHWBXSA-N 0 1 251.330 0.327 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCO)CCCC)CC1 ZINC000490841559 332119625 /nfs/dbraw/zinc/11/96/25/332119625.db2.gz VIDAXLDYIZHAPC-UHFFFAOYSA-N 0 1 266.385 0.953 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)C1(C(=O)OC)CC1 ZINC000490947927 332131869 /nfs/dbraw/zinc/13/18/69/332131869.db2.gz BLFYIVOFTNSUFJ-LLVKDONJSA-N 0 1 265.309 0.580 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)C1(C(=O)OC)CC1 ZINC000490947927 332131870 /nfs/dbraw/zinc/13/18/70/332131870.db2.gz BLFYIVOFTNSUFJ-LLVKDONJSA-N 0 1 265.309 0.580 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)c1cccn(C)c1=O ZINC000491070356 332145739 /nfs/dbraw/zinc/14/57/39/332145739.db2.gz BDCCJEMJMHECCO-LBPRGKRZSA-N 0 1 274.320 0.640 20 30 CCEDMN C#CCNC(=O)CCN1CCN(C(=O)N(C)C)CC1(C)C ZINC000491121648 332152671 /nfs/dbraw/zinc/15/26/71/332152671.db2.gz RMNINJFSLSPXEQ-UHFFFAOYSA-N 0 1 294.399 0.204 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000491166656 332160046 /nfs/dbraw/zinc/16/00/46/332160046.db2.gz TZKLBHXXBHUNAV-CQSZACIVSA-N 0 1 283.335 0.623 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NCCCCN1CCOCC1 ZINC000491351376 332195474 /nfs/dbraw/zinc/19/54/74/332195474.db2.gz SXDPMATUXKNZRL-ZDUSSCGKSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCN(C)CCCNC(=O)c1cc(C=O)cn1C ZINC000491374129 332199595 /nfs/dbraw/zinc/19/95/95/332199595.db2.gz PYTAWIRVXXMLHG-UHFFFAOYSA-N 0 1 261.325 0.523 20 30 CCEDMN C#CCNC(=O)CN1CCN(C[C@@H](CC(C)C)OC)CC1 ZINC000491627083 332250627 /nfs/dbraw/zinc/25/06/27/332250627.db2.gz JQDMXWUCXPLJTK-OAHLLOKOSA-N 0 1 295.427 0.415 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)CN(C)[C@@H]1CCSC1 ZINC000491652677 332265579 /nfs/dbraw/zinc/26/55/79/332265579.db2.gz BJTFZFBNGMDQRF-NWDGAFQWSA-N 0 1 268.382 0.284 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1cccc(C(C)=O)c1 ZINC000491680572 332284100 /nfs/dbraw/zinc/28/41/00/332284100.db2.gz IYYVSLKIRBAJIW-KGLIPLIRSA-N 0 1 277.320 0.213 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C1CCC1 ZINC000491680797 332284397 /nfs/dbraw/zinc/28/43/97/332284397.db2.gz KANWLYXQSIJMFF-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000491761904 332332082 /nfs/dbraw/zinc/33/20/82/332332082.db2.gz XYFDWRAATUDHAP-CHWSQXEVSA-N 0 1 281.400 0.885 20 30 CCEDMN C#C[C@H](CCC)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000491773940 332340147 /nfs/dbraw/zinc/34/01/47/332340147.db2.gz WFSOQNYLJJTOIK-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1COC(=O)C1 ZINC000491820032 332369949 /nfs/dbraw/zinc/36/99/49/332369949.db2.gz WMYMBMIQKOKIIT-WDEREUQCSA-N 0 1 251.282 0.190 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](CC(N)=O)C1 ZINC000175201204 333017219 /nfs/dbraw/zinc/01/72/19/333017219.db2.gz LLHIFJNRUNJOAA-LBPRGKRZSA-N 0 1 280.372 0.336 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)C2(C#N)CCCC2)C1 ZINC000225357899 333235686 /nfs/dbraw/zinc/23/56/86/333235686.db2.gz CYMYIWGAXKLGTQ-LBPRGKRZSA-N 0 1 264.373 0.432 20 30 CCEDMN N#CCc1ccc(NC(=O)NC[C@@H]2COCCN2)cc1 ZINC000528667700 333451860 /nfs/dbraw/zinc/45/18/60/333451860.db2.gz KKLFEDBXCDSWOE-CYBMUJFWSA-N 0 1 274.324 0.863 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[NH+](C(C)(C)C(=O)[O-])CC1 ZINC000530140767 333525561 /nfs/dbraw/zinc/52/55/61/333525561.db2.gz KKARYCFGXBJHMP-UHFFFAOYSA-N 0 1 290.385 0.373 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC(C)(C)c1cnn(C)c1 ZINC000546704839 334047185 /nfs/dbraw/zinc/04/71/85/334047185.db2.gz GDGDHKBSXXECHC-JTQLQIEISA-N 0 1 250.346 0.936 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)C1(C#N)CCC1 ZINC000134868507 334106543 /nfs/dbraw/zinc/10/65/43/334106543.db2.gz KMAWUEVUDFELHV-GFCCVEGCSA-N 0 1 264.373 0.432 20 30 CCEDMN N#C[C@@]1(NC(=O)c2cccc3n[nH]cc32)CCOC1 ZINC000548468515 334158323 /nfs/dbraw/zinc/15/83/23/334158323.db2.gz KLNXRKISCRBUGG-ZDUSSCGKSA-N 0 1 256.265 0.975 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C[C@H](C)O)[C@H](C)CO ZINC000248922112 334321837 /nfs/dbraw/zinc/32/18/37/334321837.db2.gz LAOOKPACUPGTQI-OLZOCXBDSA-N 0 1 272.389 0.475 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@](CC)(CO)C1 ZINC000249566713 334336849 /nfs/dbraw/zinc/33/68/49/334336849.db2.gz NDXYHMCROHNNOL-TZMCWYRMSA-N 0 1 252.358 0.609 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H]([C@@H](C)O)C1 ZINC000089933081 334353908 /nfs/dbraw/zinc/35/39/08/334353908.db2.gz NTZSUUZKICGCEX-GHMZBOCLSA-N 0 1 269.345 0.091 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccc(C#N)c(N)n2)[C@@H](C)C1 ZINC000577412967 335350522 /nfs/dbraw/zinc/35/05/22/335350522.db2.gz VNFHEWAIAJYURT-QWRGUYRKSA-N 0 1 275.356 0.427 20 30 CCEDMN N#CCCS(=O)(=O)NC[C@H]1CCCCN1C1CC1 ZINC000331440138 534652922 /nfs/dbraw/zinc/65/29/22/534652922.db2.gz HSSMKSURAZIINB-GFCCVEGCSA-N 0 1 271.386 0.836 20 30 CCEDMN CN1C(=O)CC[C@H](NCc2ccc(C#N)s2)C1=O ZINC000161129571 523689809 /nfs/dbraw/zinc/68/98/09/523689809.db2.gz OWKFOIAGBRIEEW-JTQLQIEISA-N 0 1 263.322 0.857 20 30 CCEDMN COCCN1CCC[C@H]1CNC(=O)c1ccc(C#N)o1 ZINC000339964554 523834924 /nfs/dbraw/zinc/83/49/24/523834924.db2.gz MIFCBEKSVAPEAS-NSHDSACASA-N 0 1 277.324 0.992 20 30 CCEDMN N#Cc1cnc(NCCCN2CCC[C@@H]2C(N)=O)c(F)c1 ZINC000413136258 534826737 /nfs/dbraw/zinc/82/67/37/534826737.db2.gz NUKKDSLYUOFIQO-GFCCVEGCSA-N 0 1 291.330 0.844 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@@H]1CCCN1CCC ZINC000347889357 526562882 /nfs/dbraw/zinc/56/28/82/526562882.db2.gz FFJCMGXIXSQDQV-NSHDSACASA-N 0 1 253.346 0.279 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCN2CCC[C@H]2C(N)=O)o1 ZINC000340047928 526596777 /nfs/dbraw/zinc/59/67/77/526596777.db2.gz DKMAYRVMSJVXBI-NSHDSACASA-N 0 1 290.323 0.221 20 30 CCEDMN N#Cc1ccc([C@@H](NCCc2cnccn2)C(N)=O)cc1 ZINC000340940290 526688406 /nfs/dbraw/zinc/68/84/06/526688406.db2.gz NETFTEOTYXFVSG-CQSZACIVSA-N 0 1 281.319 0.707 20 30 CCEDMN N#Cc1cccc(CCNC(=O)CCc2nc[nH]n2)c1 ZINC000340106476 526700393 /nfs/dbraw/zinc/70/03/93/526700393.db2.gz UUXKTVDTNIBTBF-UHFFFAOYSA-N 0 1 269.308 0.968 20 30 CCEDMN C=CCOCCCNC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000666519869 545124954 /nfs/dbraw/zinc/12/49/54/545124954.db2.gz WUIZTBOTCJABPW-VIFPVBQESA-N 0 1 253.306 0.758 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@@H](C(=O)OC)C1 ZINC000107821063 527612312 /nfs/dbraw/zinc/61/23/12/527612312.db2.gz IDUUDZISQNTJMM-GFCCVEGCSA-N 0 1 266.341 0.682 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N(C)CCC(N)=O ZINC000353193453 527935614 /nfs/dbraw/zinc/93/56/14/527935614.db2.gz NQOLGQWOLGOHPZ-GWCFXTLKSA-N 0 1 268.361 0.237 20 30 CCEDMN C=CCCOCC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000674131641 545300287 /nfs/dbraw/zinc/30/02/87/545300287.db2.gz CUHMJPGBVXHPTP-AWEZNQCLSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(Cc2nnc(C)[nH]2)CC1 ZINC000678890434 545399400 /nfs/dbraw/zinc/39/94/00/545399400.db2.gz GGFORTPOOODZDV-CYBMUJFWSA-N 0 1 279.388 0.558 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000679631155 545412743 /nfs/dbraw/zinc/41/27/43/545412743.db2.gz YKQALWMPRSJHEJ-CQSZACIVSA-N 0 1 297.399 0.553 20 30 CCEDMN C=C(C)CNC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000681784736 545462502 /nfs/dbraw/zinc/46/25/02/545462502.db2.gz USVPDSXILUNFEJ-UHFFFAOYSA-N 0 1 268.405 0.888 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCN([C@H](C#N)C(C)C)CC1 ZINC000789920699 581163308 /nfs/dbraw/zinc/16/33/08/581163308.db2.gz AUNQGCVLIIBDET-LLVKDONJSA-N 0 1 276.344 0.419 20 30 CCEDMN C[NH+](C)[C@@H]1CCCC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)C1 ZINC000788430551 581110807 /nfs/dbraw/zinc/11/08/07/581110807.db2.gz XWRUFOOPISLPAJ-SECBINFHSA-N 0 1 289.343 0.704 20 30 CCEDMN C[N@H+](CC(=O)[O-])C[C@@H](O)COc1ccc(CC#N)cc1 ZINC000737988325 581133598 /nfs/dbraw/zinc/13/35/98/581133598.db2.gz PNZNYVOGGIMNPX-GFCCVEGCSA-N 0 1 278.308 0.509 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCN([C@@H](C#N)C(C)C)CC1 ZINC000789920700 581163251 /nfs/dbraw/zinc/16/32/51/581163251.db2.gz AUNQGCVLIIBDET-NSHDSACASA-N 0 1 276.344 0.419 20 30 CCEDMN CCOc1cnc(C(=O)NC2=NO[C@@H](C)C2)cn1 ZINC000779040409 581173197 /nfs/dbraw/zinc/17/31/97/581173197.db2.gz VSDHIFPPINGDTL-ZETCQYMHSA-N 0 1 250.258 0.727 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cc(C(N)=O)cs2)N1 ZINC000779792026 581205155 /nfs/dbraw/zinc/20/51/55/581205155.db2.gz HTMWGGGIEMVCCI-LURJTMIESA-N 0 1 251.315 0.118 20 30 CCEDMN COCCOc1cccc(C=NNC2=NC[C@@H](C)N2)c1 ZINC000779798699 581206014 /nfs/dbraw/zinc/20/60/14/581206014.db2.gz FDDOBAURMYCANZ-LLVKDONJSA-N 0 1 276.340 0.983 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2ccc([N+](=O)[O-])c([O-])c2)N1 ZINC000779802280 581206869 /nfs/dbraw/zinc/20/68/69/581206869.db2.gz FHMQJYSBRQSOLF-ZETCQYMHSA-N 0 1 263.257 0.572 20 30 CCEDMN COCCOc1ccccc1C=NNC1=NC[C@@H](C)N1 ZINC000779801241 581206941 /nfs/dbraw/zinc/20/69/41/581206941.db2.gz SMSNDHVVTRRVIG-LLVKDONJSA-N 0 1 276.340 0.983 20 30 CCEDMN C#CCCCC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000793876872 581317444 /nfs/dbraw/zinc/31/74/44/581317444.db2.gz ZOMNZMHWZNVTEG-CHWSQXEVSA-N 0 1 252.358 0.703 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)nc(N)n1[C@@H]1C=CCCC1 ZINC000737705705 581327075 /nfs/dbraw/zinc/32/70/75/581327075.db2.gz QEQNIDOSQUZHRY-SSDOTTSWSA-N 0 1 256.273 0.798 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)CN(CC)C(=O)[O-])CC1 ZINC000738457810 581332240 /nfs/dbraw/zinc/33/22/40/581332240.db2.gz XRIGMBZIMSOIRY-UHFFFAOYSA-N 0 1 267.329 0.154 20 30 CCEDMN N#CCn1cc(Nc2ncc([N+](=O)[O-])c(N)n2)cn1 ZINC000728051489 581346287 /nfs/dbraw/zinc/34/62/87/581346287.db2.gz MZHUFFUTYCWUDB-UHFFFAOYSA-N 0 1 260.217 0.431 20 30 CCEDMN CNC(=O)C1(NCC(=O)NC2(C#N)CCC2)CCCC1 ZINC000784339900 581380526 /nfs/dbraw/zinc/38/05/26/581380526.db2.gz NEBOEKMCBAFKJJ-UHFFFAOYSA-N 0 1 278.356 0.197 20 30 CCEDMN N#CCCNC(=O)CN1CCC([C@H]2CCOC2)CC1 ZINC000784343277 581380754 /nfs/dbraw/zinc/38/07/54/581380754.db2.gz DHVYPCYKMMYEFE-ZDUSSCGKSA-N 0 1 265.357 0.765 20 30 CCEDMN N#CC(C(=O)C[C@H]1CCCOC1)C(=O)NC1CC1 ZINC000796636970 581446657 /nfs/dbraw/zinc/44/66/57/581446657.db2.gz RPXWQUHYCOGWIF-MWLCHTKSSA-N 0 1 250.298 0.791 20 30 CCEDMN C[C@@H]1CC(NC(=O)CN(C)[C@H]2CCSC2)=NO1 ZINC000765384087 581510503 /nfs/dbraw/zinc/51/05/03/581510503.db2.gz LGOKTKAIRRDQMC-BDAKNGLRSA-N 0 1 257.359 0.662 20 30 CCEDMN C[C@H]1CC(NC(=O)CN(C)[C@@H]2CCSC2)=NO1 ZINC000765384089 581510707 /nfs/dbraw/zinc/51/07/07/581510707.db2.gz LGOKTKAIRRDQMC-DTWKUNHWSA-N 0 1 257.359 0.662 20 30 CCEDMN CN(C)S(=O)(=O)CC(=O)Nc1cc(C#N)ccc1O ZINC000752678330 581511892 /nfs/dbraw/zinc/51/18/92/581511892.db2.gz FGDGYJMXDNAWKN-UHFFFAOYSA-N 0 1 283.309 0.094 20 30 CCEDMN C#CCN1CCC(C(=O)NCC[S@](=O)CC)CC1 ZINC000733893311 581530813 /nfs/dbraw/zinc/53/08/13/581530813.db2.gz KVCAVHYQKAVBDM-GOSISDBHSA-N 0 1 270.398 0.216 20 30 CCEDMN CC(C)(NC(=O)c1ccc(C#N)cn1)c1c[nH]nn1 ZINC000734411007 581560803 /nfs/dbraw/zinc/56/08/03/581560803.db2.gz QEXGNBAHUBRXQV-UHFFFAOYSA-N 0 1 256.269 0.736 20 30 CCEDMN C(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1cn2cccnc2n1 ZINC000753934255 581565530 /nfs/dbraw/zinc/56/55/30/581565530.db2.gz HDNODDYYNBJPFV-RYUDHWBXSA-N 0 1 283.339 0.923 20 30 CCEDMN Cc1nnc(N=NC2C[C@@H](C)[N@H+](C3CC3)C2)[n-]c1=O ZINC000753956026 581566747 /nfs/dbraw/zinc/56/67/47/581566747.db2.gz TVTFNNFPFPTGJY-SSDOTTSWSA-N 0 1 262.317 0.910 20 30 CCEDMN C[N@H+](CC(=O)[O-])C[C@@H](O)COc1ccc(C#N)cc1 ZINC000739632130 581584459 /nfs/dbraw/zinc/58/44/59/581584459.db2.gz PACNZCRXFXVQRK-LLVKDONJSA-N 0 1 264.281 0.314 20 30 CCEDMN COC(=O)N(C)CCCC(=O)C(C#N)C(=O)NC(C)C ZINC000729887765 581618604 /nfs/dbraw/zinc/61/86/04/581618604.db2.gz CAUWCBWJWJTJSP-JTQLQIEISA-N 0 1 283.328 0.698 20 30 CCEDMN CCOCCOCC(=O)C(C#N)C(=O)NC1CC1 ZINC000729889849 581619437 /nfs/dbraw/zinc/61/94/37/581619437.db2.gz UNPAAXBJRMHBNY-JTQLQIEISA-N 0 1 254.286 0.027 20 30 CCEDMN C#CCOc1ccc(C(=O)NCc2nn[nH]n2)cc1 ZINC000735381206 581641119 /nfs/dbraw/zinc/64/11/19/581641119.db2.gz QWCNXZXKRTWDDK-UHFFFAOYSA-N 0 1 257.253 0.142 20 30 CCEDMN C[C@H]1CC(N=Nc2ccc(C(N)=O)nn2)CN1C1CC1 ZINC000756840352 581680150 /nfs/dbraw/zinc/68/01/50/581680150.db2.gz ZKVJJZXNDHGBCG-QMMMGPOBSA-N 0 1 274.328 0.600 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)CN2CCN(C)CC2)cn1 ZINC000730228744 581684484 /nfs/dbraw/zinc/68/44/84/581684484.db2.gz XIHJCLVSVPOQEB-CYBMUJFWSA-N 0 1 286.379 0.429 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000736078653 581685969 /nfs/dbraw/zinc/68/59/69/581685969.db2.gz DHMXBOXEKSLYKI-BPCQOVAHSA-N 0 1 278.352 0.844 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC1CCC(C(=O)[O-])CC1 ZINC000736078653 581685970 /nfs/dbraw/zinc/68/59/70/581685970.db2.gz DHMXBOXEKSLYKI-BPCQOVAHSA-N 0 1 278.352 0.844 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@H](C(=O)[O-])C1 ZINC000736079445 581687047 /nfs/dbraw/zinc/68/70/47/581687047.db2.gz MSFDKDWXDOQIKF-RYUDHWBXSA-N 0 1 264.325 0.407 20 30 CCEDMN N#Cc1ccncc1N1CCN(C[C@H](O)C2CC2)CC1 ZINC000769887219 581712300 /nfs/dbraw/zinc/71/23/00/581712300.db2.gz KAWFTCUYZXYUQO-HNNXBMFYSA-N 0 1 272.352 0.846 20 30 CCEDMN C=CCOC(=O)C1=C(C)NC(=S)N[C@@H]1c1cn(C)nn1 ZINC000741648076 581758228 /nfs/dbraw/zinc/75/82/28/581758228.db2.gz HAHJLWIHGQKEHM-SNVBAGLBSA-N 0 1 293.352 0.337 20 30 CCEDMN C#CCOCCC(=O)OC[C@H]1CN(C(C)C)CCO1 ZINC000788038799 581797943 /nfs/dbraw/zinc/79/79/43/581797943.db2.gz FBCKRWCXIWGPSC-CYBMUJFWSA-N 0 1 269.341 0.679 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CCO[C@@H](c3nn[nH]n3)C2)cc1 ZINC000732091606 581802769 /nfs/dbraw/zinc/80/27/69/581802769.db2.gz KHAAUZBCZRGORP-GFCCVEGCSA-N 0 1 298.306 0.214 20 30 CCEDMN N#Cc1ccc([C@@H](N[C@@H](CCO)C2CC2)C(N)=O)cc1 ZINC000771800891 581822167 /nfs/dbraw/zinc/82/21/67/581822167.db2.gz GMGOECIKEORGIE-UONOGXRCSA-N 0 1 273.336 0.835 20 30 CCEDMN N#Cc1ccc([C@H](N[C@@H](CCO)C2CC2)C(N)=O)cc1 ZINC000771800889 581822395 /nfs/dbraw/zinc/82/23/95/581822395.db2.gz GMGOECIKEORGIE-KBPBESRZSA-N 0 1 273.336 0.835 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](C(=O)OC)C2CC2)CC1 ZINC000772112479 581843074 /nfs/dbraw/zinc/84/30/74/581843074.db2.gz CBHHCKBBOFTNOI-ZDUSSCGKSA-N 0 1 279.336 0.826 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](C)C(=O)N(C)C)CC1 ZINC000772109947 581843571 /nfs/dbraw/zinc/84/35/71/581843571.db2.gz PBHIXVSMEHONLF-NSHDSACASA-N 0 1 266.341 0.352 20 30 CCEDMN N#Cc1cnc(N2CCC(c3nc[nH]n3)CC2)cn1 ZINC000772111840 581843734 /nfs/dbraw/zinc/84/37/34/581843734.db2.gz XBJFWKCFUWRBOZ-UHFFFAOYSA-N 0 1 255.285 0.850 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@@H](COC)C2=O)CC1 ZINC000761247721 581899076 /nfs/dbraw/zinc/89/90/76/581899076.db2.gz DQMSJKCQCHLOIT-NSHDSACASA-N 0 1 267.329 0.204 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(C3CCOCC3)CC2)nc1 ZINC000747331909 581937064 /nfs/dbraw/zinc/93/70/64/581937064.db2.gz CXHWSPLOMLLBJD-UHFFFAOYSA-N 0 1 299.374 1.000 20 30 CCEDMN Cn1nccc1CCN[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000762542214 581950349 /nfs/dbraw/zinc/95/03/49/581950349.db2.gz AVCMLDPDAPJDNP-CQSZACIVSA-N 0 1 283.335 0.650 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CCN(C)C1=O ZINC000774061153 581956267 /nfs/dbraw/zinc/95/62/67/581956267.db2.gz FWNIUBYLGVFDIQ-RYUDHWBXSA-N 0 1 264.325 0.106 20 30 CCEDMN C#Cc1ccc(C(=O)NCCc2nc(C)n[nH]2)nc1 ZINC000748309083 581968514 /nfs/dbraw/zinc/96/85/14/581968514.db2.gz GUFAKIQXFJNGGS-UHFFFAOYSA-N 0 1 255.281 0.462 20 30 CCEDMN C=CCCC[N@H+](C)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000737527651 581972967 /nfs/dbraw/zinc/97/29/67/581972967.db2.gz MISJEPNMHCQXKI-UHFFFAOYSA-N 0 1 269.345 0.707 20 30 CCEDMN C#CCCN1CCC(NS(=O)(=O)CCOC)CC1 ZINC000748672213 581983894 /nfs/dbraw/zinc/98/38/94/581983894.db2.gz PYDUBDYZWKGZOY-UHFFFAOYSA-N 0 1 274.386 0.040 20 30 CCEDMN COC(=O)C[C@H]1CCCN1CC(=O)NCCC#N ZINC000749466839 582010155 /nfs/dbraw/zinc/01/01/55/582010155.db2.gz XJFSWBIQFAYPLE-SNVBAGLBSA-N 0 1 253.302 0.044 20 30 CCEDMN C#C[C@@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000775341839 582019889 /nfs/dbraw/zinc/01/98/89/582019889.db2.gz SKJGRPGFRRIVNX-APPZFPTMSA-N 0 1 264.241 0.229 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1cn[nH]c1C)[C@@H]1CCCO1 ZINC000776116998 582052307 /nfs/dbraw/zinc/05/23/07/582052307.db2.gz JLWOJYPWICHCRH-NEPJUHHUSA-N 0 1 262.313 0.698 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N1C[C@H](C)N(C(=O)[O-])[C@@H](C)C1 ZINC000738461256 582052990 /nfs/dbraw/zinc/05/29/90/582052990.db2.gz QDXGLBWCUBRTMV-AVGNSLFASA-N 0 1 293.367 0.683 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@H](C)N(C(=O)[O-])[C@@H](C)C1 ZINC000738461256 582052993 /nfs/dbraw/zinc/05/29/93/582052993.db2.gz QDXGLBWCUBRTMV-AVGNSLFASA-N 0 1 293.367 0.683 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](CNC(=O)[O-])C1 ZINC000738496204 582056506 /nfs/dbraw/zinc/05/65/06/582056506.db2.gz UJFXXYRQYAFYKP-ZJUUUORDSA-N 0 1 255.318 0.267 20 30 CCEDMN C[C@@H]1C[C@@H](C(=O)C(C#N)C(=O)NC2CC2)CCO1 ZINC000776515604 582075450 /nfs/dbraw/zinc/07/54/50/582075450.db2.gz IPDWGZBJDDBXNW-YWVKMMECSA-N 0 1 250.298 0.789 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCSC[C@@H]2c2nn[nH]n2)c1 ZINC000776565247 582078378 /nfs/dbraw/zinc/07/83/78/582078378.db2.gz REZMKZTZNMEOCR-SECBINFHSA-N 0 1 289.324 0.330 20 30 CCEDMN CO[C@@H]1CCC[C@H](C(=O)NCC#CCN(C)C)C1 ZINC000913522808 616632922 /nfs/dbraw/zinc/63/29/22/616632922.db2.gz ZKGMYRXLAGDAHN-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@H]1CCCC[N@@H+]1CCO ZINC000831804284 612984363 /nfs/dbraw/zinc/98/43/63/612984363.db2.gz DIMDJNBHOAZPAZ-CYBMUJFWSA-N 0 1 288.413 0.166 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@H]1CCCCN1CCO ZINC000831804284 612984366 /nfs/dbraw/zinc/98/43/66/612984366.db2.gz DIMDJNBHOAZPAZ-CYBMUJFWSA-N 0 1 288.413 0.166 20 30 CCEDMN C#CCOCCN1[C@@H]2C[C@@H](C(=O)OC)O[C@H]2CC[C@H]1C ZINC000852018830 612985113 /nfs/dbraw/zinc/98/51/13/612985113.db2.gz RWTGTKQIMGYMAL-MQYQWHSLSA-N 0 1 281.352 0.820 20 30 CCEDMN C[C@H]1CCCC[N@H+]1CCNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820235003 597635010 /nfs/dbraw/zinc/63/50/10/597635010.db2.gz GJQDNUMWATWMPZ-FZMZJTMJSA-N 0 1 281.356 0.982 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1[C@@H]2CCCC[C@H]2C[C@H]1C(=O)[O-] ZINC000250940783 599826697 /nfs/dbraw/zinc/82/66/97/599826697.db2.gz ZHOZIXBLOBKURZ-AXFHLTTASA-N 0 1 265.313 0.344 20 30 CCEDMN C#CCOc1ccccc1CN1CC[C@@H](O)[C@@H](O)C1 ZINC000877749660 613007596 /nfs/dbraw/zinc/00/75/96/613007596.db2.gz ZZRCOQUBHVSCKI-KGLIPLIRSA-N 0 1 261.321 0.626 20 30 CCEDMN C#CCSCC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896530359 613013050 /nfs/dbraw/zinc/01/30/50/613013050.db2.gz SPKBLYCQNIGSIV-NWDGAFQWSA-N 0 1 269.414 0.103 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)C[N@@H+](CC(=O)[O-])C2CC2)cc1 ZINC000833072088 601465669 /nfs/dbraw/zinc/46/56/69/601465669.db2.gz VOCIDBCJZGURHP-ZDUSSCGKSA-N 0 1 290.319 0.847 20 30 CCEDMN C[C@@]1(C(=O)[O-])CC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000828020582 601914254 /nfs/dbraw/zinc/91/42/54/601914254.db2.gz TVLWNBLFWSINCW-SWLSCSKDSA-N 0 1 287.319 0.881 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC([C@]2(C)COC(=O)N2)CC1 ZINC000932088601 612949613 /nfs/dbraw/zinc/94/96/13/612949613.db2.gz FSSXCNGIFJGHMM-NHYWBVRUSA-N 0 1 293.367 0.335 20 30 CCEDMN C#CC1(NC(=O)NCc2n[nH]c(=O)n2C)CCCC1 ZINC000889565143 612949760 /nfs/dbraw/zinc/94/97/60/612949760.db2.gz AHXJMSHXPGCOQN-UHFFFAOYSA-N 0 1 263.301 0.266 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CCNC(=O)O2)CC1 ZINC000932767625 612949724 /nfs/dbraw/zinc/94/97/24/612949724.db2.gz ZNBFMUQSRNORMA-NSHDSACASA-N 0 1 279.340 0.089 20 30 CCEDMN C[N@H+](CC(=O)NCCC#N)C1(C(=O)[O-])CCCC1 ZINC000311018565 604457864 /nfs/dbraw/zinc/45/78/64/604457864.db2.gz BRVJPABPKQNEAG-UHFFFAOYSA-N 0 1 253.302 0.345 20 30 CCEDMN C[N@@H+](CC(=O)NCCC#N)C1(C(=O)[O-])CCCC1 ZINC000311018565 604457867 /nfs/dbraw/zinc/45/78/67/604457867.db2.gz BRVJPABPKQNEAG-UHFFFAOYSA-N 0 1 253.302 0.345 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[NH2+][C@](C)(COC)C(=O)[O-] ZINC000328487048 604616166 /nfs/dbraw/zinc/61/61/66/604616166.db2.gz MLOLFZJQYUPMOD-CYBMUJFWSA-N 0 1 272.345 0.490 20 30 CCEDMN C#CCNC(=S)Nc1cc(N2CCN(C)CC2)ccn1 ZINC000865253089 612953902 /nfs/dbraw/zinc/95/39/02/612953902.db2.gz FVTHJGGKIWVMNC-UHFFFAOYSA-N 0 1 289.408 0.753 20 30 CCEDMN C[C@H](CC#N)NC(=O)C[NH+]1CCC(NC(=O)[O-])CC1 ZINC000824545419 605676775 /nfs/dbraw/zinc/67/67/75/605676775.db2.gz XOJMKEJRMBTJOO-SECBINFHSA-N 0 1 268.317 0.137 20 30 CCEDMN N#C[C@@H]1CCC[C@@H]1Nc1nccnc1-c1nn[nH]n1 ZINC000822806385 606242655 /nfs/dbraw/zinc/24/26/55/606242655.db2.gz MDDSZKINYZDIBJ-YUMQZZPRSA-N 0 1 256.273 0.761 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1ccc2cncn2c1 ZINC000803355559 613058975 /nfs/dbraw/zinc/05/89/75/613058975.db2.gz ULVTUMXYQVJELP-CYBMUJFWSA-N 0 1 257.293 0.838 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCCc2[nH]c(=O)ccc21 ZINC000912198320 612956665 /nfs/dbraw/zinc/95/66/65/612956665.db2.gz PRLHBXZRZBDEOZ-LBPRGKRZSA-N 0 1 259.309 0.504 20 30 CCEDMN C#CCNCC(=O)N[C@@H](Cc1ccccc1)C(=O)OC ZINC000105965053 612956784 /nfs/dbraw/zinc/95/67/84/612956784.db2.gz UCTNBELQWIOBSC-ZDUSSCGKSA-N 0 1 274.320 0.110 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2CCC(O)CC2)C1 ZINC000971438044 613080426 /nfs/dbraw/zinc/08/04/26/613080426.db2.gz CVYWXGROETYVRY-ROKHWSDSSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)N1CC[C@@H](C)C1 ZINC000922022882 613098067 /nfs/dbraw/zinc/09/80/67/613098067.db2.gz CPOKEHBWOKOFAB-CYBMUJFWSA-N 0 1 299.440 0.602 20 30 CCEDMN COc1cc(N)c(Cl)cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834485550 617198212 /nfs/dbraw/zinc/19/82/12/617198212.db2.gz YKPXQQNGVIHGQH-HQJQHLMTSA-N 0 1 294.742 0.772 20 30 CCEDMN C[C@]1(C#N)CCCN(c2nccnc2-c2nn[nH]n2)C1 ZINC000824777430 609600180 /nfs/dbraw/zinc/60/01/80/609600180.db2.gz IQTVQARFYBOCHR-GFCCVEGCSA-N 0 1 270.300 0.787 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N[C@H](C)CS(=O)(=O)CC ZINC000876664194 613388348 /nfs/dbraw/zinc/38/83/48/613388348.db2.gz PMBYYYQQNIEQHC-RKDXNWHRSA-N 0 1 282.793 0.396 20 30 CCEDMN C#CCC(C)(C)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896550028 612966113 /nfs/dbraw/zinc/96/61/13/612966113.db2.gz WSKJYHQNNFOFIF-STQMWFEESA-N 0 1 265.401 0.786 20 30 CCEDMN COc1ccc(/C=C/C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834493499 617221008 /nfs/dbraw/zinc/22/10/08/617221008.db2.gz DVAWUWVWJZORRF-OWOXYTMYSA-N 0 1 271.320 0.936 20 30 CCEDMN CC(C)[C@@H]([NH2+][C@H](C(=O)[O-])c1cccc(C#N)c1)C(N)=O ZINC000826715802 614129871 /nfs/dbraw/zinc/12/98/71/614129871.db2.gz GOZVEECMNDQQNH-NEPJUHHUSA-N 0 1 275.308 0.783 20 30 CCEDMN C#CCCCCNS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000859061452 612978543 /nfs/dbraw/zinc/97/85/43/612978543.db2.gz HWSBHBQHOOMAEX-UHFFFAOYSA-N 0 1 286.313 0.410 20 30 CCEDMN COc1ccc(C)cc1CC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834511515 617237491 /nfs/dbraw/zinc/23/74/91/617237491.db2.gz LUALRSYNMLGPFC-CHWSQXEVSA-N 0 1 273.336 0.774 20 30 CCEDMN COc1ccc(F)c(OC)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000876801593 617264794 /nfs/dbraw/zinc/26/47/94/617264794.db2.gz GDSNXECJNORTGE-WPRPVWTQSA-N 0 1 293.298 0.684 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(N(C)C(=O)c2ccn[nH]2)CC1 ZINC000953776247 617288144 /nfs/dbraw/zinc/28/81/44/617288144.db2.gz DHYVSQCUGCDESO-JTQLQIEISA-N 0 1 289.339 0.632 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000943353829 617288694 /nfs/dbraw/zinc/28/86/94/617288694.db2.gz ARPKBKCOSZQBQB-VHSXEESVSA-N 0 1 290.327 0.075 20 30 CCEDMN CSc1nccnc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000884249055 617285694 /nfs/dbraw/zinc/28/56/94/617285694.db2.gz CGFNETVGGDUIDR-JGVFFNPUSA-N 0 1 263.326 0.040 20 30 CCEDMN COc1cccc(CC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834512146 617295663 /nfs/dbraw/zinc/29/56/63/617295663.db2.gz SESUJVNBWZNJMK-DGCLKSJQSA-N 0 1 259.309 0.465 20 30 CCEDMN C#CCC1(O)CCN(C/C=C(/C)C(=O)OC)CC1 ZINC000880479926 612973158 /nfs/dbraw/zinc/97/31/58/612973158.db2.gz CNTUVVQUHKXTDN-XGICHPGQSA-N 0 1 251.326 0.956 20 30 CCEDMN C#CCC1(O)CCN(CC(=O)N(C)CC2CC2)CC1 ZINC000880479370 612973275 /nfs/dbraw/zinc/97/32/75/612973275.db2.gz OHZLDBPWLFQXLR-UHFFFAOYSA-N 0 1 264.369 0.705 20 30 CCEDMN C#CCC1(O)CCN(CCN2C(=O)NC(C)(C)C2=O)CC1 ZINC000880478348 612973363 /nfs/dbraw/zinc/97/33/63/612973363.db2.gz BKZVVLFCLFYXQH-UHFFFAOYSA-N 0 1 293.367 0.167 20 30 CCEDMN C#CCCc1cc(=O)n([C@@H]2CCCS(=O)(=O)C2)[nH]1 ZINC000899357779 612974424 /nfs/dbraw/zinc/97/44/24/612974424.db2.gz MUYOHUYBTVAGLT-LLVKDONJSA-N 0 1 268.338 0.565 20 30 CCEDMN C#CCOCCC(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000854568480 612977589 /nfs/dbraw/zinc/97/75/89/612977589.db2.gz AYWGCRCUXKFVCU-CQSZACIVSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCOCCC(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000854333814 612977994 /nfs/dbraw/zinc/97/79/94/612977994.db2.gz VJORZQJWVCJXPI-HNNXBMFYSA-N 0 1 294.395 0.740 20 30 CCEDMN C#CCOCCN1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC000856557715 612984879 /nfs/dbraw/zinc/98/48/79/612984879.db2.gz AAGJCVDRBPZIRD-LSDHHAIUSA-N 0 1 287.363 0.487 20 30 CCEDMN C#CCOCCNCCS(=O)(=O)c1ccc(F)cc1 ZINC000819227766 612989464 /nfs/dbraw/zinc/98/94/64/612989464.db2.gz DYDSFJAVKDJFLA-UHFFFAOYSA-N 0 1 285.340 0.839 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000854568911 612994415 /nfs/dbraw/zinc/99/44/15/612994415.db2.gz GXMINQNWLGGSQI-KGLIPLIRSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCO[C@@H](C)C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000827125853 612997496 /nfs/dbraw/zinc/99/74/96/612997496.db2.gz UEIJWMKRHVQBLK-VIFPVBQESA-N 0 1 273.296 0.787 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NC[C@H]1CCN1C ZINC000923488562 613021056 /nfs/dbraw/zinc/02/10/56/613021056.db2.gz SLLIJEUHXZXIOO-NWDGAFQWSA-N 0 1 253.346 0.418 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1n[nH]nc1-c1ccccc1 ZINC000816669982 613028681 /nfs/dbraw/zinc/02/86/81/613028681.db2.gz ITBUFZFCNDSHMC-NSHDSACASA-N 0 1 270.292 0.586 20 30 CCEDMN C#CCCN1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC000856554419 613046584 /nfs/dbraw/zinc/04/65/84/613046584.db2.gz JHRJFLGDWYVGEB-UONOGXRCSA-N 0 1 257.337 0.860 20 30 CCEDMN C#CCCS(=O)(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000841540225 613054580 /nfs/dbraw/zinc/05/45/80/613054580.db2.gz SAVYRXZLRSAGGT-UHFFFAOYSA-N 0 1 270.358 0.545 20 30 CCEDMN C#CC[C@H](CO)NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000921523469 613055543 /nfs/dbraw/zinc/05/55/43/613055543.db2.gz YFCOLKPKQRQHSH-GHMZBOCLSA-N 0 1 262.313 0.471 20 30 CCEDMN C#CC[C@H](CO)NC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000880062717 613057028 /nfs/dbraw/zinc/05/70/28/613057028.db2.gz BEVDCIBAUYNUPK-BREBYQMCSA-N 0 1 261.325 0.576 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000799084256 613060192 /nfs/dbraw/zinc/06/01/92/613060192.db2.gz XVVBLELIAJXSAZ-QMMMGPOBSA-N 0 1 294.273 0.334 20 30 CCEDMN C#CC[C@H](COC)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000863802129 613061048 /nfs/dbraw/zinc/06/10/48/613061048.db2.gz KOEDBSNYFOAHRD-LLVKDONJSA-N 0 1 275.352 0.986 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000847158144 613062810 /nfs/dbraw/zinc/06/28/10/613062810.db2.gz BCNPBWSJXWQYOT-VHSXEESVSA-N 0 1 262.313 0.115 20 30 CCEDMN C#CCN(C(=O)CN1CCC[C@@H](C(=O)OCC)C1)C1CC1 ZINC000907399189 613065963 /nfs/dbraw/zinc/06/59/63/613065963.db2.gz DFHFYGQHCKBNJL-CYBMUJFWSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN(C(=O)[C@H]1CCC(=O)C1)C1CCN(C)CC1 ZINC000886574587 613069458 /nfs/dbraw/zinc/06/94/58/613069458.db2.gz LQIXREIZQRUYHN-LBPRGKRZSA-N 0 1 262.353 0.912 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CCC[N@@H+]1CCC)C(=O)[O-] ZINC000910048244 613069487 /nfs/dbraw/zinc/06/94/87/613069487.db2.gz HJGAEJHPOUZXFJ-WDEREUQCSA-N 0 1 252.314 0.454 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2ccc(=O)[nH]c2)C1 ZINC000972168953 613080452 /nfs/dbraw/zinc/08/04/52/613080452.db2.gz PXBXOJFUAGUVCS-CQSZACIVSA-N 0 1 287.363 0.886 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC000980504364 613084106 /nfs/dbraw/zinc/08/41/06/613084106.db2.gz SBLYCPWDCRVSQP-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(N(C)C)ccn2)C1 ZINC000980520092 613085732 /nfs/dbraw/zinc/08/57/32/613085732.db2.gz CLKRNVSUMKARRU-CQSZACIVSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnc3nc(C)nn32)C1 ZINC000972719198 613087046 /nfs/dbraw/zinc/08/70/46/613087046.db2.gz UKZGZYDFODWTQG-GFCCVEGCSA-N 0 1 298.350 0.212 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc3c(c2)ncn3C)C1 ZINC000972068890 613087476 /nfs/dbraw/zinc/08/74/76/613087476.db2.gz FZIHOCRUAAQJNY-CYBMUJFWSA-N 0 1 297.362 0.748 20 30 CCEDMN C#C[C@@H](CO)NC(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000854769362 613088360 /nfs/dbraw/zinc/08/83/60/613088360.db2.gz KSGZESMIRXWNCH-GJZGRUSLSA-N 0 1 272.348 0.761 20 30 CCEDMN C#C[C@@H](CO)NS(=O)(=O)c1occc1Br ZINC000916057547 613090688 /nfs/dbraw/zinc/09/06/88/613090688.db2.gz SDNSKVHZIMQMMO-LURJTMIESA-N 0 1 294.126 0.315 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC000972717496 613091706 /nfs/dbraw/zinc/09/17/06/613091706.db2.gz HETZQXCOSONLHI-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC000972146944 613092532 /nfs/dbraw/zinc/09/25/32/613092532.db2.gz GKSLFLGQPXOQCX-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CC[N@@H+](C)[C@H]1CCN(C(=O)[C@H](C)CN2CCOCC2)C1 ZINC000980412763 613092581 /nfs/dbraw/zinc/09/25/81/613092581.db2.gz NQSNNYXYZCBHOT-CABCVRRESA-N 0 1 293.411 0.121 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(CC)nn2)C1 ZINC000972630842 613096255 /nfs/dbraw/zinc/09/62/55/613096255.db2.gz FIAFHDVTHBFPOV-NSHDSACASA-N 0 1 261.329 0.078 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc3nccn3c2)C1 ZINC000971939351 613096341 /nfs/dbraw/zinc/09/63/41/613096341.db2.gz HSBPCZDWWASYIS-ZDUSSCGKSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1cccn1C ZINC000922021996 613098180 /nfs/dbraw/zinc/09/81/80/613098180.db2.gz DLHJVWLUCPYSSP-UHFFFAOYSA-N 0 1 295.408 0.743 20 30 CCEDMN C#CCN(CC(=O)NCc1c[nH]nn1)C(=O)OC(C)(C)C ZINC000829546243 613103321 /nfs/dbraw/zinc/10/33/21/613103321.db2.gz IFGKIAAYRAOELA-UHFFFAOYSA-N 0 1 293.327 0.291 20 30 CCEDMN C#CCN(CC)C[C@H](O)c1ccc(-c2nn[nH]n2)cc1 ZINC000819701254 613106468 /nfs/dbraw/zinc/10/64/68/613106468.db2.gz AMAHTOQDZJRQOP-ZDUSSCGKSA-N 0 1 271.324 0.855 20 30 CCEDMN C#CC[N@@H+](CC)CC(=O)N[C@@H](CC(C)C)C(=O)[O-] ZINC000825614048 613106645 /nfs/dbraw/zinc/10/66/45/613106645.db2.gz BRPXOULHRRPWFT-NSHDSACASA-N 0 1 254.330 0.557 20 30 CCEDMN C#CC[N@H+](CC)CC(=O)N[C@@H](CC(C)C)C(=O)[O-] ZINC000825614048 613106648 /nfs/dbraw/zinc/10/66/48/613106648.db2.gz BRPXOULHRRPWFT-NSHDSACASA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCN1CC([C@@H](C)NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC000969793740 613120220 /nfs/dbraw/zinc/12/02/20/613120220.db2.gz RQKOOQKFDQWARS-SECBINFHSA-N 0 1 284.323 0.036 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000854078591 613120798 /nfs/dbraw/zinc/12/07/98/613120798.db2.gz NZLPERAISKSWNM-FRRDWIJNSA-N 0 1 267.373 0.495 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](NCC#N)C2)CC1 ZINC000970792277 613129119 /nfs/dbraw/zinc/12/91/19/613129119.db2.gz HVERDHFCSRDVMY-CQSZACIVSA-N 0 1 274.368 0.046 20 30 CCEDMN C#C[C@H](NC(=O)C1CN([C@H]2CCOC2)C1)C(C)C ZINC000897653428 613132610 /nfs/dbraw/zinc/13/26/10/613132610.db2.gz RMNCJGDESLEXAQ-STQMWFEESA-N 0 1 250.342 0.481 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCC(=O)NC)CC1 ZINC000928654079 613133041 /nfs/dbraw/zinc/13/30/41/613133041.db2.gz MMFTWUGTIVRQLP-UHFFFAOYSA-N 0 1 265.357 0.117 20 30 CCEDMN C#C[C@H](NC(=O)CCc1cnc[nH]1)C1CCOCC1 ZINC000856102243 613133094 /nfs/dbraw/zinc/13/30/94/613133094.db2.gz AFQYHLHZUCBNRL-ZDUSSCGKSA-N 0 1 261.325 0.887 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC[C@H]2CCOC2)CC1 ZINC000921929056 613133990 /nfs/dbraw/zinc/13/39/90/613133990.db2.gz CRLPTOBDWPBQNR-ZDUSSCGKSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCCn2cncn2)CC1 ZINC000914007932 613133994 /nfs/dbraw/zinc/13/39/94/613133994.db2.gz QOWXJPVBAUKXCW-UHFFFAOYSA-N 0 1 290.371 0.065 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)CCOC)CC1 ZINC000915845355 613134726 /nfs/dbraw/zinc/13/47/26/613134726.db2.gz GSBHWKDIAVVUML-GFCCVEGCSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCN(CC)C2=O)CC1 ZINC000922383836 613134967 /nfs/dbraw/zinc/13/49/67/613134967.db2.gz OCMIZISWHMJMIY-CYBMUJFWSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2C[C@H](C)O[C@@H]2C)CC1 ZINC000923615580 613134996 /nfs/dbraw/zinc/13/49/96/613134996.db2.gz HAQWFFBPPVEORJ-OUCADQQQSA-N 0 1 279.384 0.949 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCn3cncc3C2)CC1 ZINC000928653851 613136118 /nfs/dbraw/zinc/13/61/18/613136118.db2.gz CUNIBDGEVVFKNV-CYBMUJFWSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC000928649853 613136376 /nfs/dbraw/zinc/13/63/76/613136376.db2.gz TZRCCTBJKIQJKB-YPMHNXCESA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](CC(=C)C)NC(C)=O)CC1 ZINC000928648949 613136395 /nfs/dbraw/zinc/13/63/95/613136395.db2.gz VYVUQEZCIOWYAF-HNNXBMFYSA-N 0 1 291.395 0.671 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@]2(C)CCN(C)C2=O)CC1 ZINC000928648258 613136803 /nfs/dbraw/zinc/13/68/03/613136803.db2.gz HYCNMJZTLQFSFM-HNNXBMFYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(F)c[nH]c2=O)CC1 ZINC000928648680 613137326 /nfs/dbraw/zinc/13/73/26/613137326.db2.gz PVSLEKCYTARMBA-UHFFFAOYSA-N 0 1 277.299 0.754 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccc(O)c2O)CC1 ZINC000928652530 613137784 /nfs/dbraw/zinc/13/77/84/613137784.db2.gz BEZJAJTVECSWSI-UHFFFAOYSA-N 0 1 274.320 0.925 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ncccc2N)CC1 ZINC000928660685 613138021 /nfs/dbraw/zinc/13/80/21/613138021.db2.gz USRRVNVPCJZGME-UHFFFAOYSA-N 0 1 258.325 0.491 20 30 CCEDMN C#C[C@H](NC(=O)N1C[C@H]2CCC[C@@H](C1)N2)[C@H]1CCCO1 ZINC000841879197 613138186 /nfs/dbraw/zinc/13/81/86/613138186.db2.gz LRAXFRCGKRZOBJ-RFQIPJPRSA-N 0 1 277.368 0.703 20 30 CCEDMN C#CCN1CCC(Nc2c([N+](=O)[O-])cnn2C)CC1 ZINC000895811004 613138617 /nfs/dbraw/zinc/13/86/17/613138617.db2.gz MJVDFRWCGDSPLD-UHFFFAOYSA-N 0 1 263.301 0.838 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CC[C@@H](O)C1)C(C)C ZINC000875594871 613139440 /nfs/dbraw/zinc/13/94/40/613139440.db2.gz TTZOXRXCNKJAOO-NEPJUHHUSA-N 0 1 253.346 0.010 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@@H]1CCN1C)[C@@H]1CCCO1 ZINC000922047885 613140557 /nfs/dbraw/zinc/14/05/57/613140557.db2.gz PJGRUZLENLNLHH-SRVKXCTJSA-N 0 1 251.330 0.171 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CN3CCCC3=O)C2)C1 ZINC000981613355 613140549 /nfs/dbraw/zinc/14/05/49/613140549.db2.gz NJOVVFDOWIYHMO-UHFFFAOYSA-N 0 1 289.379 0.166 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CCO[C@H]3C)C2)C1 ZINC000982084166 613142093 /nfs/dbraw/zinc/14/20/93/613142093.db2.gz GBKULAKZPCJQDC-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC000968812023 613146367 /nfs/dbraw/zinc/14/63/67/613146367.db2.gz IQULQVQVAIJGEM-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN C#C[C@H](NC(=O)C1=NC(=O)N(C)C1)C1CCOCC1 ZINC000856100838 613149322 /nfs/dbraw/zinc/14/93/22/613149322.db2.gz MZDCAJKXCXOBFA-JTQLQIEISA-N 0 1 263.297 0.284 20 30 CCEDMN C#C[C@H]1COCCN1c1nc(Cl)nc2[nH]cnc21 ZINC000894170047 613163318 /nfs/dbraw/zinc/16/33/18/613163318.db2.gz WPCWAFAEBNJKJZ-ZETCQYMHSA-N 0 1 263.688 0.845 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@@H](c3[nH]ncc3N)C2)cn1 ZINC000907401094 613172946 /nfs/dbraw/zinc/17/29/46/613172946.db2.gz AGFBHMCYBIRSCM-LLVKDONJSA-N 0 1 281.319 0.998 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@H](c3[nH]ncc3N)C2)cn1 ZINC000907401095 613174491 /nfs/dbraw/zinc/17/44/91/613174491.db2.gz AGFBHMCYBIRSCM-NSHDSACASA-N 0 1 281.319 0.998 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCc3nc[nH]c3C2)cn1 ZINC000929081127 613174725 /nfs/dbraw/zinc/17/47/25/613174725.db2.gz PYMGKSTZWSGPSD-UHFFFAOYSA-N 0 1 252.277 0.985 20 30 CCEDMN C#Cc1ccc(NC(=O)CCN2CCNC(=O)CC2)cc1 ZINC000929514433 613194820 /nfs/dbraw/zinc/19/48/20/613194820.db2.gz PRMIREYTFXZAAU-UHFFFAOYSA-N 0 1 285.347 0.818 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)N2CC[C@@H]3CN(C)C[C@@H]32)cc1 ZINC000907197268 613196940 /nfs/dbraw/zinc/19/69/40/613196940.db2.gz MIXTXDFGKBELET-HIFRSBDPSA-N 0 1 290.388 0.993 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N[C@@H]2CCCN(C)C2)c1 ZINC000817842795 613199553 /nfs/dbraw/zinc/19/95/53/613199553.db2.gz FDZLBLSEYOOKEH-OAHLLOKOSA-N 0 1 299.374 0.495 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CC(=O)[O-])C1CC1 ZINC000825619385 613202015 /nfs/dbraw/zinc/20/20/15/613202015.db2.gz LSQUPSXFIAEOHG-NEPJUHHUSA-N 0 1 264.325 0.454 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CC(=O)[O-])C1CC1 ZINC000825619385 613202016 /nfs/dbraw/zinc/20/20/16/613202016.db2.gz LSQUPSXFIAEOHG-NEPJUHHUSA-N 0 1 264.325 0.454 20 30 CCEDMN C/C=C\CNC(=O)C(=NOCC(=O)OCC)c1ccn[nH]1 ZINC000863114111 613202626 /nfs/dbraw/zinc/20/26/26/613202626.db2.gz BFGIJIHJLDWWHO-PSDMBYMJSA-N 0 1 294.311 0.386 20 30 CCEDMN C#CCN1CCN(C(=O)c2occc2CN(C)C)CC1 ZINC000949900235 613209373 /nfs/dbraw/zinc/20/93/73/613209373.db2.gz NQBRPKUCTQLYCV-UHFFFAOYSA-N 0 1 275.352 0.732 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2nccc2C)C1 ZINC000968368957 613214349 /nfs/dbraw/zinc/21/43/49/613214349.db2.gz BLONMNCGBWCJPP-OCCSQVGLSA-N 0 1 274.368 0.651 20 30 CCEDMN C=C(Br)CNCCC(=O)NC(N)=O ZINC000818980038 613238580 /nfs/dbraw/zinc/23/85/80/613238580.db2.gz ZMMQHVARBHBFKH-UHFFFAOYSA-N 0 1 250.096 0.070 20 30 CCEDMN C#Cc1cncc(C(=O)NCc2nnc([C@H]3CCOC3)[nH]2)c1 ZINC000898859886 613218303 /nfs/dbraw/zinc/21/83/03/613218303.db2.gz KENYAEIEIQVUJN-NSHDSACASA-N 0 1 297.318 0.615 20 30 CCEDMN C#Cc1cncc(C(=O)NCc2n[nH]c([C@H]3CCOC3)n2)c1 ZINC000898859886 613218306 /nfs/dbraw/zinc/21/83/06/613218306.db2.gz KENYAEIEIQVUJN-NSHDSACASA-N 0 1 297.318 0.615 20 30 CCEDMN C1CNC(N=NC2CCCN3CCSC[C@H]23)=N1 ZINC000872385779 613221469 /nfs/dbraw/zinc/22/14/69/613221469.db2.gz PSANHNQECGNYFG-SNVBAGLBSA-N 0 1 253.375 0.102 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2ncc[nH]2)C(C)(C)C1 ZINC000941127566 613228307 /nfs/dbraw/zinc/22/83/07/613228307.db2.gz UVUJSUCBYUIEQF-GFCCVEGCSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc3nccn3c2)[C@@H](C)C1 ZINC000942494861 613241383 /nfs/dbraw/zinc/24/13/83/613241383.db2.gz BROLWZPJSANFTQ-GXTWGEPZSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnnn2C)C[C@H]1C ZINC000947266197 613241991 /nfs/dbraw/zinc/24/19/91/613241991.db2.gz QSHWYGQCVPJAEY-GHMZBOCLSA-N 0 1 261.329 0.031 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2coc(C(N)=O)c2)C[C@H]1C ZINC000947563673 613242902 /nfs/dbraw/zinc/24/29/02/613242902.db2.gz JCFQFIFZFYHHLS-ZYHUDNBSSA-N 0 1 289.335 0.594 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)CN2CCCCC2=O)C1 ZINC000967151145 613251705 /nfs/dbraw/zinc/25/17/05/613251705.db2.gz AOVHMVIPYLEMET-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cn2ccc(C)n2)C1 ZINC000968340335 613252623 /nfs/dbraw/zinc/25/26/23/613252623.db2.gz NRFFBYCIYCWQGM-GXTWGEPZSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3nncn3c2)C[C@H]1C ZINC000947810959 613269858 /nfs/dbraw/zinc/26/98/58/613269858.db2.gz TWRWZMCBOZVIPN-OCCSQVGLSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnnc2)C[C@H]1C ZINC000947746098 613273247 /nfs/dbraw/zinc/27/32/47/613273247.db2.gz LGGLZUYGDKZEJI-YPMHNXCESA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(CC)n2)C(C)(C)C1 ZINC000940725825 613275648 /nfs/dbraw/zinc/27/56/48/613275648.db2.gz GLQIYIIWMUMUJM-ZDUSSCGKSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3cccnc23)C[C@@H]1C ZINC000947608224 613275758 /nfs/dbraw/zinc/27/57/58/613275758.db2.gz WGFVBVIWGSYMHL-STQMWFEESA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccccc2)C1 ZINC000957827159 613286868 /nfs/dbraw/zinc/28/68/68/613286868.db2.gz UONSRQJRWAZSDU-UONOGXRCSA-N 0 1 258.321 0.342 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2coc(C)c2)C1 ZINC000958011158 613287625 /nfs/dbraw/zinc/28/76/25/613287625.db2.gz UEBYIPTYRGUILJ-QWHCGFSZSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ncoc2C(C)C)C1 ZINC000958572558 613287675 /nfs/dbraw/zinc/28/76/75/613287675.db2.gz CACRKBSHTBSGMX-NWDGAFQWSA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc3ccccc3[nH]2)[C@H](O)C1 ZINC000958653238 613288799 /nfs/dbraw/zinc/28/87/99/613288799.db2.gz ILDDZPLEQGNJMS-CZUORRHYSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(Cl)cn2)[C@H](O)C1 ZINC000958606644 613288859 /nfs/dbraw/zinc/28/88/59/613288859.db2.gz ROKIZNFFHXRKFV-ZWNOBZJWSA-N 0 1 293.754 0.391 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2CCCCC2)C1 ZINC000957820460 613293987 /nfs/dbraw/zinc/29/39/87/613293987.db2.gz GHUCBZLUEUJFKK-KBPBESRZSA-N 0 1 264.369 0.609 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC000958740978 613294187 /nfs/dbraw/zinc/29/41/87/613294187.db2.gz YDWCGNASLVUKQG-ISFQMUQJSA-N 0 1 288.391 0.321 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)oc2C)C1 ZINC000957873657 613294634 /nfs/dbraw/zinc/29/46/34/613294634.db2.gz KDUDIYXLNZYRRJ-JSGCOSHPSA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(Cl)cn2)C1 ZINC000958606641 613294888 /nfs/dbraw/zinc/29/48/88/613294888.db2.gz ROKIZNFFHXRKFV-GWCFXTLKSA-N 0 1 293.754 0.391 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2occc2CC)C1 ZINC000958375191 613296684 /nfs/dbraw/zinc/29/66/84/613296684.db2.gz RCBTWBYBJJPLMV-STQMWFEESA-N 0 1 276.336 0.498 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974668775 613297134 /nfs/dbraw/zinc/29/71/34/613297134.db2.gz CGSLWPVNVALPFC-OLZOCXBDSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cn(CC)nn2)C(C)(C)C1 ZINC000974858720 613298068 /nfs/dbraw/zinc/29/80/68/613298068.db2.gz YKQCCYHQORTPND-ZDUSSCGKSA-N 0 1 289.383 0.300 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2COCCN2CC)C(C)(C)C1 ZINC000974754768 613298658 /nfs/dbraw/zinc/29/86/58/613298658.db2.gz GRQSCKVQMRADRW-KBPBESRZSA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2CCCCC(=O)N2)C(C)(C)C1 ZINC000975023763 613299081 /nfs/dbraw/zinc/29/90/81/613299081.db2.gz ABEALEQRPQGBOM-OLZOCXBDSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC000958444494 613302121 /nfs/dbraw/zinc/30/21/21/613302121.db2.gz QARMCYLEYHDYRP-YPMHNXCESA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(F)c(C)c2)C1 ZINC000958345570 613302821 /nfs/dbraw/zinc/30/28/21/613302821.db2.gz OXFIERVEWNQUEH-HIFRSBDPSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccn(CC)c2C)C1 ZINC000958345482 613303378 /nfs/dbraw/zinc/30/33/78/613303378.db2.gz NVBCMKZAOZNSKY-HIFRSBDPSA-N 0 1 289.379 0.472 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccoc2CCC)C1 ZINC000958590365 613303439 /nfs/dbraw/zinc/30/34/39/613303439.db2.gz AFYBEESYZQWAEF-OCCSQVGLSA-N 0 1 290.363 0.888 20 30 CCEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cnn(CC)n2)C1 ZINC000969615029 613304051 /nfs/dbraw/zinc/30/40/51/613304051.db2.gz WRRIRHRAEFKTSI-NSHDSACASA-N 0 1 277.372 0.924 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC000981470163 613310936 /nfs/dbraw/zinc/31/09/36/613310936.db2.gz ULDCCIKHVUBKQV-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2ccc(=O)[nH]n2)CC1 ZINC000980658706 613312106 /nfs/dbraw/zinc/31/21/06/613312106.db2.gz HURNHFOBYDLVIJ-UHFFFAOYSA-N 0 1 276.340 0.906 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ncccc1OC ZINC000961605261 613314762 /nfs/dbraw/zinc/31/47/62/613314762.db2.gz VTIXRYARTIGLLE-ATCWAGBWSA-N 0 1 285.347 0.312 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCc2ncncc2C1 ZINC000960231176 613316609 /nfs/dbraw/zinc/31/66/09/613316609.db2.gz AWFXPMDVSRQQIJ-YYWXWVFPSA-N 0 1 296.374 0.261 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1Cc2ccccc2O1 ZINC000960905319 613316987 /nfs/dbraw/zinc/31/69/87/613316987.db2.gz ZMNCVOKDHJZDCZ-CLWVCHIJSA-N 0 1 282.343 0.670 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnc1OC ZINC000961661541 613320263 /nfs/dbraw/zinc/32/02/63/613320263.db2.gz GEEFOLWSIJFRBV-ITGUQSILSA-N 0 1 271.320 0.383 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(OCC)c1 ZINC000961754714 613320512 /nfs/dbraw/zinc/32/05/12/613320512.db2.gz OSZONBWLPNKJQZ-NHAGDIPZSA-N 0 1 285.347 0.774 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1OCC ZINC000963162981 613321908 /nfs/dbraw/zinc/32/19/08/613321908.db2.gz BYJRPONMNJVEFG-IMRBUKKESA-N 0 1 285.347 0.774 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ocnc1C(F)(F)F ZINC000961381107 613322373 /nfs/dbraw/zinc/32/23/73/613322373.db2.gz OTHJUEWTKRLTPW-BRPSZJMVSA-N 0 1 299.252 0.987 20 30 CCEDMN C=C(C)CN1CCO[C@]2(CCN(C(=O)c3ccn[nH]3)C2)C1 ZINC000972274725 613323166 /nfs/dbraw/zinc/32/31/66/613323166.db2.gz JOBVGGHZNSPQOF-OAHLLOKOSA-N 0 1 290.367 0.903 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cnc(C)o2)CC1 ZINC000862231204 613323445 /nfs/dbraw/zinc/32/34/45/613323445.db2.gz FIWIDOVXEMRJRG-UHFFFAOYSA-N 0 1 261.325 0.944 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cccc(C#N)n2)CC1 ZINC000876634449 613323533 /nfs/dbraw/zinc/32/35/33/613323533.db2.gz ROAUGCNDCSRBKQ-UHFFFAOYSA-N 0 1 282.347 0.915 20 30 CCEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cnnn2C)C1 ZINC000965981009 613324691 /nfs/dbraw/zinc/32/46/91/613324691.db2.gz RNDWIMALWDMQNZ-NEPJUHHUSA-N 0 1 277.372 0.831 20 30 CCEDMN C#CCNC(=O)CCNCc1ccc(Cl)cn1 ZINC000819206986 613326613 /nfs/dbraw/zinc/32/66/13/613326613.db2.gz FBFWAALNIPLIGX-UHFFFAOYSA-N 0 1 251.717 0.964 20 30 CCEDMN C=C(C)COCCNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000896995968 613351921 /nfs/dbraw/zinc/35/19/21/613351921.db2.gz VTNHAHSTYNPINE-CYBMUJFWSA-N 0 1 268.357 0.416 20 30 CCEDMN C=C(C)COCC[NH2+]C1CCN(CC(=O)[O-])CC1 ZINC000833369414 613352234 /nfs/dbraw/zinc/35/22/34/613352234.db2.gz WTRFSZCHASJWRB-UHFFFAOYSA-N 0 1 256.346 0.718 20 30 CCEDMN C=C(C)CONC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000845604884 613352888 /nfs/dbraw/zinc/35/28/88/613352888.db2.gz IRORBYPXUNNZIB-LBPRGKRZSA-N 0 1 285.388 0.981 20 30 CCEDMN C=C(C)CONC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000845603795 613352951 /nfs/dbraw/zinc/35/29/51/613352951.db2.gz XOTBWJVOSBXAOE-ZDUSSCGKSA-N 0 1 283.372 0.858 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000882897805 613354458 /nfs/dbraw/zinc/35/44/58/613354458.db2.gz TZGXQQMICFUPSI-NWDGAFQWSA-N 0 1 272.414 0.867 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(=O)OC ZINC000870548369 613355966 /nfs/dbraw/zinc/35/59/66/613355966.db2.gz MPNYBUKDUYJPRK-IWSPIJDZSA-N 0 1 256.258 0.276 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913745434 613360918 /nfs/dbraw/zinc/36/09/18/613360918.db2.gz DPDUUCZGOJJELU-RYUDHWBXSA-N 0 1 291.355 0.887 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NCC#CCN(C)C ZINC000913524131 613362957 /nfs/dbraw/zinc/36/29/57/613362957.db2.gz AOABIZZCBKGNOD-CHWSQXEVSA-N 0 1 250.342 0.649 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC000970246512 613384814 /nfs/dbraw/zinc/38/48/14/613384814.db2.gz JNZLQUPMKXKOCJ-WDEREUQCSA-N 0 1 285.775 0.598 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC000970603027 613385032 /nfs/dbraw/zinc/38/50/32/613385032.db2.gz JVSDRMVFKHQSCC-NEPJUHHUSA-N 0 1 299.802 0.940 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC000969967754 613385383 /nfs/dbraw/zinc/38/53/83/613385383.db2.gz IZNCFCTYNGRXDH-BBBLOLIVSA-N 0 1 271.748 0.051 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccn(C)c(=O)c2)C1 ZINC000969119744 613385952 /nfs/dbraw/zinc/38/59/52/613385952.db2.gz QFVKWAVPPAODEJ-GFCCVEGCSA-N 0 1 295.770 0.942 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@H]1CCCS(=O)(=O)C1 ZINC000876659572 613390474 /nfs/dbraw/zinc/39/04/74/613390474.db2.gz BBDIBVSQBRSDBK-IUCAKERBSA-N 0 1 280.777 0.150 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H](N(C)[C@H]3CCNC3=O)C2)C1 ZINC000972275338 613393845 /nfs/dbraw/zinc/39/38/45/613393845.db2.gz NBRFDEFBHSLDTR-OLZOCXBDSA-N 0 1 291.395 0.764 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H](N(C)[C@H](C)C(N)=O)C2)C1 ZINC000972277112 613394268 /nfs/dbraw/zinc/39/42/68/613394268.db2.gz XFHPFVGDZIOYIB-NEPJUHHUSA-N 0 1 279.384 0.749 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CC[C@@]2(CNC(=O)C2)C1 ZINC000878527889 613399399 /nfs/dbraw/zinc/39/93/99/613399399.db2.gz HXKUZHCWHCYPBD-GXFFZTMASA-N 0 1 252.314 0.316 20 30 CCEDMN C=C1CCN(C(=O)C2CN([C@H]3CCOC3)C2)CC1 ZINC000896181771 613414070 /nfs/dbraw/zinc/41/40/70/613414070.db2.gz OHKJFRICDYYLAJ-ZDUSSCGKSA-N 0 1 250.342 0.886 20 30 CCEDMN C=C1CN(C(=O)c2ccc(NS(C)(=O)=O)nc2)C1 ZINC000898706380 613416897 /nfs/dbraw/zinc/41/68/97/613416897.db2.gz YPVPZMTXACIWQP-UHFFFAOYSA-N 0 1 267.310 0.465 20 30 CCEDMN C=CCn1c(C)nnc1Sc1c(C)[nH][nH]c1=O ZINC000915817163 613423984 /nfs/dbraw/zinc/42/39/84/613423984.db2.gz ZKOOWKFNZHNGEO-QMMMGPOBSA-N 0 1 251.315 0.739 20 30 CCEDMN C=CCn1cc(C(=O)O[C@@H](C)c2n[nH]c(C)n2)nn1 ZINC000830119701 613433558 /nfs/dbraw/zinc/43/35/58/613433558.db2.gz DTEICQATAXLRKG-ZETCQYMHSA-N 0 1 262.273 0.809 20 30 CCEDMN C=CCn1cc(CN[C@H]2CCOC23CCOCC3)nn1 ZINC000926610494 613436140 /nfs/dbraw/zinc/43/61/40/613436140.db2.gz DUDBNMPOKIRAFY-ZDUSSCGKSA-N 0 1 278.356 0.892 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NCc1nc(CSC)n[nH]1 ZINC000889313459 613446367 /nfs/dbraw/zinc/44/63/67/613446367.db2.gz MOMFCOVHLLTVQW-SSDOTTSWSA-N 0 1 271.346 0.973 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NCc1nnc(CSC)[nH]1 ZINC000889313459 613446370 /nfs/dbraw/zinc/44/63/70/613446370.db2.gz MOMFCOVHLLTVQW-SSDOTTSWSA-N 0 1 271.346 0.973 20 30 CCEDMN C=C[C@@H](C)O[N-]C(=O)[C@H]1CC(=O)N(CC[NH+](C)C)C1 ZINC000846543936 613447250 /nfs/dbraw/zinc/44/72/50/613447250.db2.gz DDNGURXRWNFNJN-MNOVXSKESA-N 0 1 269.345 0.019 20 30 CCEDMN C=CC(C)(C)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000891272518 613448302 /nfs/dbraw/zinc/44/83/02/613448302.db2.gz MFZQIZKGSVPZLO-UHFFFAOYSA-N 0 1 274.328 0.870 20 30 CCEDMN C=CCCCC(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000854352799 613464817 /nfs/dbraw/zinc/46/48/17/613464817.db2.gz KPVNDACBHXORTF-CYBMUJFWSA-N 0 1 251.374 0.849 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1[C@H]2CN(CCF)C[C@H]21 ZINC000978709662 613465730 /nfs/dbraw/zinc/46/57/30/613465730.db2.gz BPXPVSFAAKSKOQ-POQQGIQPSA-N 0 1 282.359 0.841 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)[C@H]3CCOC3)CC2)C1 ZINC000941339094 613482237 /nfs/dbraw/zinc/48/22/37/613482237.db2.gz OOBFWPFJNQYTJR-AWEZNQCLSA-N 0 1 293.411 0.427 20 30 CCEDMN C=C[C@H](CO)NCc1c(C)nn(CCC(=O)OC)c1C ZINC000895344352 613492620 /nfs/dbraw/zinc/49/26/20/613492620.db2.gz OGNKAJGZZRBCGW-GFCCVEGCSA-N 0 1 281.356 0.700 20 30 CCEDMN C=CCCN1CCN(C(=O)C(C)(C)N2CCOCC2)CC1 ZINC000951762876 613501983 /nfs/dbraw/zinc/50/19/83/613501983.db2.gz NJKXZYLCHSRILW-UHFFFAOYSA-N 0 1 295.427 0.818 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC000949721831 613505807 /nfs/dbraw/zinc/50/58/07/613505807.db2.gz RVEQWXRXUZOJFL-LBPRGKRZSA-N 0 1 265.357 0.328 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCCN(C(N)=O)C2)CC1 ZINC000949178394 613506298 /nfs/dbraw/zinc/50/62/98/613506298.db2.gz BBRSXXLLMGXSLL-CYBMUJFWSA-N 0 1 294.399 0.497 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CC(=O)N(CCC)C2)CC1 ZINC000950961679 613507465 /nfs/dbraw/zinc/50/74/65/613507465.db2.gz FLIAOALQPUFWHS-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@]2(CC)CCNC2=O)CC1 ZINC000948858380 613509164 /nfs/dbraw/zinc/50/91/64/613509164.db2.gz CNGPADNMHXFQBB-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCCN1CCN(C(=O)c2ccn(C)c(=O)c2)CC1 ZINC000948921497 613511251 /nfs/dbraw/zinc/51/12/51/613511251.db2.gz OHOSSSAVEYTHEG-UHFFFAOYSA-N 0 1 275.352 0.719 20 30 CCEDMN C=CCCN1CCN(C(=O)c2cn(C)c(=O)cn2)CC1 ZINC000949380536 613511333 /nfs/dbraw/zinc/51/13/33/613511333.db2.gz IKBFTQBDSYCLSI-UHFFFAOYSA-N 0 1 276.340 0.114 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cnc3n2CCC3)C1 ZINC000969870665 613534687 /nfs/dbraw/zinc/53/46/87/613534687.db2.gz ZWFRYOXWOIQIGU-GFCCVEGCSA-N 0 1 286.379 0.903 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ncccc2O)C1 ZINC000969546497 613535733 /nfs/dbraw/zinc/53/57/33/613535733.db2.gz JVMOTXCEWLZIGY-LLVKDONJSA-N 0 1 273.336 0.861 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CN(CC)CCO2)C1 ZINC000970905468 613536752 /nfs/dbraw/zinc/53/67/52/613536752.db2.gz VWBCDDWUGXYUPI-DZGCQCFKSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2ncccc2O)C1 ZINC000969546498 613540222 /nfs/dbraw/zinc/54/02/22/613540222.db2.gz JVMOTXCEWLZIGY-NSHDSACASA-N 0 1 273.336 0.861 20 30 CCEDMN CC#CCN1CCCCC[C@H]1CNC(=O)[C@H]1COCCO1 ZINC000968765316 613545837 /nfs/dbraw/zinc/54/58/37/613545837.db2.gz YXFVEIKYPYXDQX-LSDHHAIUSA-N 0 1 294.395 0.786 20 30 CCEDMN C=CCCNC(=O)N1CCN(C)CCN(C)CC1 ZINC000895692073 613568908 /nfs/dbraw/zinc/56/89/08/613568908.db2.gz NPZIRDDHWWATNL-UHFFFAOYSA-N 0 1 254.378 0.451 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000967776055 613574950 /nfs/dbraw/zinc/57/49/50/613574950.db2.gz GFXZQJSJLAWJHZ-QMIVOQANSA-N 0 1 276.380 0.729 20 30 CCEDMN C=CCCOCCNC(=O)[C@]1(C)C[C@@H](O)CN1C ZINC000901212138 613575536 /nfs/dbraw/zinc/57/55/36/613575536.db2.gz AJAUNCHXJCEKKL-YPMHNXCESA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCC[C@@H](O)C[N@@H+](CC(=O)[O-])C1CCOCC1 ZINC000825664888 613585616 /nfs/dbraw/zinc/58/56/16/613585616.db2.gz PKSVKMSWQQWRDH-GFCCVEGCSA-N 0 1 257.330 0.879 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC000968361508 613590287 /nfs/dbraw/zinc/59/02/87/613590287.db2.gz YDUVSLQNAJUXLJ-JSGCOSHPSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)CN2CCOCC2)C1 ZINC000965921999 613593290 /nfs/dbraw/zinc/59/32/90/613593290.db2.gz RYHIPLIKKIRXDH-LSDHHAIUSA-N 0 1 293.411 0.169 20 30 CCEDMN C=CCN(C(=O)C(=O)N(C)Cc1cnc[nH]1)C(C)C ZINC000850612661 613601218 /nfs/dbraw/zinc/60/12/18/613601218.db2.gz AVWUPMJIXQQJGI-UHFFFAOYSA-N 0 1 264.329 0.791 20 30 CCEDMN C=CCN(C(=O)C1CN([C@@H]2CCOC2)C1)C1CC1 ZINC000897303889 613604556 /nfs/dbraw/zinc/60/45/56/613604556.db2.gz ZBDUZVRNWAMKJR-CYBMUJFWSA-N 0 1 250.342 0.884 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC000805851038 613608677 /nfs/dbraw/zinc/60/86/77/613608677.db2.gz KEIFGURNVRXOCA-UHFFFAOYSA-N 0 1 250.302 0.233 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2ccn(C)n2)C(C)(C)C1 ZINC000974588637 613609579 /nfs/dbraw/zinc/60/95/79/613609579.db2.gz CTNFQFBHQWAIOQ-CQSZACIVSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(C)noc2C)C1 ZINC000957864260 613613233 /nfs/dbraw/zinc/61/32/33/613613233.db2.gz PVVAPFBNPSJMRR-QWHCGFSZSA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C)nc2)C1 ZINC000957885631 613613417 /nfs/dbraw/zinc/61/34/17/613613417.db2.gz GCERVDJSGDCCKY-LSDHHAIUSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2C)C1 ZINC000957974678 613613549 /nfs/dbraw/zinc/61/35/49/613613549.db2.gz HODBSLJNPIVYER-UONOGXRCSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)C2CC(C)(C)C2)[C@H](O)C1 ZINC000958048049 613614376 /nfs/dbraw/zinc/61/43/76/613614376.db2.gz YVYAPZVYSKKWQE-ZIAGYGMSSA-N 0 1 278.396 0.855 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ocnc2C)[C@H](O)C1 ZINC000957965670 613616280 /nfs/dbraw/zinc/61/62/80/613616280.db2.gz MHQUWLHBTXAFOV-VXGBXAGGSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccn(C)c2C)C1 ZINC000958377542 613619180 /nfs/dbraw/zinc/61/91/80/613619180.db2.gz BFJYMCQJWIEZQH-ZFWWWQNUSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2csnc2C)C1 ZINC000957982109 613619334 /nfs/dbraw/zinc/61/93/34/613619334.db2.gz ICWKIAZLKANEEV-AAEUAGOBSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2nccs2)C1 ZINC000958520153 613619635 /nfs/dbraw/zinc/61/96/35/613619635.db2.gz UPGZUTUTKAAVED-QWRGUYRKSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cn2cccn2)C(C)(C)C1 ZINC000972813137 613621029 /nfs/dbraw/zinc/62/10/29/613621029.db2.gz HIIUQZRNGDOJIS-ZDUSSCGKSA-N 0 1 274.368 0.733 20 30 CCEDMN CC#CC[N@H+]1C[C@H](NC(=O)c2cnn[n-]2)C(C)(C)C1 ZINC000974780472 613624353 /nfs/dbraw/zinc/62/43/53/613624353.db2.gz BPMLXPWMPOPMRX-NSHDSACASA-N 0 1 261.329 0.268 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cnc(C)o1 ZINC000960264311 613633819 /nfs/dbraw/zinc/63/38/19/613633819.db2.gz HENATOIIVVIATJ-NHAGDIPZSA-N 0 1 273.336 0.595 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1Cc2cccnc2C1 ZINC000962409199 613636269 /nfs/dbraw/zinc/63/62/69/613636269.db2.gz UCTJDADQDYQWNZ-AIANPOQGSA-N 0 1 295.386 0.866 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(C)n1 ZINC000962602193 613641793 /nfs/dbraw/zinc/64/17/93/613641793.db2.gz CRNZEBNVPWHZTR-IMRBUKKESA-N 0 1 270.336 0.468 20 30 CCEDMN C=CCN(CC(=O)N[C@H](C)C(=O)N(C)C)C(C)C ZINC000917069276 613641913 /nfs/dbraw/zinc/64/19/13/613641913.db2.gz MSSXSFVIEPTTHY-LLVKDONJSA-N 0 1 255.362 0.476 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2c1CCC2 ZINC000960215931 613642294 /nfs/dbraw/zinc/64/22/94/613642294.db2.gz VFQYJEIKMAWWLO-NHAGDIPZSA-N 0 1 284.363 0.513 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC(CO)(CO)CC1 ZINC000879966951 613646459 /nfs/dbraw/zinc/64/64/59/613646459.db2.gz FSYGDAFBGLNXGY-UHFFFAOYSA-N 0 1 282.384 0.254 20 30 CCEDMN C=CCN(CCOC)C(=O)NCCCc1nc[nH]n1 ZINC000923138820 613649528 /nfs/dbraw/zinc/64/95/28/613649528.db2.gz FGHDUFLFYRXCEJ-UHFFFAOYSA-N 0 1 267.333 0.581 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000844112738 613650797 /nfs/dbraw/zinc/65/07/97/613650797.db2.gz OCWNTSYQRNQQMV-LLVKDONJSA-N 0 1 275.352 0.722 20 30 CCEDMN C=CC[N@@H+](CN1CC2(CN(C(=O)[O-])C2)CC1=O)C1CC1 ZINC000833788273 613651187 /nfs/dbraw/zinc/65/11/87/613651187.db2.gz STVMUWNYCKINTR-UHFFFAOYSA-N 0 1 279.340 0.807 20 30 CCEDMN C=CC[N@H+](CN1CC2(CN(C(=O)[O-])C2)CC1=O)C1CC1 ZINC000833788273 613651189 /nfs/dbraw/zinc/65/11/89/613651189.db2.gz STVMUWNYCKINTR-UHFFFAOYSA-N 0 1 279.340 0.807 20 30 CCEDMN CC(N=NC1=NCC(=O)[N-]1)[C@@H]1CC[N@H+](Cc2ccccc2)C1 ZINC000863175615 613653917 /nfs/dbraw/zinc/65/39/17/613653917.db2.gz LRVLIRPSLGWFIS-CQSZACIVSA-N 0 1 299.378 0.960 20 30 CCEDMN CC(N=NC1=NCC(=O)[N-]1)[C@@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC000863175615 613653918 /nfs/dbraw/zinc/65/39/18/613653918.db2.gz LRVLIRPSLGWFIS-CQSZACIVSA-N 0 1 299.378 0.960 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC000970735582 613662305 /nfs/dbraw/zinc/66/23/05/613662305.db2.gz SFJWPFMDJZZGDM-OCCSQVGLSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2c(C)cnn2C)C1 ZINC000970297749 613663613 /nfs/dbraw/zinc/66/36/13/613663613.db2.gz JZBSYBIHWZWWQJ-LLVKDONJSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2c[nH]c(=O)cn2)C1 ZINC000970341429 613664290 /nfs/dbraw/zinc/66/42/90/613664290.db2.gz XPSCXRANSBMKGT-SECBINFHSA-N 0 1 262.313 0.006 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2nn(C)cc2C)C1 ZINC000969653010 613668366 /nfs/dbraw/zinc/66/83/66/613668366.db2.gz HNAKGKKVYFQUSI-LLVKDONJSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(C)nn2C)C1 ZINC000969366014 613670717 /nfs/dbraw/zinc/67/07/17/613670717.db2.gz PKZKLSKAJZHOHW-NSHDSACASA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CCCN(C(=O)c2[nH]c(=O)[nH]c2C)CC1 ZINC000981401741 613695668 /nfs/dbraw/zinc/69/56/68/613695668.db2.gz YLRAYVYFNOTRPG-UHFFFAOYSA-N 0 1 264.329 0.758 20 30 CCEDMN C=CCN1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC000948869871 613711552 /nfs/dbraw/zinc/71/15/52/613711552.db2.gz YJLLNUCBEYDMCG-VXGBXAGGSA-N 0 1 260.341 0.843 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC000968084923 613733832 /nfs/dbraw/zinc/73/38/32/613733832.db2.gz ZMEIMEHQPSSVBF-ZYHUDNBSSA-N 0 1 276.340 0.396 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230550 613736238 /nfs/dbraw/zinc/73/62/38/613736238.db2.gz FTRFZWBFUCJQER-GHMZBOCLSA-N 0 1 278.356 0.752 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1cccc(C#N)c1)[C@H](C)C(=O)[O-] ZINC000819781057 613737387 /nfs/dbraw/zinc/73/73/87/613737387.db2.gz QEIRLBWVFCHQBU-LLVKDONJSA-N 0 1 289.335 0.969 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H]2CCN(C(=O)C(C)C)C2)C1=O ZINC000971095911 613738955 /nfs/dbraw/zinc/73/89/55/613738955.db2.gz OMZOPLOWTHFSND-UONOGXRCSA-N 0 1 293.411 0.962 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC3(CNC(=O)O3)CC2)C1=O ZINC000799166537 613739402 /nfs/dbraw/zinc/73/94/02/613739402.db2.gz DZOIGBXWMKGUQC-LLVKDONJSA-N 0 1 279.340 0.348 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@H](NC(C)=O)C(C)(C)C2)C1=O ZINC000972721367 613739873 /nfs/dbraw/zinc/73/98/73/613739873.db2.gz NFUGRRKPHLUMEF-OLZOCXBDSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@@H]2CCN(C(=O)C(C)C)C2)C1=O ZINC000971095910 613777304 /nfs/dbraw/zinc/77/73/04/613777304.db2.gz OMZOPLOWTHFSND-KGLIPLIRSA-N 0 1 293.411 0.962 20 30 CCEDMN C=CCN1CC[C@H](N2CCC3(CC2)OCCO3)C1=O ZINC000799114009 613777714 /nfs/dbraw/zinc/77/77/14/613777714.db2.gz ZNGJQOJPDPSQBF-LBPRGKRZSA-N 0 1 266.341 0.612 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)C(C)(C)C1 ZINC000974958543 613828249 /nfs/dbraw/zinc/82/82/49/613828249.db2.gz SPPWDHGXACFGAH-BLLLJJGKSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C(C)(C)C1 ZINC000975059862 613828927 /nfs/dbraw/zinc/82/89/27/613828927.db2.gz HYWJGFDFBACRSO-LBPRGKRZSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCNC(=O)C[N@H+](C)C1CCC(NC(=O)[O-])CC1 ZINC000823506812 613844940 /nfs/dbraw/zinc/84/49/40/613844940.db2.gz NLTCLIHFFJBYQL-UHFFFAOYSA-N 0 1 269.345 0.799 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000879402316 613846687 /nfs/dbraw/zinc/84/66/87/613846687.db2.gz JYFRHPKPEVPLMP-NOZJJQNGSA-N 0 1 276.340 0.494 20 30 CCEDMN C=CCNC(=O)CNCc1cc(-c2nn[nH]n2)cs1 ZINC000823919867 613846886 /nfs/dbraw/zinc/84/68/86/613846886.db2.gz RYCGZVHVRCQPRM-UHFFFAOYSA-N 0 1 278.341 0.320 20 30 CCEDMN C=CCNC(=O)CNCc1cnc2c(cnn2C)c1 ZINC000865522890 613847261 /nfs/dbraw/zinc/84/72/61/613847261.db2.gz ZJLKJYFSMUBFNL-UHFFFAOYSA-N 0 1 259.313 0.360 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(O)(CC=C)CC1 ZINC000886761622 613851221 /nfs/dbraw/zinc/85/12/21/613851221.db2.gz HXQHVWHUNRNLGG-GFCCVEGCSA-N 0 1 295.383 0.790 20 30 CCEDMN C=CCOC[C@H](NC(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C)C(=O)[O-] ZINC000909772397 613882307 /nfs/dbraw/zinc/88/23/07/613882307.db2.gz YIUANPZSJUJESL-TUAOUCFPSA-N 0 1 284.356 0.631 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N([C@H]2CC[N@H+](C3CC3)C2)C1=O ZINC000924887428 613883071 /nfs/dbraw/zinc/88/30/71/613883071.db2.gz SOTBNQNUJAFYGW-NWDGAFQWSA-N 0 1 279.340 0.346 20 30 CCEDMN C=CCONC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000838709544 613883514 /nfs/dbraw/zinc/88/35/14/613883514.db2.gz BWPUBBJPPSTVNQ-NSHDSACASA-N 0 1 271.361 0.591 20 30 CCEDMN C=CCS(=O)(=O)CC[NH2+]Cc1cc(C(=O)[O-])c(C)o1 ZINC000833378972 613885750 /nfs/dbraw/zinc/88/57/50/613885750.db2.gz ZQMVXUOFXWOFIH-UHFFFAOYSA-N 0 1 287.337 0.977 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)Cc1cscn1 ZINC000853099336 613930678 /nfs/dbraw/zinc/93/06/78/613930678.db2.gz QRSDUMPOFNLEFW-VIFPVBQESA-N 0 1 251.311 0.919 20 30 CCEDMN CC(C)NCc1cn(CC(=O)N[C@@](C)(C#N)C(C)C)nn1 ZINC000905784130 613960542 /nfs/dbraw/zinc/96/05/42/613960542.db2.gz NGRTZXFGGBVPBR-AWEZNQCLSA-N 0 1 292.387 0.831 20 30 CCEDMN CC(C)(C)c1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)no1 ZINC000834490083 614047426 /nfs/dbraw/zinc/04/74/26/614047426.db2.gz RSSGERHCMYOLKI-WPRPVWTQSA-N 0 1 262.313 0.813 20 30 CCEDMN CC(C)Oc1cncc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834479910 614058154 /nfs/dbraw/zinc/05/81/54/614058154.db2.gz JJHUDBRTZBOIQG-AAEUAGOBSA-N 0 1 274.324 0.710 20 30 CCEDMN CC(C)(C)n1cc(C(=O)N[C@H]2CNC[C@H]2C#N)cn1 ZINC000834489208 614060188 /nfs/dbraw/zinc/06/01/88/614060188.db2.gz KSWOZMWZFTZTFJ-KOLCDFICSA-N 0 1 261.329 0.479 20 30 CCEDMN CC(C)CCn1cc(C(=O)N[C@H]2CNC[C@H]2C#N)nn1 ZINC000834490579 614062785 /nfs/dbraw/zinc/06/27/85/614062785.db2.gz VXLDWJAXJUNASE-MNOVXSKESA-N 0 1 276.344 0.166 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CCO[C@](C)(C#N)C1 ZINC000887692780 614089809 /nfs/dbraw/zinc/08/98/09/614089809.db2.gz UBUCBWTYOVSWGR-OAHLLOKOSA-N 0 1 295.383 0.486 20 30 CCEDMN CC(C)(NC(=O)C#Cc1ccc2c(c1)OCO2)c1c[nH]nn1 ZINC000909722080 614163915 /nfs/dbraw/zinc/16/39/15/614163915.db2.gz QJWQSDXSNVMQCV-UHFFFAOYSA-N 0 1 298.302 0.936 20 30 CCEDMN CC(=O)c1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)n(C)c1 ZINC000834499572 614247773 /nfs/dbraw/zinc/24/77/73/614247773.db2.gz JSHQRLROAUFXHU-GHMZBOCLSA-N 0 1 260.297 0.069 20 30 CCEDMN CC(C)[N@H+](CC(=O)[O-])CC(=O)NCc1cccc(C#N)c1 ZINC000820015494 614288042 /nfs/dbraw/zinc/28/80/42/614288042.db2.gz WZQTWDUSOSPURL-UHFFFAOYSA-N 0 1 289.335 0.969 20 30 CCEDMN CC(C)[N@@H+](CC(=O)[O-])CC(=O)NCc1cccc(C#N)c1 ZINC000820015494 614288045 /nfs/dbraw/zinc/28/80/45/614288045.db2.gz WZQTWDUSOSPURL-UHFFFAOYSA-N 0 1 289.335 0.969 20 30 CCEDMN CC(C)C#CC(=O)N1CCC(N2CC[NH+](C)CC2)CC1 ZINC000827435528 614325256 /nfs/dbraw/zinc/32/52/56/614325256.db2.gz MDTOUTCBCNZVIB-UHFFFAOYSA-N 0 1 277.412 0.884 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000827580993 614327101 /nfs/dbraw/zinc/32/71/01/614327101.db2.gz YKVHHEGCPGDJEG-CYBMUJFWSA-N 0 1 251.374 0.398 20 30 CCEDMN CC(C)C(=O)N1CCCC[C@@H]1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834489289 614353897 /nfs/dbraw/zinc/35/38/97/614353897.db2.gz LMNCRQWXNDPBEN-FRRDWIJNSA-N 0 1 292.383 0.251 20 30 CCEDMN CCCc1noc(CCCC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000834489061 614500316 /nfs/dbraw/zinc/50/03/16/614500316.db2.gz IXTRRVUAQBEEPI-QWRGUYRKSA-N 0 1 291.355 0.573 20 30 CCEDMN CCC(=O)N1CCCC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913516750 614535038 /nfs/dbraw/zinc/53/50/38/614535038.db2.gz DMLPBABZFBBCDA-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN CCC(=O)N1CCC[C@H](C(=O)NCC#CCN(C)C)C1 ZINC000913516802 614577934 /nfs/dbraw/zinc/57/79/34/614577934.db2.gz HEMHUVOXRFXILN-ZDUSSCGKSA-N 0 1 279.384 0.316 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@H]1NC(=O)CN1CCCC1 ZINC000941221282 614604539 /nfs/dbraw/zinc/60/45/39/614604539.db2.gz PGALKQNGJDGGFK-CYBMUJFWSA-N 0 1 278.400 0.822 20 30 CCEDMN CC1=C(C(=O)NCC#CCN(C)C)C(=O)OC1(C)C ZINC000913517716 614947650 /nfs/dbraw/zinc/94/76/50/614947650.db2.gz MZWYHAABXLQTQL-UHFFFAOYSA-N 0 1 264.325 0.320 20 30 CCEDMN CC1=C(C(=O)NCC#CCN(C)C)SCCO1 ZINC000913522010 614947954 /nfs/dbraw/zinc/94/79/54/614947954.db2.gz WLYVJJURMXXVID-UHFFFAOYSA-N 0 1 254.355 0.663 20 30 CCEDMN CCN(C[C@H](C)C#N)CC1CN(S(C)(=O)=O)C1 ZINC000929428183 615020702 /nfs/dbraw/zinc/02/07/02/615020702.db2.gz CHRNSAHZNKAPMG-SNVBAGLBSA-N 0 1 259.375 0.359 20 30 CCEDMN CCN(CCC(=O)NC)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000933869008 615031001 /nfs/dbraw/zinc/03/10/01/615031001.db2.gz JHWAFWIAHJFWAO-AWEZNQCLSA-N 0 1 282.388 0.499 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000829642397 615064777 /nfs/dbraw/zinc/06/47/77/615064777.db2.gz LZGYHXQXVZODMF-UTUOFQBUSA-N 0 1 281.356 0.647 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC000979076900 615111410 /nfs/dbraw/zinc/11/14/10/615111410.db2.gz JLBMCDPACQJNCI-APIJFGDWSA-N 0 1 290.411 0.678 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)C1(F)CC1 ZINC000842681084 615245420 /nfs/dbraw/zinc/24/54/20/615245420.db2.gz HNPPRLWRTAGLNR-SECBINFHSA-N 0 1 256.277 0.740 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@H]1CC1(F)F ZINC000835057965 615245517 /nfs/dbraw/zinc/24/55/17/615245517.db2.gz HVDTXTJUEDPEHV-RKDXNWHRSA-N 0 1 274.267 0.893 20 30 CCEDMN CC[C@@H](C#N)Sc1nc(O)cc(=O)n1CCOC ZINC000901654482 615367084 /nfs/dbraw/zinc/36/70/84/615367084.db2.gz PZTYOTXKRYFREA-QMMMGPOBSA-N 0 1 269.326 0.990 20 30 CCEDMN CC[N@H+]1C[C@H](C)N(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@H]1C ZINC000820348272 615393866 /nfs/dbraw/zinc/39/38/66/615393866.db2.gz OPDZYOLAZZOBMI-SUNKGSAMSA-N 0 1 281.356 0.932 20 30 CCEDMN CCO[C@@H](CNC(=O)c1ccc(C#N)c([O-])c1)C[NH+](C)C ZINC000831724692 615409048 /nfs/dbraw/zinc/40/90/48/615409048.db2.gz QZDYLQYRYMNIEF-ZDUSSCGKSA-N 0 1 291.351 0.960 20 30 CCEDMN CCO[C@H](C(=O)N[C@H]1CNC[C@H]1C#N)C1CCCC1 ZINC000876802998 615474097 /nfs/dbraw/zinc/47/40/97/615474097.db2.gz UAABHKUOSYHGHR-AGIUHOORSA-N 0 1 265.357 0.809 20 30 CCEDMN CC[C@@H]1C[C@H](C(=O)N[C@@H]2CNC[C@H]2C#N)CCO1 ZINC000834499885 615571165 /nfs/dbraw/zinc/57/11/65/615571165.db2.gz NTLDWNSFCPHGDV-DDHJBXDOSA-N 0 1 251.330 0.419 20 30 CCEDMN CC[C@@H]1C[C@H](C(=O)N[C@@H]2CNC[C@@H]2C#N)CCO1 ZINC000834499888 615571248 /nfs/dbraw/zinc/57/12/48/615571248.db2.gz NTLDWNSFCPHGDV-WRWGMCAJSA-N 0 1 251.330 0.419 20 30 CCEDMN CCn1ncc(C(=O)[C@@H](C#N)C(=O)Nc2ccccn2)n1 ZINC000815915578 615694591 /nfs/dbraw/zinc/69/45/91/615694591.db2.gz SOIUFUKIZSZLMJ-SECBINFHSA-N 0 1 284.279 0.654 20 30 CCEDMN CCn1ncc(C(=O)C(C#N)C(=O)Nc2ccccn2)n1 ZINC000815915578 615694593 /nfs/dbraw/zinc/69/45/93/615694593.db2.gz SOIUFUKIZSZLMJ-SECBINFHSA-N 0 1 284.279 0.654 20 30 CCEDMN CCn1ncc(C)c1NC(=O)NCC#CCN(C)C ZINC000931938033 615694875 /nfs/dbraw/zinc/69/48/75/615694875.db2.gz MYVRBFHRDCYZAU-UHFFFAOYSA-N 0 1 263.345 0.898 20 30 CCEDMN CCn1nnc(C)c1CN[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000967945877 615744643 /nfs/dbraw/zinc/74/46/43/615744643.db2.gz YEABVZRGGBNCQX-ZYHUDNBSSA-N 0 1 290.371 0.457 20 30 CCEDMN CCn1nncc1CN[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000968626766 615757481 /nfs/dbraw/zinc/75/74/81/615757481.db2.gz BANADGHFJHHNQX-CYBMUJFWSA-N 0 1 287.367 0.402 20 30 CCEDMN CCc1nc[nH]c1C(=O)NC1(C#N)CCN(C)CC1 ZINC000906605326 615765041 /nfs/dbraw/zinc/76/50/41/615765041.db2.gz SQPOWHDHORJIGV-UHFFFAOYSA-N 0 1 261.329 0.690 20 30 CCEDMN CCc1ncsc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834483841 615791488 /nfs/dbraw/zinc/79/14/88/615791488.db2.gz AGZHTAMZUOXRKR-CBAPKCEASA-N 0 1 250.327 0.547 20 30 CCEDMN CN(C)CC#CCNC(=O)CCOc1ccc(C=O)cc1 ZINC000913518563 615857669 /nfs/dbraw/zinc/85/76/69/615857669.db2.gz UYYUGRHHKONLAZ-UHFFFAOYSA-N 0 1 288.347 0.949 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(=O)[nH]c2c1CCCC2 ZINC000827972303 615860557 /nfs/dbraw/zinc/86/05/57/615860557.db2.gz YQASITLINVBMOT-UHFFFAOYSA-N 0 1 287.363 0.961 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(Cl)n(C)n1 ZINC000913522980 615860742 /nfs/dbraw/zinc/86/07/42/615860742.db2.gz IAYYIRXBRPTLFX-UHFFFAOYSA-N 0 1 254.721 0.368 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(N)ncnc2c1 ZINC000913523140 615860899 /nfs/dbraw/zinc/86/08/99/615860899.db2.gz NFWYPYONRQHNKV-UHFFFAOYSA-N 0 1 283.335 0.507 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnn1CC(F)F ZINC000913521721 615861877 /nfs/dbraw/zinc/86/18/77/615861877.db2.gz KPPHCLQMKIDKEZ-UHFFFAOYSA-N 0 1 270.283 0.443 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C)nc1-c1ccoc1 ZINC000913521504 615861895 /nfs/dbraw/zinc/86/18/95/615861895.db2.gz BQLUIGCVNKMRCL-UHFFFAOYSA-N 0 1 286.335 0.975 20 30 CCEDMN CN(C)C(=O)CN1CCC(Nc2ccnc(C#N)c2)CC1 ZINC000911899568 615960961 /nfs/dbraw/zinc/96/09/61/615960961.db2.gz JBXPYWNSUUUYDW-UHFFFAOYSA-N 0 1 287.367 0.340 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN1CCC(CO)(CO)C1 ZINC000877632516 616011632 /nfs/dbraw/zinc/01/16/32/616011632.db2.gz CJJWABTUOILGGH-UHFFFAOYSA-N 0 1 289.379 0.801 20 30 CCEDMN CN(C)c1ccc(C(N)=NOCc2cnnn2C)cc1 ZINC000809994855 616013375 /nfs/dbraw/zinc/01/33/75/616013375.db2.gz HIMDKVYXPHEPJG-UHFFFAOYSA-N 0 1 274.328 0.718 20 30 CCEDMN CN(C)c1ncc(C=[NH+][N-]c2nc3ccccn3n2)n1C ZINC000811649803 616035624 /nfs/dbraw/zinc/03/56/24/616035624.db2.gz YAIFDHXZKYIZIZ-UHFFFAOYSA-N 0 1 284.327 0.975 20 30 CCEDMN CN(C)c1[nH+]cc(CNC(=O)[C@@](C)(C#N)CC(=O)[O-])n1C ZINC000820554194 616036193 /nfs/dbraw/zinc/03/61/93/616036193.db2.gz IIPTXLILQCGGOC-CYBMUJFWSA-N 0 1 293.327 0.107 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1nc2c(s1)CCC2 ZINC000905520849 616067661 /nfs/dbraw/zinc/06/76/61/616067661.db2.gz MHXWPMNYTMTEEX-NSHDSACASA-N 0 1 294.424 0.928 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CC[C@@H](NCC#N)C1 ZINC000969098876 616179615 /nfs/dbraw/zinc/17/96/15/616179615.db2.gz IMDNFEHWABJIEL-VXGBXAGGSA-N 0 1 250.346 0.185 20 30 CCEDMN CN1CCN(C)CCN(C(=O)NCC2(C#N)CC2)CC1 ZINC000894569196 616235482 /nfs/dbraw/zinc/23/54/82/616235482.db2.gz TVTNVRXJQJAJCZ-UHFFFAOYSA-N 0 1 279.388 0.179 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)COc1cccc(C#N)c1 ZINC000928565416 616287958 /nfs/dbraw/zinc/28/79/58/616287958.db2.gz LFGRAOXBZCFVDM-GFCCVEGCSA-N 0 1 259.309 0.757 20 30 CCEDMN CN1C[C@@H](O)C[C@H]1CNC(=O)c1ccsc1C#N ZINC000924988964 616334181 /nfs/dbraw/zinc/33/41/81/616334181.db2.gz JULJTPBMNUOTII-IUCAKERBSA-N 0 1 265.338 0.415 20 30 CCEDMN COCCN1CCN(C(=O)C=NOC)C(C)(C)C1 ZINC000846564511 616358100 /nfs/dbraw/zinc/35/81/00/616358100.db2.gz YGMIIPOXHWPSLG-UHFFFAOYSA-N 0 1 257.334 0.188 20 30 CCEDMN CN1C[C@H](O)C[C@@H]1CNC(=O)C#Cc1ccccc1 ZINC000924991608 616450379 /nfs/dbraw/zinc/45/03/79/616450379.db2.gz YHPCDPMCMHYSQC-ZIAGYGMSSA-N 0 1 258.321 0.219 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)CC(C)(C)C#N)[C@H]2C1 ZINC000915834429 616507490 /nfs/dbraw/zinc/50/74/90/616507490.db2.gz JJGUXUNTBKBEGX-MNOVXSKESA-N 0 1 271.386 0.502 20 30 CCEDMN COC1CCN(CCNC(=O)[C@]2(C#N)CCCOC2)CC1 ZINC000866416440 616523419 /nfs/dbraw/zinc/52/34/19/616523419.db2.gz WDRYWYOVVHMDGI-HNNXBMFYSA-N 0 1 295.383 0.534 20 30 CCEDMN COC(=O)CCN(CC#N)[C@H](C)c1n[nH]c(C)n1 ZINC000879471748 616549038 /nfs/dbraw/zinc/54/90/38/616549038.db2.gz DOCZQRYCWQTVTD-MRVPVSSYSA-N 0 1 251.290 0.563 20 30 CCEDMN COCCOCCOCCN1CC[C@](O)(CC#N)C1 ZINC000930818546 616552742 /nfs/dbraw/zinc/55/27/42/616552742.db2.gz ITYSHFUOPPVFLG-CYBMUJFWSA-N 0 1 272.345 0.016 20 30 CCEDMN COC(=O)CCN1CCN(CCO[C@@H](C)C#N)[C@@H](C)C1 ZINC000801939783 616555220 /nfs/dbraw/zinc/55/52/20/616555220.db2.gz SJGAYJTUZPMBER-STQMWFEESA-N 0 1 283.372 0.484 20 30 CCEDMN COC[C@H](CO)NCc1cc(C#N)ccc1N(C)C ZINC000883107619 616673002 /nfs/dbraw/zinc/67/30/02/616673002.db2.gz FDYHSOWXBGZNHA-ZDUSSCGKSA-N 0 1 263.341 0.721 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1N[C@@H](C)C(=O)N(C)CCC#N ZINC000934042594 616675560 /nfs/dbraw/zinc/67/55/60/616675560.db2.gz WTWOMHYTWBZAIT-QJPTWQEYSA-N 0 1 269.345 0.140 20 30 CCEDMN COCC(C)(C)CN1CCN(C(=O)CC#N)CC1 ZINC000895065670 616717804 /nfs/dbraw/zinc/71/78/04/616717804.db2.gz GPNFMQXIAJTRMV-UHFFFAOYSA-N 0 1 253.346 0.717 20 30 CCEDMN COC[C@H](O)CN1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585492 616735069 /nfs/dbraw/zinc/73/50/69/616735069.db2.gz GNPPKRWXKRHQAU-OAHLLOKOSA-N 0 1 275.352 0.688 20 30 CCEDMN COC(=O)N(C)CCNCc1cnc2c(C#N)cnn2c1 ZINC000827371113 616794166 /nfs/dbraw/zinc/79/41/66/616794166.db2.gz PAHUGTZSSWIZRE-UHFFFAOYSA-N 0 1 288.311 0.389 20 30 CCEDMN CO[C@@H]1COCC[C@@H]1N(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000880424763 616797189 /nfs/dbraw/zinc/79/71/89/616797189.db2.gz LHBZGYCGOWDXBD-GUTXKFCHSA-N 0 1 295.383 0.531 20 30 CCEDMN COCCN(CCC#N)C(=O)c1c[nH]cc2ncnc1-2 ZINC000896632728 616992834 /nfs/dbraw/zinc/99/28/34/616992834.db2.gz ABLYOIFLKPJFKL-UHFFFAOYSA-N 0 1 273.296 0.960 20 30 CCEDMN CON(C)C(=O)[C@H](C)NC[C@H](O)c1ccc(C#N)cc1 ZINC000863587048 617069213 /nfs/dbraw/zinc/06/92/13/617069213.db2.gz IGLVDASUHTZDBA-GWCFXTLKSA-N 0 1 277.324 0.590 20 30 CCEDMN CO[C@H]1CC[C@@H](N2CCN(C(=O)CC#N)CC2)C1 ZINC000852125500 617102889 /nfs/dbraw/zinc/10/28/89/617102889.db2.gz KJIGCTAOVLROKJ-NEPJUHHUSA-N 0 1 251.330 0.612 20 30 CCEDMN CON=CC(=O)N[C@H](Cc1nc[nH]n1)c1ccccc1 ZINC000809780002 617106083 /nfs/dbraw/zinc/10/60/83/617106083.db2.gz HRWWCWLMXDVXKK-LLVKDONJSA-N 0 1 273.296 0.837 20 30 CCEDMN CON=CC(=O)Nc1nc2cccc(C(=O)OC)c2[nH]1 ZINC000809730025 617106453 /nfs/dbraw/zinc/10/64/53/617106453.db2.gz XCEDSKZUPVYYNS-UHFFFAOYSA-N 0 1 276.252 0.920 20 30 CCEDMN C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCC[C@@H](C(N)=O)C1 ZINC001026084060 625331076 /nfs/dbraw/zinc/33/10/76/625331076.db2.gz PLZAGPXECQYDKA-BJJPWKGXSA-N 0 1 291.395 0.511 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCC[C@@H]1OC ZINC001026152205 625343330 /nfs/dbraw/zinc/34/33/30/625343330.db2.gz BYTHXZGDQDODBK-MRLBHPIUSA-N 0 1 276.380 0.871 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@@H]3CC[C@@H](NCC#N)[C@H]3C2)[nH]1 ZINC001026219302 625360296 /nfs/dbraw/zinc/36/02/96/625360296.db2.gz LPGQZZNYNAYNHU-SDDRHHMPSA-N 0 1 273.340 0.682 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NCC[N@H+](C)[C@@H](C)CC ZINC000220278312 625485384 /nfs/dbraw/zinc/48/53/84/625485384.db2.gz MCHKXFZRWBDOOV-NSHDSACASA-N 0 1 271.361 0.999 20 30 CCEDMN CCn1ncc(CNC[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001026732322 625573729 /nfs/dbraw/zinc/57/37/29/625573729.db2.gz IDELTXHLLQCSPA-NEPJUHHUSA-N 0 1 290.371 0.396 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001024494459 623337114 /nfs/dbraw/zinc/33/71/14/623337114.db2.gz OMFGQEQKEVFYGP-ZIAGYGMSSA-N 0 1 291.395 0.649 20 30 CCEDMN CCN1CCO[C@H](C(=O)Nc2cc(C#N)ccc2O)C1 ZINC000179735263 623346278 /nfs/dbraw/zinc/34/62/78/623346278.db2.gz ORNMIHBNWQPVTC-ZDUSSCGKSA-N 0 1 275.308 0.923 20 30 CCEDMN CN1CC(C(=O)NC[C@H]2CCCCN2CC#N)=NC1=O ZINC001024632251 623404538 /nfs/dbraw/zinc/40/45/38/623404538.db2.gz VJYBUYFVKMFOAE-SNVBAGLBSA-N 0 1 277.328 0.234 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001024652860 623409287 /nfs/dbraw/zinc/40/92/87/623409287.db2.gz XAZZOUKSWYXBJI-HZSPNIEDSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001007451210 623642526 /nfs/dbraw/zinc/64/25/26/623642526.db2.gz GHWCUHYCQXPCOD-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H]([C@H](C)NC(=O)c2cn[nH]n2)C1 ZINC001025467154 623808841 /nfs/dbraw/zinc/80/88/41/623808841.db2.gz YEQBOBFQXAWSKZ-RYUDHWBXSA-N 0 1 275.356 0.658 20 30 CCEDMN C#CCC[N@H+]1CCC[C@H]([C@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001025467154 623808842 /nfs/dbraw/zinc/80/88/42/623808842.db2.gz YEQBOBFQXAWSKZ-RYUDHWBXSA-N 0 1 275.356 0.658 20 30 CCEDMN C#CC[N@@H+](C)CCCNc1ncc(C(=O)[O-])c(C)n1 ZINC000328119789 623866989 /nfs/dbraw/zinc/86/69/89/623866989.db2.gz QMSUSZHEDURLSU-UHFFFAOYSA-N 0 1 262.313 0.850 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCO[C@@H]1C(C)C ZINC001025809836 623885651 /nfs/dbraw/zinc/88/56/51/623885651.db2.gz BXDDXDTZMDMCDF-VQJWOFKYSA-N 0 1 276.380 0.727 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCO[C@@H]1CC ZINC001025810152 623885751 /nfs/dbraw/zinc/88/57/51/623885751.db2.gz BNSFKXZKQMGFEZ-QMIVOQANSA-N 0 1 276.380 0.871 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2ccn(-c3ccncc3)n2)C1 ZINC000969301173 624482890 /nfs/dbraw/zinc/48/28/90/624482890.db2.gz GZNBABQCYNZVEM-GFCCVEGCSA-N 0 1 296.334 0.595 20 30 CCEDMN C[C@H](NC(=O)c1[nH]nc2c1CCC2)C1CN(CC#N)C1 ZINC000970033854 624576137 /nfs/dbraw/zinc/57/61/37/624576137.db2.gz CQGWDAHUPFNSKY-VIFPVBQESA-N 0 1 273.340 0.472 20 30 CCEDMN C#CCN1CCN(C(=O)c2[nH]nc3ccccc32)CC1 ZINC000068468674 624797325 /nfs/dbraw/zinc/79/73/25/624797325.db2.gz WUMTXFCPFTVRRZ-UHFFFAOYSA-N 0 1 268.320 0.954 20 30 CCEDMN N#CCN1CC[C@@H]2[C@H](CCCN2C(=O)CN2CCCC2)C1 ZINC001021738006 624817078 /nfs/dbraw/zinc/81/70/78/624817078.db2.gz YJKLBEZTGCDGHT-HUUCEWRRSA-N 0 1 290.411 0.919 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](N(C)Cc2cnn(C)c2)C1 ZINC000971219159 624828699 /nfs/dbraw/zinc/82/86/99/624828699.db2.gz WZLIDBSNSFUSDO-DGCLKSJQSA-N 0 1 275.356 0.612 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@H]2CCN2C(=O)[C@H](C)C#N)[nH]1 ZINC000977463949 625941827 /nfs/dbraw/zinc/94/18/27/625941827.db2.gz DIBMSQNOOUYDDW-MWLCHTKSSA-N 0 1 289.339 0.551 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1cnn(C2CCC2)c1 ZINC000904558413 634754386 /nfs/dbraw/zinc/75/43/86/634754386.db2.gz PJBKSVLAWPCWAD-JOYOIKCWSA-N 0 1 274.328 0.841 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC001009764824 630751381 /nfs/dbraw/zinc/75/13/81/630751381.db2.gz VQNHETKUIWHOGS-LSDHHAIUSA-N 0 1 295.427 0.864 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC[C@@H]2[C@H]3CN(CCF)C[C@H]32)c1 ZINC000977983513 630769629 /nfs/dbraw/zinc/76/96/29/630769629.db2.gz XWRKSLMIMFCYEP-CNDDSTCGSA-N 0 1 276.315 0.763 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cc(C)nn1C ZINC001027778212 630910397 /nfs/dbraw/zinc/91/03/97/630910397.db2.gz OMDPYRCECXDLOQ-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnn(C)c1N ZINC001027837128 630961961 /nfs/dbraw/zinc/96/19/61/630961961.db2.gz QRHPVLRJMKJNLR-NSHDSACASA-N 0 1 275.356 0.220 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnn(C)c1N ZINC001027836409 630962916 /nfs/dbraw/zinc/96/29/16/630962916.db2.gz JDSIBPKQRWUPCR-JTQLQIEISA-N 0 1 263.345 0.383 20 30 CCEDMN Cc1nc([C@@H](C)N2CCCN(C(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC000980849362 631067837 /nfs/dbraw/zinc/06/78/37/631067837.db2.gz JACCHVDNKPFWRW-GHMZBOCLSA-N 0 1 290.371 0.868 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N2CCO[C@@](C)(C#N)C2)n[nH]1 ZINC000893746987 631450493 /nfs/dbraw/zinc/45/04/93/631450493.db2.gz UZMPKVJBCDCEQD-HZMBPMFUSA-N 0 1 291.355 0.973 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](NCc2ccns2)C1 ZINC000967977150 650006781 /nfs/dbraw/zinc/00/67/81/650006781.db2.gz ZBENURORTQNBCZ-NXEZZACHSA-N 0 1 264.354 0.993 20 30 CCEDMN CC1CCN(CC(=O)N2CCCN(CC#N)CC2)CC1 ZINC000982682209 631573162 /nfs/dbraw/zinc/57/31/62/631573162.db2.gz DXMAHKVXDLLWLY-UHFFFAOYSA-N 0 1 278.400 0.776 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1cccc2c1CCO2 ZINC000841223438 631856747 /nfs/dbraw/zinc/85/67/47/631856747.db2.gz KPFTZGXHXOWUEE-CABZTGNLSA-N 0 1 272.308 0.855 20 30 CCEDMN O=C1[C@H](NCc2cccc3nccn32)CCCN1O ZINC000895161392 631993923 /nfs/dbraw/zinc/99/39/23/631993923.db2.gz GCGVUGVTRDJBHG-LLVKDONJSA-N 0 1 260.297 0.804 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001006644905 650046237 /nfs/dbraw/zinc/04/62/37/650046237.db2.gz NJBNTKBOCIPQSF-CQSZACIVSA-N 0 1 273.336 0.538 20 30 CCEDMN C[C@@H](Nc1ncccc1C#N)[C@H]1CN(C)CCN1C ZINC000895247994 632032747 /nfs/dbraw/zinc/03/27/47/632032747.db2.gz XXWFLKZKIBWQBV-DGCLKSJQSA-N 0 1 259.357 0.999 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnc(C)[nH]2)C1 ZINC001006945852 650057100 /nfs/dbraw/zinc/05/71/00/650057100.db2.gz LIAJNMYYBVRUKM-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN CN1CC[C@H]1CNC(=O)N1CCO[C@@](C)(C#N)C1 ZINC000896619655 632302125 /nfs/dbraw/zinc/30/21/25/632302125.db2.gz NRKZSRUCCGLANP-JQWIXIFHSA-N 0 1 252.318 0.015 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2CN(C)CCO2)C1 ZINC001007004651 650062101 /nfs/dbraw/zinc/06/21/01/650062101.db2.gz WCQZZXBHNQESGG-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN CN(CC#N)C1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC000985346496 632443389 /nfs/dbraw/zinc/44/33/89/632443389.db2.gz NZELSFIQDZOLQP-UHFFFAOYSA-N 0 1 261.329 0.399 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cncnc2)C1 ZINC001007177836 650070137 /nfs/dbraw/zinc/07/01/37/650070137.db2.gz OTGSWNLFGMWOIK-ZDUSSCGKSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCSCCNC(=O)CN(C)[C@@H]1CCSC1 ZINC000163243864 632475957 /nfs/dbraw/zinc/47/59/57/632475957.db2.gz PZJXZIHJUSUIOS-LLVKDONJSA-N 0 1 272.439 0.906 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cccn3nnnc23)C1 ZINC001007412908 650077187 /nfs/dbraw/zinc/07/71/87/650077187.db2.gz OZVBPACUCMHOEU-NSHDSACASA-N 0 1 286.339 0.505 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001007515190 650080910 /nfs/dbraw/zinc/08/09/10/650080910.db2.gz UIKDERCQZVHDTN-HNNXBMFYSA-N 0 1 288.395 0.875 20 30 CCEDMN CN1CC(C(=O)N[C@H](CC#N)C(F)(F)F)=NC1=O ZINC000928802784 632600592 /nfs/dbraw/zinc/60/05/92/632600592.db2.gz JUGACTZOBAWUPW-ZCFIWIBFSA-N 0 1 262.191 0.700 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cn2cnnn2)[C@@H]1C ZINC000985940569 632681244 /nfs/dbraw/zinc/68/12/44/632681244.db2.gz FUAMVJKBPFDQHH-NXEZZACHSA-N 0 1 284.751 0.005 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@H]1CCCN(CC#N)C1 ZINC001007646720 650087345 /nfs/dbraw/zinc/08/73/45/650087345.db2.gz PXFIRTMYDMSFMP-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn(C)nn2)[C@@H]1C ZINC000986235462 632733800 /nfs/dbraw/zinc/73/38/00/632733800.db2.gz STCRCDUTNXFHDY-NXEZZACHSA-N 0 1 283.763 0.760 20 30 CCEDMN C[C@H]1[C@H](NCc2nccn2C)CCN1C(=O)C#CC1CC1 ZINC000986283689 632738766 /nfs/dbraw/zinc/73/87/66/632738766.db2.gz YDHRXBCRFKQCIM-GXTWGEPZSA-N 0 1 286.379 0.913 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001007899296 650096875 /nfs/dbraw/zinc/09/68/75/650096875.db2.gz XMHZCWWABLHTEB-JTQLQIEISA-N 0 1 286.339 0.733 20 30 CCEDMN C[C@H]1[C@@H](NCc2ccccc2C#N)CCN1C(=O)C(N)=O ZINC000986784742 632798069 /nfs/dbraw/zinc/79/80/69/632798069.db2.gz YEXJVJVNBBPHNK-GWCFXTLKSA-N 0 1 286.335 0.123 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001008230196 650106796 /nfs/dbraw/zinc/10/67/96/650106796.db2.gz ANDIDCZOPLGBFF-NDBYEHHHSA-N 0 1 250.342 0.645 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CN2CN=NC2=O)C1 ZINC000988664753 633075138 /nfs/dbraw/zinc/07/51/38/633075138.db2.gz YSTOTMUKBNYXAJ-ZJUUUORDSA-N 0 1 299.762 0.315 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2[nH]nc3c2CCCC3)C1 ZINC001014446930 633368213 /nfs/dbraw/zinc/36/82/13/633368213.db2.gz KFWOXFSSHZTAJI-GFCCVEGCSA-N 0 1 286.379 0.655 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2n[nH]c3c2CCCC3)C1 ZINC001014446930 633368214 /nfs/dbraw/zinc/36/82/14/633368214.db2.gz KFWOXFSSHZTAJI-GFCCVEGCSA-N 0 1 286.379 0.655 20 30 CCEDMN CC#CCN1CC[C@]2(NC(=O)c3nccnc3N)CCC[C@H]12 ZINC000990031674 633429135 /nfs/dbraw/zinc/42/91/35/633429135.db2.gz ZJYKHQVZTKYBNU-BLLLJJGKSA-N 0 1 299.378 0.809 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@@H]3CCCO3)CCC[C@H]12 ZINC000990306859 633505088 /nfs/dbraw/zinc/50/50/88/633505088.db2.gz LKKUCDHIOFRJCJ-YDHLFZDLSA-N 0 1 262.353 0.912 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(NCc2cnon2)CC1 ZINC000990315324 633507189 /nfs/dbraw/zinc/50/71/89/633507189.db2.gz SQYMZOYSONXVML-VIFPVBQESA-N 0 1 263.301 0.310 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cc(=O)n(C)o3)CCC[C@@H]12 ZINC000990434889 633531788 /nfs/dbraw/zinc/53/17/88/633531788.db2.gz HOALUXUYEAIWKK-IUODEOHRSA-N 0 1 289.335 0.338 20 30 CCEDMN CC#CCN1CC[C@]2(NC(=O)c3cn(C)nn3)CCC[C@H]12 ZINC000990510968 633547034 /nfs/dbraw/zinc/54/70/34/633547034.db2.gz AEVBUXHPNMRYJH-DZGCQCFKSA-N 0 1 287.367 0.565 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ncn(C)n3)CCC[C@H]12 ZINC000990540043 633552411 /nfs/dbraw/zinc/55/24/11/633552411.db2.gz CNWRXPHLTYRVEX-FZMZJTMJSA-N 0 1 273.340 0.175 20 30 CCEDMN C[C@@H](NC[C@@H](O)CS(C)(=O)=O)c1cccc(C#N)c1 ZINC000927029777 633578605 /nfs/dbraw/zinc/57/86/05/633578605.db2.gz LDAKNCITQUETCD-ZWNOBZJWSA-N 0 1 282.365 0.614 20 30 CCEDMN CC1(C)CCN(CC(=O)N[C@@H]2CCCN(CC#N)C2)C1 ZINC001008522811 650118596 /nfs/dbraw/zinc/11/85/96/650118596.db2.gz RQQOLCIGIMDGFZ-CYBMUJFWSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2nc(C)c[nH]2)C1 ZINC001008812088 650127831 /nfs/dbraw/zinc/12/78/31/650127831.db2.gz WMPAUKNWHNELOD-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccncn2)C1 ZINC001008923342 650132730 /nfs/dbraw/zinc/13/27/30/650132730.db2.gz JLUQHNDXUYWUPQ-GFCCVEGCSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)Cn2cc[nH+]c2C)C1 ZINC001009517295 650147391 /nfs/dbraw/zinc/14/73/91/650147391.db2.gz BKQSSEGWJPTLBL-CQSZACIVSA-N 0 1 274.368 0.795 20 30 CCEDMN N#Cc1sccc1C(=O)N1CCc2[nH]nnc2C1 ZINC000906826554 635040933 /nfs/dbraw/zinc/04/09/33/635040933.db2.gz OONCDKWJCTUOTD-UHFFFAOYSA-N 0 1 259.294 0.936 20 30 CCEDMN N#CC1(CC(=O)N2CCSC[C@@H]2c2nn[nH]n2)CC1 ZINC000907481327 635142100 /nfs/dbraw/zinc/14/21/00/635142100.db2.gz VTVSUXOQUOXWGP-MRVPVSSYSA-N 0 1 278.341 0.510 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001010051774 650181392 /nfs/dbraw/zinc/18/13/92/650181392.db2.gz MMRCGYYLGWAZOA-SNVBAGLBSA-N 0 1 260.297 0.010 20 30 CCEDMN C=CCCN1CC[C@@H](NC(=O)c2cnns2)C1 ZINC001010332566 650201822 /nfs/dbraw/zinc/20/18/22/650201822.db2.gz BPTXBLYMSWMRRO-SECBINFHSA-N 0 1 252.343 0.918 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CC2CCOCC2)C1 ZINC001010396063 650217506 /nfs/dbraw/zinc/21/75/06/650217506.db2.gz APNZWDZFAWDOHW-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC001010541492 650238914 /nfs/dbraw/zinc/23/89/14/650238914.db2.gz DIPQXKHTCPADFR-LBPRGKRZSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010729898 650259040 /nfs/dbraw/zinc/25/90/40/650259040.db2.gz CURHMWZCJVOGFT-LLVKDONJSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010729898 650259041 /nfs/dbraw/zinc/25/90/41/650259041.db2.gz CURHMWZCJVOGFT-LLVKDONJSA-N 0 1 259.309 0.615 20 30 CCEDMN C[C@H]1CCn2ncc(C(=O)NCC#CCN(C)C)c2C1 ZINC000913523689 636832413 /nfs/dbraw/zinc/83/24/13/636832413.db2.gz ITXKHBIAIKRQLS-LBPRGKRZSA-N 0 1 274.368 0.760 20 30 CCEDMN Cc1cc(C)c(CC(=O)NCC#CCN(C)C)c(=O)[nH]1 ZINC000913524507 636833127 /nfs/dbraw/zinc/83/31/27/636833127.db2.gz PAOMLPRXAVTMPO-UHFFFAOYSA-N 0 1 275.352 0.628 20 30 CCEDMN Cc1noc(C)c1CCC(=O)NCC#CCN(C)C ZINC000913515334 636833978 /nfs/dbraw/zinc/83/39/78/636833978.db2.gz SDRSDEBVKYKYQD-UHFFFAOYSA-N 0 1 263.341 0.905 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccc(-n2ccnn2)cc1 ZINC000841101651 636963559 /nfs/dbraw/zinc/96/35/59/636963559.db2.gz YDZICAGHRLFVPL-GXFFZTMASA-N 0 1 297.322 0.500 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NCC[NH+]1CCSCC1 ZINC000230942948 637193213 /nfs/dbraw/zinc/19/32/13/637193213.db2.gz IODCVPDYJWXUPY-UHFFFAOYSA-N 0 1 287.385 0.317 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@]3(F)CCOC3)CCC[C@H]12 ZINC000992143449 637319845 /nfs/dbraw/zinc/31/98/45/637319845.db2.gz BRKNWRJAFWKTBW-AEGPPILISA-N 0 1 280.343 0.861 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ccnnc3)CCC[C@H]12 ZINC000992257662 637349360 /nfs/dbraw/zinc/34/93/60/637349360.db2.gz JNHXZVWTZZYSHQ-ZFWWWQNUSA-N 0 1 270.336 0.837 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ccnnc3)CCC[C@@H]12 ZINC000992257649 637349392 /nfs/dbraw/zinc/34/93/92/637349392.db2.gz JNHXZVWTZZYSHQ-HIFRSBDPSA-N 0 1 270.336 0.837 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2nccnc2N)[C@H]1C ZINC000993181146 637729115 /nfs/dbraw/zinc/72/91/15/637729115.db2.gz ZXUWUNDRESWETB-GHMZBOCLSA-N 0 1 275.356 0.828 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001015612229 637746760 /nfs/dbraw/zinc/74/67/60/637746760.db2.gz NWZWLTSKVNVUCA-DGCLKSJQSA-N 0 1 254.305 0.329 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ncn(C)n2)[C@H]1C ZINC000993258669 637759877 /nfs/dbraw/zinc/75/98/77/637759877.db2.gz CREGMXJRGSFWCI-VXGBXAGGSA-N 0 1 277.372 0.974 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2[nH]nnc2C)[C@H]1C ZINC000993677209 637831199 /nfs/dbraw/zinc/83/11/99/637831199.db2.gz YQUKFTKXBHFLDJ-GHMZBOCLSA-N 0 1 263.345 0.882 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2c[nH]c(=O)cn2)[C@@H]1C ZINC000993728870 637844225 /nfs/dbraw/zinc/84/42/25/637844225.db2.gz OLJFPSQKPIFZNS-NWDGAFQWSA-N 0 1 288.351 0.376 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnn(C)n2)[C@H]1C ZINC000994000808 637857663 /nfs/dbraw/zinc/85/76/63/637857663.db2.gz ZHAFOLLWXKSELT-MNOVXSKESA-N 0 1 263.345 0.584 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2ncc[nH]2)[C@@H]1C ZINC000994113511 637872094 /nfs/dbraw/zinc/87/20/94/637872094.db2.gz LKQDXMQUVOUZAY-STQMWFEESA-N 0 1 274.368 0.945 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)Cc2[nH]cnc2C)[C@@H]1C ZINC000994299313 637919375 /nfs/dbraw/zinc/91/93/75/637919375.db2.gz VRJVZSRXAVXPFV-STQMWFEESA-N 0 1 274.368 0.863 20 30 CCEDMN C[C@@H]1CCCCN1CC(N)=NOCC(=O)NCC1CC1 ZINC000154331601 637961433 /nfs/dbraw/zinc/96/14/33/637961433.db2.gz KZRAGIMSJDAVFN-LLVKDONJSA-N 0 1 282.388 0.676 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccc3[nH]nnc3c2)C[C@@H]1NCC#N ZINC000939726908 638398682 /nfs/dbraw/zinc/39/86/82/638398682.db2.gz DXIKYXGPXWAEST-ZANVPECISA-N 0 1 284.323 0.532 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC1CN(C(=O)C#CC2CC2)C1 ZINC000995080651 638473448 /nfs/dbraw/zinc/47/34/48/638473448.db2.gz GTUZNOIZLLFATM-CQSZACIVSA-N 0 1 289.379 0.211 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@@H]1NC(=O)c1cncc2nc[nH]c21 ZINC000942063498 638609887 /nfs/dbraw/zinc/60/98/87/638609887.db2.gz JMWRUPSPDRAHIE-PWSUYJOCSA-N 0 1 298.350 0.922 20 30 CCEDMN C[C@H](CS(C)(=O)=O)NC[C@H](O)c1ccc(C#N)cc1 ZINC000081903812 638755914 /nfs/dbraw/zinc/75/59/14/638755914.db2.gz FTELNJYNDRDVFS-MFKMUULPSA-N 0 1 282.365 0.614 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001014400657 650448947 /nfs/dbraw/zinc/44/89/47/650448947.db2.gz HBBFAZJQUCKDNU-AWEZNQCLSA-N 0 1 287.363 0.371 20 30 CCEDMN CCN(C(=O)c1[nH]nnc1C)[C@@H]1CCCN(CC#N)CC1 ZINC000998078588 638894155 /nfs/dbraw/zinc/89/41/55/638894155.db2.gz DOSPCTFAQDGROJ-GFCCVEGCSA-N 0 1 290.371 0.953 20 30 CCEDMN C#C[C@H](C)NC(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000186328821 638895042 /nfs/dbraw/zinc/89/50/42/638895042.db2.gz KCZCWIDIPDNWEM-VIFPVBQESA-N 0 1 287.344 0.823 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2COCCO2)C1 ZINC000998596278 638929704 /nfs/dbraw/zinc/92/97/04/638929704.db2.gz PRTFWVFPYXUKNZ-RYUDHWBXSA-N 0 1 288.775 0.735 20 30 CCEDMN CN(C(=O)[C@H]1CCCc2[nH]ncc21)C1CC(NCC#N)C1 ZINC000998773487 638940308 /nfs/dbraw/zinc/94/03/08/638940308.db2.gz OWQMYUJARMABBM-MCIGGMRASA-N 0 1 287.367 0.932 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC000999159397 638963648 /nfs/dbraw/zinc/96/36/48/638963648.db2.gz AIKOTYOYPFACLN-QWHCGFSZSA-N 0 1 287.367 0.934 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCC[C@H](NCC#N)C1 ZINC000999507858 638983435 /nfs/dbraw/zinc/98/34/35/638983435.db2.gz FLBKNLNWFWBVLT-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC000999575011 638987038 /nfs/dbraw/zinc/98/70/38/638987038.db2.gz WYHASFDAWDYBEK-NWDGAFQWSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CCn2cnnn2)C1 ZINC001000539969 639111360 /nfs/dbraw/zinc/11/13/60/639111360.db2.gz UYWZCKGVXYXHMR-LLVKDONJSA-N 0 1 298.778 0.396 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001000639950 639146204 /nfs/dbraw/zinc/14/62/04/639146204.db2.gz XYXBYVHZBROPFW-NWDGAFQWSA-N 0 1 287.367 0.619 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnnn2CC)CC1 ZINC001000654470 639148021 /nfs/dbraw/zinc/14/80/21/639148021.db2.gz LBVVXKIGXHDPHO-UHFFFAOYSA-N 0 1 273.340 0.293 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn3c2CCC3)C1 ZINC001014647529 650473062 /nfs/dbraw/zinc/47/30/62/650473062.db2.gz JVRXXPINIJPKPB-LLVKDONJSA-N 0 1 260.341 0.819 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnc3n2CCC3)CC1 ZINC001000791651 639168137 /nfs/dbraw/zinc/16/81/37/639168137.db2.gz RBJBYQYFYSLJQD-UHFFFAOYSA-N 0 1 284.363 0.824 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001014690279 650478882 /nfs/dbraw/zinc/47/88/82/650478882.db2.gz XIUKKBWRKFEGRT-GXTWGEPZSA-N 0 1 286.379 0.958 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cncc(OC)n2)CC1 ZINC001001235266 639265216 /nfs/dbraw/zinc/26/52/16/639265216.db2.gz RTOCURPUBFSAQJ-UHFFFAOYSA-N 0 1 286.335 0.480 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cccnn2)CC1 ZINC001001288643 639276274 /nfs/dbraw/zinc/27/62/74/639276274.db2.gz ORGYKVRQJZWYGO-UHFFFAOYSA-N 0 1 270.336 0.862 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001014755215 650485709 /nfs/dbraw/zinc/48/57/09/650485709.db2.gz XIPHGHJAUYBNHC-AWEZNQCLSA-N 0 1 274.368 0.485 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3n2CCOC3)C1 ZINC001014808879 650489914 /nfs/dbraw/zinc/48/99/14/650489914.db2.gz AHXLJGWGSRVKKW-NSHDSACASA-N 0 1 276.340 0.403 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001016307657 639415662 /nfs/dbraw/zinc/41/56/62/639415662.db2.gz UTSLNGUELHJLQP-TZMCWYRMSA-N 0 1 286.379 0.728 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2cn(C)nc2C)CC1 ZINC001003034574 639496781 /nfs/dbraw/zinc/49/67/81/639496781.db2.gz XJDQQNHPTFGSKX-UHFFFAOYSA-N 0 1 288.395 0.875 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnn(C)c2Cl)C1 ZINC001014956555 650507411 /nfs/dbraw/zinc/50/74/11/650507411.db2.gz VVRVCZASJCKYNJ-JTQLQIEISA-N 0 1 280.759 0.901 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC(CNC(=O)c3ncn[nH]3)C2)C1 ZINC001003694381 639597043 /nfs/dbraw/zinc/59/70/43/639597043.db2.gz UTXIGSXICICRCQ-UHFFFAOYSA-N 0 1 289.339 0.349 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC(CNC(=O)c3nc[nH]n3)C2)C1 ZINC001003694381 639597049 /nfs/dbraw/zinc/59/70/49/639597049.db2.gz UTXIGSXICICRCQ-UHFFFAOYSA-N 0 1 289.339 0.349 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCn3cncc3C2)C1 ZINC001014983034 650511349 /nfs/dbraw/zinc/51/13/49/650511349.db2.gz HKLZUYAYMHNVEA-STQMWFEESA-N 0 1 274.368 0.822 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001014982568 650511529 /nfs/dbraw/zinc/51/15/29/650511529.db2.gz FVGYCKJMZVUTSH-CHWSQXEVSA-N 0 1 274.368 0.822 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001015001903 650513686 /nfs/dbraw/zinc/51/36/86/650513686.db2.gz XBHBMIUQPMSTPG-UONOGXRCSA-N 0 1 286.379 0.659 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnc(OC)nc2)CC1 ZINC001003903470 639649804 /nfs/dbraw/zinc/64/98/04/639649804.db2.gz OJQYZDVGQVFLEB-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(CCF)c2)C1 ZINC001015033113 650516842 /nfs/dbraw/zinc/51/68/42/650516842.db2.gz NVFWLXYXXXKBDS-GFCCVEGCSA-N 0 1 266.320 0.843 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCc3nncn3C2)CC1 ZINC001004204332 639719662 /nfs/dbraw/zinc/71/96/62/639719662.db2.gz RIDPPMJSJSVLCT-LBPRGKRZSA-N 0 1 287.367 0.054 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2nonc2C)CC1 ZINC001004268449 639740996 /nfs/dbraw/zinc/74/09/96/639740996.db2.gz WTBFWGIIBBQLAS-UHFFFAOYSA-N 0 1 262.313 0.596 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001015070843 650525303 /nfs/dbraw/zinc/52/53/03/650525303.db2.gz QVYKKXMMNUENLZ-GFCCVEGCSA-N 0 1 261.325 0.375 20 30 CCEDMN CS(=O)(=O)C[C@@H](O)CNCc1ccc(C#N)cc1 ZINC000922827991 639798880 /nfs/dbraw/zinc/79/88/80/639798880.db2.gz YCNSWSPWOMHGEL-LBPRGKRZSA-N 0 1 268.338 0.053 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001005075979 639871693 /nfs/dbraw/zinc/87/16/93/639871693.db2.gz HNDPWQHQJZQOMX-UHFFFAOYSA-N 0 1 274.324 0.352 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc(C)n[nH]2)CC1 ZINC001005144895 639881310 /nfs/dbraw/zinc/88/13/10/639881310.db2.gz FBBUMKKBONBDGO-UHFFFAOYSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc(C)[nH]n2)CC1 ZINC001005144895 639881316 /nfs/dbraw/zinc/88/13/16/639881316.db2.gz FBBUMKKBONBDGO-UHFFFAOYSA-N 0 1 260.341 0.888 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2nccnc2N)CC1 ZINC001005186263 639887034 /nfs/dbraw/zinc/88/70/34/639887034.db2.gz BEORFIKJFZVTAK-UHFFFAOYSA-N 0 1 287.367 0.619 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CC2OCCCO2)CC1 ZINC001005286297 639895688 /nfs/dbraw/zinc/89/56/88/639895688.db2.gz PXFJQVJISPAJQJ-UHFFFAOYSA-N 0 1 280.368 0.696 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc3n(n2)CCC3)CC1 ZINC001005479207 639911057 /nfs/dbraw/zinc/91/10/57/639911057.db2.gz YSRYYWGBHIMYJF-UHFFFAOYSA-N 0 1 286.379 0.999 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC001015172625 650535125 /nfs/dbraw/zinc/53/51/25/650535125.db2.gz BZCNNAPOMYJDQA-ZDUSSCGKSA-N 0 1 262.357 0.876 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cccc3ncnn32)CC1 ZINC001005671422 639950591 /nfs/dbraw/zinc/95/05/91/639950591.db2.gz ULIPDEHBIWWBBO-UHFFFAOYSA-N 0 1 297.362 0.899 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC001005820379 639977060 /nfs/dbraw/zinc/97/70/60/639977060.db2.gz GHUSFBTVPVESIL-KGLIPLIRSA-N 0 1 291.395 0.444 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001015257020 650543115 /nfs/dbraw/zinc/54/31/15/650543115.db2.gz INDCWHLEZTYZNM-GFCCVEGCSA-N 0 1 262.357 0.648 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccnn2CCOC)C1 ZINC001014858987 640074325 /nfs/dbraw/zinc/07/43/25/640074325.db2.gz GZCGPODVJJMHEW-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CC(OC)C2)C1 ZINC001014860979 640091284 /nfs/dbraw/zinc/09/12/84/640091284.db2.gz RNKYYOMFEBHTEX-OTTFEQOBSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001015311959 650552705 /nfs/dbraw/zinc/55/27/05/650552705.db2.gz VAUIIGOLLCYTIS-GFCCVEGCSA-N 0 1 259.309 0.153 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2nc3ncccn3n2)CC1 ZINC001006166824 640191151 /nfs/dbraw/zinc/19/11/51/640191151.db2.gz UZFAAWIWIIDTAU-UHFFFAOYSA-N 0 1 298.350 0.294 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(-n3cncn3)nc2)C1 ZINC001015460017 650570032 /nfs/dbraw/zinc/57/00/32/650570032.db2.gz ORKSIHKSEOCNGW-ZDUSSCGKSA-N 0 1 298.350 0.652 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001015500436 650574192 /nfs/dbraw/zinc/57/41/92/650574192.db2.gz RTXYLDCZHHJTPF-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN N#Cc1ccc(N2CCN(CC3(CO)COC3)CC2)cn1 ZINC000801553603 640658431 /nfs/dbraw/zinc/65/84/31/640658431.db2.gz AWWBHMJBMYCONP-UHFFFAOYSA-N 0 1 288.351 0.084 20 30 CCEDMN Cc1cc(COCC(=O)C(C#N)C(=O)NC(C)C)on1 ZINC000802042641 640683852 /nfs/dbraw/zinc/68/38/52/640683852.db2.gz UUBJNDJKVJRXLM-LLVKDONJSA-N 0 1 279.296 0.733 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cn2ccc(C)n2)C1 ZINC001015720983 650616689 /nfs/dbraw/zinc/61/66/89/650616689.db2.gz VQGKEMXLWWEYGJ-CYBMUJFWSA-N 0 1 260.341 0.405 20 30 CCEDMN Cn1c(N)nc(Cl)c1CN=Nc1cnccn1 ZINC000814797402 641075734 /nfs/dbraw/zinc/07/57/34/641075734.db2.gz MTVDFCWHUUBXRI-UHFFFAOYSA-N 0 1 251.681 0.892 20 30 CCEDMN Cn1c(N)nc(Cl)c1C=[NH+][N-]c1ncnc2[nH]cnc21 ZINC000814813457 641076439 /nfs/dbraw/zinc/07/64/39/641076439.db2.gz DVFRRYGBASYASJ-UHFFFAOYSA-N 0 1 291.706 0.768 20 30 CCEDMN Cn1nncc1N=NCc1ncc(C2OCCO2)s1 ZINC000814982554 641087017 /nfs/dbraw/zinc/08/70/17/641087017.db2.gz FRSQAQFLFBPSKA-UHFFFAOYSA-N 0 1 280.313 0.763 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cncc(OC)n2)C1 ZINC001015797333 650631413 /nfs/dbraw/zinc/63/14/13/650631413.db2.gz CQPGZPSOLWXUKV-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCOc1ccc(C(=O)N[C@@H]2CCN(CCO)C2)cc1 ZINC001015808951 650633668 /nfs/dbraw/zinc/63/36/68/650633668.db2.gz HMIFYMIJXBNFDF-CQSZACIVSA-N 0 1 288.347 0.495 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc(C)nc2C)C1 ZINC001015868888 650642764 /nfs/dbraw/zinc/64/27/64/650642764.db2.gz FJLQAJLIPZZBIN-CYBMUJFWSA-N 0 1 272.352 0.921 20 30 CCEDMN CC1CCN(CC(=O)N[C@H]2CCN(CC#N)C2)CC1 ZINC001015891195 650651338 /nfs/dbraw/zinc/65/13/38/650651338.db2.gz YSQBTUYUBZXAJR-ZDUSSCGKSA-N 0 1 264.373 0.432 20 30 CCEDMN N#CCNC(=O)CNC[C@@H](O)c1ccc(F)cc1F ZINC000819311608 641399616 /nfs/dbraw/zinc/39/96/16/641399616.db2.gz ONMXLESMXAGKAP-LLVKDONJSA-N 0 1 269.251 0.228 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2cc3c(cn2)OCCO3)NO1 ZINC000819441104 641409167 /nfs/dbraw/zinc/40/91/67/641409167.db2.gz YLZUEWGYEIAPID-ZETCQYMHSA-N 0 1 263.253 0.705 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001015948503 650661317 /nfs/dbraw/zinc/66/13/17/650661317.db2.gz XMXZMFVQFGKVLX-JTQLQIEISA-N 0 1 262.313 0.475 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(CC)cn2)C1 ZINC001016005265 650673644 /nfs/dbraw/zinc/67/36/44/650673644.db2.gz PWPNEFGDDYDSMC-LBPRGKRZSA-N 0 1 260.341 0.730 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(CCOC)c2)C1 ZINC001016125301 650685044 /nfs/dbraw/zinc/68/50/44/650685044.db2.gz APCNXZQEDLESPQ-ZDUSSCGKSA-N 0 1 278.356 0.520 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC001016221684 650699728 /nfs/dbraw/zinc/69/97/28/650699728.db2.gz LRFBEMIAKYUWSZ-LBPRGKRZSA-N 0 1 261.325 0.225 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1ccc(C#N)cc1 ZINC000826385286 641934132 /nfs/dbraw/zinc/93/41/32/641934132.db2.gz FOYHACHWCUPONE-UHFFFAOYSA-N 0 1 276.263 0.855 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cncnc2CC)C1 ZINC001016274410 650710572 /nfs/dbraw/zinc/71/05/72/650710572.db2.gz HXVDUPJCLJEWRZ-GFCCVEGCSA-N 0 1 272.352 0.866 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CCOC)[C@@H](CCC)C1 ZINC000827588605 642021954 /nfs/dbraw/zinc/02/19/54/642021954.db2.gz JKKUPLZZNWNRMT-CABCVRRESA-N 0 1 296.411 0.984 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C2CC2)N2CCOCC2)C1 ZINC001016312652 650716139 /nfs/dbraw/zinc/71/61/39/650716139.db2.gz ZIYFLXMJWSORMS-LSDHHAIUSA-N 0 1 293.411 0.474 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H]2C(=O)Nc3ccccc32)C1 ZINC001016393828 650723641 /nfs/dbraw/zinc/72/36/41/650723641.db2.gz ZIIRKZWCFLXZHN-OCCSQVGLSA-N 0 1 297.358 0.936 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(OCC)cn2)C1 ZINC001016418708 650728806 /nfs/dbraw/zinc/72/88/06/650728806.db2.gz ANRHZTRVRABHLJ-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(-c2ccccn2)no1 ZINC000834479779 642573225 /nfs/dbraw/zinc/57/32/25/642573225.db2.gz IMCUZBVWBODWAX-SKDRFNHKSA-N 0 1 283.291 0.578 20 30 CCEDMN COc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)ccc1F ZINC000834480565 642573287 /nfs/dbraw/zinc/57/32/87/642573287.db2.gz SDXMMDXPGUUFKT-GXSJLCMTSA-N 0 1 263.272 0.676 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1c[nH]c2ncccc12 ZINC000834481009 642573424 /nfs/dbraw/zinc/57/34/24/642573424.db2.gz ZDOMHWZOKQUZJE-JQWIXIFHSA-N 0 1 269.308 0.333 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnn2ccccc12 ZINC000834485585 642577722 /nfs/dbraw/zinc/57/77/22/642577722.db2.gz YWQRYEVKNJKUHC-MWLCHTKSSA-N 0 1 255.281 0.176 20 30 CCEDMN Cn1nc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1C1CC1 ZINC000834490850 642581599 /nfs/dbraw/zinc/58/15/99/642581599.db2.gz XLERLSUABAKXBZ-KOLCDFICSA-N 0 1 259.313 0.139 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CSCc1cccnc1 ZINC000834493298 642582719 /nfs/dbraw/zinc/58/27/19/642582719.db2.gz CTJRORXCYAQSFK-NEPJUHHUSA-N 0 1 276.365 0.543 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc(F)c1F ZINC000834494487 642583155 /nfs/dbraw/zinc/58/31/55/642583155.db2.gz NKPRFMHNOIXITG-XCBNKYQSSA-N 0 1 251.236 0.806 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc([N+](=O)[O-])c1 ZINC000834493203 642584301 /nfs/dbraw/zinc/58/43/01/642584301.db2.gz BQKQWBHXAKEPNG-ZYHUDNBSSA-N 0 1 274.280 0.745 20 30 CCEDMN Cc1nn(C(F)F)c(C)c1CC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834495431 642584670 /nfs/dbraw/zinc/58/46/70/642584670.db2.gz VMPUQGHGTIGNBM-ONGXEEELSA-N 0 1 297.309 0.665 20 30 CCEDMN C[C@H](OC[C@@H]1CCCCO1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834494856 642585926 /nfs/dbraw/zinc/58/59/26/642585926.db2.gz QFKYNCAVNNHOPH-ZDEQEGDKSA-N 0 1 281.356 0.188 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1c[nH]nc1-c1ccsc1 ZINC000834499057 642587532 /nfs/dbraw/zinc/58/75/32/642587532.db2.gz DWZLJABIHTXDDK-MWLCHTKSSA-N 0 1 287.348 0.980 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000834499456 642588152 /nfs/dbraw/zinc/58/81/52/642588152.db2.gz IOJJFEDFGOHGSF-IMTBSYHQSA-N 0 1 293.258 0.157 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2cc[nH]c2n1 ZINC000834499312 642588170 /nfs/dbraw/zinc/58/81/70/642588170.db2.gz HBNBPDYSYUNXKT-KOLCDFICSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCOCC(F)(F)F ZINC000834499626 642588502 /nfs/dbraw/zinc/58/85/02/642588502.db2.gz KQQUXXXBJRRLNU-JGVFFNPUSA-N 0 1 265.235 0.183 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1noc2c1CCCCC2 ZINC000834499730 642589208 /nfs/dbraw/zinc/58/92/08/642589208.db2.gz MDPIGMIEUGJEBU-KOLCDFICSA-N 0 1 274.324 0.785 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CN1C(=O)CCc2ccccc21 ZINC000834500917 642589859 /nfs/dbraw/zinc/58/98/59/642589859.db2.gz XYUUKRCHXBIUCJ-STQMWFEESA-N 0 1 298.346 0.194 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1CCN(CC(F)F)CC1 ZINC000834505703 642591478 /nfs/dbraw/zinc/59/14/78/642591478.db2.gz NBQKZCFLMKKVPX-GHMZBOCLSA-N 0 1 286.326 0.191 20 30 CCEDMN Cc1cc(C)n(CCC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000834517629 642600850 /nfs/dbraw/zinc/60/08/50/642600850.db2.gz XVVNVVOCZUYHMP-NEPJUHHUSA-N 0 1 261.329 0.118 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1[nH]nc2ccccc21 ZINC000834516737 642600863 /nfs/dbraw/zinc/60/08/63/642600863.db2.gz LMEPFRKMMSYBAM-KCJUWKMLSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(Cn2cncn2)cc1 ZINC000834516678 642601073 /nfs/dbraw/zinc/60/10/73/642601073.db2.gz KOPSJLBQVGSTHX-UONOGXRCSA-N 0 1 296.334 0.168 20 30 CCEDMN Cc1ccc(-n2cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)nn2)cc1 ZINC000834521791 642603864 /nfs/dbraw/zinc/60/38/64/642603864.db2.gz SUHYFJKFVHDXJP-WCQYABFASA-N 0 1 296.334 0.417 20 30 CCEDMN COc1cccnc1NC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000841182624 642806849 /nfs/dbraw/zinc/80/68/49/642806849.db2.gz JYOMLYUEHKGOIY-IUCAKERBSA-N 0 1 261.285 0.323 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)N[C@H](C1CC1)C(F)(F)F ZINC000841242447 642809274 /nfs/dbraw/zinc/80/92/74/642809274.db2.gz JZBHIZUPAXORHM-IWSPIJDZSA-N 0 1 276.262 0.738 20 30 CCEDMN N#Cc1c[nH]c(=O)n(C[C@@H]2CC2(Cl)Cl)c1=O ZINC000842616832 642916539 /nfs/dbraw/zinc/91/65/39/642916539.db2.gz WOUWWLXCHPAHST-LURJTMIESA-N 0 1 260.080 0.602 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCOC1 ZINC001017402478 650800077 /nfs/dbraw/zinc/80/00/77/650800077.db2.gz WUIOTWFTCQFBAT-MCIONIFRSA-N 0 1 262.353 0.721 20 30 CCEDMN Cn1nccc1[C@H](CO)NC[C@H](O)c1ccc(C#N)cc1 ZINC000843108458 642997540 /nfs/dbraw/zinc/99/75/40/642997540.db2.gz ODDDNBRKGOCWTJ-ZFWWWQNUSA-N 0 1 286.335 0.648 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc2ccccc2c(=O)[nH]1 ZINC000843459693 643063896 /nfs/dbraw/zinc/06/38/96/643063896.db2.gz GLIUFYXULYSTSN-ZWNOBZJWSA-N 0 1 282.303 0.782 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc2n[nH]cc21 ZINC000843460590 643064807 /nfs/dbraw/zinc/06/48/07/643064807.db2.gz HWTVPYVUIHYSAK-QPUJVOFHSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1cnn(-c2ccccc2)n1 ZINC000843462826 643067534 /nfs/dbraw/zinc/06/75/34/643067534.db2.gz SXFNBDQSQBQENV-BXUZGUMPSA-N 0 1 296.334 0.038 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]cc2ncnc1-2 ZINC001017573249 650811741 /nfs/dbraw/zinc/81/17/41/650811741.db2.gz QQCLDKFQJHJLJG-TXEJJXNPSA-N 0 1 295.346 0.880 20 30 CCEDMN Cc1ccc2oc(C(=O)N[C@H]3CNC[C@H]3C#N)cc2n1 ZINC000844194535 643177237 /nfs/dbraw/zinc/17/72/37/643177237.db2.gz JSFYPZAZGQZBNG-KOLCDFICSA-N 0 1 270.292 0.978 20 30 CCEDMN C=CC[N@H+](CCNS(=O)(=O)c1ccccc1)CC(=O)[O-] ZINC000846263711 643395232 /nfs/dbraw/zinc/39/52/32/643395232.db2.gz UTRGUZLHRJAOJW-UHFFFAOYSA-N 0 1 298.364 0.538 20 30 CCEDMN C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000846676632 643441315 /nfs/dbraw/zinc/44/13/15/643441315.db2.gz GCZYCBAXERXOBT-ZKYQVNSYSA-N 0 1 284.319 0.553 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)Cc1cnc[nH]1 ZINC001018045646 650841036 /nfs/dbraw/zinc/84/10/36/650841036.db2.gz MUGTZBVZUXTUIV-YUELXQCFSA-N 0 1 286.379 0.897 20 30 CCEDMN CN(C)c1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1Cl ZINC000848418895 643634624 /nfs/dbraw/zinc/63/46/24/643634624.db2.gz OGSHXTQIDSPMQW-KOLCDFICSA-N 0 1 293.758 0.642 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccc2c(cc[nH]c2=O)c1 ZINC000850756405 643811215 /nfs/dbraw/zinc/81/12/15/643811215.db2.gz QETPNOCEHZXEPK-ZWNOBZJWSA-N 0 1 297.318 0.761 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2COCCO2)C1 ZINC001018545805 650875484 /nfs/dbraw/zinc/87/54/84/650875484.db2.gz FJSBUCNIPJOBBY-QWRGUYRKSA-N 0 1 274.748 0.345 20 30 CCEDMN C[C@H](CC(=O)N[C@@H]1CNC[C@@H]1C#N)[C@H]1CCCO1 ZINC000852827677 644013402 /nfs/dbraw/zinc/01/34/02/644013402.db2.gz NBDJRMCWMVRIAF-WRWGMCAJSA-N 0 1 251.330 0.419 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnn2cccc(Cl)c12 ZINC000852828360 644013480 /nfs/dbraw/zinc/01/34/80/644013480.db2.gz WSVMJHGIZJLCEZ-KWQFWETISA-N 0 1 289.726 0.829 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccnn1C(F)F ZINC000852827730 644014154 /nfs/dbraw/zinc/01/41/54/644014154.db2.gz OIWYPGOHGAOBFY-RNFRBKRXSA-N 0 1 255.228 0.120 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(C)n1-c1ccon1 ZINC000852875731 644037679 /nfs/dbraw/zinc/03/76/79/644037679.db2.gz OMUUZWZKSLQNIY-WCQYABFASA-N 0 1 299.334 0.924 20 30 CCEDMN Cc1ncc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)C2)o1 ZINC001018631673 650886512 /nfs/dbraw/zinc/88/65/12/650886512.db2.gz HOLPLXUUQWMQDD-KOLCDFICSA-N 0 1 262.313 0.833 20 30 CCEDMN NC(CN1CCCCCC1)=NOCC(=O)N1CCCC1 ZINC000111178726 644092482 /nfs/dbraw/zinc/09/24/82/644092482.db2.gz MVOXMYBZBLAMKN-UHFFFAOYSA-N 0 1 282.388 0.774 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001018956761 650924231 /nfs/dbraw/zinc/92/42/31/650924231.db2.gz MZPVALAWBIZGEN-NEPJUHHUSA-N 0 1 299.802 0.846 20 30 CCEDMN C[C@H]1CCCCN1CC(N)=NO[C@@H]1CCN(C)C1=O ZINC000116267993 650936676 /nfs/dbraw/zinc/93/66/76/650936676.db2.gz HXDIPOJLKMVHLN-WDEREUQCSA-N 0 1 268.361 0.380 20 30 CCEDMN N#CCCNC(=O)CN1CC2(CCOCC2)CC[C@@H]1CO ZINC000859519876 644706419 /nfs/dbraw/zinc/70/64/19/644706419.db2.gz TYQUKAOREMFJDQ-CYBMUJFWSA-N 0 1 295.383 0.270 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1cccc2c1OCC2 ZINC000859723583 644726655 /nfs/dbraw/zinc/72/66/55/644726655.db2.gz XKIGJVWQNKNDKR-CMPLNLGQSA-N 0 1 272.308 0.855 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn(C)nc2OC)C1 ZINC001019230178 650949899 /nfs/dbraw/zinc/94/98/99/650949899.db2.gz UJOMFSJNLLSEIP-JTQLQIEISA-N 0 1 298.774 0.985 20 30 CCEDMN CCCc1cc(C(=O)N2CC[C@H](NCC#N)C2)n[nH]1 ZINC001019219164 650948138 /nfs/dbraw/zinc/94/81/38/650948138.db2.gz JCKKNNPGLFAWDT-NSHDSACASA-N 0 1 261.329 0.690 20 30 CCEDMN C#CCOc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000863584740 645110153 /nfs/dbraw/zinc/11/01/53/645110153.db2.gz IJBPSPAVOJTKPA-OCCSQVGLSA-N 0 1 269.304 0.540 20 30 CCEDMN N#Cc1nccnc1N1CC(CNC(=O)C(F)(F)F)C1 ZINC000866294064 645515367 /nfs/dbraw/zinc/51/53/67/645515367.db2.gz KJTOOYNEIXQZCF-UHFFFAOYSA-N 0 1 285.229 0.463 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN(C[C@H]3CCOC3)CC2)co1 ZINC000866381650 645524566 /nfs/dbraw/zinc/52/45/66/645524566.db2.gz SMDXCWRXLOFGCU-GFCCVEGCSA-N 0 1 289.335 0.946 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H]2CCC[N@H+](CCCO)C2)co1 ZINC000868636759 645797848 /nfs/dbraw/zinc/79/78/48/645797848.db2.gz NUIQNRJJBQBWEC-LBPRGKRZSA-N 0 1 277.324 0.728 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H]2CCCN(CCCO)C2)co1 ZINC000868636759 645797851 /nfs/dbraw/zinc/79/78/51/645797851.db2.gz NUIQNRJJBQBWEC-LBPRGKRZSA-N 0 1 277.324 0.728 20 30 CCEDMN Cc1csc2nc(CC(=O)N[C@@H]3CNC[C@@H]3C#N)cn12 ZINC000870940248 646054774 /nfs/dbraw/zinc/05/47/74/646054774.db2.gz HFZQXFMTCUMUBI-GXSJLCMTSA-N 0 1 289.364 0.475 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCCOC1CCOCC1 ZINC000870941774 646055263 /nfs/dbraw/zinc/05/52/63/646055263.db2.gz ZLLCAUPSGWXNQQ-YPMHNXCESA-N 0 1 281.356 0.190 20 30 CCEDMN Cn1cc(-c2ccc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc2)cn1 ZINC000870941510 646055672 /nfs/dbraw/zinc/05/56/72/646055672.db2.gz WNXGYSMQNHQXBD-DZGCQCFKSA-N 0 1 295.346 0.928 20 30 CCEDMN COc1cncc(C=Nn2c(=O)c(C)n[nH]c2=S)c1C ZINC000872330086 646249350 /nfs/dbraw/zinc/24/93/50/646249350.db2.gz GOPXWVUMFKKOOJ-UHFFFAOYSA-N 0 1 291.336 0.830 20 30 CCEDMN CS(=O)(=O)CCNN=Cc1ccc(F)c(F)c1O ZINC000872352493 646250367 /nfs/dbraw/zinc/25/03/67/646250367.db2.gz UQRUYZLYBJDWIS-UHFFFAOYSA-N 0 1 278.280 0.639 20 30 CCEDMN Cc1cccc2c1C[C@H](C(=O)N[C@@H]1CNC[C@H]1C#N)N2 ZINC000876802826 646670831 /nfs/dbraw/zinc/67/08/31/646670831.db2.gz SOTTYXKLNXQPJS-LERXQTSPSA-N 0 1 270.336 0.559 20 30 CCEDMN N#Cc1cnc(Cl)c(CNC[C@@]2(O)CCOC2)c1 ZINC000877118015 646738018 /nfs/dbraw/zinc/73/80/18/646738018.db2.gz IXYFZPSHBZBYIU-LBPRGKRZSA-N 0 1 267.716 0.848 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H](CO)CN2CCCC2)o1 ZINC000879992976 647141033 /nfs/dbraw/zinc/14/10/33/647141033.db2.gz PFHDYOHYAUFGNB-SNVBAGLBSA-N 0 1 263.297 0.338 20 30 CCEDMN C[C@H]1CN(C(=O)[C@@H]2CC2[N+](=O)[O-])C[C@H](C)N1CC#N ZINC000880453899 647202684 /nfs/dbraw/zinc/20/26/84/647202684.db2.gz UYXLRBBYFLWFQP-UKKRHICBSA-N 0 1 266.301 0.096 20 30 CCEDMN N#CC(C(=O)C[C@@H]1COC(=O)C1)C(=O)NC1CCCC1 ZINC000133478117 647610410 /nfs/dbraw/zinc/61/04/10/647610410.db2.gz MSJBYAVAXPLFJA-ONGXEEELSA-N 0 1 278.308 0.707 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN3C(=O)CC[C@@H]3C2)CCC1 ZINC000886099532 647901282 /nfs/dbraw/zinc/90/12/82/647901282.db2.gz ZEQRPIHNWKEDNF-CHWSQXEVSA-N 0 1 277.368 0.738 20 30 CCEDMN N#Cc1csc(CNC(=O)C2CN([C@@H]3CCOC3)C2)n1 ZINC000887976569 648179484 /nfs/dbraw/zinc/17/94/84/648179484.db2.gz LOIMQDCFBAVWNJ-LLVKDONJSA-N 0 1 292.364 0.352 20 30 CCEDMN C[C@@H]1CN(C[C@@H]2C[C@@H]3COC[C@@H]3O2)C[C@H](C)N1CC#N ZINC000930829198 648911806 /nfs/dbraw/zinc/91/18/06/648911806.db2.gz QOSGFZKEHHNUOQ-SEBNEYGDSA-N 0 1 279.384 0.708 20 30 CCEDMN C[C@H](C#N)OCCN1CCCC[C@H]1CS(N)(=O)=O ZINC000931231213 648965625 /nfs/dbraw/zinc/96/56/25/648965625.db2.gz QEQIVDHNHNSQGS-MNOVXSKESA-N 0 1 275.374 0.058 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCc2nccc(N)c2C1 ZINC000931518530 649000504 /nfs/dbraw/zinc/00/05/04/649000504.db2.gz KTNOXJRWFISIDG-NSHDSACASA-N 0 1 299.378 0.973 20 30 CCEDMN CO[C@H]1CC[C@@H]1N(C)CC(=O)NC1(C#N)CCC1 ZINC000934110118 649157246 /nfs/dbraw/zinc/15/72/46/649157246.db2.gz DGHBGEOIOFRKIL-QWRGUYRKSA-N 0 1 251.330 0.658 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000935843965 649266130 /nfs/dbraw/zinc/26/61/30/649266130.db2.gz YINPFYYWJQXSCJ-HNNXBMFYSA-N 0 1 298.390 0.986 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)CN2CCCC2)CCN1CC#N ZINC000948052532 649361056 /nfs/dbraw/zinc/36/10/56/649361056.db2.gz FVNOCLYSXZLQQZ-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCCCNC(=O)N1CC[N@@H+](CC(C)(C)O)[C@@H](C)C1 ZINC000189016711 649367105 /nfs/dbraw/zinc/36/71/05/649367105.db2.gz YVYPMIUVCHJYCI-ZDUSSCGKSA-N 0 1 281.400 0.886 20 30 CCEDMN C#CCCCNC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000189016711 649367108 /nfs/dbraw/zinc/36/71/08/649367108.db2.gz YVYPMIUVCHJYCI-ZDUSSCGKSA-N 0 1 281.400 0.886 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000246534414 649678774 /nfs/dbraw/zinc/67/87/74/649678774.db2.gz PRDZYPHOBRWMKF-KXNHARMFSA-N 0 1 264.325 0.894 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(C)(NC(=O)c2cnn[nH]2)CC1 ZINC000956415415 649706431 /nfs/dbraw/zinc/70/64/31/649706431.db2.gz AHZDNLRWOLMGLN-VIFPVBQESA-N 0 1 290.327 0.075 20 30 CCEDMN COc1cc(N2C[C@@H]3[C@H](C2)OCCN3C)ncc1C#N ZINC001164671226 719370295 /nfs/dbraw/zinc/37/02/95/719370295.db2.gz VMCRMPHWWGEYIP-YPMHNXCESA-N 0 1 274.324 0.481 20 30 CCEDMN Cc1cc(N2C[C@H]3OCCN(C)[C@@H]3C2)nc(C#N)n1 ZINC001164666970 719399308 /nfs/dbraw/zinc/39/93/08/719399308.db2.gz MGSVVBDIMCITEO-GHMZBOCLSA-N 0 1 259.313 0.176 20 30 CCEDMN Cc1cnc(N2C[C@H]3OCCN(C)[C@H]3C2)c(C#N)n1 ZINC001164675056 719426127 /nfs/dbraw/zinc/42/61/27/719426127.db2.gz YIHHLYDGMDFASX-NWDGAFQWSA-N 0 1 259.313 0.176 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCc2cnn(C)c2)CC1 ZINC001230353192 805597649 /nfs/dbraw/zinc/59/76/49/805597649.db2.gz KHSXXEQZOKABAG-UHFFFAOYSA-N 0 1 288.395 0.957 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cnc(C)[nH]2)CC1 ZINC001266281096 790391631 /nfs/dbraw/zinc/39/16/31/790391631.db2.gz FNCRDXMFWYXOQD-UHFFFAOYSA-N 0 1 277.372 0.252 20 30 CCEDMN COC[C@H](C)N1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038167800 790399038 /nfs/dbraw/zinc/39/90/38/790399038.db2.gz HYSORHYSXRIDPK-GWCFXTLKSA-N 0 1 276.340 0.725 20 30 CCEDMN C#CCC[N@H+](C)CCNC(=O)c1cc(OC)ccn1 ZINC001266306333 790477762 /nfs/dbraw/zinc/47/77/62/790477762.db2.gz MTQOYIXZQIZTDN-UHFFFAOYSA-N 0 1 261.325 0.775 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnn(C)c1-n1cccc1 ZINC001266306311 790475668 /nfs/dbraw/zinc/47/56/68/790475668.db2.gz LRJDQMMYPSBOTL-UHFFFAOYSA-N 0 1 285.351 0.506 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001039049047 790478034 /nfs/dbraw/zinc/47/80/34/790478034.db2.gz DHWMFLCAQLBLIS-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CC[N@@H+](C)CCNC(=O)c1nnn(C(C)C)c1C ZINC001266341963 790545343 /nfs/dbraw/zinc/54/53/43/790545343.db2.gz FUSZGLRJFRRYFH-UHFFFAOYSA-N 0 1 277.372 0.852 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1nnn(C(C)C)c1C ZINC001266341963 790545350 /nfs/dbraw/zinc/54/53/50/790545350.db2.gz FUSZGLRJFRRYFH-UHFFFAOYSA-N 0 1 277.372 0.852 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1c2c(nn1C)CCC2 ZINC001266347519 790557578 /nfs/dbraw/zinc/55/75/78/790557578.db2.gz MGQZYTVGLSLUIH-UHFFFAOYSA-N 0 1 274.368 0.594 20 30 CCEDMN C=CCCCN1CCN(C(C)=O)[C@H](C(=O)OC)C1 ZINC001209163356 790570972 /nfs/dbraw/zinc/57/09/72/790570972.db2.gz CRLXCKBNIFCOMI-LBPRGKRZSA-N 0 1 254.330 0.658 20 30 CCEDMN CO[C@H](C)CN1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038175192 790591831 /nfs/dbraw/zinc/59/18/31/790591831.db2.gz MQKOSBRYPHQLJH-MFKMUULPSA-N 0 1 276.340 0.725 20 30 CCEDMN N#CCN1CCC2(C[C@@H]2NC(=O)CCc2nc[nH]n2)CC1 ZINC001266368987 790602964 /nfs/dbraw/zinc/60/29/64/790602964.db2.gz OZPSTSPMFBFUJL-NSHDSACASA-N 0 1 288.355 0.232 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1snnc1C ZINC001266375628 790611176 /nfs/dbraw/zinc/61/11/76/790611176.db2.gz RXFYELXFVXDMSO-UHFFFAOYSA-N 0 1 266.370 0.922 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cnn(CCF)c1 ZINC001266399352 790636234 /nfs/dbraw/zinc/63/62/34/790636234.db2.gz SKHKXGYXUBLMFR-UHFFFAOYSA-N 0 1 280.347 0.928 20 30 CCEDMN CCNCc1cn([C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)nn1 ZINC001075374804 790735954 /nfs/dbraw/zinc/73/59/54/790735954.db2.gz SWUAAKHEEPRYLO-DGCLKSJQSA-N 0 1 290.371 0.711 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CO[C@H]1CCOC1 ZINC001266465646 790760093 /nfs/dbraw/zinc/76/00/93/790760093.db2.gz ZBMPEDHFXDPTCR-STQMWFEESA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H](C)Cc1c[nH]cn1 ZINC001266486679 790777087 /nfs/dbraw/zinc/77/70/87/790777087.db2.gz QKDJTDDJRXPSAN-JSGCOSHPSA-N 0 1 274.368 0.802 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@]3(CCN(CC(N)=O)C3)C2)C1 ZINC001041657336 790813495 /nfs/dbraw/zinc/81/34/95/790813495.db2.gz QBNAFAIMUQGVHM-INIZCTEOSA-N 0 1 291.395 0.752 20 30 CCEDMN C=CCCCC(=O)NC[C@@H]1CCN([C@@H](C)C(=O)NC)C1 ZINC001266528559 790876978 /nfs/dbraw/zinc/87/69/78/790876978.db2.gz RWOWDLBUSUYKJE-STQMWFEESA-N 0 1 281.400 0.915 20 30 CCEDMN CCCN(CC#N)CCNC(=O)c1[nH]nc2c1CCC2 ZINC001266564987 790936680 /nfs/dbraw/zinc/93/66/80/790936680.db2.gz IDGROXCWHBOMRJ-UHFFFAOYSA-N 0 1 275.356 0.864 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](C)NC(C)=O ZINC001230697728 805651049 /nfs/dbraw/zinc/65/10/49/805651049.db2.gz LJZDRBWHDCGHAU-WCQYABFASA-N 0 1 265.357 0.067 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCn1cncn1 ZINC001230777098 805665496 /nfs/dbraw/zinc/66/54/96/805665496.db2.gz XBEHWVCMBWIUJN-ZDUSSCGKSA-N 0 1 277.372 0.777 20 30 CCEDMN CCC(=O)NCCCN(C)CC(=O)N(CC)CCC#N ZINC001230969350 805700349 /nfs/dbraw/zinc/70/03/49/805700349.db2.gz KTYLUTWGLXYZOV-UHFFFAOYSA-N 0 1 282.388 0.597 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@@H](C)OC ZINC001230968096 805701098 /nfs/dbraw/zinc/70/10/98/805701098.db2.gz STYGNUHRPPTGHL-CHWSQXEVSA-N 0 1 270.373 0.757 20 30 CCEDMN CCC(=O)N1CCC2(C1)CCN(CC(=O)NCC#N)CC2 ZINC001277475269 805703735 /nfs/dbraw/zinc/70/37/35/805703735.db2.gz YHBWESYEBQCNRV-UHFFFAOYSA-N 0 1 292.383 0.351 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CCCNC(=O)CC ZINC001230999285 805706891 /nfs/dbraw/zinc/70/68/91/805706891.db2.gz INANPLJVTYCDCV-LLVKDONJSA-N 0 1 298.387 0.235 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N(C)C[C@H]1CCN1CCCO ZINC001231062725 805718762 /nfs/dbraw/zinc/71/87/62/805718762.db2.gz SHRZNOIRFARTLB-UONOGXRCSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(=O)C2CC2)C1=O ZINC001231072786 805724027 /nfs/dbraw/zinc/72/40/27/805724027.db2.gz JTWOWPRXOZPSHR-CYBMUJFWSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CC[C@H]1CN(C)C(=O)Cc1ccn[nH]1 ZINC001231407937 805794578 /nfs/dbraw/zinc/79/45/78/805794578.db2.gz QTKBEZAZPDIDKB-ZDUSSCGKSA-N 0 1 260.341 0.508 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)CC(=O)NCC)C1 ZINC001277585516 805866047 /nfs/dbraw/zinc/86/60/47/805866047.db2.gz GGMLZLTURSHJCM-UHFFFAOYSA-N 0 1 267.373 0.621 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@H](CNCC#N)C2CC2)n[nH]1 ZINC001277622177 805903677 /nfs/dbraw/zinc/90/36/77/805903677.db2.gz MOCMJBPOPAXZKW-GFCCVEGCSA-N 0 1 261.329 0.682 20 30 CCEDMN CN(C1CN(Cc2cccc(C#N)n2)C1)[C@@H]1CCOC1 ZINC001232925363 805996136 /nfs/dbraw/zinc/99/61/36/805996136.db2.gz DMAAXBJZPBKTPP-CQSZACIVSA-N 0 1 272.352 0.858 20 30 CCEDMN N#Cc1cc[nH]c1CN1CCC[C@]2(CCNC2=O)C1 ZINC001233045048 806012555 /nfs/dbraw/zinc/01/25/55/806012555.db2.gz ZXHNSFIPZDJWKJ-AWEZNQCLSA-N 0 1 258.325 0.988 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@]1(C)CCNC1=O ZINC001266855508 791293949 /nfs/dbraw/zinc/29/39/49/791293949.db2.gz DHUJRXJKWXSJJE-CJNGLKHVSA-N 0 1 291.395 0.507 20 30 CCEDMN N#CCNC[C@H]1CCCCN1C(=O)CCc1c[nH]nn1 ZINC001266879359 791318030 /nfs/dbraw/zinc/31/80/30/791318030.db2.gz UMXIWXRMNDTECJ-GFCCVEGCSA-N 0 1 276.344 0.232 20 30 CCEDMN N#CCNC[C@H]1CCCCN1C(=O)CCc1cnn[nH]1 ZINC001266879359 791318036 /nfs/dbraw/zinc/31/80/36/791318036.db2.gz UMXIWXRMNDTECJ-GFCCVEGCSA-N 0 1 276.344 0.232 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H]([C@@H](C)NC(=O)CCC)C1 ZINC001266893793 791323924 /nfs/dbraw/zinc/32/39/24/791323924.db2.gz WTHJBIKYPLKPSR-KGLIPLIRSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCOCCCC(=O)N(C)C[C@H]1CCN1C[C@@H](C)O ZINC001233490446 806063335 /nfs/dbraw/zinc/06/33/35/806063335.db2.gz QXZQWZWBYWMXOU-ZIAGYGMSSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001267100213 791537326 /nfs/dbraw/zinc/53/73/26/791537326.db2.gz HSSCWDFCEJYECO-NWDGAFQWSA-N 0 1 267.373 0.749 20 30 CCEDMN C[C@H](CCNC(=O)CSCC#N)NCc1ncccn1 ZINC001267120140 791562455 /nfs/dbraw/zinc/56/24/55/791562455.db2.gz QGKUVRNNSICLCN-LLVKDONJSA-N 0 1 293.396 0.718 20 30 CCEDMN C=CCCC(=O)NCCN(C)CCN1CCCC1=O ZINC001267188928 791644947 /nfs/dbraw/zinc/64/49/47/791644947.db2.gz UJFKVOFSOXBTDP-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cn(CCOC)nc1C ZINC001267195608 791657485 /nfs/dbraw/zinc/65/74/85/791657485.db2.gz HLNJGOLEMSYPSL-UHFFFAOYSA-N 0 1 278.356 0.133 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001038245094 791675270 /nfs/dbraw/zinc/67/52/70/791675270.db2.gz FYXJYEUDVMSRBR-MNOVXSKESA-N 0 1 273.340 0.544 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCO[C@H](C)C1 ZINC001267224348 791700413 /nfs/dbraw/zinc/70/04/13/791700413.db2.gz CMMOGVHXOACWRW-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@@H]1CCCO1 ZINC001233654579 806100783 /nfs/dbraw/zinc/10/07/83/806100783.db2.gz AVBFMCMCTMUCAD-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@](C)(O)C=C ZINC001233978072 806160445 /nfs/dbraw/zinc/16/04/45/806160445.db2.gz QUXVKGZETXGYKL-DZGCQCFKSA-N 0 1 264.369 0.870 20 30 CCEDMN COCCN1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234177628 806195779 /nfs/dbraw/zinc/19/57/79/806195779.db2.gz CIFOLKQYSNFKTM-ZDUSSCGKSA-N 0 1 250.342 0.579 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CC(=O)NCC ZINC001277838004 806201354 /nfs/dbraw/zinc/20/13/54/806201354.db2.gz GYLXEAIOHCZTQO-LLVKDONJSA-N 0 1 287.791 0.846 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@@H]1CCN1CCOC ZINC001234246604 806210277 /nfs/dbraw/zinc/21/02/77/806210277.db2.gz RFEDNPJZHAVMOX-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H](C)NC(N)=O ZINC001234276885 806214799 /nfs/dbraw/zinc/21/47/99/806214799.db2.gz UWPRHRNUTPGACQ-WDEREUQCSA-N 0 1 268.361 0.152 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CO[C@@H]1CCOC1 ZINC001234296053 806220626 /nfs/dbraw/zinc/22/06/26/806220626.db2.gz JVPIZZGHCARMLI-ZIAGYGMSSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CC[N@H+](CC)CCNC(=O)Cc1n[nH]c2c1CCCC2 ZINC001267241892 793232212 /nfs/dbraw/zinc/23/22/12/793232212.db2.gz OUKANDRLTFXPQS-UHFFFAOYSA-N 0 1 288.395 0.902 20 30 CCEDMN C#CC[N@@H+](CC)CCNC(=O)Cc1n[nH]c2c1CCCC2 ZINC001267241892 793232221 /nfs/dbraw/zinc/23/22/21/793232221.db2.gz OUKANDRLTFXPQS-UHFFFAOYSA-N 0 1 288.395 0.902 20 30 CCEDMN C#CCN(CC)CCNC(=O)Cc1[nH]nc2c1CCCC2 ZINC001267241892 793232226 /nfs/dbraw/zinc/23/22/26/793232226.db2.gz OUKANDRLTFXPQS-UHFFFAOYSA-N 0 1 288.395 0.902 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@H](C)C#N)C[C@@H](C)O2 ZINC001098574623 793233234 /nfs/dbraw/zinc/23/32/34/793233234.db2.gz PQBMFFURWVBPIK-ZIAGYGMSSA-N 0 1 289.379 0.861 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@@H](C)C#N)C[C@H](C)O2 ZINC001098574620 793234450 /nfs/dbraw/zinc/23/44/50/793234450.db2.gz PQBMFFURWVBPIK-KBPBESRZSA-N 0 1 289.379 0.861 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)Cn1cc(C)cn1 ZINC001267260446 793260976 /nfs/dbraw/zinc/26/09/76/793260976.db2.gz JWAYHONCPSFCRC-CQSZACIVSA-N 0 1 274.368 0.795 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C[C@@H]1CCCO1 ZINC001234360372 806233020 /nfs/dbraw/zinc/23/30/20/806233020.db2.gz IWVKHZRZDYLLTG-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+](C)CCCNC(=O)CCCOC ZINC001234414187 806240664 /nfs/dbraw/zinc/24/06/64/806240664.db2.gz GVNNBCIBVVIJPQ-ZDUSSCGKSA-N 0 1 299.415 0.542 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)CCCOC ZINC001234414187 806240671 /nfs/dbraw/zinc/24/06/71/806240671.db2.gz GVNNBCIBVVIJPQ-ZDUSSCGKSA-N 0 1 299.415 0.542 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CN(C)C(=O)C(C)C ZINC001234923834 806313933 /nfs/dbraw/zinc/31/39/33/806313933.db2.gz OQKPVBATLSQRQX-CYBMUJFWSA-N 0 1 281.400 0.820 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CNC(=O)NC ZINC001235024490 806348037 /nfs/dbraw/zinc/34/80/37/806348037.db2.gz OPGCNQSYCDWWHK-NSHDSACASA-N 0 1 268.361 0.024 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cc1cn(C)nc1C ZINC001235420126 806422138 /nfs/dbraw/zinc/42/21/38/806422138.db2.gz BTUZANJHDJUDKV-OAHLLOKOSA-N 0 1 288.395 0.827 20 30 CCEDMN C=CCCC(=O)NCCCN(C)[C@H](C)C(=O)NC ZINC001235451831 806423841 /nfs/dbraw/zinc/42/38/41/806423841.db2.gz QXOSLRUWEXXQGP-LLVKDONJSA-N 0 1 255.362 0.525 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)COc1cc(C)on1 ZINC001235571793 806459613 /nfs/dbraw/zinc/45/96/13/806459613.db2.gz WGBZXRWOKZQMOQ-CYBMUJFWSA-N 0 1 291.351 0.918 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(Cl)o1 ZINC001278047820 806636521 /nfs/dbraw/zinc/63/65/21/806636521.db2.gz IKYRSZHXCWTHOJ-SECBINFHSA-N 0 1 270.716 0.637 20 30 CCEDMN CN1CC2(C1)SCC[C@@H]2C(=O)NC1(C#N)CCC1 ZINC001278098005 806665462 /nfs/dbraw/zinc/66/54/62/806665462.db2.gz OBMBUDMCOLITJZ-SNVBAGLBSA-N 0 1 265.382 0.986 20 30 CCEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1Cc1nnc[nH]1 ZINC001237601171 806696211 /nfs/dbraw/zinc/69/62/11/806696211.db2.gz ZLUMSRRCCCCXED-LLVKDONJSA-N 0 1 289.343 0.235 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnncc2O)C1=O ZINC001120647926 798902677 /nfs/dbraw/zinc/90/26/77/798902677.db2.gz OTJWLSCUIQBGDJ-JTQLQIEISA-N 0 1 276.296 0.041 20 30 CCEDMN N#Cc1ccnc(C(=O)N[C@@H]2CN3CCC2CC3)c1 ZINC001120773192 798933433 /nfs/dbraw/zinc/93/34/33/798933433.db2.gz CHVCQUOIBBFDFZ-CYBMUJFWSA-N 0 1 256.309 0.777 20 30 CCEDMN Cc1cc(NC(=O)C(=O)N[C@H]2CCN(C)C2)ncc1C#N ZINC001120943146 798966024 /nfs/dbraw/zinc/96/60/24/798966024.db2.gz SXEWYAOUPOEMMM-NSHDSACASA-N 0 1 287.323 0.021 20 30 CCEDMN C=CCCOCC(=O)N1CCNC[C@H]1CCOC ZINC001122311700 799194033 /nfs/dbraw/zinc/19/40/33/799194033.db2.gz BXHZLSPEZNRKIE-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(C)cc(F)c1 ZINC001278154474 806726189 /nfs/dbraw/zinc/72/61/89/806726189.db2.gz FJGNXQDIEGIRGK-ZDUSSCGKSA-N 0 1 264.300 0.448 20 30 CCEDMN C=CCNC(=O)C(C#N)C(=O)CC1(CS(C)(=O)=O)CC1 ZINC001122413277 799227808 /nfs/dbraw/zinc/22/78/08/799227808.db2.gz JYHWZFWNIMHCQS-SNVBAGLBSA-N 0 1 298.364 0.212 20 30 CCEDMN C#CCNCC(=O)NCc1cc(C)cc(Cl)n1 ZINC001122575876 799266540 /nfs/dbraw/zinc/26/65/40/799266540.db2.gz OJQWAWCKGLIWEG-UHFFFAOYSA-N 0 1 251.717 0.882 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C(C)(C)Cc1ccccc1 ZINC001278178126 806740904 /nfs/dbraw/zinc/74/09/04/806740904.db2.gz BOJJPRHUQWDISF-HNNXBMFYSA-N 0 1 288.391 0.955 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1(c2cccc(F)c2)CC1 ZINC001278164222 806734997 /nfs/dbraw/zinc/73/49/97/806734997.db2.gz BATAHWQPGMCVKC-CQSZACIVSA-N 0 1 290.338 0.557 20 30 CCEDMN C=C(C)COCCNC(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001123664757 799451263 /nfs/dbraw/zinc/45/12/63/799451263.db2.gz AWCGOSSMNUOOPO-CHWSQXEVSA-N 0 1 267.373 0.084 20 30 CCEDMN C=CCn1cc(CN2CC[C@@](C)(CO)[C@H](O)C2)cn1 ZINC001139767808 799839393 /nfs/dbraw/zinc/83/93/93/799839393.db2.gz BPJPCSKINPYCKO-KGLIPLIRSA-N 0 1 265.357 0.634 20 30 CCEDMN Cc1nc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)sc1C ZINC000841015337 800065184 /nfs/dbraw/zinc/06/51/84/800065184.db2.gz CZFUNOVJIZUSJC-DTWKUNHWSA-N 0 1 265.342 0.993 20 30 CCEDMN CCOC(=O)C1(NC(=O)C2(C#N)CC2)CCN(C)CC1 ZINC001143186024 800204655 /nfs/dbraw/zinc/20/46/55/800204655.db2.gz KCTBBIHYGQURJU-UHFFFAOYSA-N 0 1 279.340 0.434 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CN(CC#CC)CCCO1 ZINC001149383450 800254165 /nfs/dbraw/zinc/25/41/65/800254165.db2.gz GDLDOSMIFRYMHS-CQSZACIVSA-N 0 1 280.368 0.419 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2cc(C)[nH]n2)C1 ZINC001149528803 800273970 /nfs/dbraw/zinc/27/39/70/800273970.db2.gz OZHQFLFZQPQIOQ-CQSZACIVSA-N 0 1 292.383 0.654 20 30 CCEDMN COCCN1CCCO[C@@H](CNC(=O)C#CC(C)C)C1 ZINC001149682382 800289798 /nfs/dbraw/zinc/28/97/98/800289798.db2.gz XCVJPBCDOPKVPK-AWEZNQCLSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2ccc[nH]2)C1 ZINC001150737021 800364794 /nfs/dbraw/zinc/36/47/94/800364794.db2.gz BRUNULIARFSZTN-CQSZACIVSA-N 0 1 277.368 0.950 20 30 CCEDMN C=CCN1CCC(CCOC)(NC(=O)c2cnon2)CC1 ZINC001151367466 800420299 /nfs/dbraw/zinc/42/02/99/800420299.db2.gz GGQLOJUFMJUZBH-UHFFFAOYSA-N 0 1 294.355 0.857 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCCS1(=O)=O ZINC001151685698 800447912 /nfs/dbraw/zinc/44/79/12/800447912.db2.gz RAULHUVANKVAKI-VIFPVBQESA-N 0 1 280.777 0.022 20 30 CCEDMN CCN(Cc1cnn(C)c1)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001152450227 800523811 /nfs/dbraw/zinc/52/38/11/800523811.db2.gz UAFRRBMTWMXFBV-VXGBXAGGSA-N 0 1 277.372 0.906 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1cc(C)no1 ZINC001153097506 800595883 /nfs/dbraw/zinc/59/58/83/800595883.db2.gz NAPUIRSXUQHZTI-NSHDSACASA-N 0 1 267.329 0.780 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1ocnc1C ZINC001153148583 800600564 /nfs/dbraw/zinc/60/05/64/800600564.db2.gz GYEPAQSVLFLNPR-NSHDSACASA-N 0 1 267.329 0.780 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1conc1C ZINC001153135406 800600628 /nfs/dbraw/zinc/60/06/28/800600628.db2.gz SIIYZKWZRZVTTI-LLVKDONJSA-N 0 1 267.329 0.780 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccc2nc[nH]c2n1 ZINC001153782351 800665530 /nfs/dbraw/zinc/66/55/30/800665530.db2.gz NXHWRDSDCMCTNU-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN CC1(C)CCc2c(n[nH]c2C(=O)n2nc(N)c(C#N)c2N)C1 ZINC001154500635 800789890 /nfs/dbraw/zinc/78/98/90/800789890.db2.gz YLOGMSDKFQKTRQ-UHFFFAOYSA-N 0 1 299.338 0.846 20 30 CCEDMN C#CCNC(=O)c1n[nH]c2cccc(C(=O)OC)c21 ZINC001154609185 800812160 /nfs/dbraw/zinc/81/21/60/800812160.db2.gz SGODZTQHUIJZQD-UHFFFAOYSA-N 0 1 257.249 0.713 20 30 CCEDMN Cc1nnc([C@H](C)NCCCNC(=O)CSCC#N)[nH]1 ZINC001154815234 800850401 /nfs/dbraw/zinc/85/04/01/800850401.db2.gz YOBHWSAWOCGTSP-VIFPVBQESA-N 0 1 296.400 0.527 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1n[nH]c2cccc(F)c21 ZINC001155285446 800947124 /nfs/dbraw/zinc/94/71/24/800947124.db2.gz ATEJNJMNIYCTPA-VIFPVBQESA-N 0 1 290.254 0.887 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCNCc1nonc1C ZINC001155427969 800972870 /nfs/dbraw/zinc/97/28/70/800972870.db2.gz XDOFGTZDPYJHBL-LBPRGKRZSA-N 0 1 296.371 0.955 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCNCc1nncn1C ZINC001155426803 800973418 /nfs/dbraw/zinc/97/34/18/800973418.db2.gz LKEMRTAWMQVDEE-LBPRGKRZSA-N 0 1 295.387 0.392 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ccc2[nH]c(C#N)cc2n1 ZINC001156830169 801244979 /nfs/dbraw/zinc/24/49/79/801244979.db2.gz AYNKIYNDSPXEIZ-UHFFFAOYSA-N 0 1 279.307 0.867 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CC(CO)C1 ZINC001156882552 801253168 /nfs/dbraw/zinc/25/31/68/801253168.db2.gz APUNHFGBOZRDCH-UHFFFAOYSA-N 0 1 256.265 0.499 20 30 CCEDMN Cc1nc(Cl)nc(NC(=N)N2CCN(C)CC2)c1C#N ZINC001157915806 801520842 /nfs/dbraw/zinc/52/08/42/801520842.db2.gz VHTPTVXBKXXIIT-UHFFFAOYSA-N 0 1 293.762 0.904 20 30 CCEDMN N#Cc1c(Cl)nccc1C(F)(F)n1nnnc1CN ZINC001158074819 801562873 /nfs/dbraw/zinc/56/28/73/801562873.db2.gz PNDOZPNMLWTVHV-UHFFFAOYSA-N 0 1 285.645 0.649 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1C[C@@H]2C(=O)Nc3ccccc3[C@H]2C1 ZINC001158186893 801585934 /nfs/dbraw/zinc/58/59/34/801585934.db2.gz IJHVMLFFDOEYED-WQGACYEGSA-N 0 1 283.331 0.531 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN2C(=O)c3ccccc3[C@@H]2C1 ZINC001158319920 801621219 /nfs/dbraw/zinc/62/12/19/801621219.db2.gz PTWFALRENVFZDJ-BBRMVZONSA-N 0 1 283.331 0.376 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCN(C)C1=O ZINC001158364034 801627647 /nfs/dbraw/zinc/62/76/47/801627647.db2.gz OPARWXIBKUPCNR-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN N#Cc1ccc([N+](=O)[O-])c(N2CCN3CC[C@H]3C2)n1 ZINC001158424811 801643888 /nfs/dbraw/zinc/64/38/88/801643888.db2.gz YMUOVHQVDRWDIY-JTQLQIEISA-N 0 1 259.269 0.756 20 30 CCEDMN N#Cc1cc([N+](=O)[O-])cnc1N1CCN2CC[C@H]2C1 ZINC001158423611 801643990 /nfs/dbraw/zinc/64/39/90/801643990.db2.gz MGKXLRJXUCMBCT-JTQLQIEISA-N 0 1 259.269 0.756 20 30 CCEDMN Cc1ccc(C(=NO)Nc2cc(CN)ncn2)nc1 ZINC001158498497 801659089 /nfs/dbraw/zinc/65/90/89/801659089.db2.gz ABWFJJRGBSMCLG-UHFFFAOYSA-N 0 1 258.285 0.887 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cn(C)cn2)CC1 ZINC001159804339 801875584 /nfs/dbraw/zinc/87/55/84/801875584.db2.gz WRYPHHYAGFTBIY-UHFFFAOYSA-N 0 1 272.352 0.805 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C[C@H]2CCNC2=O)CC1 ZINC001160341817 801930150 /nfs/dbraw/zinc/93/01/50/801930150.db2.gz CEXKSJVQRMHCNM-CQSZACIVSA-N 0 1 289.379 0.284 20 30 CCEDMN CN(C(=O)[C@@H](N)Cc1ccccc1C#N)C1COC1 ZINC001160541810 801965887 /nfs/dbraw/zinc/96/58/87/801965887.db2.gz IIWJTSYNDFIVBE-ZDUSSCGKSA-N 0 1 259.309 0.285 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC2(C[C@@H](F)CO2)CC1 ZINC001160788300 802021406 /nfs/dbraw/zinc/02/14/06/802021406.db2.gz QHZQKNPIUUWGKS-PWSUYJOCSA-N 0 1 254.305 0.457 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC2(CC1)OC(=O)c1ncccc12 ZINC001160879277 802040716 /nfs/dbraw/zinc/04/07/16/802040716.db2.gz QAVRVVZPDURSNJ-HNNXBMFYSA-N 0 1 299.330 0.420 20 30 CCEDMN C[C@@H](C#N)N(C)C(=O)[C@H](Cc1ccccc1)NC(=O)CN ZINC001161145111 802100748 /nfs/dbraw/zinc/10/07/48/802100748.db2.gz ZFEYQSLMQFISOC-AAEUAGOBSA-N 0 1 288.351 0.043 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2nonc2C)CC1 ZINC001161997939 802264142 /nfs/dbraw/zinc/26/41/42/802264142.db2.gz AGOAHSOAMYTABA-UHFFFAOYSA-N 0 1 274.324 0.763 20 30 CCEDMN N#Cc1cnc(-n2c3ccncc3nc2CN)cn1 ZINC001162215379 802289259 /nfs/dbraw/zinc/28/92/59/802289259.db2.gz WVNHEEKOPGQYCR-UHFFFAOYSA-N 0 1 251.253 0.541 20 30 CCEDMN N#Cc1cnnc(NCc2nnc3n2CCCNC3)c1 ZINC001163017411 802460245 /nfs/dbraw/zinc/46/02/45/802460245.db2.gz XXRNXIOIAUYDOM-UHFFFAOYSA-N 0 1 270.300 0.045 20 30 CCEDMN N#Cc1nccc(NCc2noc3c2CNCC3)n1 ZINC001163124974 802487822 /nfs/dbraw/zinc/48/78/22/802487822.db2.gz XDSPWPLHGSRBQH-UHFFFAOYSA-N 0 1 256.269 0.016 20 30 CCEDMN N#Cc1cc(NCc2noc3c2CNCC3)ncn1 ZINC001163126169 802487996 /nfs/dbraw/zinc/48/79/96/802487996.db2.gz NNHKJFUCMDNUMK-UHFFFAOYSA-N 0 1 256.269 0.594 20 30 CCEDMN N#CCc1ncc(NCc2noc3c2CNCC3)cn1 ZINC001163131783 802489018 /nfs/dbraw/zinc/48/90/18/802489018.db2.gz LNIUUSGCFGOWFU-UHFFFAOYSA-N 0 1 270.296 0.788 20 30 CCEDMN C=CCS(=O)(=O)Nc1ncnc2c1ncn2CC ZINC001259922625 808803985 /nfs/dbraw/zinc/80/39/85/808803985.db2.gz DNUURCGMYCJGMQ-UHFFFAOYSA-N 0 1 267.314 0.774 20 30 CCEDMN N#CCNCCCNC(=O)CCCCc1cn[nH]n1 ZINC001163212841 802508063 /nfs/dbraw/zinc/50/80/63/802508063.db2.gz HXMCGYCYGNZMIG-UHFFFAOYSA-N 0 1 264.333 0.137 20 30 CCEDMN CC(=O)C=C(C)Nc1nccc(C(N)=O)c1[N+](=O)[O-] ZINC001163738152 802601532 /nfs/dbraw/zinc/60/15/32/802601532.db2.gz RPLAOZNEFCPPQQ-WAYWQWQTSA-N 0 1 264.241 0.993 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCN[C@H](C)c1nnnn1C ZINC001163953585 802641318 /nfs/dbraw/zinc/64/13/18/802641318.db2.gz WNPGLNOKYCLZEX-SNVBAGLBSA-N 0 1 280.376 0.579 20 30 CCEDMN N#CN=C(Nc1nccnc1C(N)=O)c1ccncc1 ZINC001164503237 802751726 /nfs/dbraw/zinc/75/17/26/802751726.db2.gz YGTSHDQEBHWMBJ-UHFFFAOYSA-N 0 1 267.252 0.119 20 30 CCEDMN N#CC1(NC(=O)[C@H]2CC23CN(Cc2cnc[nH]2)C3)CCC1 ZINC001278345472 807001013 /nfs/dbraw/zinc/00/10/13/807001013.db2.gz NNUDNQCEJAUFLN-GFCCVEGCSA-N 0 1 285.351 0.794 20 30 CCEDMN N#CC1(c2ccc(N3CCNC(=O)C34CNC4)nc2)CCC1 ZINC001165297503 802818105 /nfs/dbraw/zinc/81/81/05/802818105.db2.gz FKKGPFGQTLVJFI-UHFFFAOYSA-N 0 1 297.362 0.305 20 30 CCEDMN N#CCNCC1(CCNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC001165593222 802853562 /nfs/dbraw/zinc/85/35/62/802853562.db2.gz GANXPQGHIMWDIF-VXGBXAGGSA-N 0 1 287.367 0.913 20 30 CCEDMN C[C@]1(O)CN[C@H](c2nc(-c3ccc(C#N)cn3)no2)C1 ZINC001211935920 814935026 /nfs/dbraw/zinc/93/50/26/814935026.db2.gz VQQRFNFCHLBJBP-GXFFZTMASA-N 0 1 271.280 0.789 20 30 CCEDMN COCc1nn(-c2cc(OC)c(C#N)cn2)c2c1CNC2 ZINC001165631622 802857942 /nfs/dbraw/zinc/85/79/42/802857942.db2.gz DAANVTMKNBSEDO-UHFFFAOYSA-N 0 1 285.307 0.897 20 30 CCEDMN COCc1nn(-c2cnc(C#N)c(C#N)n2)c2c1CNC2 ZINC001165632908 802859040 /nfs/dbraw/zinc/85/90/40/802859040.db2.gz AMBXQBFVSCGCQJ-UHFFFAOYSA-N 0 1 281.279 0.155 20 30 CCEDMN C#Cc1cncc(C(=O)NCCCNCc2cnn(C)n2)c1 ZINC001166299672 802899076 /nfs/dbraw/zinc/89/90/76/802899076.db2.gz XVHPUIBKIALYAC-UHFFFAOYSA-N 0 1 298.350 0.101 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCNCc1ncnn1C ZINC001166982995 803007557 /nfs/dbraw/zinc/00/75/57/803007557.db2.gz TVDDVBXXFOFMDU-NEPJUHHUSA-N 0 1 293.371 0.002 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cncn2CC)CC1 ZINC001205509926 803143865 /nfs/dbraw/zinc/14/38/65/803143865.db2.gz RPLXYWFRNJRGJT-UHFFFAOYSA-N 0 1 274.368 0.864 20 30 CCEDMN CCOCCC(=O)N[C@@H]1CN(CC#CCOC)C[C@H]1C ZINC001206565517 803268881 /nfs/dbraw/zinc/26/88/81/803268881.db2.gz SREWLFQBWWIBRJ-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CNC(C)=O)C1 ZINC001207100901 803351890 /nfs/dbraw/zinc/35/18/90/803351890.db2.gz REDRPUGQLACASA-LLVKDONJSA-N 0 1 273.764 0.456 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001207249443 803371887 /nfs/dbraw/zinc/37/18/87/803371887.db2.gz QDENJHHYVCDSRK-UKRRQHHQSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCc2ncncc2C1 ZINC001272033859 814766544 /nfs/dbraw/zinc/76/65/44/814766544.db2.gz YGGDBSUCCOLZQF-CYBMUJFWSA-N 0 1 286.379 0.605 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)CCSCC)[C@H](OC)C1 ZINC001211942291 814938677 /nfs/dbraw/zinc/93/86/77/814938677.db2.gz MZLOQSUXXWZLDG-CHWSQXEVSA-N 0 1 284.425 0.968 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCSCC)[C@H](OC)C1 ZINC001211942291 814938681 /nfs/dbraw/zinc/93/86/81/814938681.db2.gz MZLOQSUXXWZLDG-CHWSQXEVSA-N 0 1 284.425 0.968 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H](C)c2cnn(C)c2)C1 ZINC001208070991 803485912 /nfs/dbraw/zinc/48/59/12/803485912.db2.gz IPKPPPQSWZKUFN-GZBFAFLISA-N 0 1 288.395 0.983 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cnoc2)C1 ZINC001208395660 803512453 /nfs/dbraw/zinc/51/24/53/803512453.db2.gz ATCYEKNBEGTZDF-TZMCWYRMSA-N 0 1 291.351 0.303 20 30 CCEDMN C=CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001208816474 803547996 /nfs/dbraw/zinc/54/79/96/803547996.db2.gz BLWJLOSRPYYCQD-KGYLQXTDSA-N 0 1 267.373 0.524 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2[nH]ccc2C)[C@@H](O)C1 ZINC001083436894 814946022 /nfs/dbraw/zinc/94/60/22/814946022.db2.gz QHOFQIFYHGLMHI-NEPJUHHUSA-N 0 1 263.341 0.674 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C2(C(N)=O)CC2)C(C)(C)C1 ZINC001276606892 803563290 /nfs/dbraw/zinc/56/32/90/803563290.db2.gz CPHUJDUXRQAVIA-JTQLQIEISA-N 0 1 265.357 0.265 20 30 CCEDMN C=CCN(CCN1CCN(CCOC)CC1)C(=O)OCC ZINC001209018078 803564477 /nfs/dbraw/zinc/56/44/77/803564477.db2.gz BKEQCZNVIPDYRX-UHFFFAOYSA-N 0 1 299.415 0.895 20 30 CCEDMN C=CCN(CC[N@H+]1CC[C@@H](CO)C1)C(=O)OCC ZINC001209021566 803564691 /nfs/dbraw/zinc/56/46/91/803564691.db2.gz JGHJVSDZUSOKIW-GFCCVEGCSA-N 0 1 256.346 0.945 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(=O)NCC2CC2)C(C)(C)C1 ZINC001276616071 803574652 /nfs/dbraw/zinc/57/46/52/803574652.db2.gz RYFKMOSOKHWXLU-CYBMUJFWSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)C(=O)NCC2CC2)C(C)(C)C1 ZINC001276616072 803574893 /nfs/dbraw/zinc/57/48/93/803574893.db2.gz RYFKMOSOKHWXLU-ZDUSSCGKSA-N 0 1 291.395 0.363 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@]23C[C@H]2COC3)[C@H]1C ZINC001088580671 814952319 /nfs/dbraw/zinc/95/23/19/814952319.db2.gz YOTDMZFSSOSQNG-UHXUPSOCSA-N 0 1 250.342 0.788 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CCF)CC2)[C@H](OC)C1 ZINC001213211828 803685338 /nfs/dbraw/zinc/68/53/38/803685338.db2.gz WGOZYONPWIKFRT-CHWSQXEVSA-N 0 1 282.359 0.965 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1OC ZINC001213271066 803687764 /nfs/dbraw/zinc/68/77/64/803687764.db2.gz GBJUTIYXTAEKHE-QJPTWQEYSA-N 0 1 270.373 0.395 20 30 CCEDMN C=CCCN1CC[C@H](NC(=O)C2CS(=O)(=O)C2)[C@H]1C ZINC001088590219 814958463 /nfs/dbraw/zinc/95/84/63/814958463.db2.gz POMKTHRFZSZHSC-PWSUYJOCSA-N 0 1 286.397 0.186 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc[nH]c2CC)[C@@H](O)C1 ZINC001083442127 814975362 /nfs/dbraw/zinc/97/53/62/814975362.db2.gz WIAVLAPKBSDNJN-KGLIPLIRSA-N 0 1 275.352 0.375 20 30 CCEDMN C#CCOCCC(=O)N1CCO[C@@H]2CN(CCCF)C[C@@H]21 ZINC001217877381 803923052 /nfs/dbraw/zinc/92/30/52/803923052.db2.gz WHMFEAAVXOFEKJ-UONOGXRCSA-N 0 1 298.358 0.298 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1nnccc1C#N ZINC001218700554 804085904 /nfs/dbraw/zinc/08/59/04/804085904.db2.gz DCOKDOIEPWWTFI-ZDUSSCGKSA-N 0 1 292.302 0.728 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cn[nH]c(=O)c3)[C@@H]2C1 ZINC001075917588 814996312 /nfs/dbraw/zinc/99/63/12/814996312.db2.gz ZZVDTLLIAWBVKX-WCQYABFASA-N 0 1 286.335 0.352 20 30 CCEDMN N#Cc1cc(NC(=O)[C@@H]2CCCN2C(=O)CN)ccc1O ZINC001218953807 804165925 /nfs/dbraw/zinc/16/59/25/804165925.db2.gz AOOTUQURBRNXGP-NSHDSACASA-N 0 1 288.307 0.152 20 30 CCEDMN COc1cccc(NC(=O)[C@@H](N)CC[S@@](C)=O)c1C#N ZINC001218979099 804172657 /nfs/dbraw/zinc/17/26/57/804172657.db2.gz VXEZFMILQSWEPR-WVDJIFEKSA-N 0 1 295.364 0.601 20 30 CCEDMN N#Cc1cc(N)c(NC(=O)[C@H]([NH3+])Cc2c[nH]cn2)cc1C#N ZINC001218986752 804175269 /nfs/dbraw/zinc/17/52/69/804175269.db2.gz CJLQCUQNZHXHLA-GFCCVEGCSA-N 0 1 295.306 0.244 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@@H]2CCCCO2)C[C@@H]1O ZINC001219344572 804278965 /nfs/dbraw/zinc/27/89/65/804278965.db2.gz PVNRNNXRVZDJFD-MJBXVCDLSA-N 0 1 282.384 0.683 20 30 CCEDMN CC(C)OCCCN1C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](O)C1 ZINC001219381108 804292930 /nfs/dbraw/zinc/29/29/30/804292930.db2.gz IIVAPJDTWZXMMQ-XQQFMLRXSA-N 0 1 283.372 0.122 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)CCC)[C@@H](O)C1 ZINC001219392749 804296123 /nfs/dbraw/zinc/29/61/23/804296123.db2.gz VLBYUQZOIOAFBZ-UPJWGTAASA-N 0 1 252.358 0.607 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001219686761 804403677 /nfs/dbraw/zinc/40/36/77/804403677.db2.gz UWVGQVDSJZHGMI-KGLIPLIRSA-N 0 1 282.384 0.563 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2(C3CC3)CC2)[C@@H](O)C1 ZINC001219851557 804431934 /nfs/dbraw/zinc/43/19/34/804431934.db2.gz VGOSQMOGCQJTDN-KGLIPLIRSA-N 0 1 294.395 0.541 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H](C(=O)OC)c1ccc(OC)cc1 ZINC001219974991 804461960 /nfs/dbraw/zinc/46/19/60/804461960.db2.gz LCGJTCKEOOODGG-DOMZBBRYSA-N 0 1 290.319 0.376 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cnc[nH]c3=O)[C@@H]2C1 ZINC001075926452 815021006 /nfs/dbraw/zinc/02/10/06/815021006.db2.gz KJMALMCNIVYAPO-WCQYABFASA-N 0 1 286.335 0.352 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CCCO)C[C@@H]1O ZINC001220125171 804505653 /nfs/dbraw/zinc/50/56/53/804505653.db2.gz BKXGAXZUSBAJOL-NEPJUHHUSA-N 0 1 270.373 0.132 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cn2cccc(C)c2n1 ZINC001220278162 804559698 /nfs/dbraw/zinc/55/96/98/804559698.db2.gz FWQWRBVIXZBFLX-AWEZNQCLSA-N 0 1 256.309 0.610 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2cnoc2CC)[C@@H](O)C1 ZINC001220443985 804606065 /nfs/dbraw/zinc/60/60/65/804606065.db2.gz CJTGBRVPSXGUJC-OLZOCXBDSA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCC2CCOCC2)[C@@H](O)C1 ZINC001220482403 804612526 /nfs/dbraw/zinc/61/25/26/804612526.db2.gz QIGMJASLZSPIDX-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN C=C[C@H]1C[C@]1(NC(=O)[C@@H](N)COC(C)(C)C)C(=O)OCC ZINC001220573880 804628617 /nfs/dbraw/zinc/62/86/17/804628617.db2.gz ZOIKMTLGYZWBEP-ZIBATOQPSA-N 0 1 298.383 0.753 20 30 CCEDMN N#Cc1cnc(CNC(=O)C[C@@H]2COCCN2)s1 ZINC001220872130 804685920 /nfs/dbraw/zinc/68/59/20/804685920.db2.gz LEZQJAJKXYBSMK-MRVPVSSYSA-N 0 1 266.326 0.009 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2nccs2)[C@@H](O)C1 ZINC001221009857 804716471 /nfs/dbraw/zinc/71/64/71/804716471.db2.gz NUGHHCOMJFULOI-NEPJUHHUSA-N 0 1 295.408 0.813 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)[C@H](C)OC)[C@@H]2C1 ZINC001221334820 804779369 /nfs/dbraw/zinc/77/93/69/804779369.db2.gz UEIBCGDFIKAAFW-BFHYXJOUSA-N 0 1 282.384 0.757 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCC(=O)NCC)[C@@H]2C1 ZINC001221437395 804816983 /nfs/dbraw/zinc/81/69/83/804816983.db2.gz FERMXJATTLQENY-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCCC(=O)NC)[C@@H]2C1 ZINC001221496704 804824381 /nfs/dbraw/zinc/82/43/81/804824381.db2.gz ANFXEYACPIAFRV-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@]1(C)CCOC1 ZINC001221957914 804856790 /nfs/dbraw/zinc/85/67/90/804856790.db2.gz LKNMHPMGZABPKI-CYBMUJFWSA-N 0 1 253.346 0.277 20 30 CCEDMN COCC#CCN1CC[C@@H]2CCN(C(=O)C3CC3)C[C@@H]21 ZINC001222161310 804877713 /nfs/dbraw/zinc/87/77/13/804877713.db2.gz MKMLOVVSYSDYPN-HIFRSBDPSA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001222293876 804889087 /nfs/dbraw/zinc/88/90/87/804889087.db2.gz QTXDXWCFULMVPY-TZMCWYRMSA-N 0 1 293.411 0.773 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](C)COC)C[C@@H]21 ZINC001222421559 804899690 /nfs/dbraw/zinc/89/96/90/804899690.db2.gz CGJGEUFGKACVCK-MJBXVCDLSA-N 0 1 264.369 0.825 20 30 CCEDMN Cc1nc(CC(=O)NCC2CCN(CC#N)CC2)n[nH]1 ZINC001223148167 804957408 /nfs/dbraw/zinc/95/74/08/804957408.db2.gz GZCRSNWJRRLLFD-UHFFFAOYSA-N 0 1 276.344 0.007 20 30 CCEDMN C=C(C)CCC(=O)NCC1CCN(CC(=O)NC)CC1 ZINC001223352979 804967413 /nfs/dbraw/zinc/96/74/13/804967413.db2.gz KOTTZEZYOUVSHE-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@H]1CCN(CC#CC)[C@@H]1C ZINC001088632120 815043399 /nfs/dbraw/zinc/04/33/99/815043399.db2.gz ZERQFKKPXNWGBS-PMPSAXMXSA-N 0 1 287.407 0.686 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2nc(CC)c[nH]2)[C@H]1C ZINC001088632919 815044266 /nfs/dbraw/zinc/04/42/66/815044266.db2.gz JCSQWUHIOSCVCF-YPMHNXCESA-N 0 1 274.368 0.727 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@H]1C ZINC001088642177 815045042 /nfs/dbraw/zinc/04/50/42/815045042.db2.gz QQMLNDRWVBVOCC-ZJUUUORDSA-N 0 1 262.313 0.250 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1CCN([C@@H]2CCNC2=O)CC1 ZINC001224051030 805004996 /nfs/dbraw/zinc/00/49/96/805004996.db2.gz JFVDLZKOLPHWJX-CYBMUJFWSA-N 0 1 293.411 0.915 20 30 CCEDMN C#CCCCN1Cc2n[nH]c(C(=O)N(C)CCOC)c2C1 ZINC001276980292 805051933 /nfs/dbraw/zinc/05/19/33/805051933.db2.gz VFVJIQMGLYLWEU-UHFFFAOYSA-N 0 1 290.367 0.857 20 30 CCEDMN CCN1CC[C@@H](N2CCC(NC(=O)[C@H](C)C#N)CC2)C1=O ZINC001226639515 805259953 /nfs/dbraw/zinc/25/99/53/805259953.db2.gz FBLIHEKBUFFXFF-DGCLKSJQSA-N 0 1 292.383 0.347 20 30 CCEDMN N#CCN1CC[C@H](Oc2nc[nH]c(=O)c2Br)C1 ZINC001226721125 805271011 /nfs/dbraw/zinc/27/10/11/805271011.db2.gz FRKSBNCRWVLAKF-ZETCQYMHSA-N 0 1 299.128 0.921 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@H]2CC[C@H](F)C2)CC1 ZINC001277150300 805271449 /nfs/dbraw/zinc/27/14/49/805271449.db2.gz OORAPOXOYMADLA-RYUDHWBXSA-N 0 1 297.374 0.665 20 30 CCEDMN CC#CCCCC(=O)NCC1(N[C@H]2CCN(C)C2=O)CC1 ZINC001277150270 805271984 /nfs/dbraw/zinc/27/19/84/805271984.db2.gz NSBFRKRWSCQGMZ-ZDUSSCGKSA-N 0 1 291.395 0.649 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2coc(C)n2)CC1 ZINC001227005670 805309760 /nfs/dbraw/zinc/30/97/60/805309760.db2.gz JGETZGWEVLJHOI-UHFFFAOYSA-N 0 1 291.351 0.827 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1CCN([C@@H](C)C(=O)NC)CC1 ZINC001227124296 805325224 /nfs/dbraw/zinc/32/52/24/805325224.db2.gz PYHDZSDUMTZVJI-XHDPSFHLSA-N 0 1 297.399 0.029 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H](O)C(C)C)CC1 ZINC001227201301 805331734 /nfs/dbraw/zinc/33/17/34/805331734.db2.gz MGIXWUTZGYZZHU-CQSZACIVSA-N 0 1 282.384 0.234 20 30 CCEDMN N#CCCN1CC(Oc2nc(=O)[nH]c3c2COC3)C1 ZINC001227686531 805380216 /nfs/dbraw/zinc/38/02/16/805380216.db2.gz RSRUBUBWPSMHNW-UHFFFAOYSA-N 0 1 262.269 0.189 20 30 CCEDMN C=C[C@@H](Oc1c[nH]c(C(=O)OC)cc1=O)C(=O)OC ZINC001227787231 805388675 /nfs/dbraw/zinc/38/86/75/805388675.db2.gz ZXGBINNZYUYPCC-SECBINFHSA-N 0 1 267.237 0.268 20 30 CCEDMN C=CCCN1CCC(NC(=O)CCS(C)(=O)=O)CC1 ZINC001228024393 805408239 /nfs/dbraw/zinc/40/82/39/805408239.db2.gz YYOHXGRJAAGXHL-UHFFFAOYSA-N 0 1 288.413 0.578 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](OC)[C@H]2CCOC2)CC1 ZINC001228494129 805451681 /nfs/dbraw/zinc/45/16/81/805451681.db2.gz XSQGRPUFNVZDTB-DZGCQCFKSA-N 0 1 294.395 0.642 20 30 CCEDMN Cc1nc(O[C@@H]2C=CC(=O)C2)c([N+](=O)[O-])c(=O)[nH]1 ZINC001229379203 805527137 /nfs/dbraw/zinc/52/71/37/805527137.db2.gz SLPMQTCLTGCGLU-SSDOTTSWSA-N 0 1 251.198 0.675 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](C)OCCOC)CC1 ZINC001229444563 805535014 /nfs/dbraw/zinc/53/50/14/805535014.db2.gz JZBHNLMQADMFJQ-ZDUSSCGKSA-N 0 1 282.384 0.642 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NC1CCN(CC#N)CC1 ZINC001229558978 805542332 /nfs/dbraw/zinc/54/23/32/805542332.db2.gz MYCNAXQCELSJDO-NSHDSACASA-N 0 1 275.356 0.692 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)Cc2nnc(C)o2)C1 ZINC001278388772 807059020 /nfs/dbraw/zinc/05/90/20/807059020.db2.gz NMDIIQNTJXCXMJ-CQSZACIVSA-N 0 1 276.340 0.524 20 30 CCEDMN C[C@H]1C[C@@H](NCC#Cc2ccccc2)CN1C(=O)C(N)=O ZINC001278396891 807066129 /nfs/dbraw/zinc/06/61/29/807066129.db2.gz DDXYHXBSGUSZEK-GXTWGEPZSA-N 0 1 285.347 0.102 20 30 CCEDMN C#CCCc1cc(=O)n(-c2ccccc2S(N)(=O)=O)[nH]1 ZINC001245951463 807102583 /nfs/dbraw/zinc/10/25/83/807102583.db2.gz DBDAPOKWEDVINP-UHFFFAOYSA-N 0 1 291.332 0.791 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](NC(=N)c2ccc(Cl)cn2)CN1 ZINC001246216409 807190418 /nfs/dbraw/zinc/19/04/18/807190418.db2.gz ZIBAENFQOBWNJD-SCZZXKLOSA-N 0 1 282.731 0.344 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](NCc2ccc(C#N)o2)CN1 ZINC001246353453 807231237 /nfs/dbraw/zinc/23/12/37/807231237.db2.gz WASOPNFYZLRVCR-SKDRFNHKSA-N 0 1 263.297 0.534 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CN2CCCNC2=O)[C@H]1C ZINC001088701323 815102133 /nfs/dbraw/zinc/10/21/33/815102133.db2.gz ZTSSLCLCIDPWOO-OLZOCXBDSA-N 0 1 292.383 0.004 20 30 CCEDMN O=C(NCCNCC#Cc1ccc(F)cc1)c1ncc[nH]1 ZINC001126800674 815111653 /nfs/dbraw/zinc/11/16/53/815111653.db2.gz KASOZDTXQZUPOG-UHFFFAOYSA-N 0 1 286.310 0.920 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@@H](NC(=O)c2ncn[n-]2)[C@@H]1C ZINC001278521765 807563105 /nfs/dbraw/zinc/56/31/05/807563105.db2.gz QTJJSOLGXRKDNG-NWDGAFQWSA-N 0 1 291.355 0.037 20 30 CCEDMN CC(C)C#CC(=O)NCCN[C@@H](C)c1cnccn1 ZINC001126816413 815132370 /nfs/dbraw/zinc/13/23/70/815132370.db2.gz ZZRUBCBXNHLALD-LBPRGKRZSA-N 0 1 260.341 0.903 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2ncc3cccnc32)[C@H]1C ZINC001088753431 815132520 /nfs/dbraw/zinc/13/25/20/815132520.db2.gz SPBQUCAYRJKEAL-OCCSQVGLSA-N 0 1 297.362 0.644 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1ccccc1CO ZINC001251708530 807696305 /nfs/dbraw/zinc/69/63/05/807696305.db2.gz SJCJTWYKAYGOOP-UHFFFAOYSA-N 0 1 275.352 0.543 20 30 CCEDMN CCOC(=O)C[C@H]1CCCN1C(=O)NCC#CCN(C)C ZINC001251707826 807696999 /nfs/dbraw/zinc/69/69/99/807696999.db2.gz HHMBAEDWYDHYPD-CYBMUJFWSA-N 0 1 295.383 0.679 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)C[C@H]1CCCO1 ZINC001251708670 807697115 /nfs/dbraw/zinc/69/71/15/807697115.db2.gz WMBHLIDSHYTUNA-GFCCVEGCSA-N 0 1 253.346 0.372 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCCC[C@@H]1CCO ZINC001251707813 807697156 /nfs/dbraw/zinc/69/71/56/807697156.db2.gz GVXWXAOPACCDDO-CYBMUJFWSA-N 0 1 267.373 0.498 20 30 CCEDMN C#CCOC[C@H](O)CN[C@H](CO)c1cc(F)ccc1F ZINC001251904176 807743363 /nfs/dbraw/zinc/74/33/63/807743363.db2.gz WGZSYTIIMRBOJE-BXUZGUMPSA-N 0 1 285.290 0.599 20 30 CCEDMN C=CCOC[C@H](O)CN1CC2CC1(C(=O)N1CCCC1)C2 ZINC001252463032 807875106 /nfs/dbraw/zinc/87/51/06/807875106.db2.gz LMSYSMHXOYPNLT-NPCAHTBFSA-N 0 1 294.395 0.637 20 30 CCEDMN C=CCOC[C@@H](O)CNCC(=O)c1ccc(O)c(O)c1 ZINC001252491195 807891786 /nfs/dbraw/zinc/89/17/86/807891786.db2.gz GUXQBOYDRSRRCS-NSHDSACASA-N 0 1 281.308 0.434 20 30 CCEDMN C=C[C@@](C)(O)CN1CCCn2cnc(CNC(C)=O)c2C1 ZINC001252552083 807908147 /nfs/dbraw/zinc/90/81/47/807908147.db2.gz SRBCNOOSALVBOV-OAHLLOKOSA-N 0 1 292.383 0.662 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(c2ncc(C)cn2)CC1 ZINC001252558643 807910739 /nfs/dbraw/zinc/91/07/39/807910739.db2.gz YRMLOVJIZFROPG-AWEZNQCLSA-N 0 1 262.357 0.844 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c[nH]c(=O)n2C)[C@H]1C ZINC001088795248 815165961 /nfs/dbraw/zinc/16/59/61/815165961.db2.gz UWZODYFUMTYQCZ-MNOVXSKESA-N 0 1 276.340 0.342 20 30 CCEDMN C=CCn1cnc2c1ncnc2NS(=O)(=O)CC ZINC001253285009 808041595 /nfs/dbraw/zinc/04/15/95/808041595.db2.gz ZCRGGJRWYNSASY-UHFFFAOYSA-N 0 1 267.314 0.774 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H](C)CSC)C1 ZINC001278766733 808193707 /nfs/dbraw/zinc/19/37/07/808193707.db2.gz MLODHNNIHAWRBQ-AAEUAGOBSA-N 0 1 270.398 0.172 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)/C=C/c2ccc[nH]2)C1 ZINC001278770830 808199587 /nfs/dbraw/zinc/19/95/87/808199587.db2.gz FXZKRLZODWKSHU-LLYBFZRZSA-N 0 1 273.336 0.214 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2[nH]c(C)cc2C)C1 ZINC001278787110 808248863 /nfs/dbraw/zinc/24/88/63/808248863.db2.gz ODSCHDIDRDJBLO-HNNXBMFYSA-N 0 1 275.352 0.431 20 30 CCEDMN COC(=O)CNC(=O)[C@@H]1CCCN1[C@H]1CC[C@H](C#N)C1 ZINC001254676084 808272801 /nfs/dbraw/zinc/27/28/01/808272801.db2.gz ISMMOTYWMCKGDR-SRVKXCTJSA-N 0 1 279.340 0.432 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2ccc(Cl)[nH]2)C1 ZINC001278804427 808275857 /nfs/dbraw/zinc/27/58/57/808275857.db2.gz AKEDQNXUXGZEPM-CQSZACIVSA-N 0 1 295.770 0.858 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CC(CC)CC)C1 ZINC001278816900 808282888 /nfs/dbraw/zinc/28/28/88/808282888.db2.gz YJQLJGJQVQHCOI-OAHLLOKOSA-N 0 1 266.385 0.999 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccc(C)cc2)C1 ZINC001278820532 808292598 /nfs/dbraw/zinc/29/25/98/808292598.db2.gz BKNPSWHOJXRTEH-INIZCTEOSA-N 0 1 272.348 0.795 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccccc1O ZINC001278844835 808321400 /nfs/dbraw/zinc/32/14/00/808321400.db2.gz NBRJRFLRWZRHAC-CYBMUJFWSA-N 0 1 290.363 0.780 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C1CC(OC)C1 ZINC001278860074 808349657 /nfs/dbraw/zinc/34/96/57/808349657.db2.gz OJVSYGRWJILDBJ-ROKHWSDSSA-N 0 1 282.384 0.186 20 30 CCEDMN COc1ncc(CNCCNC(=O)C#CC2CC2)c(C)n1 ZINC001126899385 815203098 /nfs/dbraw/zinc/20/30/98/815203098.db2.gz OUCKILGDRHNRHR-UHFFFAOYSA-N 0 1 288.351 0.413 20 30 CCEDMN CC[C@H]1CN(C(=O)NCC#CCN(C)C)C[C@@H](C)O1 ZINC001256584666 808537065 /nfs/dbraw/zinc/53/70/65/808537065.db2.gz IGVLVLBIGNTNEZ-OLZOCXBDSA-N 0 1 267.373 0.760 20 30 CCEDMN Cc1nc([C@@H](C)N2CCN(C(=O)C#CC3CC3)CC2)n[nH]1 ZINC001258291662 808669302 /nfs/dbraw/zinc/66/93/02/808669302.db2.gz CSJDORJYQYVQHH-LLVKDONJSA-N 0 1 287.367 0.732 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC001259791251 808769784 /nfs/dbraw/zinc/76/97/84/808769784.db2.gz RNYZBGDNJJUUQP-QWHCGFSZSA-N 0 1 291.395 0.648 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2c(C)nn(C)c2C)cc[nH]1 ZINC001260105091 808851428 /nfs/dbraw/zinc/85/14/28/808851428.db2.gz IYIZZBFKRLDSIB-UHFFFAOYSA-N 0 1 290.348 0.636 20 30 CCEDMN C#CC1(NS(=O)(=O)c2nc3nc(Cl)ccn3n2)CC1 ZINC001260180340 808854804 /nfs/dbraw/zinc/85/48/04/808854804.db2.gz MVDNVXQNUDQEQT-UHFFFAOYSA-N 0 1 297.727 0.222 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3n[nH]nc3C)[C@@H]2C1 ZINC001076117165 815246246 /nfs/dbraw/zinc/24/62/46/815246246.db2.gz GDSDKIMOJWCLAU-WDEREUQCSA-N 0 1 261.329 0.445 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)NCc2n[nH]c(=O)n2C)C1 ZINC001262083885 809186581 /nfs/dbraw/zinc/18/65/81/809186581.db2.gz YZOWEDYFFFWYDY-SECBINFHSA-N 0 1 281.316 0.007 20 30 CCEDMN C=CCn1cc(C(=O)N2CC[C@H]3CN(CC=C)C[C@H]32)nn1 ZINC001076334954 815278209 /nfs/dbraw/zinc/27/82/09/815278209.db2.gz RLPBYRZKGUAREB-GXTWGEPZSA-N 0 1 287.367 0.796 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)NC(=O)[C@H]1CCCN1C ZINC001076408164 815291498 /nfs/dbraw/zinc/29/14/98/815291498.db2.gz IIBVNDCBZQIANM-UONOGXRCSA-N 0 1 293.411 0.751 20 30 CCEDMN COC[C@@H]1CNCCN1C(=O)c1cccc(C#N)c1 ZINC001263262062 809499944 /nfs/dbraw/zinc/49/99/44/809499944.db2.gz XHWLRYPIPZYCEZ-ZDUSSCGKSA-N 0 1 259.309 0.619 20 30 CCEDMN C=C(CC(C)C)C(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001263815752 809576317 /nfs/dbraw/zinc/57/63/17/809576317.db2.gz IRBZQPFRLHYBII-UHFFFAOYSA-N 0 1 279.344 0.612 20 30 CCEDMN CCO[C@@H]1CN(C(=O)[C@H]2CCCN2C)C[C@H]1CNCC#N ZINC001263963587 809614004 /nfs/dbraw/zinc/61/40/04/809614004.db2.gz IOOPDFCCIXIVPR-MGPQQGTHSA-N 0 1 294.399 0.057 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cnn(C)c3OC)[C@@H]2C1 ZINC001076506880 815303544 /nfs/dbraw/zinc/30/35/44/815303544.db2.gz JFLBFMUXAGMECA-WCQYABFASA-N 0 1 290.367 0.761 20 30 CCEDMN CC#CCNCc1cc(=O)[nH]c(CNC(=O)C(F)F)n1 ZINC001264023850 809623976 /nfs/dbraw/zinc/62/39/76/809623976.db2.gz JSLWOGCVWZAHGJ-UHFFFAOYSA-N 0 1 284.266 0.176 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H](C)CSC)C1 ZINC001264075512 809628317 /nfs/dbraw/zinc/62/83/17/809628317.db2.gz XMOJOTLIXOMQNN-KBPBESRZSA-N 0 1 298.452 0.904 20 30 CCEDMN COCC#CCN1CC[C@H](NC(=O)C2=COCCC2)[C@H]1C ZINC001264134464 809633907 /nfs/dbraw/zinc/63/39/07/809633907.db2.gz NBIWZTOXCPKJRW-HIFRSBDPSA-N 0 1 292.379 0.910 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CNC(=O)OC ZINC001264180747 809636389 /nfs/dbraw/zinc/63/63/89/809636389.db2.gz OWCOCLLLYNHJAJ-LBPRGKRZSA-N 0 1 281.356 0.289 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CN1CCCNC1=O ZINC001264365371 809643487 /nfs/dbraw/zinc/64/34/87/809643487.db2.gz WPIFWQDKZFUPAL-GFCCVEGCSA-N 0 1 280.372 0.120 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)CCCC=C)n1 ZINC001264582527 809664318 /nfs/dbraw/zinc/66/43/18/809664318.db2.gz AUTFWABCQJRXSA-UHFFFAOYSA-N 0 1 288.351 0.878 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C#CC(C)C ZINC001264984152 809688076 /nfs/dbraw/zinc/68/80/76/809688076.db2.gz ZYLYUCKXNSZNKI-CQSZACIVSA-N 0 1 291.395 0.222 20 30 CCEDMN CCN(C)C(=O)CN(CC)CCCNC(=O)[C@@H](C)C#N ZINC001265106383 809709808 /nfs/dbraw/zinc/70/98/08/809709808.db2.gz KUECOKNPBCGWHX-LBPRGKRZSA-N 0 1 282.388 0.453 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)C[C@@H]2CCOC2)CC1 ZINC001265257740 809733675 /nfs/dbraw/zinc/73/36/75/809733675.db2.gz MGXKMUJCTDEZGV-HNNXBMFYSA-N 0 1 295.427 0.723 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cc(C)no2)C1 ZINC001265270767 809738327 /nfs/dbraw/zinc/73/83/27/809738327.db2.gz MESFNYDZTHPUIY-LBPRGKRZSA-N 0 1 279.340 0.990 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2nccn2C)C1 ZINC001265294944 809748966 /nfs/dbraw/zinc/74/89/66/809748966.db2.gz MUCDWLOEYPIECS-GFCCVEGCSA-N 0 1 262.357 0.729 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCCc2nc(CC)no2)C1 ZINC001265317809 809757585 /nfs/dbraw/zinc/75/75/85/809757585.db2.gz XRBGHMQFPFTGRW-GFCCVEGCSA-N 0 1 290.367 0.778 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@H](NCc2cn(C)nn2)C1 ZINC001265475052 809788406 /nfs/dbraw/zinc/78/84/06/809788406.db2.gz CFVDINFYXKEGCN-HAQNSBGRSA-N 0 1 275.356 0.355 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN([C@H](C)C(N)=O)C1 ZINC001265589054 809809281 /nfs/dbraw/zinc/80/92/81/809809281.db2.gz MNAJNEQPXBBNMQ-VXGBXAGGSA-N 0 1 281.400 0.901 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCOCCOC ZINC001265658386 809820031 /nfs/dbraw/zinc/82/00/31/809820031.db2.gz XYWZZTKLGRGBPA-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN(C)CC(=O)NCC(C)(C)C ZINC001265814992 809862103 /nfs/dbraw/zinc/86/21/03/809862103.db2.gz LMOUFGINQHKMIJ-GFCCVEGCSA-N 0 1 296.415 0.746 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](NCc2cnnn2C)C1 ZINC001265901310 809889041 /nfs/dbraw/zinc/88/90/41/809889041.db2.gz UWEJWKXHWXITRK-LBPRGKRZSA-N 0 1 275.356 0.309 20 30 CCEDMN CC1CCN(CC(=O)NCCCN(C)CC#N)CC1 ZINC001265942957 809902114 /nfs/dbraw/zinc/90/21/14/809902114.db2.gz ZVRKHYDURFRLMK-UHFFFAOYSA-N 0 1 266.389 0.680 20 30 CCEDMN C[C@H](CCNC(=O)C#CC(C)(C)C)NCc1cn(C)nn1 ZINC001266144578 809948445 /nfs/dbraw/zinc/94/84/45/809948445.db2.gz VNTKRZFKIOSMCV-GFCCVEGCSA-N 0 1 291.399 0.849 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC(N(C)C(=O)C#CC(C)C)CC1 ZINC001266181985 809956664 /nfs/dbraw/zinc/95/66/64/809956664.db2.gz XAWUHIKWVDNTJO-CQSZACIVSA-N 0 1 293.411 0.833 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001266222451 809966184 /nfs/dbraw/zinc/96/61/84/809966184.db2.gz SOJBANLHPVJGAH-HNNXBMFYSA-N 0 1 288.395 0.957 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H](C)CC(N)=O)C1 ZINC001266234082 809967947 /nfs/dbraw/zinc/96/79/47/809967947.db2.gz XAQJPZOZGXUBRT-VXGBXAGGSA-N 0 1 267.373 0.655 20 30 CCEDMN CC#CCN1CCC[C@](CO)(NC(=O)[C@H]2CCCN2C)C1 ZINC001279471222 809982173 /nfs/dbraw/zinc/98/21/73/809982173.db2.gz MDKGNWDUNYSYRH-ZBFHGGJFSA-N 0 1 293.411 0.047 20 30 CCEDMN C=CCCN1CCOC[C@@H]1CNC(=O)CN1CCCC1 ZINC001280422208 810019370 /nfs/dbraw/zinc/01/93/70/810019370.db2.gz VPIZXXYYCNYUJK-AWEZNQCLSA-N 0 1 281.400 0.475 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)N(C)C(=O)c1[nH]nnc1C ZINC001280522973 810028258 /nfs/dbraw/zinc/02/82/58/810028258.db2.gz DRBCNFBEILKEIL-VIFPVBQESA-N 0 1 293.371 0.902 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2ccn(C)c2)C1 ZINC001076878041 815404031 /nfs/dbraw/zinc/40/40/31/815404031.db2.gz MIBKVBFWQIOBMA-CHWSQXEVSA-N 0 1 263.341 0.376 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)C1CC(OC)C1 ZINC001267269243 811070946 /nfs/dbraw/zinc/07/09/46/811070946.db2.gz LCWJEAFTZNCHHJ-SLTAFYQDSA-N 0 1 294.395 0.642 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H]1CCCN1[C@H](C)C(=O)NC ZINC001267273587 811078833 /nfs/dbraw/zinc/07/88/33/811078833.db2.gz XPCFZFJIQPRBTA-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)Cn1cc(Cl)cn1 ZINC001267278318 811085517 /nfs/dbraw/zinc/08/55/17/811085517.db2.gz INLKCEJPRIOJHE-LBPRGKRZSA-N 0 1 280.759 0.750 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)CCc1cn(C)nn1 ZINC001267283786 811094789 /nfs/dbraw/zinc/09/47/89/811094789.db2.gz QYKRXDIFDBLEDQ-AWEZNQCLSA-N 0 1 289.383 0.352 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H]1CCN(CCF)C1 ZINC001267291206 811108445 /nfs/dbraw/zinc/10/84/45/811108445.db2.gz BIRRTXWFPZGBJX-DGCLKSJQSA-N 0 1 258.337 0.721 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cn2c(n1)CCC2 ZINC001038277298 811144051 /nfs/dbraw/zinc/14/40/51/811144051.db2.gz OQSCMNJTZIBKGE-GFCCVEGCSA-N 0 1 272.352 0.657 20 30 CCEDMN Cc1ccc(-n2c(C)ncc(CN)c2=N)c(C#N)n1 ZINC001167674420 811183182 /nfs/dbraw/zinc/18/31/82/811183182.db2.gz PCTQTOWBGSVADE-UHFFFAOYSA-N 0 1 254.297 0.694 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CCNC(=O)[C@@H](C)CC)C1 ZINC001267507973 811384253 /nfs/dbraw/zinc/38/42/53/811384253.db2.gz HVOVJBGDHDLLCT-KBPBESRZSA-N 0 1 293.411 0.610 20 30 CCEDMN CC#CCN[C@@H](CNC(=O)c1cnnn1C)c1ccccc1 ZINC001267519668 811397852 /nfs/dbraw/zinc/39/78/52/811397852.db2.gz IALHPCCFIQUCCU-AWEZNQCLSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN[C@H](CNC(=O)c1cnn(C)n1)c1ccccc1 ZINC001267523214 811401676 /nfs/dbraw/zinc/40/16/76/811401676.db2.gz QUEARQGAMTYPKY-CYBMUJFWSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@@]1(C)CCNC1=O)c1ccccc1 ZINC001267523136 811402006 /nfs/dbraw/zinc/40/20/06/811402006.db2.gz PMGANKQLKIKYDW-PBHICJAKSA-N 0 1 299.374 0.593 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(CC)n2)C1 ZINC001077141756 815450213 /nfs/dbraw/zinc/45/02/13/815450213.db2.gz ACHNTJCHIIXEIA-HUUCEWRRSA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc(CC)n2)C1 ZINC001077141756 815450216 /nfs/dbraw/zinc/45/02/16/815450216.db2.gz ACHNTJCHIIXEIA-HUUCEWRRSA-N 0 1 289.379 0.995 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)[C@@H]2CCCO2)CC1 ZINC001267605083 811537720 /nfs/dbraw/zinc/53/77/20/811537720.db2.gz LAQGBMSHODLOBR-HNNXBMFYSA-N 0 1 293.411 0.265 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CCn2cnccc2=O)C1 ZINC001267619192 811577051 /nfs/dbraw/zinc/57/70/51/811577051.db2.gz ARCSZHDJWDHYBB-CYBMUJFWSA-N 0 1 290.367 0.400 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnn(C)c2Cl)C1 ZINC001077235801 815466226 /nfs/dbraw/zinc/46/62/26/815466226.db2.gz WGEJFXODFGTVNF-GHMZBOCLSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cnn(C)c2Cl)C1 ZINC001077235801 815466231 /nfs/dbraw/zinc/46/62/31/815466231.db2.gz WGEJFXODFGTVNF-GHMZBOCLSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC(CNC(=O)CCNC(=O)c2ccco2)C1 ZINC001267698245 811663537 /nfs/dbraw/zinc/66/35/37/811663537.db2.gz AVDOUPXHFGIXQW-UHFFFAOYSA-N 0 1 291.351 0.634 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCC(=O)NCC)C1 ZINC001267722562 811687888 /nfs/dbraw/zinc/68/78/88/811687888.db2.gz HDYSXBIWWKALRR-LBPRGKRZSA-N 0 1 265.357 0.117 20 30 CCEDMN C[C@H](CNc1nccnc1C#N)N(C)C(=O)Cc1c[nH]cn1 ZINC001104825734 811735170 /nfs/dbraw/zinc/73/51/70/811735170.db2.gz MRBGVKSWFKXAHB-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CCCn2cncn2)C1 ZINC001267789561 811787433 /nfs/dbraw/zinc/78/74/33/811787433.db2.gz GUHZLANPKWKLGC-AWEZNQCLSA-N 0 1 289.383 0.614 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@H](NC(=O)c2ccn3cncc3c2)C1 ZINC001077309048 815478690 /nfs/dbraw/zinc/47/86/90/815478690.db2.gz YMSONRZGUVZIQP-HUUCEWRRSA-N 0 1 298.346 0.133 20 30 CCEDMN C=C(C)CCNCc1cn(C2CN(C(=O)COC)C2)nn1 ZINC001105119816 811845998 /nfs/dbraw/zinc/84/59/98/811845998.db2.gz CEMVRBPAFMPQLJ-UHFFFAOYSA-N 0 1 293.371 0.364 20 30 CCEDMN C=CCCNCc1cn(C2CN(C(=O)C3CC3)C2)nn1 ZINC001105128441 811848699 /nfs/dbraw/zinc/84/86/99/811848699.db2.gz DCVKSJXAJUEREI-UHFFFAOYSA-N 0 1 275.356 0.737 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2[nH]c(C)cc2C)[C@@H](O)C1 ZINC001083572972 815482684 /nfs/dbraw/zinc/48/26/84/815482684.db2.gz PJXLRJKNPMJTOK-OLZOCXBDSA-N 0 1 277.368 0.983 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)[C@@H](C)NC(C)=O)C1 ZINC001268018448 811961830 /nfs/dbraw/zinc/96/18/30/811961830.db2.gz POEYHSXYNSVNPN-TZMCWYRMSA-N 0 1 297.399 0.294 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCn3cccn3)[C@@H]2C1 ZINC001075563232 811986073 /nfs/dbraw/zinc/98/60/73/811986073.db2.gz QOTYUQLYSUGFTP-LSDHHAIUSA-N 0 1 286.379 0.829 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)Cc2nc[nH]n2)C1 ZINC001268030131 812030721 /nfs/dbraw/zinc/03/07/21/812030721.db2.gz NDCJECGTQBGKDU-LBPRGKRZSA-N 0 1 293.371 0.130 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1n[nH]cc1C ZINC001027876436 812111715 /nfs/dbraw/zinc/11/17/15/812111715.db2.gz NBTVYKVMJJSJJB-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001027882565 812114784 /nfs/dbraw/zinc/11/47/84/812114784.db2.gz ROMGKLZOEAKLQU-NEPJUHHUSA-N 0 1 287.367 0.934 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001027898241 812128135 /nfs/dbraw/zinc/12/81/35/812128135.db2.gz XDSCIDMIUSJKCY-GUTXKFCHSA-N 0 1 262.353 0.627 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnn2c1CCC2 ZINC001027918956 812140686 /nfs/dbraw/zinc/14/06/86/812140686.db2.gz RDRYGEXURPUXBP-LBPRGKRZSA-N 0 1 272.352 0.657 20 30 CCEDMN N#Cc1ccccc1CN1CC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001038825730 812166680 /nfs/dbraw/zinc/16/66/80/812166680.db2.gz JVMGNOAKQHARQV-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN N#Cc1ccccc1CN1CC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC001038825730 812166686 /nfs/dbraw/zinc/16/66/86/812166686.db2.gz JVMGNOAKQHARQV-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN C[C@H](CNCC#N)N(C)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001268064617 812178761 /nfs/dbraw/zinc/17/87/61/812178761.db2.gz VYNYXSAQCXWVMW-MNOVXSKESA-N 0 1 275.356 0.790 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCn2nccc21 ZINC001027978215 812188174 /nfs/dbraw/zinc/18/81/74/812188174.db2.gz IEVSTHNAWAHKDB-KBPBESRZSA-N 0 1 286.379 0.974 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001028083905 812259584 /nfs/dbraw/zinc/25/95/84/812259584.db2.gz DCMHODWWUJWVGB-MQYQWHSLSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001028096035 812274754 /nfs/dbraw/zinc/27/47/54/812274754.db2.gz SBLQDNODFSSVMX-KBPBESRZSA-N 0 1 291.395 0.600 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001028139815 812307399 /nfs/dbraw/zinc/30/73/99/812307399.db2.gz UIVIDCXDKGOACX-NSHDSACASA-N 0 1 288.351 0.331 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@H]2CCCN2CC=C)nn1 ZINC001028187792 812342132 /nfs/dbraw/zinc/34/21/32/812342132.db2.gz NRQQYYPTXOAKEI-GFCCVEGCSA-N 0 1 275.356 0.844 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2C[C@H](C)OC)nc1 ZINC001038932823 812365413 /nfs/dbraw/zinc/36/54/13/812365413.db2.gz SRDLJPIRALRUTJ-JSGCOSHPSA-N 0 1 287.363 0.902 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001268240977 812405694 /nfs/dbraw/zinc/40/56/94/812405694.db2.gz HJMDWKCTVPPRDZ-UHFFFAOYSA-N 0 1 288.351 0.697 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cccnn1 ZINC001028220295 812416604 /nfs/dbraw/zinc/41/66/04/812416604.db2.gz SZDMLXPLOFQKMU-LBPRGKRZSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cc(CC)nn1C ZINC001028224184 812429201 /nfs/dbraw/zinc/42/92/01/812429201.db2.gz ZDXQWQXRFPGLNK-CYBMUJFWSA-N 0 1 274.368 0.810 20 30 CCEDMN C#CCCCC(=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001126338554 812447958 /nfs/dbraw/zinc/44/79/58/812447958.db2.gz DQLTVKJOMAKDSW-UHFFFAOYSA-N 0 1 275.356 0.691 20 30 CCEDMN C=CCCCN1CC(NC(=O)C[C@@H](C)NC(N)=O)C1 ZINC001268310386 812472840 /nfs/dbraw/zinc/47/28/40/812472840.db2.gz WOVAFNKUNLZEBO-SNVBAGLBSA-N 0 1 268.361 0.200 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H](F)C(C)C ZINC001268322093 812485404 /nfs/dbraw/zinc/48/54/04/812485404.db2.gz WRWNHYXLGLKKME-UWVGGRQHSA-N 0 1 266.744 0.800 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCOC)[C@H](OC)C1 ZINC001211745584 812610747 /nfs/dbraw/zinc/61/07/47/812610747.db2.gz FGMWNGNOHHRYER-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(C(N)=O)ccn1 ZINC001028356418 812640623 /nfs/dbraw/zinc/64/06/23/812640623.db2.gz HRUXIQFOPGIJIL-LBPRGKRZSA-N 0 1 288.351 0.561 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1ccc(F)cn1 ZINC001126366167 812681726 /nfs/dbraw/zinc/68/17/26/812681726.db2.gz RRBZJZGISMCKMV-CQSZACIVSA-N 0 1 281.331 0.754 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001126365833 812684068 /nfs/dbraw/zinc/68/40/68/812684068.db2.gz NXTFWCSWEDXCDG-AWEZNQCLSA-N 0 1 293.371 0.215 20 30 CCEDMN C/C(=C\C(=O)NC/C=C\CNCC(=O)NCC#N)C1CC1 ZINC001268515997 812759837 /nfs/dbraw/zinc/75/98/37/812759837.db2.gz HZSDTTOQCKLSNP-QTXYNGLRSA-N 0 1 290.367 0.244 20 30 CCEDMN C=C(C)CCC(=O)NC/C=C/CNCC(=O)NC(=O)NC ZINC001268544419 812785606 /nfs/dbraw/zinc/78/56/06/812785606.db2.gz DOVQACQPKZZOGU-SNAWJCMRSA-N 0 1 296.371 0.060 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](Nc2cncc(C#N)n2)C1 ZINC001059024491 812848941 /nfs/dbraw/zinc/84/89/41/812848941.db2.gz MOOVYXOPXKKGFJ-VIFPVBQESA-N 0 1 298.310 0.101 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(Cl)c[nH]2)[C@@H](O)C1 ZINC001083337065 812886615 /nfs/dbraw/zinc/88/66/15/812886615.db2.gz ALXZEDULBAVANR-NEPJUHHUSA-N 0 1 281.743 0.466 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2scnc2C)[C@@H](O)C1 ZINC001083352469 812901975 /nfs/dbraw/zinc/90/19/75/812901975.db2.gz MDYMHXBVSQYJCK-MNOVXSKESA-N 0 1 281.381 0.803 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2ocnc2C)[C@@H](O)C1 ZINC001083388442 812930382 /nfs/dbraw/zinc/93/03/82/812930382.db2.gz VLFRAJLHEYCVDR-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C[C@H](F)CCN1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@@H](O)C1 ZINC001083392463 812934124 /nfs/dbraw/zinc/93/41/24/812934124.db2.gz JOZYCLYMGGYPOE-BIMULSAOSA-N 0 1 294.330 0.409 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H](C)CNCc1cc(C)n(C)n1 ZINC001268645695 812974581 /nfs/dbraw/zinc/97/45/81/812974581.db2.gz HHWPGNNGWOYHOY-YPMHNXCESA-N 0 1 292.383 0.361 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCOCC(F)F ZINC001268730294 813045557 /nfs/dbraw/zinc/04/55/57/813045557.db2.gz IDAKYLFJHQAIKP-SNVBAGLBSA-N 0 1 262.300 0.728 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001268729089 813047143 /nfs/dbraw/zinc/04/71/43/813047143.db2.gz LQIYIGXEYBMTLC-ZFWWWQNUSA-N 0 1 282.384 0.400 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](C)C[NH+](C)CC#CC ZINC001268733747 813049763 /nfs/dbraw/zinc/04/97/63/813049763.db2.gz KEEBOXHZNNTXJV-CABCVRRESA-N 0 1 275.396 0.544 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)C(=O)Nc1ccccc1 ZINC001268738077 813051588 /nfs/dbraw/zinc/05/15/88/813051588.db2.gz NZBRJMUDFXGWLY-LBPRGKRZSA-N 0 1 273.336 0.695 20 30 CCEDMN C#CC(=O)N1CCc2c(n[nH]c2C(=O)N(CC)C(C)C)C1 ZINC001268855108 813116292 /nfs/dbraw/zinc/11/62/92/813116292.db2.gz HQHGJOBBXVLNFZ-UHFFFAOYSA-N 0 1 288.351 0.798 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)c3cnc[nH]3)C2)C1=O ZINC001268866936 813124677 /nfs/dbraw/zinc/12/46/77/813124677.db2.gz BBTMKYADAKMTTG-AWEZNQCLSA-N 0 1 272.308 0.108 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)CCC#N)CC2 ZINC001269023865 813179878 /nfs/dbraw/zinc/17/98/78/813179878.db2.gz CWFJRESOZQPPHT-UHFFFAOYSA-N 0 1 275.312 0.300 20 30 CCEDMN CCOCCN1CC[C@H](NC(=O)C#CC(C)C)C1 ZINC001269022702 813180024 /nfs/dbraw/zinc/18/00/24/813180024.db2.gz GZDIVPPCKUYJMU-ZDUSSCGKSA-N 0 1 252.358 0.873 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)CCC#N)C2 ZINC001269024052 813180184 /nfs/dbraw/zinc/18/01/84/813180184.db2.gz GSOVDNMNXKTCDA-UHFFFAOYSA-N 0 1 261.285 0.257 20 30 CCEDMN C=C(C)CN1CC[C@]2(CCN(C(=O)CN(C)CC)C2)C1=O ZINC001269095781 813213018 /nfs/dbraw/zinc/21/30/18/813213018.db2.gz VTPAJQKUVKTAKO-MRXNPFEDSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cc(C)nn2C)C1 ZINC001269108145 813219564 /nfs/dbraw/zinc/21/95/64/813219564.db2.gz IHCLOQPXACEALS-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN C#CCN1CC[C@@H](CNC(=O)c2cc(=O)c(OC)co2)C1 ZINC001028691719 813314406 /nfs/dbraw/zinc/31/44/06/813314406.db2.gz BEUVULPAAKLOSN-NSHDSACASA-N 0 1 290.319 0.333 20 30 CCEDMN N#CC1(NC(=O)[C@@H]2CC23CN(C(=O)c2cnc[nH]2)C3)CCC1 ZINC001269414663 813362711 /nfs/dbraw/zinc/36/27/11/813362711.db2.gz UUZLVDWDECMLAZ-JTQLQIEISA-N 0 1 299.334 0.434 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN([C@@H](C)C(N)=O)C2)cc1 ZINC001028731874 813396846 /nfs/dbraw/zinc/39/68/46/813396846.db2.gz PMSXDLDBEFUNQS-GXTWGEPZSA-N 0 1 299.374 0.593 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CC[N@H+](CCF)C1 ZINC001028810011 813536930 /nfs/dbraw/zinc/53/69/30/813536930.db2.gz UBFKIXYYZYCJRQ-XQQFMLRXSA-N 0 1 270.348 0.985 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCN(CCF)C1 ZINC001028810011 813536936 /nfs/dbraw/zinc/53/69/36/813536936.db2.gz UBFKIXYYZYCJRQ-XQQFMLRXSA-N 0 1 270.348 0.985 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@H]1CCN(CC#N)C1 ZINC001029004584 813747680 /nfs/dbraw/zinc/74/76/80/813747680.db2.gz ZWJCJBWSCHJIAY-KGLIPLIRSA-N 0 1 278.400 0.822 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)CNCc1cn(C)nn1 ZINC001270401800 813750521 /nfs/dbraw/zinc/75/05/21/813750521.db2.gz JAOXKGORWVOHJY-LBPRGKRZSA-N 0 1 277.372 0.316 20 30 CCEDMN C#CCCCC(=O)N[C@@]1(C)CCN([C@@H](C)C(=O)NC)C1 ZINC001270576658 813822674 /nfs/dbraw/zinc/82/26/74/813822674.db2.gz MFQNMVWDJNBESV-WFASDCNBSA-N 0 1 279.384 0.505 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001059210046 813941188 /nfs/dbraw/zinc/94/11/88/813941188.db2.gz ZGPYQYQMOOXFFH-QWRGUYRKSA-N 0 1 289.339 0.538 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCNC(=O)C2)[C@H]1CC ZINC001087540021 814117649 /nfs/dbraw/zinc/11/76/49/814117649.db2.gz XSWWRDNKMBUUMQ-MELADBBJSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncn(C)n2)[C@H]1CC ZINC001087563641 814165075 /nfs/dbraw/zinc/16/50/75/814165075.db2.gz HNWVSHGAWSBGQP-WDEREUQCSA-N 0 1 263.345 0.584 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ncn(C)n2)[C@H]1CC ZINC001087564275 814165835 /nfs/dbraw/zinc/16/58/35/814165835.db2.gz QUTJOEIXKOFSDZ-NWDGAFQWSA-N 0 1 275.356 0.421 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)NC2)[C@H]1CC ZINC001087599209 814172663 /nfs/dbraw/zinc/17/26/63/814172663.db2.gz RVKRSPDJGPWUQD-HZSPNIEDSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)[C@H]1CC ZINC001087668574 814183038 /nfs/dbraw/zinc/18/30/38/814183038.db2.gz BVKCYNVOCQWOBQ-YWPYICTPSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2C)[C@H]1CC ZINC001087686640 814187832 /nfs/dbraw/zinc/18/78/32/814187832.db2.gz RUBREMORXVWOFZ-XQQFMLRXSA-N 0 1 277.368 0.210 20 30 CCEDMN C/C=C(/C)C(=O)NC[C@]1(O)CCN(CC#CCOC)C1 ZINC001271136495 814196311 /nfs/dbraw/zinc/19/63/11/814196311.db2.gz AWVMCXXSCJXKLJ-WGOVJSDKSA-N 0 1 280.368 0.155 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001029556111 814421824 /nfs/dbraw/zinc/42/18/24/814421824.db2.gz FOKCHUSZEONWBN-BETUJISGSA-N 0 1 299.378 0.960 20 30 CCEDMN Cc1csc(NC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000841008336 814589450 /nfs/dbraw/zinc/58/94/50/814589450.db2.gz XPVQJRGFKASYNV-YUMQZZPRSA-N 0 1 251.315 0.685 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)c2cnc(C)[nH]2)C1 ZINC001271949421 814641314 /nfs/dbraw/zinc/64/13/14/814641314.db2.gz ILPJVZONXFZWFL-OAHLLOKOSA-N 0 1 292.383 0.851 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)COCC=C)C1 ZINC001271951373 814647411 /nfs/dbraw/zinc/64/74/11/814647411.db2.gz WDFAYFABDNWVAJ-HNNXBMFYSA-N 0 1 280.368 0.155 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)Cc2cnc(C)o2)C1 ZINC001271964108 814654270 /nfs/dbraw/zinc/65/42/70/814654270.db2.gz ADWLGICQQYPGMU-OAHLLOKOSA-N 0 1 293.367 0.655 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)C[C@H]1CCOC1 ZINC001271984859 814663089 /nfs/dbraw/zinc/66/30/89/814663089.db2.gz WOBWTBXNYZXNBA-CQSZACIVSA-N 0 1 282.384 0.453 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@@H]2CCCO2)[C@H](OC)C1 ZINC001211906566 814691399 /nfs/dbraw/zinc/69/13/99/814691399.db2.gz ZZICVJTUUNSWHV-RRFJBIMHSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1ccccn1 ZINC001127039180 815589304 /nfs/dbraw/zinc/58/93/04/815589304.db2.gz FJCOOSMSEAVUIT-LBPRGKRZSA-N 0 1 261.325 0.326 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H]1C ZINC001088524761 814852706 /nfs/dbraw/zinc/85/27/06/814852706.db2.gz MKZYGHYFIXEUPT-MNOVXSKESA-N 0 1 276.340 0.640 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)[C@H]1C ZINC001088862581 816098913 /nfs/dbraw/zinc/09/89/13/816098913.db2.gz HWDFXAHAUAMYEG-RQJABVFESA-N 0 1 291.395 0.313 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)Cn2ccc(C)cc2=O)[C@H]1C ZINC001088892513 816161887 /nfs/dbraw/zinc/16/18/87/816161887.db2.gz CFEPLQSFQQPEEH-KGLIPLIRSA-N 0 1 287.363 0.369 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2ccc(C)cc2=O)[C@H]1C ZINC001088892513 816161892 /nfs/dbraw/zinc/16/18/92/816161892.db2.gz CFEPLQSFQQPEEH-KGLIPLIRSA-N 0 1 287.363 0.369 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3cc(C)cnc23)[C@H]1C ZINC001088892114 816162163 /nfs/dbraw/zinc/16/21/63/816162163.db2.gz IJVPWENUIFIOKF-OCCSQVGLSA-N 0 1 297.362 0.864 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](CCOC)[C@@H]2C)nc1 ZINC001088990539 816206547 /nfs/dbraw/zinc/20/65/47/816206547.db2.gz CCLSCVNWBPGCDE-OCCSQVGLSA-N 0 1 287.363 0.902 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(C)c2OC)[C@H]1C ZINC001089008059 816212417 /nfs/dbraw/zinc/21/24/17/816212417.db2.gz LWYMSZJZIGFUGH-PWSUYJOCSA-N 0 1 278.356 0.807 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2ccccc2=O)[C@H]1C ZINC001089031510 816219528 /nfs/dbraw/zinc/21/95/28/816219528.db2.gz NQPBUYKPLVILQA-KGLIPLIRSA-N 0 1 287.363 0.451 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(C3CC3)on2)[C@@H](O)C1 ZINC001083679490 816255290 /nfs/dbraw/zinc/25/52/90/816255290.db2.gz GMRUCLJOXWWJLD-OLZOCXBDSA-N 0 1 291.351 0.903 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CCNCc2cnon2)C1 ZINC001272384252 816379419 /nfs/dbraw/zinc/37/94/19/816379419.db2.gz NZIFXRHOXKQFAZ-WDEREUQCSA-N 0 1 277.328 0.557 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCOC)C[C@@H]2O)cc1 ZINC001083691201 816423165 /nfs/dbraw/zinc/42/31/65/816423165.db2.gz DJZNEORFJFRFPJ-CABCVRRESA-N 0 1 288.347 0.089 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CC(C)(C)C ZINC001234005980 816461713 /nfs/dbraw/zinc/46/17/13/816461713.db2.gz TXEYENKQBRWYPS-CYBMUJFWSA-N 0 1 295.427 0.999 20 30 CCEDMN CN(CCCNC(=O)CSCC#N)Cc1ccnn1C ZINC001234146127 816501143 /nfs/dbraw/zinc/50/11/43/816501143.db2.gz DHJGWAGAVJUWFG-UHFFFAOYSA-N 0 1 295.412 0.615 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2CCCC(=O)OC)C1=O ZINC001272499721 816512417 /nfs/dbraw/zinc/51/24/17/816512417.db2.gz ZSZVHOQQGJYAJY-OAHLLOKOSA-N 0 1 278.352 0.640 20 30 CCEDMN C=C(C)CN1C(=O)COCC12CN(Cc1cc(C)[nH]n1)C2 ZINC001272545288 816569185 /nfs/dbraw/zinc/56/91/85/816569185.db2.gz YSHSSXDNDCORIB-UHFFFAOYSA-N 0 1 290.367 0.707 20 30 CCEDMN C=CCN1C[C@]2(CC1=O)COCCN(Cc1c[nH]nn1)C2 ZINC001272569386 816589745 /nfs/dbraw/zinc/58/97/45/816589745.db2.gz LFKQLBGYYQALLY-CQSZACIVSA-N 0 1 291.355 0.042 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)[C@@H](O)C1 ZINC001083714712 816609798 /nfs/dbraw/zinc/60/97/98/816609798.db2.gz DQMVLCZIKCEWMH-HTOAHKCRSA-N 0 1 262.353 0.217 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001031094824 816641270 /nfs/dbraw/zinc/64/12/70/816641270.db2.gz WXLXZZYNHLVVKO-LLVKDONJSA-N 0 1 265.357 0.422 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)c2cncnc2)[C@@H](O)C1 ZINC001083722296 816653716 /nfs/dbraw/zinc/65/37/16/816653716.db2.gz OAGMJQVRMCNUJV-YUTCNCBUSA-N 0 1 290.367 0.318 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C[C@H]1CNCC#N ZINC001106274098 816709770 /nfs/dbraw/zinc/70/97/70/816709770.db2.gz BASHQOKEGFJASG-FDYHWXHSSA-N 0 1 287.367 0.721 20 30 CCEDMN N#Cc1cccc(CN2CC(NC(=O)Cc3nnc[nH]3)C2)c1 ZINC001031229582 816856973 /nfs/dbraw/zinc/85/69/73/816856973.db2.gz BXSDQDVJAXGSRQ-UHFFFAOYSA-N 0 1 296.334 0.219 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1C[C@@H](CNCC#N)[C@H](C)C1 ZINC001106749892 816894340 /nfs/dbraw/zinc/89/43/40/816894340.db2.gz KIAPLEFFBOXROU-YNEHKIRRSA-N 0 1 289.383 0.796 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)Cc2cncn2C)C1 ZINC001031259701 816910521 /nfs/dbraw/zinc/91/05/21/816910521.db2.gz ARGQYDIZNQVSLU-UHFFFAOYSA-N 0 1 262.357 0.729 20 30 CCEDMN CCc1nocc1CNCCNC(=O)CSCC#N ZINC001123792497 817069756 /nfs/dbraw/zinc/06/97/56/817069756.db2.gz YGQSYFHPSDOUBJ-UHFFFAOYSA-N 0 1 282.369 0.700 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnns2)C1 ZINC001031559145 817138623 /nfs/dbraw/zinc/13/86/23/817138623.db2.gz ZHPYQDGGOGSKGH-UHFFFAOYSA-N 0 1 252.343 0.776 20 30 CCEDMN Cc1nc(CN2CC(CNC(=O)c3cc(C#N)c[nH]3)C2)n[nH]1 ZINC001031604496 817178086 /nfs/dbraw/zinc/17/80/86/817178086.db2.gz NEVOFZOBOXFEBO-UHFFFAOYSA-N 0 1 299.338 0.175 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnc(N(C)C)cn2)C1 ZINC001031669998 817234114 /nfs/dbraw/zinc/23/41/14/817234114.db2.gz ACDGRJFXDGSSQU-UHFFFAOYSA-N 0 1 287.367 0.228 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@]23C[C@H]2CCCC3)[C@@H](O)C1 ZINC001083762543 817263624 /nfs/dbraw/zinc/26/36/24/817263624.db2.gz LOMYGPDUCIPTLI-HGTKMLMNSA-N 0 1 276.380 0.751 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnn2CCOC)C1 ZINC001031756579 817342316 /nfs/dbraw/zinc/34/23/16/817342316.db2.gz LGXAZVVXBWLNLM-UHFFFAOYSA-N 0 1 278.356 0.377 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccnc(OC)n2)C1 ZINC001031838068 817407515 /nfs/dbraw/zinc/40/75/15/817407515.db2.gz JVBAHGFWUYXYQZ-UHFFFAOYSA-N 0 1 274.324 0.170 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCc3nc(C)nn3C2)C1 ZINC001031869843 817432667 /nfs/dbraw/zinc/43/26/67/817432667.db2.gz ZNYMHPYDVACUHK-CYBMUJFWSA-N 0 1 289.383 0.383 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CC[C@H]2C(N)=O)C1 ZINC001031900128 817451069 /nfs/dbraw/zinc/45/10/69/817451069.db2.gz ZJYYQCQKHXCSPS-VXGBXAGGSA-N 0 1 265.357 0.122 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001031930832 817473660 /nfs/dbraw/zinc/47/36/60/817473660.db2.gz GJTOPPBMIGCQRH-LLVKDONJSA-N 0 1 275.356 0.459 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCNCc1cnc(C)cn1 ZINC001124494110 817489706 /nfs/dbraw/zinc/48/97/06/817489706.db2.gz DZNDQWVBOWYMIZ-ZDUSSCGKSA-N 0 1 292.383 0.972 20 30 CCEDMN CCN(CCNc1ccc(C#N)nn1)C(=O)Cc1c[nH]cn1 ZINC001106962119 817514328 /nfs/dbraw/zinc/51/43/28/817514328.db2.gz YLZWAZXZEFVPLL-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=CCOCC(=O)NCCNCc1ccccc1C#N ZINC001124765367 817568249 /nfs/dbraw/zinc/56/82/49/817568249.db2.gz VKLIDTBICYCMTD-UHFFFAOYSA-N 0 1 273.336 0.967 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(CCC=C)nn2)C1 ZINC001032041026 817574790 /nfs/dbraw/zinc/57/47/90/817574790.db2.gz BIHWWNAMYJTRDI-UHFFFAOYSA-N 0 1 287.367 0.539 20 30 CCEDMN C#CC[NH2+]C1(CNC(=O)c2[n-]nnc2C)CCCCC1 ZINC001115658699 817708178 /nfs/dbraw/zinc/70/81/78/817708178.db2.gz DZVNJINSQGCEKS-UHFFFAOYSA-N 0 1 275.356 0.769 20 30 CCEDMN Cc1c(C(=O)NCCNCc2ccccc2C#N)nnn1C ZINC001125175627 817724370 /nfs/dbraw/zinc/72/43/70/817724370.db2.gz YEJJRDFZHKLAAX-UHFFFAOYSA-N 0 1 298.350 0.515 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H]3C[C@H]2CN3C(C)=O)C1=O ZINC001032240415 817743968 /nfs/dbraw/zinc/74/39/68/817743968.db2.gz RAPDHJUBLYUMLZ-AVGNSLFASA-N 0 1 263.341 0.078 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(CC)[nH]n1 ZINC001032286153 817781987 /nfs/dbraw/zinc/78/19/87/817781987.db2.gz WQUAQXLGRATSHD-RYUDHWBXSA-N 0 1 258.325 0.504 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2CCC(OC)CC2)C1 ZINC001077593044 817857510 /nfs/dbraw/zinc/85/75/10/817857510.db2.gz WDZUZTLEAJDVIL-NEXFUWMNSA-N 0 1 294.395 0.376 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2conc2C)C1 ZINC001077608868 817871806 /nfs/dbraw/zinc/87/18/06/817871806.db2.gz CUGVLFYIHGBKKU-CHWSQXEVSA-N 0 1 279.340 0.724 20 30 CCEDMN N#Cc1nccnc1NCC=CCNC(=O)Cc1cnc[nH]1 ZINC001107539407 817877256 /nfs/dbraw/zinc/87/72/56/817877256.db2.gz LPDLHUPFNALRKT-UPHRSURJSA-N 0 1 297.322 0.398 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc[nH]n1 ZINC001032328905 817882923 /nfs/dbraw/zinc/88/29/23/817882923.db2.gz WOPNDCFNTZOPDB-STQMWFEESA-N 0 1 258.325 0.261 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2conc2C2CC2)C1 ZINC001077657540 817945286 /nfs/dbraw/zinc/94/52/86/817945286.db2.gz HNQBTYAVGGPEJM-CHWSQXEVSA-N 0 1 291.351 0.903 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCCO1 ZINC001032355750 817975187 /nfs/dbraw/zinc/97/51/87/817975187.db2.gz MLSNBCLVXARTQE-MELADBBJSA-N 0 1 262.353 0.864 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cccs2)[C@@H](O)C1 ZINC001090021065 818280120 /nfs/dbraw/zinc/28/01/20/818280120.db2.gz RMBWHAXJEQDCFT-KBPBESRZSA-N 0 1 292.404 0.865 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2COCCO2)C1 ZINC001032929625 818369227 /nfs/dbraw/zinc/36/92/27/818369227.db2.gz XDYWWICMAUAWEQ-NWDGAFQWSA-N 0 1 254.330 0.121 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)C2=CCOCC2)C1 ZINC001033029515 818440555 /nfs/dbraw/zinc/44/05/55/818440555.db2.gz PQYRZNLOTSCNBC-AWEZNQCLSA-N 0 1 262.353 0.889 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C2=CCOCC2)C1 ZINC001033029515 818440558 /nfs/dbraw/zinc/44/05/58/818440558.db2.gz PQYRZNLOTSCNBC-AWEZNQCLSA-N 0 1 262.353 0.889 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C2CCC(O)CC2)C1 ZINC001033037672 818449159 /nfs/dbraw/zinc/44/91/59/818449159.db2.gz KJBSWLAUHUVUSY-ROKHWSDSSA-N 0 1 264.369 0.703 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H]2CNC(=O)c2cnn[nH]2)cn1 ZINC001061482776 818524981 /nfs/dbraw/zinc/52/49/81/818524981.db2.gz BHJXLTBWFVFOOW-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@H]2CCNC2=O)C1 ZINC001033137494 818561344 /nfs/dbraw/zinc/56/13/44/818561344.db2.gz AHVWXISCPVEKAF-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001033139425 818564590 /nfs/dbraw/zinc/56/45/90/818564590.db2.gz KACSHRYRBLHLON-HIFRSBDPSA-N 0 1 298.390 0.747 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2cn(C)nc2C)C1 ZINC001033152219 818577529 /nfs/dbraw/zinc/57/75/29/818577529.db2.gz OLXXTQCHRGVLQB-OAHLLOKOSA-N 0 1 288.395 0.827 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cnc3n2CCC3)C1 ZINC001033153577 818580991 /nfs/dbraw/zinc/58/09/91/818580991.db2.gz INSNQHJEDPOPHI-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CN2CCCCC2=O)C1 ZINC001033215913 818655443 /nfs/dbraw/zinc/65/54/43/818655443.db2.gz BTDCPPKPCCIYGS-AWEZNQCLSA-N 0 1 291.395 0.555 20 30 CCEDMN CNC(=O)C(C#N)=C(O)[C@@H]1Cc2ccccc2C(=O)O1 ZINC000133428296 818681464 /nfs/dbraw/zinc/68/14/64/818681464.db2.gz WYJFUJQIPJOZJC-MNOVXSKESA-N 0 1 272.260 0.223 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cn2ncc3cccnc32)C1 ZINC001033275730 818687313 /nfs/dbraw/zinc/68/73/13/818687313.db2.gz OLPZSDWHCCFLAP-AWEZNQCLSA-N 0 1 297.362 0.597 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cscn2)[C@@H](O)C1 ZINC001090132440 818698135 /nfs/dbraw/zinc/69/81/35/818698135.db2.gz FXMLJDUGYBGPAT-ONGXEEELSA-N 0 1 267.354 0.494 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccn3nnnc3c2)C1 ZINC001033317881 818707134 /nfs/dbraw/zinc/70/71/34/818707134.db2.gz DMNVFWYCIJLVKX-LBPRGKRZSA-N 0 1 286.339 0.457 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001033427477 818772152 /nfs/dbraw/zinc/77/21/52/818772152.db2.gz CNHJHKUCXHFBIU-MCIONIFRSA-N 0 1 291.395 0.267 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2ccnc(OC)c2)C1 ZINC001033426794 818772618 /nfs/dbraw/zinc/77/26/18/818772618.db2.gz VOPIZRBUDUEOEI-AWEZNQCLSA-N 0 1 287.363 0.799 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2nonc2C)C1 ZINC001033543769 818827727 /nfs/dbraw/zinc/82/77/27/818827727.db2.gz ISTBUYUWJOWYIX-NSHDSACASA-N 0 1 262.313 0.086 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC001033563107 818831736 /nfs/dbraw/zinc/83/17/36/818831736.db2.gz SGRZJVBCVVQQBQ-CHWSQXEVSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001033563107 818831743 /nfs/dbraw/zinc/83/17/43/818831743.db2.gz SGRZJVBCVVQQBQ-CHWSQXEVSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC001033563107 818831761 /nfs/dbraw/zinc/83/17/61/818831761.db2.gz SGRZJVBCVVQQBQ-CHWSQXEVSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001033563107 818831765 /nfs/dbraw/zinc/83/17/65/818831765.db2.gz SGRZJVBCVVQQBQ-CHWSQXEVSA-N 0 1 286.379 0.681 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cccn(C)c2=O)C1 ZINC001033588072 818840911 /nfs/dbraw/zinc/84/09/11/818840911.db2.gz CDWKIVJDHAHBRZ-ZDUSSCGKSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2c(C)n[nH]c2C)C1 ZINC001033658101 818870733 /nfs/dbraw/zinc/87/07/33/818870733.db2.gz CWNBSWPXICSCQD-CYBMUJFWSA-N 0 1 274.368 0.735 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cn[nH]c2)C1 ZINC001033762156 818920955 /nfs/dbraw/zinc/92/09/55/818920955.db2.gz WMGADTHDCYPBOP-ZDUSSCGKSA-N 0 1 260.341 0.969 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCOC2)C1 ZINC001033774290 818927265 /nfs/dbraw/zinc/92/72/65/818927265.db2.gz UWFVCNVWVQIVRF-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001033789885 818934466 /nfs/dbraw/zinc/93/44/66/818934466.db2.gz QZJSSOAOQITRRU-GJZGRUSLSA-N 0 1 295.427 0.816 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001033789880 818936033 /nfs/dbraw/zinc/93/60/33/818936033.db2.gz QZJSSOAOQITRRU-CABCVRRESA-N 0 1 295.427 0.816 20 30 CCEDMN CCN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)[C@H]1CCN(CC#N)C1 ZINC001033861502 818969942 /nfs/dbraw/zinc/96/99/42/818969942.db2.gz KENMOFHFGBXYSF-YNEHKIRRSA-N 0 1 287.367 0.960 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2n[nH]nc2C)C1 ZINC001033912130 818986463 /nfs/dbraw/zinc/98/64/63/818986463.db2.gz GQUXAOJUSXKNKP-LLVKDONJSA-N 0 1 263.345 0.836 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccncc2F)[C@@H](O)C1 ZINC001090156665 819000198 /nfs/dbraw/zinc/00/01/98/819000198.db2.gz WFKGBNOUIUMEKJ-KBPBESRZSA-N 0 1 293.342 0.962 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cnn(C)n2)C1 ZINC001033986477 819020341 /nfs/dbraw/zinc/02/03/41/819020341.db2.gz XYRSOYQEFLTJNE-LLVKDONJSA-N 0 1 263.345 0.538 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cnn(C)n2)C1 ZINC001033986477 819020344 /nfs/dbraw/zinc/02/03/44/819020344.db2.gz XYRSOYQEFLTJNE-LLVKDONJSA-N 0 1 263.345 0.538 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc[nH]c(=O)c2)[C@H](O)C1 ZINC001090175133 819021198 /nfs/dbraw/zinc/02/11/98/819021198.db2.gz QHJRMOJSXBDHNQ-VXGBXAGGSA-N 0 1 277.324 0.138 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@@H](O)C1 ZINC001090180849 819036796 /nfs/dbraw/zinc/03/67/96/819036796.db2.gz VGVLVPXREVPONS-OCCSQVGLSA-N 0 1 286.335 0.075 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cn(C)c(=O)n2C)C1 ZINC001034052380 819039162 /nfs/dbraw/zinc/03/91/62/819039162.db2.gz JJKYZZHDUPQXKE-GFCCVEGCSA-N 0 1 292.383 0.446 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C(C)C)C[C@@H]1n1ccnn1 ZINC001128820906 819040163 /nfs/dbraw/zinc/04/01/63/819040163.db2.gz JCQWBBDNAJLFIV-OLZOCXBDSA-N 0 1 277.372 0.994 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnn(C)c2)C1 ZINC001034096394 819071133 /nfs/dbraw/zinc/07/11/33/819071133.db2.gz CNUVSWSCOWNBLF-ZDUSSCGKSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@@H]2CCNC2=O)C1 ZINC001034239949 819136306 /nfs/dbraw/zinc/13/63/06/819136306.db2.gz FHYWJJGMKMNAFN-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C#N)[nH]2)[C@H](O)C1 ZINC001090203576 819151419 /nfs/dbraw/zinc/15/14/19/819151419.db2.gz IKJJFQBYWMRVKU-GXTWGEPZSA-N 0 1 286.335 0.075 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccc(C)o2)[C@H](O)C1 ZINC001090204338 819157318 /nfs/dbraw/zinc/15/73/18/819157318.db2.gz PSIMHIVNPNBGOE-HUUCEWRRSA-N 0 1 290.363 0.705 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001034302687 819160778 /nfs/dbraw/zinc/16/07/78/819160778.db2.gz ZSSOUSMUIMWXHR-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccoc2)[C@H](O)C1 ZINC001090206331 819162602 /nfs/dbraw/zinc/16/26/02/819162602.db2.gz NIXUAMJZYYQBDR-ZIAGYGMSSA-N 0 1 276.336 0.397 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(OC)no2)[C@@H](O)C1 ZINC001090205915 819162931 /nfs/dbraw/zinc/16/29/31/819162931.db2.gz LDPQBLFVNKBHAO-QWRGUYRKSA-N 0 1 295.339 0.424 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001034379296 819187126 /nfs/dbraw/zinc/18/71/26/819187126.db2.gz AMSAFDRJKIWHME-BBRMVZONSA-N 0 1 291.395 0.649 20 30 CCEDMN N#Cc1cnccc1N1CC[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001061776716 819293227 /nfs/dbraw/zinc/29/32/27/819293227.db2.gz AQCNHFRGOIDNSO-SNVBAGLBSA-N 0 1 297.322 0.328 20 30 CCEDMN C=CC[C@@H]1CCC[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC000703668577 819311735 /nfs/dbraw/zinc/31/17/35/819311735.db2.gz VTGORIJUXSVVLY-LLVKDONJSA-N 0 1 275.370 0.774 20 30 CCEDMN CCCCCCCNC(=O)C(=O)N1CCNCC1 ZINC000703720146 819321936 /nfs/dbraw/zinc/32/19/36/819321936.db2.gz YUACVFVBPJRGSO-UHFFFAOYSA-N 0 1 255.362 0.505 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001035586210 819577445 /nfs/dbraw/zinc/57/74/45/819577445.db2.gz OSVZWBQRUUZJFX-OLZOCXBDSA-N 0 1 295.383 0.048 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1nnc(C)[nH]1)CC2 ZINC001035699532 819610984 /nfs/dbraw/zinc/61/09/84/819610984.db2.gz OKADCQSCHKFWIZ-UHFFFAOYSA-N 0 1 287.367 0.213 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1n[nH]cc1C)CC2 ZINC001035702125 819611161 /nfs/dbraw/zinc/61/11/61/819611161.db2.gz KNNAZRTWLJWCDB-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1nonc1C)CC2 ZINC001035830619 819635144 /nfs/dbraw/zinc/63/51/44/819635144.db2.gz VELVALHJWCULPO-UHFFFAOYSA-N 0 1 288.351 0.478 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000708136659 819758874 /nfs/dbraw/zinc/75/88/74/819758874.db2.gz IWQMZRHGWNIDNL-UHFFFAOYSA-N 0 1 270.292 0.558 20 30 CCEDMN C#CC(=O)NCCN1CCN(Cc2ccccc2)CC1 ZINC000710321006 819824331 /nfs/dbraw/zinc/82/43/31/819824331.db2.gz RLQFLASALHQNSF-UHFFFAOYSA-N 0 1 271.364 0.554 20 30 CCEDMN C=CCCC(=O)NCC1(NC(=O)Cc2nnc[nH]2)CCC1 ZINC001062688626 820021390 /nfs/dbraw/zinc/02/13/90/820021390.db2.gz NGVMBMCAALUSCH-UHFFFAOYSA-N 0 1 291.355 0.469 20 30 CCEDMN C=C(C)CCC(=O)NCC1(NC(=O)c2cnn[nH]2)CCC1 ZINC001063261820 820139368 /nfs/dbraw/zinc/13/93/68/820139368.db2.gz YGLSFFTXHHARIZ-UHFFFAOYSA-N 0 1 291.355 0.930 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C2C=CC=CC=C2)[C@@H](O)C1 ZINC001090302338 820230330 /nfs/dbraw/zinc/23/03/30/820230330.db2.gz WRKAQHDVMVTMAS-HOTGVXAUSA-N 0 1 286.375 0.860 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2C=CC=CC=C2)[C@@H](O)C1 ZINC001090302338 820230335 /nfs/dbraw/zinc/23/03/35/820230335.db2.gz WRKAQHDVMVTMAS-HOTGVXAUSA-N 0 1 286.375 0.860 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3n2CCC3)[C@H](O)C1 ZINC001090334586 820273189 /nfs/dbraw/zinc/27/31/89/820273189.db2.gz ROEYGUFDYZDWQS-WCQYABFASA-N 0 1 290.367 0.180 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cn(C)cn2)C1 ZINC001079571896 820426572 /nfs/dbraw/zinc/42/65/72/820426572.db2.gz HSMFCNFLRYVDGE-VXGBXAGGSA-N 0 1 260.341 0.494 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnn2CC)C1 ZINC001079618729 820439130 /nfs/dbraw/zinc/43/91/30/820439130.db2.gz KEVAQLFVHBWRCU-CHWSQXEVSA-N 0 1 274.368 0.976 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001079716421 820457436 /nfs/dbraw/zinc/45/74/36/820457436.db2.gz RZDJKVDNQLQIML-AAVRWANBSA-N 0 1 291.395 0.361 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cnc(C)o2)C1 ZINC001079842770 820476596 /nfs/dbraw/zinc/47/65/96/820476596.db2.gz QNGRLMTZMDMQTE-ZWNOBZJWSA-N 0 1 261.325 0.595 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc3[nH]c(=O)[nH]c32)C1 ZINC001079872413 820479049 /nfs/dbraw/zinc/47/90/49/820479049.db2.gz MMSIKLVJEIDTRQ-ZWNOBZJWSA-N 0 1 298.346 0.952 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1ccn2cncc2c1 ZINC001080052873 820509634 /nfs/dbraw/zinc/50/96/34/820509634.db2.gz QYWOENGWMKTWGO-BXUZGUMPSA-N 0 1 283.335 0.908 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001080077792 820513625 /nfs/dbraw/zinc/51/36/25/820513625.db2.gz SLTJDGRPIPVBQS-BPLDGKMQSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001080417770 820572215 /nfs/dbraw/zinc/57/22/15/820572215.db2.gz XNNLIDBXJUYUPD-DGCLKSJQSA-N 0 1 273.336 0.779 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cscn2)C1 ZINC001080566840 820593350 /nfs/dbraw/zinc/59/33/50/820593350.db2.gz OPUCVEYZCLBCNK-ZYHUDNBSSA-N 0 1 263.366 0.755 20 30 CCEDMN C=CCO[C@@H]1CCN([C@H]2CCN(CCC(=O)OC)C2=O)C1 ZINC001118558950 820636654 /nfs/dbraw/zinc/63/66/54/820636654.db2.gz TVQXQAYEPGSBTQ-OLZOCXBDSA-N 0 1 296.367 0.427 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)N2CCCCC2=O)C1 ZINC001080986861 820667496 /nfs/dbraw/zinc/66/74/96/820667496.db2.gz DMYFGPNHKQENSA-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccccn2)[C@H](OC)C1 ZINC001081277625 820717732 /nfs/dbraw/zinc/71/77/32/820717732.db2.gz GQCFYEFLMUBOGU-ZIAGYGMSSA-N 0 1 273.336 0.534 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc(=O)[nH]2)[C@H](OC)C1 ZINC001081487729 820773575 /nfs/dbraw/zinc/77/35/75/820773575.db2.gz VQQTXJDPANPIRN-CHWSQXEVSA-N 0 1 289.335 0.240 20 30 CCEDMN CCN1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@H](OC)C1 ZINC001081507108 820779352 /nfs/dbraw/zinc/77/93/52/820779352.db2.gz FWOLWZDLYFLKQS-VXGBXAGGSA-N 0 1 262.313 0.335 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2cccnc2)[C@H](OC)C1 ZINC001081542841 820794219 /nfs/dbraw/zinc/79/42/19/820794219.db2.gz FQDINYWOZPKTQB-HUUCEWRRSA-N 0 1 287.363 0.463 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@H]2OC)CC1 ZINC001082101211 820897542 /nfs/dbraw/zinc/89/75/42/820897542.db2.gz KRTNGMFLCOVRAS-BPLDGKMQSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CC23CC3)[C@H](OC)C1 ZINC001082173450 820910233 /nfs/dbraw/zinc/91/02/33/820910233.db2.gz YDFDWLKHHDNQAG-JHJVBQTASA-N 0 1 262.353 0.625 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ncccn2)[C@H](OC)C1 ZINC001082257260 820925742 /nfs/dbraw/zinc/92/57/42/820925742.db2.gz PXYZOUGYDFPJNC-VXGBXAGGSA-N 0 1 276.340 0.482 20 30 CCEDMN Cc1nnsc1CN[C@@H]1CN(C(=O)[C@H](C)C#N)C[C@H]1C ZINC001082450966 820973096 /nfs/dbraw/zinc/97/30/96/820973096.db2.gz MMCKMZFTQCSXQW-FXPVBKGRSA-N 0 1 293.396 0.943 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC001119015693 821029606 /nfs/dbraw/zinc/02/96/06/821029606.db2.gz XBSMUQAGDLOSQY-AXFHLTTASA-N 0 1 262.313 0.712 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C3CCC3)[C@H]2C1 ZINC001082974236 821078350 /nfs/dbraw/zinc/07/83/50/821078350.db2.gz JOLLQDPTDXNIHX-UONOGXRCSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN1C[C@H]2OCCN(C(=O)c3cc(CC)[nH]n3)[C@H]2C1 ZINC001083007417 821103067 /nfs/dbraw/zinc/10/30/67/821103067.db2.gz XRLDTZLSYAQMSM-UONOGXRCSA-N 0 1 288.351 0.131 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)[C@H]2C1 ZINC001083167618 821153441 /nfs/dbraw/zinc/15/34/41/821153441.db2.gz VMGICKLEFPKMLA-XPABHHOTSA-N 0 1 292.379 0.367 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2coc(CCC)n2)[C@@H](O)C1 ZINC001083950581 821162698 /nfs/dbraw/zinc/16/26/98/821162698.db2.gz QKOXRHUJYLBUCH-YPMHNXCESA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@@H]3COCCO3)[C@@H]2C1 ZINC001084202823 821233470 /nfs/dbraw/zinc/23/34/70/821233470.db2.gz ZJSFCTOJPKSXRH-MCIONIFRSA-N 0 1 280.368 0.511 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCC(=O)NC3)[C@@H]2C1 ZINC001084475199 821301181 /nfs/dbraw/zinc/30/11/81/821301181.db2.gz CIGVCWMCFGDINB-JHJVBQTASA-N 0 1 277.368 0.231 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]2CN(C(=O)C[C@H]3CCCC(=O)N3)[C@@H]2C1 ZINC001084503676 821301732 /nfs/dbraw/zinc/30/17/32/821301732.db2.gz MVLVNICYRFLRDS-MGPQQGTHSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCCC(=O)N3)[C@@H]2C1 ZINC001084503676 821301740 /nfs/dbraw/zinc/30/17/40/821301740.db2.gz MVLVNICYRFLRDS-MGPQQGTHSA-N 0 1 291.395 0.764 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)CC2(COC)CC2)[C@H](O)C1 ZINC001099830614 821393561 /nfs/dbraw/zinc/39/35/61/821393561.db2.gz VMZSRYCYGUYETH-UONOGXRCSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCN(C(C)=O)C3)[C@@H]2C1 ZINC001084800478 821395364 /nfs/dbraw/zinc/39/53/64/821395364.db2.gz KIOCKLCWWQKYSR-RBSFLKMASA-N 0 1 291.395 0.574 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CN(C)C(C)=O ZINC001098922817 821410507 /nfs/dbraw/zinc/41/05/07/821410507.db2.gz VMCLFMUTQGZBCT-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC001119681757 821416954 /nfs/dbraw/zinc/41/69/54/821416954.db2.gz WIZBMXHTSYKAFN-QWHCGFSZSA-N 0 1 289.379 0.354 20 30 CCEDMN C[C@H](O)CCCN1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815914 821451661 /nfs/dbraw/zinc/45/16/61/821451661.db2.gz VCIFDLFIYOQGHU-STQMWFEESA-N 0 1 299.440 0.937 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@]3(F)CCOC3)C[C@@H]21 ZINC001085016535 821459828 /nfs/dbraw/zinc/45/98/28/821459828.db2.gz WVVOPDFKDQCNOP-VNHYZAJKSA-N 0 1 280.343 0.671 20 30 CCEDMN C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CCN(CC#N)CC2)C1 ZINC000822351285 821478490 /nfs/dbraw/zinc/47/84/90/821478490.db2.gz JGCILQJOVOTYIJ-AAEUAGOBSA-N 0 1 287.367 0.743 20 30 CCEDMN CCc1[nH]nc(Cl)c1C(=O)NCC#CCN(C)C ZINC000823545335 821521805 /nfs/dbraw/zinc/52/18/05/821521805.db2.gz SZDBKJOQIBIFRF-UHFFFAOYSA-N 0 1 268.748 0.920 20 30 CCEDMN C[C@@]1(NC(=O)c2ncn[nH]2)CCN(c2ccc(C#N)cn2)C1 ZINC001065273993 821553248 /nfs/dbraw/zinc/55/32/48/821553248.db2.gz ZLNHBYMHMHAPGD-CQSZACIVSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@@]1(NC(=O)c2nc[nH]n2)CCN(c2ccc(C#N)cn2)C1 ZINC001065273993 821553249 /nfs/dbraw/zinc/55/32/49/821553249.db2.gz ZLNHBYMHMHAPGD-CQSZACIVSA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCO1 ZINC001085473094 821726359 /nfs/dbraw/zinc/72/63/59/821726359.db2.gz YWYSPIXRBVXCKD-STQMWFEESA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCO1 ZINC001085473094 821726367 /nfs/dbraw/zinc/72/63/67/821726367.db2.gz YWYSPIXRBVXCKD-STQMWFEESA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCOC1 ZINC001085507362 821753009 /nfs/dbraw/zinc/75/30/09/821753009.db2.gz FWCCROFCWZXNTQ-CHWSQXEVSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N(C)C[C@@H]1CCN1CCOC ZINC001085646458 821870559 /nfs/dbraw/zinc/87/05/59/821870559.db2.gz CHVBXQHHGSHPRJ-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ncc(OC)cn1 ZINC001085714027 821914085 /nfs/dbraw/zinc/91/40/85/821914085.db2.gz YZOSTLJXIOHTJX-GFCCVEGCSA-N 0 1 288.351 0.655 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCNC1=O ZINC001085762540 821935250 /nfs/dbraw/zinc/93/52/50/821935250.db2.gz KTGWVAIXJTZLDM-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1[nH]nnc1C ZINC001085758431 821937072 /nfs/dbraw/zinc/93/70/72/821937072.db2.gz IECHAOVVTOFSHG-NSHDSACASA-N 0 1 263.345 0.836 20 30 CCEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1n[nH]nc1C ZINC001085758431 821937078 /nfs/dbraw/zinc/93/70/78/821937078.db2.gz IECHAOVVTOFSHG-NSHDSACASA-N 0 1 263.345 0.836 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCN(C)C1=O ZINC001085771153 821943036 /nfs/dbraw/zinc/94/30/36/821943036.db2.gz VKJDSOJMFCTLKN-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CF)CC2)[C@H](O)C1 ZINC001100006279 822008243 /nfs/dbraw/zinc/00/82/43/822008243.db2.gz OUQUDCKHGOCDEH-GHMZBOCLSA-N 0 1 256.321 0.474 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1nn(CC)nc1C ZINC001086003940 822066230 /nfs/dbraw/zinc/06/62/30/822066230.db2.gz UHDDQEVDCBBYLL-ZDUSSCGKSA-N 0 1 289.383 0.776 20 30 CCEDMN Cc1cc(CC(=O)NCCN(C)c2ccncc2C#N)[nH]n1 ZINC001100023776 822084321 /nfs/dbraw/zinc/08/43/21/822084321.db2.gz WZOPAHGMJKFUQW-UHFFFAOYSA-N 0 1 298.350 0.780 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCCN([C@@H](C)C(N)=O)CC1 ZINC001273399875 822120428 /nfs/dbraw/zinc/12/04/28/822120428.db2.gz PDEWSWPGSYHLTL-RYUDHWBXSA-N 0 1 283.372 0.034 20 30 CCEDMN Cc1cnc(NCc2noc3c2CNCC3)c(C#N)n1 ZINC001155497014 822172366 /nfs/dbraw/zinc/17/23/66/822172366.db2.gz ARPSUGCSENACNU-UHFFFAOYSA-N 0 1 270.296 0.903 20 30 CCEDMN Cc1nnc([C@@H](C)NCCCNC(=O)[C@@H](C)C#N)[nH]1 ZINC001155847855 822264734 /nfs/dbraw/zinc/26/47/34/822264734.db2.gz CWLXALJDSOKSEM-DTWKUNHWSA-N 0 1 264.333 0.430 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001086475484 822272140 /nfs/dbraw/zinc/27/21/40/822272140.db2.gz YCTCTKUWXSUVKL-SRVKXCTJSA-N 0 1 287.367 0.838 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@H]1CCCN1C ZINC001108290287 822319259 /nfs/dbraw/zinc/31/92/59/822319259.db2.gz AYBZPAKJRTVYCN-WCQYABFASA-N 0 1 287.367 0.964 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H](C)CNc2ccc(C#N)nn2)[nH]n1 ZINC001108303873 822348192 /nfs/dbraw/zinc/34/81/92/822348192.db2.gz BRIPJNXUFHBOMH-JTQLQIEISA-N 0 1 299.338 0.539 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1[C@H]2CN(Cc3cncn3C)C[C@H]21 ZINC001114050680 837417239 /nfs/dbraw/zinc/41/72/39/837417239.db2.gz HJGINBHWSRFYND-NHAGDIPZSA-N 0 1 290.367 0.169 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1[C@H]2CN([C@@H](CC)C(N)=O)C[C@H]21 ZINC001114162241 837457695 /nfs/dbraw/zinc/45/76/95/837457695.db2.gz RTSUJGBTZGZPAM-SFDCQRBFSA-N 0 1 291.395 0.490 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)CNC(C)=O ZINC001114965257 837479565 /nfs/dbraw/zinc/47/95/65/837479565.db2.gz HRWLEKRRQCDZAP-WDNDVIMCSA-N 0 1 291.395 0.218 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)C=Cc1ccccc1C#N ZINC001129600636 837532395 /nfs/dbraw/zinc/53/23/95/837532395.db2.gz YBPCBPMVZKPKRH-SREVYHEPSA-N 0 1 296.290 0.688 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCC(C)C)C[C@@H]1n1ccnn1 ZINC001129559187 837532943 /nfs/dbraw/zinc/53/29/43/837532943.db2.gz QYFKWOXMWUZERR-KGLIPLIRSA-N 0 1 289.383 0.689 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C(C)C)C[C@@H]1n1ccnn1 ZINC001129589543 837534560 /nfs/dbraw/zinc/53/45/60/837534560.db2.gz OOLHSLHIBAVMSY-OLZOCXBDSA-N 0 1 275.356 0.299 20 30 CCEDMN CC#CCN1CC[C@H](c2n[nH]cc2CNC(=O)COC)C1 ZINC001130328742 837767298 /nfs/dbraw/zinc/76/72/98/837767298.db2.gz AJZBRKBIAUDGSD-LBPRGKRZSA-N 0 1 290.367 0.485 20 30 CCEDMN CC#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)[C@@H](C)C#N)C1 ZINC001130364912 837780163 /nfs/dbraw/zinc/78/01/63/837780163.db2.gz KSCOZAZCTMBTDQ-QWHCGFSZSA-N 0 1 299.378 0.998 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)OCCOCC ZINC001115286789 836020309 /nfs/dbraw/zinc/02/03/09/836020309.db2.gz USEPOTFGYZWNMM-KBXIAJHMSA-N 0 1 294.395 0.498 20 30 CCEDMN N#CCNCCCNC(=O)c1cccc2nc[nH]c21 ZINC001168999341 836093144 /nfs/dbraw/zinc/09/31/44/836093144.db2.gz NSTOBZDRQKBPCI-UHFFFAOYSA-N 0 1 257.297 0.796 20 30 CCEDMN CC(=O)N1CC[C@H](N2CCC3SC(=O)C=C3C2)C1 ZINC001169536971 836337215 /nfs/dbraw/zinc/33/72/15/836337215.db2.gz HIZRFTRZVDBJRC-RYUDHWBXSA-N 0 1 266.366 0.881 20 30 CCEDMN C[N@@H+](CCCO)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001169665296 836415866 /nfs/dbraw/zinc/41/58/66/836415866.db2.gz CEMHCAIORAUDQZ-UHFFFAOYSA-N 0 1 261.285 0.121 20 30 CCEDMN C=CC[C@@H](Nc1ncc2c(n1)CNCC2)C(=O)OC ZINC001169834490 836454268 /nfs/dbraw/zinc/45/42/68/836454268.db2.gz RVIQQYGZHVRPSF-SNVBAGLBSA-N 0 1 262.313 0.652 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(=O)NC)C2 ZINC001109190200 836635308 /nfs/dbraw/zinc/63/53/08/836635308.db2.gz GZWZPYIWDYHFAX-VWYCJHECSA-N 0 1 251.330 0.030 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001109266119 836650209 /nfs/dbraw/zinc/65/02/09/836650209.db2.gz NCJWJRCVGJXVAA-MQYQWHSLSA-N 0 1 262.353 0.932 20 30 CCEDMN CCCC#CC(=O)Nc1[nH]nc(SC)c1C(N)=O ZINC001184269469 844196773 /nfs/dbraw/zinc/19/67/73/844196773.db2.gz UKMNOILSQYZAGB-UHFFFAOYSA-N 0 1 266.326 0.973 20 30 CCEDMN CCCCCCCN1CCN(C(=O)CNC(N)=O)CC1 ZINC001112607051 836820815 /nfs/dbraw/zinc/82/08/15/836820815.db2.gz ALQXNIXCSVDBHR-UHFFFAOYSA-N 0 1 284.404 0.769 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001114952483 836889577 /nfs/dbraw/zinc/88/95/77/836889577.db2.gz VSRKSJPFBCPINQ-ZVDSWSACSA-N 0 1 288.391 0.729 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCN(CC/C=C\CC)CC1 ZINC001112778049 836910939 /nfs/dbraw/zinc/91/09/39/836910939.db2.gz YLVMDOFCHDWKCH-WAYWQWQTSA-N 0 1 291.395 0.626 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)C2CN(C(C)=O)C2)CC1 ZINC001112795801 836916585 /nfs/dbraw/zinc/91/65/85/836916585.db2.gz LOTMXNDQTMBPHN-CYBMUJFWSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H](C)NC(N)=O)CC1 ZINC001112799317 836919120 /nfs/dbraw/zinc/91/91/20/836919120.db2.gz AMBKIJVKMQUXOE-NSHDSACASA-N 0 1 268.361 0.154 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@@H](C)Nc1cncc(C#N)n1 ZINC001113116467 837024818 /nfs/dbraw/zinc/02/48/18/837024818.db2.gz IUUCNNXYSGQOJB-HTQZYQBOSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@@H](C)Nc1cncc(C#N)n1 ZINC001113116467 837024828 /nfs/dbraw/zinc/02/48/28/837024828.db2.gz IUUCNNXYSGQOJB-HTQZYQBOSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@@H](C)Nc1nccnc1C#N ZINC001113115233 837030400 /nfs/dbraw/zinc/03/04/00/837030400.db2.gz XZWSVLYVWGXETE-HTQZYQBOSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@@H](C)Nc1nccnc1C#N ZINC001113115233 837030408 /nfs/dbraw/zinc/03/04/08/837030408.db2.gz XZWSVLYVWGXETE-HTQZYQBOSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC001113313870 837083539 /nfs/dbraw/zinc/08/35/39/837083539.db2.gz AVQSDSARSKPVAA-HNNXBMFYSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCCCN1CCN(C(=O)CCn2cnnn2)CC1 ZINC001113452446 837117687 /nfs/dbraw/zinc/11/76/87/837117687.db2.gz AZDWRXNBLZRVLE-UHFFFAOYSA-N 0 1 278.360 0.174 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC001113458223 837120522 /nfs/dbraw/zinc/12/05/22/837120522.db2.gz XHFGMMUIJZXTLP-UHFFFAOYSA-N 0 1 290.367 0.838 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC001113634617 837182742 /nfs/dbraw/zinc/18/27/42/837182742.db2.gz NFQMFTDDSKAIDO-UHFFFAOYSA-N 0 1 290.367 0.838 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccccn1 ZINC001113775125 837230995 /nfs/dbraw/zinc/23/09/95/837230995.db2.gz OZBBHXGPZYCHIP-NHAGDIPZSA-N 0 1 285.347 0.391 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)F ZINC001113775754 837231173 /nfs/dbraw/zinc/23/11/73/837231173.db2.gz YTXOVGUFNGBLKB-NNYUYHANSA-N 0 1 297.374 0.472 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)C ZINC001113791665 837241243 /nfs/dbraw/zinc/24/12/43/837241243.db2.gz XZLDAXSNEPDKCD-CXTNEJHOSA-N 0 1 293.411 0.770 20 30 CCEDMN CCn1ncc(CNCCCNC(=O)C#CC2CC2)n1 ZINC001157705204 837273077 /nfs/dbraw/zinc/27/30/77/837273077.db2.gz PSXCNJJLQAOZDS-UHFFFAOYSA-N 0 1 275.356 0.307 20 30 CCEDMN CCOC(=O)[C@H](C#N)Nc1nc2[nH]cnc2c(OC)n1 ZINC001170367849 837314167 /nfs/dbraw/zinc/31/41/67/837314167.db2.gz JJUVRZTYMWYJLU-LURJTMIESA-N 0 1 276.256 0.229 20 30 CCEDMN C=CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCC(C)=O ZINC001113858324 837344614 /nfs/dbraw/zinc/34/46/14/837344614.db2.gz SETHENXXHHGCHJ-IMRBUKKESA-N 0 1 250.342 0.978 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCC ZINC001113871494 837349706 /nfs/dbraw/zinc/34/97/06/837349706.db2.gz WDZKCBBTLSFULB-CXTNEJHOSA-N 0 1 291.395 0.361 20 30 CCEDMN C=CCN1CCOC[C@@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001130822889 837934425 /nfs/dbraw/zinc/93/44/25/837934425.db2.gz NLQMRAJLWNILRV-SNVBAGLBSA-N 0 1 279.344 0.390 20 30 CCEDMN C=CCN1CCOC[C@@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001130822889 837934433 /nfs/dbraw/zinc/93/44/33/837934433.db2.gz NLQMRAJLWNILRV-SNVBAGLBSA-N 0 1 279.344 0.390 20 30 CCEDMN C=CCCC(=O)NCC1CC([NH2+]Cc2nc(=O)n(C)[n-]2)C1 ZINC001100170552 837966403 /nfs/dbraw/zinc/96/64/03/837966403.db2.gz TXKIVMISXMWJDQ-UHFFFAOYSA-N 0 1 293.371 0.059 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@@H]1CCS(=O)(=O)C1 ZINC001130987883 837967206 /nfs/dbraw/zinc/96/72/06/837967206.db2.gz SGFPTZZGLWKPNV-JTQLQIEISA-N 0 1 294.804 0.270 20 30 CCEDMN COCCN1C[C@@H](NC(=O)CSCC#N)CC[C@H]1C ZINC001131830309 838242356 /nfs/dbraw/zinc/24/23/56/838242356.db2.gz PTCYYKDUJIMWBB-NEPJUHHUSA-N 0 1 285.413 0.859 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN(C)C(C)=O)CC[C@@H]1C ZINC001131830925 838243074 /nfs/dbraw/zinc/24/30/74/838243074.db2.gz WSXBMSHZVPRFJF-AAEUAGOBSA-N 0 1 265.357 0.067 20 30 CCEDMN C[C@@H]1CC[C@H](NC(=O)CCc2nc[nH]n2)CN1CC#N ZINC001131954418 838277311 /nfs/dbraw/zinc/27/73/11/838277311.db2.gz CKMVFVZPBOSAGX-MNOVXSKESA-N 0 1 276.344 0.230 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1csnn1 ZINC001131972177 838277763 /nfs/dbraw/zinc/27/77/63/838277763.db2.gz HPKVJDOLKBIEPD-UHFFFAOYSA-N 0 1 286.360 0.909 20 30 CCEDMN CC#CC[NH2+]C[C@@H]1CCN(C(=O)c2[nH]nnc2C)C[C@H]1C ZINC001184914529 844311036 /nfs/dbraw/zinc/31/10/36/844311036.db2.gz WBECNNKWTNJFSG-YPMHNXCESA-N 0 1 289.383 0.824 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCNC(=O)NC)CC[C@H]1C ZINC001132014250 838299427 /nfs/dbraw/zinc/29/94/27/838299427.db2.gz TXNMYEDIPQZDQM-CHWSQXEVSA-N 0 1 294.399 0.298 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COCC(F)F)CC[C@H]1C ZINC001132202553 838336982 /nfs/dbraw/zinc/33/69/82/838336982.db2.gz ITNOQTFJDBNDGO-MNOVXSKESA-N 0 1 274.311 0.870 20 30 CCEDMN COCC#CC[N@H+]1C[C@@H](NC(=O)c2ncn[n-]2)CC[C@@H]1C ZINC001132368609 838375049 /nfs/dbraw/zinc/37/50/49/838375049.db2.gz OSFBOBLKRJPSTG-RYUDHWBXSA-N 0 1 291.355 0.037 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2csc(=O)[nH]2)[C@H](O)C1 ZINC001090504576 838389296 /nfs/dbraw/zinc/38/92/96/838389296.db2.gz QMCAXNQUERUWAF-WCBMZHEXSA-N 0 1 283.353 0.200 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCOCCOC)CC[C@H]1C ZINC001132528558 838424116 /nfs/dbraw/zinc/42/41/16/838424116.db2.gz DCVBRZZLXOGBPQ-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](C)[C@H](CNCC#N)C2)[nH]1 ZINC001132705660 838472732 /nfs/dbraw/zinc/47/27/32/838472732.db2.gz PXAULFWHNXANRG-ZYHUDNBSSA-N 0 1 275.356 0.930 20 30 CCEDMN C=CCCCC(=O)NCCNCC(=O)Nc1ccon1 ZINC001133144811 838564762 /nfs/dbraw/zinc/56/47/62/838564762.db2.gz CVGUHCBLXQPAAG-UHFFFAOYSA-N 0 1 280.328 0.675 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)CSCC#N)NCc1cnon1 ZINC001133737096 838705090 /nfs/dbraw/zinc/70/50/90/838705090.db2.gz WCAAMQZHLLNSDP-UWVGGRQHSA-N 0 1 297.384 0.699 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@H](C)NCc1ncnn1C ZINC001133909803 838775102 /nfs/dbraw/zinc/77/51/02/838775102.db2.gz RFDNKUIINLSCHI-NWDGAFQWSA-N 0 1 295.387 0.391 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CSC)C1 ZINC001191814379 845732962 /nfs/dbraw/zinc/73/29/62/845732962.db2.gz DYFUXIRMKSSQNV-IJLUTSLNSA-N 0 1 272.414 0.723 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)C#CC1CC1)NCc1cnon1 ZINC001134322672 838946766 /nfs/dbraw/zinc/94/67/66/838946766.db2.gz VFRMELMBHNUAIQ-GHMZBOCLSA-N 0 1 276.340 0.856 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)C#CC1CC1)NCc1nncn1C ZINC001134325218 838948183 /nfs/dbraw/zinc/94/81/83/838948183.db2.gz APWTYIDLRSPCHS-NEPJUHHUSA-N 0 1 289.383 0.601 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(C)n2C)[C@@H](O)C1 ZINC001090519026 838979476 /nfs/dbraw/zinc/97/94/76/838979476.db2.gz FHIVUJHKJCILGM-AAEUAGOBSA-N 0 1 278.356 0.080 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)C[C@H](C)NCc1nnnn1C ZINC001134469867 838983502 /nfs/dbraw/zinc/98/35/02/838983502.db2.gz JHAWGIMRSRUNOK-RYUDHWBXSA-N 0 1 294.403 0.939 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCC[C@H](C(N)=O)C1 ZINC001134614055 839019928 /nfs/dbraw/zinc/01/99/28/839019928.db2.gz SCOKMPAAWIHHBR-QWRGUYRKSA-N 0 1 287.791 0.736 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cccnn1 ZINC001135636898 839333218 /nfs/dbraw/zinc/33/32/18/839333218.db2.gz ACUZCXBNLUYEDU-UHFFFAOYSA-N 0 1 285.145 0.705 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)Cc1ncc[nH]1 ZINC001135755912 839383630 /nfs/dbraw/zinc/38/36/30/839383630.db2.gz TZGSUTZWBCBDIS-UHFFFAOYSA-N 0 1 283.335 0.730 20 30 CCEDMN CC[C@](N)(CO)Nc1nc(SC)nc(C)c1C#N ZINC001170858516 839443704 /nfs/dbraw/zinc/44/37/04/839443704.db2.gz KNUHDJZMYKBOFK-LLVKDONJSA-N 0 1 267.358 0.848 20 30 CCEDMN CC[C@](N)(CO)Nc1c(Br)cncc1C#N ZINC001170865118 839459536 /nfs/dbraw/zinc/45/95/36/839459536.db2.gz YQMYIXLTLAXAMI-SNVBAGLBSA-N 0 1 285.145 0.607 20 30 CCEDMN C=C[C@H]1C[C@@]1(Nc1cc2c(nn1)CNC2)C(=O)OCC ZINC001170926199 839566490 /nfs/dbraw/zinc/56/64/90/839566490.db2.gz YMHKUZBBDCURSM-HZMBPMFUSA-N 0 1 274.324 1.000 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2n[nH]cc2F)[C@@H](O)C1 ZINC001090553275 839637369 /nfs/dbraw/zinc/63/73/69/839637369.db2.gz LNJYKXAYZDWPBM-MNOVXSKESA-N 0 1 282.319 0.290 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnoc2CC)[C@H](O)C1 ZINC001090614741 839677726 /nfs/dbraw/zinc/67/77/26/839677726.db2.gz ZYUSZYSKVPCRAO-VXGBXAGGSA-N 0 1 279.340 0.588 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn(CC)n2)[C@@H](O)C1 ZINC001090620570 839684694 /nfs/dbraw/zinc/68/46/94/839684694.db2.gz LXWISPORHBUDRA-AAEUAGOBSA-N 0 1 278.356 0.254 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CC)nn2C)[C@@H](O)C1 ZINC001090671469 839725496 /nfs/dbraw/zinc/72/54/96/839725496.db2.gz KEFYLUFOHRZVCC-OCCSQVGLSA-N 0 1 292.383 0.334 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]nc2C2CC2)[C@@H](O)C1 ZINC001090696349 839743387 /nfs/dbraw/zinc/74/33/87/839743387.db2.gz RGRPPPINUDYLKC-OLZOCXBDSA-N 0 1 290.367 0.638 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(C(C)C)c2)[C@H](O)C1 ZINC001090721026 839755181 /nfs/dbraw/zinc/75/51/81/839755181.db2.gz DKEQLNRCIOMFAC-UONOGXRCSA-N 0 1 292.383 0.815 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(CC)on2)[C@@H](O)C1 ZINC001090730463 839760801 /nfs/dbraw/zinc/76/08/01/839760801.db2.gz LSUTUGNNTOJEHO-OCCSQVGLSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2[nH]cnc2CC)[C@H](O)C1 ZINC001090769040 839793805 /nfs/dbraw/zinc/79/38/05/839793805.db2.gz ZOUZVVKCWBWSOJ-VXGBXAGGSA-N 0 1 278.356 0.323 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccnc(C)n2)[C@H](O)C1 ZINC001090819503 839835248 /nfs/dbraw/zinc/83/52/48/839835248.db2.gz BUBSOPACBKRIJZ-TZMCWYRMSA-N 0 1 290.367 0.526 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@H](CNC(=O)CCOCC)C1 ZINC001149355290 839898938 /nfs/dbraw/zinc/89/89/38/839898938.db2.gz HXIQHZHLDKYKFB-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN CCOCCS(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001187256045 844690388 /nfs/dbraw/zinc/69/03/88/844690388.db2.gz DEWBQNXWSNARCT-SNVBAGLBSA-N 0 1 291.394 0.627 20 30 CCEDMN C[C@H](Cc1cccc(C#N)c1)NC1CS(=O)(=O)C1 ZINC001171176968 840039146 /nfs/dbraw/zinc/03/91/46/840039146.db2.gz YSGPQEAVCBZQLL-SNVBAGLBSA-N 0 1 264.350 0.876 20 30 CCEDMN CC(=O)N[C@@H](CS)C(=O)NCc1ccc2[nH]nnc2c1 ZINC001144426738 840048601 /nfs/dbraw/zinc/04/86/01/840048601.db2.gz FKWRAOSYNMXDQZ-NSHDSACASA-N 0 1 293.352 0.009 20 30 CCEDMN CC(=O)N[C@@H](CS)C(=O)NCc1ccc2nn[nH]c2c1 ZINC001144426738 840048614 /nfs/dbraw/zinc/04/86/14/840048614.db2.gz FKWRAOSYNMXDQZ-NSHDSACASA-N 0 1 293.352 0.009 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](CC)N1CCCC1=O ZINC001151540761 844518863 /nfs/dbraw/zinc/51/88/63/844518863.db2.gz VIASWNJGFILGSA-LLVKDONJSA-N 0 1 287.791 0.846 20 30 CCEDMN C#CCCN1CCC[C@@H](Nc2ncc3c(n2)CNC3)C1=O ZINC001160898402 840259603 /nfs/dbraw/zinc/25/96/03/840259603.db2.gz NFHHJRCPULQTNK-GFCCVEGCSA-N 0 1 285.351 0.506 20 30 CCEDMN CCN(C)[C@H](C(=O)NCCNCC#N)c1ccccc1 ZINC001147449106 840600406 /nfs/dbraw/zinc/60/04/06/840600406.db2.gz IYMWKXVZCYREKL-AWEZNQCLSA-N 0 1 274.368 0.909 20 30 CCEDMN N#Cc1ccc(NCC(=O)NCCN2CC=CC2)nc1 ZINC001147538483 840610381 /nfs/dbraw/zinc/61/03/81/840610381.db2.gz OLPBIBBHRUZGGS-UHFFFAOYSA-N 0 1 271.324 0.353 20 30 CCEDMN CC[C@H](F)C(=O)N1CC2(C1)CCN(CC#CCOC)C2 ZINC001148059933 840734710 /nfs/dbraw/zinc/73/47/10/840734710.db2.gz RCSUWTDOXRGNAM-ZDUSSCGKSA-N 0 1 282.359 0.919 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CNC(=O)[C@@H](C)CC)C2)C1 ZINC001148784272 840886183 /nfs/dbraw/zinc/88/61/83/840886183.db2.gz RRAVQDXOSNYFIA-ZDUSSCGKSA-N 0 1 291.395 0.316 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C(F)F)C1 ZINC001149038579 840924016 /nfs/dbraw/zinc/92/40/16/840924016.db2.gz OYJWTXGMUUJMSE-SNVBAGLBSA-N 0 1 260.284 0.482 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](c2cnn(C)c2)[C@H](NCCF)C1 ZINC001086971568 840943946 /nfs/dbraw/zinc/94/39/46/840943946.db2.gz ULSWIDZPPNAAMF-KGYLQXTDSA-N 0 1 293.346 0.433 20 30 CCEDMN C[C@H](CNC(=O)CCc1cnc[nH]1)Nc1ccnc(C#N)n1 ZINC001098344973 840965367 /nfs/dbraw/zinc/96/53/67/840965367.db2.gz ZSACBXACAVHVFE-SNVBAGLBSA-N 0 1 299.338 0.043 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)C[C@@H]3CCC(=O)N3)C[C@]2(C)C1 ZINC001092093171 840988584 /nfs/dbraw/zinc/98/85/84/840988584.db2.gz ONHAFJYEMDLVOK-WWGRRREGSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@](C)(O)C=C)C1 ZINC001149622495 841010071 /nfs/dbraw/zinc/01/00/71/841010071.db2.gz ANKVYLODAAWKDE-DZGCQCFKSA-N 0 1 282.384 0.707 20 30 CCEDMN C#CC[N@H+]1C[C@@H](CNC(=O)c2oncc2C)[C@H](C)C1 ZINC001093096823 841137068 /nfs/dbraw/zinc/13/70/68/841137068.db2.gz QADSJXAQDFCDLM-VXGBXAGGSA-N 0 1 261.325 0.914 20 30 CCEDMN CN1CCN(c2ccc(NC(=O)[C@@H]3C[C@@H]3C#N)nc2)CC1 ZINC001186970646 844629892 /nfs/dbraw/zinc/62/98/92/844629892.db2.gz KVMBXLWJTHETCT-DGCLKSJQSA-N 0 1 285.351 0.932 20 30 CCEDMN Cc1cc(NCCNC(=O)[C@H]2CCCN2C)c(C#N)cn1 ZINC001093530632 841313644 /nfs/dbraw/zinc/31/36/44/841313644.db2.gz AFRNYBMZGFQKQP-CQSZACIVSA-N 0 1 287.367 0.306 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCNc2cc(C)ncc2C#N)c1C ZINC001093535339 841317540 /nfs/dbraw/zinc/31/75/40/841317540.db2.gz ALGFMVLPWJUDFC-UHFFFAOYSA-N 0 1 298.350 0.865 20 30 CCEDMN N#Cc1c(Cl)nsc1NCCNC(=O)c1ncn[nH]1 ZINC001093793172 841459160 /nfs/dbraw/zinc/45/91/60/841459160.db2.gz FAOYVJHKRJTJPD-UHFFFAOYSA-N 0 1 297.731 0.628 20 30 CCEDMN N#Cc1c(Cl)nsc1NCCNC(=O)c1nc[nH]n1 ZINC001093793172 841459165 /nfs/dbraw/zinc/45/91/65/841459165.db2.gz FAOYVJHKRJTJPD-UHFFFAOYSA-N 0 1 297.731 0.628 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2cnc(C)o2)C1 ZINC001149853877 841521271 /nfs/dbraw/zinc/52/12/71/841521271.db2.gz DYVZZMHMVNELNA-CQSZACIVSA-N 0 1 293.367 0.919 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2(C)CC2)[C@@H](O)C1 ZINC001099932089 841521464 /nfs/dbraw/zinc/52/14/64/841521464.db2.gz ZYEVXCBVAVWHGR-STQMWFEESA-N 0 1 264.369 0.751 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCCNc1cncc(C#N)n1 ZINC001094248502 841568179 /nfs/dbraw/zinc/56/81/79/841568179.db2.gz FLWSWAIFNSJYDP-GFCCVEGCSA-N 0 1 288.355 0.361 20 30 CCEDMN COc1ccc(C#N)c(Nc2nccnc2CN)n1 ZINC001171449684 841697328 /nfs/dbraw/zinc/69/73/28/841697328.db2.gz VYAGXVHJQFRVLA-UHFFFAOYSA-N 0 1 256.269 0.954 20 30 CCEDMN N#Cc1cncc(NCCCNC(=O)Cc2cnc[nH]2)n1 ZINC001094809106 841727852 /nfs/dbraw/zinc/72/78/52/841727852.db2.gz XTRMKIXLEIEUIN-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN COC(=O)[C@@H]1CCC[C@H]([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)CC1 ZINC001172803146 841979576 /nfs/dbraw/zinc/97/95/76/841979576.db2.gz ZYUXETYQHUGFRR-USZNOCQGSA-N 0 1 284.356 0.934 20 30 CCEDMN CN1CCN(C2CN(C3CCC(CC#N)CC3)C2)CC1=O ZINC001173337702 842046730 /nfs/dbraw/zinc/04/67/30/842046730.db2.gz RVYLBGCQBZSJGW-UHFFFAOYSA-N 0 1 290.411 0.917 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@H](CNC(=O)[C@@]2(F)CCOC2)C1 ZINC001150179153 842072962 /nfs/dbraw/zinc/07/29/62/842072962.db2.gz HLMVFJVLESYSFF-UKRRQHHQSA-N 0 1 298.358 0.345 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cc[nH]n1)C2 ZINC001095254713 842150917 /nfs/dbraw/zinc/15/09/17/842150917.db2.gz DWNALQBHXHKGFW-AGIUHOORSA-N 0 1 258.325 0.307 20 30 CCEDMN COc1cccc(NC(=O)Cc2nn[nH]n2)c1C#N ZINC001176844387 842419370 /nfs/dbraw/zinc/41/93/70/842419370.db2.gz AONHMKPBEBELJK-UHFFFAOYSA-N 0 1 258.241 0.261 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CCO1 ZINC001177177032 842515835 /nfs/dbraw/zinc/51/58/35/842515835.db2.gz VIQRCLAXPDJWBW-ZJUUUORDSA-N 0 1 260.297 0.266 20 30 CCEDMN CCOC(=O)CON=C(C(=O)N[C@@H](C)CC)c1ccn[nH]1 ZINC001177263975 842539089 /nfs/dbraw/zinc/53/90/89/842539089.db2.gz MEWRHYKPLUZOQY-XZCCHYJMSA-N 0 1 296.327 0.608 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1ncc(C)cn1 ZINC001177273746 842542974 /nfs/dbraw/zinc/54/29/74/842542974.db2.gz YAFGHJOWXSQYJC-ZDUSSCGKSA-N 0 1 292.383 0.972 20 30 CCEDMN CON=C(C(=O)Nc1n[nH]c2nccnc12)c1ccco1 ZINC001177348127 842560689 /nfs/dbraw/zinc/56/06/89/842560689.db2.gz DFOTTZCTBSZRIP-LSCVHKIXSA-N 0 1 286.251 0.887 20 30 CCEDMN O=C(C#Cc1ccccn1)N1CCc2nc[nH]c2C1 ZINC001177800761 842680932 /nfs/dbraw/zinc/68/09/32/842680932.db2.gz IDJGBVDFNHTKSQ-UHFFFAOYSA-N 0 1 252.277 0.741 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@@H]1CCC(=O)NC1=O ZINC001177916537 842708331 /nfs/dbraw/zinc/70/83/31/842708331.db2.gz IJULBZLOEWXKTE-WCBMZHEXSA-N 0 1 291.332 0.352 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)Cc2ccc[nH]2)C1 ZINC001150744523 842725429 /nfs/dbraw/zinc/72/54/29/842725429.db2.gz HIYNTAMFBIABJU-HNNXBMFYSA-N 0 1 289.379 0.788 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)NC(N)=O)[C@H]1C ZINC001178559840 842880103 /nfs/dbraw/zinc/88/01/03/842880103.db2.gz KPCSUXWDTQXGSM-GUBZILKMSA-N 0 1 288.779 0.375 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@@H](CNC(=O)[C@H](C)OCC=C)C1 ZINC001150811432 842888435 /nfs/dbraw/zinc/88/84/35/842888435.db2.gz QHVJUSUVDZJGTO-GJZGRUSLSA-N 0 1 294.395 0.808 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)CC(N)=O)[C@H]1C ZINC001179300798 842983404 /nfs/dbraw/zinc/98/34/04/842983404.db2.gz YQVSHWWHYGFBCC-MIMYLULJSA-N 0 1 287.791 0.829 20 30 CCEDMN CC(C)(C)OC(=O)NC(CC#N)=C(O)n1cc(N)c(N)n1 ZINC001180778431 843299772 /nfs/dbraw/zinc/29/97/72/843299772.db2.gz KUGJVOMEDRCSME-QMMMGPOBSA-N 0 1 294.315 0.495 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(C(=O)[C@H](C)N(C)C)C1 ZINC001180861576 843326208 /nfs/dbraw/zinc/32/62/08/843326208.db2.gz HPUUQEGCYDQIQD-NWDGAFQWSA-N 0 1 283.372 0.840 20 30 CCEDMN Cn1ccc(CN[C@@H]2CCN(C(=O)CSCC#N)C2)n1 ZINC001181096733 843398287 /nfs/dbraw/zinc/39/82/87/843398287.db2.gz BZHZLRDNEIMHNU-GFCCVEGCSA-N 0 1 293.396 0.367 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)NC(C)=O)C2 ZINC001110265165 843758189 /nfs/dbraw/zinc/75/81/89/843758189.db2.gz HRBPEHRTVVBMSD-SQNXGDPESA-N 0 1 265.357 0.419 20 30 CCEDMN C=C[C@H]1C[C@@]1(NC(=O)C1(N(C)C)CC1)C(=O)OCC ZINC001182602295 843873557 /nfs/dbraw/zinc/87/35/57/843873557.db2.gz ADHCLJLAXYNPQC-HZMBPMFUSA-N 0 1 266.341 0.705 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)Cc1ccc2nc[nH]c2c1 ZINC001182842700 843930490 /nfs/dbraw/zinc/93/04/90/843930490.db2.gz RHKXQGOZLUYSBB-LLVKDONJSA-N 0 1 269.308 0.429 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)C(O)C(F)(F)F ZINC001183202417 843985269 /nfs/dbraw/zinc/98/52/69/843985269.db2.gz YHFMITTWLBBHCN-ZETCQYMHSA-N 0 1 268.235 0.108 20 30 CCEDMN CCOC(=O)[C@H]1c2[nH]cnc2CCN1C(=O)C#CCOC ZINC001187128603 844656539 /nfs/dbraw/zinc/65/65/39/844656539.db2.gz USGGWPXKZOMPOG-CYBMUJFWSA-N 0 1 291.307 0.048 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CO[C@H](C)CC)[C@@H]2C1 ZINC001187547720 844736723 /nfs/dbraw/zinc/73/67/23/844736723.db2.gz ZTUVFLIGUYCWJJ-HZSPNIEDSA-N 0 1 264.369 0.967 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@H]2CN(CC#CC)C[C@H]21 ZINC001187698066 844747032 /nfs/dbraw/zinc/74/70/32/844747032.db2.gz GPEOWNPVMJJTTC-LZWOXQAQSA-N 0 1 276.380 0.870 20 30 CCEDMN COCC#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cc[nH]c3)[C@@H]2C1 ZINC001187983941 844812766 /nfs/dbraw/zinc/81/27/66/844812766.db2.gz CNUYGEVVIIDGGS-LSDHHAIUSA-N 0 1 287.363 0.811 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)c3cc[nH]c3)[C@@H]2C1 ZINC001187983941 844812768 /nfs/dbraw/zinc/81/27/68/844812768.db2.gz CNUYGEVVIIDGGS-LSDHHAIUSA-N 0 1 287.363 0.811 20 30 CCEDMN Cc1nc(C#N)cnc1NS(=O)(=O)C1CCC1 ZINC001188105160 844823590 /nfs/dbraw/zinc/82/35/90/844823590.db2.gz PBVRYLOZFPJMRR-UHFFFAOYSA-N 0 1 252.299 0.951 20 30 CCEDMN COC(=O)CCS(=O)(=O)[N-]c1ccc(C#N)cc1[O-] ZINC001188387847 844876933 /nfs/dbraw/zinc/87/69/33/844876933.db2.gz CTEAZKUREPGSFT-UHFFFAOYSA-N 0 1 284.293 0.569 20 30 CCEDMN COC(=O)CCS(=O)(=O)Nc1ccc(C#N)s1 ZINC001188355410 844882482 /nfs/dbraw/zinc/88/24/82/844882482.db2.gz NTYQZTHZJIJKML-UHFFFAOYSA-N 0 1 274.323 0.925 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C=C(C)C)C1 ZINC001188718447 844960616 /nfs/dbraw/zinc/96/06/16/844960616.db2.gz TYAIXNSVKGYBEO-ZIAGYGMSSA-N 0 1 291.395 0.623 20 30 CCEDMN CCO[C@H](C)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189021259 845025151 /nfs/dbraw/zinc/02/51/51/845025151.db2.gz GKMJUNWTWAVWCZ-ZIAGYGMSSA-N 0 1 282.384 0.594 20 30 CCEDMN CCOC(=O)C(C#N)NC(=O)c1cc(OC)ccc1C#N ZINC001189095770 845050538 /nfs/dbraw/zinc/05/05/38/845050538.db2.gz FUIYNCZFPMOSOT-LBPRGKRZSA-N 0 1 287.275 0.752 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ccon2)C1 ZINC001189103635 845054866 /nfs/dbraw/zinc/05/48/66/845054866.db2.gz FEKDZFSYHBJYME-LBPRGKRZSA-N 0 1 277.324 0.471 20 30 CCEDMN COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2ccon2)C1 ZINC001189103635 845054855 /nfs/dbraw/zinc/05/48/55/845054855.db2.gz FEKDZFSYHBJYME-LBPRGKRZSA-N 0 1 277.324 0.471 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)/C(C)=C/C)C1 ZINC001189594401 845171593 /nfs/dbraw/zinc/17/15/93/845171593.db2.gz RHVCVQBNYMSSMA-NAICIEFVSA-N 0 1 291.395 0.623 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cn(C)cn2)C1 ZINC001189640468 845177344 /nfs/dbraw/zinc/17/73/44/845177344.db2.gz BBUWLBBITIDOGH-CYBMUJFWSA-N 0 1 290.367 0.216 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CO[C@H](C)CC)C1 ZINC001189716265 845196837 /nfs/dbraw/zinc/19/68/37/845196837.db2.gz IAFDTBWEWBJFMJ-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN CC[C@H](C)OCC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189727296 845199417 /nfs/dbraw/zinc/19/94/17/845199417.db2.gz KWSXLCJZJSYFPW-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cncnc2)C1 ZINC001189777652 845204542 /nfs/dbraw/zinc/20/45/42/845204542.db2.gz GPTAIDNFXFOKPF-CQSZACIVSA-N 0 1 288.351 0.273 20 30 CCEDMN C=C[C@H]1C[C@@]1(NC(=O)c1nnc(C)[nH]1)C(=O)OCC ZINC001189870452 845237495 /nfs/dbraw/zinc/23/74/95/845237495.db2.gz JKFPJBHUQFTHBS-UFBFGSQYSA-N 0 1 264.285 0.351 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001189910016 845263852 /nfs/dbraw/zinc/26/38/52/845263852.db2.gz KXCGOPKGMMSPHT-NWDGAFQWSA-N 0 1 265.357 0.196 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@@H](N(C)CC#CC)C1 ZINC001189917065 845267877 /nfs/dbraw/zinc/26/78/77/845267877.db2.gz CHERPWADQOPKLN-UKRRQHHQSA-N 0 1 264.369 0.870 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCNC(=O)C2CC2)C1 ZINC001190493268 845421053 /nfs/dbraw/zinc/42/10/53/845421053.db2.gz WZKIUOFPUHEALU-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001190614405 845436993 /nfs/dbraw/zinc/43/69/93/845436993.db2.gz YMVJIEOYBCJUMN-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCn2ccnn2)C1 ZINC001190622804 845437349 /nfs/dbraw/zinc/43/73/49/845437349.db2.gz QVZNIGYRASSXJP-ZDUSSCGKSA-N 0 1 275.356 0.224 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2(CC#C)CCOCC2)C1 ZINC001190584014 845439229 /nfs/dbraw/zinc/43/92/29/845439229.db2.gz FBDGYUZXQIJCIG-OAHLLOKOSA-N 0 1 288.391 0.972 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCSC)C1 ZINC001190929438 845540412 /nfs/dbraw/zinc/54/04/12/845540412.db2.gz AHKPWELEGZUOLD-GHMZBOCLSA-N 0 1 258.387 0.477 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)OCC(C)C)C1 ZINC001191448624 845644043 /nfs/dbraw/zinc/64/40/43/845644043.db2.gz INWOIRMYOPMLFJ-BFHYXJOUSA-N 0 1 282.384 0.232 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1cc(C(=O)OC)ccc1O ZINC001192395191 845844552 /nfs/dbraw/zinc/84/45/52/845844552.db2.gz FAMCBSAYAKZJSN-VIFPVBQESA-N 0 1 265.265 0.456 20 30 CCEDMN CC[C@H](CN1C[C@@H](O)[C@H](NC(=O)C#CC(C)C)C1)OC ZINC001192761424 845903047 /nfs/dbraw/zinc/90/30/47/845903047.db2.gz JKMIVNROEOTPIP-MGPQQGTHSA-N 0 1 282.384 0.232 20 30 CCEDMN N#CCS(=O)(=O)Nc1cnc(-n2nccn2)c(Cl)c1 ZINC001192951866 845933923 /nfs/dbraw/zinc/93/39/23/845933923.db2.gz SIQZXSBXXKXVKI-UHFFFAOYSA-N 0 1 298.715 0.581 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N1CC[C@@H](N(C)C2CC2)C1 ZINC001193138659 846002262 /nfs/dbraw/zinc/00/22/62/846002262.db2.gz QYRHLBAHTBLFGO-GXSJLCMTSA-N 0 1 257.359 0.397 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@H](C)COC)C1 ZINC001193155685 846008589 /nfs/dbraw/zinc/00/85/89/846008589.db2.gz LFBWVOBMOSFRJM-STQMWFEESA-N 0 1 252.358 0.825 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N1CCO[C@@H](CN2CCCC2)C1 ZINC001193139831 846002982 /nfs/dbraw/zinc/00/29/82/846002982.db2.gz NRWJFIHTWXIDPC-RYUDHWBXSA-N 0 1 287.385 0.025 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cccc2c1CCNC2=O ZINC001193160318 846009590 /nfs/dbraw/zinc/00/95/90/846009590.db2.gz BYVWXGCJYIDZDU-MRVPVSSYSA-N 0 1 279.321 0.626 20 30 CCEDMN CCOC(=O)C1=C(NS(=O)(=O)[C@H](C)C#N)[C@@H](C)OC1 ZINC001193168997 846010991 /nfs/dbraw/zinc/01/09/91/846010991.db2.gz PSPNNRYIIFPWHH-HTQZYQBOSA-N 0 1 288.325 0.054 20 30 CCEDMN COC(=O)[C@@H](NS(=O)(=O)[C@@H](C)C#N)c1ccncc1 ZINC001193182599 846027303 /nfs/dbraw/zinc/02/73/03/846027303.db2.gz OYTRXRVHILVMTQ-WPRPVWTQSA-N 0 1 283.309 0.127 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCCn2cncn2)C1 ZINC001193319351 846050833 /nfs/dbraw/zinc/05/08/33/846050833.db2.gz JFODMHQQBKCCII-CQSZACIVSA-N 0 1 289.383 0.614 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCOCCOCC)C1 ZINC001193451965 846075601 /nfs/dbraw/zinc/07/56/01/846075601.db2.gz YXEKNAQCAFLADS-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN N#Cc1cc(C(=O)Nc2ncnn3cccc23)ccc1O ZINC001193499983 846083576 /nfs/dbraw/zinc/08/35/76/846083576.db2.gz YFWKHGDGQIRNAD-UHFFFAOYSA-N 0 1 279.259 0.981 20 30 CCEDMN N#Cc1cc(C(=O)N2CCn3nncc3C2)ccc1O ZINC001193503745 846099413 /nfs/dbraw/zinc/09/94/13/846099413.db2.gz GCWSJYHIJWLQAR-UHFFFAOYSA-N 0 1 269.264 0.511 20 30 CCEDMN N#CCCN1CCN(C(=O)c2ccc(O)c(C#N)c2)CC1 ZINC001193504281 846101871 /nfs/dbraw/zinc/10/18/71/846101871.db2.gz POVHOFWAQFMCPY-UHFFFAOYSA-N 0 1 284.319 0.935 20 30 CCEDMN Cn1ccnc1CCNC(=O)c1ccc(O)c(C#N)c1 ZINC001193519361 846103236 /nfs/dbraw/zinc/10/32/36/846103236.db2.gz WIWOOJYVXMUYIJ-UHFFFAOYSA-N 0 1 270.292 0.970 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2CCCNC(=O)C2)ccc1O ZINC001193519429 846103384 /nfs/dbraw/zinc/10/33/84/846103384.db2.gz ZHRAVROXAOPQON-LLVKDONJSA-N 0 1 273.292 0.662 20 30 CCEDMN COc1ccnc(C(=O)Nc2cnc(C#N)cn2)c1O ZINC001193521497 846104596 /nfs/dbraw/zinc/10/45/96/846104596.db2.gz YNDAYZWFQSIDIH-UHFFFAOYSA-N 0 1 271.236 0.710 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@H](O)[C@H](CO)C2)c1O ZINC001193642769 846134972 /nfs/dbraw/zinc/13/49/72/846134972.db2.gz PFZGJKQREGAUED-JQWIXIFHSA-N 0 1 276.292 0.079 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN([C@H](C)COC)C[C@H]2O)CCC1 ZINC001193711072 846149787 /nfs/dbraw/zinc/14/97/87/846149787.db2.gz MEPVJYGEWFXYNF-MGPQQGTHSA-N 0 1 294.395 0.376 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CNC(=O)c2ccco2)C1 ZINC001193854904 846168801 /nfs/dbraw/zinc/16/88/01/846168801.db2.gz JRBDLUDXDAMHIN-LBPRGKRZSA-N 0 1 289.335 0.175 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CNC(=O)C(C)(C)C)C1 ZINC001193982008 846191338 /nfs/dbraw/zinc/19/13/38/846191338.db2.gz LMLBDMFGTBPCRK-LBPRGKRZSA-N 0 1 279.384 0.315 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)OCCOCC)C1 ZINC001194239285 846246339 /nfs/dbraw/zinc/24/63/39/846246339.db2.gz WRIMQGGQXXSWQB-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C(C)(C)NC(=O)NC)C1 ZINC001194266097 846256193 /nfs/dbraw/zinc/25/61/93/846256193.db2.gz KVWDHJJOVUEVSY-GFCCVEGCSA-N 0 1 294.399 0.250 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001194382432 846283619 /nfs/dbraw/zinc/28/36/19/846283619.db2.gz ZMFHEWYNTXNRKN-QWHCGFSZSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001194523480 846315909 /nfs/dbraw/zinc/31/59/09/846315909.db2.gz WIWRDPGYBGWIHB-OLZOCXBDSA-N 0 1 279.384 0.300 20 30 CCEDMN C=CCN1CCCN(C(=O)CN(C)C(C)=O)CC1 ZINC001194925913 846420112 /nfs/dbraw/zinc/42/01/12/846420112.db2.gz HJNLDGIAOKRXIH-UHFFFAOYSA-N 0 1 253.346 0.185 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)CNC(=O)OC)CC1 ZINC001195044687 846444760 /nfs/dbraw/zinc/44/47/60/846444760.db2.gz OKEOOFRODIPFJW-UHFFFAOYSA-N 0 1 299.371 0.079 20 30 CCEDMN C#CCN1CC2(CCC1=O)CCN(CC(=O)NCC)CC2 ZINC001273699434 846533538 /nfs/dbraw/zinc/53/35/38/846533538.db2.gz YGWPFHVKDODVTO-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN Cc1cc(C#N)cc(C(=O)N[C@@H](CO)Cc2cnc[nH]2)c1 ZINC001195437076 846544659 /nfs/dbraw/zinc/54/46/59/846544659.db2.gz JTELLSZWIRACCZ-CQSZACIVSA-N 0 1 284.319 0.923 20 30 CCEDMN C[C@@H]1C(=O)NCCN1C(=O)c1ccc(C#N)cc1O ZINC001195740663 846619162 /nfs/dbraw/zinc/61/91/62/846619162.db2.gz BTTQIZWVHZAGMM-MRVPVSSYSA-N 0 1 259.265 0.224 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001195997883 846662081 /nfs/dbraw/zinc/66/20/81/846662081.db2.gz XXHRFINTPZGZGO-KBUPBQIOSA-N 0 1 296.411 0.787 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)C(=O)NCC(F)F)CC1 ZINC001196388503 846741215 /nfs/dbraw/zinc/74/12/15/846741215.db2.gz NPMBZPKAJSIILU-UHFFFAOYSA-N 0 1 289.326 0.478 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cc(Cl)ncc1O ZINC001196395575 846742143 /nfs/dbraw/zinc/74/21/43/846742143.db2.gz HHXOUCKCQRZZSP-SSDOTTSWSA-N 0 1 267.672 0.805 20 30 CCEDMN CCOC(=O)C(C#N)NC(=O)c1ncccc1C#N ZINC001196561894 846777503 /nfs/dbraw/zinc/77/75/03/846777503.db2.gz MPGWWAOFVRKPMN-VIFPVBQESA-N 0 1 258.237 0.138 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCCN(C[C@H](O)COC)CC1 ZINC001196731549 846796928 /nfs/dbraw/zinc/79/69/28/846796928.db2.gz FSMMQKIMCFLQSX-ZDUSSCGKSA-N 0 1 284.400 0.740 20 30 CCEDMN C=CCN1CCCN(C(=O)CC(=O)N(C)C)CC1 ZINC001197369637 846900728 /nfs/dbraw/zinc/90/07/28/846900728.db2.gz IAPMKCJOJXEOQV-UHFFFAOYSA-N 0 1 253.346 0.185 20 30 CCEDMN C[C@H]1CCc2c(C(=O)N=C(N)c3ncc[nH]3)[nH]nc21 ZINC001198451723 847113070 /nfs/dbraw/zinc/11/30/70/847113070.db2.gz UUGIOZUPFPFBFR-LURJTMIESA-N 0 1 258.285 0.728 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ncc[nH]1)C2 ZINC001110511259 847121740 /nfs/dbraw/zinc/12/17/40/847121740.db2.gz VGSDUFHGQUYKNS-UPJWGTAASA-N 0 1 272.352 0.697 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1C[N@@H+](CC(=C)C)C[C@H]1O ZINC001199292658 847284909 /nfs/dbraw/zinc/28/49/09/847284909.db2.gz PRRMMGUCVDXLTK-CHWSQXEVSA-N 0 1 268.357 0.317 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1O ZINC001199292658 847284922 /nfs/dbraw/zinc/28/49/22/847284922.db2.gz PRRMMGUCVDXLTK-CHWSQXEVSA-N 0 1 268.357 0.317 20 30 CCEDMN CCOc1nccc(C(=O)Nc2nc[nH]c2C#N)n1 ZINC001199635514 847376387 /nfs/dbraw/zinc/37/63/87/847376387.db2.gz HMERMBBSFREIKO-UHFFFAOYSA-N 0 1 258.241 0.722 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)NC(N)=O)C2 ZINC001110557400 847701710 /nfs/dbraw/zinc/70/17/10/847701710.db2.gz PGKLKVHZWWSOLP-KKOKHZNYSA-N 0 1 280.372 0.341 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2cn[nH]n2)CCCO1 ZINC001200881242 847702672 /nfs/dbraw/zinc/70/26/72/847702672.db2.gz PHMCWUPUCJKGFB-SNVBAGLBSA-N 0 1 299.762 0.378 20 30 CCEDMN C=CCNC(=O)CNCc1cn(C)nc1C1CCOCC1 ZINC001201693558 847808940 /nfs/dbraw/zinc/80/89/40/847808940.db2.gz MWHSLWIZUMWNHD-UHFFFAOYSA-N 0 1 292.383 0.706 20 30 CCEDMN N#Cc1csc(CN[C@H](CO)[C@H]2CCOC2)n1 ZINC001201761069 847811433 /nfs/dbraw/zinc/81/14/33/847811433.db2.gz LPJJZICFAKNQBI-WCBMZHEXSA-N 0 1 253.327 0.502 20 30 CCEDMN C[C@@H](C#N)C(=O)NC/C=C\CNCc1cnn(C)c1 ZINC001273910374 847820376 /nfs/dbraw/zinc/82/03/76/847820376.db2.gz PKWAXVORJCJZDR-BYCRGOAPSA-N 0 1 261.329 0.342 20 30 CCEDMN Cc1oncc1CNC/C=C\CNC(=O)[C@@H](C)C#N ZINC001273911036 847822349 /nfs/dbraw/zinc/82/23/49/847822349.db2.gz RSOQEUBRYZGNAW-XOULXFPDSA-N 0 1 262.313 0.905 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cn(CCOC)cn1 ZINC001252495773 847991065 /nfs/dbraw/zinc/99/10/65/847991065.db2.gz RMBCIFQRPCVNMV-CYBMUJFWSA-N 0 1 269.345 0.183 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)CN3CCOCC3)[nH]c2c1 ZINC000871072659 848078528 /nfs/dbraw/zinc/07/85/28/848078528.db2.gz NGQPRURKLRIFKN-UHFFFAOYSA-N 0 1 285.307 0.705 20 30 CCEDMN C=CCN1C(=O)C[C@]2(CCCN(COCCOC)C2)C1=O ZINC001273990053 848209901 /nfs/dbraw/zinc/20/99/01/848209901.db2.gz JSQCWPIDRRWEMB-HNNXBMFYSA-N 0 1 296.367 0.634 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N[C@@H](CCC)c1nn[n-]n1 ZINC001137206433 848214918 /nfs/dbraw/zinc/21/49/18/848214918.db2.gz SBNZTOIEVUURJQ-VIFPVBQESA-N 0 1 252.322 0.275 20 30 CCEDMN C#CCN1Cc2ccc(CNC(=O)Cc3nnc[nH]3)cc2C1 ZINC001274036191 848313573 /nfs/dbraw/zinc/31/35/73/848313573.db2.gz LFAWSNKOSROYFS-UHFFFAOYSA-N 0 1 295.346 0.612 20 30 CCEDMN C=C(C)CN1C[C@]2(CC1=O)COCC[N@H+](C[C@@H](C)O)C2 ZINC001274047861 848318766 /nfs/dbraw/zinc/31/87/66/848318766.db2.gz UOLYUDZKXSPDMT-UKRRQHHQSA-N 0 1 282.384 0.494 20 30 CCEDMN C=CCNC(=O)[C@H]1CC12CCN(CC(=O)NC)CC2 ZINC001274071462 848339822 /nfs/dbraw/zinc/33/98/22/848339822.db2.gz HUXYDHRAIBKXJA-LLVKDONJSA-N 0 1 265.357 0.137 20 30 CCEDMN C#CC[C@H](CO)NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000717800404 848394967 /nfs/dbraw/zinc/39/49/67/848394967.db2.gz GCWIJGAQWQQUQH-MRVPVSSYSA-N 0 1 293.266 0.766 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CC(=O)NCC)C(C)(C)C1 ZINC001274424424 848473675 /nfs/dbraw/zinc/47/36/75/848473675.db2.gz DROWVVIXZWQTBY-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)C[C@@H]1CCCN1C(=O)CC ZINC001275080503 848631447 /nfs/dbraw/zinc/63/14/47/848631447.db2.gz HMYYFCSPNGRCLT-OLZOCXBDSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)CNCc1cnns1 ZINC001275149893 848650766 /nfs/dbraw/zinc/65/07/66/848650766.db2.gz QANFHOBACBXVCS-JTQLQIEISA-N 0 1 282.369 0.172 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)NC12CC(C(=O)NCc3cnc[nH]3)(C1)C2 ZINC001275344797 848694413 /nfs/dbraw/zinc/69/44/13/848694413.db2.gz LCKNWADWJQIHEO-SZUCHGLFSA-N 0 1 299.334 0.224 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CC[C@H]2C[C@]21C(=O)NCc1cnc[nH]1 ZINC001275344177 848694594 /nfs/dbraw/zinc/69/45/94/848694594.db2.gz AQVBPSRPLBOOBJ-KVQFHVITSA-N 0 1 299.334 0.177 20 30 CCEDMN C[N@@H+]1C[C@@H]2COC[C@H](C1)N2C(=O)c1cccc(C#N)c1[O-] ZINC001275734426 848803972 /nfs/dbraw/zinc/80/39/72/848803972.db2.gz FYEJTDATXZKIKY-TXEJJXNPSA-N 0 1 287.319 0.419 20 30 CCEDMN C[N@H+]1C[C@@H]2COC[C@H](C1)N2C(=O)c1cccc(C#N)c1[O-] ZINC001275734426 848803982 /nfs/dbraw/zinc/80/39/82/848803982.db2.gz FYEJTDATXZKIKY-TXEJJXNPSA-N 0 1 287.319 0.419 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccnc2[nH]cnc21 ZINC001275842551 848841305 /nfs/dbraw/zinc/84/13/05/848841305.db2.gz AYZMISAGNCXRKT-JTQLQIEISA-N 0 1 271.324 0.641 20 30 CCEDMN CN(CCCNCc1cn(C)nn1)C(=O)C#CC1CC1 ZINC001275995967 848885621 /nfs/dbraw/zinc/88/56/21/848885621.db2.gz KYYALJQIUPDPQL-UHFFFAOYSA-N 0 1 275.356 0.167 20 30 CCEDMN C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1C[C@H]1c1c[nH]cn1)C2 ZINC001095563684 848990145 /nfs/dbraw/zinc/99/01/45/848990145.db2.gz AVVVBUQTUFDOQZ-NTASLKFISA-N 0 1 284.363 0.868 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001110898561 849017589 /nfs/dbraw/zinc/01/75/89/849017589.db2.gz KGFLBLNINKUNEH-UTUOFQBUSA-N 0 1 252.358 0.912 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCN1CCCC1=O)C2 ZINC001111417761 849221256 /nfs/dbraw/zinc/22/12/56/849221256.db2.gz KXGYQPLOASWTSG-MCIONIFRSA-N 0 1 289.379 0.354 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000718142703 849231193 /nfs/dbraw/zinc/23/11/93/849231193.db2.gz FGSYZPFIMZSAHA-ZYHUDNBSSA-N 0 1 250.254 0.212 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OC)C1CCC1 ZINC001114639191 849343003 /nfs/dbraw/zinc/34/30/03/849343003.db2.gz RTSHLRHLDUBOMI-ZOBORPQBSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)n1ccc(C)n1 ZINC001114674959 849357730 /nfs/dbraw/zinc/35/77/30/849357730.db2.gz MJQYTIQZZBZEHB-TTZDDIAXSA-N 0 1 286.379 0.822 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1[C@@H]3COC[C@@H]31)C2 ZINC001095891552 849386387 /nfs/dbraw/zinc/38/63/87/849386387.db2.gz KCMXXMYDJGVOJW-UMBPPFEGSA-N 0 1 274.364 0.624 20 30 CCEDMN N#Cc1nccc(N[C@H]2CCCN3CCSC[C@H]23)n1 ZINC000720406792 849454241 /nfs/dbraw/zinc/45/42/41/849454241.db2.gz XNXICYQEEJAYEY-WDEREUQCSA-N 0 1 275.381 0.762 20 30 CCEDMN C=C[C@H](O)CN1Cc2ccnn2C[C@H](COC)C1 ZINC001253580964 849550299 /nfs/dbraw/zinc/55/02/99/849550299.db2.gz FQQMVFUJIMZJDI-YPMHNXCESA-N 0 1 251.330 0.508 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@H](CC)C(N)=O)C[C@H]21 ZINC001114897453 849598061 /nfs/dbraw/zinc/59/80/61/849598061.db2.gz YTBPBQWVBHRJGN-XQHKEYJVSA-N 0 1 293.411 0.899 20 30 CCEDMN CC[C@@H]1CN(C(=O)CCc2c[nH]nn2)CC[C@H]1NCC#N ZINC001037924035 849606731 /nfs/dbraw/zinc/60/67/31/849606731.db2.gz WCGDHDRYPSQEHW-DGCLKSJQSA-N 0 1 290.371 0.478 20 30 CCEDMN CC[C@@H]1CN(C(=O)CCc2cnn[nH]2)CC[C@H]1NCC#N ZINC001037924035 849606736 /nfs/dbraw/zinc/60/67/36/849606736.db2.gz WCGDHDRYPSQEHW-DGCLKSJQSA-N 0 1 290.371 0.478 20 30 CCEDMN CC[C@H]1CN(C(=O)Cc2ncn[nH]2)CC[C@H]1NCC#N ZINC001037954534 849612165 /nfs/dbraw/zinc/61/21/65/849612165.db2.gz SJTIBRNCIBYWML-WDEREUQCSA-N 0 1 276.344 0.087 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C(C)C ZINC001114912816 849652028 /nfs/dbraw/zinc/65/20/28/849652028.db2.gz MKUCIBGRKNHHTG-BYNSBNAKSA-N 0 1 278.396 0.975 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001038328912 849853212 /nfs/dbraw/zinc/85/32/12/849853212.db2.gz QLYLJFNJELRQJA-JTQLQIEISA-N 0 1 286.339 0.733 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001038364273 849864487 /nfs/dbraw/zinc/86/44/87/849864487.db2.gz RRUJOEOEUJFSNM-IJLUTSLNSA-N 0 1 258.325 0.337 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC001038446080 849902441 /nfs/dbraw/zinc/90/24/41/849902441.db2.gz NWLFBXZCMGKGQW-NSHDSACASA-N 0 1 272.352 0.726 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1ccn2cncc2c1 ZINC001038463410 849912625 /nfs/dbraw/zinc/91/26/25/849912625.db2.gz UOHKFTJUWRFHSR-LBPRGKRZSA-N 0 1 269.308 0.662 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cccc2ncnn21 ZINC001038470740 849916353 /nfs/dbraw/zinc/91/63/53/849916353.db2.gz NPPRBSJNEVNMRR-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnc2cccnn21 ZINC001038777668 850013279 /nfs/dbraw/zinc/01/32/79/850013279.db2.gz GVXGORWJNATCJR-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2CCN2CCC)nn1 ZINC001038782516 850014035 /nfs/dbraw/zinc/01/40/35/850014035.db2.gz LLWXCFSUGVPEAO-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1cnn(C)n1 ZINC001038804029 850020799 /nfs/dbraw/zinc/02/07/99/850020799.db2.gz GRIMGVRYIWYUAC-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccc(OC)nn1 ZINC001038883391 850065823 /nfs/dbraw/zinc/06/58/23/850065823.db2.gz HITLIWCBOLJWSQ-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001038894370 850070095 /nfs/dbraw/zinc/07/00/95/850070095.db2.gz RECNSYQUNPGJRR-VXGBXAGGSA-N 0 1 272.352 0.338 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccc(=O)n(C)n1 ZINC001038992449 850113423 /nfs/dbraw/zinc/11/34/23/850113423.db2.gz AGBCWSLJDNJEHJ-NSHDSACASA-N 0 1 276.340 0.161 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(N(C)C)cn1 ZINC001039060473 850143632 /nfs/dbraw/zinc/14/36/32/850143632.db2.gz JUCYBLLMKBVOSU-AWEZNQCLSA-N 0 1 286.379 0.975 20 30 CCEDMN N#CC[N@@H+]1CC[C@H](C2CCN(C(=O)c3nnc[n-]3)CC2)C1 ZINC001039160235 850160520 /nfs/dbraw/zinc/16/05/20/850160520.db2.gz KCTWSRPTXXPNNU-LBPRGKRZSA-N 0 1 288.355 0.502 20 30 CCEDMN N#CCN1CC[C@H](C2CCN(C(=O)c3nc[nH]n3)CC2)C1 ZINC001039160235 850160528 /nfs/dbraw/zinc/16/05/28/850160528.db2.gz KCTWSRPTXXPNNU-LBPRGKRZSA-N 0 1 288.355 0.502 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnc[nH]1 ZINC001039443022 850191163 /nfs/dbraw/zinc/19/11/63/850191163.db2.gz FVCMNWUACUGZNN-KGLIPLIRSA-N 0 1 272.352 0.651 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@H]1C(N)=O ZINC001039436500 850191587 /nfs/dbraw/zinc/19/15/87/850191587.db2.gz SFGNAWXLMDFESX-RQJABVFESA-N 0 1 289.379 0.196 20 30 CCEDMN C=C(Cl)CN1CCC2(CCN(C(=O)C(N)=O)C2)CC1 ZINC001040828889 850319924 /nfs/dbraw/zinc/31/99/24/850319924.db2.gz RTPDISRMWJIBGD-UHFFFAOYSA-N 0 1 285.775 0.539 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)c3nonc3C)C2)C1 ZINC001041856283 850513473 /nfs/dbraw/zinc/51/34/73/850513473.db2.gz COIVMZMWUXLSKV-CQSZACIVSA-N 0 1 274.324 0.549 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)CSC)C[C@@H]21 ZINC001041921334 850530111 /nfs/dbraw/zinc/53/01/11/850530111.db2.gz VIIQFEPRTNAHAE-NEPJUHHUSA-N 0 1 252.383 0.905 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnn(C)c3)C[C@H]21 ZINC001041925588 850530407 /nfs/dbraw/zinc/53/04/07/850530407.db2.gz WVNVDWWGPSRRNS-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc(C)n[nH]3)C[C@@H]21 ZINC001041960939 850541729 /nfs/dbraw/zinc/54/17/29/850541729.db2.gz IKQHGOFFIXYWNJ-OCCSQVGLSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnn(C)c3N)C[C@@H]21 ZINC001042023816 850559854 /nfs/dbraw/zinc/55/98/54/850559854.db2.gz STIRZPSYQUDCFG-AAEUAGOBSA-N 0 1 287.367 0.172 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc(=O)n(C)o3)C[C@@H]21 ZINC001042033153 850561252 /nfs/dbraw/zinc/56/12/52/850561252.db2.gz RDQRTDSITRYGKR-NEPJUHHUSA-N 0 1 289.335 0.148 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)CCc3cnc[nH]3)C[C@H]21 ZINC001042089940 850578644 /nfs/dbraw/zinc/57/86/44/850578644.db2.gz HEKFKVWHVFROON-TZMCWYRMSA-N 0 1 287.367 0.789 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3csnn3)C[C@H]21 ZINC001042167915 850586892 /nfs/dbraw/zinc/58/68/92/850586892.db2.gz PESYBXACHHCECR-CMPLNLGQSA-N 0 1 276.365 0.708 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001042722722 850744639 /nfs/dbraw/zinc/74/46/39/850744639.db2.gz BKINCEOAVBCSMS-CYBMUJFWSA-N 0 1 286.379 0.996 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001042722724 850744677 /nfs/dbraw/zinc/74/46/77/850744677.db2.gz BKINCEOAVBCSMS-ZDUSSCGKSA-N 0 1 286.379 0.996 20 30 CCEDMN Cc1c(C(=O)N(C)C2CN(CC#N)C2)ccc2cncn21 ZINC001043182969 850828357 /nfs/dbraw/zinc/82/83/57/850828357.db2.gz BHUMOCBTKRGZCA-UHFFFAOYSA-N 0 1 283.335 0.923 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCNC2=O)C1 ZINC001043534591 850893399 /nfs/dbraw/zinc/89/33/99/850893399.db2.gz NCFWNCBEDYTAMS-GFCCVEGCSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@H]2C[C@H](C)Cc3c[nH]nc32)C1 ZINC001043621051 850911917 /nfs/dbraw/zinc/91/19/17/850911917.db2.gz FTZIHWGDEREPHU-RISCZKNCSA-N 0 1 286.379 0.851 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)C2CN(C[C@@H]3CCOC3)C2)c1 ZINC001043824419 850943785 /nfs/dbraw/zinc/94/37/85/850943785.db2.gz NPSFYMXHFLWGNA-AWEZNQCLSA-N 0 1 299.374 0.856 20 30 CCEDMN C[C@H]1C[C@H](NCC#N)CCN1C(=O)Cc1ccn[nH]1 ZINC001044518226 851116521 /nfs/dbraw/zinc/11/65/21/851116521.db2.gz POCHMQMZWJOOCV-WDEREUQCSA-N 0 1 261.329 0.445 20 30 CCEDMN C[C@@H]1C[C@@H](NCC#N)CCN1C(=O)CCc1cnn[nH]1 ZINC001044751586 851153650 /nfs/dbraw/zinc/15/36/50/851153650.db2.gz WFUICMCVZOAEHQ-MNOVXSKESA-N 0 1 276.344 0.230 20 30 CCEDMN C[C@@H]1C[C@@H](NCC#N)CCN1C(=O)CCc1c[nH]nn1 ZINC001044751586 851153646 /nfs/dbraw/zinc/15/36/46/851153646.db2.gz WFUICMCVZOAEHQ-MNOVXSKESA-N 0 1 276.344 0.230 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC001045391052 851247010 /nfs/dbraw/zinc/24/70/10/851247010.db2.gz ZFKWEHMFACRQIF-RYUDHWBXSA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC001045391048 851247101 /nfs/dbraw/zinc/24/71/01/851247101.db2.gz ZFKWEHMFACRQIF-NEPJUHHUSA-N 0 1 278.352 0.399 20 30 CCEDMN CN1CC(C(=O)NC2(C)CCN(CC#N)CC2)=NC1=O ZINC001045543067 851277258 /nfs/dbraw/zinc/27/72/58/851277258.db2.gz AGQNBNNBTUQTRW-UHFFFAOYSA-N 0 1 277.328 0.234 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC001045557341 851279706 /nfs/dbraw/zinc/27/97/06/851279706.db2.gz HHTDGLHJTGJZFG-UHFFFAOYSA-N 0 1 288.351 0.331 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC001045557554 851279899 /nfs/dbraw/zinc/27/98/99/851279899.db2.gz NMCOPQPLUDBLAF-OLZOCXBDSA-N 0 1 291.395 0.492 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#N ZINC001045731670 851303711 /nfs/dbraw/zinc/30/37/11/851303711.db2.gz JCVJRUWNLRDZMH-RDBSUJKOSA-N 0 1 276.384 0.669 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccnnc1)C2 ZINC001096058449 851333821 /nfs/dbraw/zinc/33/38/21/851333821.db2.gz NODGSKZHUDCGSM-AGIUHOORSA-N 0 1 258.325 0.998 20 30 CCEDMN O=C(C#CC1CC1)N1CCN([C@@H]2CCN(CCF)C2)CC1 ZINC001046026918 851356032 /nfs/dbraw/zinc/35/60/32/851356032.db2.gz HEKLCYOOSKVOFQ-OAHLLOKOSA-N 0 1 293.386 0.588 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnn(C)c2)C1 ZINC001046132838 851389369 /nfs/dbraw/zinc/38/93/69/851389369.db2.gz IRAKASQHPGLSJX-AWEZNQCLSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cn(C)nc2C)C1 ZINC001046205880 851421517 /nfs/dbraw/zinc/42/15/17/851421517.db2.gz DTPXRCKSYQIOPS-OAHLLOKOSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cc[nH]c(=O)c2)C1 ZINC001046229754 851432945 /nfs/dbraw/zinc/43/29/45/851432945.db2.gz BFDHFLJNVIWIPF-CQSZACIVSA-N 0 1 259.309 0.615 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001046314822 851464351 /nfs/dbraw/zinc/46/43/51/851464351.db2.gz OEVAIAWIPPTLOJ-OAHLLOKOSA-N 0 1 289.383 0.923 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001046332863 851470205 /nfs/dbraw/zinc/47/02/05/851470205.db2.gz JEZOQOXZXFCFBM-CFVMTHIKSA-N 0 1 262.353 0.627 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnn3ccncc23)C1 ZINC001046378378 851479721 /nfs/dbraw/zinc/47/97/21/851479721.db2.gz NRBWPDVMPPFLKQ-MRXNPFEDSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001046375269 851479916 /nfs/dbraw/zinc/47/99/16/851479916.db2.gz MQMKYGWXBJEIKZ-DYVFJYSZSA-N 0 1 298.390 0.795 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001046388078 851483651 /nfs/dbraw/zinc/48/36/51/851483651.db2.gz OTDCNHAYWURUHB-YDHLFZDLSA-N 0 1 280.368 0.394 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2c(C)ncn2C)C1 ZINC001046393221 851486574 /nfs/dbraw/zinc/48/65/74/851486574.db2.gz MJOCMCZELQOFCJ-OAHLLOKOSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cnc[nH]c2=O)C1 ZINC001046408856 851491238 /nfs/dbraw/zinc/49/12/38/851491238.db2.gz BIALRRHPHBOFEH-CYBMUJFWSA-N 0 1 260.297 0.010 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc3c(c2)nnn3C)C1 ZINC001046423263 851496730 /nfs/dbraw/zinc/49/67/30/851496730.db2.gz ZVYICTDRFWEIGA-INIZCTEOSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001046434567 851501329 /nfs/dbraw/zinc/50/13/29/851501329.db2.gz NWGKSELYAVMOOL-CZUORRHYSA-N 0 1 286.379 0.659 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cn(C)ccc2=O)C1 ZINC001046472896 851519285 /nfs/dbraw/zinc/51/92/85/851519285.db2.gz JQRJVUFEYCXEOO-OAHLLOKOSA-N 0 1 275.352 0.766 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnc(C)n2C)C1 ZINC001046576241 851551519 /nfs/dbraw/zinc/55/15/19/851551519.db2.gz ZPHHMLLKZQWRSE-OAHLLOKOSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001046766841 851610405 /nfs/dbraw/zinc/61/04/05/851610405.db2.gz BOSTZEWGEVRECZ-MLGOLLRUSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001046766841 851610408 /nfs/dbraw/zinc/61/04/08/851610408.db2.gz BOSTZEWGEVRECZ-MLGOLLRUSA-N 0 1 286.379 0.728 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001046841488 851629030 /nfs/dbraw/zinc/62/90/30/851629030.db2.gz NHMQTCLPPVYOSF-CQSZACIVSA-N 0 1 276.340 0.494 20 30 CCEDMN CC#CC[N@H+]1CCC(F)(F)[C@@H](CNC(=O)c2ncn[n-]2)C1 ZINC001046984370 851651892 /nfs/dbraw/zinc/65/18/92/851651892.db2.gz QXXVQZRXUZCMJH-JTQLQIEISA-N 0 1 297.309 0.515 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCC(=O)N1)C2 ZINC001096156433 851669491 /nfs/dbraw/zinc/66/94/91/851669491.db2.gz SXQFYSIBKANFEK-MQYQWHSLSA-N 0 1 289.379 0.400 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(F)cc2)C1 ZINC001047282342 851696299 /nfs/dbraw/zinc/69/62/99/851696299.db2.gz XXQYSIJJVFXTQA-GJZGRUSLSA-N 0 1 290.338 0.966 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccon2)C1 ZINC001047316672 851711733 /nfs/dbraw/zinc/71/17/33/851711733.db2.gz FZRXNQXOOCXVGT-RYUDHWBXSA-N 0 1 265.313 0.368 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cncc(F)c2)C1 ZINC001047342477 851727873 /nfs/dbraw/zinc/72/78/73/851727873.db2.gz JFVCUNBYECHYMA-KBPBESRZSA-N 0 1 293.342 0.914 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccc(C)o2)C1 ZINC001047352079 851733101 /nfs/dbraw/zinc/73/31/01/851733101.db2.gz BMKSSTVQIKWMPT-GJZGRUSLSA-N 0 1 290.363 0.657 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C2(C)CC2)C1 ZINC001047389505 851747192 /nfs/dbraw/zinc/74/71/92/851747192.db2.gz HDVLFKNFYJSOPV-RYUDHWBXSA-N 0 1 252.358 0.866 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2(F)CCOCC2)C1 ZINC001047402349 851752217 /nfs/dbraw/zinc/75/22/17/851752217.db2.gz QQCMVWFKUOVZMR-STQMWFEESA-N 0 1 298.358 0.032 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccnnc2C)C1 ZINC001047445466 851767849 /nfs/dbraw/zinc/76/78/49/851767849.db2.gz YCGFPPJPRXXFHG-KBPBESRZSA-N 0 1 290.367 0.478 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001047465432 851773163 /nfs/dbraw/zinc/77/31/63/851773163.db2.gz WALHPKMVSXPVRR-VGWMRTNUSA-N 0 1 290.407 0.949 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@]2(C)C[C@H]3C[C@H]3C2)C1 ZINC001047472952 851776121 /nfs/dbraw/zinc/77/61/21/851776121.db2.gz NHYBVIVCORPNFK-PFFSRIRZSA-N 0 1 290.407 0.949 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cnco2)C1 ZINC001047477529 851779209 /nfs/dbraw/zinc/77/92/09/851779209.db2.gz BBDGYOZHURETBR-QWRGUYRKSA-N 0 1 265.313 0.368 20 30 CCEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncn[nH]1)C2 ZINC001096203658 851801386 /nfs/dbraw/zinc/80/13/86/851801386.db2.gz UJROOIHVNTWRDP-UTLUCORTSA-N 0 1 281.747 0.892 20 30 CCEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nc[nH]n1)C2 ZINC001096203658 851801400 /nfs/dbraw/zinc/80/14/00/851801400.db2.gz UJROOIHVNTWRDP-UTLUCORTSA-N 0 1 281.747 0.892 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cscn2)C1 ZINC001047553122 851812673 /nfs/dbraw/zinc/81/26/73/851812673.db2.gz OFXKLLAMTNAMLT-STQMWFEESA-N 0 1 295.408 0.765 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2nonc2C)C1 ZINC001047582575 851825476 /nfs/dbraw/zinc/82/54/76/851825476.db2.gz YESJHSNYDTYQSM-STQMWFEESA-N 0 1 294.355 0.000 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(=O)[nH]c2)C1 ZINC001047616670 851834842 /nfs/dbraw/zinc/83/48/42/851834842.db2.gz SFKPTDYXQVYYGH-RYUDHWBXSA-N 0 1 277.324 0.090 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(CC)nn1)C2 ZINC001096255883 851869927 /nfs/dbraw/zinc/86/99/27/851869927.db2.gz SCRNMSFAHAACFU-DYEKYZERSA-N 0 1 287.367 0.656 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nonc1C)C2 ZINC001096265277 851874832 /nfs/dbraw/zinc/87/48/32/851874832.db2.gz TYRWESXDQMLBJP-XBFCOCLRSA-N 0 1 288.351 0.665 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCC(=O)N1C)C2 ZINC001096455831 852124602 /nfs/dbraw/zinc/12/46/02/852124602.db2.gz NMECESVCPXSNKB-RFGFWPKPSA-N 0 1 291.395 0.905 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCC(=O)N1C)C2 ZINC001096455831 852124612 /nfs/dbraw/zinc/12/46/12/852124612.db2.gz NMECESVCPXSNKB-RFGFWPKPSA-N 0 1 291.395 0.905 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1n[nH]c(C)c1[O-] ZINC001049422329 852268415 /nfs/dbraw/zinc/26/84/15/852268415.db2.gz HTWPHVKTXJCPPH-VXGBXAGGSA-N 0 1 288.351 0.736 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@]12C[C@H]1COC2 ZINC001049487634 852293188 /nfs/dbraw/zinc/29/31/88/852293188.db2.gz VWRURRJXGLLTMM-YXWQFLTLSA-N 0 1 274.364 0.721 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CC(=O)N(C)C1 ZINC001049505713 852298010 /nfs/dbraw/zinc/29/80/10/852298010.db2.gz RQCXZRXXWSTUNQ-MELADBBJSA-N 0 1 289.379 0.163 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN1CCCC1)C2 ZINC001096547695 852313367 /nfs/dbraw/zinc/31/33/67/852313367.db2.gz UBNWDIXTJCSTPR-AGIUHOORSA-N 0 1 262.357 0.327 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCC(=O)N1C ZINC001049648642 852330657 /nfs/dbraw/zinc/33/06/57/852330657.db2.gz XSFQFEIRRVDAME-MCIONIFRSA-N 0 1 289.379 0.306 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)C[C@@H]1CCOC1 ZINC001322133094 911672263 /nfs/dbraw/zinc/67/22/63/911672263.db2.gz DDUAKCXWXIAUKT-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccc[nH]1)C2 ZINC001096576291 852375460 /nfs/dbraw/zinc/37/54/60/852375460.db2.gz HEXCOCVUNJHCTI-RDBSUJKOSA-N 0 1 257.337 0.912 20 30 CCEDMN C[C@H](C#N)OCCN1CCN(CCCCO)CC1 ZINC001321298864 911210907 /nfs/dbraw/zinc/21/09/07/911210907.db2.gz NPOYOZBSRXJPRY-CYBMUJFWSA-N 0 1 255.362 0.305 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccn(C)c1=O ZINC001049855948 852385954 /nfs/dbraw/zinc/38/59/54/852385954.db2.gz WAHNVFOHTGYLPF-LSDHHAIUSA-N 0 1 299.374 0.697 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@H]3[C@@H]2CC[N@@H+]3CCO)nc1 ZINC001049856302 852386212 /nfs/dbraw/zinc/38/62/12/852386212.db2.gz YFGWVRAELGFNHA-HOTGVXAUSA-N 0 1 299.374 0.734 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@@H]3[C@H]2CC[N@@H+]3CCO)nc1 ZINC001049856303 852386851 /nfs/dbraw/zinc/38/68/51/852386851.db2.gz YFGWVRAELGFNHA-HZPDHXFCSA-N 0 1 299.374 0.734 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](Nc1ncnc2[nH]cnc21)C1CC1 ZINC001096599053 852397100 /nfs/dbraw/zinc/39/71/00/852397100.db2.gz VFFWKNQGPOMLDY-SCZZXKLOSA-N 0 1 299.338 0.819 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccc(=O)[nH]n3)[C@@H]2C1 ZINC001049964068 852408709 /nfs/dbraw/zinc/40/87/09/852408709.db2.gz OSFGPFMGLUOKTO-WCQYABFASA-N 0 1 286.335 0.352 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cncnc3C)[C@@H]2C1 ZINC001049986085 852413896 /nfs/dbraw/zinc/41/38/96/852413896.db2.gz BPBXHWRCIRBZNQ-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cn3cc(C)cn3)[C@@H]2C1 ZINC001049989106 852414993 /nfs/dbraw/zinc/41/49/93/852414993.db2.gz BUKSFIMPLDJUHQ-LSDHHAIUSA-N 0 1 286.379 0.748 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnccn1)C2 ZINC001096747778 852435891 /nfs/dbraw/zinc/43/58/91/852435891.db2.gz WRIDOPISFHRGJB-WZRBSPASSA-N 0 1 258.325 0.998 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccn(C)n1)C2 ZINC001097059011 852496695 /nfs/dbraw/zinc/49/66/95/852496695.db2.gz YLLHVEPZTNBXRH-MCIONIFRSA-N 0 1 272.352 0.317 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(C)c(=O)cn1)C2 ZINC001097565338 852545033 /nfs/dbraw/zinc/54/50/33/852545033.db2.gz KCWKFECWVRHWCS-WZRBSPASSA-N 0 1 288.351 0.301 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCNC1=O)C2 ZINC001097640229 852552549 /nfs/dbraw/zinc/55/25/49/852552549.db2.gz FEOJNOZRXQZKJC-KKOKHZNYSA-N 0 1 263.341 0.030 20 30 CCEDMN C[C@H](CNC(=O)[C@@H]1CCCN1C)Nc1ccc(C#N)nc1 ZINC001097730068 852595843 /nfs/dbraw/zinc/59/58/43/852595843.db2.gz QRZITDOLTMEYKZ-RISCZKNCSA-N 0 1 287.367 0.964 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCCN(CC(N)=O)CC1 ZINC001052723523 852647112 /nfs/dbraw/zinc/64/71/12/852647112.db2.gz TXGSYSHXQUEZKQ-UPJWGTAASA-N 0 1 295.383 0.034 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1c[nH]cn1)C2 ZINC001097935870 852899969 /nfs/dbraw/zinc/89/99/69/852899969.db2.gz PTEUIORLLUREDV-UPJWGTAASA-N 0 1 260.341 0.860 20 30 CCEDMN CCCC(=O)NC1CCN(CCNC(=O)[C@H](C)C#N)CC1 ZINC001055629555 853090626 /nfs/dbraw/zinc/09/06/26/853090626.db2.gz DCOYAZSNXSXODP-GFCCVEGCSA-N 0 1 294.399 0.643 20 30 CCEDMN CC(C)C(=O)NC1CCN(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001055629811 853090756 /nfs/dbraw/zinc/09/07/56/853090756.db2.gz LAOFFBFJLOSWEH-LBPRGKRZSA-N 0 1 294.399 0.499 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](N(C)c2ncnc3[nH]cnc32)C1 ZINC001056872238 853239106 /nfs/dbraw/zinc/23/91/06/853239106.db2.gz XZJJDWOUIUFTGS-VHSXEESVSA-N 0 1 299.338 0.550 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cccc(=O)[nH]3)[C@@H]2C1 ZINC001050010877 853295055 /nfs/dbraw/zinc/29/50/55/853295055.db2.gz VKCMOWFFLPTTAW-GXTWGEPZSA-N 0 1 285.347 0.957 20 30 CCEDMN N#CCN1C[C@@H]2CCCN(C(=O)CCc3nc[nH]n3)[C@@H]2C1 ZINC001050012566 853295145 /nfs/dbraw/zinc/29/51/45/853295145.db2.gz DRZRRHFWRBCNTE-NWDGAFQWSA-N 0 1 288.355 0.184 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3ncn(C)n3)[C@@H]2C1 ZINC001050039941 853301362 /nfs/dbraw/zinc/30/13/62/853301362.db2.gz SQBBUVULMSGRJK-QWHCGFSZSA-N 0 1 287.367 0.375 20 30 CCEDMN N#CCN1C[C@@H]2CCCN(C(=O)CCc3cnc[nH]3)[C@@H]2C1 ZINC001050062533 853306311 /nfs/dbraw/zinc/30/63/11/853306311.db2.gz WJDNKJKRHYKLDW-GXTWGEPZSA-N 0 1 287.367 0.789 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3cncn3C)[C@@H]2C1 ZINC001050088976 853311830 /nfs/dbraw/zinc/31/18/30/853311830.db2.gz QLVSHIINKFFUIC-DZGCQCFKSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cccnn3)[C@@H]2C1 ZINC001050169196 853330252 /nfs/dbraw/zinc/33/02/52/853330252.db2.gz DHWZTALEUORZKS-GXTWGEPZSA-N 0 1 270.336 0.646 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](Nc2ncnc3[nH]cnc32)C1 ZINC001057594160 853435903 /nfs/dbraw/zinc/43/59/03/853435903.db2.gz OUSSSXBHGMPBPV-NXEZZACHSA-N 0 1 299.338 0.915 20 30 CCEDMN CC#CCN1CCOC[C@@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001050845026 853456817 /nfs/dbraw/zinc/45/68/17/853456817.db2.gz OZHSDBSDCKTNTI-ZDUSSCGKSA-N 0 1 290.367 0.480 20 30 CCEDMN CC#CCN1CCOC[C@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001050981516 853500532 /nfs/dbraw/zinc/50/05/32/853500532.db2.gz HKTHHERIKARENU-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN Cc1nocc1CNC[C@@H]1CN(C(=O)[C@@H](C)C#N)CCO1 ZINC001051493814 853595620 /nfs/dbraw/zinc/59/56/20/853595620.db2.gz AHYYLAKXUOELFP-GXFFZTMASA-N 0 1 292.339 0.460 20 30 CCEDMN C=C(C)CN1CCN([C@H]2CCN(C(=O)[C@H](C)OC)C2)CC1 ZINC001051990489 853671730 /nfs/dbraw/zinc/67/17/30/853671730.db2.gz CDQSUEWGSLJHLK-GJZGRUSLSA-N 0 1 295.427 0.816 20 30 CCEDMN N#Cc1cnccc1N1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001057973463 853793417 /nfs/dbraw/zinc/79/34/17/853793417.db2.gz BYIGQEZVPBIDNU-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN C[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCN(c2ncccc2C#N)C1 ZINC001058315041 853823718 /nfs/dbraw/zinc/82/37/18/853823718.db2.gz WSPDEZRMVKHFCW-KBPBESRZSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1CCN(c2ncccc2C#N)C1 ZINC001058315041 853823727 /nfs/dbraw/zinc/82/37/27/853823727.db2.gz WSPDEZRMVKHFCW-KBPBESRZSA-N 0 1 299.378 0.742 20 30 CCEDMN N#Cc1cncc(NCC2CC(NC(=O)c3cnn[nH]3)C2)n1 ZINC001067900973 853864958 /nfs/dbraw/zinc/86/49/58/853864958.db2.gz MOOHLXKBDXREMS-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN C[C@@H]1[C@H](Nc2cnc(C#N)cn2)CCN1C(=O)c1ccn[nH]1 ZINC001068751014 853922793 /nfs/dbraw/zinc/92/27/93/853922793.db2.gz ZGCBQOWEANRNCD-MWLCHTKSSA-N 0 1 297.322 0.786 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)C1CC(Nc2ccc(C#N)nn2)C1 ZINC001069708835 853972240 /nfs/dbraw/zinc/97/22/40/853972240.db2.gz XAFRQASFHHYIBZ-UHFFFAOYSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCCN1CC2(C1)CN(C(C)=O)C[C@H]2c1nnc(C)[nH]1 ZINC001070436868 854058775 /nfs/dbraw/zinc/05/87/75/854058775.db2.gz FHTYFFWPJWRJBG-ZDUSSCGKSA-N 0 1 287.367 0.384 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](c2cn(C)cn2)[C@H](NCCF)C1 ZINC001070476498 854065338 /nfs/dbraw/zinc/06/53/38/854065338.db2.gz PAKHCQAZOMXUFJ-GMXVVIOVSA-N 0 1 293.346 0.433 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)Cc2ccon2)CC[C@H]1C ZINC001071380775 854171842 /nfs/dbraw/zinc/17/18/42/854171842.db2.gz CVVXKLOMBANISD-DGCLKSJQSA-N 0 1 261.325 0.819 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ccon2)CC[C@H]1C ZINC001071380775 854171848 /nfs/dbraw/zinc/17/18/48/854171848.db2.gz CVVXKLOMBANISD-DGCLKSJQSA-N 0 1 261.325 0.819 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ncn(C)n2)CC[C@H]1C ZINC001071463143 854206634 /nfs/dbraw/zinc/20/66/34/854206634.db2.gz HNSAUTBEIMPYDV-NEPJUHHUSA-N 0 1 277.372 0.974 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cc(=O)n(C)o2)CC[C@H]1C ZINC001071462971 854206792 /nfs/dbraw/zinc/20/67/92/854206792.db2.gz DZHBDDHKAKARBB-GHMZBOCLSA-N 0 1 277.324 0.194 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C2CN(C(C)=O)C2)CC[C@@H]1C ZINC001071463846 854207399 /nfs/dbraw/zinc/20/73/99/854207399.db2.gz SOBVQEZELDHUDR-WFASDCNBSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cn2nccn2)CC[C@@H]1C ZINC001071537108 854231310 /nfs/dbraw/zinc/23/13/10/854231310.db2.gz TVYQIOJESDLDPC-NWDGAFQWSA-N 0 1 263.345 0.433 20 30 CCEDMN C=CC[N@@H+]1C[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC[C@H]1C ZINC001071653226 854257987 /nfs/dbraw/zinc/25/79/87/854257987.db2.gz VFOTYPFCWSKULK-RKDXNWHRSA-N 0 1 293.327 0.052 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ccc(=O)[nH]c2)CC[C@@H]1C ZINC001071693183 854265594 /nfs/dbraw/zinc/26/55/94/854265594.db2.gz MZNLUMMIULKQHM-GXTWGEPZSA-N 0 1 287.363 0.932 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cc2cn(CC)nn2)CC[C@@H]1C ZINC001071697605 854268226 /nfs/dbraw/zinc/26/82/26/854268226.db2.gz BYOLVLXKKYIWMO-QWHCGFSZSA-N 0 1 291.399 0.996 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@@H]1C ZINC001071742636 854278078 /nfs/dbraw/zinc/27/80/78/854278078.db2.gz ROUNHVVROHWVDU-NWDGAFQWSA-N 0 1 290.367 0.732 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cnon2)CC[C@@H]1C ZINC001071914057 854317159 /nfs/dbraw/zinc/31/71/59/854317159.db2.gz LOAUZSMYLXNZMP-VHSXEESVSA-N 0 1 250.302 0.838 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3CCOCC3)C2)C1 ZINC001072378587 854370350 /nfs/dbraw/zinc/37/03/50/854370350.db2.gz WOSBPWHPDQJJKN-UHFFFAOYSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(C)on3)C2)C1 ZINC001072443073 854388803 /nfs/dbraw/zinc/38/88/03/854388803.db2.gz DTBKEIDLRKUQKF-UHFFFAOYSA-N 0 1 259.309 0.764 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3snnc3C)C2)C1 ZINC001072460416 854392415 /nfs/dbraw/zinc/39/24/15/854392415.db2.gz LGGKNBLHFFSCHA-UHFFFAOYSA-N 0 1 276.365 0.628 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnns3)C2)C1 ZINC001072509223 854401587 /nfs/dbraw/zinc/40/15/87/854401587.db2.gz UMIHCMHAJKACJJ-UHFFFAOYSA-N 0 1 262.338 0.319 20 30 CCEDMN Cc1nccnc1CN1CCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001072552843 854413953 /nfs/dbraw/zinc/41/39/53/854413953.db2.gz SLWNMJCIXKJAHI-LBPRGKRZSA-N 0 1 299.378 0.979 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cn(C)nc3C)C2)C1 ZINC001072556539 854416657 /nfs/dbraw/zinc/41/66/57/854416657.db2.gz OMGKCHHWFUPYTG-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(C)cn3)C2)C1 ZINC001072616665 854430208 /nfs/dbraw/zinc/43/02/08/854430208.db2.gz GUSRVEORDBKCJX-UHFFFAOYSA-N 0 1 258.325 0.201 20 30 CCEDMN C=CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001072636909 854435031 /nfs/dbraw/zinc/43/50/31/854435031.db2.gz WOMCSHOTHYCVPY-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001072636909 854435038 /nfs/dbraw/zinc/43/50/38/854435038.db2.gz WOMCSHOTHYCVPY-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cnn(C)c3N)C2)C1 ZINC001072653511 854438917 /nfs/dbraw/zinc/43/89/17/854438917.db2.gz FRESQTTVLNLNGQ-UHFFFAOYSA-N 0 1 287.367 0.174 20 30 CCEDMN C=CC[N@H+]1CCC2(CN(C(=O)CC3OCCCO3)C2)C1 ZINC001072663967 854441298 /nfs/dbraw/zinc/44/12/98/854441298.db2.gz VVSZTDKSEYRCTA-UHFFFAOYSA-N 0 1 280.368 0.860 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3c(Cl)cnn3C)C2)C1 ZINC001072740743 854455935 /nfs/dbraw/zinc/45/59/35/854455935.db2.gz IAKJJZLMKXMOCO-UHFFFAOYSA-N 0 1 292.770 0.855 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3c(C)nnn3CC)C2)C1 ZINC001072808716 854468265 /nfs/dbraw/zinc/46/82/65/854468265.db2.gz OMHOPLQWWHDLFO-UHFFFAOYSA-N 0 1 287.367 0.388 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@]3(CC)CCNC3=O)C2)C1 ZINC001072827712 854473864 /nfs/dbraw/zinc/47/38/64/854473864.db2.gz VWPFVPGHVGKTHR-MRXNPFEDSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3CC(OC)C3)C2)C1 ZINC001072838503 854478982 /nfs/dbraw/zinc/47/89/82/854478982.db2.gz RFPJOULLGPIEGU-UHFFFAOYSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CC[C@@H](OC)C3)C2)C1 ZINC001072868023 854484523 /nfs/dbraw/zinc/48/45/23/854484523.db2.gz XJAVYUPHHNQQSD-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3conc3C)C2)C1 ZINC001072931706 854495961 /nfs/dbraw/zinc/49/59/61/854495961.db2.gz JRHWQFBEEMMRLO-UHFFFAOYSA-N 0 1 259.309 0.764 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3Cc4ccncc4C3)C2)C1 ZINC001072984944 854509732 /nfs/dbraw/zinc/50/97/32/854509732.db2.gz WODFFPHGGVSDGW-OAHLLOKOSA-N 0 1 295.386 0.964 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CC2(C1)CCN(CC#N)C2 ZINC001073045140 854520343 /nfs/dbraw/zinc/52/03/43/854520343.db2.gz VIHGLNCKOKZEQH-GFCCVEGCSA-N 0 1 287.367 0.646 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCO[C@H](C)C3)C2)C1 ZINC001073083548 854526550 /nfs/dbraw/zinc/52/65/50/854526550.db2.gz DSECTVJRWFLVQF-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3Cc4cccnc4C3)C2)C1 ZINC001073149798 854537061 /nfs/dbraw/zinc/53/70/61/854537061.db2.gz BSZDTNBXGGYSFV-OAHLLOKOSA-N 0 1 295.386 0.964 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3[nH]cnc3C)C2)C1 ZINC001073152408 854538338 /nfs/dbraw/zinc/53/83/38/854538338.db2.gz DYKMIFVCJLMOCP-UHFFFAOYSA-N 0 1 272.352 0.428 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCc4c[nH]nc4C3)C2)C1 ZINC001073445305 854561126 /nfs/dbraw/zinc/56/11/26/854561126.db2.gz JLPIOHWZJVDYFY-CYBMUJFWSA-N 0 1 298.390 0.682 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2cccn2C)C1 ZINC001073536760 854580301 /nfs/dbraw/zinc/58/03/01/854580301.db2.gz GDTNESIHSJDZBC-CQSZACIVSA-N 0 1 289.379 0.869 20 30 CCEDMN C=C(C)CN1CCCO[C@H](CNC(=O)c2cnns2)C1 ZINC001073539031 854583968 /nfs/dbraw/zinc/58/39/68/854583968.db2.gz ABWHVKMSXICLLV-LLVKDONJSA-N 0 1 296.396 0.935 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cocn2)C1 ZINC001073548223 854587519 /nfs/dbraw/zinc/58/75/19/854587519.db2.gz FUFINIPCEVZIRE-NSHDSACASA-N 0 1 265.313 0.681 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccnn2C)C1 ZINC001073554898 854591730 /nfs/dbraw/zinc/59/17/30/854591730.db2.gz QHTJGOWLSGEKJQ-LBPRGKRZSA-N 0 1 278.356 0.427 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001073575054 854599409 /nfs/dbraw/zinc/59/94/09/854599409.db2.gz AKRZNXUAGKSLNG-LLVKDONJSA-N 0 1 294.355 0.430 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccnnc2C)C1 ZINC001073680003 854630171 /nfs/dbraw/zinc/63/01/71/854630171.db2.gz WFPLCOQVMZILOY-CYBMUJFWSA-N 0 1 290.367 0.792 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2cncn2C)C1 ZINC001073681288 854631143 /nfs/dbraw/zinc/63/11/43/854631143.db2.gz JGFNJIBSHHOSKZ-ZDUSSCGKSA-N 0 1 290.367 0.264 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NCc2nccn2C)[C@@H]1C ZINC001074568130 854749878 /nfs/dbraw/zinc/74/98/78/854749878.db2.gz KRIMGGOIIIFWAQ-WOPDTQHZSA-N 0 1 275.356 0.659 20 30 CCEDMN C[C@H](CNC(=O)CCc1c[nH]nn1)Nc1ncccc1C#N ZINC001098294054 854854989 /nfs/dbraw/zinc/85/49/89/854854989.db2.gz HHTRYROJUYNMBS-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@H](CNC(=O)CCc1cnn[nH]1)Nc1ncccc1C#N ZINC001098294054 854854999 /nfs/dbraw/zinc/85/49/99/854854999.db2.gz HHTRYROJUYNMBS-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1ccnc1)C2 ZINC001098492105 854869805 /nfs/dbraw/zinc/86/98/05/854869805.db2.gz AQMFTDPOBLZSKH-MCIONIFRSA-N 0 1 272.352 0.628 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)C2=COCCO2)C1 ZINC001098707618 854876776 /nfs/dbraw/zinc/87/67/76/854876776.db2.gz AFHXGWMWESSUFD-WFASDCNBSA-N 0 1 276.336 0.479 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CCCO2)C1 ZINC001098719159 854879374 /nfs/dbraw/zinc/87/93/74/854879374.db2.gz STGSXOBLESQXSE-GUTXKFCHSA-N 0 1 262.353 0.769 20 30 CCEDMN C[C@H](CCNC(=O)c1nc[nH]n1)Nc1ncccc1C#N ZINC001099382841 854930344 /nfs/dbraw/zinc/93/03/44/854930344.db2.gz VJGHPVYTARKKFB-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@H](CCNC(=O)c1ncn[nH]1)Nc1ncccc1C#N ZINC001099382841 854930341 /nfs/dbraw/zinc/93/03/41/854930341.db2.gz VJGHPVYTARKKFB-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@@H](CCNC(=O)Cc1nnc[nH]1)Nc1ccc(C#N)cn1 ZINC001099483309 854934228 /nfs/dbraw/zinc/93/42/28/854934228.db2.gz JOIFILDRAWCGDS-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCC)[C@H](O)C1 ZINC001099687407 854968794 /nfs/dbraw/zinc/96/87/94/854968794.db2.gz VEPMRZRPGMSSDE-QWHCGFSZSA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COCC)CC2(CCOCC2)C1 ZINC001099562462 854938892 /nfs/dbraw/zinc/93/88/92/854938892.db2.gz YEYHWOPEEUXWSA-CQSZACIVSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2ccco2)[C@@H](O)C1 ZINC001099669874 854962652 /nfs/dbraw/zinc/96/26/52/854962652.db2.gz YNBXSYRMYLXUFX-GJZGRUSLSA-N 0 1 290.363 0.787 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C(C)=C\C)[C@@H](O)C1 ZINC001099779206 854994717 /nfs/dbraw/zinc/99/47/17/854994717.db2.gz XVCFEIVIBGGSRI-CGGDQNISSA-N 0 1 250.342 0.527 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CCN(CCO)C[C@@H]1O ZINC001099957979 855048531 /nfs/dbraw/zinc/04/85/31/855048531.db2.gz ZBVXRJPCGHZORV-KGLIPLIRSA-N 0 1 282.384 0.114 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(CF)CC2)[C@@H](O)C1 ZINC001100000525 855066413 /nfs/dbraw/zinc/06/64/13/855066413.db2.gz GGIXBXOKZVVLQC-RYUDHWBXSA-N 0 1 268.332 0.311 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cncs2)[C@H](O)C1 ZINC001100013693 855070708 /nfs/dbraw/zinc/07/07/08/855070708.db2.gz QXKAIQODBWPNOS-CHWSQXEVSA-N 0 1 295.408 0.813 20 30 CCEDMN CN(CCNC(=O)C#CC1CC1)c1ncnc2[nH]cnc21 ZINC001100060933 855087723 /nfs/dbraw/zinc/08/77/23/855087723.db2.gz AVPANQQDSVVDCO-UHFFFAOYSA-N 0 1 284.323 0.319 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cnccn2)[C@@H](O)C1 ZINC001100066509 855090770 /nfs/dbraw/zinc/09/07/70/855090770.db2.gz JIWSSPLHWCISTO-KBPBESRZSA-N 0 1 290.367 0.147 20 30 CCEDMN Cc1cc(CNC2CC(CNC(=O)[C@H](C)C#N)C2)nn1C ZINC001100191332 855114563 /nfs/dbraw/zinc/11/45/63/855114563.db2.gz HDXKESVONRDHOT-QFWMXSHPSA-N 0 1 289.383 0.873 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CC(NCc2cnns2)C1 ZINC001100196325 855116211 /nfs/dbraw/zinc/11/62/11/855116211.db2.gz GVRRSNPXZDLEGI-IDKOKCKLSA-N 0 1 279.369 0.682 20 30 CCEDMN CCn1cc(CNC2CC(CNC(=O)[C@@H](C)C#N)C2)nn1 ZINC001100198124 855117208 /nfs/dbraw/zinc/11/72/08/855117208.db2.gz PAOKSMKIOQVKAD-UNXYVOJBSA-N 0 1 290.371 0.442 20 30 CCEDMN Cc1cc(N(C)CCNC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001100400826 855160770 /nfs/dbraw/zinc/16/07/70/855160770.db2.gz RIFNZIUJBWHRPH-UHFFFAOYSA-N 0 1 285.311 0.246 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001100949662 855246473 /nfs/dbraw/zinc/24/64/73/855246473.db2.gz FIIWNTLVSOKESO-NXEZZACHSA-N 0 1 299.338 0.455 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCNc2cnc(C#N)cn2)[nH]1 ZINC001101531810 855309561 /nfs/dbraw/zinc/30/95/61/855309561.db2.gz BUHIAZDUXNXTTG-UHFFFAOYSA-N 0 1 285.311 0.564 20 30 CCEDMN CCCC(=O)NC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001101679696 855341936 /nfs/dbraw/zinc/34/19/36/855341936.db2.gz DESYCADDVITBKN-VXGBXAGGSA-N 0 1 280.372 0.110 20 30 CCEDMN CC(C)CC(=O)NC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001101687938 855343924 /nfs/dbraw/zinc/34/39/24/855343924.db2.gz ZXVICTYEXIDBQA-CHWSQXEVSA-N 0 1 294.399 0.356 20 30 CCEDMN C/C=C(/C)C(=O)NC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001101861678 855381303 /nfs/dbraw/zinc/38/13/03/855381303.db2.gz HNZDEVCHBFKWAM-ZYFYVMIWSA-N 0 1 292.383 0.276 20 30 CCEDMN Cc1cc(CC(=O)NC[C@@H]2CN(CC#N)C[C@H]2C)[nH]n1 ZINC001101870792 855381819 /nfs/dbraw/zinc/38/18/19/855381819.db2.gz ABFYYKAOOIRKSA-ZYHUDNBSSA-N 0 1 275.356 0.468 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102011770 855406107 /nfs/dbraw/zinc/40/61/07/855406107.db2.gz PNMWMIQOMQDGMX-VXGBXAGGSA-N 0 1 267.373 0.512 20 30 CCEDMN CN(CCNc1ccncc1C#N)C(=O)CCc1cnc[nH]1 ZINC001102021032 855407207 /nfs/dbraw/zinc/40/72/07/855407207.db2.gz BVVHINYBAHZGRP-UHFFFAOYSA-N 0 1 298.350 0.601 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H]1CN(CC(=O)NC)C[C@H]1C ZINC001102226120 855420910 /nfs/dbraw/zinc/42/09/10/855420910.db2.gz DXRVVCCZSSJYLV-VXGBXAGGSA-N 0 1 281.400 0.629 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)CCCF)[C@H](C)C1 ZINC001102238204 855421618 /nfs/dbraw/zinc/42/16/18/855421618.db2.gz WDEHFXDJPBELRQ-CHWSQXEVSA-N 0 1 297.374 0.170 20 30 CCEDMN CC[C@@H](CNC(=O)Cc1nnc[nH]1)Nc1ccncc1C#N ZINC001103186035 855502538 /nfs/dbraw/zinc/50/25/38/855502538.db2.gz BHLKIYMMRNADSE-NSHDSACASA-N 0 1 299.338 0.043 20 30 CCEDMN CC[C@H](CNC(=O)c1ncn[nH]1)Nc1cc(C)ncc1C#N ZINC001103171292 855502803 /nfs/dbraw/zinc/50/28/03/855502803.db2.gz ZSFGFAAXPCVXBS-LLVKDONJSA-N 0 1 299.338 0.422 20 30 CCEDMN CC[C@H](CNC(=O)c1nc[nH]n1)Nc1cc(C)ncc1C#N ZINC001103171292 855502805 /nfs/dbraw/zinc/50/28/05/855502805.db2.gz ZSFGFAAXPCVXBS-LLVKDONJSA-N 0 1 299.338 0.422 20 30 CCEDMN CC[C@@H](CNC(=O)Cc1nnc[nH]1)Nc1ccc(C#N)nc1 ZINC001103186002 855503338 /nfs/dbraw/zinc/50/33/38/855503338.db2.gz ATYAFCDIVOMJRA-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN CC[C@@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)nn1 ZINC001103371520 855516326 /nfs/dbraw/zinc/51/63/26/855516326.db2.gz SXDXEHBHYRCBCW-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)C ZINC001115152233 855646991 /nfs/dbraw/zinc/64/69/91/855646991.db2.gz SBKWYHDTXQASIM-ITGUQSILSA-N 0 1 264.369 0.729 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@H](C)Nc2ccc(C#N)nn2)[nH]1 ZINC001115656961 855685918 /nfs/dbraw/zinc/68/59/18/855685918.db2.gz WJCVICOXTMVRIB-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCC[C@@H]2CO)CC1 ZINC001116468413 855770611 /nfs/dbraw/zinc/77/06/11/855770611.db2.gz JYEWSYUSFYFGRL-CQSZACIVSA-N 0 1 264.369 0.705 20 30 CCEDMN COCCCOCC(=O)NC1(C#N)CCN(C)CC1 ZINC001116471967 855772689 /nfs/dbraw/zinc/77/26/89/855772689.db2.gz NWHWAEOAMCBLTJ-UHFFFAOYSA-N 0 1 269.345 0.144 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C[C@H]2CCCOC2)CC1 ZINC001116881745 855857117 /nfs/dbraw/zinc/85/71/17/855857117.db2.gz ZTWSKYAIBQFSLL-GFCCVEGCSA-N 0 1 265.357 0.907 20 30 CCEDMN C=C[C@@H](COC)NC(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC001117384352 855961933 /nfs/dbraw/zinc/96/19/33/855961933.db2.gz WGGZUUNTYKMKIZ-WDEREUQCSA-N 0 1 292.339 0.221 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N(C)Cc1nnc[nH]1 ZINC001117757825 856071588 /nfs/dbraw/zinc/07/15/88/856071588.db2.gz FJSBUSAWTVPNKZ-UHFFFAOYSA-N 0 1 256.269 0.652 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NC[C@@H]1CCCC[N@H+]1CCO ZINC001117863243 856094355 /nfs/dbraw/zinc/09/43/55/856094355.db2.gz KVMHFDXVLRWIEX-STQMWFEESA-N 0 1 285.388 0.333 20 30 CCEDMN CCC[C@H](NC(=O)[C@H]([NH3+])CCCC#N)c1nn[n-]n1 ZINC001118123508 856168687 /nfs/dbraw/zinc/16/86/87/856168687.db2.gz OYDLWVPCWQDNJJ-BDAKNGLRSA-N 0 1 265.321 0.178 20 30 CCEDMN C[C@H](CNC(=O)CCCCC#N)N1CCN(C)CC1 ZINC001118374441 856279306 /nfs/dbraw/zinc/27/93/06/856279306.db2.gz RJLVGXZJTYKUBC-CYBMUJFWSA-N 0 1 266.389 0.822 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001118382610 856283590 /nfs/dbraw/zinc/28/35/90/856283590.db2.gz KDZVONPBGAOHDK-NXEZZACHSA-N 0 1 278.312 0.311 20 30 CCEDMN C=CC[C@H]1NC(=O)N(C2CCN([C@H]3CCOC3)CC2)C1=O ZINC001118578524 856355010 /nfs/dbraw/zinc/35/50/10/856355010.db2.gz HFEDCPREZIXNDA-QWHCGFSZSA-N 0 1 293.367 0.736 20 30 CCEDMN C#CCN(CC)C(=O)NCc1n[nH]c(COC)n1 ZINC001118614919 856366647 /nfs/dbraw/zinc/36/66/47/856366647.db2.gz UTBYNJZWZRQDBN-UHFFFAOYSA-N 0 1 251.290 0.116 20 30 CCEDMN C#CCN(CC)C(=O)NCc1nnc(COC)[nH]1 ZINC001118614919 856366657 /nfs/dbraw/zinc/36/66/57/856366657.db2.gz UTBYNJZWZRQDBN-UHFFFAOYSA-N 0 1 251.290 0.116 20 30 CCEDMN CCN(C(=O)C(=O)NCC1(CC#N)CC1)[C@@H]1CCN(C)C1 ZINC001118648825 856379734 /nfs/dbraw/zinc/37/97/34/856379734.db2.gz CMANTQYEEKIQGY-GFCCVEGCSA-N 0 1 292.383 0.349 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@H]1CCCc2n[nH]nc21)C(=O)OCC ZINC001118694817 856398245 /nfs/dbraw/zinc/39/82/45/856398245.db2.gz XGLKYIPHFHHREL-GXSJLCMTSA-N 0 1 292.339 0.849 20 30 CCEDMN N#Cc1cccc(CNC[C@H]2CCS(=O)(=O)C2)n1 ZINC001119297880 856620176 /nfs/dbraw/zinc/62/01/76/856620176.db2.gz TUWUZHSTOYNFSP-SNVBAGLBSA-N 0 1 265.338 0.478 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCCn2cncn2)CC1 ZINC001119593988 856764465 /nfs/dbraw/zinc/76/44/65/856764465.db2.gz ZGFZDPBLDPRRGZ-UHFFFAOYSA-N 0 1 276.344 0.162 20 30 CCEDMN C=C1CCC(CNC(=O)C(=O)N2CCNC[C@H]2C)CC1 ZINC001120446107 857072312 /nfs/dbraw/zinc/07/23/12/857072312.db2.gz VOSWZAICPHKOOV-GFCCVEGCSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CNC(=O)OC)CC1 ZINC001392890336 912238732 /nfs/dbraw/zinc/23/87/32/912238732.db2.gz GGHPENDDEMKKAS-UHFFFAOYSA-N 0 1 275.736 0.333 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](NC(N)=O)C(C)(C)C ZINC001323338439 912281852 /nfs/dbraw/zinc/28/18/52/912281852.db2.gz ZKUCQDHRKWWOQF-NWDGAFQWSA-N 0 1 296.415 0.836 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H](CC)N1CCCC1=O ZINC001323359086 912294954 /nfs/dbraw/zinc/29/49/54/912294954.db2.gz ZERKYRJPEDMKBE-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN COC(=O)c1ccc(C=Nn2c(=O)c(C)n[nH]c2=S)[nH]1 ZINC001323497511 912374149 /nfs/dbraw/zinc/37/41/49/912374149.db2.gz WUIWHYPKWKJDGK-UHFFFAOYSA-N 0 1 293.308 0.232 20 30 CCEDMN C=CCNC(=O)CNC(=O)N1CCN(C(C)(C)C)CC1 ZINC001323506575 912378437 /nfs/dbraw/zinc/37/84/37/912378437.db2.gz YGZHEUHOOPSSJT-UHFFFAOYSA-N 0 1 282.388 0.414 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CC(N)=O ZINC001323694032 912481592 /nfs/dbraw/zinc/48/15/92/912481592.db2.gz TVRXQBYRYZTUHS-XQQFMLRXSA-N 0 1 279.384 0.749 20 30 CCEDMN C=CCOCC(=O)N(C)C1CN(CC[C@@H]2CCOC2)C1 ZINC001323898366 912572999 /nfs/dbraw/zinc/57/29/99/912572999.db2.gz MWXFWJHMJWXKCN-CYBMUJFWSA-N 0 1 282.384 0.758 20 30 CCEDMN CC(C)C#CC(=O)N(C)C1CN(C[C@H]2CCOC2)C1 ZINC001323920331 912583124 /nfs/dbraw/zinc/58/31/24/912583124.db2.gz CAVDPLUSHGBYIF-CYBMUJFWSA-N 0 1 264.369 0.825 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]2CNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001393460134 912586541 /nfs/dbraw/zinc/58/65/41/912586541.db2.gz YKHKPGDPDYGBDT-WPRPVWTQSA-N 0 1 275.312 0.209 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)CC(=O)NC(C)(C)C ZINC001393612923 912680758 /nfs/dbraw/zinc/68/07/58/912680758.db2.gz LAMLCRNZEXUAKT-GFCCVEGCSA-N 0 1 296.415 0.841 20 30 CCEDMN CC1(NC(=O)CN2CCCC2)CCN(CC#N)CC1 ZINC001324343005 912790990 /nfs/dbraw/zinc/79/09/90/912790990.db2.gz NLWRKRZXBDLXHN-UHFFFAOYSA-N 0 1 264.373 0.576 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC001324794562 913038856 /nfs/dbraw/zinc/03/88/56/913038856.db2.gz MFXXOQVYSSXJMG-LBPRGKRZSA-N 0 1 288.351 0.823 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@H](C)n2cccc2)C1 ZINC001325085422 913198638 /nfs/dbraw/zinc/19/86/38/913198638.db2.gz LBOPZWYJLGCIPL-GOEBONIOSA-N 0 1 289.379 0.625 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)N1CCCN(CC)CC1 ZINC001325549227 913466622 /nfs/dbraw/zinc/46/66/22/913466622.db2.gz ADLZPFNQHASLAD-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H](OC)[C@@H]2CCOC2)CC1 ZINC001344942033 891408629 /nfs/dbraw/zinc/40/86/29/891408629.db2.gz GVLFQBQLOJUEHV-TZMCWYRMSA-N 0 1 281.352 0.679 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H](OC)[C@@H]2CCOC2)CC1 ZINC001344942031 891408690 /nfs/dbraw/zinc/40/86/90/891408690.db2.gz GVLFQBQLOJUEHV-OCCSQVGLSA-N 0 1 281.352 0.679 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H](OC)[C@H]2CCOC2)CC1 ZINC001344942027 891409612 /nfs/dbraw/zinc/40/96/12/891409612.db2.gz GVLFQBQLOJUEHV-GXTWGEPZSA-N 0 1 281.352 0.679 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cn(CC)nc1C ZINC001282466127 891452450 /nfs/dbraw/zinc/45/24/50/891452450.db2.gz AJHKQYNRNJCKLH-NSHDSACASA-N 0 1 262.357 0.895 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)CC[C@H](C)OC)C1 ZINC001325831224 913600255 /nfs/dbraw/zinc/60/02/55/913600255.db2.gz AZKFXRNLVASNLB-ZFWWWQNUSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCOCCC(=O)N[C@@]1(CO)CCCN(CC(=C)C)C1 ZINC001325834972 913604028 /nfs/dbraw/zinc/60/40/28/913604028.db2.gz LJSLJMFLTGURQS-INIZCTEOSA-N 0 1 294.395 0.546 20 30 CCEDMN C=CCCCN(C)CCNC(=O)[C@@H]1CCCS1(=O)=O ZINC001480950764 891933294 /nfs/dbraw/zinc/93/32/94/891933294.db2.gz VYIRUKGHPYJSPY-LBPRGKRZSA-N 0 1 288.413 0.578 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1CCOC[C@H]1C ZINC001480999141 892038253 /nfs/dbraw/zinc/03/82/53/892038253.db2.gz KTQUKLBEUZPEGC-CHWSQXEVSA-N 0 1 252.358 0.730 20 30 CCEDMN C#CCN(CC)CCNC(=O)CC(=O)NCC(F)(F)F ZINC001481007646 892047353 /nfs/dbraw/zinc/04/73/53/892047353.db2.gz FNCPXEZMTSLBDX-UHFFFAOYSA-N 0 1 293.289 0.126 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](CNC(=O)C2CCC2)C1 ZINC001481073928 892133656 /nfs/dbraw/zinc/13/36/56/892133656.db2.gz WSIIRMWOZILTTK-QWHCGFSZSA-N 0 1 293.411 0.915 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)[C@H](C)C(C)C)C1 ZINC001481112640 892178259 /nfs/dbraw/zinc/17/82/59/892178259.db2.gz LZNQONWGUZUHFM-ZIAGYGMSSA-N 0 1 293.411 0.466 20 30 CCEDMN C=CCN(CCNC(=O)c1cc(=O)c(OC)c[nH]1)C1CC1 ZINC001481176890 892273188 /nfs/dbraw/zinc/27/31/88/892273188.db2.gz OPHIXVCOTHONCF-UHFFFAOYSA-N 0 1 291.351 0.764 20 30 CCEDMN C#CCN(CCNC(=O)c1cn(CCC=C)nn1)C1CC1 ZINC001481189226 892285012 /nfs/dbraw/zinc/28/50/12/892285012.db2.gz FDYZSAWKNUGJJT-UHFFFAOYSA-N 0 1 287.367 0.682 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCNCc1ncccc1C ZINC001481228667 892397491 /nfs/dbraw/zinc/39/74/91/892397491.db2.gz HOGHUFANUJJZSA-AWEZNQCLSA-N 0 1 289.379 0.976 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1CC12CCCC2 ZINC001283819422 892443281 /nfs/dbraw/zinc/44/32/81/892443281.db2.gz VXGZSCVRAFOILM-NEPJUHHUSA-N 0 1 250.342 0.267 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N(C)CCNCCS(C)(=O)=O ZINC001481277137 892488546 /nfs/dbraw/zinc/48/85/46/892488546.db2.gz KYRFCRURWKRVTP-ZDUSSCGKSA-N 0 1 290.429 0.681 20 30 CCEDMN C#CCN1CC[C@H](N(CCC)C(=O)C[C@@H](C)NC(N)=O)C1 ZINC001481399647 892613342 /nfs/dbraw/zinc/61/33/42/892613342.db2.gz MRNIREHTZRDLFK-OLZOCXBDSA-N 0 1 294.399 0.379 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CCNC(=O)C2(C)CC2)C1 ZINC001481432657 892653813 /nfs/dbraw/zinc/65/38/13/892653813.db2.gz QXRBTGMERPHBIQ-CYBMUJFWSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CCNC(=O)C2(C)CC2)C1 ZINC001481432659 892653907 /nfs/dbraw/zinc/65/39/07/892653907.db2.gz QXRBTGMERPHBIQ-ZDUSSCGKSA-N 0 1 291.395 0.364 20 30 CCEDMN Cc1ccnc(CNC[C@H](C)CNC(=O)[C@H](C)C#N)n1 ZINC001421672721 892707993 /nfs/dbraw/zinc/70/79/93/892707993.db2.gz FLPGPABGEFFTGF-WDEREUQCSA-N 0 1 275.356 0.787 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)C2=CCOCC2)C1 ZINC001481621594 892916985 /nfs/dbraw/zinc/91/69/85/892916985.db2.gz QOQJOFAQBVCBTM-UHFFFAOYSA-N 0 1 280.368 0.584 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H](OCC)[C@H]2CCOC2)C1 ZINC001481637053 892946712 /nfs/dbraw/zinc/94/67/12/892946712.db2.gz UDAUNKKOROUHKX-KBPBESRZSA-N 0 1 282.384 0.662 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCC(=O)N(CC)CC)C1 ZINC001481753642 893113887 /nfs/dbraw/zinc/11/38/87/893113887.db2.gz XMUKORAZMYFYDM-AWEZNQCLSA-N 0 1 293.411 0.801 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CN(CC2CCC2)CCO1 ZINC001482185151 893474180 /nfs/dbraw/zinc/47/41/80/893474180.db2.gz QPHHNESLXYCHFX-DGCLKSJQSA-N 0 1 265.357 0.763 20 30 CCEDMN C=CCCC(=O)N[C@@H](CC)CNC(=O)C1=NC(=O)N(C)C1 ZINC001285095037 893826105 /nfs/dbraw/zinc/82/61/05/893826105.db2.gz BAVZGUHOMAFHLY-JTQLQIEISA-N 0 1 294.355 0.717 20 30 CCEDMN Cc1cnc(Cl)cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC001357446249 894199887 /nfs/dbraw/zinc/19/98/87/894199887.db2.gz QGMGXPKPGSWFBO-WCBMZHEXSA-N 0 1 264.716 0.885 20 30 CCEDMN CN(CC#N)CCN(C)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001482597832 894376414 /nfs/dbraw/zinc/37/64/14/894376414.db2.gz AILVPSNCQPEFOQ-NSHDSACASA-N 0 1 275.356 0.743 20 30 CCEDMN CCCC(=O)N1CC[C@H]2[C@@H](CCN2CC(=O)NCC#N)C1 ZINC001482655784 894452794 /nfs/dbraw/zinc/45/27/94/894452794.db2.gz PPAOFVDUCGKQND-STQMWFEESA-N 0 1 292.383 0.349 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCC[C@H](C)NCC#N ZINC001328427547 915189610 /nfs/dbraw/zinc/18/96/10/915189610.db2.gz QAMBWWFORUFYAA-MNOVXSKESA-N 0 1 263.345 0.596 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@H](C)CCNCc1nnnn1C ZINC001482868182 894659070 /nfs/dbraw/zinc/65/90/70/894659070.db2.gz QHUSUPPUMGKOPZ-BXUZGUMPSA-N 0 1 294.403 0.797 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H](C)CC(N)=O ZINC001483044994 894841516 /nfs/dbraw/zinc/84/15/16/894841516.db2.gz TZOBIDOUTDDCDN-TYRPZCRBSA-N 0 1 273.764 0.512 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C/CNCC(=O)NC(C)C ZINC001483045280 894842559 /nfs/dbraw/zinc/84/25/59/894842559.db2.gz VQHVFQGUKPAGMX-BQYQJAHWSA-N 0 1 281.400 0.985 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC001326358665 913909346 /nfs/dbraw/zinc/90/93/46/913909346.db2.gz PEOKWFNBZREVMN-YPMHNXCESA-N 0 1 279.384 0.668 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)[C@@H](C)C(C)C ZINC001483068197 894873494 /nfs/dbraw/zinc/87/34/94/894873494.db2.gz DWPNWDYKTCGSBL-YBJDMEARSA-N 0 1 279.384 0.290 20 30 CCEDMN COC1(C=Nn2c(=O)c(C)n[nH]c2=S)CCOCC1 ZINC001326365084 913915755 /nfs/dbraw/zinc/91/57/55/913915755.db2.gz VCFRTCJDJXRIDC-UHFFFAOYSA-N 0 1 284.341 0.265 20 30 CCEDMN Cc1oncc1CNC[C@@H](C)NC(=O)[C@H](C)C#N ZINC001483116414 894937516 /nfs/dbraw/zinc/93/75/16/894937516.db2.gz IGEUZAMVBLFGFU-RKDXNWHRSA-N 0 1 250.302 0.737 20 30 CCEDMN Cc1cc(NC(=O)CNC[C@@H](C)NC(=O)[C@H](C)C#N)no1 ZINC001483117058 894940073 /nfs/dbraw/zinc/94/00/73/894940073.db2.gz SAUYEYILNLWNFT-RKDXNWHRSA-N 0 1 293.327 0.176 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H](C)CNCc1conc1CC ZINC001483127915 894975962 /nfs/dbraw/zinc/97/59/62/894975962.db2.gz ZXXAUGAWCHHUMA-NEPJUHHUSA-N 0 1 293.367 0.870 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1CCC(=O)N1C ZINC001483159904 895043987 /nfs/dbraw/zinc/04/39/87/895043987.db2.gz QGTDIQNODOLFFN-NXEZZACHSA-N 0 1 273.764 0.454 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCc1cn(C)nn1 ZINC001409469565 895194481 /nfs/dbraw/zinc/19/44/81/895194481.db2.gz NHDPQQQIZDYROM-WDEREUQCSA-N 0 1 299.806 0.983 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](C)NC(=O)C(C)(C)F)C1=O ZINC001483305455 895427958 /nfs/dbraw/zinc/42/79/58/895427958.db2.gz HUWGEIOEKUKSHH-NEPJUHHUSA-N 0 1 299.390 0.958 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CN(C)[C@H]1CCC(=O)NC1=O ZINC001483320662 895446898 /nfs/dbraw/zinc/44/68/98/895446898.db2.gz QXCYTFSUKWAXLD-MNOVXSKESA-N 0 1 281.356 0.194 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CN(C)[C@@H]1CCC(=O)NC1=O ZINC001483320664 895447108 /nfs/dbraw/zinc/44/71/08/895447108.db2.gz QXCYTFSUKWAXLD-WDEREUQCSA-N 0 1 281.356 0.194 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cccc(-n2cncn2)n1 ZINC001483402606 895543751 /nfs/dbraw/zinc/54/37/51/895543751.db2.gz GZNGTDXZLLLZPL-GFCCVEGCSA-N 0 1 298.350 0.346 20 30 CCEDMN C=CC[N@H+](C)C[C@@H](C)NC(=O)C1(C(=O)NC)CCC1 ZINC001483409788 895547018 /nfs/dbraw/zinc/54/70/18/895547018.db2.gz SIUUFKFJZJAUJZ-LLVKDONJSA-N 0 1 267.373 0.525 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H](C)n1cncn1 ZINC001483641734 895782126 /nfs/dbraw/zinc/78/21/26/895782126.db2.gz HWBLHFIJQGJRKY-VXGBXAGGSA-N 0 1 261.329 0.053 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)/C(C)=C\CC)CC1 ZINC001483719300 895911799 /nfs/dbraw/zinc/91/17/99/895911799.db2.gz MZLLHAOMCFHKTL-OTAKNEKHSA-N 0 1 291.395 0.719 20 30 CCEDMN C=C(Cl)CN1CC([C@@H](C)NC(=O)CCc2cnn[nH]2)C1 ZINC001409906131 896027221 /nfs/dbraw/zinc/02/72/21/896027221.db2.gz HOISAZGLWZICQT-SNVBAGLBSA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(Cl)CN1CC([C@@H](C)NC(=O)CCc2c[nH]nn2)C1 ZINC001409906131 896027212 /nfs/dbraw/zinc/02/72/12/896027212.db2.gz HOISAZGLWZICQT-SNVBAGLBSA-N 0 1 297.790 0.926 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@H]2C[C@H]2C(C)C)CC1 ZINC001483780435 896051550 /nfs/dbraw/zinc/05/15/50/896051550.db2.gz RUQZZQSRTBQPEK-STQMWFEESA-N 0 1 293.411 0.819 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)CCOC)C1 ZINC001484423861 896387731 /nfs/dbraw/zinc/38/77/31/896387731.db2.gz IHOKFKNLEFIWJX-CQSZACIVSA-N 0 1 270.373 0.542 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)COCCCOC ZINC001484464048 896420031 /nfs/dbraw/zinc/42/00/31/896420031.db2.gz IZDGFGHKNFHDRF-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1csnn1 ZINC001484489227 896436237 /nfs/dbraw/zinc/43/62/37/896436237.db2.gz KLTJMCRJHVPAPN-UHFFFAOYSA-N 0 1 290.776 0.315 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)COCC(F)F)CC1 ZINC001484719080 896560234 /nfs/dbraw/zinc/56/02/34/896560234.db2.gz SJWUFBMBYMAHRB-LLVKDONJSA-N 0 1 274.311 0.872 20 30 CCEDMN C#CCCCCC(=O)NC1(CO)CCN(CC#C)CC1 ZINC001485017340 896720205 /nfs/dbraw/zinc/72/02/05/896720205.db2.gz TXYOBXBCZVMMIX-UHFFFAOYSA-N 0 1 276.380 0.756 20 30 CCEDMN CC#CC[N@@H+](CCCNC(=O)Cc1nnc[nH]1)C(C)C ZINC001493874849 896755637 /nfs/dbraw/zinc/75/56/37/896755637.db2.gz KLOYSUCAQKMHHX-UHFFFAOYSA-N 0 1 277.372 0.587 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H](C)N(C)[C@@H]1CCN(C)C1=O ZINC001485295322 896927431 /nfs/dbraw/zinc/92/74/31/896927431.db2.gz JTVPRAWQQKCTBQ-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN C=CCCN(C)[C@H](C)CNC(=O)CS(=O)(=O)CC ZINC001485387452 897027486 /nfs/dbraw/zinc/02/74/86/897027486.db2.gz GRBCHLYLCBTLHY-LLVKDONJSA-N 0 1 276.402 0.434 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCN(CC(N)=O)CC(C)(C)C1 ZINC001397267692 914123278 /nfs/dbraw/zinc/12/32/78/914123278.db2.gz DYVAOYNQHMUDNW-GHMZBOCLSA-N 0 1 280.372 0.238 20 30 CCEDMN CCCC[C@H](CNCC#N)NC(=O)CN1CCCC1 ZINC001485471721 897065265 /nfs/dbraw/zinc/06/52/65/897065265.db2.gz MCLFOBOEZKMWMF-CYBMUJFWSA-N 0 1 266.389 0.870 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCCCC(N)=O)C1 ZINC001485528133 897107414 /nfs/dbraw/zinc/10/74/14/897107414.db2.gz GEIWTYNHRKVHCT-LBPRGKRZSA-N 0 1 279.384 0.492 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CN(C)C(C)=O)C2)C1 ZINC001485659624 897164095 /nfs/dbraw/zinc/16/40/95/897164095.db2.gz PBDOYQCCZXAFGW-UHFFFAOYSA-N 0 1 277.368 0.022 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)COCC(F)F)C2)C1 ZINC001485661643 897168088 /nfs/dbraw/zinc/16/80/88/897168088.db2.gz GJWFUDAGTGFUAN-UHFFFAOYSA-N 0 1 286.322 0.826 20 30 CCEDMN C=C(C)CCC(=O)N[C@H]1C[C@H](CNCc2nnnn2C)C1 ZINC001485684950 897181826 /nfs/dbraw/zinc/18/18/26/897181826.db2.gz USMKNNDFZIVRCI-HAQNSBGRSA-N 0 1 292.387 0.551 20 30 CCEDMN CN(CCF)CCOCCNC(=O)c1cc(C#N)c[nH]1 ZINC001485772506 897238233 /nfs/dbraw/zinc/23/82/33/897238233.db2.gz HPVCHWRBKWPCBW-UHFFFAOYSA-N 0 1 282.319 0.534 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@]1(C)CN(CC2CC2)CCO1 ZINC001107821210 897324794 /nfs/dbraw/zinc/32/47/94/897324794.db2.gz KZAYQYQXLFIFLA-SMDDNHRTSA-N 0 1 265.357 0.763 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2cccnc2)C1 ZINC001077710444 897326078 /nfs/dbraw/zinc/32/60/78/897326078.db2.gz JPCPJNGFZKROML-ZJIFWQFVSA-N 0 1 299.374 0.370 20 30 CCEDMN C[C@H](CNc1ccc(C#N)cn1)NC(=O)c1ncn[nH]1 ZINC001107894372 897454784 /nfs/dbraw/zinc/45/47/84/897454784.db2.gz JTUKILVCYWGZHV-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C[C@H](CNc1ccc(C#N)cn1)NC(=O)c1nc[nH]n1 ZINC001107894372 897454798 /nfs/dbraw/zinc/45/47/98/897454798.db2.gz JTUKILVCYWGZHV-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]cc2ncnc1-2 ZINC001032416385 897522359 /nfs/dbraw/zinc/52/23/59/897522359.db2.gz DNAMQVGWUNQFHP-UWVGGRQHSA-N 0 1 282.307 0.380 20 30 CCEDMN N#Cc1cnccc1NC[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001060820079 897529769 /nfs/dbraw/zinc/52/97/69/897529769.db2.gz GBFGSKJKQFHDPC-NSHDSACASA-N 0 1 296.334 0.672 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2nn[nH]c21 ZINC001032418439 897532344 /nfs/dbraw/zinc/53/23/44/897532344.db2.gz BYFULFVFGHEVBX-QWRGUYRKSA-N 0 1 281.319 0.490 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@]1(C)CN(CC(C)C)CCO1 ZINC001107941298 897535118 /nfs/dbraw/zinc/53/51/18/897535118.db2.gz BRPYBFWXSRRBFT-HOCLYGCPSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2cncn2C1 ZINC001032485769 897640289 /nfs/dbraw/zinc/64/02/89/897640289.db2.gz DKAMEGKXCPTNCV-BPUTZDHNSA-N 0 1 298.390 0.754 20 30 CCEDMN CCCc1cc(C(=O)N2C[C@@H]3C[C@H]2CN3CC#N)n[nH]1 ZINC001032553887 897737544 /nfs/dbraw/zinc/73/75/44/897737544.db2.gz LDLJNYIHSODUAY-RYUDHWBXSA-N 0 1 273.340 0.784 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCC(=O)N1C ZINC001032559485 897746289 /nfs/dbraw/zinc/74/62/89/897746289.db2.gz IFOGNAHQYDUAAX-RDBSUJKOSA-N 0 1 291.395 0.859 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1nccn2ccnc12 ZINC001032632638 897854987 /nfs/dbraw/zinc/85/49/87/897854987.db2.gz CZCDSXPNLCTFHZ-STQMWFEESA-N 0 1 295.346 0.651 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cnn3ccccc23)C1 ZINC001077854556 897990013 /nfs/dbraw/zinc/99/00/13/897990013.db2.gz PTBABIHLUPGNQL-UKRRQHHQSA-N 0 1 298.346 0.133 20 30 CCEDMN C=CCC(CC=C)C(=O)NCCNCCS(C)(=O)=O ZINC001128085634 897999150 /nfs/dbraw/zinc/99/91/50/897999150.db2.gz YDRJUQRXWFPRLE-UHFFFAOYSA-N 0 1 288.413 0.505 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3[C@@H](C)COC)cn1 ZINC001032739580 898052019 /nfs/dbraw/zinc/05/20/19/898052019.db2.gz GYKAGMXSWSYCTL-RCBQFDQVSA-N 0 1 299.374 0.997 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc(OC)n2)C1 ZINC001077925727 898059481 /nfs/dbraw/zinc/05/94/81/898059481.db2.gz NJDJMHFFIVTVID-CHWSQXEVSA-N 0 1 291.351 0.441 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3cncc(C)c3)C2)OCC1=O ZINC001272839161 898066923 /nfs/dbraw/zinc/06/69/23/898066923.db2.gz QEWHTZQSDUQMHQ-KRWDZBQOSA-N 0 1 299.374 0.827 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1COC(=O)N1 ZINC001485870222 898478668 /nfs/dbraw/zinc/47/86/68/898478668.db2.gz DZMXNZWKFMKUEZ-DTWKUNHWSA-N 0 1 275.736 0.284 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H](CC)SC ZINC001485987059 898575155 /nfs/dbraw/zinc/57/51/55/898575155.db2.gz IYMHNTVPTBGZHA-QWRGUYRKSA-N 0 1 258.387 0.218 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1c(C)[nH]c2ccccc21 ZINC001486013392 898587400 /nfs/dbraw/zinc/58/74/00/898587400.db2.gz AYVCVCCVOZKIJJ-LBPRGKRZSA-N 0 1 285.347 0.790 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H]1CCCCC1(F)F ZINC001486019928 898591728 /nfs/dbraw/zinc/59/17/28/898591728.db2.gz RQOMXISYVJMIQN-NWDGAFQWSA-N 0 1 288.338 0.902 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cccc2c[nH]nc21 ZINC001486024104 898596435 /nfs/dbraw/zinc/59/64/35/898596435.db2.gz YSCIEGOXXPVNKE-LBPRGKRZSA-N 0 1 286.335 0.267 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CCn1cc(Cl)cn1 ZINC001486064387 898632426 /nfs/dbraw/zinc/63/24/26/898632426.db2.gz ZUKMBMHHOSFFRW-LBPRGKRZSA-N 0 1 298.774 0.017 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C[C@@](C)(O)C1CC1 ZINC001486330139 898795547 /nfs/dbraw/zinc/79/55/47/898795547.db2.gz JKYKXCAUJBTBGO-GOEBONIOSA-N 0 1 296.411 0.312 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H]1C[C@@H]2C=C[C@H]1CC2 ZINC001486338001 898801935 /nfs/dbraw/zinc/80/19/35/898801935.db2.gz QLASKRGMHQGKDA-QKPAOTATSA-N 0 1 290.407 0.973 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccon1 ZINC001486324828 898810928 /nfs/dbraw/zinc/81/09/28/898810928.db2.gz AWIFBZAYGKLBFM-LLVKDONJSA-N 0 1 265.313 0.063 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cnc(CC)s1 ZINC001486359734 898815925 /nfs/dbraw/zinc/81/59/25/898815925.db2.gz CLZOUFCIOPLZIO-LLVKDONJSA-N 0 1 295.408 0.703 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H](C)c1cccnc1 ZINC001486355516 898816734 /nfs/dbraw/zinc/81/67/34/898816734.db2.gz IWZQRZKCAGHTRI-UKRRQHHQSA-N 0 1 289.379 0.569 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CO[C@H]1CCOC1 ZINC001410374842 899375505 /nfs/dbraw/zinc/37/55/05/899375505.db2.gz BYPUTKNHYCVRCN-RYUDHWBXSA-N 0 1 290.791 0.981 20 30 CCEDMN CC#CC[NH2+]C[C@@H]1C[C@H](C)CCN1C(=O)Cc1nnc[n-]1 ZINC001489053496 900430376 /nfs/dbraw/zinc/43/03/76/900430376.db2.gz RCDRIXSPOIGUHK-OLZOCXBDSA-N 0 1 289.383 0.587 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCN(CC)CC(N)=O ZINC001490341667 900610112 /nfs/dbraw/zinc/61/01/12/900610112.db2.gz SLQBNFOVDWDJQV-UHFFFAOYSA-N 0 1 255.362 0.512 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N[C@@H]1CCCN(CC#N)C1 ZINC001490438324 900621105 /nfs/dbraw/zinc/62/11/05/900621105.db2.gz GFAKYUPLNVCGIY-CHWSQXEVSA-N 0 1 284.379 0.770 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2n[nH]cc2F)C1 ZINC001490448222 900623889 /nfs/dbraw/zinc/62/38/89/900623889.db2.gz ZDSAJEVSMFVDQP-LLVKDONJSA-N 0 1 296.346 0.946 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2c(C)nc[nH]c2=O)C1 ZINC001490550530 900654984 /nfs/dbraw/zinc/65/49/84/900654984.db2.gz SZARCTPONJRVDK-GFCCVEGCSA-N 0 1 290.367 0.800 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCc2cccnc2)C1 ZINC001490550200 900655560 /nfs/dbraw/zinc/65/55/60/900655560.db2.gz IFSVLHXSOFTHRA-CQSZACIVSA-N 0 1 257.337 0.838 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H]2C[C@H]2CC)CC1 ZINC001490506989 900658463 /nfs/dbraw/zinc/65/84/63/900658463.db2.gz RKWCGDYBKHIRCW-ZIAGYGMSSA-N 0 1 265.401 0.952 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCc2ncccn2)C1 ZINC001490567370 900669285 /nfs/dbraw/zinc/66/92/85/900669285.db2.gz PUPORCYRWJLJQR-GFCCVEGCSA-N 0 1 258.325 0.233 20 30 CCEDMN C#CC[NH2+][C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001490652820 900692211 /nfs/dbraw/zinc/69/22/11/900692211.db2.gz DSFKPPOFFHPGNT-NSHDSACASA-N 0 1 259.309 0.518 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)c1ccc(C#N)cc1C ZINC001412168571 901519925 /nfs/dbraw/zinc/51/99/25/901519925.db2.gz ISHSPEIDAAFNLH-UHFFFAOYSA-N 0 1 284.279 0.597 20 30 CCEDMN COCc1nc(CNC(=O)c2ccccc2CC#N)n[nH]1 ZINC001412324514 901641761 /nfs/dbraw/zinc/64/17/61/901641761.db2.gz HUZTZPZPFMOBKV-UHFFFAOYSA-N 0 1 285.307 0.947 20 30 CCEDMN COCc1nnc(CNC(=O)c2ccccc2CC#N)[nH]1 ZINC001412324514 901641767 /nfs/dbraw/zinc/64/17/67/901641767.db2.gz HUZTZPZPFMOBKV-UHFFFAOYSA-N 0 1 285.307 0.947 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)CNC(=O)c1ccc(C#N)cc1 ZINC001412327883 901644684 /nfs/dbraw/zinc/64/46/84/901644684.db2.gz WCJDBBKVADARLB-UHFFFAOYSA-N 0 1 297.318 0.670 20 30 CCEDMN N#Cc1cc(C(=O)N2CCOC[C@@H]2c2nn[nH]n2)cs1 ZINC001412429227 901713881 /nfs/dbraw/zinc/71/38/81/901713881.db2.gz CUZWLFQPGBMOBW-SECBINFHSA-N 0 1 290.308 0.347 20 30 CCEDMN C[C@@H]1CC[N@@H+](CC(=O)N2CCN(C3CC3)[C@@H](C#N)C2)C1 ZINC001412454863 901732061 /nfs/dbraw/zinc/73/20/61/901732061.db2.gz NKPYWCDHALUZAS-OCCSQVGLSA-N 0 1 276.384 0.527 20 30 CCEDMN COC[C@@H](NC(=O)c1ccc(CC#N)cc1)c1nn[nH]n1 ZINC001412610003 901869287 /nfs/dbraw/zinc/86/92/87/901869287.db2.gz PTPRNGWGBYZCRS-LLVKDONJSA-N 0 1 286.295 0.383 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)NCCn1cnc(C#N)n1 ZINC001412938213 902376378 /nfs/dbraw/zinc/37/63/78/902376378.db2.gz SAPUBSRRSGETBM-ZANVPECISA-N 0 1 299.338 0.355 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)NCCn1cnc(C#N)n1 ZINC001412938205 902377808 /nfs/dbraw/zinc/37/78/08/902377808.db2.gz SAPUBSRRSGETBM-TVQRCGJNSA-N 0 1 299.338 0.355 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)NC[C@@H]1CCC[N@@H+]1C ZINC001413369071 902908090 /nfs/dbraw/zinc/90/80/90/902908090.db2.gz HGNMFUBCWQSLCJ-NSHDSACASA-N 0 1 274.324 0.791 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)Cn1ccc(C)n1 ZINC001049739902 903044544 /nfs/dbraw/zinc/04/45/44/903044544.db2.gz IAKDKPOJUKFOHV-CABCVRRESA-N 0 1 286.379 0.890 20 30 CCEDMN CCn1ncn(NC(=O)N[C@@H](CC#N)C(F)(F)F)c1=O ZINC001413646501 903141910 /nfs/dbraw/zinc/14/19/10/903141910.db2.gz MMGZDYSUMLGLGK-LURJTMIESA-N 0 1 292.221 0.162 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@@H](NCc2cn(CC)nn2)C1 ZINC001491002980 903284631 /nfs/dbraw/zinc/28/46/31/903284631.db2.gz LGUUXGFDDJVVIC-TXEJJXNPSA-N 0 1 293.371 0.237 20 30 CCEDMN C#CCOCCC(=O)NC[C@H](C)NCc1nccnc1C ZINC001491452854 903603554 /nfs/dbraw/zinc/60/35/54/903603554.db2.gz SAGPFVFBXDFSSO-LBPRGKRZSA-N 0 1 290.367 0.419 20 30 CCEDMN C[C@@H](CNc1nnccc1C#N)N1CCN(C)CC1 ZINC001120811770 903715405 /nfs/dbraw/zinc/71/54/05/903715405.db2.gz BAYKQGHERLUNSA-NSHDSACASA-N 0 1 260.345 0.396 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H]1CCN(C)C1=O ZINC001491558186 903930243 /nfs/dbraw/zinc/93/02/43/903930243.db2.gz KYVNRQVHEXCWPR-VHSXEESVSA-N 0 1 273.764 0.312 20 30 CCEDMN CC/C=C(/C)C(=O)NC[C@H](CO)NCC#CCOC ZINC001331686665 904006466 /nfs/dbraw/zinc/00/64/66/904006466.db2.gz WGEUZUIFMNUCLK-FFXRNRBCSA-N 0 1 268.357 0.059 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001316599189 904113083 /nfs/dbraw/zinc/11/30/83/904113083.db2.gz BDPMJXAFJGFKMN-BYNSBNAKSA-N 0 1 294.395 0.614 20 30 CCEDMN C#CCCCC(=O)N1CC([C@@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001280646345 904133965 /nfs/dbraw/zinc/13/39/65/904133965.db2.gz LLLJXXSFPIWZQY-SNVBAGLBSA-N 0 1 289.339 0.185 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCCN(CC(=O)N(C)C)CC1 ZINC001280709662 904141055 /nfs/dbraw/zinc/14/10/55/904141055.db2.gz PESVFWJVJYKVPZ-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](NCc2cnnn2C)C1 ZINC001281379034 904268217 /nfs/dbraw/zinc/26/82/17/904268217.db2.gz RIAROCGVTPDPPK-YPMHNXCESA-N 0 1 277.372 0.718 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC[C@H](C)NCc1nncs1 ZINC001281708074 904333436 /nfs/dbraw/zinc/33/34/36/904333436.db2.gz UCXJGHHFVXBBAZ-GWCFXTLKSA-N 0 1 298.412 0.850 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1cnns1 ZINC001281915814 904364404 /nfs/dbraw/zinc/36/44/04/904364404.db2.gz FMVCROPJOHUFNH-JTQLQIEISA-N 0 1 264.354 0.708 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cnns1 ZINC001281915814 904364420 /nfs/dbraw/zinc/36/44/20/904364420.db2.gz FMVCROPJOHUFNH-JTQLQIEISA-N 0 1 264.354 0.708 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cnc2cccnn21 ZINC001281954349 904380806 /nfs/dbraw/zinc/38/08/06/904380806.db2.gz CJGQODOKQKLHDT-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)N(C)Cc1n[nH]c(C)n1 ZINC001282364602 904457660 /nfs/dbraw/zinc/45/76/60/904457660.db2.gz PCPQHAGJKBSKEV-SNVBAGLBSA-N 0 1 281.360 0.252 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1c(C)cc(=O)oc1C ZINC001282431869 904474137 /nfs/dbraw/zinc/47/41/37/904474137.db2.gz VHSRSDQSFWMTMG-NSHDSACASA-N 0 1 276.336 0.940 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1cc(C)n[nH]1 ZINC001332623102 904530302 /nfs/dbraw/zinc/53/03/02/904530302.db2.gz ZXQIJDDHWWLMPJ-LBPRGKRZSA-N 0 1 278.356 0.106 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1conc1C(C)C ZINC001332632674 904536115 /nfs/dbraw/zinc/53/61/15/904536115.db2.gz OIVPKNIPGQRXNS-LBPRGKRZSA-N 0 1 293.367 0.796 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCC(=O)NCC)C(C)(C)C1 ZINC001282831907 904548178 /nfs/dbraw/zinc/54/81/78/904548178.db2.gz GHHAOZWKVAPVOS-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCCCC(=O)NCCNC(=O)[C@H]1CCCN1C ZINC001282948120 904628404 /nfs/dbraw/zinc/62/84/04/904628404.db2.gz JRYCHDYOUTXBEU-GFCCVEGCSA-N 0 1 267.373 0.669 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C[C@](C)(O)C=C)C2)C1 ZINC001282956623 904633550 /nfs/dbraw/zinc/63/35/50/904633550.db2.gz ITVYZJLBUQWDOV-OAHLLOKOSA-N 0 1 276.380 0.871 20 30 CCEDMN CC(C)C#CC(=O)NCCNC(=O)c1ccc2cncn2c1 ZINC001282998209 904661756 /nfs/dbraw/zinc/66/17/56/904661756.db2.gz HAEAHIMBVCLIMP-UHFFFAOYSA-N 0 1 298.346 0.840 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@@H](C)C(=O)NC1CC1 ZINC001377480571 904929640 /nfs/dbraw/zinc/92/96/40/904929640.db2.gz ZMPJEEBRRPNIDR-NEPJUHHUSA-N 0 1 294.399 0.641 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H]1CCC1(F)F ZINC001283770972 905006276 /nfs/dbraw/zinc/00/62/76/905006276.db2.gz GQMDSRVMPZDPHD-NXEZZACHSA-N 0 1 260.284 0.122 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1cc(C)ccc1C ZINC001283802356 905022797 /nfs/dbraw/zinc/02/27/97/905022797.db2.gz BEYPFXBAZHHLJG-HNNXBMFYSA-N 0 1 274.364 0.546 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1(CCOC)CCC1 ZINC001283823587 905039125 /nfs/dbraw/zinc/03/91/25/905039125.db2.gz HYWGHFCNNJJQGR-ZDUSSCGKSA-N 0 1 282.384 0.283 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCC(=O)NCC ZINC001398885317 914866430 /nfs/dbraw/zinc/86/64/30/914866430.db2.gz VJCPWYHGQJNWJP-JTQLQIEISA-N 0 1 275.780 0.750 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001284003704 905114267 /nfs/dbraw/zinc/11/42/67/905114267.db2.gz XCDUGMXSXJLTJE-QWHCGFSZSA-N 0 1 295.383 0.000 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1c[nH]c(C(N)=O)c1 ZINC001377913930 905244003 /nfs/dbraw/zinc/24/40/03/905244003.db2.gz QIPRGUOWELSCFD-DTWKUNHWSA-N 0 1 298.774 0.963 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H](O)CNCC(=C)Cl ZINC001284397420 905269331 /nfs/dbraw/zinc/26/93/31/905269331.db2.gz GIGXKALQQXRLEU-LBPRGKRZSA-N 0 1 272.776 0.951 20 30 CCEDMN C#CCN(C)CCN(C(=O)CN(C)C(C)=O)C(C)C ZINC001284530767 905363945 /nfs/dbraw/zinc/36/39/45/905363945.db2.gz YVIWPVXISLZAHH-UHFFFAOYSA-N 0 1 267.373 0.267 20 30 CCEDMN C=CCCCC(=O)N(CC)CCNC(=O)c1cnn[nH]1 ZINC001284628411 905402200 /nfs/dbraw/zinc/40/22/00/905402200.db2.gz VCBCNVVAUFLABW-UHFFFAOYSA-N 0 1 279.344 0.739 20 30 CCEDMN C=CCN(CCNC(=O)c1n[nH]cc1F)CCOC ZINC001284656967 905411515 /nfs/dbraw/zinc/41/15/15/905411515.db2.gz DGBBLEKHDWMNFI-UHFFFAOYSA-N 0 1 270.308 0.413 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H](C)CNC(=O)c2cnn[nH]2)C1 ZINC001285238319 905579070 /nfs/dbraw/zinc/57/90/70/905579070.db2.gz XCOOKCQXITUUHL-JTQLQIEISA-N 0 1 291.355 0.643 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CCc1cn(C)nn1 ZINC001378335970 905595080 /nfs/dbraw/zinc/59/50/80/905595080.db2.gz HVTHAKSXMFCRAR-NSHDSACASA-N 0 1 299.806 0.985 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)[C@H](C)NC(=O)CN1CCCC1 ZINC001285322479 905595635 /nfs/dbraw/zinc/59/56/35/905595635.db2.gz QNOSTYMWKWDMNI-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)Cc1n[nH]c(C)n1 ZINC001285728183 905744721 /nfs/dbraw/zinc/74/47/21/905744721.db2.gz CVKXGONIQBLGLJ-VIFPVBQESA-N 0 1 293.371 0.489 20 30 CCEDMN C=CCOCC(=O)N[C@]1(C)CCN(C(=O)c2ccn[nH]2)C1 ZINC001286079966 905846844 /nfs/dbraw/zinc/84/68/44/905846844.db2.gz VXTGMEHYELPJKU-CQSZACIVSA-N 0 1 292.339 0.333 20 30 CCEDMN CC(C)C(=O)NC[C@H](CO)NCc1ccc(C#N)s1 ZINC001379347132 906170515 /nfs/dbraw/zinc/17/05/15/906170515.db2.gz APEZVSALZDUFDO-SNVBAGLBSA-N 0 1 281.381 0.842 20 30 CCEDMN CC#CCCCC(=O)NCCNC(=O)[C@H]1CCCCN1C ZINC001292946568 906373706 /nfs/dbraw/zinc/37/37/06/906373706.db2.gz HPYVKAZSAHJPOY-CQSZACIVSA-N 0 1 293.411 0.897 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)NCCc1nc[nH]n1 ZINC001293424479 906469262 /nfs/dbraw/zinc/46/92/62/906469262.db2.gz JZXHDURNEJXLFH-UHFFFAOYSA-N 0 1 255.281 0.957 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CC[N@@H+](C)[C@@H]1C)C(=O)[O-] ZINC001334981514 906507512 /nfs/dbraw/zinc/50/75/12/906507512.db2.gz WXKWZRJCIZAHOR-MXWKQRLJSA-N 0 1 270.329 0.099 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001294733188 906625181 /nfs/dbraw/zinc/62/51/81/906625181.db2.gz WGDAEKNTMIWAOQ-IINYFYTJSA-N 0 1 292.339 0.068 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001295098064 906673073 /nfs/dbraw/zinc/67/30/73/906673073.db2.gz ULKOVIDLPMEWDK-JHJVBQTASA-N 0 1 279.384 0.810 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@](C)(CNCc2nncn2C)C1 ZINC001380403308 906761922 /nfs/dbraw/zinc/76/19/22/906761922.db2.gz PMALMCXELRKUOH-BXUZGUMPSA-N 0 1 290.371 0.303 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N(C)CCNC(=O)c1[nH]ncc1F ZINC001296226315 906881086 /nfs/dbraw/zinc/88/10/86/906881086.db2.gz QQFAEDRXLZSJMW-VIFPVBQESA-N 0 1 298.318 0.328 20 30 CCEDMN C#CCCCC(=O)NCCN(CC)C(=O)Cc1ncn[nH]1 ZINC001296290533 906895007 /nfs/dbraw/zinc/89/50/07/906895007.db2.gz QKPIYRFLEIIQBF-UHFFFAOYSA-N 0 1 291.355 0.115 20 30 CCEDMN C=C(CO)C(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC001297007840 906991070 /nfs/dbraw/zinc/99/10/70/906991070.db2.gz NGPIXOLRJWHMIV-CYBMUJFWSA-N 0 1 268.357 0.106 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001297248884 907044740 /nfs/dbraw/zinc/04/47/40/907044740.db2.gz FELVJDJCKLCCJY-JTQLQIEISA-N 0 1 291.355 0.595 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001297248884 907044748 /nfs/dbraw/zinc/04/47/48/907044748.db2.gz FELVJDJCKLCCJY-JTQLQIEISA-N 0 1 291.355 0.595 20 30 CCEDMN CN(CC(=O)NCC1(CC#N)CC1)[C@@H]1CCC[C@H]1O ZINC001297250460 907045162 /nfs/dbraw/zinc/04/51/62/907045162.db2.gz HHHIPAFFJZPDPA-VXGBXAGGSA-N 0 1 265.357 0.642 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCC[C@@](CO)(NCCF)C2)c1 ZINC001381049722 907053802 /nfs/dbraw/zinc/05/38/02/907053802.db2.gz SPHOSZZJBCPTIY-CQSZACIVSA-N 0 1 294.330 0.413 20 30 CCEDMN C[C@H](CNC(=O)c1cnn[nH]1)NC(=O)C#CC(C)(C)C ZINC001298591952 907284840 /nfs/dbraw/zinc/28/48/40/907284840.db2.gz SEZUUNNIDLMACO-SECBINFHSA-N 0 1 277.328 0.089 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001382086016 907539707 /nfs/dbraw/zinc/53/97/07/907539707.db2.gz NBRCQEANFGLGRU-GHMZBOCLSA-N 0 1 287.791 0.654 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001491921297 907641874 /nfs/dbraw/zinc/64/18/74/907641874.db2.gz STWSPZNNAIKJKT-UWVGGRQHSA-N 0 1 275.312 0.242 20 30 CCEDMN CC[C@H]1CC[C@@H](C(=O)NCCN(C)CC#CCOC)O1 ZINC001492276924 907824935 /nfs/dbraw/zinc/82/49/35/907824935.db2.gz MRAVXGCGLHFUCS-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H](C)CNC(=O)CN1CCCC1 ZINC001382986391 907913966 /nfs/dbraw/zinc/91/39/66/907913966.db2.gz WTUUQEYQJHOSMH-NEPJUHHUSA-N 0 1 280.372 0.205 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H]1CC12CCC2 ZINC001492435695 907921624 /nfs/dbraw/zinc/92/16/24/907921624.db2.gz WQYKJESCIYCKFF-ZDUSSCGKSA-N 0 1 264.369 0.874 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)N(C)CCCNC(=O)c1ncn[nH]1 ZINC001492476689 907941967 /nfs/dbraw/zinc/94/19/67/907941967.db2.gz PYGFDTFLNIICQG-MNOVXSKESA-N 0 1 293.371 0.841 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)N(C)CCCNC(=O)c1nc[nH]n1 ZINC001492476689 907941976 /nfs/dbraw/zinc/94/19/76/907941976.db2.gz PYGFDTFLNIICQG-MNOVXSKESA-N 0 1 293.371 0.841 20 30 CCEDMN CSCC[C@H](NC(=O)[C@H](C)CC#N)c1nn[nH]n1 ZINC001301162436 907959872 /nfs/dbraw/zinc/95/98/72/907959872.db2.gz XSLQWDOAFFLAJM-SFYZADRCSA-N 0 1 268.346 0.660 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)Cc2ncn[nH]2)C1 ZINC001338156922 921383200 /nfs/dbraw/zinc/38/32/00/921383200.db2.gz VYKZLIWPGRFNIV-MNOVXSKESA-N 0 1 291.355 0.419 20 30 CCEDMN CC#CCCCC(=O)NCc1n[nH]c(CS(C)(=O)=O)n1 ZINC001304087548 908143981 /nfs/dbraw/zinc/14/39/81/908143981.db2.gz XJNSLXXULAHNIM-UHFFFAOYSA-N 0 1 298.368 0.159 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)c1cnco1 ZINC001316839068 908176402 /nfs/dbraw/zinc/17/64/02/908176402.db2.gz FBSIZPAQLMQLLE-NSHDSACASA-N 0 1 294.355 0.417 20 30 CCEDMN C#CCNC(=O)C[N@@H+](C)CCCNC(=O)[C@H]1CC[C@H](F)C1 ZINC001316845669 908207058 /nfs/dbraw/zinc/20/70/58/908207058.db2.gz SDOIQKVRFRKCAU-STQMWFEESA-N 0 1 297.374 0.312 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H]1CC[C@H](F)C1 ZINC001316845669 908207071 /nfs/dbraw/zinc/20/70/71/908207071.db2.gz SDOIQKVRFRKCAU-STQMWFEESA-N 0 1 297.374 0.312 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C1(CC)CC1 ZINC001316846489 908209506 /nfs/dbraw/zinc/20/95/06/908209506.db2.gz HWJMNRKHQMPOFD-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)C(C)(F)F ZINC001317475033 908445622 /nfs/dbraw/zinc/44/56/22/908445622.db2.gz GONMQBLOUSNNQV-UHFFFAOYSA-N 0 1 262.300 0.730 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1C[C@H]1C(=O)OC ZINC001317475709 908454235 /nfs/dbraw/zinc/45/42/35/908454235.db2.gz APISUNMKQFNENM-VXGBXAGGSA-N 0 1 266.341 0.257 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2cccnc2)C1 ZINC001317514859 908570710 /nfs/dbraw/zinc/57/07/10/908570710.db2.gz YGFACROHFIGACK-CQSZACIVSA-N 0 1 287.363 0.783 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1C ZINC001341642602 908644952 /nfs/dbraw/zinc/64/49/52/908644952.db2.gz JRCSIAXMMZHCIE-RYUDHWBXSA-N 0 1 259.309 0.845 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC#CCN(C)C)C[C@@H](C2CC2)O1 ZINC001313439574 908666066 /nfs/dbraw/zinc/66/60/66/908666066.db2.gz NLJVXXKSTYCXDM-OCCSQVGLSA-N 0 1 279.384 0.760 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1c(C)cnn1C ZINC001328753119 908708684 /nfs/dbraw/zinc/70/86/84/908708684.db2.gz OVFUJGWPSCBBDT-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCCC(=O)NC)[C@H]1C ZINC001316801762 908778589 /nfs/dbraw/zinc/77/85/89/908778589.db2.gz WROTWAPOCOZVPF-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C(C)(C)C=C)CC1 ZINC001316960062 908867148 /nfs/dbraw/zinc/86/71/48/908867148.db2.gz ZVWMMKVLHBYMCM-UHFFFAOYSA-N 0 1 277.412 0.956 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@@H]2CCOC2)CC1 ZINC001316958043 908868803 /nfs/dbraw/zinc/86/88/03/908868803.db2.gz VPUGMOMIMYHARQ-CQSZACIVSA-N 0 1 281.400 0.333 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1CCCN(CC(=O)N(C)C)C1 ZINC001317131021 909007156 /nfs/dbraw/zinc/00/71/56/909007156.db2.gz NEEOOSLXPXYRGT-CQSZACIVSA-N 0 1 293.411 0.562 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H](C)NCc2cnnn2C)nc1 ZINC001317282503 909114534 /nfs/dbraw/zinc/11/45/34/909114534.db2.gz JAGRLYBZEFPESJ-NSHDSACASA-N 0 1 298.350 0.100 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@@H]1COC(=O)C1 ZINC001317442333 909209556 /nfs/dbraw/zinc/20/95/56/909209556.db2.gz HAWBPBMQANEEQY-NSHDSACASA-N 0 1 252.314 0.011 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)[C@@H](C)n1cncn1 ZINC001317495476 909266006 /nfs/dbraw/zinc/26/60/06/909266006.db2.gz ITKRXBBKWXMUTL-CHWSQXEVSA-N 0 1 275.356 0.443 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H](C)n1cncn1 ZINC001317495476 909266015 /nfs/dbraw/zinc/26/60/15/909266015.db2.gz ITKRXBBKWXMUTL-CHWSQXEVSA-N 0 1 275.356 0.443 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN(CC(=O)N(C)CC)C1 ZINC001317521085 909287635 /nfs/dbraw/zinc/28/76/35/909287635.db2.gz DFIAERIRSMNJHH-ZDUSSCGKSA-N 0 1 281.400 0.869 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN([C@H]3CCNC3=O)C2)C1 ZINC001317531077 909299354 /nfs/dbraw/zinc/29/93/54/909299354.db2.gz PWBUXWRQODRSFG-STQMWFEESA-N 0 1 291.395 0.669 20 30 CCEDMN COCC#CCN(CCNC(=O)c1n[nH]cc1C)C1CC1 ZINC001317552926 909321998 /nfs/dbraw/zinc/32/19/98/909321998.db2.gz NVNUNMVDQYLQSK-UHFFFAOYSA-N 0 1 290.367 0.562 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H](C)NC(=O)CC)C1CC1 ZINC001317551748 909322381 /nfs/dbraw/zinc/32/23/81/909322381.db2.gz OJNKDZKOIYDECN-LLVKDONJSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCCCC(=O)N(C)CCNCc1cncc(OC)n1 ZINC001317581893 909376506 /nfs/dbraw/zinc/37/65/06/909376506.db2.gz XAINYKUKENAGBF-UHFFFAOYSA-N 0 1 290.367 0.837 20 30 CCEDMN CC(C)C[C@H](CNC(=O)[C@H](C)C#N)NC(=O)c1cnn[nH]1 ZINC001388534619 909505487 /nfs/dbraw/zinc/50/54/87/909505487.db2.gz LGGXGCMOGPTRIX-NXEZZACHSA-N 0 1 292.343 0.225 20 30 CCEDMN Cc1nc(C=Nn2c(=O)c(C)n[nH]c2=S)cs1 ZINC001317804692 909506329 /nfs/dbraw/zinc/50/63/29/909506329.db2.gz OCPYDOBKWSTTLN-UHFFFAOYSA-N 0 1 267.339 0.883 20 30 CCEDMN COC[C@H](O)CN1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001317969202 909554705 /nfs/dbraw/zinc/55/47/05/909554705.db2.gz PIVQBZVBHIBIGR-UONOGXRCSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@@H]2CCOC[C@@H]2C)C1 ZINC001318150417 909642895 /nfs/dbraw/zinc/64/28/95/909642895.db2.gz OQMLLDYBIHUHII-DZGCQCFKSA-N 0 1 296.411 0.910 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001318162272 909649489 /nfs/dbraw/zinc/64/94/89/909649489.db2.gz BENCZXUVFUMXIL-NWDGAFQWSA-N 0 1 277.368 0.115 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCN(CCOCC)C1 ZINC001318239844 909673692 /nfs/dbraw/zinc/67/36/92/909673692.db2.gz BRUNZDYUFDKOBL-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN Cc1nc(CN2CC[C@H](N(C)C(=O)C#CC3CC3)C2)n[nH]1 ZINC001318404111 909742003 /nfs/dbraw/zinc/74/20/03/909742003.db2.gz XOARZSGYDASKRA-ZDUSSCGKSA-N 0 1 287.367 0.559 20 30 CCEDMN CC(C)NC(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001318455603 909767438 /nfs/dbraw/zinc/76/74/38/909767438.db2.gz UQISLOAPGNADDG-ZHACJKMWSA-N 0 1 266.301 0.220 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CC2(O)CCC2)C1 ZINC001318471715 909776016 /nfs/dbraw/zinc/77/60/16/909776016.db2.gz UKVGJIFZQSSHIV-ZDUSSCGKSA-N 0 1 264.369 0.848 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN(CCn2cncn2)C1 ZINC001389361984 909848076 /nfs/dbraw/zinc/84/80/76/909848076.db2.gz LCSSLKSJIGGCMY-NEPJUHHUSA-N 0 1 276.344 0.018 20 30 CCEDMN N#CCNCC1(NC(=O)CN2CCCC2)CCCC1 ZINC001318666894 909854071 /nfs/dbraw/zinc/85/40/71/909854071.db2.gz XYVNUTDYXCJIMC-UHFFFAOYSA-N 0 1 264.373 0.624 20 30 CCEDMN CNC(=O)CN1CCC(CCNC(=O)C#CC(C)C)CC1 ZINC001319019437 909955534 /nfs/dbraw/zinc/95/55/34/909955534.db2.gz VDSXMKQHUYLXQM-UHFFFAOYSA-N 0 1 293.411 0.610 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)CNCc1nnnn1C ZINC001319193119 910029946 /nfs/dbraw/zinc/02/99/46/910029946.db2.gz BLWZCDYOEIFPOE-NSHDSACASA-N 0 1 280.376 0.408 20 30 CCEDMN CCn1nccc1CNCCNC(=O)C1N=CC=CC1=O ZINC001319563182 910204085 /nfs/dbraw/zinc/20/40/85/910204085.db2.gz PREMJABNOLVRDV-YPKPFQOOSA-N 0 1 289.339 0.519 20 30 CCEDMN Cc1csc(C=Nn2c(=O)c(C)n[nH]c2=S)n1 ZINC001319588490 910216671 /nfs/dbraw/zinc/21/66/71/910216671.db2.gz PTGSSBGQOHSWOX-UHFFFAOYSA-N 0 1 267.339 0.883 20 30 CCEDMN CC(C)c1nc(CN[C@@H](C)CNC(=O)[C@H](C)C#N)n[nH]1 ZINC001390340810 910361204 /nfs/dbraw/zinc/36/12/04/910361204.db2.gz MTZMHNOVLWUPIQ-ZJUUUORDSA-N 0 1 278.360 0.682 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@]1(C)CCCOC1 ZINC001320066509 910445584 /nfs/dbraw/zinc/44/55/84/910445584.db2.gz GHSLEGFUEWAIDJ-MRXNPFEDSA-N 0 1 296.411 0.843 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](C)OC[C@H]1CCCO1 ZINC001320091665 910457303 /nfs/dbraw/zinc/45/73/03/910457303.db2.gz YOXCYHKZPVDQPW-UONOGXRCSA-N 0 1 282.384 0.594 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)N[C@@H]2CCN(CCOC)C2)C1 ZINC001320351801 910629652 /nfs/dbraw/zinc/62/96/52/910629652.db2.gz JTJKHIMFIXPPCK-ZIAGYGMSSA-N 0 1 297.399 0.694 20 30 CCEDMN C=CCn1c(C[C@H](C)O)nnc1N1CCN(C(C)C)CC1 ZINC001338943939 921609046 /nfs/dbraw/zinc/60/90/46/921609046.db2.gz DJDMQOPSNSZSGO-ZDUSSCGKSA-N 0 1 293.415 0.918 20 30 CCEDMN C=CCn1c(C2=NO[C@@H](CO)C2)nnc1N1CCC[C@H]1C ZINC001338934732 921605456 /nfs/dbraw/zinc/60/54/56/921605456.db2.gz DBPAYORINMGEBF-GHMZBOCLSA-N 0 1 291.355 0.938 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC/C=C\CNCc1cncs1 ZINC001321056186 911055802 /nfs/dbraw/zinc/05/58/02/911055802.db2.gz BXXVFAOZCBETNN-QMAVJUDZSA-N 0 1 293.392 0.943 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001321223619 911170735 /nfs/dbraw/zinc/17/07/35/911170735.db2.gz FXDVFQKEFQFCSL-QWUMPWSFSA-N 0 1 289.379 0.044 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H](C)CNCc1cnc(C)cn1 ZINC001321842139 911521580 /nfs/dbraw/zinc/52/15/80/911521580.db2.gz MDJFDPICJHSWJK-QWHCGFSZSA-N 0 1 290.367 0.418 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)CN[C@@H](C)c1cnccn1 ZINC001321841924 911522857 /nfs/dbraw/zinc/52/28/57/911522857.db2.gz IHGKLWFAKUYKFY-STQMWFEESA-N 0 1 290.367 0.672 20 30 CCEDMN C=CCn1c(C[N+](=O)[O-])nnc1N1CCN(CC)[C@@H](C)C1 ZINC001339156852 921668229 /nfs/dbraw/zinc/66/82/29/921668229.db2.gz AXGJKBXHKWVLLC-NSHDSACASA-N 0 1 294.359 0.771 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1ccccn1 ZINC001322102122 911655683 /nfs/dbraw/zinc/65/56/83/911655683.db2.gz AFLBGBLNMGASCA-CYBMUJFWSA-N 0 1 275.352 0.782 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cnn(C)c1C ZINC001322116516 911660911 /nfs/dbraw/zinc/66/09/11/911660911.db2.gz KHXOOCQKNPPYIH-LLVKDONJSA-N 0 1 262.357 0.802 20 30 CCEDMN C=CCOCC[N@H+](C)C[C@@H](C)NC(=O)c1cc(C)n[nH]1 ZINC001322118728 911665098 /nfs/dbraw/zinc/66/50/98/911665098.db2.gz AGOBEORQMZFGIM-GFCCVEGCSA-N 0 1 280.372 0.971 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCCN(C(=O)CC)C1 ZINC001322158065 911682245 /nfs/dbraw/zinc/68/22/45/911682245.db2.gz AQQFMIJQHYDOFI-ZIAGYGMSSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ncc(OC)cn1 ZINC001322195349 911702296 /nfs/dbraw/zinc/70/22/96/911702296.db2.gz FKJMZBOQRWHCJU-SNVBAGLBSA-N 0 1 262.313 0.169 20 30 CCEDMN C=C[C@H](COC)N1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC001322229976 911718144 /nfs/dbraw/zinc/71/81/44/911718144.db2.gz HWIMGTYMIPOSMB-ZJUUUORDSA-N 0 1 264.285 0.074 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001322249438 911726318 /nfs/dbraw/zinc/72/63/18/911726318.db2.gz PSDOENFMOFUZBN-ZNMIVQPWSA-N 0 1 294.395 0.664 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001322275457 911742607 /nfs/dbraw/zinc/74/26/07/911742607.db2.gz YIEHFJWAXBKORD-KGLIPLIRSA-N 0 1 293.411 0.705 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)C#N)NC(=O)C(C)(C)c1cnc[nH]1 ZINC001392497860 911861080 /nfs/dbraw/zinc/86/10/80/911861080.db2.gz JJTBKAYOJXHRPV-VHSXEESVSA-N 0 1 291.355 0.468 20 30 CCEDMN CC[C@H](C(N)=O)N(C)C[C@@H](C)NC(=O)c1ccc(C#N)[nH]1 ZINC001392654621 912048330 /nfs/dbraw/zinc/04/83/30/912048330.db2.gz XTLQKYCANRPTBM-BXKDBHETSA-N 0 1 291.355 0.200 20 30 CCEDMN C[N@H+]1CCCC[C@H]1C(=O)N[C@H](CNCC#N)C1CC1 ZINC001323046110 912087219 /nfs/dbraw/zinc/08/72/19/912087219.db2.gz BBRCQWIFEKUOFW-OLZOCXBDSA-N 0 1 264.373 0.479 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@H](CNCC#N)C1CC1 ZINC001323046110 912087239 /nfs/dbraw/zinc/08/72/39/912087239.db2.gz BBRCQWIFEKUOFW-OLZOCXBDSA-N 0 1 264.373 0.479 20 30 CCEDMN COCC#CC(=O)NC1CCN(Cc2ccccn2)CC1 ZINC001328123255 914981186 /nfs/dbraw/zinc/98/11/86/914981186.db2.gz SJFCRDNAPIAYQD-UHFFFAOYSA-N 0 1 287.363 0.812 20 30 CCEDMN COCc1nc(CNC(=O)C2(C#N)CCCC2)n[nH]1 ZINC001328794641 915435708 /nfs/dbraw/zinc/43/57/08/915435708.db2.gz UJYHEQPFVGJQCZ-UHFFFAOYSA-N 0 1 263.301 0.651 20 30 CCEDMN COCc1nnc(CNC(=O)C2(C#N)CCCC2)[nH]1 ZINC001328794641 915435721 /nfs/dbraw/zinc/43/57/21/915435721.db2.gz UJYHEQPFVGJQCZ-UHFFFAOYSA-N 0 1 263.301 0.651 20 30 CCEDMN C=CCOCC(=O)NC1CC(CNCc2ccon2)C1 ZINC001328836518 915460454 /nfs/dbraw/zinc/46/04/54/915460454.db2.gz WRWLKVNTSLQRKH-UHFFFAOYSA-N 0 1 279.340 0.862 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)NC1CC(CNCC#N)C1 ZINC001328861607 915485627 /nfs/dbraw/zinc/48/56/27/915485627.db2.gz TXOXVXLOXIMCDH-WXRRBKDZSA-N 0 1 284.379 0.674 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C)C[C@@H](C)C#N ZINC001339640358 921783137 /nfs/dbraw/zinc/78/31/37/921783137.db2.gz XDSXEEYDUDINCM-VIFPVBQESA-N 0 1 272.316 0.845 20 30 CCEDMN C[C@H](CN(C)C(=O)CCCCC#N)c1nn[nH]n1 ZINC001329053649 915626608 /nfs/dbraw/zinc/62/66/08/915626608.db2.gz FLBLQUXWZBJQIP-SECBINFHSA-N 0 1 250.306 0.846 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)nc1 ZINC001329455949 915929846 /nfs/dbraw/zinc/92/98/46/915929846.db2.gz WKIXFJYURJQVBW-LLVKDONJSA-N 0 1 267.292 0.811 20 30 CCEDMN C#CC[N@H+](C)[C@H](C)CNC(=O)c1cnn2c1CCC2 ZINC001329461534 915936331 /nfs/dbraw/zinc/93/63/31/915936331.db2.gz VVSCNFCIAUZGJQ-LLVKDONJSA-N 0 1 260.341 0.513 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnn2c1CCC2 ZINC001329461534 915936348 /nfs/dbraw/zinc/93/63/48/915936348.db2.gz VVSCNFCIAUZGJQ-LLVKDONJSA-N 0 1 260.341 0.513 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@]2(F)CCOC2)CC1 ZINC001329505249 915967753 /nfs/dbraw/zinc/96/77/53/915967753.db2.gz YZYDVPZCAVZLBP-GFCCVEGCSA-N 0 1 255.293 0.219 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H](C)c1cnn(C)c1 ZINC001329626651 916074134 /nfs/dbraw/zinc/07/41/34/916074134.db2.gz AIBVQVRBBKKUFQ-VXGBXAGGSA-N 0 1 262.357 0.593 20 30 CCEDMN C=C(Br)CNC[C@H](O)CNC(=O)[C@H]1C[C@@H]1C ZINC001401559194 916321959 /nfs/dbraw/zinc/32/19/59/916321959.db2.gz PDNDGBDDBFZVPF-HGNGGELXSA-N 0 1 291.189 0.618 20 30 CCEDMN CCN1CCO[C@]2(CCN(C(=O)CSCC#N)C2)C1 ZINC001330147808 916437410 /nfs/dbraw/zinc/43/74/10/916437410.db2.gz MNHDBTQNYSWOHO-CYBMUJFWSA-N 0 1 283.397 0.566 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@]2(CC)CCNC2=O)C(C)(C)C1 ZINC001330209571 916478402 /nfs/dbraw/zinc/47/84/02/916478402.db2.gz KAJLMQUYGJDIRM-BLLLJJGKSA-N 0 1 293.411 0.915 20 30 CCEDMN CC(C)CNC(=O)[C@@H](C)NC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001401937668 916715027 /nfs/dbraw/zinc/71/50/27/916715027.db2.gz HVIFLNJIKCAODS-NWDGAFQWSA-N 0 1 294.399 0.545 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)Cc2nnc(C)[nH]2)CC1 ZINC001401943321 916722509 /nfs/dbraw/zinc/72/25/09/916722509.db2.gz FWTDXFWQYQHXFR-UHFFFAOYSA-N 0 1 283.763 0.647 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)NCc1n[nH]c(COC)n1 ZINC001330724102 916817393 /nfs/dbraw/zinc/81/73/93/916817393.db2.gz GRGYYSNXUYDVDC-SECBINFHSA-N 0 1 283.332 0.077 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)NCc1nnc(COC)[nH]1 ZINC001330724102 916817405 /nfs/dbraw/zinc/81/74/05/916817405.db2.gz GRGYYSNXUYDVDC-SECBINFHSA-N 0 1 283.332 0.077 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@]1(O)CCN(C/C=C\Cl)C1 ZINC001402272139 916919623 /nfs/dbraw/zinc/91/96/23/916919623.db2.gz WXTTVXAFRINPAC-INLBZTQWSA-N 0 1 271.748 0.452 20 30 CCEDMN C[C@H](C#N)C(=O)N(CCO)CCN[C@@H](C)c1cnccn1 ZINC001402566092 917100808 /nfs/dbraw/zinc/10/08/08/917100808.db2.gz YVSQMGLPWLTGDD-NEPJUHHUSA-N 0 1 291.355 0.108 20 30 CCEDMN C[C@@H](C(N)=O)N(C)CCCN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001402623849 917136387 /nfs/dbraw/zinc/13/63/87/917136387.db2.gz UKIBPLYMQOLTQV-JTQLQIEISA-N 0 1 291.355 0.154 20 30 CCEDMN C#CCN1CCC(C(=O)N2Cc3cnn(C)c3C2)CC1 ZINC001331225342 917197273 /nfs/dbraw/zinc/19/72/73/917197273.db2.gz RQHPUBJDNPVNQJ-UHFFFAOYSA-N 0 1 272.352 0.608 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCc1ccc(Cl)cc1 ZINC001331759564 917579921 /nfs/dbraw/zinc/57/99/21/917579921.db2.gz TZHFVYHSPOYPHS-CQSZACIVSA-N 0 1 294.782 0.973 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(C2CC2)on1 ZINC001331758327 917580240 /nfs/dbraw/zinc/58/02/40/917580240.db2.gz OATLJDUXAGSPHA-LLVKDONJSA-N 0 1 277.324 0.256 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CC(F)(F)C(F)F ZINC001331788384 917610634 /nfs/dbraw/zinc/61/06/34/917610634.db2.gz VAFPQQCYXRVBCN-QMMMGPOBSA-N 0 1 284.253 0.367 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1(c2ccccc2F)CC1 ZINC001331803882 917622891 /nfs/dbraw/zinc/62/28/91/917622891.db2.gz GMZSGBVFIHBHKA-LBPRGKRZSA-N 0 1 290.338 0.557 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(C)n(C(C)C)n1 ZINC001331839385 917654357 /nfs/dbraw/zinc/65/43/57/917654357.db2.gz CTSKALFUQDPABS-CYBMUJFWSA-N 0 1 292.383 0.476 20 30 CCEDMN Cc1nc(CN2C[C@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)n[nH]1 ZINC001403368311 917680438 /nfs/dbraw/zinc/68/04/38/917680438.db2.gz WQWBRCVZMCXAST-KOLCDFICSA-N 0 1 290.371 0.599 20 30 CCEDMN C=CCn1cnnc1S(=O)(=O)Cc1[nH]ncc1C ZINC001332033994 917813079 /nfs/dbraw/zinc/81/30/79/917813079.db2.gz COKSKALCMPEMSD-UHFFFAOYSA-N 0 1 267.314 0.470 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)COC(C)C ZINC001403830171 918043470 /nfs/dbraw/zinc/04/34/70/918043470.db2.gz LPMNKMVAFSFNOP-LLVKDONJSA-N 0 1 278.780 0.573 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CCC(C)C ZINC001332616269 918314977 /nfs/dbraw/zinc/31/49/77/918314977.db2.gz KMDVMOHWCCYNSD-CYBMUJFWSA-N 0 1 254.374 0.807 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)CCCF ZINC001332647823 918347636 /nfs/dbraw/zinc/34/76/36/918347636.db2.gz MESOQCGTLQWQFA-ZDUSSCGKSA-N 0 1 288.363 0.137 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NCc1ccc(C(=O)[O-])nc1 ZINC001332645834 918347922 /nfs/dbraw/zinc/34/79/22/918347922.db2.gz GVFGBTBSSZAFMB-ZDUSSCGKSA-N 0 1 287.319 0.494 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCc1ccc(C(=O)[O-])nc1 ZINC001332645834 918347932 /nfs/dbraw/zinc/34/79/32/918347932.db2.gz GVFGBTBSSZAFMB-ZDUSSCGKSA-N 0 1 287.319 0.494 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1c(F)cccc1F ZINC001332678582 918376871 /nfs/dbraw/zinc/37/68/71/918376871.db2.gz PFYOZXYARZTHIV-LLVKDONJSA-N 0 1 296.317 0.963 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)Cn2cnnn2)C1 ZINC001404568867 918397595 /nfs/dbraw/zinc/39/75/95/918397595.db2.gz KMCLHZNYRCAWRS-NSHDSACASA-N 0 1 298.778 0.206 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C(=O)NCc2cc(CC)[nH]n2)C1 ZINC001332995129 918587468 /nfs/dbraw/zinc/58/74/68/918587468.db2.gz BQLHHNKSMRGCGY-LLVKDONJSA-N 0 1 288.351 0.460 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H](C)NC(=O)CC)CC1 ZINC001333342701 918819854 /nfs/dbraw/zinc/81/98/54/918819854.db2.gz LYDAQPBTSTYOCV-LBPRGKRZSA-N 0 1 277.368 0.283 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)CCSCC(=O)[O-] ZINC001333371115 918847424 /nfs/dbraw/zinc/84/74/24/918847424.db2.gz XWAIOGIOIFKEHM-UHFFFAOYSA-N 0 1 272.370 0.266 20 30 CCEDMN C=C(C)CS(=O)(=O)NCc1nc(CSC)n[nH]1 ZINC001333455812 918921683 /nfs/dbraw/zinc/92/16/83/918921683.db2.gz OIEZQPMRAUKURL-UHFFFAOYSA-N 0 1 276.387 0.663 20 30 CCEDMN C=C(C)CS(=O)(=O)NCc1nnc(CSC)[nH]1 ZINC001333455812 918921694 /nfs/dbraw/zinc/92/16/94/918921694.db2.gz OIEZQPMRAUKURL-UHFFFAOYSA-N 0 1 276.387 0.663 20 30 CCEDMN CC(C)C(=O)NC[C@@H](O)CNCc1cc(C#N)ccc1F ZINC001406200369 919110448 /nfs/dbraw/zinc/11/04/48/919110448.db2.gz YPQOHFQPQKCAIT-ZDUSSCGKSA-N 0 1 293.342 0.920 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H]1CN(C)C(=O)Cc1ccn[nH]1 ZINC001406457846 919282214 /nfs/dbraw/zinc/28/22/14/919282214.db2.gz BZHIGCHZHVOJBU-ZYHUDNBSSA-N 0 1 289.339 0.171 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@]1(C)CCC(=O)N1 ZINC001406514150 919314469 /nfs/dbraw/zinc/31/44/69/919314469.db2.gz MEGWMEKFPJSIHH-CABZTGNLSA-N 0 1 273.764 0.502 20 30 CCEDMN C=CCOC[C@H]([NH2+]Cc1cncn1CC)C(=O)[O-] ZINC001334025858 919326152 /nfs/dbraw/zinc/32/61/52/919326152.db2.gz POYMDCNQCQYLSO-NSHDSACASA-N 0 1 253.302 0.648 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cn(C)nn1)C1CC1 ZINC001406759697 919460532 /nfs/dbraw/zinc/46/05/32/919460532.db2.gz LXAMGSJIHSQPJK-SNVBAGLBSA-N 0 1 283.763 0.666 20 30 CCEDMN C=C(C)C[C@@H](CO)NCc1cc(C(=O)OC)no1 ZINC001334402102 919553087 /nfs/dbraw/zinc/55/30/87/919553087.db2.gz LRSVSUHPPMZZLL-VIFPVBQESA-N 0 1 254.286 0.878 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CCCC(N)=O)C[C@@H]2C1 ZINC001407570295 919811300 /nfs/dbraw/zinc/81/13/00/919811300.db2.gz LTJMSSUFKYYHDV-TXEJJXNPSA-N 0 1 299.802 0.785 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](CNC(=O)Cc1cnc[nH]1)C1CC1 ZINC001407709568 919857245 /nfs/dbraw/zinc/85/72/45/919857245.db2.gz AGMKSCHVPVZKHW-SKDRFNHKSA-N 0 1 289.339 0.123 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2cc[nH]n2)CCO1 ZINC001407830142 919914190 /nfs/dbraw/zinc/91/41/90/919914190.db2.gz JCFOJOWIGCMZLT-SNVBAGLBSA-N 0 1 284.747 0.593 20 30 CCEDMN C#CCN1CCC(Nc2ccncc2[N+](=O)[O-])CC1 ZINC001335089446 919949227 /nfs/dbraw/zinc/94/92/27/919949227.db2.gz OBQFEGNETASMPW-UHFFFAOYSA-N 0 1 260.297 0.921 20 30 CCEDMN COCCOC[C@H](O)CNCC1(C#N)CCCC1 ZINC001335447704 920200847 /nfs/dbraw/zinc/20/08/47/920200847.db2.gz IQGBZOISKCHJOJ-GFCCVEGCSA-N 0 1 256.346 0.684 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H](O)c2ccccn2)CC1 ZINC001335790460 920435914 /nfs/dbraw/zinc/43/59/14/920435914.db2.gz RINNTHUQUJKQLP-GFCCVEGCSA-N 0 1 274.324 0.219 20 30 CCEDMN C=C(C)CCC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC001336549786 920829636 /nfs/dbraw/zinc/82/96/36/920829636.db2.gz HHVJADQFKLJYSU-UHFFFAOYSA-N 0 1 263.345 0.805 20 30 CCEDMN C#Cc1ccc(N2CCN(C[C@@H](C)O)C[C@H]2C)nc1 ZINC001336607214 920870306 /nfs/dbraw/zinc/87/03/06/920870306.db2.gz IIRBEBRMRHFMRM-CHWSQXEVSA-N 0 1 259.353 0.954 20 30 CCEDMN C[C@H](Nc1cc(C#N)ccn1)[C@@H]1CN(C)CCN1C ZINC001336712331 920946112 /nfs/dbraw/zinc/94/61/12/920946112.db2.gz YYMXFGYHPUYVEJ-AAEUAGOBSA-N 0 1 259.357 0.999 20 30 CCEDMN C#CCNCC(=O)Nc1ccc(N2CCOCC2)cc1C ZINC001340045444 921931062 /nfs/dbraw/zinc/93/10/62/921931062.db2.gz RMGRNMGUZXVZPR-UHFFFAOYSA-N 0 1 287.363 0.993 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)C#N)NCc1n[nH]c(C2CC2)n1 ZINC001418218292 921934106 /nfs/dbraw/zinc/93/41/06/921934106.db2.gz ZOUBUWIZKZGZPB-DTWKUNHWSA-N 0 1 276.344 0.436 20 30 CCEDMN C#CCNCC(=O)N1C[C@@H](C)O[C@@H](CC(F)(F)F)C1 ZINC001340660650 922158484 /nfs/dbraw/zinc/15/84/84/922158484.db2.gz NAJSWTJICGLONQ-ZJUUUORDSA-N 0 1 278.274 0.778 20 30 CCEDMN CSCC[C@H](NC(=O)c1c[nH]c(C#N)c1)c1nn[nH]n1 ZINC001418527602 922222753 /nfs/dbraw/zinc/22/27/53/922222753.db2.gz NSMGUAKLRFRNEV-VIFPVBQESA-N 0 1 291.340 0.624 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CCCNC[C@H]1C(=O)OC ZINC001340928652 922280272 /nfs/dbraw/zinc/28/02/72/922280272.db2.gz IJNWMVKIYUSBGN-NEPJUHHUSA-N 0 1 268.357 0.952 20 30 CCEDMN CN[C@@H](C(=O)N1CCC[C@@H](C#N)C1)c1cnn(C)c1 ZINC001340989442 922308172 /nfs/dbraw/zinc/30/81/72/922308172.db2.gz BNMWHQNIASOPDN-CMPLNLGQSA-N 0 1 261.329 0.443 20 30 CCEDMN C=CCCCN(CC)C(=O)[C@]1(COC)CNCCO1 ZINC001341486663 922508466 /nfs/dbraw/zinc/50/84/66/922508466.db2.gz XRICGHBUJGOZHT-CQSZACIVSA-N 0 1 270.373 0.806 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@@H](c2noc(C3CC3)n2)C1 ZINC001341564510 922553984 /nfs/dbraw/zinc/55/39/84/922553984.db2.gz OVPNGQQQNJNNQP-LLVKDONJSA-N 0 1 290.323 0.070 20 30 CCEDMN C#CCNCC(=O)NC(CC)(CC)CC(=O)OC ZINC001341615668 922583571 /nfs/dbraw/zinc/58/35/71/922583571.db2.gz NLBSVOKXOUPYMX-UHFFFAOYSA-N 0 1 254.330 0.447 20 30 CCEDMN CC(C)CN(Cc1nn[nH]n1)C(=O)C1(C#N)CCOCC1 ZINC001419138735 922658802 /nfs/dbraw/zinc/65/88/02/922658802.db2.gz QXTYSARXDPGISL-UHFFFAOYSA-N 0 1 292.343 0.505 20 30 CCEDMN CCO[C@@H](C(=O)C(C#N)C(=O)NC)C1CCOCC1 ZINC001341848641 922695699 /nfs/dbraw/zinc/69/56/99/922695699.db2.gz DBVKILBOMDCYCQ-ZYHUDNBSSA-N 0 1 268.313 0.273 20 30 CCEDMN COCC#CC(=O)Nc1cc(C(=O)OC(C)C)[nH]n1 ZINC001342606669 923022370 /nfs/dbraw/zinc/02/23/70/923022370.db2.gz FNPXFZCEXIFRNV-UHFFFAOYSA-N 0 1 265.269 0.563 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccn2nnnc2c1 ZINC001419841622 923130987 /nfs/dbraw/zinc/13/09/87/923130987.db2.gz QCJNGBCFJQFUCY-SECBINFHSA-N 0 1 294.746 0.585 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C)N1CCc2ccccc21 ZINC001343059897 923188709 /nfs/dbraw/zinc/18/87/09/923188709.db2.gz UFVYQBAEMNFTIM-CYBMUJFWSA-N 0 1 271.364 0.777 20 30 CCEDMN C#CCNCC(=O)NCc1ccccc1Cn1cncn1 ZINC001343375780 923290194 /nfs/dbraw/zinc/29/01/94/923290194.db2.gz KLWHCYBQVXMUIN-UHFFFAOYSA-N 0 1 283.335 0.165 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@@H]1CC[C@H](C2CC2)O1 ZINC001343468140 923330588 /nfs/dbraw/zinc/33/05/88/923330588.db2.gz RACWQRANJBFKAX-MROQNXINSA-N 0 1 263.341 0.562 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC(C)C)[C@H]1CCOC1 ZINC001343489675 923349915 /nfs/dbraw/zinc/34/99/15/923349915.db2.gz GCCCAHXRJWOHRT-UMNHJUIQSA-N 0 1 268.313 0.271 20 30 CCEDMN N#CC(C(=O)CCN1C(=O)CCC1=O)c1cccc(F)n1 ZINC001344004540 923530084 /nfs/dbraw/zinc/53/00/84/923530084.db2.gz LLKLJZXWLUYGJG-SECBINFHSA-N 0 1 289.266 0.936 20 30 CCEDMN C=CCCC[C@H](NC(=O)C[NH+]1CCC(CO)CC1)C(=O)[O-] ZINC001344539747 923632365 /nfs/dbraw/zinc/63/23/65/923632365.db2.gz AKAUZUYICDUNTE-ZDUSSCGKSA-N 0 1 298.383 0.616 20 30 CCEDMN C=CCN(c1nnc(-c2c[nH]nn2)n1CCOC)C1CC1 ZINC001345753065 923964995 /nfs/dbraw/zinc/96/49/95/923964995.db2.gz LRZWBXZWQSWGSQ-UHFFFAOYSA-N 0 1 289.343 0.864 20 30 CCEDMN C=CCN(C(=O)[C@@H]1CN2CCN1C[C@@H]2C)C(C)C ZINC001346409575 924193742 /nfs/dbraw/zinc/19/37/42/924193742.db2.gz FOAZQJISHTXUNW-STQMWFEESA-N 0 1 251.374 0.798 20 30 CCEDMN N#Cc1nccnc1NCc1n[nH]c(C2CCOCC2)n1 ZINC001421216886 924220472 /nfs/dbraw/zinc/22/04/72/924220472.db2.gz LMVKFLNROMDSIL-UHFFFAOYSA-N 0 1 285.311 0.972 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)N1CCC(C#N)CC1 ZINC001347528337 924471287 /nfs/dbraw/zinc/47/12/87/924471287.db2.gz MOPXVILOIFOQQW-AAEUAGOBSA-N 0 1 262.357 0.137 20 30 CCEDMN CCC[C@H](C#N)NC(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001347553724 924478588 /nfs/dbraw/zinc/47/85/88/924478588.db2.gz IBBJQAQQMHAVEU-IJLUTSLNSA-N 0 1 250.346 0.183 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001348665226 924766400 /nfs/dbraw/zinc/76/64/00/924766400.db2.gz WOBFLXUEFBIHJZ-QWHCGFSZSA-N 0 1 266.389 0.285 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cc[n+]([O-])cc2)CC1 ZINC001349770085 925050067 /nfs/dbraw/zinc/05/00/67/925050067.db2.gz CAIHINFUVCJSNP-UHFFFAOYSA-N 0 1 260.293 0.574 20 30 CCEDMN C=C(C)CCC(=O)NCCCNC(=O)Cc1nnc[nH]1 ZINC001350137029 925134826 /nfs/dbraw/zinc/13/48/26/925134826.db2.gz CQNDYIHQXNQZRH-UHFFFAOYSA-N 0 1 279.344 0.326 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(C[C@@H]2CN3CCC[C@H]3CO2)C1=O ZINC001350937834 925314972 /nfs/dbraw/zinc/31/49/72/925314972.db2.gz QYPRRVKJFYJUQJ-SRVKXCTJSA-N 0 1 279.340 0.346 20 30 CCEDMN CC(C)N(CCC#N)C(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001351113217 925361993 /nfs/dbraw/zinc/36/19/93/925361993.db2.gz PFGONIXEZNAGDA-STQMWFEESA-N 0 1 264.373 0.525 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)NCC(C)(C)C#N ZINC001351745350 925463915 /nfs/dbraw/zinc/46/39/15/925463915.db2.gz NPPCQZHRBPFMLC-GHMZBOCLSA-N 0 1 250.346 0.041 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)NCC(C)(C)C#N ZINC001351745353 925465027 /nfs/dbraw/zinc/46/50/27/925465027.db2.gz NPPCQZHRBPFMLC-WDEREUQCSA-N 0 1 250.346 0.041 20 30 CCEDMN C=CCN(CCOC)C(=O)N[C@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC001351787986 925472098 /nfs/dbraw/zinc/47/20/98/925472098.db2.gz RWQGLWLBIMPWCN-KGLIPLIRSA-N 0 1 298.431 0.465 20 30 CCEDMN C=C[C@H](C)NC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001351787673 925472496 /nfs/dbraw/zinc/47/24/96/925472496.db2.gz OCYUJQIQMSOBBI-SRVKXCTJSA-N 0 1 254.378 0.494 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN(C)C(=O)Cc1ccn[nH]1 ZINC001351874421 925489435 /nfs/dbraw/zinc/48/94/35/925489435.db2.gz QYZLWUZOASEPJQ-SNVBAGLBSA-N 0 1 277.328 0.077 20 30 CCEDMN C=CCN(c1nnc(C2=NO[C@H](CO)C2)n1CC)C1CC1 ZINC001352588543 925603240 /nfs/dbraw/zinc/60/32/40/925603240.db2.gz XARCVHKIXQOLCH-NSHDSACASA-N 0 1 291.355 0.938 20 30 CCEDMN C#CCC1(O)CCN(C[C@H]2CN(C)C(=O)O2)CC1 ZINC001352951330 925682180 /nfs/dbraw/zinc/68/21/80/925682180.db2.gz VZMQGOGDZOXODG-LLVKDONJSA-N 0 1 252.314 0.287 20 30 CCEDMN C#CCNCC(=O)N1C[C@H](C(=O)NCCCC)CC[C@H]1C ZINC001353211862 925764943 /nfs/dbraw/zinc/76/49/43/925764943.db2.gz LFLXBWFQBSZMEC-ZIAGYGMSSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001353578263 925876057 /nfs/dbraw/zinc/87/60/57/925876057.db2.gz KNVBMBVECGBCMK-AVGNSLFASA-N 0 1 295.383 0.047 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H]1c1c(C)n[nH]c1C ZINC001353820101 925939465 /nfs/dbraw/zinc/93/94/65/925939465.db2.gz BISCUSIIJBUELG-LBPRGKRZSA-N 0 1 260.341 0.913 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN(c3nccnc3CN)C2)nc1 ZINC001413886842 925995178 /nfs/dbraw/zinc/99/51/78/925995178.db2.gz CIQBXQSKADLYSF-GFCCVEGCSA-N 0 1 296.334 0.860 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001354084002 926010154 /nfs/dbraw/zinc/01/01/54/926010154.db2.gz STILFSUJCVOHBC-NWDGAFQWSA-N 0 1 284.356 0.084 20 30 CCEDMN C=CC[C@H]1NC(=O)N(CC(C)(C)N2CCN(C)CC2)C1=O ZINC001354228883 926050689 /nfs/dbraw/zinc/05/06/89/926050689.db2.gz NGFLLESBBSWAAB-GFCCVEGCSA-N 0 1 294.399 0.509 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)C1(C(=O)OCC)CCOCC1 ZINC001355414236 926254757 /nfs/dbraw/zinc/25/47/57/926254757.db2.gz FSSGATTYJVVVIV-LBPRGKRZSA-N 0 1 296.367 0.074 20 30 CCEDMN C=CCOCCCC(=O)N1CCNC[C@@H]1CCOC ZINC001355558487 926283753 /nfs/dbraw/zinc/28/37/53/926283753.db2.gz IWLLYXJWEAYKGI-ZDUSSCGKSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)CCNC(=O)C1=NC(=O)N(C)C1 ZINC001355875800 926322118 /nfs/dbraw/zinc/32/21/18/926322118.db2.gz DDSUPZGOTUJXIZ-UHFFFAOYSA-N 0 1 294.355 0.526 20 30 CCEDMN CCO[C@@H]1[C@H](C)[C@H]1C(=O)C(C#N)C(=O)NC(C)C ZINC001356276007 926364009 /nfs/dbraw/zinc/36/40/09/926364009.db2.gz PLJACVHXUBZBEG-FYLLDIAZSA-N 0 1 252.314 0.891 20 30 CCEDMN Cc1cc(C(=O)NC2CCN(C[C@H](O)CC#N)CC2)no1 ZINC001473231191 926492199 /nfs/dbraw/zinc/49/21/99/926492199.db2.gz CBJOSGOEDYTOMD-GFCCVEGCSA-N 0 1 292.339 0.452 20 30 CCEDMN C=CCOCCCNC(=O)N1CCNC[C@@H]1COC ZINC001357519197 926554568 /nfs/dbraw/zinc/55/45/68/926554568.db2.gz RCSJAAUPNNTUMS-GFCCVEGCSA-N 0 1 271.361 0.209 20 30 CCEDMN Cc1cn[nH]c1CN1C[C@H]2CS(=O)(=O)C[C@@]2(C#N)C1 ZINC001414058936 926908181 /nfs/dbraw/zinc/90/81/81/926908181.db2.gz OMGZZTFNECBEBJ-CMPLNLGQSA-N 0 1 280.353 0.088 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)[C@H]2CCCO2)CCO1 ZINC001421789451 926912201 /nfs/dbraw/zinc/91/22/01/926912201.db2.gz UYZQCFWYBYASDZ-NWDGAFQWSA-N 0 1 288.775 0.735 20 30 CCEDMN Cc1cc(CNC[C@@H](C)N(C)C(=O)[C@@H](C)C#N)ncn1 ZINC001421872195 926961279 /nfs/dbraw/zinc/96/12/79/926961279.db2.gz LQAQPOOAVRTCAV-CMPLNLGQSA-N 0 1 275.356 0.881 20 30 CCEDMN N#Cc1sccc1C(=O)N1CCO[C@H](c2nn[n-]n2)C1 ZINC001361786384 927328935 /nfs/dbraw/zinc/32/89/35/927328935.db2.gz XGMRVZOWTFTSJI-QMMMGPOBSA-N 0 1 290.308 0.347 20 30 CCEDMN N#Cc1sccc1C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001361786384 927328949 /nfs/dbraw/zinc/32/89/49/927328949.db2.gz XGMRVZOWTFTSJI-QMMMGPOBSA-N 0 1 290.308 0.347 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H]1CN(C(=O)c2nc[nH]n2)CCO1 ZINC001422861032 927558392 /nfs/dbraw/zinc/55/83/92/927558392.db2.gz RRWLWWCDHNCRJK-UWVGGRQHSA-N 0 1 299.762 0.376 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1ncoc1C ZINC001423430115 927856368 /nfs/dbraw/zinc/85/63/68/927856368.db2.gz SFUCBTMEJOTVFG-SNVBAGLBSA-N 0 1 287.747 0.758 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001424328622 928161365 /nfs/dbraw/zinc/16/13/65/928161365.db2.gz GZSFKPMBITWPOW-UWVGGRQHSA-N 0 1 277.328 0.075 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCn1cncn1 ZINC001424744141 928272132 /nfs/dbraw/zinc/27/21/32/928272132.db2.gz AGSIAHATYPQUDI-QWRGUYRKSA-N 0 1 285.779 0.904 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CN1CCCC1=O ZINC001424755086 928274994 /nfs/dbraw/zinc/27/49/94/928274994.db2.gz YFDLESRTKKUGGW-GHMZBOCLSA-N 0 1 287.791 0.844 20 30 CCEDMN N#CC1(CNS(=O)(=O)c2ccccc2O)CCOCC1 ZINC001424872896 928327419 /nfs/dbraw/zinc/32/74/19/928327419.db2.gz IMDBEPQFOKKLPA-UHFFFAOYSA-N 0 1 296.348 0.991 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)CN3CCOC3=O)[nH]c2c1 ZINC001362740055 928431534 /nfs/dbraw/zinc/43/15/34/928431534.db2.gz HAHKFAJDFWTQAN-UHFFFAOYSA-N 0 1 285.263 0.825 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)C3(O)CCOCC3)[nH]c2c1 ZINC001362744833 928436421 /nfs/dbraw/zinc/43/64/21/928436421.db2.gz TYNXEWYOICYWAI-UHFFFAOYSA-N 0 1 286.291 0.915 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1nccnc1N ZINC001425553130 928590941 /nfs/dbraw/zinc/59/09/41/928590941.db2.gz ZALHBZLCPQEMSO-SECBINFHSA-N 0 1 283.763 0.861 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCc1cncc(C#N)c1 ZINC001362944459 928681167 /nfs/dbraw/zinc/68/11/67/928681167.db2.gz HXYPOKBPVKMEEP-GXTWGEPZSA-N 0 1 274.324 0.025 20 30 CCEDMN C[C@H]1CC[N@H+](Cc2cc(=O)n3[n-]cc(C#N)c3n2)CCO1 ZINC001363333216 929126036 /nfs/dbraw/zinc/12/60/36/929126036.db2.gz ZELRANQYHWGUCI-JTQLQIEISA-N 0 1 287.323 0.917 20 30 CCEDMN C[C@H]1CC[N@@H+](Cc2cc(=O)n3[n-]cc(C#N)c3n2)CCO1 ZINC001363333216 929126038 /nfs/dbraw/zinc/12/60/38/929126038.db2.gz ZELRANQYHWGUCI-JTQLQIEISA-N 0 1 287.323 0.917 20 30 CCEDMN Cc1ccc(CNC(=O)CCc2nn[nH]n2)cc1C#N ZINC001363386950 929172043 /nfs/dbraw/zinc/17/20/43/929172043.db2.gz LZKVHBHGLJDDNW-UHFFFAOYSA-N 0 1 270.296 0.629 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC001363409276 929196739 /nfs/dbraw/zinc/19/67/39/929196739.db2.gz DGQHACKDYYBPDO-GFCCVEGCSA-N 0 1 298.306 0.594 20 30 CCEDMN N#CC1(C(=O)NC[C@H](O)c2cnc[nH]2)CCSCC1 ZINC001363440885 929236374 /nfs/dbraw/zinc/23/63/74/929236374.db2.gz YJWNERMFTYWWML-JTQLQIEISA-N 0 1 280.353 0.596 20 30 CCEDMN N#Cc1cccc(OCC(=O)NC2(c3nn[nH]n3)CCC2)c1 ZINC001363819535 929611995 /nfs/dbraw/zinc/61/19/95/929611995.db2.gz IVJIPEGOPSTWOK-UHFFFAOYSA-N 0 1 298.306 0.646 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)Cc1n[nH]c(C2CC2)n1 ZINC001371932025 929673567 /nfs/dbraw/zinc/67/35/67/929673567.db2.gz AQGFZVQAAWFNGF-SECBINFHSA-N 0 1 276.344 0.390 20 30 CCEDMN N#Cc1ccccc1CNC[C@H](O)CNC(=O)C(F)F ZINC001374564298 930559752 /nfs/dbraw/zinc/55/97/52/930559752.db2.gz FDRHKXIVLZFKMO-NSHDSACASA-N 0 1 283.278 0.390 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)COc1ccccc1 ZINC001374669144 930613471 /nfs/dbraw/zinc/61/34/71/930613471.db2.gz AWEPNWZUKQUMHX-GFCCVEGCSA-N 0 1 298.770 0.885 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccnc1C(N)=O ZINC001374854651 930691145 /nfs/dbraw/zinc/69/11/45/930691145.db2.gz SBIDIPZTFVWUPK-SECBINFHSA-N 0 1 296.758 0.641 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NCC(=O)N[C@@H](C)C2CC2)CC1 ZINC001375404230 930879930 /nfs/dbraw/zinc/87/99/30/930879930.db2.gz PSVCOLFDMFHZLE-QWRGUYRKSA-N 0 1 292.383 0.299 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CN(CCn2cncn2)CC1(C)C ZINC001448409209 930883291 /nfs/dbraw/zinc/88/32/91/930883291.db2.gz SRWABCCCBSVLKK-RYUDHWBXSA-N 0 1 290.371 0.264 20 30 CCEDMN Cc1nnc([C@H](C)NC[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001448500132 930904498 /nfs/dbraw/zinc/90/44/98/930904498.db2.gz PXKADNQWMLCNQI-RBLKWDMZSA-N 0 1 290.371 0.818 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCc1ccnc(C#N)c1 ZINC001448881922 931017284 /nfs/dbraw/zinc/01/72/84/931017284.db2.gz VTLGFVZDDICJEP-LBPRGKRZSA-N 0 1 288.351 0.538 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)[C@]2(C#N)CCCOC2)n[nH]1 ZINC001449100346 931069162 /nfs/dbraw/zinc/06/91/62/931069162.db2.gz DHRPUCLVFBZAAQ-PELKAZGASA-N 0 1 263.301 0.611 20 30 CCEDMN CCCC[C@@H](CNC(=O)[C@@H](C)C#N)NC(=O)c1cnn[nH]1 ZINC001450250038 931249989 /nfs/dbraw/zinc/24/99/89/931249989.db2.gz LPSCVHKOAAXQQM-UWVGGRQHSA-N 0 1 292.343 0.369 20 30 CCEDMN Cc1csc(=O)n1CCN(C)CCNC(=O)[C@H](C)C#N ZINC001377134656 931397109 /nfs/dbraw/zinc/39/71/09/931397109.db2.gz XKPHULWBAOCQMW-SNVBAGLBSA-N 0 1 296.396 0.426 20 30 CCEDMN C#CC[N@H+](Cc1ccccc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001602700978 971325365 /nfs/dbraw/zinc/32/53/65/971325365.db2.gz QKMULUOAIOQYRV-CQSZACIVSA-N 0 1 286.331 0.807 20 30 CCEDMN C#CC[N@@H+](Cc1ccccc1)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001602700978 971325372 /nfs/dbraw/zinc/32/53/72/971325372.db2.gz QKMULUOAIOQYRV-CQSZACIVSA-N 0 1 286.331 0.807 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NCC1(C(=O)[O-])CCCC1 ZINC000328128231 971448966 /nfs/dbraw/zinc/44/89/66/971448966.db2.gz VETUTGHBTDKSCP-UHFFFAOYSA-N 0 1 295.383 0.886 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@@H](C(=O)[O-])CC[C@H]1C ZINC001589730009 950487474 /nfs/dbraw/zinc/48/74/74/950487474.db2.gz HBZJZCITJIXGJH-NEPJUHHUSA-N 0 1 281.356 0.934 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000312793761 950769731 /nfs/dbraw/zinc/76/97/31/950769731.db2.gz GEUFRCBWYHVBSY-ZJUUUORDSA-N 0 1 283.328 0.041 20 30 CCEDMN C#CCN(CC[NH+]1C[C@@H](C)O[C@H](C)C1)CC(=O)[O-] ZINC000313950262 950805313 /nfs/dbraw/zinc/80/53/13/950805313.db2.gz DUVZWVPWGAVFEF-VXGBXAGGSA-N 0 1 254.330 0.115 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ccc(C(=O)[O-])[nH]1 ZINC000381451663 971760202 /nfs/dbraw/zinc/76/02/02/971760202.db2.gz YKAUZQKADBSKBD-UHFFFAOYSA-N 0 1 263.297 0.398 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC000399049484 951628486 /nfs/dbraw/zinc/62/84/86/951628486.db2.gz FZVPLJNRNLANJW-OUAUKWLOSA-N 0 1 254.330 0.720 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](N2CCOCC2)C1 ZINC000382769292 971832141 /nfs/dbraw/zinc/83/21/41/971832141.db2.gz UOHLXSGHHJYHKP-NWDGAFQWSA-N 0 1 254.330 0.422 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC001589440723 954707978 /nfs/dbraw/zinc/70/79/78/954707978.db2.gz HZHYTLSIQVZODL-ZDUSSCGKSA-N 0 1 289.335 0.969 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)NCc1cccc(C#N)c1 ZINC001589440723 954707987 /nfs/dbraw/zinc/70/79/87/954707987.db2.gz HZHYTLSIQVZODL-ZDUSSCGKSA-N 0 1 289.335 0.969 20 30 CCEDMN N#Cc1cccc([N+](=O)[O-])c1C[NH2+][C@@]1(C(=O)[O-])CCOC1 ZINC001594628531 956331846 /nfs/dbraw/zinc/33/18/46/956331846.db2.gz ATHMGRVMCPEUEG-ZDUSSCGKSA-N 0 1 291.263 0.800 20 30 CCEDMN C[C@]1(C(=O)[O-])CC[N@@H+](Cc2cnc3c(C#N)cnn3c2)C1 ZINC001589199228 956628531 /nfs/dbraw/zinc/62/85/31/956628531.db2.gz WEYBUEHQMVIZIT-AWEZNQCLSA-N 0 1 285.307 0.898 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@@H](C(=O)[O-])C2CC2)CC1 ZINC001588430166 958253937 /nfs/dbraw/zinc/25/39/37/958253937.db2.gz IVLWJRMVOLQKHH-LBPRGKRZSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@@H](C(=O)[O-])C2CC2)CC1 ZINC001588430166 958253949 /nfs/dbraw/zinc/25/39/49/958253949.db2.gz IVLWJRMVOLQKHH-LBPRGKRZSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)C2(CC(=O)[O-])CCC2)C1 ZINC001588431317 958269147 /nfs/dbraw/zinc/26/91/47/958269147.db2.gz WFWMHVNOOCBCIF-LBPRGKRZSA-N 0 1 278.352 0.845 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)C2(CC(=O)[O-])CCC2)C1 ZINC001588431317 958269164 /nfs/dbraw/zinc/26/91/64/958269164.db2.gz WFWMHVNOOCBCIF-LBPRGKRZSA-N 0 1 278.352 0.845 20 30 CCEDMN C#Cc1ccc(C[N@@H+]2CCO[C@@](COC)(C(=O)[O-])C2)cc1 ZINC001588439264 958314959 /nfs/dbraw/zinc/31/49/59/958314959.db2.gz PUKZOUZPTIZQRO-INIZCTEOSA-N 0 1 289.331 0.970 20 30 CCEDMN C#Cc1ccc(C[N@H+]2CCO[C@@](COC)(C(=O)[O-])C2)cc1 ZINC001588439264 958314973 /nfs/dbraw/zinc/31/49/73/958314973.db2.gz PUKZOUZPTIZQRO-INIZCTEOSA-N 0 1 289.331 0.970 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@@H+](C[C@@H](O)CC1(C#N)CCOCC1)C1CC1 ZINC001588593083 959367602 /nfs/dbraw/zinc/36/76/02/959367602.db2.gz WJELYPOGDRDEBQ-AAEUAGOBSA-N 0 1 296.367 0.995 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)[O-] ZINC000229219833 960068520 /nfs/dbraw/zinc/06/85/20/960068520.db2.gz MNWLXCOBMOZDTD-JBLDHEPKSA-N 0 1 252.314 0.474 20 30 CCEDMN C#CCC[N@@H+](C)CCCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001573302703 962658413 /nfs/dbraw/zinc/65/84/13/962658413.db2.gz ZEGPIELRGURGOW-WDEREUQCSA-N 0 1 252.314 0.169 20 30 CCEDMN C=C(Cl)C[N@H+]1CCC[C@@H](CNC(=O)CNC(=O)[O-])C1 ZINC001573339343 962889819 /nfs/dbraw/zinc/88/98/19/962889819.db2.gz QSGHAZBRYJSNIO-JTQLQIEISA-N 0 1 289.763 0.835 20 30 CCEDMN C=C(Cl)C[N@@H+]1CCC[C@@H](CNC(=O)CNC(=O)[O-])C1 ZINC001573339343 962889824 /nfs/dbraw/zinc/88/98/24/962889824.db2.gz QSGHAZBRYJSNIO-JTQLQIEISA-N 0 1 289.763 0.835 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@H]2CN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])C[C@H]2C1 ZINC001573344253 962914107 /nfs/dbraw/zinc/91/41/07/962914107.db2.gz QDBLNIRFUZUWHZ-WRWGMCAJSA-N 0 1 298.770 0.850 20 30 CCEDMN C#CCC[N@@H+](CCOC)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001588447440 964086953 /nfs/dbraw/zinc/08/69/53/964086953.db2.gz JVAPPINYFQLNBA-UHFFFAOYSA-N 0 1 296.367 0.281 20 30 CCEDMN C#CCC[N@H+](CCOC)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001588447440 964086961 /nfs/dbraw/zinc/08/69/61/964086961.db2.gz JVAPPINYFQLNBA-UHFFFAOYSA-N 0 1 296.367 0.281 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC001603426722 973159539 /nfs/dbraw/zinc/15/95/39/973159539.db2.gz LMFJKMHGMCISJE-WOPDTQHZSA-N 0 1 281.356 0.790 20 30 CCEDMN N#CC1(C[C@H](O)C[N@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)CCC1 ZINC001605575905 973842106 /nfs/dbraw/zinc/84/21/06/973842106.db2.gz GQCPOKXMBHDDSL-HUBLWGQQSA-N 0 1 294.351 0.464 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+](CC)[C@@H](C)C(=O)[O-] ZINC001603736396 974174429 /nfs/dbraw/zinc/17/44/29/974174429.db2.gz CSNUNPZSGYQQLV-JTQLQIEISA-N 0 1 255.318 0.544 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](CC)[C@@H](C)C(=O)[O-] ZINC001603736396 974174436 /nfs/dbraw/zinc/17/44/36/974174436.db2.gz CSNUNPZSGYQQLV-JTQLQIEISA-N 0 1 255.318 0.544 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1CCC[N@H+](C(C)C)C1)C(=O)[O-] ZINC001588406425 983425532 /nfs/dbraw/zinc/42/55/32/983425532.db2.gz PRWCLUVNKJYKGN-VXGBXAGGSA-N 0 1 266.341 0.700 20 30 CCEDMN C#CC[C@H]1CC[N@H+]([C@H]2CCN(CCC(=O)[O-])C2=O)C1 ZINC001588434523 983470408 /nfs/dbraw/zinc/47/04/08/983470408.db2.gz MHXZNMCMVHQLCB-RYUDHWBXSA-N 0 1 264.325 0.407 20 30 CCEDMN C#CCN(C(=O)[C@@H]1C[C@@H]1C(=O)[O-])C1CC[NH+](CCF)CC1 ZINC001588459600 983484930 /nfs/dbraw/zinc/48/49/30/983484930.db2.gz DMVNRCKVQKQDOV-OLZOCXBDSA-N 0 1 296.342 0.603 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)NCCCCC(=O)[O-])C1 ZINC001588476603 983511754 /nfs/dbraw/zinc/51/17/54/983511754.db2.gz OTWDBOQVVPIJMI-GFCCVEGCSA-N 0 1 281.356 0.638 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)NCCCCC(=O)[O-])C1 ZINC001588476603 983511756 /nfs/dbraw/zinc/51/17/56/983511756.db2.gz OTWDBOQVVPIJMI-GFCCVEGCSA-N 0 1 281.356 0.638 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCSC[C@@H](C(=O)[O-])C1 ZINC001596299485 983724364 /nfs/dbraw/zinc/72/43/64/983724364.db2.gz KDQYPFRCRYZROJ-NSHDSACASA-N 0 1 299.396 0.498 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1C[C@H](C)O[C@]2(CCO[C@H]2C)C1 ZINC001588663959 983797899 /nfs/dbraw/zinc/79/78/99/983797899.db2.gz MIHVHFUBTNGGOI-MEWQQHAOSA-N 0 1 255.314 0.894 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[NH+]1CCN(C(=O)[C@H]2CCCN2C)CC1 ZINC001588729109 983986386 /nfs/dbraw/zinc/98/63/86/983986386.db2.gz HEFWEGCHLPGMQY-CHWSQXEVSA-N 0 1 295.383 0.254 20 30 CCEDMN C=CC[C@H](C(=O)[O-])N1CCN(C(=O)[C@H]2CCC[N@H+]2C)CC1 ZINC001588729109 983986390 /nfs/dbraw/zinc/98/63/90/983986390.db2.gz HEFWEGCHLPGMQY-CHWSQXEVSA-N 0 1 295.383 0.254 20 30 CCEDMN C=CC[C@H](C(=O)[O-])N1CCN(C(=O)[C@H]2CCC[N@@H+]2C)CC1 ZINC001588729109 983986395 /nfs/dbraw/zinc/98/63/95/983986395.db2.gz HEFWEGCHLPGMQY-CHWSQXEVSA-N 0 1 295.383 0.254 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC001588730975 984003002 /nfs/dbraw/zinc/00/30/02/984003002.db2.gz AIWAFMJRRBEKDS-UTUOFQBUSA-N 0 1 255.314 0.896 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCO[C@H]([C@H]2CCCO2)C1 ZINC001588730975 984003009 /nfs/dbraw/zinc/00/30/09/984003009.db2.gz AIWAFMJRRBEKDS-UTUOFQBUSA-N 0 1 255.314 0.896 20 30 CCEDMN C=CCCC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001588801719 984247101 /nfs/dbraw/zinc/24/71/01/984247101.db2.gz WECLTPPNDYYQRE-KVSVUVNWSA-N 0 1 278.352 0.720 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@H](C(=O)[O-])[C@H]2CCC[C@H]21 ZINC001588839237 984345055 /nfs/dbraw/zinc/34/50/55/984345055.db2.gz PVSDZZLAKNTTSB-GRYCIOLGSA-N 0 1 266.341 0.864 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1C[C@H](C)[C@@](C)(C(=O)[O-])C1 ZINC001588840133 984353075 /nfs/dbraw/zinc/35/30/75/984353075.db2.gz ZTJRPIFZGFUQDG-CWSCBRNRSA-N 0 1 254.330 0.720 20 30 CCEDMN C[C@H]1C[N@@H+](CCCSCC#N)C[C@@H](C(=O)[O-])O1 ZINC001599930128 985297318 /nfs/dbraw/zinc/29/73/18/985297318.db2.gz UDLZCTXXVGUTCI-UWVGGRQHSA-N 0 1 258.343 0.807 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001589388805 986498433 /nfs/dbraw/zinc/49/84/33/986498433.db2.gz QYRGAQGCZDNNPA-ZNSHCXBVSA-N 0 1 277.324 0.200 20 30 CCEDMN C[N@@H+](CC(=O)N(CC#N)CCC(=O)[O-])[C@@H]1CCSC1 ZINC001598379066 991570817 /nfs/dbraw/zinc/57/08/17/991570817.db2.gz JKXGMCMDGCSRAJ-SNVBAGLBSA-N 0 1 285.369 0.251 20 30 CCEDMN C[N@H+](CC(=O)N(CC#N)CC(=O)[O-])Cc1ccccc1 ZINC001598379108 991571903 /nfs/dbraw/zinc/57/19/03/991571903.db2.gz LGJPUOWRIXVMDQ-UHFFFAOYSA-N 0 1 275.308 0.555 20 30 CCEDMN C[N@@H+](CC(=O)N(CC#N)CC(=O)[O-])Cc1ccccc1 ZINC001598379108 991571911 /nfs/dbraw/zinc/57/19/11/991571911.db2.gz LGJPUOWRIXVMDQ-UHFFFAOYSA-N 0 1 275.308 0.555 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)c2ccc(C#N)[nH]2)C[C@@]1(C)C(=O)[O-] ZINC001598576194 993279936 /nfs/dbraw/zinc/27/99/36/993279936.db2.gz RMKIOIHEQGUECE-RNCFNFMXSA-N 0 1 277.280 0.591 20 30 CCEDMN C[N@H+]1CCN(Cc2cccc(C(=O)[O-])c2C#N)C[C@H]1CO ZINC001598619194 993965576 /nfs/dbraw/zinc/96/55/76/993965576.db2.gz KASDFIZYUWBHRG-LBPRGKRZSA-N 0 1 289.335 0.365 20 30 CCEDMN C[C@@]1(C(=O)[O-])CC[N@@H+](C[C@@H](O)CC2(C#N)CCOCC2)C1 ZINC001593795831 996512818 /nfs/dbraw/zinc/51/28/18/996512818.db2.gz REHBXKRGJOFBOF-GXTWGEPZSA-N 0 1 296.367 0.854 20 30 CCEDMN COCC#CC[NH2+][C@H](C(=O)[O-])c1ccc2c(c1)CCO2 ZINC001599139306 998099382 /nfs/dbraw/zinc/09/93/82/998099382.db2.gz UCHVBQKCASCMHP-AWEZNQCLSA-N 0 1 275.304 0.987 20 30 CCEDMN COC(C[N@@H+](CCC(=O)[O-])Cc1ccnc(C#N)c1)OC ZINC001599048461 999169403 /nfs/dbraw/zinc/16/94/03/999169403.db2.gz VYDWQPPZEJVYGP-UHFFFAOYSA-N 0 1 293.323 0.849 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N=c2cc(C3CC3)[nH][nH]2)[nH]1 ZINC000617277120 365588738 /nfs/dbraw/zinc/58/87/38/365588738.db2.gz MJRBZKZJCNVFHA-UHFFFAOYSA-N 0 1 271.284 0.380 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@H](CCF)C1 ZINC000599641640 361769787 /nfs/dbraw/zinc/76/97/87/361769787.db2.gz JXTZUXBJVGGYLH-GFCCVEGCSA-N 0 1 271.336 0.809 20 30 CCEDMN N#Cc1cccc(NC(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000599705139 361793538 /nfs/dbraw/zinc/79/35/38/361793538.db2.gz COCOSUHFMDUPHW-CYBMUJFWSA-N 0 1 274.324 0.746 20 30 CCEDMN C=CCn1cc(C(=O)N(CC)[C@H]2CCN(C)C2)nn1 ZINC000617561954 365683628 /nfs/dbraw/zinc/68/36/28/365683628.db2.gz XOWMTMMLQFOKAW-NSHDSACASA-N 0 1 263.345 0.630 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CC[C@H](N2CC[C@@H](O)C2)C1 ZINC000599939398 361835860 /nfs/dbraw/zinc/83/58/60/361835860.db2.gz IHENGTYWMZZDPW-OUCADQQQSA-N 0 1 265.357 0.594 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)NC[C@H]1COCCN1 ZINC000599942245 361837496 /nfs/dbraw/zinc/83/74/96/361837496.db2.gz XZRUZVRMMSUTPS-JTQLQIEISA-N 0 1 254.334 0.166 20 30 CCEDMN C/C=C/CNC(=O)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000600068680 361860234 /nfs/dbraw/zinc/86/02/34/361860234.db2.gz IDDVCJVCEJVVJN-AATRIKPKSA-N 0 1 289.339 0.562 20 30 CCEDMN C=CCOC[C@@H](NC(=O)CN(C)C1CCC1)C(=O)OC ZINC000600824315 362071968 /nfs/dbraw/zinc/07/19/68/362071968.db2.gz AKCIKXHWTKPAFV-GFCCVEGCSA-N 0 1 284.356 0.331 20 30 CCEDMN C=CCn1cc(CNC[C@H](O)CC2(C#N)CC2)nn1 ZINC000600739526 362051824 /nfs/dbraw/zinc/05/18/24/362051824.db2.gz QGBBAKPASYFANH-GFCCVEGCSA-N 0 1 261.329 0.608 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)C(=O)N(C)C[C@H](C)C#N ZINC000600799302 362061740 /nfs/dbraw/zinc/06/17/40/362061740.db2.gz ZETWRFAAUOKKDL-NEPJUHHUSA-N 0 1 280.372 0.061 20 30 CCEDMN N#Cc1ccc(OCC(=O)NCCN2CC[C@H](O)C2)cc1 ZINC000600809545 362067383 /nfs/dbraw/zinc/06/73/83/362067383.db2.gz UJKLSRJLVCOEFO-ZDUSSCGKSA-N 0 1 289.335 0.120 20 30 CCEDMN C=CCOC[C@@H](NC(=O)C[N@@H+](C)C1CCC1)C(=O)OC ZINC000600824315 362071963 /nfs/dbraw/zinc/07/19/63/362071963.db2.gz AKCIKXHWTKPAFV-GFCCVEGCSA-N 0 1 284.356 0.331 20 30 CCEDMN CN1CC[C@@H](NS(=O)(=O)Cc2ccc(F)cc2C#N)C1 ZINC000451110105 529796240 /nfs/dbraw/zinc/79/62/40/529796240.db2.gz ZLQGADUNEQZKCB-CYBMUJFWSA-N 0 1 297.355 0.821 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H](CCC)c1nn[nH]n1 ZINC000295076672 199332943 /nfs/dbraw/zinc/33/29/43/199332943.db2.gz WKNFYLOPFSYNAL-BDAKNGLRSA-N 0 1 251.290 0.195 20 30 CCEDMN N#CC1(C(=O)NC[C@@H]2C[N@H+]3CCC[C@H]3CO2)CCC1 ZINC000083798085 260190516 /nfs/dbraw/zinc/19/05/16/260190516.db2.gz QBVYJRDAAUNLJI-NWDGAFQWSA-N 0 1 263.341 0.660 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N(C)[C@@H]1CN2CCC1CC2 ZINC000601446734 362276611 /nfs/dbraw/zinc/27/66/11/362276611.db2.gz BGUKIIPCTPDCQW-GFCCVEGCSA-N 0 1 286.401 0.103 20 30 CCEDMN Cc1[nH]n(CCCN2CCOCC2)c(=O)c1CCC#N ZINC000601947035 362433530 /nfs/dbraw/zinc/43/35/30/362433530.db2.gz DMSKHDVQYQEYHN-ZDUSSCGKSA-N 0 1 278.356 0.847 20 30 CCEDMN C[C@@H](O)CNCCS(=O)(=O)c1cccc(C#N)c1 ZINC000160620267 290731266 /nfs/dbraw/zinc/73/12/66/290731266.db2.gz CPUMCSIZYLJWJM-SNVBAGLBSA-N 0 1 268.338 0.302 20 30 CCEDMN Cn1cc(CN2CCc3n[nH]c(=O)cc3C2)cc1C#N ZINC000361605422 232165283 /nfs/dbraw/zinc/16/52/83/232165283.db2.gz YSDIFJSWNVAKCK-UHFFFAOYSA-N 0 1 269.308 0.951 20 30 CCEDMN CC[C@@H](CO)N1CCN(Cc2cccc(C#N)n2)CC1 ZINC000602486201 362640531 /nfs/dbraw/zinc/64/05/31/362640531.db2.gz PWCZJPIHSGNIDO-HNNXBMFYSA-N 0 1 274.368 0.842 20 30 CCEDMN COCc1nc2n(n1)C[C@@H](NCc1cccc(C#N)n1)CC2 ZINC000602567967 362685324 /nfs/dbraw/zinc/68/53/24/362685324.db2.gz HHGIUAMIXGVJKH-ZDUSSCGKSA-N 0 1 298.350 0.796 20 30 CCEDMN COC[C@@]1(CO)CCN(Cc2cccc(C#N)n2)C1 ZINC000602679780 362744262 /nfs/dbraw/zinc/74/42/62/362744262.db2.gz ALCNVQIQCLIBGS-AWEZNQCLSA-N 0 1 261.325 0.784 20 30 CCEDMN C[C@H](CC#N)NC[C@H](O)CN1C(=O)c2ccccc2C1=O ZINC000602862223 362846833 /nfs/dbraw/zinc/84/68/33/362846833.db2.gz JFGIPKKDGJLPCC-MNOVXSKESA-N 0 1 287.319 0.535 20 30 CCEDMN CCC(O)(CC)CN(C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000602881920 362861656 /nfs/dbraw/zinc/86/16/56/362861656.db2.gz MLUDKBJXJFBTHZ-UHFFFAOYSA-N 0 1 279.344 0.728 20 30 CCEDMN CO[C@H](CNC(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000602883887 362862747 /nfs/dbraw/zinc/86/27/47/362862747.db2.gz YOGCDZVBDXHFJS-SNVBAGLBSA-N 0 1 263.301 0.260 20 30 CCEDMN COCCC1CN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602885510 362864411 /nfs/dbraw/zinc/86/44/11/362864411.db2.gz FSTULJUFYVRDCS-UHFFFAOYSA-N 0 1 263.301 0.214 20 30 CCEDMN C=CCN(C(=O)N=c1[nH]n(C)cc1C#N)[C@@H](C)COC ZINC000602885992 362864964 /nfs/dbraw/zinc/86/49/64/362864964.db2.gz HQHWNYFZSRMIRC-JTQLQIEISA-N 0 1 277.328 0.768 20 30 CCEDMN CC(C)O[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602887263 362866312 /nfs/dbraw/zinc/86/63/12/362866312.db2.gz NXZKWKOZVCTZLX-LLVKDONJSA-N 0 1 277.328 0.745 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@H](F)C2)[nH]1 ZINC000602887714 362866463 /nfs/dbraw/zinc/86/64/63/362866463.db2.gz QQCQCZYMHMLPFI-SECBINFHSA-N 0 1 251.265 0.679 20 30 CCEDMN C[C@@H](C(=O)NC[C@@H]1CCCN(CC#N)C1)N(C)C ZINC000602897330 362872224 /nfs/dbraw/zinc/87/22/24/362872224.db2.gz UDMPJYRNGLBNEA-RYUDHWBXSA-N 0 1 252.362 0.288 20 30 CCEDMN CN1CCN(CCOc2ccc(C#N)cc2)[C@H](CO)C1 ZINC000602906624 362880030 /nfs/dbraw/zinc/88/00/30/362880030.db2.gz YEEKAFYYNCNHQR-AWEZNQCLSA-N 0 1 275.352 0.545 20 30 CCEDMN COC[C@](C)(CO)NCC(=O)N[C@@](C)(C#N)C(C)C ZINC000602932147 362893928 /nfs/dbraw/zinc/89/39/28/362893928.db2.gz MYFRIIOTBGXQDB-STQMWFEESA-N 0 1 271.361 0.028 20 30 CCEDMN COCCOC[C@H](O)CN(C)[C@H]1CCC[C@@H]1C#N ZINC000602981478 362919832 /nfs/dbraw/zinc/91/98/32/362919832.db2.gz DZJMMXMWDAUOFK-UPJWGTAASA-N 0 1 256.346 0.634 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(C)=O)CC1 ZINC000047772678 348387493 /nfs/dbraw/zinc/38/74/93/348387493.db2.gz KJXMDKPFOHXEFX-UHFFFAOYSA-N 0 1 253.346 0.137 20 30 CCEDMN C=CCNC(=O)CN1CCCC[C@@H]1CC(=O)OC ZINC000048739385 348424553 /nfs/dbraw/zinc/42/45/53/348424553.db2.gz UVRCKZAOWWVTKU-LLVKDONJSA-N 0 1 254.330 0.706 20 30 CCEDMN Cc1nc([C@@H]2CN(C(=O)C3(C#N)CCC3)CCO2)n[nH]1 ZINC000076044803 349064013 /nfs/dbraw/zinc/06/40/13/349064013.db2.gz SBKJMPCQVWJGQM-JTQLQIEISA-N 0 1 275.312 0.707 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)C[C@H](O)C1CC1 ZINC000079770085 349190043 /nfs/dbraw/zinc/19/00/43/349190043.db2.gz BPFZGVFAYKTFSE-JSGCOSHPSA-N 0 1 267.373 0.744 20 30 CCEDMN C[C@@H](CO)CN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000121203480 350027334 /nfs/dbraw/zinc/02/73/34/350027334.db2.gz IHENVPVESSFPHO-NEPJUHHUSA-N 0 1 275.356 0.487 20 30 CCEDMN C[C@@H](C(=O)N1C[C@@H](C)N(CC#N)[C@H](C)C1)N(C)C ZINC000603088897 362957804 /nfs/dbraw/zinc/95/78/04/362957804.db2.gz FQYAJDIOHDGCEX-UTUOFQBUSA-N 0 1 252.362 0.381 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NCCc2nc[nH]n2)c(F)c1 ZINC000151898825 350512321 /nfs/dbraw/zinc/51/23/21/350512321.db2.gz FREWSSGYZVRXDU-UHFFFAOYSA-N 0 1 295.299 0.336 20 30 CCEDMN Cc1cc(C#N)cc(NC[C@@H]2CN(C)CCN2C)n1 ZINC000161062995 350710885 /nfs/dbraw/zinc/71/08/85/350710885.db2.gz HMHZRZADQZKHDX-CYBMUJFWSA-N 0 1 259.357 0.919 20 30 CCEDMN CCOC(=O)CC[C@H]1CCCN(CC(=O)NCC#N)C1 ZINC000162139293 350737239 /nfs/dbraw/zinc/73/72/39/350737239.db2.gz JIAWCPYXQGSCRB-GFCCVEGCSA-N 0 1 281.356 0.681 20 30 CCEDMN CN1CCN(Cc2cn(Cc3ccccc3C#N)nn2)CC1 ZINC000603173624 362983052 /nfs/dbraw/zinc/98/30/52/362983052.db2.gz MMADIGRTTNBLOM-UHFFFAOYSA-N 0 1 296.378 0.945 20 30 CCEDMN CN[C@H](C)c1cn(CC(=O)NC2(C#N)CCCCC2)nn1 ZINC000603173296 362983705 /nfs/dbraw/zinc/98/37/05/362983705.db2.gz AWVXZPKXCVTJDC-LLVKDONJSA-N 0 1 290.371 0.901 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC[C@H](OCCO)C1 ZINC000172294788 350973099 /nfs/dbraw/zinc/97/30/99/350973099.db2.gz YJMMFXUIUGGIDU-AWEZNQCLSA-N 0 1 282.384 0.660 20 30 CCEDMN COC[C@@H](O)CN1CCN(c2ccc(C#N)cn2)CC1 ZINC000171971940 350947784 /nfs/dbraw/zinc/94/77/84/350947784.db2.gz NKGAEUVWDIRYOX-ZDUSSCGKSA-N 0 1 276.340 0.083 20 30 CCEDMN N#CC1(NC(=O)Cn2cc(CNC3CC3)nn2)CCC1 ZINC000603200857 362998228 /nfs/dbraw/zinc/99/82/28/362998228.db2.gz JURQXQQBBROPAU-UHFFFAOYSA-N 0 1 274.328 0.093 20 30 CCEDMN CNCc1cn(CC(=O)NCc2cccc(C#N)c2)nn1 ZINC000603211937 363001951 /nfs/dbraw/zinc/00/19/51/363001951.db2.gz XCVQJKXCBFSPQC-UHFFFAOYSA-N 0 1 284.323 0.186 20 30 CCEDMN C[C@H]1CCNC(=O)[C@H]1NCC(=O)Nc1cccc(C#N)c1 ZINC000193585814 351834519 /nfs/dbraw/zinc/83/45/19/351834519.db2.gz VKGXSYLHFSLYMY-HZMBPMFUSA-N 0 1 286.335 0.611 20 30 CCEDMN C[C@H](NC(=O)COc1ccc(C#N)cc1)c1nnc[nH]1 ZINC000225062982 351999040 /nfs/dbraw/zinc/99/90/40/351999040.db2.gz JZSSERHKMVNGNM-VIFPVBQESA-N 0 1 271.280 0.933 20 30 CCEDMN COc1ccc(C#N)cc1CN1CCC[C@@](O)(C(N)=O)C1 ZINC000225481583 352006012 /nfs/dbraw/zinc/00/60/12/352006012.db2.gz WNTGVHLBTTXENF-HNNXBMFYSA-N 0 1 289.335 0.379 20 30 CCEDMN C=CCCCN(C)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000265619379 352650268 /nfs/dbraw/zinc/65/02/68/352650268.db2.gz PNZRCTOOZLILND-ZDUSSCGKSA-N 0 1 296.415 0.934 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2cccc(C#N)n2)CC1 ZINC000266194171 352688757 /nfs/dbraw/zinc/68/87/57/352688757.db2.gz HKNASYPFBICQBS-ZDUSSCGKSA-N 0 1 260.341 0.846 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2ccc(C#N)nc2)CC1 ZINC000267411943 352766317 /nfs/dbraw/zinc/76/63/17/352766317.db2.gz RVECADUHPQGJRS-ZDUSSCGKSA-N 0 1 260.341 0.846 20 30 CCEDMN CC[C@@H](O)CN1CCN(c2ccc(C#N)nc2)CC1 ZINC000267438991 352767029 /nfs/dbraw/zinc/76/70/29/352767029.db2.gz YGPXTLLQJQDESD-CQSZACIVSA-N 0 1 260.341 0.846 20 30 CCEDMN N#Cc1ccnc(N2CCN(CCn3ccnc3)CC2)c1 ZINC000270787120 352985637 /nfs/dbraw/zinc/98/56/37/352985637.db2.gz BLBJYPSLKAUULS-UHFFFAOYSA-N 0 1 282.351 0.972 20 30 CCEDMN N#Cc1ccnc(N2CCN(CCC3OCCO3)CC2)c1 ZINC000274399673 353125686 /nfs/dbraw/zinc/12/56/86/353125686.db2.gz ZSVAWQUXINBGSM-UHFFFAOYSA-N 0 1 288.351 0.838 20 30 CCEDMN Cc1cccc(S(=O)(=O)NCCN(C)C)c1C#N ZINC000156016545 188238493 /nfs/dbraw/zinc/23/84/93/188238493.db2.gz MUSBXVBROALYSE-UHFFFAOYSA-N 0 1 267.354 0.707 20 30 CCEDMN Cc1nsc(N2CCN(C(=O)CN(C)C)CC2)c1C#N ZINC000286219252 353570041 /nfs/dbraw/zinc/57/00/41/353570041.db2.gz SRWSDLPVBRSBND-UHFFFAOYSA-N 0 1 293.396 0.533 20 30 CCEDMN CN1CC=C(CNS(=O)(=O)c2ccc(C#N)o2)CC1 ZINC000287850940 353671240 /nfs/dbraw/zinc/67/12/40/353671240.db2.gz ORTJXJQSAOCHAX-UHFFFAOYSA-N 0 1 281.337 0.691 20 30 CCEDMN C[C@H](CCC#N)CN1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000618888252 366113979 /nfs/dbraw/zinc/11/39/79/366113979.db2.gz UYTOVFNGLMEJJN-NXEZZACHSA-N 0 1 250.302 0.162 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N[C@H](C)c1cnn(CC)c1 ZINC000296107367 353931903 /nfs/dbraw/zinc/93/19/03/353931903.db2.gz QHIOYAZWDFHRQV-GHMZBOCLSA-N 0 1 293.371 0.954 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@](O)(CO)C1 ZINC000313667649 354218565 /nfs/dbraw/zinc/21/85/65/354218565.db2.gz KFQUMYLLDWCTKC-CQSZACIVSA-N 0 1 270.373 0.230 20 30 CCEDMN CCc1nc(C#N)cc(NCCN2CCC(O)CC2)n1 ZINC000321356795 354228122 /nfs/dbraw/zinc/22/81/22/354228122.db2.gz XUYFBXWSQWOXKQ-UHFFFAOYSA-N 0 1 275.356 0.779 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H]2CCSC2)[nH]1 ZINC000619204005 366216434 /nfs/dbraw/zinc/21/64/34/366216434.db2.gz UCJYEUBDPIHJNQ-MRVPVSSYSA-N 0 1 265.342 0.588 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)c1cncc(C#N)c1 ZINC000336785967 355158725 /nfs/dbraw/zinc/15/87/25/355158725.db2.gz AWIUNMDFCSTTFQ-SECBINFHSA-N 0 1 268.342 0.182 20 30 CCEDMN N#CCCNC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000337828058 355307387 /nfs/dbraw/zinc/30/73/87/355307387.db2.gz YNSVOGJLBUMPII-CYBMUJFWSA-N 0 1 280.372 0.654 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NC[C@H]1CCCN1C ZINC000337956375 355308098 /nfs/dbraw/zinc/30/80/98/355308098.db2.gz VGVORTPBQPKCBC-WDEREUQCSA-N 0 1 274.390 0.149 20 30 CCEDMN COCCOCCN(C)[C@H](C)C(=O)N(C)CCC#N ZINC000344112235 355785099 /nfs/dbraw/zinc/78/50/99/355785099.db2.gz LCBCPPGMLWUACE-GFCCVEGCSA-N 0 1 271.361 0.342 20 30 CCEDMN C[C@H](CN(C)C(=O)c1cc(C#N)c[nH]1)c1nn[nH]n1 ZINC000352472391 356013485 /nfs/dbraw/zinc/01/34/85/356013485.db2.gz CTXHMYDUDCKYHC-SSDOTTSWSA-N 0 1 259.273 0.275 20 30 CCEDMN N#Cc1csc(CNC[C@H](O)C2CCOCC2)n1 ZINC000352835832 356092662 /nfs/dbraw/zinc/09/26/62/356092662.db2.gz LGQBSXPZKPLJLL-NSHDSACASA-N 0 1 267.354 0.892 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000684005885 545602538 /nfs/dbraw/zinc/60/25/38/545602538.db2.gz DHZFIMQMUXOQDB-STQMWFEESA-N 0 1 288.413 0.423 20 30 CCEDMN Cn1nnc(CN=c2cc(C#N)c3ccccc3[nH]2)n1 ZINC000362839199 138076798 /nfs/dbraw/zinc/07/67/98/138076798.db2.gz GPNAMZKMLPDEHY-UHFFFAOYSA-N 0 1 265.280 0.664 20 30 CCEDMN Cc1nc(C(=O)NC(C)(C)c2nn[nH]n2)ccc1C#N ZINC000354807292 356503235 /nfs/dbraw/zinc/50/32/35/356503235.db2.gz UUXSTBOWFFJGRL-UHFFFAOYSA-N 0 1 271.284 0.440 20 30 CCEDMN N#Cc1cccc(N2CCN(CCn3ccnc3)CC2)n1 ZINC000355086094 356572920 /nfs/dbraw/zinc/57/29/20/356572920.db2.gz YJUUJCPLHBXEBT-UHFFFAOYSA-N 0 1 282.351 0.972 20 30 CCEDMN CC(C)(C)CN(CCO)CC(=O)N(CCC#N)CCC#N ZINC000609483502 363497743 /nfs/dbraw/zinc/49/77/43/363497743.db2.gz OPPSXGVZLZKZTA-UHFFFAOYSA-N 0 1 294.399 0.983 20 30 CCEDMN CNC(=O)CCN1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609537570 363503933 /nfs/dbraw/zinc/50/39/33/363503933.db2.gz BDCGDBMOCBKWMH-LBPRGKRZSA-N 0 1 252.362 0.288 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2ccc(C#N)cn2)C1 ZINC000609347570 363492666 /nfs/dbraw/zinc/49/26/66/363492666.db2.gz PAXJQUIDCJKOLN-GFCCVEGCSA-N 0 1 274.324 0.404 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000355135986 356586511 /nfs/dbraw/zinc/58/65/11/356586511.db2.gz FGNUWUREFCCWOC-LBPRGKRZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCN(C(C)(C)CO)CC1 ZINC000619719698 366340004 /nfs/dbraw/zinc/34/00/04/366340004.db2.gz WUMGNJHRBSDVFR-NSHDSACASA-N 0 1 256.346 0.103 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)N1CCc2cn[nH]c2C1 ZINC000619712476 366331267 /nfs/dbraw/zinc/33/12/67/366331267.db2.gz FVTUGTUNCFBXJD-SNVBAGLBSA-N 0 1 297.384 0.494 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC2(C(F)F)CC2)[nH]1 ZINC000619727553 366343742 /nfs/dbraw/zinc/34/37/42/366343742.db2.gz FGONQRWZTMYMAH-UHFFFAOYSA-N 0 1 255.228 0.633 20 30 CCEDMN N#Cc1cc(C(=O)NC2(c3nn[nH]n3)CCCC2)ccn1 ZINC000356162390 356714013 /nfs/dbraw/zinc/71/40/13/356714013.db2.gz WDEOHIZGPIZYRJ-UHFFFAOYSA-N 0 1 283.295 0.666 20 30 CCEDMN Cc1ccc2nc(CNC(=O)Cn3cnc(C#N)n3)[nH]c2c1 ZINC000359712210 356876609 /nfs/dbraw/zinc/87/66/09/356876609.db2.gz KTNVVCGHSQTLGJ-UHFFFAOYSA-N 0 1 295.306 0.651 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCc3[nH]nnc3C2)c1 ZINC000359741013 356882133 /nfs/dbraw/zinc/88/21/33/356882133.db2.gz BJUPDSCDVVRKJE-UHFFFAOYSA-N 0 1 253.265 0.875 20 30 CCEDMN COC(=O)[C@@H](CC(F)(F)F)NC(=O)C(C)C#N ZINC000361335823 357045752 /nfs/dbraw/zinc/04/57/52/357045752.db2.gz MUUGFBWRIYKMHW-PHDIDXHHSA-N 0 1 252.192 0.756 20 30 CCEDMN C[C@@H](O)C[C@@H](C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610562327 363575882 /nfs/dbraw/zinc/57/58/82/363575882.db2.gz OTMZISGIYHRRLH-RKDXNWHRSA-N 0 1 265.317 0.242 20 30 CCEDMN CSC[C@@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610561448 363575906 /nfs/dbraw/zinc/57/59/06/363575906.db2.gz WKYNBVDLWXAQPD-SSDOTTSWSA-N 0 1 253.331 0.587 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCN(C3CC3)CC2)[nH]1 ZINC000610561776 363576194 /nfs/dbraw/zinc/57/61/94/363576194.db2.gz CXBAKYXYPNTHKV-UHFFFAOYSA-N 0 1 274.328 0.026 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)C[N@H+]2C[C@@H](O)C3(CC3)C2)cc1 ZINC000366629823 357227650 /nfs/dbraw/zinc/22/76/50/357227650.db2.gz KEBGQEPFCMJHPR-UKRRQHHQSA-N 0 1 288.347 0.755 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@]2(C)CCOC2)[nH]1 ZINC000610565022 363578731 /nfs/dbraw/zinc/57/87/31/363578731.db2.gz NYUGWJGGXDPYAT-LBPRGKRZSA-N 0 1 263.301 0.262 20 30 CCEDMN C[C@@H](O)C1CCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000610564797 363579810 /nfs/dbraw/zinc/57/98/10/363579810.db2.gz SFFZAUOKQWMCJD-SECBINFHSA-N 0 1 277.328 0.338 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCOC[C@H]2CCCO2)[nH]1 ZINC000610564699 363579838 /nfs/dbraw/zinc/57/98/38/363579838.db2.gz RHZRCXWTOYHFOT-LLVKDONJSA-N 0 1 293.327 0.031 20 30 CCEDMN CN(CC(=O)NCc1cccc(C#N)c1)C[C@@H](O)C1CC1 ZINC000610572839 363581174 /nfs/dbraw/zinc/58/11/74/363581174.db2.gz IEBRUJVUMUFKCQ-OAHLLOKOSA-N 0 1 287.363 0.877 20 30 CCEDMN CSc1[n-]c(=O)c(C(=O)N2CC[NH+](C)CC2)cc1C#N ZINC000408137771 357935383 /nfs/dbraw/zinc/93/53/83/357935383.db2.gz KHYYITYJEXOPTJ-UHFFFAOYSA-N 0 1 292.364 0.768 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000294577227 199093698 /nfs/dbraw/zinc/09/36/98/199093698.db2.gz KLJHIXACKAMKGX-NSHDSACASA-N 0 1 267.373 0.655 20 30 CCEDMN CC[C@@H](CCO)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610674757 363595562 /nfs/dbraw/zinc/59/55/62/363595562.db2.gz KMIWOOQWLLBUHY-VIFPVBQESA-N 0 1 265.317 0.244 20 30 CCEDMN C#CCNC(=O)CCN([C@H](C)C(=O)OC)C1CCC1 ZINC000294672326 199127490 /nfs/dbraw/zinc/12/74/90/199127490.db2.gz RWSAFQJNCZTPHZ-LLVKDONJSA-N 0 1 266.341 0.542 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCN[C@@H]2CCCOC2)nc1 ZINC000567727358 358577932 /nfs/dbraw/zinc/57/79/32/358577932.db2.gz PJUSYIGBVJVWIU-GFCCVEGCSA-N 0 1 295.364 0.496 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)c(N)n2)CCN1CCO ZINC000569623945 358780523 /nfs/dbraw/zinc/78/05/23/358780523.db2.gz UFDJZHVZWFPCSZ-SNVBAGLBSA-N 0 1 261.329 0.038 20 30 CCEDMN C[C@@H]1N(C(=O)N=c2[nH]n(C)cc2C#N)CCOC1(C)C ZINC000610821400 363617245 /nfs/dbraw/zinc/61/72/45/363617245.db2.gz QQUKUOWRFFJTQV-VIFPVBQESA-N 0 1 277.328 0.745 20 30 CCEDMN CC[C@H]1CN(C)CCN1CC(=O)N(CCC#N)CCC#N ZINC000571860786 358925881 /nfs/dbraw/zinc/92/58/81/358925881.db2.gz BLOZGCREUKHKLN-AWEZNQCLSA-N 0 1 291.399 0.668 20 30 CCEDMN COCC1(NCc2cnc3c(C#N)cnn3c2)CC1 ZINC000573091696 358937042 /nfs/dbraw/zinc/93/70/42/358937042.db2.gz MRBUJUXXWQJDPW-UHFFFAOYSA-N 0 1 257.297 0.870 20 30 CCEDMN C[C@@H]1CN(Cc2ccc(C#N)cn2)C[C@H](C)N1CCO ZINC000580841847 359099723 /nfs/dbraw/zinc/09/97/23/359099723.db2.gz OCVHGXJDSLQZIG-BETUJISGSA-N 0 1 274.368 0.840 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCc2cn[nH]c2C1 ZINC000611009442 363648613 /nfs/dbraw/zinc/64/86/13/363648613.db2.gz YZOYNKRJUAWXSP-UHFFFAOYSA-N 0 1 286.339 0.424 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1cccc(C#N)n1 ZINC000589398734 359379776 /nfs/dbraw/zinc/37/97/76/359379776.db2.gz CFEAKRWWNBCTPA-GFCCVEGCSA-N 0 1 287.367 0.319 20 30 CCEDMN CC(C)(C#N)C(=O)N1CC[C@@H](N2CC[C@H](O)C2)C1 ZINC000588863994 359343476 /nfs/dbraw/zinc/34/34/76/359343476.db2.gz VLJIRGRWYSMDIV-MNOVXSKESA-N 0 1 251.330 0.204 20 30 CCEDMN CN1C[C@H]2[C@@H](CCN2S(=O)(=O)c2ccc(C#N)cc2)C1 ZINC000589925689 359410400 /nfs/dbraw/zinc/41/04/00/359410400.db2.gz ODHOXFNYAXXYHQ-JSGCOSHPSA-N 0 1 291.376 0.883 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3ccc(C#N)cc3)[C@H]2C1 ZINC000589925691 359410757 /nfs/dbraw/zinc/41/07/57/359410757.db2.gz ODHOXFNYAXXYHQ-OCCSQVGLSA-N 0 1 291.376 0.883 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(CC)[C@@H](C)C1 ZINC000611092072 363659517 /nfs/dbraw/zinc/65/95/17/363659517.db2.gz ICHFBEAXEQUUGK-ZDUSSCGKSA-N 0 1 266.389 0.775 20 30 CCEDMN N#CCCCC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000589702123 359399971 /nfs/dbraw/zinc/39/99/71/359399971.db2.gz PGXZZVPXOUIRAZ-CQSZACIVSA-N 0 1 294.399 0.203 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCc2nc[nH]c2C1 ZINC000590599558 359496327 /nfs/dbraw/zinc/49/63/27/359496327.db2.gz IWWPAONMQWXDNY-UHFFFAOYSA-N 0 1 261.329 0.530 20 30 CCEDMN CC(C)O[C@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000590957468 359541976 /nfs/dbraw/zinc/54/19/76/359541976.db2.gz OAILDYGGIQGTOB-PHIMTYICSA-N 0 1 277.328 0.791 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CC[C@H](c2n[nH]c(C)n2)C1 ZINC000591053817 359549963 /nfs/dbraw/zinc/54/99/63/359549963.db2.gz RZDNANIAWZXSKW-VHSXEESVSA-N 0 1 250.302 0.630 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CC[C@H](c2nnc(C)[nH]2)C1 ZINC000591053817 359549969 /nfs/dbraw/zinc/54/99/69/359549969.db2.gz RZDNANIAWZXSKW-VHSXEESVSA-N 0 1 250.302 0.630 20 30 CCEDMN CC[C@@H](C)[C@H](CNC(=O)N=c1[nH]n(C)cc1C#N)OC ZINC000590819570 359526645 /nfs/dbraw/zinc/52/66/45/359526645.db2.gz NEWGPHNBHVSITH-KOLCDFICSA-N 0 1 279.344 0.896 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H]1CC[C@H](C(=O)OC)C1 ZINC000297053935 200073125 /nfs/dbraw/zinc/07/31/25/200073125.db2.gz VPERBSGUHNEKGB-QWHCGFSZSA-N 0 1 295.383 0.582 20 30 CCEDMN CS(=O)(=O)NC1CC(NC[C@H](C#N)CCC#N)C1 ZINC000591766162 359655863 /nfs/dbraw/zinc/65/58/63/359655863.db2.gz IYINAYCDSJNPMG-WHXUTIOJSA-N 0 1 270.358 0.100 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN(C(C)(C)COC)CC1 ZINC000591850304 359664860 /nfs/dbraw/zinc/66/48/60/359664860.db2.gz RHRDQGVZUVTCCG-GFCCVEGCSA-N 0 1 270.373 0.757 20 30 CCEDMN N#Cc1cncnc1N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000591908063 359688950 /nfs/dbraw/zinc/68/89/50/359688950.db2.gz PBEVRIPCEKMEIC-QWHCGFSZSA-N 0 1 273.340 0.384 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC[C@H]3CCO)cnc12 ZINC000563151550 291199808 /nfs/dbraw/zinc/19/98/08/291199808.db2.gz YFZGIOJJBSUNBK-ZDUSSCGKSA-N 0 1 271.324 0.948 20 30 CCEDMN N#CC[C@]1(O)CCN(CCc2nnnn2-c2ccccc2)C1 ZINC000592147915 359767504 /nfs/dbraw/zinc/76/75/04/359767504.db2.gz TXVMWMBJEDYXRF-HNNXBMFYSA-N 0 1 298.350 0.555 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)Nc1nn[nH]c1C(=O)NC ZINC000297676374 200258659 /nfs/dbraw/zinc/25/86/59/200258659.db2.gz HKUGLYAMZIOWLR-SSDOTTSWSA-N 0 1 251.290 0.705 20 30 CCEDMN C[C@H](CO)CN1CCN(c2nccnc2C#N)C[C@H]1C ZINC000611235875 363690505 /nfs/dbraw/zinc/69/05/05/363690505.db2.gz IHENVPVESSFPHO-NWDGAFQWSA-N 0 1 275.356 0.487 20 30 CCEDMN C[C@@H](CO)CN1CCN(c2ccc(C#N)cn2)CC1 ZINC000611235531 363689765 /nfs/dbraw/zinc/68/97/65/363689765.db2.gz KXRCOEWNHONQPI-GFCCVEGCSA-N 0 1 260.341 0.704 20 30 CCEDMN CSC[C@H](C)C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592646754 359907990 /nfs/dbraw/zinc/90/79/90/359907990.db2.gz QIERWQLBKDXLMF-ZETCQYMHSA-N 0 1 252.343 0.960 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H](C)OCC2CC2)[nH]n1C ZINC000592645920 359908345 /nfs/dbraw/zinc/90/83/45/359908345.db2.gz JWYSGJCXWLXBDA-VIFPVBQESA-N 0 1 262.313 0.776 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CC[C@H](N2CC=CC2)C1 ZINC000298047912 200323080 /nfs/dbraw/zinc/32/30/80/200323080.db2.gz WSKPOGNVPUJRFB-AWEZNQCLSA-N 0 1 291.395 0.884 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)[C@H](C)CCO ZINC000593109966 360042218 /nfs/dbraw/zinc/04/22/18/360042218.db2.gz PGIODGHDSUEZFA-YPMHNXCESA-N 0 1 255.362 0.744 20 30 CCEDMN N#Cc1ncn(CC(=O)N2CCNC[C@H]2c2ccccc2)n1 ZINC000563339774 291214491 /nfs/dbraw/zinc/21/44/91/291214491.db2.gz SDXVXWRCSGWREF-ZDUSSCGKSA-N 0 1 296.334 0.323 20 30 CCEDMN CC(C)n1cnc(=NC(=O)c2cc(C#N)ccn2)[nH]1 ZINC000593780847 360150570 /nfs/dbraw/zinc/15/05/70/360150570.db2.gz DJTKYJOCRHMJAL-UHFFFAOYSA-N 0 1 256.269 0.800 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)c1 ZINC000593858218 360178661 /nfs/dbraw/zinc/17/86/61/360178661.db2.gz BLMYJDAVIYMPEZ-UHFFFAOYSA-N 0 1 297.322 0.029 20 30 CCEDMN Cc1cc2c(cc1C#N)[nH]nc2NC(=O)[C@@H]1CNCCO1 ZINC000593916033 360210271 /nfs/dbraw/zinc/21/02/71/360210271.db2.gz BJWPWTUHXRJNKC-LBPRGKRZSA-N 0 1 285.307 0.092 20 30 CCEDMN C=CCCOCC(=O)Nc1[nH]cnc1C(=O)OC ZINC000594020603 360237298 /nfs/dbraw/zinc/23/72/98/360237298.db2.gz ORAHDPAYJWUAGI-UHFFFAOYSA-N 0 1 253.258 0.728 20 30 CCEDMN C[C@H](NCC(=O)N1CCNC1=O)c1cccc(C#N)c1 ZINC000611387561 363711747 /nfs/dbraw/zinc/71/17/47/363711747.db2.gz PYTBXMWXOLRBNT-JTQLQIEISA-N 0 1 272.308 0.761 20 30 CCEDMN CNC(=O)NC(=O)CN[C@@H](C)c1cccc(C#N)c1 ZINC000611389062 363712655 /nfs/dbraw/zinc/71/26/55/363712655.db2.gz PPOSASLFQMBKDS-VIFPVBQESA-N 0 1 260.297 0.664 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@]2(C#N)CCSC2)C1 ZINC000594257329 360265139 /nfs/dbraw/zinc/26/51/39/360265139.db2.gz IFHPAMRIPAOQCF-PWSUYJOCSA-N 0 1 253.371 0.844 20 30 CCEDMN N#Cc1cnc(N2CCN3C[C@H](O)C[C@H]3C2)c(Cl)c1 ZINC000594603982 360338530 /nfs/dbraw/zinc/33/85/30/360338530.db2.gz QGXJMPCKPNFCJR-WDEREUQCSA-N 0 1 278.743 0.862 20 30 CCEDMN N#Cc1cnn(CCn2cc(CNC3CC3)nn2)c1 ZINC000594645623 360345391 /nfs/dbraw/zinc/34/53/91/360345391.db2.gz OBXVNJZRTBMARR-UHFFFAOYSA-N 0 1 257.301 0.298 20 30 CCEDMN Cn1nnc2c1CC[C@@H](NCc1nc(C#N)cs1)C2 ZINC000594517519 360324497 /nfs/dbraw/zinc/32/44/97/360324497.db2.gz WWBZAGLXXZQDDK-MRVPVSSYSA-N 0 1 274.353 0.790 20 30 CCEDMN C=CCCSCCNC(=O)C[C@@H]1COCCN1 ZINC000595255880 360459561 /nfs/dbraw/zinc/45/95/61/360459561.db2.gz JGYMTTUVNPQKST-LLVKDONJSA-N 0 1 258.387 0.790 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)CC2(CC#N)CC2)CCN1 ZINC000595411343 360524121 /nfs/dbraw/zinc/52/41/21/360524121.db2.gz HLEOYQWHLZSTHM-GFCCVEGCSA-N 0 1 285.413 0.940 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)N(C)[C@H](C)CC#N)CCN1 ZINC000595413537 360525619 /nfs/dbraw/zinc/52/56/19/360525619.db2.gz VCCLDINIRFYFMS-NEPJUHHUSA-N 0 1 288.417 0.395 20 30 CCEDMN N#Cc1cccc(N2CCN(C[C@H]3CNC(=O)C3)CC2)n1 ZINC000595435855 360532678 /nfs/dbraw/zinc/53/26/78/360532678.db2.gz WCCRFBNWAVMLKD-GFCCVEGCSA-N 0 1 285.351 0.211 20 30 CCEDMN CCCN1CC[C@@H](NS(=O)(=O)N(C)C[C@@H](C)C#N)C1 ZINC000595357490 360506719 /nfs/dbraw/zinc/50/67/19/360506719.db2.gz ZVSHAXPZSSJBHZ-NWDGAFQWSA-N 0 1 288.417 0.397 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CC[C@@](C)(C#N)C2)C1 ZINC000595498570 360551371 /nfs/dbraw/zinc/55/13/71/360551371.db2.gz FHDXSIFERRUCKJ-OCCSQVGLSA-N 0 1 279.388 0.177 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N(C)Cc1cnc[nH]1 ZINC000595591406 360591256 /nfs/dbraw/zinc/59/12/56/360591256.db2.gz GUPGUJBPCPDUFG-SNVBAGLBSA-N 0 1 261.329 0.792 20 30 CCEDMN CNC(=O)CNC1(c2cccc(C#N)c2)CCOCC1 ZINC000595768271 360647403 /nfs/dbraw/zinc/64/74/03/360647403.db2.gz IALWEGAJGMJMAE-UHFFFAOYSA-N 0 1 273.336 0.900 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N1CCCC[C@H]1C(=O)OCC ZINC000595864320 360684870 /nfs/dbraw/zinc/68/48/70/360684870.db2.gz XLJACJHACHNYPJ-NEPJUHHUSA-N 0 1 284.356 0.067 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)Nc1c(C#N)cnn1C ZINC000596124193 360803955 /nfs/dbraw/zinc/80/39/55/360803955.db2.gz SAUQLAHKCHLWRK-LLVKDONJSA-N 0 1 262.361 0.340 20 30 CCEDMN C[C@@H]1CN(c2cc(C#N)cnn2)CCN1CC(C)(C)O ZINC000596223323 360841993 /nfs/dbraw/zinc/84/19/93/360841993.db2.gz QGJDKCVSMOYPQA-LLVKDONJSA-N 0 1 275.356 0.630 20 30 CCEDMN C=CCCNC(=O)N=c1[nH]n(C)cc1C(=O)OCC ZINC000596825800 360962296 /nfs/dbraw/zinc/96/22/96/360962296.db2.gz ZYVYFAOAWBVMLQ-UHFFFAOYSA-N 0 1 266.301 0.716 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)C[C@@H]1N[C@@H]1CCC[C@H](C#N)C1 ZINC000597192727 361027765 /nfs/dbraw/zinc/02/77/65/361027765.db2.gz TTWBKVMDRVXNGV-WHOHXGKFSA-N 0 1 272.370 0.470 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000597302477 361057101 /nfs/dbraw/zinc/05/71/01/361057101.db2.gz XZXADAPGXQQEOM-STQMWFEESA-N 0 1 265.357 0.717 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000597346517 361067180 /nfs/dbraw/zinc/06/71/80/361067180.db2.gz KBICAIKPUFRPGE-OLZOCXBDSA-N 0 1 265.357 0.717 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2CN3CCN2CCC3)[nH]1 ZINC000597627397 361166695 /nfs/dbraw/zinc/16/66/95/361166695.db2.gz ZEFNKOYSPYYBRL-GFCCVEGCSA-N 0 1 273.340 0.006 20 30 CCEDMN N#C[C@H](CO)NC(=O)CC1(N2CCOCC2)CCCC1 ZINC000597748127 361232598 /nfs/dbraw/zinc/23/25/98/361232598.db2.gz VZYPCUAIQCXADP-GFCCVEGCSA-N 0 1 281.356 0.022 20 30 CCEDMN CC(C#N)C(=O)N[C@@]1(C(=O)OC(C)(C)C)CCOC1 ZINC000598155768 361345037 /nfs/dbraw/zinc/34/50/37/361345037.db2.gz IIRZWYWXZVGUIO-RNCFNFMXSA-N 0 1 268.313 0.763 20 30 CCEDMN Cc1onc(CC(=O)N(C)CC2CN(C)C2)c1C#N ZINC000598162600 361346782 /nfs/dbraw/zinc/34/67/82/361346782.db2.gz KBCKRCIGFJZXGS-UHFFFAOYSA-N 0 1 262.313 0.417 20 30 CCEDMN N#Cc1ccc(CNC(CO)CO)c(OC(F)F)c1 ZINC000564095940 291266198 /nfs/dbraw/zinc/26/61/98/291266198.db2.gz BDDQNUJWIGGUDF-UHFFFAOYSA-N 0 1 272.251 0.602 20 30 CCEDMN C[C@@H]1C(=O)NCCCN1C[C@H](O)CC(C)(C)C#N ZINC000598617398 361459909 /nfs/dbraw/zinc/45/99/09/361459909.db2.gz SYHJSPBAQUQMSB-GHMZBOCLSA-N 0 1 253.346 0.498 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCOC[C@@H]1c1nnc[nH]1 ZINC000598615690 361460443 /nfs/dbraw/zinc/46/04/43/361460443.db2.gz RNCHGWCAOGEAQG-GHMZBOCLSA-N 0 1 279.344 0.479 20 30 CCEDMN Cc1nc([C@H]2CN(C[C@H](O)CC(C)(C)C#N)CCO2)n[nH]1 ZINC000598591992 361451505 /nfs/dbraw/zinc/45/15/05/361451505.db2.gz DICMPCVVOSKNHL-VXGBXAGGSA-N 0 1 293.371 0.787 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCN2C(=O)CC[C@H]2C1 ZINC000598601750 361456716 /nfs/dbraw/zinc/45/67/16/361456716.db2.gz VTDHJYSNIYIKTO-NWDGAFQWSA-N 0 1 265.357 0.594 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cnc3n2CCC3)[nH]n1C ZINC000598846928 361526143 /nfs/dbraw/zinc/52/61/43/361526143.db2.gz WZILSCCSQHFABG-UHFFFAOYSA-N 0 1 270.296 0.417 20 30 CCEDMN CC(C)[C@@H](C#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599412298 361689899 /nfs/dbraw/zinc/68/98/99/361689899.db2.gz MXENEGWZAPEHLR-SNVBAGLBSA-N 0 1 296.352 0.731 20 30 CCEDMN CC(C)OCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000612573645 363961886 /nfs/dbraw/zinc/96/18/86/363961886.db2.gz ZBZZVGNWRUFCDN-UHFFFAOYSA-N 0 1 251.290 0.260 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000613252119 364180870 /nfs/dbraw/zinc/18/08/70/364180870.db2.gz BBJKQDUDPFLKRO-ZJUUUORDSA-N 0 1 264.329 0.525 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000614504662 364634299 /nfs/dbraw/zinc/63/42/99/364634299.db2.gz XURMNZBIZDXKIZ-GDBMZVCRSA-N 0 1 295.427 0.721 20 30 CCEDMN C=CCCCCCNC(=O)C(=O)N1CCN[C@H](C)C1 ZINC000656042897 412736709 /nfs/dbraw/zinc/73/67/09/412736709.db2.gz WQHTUNCMMFBHIR-GFCCVEGCSA-N 0 1 267.373 0.669 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@@H](CCO)C2)CCC1 ZINC000615224409 364889493 /nfs/dbraw/zinc/88/94/93/364889493.db2.gz XPZQFRJBDJOJEJ-LBPRGKRZSA-N 0 1 265.357 0.643 20 30 CCEDMN CC[C@@]1(C)CN(C(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000615500865 364984436 /nfs/dbraw/zinc/98/44/36/364984436.db2.gz SCJKQKYNMKDIRM-ZDUSSCGKSA-N 0 1 277.328 0.746 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1ccc(C#N)c(N)n1 ZINC000565227508 291363559 /nfs/dbraw/zinc/36/35/59/291363559.db2.gz YOZPAJXQUHRIRH-WDEREUQCSA-N 0 1 275.356 0.427 20 30 CCEDMN C=CCCOCC(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000622610447 367220566 /nfs/dbraw/zinc/22/05/66/367220566.db2.gz VPJOPXKCMXELBB-CYBMUJFWSA-N 0 1 287.323 0.998 20 30 CCEDMN C[C@@H](O)[C@@H]1CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000566243380 291382589 /nfs/dbraw/zinc/38/25/89/291382589.db2.gz ULPNCAYJNPFIDN-ZYHUDNBSSA-N 0 1 271.324 0.804 20 30 CCEDMN C=CC[C@@H]1CCN(CC(=O)N[C@H](C)C(=O)N(C)C)C1 ZINC000625703709 368298490 /nfs/dbraw/zinc/29/84/90/368298490.db2.gz ONXLBCWHZDGATJ-VXGBXAGGSA-N 0 1 267.373 0.477 20 30 CCEDMN C=CCN(C(=O)NC[C@@H]1COCCN1)[C@H](C)COC ZINC000627191738 368862529 /nfs/dbraw/zinc/86/25/29/368862529.db2.gz VYIGAMHCSKKWRY-VXGBXAGGSA-N 0 1 271.361 0.207 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC000627434706 369001635 /nfs/dbraw/zinc/00/16/35/369001635.db2.gz QCILXOGJGMSMON-GHMZBOCLSA-N 0 1 285.363 0.603 20 30 CCEDMN C=CCCN(C)C(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000276210374 193244464 /nfs/dbraw/zinc/24/44/64/193244464.db2.gz ZWOWBPZNBUUZKQ-GFCCVEGCSA-N 0 1 282.388 0.544 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC000631229260 370428545 /nfs/dbraw/zinc/42/85/45/370428545.db2.gz HUXBJWBYBGXWKU-GFCCVEGCSA-N 0 1 251.374 0.705 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NCCN1CC[C@H](O)C1 ZINC000637117667 373939502 /nfs/dbraw/zinc/93/95/02/373939502.db2.gz ZTWGPFXKJPTYDO-IHRRRGAJSA-N 0 1 297.399 0.333 20 30 CCEDMN C=CCC1(NC(=O)c2cnc(CN)nc2)CCOCC1 ZINC000638414360 375012526 /nfs/dbraw/zinc/01/25/26/375012526.db2.gz OFUZCQCHHGMMBJ-UHFFFAOYSA-N 0 1 276.340 0.790 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@H](O)C[C@]1(C)CO ZINC000639855077 376080492 /nfs/dbraw/zinc/08/04/92/376080492.db2.gz LWEMPJGGQDMDSR-TZMCWYRMSA-N 0 1 270.373 0.229 20 30 CCEDMN C=CCC[C@H](O)CN1CCCC[C@@H]1c1nc(=O)[nH][nH]1 ZINC000289041083 388867676 /nfs/dbraw/zinc/86/76/76/388867676.db2.gz BOFPLKVRGVAYCL-WDEREUQCSA-N 0 1 266.345 0.952 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCCC[C@@H]1CC(=O)[O-] ZINC000038027474 388849556 /nfs/dbraw/zinc/84/95/56/388849556.db2.gz JJKDPVYWEGVJLT-SNVBAGLBSA-N 0 1 283.328 0.327 20 30 CCEDMN CN(CCC(=O)Nc1sccc1C#N)CC(N)=O ZINC000047628952 388881484 /nfs/dbraw/zinc/88/14/84/388881484.db2.gz JMALPSFOEZOLNY-UHFFFAOYSA-N 0 1 266.326 0.365 20 30 CCEDMN C#CCNC(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000061205278 388936743 /nfs/dbraw/zinc/93/67/43/388936743.db2.gz MPHGXPKSYMHWET-UHFFFAOYSA-N 0 1 273.317 0.808 20 30 CCEDMN CCC(C#N)(CC)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000068808259 388949882 /nfs/dbraw/zinc/94/98/82/388949882.db2.gz UFMPFYHEEIWORT-GFCCVEGCSA-N 0 1 266.389 0.678 20 30 CCEDMN CCN(CCC#N)C(=O)CN(CC(C)(C)O)C1CC1 ZINC000189894201 388976488 /nfs/dbraw/zinc/97/64/88/388976488.db2.gz BBCOCLBZQUJGKH-UHFFFAOYSA-N 0 1 267.373 0.984 20 30 CCEDMN CCN1CCC[C@H]1CN(C)S(=O)(=O)[C@@H](C)C#N ZINC000092631550 389030993 /nfs/dbraw/zinc/03/09/93/389030993.db2.gz VVVUHUXYKYOEQP-QWRGUYRKSA-N 0 1 259.375 0.644 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)NC[C@H]1CCCN1C ZINC000336916156 389031820 /nfs/dbraw/zinc/03/18/20/389031820.db2.gz MKXGIYRXPNGVER-GHMZBOCLSA-N 0 1 274.390 0.006 20 30 CCEDMN N#Cc1c(N)n[nH]c1CCCNc1ncnc2[nH]cnc21 ZINC000298458562 389021717 /nfs/dbraw/zinc/02/17/17/389021717.db2.gz XEZPYZNEWKLSDF-UHFFFAOYSA-N 0 1 283.299 0.575 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@@H]([C@@H]2CCCO2)C1 ZINC000092800723 389032562 /nfs/dbraw/zinc/03/25/62/389032562.db2.gz MVNVDRLNUIFFIS-UONOGXRCSA-N 0 1 295.383 0.628 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N(C)CCOCCO ZINC000337451047 389061894 /nfs/dbraw/zinc/06/18/94/389061894.db2.gz NNMCZVPANCVYPA-CYBMUJFWSA-N 0 1 272.389 0.740 20 30 CCEDMN CC[C@H](CO)N1CCN(c2ccc(C#N)nn2)CC1 ZINC000302010630 389062267 /nfs/dbraw/zinc/06/22/67/389062267.db2.gz WDINZBJDTIFXKR-GFCCVEGCSA-N 0 1 261.329 0.241 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC[C@@H](CO)C1 ZINC000302834950 389079466 /nfs/dbraw/zinc/07/94/66/389079466.db2.gz XVLWTMJNSXOEEC-VXGBXAGGSA-N 0 1 253.346 0.451 20 30 CCEDMN C[C@@H](CC#N)N(C)C[C@@H](O)CN1C[C@@H](C)O[C@H](C)C1 ZINC000305078288 389083649 /nfs/dbraw/zinc/08/36/49/389083649.db2.gz WGPCJVMQJDWYCH-REWJHTLYSA-N 0 1 269.389 0.690 20 30 CCEDMN C[C@@H]1CCCN(CC(=O)N(CCC#N)CCC#N)[C@@H]1CO ZINC000305445476 389086197 /nfs/dbraw/zinc/08/61/97/389086197.db2.gz PDTPFRZMOWDWIJ-ZIAGYGMSSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000337051338 389038825 /nfs/dbraw/zinc/03/88/25/389038825.db2.gz JRAVZUJZMYLBDM-NSHDSACASA-N 0 1 289.339 0.960 20 30 CCEDMN CC(C)(C)CN(CCC#N)CCC(=O)NC(N)=O ZINC000109813594 389100156 /nfs/dbraw/zinc/10/01/56/389100156.db2.gz INSKVAJLFYTXLY-UHFFFAOYSA-N 0 1 254.334 0.833 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1cccc2nc(C)[nH]c21 ZINC000338577466 389113022 /nfs/dbraw/zinc/11/30/22/389113022.db2.gz RUNRKAQAVZMMOH-UHFFFAOYSA-N 0 1 272.308 0.903 20 30 CCEDMN C=CCOCCN1CCC(NS(=O)(=O)CCC)CC1 ZINC000338910458 389118503 /nfs/dbraw/zinc/11/85/03/389118503.db2.gz CNYMJWUMDZSIRS-UHFFFAOYSA-N 0 1 290.429 0.983 20 30 CCEDMN C[C@@](NCCOCCC#N)(C(N)=O)c1ccccc1 ZINC000339029253 389122536 /nfs/dbraw/zinc/12/25/36/389122536.db2.gz LKDBXCMZBFTWOI-AWEZNQCLSA-N 0 1 261.325 0.907 20 30 CCEDMN C[C@H](CO)[N@H+]1CCCN(Cc2nc(C#N)cs2)CC1 ZINC000356390783 389124204 /nfs/dbraw/zinc/12/42/04/389124204.db2.gz JKGCJEKDRGUNJG-LLVKDONJSA-N 0 1 280.397 0.903 20 30 CCEDMN C[C@H](CO)N1CCCN(Cc2nc(C#N)cs2)CC1 ZINC000356390783 389124207 /nfs/dbraw/zinc/12/42/07/389124207.db2.gz JKGCJEKDRGUNJG-LLVKDONJSA-N 0 1 280.397 0.903 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cc([O-])ccc1[N+](=O)[O-] ZINC000328286242 389128154 /nfs/dbraw/zinc/12/81/54/389128154.db2.gz QLNTVZRLRGTJFN-UHFFFAOYSA-N 0 1 291.307 0.985 20 30 CCEDMN C[C@H](CN1CCOCC1)NC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000328745744 389135551 /nfs/dbraw/zinc/13/55/51/389135551.db2.gz AHBSZVTXDINCJY-MNOVXSKESA-N 0 1 293.371 0.587 20 30 CCEDMN C[C@H](CN1CCOCC1)NC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000328745744 389135554 /nfs/dbraw/zinc/13/55/54/389135554.db2.gz AHBSZVTXDINCJY-MNOVXSKESA-N 0 1 293.371 0.587 20 30 CCEDMN CCN(CC(=O)N[C@](C)(C#N)C(C)C)[C@@H](C)CO ZINC000339627832 389136801 /nfs/dbraw/zinc/13/68/01/389136801.db2.gz LICXRDROUBSQJY-WCQYABFASA-N 0 1 255.362 0.744 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)NC[C@@H]1CCCN1CCOC ZINC000341525002 389189915 /nfs/dbraw/zinc/18/99/15/389189915.db2.gz AEZWAMWKVSLJAY-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN N#CCCCC(=O)Nc1nc(SCCO)n[nH]1 ZINC000360367377 389196928 /nfs/dbraw/zinc/19/69/28/389196928.db2.gz VXVLJZWPAWSXPV-UHFFFAOYSA-N 0 1 255.303 0.521 20 30 CCEDMN C#C[C@H](C)NS(=O)(=O)c1scnc1C(=O)OC ZINC000122966671 389154364 /nfs/dbraw/zinc/15/43/64/389154364.db2.gz MTAWYXQJOOLUID-LURJTMIESA-N 0 1 274.323 0.230 20 30 CCEDMN C[C@H](NC(=O)N[C@@H]1CCO[C@H](C)C1)c1nnc[nH]1 ZINC000329306675 389156202 /nfs/dbraw/zinc/15/62/02/389156202.db2.gz YSXNHPWUIQUNAK-HRDYMLBCSA-N 0 1 253.306 0.937 20 30 CCEDMN CN1CCC[C@H](NC(=O)c2cccn3c(O)nnc23)C1=O ZINC000329346977 389158848 /nfs/dbraw/zinc/15/88/48/389158848.db2.gz RPSOFMVHDBYGEQ-VIFPVBQESA-N 0 1 289.295 0.360 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCc2cccnc2)C1 ZINC000329366720 389159679 /nfs/dbraw/zinc/15/96/79/389159679.db2.gz KOGQFNQHMPVPBX-CYBMUJFWSA-N 0 1 277.372 0.331 20 30 CCEDMN Cc1noc(C)c1CNC(=O)NC[C@H]1CN(C)CCN1C ZINC000329384234 389160573 /nfs/dbraw/zinc/16/05/73/389160573.db2.gz RMGITEYZKLISLL-LBPRGKRZSA-N 0 1 295.387 0.541 20 30 CCEDMN Cn1nnc2cc(C(=O)N[C@H]3CCc4nc[nH]c4C3)cnc21 ZINC000329411481 389162996 /nfs/dbraw/zinc/16/29/96/389162996.db2.gz DVWKWPNVTCOKHX-VIFPVBQESA-N 0 1 297.322 0.949 20 30 CCEDMN C[C@H](CN1CCCC1=O)NC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000329514946 389168304 /nfs/dbraw/zinc/16/83/04/389168304.db2.gz DAVVKIKKYNUULO-BDAKNGLRSA-N 0 1 280.332 0.380 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC(=O)NC(C)(C)C)C[C@H]1C(N)=O ZINC000330557172 389240838 /nfs/dbraw/zinc/24/08/38/389240838.db2.gz HPNMHLGRDRUDAL-RKDXNWHRSA-N 0 1 284.360 0.918 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N(C)CC2CCOCC2)C1 ZINC000330560256 389241152 /nfs/dbraw/zinc/24/11/52/389241152.db2.gz HPBLKDZOAGYEAH-AWEZNQCLSA-N 0 1 299.415 0.980 20 30 CCEDMN C[C@H](CC#N)N(C)C[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000248328138 389244735 /nfs/dbraw/zinc/24/47/35/389244735.db2.gz CSJVFECTUKTZNW-VXGBXAGGSA-N 0 1 273.402 0.892 20 30 CCEDMN COc1cc(C(=O)N[C@@H](CO)CN2CCCCC2)on1 ZINC000330608488 389245674 /nfs/dbraw/zinc/24/56/74/389245674.db2.gz MUORFZKGOYLPAZ-SNVBAGLBSA-N 0 1 283.328 0.835 20 30 CCEDMN C=CC[C@H](CO)NC(=O)N[C@H]1C[C@@H](C)[N@H+](C2CC2)C1 ZINC000248591703 389247925 /nfs/dbraw/zinc/24/79/25/389247925.db2.gz RMPBQGVAZBBIGE-UTUOFQBUSA-N 0 1 267.373 0.848 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000134929959 389250946 /nfs/dbraw/zinc/25/09/46/389250946.db2.gz MFCRKIUDUWLTSQ-HNNXBMFYSA-N 0 1 281.400 0.821 20 30 CCEDMN CN(C)c1ncccc1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000330713172 389253329 /nfs/dbraw/zinc/25/33/29/389253329.db2.gz ZFBKZUXIXRDOOG-GFCCVEGCSA-N 0 1 291.399 0.698 20 30 CCEDMN CC1CCN(CC(=O)N2CCN(C3CC3)[C@@H](C#N)C2)CC1 ZINC000363871374 389255087 /nfs/dbraw/zinc/25/50/87/389255087.db2.gz CHWZOPPPZJXODR-HNNXBMFYSA-N 0 1 290.411 0.917 20 30 CCEDMN CC(=O)NC1CN(C(=O)CN(C)[C@H]2CCSC2)C1 ZINC000329899773 389209395 /nfs/dbraw/zinc/20/93/95/389209395.db2.gz FRXCKZCVDOFJQC-NSHDSACASA-N 0 1 271.386 0.611 20 30 CCEDMN C=CC[C@@H](C)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000342483364 389218981 /nfs/dbraw/zinc/21/89/81/389218981.db2.gz DTRJGODZBWRXEF-NEPJUHHUSA-N 0 1 254.378 0.496 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@](C)(O)C1 ZINC000245962721 389222163 /nfs/dbraw/zinc/22/21/63/389222163.db2.gz VBXZCIDGUHBKBG-OCCSQVGLSA-N 0 1 267.373 0.841 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@@H]1CO ZINC000362070830 389229193 /nfs/dbraw/zinc/22/91/93/389229193.db2.gz XAURMXSRSQANTK-GYSYKLTISA-N 0 1 265.357 0.355 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@@](C)(CC)C(N)=O ZINC000133175554 389232207 /nfs/dbraw/zinc/23/22/07/389232207.db2.gz VVLYIENSCUTLJX-GWCFXTLKSA-N 0 1 268.361 0.238 20 30 CCEDMN COC(=O)c1cc(C(=O)NC[C@H]2CN(C)CCN2C)c[nH]1 ZINC000330496765 389235244 /nfs/dbraw/zinc/23/52/44/389235244.db2.gz YKBBEJSDNMFDFF-NSHDSACASA-N 0 1 294.355 0.352 20 30 CCEDMN CN(CCC#N)S(=O)(=O)NCCN1CCCCC1 ZINC000330490415 389235637 /nfs/dbraw/zinc/23/56/37/389235637.db2.gz DWVUVPRULWZCIF-UHFFFAOYSA-N 0 1 274.390 0.152 20 30 CCEDMN CNC(=O)NC(=O)CCN1C[C@@H](COC)OC(C)(C)C1 ZINC000330532218 389238311 /nfs/dbraw/zinc/23/83/11/389238311.db2.gz NQJGCSMGNODMQM-JTQLQIEISA-N 0 1 287.360 0.162 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000330539686 389239374 /nfs/dbraw/zinc/23/93/74/389239374.db2.gz UZFPEUVEVVGDFQ-NXEZZACHSA-N 0 1 261.329 0.918 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000330539686 389239376 /nfs/dbraw/zinc/23/93/76/389239376.db2.gz UZFPEUVEVVGDFQ-NXEZZACHSA-N 0 1 261.329 0.918 20 30 CCEDMN CCN1CCN([C@H]2CCN(C(=O)NCCCC#N)C2)CC1 ZINC000345822860 389315597 /nfs/dbraw/zinc/31/55/97/389315597.db2.gz YEOROAQUXUDCOO-AWEZNQCLSA-N 0 1 293.415 0.712 20 30 CCEDMN C[C@@H]1[C@H](C)N(C)CCN1CC(=O)NC1(C#N)CCC1 ZINC000347009671 389343419 /nfs/dbraw/zinc/34/34/19/389343419.db2.gz GFGLCWRDCQDOTE-NWDGAFQWSA-N 0 1 264.373 0.573 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N1CCc2[nH]nnc2C1 ZINC000346065621 389321230 /nfs/dbraw/zinc/32/12/30/389321230.db2.gz PBMHSBOVGNJIPJ-ZDUSSCGKSA-N 0 1 264.329 0.918 20 30 CCEDMN CC[C@H](O)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000264433121 389328000 /nfs/dbraw/zinc/32/80/00/389328000.db2.gz KYQLGCFOUXYNKC-ZDUSSCGKSA-N 0 1 260.341 0.846 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCOC[C@@H](C)C1 ZINC000332055125 389328173 /nfs/dbraw/zinc/32/81/73/389328173.db2.gz WZDREFAFKMEZJK-QWRGUYRKSA-N 0 1 269.345 0.355 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@](C)(O)C1 ZINC000346421505 389328671 /nfs/dbraw/zinc/32/86/71/389328671.db2.gz AMWGDFXHIHKOSL-ZYHUDNBSSA-N 0 1 251.330 0.394 20 30 CCEDMN N#Cc1cccnc1NCCCN1CCC[C@@H]1C(N)=O ZINC000264587746 389337369 /nfs/dbraw/zinc/33/73/69/389337369.db2.gz KXIAKASTMIJODO-GFCCVEGCSA-N 0 1 273.340 0.705 20 30 CCEDMN C=CCNC(=O)CNC(=O)N1C[C@H](C)N(CC)C[C@@H]1C ZINC000346835225 389339225 /nfs/dbraw/zinc/33/92/25/389339225.db2.gz UEUZOLUPNDODGW-RYUDHWBXSA-N 0 1 282.388 0.413 20 30 CCEDMN CC(C)N1CCN(CC(=O)NC2(C#N)CCC2)CC1 ZINC000346867864 389340665 /nfs/dbraw/zinc/34/06/65/389340665.db2.gz LJAPMAZWBKKXLV-UHFFFAOYSA-N 0 1 264.373 0.575 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1CC[C@@H](CO)C1 ZINC000331014983 389283965 /nfs/dbraw/zinc/28/39/65/389283965.db2.gz XOJSLIRNKGFADM-YRGRVCCFSA-N 0 1 267.373 0.744 20 30 CCEDMN N#Cc1cccc(C(=O)NC2(c3nn[nH]n3)CC2)c1 ZINC000348278136 389372272 /nfs/dbraw/zinc/37/22/72/389372272.db2.gz TZWSPIOHENXIJV-UHFFFAOYSA-N 0 1 254.253 0.490 20 30 CCEDMN C=CCOCCCN(C)C[C@@H]1CCS(=O)(=O)C1 ZINC000348056222 389363986 /nfs/dbraw/zinc/36/39/86/389363986.db2.gz QQVHXZRGQDZMNB-LBPRGKRZSA-N 0 1 261.387 0.946 20 30 CCEDMN C=CCC[C@H](O)CN1CC[C@@H](S(=O)(=O)NC(C)C)C1 ZINC000370857099 389420419 /nfs/dbraw/zinc/42/04/19/389420419.db2.gz XGWJOPYRUBQVMW-QWHCGFSZSA-N 0 1 290.429 0.716 20 30 CCEDMN CO[C@@H]1COC[C@@H]1N[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000333825228 389445885 /nfs/dbraw/zinc/44/58/85/389445885.db2.gz JWRSSDVDVSFVRE-RWMBFGLXSA-N 0 1 295.383 0.721 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H](O)C2(CC2)C1 ZINC000372294247 389447022 /nfs/dbraw/zinc/44/70/22/389447022.db2.gz IQLCEBWXBDCZJW-LBPRGKRZSA-N 0 1 250.342 0.644 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000334070085 389454212 /nfs/dbraw/zinc/45/42/12/389454212.db2.gz GPYDDEWTYDTDLU-FQUUOJAGSA-N 0 1 297.399 0.426 20 30 CCEDMN O=C1NC(=O)[C@@H]2CN(CC#Cc3cccc(Cl)c3)C[C@H]12 ZINC000334701601 389502716 /nfs/dbraw/zinc/50/27/16/389502716.db2.gz OWSDIFHRHYKUQF-BETUJISGSA-N 0 1 288.734 0.896 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)N[C@@H]2CC[C@H](C#N)C2)[C@@H](C)C1 ZINC000425860551 389658547 /nfs/dbraw/zinc/65/85/47/389658547.db2.gz KMPYXWFSQVLMOX-XDQVBPFNSA-N 0 1 294.399 0.775 20 30 CCEDMN Cc1cc(C#N)cc(NC(=O)C(=O)N2CCNC[C@@H]2C)c1 ZINC000415350714 389676679 /nfs/dbraw/zinc/67/66/79/389676679.db2.gz ZMSMMOFGPUGZMQ-NSHDSACASA-N 0 1 286.335 0.626 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN(CCO)CC1CC1 ZINC000352451570 389813306 /nfs/dbraw/zinc/81/33/06/389813306.db2.gz XRTLVRYXFYMYTK-UHFFFAOYSA-N 0 1 278.356 0.347 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N2CCC(C#N)CC2)C1 ZINC000408195390 389833492 /nfs/dbraw/zinc/83/34/92/389833492.db2.gz OVFFUKJQXWPWQM-CYBMUJFWSA-N 0 1 280.372 0.652 20 30 CCEDMN C=C(C)COCCNC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000419493684 389892844 /nfs/dbraw/zinc/89/28/44/389892844.db2.gz NBLWZQRFSCGRNM-ZIAGYGMSSA-N 0 1 299.415 0.676 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N1CC[C@@H](CO)C1 ZINC000446022621 389928401 /nfs/dbraw/zinc/92/84/01/389928401.db2.gz XOJSLIRNKGFADM-OUCADQQQSA-N 0 1 267.373 0.744 20 30 CCEDMN Cc1cc(C(=O)NC(C)(C)c2nn[nH]n2)ncc1C#N ZINC000457596284 390046980 /nfs/dbraw/zinc/04/69/80/390046980.db2.gz JPBDKDOJEOPFMJ-UHFFFAOYSA-N 0 1 271.284 0.440 20 30 CCEDMN Cn1nccc1[C@@H](CO)NCCOc1ccccc1C#N ZINC000459738717 390076089 /nfs/dbraw/zinc/07/60/89/390076089.db2.gz BJEJQIVBNVKVPQ-CYBMUJFWSA-N 0 1 286.335 0.994 20 30 CCEDMN CCOC(=O)c1cnn(Cc2nnc(C)[nH]2)c1C#N ZINC000488282662 390092595 /nfs/dbraw/zinc/09/25/95/390092595.db2.gz KLPRBLBPFIGWDL-UHFFFAOYSA-N 0 1 260.257 0.406 20 30 CCEDMN CNC(=O)[C@H](C)Nc1cc(C#N)c2cc([N+](=O)[O-])ccc2n1 ZINC000488746493 390095882 /nfs/dbraw/zinc/09/58/82/390095882.db2.gz MPSAHGYQXOUTTC-QMMMGPOBSA-N 0 1 299.290 0.983 20 30 CCEDMN CN1CCN(CCNC(=O)/C=C\c2ccc(C#N)cc2)CC1 ZINC000490604313 390106452 /nfs/dbraw/zinc/10/64/52/390106452.db2.gz HDGIGXYZDIWYRK-SREVYHEPSA-N 0 1 298.390 0.935 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@H]2CCCc3[nH]ncc32)nn1 ZINC000644785881 390313395 /nfs/dbraw/zinc/31/33/95/390313395.db2.gz FGTLRIUKGRUGQC-NSHDSACASA-N 0 1 286.339 0.924 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H](C)Cc2cc(C)[nH]n2)nn1 ZINC000648832215 390418137 /nfs/dbraw/zinc/41/81/37/390418137.db2.gz CLPYCYCKLYHTLJ-VIFPVBQESA-N 0 1 274.328 0.857 20 30 CCEDMN CC[C@H]1C[N@@H+](C)CCN1C(=O)CNc1ccc(C#N)cn1 ZINC000554635554 390437292 /nfs/dbraw/zinc/43/72/92/390437292.db2.gz FPWDFBKQFQLKJI-ZDUSSCGKSA-N 0 1 287.367 0.340 20 30 CCEDMN CC[C@H]1CN(C)CCN1C(=O)CNc1ccc(C#N)cn1 ZINC000554635554 390437296 /nfs/dbraw/zinc/43/72/96/390437296.db2.gz FPWDFBKQFQLKJI-ZDUSSCGKSA-N 0 1 287.367 0.340 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H](C)c2n[nH]c(C)n2)nn1 ZINC000648903061 390440534 /nfs/dbraw/zinc/44/05/34/390440534.db2.gz CSQKITHZUXBQJY-ZETCQYMHSA-N 0 1 261.289 0.382 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H]2CCN(C3CC3)C2)nn1 ZINC000562994559 390492249 /nfs/dbraw/zinc/49/22/49/390492249.db2.gz CXZNZEJBLXCVAQ-NSHDSACASA-N 0 1 275.356 0.821 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)C(C)(C)C1 ZINC000564097148 390495079 /nfs/dbraw/zinc/49/50/79/390495079.db2.gz VGGFNPADYFBRBL-UHFFFAOYSA-N 0 1 268.361 0.024 20 30 CCEDMN Cc1nc([C@@H](C)NS(=O)(=O)CC2(C#N)CCC2)n[nH]1 ZINC000516605134 390483232 /nfs/dbraw/zinc/48/32/32/390483232.db2.gz SPRUWSDWRGZDCL-MRVPVSSYSA-N 0 1 283.357 0.787 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc3n[nH]nc3c2)CC1 ZINC000520247142 390562351 /nfs/dbraw/zinc/56/23/51/390562351.db2.gz VQGBCOUACDQOAH-UHFFFAOYSA-N 0 1 284.323 0.676 20 30 CCEDMN COC(=O)CSCCC(=O)NC1(C#N)CCN(C)CC1 ZINC000520246439 390562681 /nfs/dbraw/zinc/56/26/81/390562681.db2.gz JVUCIRXBZOKPKF-UHFFFAOYSA-N 0 1 299.396 0.387 20 30 CCEDMN C[C@H]1CN(CCCNc2ccnc(C#N)n2)C[C@H](C)O1 ZINC000521521160 390607753 /nfs/dbraw/zinc/60/77/53/390607753.db2.gz WMFBCXPYFGWNMZ-RYUDHWBXSA-N 0 1 275.356 0.681 20 30 CCEDMN C=CCN1CC[C@H](NC(C)(C)C(=O)N(C)C)C1=O ZINC000525695880 390664965 /nfs/dbraw/zinc/66/49/65/390664965.db2.gz IQQSEMKDBXYAFV-JTQLQIEISA-N 0 1 253.346 0.230 20 30 CCEDMN N#Cc1cc(F)ccc1NC(=O)NC[C@@H]1COCCN1 ZINC000528682719 390768111 /nfs/dbraw/zinc/76/81/11/390768111.db2.gz WLYZURDYPCCPDD-LLVKDONJSA-N 0 1 278.287 0.807 20 30 CCEDMN C=CCNc1ncc(C(=O)N2CCN[C@H](C)C2)s1 ZINC000572638236 390793920 /nfs/dbraw/zinc/79/39/20/390793920.db2.gz WNKZMESVPWBAMT-SECBINFHSA-N 0 1 266.370 0.597 20 30 CCEDMN COC(=O)[C@H](C)CC(=O)NC1(C#N)CCN(C)CC1 ZINC000532139659 390878946 /nfs/dbraw/zinc/87/89/46/390878946.db2.gz JFIZCVJVMXCFJY-SNVBAGLBSA-N 0 1 267.329 0.290 20 30 CCEDMN CC(C)c1nc(CN2CCN(C(=O)CC#N)CC2)n[nH]1 ZINC000575000029 390829813 /nfs/dbraw/zinc/82/98/13/390829813.db2.gz RZDHKDJBIJYGDS-UHFFFAOYSA-N 0 1 276.344 0.486 20 30 CCEDMN N#Cc1ccc(NCC(=O)N[C@H]2CCc3nc[nH]c3C2)nc1 ZINC000578509370 390888492 /nfs/dbraw/zinc/88/84/92/390888492.db2.gz DQLRJTVLPIBSIX-NSHDSACASA-N 0 1 296.334 0.184 20 30 CCEDMN C[C@@H]1[C@H](C)N(C(=O)CNc2ccc(C#N)cn2)CCN1C ZINC000356851429 144038148 /nfs/dbraw/zinc/03/81/48/144038148.db2.gz MPUFKPMHCIFNDA-NEPJUHHUSA-N 0 1 287.367 0.338 20 30 CCEDMN N#Cc1ccc(C(=O)NC2(c3nn[nH]n3)CC2)s1 ZINC000357068472 144056515 /nfs/dbraw/zinc/05/65/15/144056515.db2.gz HTZUOXBJQBURPU-UHFFFAOYSA-N 0 1 260.282 0.552 20 30 CCEDMN CC(C)[C@@H]1CN(C(=O)c2cnn(C)c2C#N)CCN1C ZINC000357157268 144065762 /nfs/dbraw/zinc/06/57/62/144065762.db2.gz DBMZKJUYCDNKFO-ZDUSSCGKSA-N 0 1 275.356 0.704 20 30 CCEDMN N#Cc1ccc(CN2C(=O)N[C@H](Cc3cnc[nH]3)C2=O)cc1 ZINC000358994464 144189238 /nfs/dbraw/zinc/18/92/38/144189238.db2.gz IJJYVSUVERRUKL-CYBMUJFWSA-N 0 1 295.302 0.944 20 30 CCEDMN CCOCCOCCN(C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000346609577 286103913 /nfs/dbraw/zinc/10/39/13/286103913.db2.gz DGBNSPRHEWAOLB-ZDUSSCGKSA-N 0 1 297.399 0.922 20 30 CCEDMN C=CCCn1cc(CN2CCN(CCO)[C@@H](C)C2)nn1 ZINC000653625384 412326368 /nfs/dbraw/zinc/32/63/68/412326368.db2.gz AWDJAACWFKPIPK-ZDUSSCGKSA-N 0 1 279.388 0.353 20 30 CCEDMN C=CCCn1cc(CN2CC[C@@](C)(C(=O)NC)C2)nn1 ZINC000653656540 412331338 /nfs/dbraw/zinc/33/13/38/412331338.db2.gz HCILQNBFSWIUCS-CQSZACIVSA-N 0 1 277.372 0.812 20 30 CCEDMN C=CCCn1cc(CN[C@H](CO)[C@H]2CCCOC2)nn1 ZINC000653761815 412343501 /nfs/dbraw/zinc/34/35/01/412343501.db2.gz INSCCGDKEYFDRI-GXTWGEPZSA-N 0 1 280.372 0.731 20 30 CCEDMN C=CCCCNC(=O)N1CC[N@H+]2C[C@H](O)C[C@H]2C1 ZINC000654549132 412412406 /nfs/dbraw/zinc/41/24/06/412412406.db2.gz DLBNYYQYDKIZFB-NWDGAFQWSA-N 0 1 253.346 0.413 20 30 CCEDMN C=CCn1cc(CNC(=O)N(C)CC2CN(C)C2)nn1 ZINC000661338151 414601218 /nfs/dbraw/zinc/60/12/18/414601218.db2.gz CQHWPBAPCCUFEK-UHFFFAOYSA-N 0 1 278.360 0.167 20 30 CCEDMN C[C@H]1[C@@H](CO)CCN1CC(=O)NC1(C#N)CCC1 ZINC000347120049 226032171 /nfs/dbraw/zinc/03/21/71/226032171.db2.gz JRHFRSNLNQSHIM-WDEREUQCSA-N 0 1 251.330 0.252 20 30 CCEDMN C[C@@H]1CCC[C@@H](CO)N1CC(=O)NC1(C#N)CCC1 ZINC000346982981 287398753 /nfs/dbraw/zinc/39/87/53/287398753.db2.gz YRSWLFZPUJESCN-NEPJUHHUSA-N 0 1 265.357 0.784 20 30 CCEDMN N#Cc1cc(NCCN2C[C@H]3CC[C@@H](C2)O3)ncn1 ZINC000575211562 335081635 /nfs/dbraw/zinc/08/16/35/335081635.db2.gz CTFGBUKLBDWPPB-TXEJJXNPSA-N 0 1 259.313 0.045 20 30 CCEDMN Cn1cnc(CNC(=O)N2CCN(C3CCC3)CC2)n1 ZINC000329091055 227291103 /nfs/dbraw/zinc/29/11/03/227291103.db2.gz WVFVEAHKJWFULS-UHFFFAOYSA-N 0 1 278.360 0.399 20 30 CCEDMN O=C(CNC(=O)N[C@@H]1CCCc2cn[nH]c21)N1CCCC1 ZINC000330148627 228049964 /nfs/dbraw/zinc/04/99/64/228049964.db2.gz JOVKUSMHPGGLLA-LLVKDONJSA-N 0 1 291.355 0.913 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@H](C)N1C ZINC000332428708 228159114 /nfs/dbraw/zinc/15/91/14/228159114.db2.gz AEBINUSCEZGMQN-TXEJJXNPSA-N 0 1 264.373 0.573 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)NC1(c2nnc[nH]2)CCC1 ZINC000352058654 228178372 /nfs/dbraw/zinc/17/83/72/228178372.db2.gz FBLPQARZABXLRX-SECBINFHSA-N 0 1 298.372 0.110 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N1CC[C@@H](N2CC=CC2)C1 ZINC000297934485 260138071 /nfs/dbraw/zinc/13/80/71/260138071.db2.gz SPNRLTJXIYLPPV-CQSZACIVSA-N 0 1 291.395 0.884 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC2(C)CC2)CC1 ZINC000517810795 260308406 /nfs/dbraw/zinc/30/84/06/260308406.db2.gz FUPNZYCTEFCXIW-UHFFFAOYSA-N 0 1 251.374 0.706 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CNC(=O)CC(C)(C)C)CC1 ZINC000518025124 260335991 /nfs/dbraw/zinc/33/59/91/260335991.db2.gz GNZAUPWUQLMXJG-UHFFFAOYSA-N 0 1 294.399 0.643 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)CCCC(=O)NCC ZINC000490875802 261235716 /nfs/dbraw/zinc/23/57/16/261235716.db2.gz SAASLFIEJMESRC-ZDUSSCGKSA-N 0 1 280.368 0.934 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCCC(=O)NCC ZINC000490875802 261235719 /nfs/dbraw/zinc/23/57/19/261235719.db2.gz SAASLFIEJMESRC-ZDUSSCGKSA-N 0 1 280.368 0.934 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@](CC)(CO)C1 ZINC000249527274 261254369 /nfs/dbraw/zinc/25/43/69/261254369.db2.gz AFLFZOYAGYMEPM-DOMZBBRYSA-N 0 1 297.399 0.871 20 30 CCEDMN N#CCSCCCN1CCC[C@H](S(N)(=O)=O)C1 ZINC000364113903 262146805 /nfs/dbraw/zinc/14/68/05/262146805.db2.gz YAHGCNDFCGKASY-JTQLQIEISA-N 0 1 277.415 0.386 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1ccc2cncn2c1 ZINC000284208509 263086093 /nfs/dbraw/zinc/08/60/93/263086093.db2.gz CMITYCIWHVXDJB-LBPRGKRZSA-N 0 1 256.265 0.699 20 30 CCEDMN C=CCN(CCC#N)C(=O)[C@H](C)CN1CCOCC1 ZINC000293629069 263145272 /nfs/dbraw/zinc/14/52/72/263145272.db2.gz CNYOLDAKCYPKDE-CYBMUJFWSA-N 0 1 265.357 0.883 20 30 CCEDMN CNC(=O)NC(=O)CN[C@H](c1cnn(C)c1)C(C)(C)C ZINC000330700965 264016951 /nfs/dbraw/zinc/01/69/51/264016951.db2.gz ITOQUUMMFQQFRO-LLVKDONJSA-N 0 1 281.360 0.757 20 30 CCEDMN CC(C)(C[NH+]=C([O-])N[C@H]1CCc2ncnn2C1)C(N)=O ZINC000330715828 264022158 /nfs/dbraw/zinc/02/21/58/264022158.db2.gz SYWNOIVPQMHDMR-QMMMGPOBSA-N 0 1 280.332 0.658 20 30 CCEDMN CC(C)(CNC(=O)N[C@H]1CCc2ncnn2C1)C(N)=O ZINC000330715828 264022160 /nfs/dbraw/zinc/02/21/60/264022160.db2.gz SYWNOIVPQMHDMR-QMMMGPOBSA-N 0 1 280.332 0.658 20 30 CCEDMN CC(C)(CCO)NC(=O)c1cccn2c(O)nnc12 ZINC000330769687 264033799 /nfs/dbraw/zinc/03/37/99/264033799.db2.gz AIIYNLKQWAZWDM-UHFFFAOYSA-N 0 1 264.285 0.901 20 30 CCEDMN CNC(=O)NC(=O)[C@H](C)N[C@H](C)c1cncc(F)c1 ZINC000330794657 264040075 /nfs/dbraw/zinc/04/00/75/264040075.db2.gz DLTABHZXSLWIOC-SFYZADRCSA-N 0 1 268.292 0.920 20 30 CCEDMN CNC(=O)CC1CCN(C(=O)NCc2cn[nH]c2C)CC1 ZINC000330816081 264045618 /nfs/dbraw/zinc/04/56/18/264045618.db2.gz GACOCRPDWCPWMS-UHFFFAOYSA-N 0 1 293.371 0.980 20 30 CCEDMN CN(C)c1[nH+]cc(CNC(=O)N=c2[n-]ncs2)n1C ZINC000330848601 264067140 /nfs/dbraw/zinc/06/71/40/264067140.db2.gz ZDNPOPRLDZMWQS-UHFFFAOYSA-N 0 1 281.345 0.286 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(CCC(=O)OC(C)C)C1 ZINC000330939781 264082954 /nfs/dbraw/zinc/08/29/54/264082954.db2.gz DEDHSXPEJBZGRT-SNVBAGLBSA-N 0 1 257.334 0.536 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCO[C@H](c2n[nH]c(C)n2)C1 ZINC000330967417 264090922 /nfs/dbraw/zinc/09/09/22/264090922.db2.gz QXIRBAPYGOLZIL-GWCFXTLKSA-N 0 1 280.328 0.340 20 30 CCEDMN CN(CCN1CCCC1)S(=O)(=O)CC1(C#N)CC1 ZINC000165192186 264122074 /nfs/dbraw/zinc/12/20/74/264122074.db2.gz YCNBHCAMZRRSCA-UHFFFAOYSA-N 0 1 271.386 0.648 20 30 CCEDMN COCCCCNC(=O)N1CCO[C@H](c2n[nH]c(C)n2)C1 ZINC000331178077 264153811 /nfs/dbraw/zinc/15/38/11/264153811.db2.gz GENZYZNCMJNATB-NSHDSACASA-N 0 1 297.359 0.827 20 30 CCEDMN CCC[C@](C)(CO)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000331287266 264172985 /nfs/dbraw/zinc/17/29/85/264172985.db2.gz HOKCCGHAJQEDMI-GXTWGEPZSA-N 0 1 286.420 0.287 20 30 CCEDMN O=C(NC[C@@H]1CCCCN1CCO)c1cnccn1 ZINC000331330515 264192146 /nfs/dbraw/zinc/19/21/46/264192146.db2.gz OLTNYPSKYITLBJ-NSHDSACASA-N 0 1 264.329 0.628 20 30 CCEDMN CCCNC(=O)NC(=O)CN1CC[C@H](C)C[C@@H]1[C@@H](C)O ZINC000331294208 264197993 /nfs/dbraw/zinc/19/79/93/264197993.db2.gz RKBLQUWKMOPRML-QJPTWQEYSA-N 0 1 285.388 0.908 20 30 CCEDMN Cn1cnc(C(=O)NC[C@H]2CCCCN2CCO)c1 ZINC000331306410 264201378 /nfs/dbraw/zinc/20/13/78/264201378.db2.gz GCRGPNATYQMLEQ-LLVKDONJSA-N 0 1 266.345 0.572 20 30 CCEDMN CN(CC(=O)NCC#N)Cc1cc(C(C)(C)C)[nH]n1 ZINC000331351188 264206835 /nfs/dbraw/zinc/20/68/35/264206835.db2.gz WGJXHOBPCDEARF-UHFFFAOYSA-N 0 1 263.345 0.779 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H](C(N)=O)CC[C@@H]1C ZINC000334006886 264233398 /nfs/dbraw/zinc/23/33/98/264233398.db2.gz WKHPJMVQUQIMQO-UWVGGRQHSA-N 0 1 282.344 0.634 20 30 CCEDMN CNC(=O)[C@@H](NCC(=O)Nc1ccc(C#N)cc1)C(C)C ZINC000183665735 264273654 /nfs/dbraw/zinc/27/36/54/264273654.db2.gz FWXHKZOWYSXYOG-AWEZNQCLSA-N 0 1 288.351 0.857 20 30 CCEDMN COCCCN(CCO)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000189865841 264335227 /nfs/dbraw/zinc/33/52/27/264335227.db2.gz CRXWLAVRXOUBTC-AWEZNQCLSA-N 0 1 283.372 0.126 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@H]1CN(C(C)C)CCO1 ZINC000424928540 264381927 /nfs/dbraw/zinc/38/19/27/264381927.db2.gz ZNPCPMHSQXKFGY-MELADBBJSA-N 0 1 282.384 0.803 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@H](OC)C[C@H]2c2nnc[nH]2)C1=O ZINC000284677332 264538698 /nfs/dbraw/zinc/53/86/98/264538698.db2.gz RHKFQPOLZOEFKP-UTUOFQBUSA-N 0 1 291.355 0.353 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@H]1C(=O)NCC[C@@H]1C ZINC000193563871 264670236 /nfs/dbraw/zinc/67/02/36/264670236.db2.gz QNVCPYLKHBDJKZ-AAEUAGOBSA-N 0 1 265.357 0.301 20 30 CCEDMN C=CCN(C(=O)c1cc(CC)[nH]n1)[C@@H]1CCS(=O)(=O)C1 ZINC000098100949 265009163 /nfs/dbraw/zinc/00/91/63/265009163.db2.gz XYZVETCYIGYCAM-LLVKDONJSA-N 0 1 297.380 0.787 20 30 CCEDMN C=CCOC[C@H](NC(=O)CCc1cnc[nH]1)C(=O)OC ZINC000357670058 266342930 /nfs/dbraw/zinc/34/29/30/266342930.db2.gz FIJBUWSMYGIRHB-NSHDSACASA-N 0 1 281.312 0.203 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000367290673 266387100 /nfs/dbraw/zinc/38/71/00/266387100.db2.gz LOCVQRGLZMCTMQ-GFCCVEGCSA-N 0 1 290.348 0.837 20 30 CCEDMN C=CCN1CCC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC000368864187 267209493 /nfs/dbraw/zinc/20/94/93/267209493.db2.gz YHKAVALIRUMZEU-JTQLQIEISA-N 0 1 250.302 0.888 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](C(=O)NCC2CC2)C1 ZINC000047980692 328032452 /nfs/dbraw/zinc/03/24/52/328032452.db2.gz BNJKPASIZREIPK-OCCSQVGLSA-N 0 1 291.395 0.363 20 30 CCEDMN N#C[C@@H]1CN(C(=O)N[C@@H]2CCCc3cn[nH]c32)CCO1 ZINC000269048399 328189042 /nfs/dbraw/zinc/18/90/42/328189042.db2.gz UHEXIJRFUNZKLW-GHMZBOCLSA-N 0 1 275.312 0.721 20 30 CCEDMN N#CC(C(=O)NC[C@@H]1CCCO1)c1ccc([N+](=O)[O-])cn1 ZINC000290992987 328261667 /nfs/dbraw/zinc/26/16/67/328261667.db2.gz RZCRWCWBTKDYIS-WDEREUQCSA-N 0 1 290.279 0.892 20 30 CCEDMN CC(C)(C)[C@H](C#N)NC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000291836216 328266619 /nfs/dbraw/zinc/26/66/19/328266619.db2.gz GKESXSYREVRQCE-ZETCQYMHSA-N 0 1 251.246 0.986 20 30 CCEDMN C=CCC[C@@H](CO)NS(=O)(=O)CCN(CC)CC ZINC000452031078 331051140 /nfs/dbraw/zinc/05/11/40/331051140.db2.gz QOZYCHLALNZATA-LBPRGKRZSA-N 0 1 278.418 0.575 20 30 CCEDMN C[C@H]1CN(CC(=O)N(C)C2(C#N)CCCCC2)C[C@@H]1O ZINC000351957825 327333276 /nfs/dbraw/zinc/33/32/76/327333276.db2.gz IIUJGFOOIKYZIT-STQMWFEESA-N 0 1 279.384 0.984 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[C@@H](CC(N)=O)C1 ZINC000377605608 329020096 /nfs/dbraw/zinc/02/00/96/329020096.db2.gz UNRKRPKAHUFXIF-OLZOCXBDSA-N 0 1 279.384 0.773 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@](C)(N)c1ccccc1 ZINC000393755261 329089241 /nfs/dbraw/zinc/08/92/41/329089241.db2.gz RYHVKMLUELQWEN-CQSZACIVSA-N 0 1 261.325 0.279 20 30 CCEDMN C=C(C)COCCNC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000183310349 329249092 /nfs/dbraw/zinc/24/90/92/329249092.db2.gz QFGCSHLJLWNUPK-VIFPVBQESA-N 0 1 253.306 0.758 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@@H](CO)CC(C)C ZINC000185319173 329273072 /nfs/dbraw/zinc/27/30/72/329273072.db2.gz JSKUXSUJJQETFN-LLVKDONJSA-N 0 1 271.361 0.337 20 30 CCEDMN C=CCNC(=O)CN1CCSC2(CCOCC2)C1 ZINC000186824886 329349485 /nfs/dbraw/zinc/34/94/85/329349485.db2.gz UIQLOXCENYSFGI-UHFFFAOYSA-N 0 1 270.398 0.887 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1 ZINC000418894463 329681495 /nfs/dbraw/zinc/68/14/95/329681495.db2.gz ZGDQQGLUHPDGFA-QWRGUYRKSA-N 0 1 253.346 0.278 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1CCNC(C)(C)C1 ZINC000419135131 329710280 /nfs/dbraw/zinc/71/02/80/329710280.db2.gz KODMKAFPYAIKNN-NSHDSACASA-N 0 1 267.373 0.668 20 30 CCEDMN CCN1CCCN(C(=O)C(=O)NCC(C)(C)CC#N)CC1 ZINC000422474912 330147481 /nfs/dbraw/zinc/14/74/81/330147481.db2.gz FMIQARAYWAIUSK-UHFFFAOYSA-N 0 1 294.399 0.597 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N1CCNC(C)(C)C1 ZINC000423010326 330165007 /nfs/dbraw/zinc/16/50/07/330165007.db2.gz XNHXZHUHUNYMTB-UHFFFAOYSA-N 0 1 265.357 0.397 20 30 CCEDMN C[C@@H]1OCC[C@]12CN(C[C@@H](O)CC1(C#N)CC1)CCO2 ZINC000528494713 330273910 /nfs/dbraw/zinc/27/39/10/330273910.db2.gz XMRWXLGHRDZOAT-YDHLFZDLSA-N 0 1 280.368 0.921 20 30 CCEDMN C=CCC1(C(=O)NCCN2CCN(C)CC2)CCOCC1 ZINC000424561478 330376116 /nfs/dbraw/zinc/37/61/16/330376116.db2.gz LZMXYHPGURGMLC-UHFFFAOYSA-N 0 1 295.427 0.723 20 30 CCEDMN CC(C)(O)CN1CCN(C(=O)N[C@@H]2CC[C@H](C#N)C2)CC1 ZINC000425842001 330496798 /nfs/dbraw/zinc/49/67/98/330496798.db2.gz IUIKNSPCSZJYRD-QWHCGFSZSA-N 0 1 294.399 0.777 20 30 CCEDMN COCCc1nsc(NCC2CCN(CC#N)CC2)n1 ZINC000446849226 330856504 /nfs/dbraw/zinc/85/65/04/330856504.db2.gz XCLXLNXYCHRLCO-UHFFFAOYSA-N 0 1 295.412 0.796 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NS(=O)(=O)CCN(CC)CC ZINC000451858125 331044988 /nfs/dbraw/zinc/04/49/88/331044988.db2.gz IKXGCYOUKSHKIG-NEPJUHHUSA-N 0 1 276.402 0.591 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](C)c1cnn(CC)c1 ZINC000451902338 331046764 /nfs/dbraw/zinc/04/67/64/331046764.db2.gz CNYMIMVZGPZEOE-SNVBAGLBSA-N 0 1 279.344 0.565 20 30 CCEDMN C=CC[C@H](C)NC(=O)NCC[N@H+]1CCCOCC1 ZINC000454533346 331148143 /nfs/dbraw/zinc/14/81/43/331148143.db2.gz TWASTPLPISGZRH-LBPRGKRZSA-N 0 1 255.362 0.973 20 30 CCEDMN C=CC[C@H](C)NC(=O)NCCN1CCCOCC1 ZINC000454533346 331148144 /nfs/dbraw/zinc/14/81/44/331148144.db2.gz TWASTPLPISGZRH-LBPRGKRZSA-N 0 1 255.362 0.973 20 30 CCEDMN C=C(C)CCNC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000454854417 331155045 /nfs/dbraw/zinc/15/50/45/331155045.db2.gz BTULGFVBXWFCMP-UHFFFAOYSA-N 0 1 265.361 0.954 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000459251844 331273068 /nfs/dbraw/zinc/27/30/68/331273068.db2.gz DTFOBBUZMFORGU-VIFPVBQESA-N 0 1 281.360 0.838 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@](O)(CO)C1 ZINC000459647012 331292022 /nfs/dbraw/zinc/29/20/22/331292022.db2.gz JKBRVUZAMBPWSN-UKRRQHHQSA-N 0 1 284.400 0.619 20 30 CCEDMN C=CCCCS(=O)(=O)NC[C@@H]1CCCN1CCOC ZINC000489215287 332043418 /nfs/dbraw/zinc/04/34/18/332043418.db2.gz FHVLNMOFKVLNNG-ZDUSSCGKSA-N 0 1 290.429 0.983 20 30 CCEDMN C=CCN1C(=O)N=NC1S[C@@H]1CCCNC1=O ZINC000489643655 332062616 /nfs/dbraw/zinc/06/26/16/332062616.db2.gz CSHZXHNQKHZSCA-SSDOTTSWSA-N 0 1 254.315 0.540 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](O)C(C)(C)C2)CC1 ZINC000490717160 332108202 /nfs/dbraw/zinc/10/82/02/332108202.db2.gz BBOKBOJUOIHAFQ-CQSZACIVSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCO)C2CCC2)CC1 ZINC000490719899 332108751 /nfs/dbraw/zinc/10/87/51/332108751.db2.gz OZYKWKAVRZMDGS-UHFFFAOYSA-N 0 1 264.369 0.705 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](O)C[C@@H]2C)CC1 ZINC000490739265 332110399 /nfs/dbraw/zinc/11/03/99/332110399.db2.gz HNTBGMLIKHMEPY-WCQYABFASA-N 0 1 250.342 0.313 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC(C(F)F)CC2)CC1 ZINC000490853919 332120855 /nfs/dbraw/zinc/12/08/55/332120855.db2.gz VVHFSMYKZXSKRQ-UHFFFAOYSA-N 0 1 299.365 0.741 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2nnc(CC)[nH]2)CC1 ZINC000490852933 332121132 /nfs/dbraw/zinc/12/11/32/332121132.db2.gz OPANUCYOSWHWPW-UHFFFAOYSA-N 0 1 276.340 0.756 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H](C)CC(=O)OC ZINC000490872424 332123044 /nfs/dbraw/zinc/12/30/44/332123044.db2.gz FLTCFZVTHWZTJL-NEPJUHHUSA-N 0 1 267.325 0.826 20 30 CCEDMN C#CCNC(=O)C(C#N)c1nc(C(=O)OCC)cs1 ZINC000490891837 332125304 /nfs/dbraw/zinc/12/53/04/332125304.db2.gz HGSOFRXSMDVGRH-MRVPVSSYSA-N 0 1 277.305 0.676 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)C1(C(=O)OC)CC1 ZINC000490947929 332131846 /nfs/dbraw/zinc/13/18/46/332131846.db2.gz BLFYIVOFTNSUFJ-NSHDSACASA-N 0 1 265.309 0.580 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)C1(C(=O)OC)CC1 ZINC000490947929 332131848 /nfs/dbraw/zinc/13/18/48/332131848.db2.gz BLFYIVOFTNSUFJ-NSHDSACASA-N 0 1 265.309 0.580 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCc1ccnn1C ZINC000491036859 332140966 /nfs/dbraw/zinc/14/09/66/332140966.db2.gz BGMUVHAABDXQRD-AWEZNQCLSA-N 0 1 275.352 0.994 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@H]2CN(C)C(=O)O2)CC1 ZINC000491071898 332146656 /nfs/dbraw/zinc/14/66/56/332146656.db2.gz OHPHTVCAEJWLHE-GFCCVEGCSA-N 0 1 280.324 0.325 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cc(C)no2)CC1 ZINC000491104080 332150256 /nfs/dbraw/zinc/15/02/56/332150256.db2.gz RKFBZEWSYWNTQM-UHFFFAOYSA-N 0 1 261.325 0.944 20 30 CCEDMN C#CC[N@H+](C)CCCN=c1[n-]nc(COC)s1 ZINC000491106616 332150609 /nfs/dbraw/zinc/15/06/09/332150609.db2.gz YKPSNLXZPPDKDU-UHFFFAOYSA-N 0 1 254.359 0.473 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)c1cnc(CCOCCOC)[nH]1 ZINC000491125314 332153593 /nfs/dbraw/zinc/15/35/93/332153593.db2.gz DBAVUMZRVNUCSW-NSHDSACASA-N 0 1 279.340 0.709 20 30 CCEDMN C#CCN1CCC(C(=O)NOCCCOC)CC1 ZINC000491148346 332156668 /nfs/dbraw/zinc/15/66/68/332156668.db2.gz VPXXIGHOIRVPBC-UHFFFAOYSA-N 0 1 254.330 0.416 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CC(C)(C)OC2=O)CC1 ZINC000491179298 332160898 /nfs/dbraw/zinc/16/08/98/332160898.db2.gz DVVMFHMNHOJODH-CYBMUJFWSA-N 0 1 292.379 0.884 20 30 CCEDMN C#CCN1CCC(C(=O)OCCOCCC#N)CC1 ZINC000491210057 332166759 /nfs/dbraw/zinc/16/67/59/332166759.db2.gz PKKKXZIWKUGIIH-UHFFFAOYSA-N 0 1 264.325 0.805 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[NH2+]C[C@@H]1CCC ZINC000491381016 332200988 /nfs/dbraw/zinc/20/09/88/332200988.db2.gz CEXRMHDQZVLNQV-AWEZNQCLSA-N 0 1 280.416 0.725 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@H](OC)[C@@H](C)C2)C1=O ZINC000491507850 332225796 /nfs/dbraw/zinc/22/57/96/332225796.db2.gz XQUYEYLHLOTJGK-SRVKXCTJSA-N 0 1 264.325 0.104 20 30 CCEDMN C#CCNC(=O)NC[C@H]1C[C@@H](O)CN1Cc1ccccc1 ZINC000491524276 332229341 /nfs/dbraw/zinc/22/93/41/332229341.db2.gz KUNCWRRIPIIPKW-HUUCEWRRSA-N 0 1 287.363 0.554 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CC[NH+](C2CC2)CC1 ZINC000491739311 332318711 /nfs/dbraw/zinc/31/87/11/332318711.db2.gz GYDDJZZRVPMNHD-UHFFFAOYSA-N 0 1 278.400 0.431 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1cccc2[nH]ccc21 ZINC000491741128 332320054 /nfs/dbraw/zinc/32/00/54/332320054.db2.gz YUCLSALDLOQOFV-VXGBXAGGSA-N 0 1 274.320 0.491 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCOCCOC)CC1 ZINC000491744336 332322015 /nfs/dbraw/zinc/32/20/15/332322015.db2.gz NKSWPFSXLUFDJL-UHFFFAOYSA-N 0 1 282.384 0.453 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)Cc1cc(C)nn1C ZINC000491747724 332324913 /nfs/dbraw/zinc/32/49/13/332324913.db2.gz QIQJQSYDSKKYBX-CYBMUJFWSA-N 0 1 275.352 0.912 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000491774229 332340271 /nfs/dbraw/zinc/34/02/71/332340271.db2.gz CCITUVDCYHBKJX-GMTAPVOTSA-N 0 1 252.270 0.292 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1n[nH]c(CC)c1[N+](=O)[O-] ZINC000491776765 332342195 /nfs/dbraw/zinc/34/21/95/332342195.db2.gz ZWQSQGRURLJNCN-MRVPVSSYSA-N 0 1 278.268 0.355 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCSC)CC1 ZINC000491808871 332361781 /nfs/dbraw/zinc/36/17/81/332361781.db2.gz XDGUGUHDIBZRBT-UHFFFAOYSA-N 0 1 269.414 0.107 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@](CC)(CO)C1 ZINC000187631403 333173013 /nfs/dbraw/zinc/17/30/13/333173013.db2.gz NDXYHMCROHNNOL-GXTWGEPZSA-N 0 1 252.358 0.609 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN(c3cccnn3)CC2)CC1 ZINC000528366669 333416516 /nfs/dbraw/zinc/41/65/16/333416516.db2.gz IBRILZLOTBPVHI-CYBMUJFWSA-N 0 1 287.367 0.653 20 30 CCEDMN C=CC1CCN(C(=O)NC[C@@H]2COCCN2)CC1 ZINC000529372580 333483819 /nfs/dbraw/zinc/48/38/19/333483819.db2.gz BQSDYSLEZZXFKH-GFCCVEGCSA-N 0 1 253.346 0.582 20 30 CCEDMN CC[C@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@@H]1O ZINC000341007109 335165312 /nfs/dbraw/zinc/16/53/12/335165312.db2.gz FGHGFHZFODJEMR-STQMWFEESA-N 0 1 278.356 0.345 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H](CC(N)=O)C1 ZINC000245407893 334190062 /nfs/dbraw/zinc/19/00/62/334190062.db2.gz DLCJTSZGAMBUKL-OLZOCXBDSA-N 0 1 294.399 0.582 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C[C@H](C)O)[C@H](C)CO ZINC000248995318 334324113 /nfs/dbraw/zinc/32/41/13/334324113.db2.gz XXXKJIARLZOSPD-MBNYWOFBSA-N 0 1 285.388 0.104 20 30 CCEDMN CC[C@H](CC#N)NCC(O)CN[C@H](CC)CC#N ZINC000565783801 334597044 /nfs/dbraw/zinc/59/70/44/334597044.db2.gz RTEXOBMCZLQLSQ-VXGBXAGGSA-N 0 1 252.362 0.911 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H](O)C(C)(C)C)CC1 ZINC000764996769 582081173 /nfs/dbraw/zinc/08/11/73/582081173.db2.gz ATOMSISNGUFBJM-SNVBAGLBSA-N 0 1 253.346 0.498 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)NC2CCC2)[nH]1 ZINC000359241484 519932261 /nfs/dbraw/zinc/93/22/61/519932261.db2.gz DVGZFCHLPUJDAQ-UHFFFAOYSA-N 0 1 275.312 0.365 20 30 CCEDMN N#CCC1(CS(=O)(=O)NCC(F)(F)CO)CC1 ZINC000331810704 534587973 /nfs/dbraw/zinc/58/79/73/534587973.db2.gz ZAMLBYQAZVJLRY-UHFFFAOYSA-N 0 1 268.285 0.227 20 30 CCEDMN N#C[C@]1(NC(=O)Cc2nnc[nH]2)CCc2ccccc2C1 ZINC000601456920 545102956 /nfs/dbraw/zinc/10/29/56/545102956.db2.gz CEGOVZKNLDQXGW-HNNXBMFYSA-N 0 1 281.319 0.915 20 30 CCEDMN C=CCCNC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000665946135 545110364 /nfs/dbraw/zinc/11/03/64/545110364.db2.gz UBBZFUASPNICNV-STQMWFEESA-N 0 1 267.373 0.803 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](CCNC(C)=O)C1 ZINC000347642627 526530244 /nfs/dbraw/zinc/53/02/44/526530244.db2.gz MQNGTOWIACIODI-CYBMUJFWSA-N 0 1 267.373 0.527 20 30 CCEDMN CC(=O)N1CCCC[C@@H]1C(=O)NC[C@H]1CN(C)CCN1C ZINC000329165299 526548583 /nfs/dbraw/zinc/54/85/83/526548583.db2.gz HJUZXKFEGFLLDD-UONOGXRCSA-N 0 1 296.415 0.590 20 30 CCEDMN CC(=O)N1CC[C@H](NC(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000330152971 526655771 /nfs/dbraw/zinc/65/57/71/526655771.db2.gz MKIAZJMGILAQMV-NWDGAFQWSA-N 0 1 291.355 0.912 20 30 CCEDMN C=CCOCCCC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000352197421 526733305 /nfs/dbraw/zinc/73/33/05/526733305.db2.gz RMLFERMXBGEJDQ-KGLIPLIRSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCOCCCN1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000347970070 526756801 /nfs/dbraw/zinc/75/68/01/526756801.db2.gz VPAIWRKZZPKDIS-CQSZACIVSA-N 0 1 295.427 0.864 20 30 CCEDMN C=C[C@](C)(O)C(=O)NCCCCN1CCOCC1 ZINC000666469695 545123537 /nfs/dbraw/zinc/12/35/37/545123537.db2.gz JFUAVDCOCMHVLK-ZDUSSCGKSA-N 0 1 256.346 0.152 20 30 CCEDMN CC(=O)NC1CN(C(=O)[C@H](C)CN2CCOCC2)C1 ZINC000329969513 527541356 /nfs/dbraw/zinc/54/13/56/527541356.db2.gz WOUJJBWWBIISQQ-SNVBAGLBSA-N 0 1 269.345 0.142 20 30 CCEDMN CC(=O)NC1CN(C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC000329056175 527542112 /nfs/dbraw/zinc/54/21/12/527542112.db2.gz SMZWDOJENKPIHI-QMMMGPOBSA-N 0 1 263.301 0.097 20 30 CCEDMN CC(=O)NC1CN(C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC000329056175 527542117 /nfs/dbraw/zinc/54/21/17/527542117.db2.gz SMZWDOJENKPIHI-QMMMGPOBSA-N 0 1 263.301 0.097 20 30 CCEDMN CC(=O)NC1CN(C(=O)[C@@H](C)CN2CCOCC2)C1 ZINC000329969510 527542228 /nfs/dbraw/zinc/54/22/28/527542228.db2.gz WOUJJBWWBIISQQ-JTQLQIEISA-N 0 1 269.345 0.142 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1C[C@H](OC)C[C@H]1c1nnc[nH]1 ZINC000332375559 527543073 /nfs/dbraw/zinc/54/30/73/527543073.db2.gz NBDSNORJFZNDCP-GBIKHYSHSA-N 0 1 280.328 0.420 20 30 CCEDMN CC1(CNC(=O)N2CCN(C3CCOCC3)CC2)COC1 ZINC000330032957 527898549 /nfs/dbraw/zinc/89/85/49/527898549.db2.gz VFEKHHYASXTAOT-UHFFFAOYSA-N 0 1 297.399 0.734 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@@H]1CCC[C@@H]1O ZINC000352669476 528354131 /nfs/dbraw/zinc/35/41/31/528354131.db2.gz AEKQFRQYJXPDKB-NEPJUHHUSA-N 0 1 253.346 0.594 20 30 CCEDMN CC1(C)CN(C(=O)C(=O)Nc2sccc2C#N)CCN1 ZINC000415823545 529068318 /nfs/dbraw/zinc/06/83/18/529068318.db2.gz QJNFNGDVHLIODF-UHFFFAOYSA-N 0 1 292.364 0.769 20 30 CCEDMN C=CCCOCC(=O)N1CCN(C2CCOCC2)CC1 ZINC000673828639 545292975 /nfs/dbraw/zinc/29/29/75/545292975.db2.gz NKJRLJSFHLAXIP-UHFFFAOYSA-N 0 1 282.384 0.902 20 30 CCEDMN C=CCOCCNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000679491106 545410493 /nfs/dbraw/zinc/41/04/93/545410493.db2.gz FIAUCJSGOLURJY-ZDUSSCGKSA-N 0 1 284.404 0.124 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C1CN(C(C)=O)C1 ZINC001017509314 649889243 /nfs/dbraw/zinc/88/92/43/649889243.db2.gz KQOSQTUFJZORKY-GASCZTMLSA-N 0 1 289.379 0.163 20 30 CCEDMN CNC(=O)NC(=O)CCN(CCC#N)CC(C)C ZINC000725842586 581148820 /nfs/dbraw/zinc/14/88/20/581148820.db2.gz RLSZESCVPVULQI-UHFFFAOYSA-N 0 1 254.334 0.704 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@]2(C1)C(=O)Nc1ccccc12 ZINC000778205722 581145304 /nfs/dbraw/zinc/14/53/04/581145304.db2.gz GFOCPBJTFHNRIF-INIZCTEOSA-N 0 1 283.331 0.332 20 30 CCEDMN Cn1nncc1C=NNc1cc(Cl)nc(N)n1 ZINC000778543071 581159326 /nfs/dbraw/zinc/15/93/26/581159326.db2.gz GZQFSFCMYQOBOV-UHFFFAOYSA-N 0 1 252.669 0.287 20 30 CCEDMN Cn1nncc1C=NN=c1cc(Cl)[nH]c(N)n1 ZINC000778543071 581159329 /nfs/dbraw/zinc/15/93/29/581159329.db2.gz GZQFSFCMYQOBOV-UHFFFAOYSA-N 0 1 252.669 0.287 20 30 CCEDMN COC[C@](C)(NS(=O)(=O)CC(C)(C)C#N)C(=O)OC ZINC000790038286 581172987 /nfs/dbraw/zinc/17/29/87/581172987.db2.gz RYTBCCHLNMJJKG-NSHDSACASA-N 0 1 292.357 0.034 20 30 CCEDMN CN1CCCN(C(=O)[C@H](O)c2ccc(C#N)cc2)CC1 ZINC000780847754 581246310 /nfs/dbraw/zinc/24/63/10/581246310.db2.gz AJYFVIHZGGMHHP-CQSZACIVSA-N 0 1 273.336 0.756 20 30 CCEDMN C#CCCCCCC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000780932195 581250276 /nfs/dbraw/zinc/25/02/76/581250276.db2.gz LEINCSIFPLPCNS-NSHDSACASA-N 0 1 277.328 0.683 20 30 CCEDMN C#C[C@H](NC(=O)c1cn[nH]c1-c1cnn(C)c1)[C@H]1CCCO1 ZINC000781031840 581256761 /nfs/dbraw/zinc/25/67/61/581256761.db2.gz LTJCNTNGASYDJT-QWHCGFSZSA-N 0 1 299.334 0.721 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)CCCCc1cn[nH]n1 ZINC000782314390 581296930 /nfs/dbraw/zinc/29/69/30/581296930.db2.gz MKFAYRUBJUOPHW-SNVBAGLBSA-N 0 1 278.312 0.199 20 30 CCEDMN N#CCNC(=O)C[NH+]1CCC([C@@H]2CCCN2C(=O)[O-])CC1 ZINC000740305718 581322521 /nfs/dbraw/zinc/32/25/21/581322521.db2.gz UFBFHSBMKDHSHC-LBPRGKRZSA-N 0 1 294.355 0.481 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(=O)N(C)C[C@@H]2C)CC1 ZINC000782866981 581323380 /nfs/dbraw/zinc/32/33/80/581323380.db2.gz IBAFJDRWDVIUIR-LBPRGKRZSA-N 0 1 277.368 0.021 20 30 CCEDMN Cc1cc(CNC(=O)N2CCN(C3CC3)[C@H](C#N)C2)n[nH]1 ZINC000738478861 581349500 /nfs/dbraw/zinc/34/95/00/581349500.db2.gz BMGGWRYPRNGEFQ-CYBMUJFWSA-N 0 1 288.355 0.600 20 30 CCEDMN C[C@@H]1COC(=O)C1N=Nc1ccc([N+](=O)[O-])cn1 ZINC000794894060 581390450 /nfs/dbraw/zinc/39/04/50/581390450.db2.gz CCCUZOOVLKOXCZ-ZCFIWIBFSA-N 0 1 250.214 0.951 20 30 CCEDMN C[C@@H]1COC(=O)C1=NN=c1ccc([N+](=O)[O-])c[nH]1 ZINC000794894060 581390451 /nfs/dbraw/zinc/39/04/51/581390451.db2.gz CCCUZOOVLKOXCZ-ZCFIWIBFSA-N 0 1 250.214 0.951 20 30 CCEDMN C[C@H](C#N)OCCN1CCC(CNS(C)(=O)=O)CC1 ZINC000796259230 581435301 /nfs/dbraw/zinc/43/53/01/581435301.db2.gz RVZDBCWFFMYJQZ-LLVKDONJSA-N 0 1 289.401 0.176 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC(C)(C)C#N ZINC000786297123 581436438 /nfs/dbraw/zinc/43/64/38/581436438.db2.gz OADGRNOKFLZPSN-SNVBAGLBSA-N 0 1 265.313 0.788 20 30 CCEDMN Cc1cnc(COCC(=O)C(C#N)C(=O)NC(C)C)o1 ZINC000796791244 581450851 /nfs/dbraw/zinc/45/08/51/581450851.db2.gz DROQWJISGPTVJX-SNVBAGLBSA-N 0 1 279.296 0.733 20 30 CCEDMN Oc1ccc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)c(O)c1O ZINC000753937702 581565907 /nfs/dbraw/zinc/56/59/07/581565907.db2.gz FUZBMVHVVCEDMC-UWVGGRQHSA-N 0 1 290.323 0.997 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCc1cc(CC)[nH]n1 ZINC000754203172 581579738 /nfs/dbraw/zinc/57/97/38/581579738.db2.gz MPBFWAHZPWOXFR-SNVBAGLBSA-N 0 1 252.318 0.708 20 30 CCEDMN N#CCNC(=O)COC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000767807678 581631889 /nfs/dbraw/zinc/63/18/89/581631889.db2.gz NDQBMTSNTSBYCZ-UHFFFAOYSA-N 0 1 285.263 0.268 20 30 CCEDMN C=CCNC(=O)CN1CCC(C2(C)OCCO2)CC1 ZINC000756082724 581655846 /nfs/dbraw/zinc/65/58/46/581655846.db2.gz WIJVEGVALQBFPJ-UHFFFAOYSA-N 0 1 268.357 0.764 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1C[C@@H](C)N(C)C[C@@H]1C ZINC000769143496 581674702 /nfs/dbraw/zinc/67/47/02/581674702.db2.gz BCZRKEPDGUALFH-RDBSUJKOSA-N 0 1 263.385 0.635 20 30 CCEDMN Cc1cc(CC(=O)OCC(=O)N[C@](C)(C#N)C2CC2)n[nH]1 ZINC000756700594 581675229 /nfs/dbraw/zinc/67/52/29/581675229.db2.gz LJRXYVNCFFNHAK-CQSZACIVSA-N 0 1 290.323 0.612 20 30 CCEDMN C=CCNC(=O)[C@@H](C)OC(=O)Cc1cc(C)[nH]n1 ZINC000756705300 581676197 /nfs/dbraw/zinc/67/61/97/581676197.db2.gz GVSPWYPSLQVOSG-SECBINFHSA-N 0 1 251.286 0.495 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCCCCCC(=O)[O-] ZINC000736079353 581687028 /nfs/dbraw/zinc/68/70/28/581687028.db2.gz LIEHBWQLTBWMOW-LBPRGKRZSA-N 0 1 266.341 0.845 20 30 CCEDMN CCOCCN1CCN(Cn2cccc(C#N)c2=O)CC1 ZINC000758224773 581729002 /nfs/dbraw/zinc/72/90/02/581729002.db2.gz LMNMHLMKCMRADZ-UHFFFAOYSA-N 0 1 290.367 0.332 20 30 CCEDMN COC(=O)CSCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000730921321 581746307 /nfs/dbraw/zinc/74/63/07/581746307.db2.gz XVDIYUVNVGSAFT-SECBINFHSA-N 0 1 284.337 0.270 20 30 CCEDMN C[C@@H](C[N@@H+](C)[C@H](C(N)=O)c1ccc(C#N)cc1)C(=O)[O-] ZINC000736576142 581796779 /nfs/dbraw/zinc/79/67/79/581796779.db2.gz VSWMVUFFSDOGJU-CABZTGNLSA-N 0 1 275.308 0.737 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(C)[C@H](C)[C@H]1C ZINC000771405677 581802673 /nfs/dbraw/zinc/80/26/73/581802673.db2.gz MFFLGTIOOMAORA-MCIONIFRSA-N 0 1 263.385 0.635 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)c2[nH]c(=O)[nH]c(=O)c2N)c1 ZINC000759712965 581817309 /nfs/dbraw/zinc/81/73/09/581817309.db2.gz CNNCRWYSYAHCDO-UHFFFAOYSA-N 0 1 287.235 0.300 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1CC12CCC2 ZINC000759835721 581826313 /nfs/dbraw/zinc/82/63/13/581826313.db2.gz UIEJAEFUNWPNMP-VHSXEESVSA-N 0 1 250.298 0.648 20 30 CCEDMN C#CCCCNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000743970809 581829179 /nfs/dbraw/zinc/82/91/79/581829179.db2.gz ZMHMHWNMUCXJPK-UHFFFAOYSA-N 0 1 297.318 0.735 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CCN(C2CC2)[C@H](C#N)C1 ZINC000732678639 581841761 /nfs/dbraw/zinc/84/17/61/581841761.db2.gz IQCTWXQWUZFMAU-OLZOCXBDSA-N 0 1 262.357 0.279 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(C3CC3)[C@@H](C#N)C2)[nH]1 ZINC000732678980 581841800 /nfs/dbraw/zinc/84/18/00/581841800.db2.gz QPHUJWWNDBNKMH-NSHDSACASA-N 0 1 259.313 0.531 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H]2CCN(C(C)C)C2=O)CC1 ZINC000772112770 581843237 /nfs/dbraw/zinc/84/32/37/581843237.db2.gz FLFYPMGWAMLMKN-AWEZNQCLSA-N 0 1 292.379 0.884 20 30 CCEDMN COc1c(O)c(C=NNC(=N)N)ccc1[N+](=O)[O-] ZINC000773034410 581905916 /nfs/dbraw/zinc/90/59/16/581905916.db2.gz KTNXMOCYXWEYMS-UHFFFAOYSA-N 0 1 253.218 0.126 20 30 CCEDMN CCOC(=O)[C@@]1(C(C)C)CCN(CC(=O)NCCC#N)C1 ZINC000773921613 581947075 /nfs/dbraw/zinc/94/70/75/581947075.db2.gz LOXCZDGHUKBOQL-HNNXBMFYSA-N 0 1 295.383 0.927 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CCN(C)C1=O ZINC000774061152 581956184 /nfs/dbraw/zinc/95/61/84/581956184.db2.gz FWNIUBYLGVFDIQ-NWDGAFQWSA-N 0 1 264.325 0.106 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CN2CCC(=O)NC2=O)c1 ZINC000748411145 581974026 /nfs/dbraw/zinc/97/40/26/581974026.db2.gz XVJJGYFUUKQLDZ-UHFFFAOYSA-N 0 1 288.263 0.144 20 30 CCEDMN COc1ccc([C@H](CCO)NCC(=O)NCCC#N)cc1 ZINC000774847206 581999443 /nfs/dbraw/zinc/99/94/43/581999443.db2.gz LZRUYMZVHIKGBU-AWEZNQCLSA-N 0 1 291.351 0.738 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)c2cc(C(N)=O)c[nH]2)c1 ZINC000749545765 582011949 /nfs/dbraw/zinc/01/19/49/582011949.db2.gz XMLHBADVNKFBPG-UHFFFAOYSA-N 0 1 270.248 0.943 20 30 CCEDMN C#C[C@@H](NC(=O)CSc1nnc(C)[nH]1)[C@@H]1CCCO1 ZINC000775322363 582017910 /nfs/dbraw/zinc/01/79/10/582017910.db2.gz CIRHWMFPYDGWHB-ZJUUUORDSA-N 0 1 280.353 0.502 20 30 CCEDMN C#C[C@@H](NC(=O)CSc1nc(C)n[nH]1)[C@@H]1CCCO1 ZINC000775322363 582017912 /nfs/dbraw/zinc/01/79/12/582017912.db2.gz CIRHWMFPYDGWHB-ZJUUUORDSA-N 0 1 280.353 0.502 20 30 CCEDMN O=C1CN(N=Cc2cc(F)c(O)c(F)c2)C(=O)N1 ZINC000749859263 582025144 /nfs/dbraw/zinc/02/51/44/582025144.db2.gz NYDORIBCHLZBOQ-UHFFFAOYSA-N 0 1 255.180 0.556 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)N(C)CCC#N)[C@H]1CCCO1 ZINC000775784516 582037483 /nfs/dbraw/zinc/03/74/83/582037483.db2.gz JLASYRKVVONNRW-FRRDWIJNSA-N 0 1 263.341 0.517 20 30 CCEDMN C#C[C@@H]([NH2+]Cc1cc(=O)n2[n-]ccc2n1)[C@H]1CCCO1 ZINC000775790244 582038339 /nfs/dbraw/zinc/03/83/39/582038339.db2.gz HILAGYDGWHYLBR-VXGBXAGGSA-N 0 1 272.308 0.293 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NCCCOCC)[C@H]1CCCO1 ZINC000775795048 582039052 /nfs/dbraw/zinc/03/90/52/582039052.db2.gz LGBYQQIVYXHAKP-HZSPNIEDSA-N 0 1 282.384 0.688 20 30 CCEDMN C#C[C@H](NCC1(CS(C)(=O)=O)CC1)[C@@H]1CCCO1 ZINC000775807284 582040545 /nfs/dbraw/zinc/04/05/45/582040545.db2.gz QRLHSCPGPAJCDO-RYUDHWBXSA-N 0 1 271.382 0.582 20 30 CCEDMN C#CCCN1CCN(c2ncnc3c2ncn3C)CC1 ZINC000750531507 582049839 /nfs/dbraw/zinc/04/98/39/582049839.db2.gz FWJPVLQVHPFOFX-UHFFFAOYSA-N 0 1 270.340 0.509 20 30 CCEDMN C#CCNC(=O)c1ccccc1NC(=O)CCc1nc[nH]n1 ZINC000750610621 582052818 /nfs/dbraw/zinc/05/28/18/582052818.db2.gz HYNZYSVBXJJFEH-UHFFFAOYSA-N 0 1 297.318 0.739 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@H]1CCCCN1C(=O)[O-] ZINC000738459841 582052936 /nfs/dbraw/zinc/05/29/36/582052936.db2.gz GFFPJVAYACNSQP-OLZOCXBDSA-N 0 1 293.367 0.733 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@H]1CCCCN1C(=O)[O-] ZINC000738459841 582052940 /nfs/dbraw/zinc/05/29/40/582052940.db2.gz GFFPJVAYACNSQP-OLZOCXBDSA-N 0 1 293.367 0.733 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C(C)C)CC1)[C@H]1CCCO1 ZINC000776224738 582061110 /nfs/dbraw/zinc/06/11/10/582061110.db2.gz MVAGQYLXJHKODU-UONOGXRCSA-N 0 1 279.384 0.903 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000764898011 582075123 /nfs/dbraw/zinc/07/51/23/582075123.db2.gz VQTKCBZQMOGWBS-FZMZJTMJSA-N 0 1 272.352 0.481 20 30 CCEDMN C#CCCN1CCN(CCN2CCOC2=O)CC1 ZINC000776594203 582084066 /nfs/dbraw/zinc/08/40/66/582084066.db2.gz YQXUNMLZHDMQOK-UHFFFAOYSA-N 0 1 251.330 0.080 20 30 CCEDMN CN(C)C(=O)c1ccc(C=NNc2ncnc3nc[nH]c32)[nH]1 ZINC000752164987 582102060 /nfs/dbraw/zinc/10/20/60/582102060.db2.gz RMYJOVOJZSMZFM-UHFFFAOYSA-N 0 1 298.310 0.829 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000818202886 615021014 /nfs/dbraw/zinc/02/10/14/615021014.db2.gz WTHSKFHFGJJPQB-SNVBAGLBSA-N 0 1 253.302 0.155 20 30 CCEDMN COC[C@H](C)N1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC000980838682 617060118 /nfs/dbraw/zinc/06/01/18/617060118.db2.gz VYODJTSCFNJVCC-NEPJUHHUSA-N 0 1 253.346 0.715 20 30 CCEDMN C#CCCCS(=O)(=O)NCCN(C)CCCOC ZINC000805015329 612984180 /nfs/dbraw/zinc/98/41/80/612984180.db2.gz DNPWMGOQPMVHOU-UHFFFAOYSA-N 0 1 276.402 0.288 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC000805032464 612984895 /nfs/dbraw/zinc/98/48/95/612984895.db2.gz MELJSWBBACHXDB-DGCLKSJQSA-N 0 1 270.398 0.802 20 30 CCEDMN C#CCOCCNC(=O)N1CCNC[C@@H]1C(C)C ZINC000861618988 612986327 /nfs/dbraw/zinc/98/63/27/612986327.db2.gz VKDSUUCADKGQLW-GFCCVEGCSA-N 0 1 253.346 0.276 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC000820226963 597650165 /nfs/dbraw/zinc/65/01/65/597650165.db2.gz BCVJBRHUSLSIPW-GBIKHYSHSA-N 0 1 267.329 0.590 20 30 CCEDMN C[C@H]1CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC[N@@H+]1C ZINC000820246415 597650310 /nfs/dbraw/zinc/65/03/10/597650310.db2.gz XEHNLDISRIVQTC-JOYOIKCWSA-N 0 1 253.302 0.153 20 30 CCEDMN C[C@H]1CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC000820246415 597650312 /nfs/dbraw/zinc/65/03/12/597650312.db2.gz XEHNLDISRIVQTC-JOYOIKCWSA-N 0 1 253.302 0.153 20 30 CCEDMN COc1cc(C)cc(C(=O)N[C@H]2CNC[C@H]2C#N)c1O ZINC000834499618 617175178 /nfs/dbraw/zinc/17/51/78/617175178.db2.gz KQBDMTYOGCNHGI-KOLCDFICSA-N 0 1 275.308 0.551 20 30 CCEDMN CC(C)(C#N)CCC[N@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC000087600113 601112344 /nfs/dbraw/zinc/11/23/44/601112344.db2.gz SOWQMLHQULXBQZ-JTQLQIEISA-N 0 1 267.329 0.591 20 30 CCEDMN CC(C)(C#N)CCC[N@@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC000087600113 601112343 /nfs/dbraw/zinc/11/23/43/601112343.db2.gz SOWQMLHQULXBQZ-JTQLQIEISA-N 0 1 267.329 0.591 20 30 CCEDMN C#CCSCCNC(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000911424996 613015499 /nfs/dbraw/zinc/01/54/99/613015499.db2.gz VCUXXMLYMOIGRU-LLVKDONJSA-N 0 1 284.381 0.266 20 30 CCEDMN COc1cc(C=NNC[C@@H](C)O)cc([N+](=O)[O-])c1O ZINC000814962601 617179452 /nfs/dbraw/zinc/17/94/52/617179452.db2.gz OPESPOZMAUCYKJ-SSDOTTSWSA-N 0 1 269.257 0.613 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC([C@@H](C)CO)CC1 ZINC000844419506 612949922 /nfs/dbraw/zinc/94/99/22/612949922.db2.gz NGYYYVCGUXZKNZ-RYUDHWBXSA-N 0 1 252.358 0.465 20 30 CCEDMN COc1cc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)ccc1C ZINC000834516114 617180991 /nfs/dbraw/zinc/18/09/91/617180991.db2.gz FMNJLVHODSLSEF-QWHCGFSZSA-N 0 1 273.336 0.774 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)[O-])C1CC1 ZINC000823648761 604068921 /nfs/dbraw/zinc/06/89/21/604068921.db2.gz WTSVAVDRDAQBQW-BXUZGUMPSA-N 0 1 294.355 0.527 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)[O-])C1CC1 ZINC000823648761 604068923 /nfs/dbraw/zinc/06/89/23/604068923.db2.gz WTSVAVDRDAQBQW-BXUZGUMPSA-N 0 1 294.355 0.527 20 30 CCEDMN C#CC[C@@H](COC)NCc1cccc(S(N)(=O)=O)c1 ZINC000877661735 613038005 /nfs/dbraw/zinc/03/80/05/613038005.db2.gz GBWQOFSBFSKKIL-LBPRGKRZSA-N 0 1 282.365 0.462 20 30 CCEDMN C#CCNC(=S)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000780207638 612953134 /nfs/dbraw/zinc/95/31/34/612953134.db2.gz HIUVHZCISGDVAI-NSHDSACASA-N 0 1 269.414 0.271 20 30 CCEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CC[C@@H](CO)C2)cc1 ZINC000833071010 604767736 /nfs/dbraw/zinc/76/77/36/604767736.db2.gz CETSZJDBGYDAGD-DGCLKSJQSA-N 0 1 260.293 0.998 20 30 CCEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](CO)C2)c1 ZINC000833074330 604767885 /nfs/dbraw/zinc/76/78/85/604767885.db2.gz VQMGXDOEUTWPMI-YPMHNXCESA-N 0 1 260.293 0.998 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1ccc(C#N)[nH]1 ZINC000833624390 605115891 /nfs/dbraw/zinc/11/58/91/605115891.db2.gz HXWVZGJDHYYPHU-SNVBAGLBSA-N 0 1 290.323 0.507 20 30 CCEDMN C#CCCS(=O)(=O)N1C[C@@H](N(C)C)[C@@H](SC)C1 ZINC000808304379 613052500 /nfs/dbraw/zinc/05/25/00/613052500.db2.gz SZYJJXDGMYYAER-MNOVXSKESA-N 0 1 276.427 0.317 20 30 CCEDMN C#CC[C@H](COC)NS(=O)(=O)CCN(CC)CC ZINC000859430502 613066593 /nfs/dbraw/zinc/06/65/93/613066593.db2.gz RPGKPIPNRTZSMZ-GFCCVEGCSA-N 0 1 276.402 0.286 20 30 CCEDMN C#CCN(C)CCCNC(=O)N1CCCC(=O)[C@H]1C ZINC000922631346 613073778 /nfs/dbraw/zinc/07/37/78/613073778.db2.gz VHGKYNUWIUCVIX-GFCCVEGCSA-N 0 1 265.357 0.705 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C(=O)OCC)c1ccccc1 ZINC000912253339 612956657 /nfs/dbraw/zinc/95/66/57/612956657.db2.gz GWUQBLLIIVJARJ-CQSZACIVSA-N 0 1 274.320 0.630 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NCc1nc(CSC)n[nH]1 ZINC000891091149 613079173 /nfs/dbraw/zinc/07/91/73/613079173.db2.gz PNDSIPWUGWJHPN-MRVPVSSYSA-N 0 1 267.358 0.831 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NCc1nnc(CSC)[nH]1 ZINC000891091149 613079175 /nfs/dbraw/zinc/07/91/75/613079175.db2.gz PNDSIPWUGWJHPN-MRVPVSSYSA-N 0 1 267.358 0.831 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2n[nH]c(C)c2C)C1 ZINC000971233193 613087909 /nfs/dbraw/zinc/08/79/09/613087909.db2.gz KWEJDOZUFYTQEE-GFCCVEGCSA-N 0 1 260.341 0.806 20 30 CCEDMN C#C[C@@H](NC(=O)NCC(C)(C)N1CCN(C)CC1)C(C)C ZINC000811997398 613095413 /nfs/dbraw/zinc/09/54/13/613095413.db2.gz BBKOHMDJUVVDCI-CQSZACIVSA-N 0 1 294.443 0.969 20 30 CCEDMN C#CCN(CC(=O)NCCN1CCC1)C(=O)OC(C)(C)C ZINC000830937246 613103128 /nfs/dbraw/zinc/10/31/28/613103128.db2.gz IYKXOZJXFYYGPW-UHFFFAOYSA-N 0 1 295.383 0.679 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)NC(C)(C)CCS(C)(=O)=O ZINC000876681951 613387919 /nfs/dbraw/zinc/38/79/19/613387919.db2.gz CMVXRNZGZMQEMD-SECBINFHSA-N 0 1 296.820 0.786 20 30 CCEDMN C#CC1(O)CN(C(=O)c2sccc2CN(C)C)C1 ZINC000890524016 612960165 /nfs/dbraw/zinc/96/01/65/612960165.db2.gz YCISJLCYFLWODH-UHFFFAOYSA-N 0 1 264.350 0.630 20 30 CCEDMN C#CC1CCN(C(=O)[C@@]2(C)C[C@@H](O)CN2C)CC1 ZINC000911548006 612963980 /nfs/dbraw/zinc/96/39/80/612963980.db2.gz SYCYTDDNHRINMF-TZMCWYRMSA-N 0 1 250.342 0.313 20 30 CCEDMN C#CC1CCN([C@@H](C)C(=O)NC(=O)NCC=C)CC1 ZINC000830316569 612965034 /nfs/dbraw/zinc/96/50/34/612965034.db2.gz YKASHRYBTQOIKI-NSHDSACASA-N 0 1 263.341 0.732 20 30 CCEDMN COc1cc(OC)cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834512080 617211144 /nfs/dbraw/zinc/21/11/44/617211144.db2.gz RNAUZJANVBWNRW-ZWNOBZJWSA-N 0 1 275.308 0.545 20 30 CCEDMN C#CCC1(O)CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000880477652 612974189 /nfs/dbraw/zinc/97/41/89/612974189.db2.gz MCDYTQUBJIPHEG-LLVKDONJSA-N 0 1 250.342 0.504 20 30 CCEDMN CCC[C@H](OC)C(=O)C(C#N)C(=O)NCCCOC ZINC000835057877 614434501 /nfs/dbraw/zinc/43/45/01/614434501.db2.gz VDACAGLYDKAKFV-MNOVXSKESA-N 0 1 270.329 0.663 20 30 CCEDMN COc1ccc(C=Nn2c(=O)c(C)n[nH]c2=S)c(O)c1 ZINC000914691601 617238523 /nfs/dbraw/zinc/23/85/23/617238523.db2.gz CNSGPAJOTKYANV-UHFFFAOYSA-N 0 1 292.320 0.832 20 30 CCEDMN COc1ccc(CC(=O)N[C@H]2CNC[C@H]2C#N)cc1F ZINC000843462564 617242182 /nfs/dbraw/zinc/24/21/82/617242182.db2.gz RDHMNLRTSDTPGF-PWSUYJOCSA-N 0 1 277.299 0.605 20 30 CCEDMN COc1ccc(F)cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834516833 617265078 /nfs/dbraw/zinc/26/50/78/617265078.db2.gz MLGOFZIFKBYDOV-KCJUWKMLSA-N 0 1 263.272 0.676 20 30 CCEDMN COc1ccc(NC(=O)N[C@H]2CNC[C@H]2C#N)c(Cl)n1 ZINC000841145412 617270163 /nfs/dbraw/zinc/27/01/63/617270163.db2.gz QOMQIXZGQHIBII-APPZFPTMSA-N 0 1 295.730 0.977 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000885262730 612951485 /nfs/dbraw/zinc/95/14/85/612951485.db2.gz PRFFSQVCNWKXJI-GBIKHYSHSA-N 0 1 289.335 0.757 20 30 CCEDMN C#CCNC(=O)c1ccc(N[C@@H]2CCCN(CC#C)C2)nc1 ZINC000884612444 612952624 /nfs/dbraw/zinc/95/26/24/612952624.db2.gz LSSFVFZNUSWWMS-OAHLLOKOSA-N 0 1 296.374 0.954 20 30 CCEDMN C#CCC1(NC(=O)CN2CCN(CC)CC2)CCC1 ZINC000886792624 612968512 /nfs/dbraw/zinc/96/85/12/612968512.db2.gz HLDXPQNGHBZOCB-UHFFFAOYSA-N 0 1 263.385 0.686 20 30 CCEDMN C#CCC1(NC(=O)NCc2n[nH]c(C)n2)CCOCC1 ZINC000898346656 612969474 /nfs/dbraw/zinc/96/94/74/612969474.db2.gz CGJKCPBPDXATOA-UHFFFAOYSA-N 0 1 277.328 0.485 20 30 CCEDMN C#CCC1(O)CCN(C(=O)NCc2cn[nH]c2C)CC1 ZINC000883138508 612972075 /nfs/dbraw/zinc/97/20/75/612972075.db2.gz GNBPUSRXKFRVJO-UHFFFAOYSA-N 0 1 276.340 0.778 20 30 CCEDMN C#CCC1(O)CCN(C(=O)N[C@H]2CC[N@@H+](C)[C@H]2C)CC1 ZINC000925356946 612972345 /nfs/dbraw/zinc/97/23/45/612972345.db2.gz SBGSMZHOXVDPGM-STQMWFEESA-N 0 1 279.384 0.639 20 30 CCEDMN C#CCC1(O)CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000882520472 612973076 /nfs/dbraw/zinc/97/30/76/612973076.db2.gz LZNUBMWBHVKRAZ-UHFFFAOYSA-N 0 1 284.319 0.948 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000928095096 612980291 /nfs/dbraw/zinc/98/02/91/612980291.db2.gz DFQOPCYJYHGBJM-CHWSQXEVSA-N 0 1 282.409 0.722 20 30 CCEDMN C#CCCCNC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC000875320720 612982166 /nfs/dbraw/zinc/98/21/66/612982166.db2.gz YGSLROXYYPIVAX-UHFFFAOYSA-N 0 1 291.355 0.911 20 30 CCEDMN C#CCOCCN1CCC[C@@](O)(Cc2nc(CC)no2)C1 ZINC000856678983 612983222 /nfs/dbraw/zinc/98/32/22/612983222.db2.gz IXKIRCXCGSTUQL-OAHLLOKOSA-N 0 1 293.367 0.651 20 30 CCEDMN C#CCOCCN1C[C@H](C)O[C@@]2(CCCOC2)C1 ZINC000852003066 612984958 /nfs/dbraw/zinc/98/49/58/612984958.db2.gz HWMCVDYPBNLWEW-KBPBESRZSA-N 0 1 253.342 0.906 20 30 CCEDMN C#CCCCS(=O)(=O)Nc1c(C#N)c(C)nn1C ZINC000903172683 612985368 /nfs/dbraw/zinc/98/53/68/612985368.db2.gz BAFOGXDFTTZODY-UHFFFAOYSA-N 0 1 266.326 0.755 20 30 CCEDMN C#CCCCS(=O)(=O)Nc1cc(OC)ncn1 ZINC000840945150 612985379 /nfs/dbraw/zinc/98/53/79/612985379.db2.gz BGASHLTZOFURRH-UHFFFAOYSA-N 0 1 255.299 0.640 20 30 CCEDMN C#CCCN(CCOC)C(=O)CCc1nc[nH]n1 ZINC000856388187 612986959 /nfs/dbraw/zinc/98/69/59/612986959.db2.gz AXRYDKFTDPJQMR-UHFFFAOYSA-N 0 1 250.302 0.236 20 30 CCEDMN C#CCO[N-]C(=O)CN1CC[NH+](Cc2ccccc2)CC1 ZINC000812783146 612992196 /nfs/dbraw/zinc/99/21/96/612992196.db2.gz AQKLJGLAFCSEIP-UHFFFAOYSA-N 0 1 287.363 0.485 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000854568912 612999128 /nfs/dbraw/zinc/99/91/28/612999128.db2.gz GXMINQNWLGGSQI-UONOGXRCSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2c(C)ncn2C)CC1 ZINC000981388035 613005361 /nfs/dbraw/zinc/00/53/61/613005361.db2.gz YXLKAKAQEBBVAW-UHFFFAOYSA-N 0 1 274.368 0.900 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccc(C)nn2)CC1 ZINC000981133431 613006016 /nfs/dbraw/zinc/00/60/16/613006016.db2.gz AAUMCCOMIJWEOW-UHFFFAOYSA-N 0 1 272.352 0.956 20 30 CCEDMN C#CCOc1ccccc1C[N@@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC000901900326 613007845 /nfs/dbraw/zinc/00/78/45/613007845.db2.gz FYBGKFIYSXRGMM-OAHLLOKOSA-N 0 1 275.304 0.720 20 30 CCEDMN C#CCOc1ncccc1C(=O)N[C@@H]1[C@H]2CN(CC#C)C[C@H]21 ZINC000962337503 613008626 /nfs/dbraw/zinc/00/86/26/613008626.db2.gz ZCWBLDMSQNKGRH-FICVDOATSA-N 0 1 295.342 0.387 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H](C(=O)[O-])C(C)C)CC1 ZINC000911251545 613010067 /nfs/dbraw/zinc/01/00/67/613010067.db2.gz AIACTZGRXWREJG-GFCCVEGCSA-N 0 1 266.341 0.511 20 30 CCEDMN C#CCCN1CCN(C[C@H]2CN(C3CC3)C(=O)O2)CC1 ZINC000844308628 613011552 /nfs/dbraw/zinc/01/15/52/613011552.db2.gz GEKNCMWRYDFETJ-AWEZNQCLSA-N 0 1 277.368 0.611 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2cnon2)C1 ZINC000968444043 613016086 /nfs/dbraw/zinc/01/60/86/613016086.db2.gz FABGGNWRRGJFAM-ZYHUDNBSSA-N 0 1 262.313 0.533 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NCc1n[nH]c(CC)n1 ZINC000875626182 613021166 /nfs/dbraw/zinc/02/11/66/613021166.db2.gz PSZKHKHIEAMUDW-JTQLQIEISA-N 0 1 279.344 0.595 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCc1cc(N(C)C)ccn1 ZINC000923487233 613035580 /nfs/dbraw/zinc/03/55/80/613035580.db2.gz BJEHBCOLKVAEQC-LBPRGKRZSA-N 0 1 290.367 0.985 20 30 CCEDMN C#CC[C@@H](NC(=O)C[N@H+](C)CC(C)(C)C)C(=O)[O-] ZINC000910050656 613039883 /nfs/dbraw/zinc/03/98/83/613039883.db2.gz MWKQHIULLVLOOQ-SNVBAGLBSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000812556028 613057712 /nfs/dbraw/zinc/05/77/12/613057712.db2.gz PEMKATMBMIIFIE-WDEREUQCSA-N 0 1 276.340 0.341 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935812292 613060635 /nfs/dbraw/zinc/06/06/35/613060635.db2.gz CFPWWAYEJAIFSJ-ZDUSSCGKSA-N 0 1 293.367 0.265 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000875926155 613062728 /nfs/dbraw/zinc/06/27/28/613062728.db2.gz BZZALAAOMLABCI-MELADBBJSA-N 0 1 297.399 0.121 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@H]1CCCN1C1CC1 ZINC000799082800 613063104 /nfs/dbraw/zinc/06/31/04/613063104.db2.gz NBAPWLPVCRKEJS-QWHCGFSZSA-N 0 1 250.342 0.504 20 30 CCEDMN C#CCN(C(=O)CCCc1nn[nH]n1)C1CSC1 ZINC000912362982 613065606 /nfs/dbraw/zinc/06/56/06/613065606.db2.gz ZNHJZVISPQWFLJ-UHFFFAOYSA-N 0 1 265.342 0.100 20 30 CCEDMN C#CCN(C(=O)NC[C@@H]1CN(C)CCN1C)C(C)C ZINC000917961164 613067332 /nfs/dbraw/zinc/06/73/32/613067332.db2.gz SAHJUDFXUMOWEL-CYBMUJFWSA-N 0 1 266.389 0.285 20 30 CCEDMN C#CC[C@H](NC(=O)C(C)(C)CN1CCOCC1)C(=O)OC ZINC000823520680 613067458 /nfs/dbraw/zinc/06/74/58/613067458.db2.gz YCZJEPNICKBXMT-LBPRGKRZSA-N 0 1 296.367 0.026 20 30 CCEDMN C#CCN(C(=O)N[C@H]1CCN(CCOC)C1)C(C)C ZINC000919636528 613068175 /nfs/dbraw/zinc/06/81/75/613068175.db2.gz XPGBQNYAOKHHBT-ZDUSSCGKSA-N 0 1 267.373 0.760 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC000972348538 613084097 /nfs/dbraw/zinc/08/40/97/613084097.db2.gz KSNMZCKFLYVFNW-FRRDWIJNSA-N 0 1 277.368 0.054 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NCc1n[nH]c(COC)n1 ZINC000854080468 613084088 /nfs/dbraw/zinc/08/40/88/613084088.db2.gz SGLUAXIFAHHGPN-QMMMGPOBSA-N 0 1 251.290 0.162 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NCc1nnc(COC)[nH]1 ZINC000854080468 613084090 /nfs/dbraw/zinc/08/40/90/613084090.db2.gz SGLUAXIFAHHGPN-QMMMGPOBSA-N 0 1 251.290 0.162 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCCO2)C1 ZINC000971358114 613084139 /nfs/dbraw/zinc/08/41/39/613084139.db2.gz XDXYVKBTSYOELY-CHWSQXEVSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc(OC)nc2)C1 ZINC000972662855 613087419 /nfs/dbraw/zinc/08/74/19/613087419.db2.gz VFMUQPFBIVRTAW-GFCCVEGCSA-N 0 1 274.324 0.265 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn3c2OCCC3)C1 ZINC000971863845 613087784 /nfs/dbraw/zinc/08/77/84/613087784.db2.gz OFBRDRKXGCOBJC-GFCCVEGCSA-N 0 1 288.351 0.445 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCCOC2)C1 ZINC000980417824 613090301 /nfs/dbraw/zinc/09/03/01/613090301.db2.gz DPEMICBCAZSKNN-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC000971633838 613091272 /nfs/dbraw/zinc/09/12/72/613091272.db2.gz QTSIKPNYXNWVGN-KGLIPLIRSA-N 0 1 291.395 0.409 20 30 CCEDMN C#C[C@@H](NC(=O)C1CN([C@H]2CCOC2)C1)C1CCOCC1 ZINC000898978782 613091592 /nfs/dbraw/zinc/09/15/92/613091592.db2.gz YFSBNHAZNGWQRN-LSDHHAIUSA-N 0 1 292.379 0.252 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)NC(C)C ZINC000922024880 613098117 /nfs/dbraw/zinc/09/81/17/613098117.db2.gz TZLOIOGRIVNEED-UHFFFAOYSA-N 0 1 273.402 0.259 20 30 CCEDMN C#C[C@@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])C1CCOCC1 ZINC000856106778 613099495 /nfs/dbraw/zinc/09/94/95/613099495.db2.gz ZMKFEPPDJJGHOX-SECBINFHSA-N 0 1 278.268 0.476 20 30 CCEDMN C#CCN(CCCN1CCOCC1)C1CSC1 ZINC000878911471 613107729 /nfs/dbraw/zinc/10/77/29/613107729.db2.gz NHUDETMBBYOOBO-UHFFFAOYSA-N 0 1 254.399 0.759 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)NCCN2CCC(O)CC2)CC1 ZINC000896324820 613107978 /nfs/dbraw/zinc/10/79/78/613107978.db2.gz IIWHOWUTKOVOJG-HDJSIYSDSA-N 0 1 293.411 0.934 20 30 CCEDMN C#CCN(C[C@@H](O)C[C@@](C)(O)C1CC1)C1CSC1 ZINC000878909266 613108013 /nfs/dbraw/zinc/10/80/13/613108013.db2.gz PUJQEROZWGHRFL-UONOGXRCSA-N 0 1 269.410 0.949 20 30 CCEDMN C#CCN(Cc1ccc(F)cc1)C(=O)CCc1nn[nH]n1 ZINC000865036475 613108841 /nfs/dbraw/zinc/10/88/41/613108841.db2.gz ZAPUFDPAXBPFAR-UHFFFAOYSA-N 0 1 287.298 0.933 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000820411133 613115915 /nfs/dbraw/zinc/11/59/15/613115915.db2.gz CZZJBLNXGYVHRA-TUAOUCFPSA-N 0 1 253.346 0.105 20 30 CCEDMN C#C[C@@H](CC)NC(=O)c1cc(C(=O)N[C@H](C#C)CC)n[nH]1 ZINC000834381331 613121992 /nfs/dbraw/zinc/12/19/92/613121992.db2.gz LGJNAERMQAYULC-PHIMTYICSA-N 0 1 286.335 0.693 20 30 CCEDMN C#C[C@H](CC)NCc1ccc(S(N)(=O)=O)cc1F ZINC000877512868 613122021 /nfs/dbraw/zinc/12/20/21/613122021.db2.gz DVTAPNMHIKUYNX-SNVBAGLBSA-N 0 1 270.329 0.975 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H]2COCCO2)CC1 ZINC000855678101 613128310 /nfs/dbraw/zinc/12/83/10/613128310.db2.gz FENHIQFMCNFXKJ-AWEZNQCLSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC3(C2)CCNC(=O)O3)CC1 ZINC000888406542 613128687 /nfs/dbraw/zinc/12/86/87/613128687.db2.gz WDUMMIASIWDIAJ-UHFFFAOYSA-N 0 1 291.351 0.043 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@H]2COC)CC1 ZINC000886873442 613129209 /nfs/dbraw/zinc/12/92/09/613129209.db2.gz PSOHWBOIGKFXCE-CQSZACIVSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@H](O)CC(=O)OCC)CC1 ZINC000859556818 613131902 /nfs/dbraw/zinc/13/19/02/613131902.db2.gz PMBXLFSKNCSBBE-CYBMUJFWSA-N 0 1 297.351 0.189 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C/Cn2cncn2)CC1 ZINC000928648695 613132508 /nfs/dbraw/zinc/13/25/08/613132508.db2.gz QJTNAJZLQGQTQQ-ONEGZZNKSA-N 0 1 273.340 0.048 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H]2CCN(CC=C)C2=O)CC1 ZINC000798617050 613132524 /nfs/dbraw/zinc/13/25/24/613132524.db2.gz VLLZQPAXNIOCGT-AWEZNQCLSA-N 0 1 290.363 0.662 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(OC)CCOCC2)CC1 ZINC000928653162 613132536 /nfs/dbraw/zinc/13/25/36/613132536.db2.gz BNDRLFNHEROWRA-UHFFFAOYSA-N 0 1 280.368 0.396 20 30 CCEDMN C#C[C@H](NC(=O)CN(C)[C@@H]1CCSC1)C1CCOCC1 ZINC000856107433 613133115 /nfs/dbraw/zinc/13/31/15/613133115.db2.gz NRLGBCSSGGMHBD-KGLIPLIRSA-N 0 1 296.436 0.968 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ccc(C#N)nc2)CC1 ZINC000928661614 613133424 /nfs/dbraw/zinc/13/34/24/613133424.db2.gz ZAHXPQOPGWGILM-UHFFFAOYSA-N 0 1 282.347 0.710 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2ccc(C)n2)CC1 ZINC000928651139 613133569 /nfs/dbraw/zinc/13/35/69/613133569.db2.gz OCJRRNFFPCLSSS-UHFFFAOYSA-N 0 1 260.341 0.405 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCOCCOCC)CC1 ZINC000927537983 613133846 /nfs/dbraw/zinc/13/38/46/613133846.db2.gz AUQYPXIBCIYFCQ-UHFFFAOYSA-N 0 1 297.399 0.436 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H](OC)C2CC2)CC1 ZINC000922630232 613134501 /nfs/dbraw/zinc/13/45/01/613134501.db2.gz STPZOGJXDKBETP-CQSZACIVSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CC[C@H](O)CC2)CC1 ZINC000921628305 613134710 /nfs/dbraw/zinc/13/47/10/613134710.db2.gz YMDUZQARFHONJN-MQMHXKEQSA-N 0 1 279.384 0.687 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCn3ccnc32)CC1 ZINC000922656463 613134829 /nfs/dbraw/zinc/13/48/29/613134829.db2.gz UIHVDUQNHHAMBJ-CYBMUJFWSA-N 0 1 287.367 0.725 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC000928656714 613135923 /nfs/dbraw/zinc/13/59/23/613135923.db2.gz FQIJYNXGAMDKAG-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC(C)(C)C1)[C@@H]1CCCO1 ZINC000841878373 613136264 /nfs/dbraw/zinc/13/62/64/613136264.db2.gz FGWUQNIBFXYADQ-RYUDHWBXSA-N 0 1 265.357 0.561 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C)C[C@H]1CC)[C@@H]1CCCO1 ZINC000838560703 613136343 /nfs/dbraw/zinc/13/63/43/613136343.db2.gz ZBSYDCHZZAIUFE-RDBSUJKOSA-N 0 1 279.384 0.903 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(OCC)no2)CC1 ZINC000928651182 613137079 /nfs/dbraw/zinc/13/70/79/613137079.db2.gz QETBXKOVANFUCA-UHFFFAOYSA-N 0 1 277.324 0.901 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(Cl)nn2)CC1 ZINC000928653600 613137150 /nfs/dbraw/zinc/13/71/50/613137150.db2.gz SBQYQMOGWNIYAM-UHFFFAOYSA-N 0 1 278.743 0.957 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccc(=O)[nH]2)CC1 ZINC000928655889 613137562 /nfs/dbraw/zinc/13/75/62/613137562.db2.gz BUOUSDKBXRVGDT-UHFFFAOYSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn3cc(C)cnc23)CC1 ZINC000928660650 613137699 /nfs/dbraw/zinc/13/76/99/613137699.db2.gz UAJDOQHCZRLWRO-UHFFFAOYSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn3c(n2)COCC3)CC1 ZINC000928659759 613137724 /nfs/dbraw/zinc/13/77/24/613137724.db2.gz AREIHMYINUMSJL-UHFFFAOYSA-N 0 1 288.351 0.241 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nc(C)ns2)CC1 ZINC000902945565 613137962 /nfs/dbraw/zinc/13/79/62/613137962.db2.gz QPCCDIBTNOOHMK-UHFFFAOYSA-N 0 1 264.354 0.674 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn3ncccc23)CC1 ZINC000928653829 613137987 /nfs/dbraw/zinc/13/79/87/613137987.db2.gz BTPFYUFZXWHFKN-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ncccc2N(C)C)CC1 ZINC000928649366 613138013 /nfs/dbraw/zinc/13/80/13/613138013.db2.gz ISUWOQOTXYJSBS-UHFFFAOYSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCN1CCC(Nc2ccc(C(=O)NC)nn2)CC1 ZINC000895809409 613138510 /nfs/dbraw/zinc/13/85/10/613138510.db2.gz VHUKCLOOZPYZMA-UHFFFAOYSA-N 0 1 273.340 0.346 20 30 CCEDMN C#CCN1CCC(Nc2nccnc2C(=O)OC)CC1 ZINC000895812158 613139073 /nfs/dbraw/zinc/13/90/73/613139073.db2.gz MVAFAYCKPIYLJH-UHFFFAOYSA-N 0 1 274.324 0.773 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CC[C@H](O)C1)c1ccccc1 ZINC000875721865 613139687 /nfs/dbraw/zinc/13/96/87/613139687.db2.gz JYPVXJLTVMNJNH-GJZGRUSLSA-N 0 1 287.363 0.727 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@@H]1C[C@@H]1C)CCO2 ZINC000949015696 613139833 /nfs/dbraw/zinc/13/98/33/613139833.db2.gz UZUPTSXSEGDNQF-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)c1cnco1)CCO2 ZINC000949154322 613139902 /nfs/dbraw/zinc/13/99/02/613139902.db2.gz UOCWXORGKUSXCY-UHFFFAOYSA-N 0 1 289.335 0.615 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@@H]1CCN1CC)[C@H]1CCCO1 ZINC000883580845 613140653 /nfs/dbraw/zinc/14/06/53/613140653.db2.gz KRJILSUIENUGNV-RWMBFGLXSA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CCc3cncnc3)C2)C1 ZINC000981892023 613140699 /nfs/dbraw/zinc/14/06/99/613140699.db2.gz YGTYESXAFFDAEV-UHFFFAOYSA-N 0 1 298.390 0.967 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CCn3cccn3)C2)C1 ZINC000981630987 613140813 /nfs/dbraw/zinc/14/08/13/613140813.db2.gz IYAQVNFSYHSXGO-UHFFFAOYSA-N 0 1 286.379 0.831 20 30 CCEDMN C#C[C@H](NC(=O)NCc1n[nH]c(CC)n1)[C@H]1CCCO1 ZINC000838560585 613141698 /nfs/dbraw/zinc/14/16/98/613141698.db2.gz XUPLJXJGWFALLN-VHSXEESVSA-N 0 1 277.328 0.347 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3C[C@@H](C)CO3)C2)C1 ZINC000981902834 613142195 /nfs/dbraw/zinc/14/21/95/613142195.db2.gz IDHSJZIMJXIUIE-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#C[C@H](NS(=O)(=O)c1ccccc1O)[C@H]1CCCO1 ZINC000849388656 613152209 /nfs/dbraw/zinc/15/22/09/613152209.db2.gz WDKJQAFNEIIJRG-CMPLNLGQSA-N 0 1 281.333 0.851 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)NCCN2CCCOCC2)C1 ZINC000847376807 613157159 /nfs/dbraw/zinc/15/71/59/613157159.db2.gz ICWASKLEUYXDPI-AWEZNQCLSA-N 0 1 279.384 0.764 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)NC[C@@H]1CCN1CC ZINC000890059709 613160911 /nfs/dbraw/zinc/16/09/11/613160911.db2.gz LDWDILMFSUOEJQ-RYUDHWBXSA-N 0 1 251.330 0.124 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N1CCSC[C@@H](C(=O)[O-])C1 ZINC000910150565 613196298 /nfs/dbraw/zinc/19/62/98/613196298.db2.gz TWUSRDYPDCKIMM-RYUDHWBXSA-N 0 1 296.392 0.360 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCSC[C@@H](C(=O)[O-])C1 ZINC000910150565 613196299 /nfs/dbraw/zinc/19/62/99/613196299.db2.gz TWUSRDYPDCKIMM-RYUDHWBXSA-N 0 1 296.392 0.360 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1CCN(C)CCN(C)CC1 ZINC000888983545 613205103 /nfs/dbraw/zinc/20/51/03/613205103.db2.gz GSGVCVCWACGERZ-UHFFFAOYSA-N 0 1 299.418 0.916 20 30 CCEDMN C#CCN1CCN(C(=O)CN(CC)[C@H]2CCCOC2)CC1 ZINC000844405189 613205334 /nfs/dbraw/zinc/20/53/34/613205334.db2.gz UEIJXAXJZJJFFW-HNNXBMFYSA-N 0 1 293.411 0.265 20 30 CCEDMN C#Cc1cnc(N2CCN(CC(C)(C)O)[C@@H](C)C2)nc1 ZINC000827985539 613209818 /nfs/dbraw/zinc/20/98/18/613209818.db2.gz LDKDSNLCIZUPBK-LBPRGKRZSA-N 0 1 274.368 0.739 20 30 CCEDMN C#Cc1cncc(C(=O)NCc2n[nH]c([C@@H]3CCCO3)n2)c1 ZINC000848272890 613218404 /nfs/dbraw/zinc/21/84/04/613218404.db2.gz MAOXCCMKMCDGIL-LBPRGKRZSA-N 0 1 297.318 0.963 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966884640 613221570 /nfs/dbraw/zinc/22/15/70/613221570.db2.gz OPVRAQFZSPQKNI-ZYHUDNBSSA-N 0 1 274.324 0.256 20 30 CCEDMN C(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1cnc2n1CCOC2 ZINC000905456624 613222920 /nfs/dbraw/zinc/22/29/20/613222920.db2.gz MDNKZGOAROBVEP-VXGBXAGGSA-N 0 1 288.355 0.607 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2cc[nH]n2)C(C)(C)C1 ZINC000940640237 613226637 /nfs/dbraw/zinc/22/66/37/613226637.db2.gz NWSMYDYQVNETBB-CYBMUJFWSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3nnnn3c2)C[C@H]1C ZINC000947539677 613236382 /nfs/dbraw/zinc/23/63/82/613236382.db2.gz FZQQDPTYVGTMMW-DGCLKSJQSA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn(CC)n2)[C@@H](C)C1 ZINC000943302097 613238752 /nfs/dbraw/zinc/23/87/52/613238752.db2.gz FAMYCQRYLGYURI-QWHCGFSZSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)cn2)[C@@H](C)C1 ZINC000941949268 613239723 /nfs/dbraw/zinc/23/97/23/613239723.db2.gz DWVJQZITCQFWAK-NWDGAFQWSA-N 0 1 260.341 0.494 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cncc(OC)n2)[C@H](C)C1 ZINC000943333284 613241486 /nfs/dbraw/zinc/24/14/86/613241486.db2.gz HYYYDZRCFMPALR-VXGBXAGGSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnns2)C[C@H]1C ZINC000947095145 613242863 /nfs/dbraw/zinc/24/28/63/613242863.db2.gz QGRMUSKYUQOGLT-NXEZZACHSA-N 0 1 264.354 0.754 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC000951767053 613246163 /nfs/dbraw/zinc/24/61/63/613246163.db2.gz TZZGWCUXMXSFCR-OLZOCXBDSA-N 0 1 279.384 0.607 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CCc2cnn(C)n2)CC1 ZINC000950541076 613257803 /nfs/dbraw/zinc/25/78/03/613257803.db2.gz HRUOMISELIBIDF-UHFFFAOYSA-N 0 1 291.399 0.858 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)Cc2cn(CC)nn2)CC1 ZINC000949414170 613258467 /nfs/dbraw/zinc/25/84/67/613258467.db2.gz RPXAXXYQMLVSJC-UHFFFAOYSA-N 0 1 291.399 0.951 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CCC(=O)N2C)CC1 ZINC000949813500 613260397 /nfs/dbraw/zinc/26/03/97/613260397.db2.gz RCQPFGWRIPJOAU-CYBMUJFWSA-N 0 1 279.384 0.718 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)n2cncn2)C(C)(C)C1 ZINC000940692659 613263705 /nfs/dbraw/zinc/26/37/05/613263705.db2.gz CVHREKALPLAFPU-STQMWFEESA-N 0 1 289.383 0.689 20 30 CCEDMN C/C(=C\c1ccccn1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000848417451 613263724 /nfs/dbraw/zinc/26/37/24/613263724.db2.gz AAWHAWBZYHSOPJ-GKIGXUJUSA-N 0 1 256.309 0.713 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)ncn2)[C@H](C)C1 ZINC000942057810 613267259 /nfs/dbraw/zinc/26/72/59/613267259.db2.gz PPAMSILOSIUTFF-NEPJUHHUSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc3n(n2)CCO3)[C@H](C)C1 ZINC000942131497 613267324 /nfs/dbraw/zinc/26/73/24/613267324.db2.gz WCZGJWRQHJJSPP-NEPJUHHUSA-N 0 1 288.351 0.349 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc3ncccn3n2)C[C@@H]1C ZINC000947836768 613267979 /nfs/dbraw/zinc/26/79/79/613267979.db2.gz JYJJSYWPTURHPL-STQMWFEESA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc3n[nH]nc32)C[C@@H]1C ZINC000947531846 613272669 /nfs/dbraw/zinc/27/26/69/613272669.db2.gz FNRRCGBSTZBJTK-QWRGUYRKSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)cn2)[C@@H](C)C1 ZINC000941949269 613273462 /nfs/dbraw/zinc/27/34/62/613273462.db2.gz DWVJQZITCQFWAK-RYUDHWBXSA-N 0 1 260.341 0.494 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc(C)cn2)[C@H](C)C1 ZINC000941772081 613274234 /nfs/dbraw/zinc/27/42/34/613274234.db2.gz KNMMZAAHRJUKKC-YPMHNXCESA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnccn2)[C@@H](C)C1 ZINC000941762325 613275030 /nfs/dbraw/zinc/27/50/30/613275030.db2.gz AQNWGVGUXREMAM-RYUDHWBXSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncc(OC)cn2)C[C@H]1C ZINC000947533939 613278138 /nfs/dbraw/zinc/27/81/38/613278138.db2.gz WEMPLCBSQAXIGE-NEPJUHHUSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2CCOCC2)C(C)(C)C1 ZINC000972737894 613280354 /nfs/dbraw/zinc/28/03/54/613280354.db2.gz UFXPRPRXMJAUAR-CYBMUJFWSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CCCOC2)C(C)(C)C1 ZINC000972852084 613282324 /nfs/dbraw/zinc/28/23/24/613282324.db2.gz MHWNNLOMYFZESN-QWHCGFSZSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C(C)(C)C1 ZINC000974697551 613282783 /nfs/dbraw/zinc/28/27/83/613282783.db2.gz MPHJMBRXZLDVMN-SNVBAGLBSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000974481372 613285095 /nfs/dbraw/zinc/28/50/95/613285095.db2.gz WKBSMFHYJHHWCH-GFCCVEGCSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CC[N@H+]1C[C@@H](NC(=O)c2ncccc2[O-])C(C)(C)C1 ZINC000974481372 613285097 /nfs/dbraw/zinc/28/50/97/613285097.db2.gz WKBSMFHYJHHWCH-GFCCVEGCSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(C)cccc2F)C1 ZINC000958739276 613293927 /nfs/dbraw/zinc/29/39/27/613293927.db2.gz AMAVQXZBEXNGHS-JSGCOSHPSA-N 0 1 290.338 0.790 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@H]1C(=O)NCC1(C)C ZINC000931662904 613294074 /nfs/dbraw/zinc/29/40/74/613294074.db2.gz HVZKWWDECKCBQB-RYUDHWBXSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)nc(C)c2)C1 ZINC000958100962 613294818 /nfs/dbraw/zinc/29/48/18/613294818.db2.gz JWMCOTOAJABXPU-GJZGRUSLSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(Cl)[nH]2)C1 ZINC000958379838 613294966 /nfs/dbraw/zinc/29/49/66/613294966.db2.gz VLHYMEQWYUEOAZ-ONGXEEELSA-N 0 1 281.743 0.324 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc(C)n2)C1 ZINC000957878818 613295261 /nfs/dbraw/zinc/29/52/61/613295261.db2.gz TZCANNGFPPBGBF-JSGCOSHPSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc(F)c2)C1 ZINC000957826260 613295306 /nfs/dbraw/zinc/29/53/06/613295306.db2.gz OHPJRHLPGRQEFR-JSGCOSHPSA-N 0 1 276.311 0.481 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cscc2C)C1 ZINC000957961506 613296092 /nfs/dbraw/zinc/29/60/92/613296092.db2.gz NICNXIDJFZGRGV-AAEUAGOBSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@@H]2COC(=O)C2)C(C)(C)C1 ZINC000973039795 613296971 /nfs/dbraw/zinc/29/69/71/613296971.db2.gz ZLZXDTZXYQSIIS-RYUDHWBXSA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cnn(C)c2)C(C)(C)C1 ZINC000972844991 613298151 /nfs/dbraw/zinc/29/81/51/613298151.db2.gz YDQSECBEZAVOGS-ZDUSSCGKSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C(C)C)no2)C1 ZINC000958457139 613302107 /nfs/dbraw/zinc/30/21/07/613302107.db2.gz AYPYQIVJRXBOBO-YPMHNXCESA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c[nH]c3ncccc23)C1 ZINC000958698532 613302193 /nfs/dbraw/zinc/30/21/93/613302193.db2.gz VKGRTXKKHGSNBA-RISCZKNCSA-N 0 1 298.346 0.219 20 30 CCEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cn(C)nn2)C1 ZINC000969617684 613303614 /nfs/dbraw/zinc/30/36/14/613303614.db2.gz VQPZZCFCWUSDSG-JTQLQIEISA-N 0 1 263.345 0.441 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1c(C)nn(C)c1C ZINC000961387097 613314510 /nfs/dbraw/zinc/31/45/10/613314510.db2.gz KOCSSFCGXPISDB-FOLVSLTJSA-N 0 1 286.379 0.259 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1COc2ccccc2C1 ZINC000960926551 613316663 /nfs/dbraw/zinc/31/66/63/613316663.db2.gz YHFFKVFCNUORQR-AIANPOQGSA-N 0 1 296.370 0.917 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCc2cccnc21 ZINC000961631211 613316764 /nfs/dbraw/zinc/31/67/64/613316764.db2.gz ZMYCUGAYCNODQT-TTZDDIAXSA-N 0 1 281.359 0.791 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]c(C)nc1C ZINC000961800522 613317266 /nfs/dbraw/zinc/31/72/66/613317266.db2.gz ZVRTUWGMNBXLNX-PJXYFTJBSA-N 0 1 258.325 0.320 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)n(C(F)F)n1 ZINC000960129291 613318240 /nfs/dbraw/zinc/31/82/40/613318240.db2.gz WCVCYUOZMDISRW-IAZYJMLFSA-N 0 1 294.305 0.880 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(C(C)(C)C)n1 ZINC000960155973 613320066 /nfs/dbraw/zinc/32/00/66/613320066.db2.gz LRXLFXHYBZKJGH-IMRBUKKESA-N 0 1 286.379 0.931 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2ccc(C)cc12 ZINC000960401469 613321617 /nfs/dbraw/zinc/32/16/17/613321617.db2.gz ZWLVAIPBXRIZKN-FOLVSLTJSA-N 0 1 294.358 0.936 20 30 CCEDMN C#CCNC(=O)CCN1CCO[C@H](C(F)(F)F)CC1 ZINC000880049980 613325250 /nfs/dbraw/zinc/32/52/50/613325250.db2.gz DUCJNEXTYDNCFQ-JTQLQIEISA-N 0 1 278.274 0.779 20 30 CCEDMN C#CCNC(=O)CCN[C@H](CO)c1ccc(F)cc1F ZINC000852713530 613327397 /nfs/dbraw/zinc/32/73/97/613327397.db2.gz IWTLMGKNZITZPU-CYBMUJFWSA-N 0 1 282.290 0.727 20 30 CCEDMN C#CCNC(=O)CN1CCC2(CCCCO2)CC1 ZINC000880453637 613328371 /nfs/dbraw/zinc/32/83/71/613328371.db2.gz NKBZLGPCFUVHCI-UHFFFAOYSA-N 0 1 250.342 0.771 20 30 CCEDMN C#CCNC(=O)CN1CCN(C[C@H](OC)C2CCC2)CC1 ZINC000851750740 613329645 /nfs/dbraw/zinc/32/96/45/613329645.db2.gz JJCVHWRZUXHYEO-HNNXBMFYSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCNC(=O)CN[C@@H](c1ccncc1)C1(CO)CCC1 ZINC000879006067 613330988 /nfs/dbraw/zinc/33/09/88/613330988.db2.gz GPFPJPGOOVUAQG-HNNXBMFYSA-N 0 1 287.363 0.624 20 30 CCEDMN C#CCNC(=O)CN[C@@]1(CO)CCCc2ccccc21 ZINC000799335912 613331007 /nfs/dbraw/zinc/33/10/07/613331007.db2.gz FQOXHBZUQSDKFO-MRXNPFEDSA-N 0 1 272.348 0.550 20 30 CCEDMN C=C(C)COCCNC(=O)[C@@]1(C)C[C@H](O)CN1C ZINC000901082807 613352104 /nfs/dbraw/zinc/35/21/04/613352104.db2.gz YZGUNKZGZXWLOJ-WCQYABFASA-N 0 1 256.346 0.150 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)[O-] ZINC000910292738 613355894 /nfs/dbraw/zinc/35/58/94/613355894.db2.gz IBKWNOBXQBYFHO-GHMZBOCLSA-N 0 1 286.397 0.959 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000929875878 613362452 /nfs/dbraw/zinc/36/24/52/613362452.db2.gz DCUKULWRUOBMMS-NEPJUHHUSA-N 0 1 276.402 0.185 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCN2CCCC2=O)C1 ZINC000970736719 613384560 /nfs/dbraw/zinc/38/45/60/613384560.db2.gz OHYFBMKZJSSYBS-GFCCVEGCSA-N 0 1 299.802 0.942 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(C)[C@@H](C)CS(C)(=O)=O ZINC000876661764 613389023 /nfs/dbraw/zinc/38/90/23/613389023.db2.gz HDWWGPHFURVZOD-IUCAKERBSA-N 0 1 282.793 0.348 20 30 CCEDMN C=CCSCCNC(=O)NCc1n[nH]c(=O)n1C ZINC000887994308 613395736 /nfs/dbraw/zinc/39/57/36/613395736.db2.gz VMJSXEROICATMY-UHFFFAOYSA-N 0 1 271.346 0.239 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(CC(=O)NC)C[C@H]2C)C1 ZINC000942602668 613400687 /nfs/dbraw/zinc/40/06/87/613400687.db2.gz LZRXCSOVFLMIKM-OLZOCXBDSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NCc1cc(N(C)C)ccn1 ZINC000924664587 613403982 /nfs/dbraw/zinc/40/39/82/613403982.db2.gz NJZZOGGJFMQNMU-NSHDSACASA-N 0 1 278.356 0.884 20 30 CCEDMN C=CC[C@@H](NCc1nc(CSC)n[nH]1)C(=O)OC ZINC000905835600 613406089 /nfs/dbraw/zinc/40/60/89/613406089.db2.gz ACXWUPZKJGPOEX-MRVPVSSYSA-N 0 1 270.358 0.875 20 30 CCEDMN C=CC[C@@H](NCc1nnc(CSC)[nH]1)C(=O)OC ZINC000905835600 613406090 /nfs/dbraw/zinc/40/60/90/613406090.db2.gz ACXWUPZKJGPOEX-MRVPVSSYSA-N 0 1 270.358 0.875 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@H]2NC(=O)CC[C@H]2C1 ZINC000878934421 613412140 /nfs/dbraw/zinc/41/21/40/613412140.db2.gz KMWTXFYPAUBQHN-QJPTWQEYSA-N 0 1 266.341 0.705 20 30 CCEDMN C=C1CCN(C(=O)N[C@@H](C)CN2CCN(C)CC2)CC1 ZINC000892867938 613414693 /nfs/dbraw/zinc/41/46/93/613414693.db2.gz BFISCOXHWAOCPC-AWEZNQCLSA-N 0 1 280.416 0.984 20 30 CCEDMN C=C1C[C@H]2CC[C@@H](C1)N2C(=O)NCc1n[nH]c(=O)n1C ZINC000889567827 613417586 /nfs/dbraw/zinc/41/75/86/613417586.db2.gz DSQYNMXDELKTQZ-AOOOYVTPSA-N 0 1 277.328 0.913 20 30 CCEDMN C=CCn1cc(CN[C@@H]2CCOC23CCOCC3)nn1 ZINC000926610492 613436053 /nfs/dbraw/zinc/43/60/53/613436053.db2.gz DUDBNMPOKIRAFY-CYBMUJFWSA-N 0 1 278.356 0.892 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC000966665627 613442904 /nfs/dbraw/zinc/44/29/04/613442904.db2.gz XSDGUNQPIXGASE-VHSXEESVSA-N 0 1 291.355 0.594 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC000966665627 613442906 /nfs/dbraw/zinc/44/29/06/613442906.db2.gz XSDGUNQPIXGASE-VHSXEESVSA-N 0 1 291.355 0.594 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000846526461 613454027 /nfs/dbraw/zinc/45/40/27/613454027.db2.gz HZXVAYAAGQEDKW-UHFFFAOYSA-N 0 1 285.298 0.660 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NCCN1CCC(O)CC1 ZINC000895194926 613459908 /nfs/dbraw/zinc/45/99/08/613459908.db2.gz KOIPZBGOINLAQS-KGLIPLIRSA-N 0 1 297.399 0.476 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H](N2CCC2)C1 ZINC000912904592 613463984 /nfs/dbraw/zinc/46/39/84/613463984.db2.gz RLCYMXXBORIIFF-XQQFMLRXSA-N 0 1 250.342 0.884 20 30 CCEDMN C=CCCCNC(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000910255013 613467813 /nfs/dbraw/zinc/46/78/13/613467813.db2.gz LIRUAGXXPOGILH-LLVKDONJSA-N 0 1 254.330 0.865 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCc1n[nH]c(C)n1 ZINC000895182239 613471205 /nfs/dbraw/zinc/47/12/05/613471205.db2.gz OIDKTBSVSYPPHG-BDAKNGLRSA-N 0 1 251.290 0.256 20 30 CCEDMN C=CCCC[C@@H]1NC(=O)N(C[C@H]2CCN2C)C1=O ZINC000925197088 613471950 /nfs/dbraw/zinc/47/19/50/613471950.db2.gz QBAVUUKJMGOWCR-MNOVXSKESA-N 0 1 251.330 0.967 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000910916684 613474492 /nfs/dbraw/zinc/47/44/92/613474492.db2.gz ICRAMMRPKGHION-NWDGAFQWSA-N 0 1 284.356 0.633 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000910916684 613474494 /nfs/dbraw/zinc/47/44/94/613474494.db2.gz ICRAMMRPKGHION-NWDGAFQWSA-N 0 1 284.356 0.633 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000950998462 613475025 /nfs/dbraw/zinc/47/50/25/613475025.db2.gz OQSIYNSLMOMNGU-YGRLFVJLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCC[N@@H+](C)CCO ZINC000925001024 613476991 /nfs/dbraw/zinc/47/69/91/613476991.db2.gz MRGZGNZBKHZKGJ-ZDUSSCGKSA-N 0 1 258.362 0.256 20 30 CCEDMN C=C[C@H](CO)NC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000925175841 613491546 /nfs/dbraw/zinc/49/15/46/613491546.db2.gz INNOIALYDKRKEU-UTUOFQBUSA-N 0 1 285.413 0.412 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](C)[C@H]2CCC(=O)N2)CC1 ZINC000950622853 613508006 /nfs/dbraw/zinc/50/80/06/613508006.db2.gz DZIMNCWTGJIEJG-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)c3nc[nH]n3)C2)C1 ZINC000972599073 613513886 /nfs/dbraw/zinc/51/38/86/613513886.db2.gz NIWPMYLCKKUPAK-AWEZNQCLSA-N 0 1 291.355 0.298 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC000970288994 613530568 /nfs/dbraw/zinc/53/05/68/613530568.db2.gz BGWKGJXMPOPJEN-YPMHNXCESA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC000969851348 613535107 /nfs/dbraw/zinc/53/51/07/613535107.db2.gz GWRCMWSJFHUFLY-SNVBAGLBSA-N 0 1 298.350 0.426 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3C[C@H]3C(N)=O)C2)C1 ZINC000982016852 613543324 /nfs/dbraw/zinc/54/33/24/613543324.db2.gz XQSPJIIOSSHFDJ-OLZOCXBDSA-N 0 1 289.379 0.056 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3cnn(C)c3)C2)C1 ZINC000981613590 613544012 /nfs/dbraw/zinc/54/40/12/613544012.db2.gz YQAWGGKDGFRLLK-UHFFFAOYSA-N 0 1 286.379 0.981 20 30 CCEDMN CC#CCN1CCN(C(=O)c2cnccc2N(C)C)CC1 ZINC000957353161 613569254 /nfs/dbraw/zinc/56/92/54/613569254.db2.gz CLTKDZYRIGXXBN-UHFFFAOYSA-N 0 1 286.379 0.929 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2n[nH]c(C)n2)CC1 ZINC000931628956 613585943 /nfs/dbraw/zinc/58/59/43/613585943.db2.gz FYOCFCDPGWNCGE-GFCCVEGCSA-N 0 1 265.361 0.562 20 30 CCEDMN C=CCC[C@H](CO)NS(=O)(=O)N=S(=O)(CC)CC ZINC000867367518 613589524 /nfs/dbraw/zinc/58/95/24/613589524.db2.gz FJLYALCTRCUQRZ-SNVBAGLBSA-N 0 1 298.430 0.656 20 30 CCEDMN C=CCC[C@H](O)C[N@H+](CCNC(C)=O)[C@H](C)C(=O)[O-] ZINC000923249133 613590384 /nfs/dbraw/zinc/59/03/84/613590384.db2.gz TUNIUGIDFOTQNW-PWSUYJOCSA-N 0 1 272.345 0.225 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)C2=COCCO2)C1 ZINC000964877395 613590471 /nfs/dbraw/zinc/59/04/71/613590471.db2.gz SZARRFFDVVLOCY-STQMWFEESA-N 0 1 278.352 0.725 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2CCOC2)C(C)(C)C1 ZINC000972852786 613609094 /nfs/dbraw/zinc/60/90/94/613609094.db2.gz DXMJHQNTZMGMFZ-CHWSQXEVSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(C)ccn2C)C1 ZINC000958008895 613612769 /nfs/dbraw/zinc/61/27/69/613612769.db2.gz CLLSMVLHHJZFOW-UONOGXRCSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)C2CCCC2)[C@H](O)C1 ZINC000957807973 613614353 /nfs/dbraw/zinc/61/43/53/613614353.db2.gz MOOQWISYFVWABP-ZIAGYGMSSA-N 0 1 264.369 0.609 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc(C)oc2C)[C@H](O)C1 ZINC000957843838 613615042 /nfs/dbraw/zinc/61/50/42/613615042.db2.gz WHQDVDHZFCSMQI-UKRRQHHQSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2coc(CC)n2)[C@H](O)C1 ZINC000957994829 613615438 /nfs/dbraw/zinc/61/54/38/613615438.db2.gz ILSNRLMMHUZZBO-DGCLKSJQSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ncccc2F)[C@H](O)C1 ZINC000958521234 613615821 /nfs/dbraw/zinc/61/58/21/613615821.db2.gz FHWAVWFNSKNWSS-DGCLKSJQSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccco2)C1 ZINC000957830703 613618459 /nfs/dbraw/zinc/61/84/59/613618459.db2.gz PJTZUVODGVOZER-RYUDHWBXSA-N 0 1 262.309 0.325 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccn(C)c2)C1 ZINC000957979677 613618854 /nfs/dbraw/zinc/61/88/54/613618854.db2.gz PIJDBBUMVJEJSI-KBPBESRZSA-N 0 1 275.352 0.071 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CN2CCCC2=O)C(C)(C)C1 ZINC000972800154 613620369 /nfs/dbraw/zinc/62/03/69/613620369.db2.gz UZYZLHMABMHFRY-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C(C)(C)C1 ZINC000974697625 613622306 /nfs/dbraw/zinc/62/23/06/613622306.db2.gz GNWOHRFLCHHOPK-IHRRRGAJSA-N 0 1 294.395 0.640 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cccnc2C)C1 ZINC000958359008 613626066 /nfs/dbraw/zinc/62/60/66/613626066.db2.gz ACQKOLQISACKIM-HIFRSBDPSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2occc2Cl)C1 ZINC000958380217 613626500 /nfs/dbraw/zinc/62/65/00/613626500.db2.gz YHELACCCYBBAKF-PWSUYJOCSA-N 0 1 296.754 0.979 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCOC1 ZINC000958387345 613637324 /nfs/dbraw/zinc/63/73/24/613637324.db2.gz GUHFYNOIXVZOOV-IGQOVBAYSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC1(F)F ZINC000958800470 613637491 /nfs/dbraw/zinc/63/74/91/613637491.db2.gz FLVDSPVIRXXDJZ-YTWAJWBKSA-N 0 1 254.280 0.711 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnc1N(C)C ZINC000962547922 613641774 /nfs/dbraw/zinc/64/17/74/613641774.db2.gz WQZYXJMPNKBCNS-FICVDOATSA-N 0 1 298.390 0.831 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)[C@@H]1CCc2c[nH+]c(C)n2C1 ZINC000864134718 613643312 /nfs/dbraw/zinc/64/33/12/613643312.db2.gz BNCIZCVFCRUEOG-LLVKDONJSA-N 0 1 277.324 0.853 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC000817681061 613648542 /nfs/dbraw/zinc/64/85/42/613648542.db2.gz KONQJWIIHRLILD-RYUDHWBXSA-N 0 1 266.341 0.982 20 30 CCEDMN C=CCN(CCOC)C(=O)NCc1n[nH]c(C)n1 ZINC000898359226 613649623 /nfs/dbraw/zinc/64/96/23/613649623.db2.gz NLXHXKUDVOQPII-UHFFFAOYSA-N 0 1 253.306 0.457 20 30 CCEDMN C=CCN1CC(=O)N(CCN2CCCOCC2)C1=O ZINC000925342315 613652814 /nfs/dbraw/zinc/65/28/14/613652814.db2.gz SSXHWRXCLYPKAY-UHFFFAOYSA-N 0 1 267.329 0.159 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC000970767957 613662015 /nfs/dbraw/zinc/66/20/15/613662015.db2.gz ZSLLJCRKZKKGIG-RISCZKNCSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC000969787260 613662063 /nfs/dbraw/zinc/66/20/63/613662063.db2.gz CFRVLNLHELDBPH-VXGBXAGGSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC000970277462 613662269 /nfs/dbraw/zinc/66/22/69/613662269.db2.gz NKDNARVAMUUSFV-PWSUYJOCSA-N 0 1 265.357 0.230 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnn(CCOC)c2)C1 ZINC000970813335 613666969 /nfs/dbraw/zinc/66/69/69/613666969.db2.gz ITSORQQPOVBMDA-GFCCVEGCSA-N 0 1 292.383 0.766 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000969901401 613667637 /nfs/dbraw/zinc/66/76/37/613667637.db2.gz FFHYWZZUKHJICK-SECBINFHSA-N 0 1 262.313 0.418 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cccc(C(N)=O)n2)C1 ZINC000970950857 613671893 /nfs/dbraw/zinc/67/18/93/613671893.db2.gz QIWUVCHOLWDGIG-JTQLQIEISA-N 0 1 288.351 0.417 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@@H](CCF)C2=O)CC1 ZINC000844714342 613674582 /nfs/dbraw/zinc/67/45/82/613674582.db2.gz QTASCDGNWCQYET-NSHDSACASA-N 0 1 269.320 0.917 20 30 CCEDMN C=CCN1CCCN(C(=O)CO[C@H]2CCOC2)CC1 ZINC000981148108 613688942 /nfs/dbraw/zinc/68/89/42/613688942.db2.gz BETVJIRNOAYNCM-ZDUSSCGKSA-N 0 1 268.357 0.512 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@H]2CCCC(=O)N2)CC1 ZINC000981587706 613689951 /nfs/dbraw/zinc/68/99/51/613689951.db2.gz OXDVVNFWBJMCQN-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2COCCN2CC)CC1 ZINC000981505845 613692552 /nfs/dbraw/zinc/69/25/52/613692552.db2.gz UJLJDMKHFRORAI-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@]2(C)CCNC(=O)C2)CC1 ZINC000981440375 613695756 /nfs/dbraw/zinc/69/57/56/613695756.db2.gz VBEBIQVVRRMNER-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN CC(=O)NCCCCCC(=O)C(C#N)C(=O)NC(C)C ZINC000916946761 613720912 /nfs/dbraw/zinc/72/09/12/613720912.db2.gz IREKCIHCEFBJMX-LBPRGKRZSA-N 0 1 281.356 0.916 20 30 CCEDMN C=CCN1CCN(CN2CC[C@H](COCCOC)C2)C1=O ZINC000840015713 613721013 /nfs/dbraw/zinc/72/10/13/613721013.db2.gz QKNFSEXKLHMNON-AWEZNQCLSA-N 0 1 297.399 0.852 20 30 CCEDMN C=CCN1CCO[C@]2(CCN(C(=O)CN3CCCC3)C2)C1 ZINC000972674778 613725265 /nfs/dbraw/zinc/72/52/65/613725265.db2.gz SLGRTGAMLVVYTA-MRXNPFEDSA-N 0 1 293.411 0.572 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966884947 613731399 /nfs/dbraw/zinc/73/13/99/613731399.db2.gz UUVYOBGNCIBPSN-PWSUYJOCSA-N 0 1 276.340 0.808 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cnnn2CC)C1 ZINC000965980865 613731545 /nfs/dbraw/zinc/73/15/45/613731545.db2.gz PYPHBMCWEHQDAS-NEPJUHHUSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC[C@@H](N2CCc3nccc(N)c3C2)C1=O ZINC000931516834 613739617 /nfs/dbraw/zinc/73/96/17/613739617.db2.gz BSMTUZUYRQNOND-CQSZACIVSA-N 0 1 272.352 0.809 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C2=COCCO2)C1 ZINC000964714077 613766992 /nfs/dbraw/zinc/76/69/92/613766992.db2.gz MAEIXUVYTDLYFH-RYUDHWBXSA-N 0 1 266.341 0.887 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966053619 613767090 /nfs/dbraw/zinc/76/70/90/613767090.db2.gz ZRZIROGGYRQIDV-JSGCOSHPSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCO[C@H]2CCOC2)C1=O ZINC000930310783 613776564 /nfs/dbraw/zinc/77/65/64/613776564.db2.gz OYJDGTGKSVVHJY-STQMWFEESA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](O)CC(C)(C)O)C1=O ZINC000878550532 613777011 /nfs/dbraw/zinc/77/70/11/613777011.db2.gz XVGRNWCCKMKEEX-RYUDHWBXSA-N 0 1 270.373 0.227 20 30 CCEDMN C=CCN1CC[C@H](N(CCOC)CCC(=O)OC)C1=O ZINC000799123365 613777634 /nfs/dbraw/zinc/77/76/34/613777634.db2.gz WGHYBSMADRGMNF-LBPRGKRZSA-N 0 1 284.356 0.285 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@H](NC(C)=O)C(C)(C)C2)C1=O ZINC000972721371 613779060 /nfs/dbraw/zinc/77/90/60/613779060.db2.gz NFUGRRKPHLUMEF-STQMWFEESA-N 0 1 279.384 0.620 20 30 CCEDMN CC(=O)NC[C@@H]1CN(Cc2cccc(C#N)c2)C[C@H]1O ZINC000957779958 613797152 /nfs/dbraw/zinc/79/71/52/613797152.db2.gz IGAQMWSUPWLBRR-HUUCEWRRSA-N 0 1 273.336 0.487 20 30 CCEDMN C=CCN1CC[C@H](OC(=O)c2[nH]nc3c2CCC3)C1=O ZINC000798598333 613804345 /nfs/dbraw/zinc/80/43/45/613804345.db2.gz YKQNHYUJISOFDR-NSHDSACASA-N 0 1 275.308 0.842 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2cc[nH]n2)C(C)(C)C1 ZINC000972983672 613806615 /nfs/dbraw/zinc/80/66/15/613806615.db2.gz XRGVHVYBVYVQDJ-GFCCVEGCSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2nnc(C)o2)C(C)(C)C1 ZINC000974550641 613806710 /nfs/dbraw/zinc/80/67/10/613806710.db2.gz DORDBPRWEMVLTN-LLVKDONJSA-N 0 1 278.356 0.933 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2COCCN2CC)C(C)(C)C1 ZINC000974758595 613809348 /nfs/dbraw/zinc/80/93/48/613809348.db2.gz NDOWZNWAYVCQBW-ZIAGYGMSSA-N 0 1 295.427 0.720 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974948851 613827740 /nfs/dbraw/zinc/82/77/40/613827740.db2.gz PBTWLDBKWQVBPL-NEPJUHHUSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2[nH]nnc2C)C(C)(C)C1 ZINC000974928871 613828388 /nfs/dbraw/zinc/82/83/88/613828388.db2.gz HQKGLPPVHVFROZ-JTQLQIEISA-N 0 1 263.345 0.739 20 30 CCEDMN C=CCNC(=O)COC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000885267009 613848244 /nfs/dbraw/zinc/84/82/44/613848244.db2.gz BHBQNWLZCJIFEE-TVQRCGJNSA-N 0 1 277.324 0.921 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1C[C@H](O)CC(C)(C)C1 ZINC000879618120 613851123 /nfs/dbraw/zinc/85/11/23/613851123.db2.gz RTAXIJMNWXRMAT-GHMZBOCLSA-N 0 1 283.372 0.480 20 30 CCEDMN C=CCNC(=O)C(C#N)=C(O)[C@H]1Cc2ccccc2C(=O)O1 ZINC000916921512 613854872 /nfs/dbraw/zinc/85/48/72/613854872.db2.gz UOZDADJTEKWODO-CHWSQXEVSA-N 0 1 298.298 0.779 20 30 CCEDMN C=CCOCC(=O)NCc1nc(CSC)n[nH]1 ZINC000894610199 613879430 /nfs/dbraw/zinc/87/94/30/613879430.db2.gz RNPTVFFFQIDJEU-UHFFFAOYSA-N 0 1 256.331 0.487 20 30 CCEDMN C=CCOCC(=O)NCc1nnc(CSC)[nH]1 ZINC000894610199 613879431 /nfs/dbraw/zinc/87/94/31/613879431.db2.gz RNPTVFFFQIDJEU-UHFFFAOYSA-N 0 1 256.331 0.487 20 30 CCEDMN C=CCOCCOC(=O)c1cc(=O)[nH]c(OC)n1 ZINC000815233133 613881284 /nfs/dbraw/zinc/88/12/84/613881284.db2.gz AJPJTUWLUNYEAA-UHFFFAOYSA-N 0 1 254.242 0.550 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N(C[C@@H]2CCN2CC)C1=O ZINC000925095175 613882897 /nfs/dbraw/zinc/88/28/97/613882897.db2.gz GZFAKFNMUDDOLQ-WDEREUQCSA-N 0 1 267.329 0.204 20 30 CCEDMN CC(C)NC(=O)[C@@H]1CCCN(CC(=O)NCCC#N)C1 ZINC000852695485 613933396 /nfs/dbraw/zinc/93/33/96/613933396.db2.gz JGLDLDUNJCPEGZ-GFCCVEGCSA-N 0 1 280.372 0.253 20 30 CCEDMN CC(=O)NCc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000834494900 613944239 /nfs/dbraw/zinc/94/42/39/613944239.db2.gz QQJRABOIVFTLHS-KOLCDFICSA-N 0 1 292.364 0.226 20 30 CCEDMN CC(C)OC[C@@H](O)CON=C(N)CN1CCCC[C@H]1C ZINC000133676771 614030335 /nfs/dbraw/zinc/03/03/35/614030335.db2.gz RCWOEUMQRDQSNW-CHWSQXEVSA-N 0 1 287.404 0.936 20 30 CCEDMN CC(C)O[C@@]1(C(=O)NCC#CCN(C)C)CCOC1 ZINC000913523022 614044215 /nfs/dbraw/zinc/04/42/15/614044215.db2.gz JNGDEKCYBOYCCD-AWEZNQCLSA-N 0 1 268.357 0.252 20 30 CCEDMN CC(C)(C)n1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000848418669 614062227 /nfs/dbraw/zinc/06/22/27/614062227.db2.gz LUQGXGDRVUIHEP-KOLCDFICSA-N 0 1 261.329 0.479 20 30 CCEDMN CC(C)(C)n1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1=O ZINC000870941287 614063733 /nfs/dbraw/zinc/06/37/33/614063733.db2.gz UEYIDFURYQLTLF-PWSUYJOCSA-N 0 1 288.351 0.445 20 30 CCEDMN CC(=O)Nc1ccc(F)c(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834495622 614201457 /nfs/dbraw/zinc/20/14/57/614201457.db2.gz WVGBPBBJIYUDNZ-RNCFNFMXSA-N 0 1 290.298 0.625 20 30 CCEDMN CC(C)[N@H+](CC(=O)[O-])CC(=O)NCc1ccc(C#N)cc1 ZINC000820014859 614288118 /nfs/dbraw/zinc/28/81/18/614288118.db2.gz FHORRZMFLSANDO-UHFFFAOYSA-N 0 1 289.335 0.969 20 30 CCEDMN CC(C)[N@@H+](CC(=O)[O-])CC(=O)NCc1ccc(C#N)cc1 ZINC000820014859 614288121 /nfs/dbraw/zinc/28/81/21/614288121.db2.gz FHORRZMFLSANDO-UHFFFAOYSA-N 0 1 289.335 0.969 20 30 CCEDMN CC(C)n1cc(NC(=O)N[C@@H]2CNC[C@H]2C#N)cn1 ZINC000848598723 614357029 /nfs/dbraw/zinc/35/70/29/614357029.db2.gz QEWDRLRYRSOQBD-MWLCHTKSSA-N 0 1 262.317 0.697 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NC(C)CCO)o1 ZINC000820243191 614378609 /nfs/dbraw/zinc/37/86/09/614378609.db2.gz XUHXKOPSQARFMF-UHFFFAOYSA-N 0 1 251.250 0.333 20 30 CCEDMN CCCc1nnc(NC(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000841015532 614497140 /nfs/dbraw/zinc/49/71/40/614497140.db2.gz IPASGCKGGCIZKT-YUMQZZPRSA-N 0 1 280.357 0.724 20 30 CCEDMN CCC(CC)N1C[C@H](C(=O)N[C@@H]2CNC[C@@H]2C#N)CC1=O ZINC000834501133 614571357 /nfs/dbraw/zinc/57/13/57/614571357.db2.gz ZMLGNBYVHHMKAW-NTZNESFSSA-N 0 1 292.383 0.251 20 30 CCEDMN CC1(C)CN(C[C@H](O)CC2(C#N)CCC2)C[C@H](CO)O1 ZINC000886249655 614673379 /nfs/dbraw/zinc/67/33/79/614673379.db2.gz PVPKURYQLHXFJU-CHWSQXEVSA-N 0 1 282.384 0.903 20 30 CCEDMN CC1(C)CN(C[C@H](O)CC2(C#N)CCC2)C[C@@H](CO)O1 ZINC000886249656 614673473 /nfs/dbraw/zinc/67/34/73/614673473.db2.gz PVPKURYQLHXFJU-OLZOCXBDSA-N 0 1 282.384 0.903 20 30 CCEDMN CCCC(=O)N1CCC[C@@H]1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000843463193 614760333 /nfs/dbraw/zinc/76/03/33/614760333.db2.gz VJRABGHDOYXLCM-QJPTWQEYSA-N 0 1 278.356 0.005 20 30 CCEDMN CCCN1CC[C@H](NS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000907291668 614770170 /nfs/dbraw/zinc/77/01/70/614770170.db2.gz RXRDVCNNSDVFJJ-LBPRGKRZSA-N 0 1 294.380 0.716 20 30 CCEDMN CCN(CC(=O)N[C@@H]1CNC[C@@H]1C#N)c1ccccc1 ZINC000834494465 614941871 /nfs/dbraw/zinc/94/18/71/614941871.db2.gz NFQCNQXVSNTUQV-GXTWGEPZSA-N 0 1 272.352 0.741 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CC[C@H]3[C@@H]2CCCN3CCO)C1 ZINC000889765343 615011022 /nfs/dbraw/zinc/01/10/22/615011022.db2.gz XRUIIPHDLZGPTR-WJEHIRDRSA-N 0 1 291.395 0.984 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CC[C@@H]3[C@@H]2CCCN3CCO)C1 ZINC000889765341 615011056 /nfs/dbraw/zinc/01/10/56/615011056.db2.gz XRUIIPHDLZGPTR-IQXANGCESA-N 0 1 291.395 0.984 20 30 CCEDMN CCNc1nc(=NN=Cc2ccnn2C)nc(NCC)[nH]1 ZINC000914559658 615140871 /nfs/dbraw/zinc/14/08/71/615140871.db2.gz TXGPSUGEOACVDN-UHFFFAOYSA-N 0 1 289.347 0.915 20 30 CCEDMN CCOC(=O)C1(CC(=O)NCC#CCN(C)C)CCC1 ZINC000913520861 615156093 /nfs/dbraw/zinc/15/60/93/615156093.db2.gz AQAMQPDULSOVNQ-UHFFFAOYSA-N 0 1 280.368 0.791 20 30 CCEDMN CCOC1CC2(C[C@@H]2C(=O)N[C@@H]2CNC[C@@H]2C#N)C1 ZINC000870941121 615221453 /nfs/dbraw/zinc/22/14/53/615221453.db2.gz REQIQFRIGMVUPT-ARCGFLRYSA-N 0 1 263.341 0.419 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@@H]1C ZINC000124298713 615244472 /nfs/dbraw/zinc/24/44/72/615244472.db2.gz YUKXWSSAXFOKBP-DCAQKATOSA-N 0 1 252.314 0.894 20 30 CCEDMN CCOC(=O)N[C@H](C(=O)NCC#CCN(C)C)C(C)C ZINC000913517119 615253519 /nfs/dbraw/zinc/25/35/19/615253519.db2.gz TZFZUBWCLGLDOX-LBPRGKRZSA-N 0 1 283.372 0.438 20 30 CCEDMN CCOC(=O)[C@@H](C)C1CN(C[C@@H](O)CN(C)CCC#N)C1 ZINC000871992521 615261089 /nfs/dbraw/zinc/26/10/89/615261089.db2.gz HLIJERKKVVJYPM-JSGCOSHPSA-N 0 1 297.399 0.324 20 30 CCEDMN CCOC(=O)[C@H](C(=O)NC1(C#N)CCN(C)CC1)C(C)C ZINC000874437674 615333170 /nfs/dbraw/zinc/33/31/70/615333170.db2.gz DGTNNISYSWKSFO-LBPRGKRZSA-N 0 1 295.383 0.926 20 30 CCEDMN CC[C@@H](C)[N@@H+](C)CCNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820266127 615454863 /nfs/dbraw/zinc/45/48/63/615454863.db2.gz AOWRXFPXFCEBQV-MFKMUULPSA-N 0 1 269.345 0.837 20 30 CCEDMN CCO[C@H](C(=O)N[C@@H]1CNC[C@H]1C#N)C1CCOCC1 ZINC000876802312 615472780 /nfs/dbraw/zinc/47/27/80/615472780.db2.gz NWKCQMQERZDHMH-UPJWGTAASA-N 0 1 281.356 0.046 20 30 CCEDMN CCO[C@H](C(=O)N[C@H]1CNC[C@@H]1C#N)C1CCCC1 ZINC000876802999 615474149 /nfs/dbraw/zinc/47/41/49/615474149.db2.gz UAABHKUOSYHGHR-AVGNSLFASA-N 0 1 265.357 0.809 20 30 CCEDMN CCOc1ccc(OCC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834506317 615512079 /nfs/dbraw/zinc/51/20/79/615512079.db2.gz RXXXKFSGQYRPBB-BXUZGUMPSA-N 0 1 289.335 0.692 20 30 CCEDMN CCn1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1C1CC1 ZINC000884250293 615691542 /nfs/dbraw/zinc/69/15/42/615691542.db2.gz BHMBPHQMTFRZGC-JQWIXIFHSA-N 0 1 273.340 0.622 20 30 CCEDMN CC[C@H](CNS(C)(=O)=O)N[C@H](C)c1cc(C#N)ccn1 ZINC000903007358 615727708 /nfs/dbraw/zinc/72/77/08/615727708.db2.gz XXCOJYFMJWZQHB-ZYHUDNBSSA-N 0 1 296.396 0.932 20 30 CCEDMN CN(C)CC#CCNC(=O)CCOC1CCOCC1 ZINC000913519500 615857889 /nfs/dbraw/zinc/85/78/89/615857889.db2.gz CKUYPEJTXCKRJZ-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc2ncnn2c1 ZINC000931656999 615859150 /nfs/dbraw/zinc/85/91/50/615859150.db2.gz NLJCNTWBNNSJRL-UHFFFAOYSA-N 0 1 272.312 0.416 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CS[C@]2(C)CCC(=O)N12 ZINC000913516285 615859736 /nfs/dbraw/zinc/85/97/36/615859736.db2.gz JMZYYXJBPCVQER-SMDDNHRTSA-N 0 1 295.408 0.122 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(CO)c(F)c1 ZINC000913521905 615860962 /nfs/dbraw/zinc/86/09/62/615860962.db2.gz SAXCITCLOKIFCE-UHFFFAOYSA-N 0 1 264.300 0.613 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)ncn2C ZINC000913520396 615861154 /nfs/dbraw/zinc/86/11/54/615861154.db2.gz OIHFQRSTPMNGGV-UHFFFAOYSA-N 0 1 270.336 0.868 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnc2ccncc21 ZINC000913521438 615861194 /nfs/dbraw/zinc/86/11/94/615861194.db2.gz ZIHJBRABTHJCHB-UHFFFAOYSA-N 0 1 268.320 0.925 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nncc2ccccc21 ZINC000827971918 615862535 /nfs/dbraw/zinc/86/25/35/615862535.db2.gz MDDJCIDCMZZNIQ-UHFFFAOYSA-N 0 1 268.320 0.925 20 30 CCEDMN CN(C)CCNS(=O)(=O)Cc1csc(C#N)c1 ZINC000913826570 615904697 /nfs/dbraw/zinc/90/46/97/615904697.db2.gz WBMJFQJUEBVZKC-UHFFFAOYSA-N 0 1 273.383 0.601 20 30 CCEDMN CN(C(=O)C1=NC(=O)N(C)C1)C1CCC(NCC#N)CC1 ZINC000963887510 615920724 /nfs/dbraw/zinc/92/07/24/615920724.db2.gz TYPUTSUTLDSYPW-UHFFFAOYSA-N 0 1 291.355 0.622 20 30 CCEDMN CN(CC(=O)NCCC#N)[C@@H](CO)CC(C)(C)C ZINC000832084196 616047209 /nfs/dbraw/zinc/04/72/09/616047209.db2.gz IQEUZTXDHGXCNQ-LLVKDONJSA-N 0 1 255.362 0.745 20 30 CCEDMN CN(CC1CN(S(C)(=O)=O)C1)CC(C)(C)C#N ZINC000930566787 616067297 /nfs/dbraw/zinc/06/72/97/616067297.db2.gz AWCBJXTXQHITEM-UHFFFAOYSA-N 0 1 259.375 0.359 20 30 CCEDMN CN(CCc1cccc(C#N)c1)[C@@H]1CCC(=O)NC1=O ZINC000879432444 616124909 /nfs/dbraw/zinc/12/49/09/616124909.db2.gz AJSLVJLRWWJSRU-CYBMUJFWSA-N 0 1 271.320 0.838 20 30 CCEDMN CN(Cc1cc(C#N)cs1)C[C@@H](O)C[C@]1(O)CCOC1 ZINC000933771194 616164720 /nfs/dbraw/zinc/16/47/20/616164720.db2.gz WLSVTQQSSHHFBW-GXTWGEPZSA-N 0 1 296.392 0.954 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCc1ccc(C(=O)NO)cc1 ZINC000907817278 616180342 /nfs/dbraw/zinc/18/03/42/616180342.db2.gz JGBFMTXMJOBDEU-CYBMUJFWSA-N 0 1 291.351 0.906 20 30 CCEDMN CN1CCC[C@H](NC(=O)N2CCO[C@](C)(C#N)C2)C1 ZINC000892241663 616206951 /nfs/dbraw/zinc/20/69/51/616206951.db2.gz XEIFXTAWHQZHRE-WCQYABFASA-N 0 1 266.345 0.405 20 30 CCEDMN CN1CC[C@@H](N2CCC(Nc3ccnc(C#N)c3)CC2)C1=O ZINC000912219104 616274759 /nfs/dbraw/zinc/27/47/59/616274759.db2.gz RDJTUBDAZJLCHI-OAHLLOKOSA-N 0 1 299.378 0.482 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)Nc1cccc(CC#N)n1 ZINC000932424900 616296187 /nfs/dbraw/zinc/29/61/87/616296187.db2.gz PEPGTOBSKPXDJI-LLVKDONJSA-N 0 1 259.313 0.973 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCC(C)(C)CCC#N ZINC000908214232 616317468 /nfs/dbraw/zinc/31/74/68/616317468.db2.gz IXEIBEPEAHLVCV-FZMZJTMJSA-N 0 1 267.373 0.888 20 30 CCEDMN COC(=O)/C=C\c1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)o1 ZINC000852827262 616321626 /nfs/dbraw/zinc/32/16/26/616321626.db2.gz FHLATVCJGAJJMB-VVCGMDSISA-N 0 1 289.291 0.307 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)[C@]2(C#N)CCCOC2)C1 ZINC000865195423 616351777 /nfs/dbraw/zinc/35/17/77/616351777.db2.gz KAVVVXBMJWXJES-ZFWWWQNUSA-N 0 1 295.383 0.534 20 30 CCEDMN COCC[N@H+]1CCC[C@H]1CNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820730706 616353541 /nfs/dbraw/zinc/35/35/41/616353541.db2.gz YMGGCUSWVRDJBZ-SMDDNHRTSA-N 0 1 297.355 0.218 20 30 CCEDMN CN1CC[C@H]1CNC(=O)Cc1ccc(OCC#N)cc1 ZINC000928561128 616422874 /nfs/dbraw/zinc/42/28/74/616422874.db2.gz BKPGWKDPRYJJSO-ZDUSSCGKSA-N 0 1 273.336 0.952 20 30 CCEDMN CN1CC[C@H]1CNc1ccc(S(N)(=O)=O)cc1C#N ZINC000895771918 616433806 /nfs/dbraw/zinc/43/38/06/616433806.db2.gz UHCVLUNCWMWAIK-JTQLQIEISA-N 0 1 280.353 0.322 20 30 CCEDMN COC1(OC)CCOC[C@@H]1NCCOCCC#N ZINC000931676446 616477280 /nfs/dbraw/zinc/47/72/80/616477280.db2.gz YOUDSZQFIBRLHM-NSHDSACASA-N 0 1 258.318 0.284 20 30 CCEDMN COC(=O)[C@@H]1[C@@H](CN[C@H]2CCCN(O)C2=O)C1(F)F ZINC000895158990 616507093 /nfs/dbraw/zinc/50/70/93/616507093.db2.gz BDPGQNBDOZXBKT-CSMHCCOUSA-N 0 1 278.255 0.011 20 30 CCEDMN CO[C@@H]1CC[C@@H](N2CCN(C(=O)CC#N)CC2)C1 ZINC000852125509 616686613 /nfs/dbraw/zinc/68/66/13/616686613.db2.gz KJIGCTAOVLROKJ-VXGBXAGGSA-N 0 1 251.330 0.612 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2cc(C)cc(C#N)n2)C1 ZINC000894191120 616688627 /nfs/dbraw/zinc/68/86/27/616688627.db2.gz VMHHKWFJQMQTRN-LBPRGKRZSA-N 0 1 288.351 0.803 20 30 CCEDMN CO[C@@H]1CN(Cc2ccc(OCC#N)cc2)C[C@H]1O ZINC000832001366 616776489 /nfs/dbraw/zinc/77/64/89/616776489.db2.gz KEMDSSHLVMQTJN-ZIAGYGMSSA-N 0 1 262.309 0.780 20 30 CCEDMN CNC(=O)[C@@H](C)C[N@@H+](C)[C@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830975950 616780319 /nfs/dbraw/zinc/78/03/19/616780319.db2.gz YWAPGDUWVBJYAD-GWCFXTLKSA-N 0 1 289.335 0.998 20 30 CCEDMN COC[C@@H](C)CN=Nc1oc(C)nc1-c1nn[n-]n1 ZINC000821681892 616782211 /nfs/dbraw/zinc/78/22/11/616782211.db2.gz UVEMBQRYVVGPKP-LURJTMIESA-N 0 1 265.277 0.843 20 30 CCEDMN COC[C@@H](C)CN=Nc1oc(C)nc1-c1nn[nH]n1 ZINC000821681892 616782213 /nfs/dbraw/zinc/78/22/13/616782213.db2.gz UVEMBQRYVVGPKP-LURJTMIESA-N 0 1 265.277 0.843 20 30 CCEDMN COC(=O)c1c(C)[nH]c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1C ZINC000834504824 616810429 /nfs/dbraw/zinc/81/04/29/616810429.db2.gz FOJJQDWKSPXPFC-NXEZZACHSA-N 0 1 290.323 0.260 20 30 CCEDMN COC(=O)c1cc(CN[C@H]2CCCN(O)C2=O)c[nH]1 ZINC000895165975 616857220 /nfs/dbraw/zinc/85/72/20/616857220.db2.gz OEOMHRBBWJMQCN-VIFPVBQESA-N 0 1 267.285 0.271 20 30 CCEDMN COC(=O)c1cc(F)cc(C(=O)NCC#CCN(C)C)c1 ZINC000827971841 616859882 /nfs/dbraw/zinc/85/98/82/616859882.db2.gz JEFXIJOIAGRYRW-UHFFFAOYSA-N 0 1 292.310 0.907 20 30 CCEDMN COCc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834511947 616895776 /nfs/dbraw/zinc/89/57/76/616895776.db2.gz QCZGGJNPBLNYCM-STQMWFEESA-N 0 1 259.309 0.674 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1CC[C@@H](OC)C1 ZINC000850751876 616901320 /nfs/dbraw/zinc/90/13/20/616901320.db2.gz IRWWHXYKCALPTQ-IJLUTSLNSA-N 0 1 282.340 0.663 20 30 CCEDMN CNC(=O)c1ccc(CN[C@H]2CCCN(O)C2=O)cc1 ZINC000895165666 616909344 /nfs/dbraw/zinc/90/93/44/616909344.db2.gz LUPFRLFEXMSAEM-LBPRGKRZSA-N 0 1 277.324 0.516 20 30 CCEDMN COCc1ncsc1C(=O)NCC#CCN(C)C ZINC000913522817 616964311 /nfs/dbraw/zinc/96/43/11/616964311.db2.gz ZYEMEUBOVKLJRU-UHFFFAOYSA-N 0 1 267.354 0.584 20 30 CCEDMN COCCN(C)c1ccc(C=NN(C)[C@@H](C)CO)cn1 ZINC000842540222 616971940 /nfs/dbraw/zinc/97/19/40/616971940.db2.gz HZUNAGKFQKTWSY-LBPRGKRZSA-N 0 1 280.372 0.811 20 30 CCEDMN COCCN(C)c1ccc(C=NN2CCC[C@H]2CO)cn1 ZINC000841657149 616972084 /nfs/dbraw/zinc/97/20/84/616972084.db2.gz GZIFBIDBXIFNOQ-AWEZNQCLSA-N 0 1 292.383 0.955 20 30 CCEDMN COCCN(CC#N)C(=O)[C@H]1CC[N@H+]1C(C)(C)C ZINC000909562534 616974099 /nfs/dbraw/zinc/97/40/99/616974099.db2.gz LXXGWHQGNNAIKH-LLVKDONJSA-N 0 1 253.346 0.858 20 30 CCEDMN COCCN(CC#N)C(=O)[C@H]1CCN1C(C)(C)C ZINC000909562534 616974103 /nfs/dbraw/zinc/97/41/03/616974103.db2.gz LXXGWHQGNNAIKH-LLVKDONJSA-N 0 1 253.346 0.858 20 30 CCEDMN CNCc1cn(C[C@@H](O)c2ccc(C#N)cc2)nn1 ZINC000881406914 617003104 /nfs/dbraw/zinc/00/31/04/617003104.db2.gz MRMHXOZYMHFKDM-CYBMUJFWSA-N 0 1 257.297 0.603 20 30 CCEDMN COCCN(Cc1ccc(C#N)cc1)C[C@@H](O)CO ZINC000929395031 617027239 /nfs/dbraw/zinc/02/72/39/617027239.db2.gz KRYMHLKGZKHGHY-CQSZACIVSA-N 0 1 264.325 0.360 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCO[C@@H](C)C1 ZINC001026125821 625336975 /nfs/dbraw/zinc/33/69/75/625336975.db2.gz KYNSIPIKVKOZFQ-QSLWVIQJSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCO[C@@H](C)C1 ZINC001026124661 625337325 /nfs/dbraw/zinc/33/73/25/625337325.db2.gz BUACYFDGTJVTGH-BTFPBAQTSA-N 0 1 276.380 0.871 20 30 CCEDMN Cc1ccnc(CNC[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001026733508 625581236 /nfs/dbraw/zinc/58/12/36/625581236.db2.gz VZHMPIJBWNVWSP-DGCLKSJQSA-N 0 1 287.367 0.883 20 30 CCEDMN C=CC[C@H]1CCN1CC(=O)N1CCN(C(=O)OCC)CC1 ZINC000934473479 622713852 /nfs/dbraw/zinc/71/38/52/622713852.db2.gz WJIAPLZPCUTALT-ZDUSSCGKSA-N 0 1 295.383 0.938 20 30 CCEDMN CN(Cc1ccc(C#N)cc1)[C@H]1CCN(C(=O)C(N)=O)C1 ZINC000972214345 622720161 /nfs/dbraw/zinc/72/01/61/622720161.db2.gz XLNVIMHAGKAMNY-ZDUSSCGKSA-N 0 1 286.335 0.076 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC[C@@H]1CCCN(CCF)C1 ZINC001023423999 623026804 /nfs/dbraw/zinc/02/68/04/623026804.db2.gz LIVBGYLDBLDJRL-GJZGRUSLSA-N 0 1 295.402 0.882 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2ccc(C(N)=O)o2)C1 ZINC001023479772 623038887 /nfs/dbraw/zinc/03/88/87/623038887.db2.gz PTFPUCJCBJLTAU-LLVKDONJSA-N 0 1 289.335 0.454 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2cn(CC=C)nn2)C1 ZINC001023638145 623089373 /nfs/dbraw/zinc/08/93/73/623089373.db2.gz YHLWOXOVLHDNKU-ZDUSSCGKSA-N 0 1 287.367 0.539 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2CN(CC#N)CC2(C)C)c1C ZINC000972864248 623249877 /nfs/dbraw/zinc/24/98/77/623249877.db2.gz XJUPDUZBDQHPTB-NSHDSACASA-N 0 1 275.356 0.990 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CN(C)CCO1 ZINC001024375399 623302257 /nfs/dbraw/zinc/30/22/57/623302257.db2.gz RLOINLURPGMRLX-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN CN1CC(C(=O)NC[C@@H]2CCCCN2CC#N)=NC1=O ZINC001024632250 623404785 /nfs/dbraw/zinc/40/47/85/623404785.db2.gz VJYBUYFVKMFOAE-JTQLQIEISA-N 0 1 277.328 0.234 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2n[nH]cc2C)C1 ZINC001007299964 623610262 /nfs/dbraw/zinc/61/02/62/623610262.db2.gz KKFQWBIPAYULBG-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001007505077 623653536 /nfs/dbraw/zinc/65/35/36/623653536.db2.gz SDNUIJAVPXTODE-GFCCVEGCSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]([C@H](C)NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001025384861 623741944 /nfs/dbraw/zinc/74/19/44/623741944.db2.gz KXVGBXXFZWJWTP-CMPLNLGQSA-N 0 1 290.367 0.887 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2cnnn2C)C1 ZINC001025406987 623761638 /nfs/dbraw/zinc/76/16/38/623761638.db2.gz DQUMZHIXYXVNKQ-CHWSQXEVSA-N 0 1 289.383 0.669 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCO[C@H]1C ZINC001025754776 623881205 /nfs/dbraw/zinc/88/12/05/623881205.db2.gz RSWFVDPBNBGKRH-QSLWVIQJSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCC[C@@H]1OC ZINC001025822936 623889970 /nfs/dbraw/zinc/88/99/70/623889970.db2.gz LUAUFYNMRZSOHA-MRLBHPIUSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCC[C@@H]1OC ZINC001025823080 623890044 /nfs/dbraw/zinc/89/00/44/623890044.db2.gz OIQAUIRMSAGBJC-DGTMBMJNSA-N 0 1 262.353 0.481 20 30 CCEDMN C[C@@H](C#N)CNS(=O)(=O)N=S1(=O)CCCC1 ZINC000866895147 625690402 /nfs/dbraw/zinc/69/04/02/625690402.db2.gz OVKBNZNYJMAZPX-QMMMGPOBSA-N 0 1 265.360 0.242 20 30 CCEDMN Cc1cc(CC(=O)NCC#C[C@@H]2CCCCO2)[nH]n1 ZINC000891118975 624730647 /nfs/dbraw/zinc/73/06/47/624730647.db2.gz LEINDXRLFXOMPO-ZDUSSCGKSA-N 0 1 261.325 0.949 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H](CCCN2C(=O)c2nonc2C)C1 ZINC001021750323 624819028 /nfs/dbraw/zinc/81/90/28/624819028.db2.gz LFHNSSJZCALKDQ-CHWSQXEVSA-N 0 1 288.351 0.938 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)Cc2n[nH]c3c2CCCC3)C1 ZINC001022083144 624871573 /nfs/dbraw/zinc/87/15/73/624871573.db2.gz MCKLXDSDUOBCBU-PHIMTYICSA-N 0 1 287.367 0.591 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)CN2CC[C@H]2CO)cc1 ZINC000934027987 625006153 /nfs/dbraw/zinc/00/61/53/625006153.db2.gz WONSPDQQKLLSBS-STQMWFEESA-N 0 1 262.309 0.365 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001009542729 625842819 /nfs/dbraw/zinc/84/28/19/625842819.db2.gz WHUFIMYQFNACES-LBPRGKRZSA-N 0 1 290.367 0.884 20 30 CCEDMN CN(CC#N)[C@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC001027359103 625850570 /nfs/dbraw/zinc/85/05/70/625850570.db2.gz QURJEMYJCNEUQM-NSHDSACASA-N 0 1 276.344 0.184 20 30 CCEDMN C[C@H](NCCOCCS(C)(=O)=O)c1cc(C#N)ccn1 ZINC000902872898 634477864 /nfs/dbraw/zinc/47/78/64/634477864.db2.gz QNQHWVXBYUASAP-NSHDSACASA-N 0 1 297.380 0.665 20 30 CCEDMN C[C@@H]1C[C@@H]1c1noc(C(=O)NCC#CCN(C)C)n1 ZINC000905150978 634811052 /nfs/dbraw/zinc/81/10/52/634811052.db2.gz FEWODXMMBIKUKI-ZJUUUORDSA-N 0 1 262.313 0.488 20 30 CCEDMN C#CCN(C)[C@@H]1CCCN(C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001027643770 630828730 /nfs/dbraw/zinc/82/87/30/630828730.db2.gz QPRBVHYETIPZIR-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@@H]1CCCN1CC#N ZINC001027792753 630923850 /nfs/dbraw/zinc/92/38/50/630923850.db2.gz VHHULBXOKATJDJ-NWDGAFQWSA-N 0 1 250.346 0.185 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2CCC[C@H](NCC#N)C2)=NC1=O ZINC000981318745 631268108 /nfs/dbraw/zinc/26/81/08/631268108.db2.gz ISWARRIKCHOVKN-VHSXEESVSA-N 0 1 277.328 0.280 20 30 CCEDMN C=CCNC(=O)c1ccccc1NC(=O)CNCCOC ZINC000237240525 631648697 /nfs/dbraw/zinc/64/86/97/631648697.db2.gz LWOVWIAJZKDKGK-UHFFFAOYSA-N 0 1 291.351 0.777 20 30 CCEDMN C#CCN(C[C@H](O)CO[C@H](C)COC)C1CSC1 ZINC000878910390 631684575 /nfs/dbraw/zinc/68/45/75/631684575.db2.gz UCXTWKWZFIJLEF-YPMHNXCESA-N 0 1 273.398 0.449 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000968780027 650029222 /nfs/dbraw/zinc/02/92/22/650029222.db2.gz RODMLBUHCXHVBH-SECBINFHSA-N 0 1 270.296 0.286 20 30 CCEDMN C[C@@H](Nc1cnc(C#N)cn1)[C@H]1CN(C)CCN1C ZINC000895244980 632032659 /nfs/dbraw/zinc/03/26/59/632032659.db2.gz BNXHZNSKUKKZCS-ZYHUDNBSSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@@H](NC(=O)CC(C)(C)C#N)[C@@H]1CN(C)CCN1C ZINC000896541686 632273783 /nfs/dbraw/zinc/27/37/83/632273783.db2.gz HAZORPICCTZCLR-NEPJUHHUSA-N 0 1 266.389 0.677 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)n1C)[C@@H]1CN(C)CCN1C ZINC000896541057 632274184 /nfs/dbraw/zinc/27/41/84/632274184.db2.gz ZQWCGNRVJOPSJH-FZMZJTMJSA-N 0 1 289.383 0.261 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CN(C)CCO2)C1 ZINC001006995212 650061116 /nfs/dbraw/zinc/06/11/16/650061116.db2.gz DCVJCZBLYWZJNC-OLZOCXBDSA-N 0 1 267.373 0.084 20 30 CCEDMN C=C(C)C[N@@H+]1CCC[C@H](NC(=O)Cc2n[nH]c(C)n2)C1 ZINC001007307998 650072755 /nfs/dbraw/zinc/07/27/55/650072755.db2.gz JTHXTKPMYVTSCO-LBPRGKRZSA-N 0 1 277.372 0.812 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001007484980 650080036 /nfs/dbraw/zinc/08/00/36/650080036.db2.gz VQFQKPPHGWDRMX-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC000985649960 632608496 /nfs/dbraw/zinc/60/84/96/632608496.db2.gz HKIARADWWPVYPE-NEPJUHHUSA-N 0 1 299.802 0.783 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@H](CC#N)C(F)(F)F ZINC000928812132 632616469 /nfs/dbraw/zinc/61/64/69/632616469.db2.gz NFVDCCQVGZXPJE-NXEZZACHSA-N 0 1 293.289 0.915 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cn(C)nc2COC)C1 ZINC001007689218 650087980 /nfs/dbraw/zinc/08/79/80/650087980.db2.gz XJFOXPJSFZEJRX-LBPRGKRZSA-N 0 1 292.383 0.947 20 30 CCEDMN C#CC[NH2+][C@@H]1CCN(C(=O)c2cccc3nn[n-]c32)[C@@H]1C ZINC000986314957 632742889 /nfs/dbraw/zinc/74/28/89/632742889.db2.gz NREAFJIWYBQBDM-ZYHUDNBSSA-N 0 1 283.335 0.784 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cn3cccnc3n2)C1 ZINC001007787035 650094394 /nfs/dbraw/zinc/09/43/94/650094394.db2.gz MXUXXLIRJCSZLU-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN Cn1ccnc(NN=Cc2cc(C(F)(F)F)n[nH]2)c1=O ZINC000799482462 632818398 /nfs/dbraw/zinc/81/83/98/632818398.db2.gz UINKQHBVQOQEHI-UHFFFAOYSA-N 0 1 286.217 0.968 20 30 CCEDMN Cn1ccnc(N=NCc2cc(C(F)(F)F)n[nH]2)c1=O ZINC000799482462 632818399 /nfs/dbraw/zinc/81/83/99/632818399.db2.gz UINKQHBVQOQEHI-UHFFFAOYSA-N 0 1 286.217 0.968 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cccc3ncnn32)C1 ZINC001007807889 650095106 /nfs/dbraw/zinc/09/51/06/650095106.db2.gz BHOCRABNVDURBD-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001007862378 650095521 /nfs/dbraw/zinc/09/55/21/650095521.db2.gz IUWLERYHXLBXFH-STQMWFEESA-N 0 1 279.384 0.812 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001007862374 650095684 /nfs/dbraw/zinc/09/56/84/650095684.db2.gz IUWLERYHXLBXFH-OLZOCXBDSA-N 0 1 279.384 0.812 20 30 CCEDMN C[C@H]1C[C@@H](NCC#N)CN1C(=O)c1cccc2nn[nH]c21 ZINC000988876840 633125120 /nfs/dbraw/zinc/12/51/20/633125120.db2.gz RYOKQLAHRAQBKE-VHSXEESVSA-N 0 1 284.323 0.674 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](NC(=O)[C@H]2CCCN2C)C1 ZINC000989266800 633180880 /nfs/dbraw/zinc/18/08/80/633180880.db2.gz LNHKYYJHQKNKTQ-RWMBFGLXSA-N 0 1 292.383 0.347 20 30 CCEDMN Cc1nc(CNC(=O)N2CC[C@](F)(C#N)C2)n[nH]1 ZINC000898361063 633311109 /nfs/dbraw/zinc/31/11/09/633311109.db2.gz UEEQVDUSAYFHDB-JTQLQIEISA-N 0 1 252.253 0.260 20 30 CCEDMN C=CC[N@@H+]1CCCN(C(=O)[C@H]2CN(CC)CCO2)CC1 ZINC000989709266 633347470 /nfs/dbraw/zinc/34/74/70/633347470.db2.gz FUCOMHGGOWANMO-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001014446845 633367612 /nfs/dbraw/zinc/36/76/12/633367612.db2.gz JFYISZXVVQSXQP-WDEREUQCSA-N 0 1 273.340 0.544 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2[nH]nc3c2CCCC3)C1 ZINC001014446936 633367780 /nfs/dbraw/zinc/36/77/80/633367780.db2.gz KFWOXFSSHZTAJI-LBPRGKRZSA-N 0 1 286.379 0.655 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2n[nH]c3c2CCCC3)C1 ZINC001014446936 633367786 /nfs/dbraw/zinc/36/77/86/633367786.db2.gz KFWOXFSSHZTAJI-LBPRGKRZSA-N 0 1 286.379 0.655 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnccn3)CCC[C@@H]12 ZINC000989919238 633384888 /nfs/dbraw/zinc/38/48/88/633384888.db2.gz MRWVBXJMTVGVIH-UKRRQHHQSA-N 0 1 270.336 0.837 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3nccnc3N)CCC[C@@H]12 ZINC000990067530 633440115 /nfs/dbraw/zinc/44/01/15/633440115.db2.gz KVEQNYCUBYKUHO-ABAIWWIYSA-N 0 1 285.351 0.419 20 30 CCEDMN N#CCCN1CCN(C(=O)c2c[nH]cc3ncnc2-3)CC1 ZINC000899178668 633752580 /nfs/dbraw/zinc/75/25/80/633752580.db2.gz GHUQFVJDYAJMBB-UHFFFAOYSA-N 0 1 284.323 0.629 20 30 CCEDMN CC1(C)CCN(CC(=O)N[C@H]2CCCN(CC#N)C2)C1 ZINC001008522813 650118477 /nfs/dbraw/zinc/11/84/77/650118477.db2.gz RQQOLCIGIMDGFZ-ZDUSSCGKSA-N 0 1 278.400 0.822 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001008537009 650119385 /nfs/dbraw/zinc/11/93/85/650119385.db2.gz CLJJRPMBXVRQMC-JTQLQIEISA-N 0 1 276.340 0.494 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ncccn2)C1 ZINC001008956749 650134120 /nfs/dbraw/zinc/13/41/20/650134120.db2.gz PWZYPJPBPRKNBF-GFCCVEGCSA-N 0 1 258.325 0.694 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001008922684 650132425 /nfs/dbraw/zinc/13/24/25/650132425.db2.gz GBLNXHPRFBKCOF-VIFPVBQESA-N 0 1 269.736 0.751 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001008922684 650132426 /nfs/dbraw/zinc/13/24/26/650132426.db2.gz GBLNXHPRFBKCOF-VIFPVBQESA-N 0 1 269.736 0.751 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cn2c(C)csc2=O)C1 ZINC001009473866 650145855 /nfs/dbraw/zinc/14/58/55/650145855.db2.gz BTWBSISBTSMPQD-GFCCVEGCSA-N 0 1 295.408 0.985 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3CCCC(=O)N3)CCC[C@@H]12 ZINC000991250082 635729367 /nfs/dbraw/zinc/72/93/67/635729367.db2.gz YCWRIXMKWSGDKR-XJKCOSOUSA-N 0 1 289.379 0.402 20 30 CCEDMN O=C(C#CC1CC1)N1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001010414165 650220762 /nfs/dbraw/zinc/22/07/62/650220762.db2.gz MUZYLUIKNDNYHD-UHFFFAOYSA-N 0 1 272.308 0.108 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC001010449416 650229609 /nfs/dbraw/zinc/22/96/09/650229609.db2.gz QWSZPKFNKQTERY-LLVKDONJSA-N 0 1 259.309 0.615 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010638870 650249085 /nfs/dbraw/zinc/24/90/85/650249085.db2.gz LMWAJQUMLOJOKN-SECBINFHSA-N 0 1 250.302 0.414 20 30 CCEDMN N#Cc1cc(NCCCN2CCC[C@H]2C(N)=O)ccn1 ZINC000912289530 636542424 /nfs/dbraw/zinc/54/24/24/636542424.db2.gz MEBXVBIITCMZOV-ZDUSSCGKSA-N 0 1 273.340 0.705 20 30 CCEDMN CC#CC(=O)N1CC[C@@H](c2[nH]ncc2C(=O)OCC)C1 ZINC000912493388 636591482 /nfs/dbraw/zinc/59/14/82/636591482.db2.gz IYZJHTOMBXDUAD-SNVBAGLBSA-N 0 1 275.308 0.926 20 30 CCEDMN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)NCC#CCN(C)C ZINC000913524457 636833558 /nfs/dbraw/zinc/83/35/58/636833558.db2.gz NCIRHKMLDHNSQG-YVECIDJPSA-N 0 1 252.358 0.727 20 30 CCEDMN C[C@@H](CC(=O)NCC#CCN(C)C)NC(=O)OC(C)(C)C ZINC000913518913 636834315 /nfs/dbraw/zinc/83/43/15/636834315.db2.gz GDQQWRPAPJWJDM-LBPRGKRZSA-N 0 1 297.399 0.971 20 30 CCEDMN N#CCCN(CCC#N)C(=O)C[N@@H+]1CC[C@H](C(=O)[O-])C1 ZINC000062133626 637151107 /nfs/dbraw/zinc/15/11/07/637151107.db2.gz ZPFITGCGFIGBLB-NSHDSACASA-N 0 1 278.312 0.049 20 30 CCEDMN CCCNC(=O)CCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000173974015 637237405 /nfs/dbraw/zinc/23/74/05/637237405.db2.gz HYHHADGVBPDOCB-LLVKDONJSA-N 0 1 279.340 0.670 20 30 CCEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)c3cnn(C)n3)CCC[C@@H]12 ZINC000992306489 637362828 /nfs/dbraw/zinc/36/28/28/637362828.db2.gz GHKBYWUBIHJLMT-UKRRQHHQSA-N 0 1 287.367 0.565 20 30 CCEDMN CC#CCN1CC[C@]2(NC(=O)c3cnn(C)n3)CCC[C@@H]12 ZINC000992306489 637362831 /nfs/dbraw/zinc/36/28/31/637362831.db2.gz GHKBYWUBIHJLMT-UKRRQHHQSA-N 0 1 287.367 0.565 20 30 CCEDMN CC#CCN1CC[C@]2(NC(=O)c3cnon3)CCC[C@@H]12 ZINC000992342233 637370797 /nfs/dbraw/zinc/37/07/97/637370797.db2.gz HNAWSOZGYKTEQS-TZMCWYRMSA-N 0 1 274.324 0.820 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cn(C)cn2)C1 ZINC001007119127 637503254 /nfs/dbraw/zinc/50/32/54/637503254.db2.gz CNUPGHHTPJHAAH-GFCCVEGCSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cn2cc(C)cn2)[C@@H]1C ZINC000993077303 637685315 /nfs/dbraw/zinc/68/53/15/637685315.db2.gz HQLFFACYKTXTQG-UONOGXRCSA-N 0 1 274.368 0.794 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cc[nH]n2)[C@H]1C ZINC000993160929 637721798 /nfs/dbraw/zinc/72/17/98/637721798.db2.gz IZRSYTIUBJQRHQ-TZMCWYRMSA-N 0 1 274.368 0.945 20 30 CCEDMN N=C(N)NN=C1c2ccc(Br)cc2NC1=O ZINC000915049064 637723681 /nfs/dbraw/zinc/72/36/81/637723681.db2.gz NOOQZNYFFMMJIA-UHFFFAOYSA-N 0 1 282.101 0.588 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cnn(C)c2N)[C@H]1C ZINC000993271529 637762074 /nfs/dbraw/zinc/76/20/74/637762074.db2.gz XOYYZEBPNUFDAB-YPMHNXCESA-N 0 1 289.383 0.608 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnnn2C)[C@@H]1C ZINC000993276967 637763058 /nfs/dbraw/zinc/76/30/58/637763058.db2.gz GLQGMWUUJMOCJS-NWDGAFQWSA-N 0 1 277.372 0.974 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CCCN(CC#N)[C@H]2C)n[nH]1 ZINC000993305708 637770875 /nfs/dbraw/zinc/77/08/75/637770875.db2.gz AHOYBKLRHZTWBU-ONGXEEELSA-N 0 1 276.344 0.148 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)Cc1csc(C#N)c1 ZINC000915647973 637779011 /nfs/dbraw/zinc/77/90/11/637779011.db2.gz HDOUUPWTINENAI-VIFPVBQESA-N 0 1 287.410 0.989 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2ccn(C)n2)[C@H]1C ZINC000993319830 637781682 /nfs/dbraw/zinc/78/16/82/637781682.db2.gz XEGXAEHSWXQEQM-TZMCWYRMSA-N 0 1 274.368 0.565 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2nnn(C)n2)[C@H]1C ZINC000993685181 637830448 /nfs/dbraw/zinc/83/04/48/637830448.db2.gz GTIVCQPLLGSUFE-GHMZBOCLSA-N 0 1 278.360 0.369 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cnoc2)[C@@H]1C ZINC000993722432 637834677 /nfs/dbraw/zinc/83/46/77/637834677.db2.gz XRCMHWUAQLPBKY-AAEUAGOBSA-N 0 1 261.325 0.819 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cccc(=O)n2C)[C@H]1C ZINC000993771428 637846048 /nfs/dbraw/zinc/84/60/48/637846048.db2.gz LHXKXKGVLCZTFA-OLZOCXBDSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@H]1C ZINC000993774416 637847711 /nfs/dbraw/zinc/84/77/11/637847711.db2.gz MCMXDHIRWJHFBA-MNOVXSKESA-N 0 1 276.340 0.342 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnn(C)n2)[C@@H]1C ZINC000993978466 637857887 /nfs/dbraw/zinc/85/78/87/637857887.db2.gz WYKBDPPFLYLHHL-NWDGAFQWSA-N 0 1 277.372 0.974 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnon2)[C@H]1C ZINC000994040709 637863246 /nfs/dbraw/zinc/86/32/46/637863246.db2.gz MZSWLTNZDOWCGQ-NXEZZACHSA-N 0 1 250.302 0.838 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001015716921 637911820 /nfs/dbraw/zinc/91/18/20/637911820.db2.gz ILGJHJIYMNYHSV-SNVBAGLBSA-N 0 1 277.324 0.786 20 30 CCEDMN CC#CCNC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000154500825 637984502 /nfs/dbraw/zinc/98/45/02/637984502.db2.gz SQYSBOHNILYLFK-UHFFFAOYSA-N 0 1 287.344 0.975 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)N(C)Cc1cn[nH]c1C ZINC000918689429 638032568 /nfs/dbraw/zinc/03/25/68/638032568.db2.gz UJJBBRGRVWEBSJ-SNVBAGLBSA-N 0 1 299.400 0.876 20 30 CCEDMN CN1CC[C@@H]2CC[C@H](C1)N2S(=O)(=O)CC1(C#N)CC1 ZINC000919841526 638109558 /nfs/dbraw/zinc/10/95/58/638109558.db2.gz AGFDQSHEASIBEF-NWDGAFQWSA-N 0 1 283.397 0.788 20 30 CCEDMN Cc1cccc(NC(=O)C(C#N)C(=O)CS(C)(=O)=O)c1 ZINC000920110107 638124784 /nfs/dbraw/zinc/12/47/84/638124784.db2.gz YRWLXIZYBFFVNZ-NSHDSACASA-N 0 1 294.332 0.687 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)[nH]1 ZINC000939397802 638358530 /nfs/dbraw/zinc/35/85/30/638358530.db2.gz QXPQQMIJSXGWDR-ONGXEEELSA-N 0 1 289.339 0.551 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCN(C(=O)[C@@H]2CCCN2C)CC1 ZINC000940508855 638469076 /nfs/dbraw/zinc/46/90/76/638469076.db2.gz VRMUQHKTKAISPQ-OLZOCXBDSA-N 0 1 292.383 0.301 20 30 CCEDMN C[C@@H]1CN(CC#Cc2ccccc2)CC[C@@H]1NC(=O)C(N)=O ZINC000942590777 638673434 /nfs/dbraw/zinc/67/34/34/638673434.db2.gz AFFBARZPXCZRKS-HIFRSBDPSA-N 0 1 299.374 0.350 20 30 CCEDMN CC#CC[NH+]1CCC([C@H](C)NC(=O)c2[n-]nnc2C)CC1 ZINC000997704707 638880433 /nfs/dbraw/zinc/88/04/33/638880433.db2.gz ZUSSENNLBHAHEQ-NSHDSACASA-N 0 1 289.383 0.967 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](NCc2nccn2C)C1 ZINC000998749388 638939157 /nfs/dbraw/zinc/93/91/57/638939157.db2.gz ZSDIFLZLZLMYRK-NEPJUHHUSA-N 0 1 275.356 0.660 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@H]2CCNC2=O)C1 ZINC000999339230 638974389 /nfs/dbraw/zinc/97/43/89/638974389.db2.gz FGDVTHYIIXGEGU-NEPJUHHUSA-N 0 1 299.802 0.846 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2nnn(C(C)(C)C)n2)C1 ZINC001014535544 650461098 /nfs/dbraw/zinc/46/10/98/650461098.db2.gz BCWJHVDWWAIALB-LLVKDONJSA-N 0 1 292.387 0.347 20 30 CCEDMN CN(C(=O)[C@@H]1CCc2[nH]nnc2C1)C1CC(NCC#N)C1 ZINC000999684776 638992215 /nfs/dbraw/zinc/99/22/15/638992215.db2.gz KJSMHLYCNWDEMO-KPPDAEKUSA-N 0 1 288.355 0.012 20 30 CCEDMN CN(C(=O)[C@@H]1CCc2nn[nH]c2C1)C1CC(NCC#N)C1 ZINC000999684776 638992218 /nfs/dbraw/zinc/99/22/18/638992218.db2.gz KJSMHLYCNWDEMO-KPPDAEKUSA-N 0 1 288.355 0.012 20 30 CCEDMN C#CCN1CC[C@@H](n2cc(CNC(=O)C3=CCCC3)nn2)C1 ZINC000999977988 639022998 /nfs/dbraw/zinc/02/29/98/639022998.db2.gz CUEZAOJUFZVPNG-OAHLLOKOSA-N 0 1 299.378 0.885 20 30 CCEDMN C#CCN1CC=C(CNC(=O)C2=COCCO2)CC1 ZINC001000390582 639082397 /nfs/dbraw/zinc/08/23/97/639082397.db2.gz BZZFRKQGOZLKGP-UHFFFAOYSA-N 0 1 262.309 0.256 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(CC)n[nH]2)CC1 ZINC001000453730 639089515 /nfs/dbraw/zinc/08/95/15/639089515.db2.gz IOICBTNKDVXQRU-UHFFFAOYSA-N 0 1 272.352 0.967 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@]23C[C@H]2COC3)CC1 ZINC001000704221 639159669 /nfs/dbraw/zinc/15/96/69/639159669.db2.gz COLAISCMPHPWRL-ZFWWWQNUSA-N 0 1 260.337 0.404 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001014677800 650477097 /nfs/dbraw/zinc/47/70/97/650477097.db2.gz JOKPKRQZDCRUDR-CQSZACIVSA-N 0 1 274.368 0.485 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cncn2C)CC1 ZINC001000913607 639191445 /nfs/dbraw/zinc/19/14/45/639191445.db2.gz GJJXJRGEKYEXFZ-UHFFFAOYSA-N 0 1 272.352 0.805 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC001001067099 639225250 /nfs/dbraw/zinc/22/52/50/639225250.db2.gz UXCJLQFZHFEFIL-AWEZNQCLSA-N 0 1 289.379 0.236 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCCc3nn[nH]c32)CC1 ZINC001001097092 639228461 /nfs/dbraw/zinc/22/84/61/639228461.db2.gz RCDLRFCTYZADTF-CYBMUJFWSA-N 0 1 299.378 0.606 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001014695599 650479178 /nfs/dbraw/zinc/47/91/78/650479178.db2.gz SLSJNXLGRMCHET-GFCCVEGCSA-N 0 1 277.372 0.987 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCO[C@H]2C)CC1 ZINC001001307372 639279479 /nfs/dbraw/zinc/27/94/79/639279479.db2.gz UAAAMZKXWGHLFN-JSGCOSHPSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cccnc2C(N)=O)CC1 ZINC001001462030 639300272 /nfs/dbraw/zinc/30/02/72/639300272.db2.gz CZMRXHDRVQQBGB-UHFFFAOYSA-N 0 1 298.346 0.176 20 30 CCEDMN C=CC[N@H+]1CC=C(CNC(=O)[C@@H]2C[NH+](CC)CCO2)CC1 ZINC001001461332 639300997 /nfs/dbraw/zinc/30/09/97/639300997.db2.gz JDFPYGMPIICYKZ-HNNXBMFYSA-N 0 1 293.411 0.641 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCCN2C(C)=O)CC1 ZINC001001486111 639311783 /nfs/dbraw/zinc/31/17/83/639311783.db2.gz KFCQUIZBTKJHFM-OAHLLOKOSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnn(C)c2C)CC1 ZINC001002353049 639400928 /nfs/dbraw/zinc/40/09/28/639400928.db2.gz DNWKHTYRSQKYTE-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001014854321 650495911 /nfs/dbraw/zinc/49/59/11/650495911.db2.gz DXFMWTSWOTZYTC-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001014863291 650496522 /nfs/dbraw/zinc/49/65/22/650496522.db2.gz MHDWUXUQRBHYED-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H]1CN(C)C(=O)c1ccn[nH]1 ZINC001002918996 639477079 /nfs/dbraw/zinc/47/70/79/639477079.db2.gz CJPRQJMKTPKQAO-QWRGUYRKSA-N 0 1 289.339 0.632 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)Cc2c[nH]c3ncccc23)C1 ZINC001016360038 639497410 /nfs/dbraw/zinc/49/74/10/639497410.db2.gz NJECGGVYKMPHJI-ZDUSSCGKSA-N 0 1 282.347 0.929 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2c[nH]c3ncccc23)C1 ZINC001016360038 639497414 /nfs/dbraw/zinc/49/74/14/639497414.db2.gz NJECGGVYKMPHJI-ZDUSSCGKSA-N 0 1 282.347 0.929 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCCC(=O)N2)CC1 ZINC001003098962 639507480 /nfs/dbraw/zinc/50/74/80/639507480.db2.gz XYEBKLRRZOVYQN-CYBMUJFWSA-N 0 1 277.368 0.259 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2cn(CC)nn2)CC1 ZINC001003356284 639532039 /nfs/dbraw/zinc/53/20/39/639532039.db2.gz LJWUGRMZFFTADT-UHFFFAOYSA-N 0 1 289.383 0.444 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@H]1CCN(CC#N)C1 ZINC001014956291 650507090 /nfs/dbraw/zinc/50/70/90/650507090.db2.gz ULPUFAYFEUMSNY-NWDGAFQWSA-N 0 1 250.346 0.185 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cn(CCC)nn2)CC1 ZINC001003860607 639640128 /nfs/dbraw/zinc/64/01/28/639640128.db2.gz GIPNOQXRCFZQMV-UHFFFAOYSA-N 0 1 289.383 0.906 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)N[C@H]1CCN(CC#N)C1)C2 ZINC001015012704 650514376 /nfs/dbraw/zinc/51/43/76/650514376.db2.gz FHWMDOYEMGRUHW-RYUDHWBXSA-N 0 1 287.367 0.537 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001015015725 650514590 /nfs/dbraw/zinc/51/45/90/650514590.db2.gz UMQPETSEXBLCEG-KGLIPLIRSA-N 0 1 286.379 0.659 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)N[C@H]1CCN(CC#N)C1)C2 ZINC001015012702 650514920 /nfs/dbraw/zinc/51/49/20/650514920.db2.gz FHWMDOYEMGRUHW-NEPJUHHUSA-N 0 1 287.367 0.537 20 30 CCEDMN C#CCN1CCC(NC(=O)C(C)(C)c2c[nH]cn2)CC1 ZINC001003971915 639658242 /nfs/dbraw/zinc/65/82/42/639658242.db2.gz RWCAXEMDGVIINO-UHFFFAOYSA-N 0 1 274.368 0.901 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn(C)c2OC)CC1 ZINC001004058156 639669159 /nfs/dbraw/zinc/66/91/59/639669159.db2.gz FTOVEVBYONSIJX-UHFFFAOYSA-N 0 1 276.340 0.256 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cc2cnn(C)c2)CC1 ZINC001005174764 639884783 /nfs/dbraw/zinc/88/47/83/639884783.db2.gz MXOYHYDNNFFTHV-UHFFFAOYSA-N 0 1 288.395 0.909 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2COC(=O)N2)CC1 ZINC001005341475 639902869 /nfs/dbraw/zinc/90/28/69/639902869.db2.gz YSRSYYCJVWIZNO-GFCCVEGCSA-N 0 1 279.340 0.041 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)C[C@@H]2CCNC2=O)CC1 ZINC001005493810 639912627 /nfs/dbraw/zinc/91/26/27/639912627.db2.gz RQMXUEPFOZQHQQ-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001015196767 650537486 /nfs/dbraw/zinc/53/74/86/650537486.db2.gz VWJMTHVPVMMTIF-VXGBXAGGSA-N 0 1 265.357 0.422 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001015214816 650539185 /nfs/dbraw/zinc/53/91/85/650539185.db2.gz ICDOEHBLXFTIBT-JTQLQIEISA-N 0 1 286.339 0.733 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cn2ncc3cccnc32)C1 ZINC001015319975 650553382 /nfs/dbraw/zinc/55/33/82/650553382.db2.gz ZYFMXOUSYBGLCU-CQSZACIVSA-N 0 1 297.362 0.645 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@@H]1CC12CCN(CC#N)CC2 ZINC001016691438 640217816 /nfs/dbraw/zinc/21/78/16/640217816.db2.gz VMTKSFUAVSNZEJ-UONOGXRCSA-N 0 1 290.411 0.965 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2COCCN2CCCC)C1 ZINC001015389700 650561281 /nfs/dbraw/zinc/56/12/81/650561281.db2.gz UOVSMOJDYYPNKO-GJZGRUSLSA-N 0 1 295.427 0.864 20 30 CCEDMN C[C@@H]1CN(c2nccnc2C#N)CCN1C[C@H](O)C1CC1 ZINC000838869976 640277529 /nfs/dbraw/zinc/27/75/29/640277529.db2.gz ZTRWPRIKFFWNLF-RISCZKNCSA-N 0 1 287.367 0.630 20 30 CCEDMN C[C@@H](C#N)OCCOC(=O)CCCCc1cn[nH]n1 ZINC000801857775 640670481 /nfs/dbraw/zinc/67/04/81/640670481.db2.gz KMNIMNJJYVOKRR-JTQLQIEISA-N 0 1 266.301 0.989 20 30 CCEDMN Cc1cc(C(C#N)C(=O)CCn2ccnn2)nc(C)n1 ZINC000802038728 640684632 /nfs/dbraw/zinc/68/46/32/640684632.db2.gz OEJSEXNCKFSTAI-NSHDSACASA-N 0 1 270.296 0.952 20 30 CCEDMN N#Cc1ccccc1C=CC(=O)Nc1nn[nH]c1C(N)=O ZINC000813375354 641033604 /nfs/dbraw/zinc/03/36/04/641033604.db2.gz RMCVAXRGQNGVIG-WAYWQWQTSA-N 0 1 282.263 0.427 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2nnc3ccccc3c2[O-])C1 ZINC001015783340 650629744 /nfs/dbraw/zinc/62/97/44/650629744.db2.gz NGZRYOOJGJYYIZ-NSHDSACASA-N 0 1 296.330 0.773 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001015783340 650629745 /nfs/dbraw/zinc/62/97/45/650629745.db2.gz NGZRYOOJGJYYIZ-NSHDSACASA-N 0 1 296.330 0.773 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCN2C(=O)C2CC2)C1 ZINC001015805628 650632237 /nfs/dbraw/zinc/63/22/37/650632237.db2.gz FBCZEVYTKMUWLD-KBPBESRZSA-N 0 1 291.395 0.764 20 30 CCEDMN O=C(N[C@@H]1CCN(O)C1=O)c1[nH]nc2c1CCCC2 ZINC000816691178 641190747 /nfs/dbraw/zinc/19/07/47/641190747.db2.gz MLRWPDSABUHEQC-SECBINFHSA-N 0 1 264.285 0.008 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)c1n[nH]c2ccccc21 ZINC000816757593 641213287 /nfs/dbraw/zinc/21/32/87/641213287.db2.gz WPPHAZDDHOZMKB-JTQLQIEISA-N 0 1 274.280 0.673 20 30 CCEDMN CC(C#N)C(=O)N[C@H](C(=O)OCc1ccccc1)[C@H](C)O ZINC000817242477 641250447 /nfs/dbraw/zinc/25/04/47/641250447.db2.gz ZTTLJJJIBKESAJ-MDZLAQPJSA-N 0 1 290.319 0.755 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001015985159 650669259 /nfs/dbraw/zinc/66/92/59/650669259.db2.gz RALDABZCIBPIKG-LLVKDONJSA-N 0 1 274.368 0.899 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccnc3nc(C)nn32)C1 ZINC001015987180 650669929 /nfs/dbraw/zinc/66/99/29/650669929.db2.gz ZFEKUHYODOEHOU-LBPRGKRZSA-N 0 1 298.350 0.260 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001016140853 650689724 /nfs/dbraw/zinc/68/97/24/650689724.db2.gz INBWKXWOUWXPNL-RYUDHWBXSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc3c(cn2)nc[nH]c3=O)C1 ZINC001016126831 650684964 /nfs/dbraw/zinc/68/49/64/650684964.db2.gz HFIBBAFHOCPMEC-SNVBAGLBSA-N 0 1 299.334 0.721 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)C#Cc1cccs1 ZINC000825750662 641908281 /nfs/dbraw/zinc/90/82/81/641908281.db2.gz ANBIUMXOHGPSDA-ZDUSSCGKSA-N 0 1 291.420 0.852 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2ccc(C)nc2)C1 ZINC001016258990 650707177 /nfs/dbraw/zinc/70/71/77/650707177.db2.gz KHOCFNJTAOCSSH-CQSZACIVSA-N 0 1 257.337 0.756 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001016262220 650707851 /nfs/dbraw/zinc/70/78/51/650707851.db2.gz DHSWOUVRMKNGKI-LLVKDONJSA-N 0 1 276.340 0.494 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2noc3c2COCC3)C1 ZINC001016301102 650713729 /nfs/dbraw/zinc/71/37/29/650713729.db2.gz SAYDXSFMIVKNTA-NSHDSACASA-N 0 1 289.335 0.575 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCCOC2)C1 ZINC001016279073 650711841 /nfs/dbraw/zinc/71/18/41/650711841.db2.gz WCDSKZRGMAIPJH-STQMWFEESA-N 0 1 250.342 0.627 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cncc3ncn(C)c32)=NO1 ZINC000867062444 642168548 /nfs/dbraw/zinc/16/85/48/642168548.db2.gz AURXVLDJHMEJBG-SSDOTTSWSA-N 0 1 259.269 0.820 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2CCCN2C=O)c1 ZINC000831271567 642341329 /nfs/dbraw/zinc/34/13/29/642341329.db2.gz JJLLZZCFUFHXTD-NSHDSACASA-N 0 1 259.265 0.823 20 30 CCEDMN CC#CC[NH+]1CCC2(C[C@H]2NC(=O)c2[n-]nnc2C)CC1 ZINC001016762419 650757318 /nfs/dbraw/zinc/75/73/18/650757318.db2.gz DCTSYAKFVLVQMY-GFCCVEGCSA-N 0 1 287.367 0.721 20 30 CCEDMN Cc1ccc(F)c(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834479237 642570707 /nfs/dbraw/zinc/57/07/07/642570707.db2.gz BJAKYHWPPFOZKF-CMPLNLGQSA-N 0 1 277.299 0.741 20 30 CCEDMN COc1csc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834479892 642573142 /nfs/dbraw/zinc/57/31/42/642573142.db2.gz JAJPTTGZWNIENW-IONNQARKSA-N 0 1 251.311 0.598 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000834480491 642573665 /nfs/dbraw/zinc/57/36/65/642573665.db2.gz QSVPBGMPDYDWJE-WCQYABFASA-N 0 1 288.307 0.755 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC000834490998 642581658 /nfs/dbraw/zinc/58/16/58/642581658.db2.gz ZFGDMXMVARBGHL-JOYOIKCWSA-N 0 1 272.308 0.117 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1csc2cncn21 ZINC000834490984 642582010 /nfs/dbraw/zinc/58/20/10/642582010.db2.gz ZCEVYYHCQUXDCM-JGVFFNPUSA-N 0 1 261.310 0.237 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(N)nc(Cl)c1 ZINC000834494267 642583873 /nfs/dbraw/zinc/58/38/73/642583873.db2.gz MNBAYBLMZWGTQK-HTQZYQBOSA-N 0 1 265.704 0.159 20 30 CCEDMN Cn1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(-c2ccncc2)n1 ZINC000834493232 642583920 /nfs/dbraw/zinc/58/39/20/642583920.db2.gz BYXBBYBFQDRWGX-AAEUAGOBSA-N 0 1 296.334 0.323 20 30 CCEDMN Cc1cccc(-n2cc(C(=O)N[C@H]3CNC[C@@H]3C#N)nn2)c1 ZINC000834495799 642586871 /nfs/dbraw/zinc/58/68/71/642586871.db2.gz ZUPREKWYZDKOIL-AAEUAGOBSA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(NC2CC2)nc1 ZINC000834495693 642586989 /nfs/dbraw/zinc/58/69/89/642586989.db2.gz YGECXEBRLBHVAQ-CMPLNLGQSA-N 0 1 271.324 0.497 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccnc1C(F)(F)F ZINC000834499215 642588216 /nfs/dbraw/zinc/58/82/16/642588216.db2.gz GDGDYCNTWVRFTE-CBAPKCEASA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCn1cc(Cl)cn1 ZINC000834499538 642588372 /nfs/dbraw/zinc/58/83/72/642588372.db2.gz JLLBOAPQQJKISS-WPRPVWTQSA-N 0 1 267.720 0.154 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccncc1C(F)(F)F ZINC000834500040 642589122 /nfs/dbraw/zinc/58/91/22/642589122.db2.gz PXUNCRYQLLJPQV-OIBJUYFYSA-N 0 1 284.241 0.942 20 30 CCEDMN Cc1ccc(OCC(=O)N[C@H]2CNC[C@H]2C#N)cc1C ZINC000834511054 642594827 /nfs/dbraw/zinc/59/48/27/642594827.db2.gz IEEFTPPIQIVGEX-OCCSQVGLSA-N 0 1 273.336 0.910 20 30 CCEDMN Cn1cc(Cl)cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834511178 642594979 /nfs/dbraw/zinc/59/49/79/642594979.db2.gz JCVSVJXSZDDYDZ-VXNVDRBHSA-N 0 1 252.705 0.520 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1coc(Br)c1 ZINC000834515989 642598959 /nfs/dbraw/zinc/59/89/59/642598959.db2.gz DNUZMYUSQZTZFJ-HTQZYQBOSA-N 0 1 284.113 0.883 20 30 CCEDMN COC(=O)Nc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834517397 642600526 /nfs/dbraw/zinc/60/05/26/642600526.db2.gz VALOPDSYIJNFOM-CMPLNLGQSA-N 0 1 288.307 0.706 20 30 CCEDMN COc1ccc(C#N)cc1NC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000841091072 642803230 /nfs/dbraw/zinc/80/32/30/642803230.db2.gz STYKJIOLNSUBRA-JQWIXIFHSA-N 0 1 285.307 0.800 20 30 CCEDMN Cc1cc2c(cc1NC(=O)N[C@@H]1CNC[C@@H]1C#N)OCO2 ZINC000841179529 642806989 /nfs/dbraw/zinc/80/69/89/642806989.db2.gz PGFLOMGJIWBBKG-GXSJLCMTSA-N 0 1 288.307 0.957 20 30 CCEDMN C[C@H](O)CN(C)N=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000841650699 642827919 /nfs/dbraw/zinc/82/79/19/642827919.db2.gz LFDPTSHEJMNEQC-QMMMGPOBSA-N 0 1 253.258 0.947 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CCCCCCCO)c1=O ZINC000842617565 642916665 /nfs/dbraw/zinc/91/66/65/642916665.db2.gz VDBLZQGCBNPEBJ-UHFFFAOYSA-N 0 1 251.286 0.351 20 30 CCEDMN CO[C@@H](C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)C#N ZINC001017420625 650801241 /nfs/dbraw/zinc/80/12/41/650801241.db2.gz AQKDHHATMKHJQQ-XQHKEYJVSA-N 0 1 265.357 0.856 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)n(C)o1 ZINC001017505192 650807070 /nfs/dbraw/zinc/80/70/70/650807070.db2.gz QJTVAIBPWVPUJS-TXEJJXNPSA-N 0 1 291.351 0.843 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(F)c(F)cc1F ZINC000843459086 643063804 /nfs/dbraw/zinc/06/38/04/643063804.db2.gz CHFDBOYZSWTKDE-KSBSHMNSSA-N 0 1 269.226 0.945 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cn1cc(-c2ccccc2)nn1 ZINC000843460293 643064916 /nfs/dbraw/zinc/06/49/16/643064916.db2.gz NGWRDGOFNYHJJU-OLZOCXBDSA-N 0 1 296.334 0.173 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC000843461714 643066113 /nfs/dbraw/zinc/06/61/13/643066113.db2.gz WIUDJTPBVOVZEO-KBVBSXBZSA-N 0 1 259.313 0.057 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCOc1ccccc1 ZINC000843462321 643066897 /nfs/dbraw/zinc/06/68/97/643066897.db2.gz PMKHFCBVATYMQE-YPMHNXCESA-N 0 1 259.309 0.683 20 30 CCEDMN O=C(C#CC1CC1)N1CC=C(CNC(=O)c2cnn[nH]2)CC1 ZINC001017564907 650811324 /nfs/dbraw/zinc/81/13/24/650811324.db2.gz IGRNUYWJHXNOCP-UHFFFAOYSA-N 0 1 299.334 0.107 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1cn2ccccc2n1 ZINC000844194161 643177308 /nfs/dbraw/zinc/17/73/08/643177308.db2.gz AQMQGSSJDUDQFJ-ZYHUDNBSSA-N 0 1 269.308 0.105 20 30 CCEDMN Cc1ncc(/C=C/C(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000844194444 643177941 /nfs/dbraw/zinc/17/79/41/643177941.db2.gz IAZWUFLOYYEEKK-ONKIFHLLSA-N 0 1 262.338 0.693 20 30 CCEDMN Cc1cccn2cc(CC(=O)N[C@H]3CNC[C@H]3C#N)nc12 ZINC000844195500 643178755 /nfs/dbraw/zinc/17/87/55/643178755.db2.gz VCWCZGRBOQJXFS-YPMHNXCESA-N 0 1 283.335 0.413 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccnc1N1CCCCC1 ZINC000844195718 643179495 /nfs/dbraw/zinc/17/94/95/643179495.db2.gz YSDXMGWKFWIBOQ-OCCSQVGLSA-N 0 1 299.378 0.913 20 30 CCEDMN O=C(C#Cc1ccccc1)N1CCN(Cc2nnc[nH]2)CC1 ZINC000846524995 643419638 /nfs/dbraw/zinc/41/96/38/643419638.db2.gz IVTXIYXIKQRETR-UHFFFAOYSA-N 0 1 295.346 0.501 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1c[nH]c2ccccc12 ZINC000846678422 643441793 /nfs/dbraw/zinc/44/17/93/643441793.db2.gz TXZSGXKGZDSGQN-FZMZJTMJSA-N 0 1 268.320 0.938 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1nc[nH]n1 ZINC001018078535 650842358 /nfs/dbraw/zinc/84/23/58/650842358.db2.gz YNNMUYIRNSJNOR-PHIMTYICSA-N 0 1 261.329 0.670 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2c(C(F)(F)F)cnn2C)N1 ZINC000853330951 644098956 /nfs/dbraw/zinc/09/89/56/644098956.db2.gz ZUIMHRYEBOBXBF-LURJTMIESA-N 0 1 274.250 0.710 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn(C)cn2)C1 ZINC001018710374 650899866 /nfs/dbraw/zinc/89/98/66/650899866.db2.gz RCGMGCDXALLUHN-JTQLQIEISA-N 0 1 268.748 0.977 20 30 CCEDMN Cc1cc(CN[C@H]2CCN(C(=O)C#CC3CC3)C2)ncn1 ZINC001018827239 650913389 /nfs/dbraw/zinc/91/33/89/650913389.db2.gz QEHLUPJJMBMXCZ-AWEZNQCLSA-N 0 1 284.363 0.889 20 30 CCEDMN Cc1nc(C)c(C(=O)NC2(C#N)CCN(C)CC2)[nH]1 ZINC000856954233 644450577 /nfs/dbraw/zinc/45/05/77/644450577.db2.gz HKSYUAHVCJLJBY-UHFFFAOYSA-N 0 1 261.329 0.744 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)N1CC[C@H](NCC#N)C1)C2 ZINC001019062351 650934913 /nfs/dbraw/zinc/93/49/13/650934913.db2.gz QDOPGXYTIUGRLS-RYUDHWBXSA-N 0 1 287.367 0.537 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CC2CC(C)(C)C2)CC1 ZINC000859215644 644675846 /nfs/dbraw/zinc/67/58/46/644675846.db2.gz MPNNRWWZZLLSKY-UHFFFAOYSA-N 0 1 299.440 0.508 20 30 CCEDMN C[C@@H](CC#N)OC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000860545828 644808652 /nfs/dbraw/zinc/80/86/52/644808652.db2.gz ULMHGTIHARUDEA-QMMMGPOBSA-N 0 1 283.309 0.912 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC001019422129 650971366 /nfs/dbraw/zinc/97/13/66/650971366.db2.gz VJPZYTBRDULQDU-JTQLQIEISA-N 0 1 259.313 0.615 20 30 CCEDMN Cc1nc[nH]c1C=NN[C@@H]1CCCS(=O)(=O)C1 ZINC000863132508 645062580 /nfs/dbraw/zinc/06/25/80/645062580.db2.gz FBROHAKFQFCLFZ-SECBINFHSA-N 0 1 256.331 0.219 20 30 CCEDMN N#CCOc1ccccc1C(=O)NCCN1CC[C@@H](O)C1 ZINC000863323843 645081940 /nfs/dbraw/zinc/08/19/40/645081940.db2.gz KNSACUYVQGTKKA-GFCCVEGCSA-N 0 1 289.335 0.385 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001019504160 650980810 /nfs/dbraw/zinc/98/08/10/650980810.db2.gz JNZLQUPMKXKOCJ-QWRGUYRKSA-N 0 1 285.775 0.598 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001019618437 650993224 /nfs/dbraw/zinc/99/32/24/650993224.db2.gz GZQMURZDWIJAIZ-QWRGUYRKSA-N 0 1 273.340 0.229 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CCCC[N@@H+]2CCO)co1 ZINC000868637087 645797467 /nfs/dbraw/zinc/79/74/67/645797467.db2.gz SPAFLMMVVUMJCG-GFCCVEGCSA-N 0 1 277.324 0.728 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CCCCN2CCO)co1 ZINC000868637087 645797472 /nfs/dbraw/zinc/79/74/72/645797472.db2.gz SPAFLMMVVUMJCG-GFCCVEGCSA-N 0 1 277.324 0.728 20 30 CCEDMN C[C@@H](O)C[C@H]1CCCCN1CC(=O)NCCC#N ZINC000123617065 646142309 /nfs/dbraw/zinc/14/23/09/646142309.db2.gz QVACUVUBUPLTOA-VXGBXAGGSA-N 0 1 253.346 0.642 20 30 CCEDMN C[C@H]1C(N=Nc2ccccc2S(N)(=O)=O)CC[N@H+]1C ZINC000872370873 646252147 /nfs/dbraw/zinc/25/21/47/646252147.db2.gz GNMRJGHKYRFFSE-VIFPVBQESA-N 0 1 282.369 0.826 20 30 CCEDMN C[C@H]1C(N=Nc2ccccc2S(N)(=O)=O)CCN1C ZINC000872370873 646252152 /nfs/dbraw/zinc/25/21/52/646252152.db2.gz GNMRJGHKYRFFSE-VIFPVBQESA-N 0 1 282.369 0.826 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccnc1C1CC1 ZINC000876801622 646668378 /nfs/dbraw/zinc/66/83/78/646668378.db2.gz GQQGFSWBMFGVRY-JQWIXIFHSA-N 0 1 256.309 0.800 20 30 CCEDMN Cc1nc2sccn2c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000876801940 646668717 /nfs/dbraw/zinc/66/87/17/646668717.db2.gz KRRUQDXZDJUDDE-DTWKUNHWSA-N 0 1 275.337 0.546 20 30 CCEDMN Cc1nc2c(cccc2C(=O)N[C@@H]2CNC[C@H]2C#N)[nH]1 ZINC000876803355 646670719 /nfs/dbraw/zinc/67/07/19/646670719.db2.gz VYZXKJMEDATZNZ-BXKDBHETSA-N 0 1 269.308 0.713 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C1(Nc2ccccc2)CC1 ZINC000876803146 646670759 /nfs/dbraw/zinc/67/07/59/646670759.db2.gz UMVYLBWEKHTKLF-AAEUAGOBSA-N 0 1 270.336 0.859 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CC(F)(F)C(F)(F)F ZINC000876802173 646670856 /nfs/dbraw/zinc/67/08/56/646670856.db2.gz MKUZVGJHEUKEDM-WDSKDSINSA-N 0 1 271.189 0.802 20 30 CCEDMN N#CC1(c2ccccn2)CCN(CCn2cnnn2)CC1 ZINC000878557827 646935432 /nfs/dbraw/zinc/93/54/32/646935432.db2.gz NHYQUOSTTKURQS-UHFFFAOYSA-N 0 1 283.339 0.625 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CCCN2C)C[C@@H](C)N1CC#N ZINC000880459404 647204056 /nfs/dbraw/zinc/20/40/56/647204056.db2.gz SRAVXYYANBBREL-UPJWGTAASA-N 0 1 264.373 0.525 20 30 CCEDMN N#CCCOCCN1CCC[C@@H]2CCNC(=O)[C@H]21 ZINC000880524913 647219322 /nfs/dbraw/zinc/21/93/22/647219322.db2.gz WYHDEXTZVVRQJF-NEPJUHHUSA-N 0 1 251.330 0.517 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C[C@H]1NC(=O)c2ccccc21 ZINC000884250911 647682641 /nfs/dbraw/zinc/68/26/41/647682641.db2.gz RIXUYFXGYOVFPY-OASPWFOLSA-N 0 1 284.319 0.089 20 30 CCEDMN N#CC1(c2ccccn2)CCN(C[C@@H](O)CO)CC1 ZINC000930333105 648851015 /nfs/dbraw/zinc/85/10/15/648851015.db2.gz MTWNSHMAQPAHOH-GFCCVEGCSA-N 0 1 261.325 0.292 20 30 CCEDMN C[C@@H]1CN(Cc2cncc(C#N)c2)[C@H](C)CN1CCO ZINC000930744846 648898424 /nfs/dbraw/zinc/89/84/24/648898424.db2.gz ZWYTZMXNRADHFN-CHWSQXEVSA-N 0 1 274.368 0.840 20 30 CCEDMN C#CCN(C[C@@H]1C[C@H]2COC[C@H]2O1)C1CSC1 ZINC000930959645 648930046 /nfs/dbraw/zinc/93/00/46/648930046.db2.gz BINBVOPQLPAVNL-WCFLWFBJSA-N 0 1 253.367 0.841 20 30 CCEDMN COC[C@H](C)n1ccc(NC(=O)NCC#CCN(C)C)n1 ZINC000930993338 648932773 /nfs/dbraw/zinc/93/27/73/648932773.db2.gz YYJKADRZUWCREB-LBPRGKRZSA-N 0 1 293.371 0.777 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(NC(=O)[C@@H]2CCCN2C)CC1 ZINC000948097238 649363810 /nfs/dbraw/zinc/36/38/10/649363810.db2.gz YPDDGAMKVPZTTF-AAEUAGOBSA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@](C)(NC(=O)c2[nH]ncc2F)C1 ZINC000957513266 649751150 /nfs/dbraw/zinc/75/11/50/649751150.db2.gz MMSURPSOSYCHMU-OQPBUACISA-N 0 1 293.302 0.429 20 30 CCEDMN N#CCN1C[C@@H](CNC(=O)c2cccc3nc[nH]c32)[C@H](O)C1 ZINC000958514863 649809584 /nfs/dbraw/zinc/80/95/84/649809584.db2.gz DNUWGKDNHCYMQB-ZWNOBZJWSA-N 0 1 299.334 0.109 20 30 CCEDMN Cc1ccnc(N2C[C@@H]3OCCN(C)[C@H]3C2)c1C#N ZINC000408181135 719374994 /nfs/dbraw/zinc/37/49/94/719374994.db2.gz BBHZOHLAIBRAQG-STQMWFEESA-N 0 1 258.325 0.781 20 30 CCEDMN Cc1cnc(N2C[C@H]3OCCN(C)[C@@H]3C2)c(C=NO)c1 ZINC001164675050 719419954 /nfs/dbraw/zinc/41/99/54/719419954.db2.gz YGFPGPIEXZUTHE-CHWSQXEVSA-N 0 1 276.340 0.717 20 30 CCEDMN CN1CCO[C@@H]2CN(c3cncc(CC#N)n3)C[C@H]21 ZINC001164673179 719424045 /nfs/dbraw/zinc/42/40/45/719424045.db2.gz SRNSCZFDGNOVAL-VXGBXAGGSA-N 0 1 259.313 0.062 20 30 CCEDMN Cc1cc(C#N)cc(N2C[C@@H]3OCCN(C)[C@H]3C2)n1 ZINC000408109054 719468740 /nfs/dbraw/zinc/46/87/40/719468740.db2.gz WZQUQFVNXBRTNK-STQMWFEESA-N 0 1 258.325 0.781 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)OCC=C)CC1 ZINC001230407332 805598020 /nfs/dbraw/zinc/59/80/20/805598020.db2.gz NQGMMLQYNAGCBV-LBPRGKRZSA-N 0 1 250.342 0.791 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC001039006958 790335469 /nfs/dbraw/zinc/33/54/69/790335469.db2.gz FBXYFEGDTHSCET-NSHDSACASA-N 0 1 269.308 0.395 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCOCC1CC1 ZINC001266275370 790380671 /nfs/dbraw/zinc/38/06/71/790380671.db2.gz XABOTALJKMVFPO-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001266281386 790390747 /nfs/dbraw/zinc/39/07/47/790390747.db2.gz MYFGEBIZBYTKRR-ZDUSSCGKSA-N 0 1 264.373 0.456 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC([C@H](C)NC(=O)c2[nH]ncc2F)C1 ZINC001006791817 790405155 /nfs/dbraw/zinc/40/51/55/790405155.db2.gz HAQXGAJFPKWXMI-SFYZADRCSA-N 0 1 293.302 0.285 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2cn[nH]c2)C1 ZINC001266347636 790557148 /nfs/dbraw/zinc/55/71/48/790557148.db2.gz QLVZKGLLCSQRCU-ZDUSSCGKSA-N 0 1 260.341 0.556 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1ccnnc1C ZINC001266400423 790638419 /nfs/dbraw/zinc/63/84/19/790638419.db2.gz MCTMDQHRMPHLRM-UHFFFAOYSA-N 0 1 290.367 0.487 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CCCN(C(C)=O)C1 ZINC001266401024 790639818 /nfs/dbraw/zinc/63/98/18/790639818.db2.gz XJUWWDRSSSSPOV-HNNXBMFYSA-N 0 1 293.411 0.706 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1ncccc1F ZINC001266425362 790673916 /nfs/dbraw/zinc/67/39/16/790673916.db2.gz LVZSWKGQMDQCOR-UHFFFAOYSA-N 0 1 293.342 0.922 20 30 CCEDMN C=CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(C)n1 ZINC001266491814 790783651 /nfs/dbraw/zinc/78/36/51/790783651.db2.gz YSTGBTLOHXPEIB-TXEJJXNPSA-N 0 1 290.371 0.465 20 30 CCEDMN CNC(=O)[C@@H](C)N1CC[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001266514255 790846086 /nfs/dbraw/zinc/84/60/86/790846086.db2.gz OJQLDTSNNXPAJG-CHWSQXEVSA-N 0 1 293.411 0.609 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOC ZINC001111488668 791061787 /nfs/dbraw/zinc/06/17/87/791061787.db2.gz QVMRAPDRDKAXED-SYQHCUMBSA-N 0 1 282.384 0.945 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)[C@H](C)COC)C1 ZINC001279470881 791066552 /nfs/dbraw/zinc/06/65/52/791066552.db2.gz JAPNULRMNGXTMX-HIFRSBDPSA-N 0 1 284.400 0.788 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)Cc2ccon2)C1 ZINC001279471490 791074918 /nfs/dbraw/zinc/07/49/18/791074918.db2.gz OVJJRQXHHZKXQE-OAHLLOKOSA-N 0 1 293.367 0.736 20 30 CCEDMN Cc1noc(C)c1CNCCN(C)C(=O)[C@@H](C)C#N ZINC001266659291 791092262 /nfs/dbraw/zinc/09/22/62/791092262.db2.gz CLFBUGHPUZBCBT-VIFPVBQESA-N 0 1 264.329 0.999 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN(CCO)Cc1cccnc1 ZINC001230713410 805655657 /nfs/dbraw/zinc/65/56/57/805655657.db2.gz BWPIVJJQGNPSDN-ZDUSSCGKSA-N 0 1 290.367 0.542 20 30 CCEDMN C#C[C@H](Oc1nc(Cl)nc2[nH]ncc21)C(=O)OCC ZINC001231047415 805717722 /nfs/dbraw/zinc/71/77/22/805717722.db2.gz RZMBHIOYYJFFSJ-ZETCQYMHSA-N 0 1 280.671 0.950 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1cccnc1 ZINC001231231010 805755815 /nfs/dbraw/zinc/75/58/15/805755815.db2.gz JSENMQAUFZBAOA-UHFFFAOYSA-N 0 1 290.367 0.436 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)c1ccco1 ZINC001231259172 805763374 /nfs/dbraw/zinc/76/33/74/805763374.db2.gz UNOXEOPJINIDOR-GFCCVEGCSA-N 0 1 291.351 0.469 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)COCCC)C2)C1 ZINC001277509074 805782388 /nfs/dbraw/zinc/78/23/88/805782388.db2.gz IHWAVRSNSNGOBB-OAHLLOKOSA-N 0 1 264.369 0.971 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)COCCC)C2)C1 ZINC001277509073 805782743 /nfs/dbraw/zinc/78/27/43/805782743.db2.gz IHWAVRSNSNGOBB-HNNXBMFYSA-N 0 1 264.369 0.971 20 30 CCEDMN CC1(C)C(=O)NCCN1Cc1cc(C#N)ccc1O ZINC001232679167 805970059 /nfs/dbraw/zinc/97/00/59/805970059.db2.gz FNOFZZIZEADEGM-UHFFFAOYSA-N 0 1 259.309 0.974 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCCN([C@H](C)C(=O)NC)C1 ZINC001266746870 791208120 /nfs/dbraw/zinc/20/81/20/791208120.db2.gz KKFBTIPFULYNEE-ZIAGYGMSSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](C)NCc1cnc(C)o1 ZINC001266931956 791365900 /nfs/dbraw/zinc/36/59/00/791365900.db2.gz DTZNCVFEWPUJEF-IINYFYTJSA-N 0 1 281.356 0.904 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H](C)NCc1ccc(F)cn1 ZINC001266938589 791375293 /nfs/dbraw/zinc/37/52/93/791375293.db2.gz ZYGCHDCZAKYRIU-GFCCVEGCSA-N 0 1 293.342 0.855 20 30 CCEDMN CCn1nncc1CN[C@@H](C)CNC(=O)C#CC1CC1 ZINC001266938527 791375463 /nfs/dbraw/zinc/37/54/63/791375463.db2.gz YYVSCOYLWCQFOO-NSHDSACASA-N 0 1 275.356 0.306 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cnnn1CC ZINC001038229890 791390744 /nfs/dbraw/zinc/39/07/44/791390744.db2.gz PVPPYLUBMTXZIT-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@H](CNCc2ccon2)C1 ZINC001267038290 791470309 /nfs/dbraw/zinc/47/03/09/791470309.db2.gz BQQLBMBXJVASLV-QWHCGFSZSA-N 0 1 291.351 0.651 20 30 CCEDMN C#CCN(C)CCNC(=O)CNC(=O)CC(C)(C)C ZINC001267231302 791711598 /nfs/dbraw/zinc/71/15/98/791711598.db2.gz VDLHINLWKPVVDG-UHFFFAOYSA-N 0 1 267.373 0.220 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CN1CN=NC1=O ZINC001233848678 806132453 /nfs/dbraw/zinc/13/24/53/806132453.db2.gz ZHSXEQVJCILQTA-LLVKDONJSA-N 0 1 279.344 0.093 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@@H](C)CC ZINC001234137243 806188458 /nfs/dbraw/zinc/18/84/58/806188458.db2.gz QIPSDXUSPUPULT-QWHCGFSZSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC1(O)CCC1 ZINC001234169070 806194599 /nfs/dbraw/zinc/19/45/99/806194599.db2.gz CHQONXJLDRZAED-CYBMUJFWSA-N 0 1 264.369 0.848 20 30 CCEDMN COCCN1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234177627 806195818 /nfs/dbraw/zinc/19/58/18/806195818.db2.gz CIFOLKQYSNFKTM-CYBMUJFWSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CC[C@@H](C)Oc1c(C(=O)OC)n[nH]c1C(=O)OC ZINC001234188065 806199052 /nfs/dbraw/zinc/19/90/52/806199052.db2.gz CLGWPMFNFQHONU-SSDOTTSWSA-N 0 1 266.253 0.774 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CCN1CCCF ZINC001234266690 806213032 /nfs/dbraw/zinc/21/30/32/806213032.db2.gz SISNOZUOQIVMHO-QWHCGFSZSA-N 0 1 270.348 0.917 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCOCCOC)C2 ZINC001111491301 793221705 /nfs/dbraw/zinc/22/17/05/793221705.db2.gz WODMVCDUUKFCAA-MCIONIFRSA-N 0 1 282.384 0.947 20 30 CCEDMN C=CCN1CC[C@H](N(CC)CCNC(=O)[C@@H](C)OC)C1=O ZINC001267238573 793223366 /nfs/dbraw/zinc/22/33/66/793223366.db2.gz PFHMAQIXNQITPJ-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cn2cccnc2n1 ZINC001267245216 793236149 /nfs/dbraw/zinc/23/61/49/793236149.db2.gz FXLITYYSFAAFJT-UHFFFAOYSA-N 0 1 271.324 0.414 20 30 CCEDMN CCN(CC#N)CCNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001267252488 793246855 /nfs/dbraw/zinc/24/68/55/793246855.db2.gz QGVRVWKDFPSOKX-NSHDSACASA-N 0 1 263.345 0.550 20 30 CCEDMN N#Cc1ccc2nc(=O)[nH]c(O[C@H]3CCOC3=O)c2c1 ZINC001234515937 806266082 /nfs/dbraw/zinc/26/60/82/806266082.db2.gz NSPUXCKVTIFUSN-JTQLQIEISA-N 0 1 271.232 0.901 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cn1nccn1 ZINC001234574556 806276904 /nfs/dbraw/zinc/27/69/04/806276904.db2.gz UREITAPPIHNEIZ-GFCCVEGCSA-N 0 1 263.345 0.387 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCc1ccnn1C ZINC001234634035 806291692 /nfs/dbraw/zinc/29/16/92/806291692.db2.gz NRCNJCLWLTVOHD-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCC[C@H]1CNCC#N ZINC001277916555 806345430 /nfs/dbraw/zinc/34/54/30/806345430.db2.gz KWLSLTRHPMXIEM-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C1(C(N)=O)CC1 ZINC001277924609 806373213 /nfs/dbraw/zinc/37/32/13/806373213.db2.gz LLDOJKNYZNAPQL-SNVBAGLBSA-N 0 1 285.775 0.585 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1cscn1 ZINC001235626802 806476444 /nfs/dbraw/zinc/47/64/44/806476444.db2.gz SHZLHVTUUIWQJU-UHFFFAOYSA-N 0 1 296.396 0.497 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H]1CCC2(CCC2)O1 ZINC001235854729 806509694 /nfs/dbraw/zinc/50/96/94/806509694.db2.gz ZBJUFGLCIBQMBI-ZDUSSCGKSA-N 0 1 279.384 0.952 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)Cc1ccc(C)o1 ZINC001278072658 806651104 /nfs/dbraw/zinc/65/11/04/806651104.db2.gz UXIQRYPIGMZFLL-GFCCVEGCSA-N 0 1 264.325 0.221 20 30 CCEDMN N#Cc1ccnc([N-]S(=O)(=O)CC2CC[NH2+]CC2)c1 ZINC001237471182 806672845 /nfs/dbraw/zinc/67/28/45/806672845.db2.gz HSJIXPAMWQJBKS-UHFFFAOYSA-N 0 1 280.353 0.695 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N2CCC[N@H+](C)CC2)cc1 ZINC001120862257 798951930 /nfs/dbraw/zinc/95/19/30/798951930.db2.gz OFZXMXDQEGYYEH-UHFFFAOYSA-N 0 1 285.347 0.771 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N2CCCN(C)CC2)cc1 ZINC001120862257 798951932 /nfs/dbraw/zinc/95/19/32/798951932.db2.gz OFZXMXDQEGYYEH-UHFFFAOYSA-N 0 1 285.347 0.771 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1C[C@@H](OCC)C12CCC2 ZINC001121887000 799083289 /nfs/dbraw/zinc/08/32/89/799083289.db2.gz HKBVRKBBKRIOLX-VXGBXAGGSA-N 0 1 250.342 0.673 20 30 CCEDMN C#CCN1CCC(NC(=O)NOCC(F)F)CC1 ZINC001122002741 799119053 /nfs/dbraw/zinc/11/90/53/799119053.db2.gz JPTCWWWZWNLHRV-UHFFFAOYSA-N 0 1 261.272 0.580 20 30 CCEDMN C#Cc1cccc(NC(=O)CNCCNC(=O)C2CC2)c1 ZINC001122437521 799235263 /nfs/dbraw/zinc/23/52/63/799235263.db2.gz MQNRDCLUMLRISA-UHFFFAOYSA-N 0 1 285.347 0.722 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(C)ccc1F ZINC001278164336 806735711 /nfs/dbraw/zinc/73/57/11/806735711.db2.gz CHWKULXVZRLBTH-GFCCVEGCSA-N 0 1 278.327 0.838 20 30 CCEDMN CC(=O)N[C@@H](C)C(=O)NCCNCc1ccccc1C#N ZINC001123071650 799348722 /nfs/dbraw/zinc/34/87/22/799348722.db2.gz FWOIALOISKPSAS-NSHDSACASA-N 0 1 288.351 0.289 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001123694408 799455489 /nfs/dbraw/zinc/45/54/89/799455489.db2.gz LBZBKGPBIDNHKG-BFHYXJOUSA-N 0 1 298.431 0.247 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cccc(N(C)C)c1 ZINC001278187637 806749085 /nfs/dbraw/zinc/74/90/85/806749085.db2.gz VMCNKOSDOKVQCP-AWEZNQCLSA-N 0 1 289.379 0.456 20 30 CCEDMN O=C(NCCNCC#Cc1ccc(F)cc1)c1ccn[nH]1 ZINC001123890794 799496697 /nfs/dbraw/zinc/49/66/97/799496697.db2.gz CUUFGTRXORJMRB-UHFFFAOYSA-N 0 1 286.310 0.920 20 30 CCEDMN C#Cc1ccc(CNC(=O)[C@@H]2CN3CCN2C[C@@H]3C)cc1 ZINC001123894315 799497694 /nfs/dbraw/zinc/49/76/94/799497694.db2.gz YSGAQUKMNCDDHU-BBRMVZONSA-N 0 1 283.375 0.672 20 30 CCEDMN C#Cc1cccc(CNC(=O)[C@@H]2CN3CCN2C[C@H]3C)c1 ZINC001123898802 799498436 /nfs/dbraw/zinc/49/84/36/799498436.db2.gz WZAWLYMHWAZYIO-CJNGLKHVSA-N 0 1 283.375 0.672 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001123910724 799502523 /nfs/dbraw/zinc/50/25/23/799502523.db2.gz VCBRNBPGHAAUKI-NXEZZACHSA-N 0 1 262.317 0.531 20 30 CCEDMN C#CCOCCN(C)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC001124132191 799563683 /nfs/dbraw/zinc/56/36/83/799563683.db2.gz CNDKTKPNUQVXRJ-UHFFFAOYSA-N 0 1 293.367 0.483 20 30 CCEDMN C=CCCC(=O)NCCN[C@H](C)C(=O)Nc1cc(C)no1 ZINC001124656756 799622768 /nfs/dbraw/zinc/62/27/68/799622768.db2.gz WXBCGGKEXRWJIX-LLVKDONJSA-N 0 1 294.355 0.982 20 30 CCEDMN C=C(Br)CNCCNC(=O)CNC(=O)CC ZINC001124866805 799642257 /nfs/dbraw/zinc/64/22/57/799642257.db2.gz LGBVEKGAWYEKCG-UHFFFAOYSA-N 0 1 292.177 0.127 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCC(=O)Nc1ccccc1 ZINC001124890471 799644560 /nfs/dbraw/zinc/64/45/60/799644560.db2.gz XSZLXEOGZLTPGP-NSHDSACASA-N 0 1 274.324 0.491 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC001138804153 799756041 /nfs/dbraw/zinc/75/60/41/799756041.db2.gz XKORPYCIODGBHY-IWSPIJDZSA-N 0 1 262.269 0.641 20 30 CCEDMN C=CCOC[C@H](NC(=O)CCc1cc(C)[nH]n1)C(=O)OC ZINC001139175463 799791077 /nfs/dbraw/zinc/79/10/77/799791077.db2.gz FYLIKSOERMUFMC-LBPRGKRZSA-N 0 1 295.339 0.511 20 30 CCEDMN CC(C)(O)CN1CCN(Cc2ccc(C#N)nc2)CC1 ZINC001140546972 799921371 /nfs/dbraw/zinc/92/13/71/799921371.db2.gz CEQOIWHWEBLACT-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN N#Cc1ccc(CN2CC[C@@H](N3CCOCC3)C2)cn1 ZINC001140544575 799922377 /nfs/dbraw/zinc/92/23/77/799922377.db2.gz UOQQPROTDJJDPL-OAHLLOKOSA-N 0 1 272.352 0.860 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)Cc1ccc(C#N)nc1 ZINC001141529046 800016459 /nfs/dbraw/zinc/01/64/59/800016459.db2.gz WALDVTUPIQWTIV-UHFFFAOYSA-N 0 1 255.281 0.844 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)Cc2ccon2)C1 ZINC001149465556 800268526 /nfs/dbraw/zinc/26/85/26/800268526.db2.gz DLCQIEKBOSYFRH-CQSZACIVSA-N 0 1 291.351 0.448 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)[C@@H]2CCCO2)CC1 ZINC001150919058 800378577 /nfs/dbraw/zinc/37/85/77/800378577.db2.gz YALQNAUKBBKLHY-AWEZNQCLSA-N 0 1 294.395 0.786 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1nc2ncccn2n1 ZINC001151049447 800389397 /nfs/dbraw/zinc/38/93/97/800389397.db2.gz PESYTMSPICIMCU-UHFFFAOYSA-N 0 1 294.746 0.125 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)[C@@H](C)CC ZINC001151366232 800419924 /nfs/dbraw/zinc/41/99/24/800419924.db2.gz KYVCRLDYFDAOOA-VIFPVBQESA-N 0 1 275.780 0.607 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+](CC)[C@H](C)CNC(C)=O)C1=O ZINC001151430541 800424899 /nfs/dbraw/zinc/42/48/99/800424899.db2.gz VHIUZFBACWQVPB-DGCLKSJQSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)[C@H](C)CNC(C)=O)C1=O ZINC001151430541 800424904 /nfs/dbraw/zinc/42/49/04/800424904.db2.gz VHIUZFBACWQVPB-DGCLKSJQSA-N 0 1 267.373 0.620 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1ccc2cncn2c1 ZINC001152207243 800501587 /nfs/dbraw/zinc/50/15/87/800501587.db2.gz VFDRHKVQKPOGOZ-LLVKDONJSA-N 0 1 272.264 0.519 20 30 CCEDMN N#CCCCCCC(=O)N[C@H](CO)Cc1cnc[nH]1 ZINC001152490052 800529959 /nfs/dbraw/zinc/52/99/59/800529959.db2.gz OAUFQOKKHSQOBZ-LBPRGKRZSA-N 0 1 264.329 0.903 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1ocnc1C ZINC001153148582 800601824 /nfs/dbraw/zinc/60/18/24/800601824.db2.gz GYEPAQSVLFLNPR-LLVKDONJSA-N 0 1 267.329 0.780 20 30 CCEDMN C[C@H](C#N)N(C)C(=O)c1cnc2cccnc2c1O ZINC001153856263 800679109 /nfs/dbraw/zinc/67/91/09/800679109.db2.gz SRBYRNXYWQDJEN-MRVPVSSYSA-N 0 1 256.265 0.907 20 30 CCEDMN N#C[C@@H]1CN(c2nc(Cl)nc3c2CCNC3)CCO1 ZINC001155322795 800951539 /nfs/dbraw/zinc/95/15/39/800951539.db2.gz NEGIEFGPWCOUAR-MRVPVSSYSA-N 0 1 279.731 0.504 20 30 CCEDMN C=CCOCC(=O)NCCCN[C@H](C)c1ncccn1 ZINC001155711847 801041609 /nfs/dbraw/zinc/04/16/09/801041609.db2.gz CARPNRYPEHMRHP-GFCCVEGCSA-N 0 1 278.356 0.836 20 30 CCEDMN Cc1cnc(CNCCCNC(=O)[C@@H](C)C#N)o1 ZINC001155828703 801059198 /nfs/dbraw/zinc/05/91/98/801059198.db2.gz QVQYPMUVBXOPRP-VIFPVBQESA-N 0 1 250.302 0.739 20 30 CCEDMN CCCn1c(C)nnc1CNCCCNC(=O)[C@@H](C)C#N ZINC001155828853 801059952 /nfs/dbraw/zinc/05/99/52/801059952.db2.gz SCJLHAZIWATAPE-NSHDSACASA-N 0 1 292.387 0.752 20 30 CCEDMN CCn1ncc(CNCCCNC(=O)[C@H](C)C#N)n1 ZINC001155836976 801062566 /nfs/dbraw/zinc/06/25/66/801062566.db2.gz TZZZPRUGPLOWHB-SNVBAGLBSA-N 0 1 264.333 0.054 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN[C@@H](C)c1nncn1C ZINC001155841092 801063645 /nfs/dbraw/zinc/06/36/45/801063645.db2.gz CDVAWOGDRGAKBC-UWVGGRQHSA-N 0 1 264.333 0.132 20 30 CCEDMN Cc1cnc(CNCCCNC(=O)c2cc(C#N)c[nH]2)nc1 ZINC001156281755 801144005 /nfs/dbraw/zinc/14/40/05/801144005.db2.gz CFVLOIGOPGDWFW-UHFFFAOYSA-N 0 1 298.350 0.895 20 30 CCEDMN Cc1cnc(CNCCCNC(=O)c2ccc(C#N)[nH]2)nc1 ZINC001156690968 801212294 /nfs/dbraw/zinc/21/22/94/801212294.db2.gz JDWJMPQRRHCZSK-UHFFFAOYSA-N 0 1 298.350 0.895 20 30 CCEDMN Cc1nc(Cl)nc(-n2c(C)ncc(CN)c2=N)c1C#N ZINC001156829886 801244827 /nfs/dbraw/zinc/24/48/27/801244827.db2.gz WXJQAEGPTDRPPD-UHFFFAOYSA-N 0 1 289.730 0.742 20 30 CCEDMN CCC(CC)(NC(=O)[C@H](C)CC#N)c1nn[nH]n1 ZINC001156920482 801263721 /nfs/dbraw/zinc/26/37/21/801263721.db2.gz SKLVZHWDUUBOQY-MRVPVSSYSA-N 0 1 250.306 0.881 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCN[C@@H](C)c1ncccn1 ZINC001157201332 801324345 /nfs/dbraw/zinc/32/43/45/801324345.db2.gz FYAMUCQBHZVSNX-SWLSCSKDSA-N 0 1 292.383 0.961 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCN[C@H](C)c1nncn1C ZINC001157201782 801324828 /nfs/dbraw/zinc/32/48/28/801324828.db2.gz LLFCZSMVHZFVPJ-BXUZGUMPSA-N 0 1 295.387 0.299 20 30 CCEDMN N#Cc1c[nH]c2ccnc(N3C[C@@H]4C[C@H]3C(=O)O4)c12 ZINC001157295970 801346645 /nfs/dbraw/zinc/34/66/45/801346645.db2.gz SVKJPOQWPWCNNM-WPRPVWTQSA-N 0 1 254.249 0.939 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCOC ZINC001157421553 801376821 /nfs/dbraw/zinc/37/68/21/801376821.db2.gz BOJREUXWWGDOJH-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCN(CC#C)c1ccc(N2CCN(C)CC2)nn1 ZINC001157558526 801416872 /nfs/dbraw/zinc/41/68/72/801416872.db2.gz BZBFCDOPQBSCMG-UHFFFAOYSA-N 0 1 269.352 0.301 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@H](OC(=O)OC(C)(C)C)C1 ZINC001157591636 801428598 /nfs/dbraw/zinc/42/85/98/801428598.db2.gz LJGVRPYCFCWYQY-HZMBPMFUSA-N 0 1 282.340 0.890 20 30 CCEDMN CN(Cc1ncccn1)C(=O)[C@@H](N)Cc1ccccc1C#N ZINC001157681436 801455075 /nfs/dbraw/zinc/45/50/75/801455075.db2.gz ICLSOCIXKAXRGC-AWEZNQCLSA-N 0 1 295.346 0.877 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCNC1=O ZINC001158350621 801625866 /nfs/dbraw/zinc/62/58/66/801625866.db2.gz UMAPERSCMQGOFE-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN COC(=O)c1cc(C#N)cnc1N1CCN2CC[C@H]2C1 ZINC001158432544 801646475 /nfs/dbraw/zinc/64/64/75/801646475.db2.gz WYDCUZCFPBQTQG-NSHDSACASA-N 0 1 272.308 0.634 20 30 CCEDMN COc1ccc(C(=NO)Nc2cc(CN)ncn2)nc1 ZINC001158663162 801679904 /nfs/dbraw/zinc/67/99/04/801679904.db2.gz OFTFFZIPJZLSPS-UHFFFAOYSA-N 0 1 274.284 0.587 20 30 CCEDMN C=C[C@@H](CO)Nc1nc(Cl)nc2c1CCNC2 ZINC001158813160 801709365 /nfs/dbraw/zinc/70/93/65/801709365.db2.gz HWQCBOHPSVMCTC-ZETCQYMHSA-N 0 1 254.721 0.735 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@H]2OCCC[C@]2(COC)C1 ZINC001159635084 801840219 /nfs/dbraw/zinc/84/02/19/801840219.db2.gz GUCBLEZUJNYWLC-AEGPPILISA-N 0 1 280.368 0.381 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001160954771 802052441 /nfs/dbraw/zinc/05/24/41/802052441.db2.gz YQIUEUKDHCKCFF-FICVDOATSA-N 0 1 274.364 0.650 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC(N(C(C)=O)C(C)C)CC1 ZINC001161049708 802075953 /nfs/dbraw/zinc/07/59/53/802075953.db2.gz HTGKWFGGZBZIAM-HNNXBMFYSA-N 0 1 279.384 0.585 20 30 CCEDMN C[C@H](C#N)N(C)C(=O)[C@H](Cc1ccccc1)NC(=O)CN ZINC001161145112 802101780 /nfs/dbraw/zinc/10/17/80/802101780.db2.gz ZFEYQSLMQFISOC-YPMHNXCESA-N 0 1 288.351 0.043 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H](CC)NC(C)=O)CC1 ZINC001161291482 802120839 /nfs/dbraw/zinc/12/08/39/802120839.db2.gz IPFRNXMQAVOTKG-OAHLLOKOSA-N 0 1 291.395 0.673 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H](C)OCCOC)CC1 ZINC001161369062 802138722 /nfs/dbraw/zinc/13/87/22/802138722.db2.gz LYEHDMAOWXCXRW-CQSZACIVSA-N 0 1 294.395 0.810 20 30 CCEDMN C=C[C@@H](COC)NC(=O)CSc1nnc(C)[nH]1 ZINC001255042389 808311861 /nfs/dbraw/zinc/31/18/61/808311861.db2.gz VBFHVGILCCSPNS-QMMMGPOBSA-N 0 1 256.331 0.522 20 30 CCEDMN C=C[C@@H](COC)NC(=O)CSc1nc(C)n[nH]1 ZINC001255042389 808311867 /nfs/dbraw/zinc/31/18/67/808311867.db2.gz VBFHVGILCCSPNS-QMMMGPOBSA-N 0 1 256.331 0.522 20 30 CCEDMN N#Cc1ccc(NCc2nnc3n2CCCNC3)nn1 ZINC001163010692 802455701 /nfs/dbraw/zinc/45/57/01/802455701.db2.gz AQOQQNJRULIGDH-UHFFFAOYSA-N 0 1 270.300 0.045 20 30 CCEDMN COc1nc(-n2c(C)nnc2CN)c(F)cc1C#N ZINC001163351014 802544121 /nfs/dbraw/zinc/54/41/21/802544121.db2.gz KCKUMTBTRBSACJ-UHFFFAOYSA-N 0 1 262.248 0.449 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCNCc1cn(C)nn1 ZINC001163953191 802640345 /nfs/dbraw/zinc/64/03/45/802640345.db2.gz KJNTWIWLOOQNRE-UHFFFAOYSA-N 0 1 265.361 0.623 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN(C)CC(=O)N(C)C1CC1 ZINC001264970942 809682986 /nfs/dbraw/zinc/68/29/86/809682986.db2.gz CYZICKMQIKWZHZ-LLVKDONJSA-N 0 1 280.372 0.205 20 30 CCEDMN COC(=O)c1cncc(NC(=NC#N)c2ccncc2)n1 ZINC001164514025 802754510 /nfs/dbraw/zinc/75/45/10/802754510.db2.gz KKDUHFMMOVJEFH-UHFFFAOYSA-N 0 1 282.263 0.807 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1nc(Cl)nc(C)c1C#N ZINC001165438711 802842413 /nfs/dbraw/zinc/84/24/13/802842413.db2.gz KVJVSTVXIOOPQZ-VIFPVBQESA-N 0 1 295.730 0.261 20 30 CCEDMN C=CCOc1cc(C)nc(N2CCNC[C@H]2C(=O)OC)n1 ZINC001165440012 802843831 /nfs/dbraw/zinc/84/38/31/802843831.db2.gz WVNYYUPQORPSPZ-NSHDSACASA-N 0 1 292.339 0.301 20 30 CCEDMN COCc1nn(-c2cnc(C#N)c(C)n2)c2c1CNC2 ZINC001165633473 802858401 /nfs/dbraw/zinc/85/84/01/802858401.db2.gz IVJPLJHPEBQQDU-UHFFFAOYSA-N 0 1 270.296 0.592 20 30 CCEDMN COCc1nn(-c2ncc(C#N)cc2C#N)c2c1CNC2 ZINC001165632836 802858833 /nfs/dbraw/zinc/85/88/33/802858833.db2.gz ZRCIKBKMJJFBDU-UHFFFAOYSA-N 0 1 280.291 0.760 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CN2CCCC2=O)C1 ZINC001267780615 811782985 /nfs/dbraw/zinc/78/29/85/811782985.db2.gz RIKQVEXBCXNPQZ-ZDUSSCGKSA-N 0 1 277.368 0.165 20 30 CCEDMN C#Cc1cncc(C(=O)NCCCNCc2cn(C)nn2)c1 ZINC001166299069 802898891 /nfs/dbraw/zinc/89/88/91/802898891.db2.gz INCXZCRLRSNLPZ-UHFFFAOYSA-N 0 1 298.350 0.101 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CNC(=O)CCC(C)C ZINC001114982956 812360276 /nfs/dbraw/zinc/36/02/76/812360276.db2.gz ZMPKGONUACUASU-VIKVFOODSA-N 0 1 291.395 0.218 20 30 CCEDMN CC1(C)O[C@@H]2O[C@@H](CN3CCC(C#N)CC3)[C@@H](O)[C@@H]2O1 ZINC001204691410 803098333 /nfs/dbraw/zinc/09/83/33/803098333.db2.gz DGAIQEUZVJKRCK-RNJOBUHISA-N 0 1 282.340 0.459 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCOC2)C1 ZINC001206407528 803239506 /nfs/dbraw/zinc/23/95/06/803239506.db2.gz SBDVJVSZYWTKKM-MGPQQGTHSA-N 0 1 280.368 0.109 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](OCC)C2CC2)C1 ZINC001206975803 803321501 /nfs/dbraw/zinc/32/15/01/803321501.db2.gz LCCZEGMINCZZAA-MRVWCRGKSA-N 0 1 264.369 0.871 20 30 CCEDMN CC(=O)N(C)[C@@H]1CCN(Cc2cc(C#N)n(C)c2)C1 ZINC001207110900 803355228 /nfs/dbraw/zinc/35/52/28/803355228.db2.gz WHMUGMRTRHVVNQ-CYBMUJFWSA-N 0 1 260.341 0.949 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](COC)OC)C1 ZINC001208544081 803521104 /nfs/dbraw/zinc/52/11/04/803521104.db2.gz DIILNTISIDNDHH-UPJWGTAASA-N 0 1 268.357 0.108 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H](CNCc1cnns1)C1CC1 ZINC001276583996 803542608 /nfs/dbraw/zinc/54/26/08/803542608.db2.gz LKXHZPZASIVTJO-ZDUSSCGKSA-N 0 1 290.392 0.936 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CC23CC3)C1 ZINC001208886535 803552326 /nfs/dbraw/zinc/55/23/26/803552326.db2.gz ANGRWIZHYSYBEC-HZSPNIEDSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COC[C@@H]2CCOC2)C1 ZINC001209078101 803572831 /nfs/dbraw/zinc/57/28/31/803572831.db2.gz AZCIKVFPYFYOBL-RBSFLKMASA-N 0 1 294.395 0.499 20 30 CCEDMN C=CCN(CC=C)C[C@@H]1OC(C)(C)O[C@@H]1[C@H](O)CO ZINC001209273939 803586619 /nfs/dbraw/zinc/58/66/19/803586619.db2.gz AZKUESGLDQYJDF-FRRDWIJNSA-N 0 1 271.357 0.534 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COCCC=C)C1 ZINC001211409010 803679737 /nfs/dbraw/zinc/67/97/37/803679737.db2.gz QJHCGTKAZHYNIM-CHWSQXEVSA-N 0 1 250.342 0.649 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)[C@H]1C ZINC001088602498 814965283 /nfs/dbraw/zinc/96/52/83/814965283.db2.gz IXLFWPCTFUFZRR-UPJWGTAASA-N 0 1 277.368 0.067 20 30 CCEDMN COCCCN1C[C@H]2OCCN(C(=O)[C@@H](C)C#N)[C@H]2C1 ZINC001217533340 803894618 /nfs/dbraw/zinc/89/46/18/803894618.db2.gz UVUYSKUNXJZEGF-RWMBFGLXSA-N 0 1 281.356 0.094 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H](C)COC)[C@H]2C1 ZINC001217543865 803894860 /nfs/dbraw/zinc/89/48/60/803894860.db2.gz JMISWZCPSAAYKB-MELADBBJSA-N 0 1 280.368 0.204 20 30 CCEDMN C=CC[C@H]1[NH2+]CCC[C@H]1Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001218451579 803958391 /nfs/dbraw/zinc/95/83/91/803958391.db2.gz KMQBYHQPTXSTRW-HTQZYQBOSA-N 0 1 291.311 0.840 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)n1c(N)csc1=N ZINC001218540150 803994380 /nfs/dbraw/zinc/99/43/80/803994380.db2.gz DBRWOQPKLCBYML-JTQLQIEISA-N 0 1 287.348 0.693 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2CC=CC2)[C@H](OC)C1 ZINC001212061848 814987396 /nfs/dbraw/zinc/98/73/96/814987396.db2.gz FODWXMUIKHBTJA-HUUCEWRRSA-N 0 1 294.395 0.971 20 30 CCEDMN N#Cc1ccnnc1NC(=O)[C@@H](N)c1ccccc1 ZINC001218702824 804089414 /nfs/dbraw/zinc/08/94/14/804089414.db2.gz XURBHFVEKOGKPO-NSHDSACASA-N 0 1 253.265 0.987 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cnn(C)c2C)[C@H]1C ZINC001088612740 814991558 /nfs/dbraw/zinc/99/15/58/814991558.db2.gz DDIDHYLDIAXBLV-OCCSQVGLSA-N 0 1 274.368 0.483 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)OCCCC)[C@@H](O)C1 ZINC001219322469 804271216 /nfs/dbraw/zinc/27/12/16/804271216.db2.gz VCQYNACRFPVAHQ-MJBXVCDLSA-N 0 1 282.384 0.376 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)COC)[C@@H](O)C1 ZINC001219355835 804283873 /nfs/dbraw/zinc/28/38/73/804283873.db2.gz OCDYLNHRFCAKCT-TUAOUCFPSA-N 0 1 256.346 0.006 20 30 CCEDMN C[S@@](=O)CC[C@H](N)C(=O)Nc1ccc(C#N)cc1C#N ZINC001219394229 804297585 /nfs/dbraw/zinc/29/75/85/804297585.db2.gz ZQQHUOHUSYYRDM-PRWKNARSSA-N 0 1 290.348 0.464 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCOC(C)C)C[C@@H]1O ZINC001219490776 804330273 /nfs/dbraw/zinc/33/02/73/804330273.db2.gz YHNPXRUSDQQCGQ-CABCVRRESA-N 0 1 296.411 0.766 20 30 CCEDMN C#Cc1ccccc1C(=O)NC[C@]1(O)CCNC[C@@H]1F ZINC001219626188 804379243 /nfs/dbraw/zinc/37/92/43/804379243.db2.gz PKWVGFAOXVLTQT-DZGCQCFKSA-N 0 1 276.311 0.460 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H]2CCN(C(=O)c3cnc[nH]c3=O)[C@@H]2C1 ZINC001075925636 815018949 /nfs/dbraw/zinc/01/89/49/815018949.db2.gz XCTHEBCJEVSUEN-WCQYABFASA-N 0 1 288.351 0.905 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCN1C[C@H](C)OC ZINC001276809964 804478305 /nfs/dbraw/zinc/47/83/05/804478305.db2.gz OCDXVBISIKBHGP-NWDGAFQWSA-N 0 1 256.346 0.414 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2(CC)CCC2)[C@@H](O)C1 ZINC001220194196 804534549 /nfs/dbraw/zinc/53/45/49/804534549.db2.gz GEBJFOJYQNOLBQ-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN CC(C)(C)[C@H](CO)NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001220217178 804539584 /nfs/dbraw/zinc/53/95/84/804539584.db2.gz OLCPIMCGCRNUKC-KBPBESRZSA-N 0 1 289.379 0.951 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCCc1nc(-c2ccccc2)n[nH]1 ZINC001220245846 804548076 /nfs/dbraw/zinc/54/80/76/804548076.db2.gz WFODMXXRZKPNLW-HNNXBMFYSA-N 0 1 283.335 0.481 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CC=C)CCC2)[C@@H](O)C1 ZINC001220295146 804563287 /nfs/dbraw/zinc/56/32/87/804563287.db2.gz IGVCEAHJLRKPOJ-KGLIPLIRSA-N 0 1 276.380 0.917 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(CCCO)C[C@@H]2O)CC1 ZINC001220292421 804564737 /nfs/dbraw/zinc/56/47/37/804564737.db2.gz XOUBXCZDBSGPQW-OLZOCXBDSA-N 0 1 282.384 0.277 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)C(C)(F)F)[C@@H](O)C1 ZINC001220380143 804587748 /nfs/dbraw/zinc/58/77/48/804587748.db2.gz RMSCXZSKMJRQDT-MXWKQRLJSA-N 0 1 274.311 0.462 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@H]1CC[C@@H](C(F)(F)F)CN1 ZINC001220392797 804593047 /nfs/dbraw/zinc/59/30/47/804593047.db2.gz MFABLHRANUTCMH-HRDYMLBCSA-N 0 1 266.263 0.580 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C(C)(C)CCOC)[C@@H](O)C1 ZINC001220655071 804642222 /nfs/dbraw/zinc/64/22/22/804642222.db2.gz YOXSSWSYLZCJCA-OLZOCXBDSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@H](CCC=C)C(=O)[O-] ZINC000315923738 804659571 /nfs/dbraw/zinc/65/95/71/804659571.db2.gz PSJOVKXJBJEOQL-GFCCVEGCSA-N 0 1 281.356 0.660 20 30 CCEDMN CC[C@H](COC)NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001220864147 804683993 /nfs/dbraw/zinc/68/39/93/804683993.db2.gz PPISCFQFESGUOO-KGLIPLIRSA-N 0 1 275.352 0.969 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)c2c[nH]cc2C)[C@@H](O)C1 ZINC001220978101 804712977 /nfs/dbraw/zinc/71/29/77/804712977.db2.gz MKTSCWWLLGFOBP-KGLIPLIRSA-N 0 1 293.367 0.301 20 30 CCEDMN CC(C)(O)[C@H](F)CNC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001221260422 804761415 /nfs/dbraw/zinc/76/14/15/804761415.db2.gz PXGZBCMAFRJWIK-QWHCGFSZSA-N 0 1 293.342 0.653 20 30 CCEDMN C#CC1(NC(=O)C[C@H]2COCCN2)CCCCC1 ZINC001221275418 804762169 /nfs/dbraw/zinc/76/21/69/804762169.db2.gz BZEQQWIPPYIBHG-LBPRGKRZSA-N 0 1 250.342 0.817 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC(=N)c1cnc2ccc(F)cn21 ZINC001221322763 804777258 /nfs/dbraw/zinc/77/72/58/804777258.db2.gz LNNVVQKREDAVNY-ZDUSSCGKSA-N 0 1 273.271 0.266 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CNC(=O)CCC)[C@@H]2C1 ZINC001221395777 804802712 /nfs/dbraw/zinc/80/27/12/804802712.db2.gz FSENNUPKLXKCJI-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN CC(C)OCCCNC(=O)NCC#CCN(C)C ZINC001222121231 804872366 /nfs/dbraw/zinc/87/23/66/804872366.db2.gz NYGVHPGIWYPYPD-UHFFFAOYSA-N 0 1 255.362 0.666 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc3n(n2)CCO3)[C@H]1C ZINC001088624887 815042648 /nfs/dbraw/zinc/04/26/48/815042648.db2.gz GKUYLAXHHXKTDX-MNOVXSKESA-N 0 1 276.340 0.654 20 30 CCEDMN C=CCOCC(=O)NCC1CCN(CC(=O)NCC)CC1 ZINC001222636589 804922235 /nfs/dbraw/zinc/92/22/35/804922235.db2.gz OVFNYAXOGBOKLF-UHFFFAOYSA-N 0 1 297.399 0.153 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H](C)OC)C2)C1 ZINC001276855732 804944482 /nfs/dbraw/zinc/94/44/82/804944482.db2.gz RKJNVMZLNWDJJO-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)[C@H](C)CC(N)=O)C[C@@H]21 ZINC001223251584 804962112 /nfs/dbraw/zinc/96/21/12/804962112.db2.gz DMSHMQISXPLVDG-UPJWGTAASA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](C)CC(N)=O)C[C@@H]21 ZINC001223251584 804962117 /nfs/dbraw/zinc/96/21/17/804962117.db2.gz DMSHMQISXPLVDG-UPJWGTAASA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CCc1ccnc(C)n1 ZINC001276892352 804973584 /nfs/dbraw/zinc/97/35/84/804973584.db2.gz QNONLORWPWFKLB-OAHLLOKOSA-N 0 1 286.379 0.931 20 30 CCEDMN C#Cc1ccccc1CC(=O)NC[C@H]1CCN1CCO ZINC001276964904 805027276 /nfs/dbraw/zinc/02/72/76/805027276.db2.gz ZDGDCTXHUPKOJB-OAHLLOKOSA-N 0 1 272.348 0.393 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2cc(C3CC3)cn2)[C@H]1C ZINC001088680846 815056690 /nfs/dbraw/zinc/05/66/90/815056690.db2.gz DQNHBTSSBWVJAR-DOMZBBRYSA-N 0 1 286.379 0.973 20 30 CCEDMN CCCOCC(=O)NC1CCN(CC#CCOC)CC1 ZINC001226438499 805231773 /nfs/dbraw/zinc/23/17/73/805231773.db2.gz PNQFBGYRTPOHSF-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN CCCC[C@@H](C(N)=O)N1CCC(NC(=O)[C@H](C)C#N)CC1 ZINC001226641176 805260393 /nfs/dbraw/zinc/26/03/93/805260393.db2.gz WPTNKNHJEDBXLV-YPMHNXCESA-N 0 1 294.399 0.771 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(=O)[C@H](C)C#N)CC1 ZINC001226649595 805260618 /nfs/dbraw/zinc/26/06/18/805260618.db2.gz VTQCSDOGHHQQNM-VXGBXAGGSA-N 0 1 292.383 0.417 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1ncc([N+](=O)[O-])cn1 ZINC001226732641 805275038 /nfs/dbraw/zinc/27/50/38/805275038.db2.gz XONQVSFWFDGGHX-YFKPBYRVSA-N 0 1 251.198 0.983 20 30 CCEDMN COc1nc(OC2CN(CCC#N)C2)cc(=O)[nH]1 ZINC001227000265 805307810 /nfs/dbraw/zinc/30/78/10/805307810.db2.gz HFVYOJMMJLODTB-UHFFFAOYSA-N 0 1 250.258 0.167 20 30 CCEDMN N#CCC1CN(C(=O)[C@@]23C[C@@H]2CN(Cc2ccnnc2)C3)C1 ZINC001277215248 805358921 /nfs/dbraw/zinc/35/89/21/805358921.db2.gz ZMFFCYDZTQDSJF-GDBMZVCRSA-N 0 1 297.362 0.671 20 30 CCEDMN C#CCN1CCC(NC(=O)CCNC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001227662246 805375304 /nfs/dbraw/zinc/37/53/04/805375304.db2.gz ZILZLTKXGDBUNV-TZMCWYRMSA-N 0 1 291.395 0.363 20 30 CCEDMN C[C@H]1OCc2c1[nH]c(=O)nc2O[C@@H]1CCN(CC#N)C1 ZINC001227713330 805382809 /nfs/dbraw/zinc/38/28/09/805382809.db2.gz NLWMCBRQHKOJJU-RKDXNWHRSA-N 0 1 276.296 0.750 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C(C)(C)C)CC1 ZINC001277234490 805384668 /nfs/dbraw/zinc/38/46/68/805384668.db2.gz WWVHWLCWHSKLQL-UHFFFAOYSA-N 0 1 265.357 0.020 20 30 CCEDMN C[C@H]1CSC[C@@H]1NC(=O)NCC#CCN(C)C ZINC001228095406 805412863 /nfs/dbraw/zinc/41/28/63/805412863.db2.gz RWILUAQNRGJEGZ-QWRGUYRKSA-N 0 1 255.387 0.602 20 30 CCEDMN C[C@H]1CSC[C@H]1NC(=O)NCC#CCN(C)C ZINC001228095407 805413178 /nfs/dbraw/zinc/41/31/78/805413178.db2.gz RWILUAQNRGJEGZ-WDEREUQCSA-N 0 1 255.387 0.602 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCCCN2C(N)=O)CC1 ZINC000989457418 815076945 /nfs/dbraw/zinc/07/69/45/815076945.db2.gz PBBNQYNLVVCEMM-ZDUSSCGKSA-N 0 1 294.399 0.640 20 30 CCEDMN CN1[C@@H]2C[C@@H](Oc3ccc4[nH]nnc4c3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228667769 805465893 /nfs/dbraw/zinc/46/58/93/805465893.db2.gz SCJFXHZYPYJTRZ-UBYSCORNSA-N 0 1 272.308 0.949 20 30 CCEDMN N#CCC1CN(C(=O)C23CC(NCc4cc[nH]n4)(C2)C3)C1 ZINC001277343936 805492399 /nfs/dbraw/zinc/49/23/99/805492399.db2.gz UZLFXZVFPJVTAA-UHFFFAOYSA-N 0 1 285.351 0.794 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cccnn2)CC1 ZINC001229697364 805548923 /nfs/dbraw/zinc/54/89/23/805548923.db2.gz UZMFVSLJASNZAD-UHFFFAOYSA-N 0 1 288.351 0.321 20 30 CCEDMN COC(=O)c1c[n-]c(=O)nc1O[C@H](CC#N)C[N+](C)(C)C ZINC001229873848 805564960 /nfs/dbraw/zinc/56/49/60/805564960.db2.gz ZCALGYLFSLRXLW-SECBINFHSA-O 0 1 295.319 0.336 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H](C)NC(=O)C(C)(C)C)C1 ZINC001278414148 807093086 /nfs/dbraw/zinc/09/30/86/807093086.db2.gz QNEZIWQVECUXGV-WBMJQRKESA-N 0 1 293.411 0.751 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](NCc2ccc(F)c(C#N)c2)CN1 ZINC001246270559 807204013 /nfs/dbraw/zinc/20/40/13/807204013.db2.gz MLUCBDHIXCGIHZ-AAEUAGOBSA-N 0 1 277.299 0.690 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](N2CCC(C#N)CC2)CN1 ZINC001246528170 807276041 /nfs/dbraw/zinc/27/60/41/807276041.db2.gz OOBVRIUMTKMPFL-NEPJUHHUSA-N 0 1 251.330 0.516 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H]1CC(C)(C)CO1 ZINC001248448800 807516643 /nfs/dbraw/zinc/51/66/43/807516643.db2.gz NTZOHSOSUPOKIL-LBPRGKRZSA-N 0 1 267.373 0.666 20 30 CCEDMN N#Cc1ccc2cc(CN3C[C@H](O)[C@H](CO)C3)[nH]c2c1 ZINC001249426766 807555870 /nfs/dbraw/zinc/55/58/70/807555870.db2.gz GMJMXBDJARHMTI-WFASDCNBSA-N 0 1 271.320 0.825 20 30 CCEDMN N#CCC1(NC[C@@H](O)CN2CCOCC2)CCCC1 ZINC001251048667 807656419 /nfs/dbraw/zinc/65/64/19/807656419.db2.gz IFFMYEBVWHFMPP-CYBMUJFWSA-N 0 1 267.373 0.496 20 30 CCEDMN COC(=O)c1ccc(NS(=O)(=O)N(C)C)c(C#N)c1 ZINC001251097758 807664174 /nfs/dbraw/zinc/66/41/74/807664174.db2.gz FRNOSWNOQJAKDV-UHFFFAOYSA-N 0 1 283.309 0.563 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc(=O)n(C)c3)[C@@H]2C1 ZINC001075983643 815138912 /nfs/dbraw/zinc/13/89/12/815138912.db2.gz QSLIASVDKWYVJQ-GXTWGEPZSA-N 0 1 285.347 0.165 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@H](O)CC(C)(C)C1 ZINC001251708290 807696546 /nfs/dbraw/zinc/69/65/46/807696546.db2.gz PQSQIGLFRGOTMX-ZDUSSCGKSA-N 0 1 281.400 0.744 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@@]2(CO)CCC[C@@H]12 ZINC001251708264 807696706 /nfs/dbraw/zinc/69/67/06/807696706.db2.gz OZLKPMUSYCFCOP-UKRRQHHQSA-N 0 1 279.384 0.498 20 30 CCEDMN C#CCOC[C@H](O)CNc1ncnc2[nH]c(C)nc21 ZINC001251832277 807714321 /nfs/dbraw/zinc/71/43/21/807714321.db2.gz GUCPOGIRZPGPAK-SECBINFHSA-N 0 1 261.285 0.084 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(c2cc(C)ccn2)CC1 ZINC001251851022 807720099 /nfs/dbraw/zinc/72/00/99/807720099.db2.gz BNEYJKSUSNBHSC-HNNXBMFYSA-N 0 1 289.379 0.523 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCc2nc[nH]c2[C@H]1C(C)C ZINC001251854461 807721634 /nfs/dbraw/zinc/72/16/34/807721634.db2.gz DCFKKGSDMGGKNK-SWLSCSKDSA-N 0 1 277.368 0.976 20 30 CCEDMN C#CCOC[C@@H](O)CN(C)Cc1cccc2c1OCO2 ZINC001251859994 807724489 /nfs/dbraw/zinc/72/44/89/807724489.db2.gz AGTTZTXDULALCU-ZDUSSCGKSA-N 0 1 277.320 0.858 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(OC)ccn1 ZINC001251885010 807733833 /nfs/dbraw/zinc/73/38/33/807733833.db2.gz LUIHDWBQANWERJ-GFCCVEGCSA-N 0 1 250.298 0.191 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ccncc1Br ZINC001251893741 807738495 /nfs/dbraw/zinc/73/84/95/807738495.db2.gz ILYJILWYKWXHJF-NSHDSACASA-N 0 1 299.168 0.944 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cccc(OC)n1 ZINC001251893733 807738964 /nfs/dbraw/zinc/73/89/64/807738964.db2.gz IKKRZDHWJGJYSY-LBPRGKRZSA-N 0 1 250.298 0.191 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(C[C@@H](O)COCC)C1 ZINC001252032965 807769670 /nfs/dbraw/zinc/76/96/70/807769670.db2.gz POTLEXIDYKJCFJ-QWHCGFSZSA-N 0 1 286.372 0.760 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cccnc1OC ZINC001252483039 807888095 /nfs/dbraw/zinc/88/80/95/807888095.db2.gz QPCMUNJZCFDARR-LBPRGKRZSA-N 0 1 252.314 0.743 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@@H](CC(=O)OC)c1cccnc1 ZINC001252490553 807892229 /nfs/dbraw/zinc/89/22/29/807892229.db2.gz AUXPPVVFLGOTJS-KBPBESRZSA-N 0 1 294.351 0.839 20 30 CCEDMN C=CCOC[C@@H](O)CNC1(C#N)CCN(C)CC1 ZINC001252496653 807894507 /nfs/dbraw/zinc/89/45/07/807894507.db2.gz BYJUINOKVHLPIQ-LBPRGKRZSA-N 0 1 253.346 0.127 20 30 CCEDMN C=CCN1CCN(C[C@@H](O)COCc2ccco2)CC1 ZINC001252638626 807924466 /nfs/dbraw/zinc/92/44/66/807924466.db2.gz VGZGDPIYQDYCSS-CQSZACIVSA-N 0 1 280.368 0.961 20 30 CCEDMN CN(C)CC#CCNC(=O)NCC[C@H]1CCCOC1 ZINC001253511346 808076893 /nfs/dbraw/zinc/07/68/93/808076893.db2.gz LKYFOPPEXRPHHA-CYBMUJFWSA-N 0 1 267.373 0.667 20 30 CCEDMN C=C[C@@H](O)CN1CC[C@@H]2[C@H]1CC(=O)N2c1cnn(C)c1 ZINC001253578121 808086354 /nfs/dbraw/zinc/08/63/54/808086354.db2.gz VWRZKNIIDOEPJN-JHJVBQTASA-N 0 1 276.340 0.147 20 30 CCEDMN C=C[C@@H](O)CN[C@@H](Cc1cnc[nH]1)C(=O)OCC ZINC001253611768 808097213 /nfs/dbraw/zinc/09/72/13/808097213.db2.gz TUXWBLSROMROKQ-MNOVXSKESA-N 0 1 253.302 0.020 20 30 CCEDMN O=C(C#CC1CC1)NCCNCc1n[nH]c(C2CC2)n1 ZINC001126875883 815175286 /nfs/dbraw/zinc/17/52/86/815175286.db2.gz QSYRRRFQAASPRK-UHFFFAOYSA-N 0 1 273.340 0.301 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2ccccc2O)C1 ZINC001278759054 808171017 /nfs/dbraw/zinc/17/10/17/808171017.db2.gz CQIRKLOQOOPERM-MRXNPFEDSA-N 0 1 288.347 0.582 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CC(Nc2ncnc3[nH]cnc32)C1 ZINC001126881851 815181684 /nfs/dbraw/zinc/18/16/84/815181684.db2.gz FXWSOOJIQATWOT-XNWIYYODSA-N 0 1 299.338 0.914 20 30 CCEDMN C=CCOCc1nn([C@H]2CCS(=O)(=O)C2)c2c1CNC2 ZINC001254331399 808212870 /nfs/dbraw/zinc/21/28/70/808212870.db2.gz KXTZZNCEJODFSZ-JTQLQIEISA-N 0 1 297.380 0.549 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cccn3ccnc23)C1 ZINC001278809597 808277467 /nfs/dbraw/zinc/27/74/67/808277467.db2.gz FIJPZUFVUZFIPO-INIZCTEOSA-N 0 1 298.346 0.134 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cccn1C ZINC001278844557 808321540 /nfs/dbraw/zinc/32/15/40/808321540.db2.gz HFSIIIVUUBFMGD-GFCCVEGCSA-N 0 1 263.341 0.023 20 30 CCEDMN COc1ccc(C(=NO)N[C@@H]2CCN(C)C2)nc1 ZINC001255418696 808358660 /nfs/dbraw/zinc/35/86/60/808358660.db2.gz XKGWQBAQGKHBDT-SECBINFHSA-N 0 1 250.302 0.520 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cnoc1CC ZINC001278878003 808419252 /nfs/dbraw/zinc/41/92/52/808419252.db2.gz GFRVBEMDFJAHCE-NSHDSACASA-N 0 1 279.340 0.235 20 30 CCEDMN CC[C@@H](C)[C@H](O)CNC(=O)NCC#CCN(C)C ZINC001256579565 808534926 /nfs/dbraw/zinc/53/49/26/808534926.db2.gz MFMXZDIWIBIBEM-VXGBXAGGSA-N 0 1 255.362 0.258 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)NCCN(C)CCOC ZINC001257604420 808625256 /nfs/dbraw/zinc/62/52/56/808625256.db2.gz PLTFPWRUHNOHPC-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001258285784 808669843 /nfs/dbraw/zinc/66/98/43/808669843.db2.gz ZUOPMIWKKQAVAH-LBPRGKRZSA-N 0 1 266.341 0.660 20 30 CCEDMN N#CCNC[C@@H]1CCC[C@H]1NC(=O)CCc1nc[nH]n1 ZINC001272252060 815232237 /nfs/dbraw/zinc/23/22/37/815232237.db2.gz IHZWNSWZGJXADD-WDEREUQCSA-N 0 1 276.344 0.135 20 30 CCEDMN C=CCS(=O)(=O)N1CCC(=O)[C@H](C(=O)OCC)CC1 ZINC001259927107 808806343 /nfs/dbraw/zinc/80/63/43/808806343.db2.gz ONJWZQIDNUMSIC-SNVBAGLBSA-N 0 1 289.353 0.346 20 30 CCEDMN CCc1cc(CNC(=O)C(=O)Nc2ccc(C#N)cn2)n[nH]1 ZINC001261239723 808936593 /nfs/dbraw/zinc/93/65/93/808936593.db2.gz YHHZZDYRDOWKJU-UHFFFAOYSA-N 0 1 298.306 0.494 20 30 CCEDMN N#CCCCCC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001261547646 809015415 /nfs/dbraw/zinc/01/54/15/809015415.db2.gz RCGQOZBXDFJNLO-VIFPVBQESA-N 0 1 264.289 0.184 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cn3ccc(C)n3)[C@@H]2C1 ZINC001076259212 815268940 /nfs/dbraw/zinc/26/89/40/815268940.db2.gz BFTSFQNLZGHGPU-LSDHHAIUSA-N 0 1 286.379 0.748 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)NCc1nc(CSC)n[nH]1 ZINC001262136241 809201585 /nfs/dbraw/zinc/20/15/85/809201585.db2.gz REQSUCQNCWHZEE-UHFFFAOYSA-N 0 1 297.384 0.366 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)NCc1nnc(CSC)[nH]1 ZINC001262136241 809201590 /nfs/dbraw/zinc/20/15/90/809201590.db2.gz REQSUCQNCWHZEE-UHFFFAOYSA-N 0 1 297.384 0.366 20 30 CCEDMN C=CCOCCCn1nnnc1N1CCN(C)C(C)(C)C1 ZINC001262450222 809312521 /nfs/dbraw/zinc/31/25/21/809312521.db2.gz FRANSDWYVOHBLB-UHFFFAOYSA-N 0 1 294.403 0.796 20 30 CCEDMN C#CCNC(=O)CCNCC(=O)Nc1cccc(C#C)c1 ZINC001262562997 809344765 /nfs/dbraw/zinc/34/47/65/809344765.db2.gz RIPOIOOSBNSUJW-UHFFFAOYSA-N 0 1 283.331 0.336 20 30 CCEDMN C#C[C@H](NC[C@@H](O)C[C@]1(O)CCOC1)c1ccc(F)cc1 ZINC001262566802 809346086 /nfs/dbraw/zinc/34/60/86/809346086.db2.gz AMLIMOLGTDCRSD-HRCADAONSA-N 0 1 293.338 0.992 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCc1nccn1C ZINC001263805901 809574563 /nfs/dbraw/zinc/57/45/63/809574563.db2.gz YEBNVLNOJTZRPU-CQSZACIVSA-N 0 1 288.395 0.909 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](CC)NC(C)=O)[C@H]1CC ZINC001264064163 809627328 /nfs/dbraw/zinc/62/73/28/809627328.db2.gz YZQANKVOOVUKOJ-SOUVJXGZSA-N 0 1 293.411 0.894 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001264074872 809627983 /nfs/dbraw/zinc/62/79/83/809627983.db2.gz KPDAZUIPHQODOE-HNNXBMFYSA-N 0 1 274.364 0.318 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)CCC(F)F)C1 ZINC001264076673 809628341 /nfs/dbraw/zinc/62/83/41/809628341.db2.gz JKVJCCFLBDCQPM-GFCCVEGCSA-N 0 1 288.338 0.950 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCn2cccn2)[C@H]1C ZINC001264130065 809633918 /nfs/dbraw/zinc/63/39/18/809633918.db2.gz BVMIQWWGBQGJGB-OLZOCXBDSA-N 0 1 260.341 0.485 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@H]1CC12CC2 ZINC001265041360 809699031 /nfs/dbraw/zinc/69/90/31/809699031.db2.gz WLFDWVYIAFVHKF-CHWSQXEVSA-N 0 1 293.411 0.915 20 30 CCEDMN CCN(CC#N)CCCNC(=O)[C@@H](C)CN1CCOCC1 ZINC001265145999 809716043 /nfs/dbraw/zinc/71/60/43/809716043.db2.gz JEKXEDDUBLRJQL-AWEZNQCLSA-N 0 1 296.415 0.306 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)COC)C1 ZINC001265200920 809722506 /nfs/dbraw/zinc/72/25/06/809722506.db2.gz CNOLEARMCJUYIS-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@H]2CCC2(F)F)CC1 ZINC001265244708 809731244 /nfs/dbraw/zinc/73/12/44/809731244.db2.gz NGEDTGZDHJODNH-CYBMUJFWSA-N 0 1 299.365 0.789 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCC(=O)N2CCOCC2)C1 ZINC001265314759 809755745 /nfs/dbraw/zinc/75/57/45/809755745.db2.gz IGWFGUSDCLFONG-CYBMUJFWSA-N 0 1 295.383 0.002 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H]([C@H](C)NC(=O)CC(N)=O)C1 ZINC001265699484 809827360 /nfs/dbraw/zinc/82/73/60/809827360.db2.gz FWNZYPQQFLNCEV-WDEREUQCSA-N 0 1 287.791 0.831 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)[C@H](C)OCC#C)C1 ZINC001266217727 809966872 /nfs/dbraw/zinc/96/68/72/809966872.db2.gz GBAZQYBZUYIKGW-UONOGXRCSA-N 0 1 262.353 0.629 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)[C@@H](CCC)OC)C1 ZINC001279500405 809984366 /nfs/dbraw/zinc/98/43/66/809984366.db2.gz SGGKYUOSNAYRDT-GDBMZVCRSA-N 0 1 296.411 0.768 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC2CCCC2)C1 ZINC001076697874 815349653 /nfs/dbraw/zinc/34/96/53/815349653.db2.gz LTIAQKQIZBTMRK-ZIAGYGMSSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)Cc2cc(C)on2)C1 ZINC001076817069 815382511 /nfs/dbraw/zinc/38/25/11/815382511.db2.gz DCVZZNDMHLLLBA-CHWSQXEVSA-N 0 1 279.340 0.263 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ncoc2CC)C1 ZINC001076828117 815388043 /nfs/dbraw/zinc/38/80/43/815388043.db2.gz ONZRVMDJNNHBQA-GHMZBOCLSA-N 0 1 279.340 0.588 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCCN1[C@H]1CCCNC1=O ZINC001267268388 811069396 /nfs/dbraw/zinc/06/93/96/811069396.db2.gz YDVWKKNEPBIYLN-KBPBESRZSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCOCCN1CCC[C@@H]1CNC(=O)c1nonc1C ZINC001267283579 811095771 /nfs/dbraw/zinc/09/57/71/811095771.db2.gz NXQWLKRQYKSGNW-GFCCVEGCSA-N 0 1 294.355 0.775 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)[C@H](C)SC)C1 ZINC001267288372 811100674 /nfs/dbraw/zinc/10/06/74/811100674.db2.gz KOQXISVTWNTTON-NWDGAFQWSA-N 0 1 299.440 0.478 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)C(C)C)C1 ZINC001267289536 811104065 /nfs/dbraw/zinc/10/40/65/811104065.db2.gz RHRPDNDILOOBQU-STQMWFEESA-N 0 1 279.384 0.218 20 30 CCEDMN C[C@@H](C(=O)N(C)C)N1CC[C@@H](CNC(=O)C#CC2CC2)C1 ZINC001267293372 811109606 /nfs/dbraw/zinc/10/96/06/811109606.db2.gz RJRXZVXAGXUDBR-JSGCOSHPSA-N 0 1 291.395 0.315 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@H](C)CNc2ccncc2C#N)n[nH]1 ZINC001104486820 811130103 /nfs/dbraw/zinc/13/01/03/811130103.db2.gz MCPPRALHDJDFIB-LLVKDONJSA-N 0 1 298.350 0.979 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C)nn2)C1 ZINC001076981925 815426333 /nfs/dbraw/zinc/42/63/33/815426333.db2.gz WTBBLVZXMLXJPB-CHWSQXEVSA-N 0 1 276.340 0.136 20 30 CCEDMN CC#CCN(CCNC(=O)[C@@H]1CCCN1C(N)=O)C1CC1 ZINC001267338556 811173910 /nfs/dbraw/zinc/17/39/10/811173910.db2.gz ZMHYCSDHSYOTKR-ZDUSSCGKSA-N 0 1 292.383 0.133 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)Cn1cc(C)cn1 ZINC001267352572 811199359 /nfs/dbraw/zinc/19/93/59/811199359.db2.gz VWQOMQSMVAWYLT-UHFFFAOYSA-N 0 1 270.764 0.992 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](CNCc2ncccn2)C1 ZINC001023894448 811279919 /nfs/dbraw/zinc/27/99/19/811279919.db2.gz CAEORUMWCQMSLP-STQMWFEESA-N 0 1 287.367 0.964 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)C[C@@H]21 ZINC001042193375 811369386 /nfs/dbraw/zinc/36/93/86/811369386.db2.gz LXFDTGXHOXRBQJ-MRLBHPIUSA-N 0 1 274.364 0.435 20 30 CCEDMN CC1(C)[C@H](NC(=O)c2cnn[nH]2)[C@@H]2CCCN(CC#N)[C@@H]21 ZINC001087294666 811381018 /nfs/dbraw/zinc/38/10/18/811381018.db2.gz WSYRRMUIQNFMGW-WCQGTBRESA-N 0 1 288.355 0.547 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](NC(=O)c3cnn[nH]3)C2)nc1 ZINC001058599395 811425410 /nfs/dbraw/zinc/42/54/10/811425410.db2.gz PAVYSRSZTXWXSK-JTQLQIEISA-N 0 1 283.295 0.080 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@@H]2CCNC2=O)C1 ZINC001267561162 811448609 /nfs/dbraw/zinc/44/86/09/811448609.db2.gz MHGOTZGFFLNUOE-NEPJUHHUSA-N 0 1 299.802 0.703 20 30 CCEDMN CN1CC(C(=O)NCC[C@@H]2CCN(CC#N)C2)=NC1=O ZINC001267565577 811451106 /nfs/dbraw/zinc/45/11/06/811451106.db2.gz PNLWEIJNAQRJMH-SNVBAGLBSA-N 0 1 277.328 0.091 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CC2=CCOCC2)[C@H]1C ZINC001088837787 815448719 /nfs/dbraw/zinc/44/87/19/815448719.db2.gz ZJNPTPYDHUOGHA-OCCSQVGLSA-N 0 1 262.353 0.935 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccn2CC=C)C1 ZINC001077138337 815450200 /nfs/dbraw/zinc/45/02/00/815450200.db2.gz DOLJRCJXLUYOMZ-UKRRQHHQSA-N 0 1 287.363 0.472 20 30 CCEDMN CN1CC(C(=O)N2C[C@H]3CCC[C@@H](C2)N3CC#N)=NC1=O ZINC001039893925 811518391 /nfs/dbraw/zinc/51/83/91/811518391.db2.gz OZVMFOSTQQBGNC-PHIMTYICSA-N 0 1 289.339 0.328 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cn(C)nc2CC)C1 ZINC001077188436 815458521 /nfs/dbraw/zinc/45/85/21/815458521.db2.gz FDVCTAKPGWZPPQ-ZIAGYGMSSA-N 0 1 292.383 0.334 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cn(C)nc2CC)C1 ZINC001077188436 815458523 /nfs/dbraw/zinc/45/85/23/815458523.db2.gz FDVCTAKPGWZPPQ-ZIAGYGMSSA-N 0 1 292.383 0.334 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)CC(N)=O)C1 ZINC001267628799 811587192 /nfs/dbraw/zinc/58/71/92/811587192.db2.gz CQWMPSRCJVNKMJ-MNOVXSKESA-N 0 1 253.346 0.265 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CN(CCOC[C@H]2CCCO2)C1 ZINC001267678232 811643512 /nfs/dbraw/zinc/64/35/12/811643512.db2.gz CEUBTHCXCHNRMW-TZMCWYRMSA-N 0 1 295.383 0.390 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H](CC(C)C)NC(C)=O)C1 ZINC001267698216 811663256 /nfs/dbraw/zinc/66/32/56/811663256.db2.gz ZTMAXRQTVSJRFK-CQSZACIVSA-N 0 1 281.400 0.771 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)[C@H](C)CNc1ccncc1C#N ZINC001104752500 811683031 /nfs/dbraw/zinc/68/30/31/811683031.db2.gz PVCGSXQVESRQFR-SECBINFHSA-N 0 1 299.338 0.374 20 30 CCEDMN C#CC[NH2+]CC[C@@H]1CN(C(=O)Cc2nnc[n-]2)C[C@H]1C ZINC001104888796 811758976 /nfs/dbraw/zinc/75/89/76/811758976.db2.gz RDLPVNNVHDVJRB-VXGBXAGGSA-N 0 1 275.356 0.055 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1cnn[nH]1)Nc1ncccc1C#N ZINC001105304909 811901928 /nfs/dbraw/zinc/90/19/28/811901928.db2.gz JTLREXPNQPWOEU-NSHDSACASA-N 0 1 299.338 0.938 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)[C@H]3CC34CC4)C2)nn1 ZINC001105332882 811940129 /nfs/dbraw/zinc/94/01/29/811940129.db2.gz CTKWOURKVJXKFV-CQSZACIVSA-N 0 1 299.378 0.574 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cc[nH]n3)[C@@H]2C1 ZINC001075568110 812017625 /nfs/dbraw/zinc/01/76/25/812017625.db2.gz QJQZVTVIOAVPPM-WCQYABFASA-N 0 1 258.325 0.579 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cc[nH]n3)[C@@H]2C1 ZINC001075568110 812017636 /nfs/dbraw/zinc/01/76/36/812017636.db2.gz QJQZVTVIOAVPPM-WCQYABFASA-N 0 1 258.325 0.579 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC001075568110 812017645 /nfs/dbraw/zinc/01/76/45/812017645.db2.gz QJQZVTVIOAVPPM-WCQYABFASA-N 0 1 258.325 0.579 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC[C@H]2CCN2CCCO)c1 ZINC001038147508 812044300 /nfs/dbraw/zinc/04/43/00/812044300.db2.gz XJNDCCPQTNTXRA-LLVKDONJSA-N 0 1 262.313 0.073 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c(C)ncn1C ZINC001027952764 812161448 /nfs/dbraw/zinc/16/14/48/812161448.db2.gz VCCLHUVFTSKFGQ-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001027993748 812202460 /nfs/dbraw/zinc/20/24/60/812202460.db2.gz ZJYHKEXXRHJESZ-AWEZNQCLSA-N 0 1 287.363 0.603 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnc2nccn2c1 ZINC001028025777 812221386 /nfs/dbraw/zinc/22/13/86/812221386.db2.gz REWYKNXOFJBYAM-CQSZACIVSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc2nnnn2c1 ZINC001028027313 812222738 /nfs/dbraw/zinc/22/27/38/812222738.db2.gz WEJLNCPESKQRGG-ZDUSSCGKSA-N 0 1 298.350 0.342 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001028103437 812279850 /nfs/dbraw/zinc/27/98/50/812279850.db2.gz OJPNKLKWTONNGQ-RYUDHWBXSA-N 0 1 287.367 0.438 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001028103591 812279888 /nfs/dbraw/zinc/27/98/88/812279888.db2.gz RSEMDPHXMFRMBS-MNOVXSKESA-N 0 1 288.355 0.329 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001028133692 812302919 /nfs/dbraw/zinc/30/29/19/812302919.db2.gz XKCQCNYDQYVSFN-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc2nncn2c1 ZINC001028200242 812358142 /nfs/dbraw/zinc/35/81/42/812358142.db2.gz MOUOMPNSGDAUBM-AWEZNQCLSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)c2csnn2)C1 ZINC001268209356 812364030 /nfs/dbraw/zinc/36/40/30/812364030.db2.gz WQWRDATWWLLORI-NSHDSACASA-N 0 1 296.396 0.935 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)c2cnon2)C1 ZINC001268225913 812380366 /nfs/dbraw/zinc/38/03/66/812380366.db2.gz RNCVVUBCJATRGJ-NSHDSACASA-N 0 1 280.328 0.466 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCc1ccncn1 ZINC001268240465 812406506 /nfs/dbraw/zinc/40/65/06/812406506.db2.gz XUWDTZIJSWDCKE-UHFFFAOYSA-N 0 1 260.341 0.433 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccnc2c1nnn2C ZINC001268248322 812415545 /nfs/dbraw/zinc/41/55/45/812415545.db2.gz XSMICJCTTDFSHI-UHFFFAOYSA-N 0 1 286.339 0.000 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)C(C)(C)C(N)=O)C1 ZINC001268327573 812487494 /nfs/dbraw/zinc/48/74/94/812487494.db2.gz LGYCXNWSDXHFLX-SNVBAGLBSA-N 0 1 267.373 0.653 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC[C@H]1CCCN1CC#N ZINC001028264279 812530510 /nfs/dbraw/zinc/53/05/10/812530510.db2.gz CZRYZSRMJLQNPQ-GFCCVEGCSA-N 0 1 287.367 0.865 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001028272357 812538600 /nfs/dbraw/zinc/53/86/00/812538600.db2.gz CMIIKXVDAJQFKR-GJZGRUSLSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001028290871 812588499 /nfs/dbraw/zinc/58/84/99/812588499.db2.gz PPOYAPZKSLROCD-GJZGRUSLSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCC(=C)Br ZINC001126360364 812632913 /nfs/dbraw/zinc/63/29/13/812632913.db2.gz MHDRPTOMIZDUSS-LLVKDONJSA-N 0 1 291.189 0.928 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@H](C)CN2CCOCC2)C1 ZINC001268485344 812664868 /nfs/dbraw/zinc/66/48/68/812664868.db2.gz QPJMQOXZWZUDTD-CYBMUJFWSA-N 0 1 281.400 0.331 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN[C@H](C)c1cnc(C)cn1 ZINC001126365398 812676602 /nfs/dbraw/zinc/67/66/02/812676602.db2.gz JFGIQBVKLMDRJU-IUODEOHRSA-N 0 1 292.383 0.879 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H]2CCN(C(=O)c3nnn(C)c3C)[C@@H]2C1 ZINC001075640282 812693511 /nfs/dbraw/zinc/69/35/11/812693511.db2.gz DDHZIHMWQUDGHP-QWHCGFSZSA-N 0 1 289.383 0.846 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H]2CCN(CC#N)C2)c1C ZINC001028452011 812716896 /nfs/dbraw/zinc/71/68/96/812716896.db2.gz DBZCLSDJIRKDDI-LLVKDONJSA-N 0 1 261.329 0.602 20 30 CCEDMN Cc1ccc(C(=O)NC/C=C/CNCC(=O)NCC#N)o1 ZINC001268508586 812737620 /nfs/dbraw/zinc/73/76/20/812737620.db2.gz GUQYMAVHAPVPAU-NSCUHMNNSA-N 0 1 290.323 0.103 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cncs1 ZINC001126379188 812826278 /nfs/dbraw/zinc/82/62/78/812826278.db2.gz ZHAXZKRWQSLGQC-GFCCVEGCSA-N 0 1 269.370 0.676 20 30 CCEDMN C[C@@H](CNCc1cnns1)NC(=O)C#CC1CC1 ZINC001268686303 813009413 /nfs/dbraw/zinc/00/94/13/813009413.db2.gz XHMWMOUIEQDTFK-VIFPVBQESA-N 0 1 264.354 0.546 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1c(C)ncn1C ZINC001268737139 813051112 /nfs/dbraw/zinc/05/11/12/813051112.db2.gz VKBDTGYQMWTIAZ-GFCCVEGCSA-N 0 1 292.383 0.428 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccn(CCOC)n1 ZINC001268737693 813052217 /nfs/dbraw/zinc/05/22/17/813052217.db2.gz MIQCERVNRNABAO-ZDUSSCGKSA-N 0 1 292.383 0.603 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001268746941 813059667 /nfs/dbraw/zinc/05/96/67/813059667.db2.gz SMWHJXRWWFOEJN-MGPQQGTHSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cn(CC=C)nn1 ZINC001268752240 813063936 /nfs/dbraw/zinc/06/39/36/813063936.db2.gz XXTFVMWEEKBJRW-NSHDSACASA-N 0 1 261.329 0.147 20 30 CCEDMN CC#CC[N@@H+](C)C[C@H](C)NC(=O)CCc1cn[nH]c1 ZINC001268749612 813064474 /nfs/dbraw/zinc/06/44/74/813064474.db2.gz ACBTXVCYNULMAO-LBPRGKRZSA-N 0 1 262.357 0.802 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CCc1cn[nH]c1 ZINC001268749612 813064483 /nfs/dbraw/zinc/06/44/83/813064483.db2.gz ACBTXVCYNULMAO-LBPRGKRZSA-N 0 1 262.357 0.802 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](O)COC ZINC001268964945 813160070 /nfs/dbraw/zinc/16/00/70/813160070.db2.gz HRIPZBMLELRBOA-YXWQFLTLSA-N 0 1 296.411 0.881 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cnnc(C)c2)C1 ZINC001269122368 813227710 /nfs/dbraw/zinc/22/77/10/813227710.db2.gz XFMMNEQSAXDOOJ-CQSZACIVSA-N 0 1 290.367 0.792 20 30 CCEDMN C#CCN1CC[C@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001028684101 813306752 /nfs/dbraw/zinc/30/67/52/813306752.db2.gz VMYHVBHEOOSURR-GFCCVEGCSA-N 0 1 273.336 0.070 20 30 CCEDMN C=CCNC(=O)NC(C)(C)C(=O)NC[C@H]1CC[N@@H+]1C(C)C ZINC001269349877 813334583 /nfs/dbraw/zinc/33/45/83/813334583.db2.gz DSDLVTQBKORRHX-GFCCVEGCSA-N 0 1 296.415 0.849 20 30 CCEDMN C=CCNC(=O)NC(C)(C)C(=O)NC[C@H]1CCN1C(C)C ZINC001269349877 813334591 /nfs/dbraw/zinc/33/45/91/813334591.db2.gz DSDLVTQBKORRHX-GFCCVEGCSA-N 0 1 296.415 0.849 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)/C(C)=C/C)CC1 ZINC001269393987 813355238 /nfs/dbraw/zinc/35/52/38/813355238.db2.gz RBQPDVWDRAISDZ-VZUCSPMQSA-N 0 1 265.357 0.493 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)Cc3c[nH]cn3)C2)C1=O ZINC001269569316 813425882 /nfs/dbraw/zinc/42/58/82/813425882.db2.gz PGLQUDUROOHMED-OAHLLOKOSA-N 0 1 286.335 0.036 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001269725995 813481655 /nfs/dbraw/zinc/48/16/55/813481655.db2.gz RZPUTHXXLYZXOP-MRXNPFEDSA-N 0 1 291.395 0.590 20 30 CCEDMN C=CCCC(=O)N(C)C1CN(Cc2n[nH]c(C)n2)C1 ZINC001269764397 813497306 /nfs/dbraw/zinc/49/73/06/813497306.db2.gz VWJWHTIIWXGKFN-UHFFFAOYSA-N 0 1 263.345 0.722 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C3=CCOCC3)[C@@H]2C1 ZINC001075718875 813574901 /nfs/dbraw/zinc/57/49/01/813574901.db2.gz FFVNMWKOMHKGQK-UONOGXRCSA-N 0 1 260.337 0.499 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)C[C@H]2CCNC2=O)CC1 ZINC001270236977 813690297 /nfs/dbraw/zinc/69/02/97/813690297.db2.gz FGAXICFYSBRUIT-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)C1CCN(CC(N)=O)CC1 ZINC001279128825 813709185 /nfs/dbraw/zinc/70/91/85/813709185.db2.gz HEJHGLXQYIVBPU-LBPRGKRZSA-N 0 1 279.384 0.348 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2[C@@H]1CCCNC1=O ZINC001029245323 814013081 /nfs/dbraw/zinc/01/30/81/814013081.db2.gz UIGRIHWEIJKXIT-WUHRBBMRSA-N 0 1 290.367 0.100 20 30 CCEDMN N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCCO)[nH]1 ZINC001029275864 814034430 /nfs/dbraw/zinc/03/44/30/814034430.db2.gz RPLIIFNYXBDPCL-BETUJISGSA-N 0 1 288.351 0.558 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCc4c[nH]nc43)[C@@H]2C1 ZINC001075792107 814034666 /nfs/dbraw/zinc/03/46/66/814034666.db2.gz FSQXVFNKTUTVPV-BFHYXJOUSA-N 0 1 299.378 0.886 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cnn(C)c2)[C@H]1CC ZINC001087516973 814049272 /nfs/dbraw/zinc/04/92/72/814049272.db2.gz ZCBCMJSMIFTYKN-UONOGXRCSA-N 0 1 274.368 0.565 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nccnc2N)[C@H]1CC ZINC001087524549 814059761 /nfs/dbraw/zinc/05/97/61/814059761.db2.gz ZPJYHTHHOYSENB-WDEREUQCSA-N 0 1 275.356 0.828 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](C)CC(N)=O)C1 ZINC001270981847 814107702 /nfs/dbraw/zinc/10/77/02/814107702.db2.gz COFZBPQBKGZJSB-DOMZBBRYSA-N 0 1 279.384 0.349 20 30 CCEDMN C[C@@H](CNCC#N)N(C)C(=O)c1ccn(-c2ccncc2)n1 ZINC001271036217 814123933 /nfs/dbraw/zinc/12/39/33/814123933.db2.gz UAXUIZHESIXEDH-LBPRGKRZSA-N 0 1 298.350 0.841 20 30 CCEDMN N#CCNC1(CNC(=O)CCc2cnc[nH]2)CCOCC1 ZINC001271044750 814128296 /nfs/dbraw/zinc/12/82/96/814128296.db2.gz VYISVODVTLZNAL-UHFFFAOYSA-N 0 1 291.355 0.121 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2COC(=O)N2)[C@H]1CC ZINC001087560948 814160855 /nfs/dbraw/zinc/16/08/55/814160855.db2.gz WBIVSKVEOBSBJM-SDDRHHMPSA-N 0 1 279.340 0.087 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cn(C)nn2)[C@H]1CC ZINC001087563447 814163307 /nfs/dbraw/zinc/16/33/07/814163307.db2.gz XBQFLOCPATYYDH-WCQYABFASA-N 0 1 275.356 0.421 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCCC(=O)N2)[C@H]1CC ZINC001087650381 814181418 /nfs/dbraw/zinc/18/14/18/814181418.db2.gz LEONDSJHXFUKJX-MELADBBJSA-N 0 1 291.395 0.648 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2cnn(CC)c2)C1 ZINC001271130123 814185409 /nfs/dbraw/zinc/18/54/09/814185409.db2.gz BLFMKWKCZLMPBY-OAHLLOKOSA-N 0 1 290.367 0.093 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CN(C)C(=O)N2)[C@H]1CC ZINC001087686149 814187935 /nfs/dbraw/zinc/18/79/35/814187935.db2.gz QJOYPTGZZUPHKW-YNEHKIRRSA-N 0 1 292.383 0.002 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCN(C)C2=O)[C@H]1CC ZINC001087692661 814190130 /nfs/dbraw/zinc/19/01/30/814190130.db2.gz ZQPQJBWVMRTBKC-RWMBFGLXSA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2nonc2C)[C@H]1CC ZINC001087779947 814211819 /nfs/dbraw/zinc/21/18/19/814211819.db2.gz HAOGOMBAJOYNBO-GXTWGEPZSA-N 0 1 290.367 0.913 20 30 CCEDMN C[C@@H](F)CCN1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)[C@@H](O)C1 ZINC001083417829 814224526 /nfs/dbraw/zinc/22/45/26/814224526.db2.gz FPXPFZMIMRQFDY-WQAKAFBOSA-N 0 1 294.330 0.409 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)[C@H]1CC ZINC001087841619 814232826 /nfs/dbraw/zinc/23/28/26/814232826.db2.gz GXCLCAMMBMLQDB-MELADBBJSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nonc2C)[C@H]1CC ZINC001087848882 814235575 /nfs/dbraw/zinc/23/55/75/814235575.db2.gz TYQDRDIEHRZMLD-NWDGAFQWSA-N 0 1 276.340 0.984 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@]1(O)CCN([C@H](CC)C(N)=O)C1 ZINC001271175467 814315496 /nfs/dbraw/zinc/31/54/96/814315496.db2.gz PCKITXKRNQNXPM-IAQYHMDHSA-N 0 1 297.399 0.016 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C[C@@H]2CC=CCC2)C1 ZINC001271176506 814317032 /nfs/dbraw/zinc/31/70/32/814317032.db2.gz TWASITWEBZFVJS-GDBMZVCRSA-N 0 1 276.380 0.919 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnn4c3CCC4)[C@@H]2C1 ZINC001075861089 814571942 /nfs/dbraw/zinc/57/19/42/814571942.db2.gz JPBJSTJRRMOHAY-SWLSCSKDSA-N 0 1 284.363 0.609 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CC(=O)NCC)C[C@@H]2C1 ZINC001271846699 814608203 /nfs/dbraw/zinc/60/82/03/814608203.db2.gz ZSNCEGXPFFAAIF-TXEJJXNPSA-N 0 1 299.802 0.655 20 30 CCEDMN CSC[C@@H](C)C(=O)NCCN1CCC(NCC#N)CC1 ZINC001271904454 814626241 /nfs/dbraw/zinc/62/62/41/814626241.db2.gz BCDIGIZOSREIGS-GFCCVEGCSA-N 0 1 298.456 0.679 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001271951511 814648549 /nfs/dbraw/zinc/64/85/49/814648549.db2.gz WSDGYSBQIRPKCO-HNNXBMFYSA-N 0 1 288.351 0.629 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)COC(C)(C)C)C1 ZINC001271956732 814650776 /nfs/dbraw/zinc/65/07/76/814650776.db2.gz FODRADHLMCQOHU-MRXNPFEDSA-N 0 1 296.411 0.768 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ncccc1NC(C)=O ZINC001272033586 814765354 /nfs/dbraw/zinc/76/53/54/814765354.db2.gz QXGIJCZRYTWRBM-UHFFFAOYSA-N 0 1 288.351 0.677 20 30 CCEDMN C[C@H](CNC(=O)c1[nH]ncc1F)Nc1ccncc1C#N ZINC001098238272 814846452 /nfs/dbraw/zinc/84/64/52/814846452.db2.gz SXHKSDBCIHXNEG-MRVPVSSYSA-N 0 1 288.286 0.468 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C2CCC(O)CC2)[C@H]1C ZINC001088542792 814863167 /nfs/dbraw/zinc/86/31/67/814863167.db2.gz VWHHTMSRFAYIKJ-RYSNWHEDSA-N 0 1 264.369 0.750 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(CC)n2)[C@H]1C ZINC001088555062 814896103 /nfs/dbraw/zinc/89/61/03/814896103.db2.gz RGRUZDZJEJYLPC-MNOVXSKESA-N 0 1 263.345 0.677 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1conc1C ZINC001127046463 815600479 /nfs/dbraw/zinc/60/04/79/815600479.db2.gz RUAHWPLZOOFKQX-LLVKDONJSA-N 0 1 265.313 0.227 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1conc1CC ZINC001127046620 815600914 /nfs/dbraw/zinc/60/09/14/815600914.db2.gz TVQYVRMBUXHZQD-NSHDSACASA-N 0 1 279.340 0.481 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(C3CC3)CCC2)C1 ZINC001077460271 815977619 /nfs/dbraw/zinc/97/76/19/815977619.db2.gz WHDCGQCSMQABSA-ZIAGYGMSSA-N 0 1 276.380 0.751 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@H]1C ZINC001088863068 816101072 /nfs/dbraw/zinc/10/10/72/816101072.db2.gz IZHWJNRWMKCGJJ-ZJUUUORDSA-N 0 1 276.340 0.492 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)[C@H]1C ZINC001088992251 816207974 /nfs/dbraw/zinc/20/79/74/816207974.db2.gz SPJGYYVSZNHEIT-XBFCOCLRSA-N 0 1 277.368 0.210 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)[C@H]1C ZINC001089006824 816211304 /nfs/dbraw/zinc/21/13/04/816211304.db2.gz ZXCICBGUBOBVGR-BNOWGMLFSA-N 0 1 277.368 0.067 20 30 CCEDMN N#Cc1ccc(C[N@@H+]2CC[C@H](CO)[C@@H](O)C2)c([O-])c1 ZINC001233383386 816314466 /nfs/dbraw/zinc/31/44/66/816314466.db2.gz FZNZEJYUWSCBRE-OCCSQVGLSA-N 0 1 262.309 0.439 20 30 CCEDMN C#CC(C)(C)C(=O)N1CC2(C1)CCN(CC(=O)N(C)C)C2 ZINC001272343807 816346016 /nfs/dbraw/zinc/34/60/16/816346016.db2.gz PMAQTPHBXVHJFJ-UHFFFAOYSA-N 0 1 291.395 0.268 20 30 CCEDMN C#CCCN1CC(NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001030860788 816359003 /nfs/dbraw/zinc/35/90/03/816359003.db2.gz AYFPMBYAQOPULT-NSHDSACASA-N 0 1 273.340 0.048 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@H](C)C1CC1 ZINC001272388976 816381573 /nfs/dbraw/zinc/38/15/73/816381573.db2.gz JNUKWABSRULKMM-KOLCDFICSA-N 0 1 260.765 0.852 20 30 CCEDMN CC(C)CN1CC2(C1)COCC(=O)N2CCCC#N ZINC001272412345 816401601 /nfs/dbraw/zinc/40/16/01/816401601.db2.gz ANYXQKGTIPHHJY-UHFFFAOYSA-N 0 1 265.357 0.859 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc(N(C)C)cn1 ZINC001127280113 816600320 /nfs/dbraw/zinc/60/03/20/816600320.db2.gz XFAGOPULCURENG-UHFFFAOYSA-N 0 1 283.763 0.615 20 30 CCEDMN C[C@@H](CCNc1cnc(C#N)cn1)NC(=O)c1ncn[nH]1 ZINC001106413269 816763580 /nfs/dbraw/zinc/76/35/80/816763580.db2.gz ZQHKNCSVZOEBOA-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CCNc1cnc(C#N)cn1)NC(=O)c1nc[nH]n1 ZINC001106413269 816763583 /nfs/dbraw/zinc/76/35/83/816763583.db2.gz ZQHKNCSVZOEBOA-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN CCN(CCNc1cncc(C#N)n1)C(=O)c1cnc(C)[nH]1 ZINC001106706125 816859197 /nfs/dbraw/zinc/85/91/97/816859197.db2.gz UIAPYAQUPXQPQY-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN CC#CCN1CC(NC(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC001031238350 816873443 /nfs/dbraw/zinc/87/34/43/816873443.db2.gz RANYAMOBMUBYHE-UHFFFAOYSA-N 0 1 298.350 0.248 20 30 CCEDMN C=CCCC(=O)NCCCN(C)[C@@H](C)C(=O)NC ZINC001235451845 816878511 /nfs/dbraw/zinc/87/85/11/816878511.db2.gz QXOSLRUWEXXQGP-NSHDSACASA-N 0 1 255.362 0.525 20 30 CCEDMN CC(=O)N(C)CC(=O)NCCNCc1ccccc1C#N ZINC001123811100 817085184 /nfs/dbraw/zinc/08/51/84/817085184.db2.gz WHVJYSXRQZRELX-UHFFFAOYSA-N 0 1 288.351 0.242 20 30 CCEDMN CCNCc1cn([C@H]2CCN(C(=O)[C@H](C)C#N)C2)nn1 ZINC001089581276 817209803 /nfs/dbraw/zinc/20/98/03/817209803.db2.gz ZXKHWWQTLKYHPT-PWSUYJOCSA-N 0 1 276.344 0.321 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn(C(C)(C)C)nn2)C1 ZINC001031718341 817283892 /nfs/dbraw/zinc/28/38/92/817283892.db2.gz DCFWEMNZCBEQNH-UHFFFAOYSA-N 0 1 277.372 0.881 20 30 CCEDMN CC1(C)CC[C@@H](CNC(=O)c2ncn[nH]2)N(CC#N)C1 ZINC001089710073 817327166 /nfs/dbraw/zinc/32/71/66/817327166.db2.gz AJYMQGJWNNLYFY-JTQLQIEISA-N 0 1 276.344 0.549 20 30 CCEDMN CC1(C)CC[C@@H](CNC(=O)c2nc[nH]n2)N(CC#N)C1 ZINC001089710073 817327172 /nfs/dbraw/zinc/32/71/72/817327172.db2.gz AJYMQGJWNNLYFY-JTQLQIEISA-N 0 1 276.344 0.549 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2onc(C)c2C)C1 ZINC001031756602 817342366 /nfs/dbraw/zinc/34/23/66/817342366.db2.gz MHIVIYKKMKXQTD-UHFFFAOYSA-N 0 1 261.325 0.976 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn(CCF)c2)C1 ZINC001031800322 817374109 /nfs/dbraw/zinc/37/41/09/817374109.db2.gz LJYXCEGFWJFXMS-UHFFFAOYSA-N 0 1 278.331 0.538 20 30 CCEDMN C=CCN1CC(CNC(=O)c2snnc2CC)C1 ZINC001031823144 817396459 /nfs/dbraw/zinc/39/64/59/817396459.db2.gz PPGUTXHEJABEIN-UHFFFAOYSA-N 0 1 266.370 0.948 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(C(N)=O)o2)C1 ZINC001031831555 817401488 /nfs/dbraw/zinc/40/14/88/817401488.db2.gz NFCIWCMNHMKJIB-UHFFFAOYSA-N 0 1 263.297 0.226 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn3cccnc23)C1 ZINC001031892670 817446473 /nfs/dbraw/zinc/44/64/73/817446473.db2.gz NTYRGGYBQRXIHK-UHFFFAOYSA-N 0 1 271.324 0.577 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCOC[C@@H]2C)C1 ZINC001031958023 817494916 /nfs/dbraw/zinc/49/49/16/817494916.db2.gz LPXKILZFGYEYSI-AAEUAGOBSA-N 0 1 252.358 0.893 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccnc3c2ncn3C)C1 ZINC001031969953 817507411 /nfs/dbraw/zinc/50/74/11/817507411.db2.gz PIOJPOCEUARPRE-UHFFFAOYSA-N 0 1 297.362 0.653 20 30 CCEDMN CCN(CCNc1cnc(C#N)cn1)C(=O)Cc1c[nH]cn1 ZINC001106960975 817512577 /nfs/dbraw/zinc/51/25/77/817512577.db2.gz DDCQPYPHLHFHKX-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cc(C)no1 ZINC001124765217 817566052 /nfs/dbraw/zinc/56/60/52/817566052.db2.gz OZDYWLUOVHZXOI-UHFFFAOYSA-N 0 1 253.302 0.391 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc3cccnn32)C1 ZINC001032034263 817568782 /nfs/dbraw/zinc/56/87/82/817568782.db2.gz UXWPMRWVVOGVAH-UHFFFAOYSA-N 0 1 271.324 0.577 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2nc3ncccn3n2)C1 ZINC001032062550 817593783 /nfs/dbraw/zinc/59/37/83/817593783.db2.gz WDBCRMJYNPGWBZ-UHFFFAOYSA-N 0 1 286.339 0.362 20 30 CCEDMN Cc1noc(C)c1CNCCNC(=O)[C@H](C)C#N ZINC001124888902 817614552 /nfs/dbraw/zinc/61/45/52/817614552.db2.gz MWMVTEHLHMUSPZ-MRVPVSSYSA-N 0 1 250.302 0.657 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001032100985 817633369 /nfs/dbraw/zinc/63/33/69/817633369.db2.gz YDEWQVIHDLWWLF-UHFFFAOYSA-N 0 1 273.336 0.368 20 30 CCEDMN C#CCN1CC(CNC(=O)c2cnccc2N(C)C)C1 ZINC001032132291 817658943 /nfs/dbraw/zinc/65/89/43/817658943.db2.gz SFZKFZQCZNZIHL-UHFFFAOYSA-N 0 1 272.352 0.442 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn3cc[nH]c23)C1 ZINC001032149134 817665250 /nfs/dbraw/zinc/66/52/50/817665250.db2.gz UDMMSTMMWVAGAC-UHFFFAOYSA-N 0 1 259.313 0.510 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2c(C)nn3cccnc23)C1 ZINC001032193633 817689003 /nfs/dbraw/zinc/68/90/03/817689003.db2.gz BIQYZKTXOAZCAP-UHFFFAOYSA-N 0 1 297.362 0.723 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(N(C)C)ccn2)C1 ZINC001032221781 817725748 /nfs/dbraw/zinc/72/57/48/817725748.db2.gz VYKUVKBFIUMRAU-UHFFFAOYSA-N 0 1 286.379 0.833 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c(OC)ncnc2OC)C1 ZINC001032226454 817730379 /nfs/dbraw/zinc/73/03/79/817730379.db2.gz KNLQUCJKBQZSSF-UHFFFAOYSA-N 0 1 292.339 0.341 20 30 CCEDMN C=CCCCN1CC(n2cc(CNC(=O)COC)nn2)C1 ZINC001107109093 817751080 /nfs/dbraw/zinc/75/10/80/817751080.db2.gz NPTLUAZDUUJOSN-UHFFFAOYSA-N 0 1 293.371 0.364 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(C[C@@H](C)OC)C[C@H]2O)CC1 ZINC001077622698 817882957 /nfs/dbraw/zinc/88/29/57/817882957.db2.gz XKXYNTFGYVLOSD-BPLDGKMQSA-N 0 1 296.411 0.929 20 30 CCEDMN C[C@H](CNc1ccncc1C#N)NC(=O)[C@@H]1CCC[N@H+]1C ZINC001107645197 817912553 /nfs/dbraw/zinc/91/25/53/817912553.db2.gz QHHBHUTYKYDWBC-RISCZKNCSA-N 0 1 287.367 0.386 20 30 CCEDMN C[C@H](CNc1ccncc1C#N)NC(=O)[C@@H]1CCCN1C ZINC001107645197 817912556 /nfs/dbraw/zinc/91/25/56/817912556.db2.gz QHHBHUTYKYDWBC-RISCZKNCSA-N 0 1 287.367 0.386 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H](C)CNc2ccnc(C#N)n2)c1C ZINC001107663449 817923756 /nfs/dbraw/zinc/92/37/56/817923756.db2.gz DNZYEUWMHBCXBW-MRVPVSSYSA-N 0 1 299.338 0.341 20 30 CCEDMN Cc1cc(CC(=O)N[C@H](C)CNc2ccc(C#N)nn2)[nH]n1 ZINC001107670786 817928642 /nfs/dbraw/zinc/92/86/42/817928642.db2.gz BRIPJNXUFHBOMH-SNVBAGLBSA-N 0 1 299.338 0.539 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)NC(=O)CCc1nc[nH]n1 ZINC001107671263 817928831 /nfs/dbraw/zinc/92/88/31/817928831.db2.gz PFRJALGTAPUZHW-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)C2=COCCO2)C1 ZINC001107715379 817960500 /nfs/dbraw/zinc/96/05/00/817960500.db2.gz OEJIIHUHZGPIQC-OAHLLOKOSA-N 0 1 296.367 0.658 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2cnsn2)C1 ZINC001107771469 817996570 /nfs/dbraw/zinc/99/65/70/817996570.db2.gz PLCSNMGUXDSMSY-CYBMUJFWSA-N 0 1 296.396 0.935 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@@H]2CCOC2)C1 ZINC001107793004 818010693 /nfs/dbraw/zinc/01/06/93/818010693.db2.gz NDBCVNJYUOUYFP-HIFRSBDPSA-N 0 1 282.384 0.806 20 30 CCEDMN C=C(Cl)CN1CCO[C@](C)(CNC(=O)c2cnn[nH]2)C1 ZINC001108043227 818098976 /nfs/dbraw/zinc/09/89/76/818098976.db2.gz GJKANCPFBAWIMV-GFCCVEGCSA-N 0 1 299.762 0.378 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2c([N+](=O)[O-])cnn2C)CC1 ZINC001128321682 818183929 /nfs/dbraw/zinc/18/39/29/818183929.db2.gz PZAXAZKFRITWSZ-UHFFFAOYSA-N 0 1 291.311 0.612 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2cncn2C)C1 ZINC001108053900 818195547 /nfs/dbraw/zinc/19/55/47/818195547.db2.gz VYGPJFQKXKQBIN-HNNXBMFYSA-N 0 1 292.383 0.817 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cn2cc(C)cn2)C1 ZINC001032939286 818371223 /nfs/dbraw/zinc/37/12/23/818371223.db2.gz WTBOWLUHUAUSPS-ZDUSSCGKSA-N 0 1 262.357 0.910 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccco2)[C@@H](O)C1 ZINC001099653268 818451072 /nfs/dbraw/zinc/45/10/72/818451072.db2.gz DAFTUBVCPIYQOC-KGLIPLIRSA-N 0 1 278.352 0.950 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033038172 818453069 /nfs/dbraw/zinc/45/30/69/818453069.db2.gz OARBARGUYBGIQY-CQSZACIVSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2ccnn2C)C1 ZINC001033046518 818460373 /nfs/dbraw/zinc/46/03/73/818460373.db2.gz KGEYACKBOMHONT-ZDUSSCGKSA-N 0 1 260.341 0.129 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(OC)ncn2)C1 ZINC001033081495 818497032 /nfs/dbraw/zinc/49/70/32/818497032.db2.gz NHZJSCQFBDARGM-NSHDSACASA-N 0 1 276.340 0.818 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H](C)c2ccnn2C)C1 ZINC001033089808 818504846 /nfs/dbraw/zinc/50/48/46/818504846.db2.gz DMIZYBIHCWLJCR-OLZOCXBDSA-N 0 1 274.368 0.690 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001033206160 818649045 /nfs/dbraw/zinc/64/90/45/818649045.db2.gz GPHXQGVYEXLBLL-KGLIPLIRSA-N 0 1 286.379 0.611 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CN(C(C)C)C[C@@H]2O)nn1 ZINC001083848872 818651855 /nfs/dbraw/zinc/65/18/55/818651855.db2.gz CGUQGUSDOQPQMH-YPMHNXCESA-N 0 1 293.371 0.038 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)nn2C)[C@H](O)C1 ZINC001090121542 818659484 /nfs/dbraw/zinc/65/94/84/818659484.db2.gz ZGTNWGNYINDCNS-DGCLKSJQSA-N 0 1 278.356 0.080 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2cn(C)ccc2=O)C1 ZINC001033253422 818678313 /nfs/dbraw/zinc/67/83/13/818678313.db2.gz ORZBVZISDIOFKQ-CYBMUJFWSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2ncccc2F)C1 ZINC001033360054 818741290 /nfs/dbraw/zinc/74/12/90/818741290.db2.gz GAXXUKJHEQJXCJ-GFCCVEGCSA-N 0 1 275.327 0.929 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)[C@@H]2CCN(CCO)C2)c1 ZINC001033405766 818767069 /nfs/dbraw/zinc/76/70/69/818767069.db2.gz PLKLMVFZTFNDHJ-CQSZACIVSA-N 0 1 273.336 0.202 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@]2(C)CCNC2=O)C1 ZINC001033423845 818770771 /nfs/dbraw/zinc/77/07/71/818770771.db2.gz YFDIYMYZDPOURM-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cncc(OC)n2)C1 ZINC001033475453 818796358 /nfs/dbraw/zinc/79/63/58/818796358.db2.gz PLDIBOKGEAOYSJ-LLVKDONJSA-N 0 1 276.340 0.818 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ccc3n[nH]c(=O)n3c2)C1 ZINC001033495738 818803254 /nfs/dbraw/zinc/80/32/54/818803254.db2.gz TVILEGNRDFYQSJ-GFCCVEGCSA-N 0 1 299.334 0.214 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001033565181 818827525 /nfs/dbraw/zinc/82/75/25/818827525.db2.gz CVVTZJNGHKNNJO-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2nonc2C)C1 ZINC001033543768 818827712 /nfs/dbraw/zinc/82/77/12/818827712.db2.gz ISTBUYUWJOWYIX-LLVKDONJSA-N 0 1 262.313 0.086 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001033612032 818850363 /nfs/dbraw/zinc/85/03/63/818850363.db2.gz BVTHIDNVJSZRQA-GJZGRUSLSA-N 0 1 283.375 0.962 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnn(CCOC)c2)C1 ZINC001033623788 818857389 /nfs/dbraw/zinc/85/73/89/818857389.db2.gz HQTWCUQWSKMEHS-AWEZNQCLSA-N 0 1 292.383 0.862 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)c2cn(C)c(=O)n2C)C1 ZINC001033691959 818890387 /nfs/dbraw/zinc/89/03/87/818890387.db2.gz DRILWBRYMPXSNA-NSHDSACASA-N 0 1 278.356 0.056 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cn(C)c(=O)n2C)C1 ZINC001033691959 818890394 /nfs/dbraw/zinc/89/03/94/818890394.db2.gz DRILWBRYMPXSNA-NSHDSACASA-N 0 1 278.356 0.056 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cn2ccnc2)C1 ZINC001033704037 818892464 /nfs/dbraw/zinc/89/24/64/818892464.db2.gz NIOIIVSZHCKLAW-CYBMUJFWSA-N 0 1 260.341 0.439 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CC23CCOCC3)C1 ZINC001033719361 818904004 /nfs/dbraw/zinc/90/40/04/818904004.db2.gz NRBUMOFOGUDINQ-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033789689 818935107 /nfs/dbraw/zinc/93/51/07/818935107.db2.gz RKIQVMNTUPBDCF-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cn(C)nn2)C1 ZINC001033813028 818952395 /nfs/dbraw/zinc/95/23/95/818952395.db2.gz PVCXHIDQSAJPCG-LLVKDONJSA-N 0 1 263.345 0.538 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033861866 818970495 /nfs/dbraw/zinc/97/04/95/818970495.db2.gz QXYWFNGGJJYJMB-IHRRRGAJSA-N 0 1 282.384 0.899 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033862551 818972783 /nfs/dbraw/zinc/97/27/83/818972783.db2.gz HBNSXVRYKVXSNO-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033879869 818977123 /nfs/dbraw/zinc/97/71/23/818977123.db2.gz HMWFEBQMZBYDRO-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2CCOCC2)[C@H](O)C1 ZINC001090151759 818987622 /nfs/dbraw/zinc/98/76/22/818987622.db2.gz UGLOGSHEHGLHGF-HUUCEWRRSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033958214 819012032 /nfs/dbraw/zinc/01/20/32/819012032.db2.gz UAILGINDWNFNCJ-HZSPNIEDSA-N 0 1 293.411 0.997 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C=C2CCC2)[C@H](O)C1 ZINC001090182894 819054189 /nfs/dbraw/zinc/05/41/89/819054189.db2.gz QZBQMXFZRUPRJR-CHWSQXEVSA-N 0 1 250.342 0.834 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCOC2)C1 ZINC001034124731 819073452 /nfs/dbraw/zinc/07/34/52/819073452.db2.gz WOJIVGGLWCMFNG-STQMWFEESA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)CN2CCCC2=O)C1 ZINC001034100562 819073735 /nfs/dbraw/zinc/07/37/35/819073735.db2.gz QMQZEFFHQQHXAA-ZDUSSCGKSA-N 0 1 277.368 0.213 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc(C)nn2C)C1 ZINC001034121760 819084285 /nfs/dbraw/zinc/08/42/85/819084285.db2.gz FCDZEADCNZJIPO-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H](C)CC(N)=O)C1 ZINC001108117893 819087964 /nfs/dbraw/zinc/08/79/64/819087964.db2.gz OILPSWYBTDXUHN-IUODEOHRSA-N 0 1 297.399 0.281 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001034159168 819095154 /nfs/dbraw/zinc/09/51/54/819095154.db2.gz KBIDNOPUMBTWDC-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC001034181345 819116724 /nfs/dbraw/zinc/11/67/24/819116724.db2.gz QWOCUJNUHHJYHC-AWEZNQCLSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC001034197927 819121553 /nfs/dbraw/zinc/12/15/53/819121553.db2.gz VWHMBWXABUIEQY-ZDUSSCGKSA-N 0 1 290.367 0.915 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001034245161 819138002 /nfs/dbraw/zinc/13/80/02/819138002.db2.gz NRWDTGPKMWDHKQ-GFCCVEGCSA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)C[C@H]2CCNC2=O)C1 ZINC001034244693 819138992 /nfs/dbraw/zinc/13/89/92/819138992.db2.gz QUHMHBUGXSTWQW-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cncn2C)C1 ZINC001034294701 819156814 /nfs/dbraw/zinc/15/68/14/819156814.db2.gz CFFOYNHRWRVWHF-GFCCVEGCSA-N 0 1 260.341 0.638 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OC)no2)[C@H](O)C1 ZINC001090207008 819164486 /nfs/dbraw/zinc/16/44/86/819164486.db2.gz SFIGSKPYKCDSPJ-VHSXEESVSA-N 0 1 281.312 0.034 20 30 CCEDMN C=C(C)C[N@H+]1CCCC[C@@H](NC(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001034398296 819192872 /nfs/dbraw/zinc/19/28/72/819192872.db2.gz HAJUQHNVQZZPBO-UPJWGTAASA-N 0 1 279.384 0.655 20 30 CCEDMN C=CCC[N@H+]1CC[C@@H](NC(=O)c2ncccc2O)[C@H](O)C1 ZINC001090213575 819193819 /nfs/dbraw/zinc/19/38/19/819193819.db2.gz MSFPOKUKDJPJAP-DGCLKSJQSA-N 0 1 291.351 0.528 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090213575 819193822 /nfs/dbraw/zinc/19/38/22/819193822.db2.gz MSFPOKUKDJPJAP-DGCLKSJQSA-N 0 1 291.351 0.528 20 30 CCEDMN C=CCC[N@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090213575 819193827 /nfs/dbraw/zinc/19/38/27/819193827.db2.gz MSFPOKUKDJPJAP-DGCLKSJQSA-N 0 1 291.351 0.528 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2nccn3ccnc23)C1 ZINC001034402823 819196569 /nfs/dbraw/zinc/19/65/69/819196569.db2.gz VAASZKQEWSSCEN-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001034404777 819197720 /nfs/dbraw/zinc/19/77/20/819197720.db2.gz LXNWICCCYJKRMU-HZSPNIEDSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC001034519364 819232295 /nfs/dbraw/zinc/23/22/95/819232295.db2.gz ITBCPEGBMDUODS-CQSZACIVSA-N 0 1 287.363 0.452 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CN(CC)CCO2)C1 ZINC001034543375 819239613 /nfs/dbraw/zinc/23/96/13/819239613.db2.gz WYIXHHQDILEQJI-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001034553797 819243436 /nfs/dbraw/zinc/24/34/36/819243436.db2.gz KWSMSKYJMZVJIT-OLZOCXBDSA-N 0 1 292.383 0.133 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)sn2)[C@H](O)C1 ZINC001090227655 819278537 /nfs/dbraw/zinc/27/85/37/819278537.db2.gz MXCGJLMYVRKVRW-ZYHUDNBSSA-N 0 1 281.381 0.803 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2[nH]ccc2C)[C@@H](O)C1 ZINC001090234526 819283693 /nfs/dbraw/zinc/28/36/93/819283693.db2.gz PETDPTSKSOKORU-RYUDHWBXSA-N 0 1 263.341 0.674 20 30 CCEDMN C=CCN1CCO[C@@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001035402152 819508351 /nfs/dbraw/zinc/50/83/51/819508351.db2.gz JAIWLAIWLGETBR-YNEHKIRRSA-N 0 1 290.367 0.516 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CN(CC2CC2)CCO1 ZINC001035516350 819545759 /nfs/dbraw/zinc/54/57/59/819545759.db2.gz QJXHNHSUTFUXKI-ZNMIVQPWSA-N 0 1 294.395 0.805 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2ccnnc2)C1 ZINC001035534479 819559786 /nfs/dbraw/zinc/55/97/86/819559786.db2.gz VBTCSKHZNSYLCK-CQSZACIVSA-N 0 1 290.367 0.873 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cc(OC)no1)CC2 ZINC001035690604 819604348 /nfs/dbraw/zinc/60/43/48/819604348.db2.gz VANBJHOKBYJYAT-UHFFFAOYSA-N 0 1 289.335 0.854 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCOC1)CC2 ZINC001035665241 819605654 /nfs/dbraw/zinc/60/56/54/819605654.db2.gz BAMPBRAXISOANN-CQSZACIVSA-N 0 1 276.380 0.971 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCC(=O)N1)CC2 ZINC001035671304 819608395 /nfs/dbraw/zinc/60/83/95/819608395.db2.gz XRWMVKBOBXDPBD-GFCCVEGCSA-N 0 1 277.368 0.375 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CCC(=O)NC1)CC2 ZINC001035741426 819617909 /nfs/dbraw/zinc/61/79/09/819617909.db2.gz NFNGFNANXOMZSP-ZDUSSCGKSA-N 0 1 289.379 0.070 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1ccn(C)n1)CC2 ZINC001035850825 819632102 /nfs/dbraw/zinc/63/21/02/819632102.db2.gz PSHBRQXXJNCVES-UHFFFAOYSA-N 0 1 286.379 0.981 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)Cc1nnc[nH]1)CC2 ZINC001035837122 819633843 /nfs/dbraw/zinc/63/38/43/819633843.db2.gz HKEHVHXQRXRIQS-UHFFFAOYSA-N 0 1 287.367 0.295 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]3[C@@H](CCN3CC#N)C2)n[nH]1 ZINC001036659407 819876492 /nfs/dbraw/zinc/87/64/92/819876492.db2.gz YTFGLPFQJKLKCL-AAEUAGOBSA-N 0 1 273.340 0.778 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nn(C)cc2C)[C@@H](O)C1 ZINC001090263912 819988007 /nfs/dbraw/zinc/98/80/07/819988007.db2.gz YZBHKKMCVZDDSB-NEPJUHHUSA-N 0 1 278.356 0.080 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CNC(=O)[C@H]2CCCN2C)CCC1 ZINC001062711791 820039768 /nfs/dbraw/zinc/03/97/68/820039768.db2.gz GGSMUHKYKULFJL-VXGBXAGGSA-N 0 1 292.383 0.395 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@@H]1CCN(c2ccc(C#N)nn2)C1 ZINC001062889421 820120519 /nfs/dbraw/zinc/12/05/19/820120519.db2.gz BGMNBHFCDFHAGD-LLVKDONJSA-N 0 1 297.322 0.422 20 30 CCEDMN C=CCCC(=O)NC1(CNC(=O)c2ncn[nH]2)CCC1 ZINC001064000147 820175508 /nfs/dbraw/zinc/17/55/08/820175508.db2.gz TYKNYQXFQVMRFH-UHFFFAOYSA-N 0 1 277.328 0.540 20 30 CCEDMN C=CCCC(=O)NC1(CNC(=O)c2nc[nH]n2)CCC1 ZINC001064000147 820175514 /nfs/dbraw/zinc/17/55/14/820175514.db2.gz TYKNYQXFQVMRFH-UHFFFAOYSA-N 0 1 277.328 0.540 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cncs2)[C@@H](O)C1 ZINC001090342048 820282882 /nfs/dbraw/zinc/28/28/82/820282882.db2.gz NIAULMHDNLHDDX-OLZOCXBDSA-N 0 1 293.392 0.260 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C)nc2CC)[C@@H](O)C1 ZINC001090344561 820286042 /nfs/dbraw/zinc/28/60/42/820286042.db2.gz LFYUPFQLRCOCEA-KGLIPLIRSA-N 0 1 292.383 0.334 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2c(C)n[nH]c2C(C)C)[C@@H](O)C1 ZINC001083932164 820303286 /nfs/dbraw/zinc/30/32/86/820303286.db2.gz SSRJWDWARNJSRM-NEPJUHHUSA-N 0 1 292.383 0.802 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2)C1 ZINC001079405164 820386989 /nfs/dbraw/zinc/38/69/89/820386989.db2.gz GMLUTWOYKVBCDX-DGCLKSJQSA-N 0 1 260.341 0.494 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2COCCO2)C1 ZINC001079434297 820396396 /nfs/dbraw/zinc/39/63/96/820396396.db2.gz IGTBRPFAEBHTFJ-IJLUTSLNSA-N 0 1 254.330 0.024 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001079648541 820443954 /nfs/dbraw/zinc/44/39/54/820443954.db2.gz ZLVIFUWOBWZYAC-VPOLOUISSA-N 0 1 256.296 0.957 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001079690381 820454163 /nfs/dbraw/zinc/45/41/63/820454163.db2.gz YGJJIGGUUIWVIU-ZIAGYGMSSA-N 0 1 287.363 0.226 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001079690381 820454166 /nfs/dbraw/zinc/45/41/66/820454166.db2.gz YGJJIGGUUIWVIU-ZIAGYGMSSA-N 0 1 287.363 0.226 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cn3c(n2)CCCC3)C1 ZINC001079794714 820467262 /nfs/dbraw/zinc/46/72/62/820467262.db2.gz MTUVEDBSIBZGGU-CHWSQXEVSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3ccncc23)C1 ZINC001079857766 820478626 /nfs/dbraw/zinc/47/86/26/820478626.db2.gz FTMYXIQWRDQSDW-DGCLKSJQSA-N 0 1 283.335 0.413 20 30 CCEDMN CC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001079891211 820484247 /nfs/dbraw/zinc/48/42/47/820484247.db2.gz UJHJQLCNYMZPNR-RGCMKSIDSA-N 0 1 286.379 0.973 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001079891211 820484253 /nfs/dbraw/zinc/48/42/53/820484253.db2.gz UJHJQLCNYMZPNR-RGCMKSIDSA-N 0 1 286.379 0.973 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C1 ZINC001079891211 820484258 /nfs/dbraw/zinc/48/42/58/820484258.db2.gz UJHJQLCNYMZPNR-RGCMKSIDSA-N 0 1 286.379 0.973 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cn3ccccc3n2)C1 ZINC001079970897 820497671 /nfs/dbraw/zinc/49/76/71/820497671.db2.gz TVGUURWAQPITCL-UKRRQHHQSA-N 0 1 296.374 0.947 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCc3nc[nH]c32)C1 ZINC001080419243 820572133 /nfs/dbraw/zinc/57/21/33/820572133.db2.gz PYGQPPHEHFJPAA-YRGRVCCFSA-N 0 1 286.379 0.899 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccnc(OC)c2)C1 ZINC001080448728 820574550 /nfs/dbraw/zinc/57/45/50/820574550.db2.gz AAZZAXRKTIESSF-TZMCWYRMSA-N 0 1 287.363 0.702 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cn2ccc(C)n2)C1 ZINC001080467713 820580658 /nfs/dbraw/zinc/58/06/58/820580658.db2.gz BXAFZFZUIJFSKS-DGCLKSJQSA-N 0 1 260.341 0.261 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001080678396 820619252 /nfs/dbraw/zinc/61/92/52/820619252.db2.gz IJGARRSGZZCJNT-ZWNOBZJWSA-N 0 1 290.367 0.740 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)CN1CCCC1 ZINC001080940674 820656892 /nfs/dbraw/zinc/65/68/92/820656892.db2.gz CDPDGVOICXEYPM-VXGBXAGGSA-N 0 1 250.346 0.042 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccn(C)n2)C1 ZINC001080939795 820658491 /nfs/dbraw/zinc/65/84/91/820658491.db2.gz KFWQCVJZXDAPGE-DGCLKSJQSA-N 0 1 260.341 0.494 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@H](OC)C1 ZINC001081499833 820780475 /nfs/dbraw/zinc/78/04/75/820780475.db2.gz INPNDUDCWXJVGT-ZIAGYGMSSA-N 0 1 288.351 0.891 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccn(C)c2)[C@H](OC)C1 ZINC001081529614 820784723 /nfs/dbraw/zinc/78/47/23/820784723.db2.gz IBQKATNUHISHLH-ZIAGYGMSSA-N 0 1 275.352 0.477 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2ncccc2[O-])[C@H](OC)C1 ZINC001081578924 820792339 /nfs/dbraw/zinc/79/23/39/820792339.db2.gz CRJUAQZHNLNUTI-DGCLKSJQSA-N 0 1 291.351 0.792 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)c2ncccc2[O-])[C@H](OC)C1 ZINC001081578924 820792345 /nfs/dbraw/zinc/79/23/45/820792345.db2.gz CRJUAQZHNLNUTI-DGCLKSJQSA-N 0 1 291.351 0.792 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)n2cccc2)[C@H](OC)C1 ZINC001081550240 820795907 /nfs/dbraw/zinc/79/59/07/820795907.db2.gz KIBSKVFJROYZKX-RBSFLKMASA-N 0 1 289.379 0.888 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2C[C@@H]2C(F)F)[C@H](OC)C1 ZINC001081619363 820797085 /nfs/dbraw/zinc/79/70/85/820797085.db2.gz OPOZHTSOKMQULY-NNYUYHANSA-N 0 1 286.322 0.726 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(Cc2n[nH]c(C)n2)C[C@@H]1O ZINC001099729076 820841666 /nfs/dbraw/zinc/84/16/66/820841666.db2.gz BCWIUFLQBRCPEQ-RYUDHWBXSA-N 0 1 293.371 0.131 20 30 CCEDMN COc1cc(CN[C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)on1 ZINC001082451027 820972943 /nfs/dbraw/zinc/97/29/43/820972943.db2.gz OAKKHZREXVVJDP-HOSYDEDBSA-N 0 1 292.339 0.779 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCCC(=O)N2)C[C@H]1C ZINC001082581005 821001692 /nfs/dbraw/zinc/00/16/92/821001692.db2.gz RBAVWOYQJGXGMQ-YUSALJHKSA-N 0 1 299.802 0.844 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]nc2C(C)C)[C@H](O)C1 ZINC001090384321 821037821 /nfs/dbraw/zinc/03/78/21/821037821.db2.gz JOPMOLXQYUPJSP-QWHCGFSZSA-N 0 1 292.383 0.884 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)[C@H]3CCOC3)[C@H]2C1 ZINC001083019265 821109215 /nfs/dbraw/zinc/10/92/15/821109215.db2.gz HPEICELLRKGHHI-MELADBBJSA-N 0 1 280.368 0.511 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)[C@@H]3CCCOC3)[C@H]2C1 ZINC001083022959 821113176 /nfs/dbraw/zinc/11/31/76/821113176.db2.gz JGRWZPKUYCCBHG-QLFBSQMISA-N 0 1 294.395 0.901 20 30 CCEDMN CC(C)N1C[C@H]2OCCN(C(=O)c3ccc(C#N)[nH]3)[C@H]2C1 ZINC001083049208 821126963 /nfs/dbraw/zinc/12/69/63/821126963.db2.gz GPJPIAWQRWMUOG-UONOGXRCSA-N 0 1 288.351 0.820 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H]3CCCCO3)[C@H]2C1 ZINC001083051588 821128541 /nfs/dbraw/zinc/12/85/41/821128541.db2.gz KIDGJRVEPNEYIF-RRFJBIMHSA-N 0 1 292.379 0.490 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)/C=C\c3ccc[nH]3)[C@H]2C1 ZINC001083062628 821130663 /nfs/dbraw/zinc/13/06/63/821130663.db2.gz VMCWDYADVKOAHZ-SHUKXNKTSA-N 0 1 299.374 0.963 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@@H](OC)C3CC3)[C@H]2C1 ZINC001083068074 821135395 /nfs/dbraw/zinc/13/53/95/821135395.db2.gz QUNZMHLNMSBKGD-ZNMIVQPWSA-N 0 1 294.395 0.899 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H]3CCC3(F)F)[C@H]2C1 ZINC001083115913 821138092 /nfs/dbraw/zinc/13/80/92/821138092.db2.gz MVWFHZGUTSRBOP-FRRDWIJNSA-N 0 1 298.333 0.967 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3cnco3)[C@H]2C1 ZINC001083157395 821150359 /nfs/dbraw/zinc/15/03/59/821150359.db2.gz UQQXNBWUXNZBOR-WCQYABFASA-N 0 1 277.324 0.776 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ncccc2OC)[C@@H](O)C1 ZINC001083995386 821171890 /nfs/dbraw/zinc/17/18/90/821171890.db2.gz PWRRTSFHQGIMHE-NEPJUHHUSA-N 0 1 291.351 0.441 20 30 CCEDMN C#CCCCCC(=O)N[C@H]1CCN(CC#CC)C[C@@H]1O ZINC001099794829 821181369 /nfs/dbraw/zinc/18/13/69/821181369.db2.gz RZIFGIDPJZOJBE-GJZGRUSLSA-N 0 1 276.380 0.755 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)c2nonc2C)[C@@H](O)C1 ZINC001084118507 821194395 /nfs/dbraw/zinc/19/43/95/821194395.db2.gz BIXKKAHZATXEFR-MNOVXSKESA-N 0 1 280.328 0.119 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2nonc2C)[C@@H](O)C1 ZINC001084118507 821194398 /nfs/dbraw/zinc/19/43/98/821194398.db2.gz BIXKKAHZATXEFR-MNOVXSKESA-N 0 1 280.328 0.119 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)C2CCOCC2)[C@@H](O)C1 ZINC001084130194 821204178 /nfs/dbraw/zinc/20/41/78/821204178.db2.gz NSSKTLWZZHXRSB-YUELXQCFSA-N 0 1 294.395 0.234 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCNC(=O)C3)[C@@H]2C1 ZINC001084275769 821259617 /nfs/dbraw/zinc/25/96/17/821259617.db2.gz JDBPSIDVGBADLF-YNEHKIRRSA-N 0 1 277.368 0.231 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cn(C)nn3)[C@@H]2C1 ZINC001084323140 821272084 /nfs/dbraw/zinc/27/20/84/821272084.db2.gz FNIDWLGMWCMISL-ZYHUDNBSSA-N 0 1 261.329 0.147 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)c3c[nH]cc4ncnc3-4)[C@@H]2C1 ZINC001084359940 821278357 /nfs/dbraw/zinc/27/83/57/821278357.db2.gz MMFKMXJOOKAUIW-ZWNOBZJWSA-N 0 1 296.334 0.628 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCNC3=O)[C@@H]2C1 ZINC001084402790 821289021 /nfs/dbraw/zinc/28/90/21/821289021.db2.gz ZTEFMKNWUUWKCV-BFHYXJOUSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCC(=O)N3)[C@@H]2C1 ZINC001084717230 821357868 /nfs/dbraw/zinc/35/78/68/821357868.db2.gz OWHNZQRILGLJET-JHJVBQTASA-N 0 1 277.368 0.374 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C#CC(C)C)[C@H](O)C1 ZINC001099820889 821366480 /nfs/dbraw/zinc/36/64/80/821366480.db2.gz MIIQKFUXRPDNGF-ZIAGYGMSSA-N 0 1 264.369 0.773 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1)C(C)C ZINC001119865114 821442728 /nfs/dbraw/zinc/44/27/28/821442728.db2.gz KCZJQXHDQLJUFE-QWHCGFSZSA-N 0 1 291.395 0.598 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H]3CCC(=O)NC3)C[C@@H]21 ZINC001084978191 821448297 /nfs/dbraw/zinc/44/82/97/821448297.db2.gz BFZAZFKWOBVOPT-MCIONIFRSA-N 0 1 289.379 0.069 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@]1(O)CCc2ccccc2C1 ZINC000823675073 821530309 /nfs/dbraw/zinc/53/03/09/821530309.db2.gz ZNTXJVDRXKYOQK-QGZVFWFLSA-N 0 1 286.375 0.588 20 30 CCEDMN C#CC1(O)CCN(Cc2cnn(CCOC)c2)CC1 ZINC000824464095 821631995 /nfs/dbraw/zinc/63/19/95/821631995.db2.gz XBBFFTKQHTXRKB-UHFFFAOYSA-N 0 1 263.341 0.490 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnn(CC)n1 ZINC001085585261 821824603 /nfs/dbraw/zinc/82/46/03/821824603.db2.gz WSRXPMJJULLLHR-LBPRGKRZSA-N 0 1 275.356 0.468 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1n[nH]cc1C ZINC001085590087 821835472 /nfs/dbraw/zinc/83/54/72/821835472.db2.gz CLDYVKJDLWPAEI-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cn(C)c(=O)cn1 ZINC001085729816 821922162 /nfs/dbraw/zinc/92/21/62/821922162.db2.gz HZGNAVRETABPGS-LBPRGKRZSA-N 0 1 290.367 0.503 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1n[nH]nc1C ZINC001085758006 821937994 /nfs/dbraw/zinc/93/79/94/821937994.db2.gz XANAQKIHRVNFEV-LLVKDONJSA-N 0 1 261.329 0.283 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@H]2CCN2CCCO)nc1 ZINC001085933507 822023948 /nfs/dbraw/zinc/02/39/48/822023948.db2.gz CTZXSBVWMCNBEJ-CQSZACIVSA-N 0 1 287.363 0.592 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCN(C(C)=O)C1 ZINC001085949175 822037770 /nfs/dbraw/zinc/03/77/70/822037770.db2.gz YRMBRYYJEAHBTP-LSDHHAIUSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCN1C(N)=O ZINC001086002052 822065199 /nfs/dbraw/zinc/06/51/99/822065199.db2.gz XTMKNCOKRDRNAI-OLZOCXBDSA-N 0 1 294.399 0.638 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@H]1C[C@H](NCC#N)C1 ZINC001086326996 822208305 /nfs/dbraw/zinc/20/83/05/822208305.db2.gz KYCVRKFJVNCUSR-SRVKXCTJSA-N 0 1 250.346 0.089 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)c2ccn3cncc3c2)C1 ZINC001086418783 822247012 /nfs/dbraw/zinc/24/70/12/822247012.db2.gz LAOPSATYIOIQQC-AULYBMBSSA-N 0 1 283.335 0.956 20 30 CCEDMN CCc1nnc(CNCCCNC(=O)[C@@H](C)C#N)s1 ZINC001155842686 822262010 /nfs/dbraw/zinc/26/20/10/822262010.db2.gz QJJQGIQVPHDZGR-VIFPVBQESA-N 0 1 281.385 0.856 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CNc2ccnc(C#N)n2)c1C ZINC001108300920 822339398 /nfs/dbraw/zinc/33/93/98/822339398.db2.gz DNZYEUWMHBCXBW-QMMMGPOBSA-N 0 1 299.338 0.341 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)N(C)C)C[C@H]21 ZINC001114025004 837407555 /nfs/dbraw/zinc/40/75/55/837407555.db2.gz HOSKDHJGMAMUHP-NMKXLXIOSA-N 0 1 279.384 0.476 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CC)OC ZINC001114012829 837408809 /nfs/dbraw/zinc/40/88/09/837408809.db2.gz MOHBXCGQUDLION-XQHKEYJVSA-N 0 1 250.342 0.481 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NC3CC3)C[C@H]21 ZINC001114026998 837409553 /nfs/dbraw/zinc/40/95/53/837409553.db2.gz FICOXWVDRCDYJH-PBOSXPJTSA-N 0 1 291.395 0.666 20 30 CCEDMN Cc1ncc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)o1 ZINC001114067290 837420762 /nfs/dbraw/zinc/42/07/62/837420762.db2.gz PWYWUDUMZDMQLM-HWNAMQAFSA-N 0 1 274.324 0.689 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnccc1C ZINC001114090773 837430328 /nfs/dbraw/zinc/43/03/28/837430328.db2.gz JTEHLVRPVJDRMV-ZSHCYNCHSA-N 0 1 299.374 0.700 20 30 CCEDMN CCCCOCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114083338 837430349 /nfs/dbraw/zinc/43/03/49/837430349.db2.gz SHIFDBZZFGBLDV-FOLVSLTJSA-N 0 1 294.395 0.499 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OCC)C1CC1 ZINC001114287571 837498500 /nfs/dbraw/zinc/49/85/00/837498500.db2.gz NXTKYHNVNNUMSB-ZOBORPQBSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](C)CCC)C[C@@H]1n1ccnn1 ZINC001129712020 837547118 /nfs/dbraw/zinc/54/71/18/837547118.db2.gz CBYMSTJXYXFJJW-MCIONIFRSA-N 0 1 289.383 0.689 20 30 CCEDMN C#CCCCS(=O)(=O)N1C[C@H](SC)[C@@H](N(C)C)C1 ZINC000805050019 837674004 /nfs/dbraw/zinc/67/40/04/837674004.db2.gz RFPIYIQIJJMSSD-RYUDHWBXSA-N 0 1 290.454 0.707 20 30 CCEDMN CC#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)COC)C1 ZINC001130328740 837768500 /nfs/dbraw/zinc/76/85/00/837768500.db2.gz AJZBRKBIAUDGSD-GFCCVEGCSA-N 0 1 290.367 0.485 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001130362147 837770720 /nfs/dbraw/zinc/77/07/20/837770720.db2.gz HQRJWABQKHYUGS-JTQLQIEISA-N 0 1 273.764 0.313 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COC[C@H]1CCOC1 ZINC001134640271 839031595 /nfs/dbraw/zinc/03/15/95/839031595.db2.gz DNBOERIYXONXIZ-NSHDSACASA-N 0 1 276.764 0.498 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(OC)ccn2)[C@@H](O)C1 ZINC001090485831 837828656 /nfs/dbraw/zinc/82/86/56/837828656.db2.gz KJDQUINJGBWZFS-OCCSQVGLSA-N 0 1 291.351 0.441 20 30 CCEDMN COCC#CC[N@@H+]1C[C@@H]2CCN(C(=O)CCCOC)[C@@H]2C1 ZINC001187038798 844638982 /nfs/dbraw/zinc/63/89/82/844638982.db2.gz YMOPZAQZGRJUDI-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)CCCOC)[C@@H]2C1 ZINC001187038798 844638989 /nfs/dbraw/zinc/63/89/89/844638989.db2.gz YMOPZAQZGRJUDI-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN CC[C@H]1CCN(CC(=O)Nc2nc[nH]c2C#N)C1=O ZINC001183667035 844060977 /nfs/dbraw/zinc/06/09/77/844060977.db2.gz OKHDMBKQSBZCTN-QMMMGPOBSA-N 0 1 261.285 0.478 20 30 CCEDMN CC1CCN(CC(=O)NCCCNCC#N)CC1 ZINC001169126612 836140924 /nfs/dbraw/zinc/14/09/24/836140924.db2.gz AXSKNQIEHGZJAB-UHFFFAOYSA-N 0 1 252.362 0.338 20 30 CCEDMN C[C@@H](CNc1ccncc1C#N)NC(=O)CCc1c[nH]nn1 ZINC001108707255 836517478 /nfs/dbraw/zinc/51/74/78/836517478.db2.gz BWMAXEUGZAYWPK-JTQLQIEISA-N 0 1 299.338 0.043 20 30 CCEDMN C[C@@H](CNc1ccncc1C#N)NC(=O)CCc1cnn[nH]1 ZINC001108707255 836517480 /nfs/dbraw/zinc/51/74/80/836517480.db2.gz BWMAXEUGZAYWPK-JTQLQIEISA-N 0 1 299.338 0.043 20 30 CCEDMN O=C(CCC(=O)N1CC[N@@H+]2CC[C@H]2C1)C1=CC=C[CH]1 ZINC001184245209 844187200 /nfs/dbraw/zinc/18/72/00/844187200.db2.gz LOYZSVUAOBNFDC-ZDUSSCGKSA-N 0 1 259.329 0.953 20 30 CCEDMN O=C(CCC(=O)N1CC[N@H+]2CC[C@H]2C1)C1=CC=C[CH]1 ZINC001184245209 844187207 /nfs/dbraw/zinc/18/72/07/844187207.db2.gz LOYZSVUAOBNFDC-ZDUSSCGKSA-N 0 1 259.329 0.953 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1ccnc1)C2 ZINC001109579305 836698192 /nfs/dbraw/zinc/69/81/92/836698192.db2.gz NZXIEQLFEBASFU-RDBSUJKOSA-N 0 1 272.352 0.628 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](COC)OC)C2 ZINC001109696030 836716642 /nfs/dbraw/zinc/71/66/42/836716642.db2.gz OBOAIRQBMKYFCA-ZOBORPQBSA-N 0 1 282.384 0.945 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CC[N@H+](CCCF)C[C@@H]1O ZINC001100138068 836770102 /nfs/dbraw/zinc/77/01/02/836770102.db2.gz FIJDVNBVWSLTIM-OLZOCXBDSA-N 0 1 288.363 0.490 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CC[N@H+](CCCF)C[C@H]1O ZINC001100138067 836770409 /nfs/dbraw/zinc/77/04/09/836770409.db2.gz FIJDVNBVWSLTIM-CHWSQXEVSA-N 0 1 288.363 0.490 20 30 CCEDMN N#Cc1c2c(cnc1NC[C@@H]1COCCN1)CCC2 ZINC001170043169 836776272 /nfs/dbraw/zinc/77/62/72/836776272.db2.gz VFYMMNMSWVLWSU-LLVKDONJSA-N 0 1 258.325 0.842 20 30 CCEDMN COc1nc(C)c(NC[C@@H]2COCCN2)c(C)c1C#N ZINC001170046311 836787576 /nfs/dbraw/zinc/78/75/76/836787576.db2.gz XPOPSDWNHKEHIV-LLVKDONJSA-N 0 1 276.340 0.979 20 30 CCEDMN N#CCNC[C@]12CCC[C@H]1CN(C(=O)Cc1ncn[nH]1)C2 ZINC001112560855 836795677 /nfs/dbraw/zinc/79/56/77/836795677.db2.gz SYMLNUFFTUWDKQ-FZMZJTMJSA-N 0 1 288.355 0.089 20 30 CCEDMN C=CCCCN1CCN(C(=O)Cn2cccn2)CC1 ZINC001112610453 836822189 /nfs/dbraw/zinc/82/21/89/836822189.db2.gz KGBIINJUIYOCIN-UHFFFAOYSA-N 0 1 262.357 0.994 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCN(CCCCCF)CC1 ZINC001112777517 836909995 /nfs/dbraw/zinc/90/99/95/836909995.db2.gz AOIGUGQSKDXQSW-UHFFFAOYSA-N 0 1 297.374 0.410 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@H]1C[C@H](NCC#N)C1 ZINC001086558653 836919130 /nfs/dbraw/zinc/91/91/30/836919130.db2.gz GWVIFCBLFQDKEP-IHRRRGAJSA-N 0 1 278.400 0.869 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CC(CNc2ncnc3[nH]cnc32)C1 ZINC001112828691 836934581 /nfs/dbraw/zinc/93/45/81/836934581.db2.gz CUYGKOWBVILJFO-XNWIYYODSA-N 0 1 299.338 0.771 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC[C@@H]2CCCO2)CC1 ZINC001112846110 836937974 /nfs/dbraw/zinc/93/79/74/836937974.db2.gz GBTGQXHZKGUCBB-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)C(C)(C)C(N)=O)CC1 ZINC001112985837 836984443 /nfs/dbraw/zinc/98/44/43/836984443.db2.gz PPCVJQIUSKAFBB-GFCCVEGCSA-N 0 1 281.400 0.997 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cn[nH]n2)CC1 ZINC001113062108 837013937 /nfs/dbraw/zinc/01/39/37/837013937.db2.gz IUDKIPVHUOQBPI-NSHDSACASA-N 0 1 263.345 0.917 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC001113351310 837101632 /nfs/dbraw/zinc/10/16/32/837101632.db2.gz WMTOAXOLNRKWBG-NEPJUHHUSA-N 0 1 265.357 0.218 20 30 CCEDMN C=CCCN1CCN(C(=O)COCc2ncnn2C)CC1 ZINC001113486374 837133522 /nfs/dbraw/zinc/13/35/22/837133522.db2.gz VKRKIBXTCOMRCQ-UHFFFAOYSA-N 0 1 293.371 0.052 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)C ZINC001113804266 837245800 /nfs/dbraw/zinc/24/58/00/837245800.db2.gz XCKZZIMHASXIMW-CXTNEJHOSA-N 0 1 291.395 0.217 20 30 CCEDMN CCOC(=O)[C@@H](C#N)Nc1cc2[nH]cnc2c(C#N)n1 ZINC001170365853 837311378 /nfs/dbraw/zinc/31/13/78/837311378.db2.gz DLIDHKCDADOXQI-SECBINFHSA-N 0 1 270.252 0.697 20 30 CCEDMN Cc1c(CC[NH3+])c(=O)[n-]n1-c1nnc(C)c(C)c1C#N ZINC001170339830 837320355 /nfs/dbraw/zinc/32/03/55/837320355.db2.gz HJBUVIDAKCJGKC-UHFFFAOYSA-N 0 1 272.312 0.666 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)C ZINC001113873819 837346060 /nfs/dbraw/zinc/34/60/60/837346060.db2.gz OZTQQEIUGMTZED-NDBYEHHHSA-N 0 1 279.384 0.380 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)N(C)c1ccc(C#N)nn1 ZINC001113898394 837357736 /nfs/dbraw/zinc/35/77/36/837357736.db2.gz STESMJKIGLGAJO-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOCC(C)C ZINC001113933361 837375039 /nfs/dbraw/zinc/37/50/39/837375039.db2.gz ZKBRSYQIHIEVCD-NHAGDIPZSA-N 0 1 264.369 0.729 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)[C@@H](C)CC ZINC001273488492 844267996 /nfs/dbraw/zinc/26/79/96/844267996.db2.gz KMYADYYHFPAXBO-ZDUSSCGKSA-N 0 1 281.400 0.562 20 30 CCEDMN N#Cc1cc(Cl)c(Cl)nc1-n1nnnc1CN ZINC001158075045 837914183 /nfs/dbraw/zinc/91/41/83/837914183.db2.gz QGLNSWKGPOCAJS-UHFFFAOYSA-N 0 1 270.083 0.694 20 30 CCEDMN C#CCN1C[C@@H](F)C[C@@H]1c1nc(CNC(=O)C(C)C)n[nH]1 ZINC001131142529 838006605 /nfs/dbraw/zinc/00/66/05/838006605.db2.gz QPMVWGLMWBWBMB-WDEREUQCSA-N 0 1 293.346 0.795 20 30 CCEDMN C#CCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(=O)C(C)C)[nH]1 ZINC001131142529 838006616 /nfs/dbraw/zinc/00/66/16/838006616.db2.gz QPMVWGLMWBWBMB-WDEREUQCSA-N 0 1 293.346 0.795 20 30 CCEDMN N#Cc1c(Cl)cc(C(=O)NCc2nn[nH]n2)nc1Cl ZINC001184813392 844290048 /nfs/dbraw/zinc/29/00/48/844290048.db2.gz PJAXZZULVQGWAY-UHFFFAOYSA-N 0 1 298.093 0.703 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCc2nncn2CC1 ZINC001131504374 838116043 /nfs/dbraw/zinc/11/60/43/838116043.db2.gz VMQAJJGMTQGGNZ-LLVKDONJSA-N 0 1 297.790 0.689 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)CCOC)C[C@H](C)O2 ZINC001131621601 838153568 /nfs/dbraw/zinc/15/35/68/838153568.db2.gz ZKJONCQZDNUZBL-ZFWWWQNUSA-N 0 1 280.368 0.348 20 30 CCEDMN CC#CCN1CC[C@]2(C1)CN(C(=O)CCOC)C[C@H](C)O2 ZINC001131616289 838157228 /nfs/dbraw/zinc/15/72/28/838157228.db2.gz LDUROQKJHIQHET-HOCLYGCPSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)COCC)C[C@H](C)O2 ZINC001131640048 838163117 /nfs/dbraw/zinc/16/31/17/838163117.db2.gz XUCNDHMWSSXGLQ-ZFWWWQNUSA-N 0 1 280.368 0.348 20 30 CCEDMN N#Cc1cc2c(nc1N1CCN3CC[C@@H]3C1)CCOC2 ZINC001158430736 838169438 /nfs/dbraw/zinc/16/94/38/838169438.db2.gz JFQOICSGPMHUPW-CYBMUJFWSA-N 0 1 270.336 0.920 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1ncc(C(F)(F)F)[nH]1 ZINC001188288321 844854580 /nfs/dbraw/zinc/85/45/80/844854580.db2.gz SKXKMGXBRYTCMA-LURJTMIESA-N 0 1 274.202 0.793 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@]1(COC)CCOC1 ZINC001131899643 838260223 /nfs/dbraw/zinc/26/02/23/838260223.db2.gz VIIHUALCRXBOKG-LBPRGKRZSA-N 0 1 276.764 0.498 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCn2ccnn2)CC[C@H]1C ZINC001131992515 838291011 /nfs/dbraw/zinc/29/10/11/838291011.db2.gz MLROCKBPFFOGFG-CHWSQXEVSA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCn2ccnn2)CC[C@@H]1C ZINC001131992517 838291687 /nfs/dbraw/zinc/29/16/87/838291687.db2.gz MLROCKBPFFOGFG-QWHCGFSZSA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2ccnn2C)CC[C@@H]1C ZINC001132072163 838311769 /nfs/dbraw/zinc/31/17/69/838311769.db2.gz JBOPWJHWKROKBC-UONOGXRCSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COCC(F)F)CC[C@@H]1C ZINC001132202554 838337426 /nfs/dbraw/zinc/33/74/26/838337426.db2.gz ITNOQTFJDBNDGO-QWRGUYRKSA-N 0 1 274.311 0.870 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cn(C3CCOCC3)nn2)=NO1 ZINC000810787115 838402400 /nfs/dbraw/zinc/40/24/00/838402400.db2.gz KQMAEJAKXRTXJA-MRVPVSSYSA-N 0 1 279.300 0.482 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2cncn2C)CC[C@H]1C ZINC001132458471 838405031 /nfs/dbraw/zinc/40/50/31/838405031.db2.gz UTBAIJYPNRBCDC-ZIAGYGMSSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCCC(=O)NC)CC[C@@H]1C ZINC001132533323 838428776 /nfs/dbraw/zinc/42/87/76/838428776.db2.gz LSIVZQUGUHRESK-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCC(N)=O)CC[C@H]1C ZINC001132536612 838430712 /nfs/dbraw/zinc/43/07/12/838430712.db2.gz DDBYNDYGMGRMHP-NEPJUHHUSA-N 0 1 265.357 0.244 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCCS(C)(=O)=O ZINC001132568613 838437544 /nfs/dbraw/zinc/43/75/44/838437544.db2.gz AWBARPZECRYVFQ-WDEREUQCSA-N 0 1 276.402 0.195 20 30 CCEDMN CC#CCCCC(=O)NCCNCc1cnnn1CC ZINC001132590987 838446358 /nfs/dbraw/zinc/44/63/58/838446358.db2.gz BVNVHWXEBAYALA-UHFFFAOYSA-N 0 1 277.372 0.697 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2ccc(O)cc2)CC1 ZINC000105861505 838618299 /nfs/dbraw/zinc/61/82/99/838618299.db2.gz JHURTIYWYYVBRG-UHFFFAOYSA-N 0 1 273.336 0.264 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1n[nH]cc1F ZINC001133478471 838646574 /nfs/dbraw/zinc/64/65/74/838646574.db2.gz ICHQAASBDMFSKM-UHFFFAOYSA-N 0 1 286.310 0.920 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)[C@@H]1CCC(=O)N1 ZINC001134111664 838869229 /nfs/dbraw/zinc/86/92/29/838869229.db2.gz XTNXNNYSTOSDLW-UWVGGRQHSA-N 0 1 273.764 0.359 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(CC(=O)OCC)CC1 ZINC001252542065 847997711 /nfs/dbraw/zinc/99/77/11/847997711.db2.gz ZHZZTNGWETZTFF-CYBMUJFWSA-N 0 1 256.346 0.104 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)C[C@@H](C)NCc1nncn1C ZINC001134201516 838909837 /nfs/dbraw/zinc/90/98/37/838909837.db2.gz PWCKGSRTGAQFPY-CHWSQXEVSA-N 0 1 291.399 0.992 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1ccnnc1 ZINC001134283349 838929705 /nfs/dbraw/zinc/92/97/05/838929705.db2.gz CNRLNOVSFQRRBA-UHFFFAOYSA-N 0 1 285.145 0.705 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ncccc2F)[C@H](O)C1 ZINC001090517982 838931383 /nfs/dbraw/zinc/93/13/83/838931383.db2.gz AGTISVSKWHUCKX-TZMCWYRMSA-N 0 1 293.342 0.501 20 30 CCEDMN COc1cccc(NC(=O)[C@H]2CN(C)CCN2C)c1C#N ZINC001185256881 844381580 /nfs/dbraw/zinc/38/15/80/844381580.db2.gz XPFJBJSMMKRSOP-CYBMUJFWSA-N 0 1 288.351 0.751 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)C[C@H](C)NCc1ncnn1C ZINC001134340626 838945433 /nfs/dbraw/zinc/94/54/33/838945433.db2.gz GYOMYAFTOAZZKN-STQMWFEESA-N 0 1 291.399 0.847 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)C[C@H](C)NCc1nnnn1C ZINC001134341938 838946964 /nfs/dbraw/zinc/94/69/64/838946964.db2.gz WRJOBIWRUQCRND-RYUDHWBXSA-N 0 1 292.387 0.242 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)C[C@@H](C)NCc1cn(C)nn1 ZINC001134346128 838956669 /nfs/dbraw/zinc/95/66/69/838956669.db2.gz ZOSNLXNMBNVCGW-OLZOCXBDSA-N 0 1 291.399 0.847 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001135816476 839426550 /nfs/dbraw/zinc/42/65/50/839426550.db2.gz TYMMYBCGQDDCMH-UHFFFAOYSA-N 0 1 270.720 0.186 20 30 CCEDMN C=C[C@@H]1C[C@@]1(Nc1cc2c(nn1)CNC2)C(=O)OCC ZINC001170926202 839567709 /nfs/dbraw/zinc/56/77/09/839567709.db2.gz YMHKUZBBDCURSM-YGRLFVJLSA-N 0 1 274.324 1.000 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)nn2CC)[C@@H](O)C1 ZINC001090583486 839655832 /nfs/dbraw/zinc/65/58/32/839655832.db2.gz BAUWCKZQPRZZNP-OCCSQVGLSA-N 0 1 292.383 0.562 20 30 CCEDMN C=C(C)CN1C[C@@]2(CC1=O)COCC[N@H+](CCOC)C2 ZINC001273509555 844459434 /nfs/dbraw/zinc/45/94/34/844459434.db2.gz WVIVLUUBYJVGSD-HNNXBMFYSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2cc(Cl)cn2)[C@H](O)C1 ZINC001090604833 839669430 /nfs/dbraw/zinc/66/94/30/839669430.db2.gz FRXFFZNGJLHWIR-NWDGAFQWSA-N 0 1 298.774 0.274 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(CCC)c2)[C@@H](O)C1 ZINC001090612411 839674237 /nfs/dbraw/zinc/67/42/37/839674237.db2.gz MSTBEYBAWIHLBB-KBPBESRZSA-N 0 1 292.383 0.644 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cscn2)[C@@H](O)C1 ZINC001090628023 839687549 /nfs/dbraw/zinc/68/75/49/839687549.db2.gz JELIVRUNDWULOF-OLZOCXBDSA-N 0 1 293.392 0.260 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C)CCOCC2)[C@H](O)C1 ZINC001090649476 839702593 /nfs/dbraw/zinc/70/25/93/839702593.db2.gz DWEULQXDFWDRLK-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(CC)c2C)[C@H](O)C1 ZINC001090667786 839716537 /nfs/dbraw/zinc/71/65/37/839716537.db2.gz OBBLGJBYGQBUQE-UONOGXRCSA-N 0 1 292.383 0.562 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(CCC)nn2)[C@@H](O)C1 ZINC001090683500 839727200 /nfs/dbraw/zinc/72/72/00/839727200.db2.gz QWWKRUQLPVLHAA-YPMHNXCESA-N 0 1 293.371 0.039 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nc(C)oc2C)[C@H](O)C1 ZINC001090673240 839728447 /nfs/dbraw/zinc/72/84/47/839728447.db2.gz JTGHDNSQSJMIHD-NWDGAFQWSA-N 0 1 279.340 0.642 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ncccc2F)[C@H](O)C1 ZINC001090676603 839729843 /nfs/dbraw/zinc/72/98/43/839729843.db2.gz QHCGSMGYXKHUKB-QWHCGFSZSA-N 0 1 293.342 0.962 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C(C)C)nn2)[C@@H](O)C1 ZINC001090687174 839736223 /nfs/dbraw/zinc/73/62/23/839736223.db2.gz UJDDITISCBSPDE-AAEUAGOBSA-N 0 1 293.371 0.210 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(OC)n2)[C@@H](O)C1 ZINC001090692942 839740706 /nfs/dbraw/zinc/74/07/06/839740706.db2.gz PXBMAUQKXZJDGO-YPMHNXCESA-N 0 1 291.351 0.441 20 30 CCEDMN CN(C1CN(Cc2ccc(C#N)cn2)C1)[C@H]1CCOC1 ZINC001144163154 839964975 /nfs/dbraw/zinc/96/49/75/839964975.db2.gz PVUJLSYVLCHMLI-AWEZNQCLSA-N 0 1 272.352 0.858 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCC1CC(NCC#N)C1 ZINC001091100416 840054510 /nfs/dbraw/zinc/05/45/10/840054510.db2.gz SOVUQDXLFLWYBM-WXRRBKDZSA-N 0 1 264.373 0.479 20 30 CCEDMN C=CC(=NCOC)NC(=N)c1ccc(C(N)=O)cc1 ZINC001171252872 840199170 /nfs/dbraw/zinc/19/91/70/840199170.db2.gz WAQCRQVCJWGKLE-UHFFFAOYSA-N 0 1 260.297 0.679 20 30 CCEDMN N#Cc1cccc(ONC(=O)CC2C(=O)N=CNC2=O)c1 ZINC001171256304 840214916 /nfs/dbraw/zinc/21/49/16/840214916.db2.gz UYHJXHKCUKFUTP-UHFFFAOYSA-N 0 1 286.247 0.412 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CCn2cncn2)C1 ZINC001149152224 840248968 /nfs/dbraw/zinc/24/89/68/840248968.db2.gz AHJXCINKNWWSHX-CYBMUJFWSA-N 0 1 293.371 0.061 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CN(CCF)C[C@@]2(C)C1 ZINC001091543662 840261632 /nfs/dbraw/zinc/26/16/32/840261632.db2.gz MZJDAFZHNDSJIA-WZRBSPASSA-N 0 1 253.321 0.896 20 30 CCEDMN C#C[C@H]1CCC[N@H+]([C@@H]2CC[C@@H](C(=O)OC)NC2)C1 ZINC001246837907 840285138 /nfs/dbraw/zinc/28/51/38/840285138.db2.gz WTTVKKYWCVMSCK-XQQFMLRXSA-N 0 1 250.342 0.625 20 30 CCEDMN C=C(Cl)C[NH2+]CCNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001146980378 840430017 /nfs/dbraw/zinc/43/00/17/840430017.db2.gz VAPLBJRKPGCDTG-JTQLQIEISA-N 0 1 282.775 0.973 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CO[C@@H](C)CC)C2)C1 ZINC001147346553 840560514 /nfs/dbraw/zinc/56/05/14/840560514.db2.gz SNTOGZZCHSTDKC-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCOCCC(=O)N1CC2(C1)CCN(CC#C)C2 ZINC001147530409 840616194 /nfs/dbraw/zinc/61/61/94/840616194.db2.gz LUHLRFVNCJXUQT-UHFFFAOYSA-N 0 1 260.337 0.194 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](OCC)C3CC3)C2)C1 ZINC001147583793 840624009 /nfs/dbraw/zinc/62/40/09/840624009.db2.gz VWSBMTGBRZGLEF-AWEZNQCLSA-N 0 1 276.380 0.969 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001147671544 840654589 /nfs/dbraw/zinc/65/45/89/840654589.db2.gz OLBZBUXPUSFZOF-JTQLQIEISA-N 0 1 282.775 0.973 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2conc2C)nc1 ZINC001148370039 840795531 /nfs/dbraw/zinc/79/55/31/840795531.db2.gz GCGMYKWYROKPDZ-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2ccccn2)cn1 ZINC001148382894 840796931 /nfs/dbraw/zinc/79/69/31/840796931.db2.gz JKKWAACSLFZVMD-UHFFFAOYSA-N 0 1 280.331 0.978 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCOCCOC)C2)C1 ZINC001148868550 840899536 /nfs/dbraw/zinc/89/95/36/840899536.db2.gz NZGKVAWWHYIGBZ-UHFFFAOYSA-N 0 1 280.368 0.207 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)CN(C)[C@@H]2CCC[C@H]2O)C1 ZINC001148872373 840900429 /nfs/dbraw/zinc/90/04/29/840900429.db2.gz VOKVKWFNVYEWGO-BFHYXJOUSA-N 0 1 264.369 0.703 20 30 CCEDMN C=CCN1CC[C@H]2CN(C(=O)C3CS(=O)(=O)C3)CC[C@H]21 ZINC001036724681 841145565 /nfs/dbraw/zinc/14/55/65/841145565.db2.gz KIIZVNXFWFTCRF-WCQYABFASA-N 0 1 298.408 0.140 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H]2[C@@H](CCN2CC#N)C1 ZINC001036789937 841220511 /nfs/dbraw/zinc/22/05/11/841220511.db2.gz ZVPSMZUDNRQGAN-QWRGUYRKSA-N 0 1 274.328 0.173 20 30 CCEDMN CCNCc1cn(C2CN(C(=O)c3ccc(C#N)[nH]3)C2)nn1 ZINC001093317839 841221146 /nfs/dbraw/zinc/22/11/46/841221146.db2.gz NWECMPNHVGMCRO-UHFFFAOYSA-N 0 1 299.338 0.284 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)CCc3c[nH]nn3)CC[C@H]21 ZINC001036824553 841285176 /nfs/dbraw/zinc/28/51/76/841285176.db2.gz ZATHDHXBBQXTIH-WCQYABFASA-N 0 1 288.355 0.184 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)CCc3cnn[nH]3)CC[C@H]21 ZINC001036824553 841285184 /nfs/dbraw/zinc/28/51/84/841285184.db2.gz ZATHDHXBBQXTIH-WCQYABFASA-N 0 1 288.355 0.184 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCCNc2ncnc3[nH]cnc32)c1 ZINC001093540218 841328538 /nfs/dbraw/zinc/32/85/38/841328538.db2.gz VQYDBNKQODWOCP-UHFFFAOYSA-N 0 1 296.294 0.346 20 30 CCEDMN O=C(C#CC1CC1)NCCNc1ncnc2[nH]cnc21 ZINC001093610358 841380801 /nfs/dbraw/zinc/38/08/01/841380801.db2.gz XAMDTQABVRFHDY-UHFFFAOYSA-N 0 1 270.296 0.246 20 30 CCEDMN CN1CC(C(=O)NCCNc2ncccc2C#N)=NC1=O ZINC001094091628 841530036 /nfs/dbraw/zinc/53/00/36/841530036.db2.gz YQHMDDKHPLRMKP-UHFFFAOYSA-N 0 1 286.295 0.234 20 30 CCEDMN CN1CC(C(=O)NCCNc2ccc(C#N)cn2)=NC1=O ZINC001094091429 841530266 /nfs/dbraw/zinc/53/02/66/841530266.db2.gz QCMCUNZBIHVDPL-UHFFFAOYSA-N 0 1 286.295 0.234 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCCNc1ccncc1C#N ZINC001094188756 841561266 /nfs/dbraw/zinc/56/12/66/841561266.db2.gz MQJKBDSLCMAMNU-LLVKDONJSA-N 0 1 298.350 0.505 20 30 CCEDMN N#Cc1cnccc1NCCNC(=O)c1[nH]nc2c1CCC2 ZINC001094242469 841566174 /nfs/dbraw/zinc/56/61/74/841566174.db2.gz RLQPTXAEIVLZJJ-UHFFFAOYSA-N 0 1 296.334 0.429 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCCNc1ccc(C#N)cn1 ZINC001094339969 841611040 /nfs/dbraw/zinc/61/10/40/841611040.db2.gz HOWNATGTPMXSJG-ZDUSSCGKSA-N 0 1 287.367 0.966 20 30 CCEDMN CCN1CC[C@H](NC2(C#N)CCN(C)CC2)CC1=O ZINC001172040571 841818079 /nfs/dbraw/zinc/81/80/79/841818079.db2.gz YLUJHYNGCIOPSJ-LBPRGKRZSA-N 0 1 264.373 0.575 20 30 CCEDMN CC(C)(C)OC(=O)N1CC[C@H](NCC(N)=O)C[C@H]1C#N ZINC001172968736 841990635 /nfs/dbraw/zinc/99/06/35/841990635.db2.gz SNODUCLVISCBCK-UWVGGRQHSA-N 0 1 282.344 0.353 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@@H](CNC(=O)[C@@]2(F)CCOC2)C1 ZINC001150179151 842074903 /nfs/dbraw/zinc/07/49/03/842074903.db2.gz HLMVFJVLESYSFF-DZGCQCFKSA-N 0 1 298.358 0.345 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1cc(C)cn1)C2 ZINC001095219729 842107741 /nfs/dbraw/zinc/10/77/41/842107741.db2.gz LZASESJIWUMUJX-ILXRZTDVSA-N 0 1 286.379 0.936 20 30 CCEDMN CCOC(=O)C[C@@H]1CC[C@@H]([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)C1 ZINC001173654315 842129969 /nfs/dbraw/zinc/12/99/69/842129969.db2.gz SXWPGFCQHAZZAI-WGBDABJCSA-N 0 1 284.356 0.934 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](C#N)CC(C)C ZINC001176385849 842362828 /nfs/dbraw/zinc/36/28/28/842362828.db2.gz YFGASYUQXRJQIE-DGCLKSJQSA-N 0 1 291.355 0.511 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N1CC[C@H]2OCCN(CC#N)[C@H]2C1 ZINC001177137780 842510759 /nfs/dbraw/zinc/51/07/59/842510759.db2.gz GJYOBJDCGGIHCK-UONOGXRCSA-N 0 1 294.399 0.153 20 30 CCEDMN CN(C)C(=O)CN(C)C(=O)C(C#N)Cc1cccs1 ZINC001177908817 842705251 /nfs/dbraw/zinc/70/52/51/842705251.db2.gz FLLXFXVBMQNVHY-JTQLQIEISA-N 0 1 279.365 0.977 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@H]1CNC(=O)O1 ZINC001177917851 842710532 /nfs/dbraw/zinc/71/05/32/842710532.db2.gz MORGQEDPUBETTL-BDAKNGLRSA-N 0 1 279.321 0.655 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2cnnn2C)[C@@H]1C ZINC001178339073 842814200 /nfs/dbraw/zinc/81/42/00/842814200.db2.gz SIZVJZHKNCMMPT-AAEUAGOBSA-N 0 1 293.371 0.097 20 30 CCEDMN CC#CC(=O)N1CC[N@H+]2C[C@H](OCc3cccnc3)C[C@@H]2C1 ZINC001179751273 843046388 /nfs/dbraw/zinc/04/63/88/843046388.db2.gz DYLADJISDBTBJK-HZPDHXFCSA-N 0 1 299.374 0.907 20 30 CCEDMN CC#CC(=O)N1CCN2C[C@H](OCc3cccnc3)C[C@@H]2C1 ZINC001179751273 843046396 /nfs/dbraw/zinc/04/63/96/843046396.db2.gz DYLADJISDBTBJK-HZPDHXFCSA-N 0 1 299.374 0.907 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2CCC(=O)OCC)C1=O ZINC001273467009 843289514 /nfs/dbraw/zinc/28/95/14/843289514.db2.gz MSCQCLFTHLAZAG-HNNXBMFYSA-N 0 1 278.352 0.640 20 30 CCEDMN C=C[C@@H]1C[C@]1(NC(=O)[C@H](C)N(C)C)C(=O)OCC ZINC001180863856 843327947 /nfs/dbraw/zinc/32/79/47/843327947.db2.gz MVTMJGKAQUJWNI-OPQQBVKSSA-N 0 1 254.330 0.561 20 30 CCEDMN N#CN=C(NC(O)=Cc1ccnc(N)c1)c1ccncc1 ZINC001181266446 843438579 /nfs/dbraw/zinc/43/85/79/843438579.db2.gz ZACQGYPBLVOULO-UHFFFAOYSA-N 0 1 280.291 0.645 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2ccn(C)n2)C1 ZINC001181644583 843551109 /nfs/dbraw/zinc/55/11/09/843551109.db2.gz SZRXPFIVCZYBJC-CYBMUJFWSA-N 0 1 278.356 0.313 20 30 CCEDMN N#Cc1c(N)nn(C(=O)Cc2[nH]nc3ccccc32)c1N ZINC001182058312 843699703 /nfs/dbraw/zinc/69/97/03/843699703.db2.gz ZTCZRFYUSVQYHJ-UHFFFAOYSA-N 0 1 281.279 0.678 20 30 CCEDMN COC1(OC)CC(C(=O)Nc2nc[nH]c2C#N)C1 ZINC001182469143 843832908 /nfs/dbraw/zinc/83/29/08/843832908.db2.gz ZDBZCSWZVCVYAP-UHFFFAOYSA-N 0 1 250.258 0.619 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)Cc1ccc2nc[nH]c2c1 ZINC001182845849 843921608 /nfs/dbraw/zinc/92/16/08/843921608.db2.gz MICKSZAXDZOHPV-NSHDSACASA-N 0 1 293.348 0.693 20 30 CCEDMN COCC#CC(=O)N1CCN(c2cccc3[nH]ncc32)CC1 ZINC001187127577 844655180 /nfs/dbraw/zinc/65/51/80/844655180.db2.gz ALRSTPRDFOMGDQ-UHFFFAOYSA-N 0 1 298.346 0.861 20 30 CCEDMN CCOCCS(=O)(=O)Nc1c(C#N)ncn1C ZINC001187251053 844688903 /nfs/dbraw/zinc/68/89/03/844688903.db2.gz ZDYVYIZBOMFMKY-UHFFFAOYSA-N 0 1 258.303 0.070 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CC3(F)F)[C@@H]2C1 ZINC001187771621 844754866 /nfs/dbraw/zinc/75/48/66/844754866.db2.gz JCOUWXSVWQIBPQ-RWMBFGLXSA-N 0 1 298.333 0.824 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)C(=O)NCC(F)F)[C@@H]2C1 ZINC001188406030 844889906 /nfs/dbraw/zinc/88/99/06/844889906.db2.gz YNYRUPNIXXKZPX-VHSXEESVSA-N 0 1 287.310 0.086 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCO2)C1 ZINC001188738656 844964663 /nfs/dbraw/zinc/96/46/63/844964663.db2.gz UBVBVNRKQCFFAK-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)CSC)C1 ZINC001188776412 844974677 /nfs/dbraw/zinc/97/46/77/844974677.db2.gz KZNUKGYVCGKMNJ-VXGBXAGGSA-N 0 1 299.440 0.573 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)CCCC)C1 ZINC001188942182 845002346 /nfs/dbraw/zinc/00/23/46/845002346.db2.gz SCUZOELSWFMTSO-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCCO2)C1 ZINC001189012665 845022906 /nfs/dbraw/zinc/02/29/06/845022906.db2.gz MCGSESFYKCNLEV-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN CCOCCC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189339695 845114491 /nfs/dbraw/zinc/11/44/91/845114491.db2.gz XWINMDXJDPZASH-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189379302 845119516 /nfs/dbraw/zinc/11/95/16/845119516.db2.gz IEGYZSOXMZUHAZ-AWEZNQCLSA-N 0 1 280.368 0.372 20 30 CCEDMN C=CCOCCCC(=O)N1CC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001189526404 845164263 /nfs/dbraw/zinc/16/42/63/845164263.db2.gz JCNFDHWPEQPTBU-OLZOCXBDSA-N 0 1 297.399 0.376 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COCCCOC)[C@@H]2C1 ZINC001189723975 845192722 /nfs/dbraw/zinc/19/27/22/845192722.db2.gz RNNCYMRXXSDMMK-UONOGXRCSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCC(=O)NCC)[C@@H]2C1 ZINC001189836678 845222052 /nfs/dbraw/zinc/22/20/52/845222052.db2.gz XQOIAYGJYDTLEK-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)CC(C)(C)O)C1 ZINC001189882152 845250559 /nfs/dbraw/zinc/25/05/59/845250559.db2.gz CTGKUFRWCWQYEF-CYBMUJFWSA-N 0 1 282.384 0.330 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](OC)C2CC2)C1 ZINC001189917633 845268982 /nfs/dbraw/zinc/26/89/82/845268982.db2.gz ITMYUUSUFHGBPI-UONOGXRCSA-N 0 1 264.369 0.967 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001190054933 845318593 /nfs/dbraw/zinc/31/85/93/845318593.db2.gz UYGDTJSCZUKDRX-QWHCGFSZSA-N 0 1 279.384 0.442 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](OCC)C2CC2)C1 ZINC001190203514 845366234 /nfs/dbraw/zinc/36/62/34/845366234.db2.gz KMSWRZBYCLYESP-KGLIPLIRSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)CCc2ccncn2)C1 ZINC001190405203 845396396 /nfs/dbraw/zinc/39/63/96/845396396.db2.gz FDSBCONEOPVPLH-HNNXBMFYSA-N 0 1 286.379 0.965 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCc2ccncn2)C1 ZINC001190405203 845396405 /nfs/dbraw/zinc/39/64/05/845396405.db2.gz FDSBCONEOPVPLH-HNNXBMFYSA-N 0 1 286.379 0.965 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001190614404 845436867 /nfs/dbraw/zinc/43/68/67/845436867.db2.gz YMVJIEOYBCJUMN-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN O=C1C=C2CN(S(=O)(=O)c3ncc[nH]3)CC[C@@H]2S1 ZINC001190699383 845457847 /nfs/dbraw/zinc/45/78/47/845457847.db2.gz XVALLVJBEPWMHJ-QMMMGPOBSA-N 0 1 285.350 0.373 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@]2(CC)CCNC2=O)C1 ZINC001190765049 845492656 /nfs/dbraw/zinc/49/26/56/845492656.db2.gz FWTOZVOXYOYBRY-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2snnc2C)C1 ZINC001190984367 845557617 /nfs/dbraw/zinc/55/76/17/845557617.db2.gz SERXTJWGWRANGP-GHMZBOCLSA-N 0 1 296.396 0.588 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCN(C(=O)CCOC[C@H]2CCCO2)C1 ZINC001191121171 845587548 /nfs/dbraw/zinc/58/75/48/845587548.db2.gz YYMZRDHRXSCVBJ-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCOC[C@H]2CCCO2)C1 ZINC001191121171 845587556 /nfs/dbraw/zinc/58/75/56/845587556.db2.gz YYMZRDHRXSCVBJ-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)/C(C)=C\CC)C1 ZINC001191492817 845662554 /nfs/dbraw/zinc/66/25/54/845662554.db2.gz WVSWBXFGYGNCOZ-NGPFNDBQSA-N 0 1 282.384 0.707 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1CN(C(C)C)C[C@H]1O ZINC001191622892 845699435 /nfs/dbraw/zinc/69/94/35/845699435.db2.gz ZVVVWRFRVZGSNB-YNEHKIRRSA-N 0 1 270.373 0.537 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)NC(=O)C(C)(C)C)C1 ZINC001191649649 845707405 /nfs/dbraw/zinc/70/74/05/845707405.db2.gz CEARWMNQPQHHRA-STQMWFEESA-N 0 1 293.411 0.703 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1O ZINC001191756706 845732361 /nfs/dbraw/zinc/73/23/61/845732361.db2.gz VQGKJKKGDFMIAS-CHWSQXEVSA-N 0 1 268.357 0.317 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@]2(F)CCOC2)C1 ZINC001191959554 845767297 /nfs/dbraw/zinc/76/72/97/845767297.db2.gz SVJTWWHWLHQAEK-OCCSQVGLSA-N 0 1 268.332 0.671 20 30 CCEDMN C=CCCCC(=O)N1CC[C@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001192073787 845780237 /nfs/dbraw/zinc/78/02/37/845780237.db2.gz XCPNADSJXKSBQA-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1C[N@H+](CCC=C)C[C@H]1O ZINC001192329446 845820317 /nfs/dbraw/zinc/82/03/17/845820317.db2.gz ZOXZGMXETHDZMD-ZIAGYGMSSA-N 0 1 264.369 0.917 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001192325556 845820469 /nfs/dbraw/zinc/82/04/69/845820469.db2.gz LUOANLVAZSEZPQ-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(C)(C)NC(=O)NCC)C1 ZINC001192672724 845889243 /nfs/dbraw/zinc/88/92/43/845889243.db2.gz XACNWPSKOHOGPE-GFCCVEGCSA-N 0 1 294.399 0.250 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001192752189 845910084 /nfs/dbraw/zinc/91/00/84/845910084.db2.gz VGGPOLQHEGDAMW-VXGBXAGGSA-N 0 1 256.321 0.167 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)/C=C(\C)CC)C1 ZINC001192741874 845898010 /nfs/dbraw/zinc/89/80/10/845898010.db2.gz BXODOLSYLDPMIM-DBLGQFABSA-N 0 1 282.384 0.707 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)CCC(C)C)C1 ZINC001192889364 845926021 /nfs/dbraw/zinc/92/60/21/845926021.db2.gz WAVQIHAKCAXLET-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)Cc2ncc[nH]2)C1 ZINC001193047392 845964147 /nfs/dbraw/zinc/96/41/47/845964147.db2.gz FNVUXNCSMLTMHZ-CYBMUJFWSA-N 0 1 290.367 0.135 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)C(C)(C)C(=O)OCC)cc[nH]1 ZINC001193013872 845966997 /nfs/dbraw/zinc/96/69/97/845966997.db2.gz ZFLPXWAVLVBMIS-UHFFFAOYSA-N 0 1 296.348 0.568 20 30 CCEDMN C#Cc1cc(NS(=O)(=O)C(C)(C)C(=O)OCC)ccn1 ZINC001193013872 845966999 /nfs/dbraw/zinc/96/69/99/845966999.db2.gz ZFLPXWAVLVBMIS-UHFFFAOYSA-N 0 1 296.348 0.568 20 30 CCEDMN C#CCOCC[N@@H+](C)[C@H](C)c1nc(-c2nnc[n-]2)no1 ZINC001193021043 845968169 /nfs/dbraw/zinc/96/81/69/845968169.db2.gz GNVXAMZSBZGMHX-SECBINFHSA-N 0 1 276.300 0.497 20 30 CCEDMN C#CCOCC[N@H+](C)[C@H](C)c1nc(-c2nnc[n-]2)no1 ZINC001193021043 845968176 /nfs/dbraw/zinc/96/81/76/845968176.db2.gz GNVXAMZSBZGMHX-SECBINFHSA-N 0 1 276.300 0.497 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cn(CCC)nn2)C1 ZINC001193077781 845972988 /nfs/dbraw/zinc/97/29/88/845972988.db2.gz NILFCFLWWWTXCG-CYBMUJFWSA-N 0 1 289.383 0.858 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccc2nccn2c1 ZINC001193103771 845976866 /nfs/dbraw/zinc/97/68/66/845976866.db2.gz BLTIBISWCOBTMX-MRVPVSSYSA-N 0 1 250.283 0.988 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cccc(CO)c1F ZINC001193151181 846005934 /nfs/dbraw/zinc/00/59/34/846005934.db2.gz OBQLHBKEKOPEMK-ZETCQYMHSA-N 0 1 258.274 0.972 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N[C@H]1Oc2ccc(CCO)cc2O1 ZINC001193192818 846013776 /nfs/dbraw/zinc/01/37/76/846013776.db2.gz GDNXQKPVWQKFKM-UFBFGSQYSA-N 0 1 298.320 0.108 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C[C@H](C)COC)C1 ZINC001193195967 846014479 /nfs/dbraw/zinc/01/44/79/846014479.db2.gz SQWKDONWTLFLNO-GJZGRUSLSA-N 0 1 296.411 0.842 20 30 CCEDMN CCOC(=O)[C@@H](NS(=O)(=O)[C@H](C)C#N)c1cccnc1 ZINC001193182581 846025907 /nfs/dbraw/zinc/02/59/07/846025907.db2.gz OMLLDIGHIHJWOL-KOLCDFICSA-N 0 1 297.336 0.517 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnc(OC)nc2)C1 ZINC001193227446 846038618 /nfs/dbraw/zinc/03/86/18/846038618.db2.gz GCRJAYPVLIHFFG-CYBMUJFWSA-N 0 1 288.351 0.655 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001193365235 846062563 /nfs/dbraw/zinc/06/25/63/846062563.db2.gz BRGWQYLSKXTWSA-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2ccncn2)C1 ZINC001193362647 846063272 /nfs/dbraw/zinc/06/32/72/846063272.db2.gz XAQZJQSFWHRAJZ-ZIAGYGMSSA-N 0 1 290.367 0.147 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCCCC(N)=O)C1 ZINC001193390326 846068697 /nfs/dbraw/zinc/06/86/97/846068697.db2.gz PVAKYFYZYJIZAH-ZDUSSCGKSA-N 0 1 279.384 0.588 20 30 CCEDMN N#Cc1cncc(NS(=O)(=O)CC2CCC2)n1 ZINC001193471716 846086513 /nfs/dbraw/zinc/08/65/13/846086513.db2.gz ZYJZDBPVYHBWNF-UHFFFAOYSA-N 0 1 252.299 0.890 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC(C)C)NC(C)=O)C1 ZINC001193580532 846111394 /nfs/dbraw/zinc/11/13/94/846111394.db2.gz VBSYCVWSKYSJMS-CABCVRRESA-N 0 1 293.411 0.703 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](CC(C)C)NC(C)=O)C1 ZINC001193580533 846111555 /nfs/dbraw/zinc/11/15/55/846111555.db2.gz VBSYCVWSKYSJMS-GJZGRUSLSA-N 0 1 293.411 0.703 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccn(C)n2)C1 ZINC001194018457 846198119 /nfs/dbraw/zinc/19/81/19/846198119.db2.gz ZKTNENLVVZRIPH-GFCCVEGCSA-N 0 1 260.341 0.590 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CNC(=O)C(CC)CC)C1 ZINC001194580376 846328926 /nfs/dbraw/zinc/32/89/26/846328926.db2.gz BUBKDQQXCRVJFP-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001195518381 846563815 /nfs/dbraw/zinc/56/38/15/846563815.db2.gz TXCKSRQLOYRAHI-GZBLMMOJSA-N 0 1 294.395 0.396 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(CCCO)C[C@H]1O ZINC001195567036 846584727 /nfs/dbraw/zinc/58/47/27/846584727.db2.gz HEJWLUGWOCJJFF-JHJVBQTASA-N 0 1 270.373 0.132 20 30 CCEDMN C#CCCCC(=O)N1CCCN(CCOCCO)CC1 ZINC001195674928 846596890 /nfs/dbraw/zinc/59/68/90/846596890.db2.gz NFJPPMLDIQLBPE-UHFFFAOYSA-N 0 1 282.384 0.333 20 30 CCEDMN C#CCCCC(=O)N1CCCN(CCn2cncn2)CC1 ZINC001195681840 846597741 /nfs/dbraw/zinc/59/77/41/846597741.db2.gz KNFYVDGCJKNTEJ-UHFFFAOYSA-N 0 1 289.383 0.616 20 30 CCEDMN CC(=O)N1C[C@@H]2C[C@]2(NC(=O)c2ccc(C#N)cc2O)C1 ZINC001195758769 846609843 /nfs/dbraw/zinc/60/98/43/846609843.db2.gz SCZBWVNQWPOWDM-NHYWBVRUSA-N 0 1 285.303 0.614 20 30 CCEDMN Cn1cnc(CCNC(=O)c2ccc(C#N)cc2O)c1 ZINC001195757882 846610737 /nfs/dbraw/zinc/61/07/37/846610737.db2.gz HHHILLFRZVQHML-UHFFFAOYSA-N 0 1 270.292 0.970 20 30 CCEDMN CC(C)[C@@](C)(NC(=O)c1ccc(C#N)cc1O)C(N)=O ZINC001195763690 846611569 /nfs/dbraw/zinc/61/15/69/846611569.db2.gz AZUYRAAOQBUMGQ-CQSZACIVSA-N 0 1 275.308 0.894 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCCN(CC=C)CC1 ZINC001195792701 846624535 /nfs/dbraw/zinc/62/45/35/846624535.db2.gz YJQQCFSAJNJDBM-ZDUSSCGKSA-N 0 1 250.342 0.745 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCCN([C@H](C)COC)CC1 ZINC001195805945 846631766 /nfs/dbraw/zinc/63/17/66/846631766.db2.gz IKVDVVXFHGEREL-ZIAGYGMSSA-N 0 1 282.384 0.594 20 30 CCEDMN C#CCC1(C(=O)N2CCCN(CCO)CC2)CCOCC1 ZINC001196139199 846689407 /nfs/dbraw/zinc/68/94/07/846689407.db2.gz UVCNIVCBIRZXKW-UHFFFAOYSA-N 0 1 294.395 0.333 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccnnc2)C1 ZINC001196377137 846737748 /nfs/dbraw/zinc/73/77/48/846737748.db2.gz NHJVIRYBLMUBMD-CHWSQXEVSA-N 0 1 276.340 0.218 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)Cc2c[nH]cn2)C1 ZINC001196826440 846807730 /nfs/dbraw/zinc/80/77/30/846807730.db2.gz CHFYSTTXSWBLHQ-IACUBPJLSA-N 0 1 292.383 0.326 20 30 CCEDMN C#Cc1cnc(NS(=O)(=O)Cc2cccnc2)c(C#C)n1 ZINC001197423256 846908240 /nfs/dbraw/zinc/90/82/40/846908240.db2.gz WQMIWFCODPQBCO-UHFFFAOYSA-N 0 1 298.327 0.776 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2CC(=O)N1CCCC1 ZINC001273764362 847092525 /nfs/dbraw/zinc/09/25/25/847092525.db2.gz ACWXYOXKLWAWBO-ZIAGYGMSSA-N 0 1 289.379 0.307 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2CC(=O)N1CCCC1 ZINC001273764362 847092534 /nfs/dbraw/zinc/09/25/34/847092534.db2.gz ACWXYOXKLWAWBO-ZIAGYGMSSA-N 0 1 289.379 0.307 20 30 CCEDMN C#CCOC[C@H](O)CN1CCC[C@H](c2noc(C)n2)C1 ZINC001251832978 847189818 /nfs/dbraw/zinc/18/98/18/847189818.db2.gz WMMKRAAKHUSWHD-QWHCGFSZSA-N 0 1 279.340 0.568 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC(C2CC2)C2CC2)C1 ZINC001199191860 847236585 /nfs/dbraw/zinc/23/65/85/847236585.db2.gz NFGCRJZMEOKAQQ-HZPDHXFCSA-N 0 1 290.407 0.997 20 30 CCEDMN CCOC(=O)c1cc(NC(=O)c2cnc(C#N)cn2)n[nH]1 ZINC001199662083 847387551 /nfs/dbraw/zinc/38/75/51/847387551.db2.gz PEGAHCWPWBDCDW-UHFFFAOYSA-N 0 1 286.251 0.500 20 30 CCEDMN N#Cc1cnc(C(=O)NCc2ccc3[nH]nnc3c2)cn1 ZINC001199669415 847391861 /nfs/dbraw/zinc/39/18/61/847391861.db2.gz NVRUEBOUQQUUHT-UHFFFAOYSA-N 0 1 279.263 0.550 20 30 CCEDMN N#Cc1cnc(C(=O)NCc2ccc3nn[nH]c3c2)cn1 ZINC001199669415 847391874 /nfs/dbraw/zinc/39/18/74/847391874.db2.gz NVRUEBOUQQUUHT-UHFFFAOYSA-N 0 1 279.263 0.550 20 30 CCEDMN C#CCN1CC[C@]2(CC[N@H+](Cc3nnc(C)o3)C2)C1=O ZINC001273792075 847464996 /nfs/dbraw/zinc/46/49/96/847464996.db2.gz HSTQJSSRJNOLGO-AWEZNQCLSA-N 0 1 274.324 0.436 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3nnc(C)o3)C2)C1=O ZINC001273792075 847465007 /nfs/dbraw/zinc/46/50/07/847465007.db2.gz HSTQJSSRJNOLGO-AWEZNQCLSA-N 0 1 274.324 0.436 20 30 CCEDMN CC#CC[NH2+]C1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC001199994577 847516634 /nfs/dbraw/zinc/51/66/34/847516634.db2.gz WNIDCWPRBNHBFJ-UHFFFAOYSA-N 0 1 275.356 0.341 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCN[C@@H](C)c1n[nH]c(CC)n1 ZINC001153149004 847934719 /nfs/dbraw/zinc/93/47/19/847934719.db2.gz QDDAVUACHFCAKX-QWRGUYRKSA-N 0 1 295.387 0.725 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@H](C(N)=O)c1ccccc1 ZINC001252481666 847983382 /nfs/dbraw/zinc/98/33/82/847983382.db2.gz FJUNWEXTQBSZHC-STQMWFEESA-N 0 1 264.325 0.366 20 30 CCEDMN CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(C)c1[O-])C2 ZINC001095316084 847999329 /nfs/dbraw/zinc/99/93/29/847999329.db2.gz HITFBSNVDMLUGN-WOPDTQHZSA-N 0 1 288.351 0.782 20 30 CCEDMN CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(C)c1[O-])C2 ZINC001095316084 847999333 /nfs/dbraw/zinc/99/93/33/847999333.db2.gz HITFBSNVDMLUGN-WOPDTQHZSA-N 0 1 288.351 0.782 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)CCc2nc[nH]n2)C12CCC2 ZINC001202724270 848220406 /nfs/dbraw/zinc/22/04/06/848220406.db2.gz ISJQQOPGEZLIDH-QWRGUYRKSA-N 0 1 288.355 0.278 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1COCCN1C)C2 ZINC001095334935 848246738 /nfs/dbraw/zinc/24/67/38/848246738.db2.gz OMTBYNQMNYDYKQ-RFGFWPKPSA-N 0 1 279.384 0.225 20 30 CCEDMN C=CCC1(O)CCN([C@H]2CC(=O)N(C)C2=O)CC1 ZINC000717423517 848261527 /nfs/dbraw/zinc/26/15/27/848261527.db2.gz JSPOYYSYGGUWGT-JTQLQIEISA-N 0 1 252.314 0.147 20 30 CCEDMN N#CCCN1CC[C@@]2(CCN(Cc3ncccn3)C2=O)C1 ZINC001274031985 848310996 /nfs/dbraw/zinc/31/09/96/848310996.db2.gz DAKNZYGYLSYOPG-OAHLLOKOSA-N 0 1 285.351 0.815 20 30 CCEDMN N#CCCN1CC[C@@]2(CCN(C[C@@H]3CCC(=O)N3)C2=O)C1 ZINC001274032814 848314050 /nfs/dbraw/zinc/31/40/50/848314050.db2.gz WLLIORKEWFLEQA-SWLSCSKDSA-N 0 1 290.367 0.103 20 30 CCEDMN C=CC(C)(C)CC(=O)NC/C=C\CN[C@H]1CCNC1=O ZINC001274069481 848338632 /nfs/dbraw/zinc/33/86/32/848338632.db2.gz SECREDRIXXXAQC-FJOGCWAESA-N 0 1 279.384 0.739 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CC(=O)NCC)C(C)(C)C1 ZINC001274424425 848474037 /nfs/dbraw/zinc/47/40/37/848474037.db2.gz DROWVVIXZWQTBY-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)CN[C@@H](C)c1cnccn1 ZINC001274702699 848545404 /nfs/dbraw/zinc/54/54/04/848545404.db2.gz SWXDXANJSFREPH-NEPJUHHUSA-N 0 1 278.356 0.835 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H](C)[C@@H]2CCCO2)CC1 ZINC001274930138 848595185 /nfs/dbraw/zinc/59/51/85/848595185.db2.gz SBUQULYLFHBEDJ-KBPBESRZSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H](C)[C@H]2CCCO2)CC1 ZINC001274930140 848595468 /nfs/dbraw/zinc/59/54/68/848595468.db2.gz SBUQULYLFHBEDJ-UONOGXRCSA-N 0 1 294.395 0.378 20 30 CCEDMN Cc1ncc(CNC[C@H](C)NC(=O)CSCC#N)o1 ZINC001275077639 848631185 /nfs/dbraw/zinc/63/11/85/848631185.db2.gz DATHVGYCVBBHJI-VIFPVBQESA-N 0 1 282.369 0.834 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)Cc1nnc(C)[nH]1 ZINC001275109208 848641062 /nfs/dbraw/zinc/64/10/62/848641062.db2.gz XWIYKTFIVPXPEP-ZDUSSCGKSA-N 0 1 289.383 0.602 20 30 CCEDMN CCOCC(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001275497311 848740592 /nfs/dbraw/zinc/74/05/92/848740592.db2.gz SFOIPDODBKNTHL-LBPRGKRZSA-N 0 1 256.346 0.109 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1snnc1C ZINC001275507321 848743294 /nfs/dbraw/zinc/74/32/94/848743294.db2.gz YRMCCWCWLAZMPG-QMMMGPOBSA-N 0 1 252.343 0.530 20 30 CCEDMN C=CC[N@H+](C)C[C@@H](C)NC(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001275593176 848770515 /nfs/dbraw/zinc/77/05/15/848770515.db2.gz ZMONRPCBAWNOCI-SNVBAGLBSA-N 0 1 297.359 0.092 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnn(-c2ccccn2)c1 ZINC001275666016 848786648 /nfs/dbraw/zinc/78/66/48/848786648.db2.gz QRAUJWFKBDMSGQ-ZDUSSCGKSA-N 0 1 297.362 0.951 20 30 CCEDMN C[C@@H](CN(C)CC#N)NC(=O)c1ccc2[nH]nnc2c1 ZINC001275712928 848798002 /nfs/dbraw/zinc/79/80/02/848798002.db2.gz LTDGMPGSKUCJFA-VIFPVBQESA-N 0 1 272.312 0.532 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001275739710 848805719 /nfs/dbraw/zinc/80/57/19/848805719.db2.gz ZOTUPATVZWHXLA-GXTWGEPZSA-N 0 1 295.427 0.997 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccn(C(F)F)n1 ZINC001275846150 848844368 /nfs/dbraw/zinc/84/43/68/848844368.db2.gz VKTAULUSAVFWIQ-SECBINFHSA-N 0 1 270.283 0.962 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCNC1=O)C2 ZINC001095572097 848990933 /nfs/dbraw/zinc/99/09/33/848990933.db2.gz PKOUTCDVUMHEGC-RFGFWPKPSA-N 0 1 289.379 0.257 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cncn1C)C2 ZINC001095702037 849010961 /nfs/dbraw/zinc/01/09/61/849010961.db2.gz IYDOIXXJVFDGTP-WOPDTQHZSA-N 0 1 260.341 0.941 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3nnnn3c1)C2 ZINC001095757271 849135400 /nfs/dbraw/zinc/13/54/00/849135400.db2.gz ZGGYBYIPVGRBKW-AGIUHOORSA-N 0 1 298.350 0.645 20 30 CCEDMN C=C[C@H](O)CNCc1ccc(S(C)(=O)=O)cn1 ZINC001253599592 849609672 /nfs/dbraw/zinc/60/96/72/849609672.db2.gz QGQOWHJBWGIRBT-JTQLQIEISA-N 0 1 256.327 0.122 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1Nc1cnc(C#N)cn1 ZINC001067030154 849715356 /nfs/dbraw/zinc/71/53/56/849715356.db2.gz QTSRFZKOWMCHQQ-SKDRFNHKSA-N 0 1 297.322 0.644 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCCNC1=O ZINC001038366022 849865608 /nfs/dbraw/zinc/86/56/08/849865608.db2.gz IXJPSIFUCCOGMF-RYUDHWBXSA-N 0 1 265.357 0.279 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001038431787 849895709 /nfs/dbraw/zinc/89/57/09/849895709.db2.gz MAUQWNIDAUFKEE-RYUDHWBXSA-N 0 1 265.357 0.279 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001038431785 849896721 /nfs/dbraw/zinc/89/67/21/849896721.db2.gz MAUQWNIDAUFKEE-NEPJUHHUSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001038443637 849901890 /nfs/dbraw/zinc/90/18/90/849901890.db2.gz VFTFWORKUUMQLV-SNVBAGLBSA-N 0 1 258.325 0.336 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2C[C@@H](C)O)nc1 ZINC001038929237 850087244 /nfs/dbraw/zinc/08/72/44/850087244.db2.gz AXODEELCCRCNRU-DGCLKSJQSA-N 0 1 273.336 0.248 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C1CCN(C(N)=O)CC1 ZINC001038997971 850113200 /nfs/dbraw/zinc/11/32/00/850113200.db2.gz BGOVEYKBWSPFGJ-CYBMUJFWSA-N 0 1 294.399 0.544 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(=O)n(C)n1 ZINC001038992447 850113599 /nfs/dbraw/zinc/11/35/99/850113599.db2.gz AGBCWSLJDNJEHJ-LLVKDONJSA-N 0 1 276.340 0.161 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(C(N)=O)cn1 ZINC001039069940 850145733 /nfs/dbraw/zinc/14/57/33/850145733.db2.gz LMRLTKGATQHTNZ-GFCCVEGCSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]([C@H]2CCCCN2C(=O)c2cnn[n-]2)C1 ZINC001039215431 850164812 /nfs/dbraw/zinc/16/48/12/850164812.db2.gz WUTAOBHXXWRFML-GXTWGEPZSA-N 0 1 287.367 0.755 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(C)n[nH]1 ZINC001039362948 850178815 /nfs/dbraw/zinc/17/88/15/850178815.db2.gz UTWOLHWRNAIEEM-CABCVRRESA-N 0 1 286.379 0.959 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCC(=O)N1 ZINC001039391108 850185028 /nfs/dbraw/zinc/18/50/28/850185028.db2.gz ZNUQTGDNMYOWPX-HZSPNIEDSA-N 0 1 289.379 0.354 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@]2(C1)CCCN(CC#N)C2 ZINC001040322748 850284175 /nfs/dbraw/zinc/28/41/75/850284175.db2.gz LXPAOHSIFBVBIS-AWEZNQCLSA-N 0 1 288.355 0.565 20 30 CCEDMN N#CCN1CCC[C@]2(CCN(C(=O)CN3CCCC3)C2)C1 ZINC001040531640 850300127 /nfs/dbraw/zinc/30/01/27/850300127.db2.gz RALNPIPMKBZEJD-INIZCTEOSA-N 0 1 290.411 0.920 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc[n+]([O-])cc3)C[C@@H]21 ZINC001041918716 850526927 /nfs/dbraw/zinc/52/69/27/850526927.db2.gz CIGSCOGEVSHUAL-ZFWWWQNUSA-N 0 1 285.347 0.490 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc[n+]([O-])cc3)C[C@H]21 ZINC001041918713 850528535 /nfs/dbraw/zinc/52/85/35/850528535.db2.gz CIGSCOGEVSHUAL-DZGCQCFKSA-N 0 1 285.347 0.490 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnn(C)c3C)C[C@@H]21 ZINC001041938088 850533134 /nfs/dbraw/zinc/53/31/34/850533134.db2.gz AKRSKMKCDIFNPR-HIFRSBDPSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3cc[nH]n3)C[C@H]21 ZINC001041959022 850539002 /nfs/dbraw/zinc/53/90/02/850539002.db2.gz VLHFBQLTQFIFFI-DGCLKSJQSA-N 0 1 258.325 0.579 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cc[nH]n3)C[C@H]21 ZINC001041959022 850539011 /nfs/dbraw/zinc/53/90/11/850539011.db2.gz VLHFBQLTQFIFFI-DGCLKSJQSA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc[nH]n3)C[C@H]21 ZINC001041959022 850539020 /nfs/dbraw/zinc/53/90/20/850539020.db2.gz VLHFBQLTQFIFFI-DGCLKSJQSA-N 0 1 258.325 0.579 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cn[nH]n3)C[C@@H]21 ZINC001042131768 850581937 /nfs/dbraw/zinc/58/19/37/850581937.db2.gz WUTQRBUXAPFJMR-YPMHNXCESA-N 0 1 273.340 0.364 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccnnc3C)C[C@@H]21 ZINC001042132403 850583218 /nfs/dbraw/zinc/58/32/18/850583218.db2.gz CHUYBDXJZTVZPG-HIFRSBDPSA-N 0 1 284.363 0.955 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001042602121 850709209 /nfs/dbraw/zinc/70/92/09/850709209.db2.gz KXXOUUONOXIXHL-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN CN(C(=O)c1n[nH]cc1C(F)(F)F)C1CN(CC#N)C1 ZINC001042888846 850775589 /nfs/dbraw/zinc/77/55/89/850775589.db2.gz REWDDSWWEUYVIS-UHFFFAOYSA-N 0 1 287.245 0.708 20 30 CCEDMN CN(C(=O)c1nc[nH]c1C(F)(F)F)C1CN(CC#N)C1 ZINC001042931206 850783058 /nfs/dbraw/zinc/78/30/58/850783058.db2.gz XTNQULFHNXWSMU-UHFFFAOYSA-N 0 1 287.245 0.708 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC001045599182 851286057 /nfs/dbraw/zinc/28/60/57/851286057.db2.gz PDAWGNKPOQWCPL-UHFFFAOYSA-N 0 1 288.351 0.331 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cc[nH]c(=O)c2)C1 ZINC001046229753 851431985 /nfs/dbraw/zinc/43/19/85/851431985.db2.gz BFDHFLJNVIWIPF-AWEZNQCLSA-N 0 1 259.309 0.615 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001046364189 851476776 /nfs/dbraw/zinc/47/67/76/851476776.db2.gz IHBXYWSWTROMOX-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001046363942 851477685 /nfs/dbraw/zinc/47/76/85/851477685.db2.gz GXAMJHNIFVRLDS-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001046440137 851506391 /nfs/dbraw/zinc/50/63/91/851506391.db2.gz JQLOJIDXQCSUOP-OAHLLOKOSA-N 0 1 273.336 0.213 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ncc(OC)cn2)C1 ZINC001046470869 851518982 /nfs/dbraw/zinc/51/89/82/851518982.db2.gz RDYUCEGAFFUHTR-CQSZACIVSA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001046507064 851527762 /nfs/dbraw/zinc/52/77/62/851527762.db2.gz GBFLIQSDVJYISU-AYDFFVQHSA-N 0 1 292.379 0.252 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cncc(OC)n2)C1 ZINC001046681069 851580607 /nfs/dbraw/zinc/58/06/07/851580607.db2.gz KTWMBGVKAPXKMK-AWEZNQCLSA-N 0 1 276.340 0.865 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cccn(C)c2=O)C1 ZINC001046778982 851611474 /nfs/dbraw/zinc/61/14/74/851611474.db2.gz MBYWJWACOJZPJI-INIZCTEOSA-N 0 1 287.363 0.603 20 30 CCEDMN CC#CC[N@H+]1CC[C@](C)(NC(=O)c2cccn(C)c2=O)C1 ZINC001046778982 851611469 /nfs/dbraw/zinc/61/14/69/851611469.db2.gz MBYWJWACOJZPJI-INIZCTEOSA-N 0 1 287.363 0.603 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cnn(CCOC)c2)C1 ZINC001046805764 851618704 /nfs/dbraw/zinc/61/87/04/851618704.db2.gz MTKMKDPHUIFGQO-OAHLLOKOSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001046855595 851632429 /nfs/dbraw/zinc/63/24/29/851632429.db2.gz VIKHJNQAKLVCOH-WBMJQRKESA-N 0 1 286.379 0.728 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001046854180 851632870 /nfs/dbraw/zinc/63/28/70/851632870.db2.gz LOTDABRDRHVYNB-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(C)n1)C2 ZINC001096165948 851679016 /nfs/dbraw/zinc/67/90/16/851679016.db2.gz XBLOVIAZBAOIKV-SCVCMEIPSA-N 0 1 261.329 0.336 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccoc2)C1 ZINC001047302033 851705295 /nfs/dbraw/zinc/70/52/95/851705295.db2.gz CPJGQRJNHXVHBG-STQMWFEESA-N 0 1 264.325 0.973 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccnn2CC)C1 ZINC001047361638 851737515 /nfs/dbraw/zinc/73/75/15/851737515.db2.gz PNDUKKRGVLZQQP-KBPBESRZSA-N 0 1 290.367 0.043 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnon1)C2 ZINC001096206470 851798807 /nfs/dbraw/zinc/79/88/07/851798807.db2.gz FAWYQKIZGFXMML-SCVCMEIPSA-N 0 1 262.313 0.981 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H](C)c2ccco2)C1 ZINC001047525985 851801574 /nfs/dbraw/zinc/80/15/74/851801574.db2.gz DDPCMLYROBLPTJ-IHRRRGAJSA-N 0 1 290.363 0.910 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)[nH]c2=O)C1 ZINC001047545112 851811575 /nfs/dbraw/zinc/81/15/75/851811575.db2.gz MFALJQMRBCLZCH-STQMWFEESA-N 0 1 291.351 0.399 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1ncn[nH]1)C2 ZINC001048574037 851976636 /nfs/dbraw/zinc/97/66/36/851976636.db2.gz ZARGYSLANCYLCM-JGPRNRPPSA-N 0 1 274.328 0.301 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1nc[nH]n1)C2 ZINC001048574037 851976643 /nfs/dbraw/zinc/97/66/43/851976643.db2.gz ZARGYSLANCYLCM-JGPRNRPPSA-N 0 1 274.328 0.301 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)CN1CCCC1=O ZINC001049317678 852226106 /nfs/dbraw/zinc/22/61/06/852226106.db2.gz DNHCCCQFCRMYSL-KGLIPLIRSA-N 0 1 289.379 0.307 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)OC ZINC001049362214 852241165 /nfs/dbraw/zinc/24/11/65/852241165.db2.gz USAHICAAMLHSTK-FRRDWIJNSA-N 0 1 250.342 0.720 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C[C@@H]1COC(=O)C1 ZINC001049428752 852269558 /nfs/dbraw/zinc/26/95/58/852269558.db2.gz VUTHMOVHOUJPIS-BFHYXJOUSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnn(C)c1N ZINC001049436786 852272258 /nfs/dbraw/zinc/27/22/58/852272258.db2.gz KONCHDDDUURHMX-OLZOCXBDSA-N 0 1 287.367 0.314 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1cnn(C)c1N ZINC001049436786 852272245 /nfs/dbraw/zinc/27/22/45/852272245.db2.gz KONCHDDDUURHMX-OLZOCXBDSA-N 0 1 287.367 0.314 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1OCCO[C@H]1C ZINC001049534670 852305356 /nfs/dbraw/zinc/30/53/56/852305356.db2.gz FIJHPFGNRMBDEP-XQLPTFJDSA-N 0 1 292.379 0.489 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCC(=O)N1C ZINC001049648643 852332215 /nfs/dbraw/zinc/33/22/15/852332215.db2.gz XSFQFEIRRVDAME-MJBXVCDLSA-N 0 1 289.379 0.306 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@H]1CCC(=O)N1C ZINC001049670493 852344195 /nfs/dbraw/zinc/34/41/95/852344195.db2.gz XSFQFEIRRVDAME-MELADBBJSA-N 0 1 289.379 0.306 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@@H]1CCOC1 ZINC001049820841 852381296 /nfs/dbraw/zinc/38/12/96/852381296.db2.gz OLQCLVLGJBLJGV-MCIONIFRSA-N 0 1 262.353 0.721 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049844897 852383046 /nfs/dbraw/zinc/38/30/46/852383046.db2.gz VNYTYXWJSLIPMS-STQMWFEESA-N 0 1 287.367 0.436 20 30 CCEDMN CC#CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049844897 852383057 /nfs/dbraw/zinc/38/30/57/852383057.db2.gz VNYTYXWJSLIPMS-STQMWFEESA-N 0 1 287.367 0.436 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc(C)n[nH]1)C2 ZINC001096942525 852474997 /nfs/dbraw/zinc/47/49/97/852474997.db2.gz LFSJPSIGKYZNNL-MCIONIFRSA-N 0 1 272.352 0.615 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc(C)[nH]n1)C2 ZINC001096942525 852474999 /nfs/dbraw/zinc/47/49/99/852474999.db2.gz LFSJPSIGKYZNNL-MCIONIFRSA-N 0 1 272.352 0.615 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1CN(C(C)=O)C1)C2 ZINC001097007706 852486113 /nfs/dbraw/zinc/48/61/13/852486113.db2.gz PUOGLUGYZYRWNB-KFWWJZLASA-N 0 1 289.379 0.210 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnn(C)c1C)C2 ZINC001097196214 852512520 /nfs/dbraw/zinc/51/25/20/852512520.db2.gz UUVXXMAZAFZDCZ-KFWWJZLASA-N 0 1 286.379 0.626 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccnc3n[nH]nc31)C2 ZINC001097500565 852542273 /nfs/dbraw/zinc/54/22/73/852542273.db2.gz KYVWNJKBGARLNV-JLLWLGSASA-N 0 1 298.350 0.874 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCC(=O)N1C)C2 ZINC001097698534 852580613 /nfs/dbraw/zinc/58/06/13/852580613.db2.gz FXJBTRVUUFISNS-FVCCEPFGSA-N 0 1 277.368 0.515 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3C[C@H](C)O)c1 ZINC001097812911 852626577 /nfs/dbraw/zinc/62/65/77/852626577.db2.gz GPSKVEHNJTUPDT-SRMUXQRQSA-N 0 1 299.374 0.779 20 30 CCEDMN C=CCN1CC2(C1)CN(C(=O)c1cc(CC)[nH]n1)CCO2 ZINC001053162523 852697489 /nfs/dbraw/zinc/69/74/89/852697489.db2.gz LMKFNMRZOBDCNK-UHFFFAOYSA-N 0 1 290.367 0.685 20 30 CCEDMN C=CCCN1CC2(C1)CN(C(=O)c1cc(C)[nH]n1)CCO2 ZINC001053174849 852702082 /nfs/dbraw/zinc/70/20/82/852702082.db2.gz UYQGVHYUXKVMCR-UHFFFAOYSA-N 0 1 290.367 0.821 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@H](CNC(=O)c1cn[nH]c1)O2 ZINC001053578913 852764173 /nfs/dbraw/zinc/76/41/73/852764173.db2.gz PSFISUIYTXTGMV-CYBMUJFWSA-N 0 1 290.367 0.949 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)[C@@H]1CCCCN1C)CO2 ZINC001053848390 852835059 /nfs/dbraw/zinc/83/50/59/852835059.db2.gz YWVZSMPJVYPDTK-KGLIPLIRSA-N 0 1 293.411 0.616 20 30 CCEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)CC(N)=O)C2 ZINC001054104986 852883861 /nfs/dbraw/zinc/88/38/61/852883861.db2.gz MVEQQKNBCDRPLW-CQSZACIVSA-N 0 1 299.802 0.929 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001054175128 852890769 /nfs/dbraw/zinc/89/07/69/852890769.db2.gz HZKPWZBJYLYOBB-INIZCTEOSA-N 0 1 293.411 0.998 20 30 CCEDMN N#CCN1Cc2ccc(CNC(=O)c3ncn[nH]3)cc2C1 ZINC001054286187 852901777 /nfs/dbraw/zinc/90/17/77/852901777.db2.gz YANKQOHYMZIKKZ-UHFFFAOYSA-N 0 1 282.307 0.574 20 30 CCEDMN N#CCN1Cc2ccc(CNC(=O)c3nc[nH]n3)cc2C1 ZINC001054286187 852901782 /nfs/dbraw/zinc/90/17/82/852901782.db2.gz YANKQOHYMZIKKZ-UHFFFAOYSA-N 0 1 282.307 0.574 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CN2CN=NC2=O)C[C@H]1C ZINC001054582123 852974286 /nfs/dbraw/zinc/97/42/86/852974286.db2.gz RBALUKJGGQKGOM-SCZZXKLOSA-N 0 1 299.762 0.173 20 30 CCEDMN C[C@@H]1CN(C(=O)C#CC2CC2)C[C@H]1NCc1nccn1C ZINC001054640512 852986365 /nfs/dbraw/zinc/98/63/65/852986365.db2.gz ZCFXINNECZWGNO-TZMCWYRMSA-N 0 1 286.379 0.770 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2nnn(C)n2)C[C@H]1C ZINC001054981288 853049695 /nfs/dbraw/zinc/04/96/95/853049695.db2.gz MFNKSOZTUBWNKM-VXNVDRBHSA-N 0 1 284.751 0.013 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3cnn(C)n3)[C@@H]2C1 ZINC001050161299 853328444 /nfs/dbraw/zinc/32/84/44/853328444.db2.gz PVPZSMLXICBVAF-GXTWGEPZSA-N 0 1 287.367 0.375 20 30 CCEDMN C#CC[NH+]1CCC2(CN(C(=O)c3ncccc3[O-])C2)CC1 ZINC001050496046 853386497 /nfs/dbraw/zinc/38/64/97/853386497.db2.gz MLIAEPXCFUESBQ-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(C)ccc3=O)C2)CC1 ZINC001050586167 853401416 /nfs/dbraw/zinc/40/14/16/853401416.db2.gz DSCMNIHLJUWRSF-UHFFFAOYSA-N 0 1 299.374 0.557 20 30 CCEDMN N#Cc1ccc(N[C@H]2CCCN(C(=O)c3ccn[nH]3)C2)nn1 ZINC001057590767 853435023 /nfs/dbraw/zinc/43/50/23/853435023.db2.gz GXWDJXOHRQCZCM-NSHDSACASA-N 0 1 297.322 0.788 20 30 CCEDMN C=CCN1CCOC[C@H]1CNC(=O)[C@@H]1CCCN1C ZINC001050838088 853453472 /nfs/dbraw/zinc/45/34/72/853453472.db2.gz IOWXNNRHTJPQJJ-OLZOCXBDSA-N 0 1 267.373 0.084 20 30 CCEDMN C=CCN1CCOC[C@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001050981443 853500920 /nfs/dbraw/zinc/50/09/20/853500920.db2.gz GWCYSHOFLUAZHE-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN COCCN1CCN([C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001051997968 853671478 /nfs/dbraw/zinc/67/14/78/853671478.db2.gz LJNDJHFQJSKUCI-UONOGXRCSA-N 0 1 294.399 0.011 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](N2CCN(CCO)CC2)C1 ZINC001052060577 853680290 /nfs/dbraw/zinc/68/02/90/853680290.db2.gz IIOZKIDCBPBWBS-AWEZNQCLSA-N 0 1 295.427 0.409 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H](NC(=O)c3cnn[nH]3)C2)cn1 ZINC001058268654 853808524 /nfs/dbraw/zinc/80/85/24/853808524.db2.gz QYZVDZSEGLFDMW-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cc[nH]c2C)C[C@@H]1n1ccnn1 ZINC001070173267 854032553 /nfs/dbraw/zinc/03/25/53/854032553.db2.gz LBAMHSIDJGPQIO-KGLIPLIRSA-N 0 1 298.350 0.203 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cc(C)co2)C[C@@H]1n1ccnn1 ZINC001070257253 854039627 /nfs/dbraw/zinc/03/96/27/854039627.db2.gz PMIYRIKWXIPSCS-OLZOCXBDSA-N 0 1 299.334 0.468 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ccoc2)C[C@H]1c1cn(C)cn1 ZINC001070468650 854063897 /nfs/dbraw/zinc/06/38/97/854063897.db2.gz KCGIYLYAPXHLEX-DZGCQCFKSA-N 0 1 298.346 0.844 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCN(C(=O)CN2CCCC2)C1 ZINC001070756557 854092951 /nfs/dbraw/zinc/09/29/51/854092951.db2.gz SSXCUEFXSOAIBN-QWHCGFSZSA-N 0 1 292.383 0.349 20 30 CCEDMN C=C(C)CCN1CCNC(=O)CCN(C(C)=O)CC1 ZINC001070927326 854100606 /nfs/dbraw/zinc/10/06/06/854100606.db2.gz XQYKTYDSHFUWTP-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN CC#CCN1CC[C@@]2(C1)CN(C(=O)C(F)F)C[C@@H](C)O2 ZINC001071115650 854123638 /nfs/dbraw/zinc/12/36/38/854123638.db2.gz BLLGBODRCCMGCJ-BXUZGUMPSA-N 0 1 286.322 0.967 20 30 CCEDMN C=CC[N@@H+]1CC[C@@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@@H](C)O2 ZINC001071138993 854126897 /nfs/dbraw/zinc/12/68/97/854126897.db2.gz NLMIZVCLYWUQOB-IUODEOHRSA-N 0 1 290.367 0.901 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)c1cc[nH]n1)C[C@@H](C)O2 ZINC001071138993 854126904 /nfs/dbraw/zinc/12/69/04/854126904.db2.gz NLMIZVCLYWUQOB-IUODEOHRSA-N 0 1 290.367 0.901 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC2OCCCO2)CC[C@H]1C ZINC001071457544 854205219 /nfs/dbraw/zinc/20/52/19/854205219.db2.gz JPIQWKDKHFQELB-OLZOCXBDSA-N 0 1 280.368 0.742 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC2OCCCO2)CC[C@@H]1C ZINC001071457546 854206772 /nfs/dbraw/zinc/20/67/72/854206772.db2.gz JPIQWKDKHFQELB-STQMWFEESA-N 0 1 280.368 0.742 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2nnc(C)o2)CC[C@H]1C ZINC001071489114 854217529 /nfs/dbraw/zinc/21/75/29/854217529.db2.gz ZVHNGTJGTZBGBG-YPMHNXCESA-N 0 1 290.367 0.913 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1NC(=O)C#CC1CC1 ZINC001071650973 854255734 /nfs/dbraw/zinc/25/57/34/854255734.db2.gz INTVSTNZUCXLMJ-MFKMUULPSA-N 0 1 286.335 0.400 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccc(=O)n(C)c2)CC[C@@H]1C ZINC001071641804 854256313 /nfs/dbraw/zinc/25/63/13/854256313.db2.gz GBODYMRJXRIUOM-JSGCOSHPSA-N 0 1 287.363 0.601 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2[nH]nnc2C)CC[C@H]1C ZINC001071732576 854275517 /nfs/dbraw/zinc/27/55/17/854275517.db2.gz WVPOJPUBMYZWNI-KOLCDFICSA-N 0 1 263.345 0.882 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@H]1C ZINC001071742638 854277796 /nfs/dbraw/zinc/27/77/96/854277796.db2.gz ROUNHVVROHWVDU-VXGBXAGGSA-N 0 1 290.367 0.732 20 30 CCEDMN C[C@@H]1CC[C@H](NC(=O)c2[nH]ncc2F)CN1CC#N ZINC001071799663 854294245 /nfs/dbraw/zinc/29/42/45/854294245.db2.gz LLHLDCBZOLJLDU-BDAKNGLRSA-N 0 1 265.292 0.655 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cccc(=O)n2C)CC[C@@H]1C ZINC001071813850 854300849 /nfs/dbraw/zinc/30/08/49/854300849.db2.gz FCLFTARLSGELAT-STQMWFEESA-N 0 1 287.363 0.601 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](NC(=O)c2ncn[n-]2)CC[C@H]1C ZINC001071911492 854318122 /nfs/dbraw/zinc/31/81/22/854318122.db2.gz NSHSHDCAIVNFLS-MNOVXSKESA-N 0 1 261.329 0.411 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2ncc[nH]2)CC[C@H]1C ZINC001071939193 854323849 /nfs/dbraw/zinc/32/38/49/854323849.db2.gz RFNDMFYGTYZTNW-OLZOCXBDSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cncn2C)CC[C@@H]1C ZINC001071990241 854332140 /nfs/dbraw/zinc/33/21/40/854332140.db2.gz WNRYFKJDCQKNGU-QWHCGFSZSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CC[C@H]3CCOC3)C2)C1 ZINC001072597649 854425089 /nfs/dbraw/zinc/42/50/89/854425089.db2.gz GMWBLLOCGFQFMC-AWEZNQCLSA-N 0 1 276.380 0.971 20 30 CCEDMN C=CC[N@@H+]1CCC2(CN(C(=O)C3CN(C(C)=O)C3)C2)C1 ZINC001072667108 854440446 /nfs/dbraw/zinc/44/04/46/854440446.db2.gz XFABEADRMMZBAG-UHFFFAOYSA-N 0 1 277.368 0.185 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CC3(F)F)C2)C1 ZINC001072707844 854449159 /nfs/dbraw/zinc/44/91/59/854449159.db2.gz VFFZDIFCRMZRDK-JTQLQIEISA-N 0 1 254.280 0.809 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCCc4nc[nH]c43)C2)C1 ZINC001072971970 854505256 /nfs/dbraw/zinc/50/52/56/854505256.db2.gz DGQCEFQPFIMUIP-CYBMUJFWSA-N 0 1 298.390 0.997 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3Cc4ccncc4C3)C2)C1 ZINC001072984943 854508931 /nfs/dbraw/zinc/50/89/31/854508931.db2.gz WODFFPHGGVSDGW-HNNXBMFYSA-N 0 1 295.386 0.964 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3nc(C)c[nH]3)C2)C1 ZINC001073046228 854521445 /nfs/dbraw/zinc/52/14/45/854521445.db2.gz URONGOJBFJBENF-UHFFFAOYSA-N 0 1 258.325 0.499 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@]3(C)CCCOC3)C2)C1 ZINC001073085908 854527240 /nfs/dbraw/zinc/52/72/40/854527240.db2.gz SVHYRKKDKVSPRN-HNNXBMFYSA-N 0 1 276.380 0.971 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2nccnc2N)C1 ZINC001073562165 854595529 /nfs/dbraw/zinc/59/55/29/854595529.db2.gz MFABBYFUWRDAAO-LLVKDONJSA-N 0 1 291.355 0.066 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2nccc(C)n2)C1 ZINC001073582078 854601761 /nfs/dbraw/zinc/60/17/61/854601761.db2.gz BRYOJHXPBQMQTA-CYBMUJFWSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2c(C)ncn2C)C1 ZINC001073648745 854624492 /nfs/dbraw/zinc/62/44/92/854624492.db2.gz AUUHNIIOMQHTSI-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cn[nH]c(=O)c2)C1 ZINC001073648795 854624516 /nfs/dbraw/zinc/62/45/16/854624516.db2.gz CSLUZBAVEXTDAP-GFCCVEGCSA-N 0 1 292.339 0.189 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2csc(=O)[nH]2)C1 ZINC001073740710 854637768 /nfs/dbraw/zinc/63/77/68/854637768.db2.gz IUKJUKXWWGXKBX-SNVBAGLBSA-N 0 1 297.380 0.855 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2c(C)cnn2C)C1 ZINC001073739332 854637865 /nfs/dbraw/zinc/63/78/65/854637865.db2.gz QCJLHQKBASHTBU-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccn(CC)n2)C1 ZINC001073801156 854645393 /nfs/dbraw/zinc/64/53/93/854645393.db2.gz BXACBDKBERSQRT-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCC(=O)N2)[C@H]1C ZINC001074563634 854747861 /nfs/dbraw/zinc/74/78/61/854747861.db2.gz UOAQHXQWTGPDHI-GARJFASQSA-N 0 1 285.775 0.597 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001075037651 854808334 /nfs/dbraw/zinc/80/83/34/854808334.db2.gz JQTYBPLILWZUIK-AXFHLTTASA-N 0 1 288.355 0.012 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001075037651 854808337 /nfs/dbraw/zinc/80/83/37/854808337.db2.gz JQTYBPLILWZUIK-AXFHLTTASA-N 0 1 288.355 0.012 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCN1C(N)=O)C2 ZINC001098497526 854870183 /nfs/dbraw/zinc/87/01/83/854870183.db2.gz GMNMQAXSXVCPQX-NDBYEHHHSA-N 0 1 292.383 0.437 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)C2CN(C(C)=O)C2)C1 ZINC001098810174 854890502 /nfs/dbraw/zinc/89/05/02/854890502.db2.gz ZXHZYZPDIPGGBL-HOCLYGCPSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2csnn2)C1 ZINC001098963605 854900158 /nfs/dbraw/zinc/90/01/58/854900158.db2.gz AQPDACFUBSJXCN-GWCFXTLKSA-N 0 1 276.365 0.756 20 30 CCEDMN C[C@H]1CCCN1CC(=O)N[C@]12CCC[C@H]1CN(CC#N)C2 ZINC001099028323 854907152 /nfs/dbraw/zinc/90/71/52/854907152.db2.gz WCZPFJAUGNUXPF-DZKIICNBSA-N 0 1 290.411 0.965 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC(=O)NC ZINC001099039363 854910598 /nfs/dbraw/zinc/91/05/98/854910598.db2.gz WHPFDMVOLBVAQN-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H]2CCCO2)C[C@H]1C(F)(F)F ZINC001099207226 854920338 /nfs/dbraw/zinc/92/03/38/854920338.db2.gz ZNGQSLWFNLZVHW-MXWKQRLJSA-N 0 1 290.285 0.778 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cocn2)C[C@H]1C(F)(F)F ZINC001099223767 854922997 /nfs/dbraw/zinc/92/29/97/854922997.db2.gz XDJVJWPKMNBTTN-RKDXNWHRSA-N 0 1 287.241 0.900 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COC)CC2(CCOCC2)C1 ZINC001099557757 854937314 /nfs/dbraw/zinc/93/73/14/854937314.db2.gz LWFRUPNECDGBTP-CYBMUJFWSA-N 0 1 280.368 0.253 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCOC)CC2(CCOCC2)C1 ZINC001099558917 854939082 /nfs/dbraw/zinc/93/90/82/854939082.db2.gz YWWGOZQEKSFLGL-AWEZNQCLSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cocn2)[C@H](O)C1 ZINC001099720908 854973908 /nfs/dbraw/zinc/97/39/08/854973908.db2.gz LMXURZIURJNQHM-DGCLKSJQSA-N 0 1 295.339 0.042 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(Cc2n[nH]c(C)n2)C[C@H]1O ZINC001099729075 854979213 /nfs/dbraw/zinc/97/92/13/854979213.db2.gz BCWIUFLQBRCPEQ-NWDGAFQWSA-N 0 1 293.371 0.131 20 30 CCEDMN C[C@@H](CCNC(=O)c1cnn[nH]1)Nc1ncccc1C#N ZINC001099777539 854993277 /nfs/dbraw/zinc/99/32/77/854993277.db2.gz ROIOYNTWZNYKLA-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CCF)CC2)[C@H](O)C1 ZINC001099931468 855036620 /nfs/dbraw/zinc/03/66/20/855036620.db2.gz RXURBQKOCCYLQY-NWDGAFQWSA-N 0 1 270.348 0.864 20 30 CCEDMN CN(CCNC(=O)[C@@H]1CCCN1C)c1ccc(C#N)nc1 ZINC001099965887 855053913 /nfs/dbraw/zinc/05/39/13/855053913.db2.gz CMQSHHVBYSSKJR-AWEZNQCLSA-N 0 1 287.367 0.600 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(CF)CC2)[C@H](O)C1 ZINC001100000526 855067388 /nfs/dbraw/zinc/06/73/88/855067388.db2.gz GGIXBXOKZVVLQC-VXGBXAGGSA-N 0 1 268.332 0.311 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cncs2)[C@H](O)C1 ZINC001100013695 855073526 /nfs/dbraw/zinc/07/35/26/855073526.db2.gz QXKAIQODBWPNOS-QWHCGFSZSA-N 0 1 295.408 0.813 20 30 CCEDMN CN(CCNC(=O)c1[nH]ncc1F)c1cnc(C#N)cn1 ZINC001100310021 855143313 /nfs/dbraw/zinc/14/33/13/855143313.db2.gz YNECWHSTIBYVPJ-UHFFFAOYSA-N 0 1 289.274 0.077 20 30 CCEDMN CN(CCNC(=O)CCc1cnc[nH]1)c1cncc(C#N)n1 ZINC001100380675 855157941 /nfs/dbraw/zinc/15/79/41/855157941.db2.gz XWRUPYGJGGKBJW-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)C(C)(C)F)[C@H](C)C1 ZINC001101677844 855342291 /nfs/dbraw/zinc/34/22/91/855342291.db2.gz ULJMLTHEGNGBRF-VXGBXAGGSA-N 0 1 297.374 0.168 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)CC(C)C)[C@H](C)C1 ZINC001101687205 855343791 /nfs/dbraw/zinc/34/37/91/855343791.db2.gz BRKQNNZUJZHDLZ-ZIAGYGMSSA-N 0 1 293.411 0.466 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CN(Cc2ccn(C)n2)C[C@H]1C ZINC001101822373 855373324 /nfs/dbraw/zinc/37/33/24/855373324.db2.gz NGJDDCYASFEWNL-JHJVBQTASA-N 0 1 289.383 0.764 20 30 CCEDMN CCNC(=O)CN1C[C@@H](CNC(=O)C#CC(C)C)[C@H](C)C1 ZINC001101947080 855397575 /nfs/dbraw/zinc/39/75/75/855397575.db2.gz XSUNDWZJBZEFTM-ZIAGYGMSSA-N 0 1 293.411 0.466 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H]1CN([C@@H](C)C(N)=O)C[C@H]1C ZINC001101947052 855398251 /nfs/dbraw/zinc/39/82/51/855398251.db2.gz WKQOFDFLZFTSFB-FRRDWIJNSA-N 0 1 279.384 0.204 20 30 CCEDMN CN(CCNc1ccc(C#N)nc1)C(=O)CCc1c[nH]nn1 ZINC001101960656 855400074 /nfs/dbraw/zinc/40/00/74/855400074.db2.gz VPTCHTVFFODSKX-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN CN(CCNc1ccc(C#N)nc1)C(=O)CCc1cnn[nH]1 ZINC001101960656 855400076 /nfs/dbraw/zinc/40/00/76/855400076.db2.gz VPTCHTVFFODSKX-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001102701771 855465550 /nfs/dbraw/zinc/46/55/50/855465550.db2.gz HZXVLDNYFWRJMK-VHSXEESVSA-N 0 1 299.338 0.550 20 30 CCEDMN CC[C@@H](CNC(=O)c1ncn[nH]1)Nc1cncc(C#N)n1 ZINC001103171289 855503647 /nfs/dbraw/zinc/50/36/47/855503647.db2.gz ZQFYUBCBDOMGIK-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN CC[C@@H](CNC(=O)c1nc[nH]n1)Nc1cncc(C#N)n1 ZINC001103171289 855503650 /nfs/dbraw/zinc/50/36/50/855503650.db2.gz ZQFYUBCBDOMGIK-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CNC(=O)c1ncn[nH]1)CNc1ccc(C#N)nc1 ZINC001104059583 855564399 /nfs/dbraw/zinc/56/43/99/855564399.db2.gz PFHUASVIMUXROO-SECBINFHSA-N 0 1 285.311 0.549 20 30 CCEDMN C[C@@H](CNC(=O)c1nc[nH]n1)CNc1ccc(C#N)nc1 ZINC001104059583 855564401 /nfs/dbraw/zinc/56/44/01/855564401.db2.gz PFHUASVIMUXROO-SECBINFHSA-N 0 1 285.311 0.549 20 30 CCEDMN C=CCCC(=O)N[C@]12CCC[C@H]1CN(CC(=O)NC)C2 ZINC001111644194 855582963 /nfs/dbraw/zinc/58/29/63/855582963.db2.gz NLPIGYZPLFXUOH-WFASDCNBSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)N1CCCC1=O ZINC001115115602 855642816 /nfs/dbraw/zinc/64/28/16/855642816.db2.gz DIZRZOGDUHCANX-FOCJUVANSA-N 0 1 289.379 0.067 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)OCCOCC ZINC001115286788 855657552 /nfs/dbraw/zinc/65/75/52/855657552.db2.gz USEPOTFGYZWNMM-BYNSBNAKSA-N 0 1 294.395 0.498 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001115331940 855662589 /nfs/dbraw/zinc/66/25/89/855662589.db2.gz GUDPVHCESPCADM-KBXIAJHMSA-N 0 1 292.379 0.274 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COC[C@H]1CCCO1 ZINC001115354480 855666135 /nfs/dbraw/zinc/66/61/35/855666135.db2.gz PEMYKMKNBFRUCY-NYTXWWLZSA-N 0 1 292.379 0.252 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@H](C)Nc2ccncc2C#N)n[nH]1 ZINC001115622546 855682217 /nfs/dbraw/zinc/68/22/17/855682217.db2.gz RDMYZBUWIQYCIP-NSHDSACASA-N 0 1 298.350 0.979 20 30 CCEDMN CC[C@@H](C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001116025631 855711801 /nfs/dbraw/zinc/71/18/01/855711801.db2.gz QLSJNQTZMPIMTJ-IAJSMXOOSA-N 0 1 265.313 0.675 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@@H]1CCC[N@@H+](C)C1 ZINC001118097289 856160746 /nfs/dbraw/zinc/16/07/46/856160746.db2.gz JCMYQTRYUIUNME-LLVKDONJSA-N 0 1 253.346 0.279 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@@H]1CCCN(C)C1 ZINC001118097289 856160752 /nfs/dbraw/zinc/16/07/52/856160752.db2.gz JCMYQTRYUIUNME-LLVKDONJSA-N 0 1 253.346 0.279 20 30 CCEDMN C#CCOCCC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001118383395 856283105 /nfs/dbraw/zinc/28/31/05/856283105.db2.gz YGDYSAPOKCNGSF-JTQLQIEISA-N 0 1 278.312 0.312 20 30 CCEDMN COCc1nc(CNC(=O)NCCCC#N)n[nH]1 ZINC001118602558 856362141 /nfs/dbraw/zinc/36/21/41/856362141.db2.gz OWVJWLSJGLXNGC-UHFFFAOYSA-N 0 1 252.278 0.054 20 30 CCEDMN COCc1nnc(CNC(=O)NCCCC#N)[nH]1 ZINC001118602558 856362143 /nfs/dbraw/zinc/36/21/43/856362143.db2.gz OWVJWLSJGLXNGC-UHFFFAOYSA-N 0 1 252.278 0.054 20 30 CCEDMN CCC[C@H]1CN(C(=O)C#CCOC)CCN1CCOC ZINC001118753427 856425813 /nfs/dbraw/zinc/42/58/13/856425813.db2.gz WTQKRVZCUVVWFK-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)C(=O)N(C)CC(C)(C)C#N ZINC001118963778 856511122 /nfs/dbraw/zinc/51/11/22/856511122.db2.gz XFANNQTYSZXAMI-LBPRGKRZSA-N 0 1 294.399 0.451 20 30 CCEDMN C=CC[C@@H](NC(=O)NC[C@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001119577599 856751094 /nfs/dbraw/zinc/75/10/94/856751094.db2.gz QSDOWNSKTANPLB-NWDGAFQWSA-N 0 1 299.371 0.283 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cnn(CC2CC2)c1 ZINC001119845504 856894553 /nfs/dbraw/zinc/89/45/53/856894553.db2.gz RXUPTBHLFGVGHO-UHFFFAOYSA-N 0 1 275.356 0.980 20 30 CCEDMN C=C(Cl)CN1CC(N(C)C(=O)CCc2c[nH]nn2)C1 ZINC001393012278 912328555 /nfs/dbraw/zinc/32/85/55/912328555.db2.gz UFMUPAXZXQPQSD-UHFFFAOYSA-N 0 1 283.763 0.632 20 30 CCEDMN C=C(Cl)CN1CC(N(C)C(=O)CCc2cnn[nH]2)C1 ZINC001393012278 912328571 /nfs/dbraw/zinc/32/85/71/912328571.db2.gz UFMUPAXZXQPQSD-UHFFFAOYSA-N 0 1 283.763 0.632 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1CCC(=O)N1C ZINC001393046683 912352736 /nfs/dbraw/zinc/35/27/36/912352736.db2.gz JVJJPCSFUZSVAK-VXGBXAGGSA-N 0 1 299.802 0.940 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C(C)(C)C2CC2)CC1 ZINC001323520249 912390705 /nfs/dbraw/zinc/39/07/05/912390705.db2.gz TXVNWTKCQFIDIM-UHFFFAOYSA-N 0 1 293.411 0.963 20 30 CCEDMN NC(=O)CNC1(CNC(=O)CC#Cc2ccccc2)CC1 ZINC001323615664 912449053 /nfs/dbraw/zinc/44/90/53/912449053.db2.gz AYBHMAQJXUDVMK-UHFFFAOYSA-N 0 1 285.347 0.152 20 30 CCEDMN CCN(C)C(=O)CN(C)CCCN(C)C(=O)[C@H](C)C#N ZINC001393613367 912682655 /nfs/dbraw/zinc/68/26/55/912682655.db2.gz NOOPXAMCSYIFAX-GFCCVEGCSA-N 0 1 282.388 0.405 20 30 CCEDMN Cc1nccc(CCC(=O)NC2(C#N)CCN(C)CC2)n1 ZINC001324285510 912756576 /nfs/dbraw/zinc/75/65/76/912756576.db2.gz FGXIODXRPZTIRA-UHFFFAOYSA-N 0 1 287.367 0.822 20 30 CCEDMN C#CCN(C(=O)[C@H]1C[C@@H](C)CO1)C1CCN(CC#C)CC1 ZINC001324408001 912832032 /nfs/dbraw/zinc/83/20/32/912832032.db2.gz PDCIUDVGBHZILZ-GDBMZVCRSA-N 0 1 288.391 0.971 20 30 CCEDMN C#CC[NH2+]C[C@@H](NC(=O)c1[n-]nnc1C)C1CCCC1 ZINC001324494307 912875055 /nfs/dbraw/zinc/87/50/55/912875055.db2.gz GQEVLKPPXXIBKF-GFCCVEGCSA-N 0 1 275.356 0.625 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)COc2cc(C)on2)C1 ZINC001324619922 912947460 /nfs/dbraw/zinc/94/74/60/912947460.db2.gz NTYFACSDBNVSMG-AWEZNQCLSA-N 0 1 277.324 0.576 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)CCC(=O)NCCC)C1 ZINC001324662489 912969891 /nfs/dbraw/zinc/96/98/91/912969891.db2.gz VASMQRBYIRKMPC-INIZCTEOSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cc[n+]([O-])cc2)C1 ZINC001324910415 913092280 /nfs/dbraw/zinc/09/22/80/913092280.db2.gz RUNZDDNBQBQQBI-MRXNPFEDSA-N 0 1 287.363 0.785 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)CCNC(=O)NC)C1 ZINC001324926368 913100216 /nfs/dbraw/zinc/10/02/16/913100216.db2.gz IHMVZTFZOBFENG-OAHLLOKOSA-N 0 1 294.399 0.157 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H]1CN(C(=O)c2cn[nH]c2)CCO1 ZINC001394347042 913136674 /nfs/dbraw/zinc/13/66/74/913136674.db2.gz ZMNNSOGUJQVWSB-ZYHUDNBSSA-N 0 1 298.774 0.981 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H]2CCC(C)(C)C2)C1 ZINC001325128307 913235872 /nfs/dbraw/zinc/23/58/72/913235872.db2.gz YMVNOPPAXQUPDE-CZUORRHYSA-N 0 1 278.396 0.999 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCn1cnnn1 ZINC001394542598 913244080 /nfs/dbraw/zinc/24/40/80/913244080.db2.gz LITNVKGBNNOCKR-JTQLQIEISA-N 0 1 286.767 0.252 20 30 CCEDMN C[C@@H](NCC#N)[C@@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC001325408826 913391785 /nfs/dbraw/zinc/39/17/85/913391785.db2.gz OFJSXCOKUKVYPU-GHMZBOCLSA-N 0 1 298.350 0.922 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@@H]1CCCOC1 ZINC001394734324 913398496 /nfs/dbraw/zinc/39/84/96/913398496.db2.gz XIXRLCQUBSVBOE-VXGBXAGGSA-N 0 1 290.791 0.574 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCCN(C(=O)CC)C1 ZINC001480867899 891434618 /nfs/dbraw/zinc/43/46/18/891434618.db2.gz WMBXRKXFJPALOO-ZDUSSCGKSA-N 0 1 279.384 0.316 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@H]2CC3(CC3)C(=O)O2)C1 ZINC001345781293 891459493 /nfs/dbraw/zinc/45/94/93/891459493.db2.gz OYRZBYFSVDXQEA-ZWNOBZJWSA-N 0 1 250.298 0.433 20 30 CCEDMN C[C@@]1(C(=O)NCCN2CCC(NCC#N)CC2)CCOC1 ZINC001325806090 913580352 /nfs/dbraw/zinc/58/03/52/913580352.db2.gz UZWCWZVEVALDHE-OAHLLOKOSA-N 0 1 294.399 0.107 20 30 CCEDMN C#CC[N@H+](CCC)CCNC(=O)c1cn(CCC)nn1 ZINC001317544034 891804844 /nfs/dbraw/zinc/80/48/44/891804844.db2.gz FOKSYLCBNRZZFM-UHFFFAOYSA-N 0 1 277.372 0.763 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)CC[C@H](C)OC)C1 ZINC001325831219 913599242 /nfs/dbraw/zinc/59/92/42/913599242.db2.gz AZKFXRNLVASNLB-DZGCQCFKSA-N 0 1 284.400 0.931 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)CC1(O)CCC1 ZINC001267267814 891857200 /nfs/dbraw/zinc/85/72/00/891857200.db2.gz UEZKSOFZCDNRAU-ZDUSSCGKSA-N 0 1 264.369 0.895 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CC(F)(F)F)C(=O)[O-] ZINC001350768873 891863471 /nfs/dbraw/zinc/86/34/71/891863471.db2.gz OEVKCWXXIYUKGM-IUCAKERBSA-N 0 1 292.257 0.606 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CC(F)(F)F)C(=O)[O-] ZINC001350768873 891863480 /nfs/dbraw/zinc/86/34/80/891863480.db2.gz OEVKCWXXIYUKGM-IUCAKERBSA-N 0 1 292.257 0.606 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)Cc2nonc2C)C1 ZINC001325861078 913615085 /nfs/dbraw/zinc/61/50/85/913615085.db2.gz RREYQHAQAPKACH-AWEZNQCLSA-N 0 1 294.355 0.050 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cncc(COC)c1 ZINC001480981094 892008146 /nfs/dbraw/zinc/00/81/46/892008146.db2.gz WNMLRPRHZCPDDI-UHFFFAOYSA-N 0 1 275.352 0.913 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cc(F)c[nH]1 ZINC001480989440 892022589 /nfs/dbraw/zinc/02/25/89/892022589.db2.gz CZJJDEQBOQWNEQ-UHFFFAOYSA-N 0 1 281.331 0.855 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CCCC(=O)N1C ZINC001481014272 892056087 /nfs/dbraw/zinc/05/60/87/892056087.db2.gz GPOPOQMNWKBQFW-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)COCCOC ZINC001325883057 913624116 /nfs/dbraw/zinc/62/41/16/913624116.db2.gz QLOODCRCLLRTAN-ZIAGYGMSSA-N 0 1 280.368 0.348 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCOC1 ZINC001481030507 892085500 /nfs/dbraw/zinc/08/55/00/892085500.db2.gz IILYLIDKXWGQNZ-HUUCEWRRSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)COCC(F)F ZINC001481050385 892113854 /nfs/dbraw/zinc/11/38/54/892113854.db2.gz OHMKCGKZMVDKHB-JTQLQIEISA-N 0 1 260.284 0.482 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H]1CCN([C@H]2CCNC2=O)C1 ZINC001481096990 892162087 /nfs/dbraw/zinc/16/20/87/892162087.db2.gz WRWCLUABYPDNQY-OLZOCXBDSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(CCC)CCNC(=O)C=C(C)C ZINC001481129677 892203857 /nfs/dbraw/zinc/20/38/57/892203857.db2.gz XAGYMQIUHJDVNX-CQSZACIVSA-N 0 1 293.411 0.919 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H](OC)c1cnn(C)c1)C1CC1 ZINC001481179845 892276835 /nfs/dbraw/zinc/27/68/35/892276835.db2.gz GSLAYEKKHUNJBB-AWEZNQCLSA-N 0 1 290.367 0.321 20 30 CCEDMN C=CCN(CCNC(=O)c1cn(C)ccc1=O)C1CC1 ZINC001481178452 892277436 /nfs/dbraw/zinc/27/74/36/892277436.db2.gz XQHTZQRROGEWLH-UHFFFAOYSA-N 0 1 275.352 0.766 20 30 CCEDMN C#CCN[C@H](CNC(=O)CN1CCCC1=O)c1ccccc1 ZINC001481360512 892599114 /nfs/dbraw/zinc/59/91/14/892599114.db2.gz ASMKEOYRIYAJGF-OAHLLOKOSA-N 0 1 299.374 0.689 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)CCOC)C1 ZINC001481475595 892721817 /nfs/dbraw/zinc/72/18/17/892721817.db2.gz WMTZEKHAMHPKNV-ZDUSSCGKSA-N 0 1 297.399 0.105 20 30 CCEDMN CO[C@@H]1COCC[C@@H]1CC(=O)C(C#N)C(=O)NC(C)C ZINC001342726201 892804866 /nfs/dbraw/zinc/80/48/66/892804866.db2.gz HYADRJMVLGLJBX-NTZNESFSSA-N 0 1 282.340 0.661 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001481578470 892855302 /nfs/dbraw/zinc/85/53/02/892855302.db2.gz WIRLYZFGJMKQFO-WCQYABFASA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCC(=O)NCC)C1 ZINC001481719082 893081936 /nfs/dbraw/zinc/08/19/36/893081936.db2.gz GZJYIVWMLQFTPF-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CC[C@H](C)OC)C1 ZINC001481721264 893090510 /nfs/dbraw/zinc/09/05/10/893090510.db2.gz HDTXMGCVJZPPKA-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCOCC(=O)N(C)[C@@H]1CC[N@@H+](CCn2cccn2)C1 ZINC001481723111 893095525 /nfs/dbraw/zinc/09/55/25/893095525.db2.gz ZVNBQMXTIZEWCR-CQSZACIVSA-N 0 1 292.383 0.618 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCC(=O)N(CC)CC)C1 ZINC001481753643 893115663 /nfs/dbraw/zinc/11/56/63/893115663.db2.gz XMUKORAZMYFYDM-CQSZACIVSA-N 0 1 293.411 0.801 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CCc2nc(C)no2)C1 ZINC001481771987 893134758 /nfs/dbraw/zinc/13/47/58/893134758.db2.gz SDSRPBFGFDNEQQ-CYBMUJFWSA-N 0 1 290.367 0.867 20 30 CCEDMN CC[C@@H](CNC(=O)C#CC(C)C)NCc1cnnn1CC ZINC001481809974 893176486 /nfs/dbraw/zinc/17/64/86/893176486.db2.gz OBRWUBNKJDYHLR-ZDUSSCGKSA-N 0 1 291.399 0.942 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H](C)CNCc1nonc1C ZINC001482101089 893394764 /nfs/dbraw/zinc/39/47/64/893394764.db2.gz KSTJWQOIFHLIPN-JQWIXIFHSA-N 0 1 294.355 0.258 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)CN[C@H](C)c1nnnn1C ZINC001482106015 893398273 /nfs/dbraw/zinc/39/82/73/893398273.db2.gz SKISHPLYRCRHNU-NWDGAFQWSA-N 0 1 294.403 0.969 20 30 CCEDMN N#CC1(CNC[C@H](O)COC2CCOCC2)CC1 ZINC001326159272 913783947 /nfs/dbraw/zinc/78/39/47/913783947.db2.gz IBUACCAIOKZOHO-NSHDSACASA-N 0 1 254.330 0.436 20 30 CCEDMN C#CCN(C)CCN(C(=O)C[C@H]1CCN(C)C1=O)C(C)C ZINC001284539064 893610127 /nfs/dbraw/zinc/61/01/27/893610127.db2.gz ZGOWRNBCTYQHSM-CQSZACIVSA-N 0 1 293.411 0.657 20 30 CCEDMN COc1nccc(CNC[C@@H](C)N(C)C(=O)[C@H](C)C#N)n1 ZINC001482267055 893712526 /nfs/dbraw/zinc/71/25/26/893712526.db2.gz QMQWNWLWOOEHNR-GHMZBOCLSA-N 0 1 291.355 0.581 20 30 CCEDMN C=CC[NH2+]C[C@H](C)N(C)C(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001482353138 893905534 /nfs/dbraw/zinc/90/55/34/893905534.db2.gz DEZPUZMUVYYGOJ-QMMMGPOBSA-N 0 1 296.327 0.133 20 30 CCEDMN C[C@H](C#N)C(=O)NCC=CCNC(=O)c1[nH]ncc1F ZINC001285609950 894007544 /nfs/dbraw/zinc/00/75/44/894007544.db2.gz PCHJMUQTCYHMPZ-YAJNLLPGSA-N 0 1 279.275 0.111 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@H]([C@@H]2CCOC2)C1 ZINC001355650737 894136452 /nfs/dbraw/zinc/13/64/52/894136452.db2.gz IWDUFBPZXIVLEW-UONOGXRCSA-N 0 1 264.369 0.874 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(C2CN(CCC)C2)CC1 ZINC001502842133 894178723 /nfs/dbraw/zinc/17/87/23/894178723.db2.gz GUSOFKSIVXXAQQ-MRXNPFEDSA-N 0 1 295.427 0.552 20 30 CCEDMN CCn1cc(C(=O)N(C)CCN(C)CC#CCOC)cn1 ZINC001482586134 894293577 /nfs/dbraw/zinc/29/35/77/894293577.db2.gz JSZYBNFQSAUUTR-UHFFFAOYSA-N 0 1 292.383 0.557 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(OC)ns1 ZINC001272020362 894301544 /nfs/dbraw/zinc/30/15/44/894301544.db2.gz KVBFUBGGTJKMEV-UHFFFAOYSA-N 0 1 267.354 0.789 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@]1(C)CCNC(=O)C1 ZINC001482606906 894391239 /nfs/dbraw/zinc/39/12/39/894391239.db2.gz YSLABERCQYPLEZ-HNNXBMFYSA-N 0 1 279.384 0.316 20 30 CCEDMN C=C[C@](C)(O)C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001328430850 915189128 /nfs/dbraw/zinc/18/91/28/915189128.db2.gz RNJPXPZQXGBFCT-PELKAZGASA-N 0 1 266.301 0.209 20 30 CCEDMN CCN(CCNCC#Cc1ccccc1)C(=O)c1nc[nH]n1 ZINC001482957346 894726256 /nfs/dbraw/zinc/72/62/56/894726256.db2.gz ZRHHVCQQBVYSHD-UHFFFAOYSA-N 0 1 297.362 0.908 20 30 CCEDMN C=CCC1(C(=O)NC/C=C\CNCC(N)=O)CCC1 ZINC001483057857 894858701 /nfs/dbraw/zinc/85/87/01/894858701.db2.gz RFQGEADHMMPTBY-ARJAWSKDSA-N 0 1 265.357 0.480 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001483072881 894876849 /nfs/dbraw/zinc/87/68/49/894876849.db2.gz RCUHBXPCTNJFAZ-VUDBWIFFSA-N 0 1 285.775 0.670 20 30 CCEDMN Cc1nc(CNCCN(C)C(=O)c2c[nH]c(C#N)c2)n[nH]1 ZINC001493524319 894891705 /nfs/dbraw/zinc/89/17/05/894891705.db2.gz XHYOMCAHKTWIMY-UHFFFAOYSA-N 0 1 287.327 0.175 20 30 CCEDMN CCn1nnc(C)c1CN[C@H](C)CN(C)C(=O)[C@@H](C)C#N ZINC001425549570 895161398 /nfs/dbraw/zinc/16/13/98/895161398.db2.gz WEKWBGCZTCUFBH-WDEREUQCSA-N 0 1 292.387 0.703 20 30 CCEDMN C=C(Cl)CN(C)[C@@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001409659022 895319283 /nfs/dbraw/zinc/31/92/83/895319283.db2.gz PFIMLHHDTVQPCI-VIFPVBQESA-N 0 1 271.752 0.536 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1nn(C)cc1C ZINC001483338440 895476991 /nfs/dbraw/zinc/47/69/91/895476991.db2.gz XVWPDULMHNOMMK-CYBMUJFWSA-N 0 1 292.383 0.428 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CCc1cncs1 ZINC001507233523 895495333 /nfs/dbraw/zinc/49/53/33/895495333.db2.gz SKBRDFCQOQHKQO-NSHDSACASA-N 0 1 281.381 0.166 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)CCc1cn[nH]c1 ZINC001483393707 895534267 /nfs/dbraw/zinc/53/42/67/895534267.db2.gz FPMGBHLEHFHNOZ-ZDUSSCGKSA-N 0 1 292.383 0.429 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)C1CCC(O)CC1 ZINC001483646207 895791541 /nfs/dbraw/zinc/79/15/41/895791541.db2.gz XYOFAMLEWPWWHI-SHARSMKWSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)CC2CC2)CC1 ZINC001483711475 895891599 /nfs/dbraw/zinc/89/15/99/895891599.db2.gz BHSKOCWWMIZRQP-NSHDSACASA-N 0 1 279.384 0.716 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CN(C)C(C)=O)CC1 ZINC001483716682 895903101 /nfs/dbraw/zinc/90/31/01/895903101.db2.gz FQTLJNMYHJPCNK-UHFFFAOYSA-N 0 1 273.764 0.456 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H]2CC=CCC2)C1 ZINC001077519084 895929577 /nfs/dbraw/zinc/92/95/77/895929577.db2.gz CLTBESYURPOLOB-RBSFLKMASA-N 0 1 276.380 0.917 20 30 CCEDMN CC#CCCCC(=O)NCC1([NH2+]Cc2n[nH]c(=O)[n-]2)CC1 ZINC001483759793 896020639 /nfs/dbraw/zinc/02/06/39/896020639.db2.gz CCCRLUXSHSYUFF-UHFFFAOYSA-N 0 1 291.355 0.442 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@@H](NCc2ccn(C)n2)C1 ZINC001397054144 914030760 /nfs/dbraw/zinc/03/07/60/914030760.db2.gz KHCRSJRNJFNIQQ-WZRBSPASSA-N 0 1 275.356 0.564 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CN(C[C@H]2CCOC2)C1 ZINC001483857331 896081278 /nfs/dbraw/zinc/08/12/78/896081278.db2.gz URTHLEZBTSFGAO-GHMZBOCLSA-N 0 1 251.330 0.325 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)Cn2nccc2C)C1 ZINC001484059899 896174840 /nfs/dbraw/zinc/17/48/40/896174840.db2.gz BDBMPFPBZSVLME-HNNXBMFYSA-N 0 1 274.368 0.795 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCCc2nc(C)no2)C1 ZINC001484072678 896181954 /nfs/dbraw/zinc/18/19/54/896181954.db2.gz ZNXNHDATWKBSOG-OAHLLOKOSA-N 0 1 290.367 0.915 20 30 CCEDMN C#CC[N@@H+]1CC[C@](O)(CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001484226783 896253289 /nfs/dbraw/zinc/25/32/89/896253289.db2.gz VRYRWVUZSKSSFV-INIZCTEOSA-N 0 1 298.346 0.314 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001484226783 896253303 /nfs/dbraw/zinc/25/33/03/896253303.db2.gz VRYRWVUZSKSSFV-INIZCTEOSA-N 0 1 298.346 0.314 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@]2(O)CCN(CC#CC)C2)c1 ZINC001484234224 896271762 /nfs/dbraw/zinc/27/17/62/896271762.db2.gz ANGUDOWMHFGYKK-QGZVFWFLSA-N 0 1 297.358 0.253 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C(C)(C)C(F)F)C1 ZINC001484237542 896271967 /nfs/dbraw/zinc/27/19/67/896271967.db2.gz VZUFWPQAAXIVGQ-ZDUSSCGKSA-N 0 1 274.311 0.464 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N1CC[C@@H]([C@@H](C)NCC#N)C1 ZINC001484359429 896355533 /nfs/dbraw/zinc/35/55/33/896355533.db2.gz WDUCHGLBHKZAMF-CHWSQXEVSA-N 0 1 266.389 0.677 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)c2csc(=O)[nH]2)C1 ZINC001484439742 896413065 /nfs/dbraw/zinc/41/30/65/896413065.db2.gz HUYYMSKANUBXBN-ZDUSSCGKSA-N 0 1 297.380 0.591 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2n[nH]nc2C)CCO1 ZINC001484689359 896537619 /nfs/dbraw/zinc/53/76/19/896537619.db2.gz XNICXUNJDSAKOH-SNVBAGLBSA-N 0 1 299.762 0.296 20 30 CCEDMN N#CCNC[C@@H]1CN(C(=O)c2cccc3n[nH]cc32)CCO1 ZINC001484688458 896543080 /nfs/dbraw/zinc/54/30/80/896543080.db2.gz KOKHUVUITRCDTE-LLVKDONJSA-N 0 1 299.334 0.517 20 30 CCEDMN CCCNC(=O)[C@H](C)N(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001484735827 896579263 /nfs/dbraw/zinc/57/92/63/896579263.db2.gz DHFXJRNOWTWBLH-STQMWFEESA-N 0 1 296.415 0.841 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC1CC1)NCc1nncs1 ZINC001484992316 896709882 /nfs/dbraw/zinc/70/98/82/896709882.db2.gz FVDWBAKXXMNOCQ-JTQLQIEISA-N 0 1 278.381 0.936 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)CC(F)(F)F)CC1 ZINC001485014688 896718997 /nfs/dbraw/zinc/71/89/97/896718997.db2.gz IYMIJQUWZPCABY-UHFFFAOYSA-N 0 1 292.301 0.905 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CCCC(=O)N1 ZINC001485063864 896752913 /nfs/dbraw/zinc/75/29/13/896752913.db2.gz UNIICQGZGNAHPU-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C(=O)NCC1CC1 ZINC001485073658 896755791 /nfs/dbraw/zinc/75/57/91/896755791.db2.gz UPGYONAHZWCNDE-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)C1(C(=O)NC)CC1 ZINC001485198538 896846190 /nfs/dbraw/zinc/84/61/90/896846190.db2.gz KKEGQNIZPMTBEC-NXEZZACHSA-N 0 1 287.791 0.748 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccc2n[nH]nc2n1 ZINC001485309309 896936266 /nfs/dbraw/zinc/93/62/66/896936266.db2.gz KBEPNFXORLIRPJ-SECBINFHSA-N 0 1 272.312 0.036 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H](C)C1CN(CC=C)C1 ZINC001485503919 897090778 /nfs/dbraw/zinc/09/07/78/897090778.db2.gz PMFHKGBBOSKYAC-NEPJUHHUSA-N 0 1 250.342 0.647 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001485527537 897106639 /nfs/dbraw/zinc/10/66/39/897106639.db2.gz SSNJYFHIGVOOMO-NSHDSACASA-N 0 1 281.400 0.771 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1C[N@H+](CC#CC)CC1(C)C ZINC001485569434 897120151 /nfs/dbraw/zinc/12/01/51/897120151.db2.gz KUKHBYINQPKBCE-AWEZNQCLSA-N 0 1 276.380 0.876 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1CN(CC#CC)CC1(C)C ZINC001485569434 897120159 /nfs/dbraw/zinc/12/01/59/897120159.db2.gz KUKHBYINQPKBCE-AWEZNQCLSA-N 0 1 276.380 0.876 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)CC1OCCCO1 ZINC001485772214 897240039 /nfs/dbraw/zinc/24/00/39/897240039.db2.gz CJFPTZVODQJUCN-UHFFFAOYSA-N 0 1 298.383 0.227 20 30 CCEDMN C=C(Cl)CN1CC([C@@H](C)NC(=O)Cc2n[nH]c(C)n2)C1 ZINC001409922160 897268842 /nfs/dbraw/zinc/26/88/42/897268842.db2.gz XPNKWRSMTVHVCH-SECBINFHSA-N 0 1 297.790 0.845 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@]2(C)CN(CC#N)CCO2)c1C ZINC001107830638 897332665 /nfs/dbraw/zinc/33/26/65/897332665.db2.gz SMKLHYXFPTXHOL-AWEZNQCLSA-N 0 1 291.355 0.371 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)ccc2F)C1 ZINC001077740388 897382892 /nfs/dbraw/zinc/38/28/92/897382892.db2.gz LQDUMSYATURROR-HUUCEWRRSA-N 0 1 290.338 0.932 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC1(F)F ZINC001032390123 897412585 /nfs/dbraw/zinc/41/25/85/897412585.db2.gz CVVKSVUFCLZXNX-GARJFASQSA-N 0 1 254.280 0.950 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cncc2nc[nH]c21 ZINC001032413661 897517541 /nfs/dbraw/zinc/51/75/41/897517541.db2.gz WAPBOBONBNOWJE-RYUDHWBXSA-N 0 1 295.346 0.880 20 30 CCEDMN Cc1nc([C@@H](C)N(C)CCN(C)C(=O)[C@@H](C)C#N)n[nH]1 ZINC001367508082 897660701 /nfs/dbraw/zinc/66/07/01/897660701.db2.gz CGMPVYFNISVUNZ-VHSXEESVSA-N 0 1 278.360 0.724 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2CCCO ZINC001032560333 897743667 /nfs/dbraw/zinc/74/36/67/897743667.db2.gz KKEOFWWCFJEFMR-RYUDHWBXSA-N 0 1 252.358 0.866 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCCC(=O)N1 ZINC001032622048 897837934 /nfs/dbraw/zinc/83/79/34/897837934.db2.gz QIHQECDGNXHXRY-MELADBBJSA-N 0 1 289.379 0.354 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2nc(CC)oc2C)C1 ZINC001077819672 897847266 /nfs/dbraw/zinc/84/72/66/897847266.db2.gz IWKRKUDTCDOHQZ-VXGBXAGGSA-N 0 1 293.367 0.896 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cncn1C ZINC001032733142 898029378 /nfs/dbraw/zinc/02/93/78/898029378.db2.gz LXNXVMJKVWFRJN-KBPBESRZSA-N 0 1 272.352 0.271 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)C(N)=O)CC2(CCOCC2)C1 ZINC001089955797 898107774 /nfs/dbraw/zinc/10/77/74/898107774.db2.gz GAJLRENEZWRKID-GFCCVEGCSA-N 0 1 295.383 0.035 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)N[C@@H]1CN(CC#N)C[C@H]1O ZINC001077966927 898119513 /nfs/dbraw/zinc/11/95/13/898119513.db2.gz PBCZQQKFLBRPPR-GHMZBOCLSA-N 0 1 291.355 0.140 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)COCCN(Cc1ccc[nH]1)C2 ZINC001272925442 898299288 /nfs/dbraw/zinc/29/92/88/898299288.db2.gz CVIHZBLDMFZYFV-INIZCTEOSA-N 0 1 287.363 0.699 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CCNC(=O)c1[nH]ncc1F ZINC001078247537 898311877 /nfs/dbraw/zinc/31/18/77/898311877.db2.gz BLNANMMOAUNEHZ-JTQLQIEISA-N 0 1 294.330 0.977 20 30 CCEDMN CC(C)(F)C(=O)NC[C@@H](CO)NCc1ccc(C#N)cc1 ZINC001485967154 898538083 /nfs/dbraw/zinc/53/80/83/898538083.db2.gz LDDFYKJEXZAMMV-ZDUSSCGKSA-N 0 1 293.342 0.873 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)CCC(C)C ZINC001485971895 898543587 /nfs/dbraw/zinc/54/35/87/898543587.db2.gz DJCYIPMJZNGLLA-CYBMUJFWSA-N 0 1 270.373 0.139 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)c1cc(C)oc1C ZINC001485973659 898548710 /nfs/dbraw/zinc/54/87/10/898548710.db2.gz QRQHJIWSFATHIN-CYBMUJFWSA-N 0 1 294.351 0.227 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ncoc1-c1ccccc1 ZINC001486001488 898562331 /nfs/dbraw/zinc/56/23/31/898562331.db2.gz GNVZVEJDBGECJI-CYBMUJFWSA-N 0 1 299.330 0.655 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CC1(C)CCCC1 ZINC001485992651 898578660 /nfs/dbraw/zinc/57/86/60/898578660.db2.gz QPINGTYANSLSLK-GFCCVEGCSA-N 0 1 252.358 0.657 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001486015189 898589167 /nfs/dbraw/zinc/58/91/67/898589167.db2.gz SMXUAKFWUNQWQO-BFHYXJOUSA-N 0 1 296.411 0.385 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1(C(F)F)CCCC1 ZINC001486028029 898616421 /nfs/dbraw/zinc/61/64/21/898616421.db2.gz RXGPBGFVMDYHNL-JTQLQIEISA-N 0 1 274.311 0.512 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)CCc3cnc[nH]3)CCC[C@H]12 ZINC001486149866 898688101 /nfs/dbraw/zinc/68/81/01/898688101.db2.gz UZAFFCMINKHQNI-DZGCQCFKSA-N 0 1 287.367 0.979 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCCC(=O)NCC)[C@@H]1C ZINC001486183652 898703266 /nfs/dbraw/zinc/70/32/66/898703266.db2.gz KNCSOITYTGDRSL-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN N#CCNC[C@@H]1CC[C@H](NC(=O)CN2CCCC2)C1 ZINC001486220521 898720641 /nfs/dbraw/zinc/72/06/41/898720641.db2.gz MGRSZSMPBDDFCJ-OLZOCXBDSA-N 0 1 264.373 0.480 20 30 CCEDMN N#CCNC[C@H]1CC[C@H](NC(=O)CN2CCCC2)C1 ZINC001486220523 898721065 /nfs/dbraw/zinc/72/10/65/898721065.db2.gz MGRSZSMPBDDFCJ-STQMWFEESA-N 0 1 264.373 0.480 20 30 CCEDMN C=CCC[NH2+]C[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001486272905 898756353 /nfs/dbraw/zinc/75/63/53/898756353.db2.gz QIBTUXCCCNOGRT-NSHDSACASA-N 0 1 279.340 0.386 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1ccnnc1C ZINC001486288487 898773817 /nfs/dbraw/zinc/77/38/17/898773817.db2.gz UDJKGEOVDZTYKU-NSHDSACASA-N 0 1 298.774 0.560 20 30 CCEDMN C=CCN(CCNC(=O)[C@H]1CCNC(=O)CC1)CCOC ZINC001486429873 898867850 /nfs/dbraw/zinc/86/78/50/898867850.db2.gz DTZXYLIZAWFXHG-CYBMUJFWSA-N 0 1 297.399 0.153 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001487370727 899161833 /nfs/dbraw/zinc/16/18/33/899161833.db2.gz JOKBYFQRISFCTL-QWRGUYRKSA-N 0 1 291.355 0.928 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001487371380 899162947 /nfs/dbraw/zinc/16/29/47/899162947.db2.gz GNQZEOVKBVTKGQ-GHMZBOCLSA-N 0 1 291.355 0.928 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001487371380 899162953 /nfs/dbraw/zinc/16/29/53/899162953.db2.gz GNQZEOVKBVTKGQ-GHMZBOCLSA-N 0 1 291.355 0.928 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](CNC(=O)CN2CCCC2)C1 ZINC001487449817 899198792 /nfs/dbraw/zinc/19/87/92/899198792.db2.gz IXAWHAAOMHZUNN-JHJVBQTASA-N 0 1 292.383 0.253 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc2n(n1)CCO2 ZINC001437142066 899603868 /nfs/dbraw/zinc/60/38/68/899603868.db2.gz CAFWRIOTQKYQAR-VIFPVBQESA-N 0 1 284.747 0.736 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001415293933 899768113 /nfs/dbraw/zinc/76/81/13/899768113.db2.gz WZRXPUUNFPJEFJ-VHSXEESVSA-N 0 1 275.312 0.148 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CCCNC(=O)c1cnn[nH]1 ZINC001487480252 899800673 /nfs/dbraw/zinc/80/06/73/899800673.db2.gz XWHRCBUZOWISCQ-SNVBAGLBSA-N 0 1 279.344 0.786 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)CC2(OC)CCC2)C1 ZINC001325080947 899901622 /nfs/dbraw/zinc/90/16/22/899901622.db2.gz DNHPVLGKQLKSDZ-OAHLLOKOSA-N 0 1 294.395 0.522 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CCCCCF)C[C@H]1O ZINC001191872401 900022794 /nfs/dbraw/zinc/02/27/94/900022794.db2.gz JDCFISPCPIQTDD-IJLUTSLNSA-N 0 1 271.336 0.447 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCN2CCCC2=O)C1 ZINC001194071781 900043855 /nfs/dbraw/zinc/04/38/55/900043855.db2.gz JNHJVRJUUGLRFJ-AWEZNQCLSA-N 0 1 291.395 0.555 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](CO)CNC(=O)[C@@H]1CCCN1C ZINC001487965274 900191079 /nfs/dbraw/zinc/19/10/79/900191079.db2.gz XWLPQLFOGWPTPN-STQMWFEESA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCC(=O)N1 ZINC001488672562 900338211 /nfs/dbraw/zinc/33/82/11/900338211.db2.gz PAFPUFHGSXNFPV-NWDGAFQWSA-N 0 1 265.357 0.374 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)C1(C(=O)NC)CCC1 ZINC001493154398 900531010 /nfs/dbraw/zinc/53/10/10/900531010.db2.gz BUCHBBSQBPPRBF-ZDUSSCGKSA-N 0 1 291.395 0.507 20 30 CCEDMN Cc1nc(CN2CCC(N(C)C(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001490402185 900616472 /nfs/dbraw/zinc/61/64/72/900616472.db2.gz JMBZZMUAEZSCLG-JTQLQIEISA-N 0 1 290.371 0.696 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](C)NC(=O)CC)CC1 ZINC001490407872 900623644 /nfs/dbraw/zinc/62/36/44/900623644.db2.gz VOCCRHILTAPMPX-CYBMUJFWSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN1CCN(CCCNC(=O)c2cnco2)CC1 ZINC001490468810 900631281 /nfs/dbraw/zinc/63/12/81/900631281.db2.gz PVNYRTYPIZMGKN-UHFFFAOYSA-N 0 1 290.367 0.435 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)[C@H](C)C2CC2)CC1 ZINC001490486976 900636229 /nfs/dbraw/zinc/63/62/29/900636229.db2.gz RHJGEDBCZVIUCT-CQSZACIVSA-N 0 1 277.412 0.790 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2nccn2C)C1 ZINC001490553207 900663295 /nfs/dbraw/zinc/66/32/95/900663295.db2.gz NGFUPYFIVPTEON-ZDUSSCGKSA-N 0 1 274.368 0.567 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)CC(=O)NCC)C1CC1 ZINC001490651558 900691695 /nfs/dbraw/zinc/69/16/95/900691695.db2.gz GRAKCPNVHRMYFF-NSHDSACASA-N 0 1 287.791 0.750 20 30 CCEDMN C=CCCOCC(=O)N1CCC[C@@H](CN(C)CC(N)=O)C1 ZINC001490784457 900726833 /nfs/dbraw/zinc/72/68/33/900726833.db2.gz SWYXJZSUSHYMCP-ZDUSSCGKSA-N 0 1 297.399 0.235 20 30 CCEDMN C=C(CN(C)C)C(=O)N1CCOC[C@H]1[C@H]1CCCC1=O ZINC001154052636 900811774 /nfs/dbraw/zinc/81/17/74/900811774.db2.gz AMXLTDJTKGHSDD-OLZOCXBDSA-N 0 1 280.368 0.701 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3nonc3C)C2)C1=O ZINC001273986733 900834261 /nfs/dbraw/zinc/83/42/61/900834261.db2.gz WFWWDUJGWLLDJS-AWEZNQCLSA-N 0 1 274.324 0.436 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@@H]1CCN(CC)C1=O ZINC001442915370 901081582 /nfs/dbraw/zinc/08/15/82/901081582.db2.gz ABUPBBQPSBOTJE-QWHCGFSZSA-N 0 1 294.399 0.595 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1CC(=O)N(CC(C)C)C1 ZINC001275566199 901132319 /nfs/dbraw/zinc/13/23/19/901132319.db2.gz MUCUYMHZCDENEV-KGLIPLIRSA-N 0 1 293.411 0.561 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cc(C#N)[nH]c2C)C1 ZINC001412568924 901833290 /nfs/dbraw/zinc/83/32/90/901833290.db2.gz AJLCEZIOWNEYDS-NSHDSACASA-N 0 1 276.340 0.645 20 30 CCEDMN C#CCCN1Cc2ccccc2C[C@H]1CNC(=O)CC(N)=O ZINC001327508839 914578607 /nfs/dbraw/zinc/57/86/07/914578607.db2.gz SYOAZZYTZWBSLV-HNNXBMFYSA-N 0 1 299.374 0.428 20 30 CCEDMN C[C@@H](Nc1cnc(C#N)c(C#N)n1)c1n[nH]c(=O)n1C ZINC001412888881 902333863 /nfs/dbraw/zinc/33/38/63/902333863.db2.gz CUOWKAZCPCTMPS-ZCFIWIBFSA-N 0 1 270.256 0.227 20 30 CCEDMN Cc1nn(C)c(N2CCN([C@@H](C)[C@H](C)O)CC2)c1C#N ZINC001412981167 902435854 /nfs/dbraw/zinc/43/58/54/902435854.db2.gz PCBVSORYFQFKRU-RYUDHWBXSA-N 0 1 277.372 0.492 20 30 CCEDMN C=CCCn1cc(CNCCCn2cc(CO)nn2)nn1 ZINC001327576094 914628428 /nfs/dbraw/zinc/62/84/28/914628428.db2.gz CQPSPQXEZRDUFI-UHFFFAOYSA-N 0 1 291.359 0.118 20 30 CCEDMN N#Cc1sccc1C(=O)N[C@H](CO)Cc1cnc[nH]1 ZINC001413440555 902950438 /nfs/dbraw/zinc/95/04/38/902950438.db2.gz VYFVSWAUADISRI-VIFPVBQESA-N 0 1 276.321 0.676 20 30 CCEDMN N#Cc1cnccc1N1CCC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001058183413 903082120 /nfs/dbraw/zinc/08/21/20/903082120.db2.gz MRDALVCZWVZGFO-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cnccc1N1CCC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001058183413 903082136 /nfs/dbraw/zinc/08/21/36/903082136.db2.gz MRDALVCZWVZGFO-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)[C@@H]1CCCN(CC(N)=O)C1 ZINC001491287754 903486400 /nfs/dbraw/zinc/48/64/00/903486400.db2.gz IEJBHPXIHGUEKV-UONOGXRCSA-N 0 1 293.411 0.882 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC001398613397 914743986 /nfs/dbraw/zinc/74/39/86/914743986.db2.gz RWYVUURULGIVJX-INTQDDNPSA-N 0 1 288.355 0.579 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)CCc2cn[nH]c2)[C@H](OC)C1 ZINC001213554020 903838416 /nfs/dbraw/zinc/83/84/16/903838416.db2.gz SPDKCUHDMMINHG-ZIAGYGMSSA-N 0 1 292.383 0.734 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2cn[nH]c2)[C@H](OC)C1 ZINC001213554020 903838430 /nfs/dbraw/zinc/83/84/30/903838430.db2.gz SPDKCUHDMMINHG-ZIAGYGMSSA-N 0 1 292.383 0.734 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@](C)(NC(=O)c2cnn[nH]2)C1 ZINC001299559808 904054996 /nfs/dbraw/zinc/05/49/96/904054996.db2.gz RBPBIZZGPBHPHT-CQSZACIVSA-N 0 1 291.355 0.882 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)[C@@H](C)n1cncn1 ZINC001280802303 904156342 /nfs/dbraw/zinc/15/63/42/904156342.db2.gz HZDSXHJEEHMOEU-GFCCVEGCSA-N 0 1 263.345 0.253 20 30 CCEDMN C=CCCCC(=O)N(C)C[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001281045659 904206115 /nfs/dbraw/zinc/20/61/15/904206115.db2.gz WDYNBCOXGSHTBJ-SNVBAGLBSA-N 0 1 279.344 0.738 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)Cn1ccnc1 ZINC001316609231 904252081 /nfs/dbraw/zinc/25/20/81/904252081.db2.gz BLRKTHMBIRMLEJ-CQSZACIVSA-N 0 1 292.383 0.618 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)N(C)Cc1n[nH]c(C)n1 ZINC001282364601 904458388 /nfs/dbraw/zinc/45/83/88/904458388.db2.gz PCPQHAGJKBSKEV-JTQLQIEISA-N 0 1 281.360 0.252 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCCN(C(=O)CC)C1 ZINC001282389308 904466045 /nfs/dbraw/zinc/46/60/45/904466045.db2.gz NKAZZNKFDFGNPE-KBPBESRZSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H](O)C(C)C)C1 ZINC001282706542 904517109 /nfs/dbraw/zinc/51/71/09/904517109.db2.gz MUQRWDBVICRUBJ-DGCLKSJQSA-N 0 1 252.358 0.463 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCn2ccnn2)C(C)(C)C1 ZINC001282799948 904543070 /nfs/dbraw/zinc/54/30/70/904543070.db2.gz GMRISATWTPIIHY-ZDUSSCGKSA-N 0 1 289.383 0.518 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@@H](C)OC)C(C)(C)C1 ZINC001282790907 904544353 /nfs/dbraw/zinc/54/43/53/904544353.db2.gz KIUXIKWBULGHQT-VXGBXAGGSA-N 0 1 252.358 0.871 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCCNC(=O)c1cnn[nH]1 ZINC001283295506 904793156 /nfs/dbraw/zinc/79/31/56/904793156.db2.gz PEHVOXSTQGKWBH-ZDUSSCGKSA-N 0 1 279.344 0.643 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)N(C)Cc1cnc[nH]1 ZINC001327896191 914844112 /nfs/dbraw/zinc/84/41/12/914844112.db2.gz BCBJPALVADAAMC-UHFFFAOYSA-N 0 1 286.357 0.152 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H](C)CCCC ZINC001283744732 904990074 /nfs/dbraw/zinc/99/00/74/904990074.db2.gz NJUYABJKCCRFHS-CHWSQXEVSA-N 0 1 254.374 0.903 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C(C)(C)[C@H]1CCCCO1 ZINC001283760667 905002537 /nfs/dbraw/zinc/00/25/37/905002537.db2.gz XQBYMGVCNSCHAM-UONOGXRCSA-N 0 1 296.411 0.672 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1cncc2ccccc21 ZINC001283764821 905003680 /nfs/dbraw/zinc/00/36/80/905003680.db2.gz OCDQRDAULOHKTB-HNNXBMFYSA-N 0 1 297.358 0.477 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001283785187 905016307 /nfs/dbraw/zinc/01/63/07/905016307.db2.gz RCPAXHSBAGMPOG-XGUBFFRZSA-N 0 1 278.396 0.903 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc2ccccc2n1C ZINC001283797963 905022239 /nfs/dbraw/zinc/02/22/39/905022239.db2.gz SXIPELJXJKGSAV-ZDUSSCGKSA-N 0 1 285.347 0.492 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001283950156 905096726 /nfs/dbraw/zinc/09/67/26/905096726.db2.gz ZAEILXLZNGRUBS-LLVKDONJSA-N 0 1 291.355 0.786 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1ccncc1 ZINC001284477938 905315038 /nfs/dbraw/zinc/31/50/38/905315038.db2.gz RMWGHASKOCDREW-AWEZNQCLSA-N 0 1 275.352 0.470 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H](C)CSC ZINC001284481753 905315681 /nfs/dbraw/zinc/31/56/81/905315681.db2.gz HXYUJTIBSIUJBQ-RYUDHWBXSA-N 0 1 272.414 0.370 20 30 CCEDMN CC#CC[N@H+](C)C[C@H](O)CN(C)C(=O)[C@H]1CCCCN1C ZINC001284501416 905336399 /nfs/dbraw/zinc/33/63/99/905336399.db2.gz DHKLDKRTHGIZFV-LSDHHAIUSA-N 0 1 295.427 0.245 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cc(OC)ccn1 ZINC001284505582 905339791 /nfs/dbraw/zinc/33/97/91/905339791.db2.gz NSIQVTSPIBMMMW-LBPRGKRZSA-N 0 1 291.351 0.088 20 30 CCEDMN CC#CCN(C)CCN(C(=O)CCNC(N)=O)C(C)C ZINC001284558473 905371722 /nfs/dbraw/zinc/37/17/22/905371722.db2.gz MLIHJTOTPXBCMG-UHFFFAOYSA-N 0 1 282.388 0.237 20 30 CCEDMN C=CCCCC(=O)N1CCC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001284682078 905415399 /nfs/dbraw/zinc/41/53/99/905415399.db2.gz SGLKQVLNAFEGEH-NSHDSACASA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCOCC(=O)NCC1=CCN(CC#CCOC)CC1 ZINC001284891821 905467139 /nfs/dbraw/zinc/46/71/39/905467139.db2.gz RHMYNFLHQPNKGC-UHFFFAOYSA-N 0 1 292.379 0.587 20 30 CCEDMN Cc1nc(CN2CC=C(CNC(=O)C#CC3CC3)CC2)n[nH]1 ZINC001284908616 905484054 /nfs/dbraw/zinc/48/40/54/905484054.db2.gz ADYZAZVOVKPSPS-UHFFFAOYSA-N 0 1 299.378 0.775 20 30 CCEDMN C#CCCCC(=O)N1CC(NC(=O)[C@@H]2CCCCN2C)C1 ZINC001284921741 905486416 /nfs/dbraw/zinc/48/64/16/905486416.db2.gz FQJLBRJBHDUOOI-AWEZNQCLSA-N 0 1 291.395 0.601 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)CNCc1nnnn1C1CC1 ZINC001378562539 905686165 /nfs/dbraw/zinc/68/61/65/905686165.db2.gz VLUJBYAXRQBLTP-VHSXEESVSA-N 0 1 291.359 0.010 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001285778806 905761984 /nfs/dbraw/zinc/76/19/84/905761984.db2.gz UATHFACEOZAMJZ-IINYFYTJSA-N 0 1 293.371 0.984 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N(C)[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001285778806 905761991 /nfs/dbraw/zinc/76/19/91/905761991.db2.gz UATHFACEOZAMJZ-IINYFYTJSA-N 0 1 293.371 0.984 20 30 CCEDMN C=CC(C)(C)C(=O)NC1(CNC(=O)c2cnn[nH]2)CC1 ZINC001285941281 905817833 /nfs/dbraw/zinc/81/78/33/905817833.db2.gz DPNUFMIUKQXQDH-UHFFFAOYSA-N 0 1 277.328 0.396 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001286481407 905881158 /nfs/dbraw/zinc/88/11/58/905881158.db2.gz PSGJGIPANSTCTI-XYPYZODXSA-N 0 1 289.339 0.089 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001286481407 905881169 /nfs/dbraw/zinc/88/11/69/905881169.db2.gz PSGJGIPANSTCTI-XYPYZODXSA-N 0 1 289.339 0.089 20 30 CCEDMN CO[C@H](CN1CCN(CC#N)CC1)[C@@H]1CCOC1 ZINC001333319198 905923803 /nfs/dbraw/zinc/92/38/03/905923803.db2.gz CNUKBWUYAUMAMV-CHWSQXEVSA-N 0 1 253.346 0.179 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](C)NC(C)=O ZINC001379058507 905955002 /nfs/dbraw/zinc/95/50/02/905955002.db2.gz PYMYDDVCEBQDQO-ZJUUUORDSA-N 0 1 275.780 0.700 20 30 CCEDMN C=CCCCC(=O)NC[C@@H](CO)NC(=O)[C@H]1CCCN1C ZINC001287835751 905973386 /nfs/dbraw/zinc/97/33/86/905973386.db2.gz ZFCGHMASBHROSV-QWHCGFSZSA-N 0 1 297.399 0.030 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)[C@H]1C ZINC001287876021 905985399 /nfs/dbraw/zinc/98/53/99/905985399.db2.gz MTHZHVUZBJBSQR-GHMZBOCLSA-N 0 1 289.339 0.183 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)[C@H]1C ZINC001287876021 905985413 /nfs/dbraw/zinc/98/54/13/905985413.db2.gz MTHZHVUZBJBSQR-GHMZBOCLSA-N 0 1 289.339 0.183 20 30 CCEDMN C=CCCC(=O)N[C@H]1CC[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001288029769 906001573 /nfs/dbraw/zinc/00/15/73/906001573.db2.gz QDWVNUJWPQAWGB-QWRGUYRKSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCC(=O)N[C@H]1CC[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001288029769 906001581 /nfs/dbraw/zinc/00/15/81/906001581.db2.gz QDWVNUJWPQAWGB-QWRGUYRKSA-N 0 1 291.355 0.786 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)NC[C@@H]1CN(C)CCN1C ZINC001333723071 906092604 /nfs/dbraw/zinc/09/26/04/906092604.db2.gz JHMXVGUQQXKVSK-UONOGXRCSA-N 0 1 278.400 0.430 20 30 CCEDMN N#CCNC(=O)c1nc[nH]c(=O)c1Br ZINC001292650690 906318286 /nfs/dbraw/zinc/31/82/86/906318286.db2.gz NBALMRCGVLQYKZ-UHFFFAOYSA-N 0 1 257.047 0.198 20 30 CCEDMN N#Cc1ccc(CNC(=O)CCc2nn[nH]n2)cc1 ZINC001293780879 906516612 /nfs/dbraw/zinc/51/66/12/906516612.db2.gz QVQKPORQJZPTPG-UHFFFAOYSA-N 0 1 256.269 0.320 20 30 CCEDMN N#CC[C@@H](NC(=O)c1cnncc1O)C(F)(F)F ZINC001337437533 921250197 /nfs/dbraw/zinc/25/01/97/921250197.db2.gz YDZIIOQEPWETSD-SSDOTTSWSA-N 0 1 260.175 0.757 20 30 CCEDMN C=CCCCC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001294786268 906636291 /nfs/dbraw/zinc/63/62/91/906636291.db2.gz GIYTYFQPVLJNQM-JTQLQIEISA-N 0 1 277.328 0.492 20 30 CCEDMN C=CCCCC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001294786268 906636301 /nfs/dbraw/zinc/63/63/01/906636301.db2.gz GIYTYFQPVLJNQM-JTQLQIEISA-N 0 1 277.328 0.492 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC001294813406 906638599 /nfs/dbraw/zinc/63/85/99/906638599.db2.gz OCBWKEVRRRUGMW-SNVBAGLBSA-N 0 1 292.314 0.539 20 30 CCEDMN C[C@H](CNC(=O)CSCC#N)NC(=O)c1[nH]ncc1F ZINC001295425903 906722334 /nfs/dbraw/zinc/72/23/34/906722334.db2.gz WKUSEMNHBIYIRH-SSDOTTSWSA-N 0 1 299.331 0.040 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001295614964 906771716 /nfs/dbraw/zinc/77/17/16/906771716.db2.gz HPDTZYUOBISBLJ-VHSXEESVSA-N 0 1 279.344 0.642 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001295614964 906771723 /nfs/dbraw/zinc/77/17/23/906771723.db2.gz HPDTZYUOBISBLJ-VHSXEESVSA-N 0 1 279.344 0.642 20 30 CCEDMN CCN(CCNC(=O)c1[nH]ncc1F)C(=O)C#CC(C)C ZINC001296370579 906907452 /nfs/dbraw/zinc/90/74/52/906907452.db2.gz BHVBJHMITFMQDY-UHFFFAOYSA-N 0 1 294.330 0.787 20 30 CCEDMN C=CCCCC(=O)N1CCC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001296942190 906977905 /nfs/dbraw/zinc/97/79/05/906977905.db2.gz MUFNHBIJKNRKTB-NSHDSACASA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCCCC(=O)N1CCC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001296942190 906977924 /nfs/dbraw/zinc/97/79/24/906977924.db2.gz MUFNHBIJKNRKTB-NSHDSACASA-N 0 1 291.355 0.882 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2cn[nH]c2)CCO1 ZINC001380905442 906988014 /nfs/dbraw/zinc/98/80/14/906988014.db2.gz UFAMUFQTVAWYGA-NSHDSACASA-N 0 1 284.747 0.593 20 30 CCEDMN CC(C)C#CC(=O)N1CC(NC(=O)[C@@H]2CCCN2C)C1 ZINC001297022800 907001740 /nfs/dbraw/zinc/00/17/40/907001740.db2.gz XAYFRRYVCZXCCA-ZDUSSCGKSA-N 0 1 277.368 0.067 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC001297533396 907087429 /nfs/dbraw/zinc/08/74/29/907087429.db2.gz KBINMTFKUAONCW-QNSHHTMESA-N 0 1 250.302 0.881 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001297751037 907114135 /nfs/dbraw/zinc/11/41/35/907114135.db2.gz CROLYIBWVNZBRS-SNVBAGLBSA-N 0 1 293.371 0.889 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ncn(C)n1 ZINC001381356641 907169739 /nfs/dbraw/zinc/16/97/39/907169739.db2.gz AESRTJBAFCBRDN-DTWKUNHWSA-N 0 1 271.752 0.664 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001298598952 907288002 /nfs/dbraw/zinc/28/80/02/907288002.db2.gz VXMJAWBQCSZARU-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN C#CCCCC(=O)NCC1(NC(=O)Cc2cnc[nH]2)CC1 ZINC001299150300 907397638 /nfs/dbraw/zinc/39/76/38/907397638.db2.gz BGPICMVVOSDGNH-UHFFFAOYSA-N 0 1 288.351 0.521 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](COC)OC ZINC001491578422 907416389 /nfs/dbraw/zinc/41/63/89/907416389.db2.gz VNGKPKAXGKOQOP-ZJUUUORDSA-N 0 1 264.753 0.495 20 30 CCEDMN C[C@H](Nc1c(C#N)cnn1C)[C@H]1CN(C)CCN1C ZINC001337971431 921326769 /nfs/dbraw/zinc/32/67/69/921326769.db2.gz QTNIELDJZWIPDT-CMPLNLGQSA-N 0 1 262.361 0.338 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCCNC(=O)C#CC2CC2)c1C ZINC001491644686 907481675 /nfs/dbraw/zinc/48/16/75/907481675.db2.gz PSVRGQYXACNAGU-UHFFFAOYSA-N 0 1 288.351 0.676 20 30 CCEDMN COc1nccc(CN[C@H](C)CN(C)C(=O)[C@@H](C)C#N)n1 ZINC001382059272 907520142 /nfs/dbraw/zinc/52/01/42/907520142.db2.gz RGCUVPDHUFOLSL-WDEREUQCSA-N 0 1 291.355 0.581 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@]1(C)CCC(=O)N1 ZINC001382129691 907564832 /nfs/dbraw/zinc/56/48/32/907564832.db2.gz VSKDPPVANAQDDR-MFKMUULPSA-N 0 1 287.791 0.844 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001491821678 907589077 /nfs/dbraw/zinc/58/90/77/907589077.db2.gz GCHYWVDPJDZNBK-SNVBAGLBSA-N 0 1 289.339 0.185 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001491924740 907641382 /nfs/dbraw/zinc/64/13/82/907641382.db2.gz IQXNHDBGPDPYQQ-UONOGXRCSA-N 0 1 291.395 0.457 20 30 CCEDMN N#CCCCCC(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC001302137439 908007971 /nfs/dbraw/zinc/00/79/71/908007971.db2.gz OWSLUFCXUVFUSD-VIFPVBQESA-N 0 1 264.289 0.184 20 30 CCEDMN C#CCN(C(=O)C1=C[S@@](=O)CCC1)C1CCN(C)CC1 ZINC001303999974 908133900 /nfs/dbraw/zinc/13/39/00/908133900.db2.gz AKQCIBJKTCSNOJ-FQEVSTJZSA-N 0 1 294.420 0.969 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCC(=O)NCC)[C@H]1CC ZINC001316738920 908232964 /nfs/dbraw/zinc/23/29/64/908232964.db2.gz KYGHGTHIIZYLCD-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]2C)[nH]1 ZINC001385083783 908346840 /nfs/dbraw/zinc/34/68/40/908346840.db2.gz PTRPIVGVCHISDI-NGZCFLSTSA-N 0 1 289.339 0.597 20 30 CCEDMN C[C@@H]1C[C@@H](CO)CN(CC(=O)NC2(C#N)CCC2)C1 ZINC001307856942 908360797 /nfs/dbraw/zinc/36/07/97/908360797.db2.gz LWZHKXCWNNMRAH-VXGBXAGGSA-N 0 1 265.357 0.499 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001317489066 908496521 /nfs/dbraw/zinc/49/65/21/908496521.db2.gz RLMQSGKKBWCFDS-CYBMUJFWSA-N 0 1 279.384 0.316 20 30 CCEDMN CO[C@H](CNC(=O)NCC#CCN(C)C)C(C)C ZINC001312097612 908584447 /nfs/dbraw/zinc/58/44/47/908584447.db2.gz DBZUUWAAMZFVSG-GFCCVEGCSA-N 0 1 255.362 0.522 20 30 CCEDMN COc1ccc(CNC(=O)C2=NC(=O)N(C)C2)cc1C#N ZINC001417576524 921426700 /nfs/dbraw/zinc/42/67/00/921426700.db2.gz DHPNOSSMBIFLBX-UHFFFAOYSA-N 0 1 286.291 0.936 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCc1ccccc1O ZINC001313439838 908667555 /nfs/dbraw/zinc/66/75/55/908667555.db2.gz VAKMENGONIJANB-UHFFFAOYSA-N 0 1 275.352 0.799 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCn2cncn2)[C@H]1C ZINC001316775948 908769001 /nfs/dbraw/zinc/76/90/01/908769001.db2.gz MLTFGNSFTWCFSG-OLZOCXBDSA-N 0 1 275.356 0.271 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN(C)CC(=O)N1CCCC1 ZINC001316815388 908783530 /nfs/dbraw/zinc/78/35/30/908783530.db2.gz NGBAMICDTLIGOT-LBPRGKRZSA-N 0 1 280.372 0.207 20 30 CCEDMN CN(C(=O)CCc1cnc[nH]1)C1CCN(CC#N)CC1 ZINC001316932869 908851075 /nfs/dbraw/zinc/85/10/75/908851075.db2.gz BMSDZFGCIVYSKG-UHFFFAOYSA-N 0 1 275.356 0.789 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CCN(CCn2cncn2)C1 ZINC001316986573 908901661 /nfs/dbraw/zinc/90/16/61/908901661.db2.gz QWMIZAFLTKWXIU-CQSZACIVSA-N 0 1 289.383 0.662 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](CNC(=O)CCCF)C1 ZINC001317138880 909010029 /nfs/dbraw/zinc/01/00/29/909010029.db2.gz OMBIORQBMCPCLL-ZDUSSCGKSA-N 0 1 297.374 0.314 20 30 CCEDMN CNC(=O)CN1CCC[C@@H]([C@@H](C)NC(=O)C#CC(C)C)C1 ZINC001317206125 909040892 /nfs/dbraw/zinc/04/08/92/909040892.db2.gz WJWNMJMDPSHQIH-ZIAGYGMSSA-N 0 1 293.411 0.609 20 30 CCEDMN C=CCOCC[NH2+][C@@H](C)CNC(=O)c1ncccc1[O-] ZINC001317232833 909069168 /nfs/dbraw/zinc/06/91/68/909069168.db2.gz GBAPLXQMCXRRHV-NSHDSACASA-N 0 1 279.340 0.698 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCCC(N)=O ZINC001317289829 909119862 /nfs/dbraw/zinc/11/98/62/909119862.db2.gz PLUAFIHTICEAMN-SECBINFHSA-N 0 1 261.753 0.489 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@@H](CNCc2cnon2)C1 ZINC001317316007 909139894 /nfs/dbraw/zinc/13/98/94/909139894.db2.gz CRMHXKHNQZXDOF-SMDDNHRTSA-N 0 1 294.355 0.335 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001417636884 921478819 /nfs/dbraw/zinc/47/88/19/921478819.db2.gz YQVNOQHUHYGZHF-LLVKDONJSA-N 0 1 289.383 0.561 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cccc2ncnn21 ZINC001317451949 909227472 /nfs/dbraw/zinc/22/74/72/909227472.db2.gz YRBUYKZUPGJXHL-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)C(=O)Nc2ccc(C#N)cc2)CCN1C ZINC001338484087 921483721 /nfs/dbraw/zinc/48/37/21/921483721.db2.gz PWINDACRXIXHSB-GWCFXTLKSA-N 0 1 286.335 0.706 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnc2n1CCOC2 ZINC001317479424 909252594 /nfs/dbraw/zinc/25/25/94/909252594.db2.gz FWQDTTMHRSSCMU-UHFFFAOYSA-N 0 1 276.340 0.098 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN(CC(N)=O)C1 ZINC001317529296 909299170 /nfs/dbraw/zinc/29/91/70/909299170.db2.gz WMIPPJADPGSQBR-NSHDSACASA-N 0 1 267.373 0.512 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN([C@@H]3CCNC3=O)C2)C1 ZINC001317531074 909299392 /nfs/dbraw/zinc/29/93/92/909299392.db2.gz PWBUXWRQODRSFG-CHWSQXEVSA-N 0 1 291.395 0.669 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)c1ncc[nH]1 ZINC001317541924 909313518 /nfs/dbraw/zinc/31/35/18/909313518.db2.gz HQKNODCDLNFBGU-UHFFFAOYSA-N 0 1 278.356 0.501 20 30 CCEDMN C#CCN(CCNC(=O)C[C@H]1CCOC[C@H]1OC)C1CC1 ZINC001317552718 909323952 /nfs/dbraw/zinc/32/39/52/909323952.db2.gz WNXUYBFKAAQQCJ-UKRRQHHQSA-N 0 1 294.395 0.642 20 30 CCEDMN CC[C@H](CNC(=O)CN1CCCC1)NC(=O)[C@@H](C)C#N ZINC001388080253 909373142 /nfs/dbraw/zinc/37/31/42/909373142.db2.gz OPRRLSGOSMJCSY-NWDGAFQWSA-N 0 1 280.372 0.253 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cc(OC)ncn1 ZINC001317587490 909385245 /nfs/dbraw/zinc/38/52/45/909385245.db2.gz LAOZKWWDLQFLCP-UHFFFAOYSA-N 0 1 284.747 0.899 20 30 CCEDMN Cc1n[nH]nc1C(=O)N(C)CCNCc1ccccc1C#N ZINC001317615916 909410174 /nfs/dbraw/zinc/41/01/74/909410174.db2.gz NWXNLKZENHQPAY-UHFFFAOYSA-N 0 1 298.350 0.847 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H]2CN(C)CCO2)C1 ZINC001317753727 909480672 /nfs/dbraw/zinc/48/06/72/909480672.db2.gz IRYMJRVZIYQLKN-HUUCEWRRSA-N 0 1 295.427 0.816 20 30 CCEDMN CCCN(C(=O)c1[nH]nnc1C)[C@@H]1CCN(CC#N)C1 ZINC001317763875 909483816 /nfs/dbraw/zinc/48/38/16/909483816.db2.gz SYNVJRVNCHSJFM-LLVKDONJSA-N 0 1 276.344 0.563 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)C(N)=O)C1 ZINC001317797215 909499241 /nfs/dbraw/zinc/49/92/41/909499241.db2.gz LQZLEDCNFGZDFB-VIFPVBQESA-N 0 1 259.737 0.052 20 30 CCEDMN C=CCCC(=O)N(C)CCN1CCN(CCO)CC1 ZINC001317816776 909510374 /nfs/dbraw/zinc/51/03/74/909510374.db2.gz NDRVTWNDVGOBLV-UHFFFAOYSA-N 0 1 269.389 0.021 20 30 CCEDMN C=CCOCCCC(=O)NC1CN(C[C@H]2CCOC2)C1 ZINC001318011732 909575525 /nfs/dbraw/zinc/57/55/25/909575525.db2.gz NWNLZKFPKMBEAD-CYBMUJFWSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](COC)OC)C1 ZINC001318060002 909602401 /nfs/dbraw/zinc/60/24/01/909602401.db2.gz AOPFIGICHHWFOP-DGCLKSJQSA-N 0 1 270.373 0.803 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCC(=O)NCCC)C1 ZINC001318293047 909691603 /nfs/dbraw/zinc/69/16/03/909691603.db2.gz ZVGYFINPANNQQA-ZDUSSCGKSA-N 0 1 279.384 0.507 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H](C)NC(C)=O)C1 ZINC001318452827 909765250 /nfs/dbraw/zinc/76/52/50/909765250.db2.gz IEIAWXMCINQBSK-OCCSQVGLSA-N 0 1 279.384 0.457 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1CCNC1=O ZINC001318553625 909812533 /nfs/dbraw/zinc/81/25/33/909812533.db2.gz BBFZCYSVLQNVMU-NXEZZACHSA-N 0 1 273.764 0.359 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](CC(N)=O)C2)CC1 ZINC001318932281 909928923 /nfs/dbraw/zinc/92/89/23/909928923.db2.gz DJSGGVJENVCCTK-CYBMUJFWSA-N 0 1 291.395 0.446 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@@H]1CNCc1ccn(C)n1 ZINC001319058954 909970458 /nfs/dbraw/zinc/97/04/58/909970458.db2.gz XKFOLIZJCDLXGO-CQSZACIVSA-N 0 1 292.383 0.703 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCN(C)CC1)c1cnn(C)c1 ZINC001319095546 909982099 /nfs/dbraw/zinc/98/20/99/909982099.db2.gz FKYCYOJKRRIJPQ-LLVKDONJSA-N 0 1 275.356 0.628 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cn[nH]n1 ZINC001319486988 910174140 /nfs/dbraw/zinc/17/41/40/910174140.db2.gz STSMZHJQWWRPNS-MRVPVSSYSA-N 0 1 257.725 0.607 20 30 CCEDMN CCn1nccc1CNCCNC(=O)C1N=CC=CC1=O ZINC001319563179 910203590 /nfs/dbraw/zinc/20/35/90/910203590.db2.gz PREMJABNOLVRDV-BUHFOSPRSA-N 0 1 289.339 0.519 20 30 CCEDMN CC(C)C[C@@H](CNCC#N)NC(=O)[C@H]1CCCN1C ZINC001319621225 910233556 /nfs/dbraw/zinc/23/35/56/910233556.db2.gz MNQAPESBOAOWOW-QWHCGFSZSA-N 0 1 266.389 0.725 20 30 CCEDMN Cc1[nH]cnc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001319705484 910264203 /nfs/dbraw/zinc/26/42/03/910264203.db2.gz JSFPSGLLVQTZRV-UHFFFAOYSA-N 0 1 250.287 0.149 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](COC)OC ZINC001320041792 910434373 /nfs/dbraw/zinc/43/43/73/910434373.db2.gz JQLUMEXAVFHVFP-GFCCVEGCSA-N 0 1 256.346 0.061 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@]1(C)CCCOC1 ZINC001320066508 910446094 /nfs/dbraw/zinc/44/60/94/910446094.db2.gz GHSLEGFUEWAIDJ-INIZCTEOSA-N 0 1 296.411 0.843 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1C[C@@H](C)O[C@@H](C)C1 ZINC001338878374 921594806 /nfs/dbraw/zinc/59/48/06/921594806.db2.gz HMBUXSRDQRGYDX-AOOOYVTPSA-N 0 1 289.343 0.863 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC001320604851 910758538 /nfs/dbraw/zinc/75/85/38/910758538.db2.gz KRGXEZLCVBNCBV-BDAKNGLRSA-N 0 1 267.333 0.928 20 30 CCEDMN COC(=O)c1ncsc1S(=O)(=O)NC1(C#N)CC1 ZINC001320753771 910844505 /nfs/dbraw/zinc/84/45/05/910844505.db2.gz ZPAFTQREVVXSIN-UHFFFAOYSA-N 0 1 287.322 0.264 20 30 CCEDMN C=CCCC(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001339007386 921624117 /nfs/dbraw/zinc/62/41/17/921624117.db2.gz XTSUTGCSQAFJBY-VIFPVBQESA-N 0 1 250.302 0.891 20 30 CCEDMN C=CCCC(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001339007386 921624125 /nfs/dbraw/zinc/62/41/25/921624125.db2.gz XTSUTGCSQAFJBY-VIFPVBQESA-N 0 1 250.302 0.891 20 30 CCEDMN CCn1nncc1CNC/C=C/CNC(=O)C#CC1CC1 ZINC001321052825 911049160 /nfs/dbraw/zinc/04/91/60/911049160.db2.gz UJVCDBVNJFPKND-ONEGZZNKSA-N 0 1 287.367 0.473 20 30 CCEDMN CC(C)NC(=O)CNC/C=C\CNC(=O)C#CC(C)(C)C ZINC001321053165 911051838 /nfs/dbraw/zinc/05/18/38/911051838.db2.gz XFFDQKHFZSYVCA-SREVYHEPSA-N 0 1 293.411 0.823 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)Cn2cncn2)C1 ZINC001391351313 911064217 /nfs/dbraw/zinc/06/42/17/911064217.db2.gz DDNVNWNTRLZXGV-LBPRGKRZSA-N 0 1 297.790 0.811 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2C[C@]23CCOC3)CC1 ZINC001321140816 911114379 /nfs/dbraw/zinc/11/43/79/911114379.db2.gz SLZSBYAUSQJMLO-YPMHNXCESA-N 0 1 263.341 0.517 20 30 CCEDMN C#CCCCCC(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001321617633 911401661 /nfs/dbraw/zinc/40/16/61/911401661.db2.gz BMKHSCSRHCYQHQ-UHFFFAOYSA-N 0 1 277.328 0.203 20 30 CCEDMN C[C@@H](CNCc1ncccn1)CNC(=O)c1ccc(C#N)[nH]1 ZINC001391894600 911454940 /nfs/dbraw/zinc/45/49/40/911454940.db2.gz LMVMSVDGZKTFNZ-NSHDSACASA-N 0 1 298.350 0.832 20 30 CCEDMN C[C@@H](CNCc1ccccc1C#N)N(C)C(=O)c1nc[nH]n1 ZINC001392119784 911590644 /nfs/dbraw/zinc/59/06/44/911590644.db2.gz OZVAUQBIFXABAN-NSHDSACASA-N 0 1 298.350 0.927 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)COCCOC ZINC001322129907 911670824 /nfs/dbraw/zinc/67/08/24/911670824.db2.gz LTHGUCAMMOZXQB-LBPRGKRZSA-N 0 1 256.346 0.109 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1csc(NC(C)=O)n1 ZINC001322193314 911702621 /nfs/dbraw/zinc/70/26/21/911702621.db2.gz KJPLZYHQBGAORH-SECBINFHSA-N 0 1 294.380 0.785 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1conc1COC ZINC001492813320 911707957 /nfs/dbraw/zinc/70/79/57/911707957.db2.gz ROFNSLXWSSFLND-UHFFFAOYSA-N 0 1 265.313 0.506 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnnn1-c1ccccc1 ZINC001322258484 911734282 /nfs/dbraw/zinc/73/42/82/911734282.db2.gz NMOXSFMAKGTJOP-ZDUSSCGKSA-N 0 1 297.362 0.951 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)CN(C)CC#CCOC)nc1 ZINC001322281900 911742092 /nfs/dbraw/zinc/74/20/92/911742092.db2.gz UHERHBCYLXJSRR-AWEZNQCLSA-N 0 1 299.374 0.763 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCCn1cccn1 ZINC001322297989 911753684 /nfs/dbraw/zinc/75/36/84/911753684.db2.gz FTADIQCNYJTYJT-ZDUSSCGKSA-N 0 1 262.357 0.733 20 30 CCEDMN COc1ccc(CNC[C@H](C)NC(=O)[C@H](C)C#N)nn1 ZINC001392548334 911920969 /nfs/dbraw/zinc/92/09/69/911920969.db2.gz CIAYKLNADZWLKB-ZJUUUORDSA-N 0 1 277.328 0.239 20 30 CCEDMN C=CC[C@@H](NC(=O)N[C@@H]1CC[N@H+](CCOC)C1)C(=O)[O-] ZINC001339491890 921738498 /nfs/dbraw/zinc/73/84/98/921738498.db2.gz DYWXWGUNCBSBOU-GHMZBOCLSA-N 0 1 285.344 0.036 20 30 CCEDMN C=CC[C@@H](NC(=O)NCCCn1cc[nH+]c1C)C(=O)[O-] ZINC001339492525 921739679 /nfs/dbraw/zinc/73/96/79/921739679.db2.gz JRVFILCZJRQKMO-LLVKDONJSA-N 0 1 280.328 0.910 20 30 CCEDMN CCOCC(=O)N[C@@H]1CCN(CC#CCOC)[C@@H](C)C1 ZINC001328224519 915038352 /nfs/dbraw/zinc/03/83/52/915038352.db2.gz RLXSTEZQYIEXAR-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C[C@H]2CCOC2)CC1 ZINC001328543623 915262939 /nfs/dbraw/zinc/26/29/39/915262939.db2.gz YUMLPLXKXZWZED-CYBMUJFWSA-N 0 1 282.384 0.542 20 30 CCEDMN C#CC[NH+](C)C[C@H]1CCCN1C(=O)[C@@H]1CCC[N@@H+]1CC#C ZINC001328734476 915399406 /nfs/dbraw/zinc/39/94/06/915399406.db2.gz WUPAPQARVNURCG-CVEARBPZSA-N 0 1 287.407 0.640 20 30 CCEDMN C[C@@H](NC(=O)C#CC1CC1)[C@H](C)NCc1cnns1 ZINC001329033102 915607790 /nfs/dbraw/zinc/60/77/90/915607790.db2.gz GZPXOUUHNBUNKH-VHSXEESVSA-N 0 1 278.381 0.934 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C(=O)N2C[C@H]3CC[N@H+](C)[C@H]3C2)C1 ZINC001329142187 915700176 /nfs/dbraw/zinc/70/01/76/915700176.db2.gz LWPWHUFDWIVDTF-MCIONIFRSA-N 0 1 289.379 0.021 20 30 CCEDMN CC(C)(C#N)CNC[C@@H](O)COC1CCOCC1 ZINC001329142421 915702730 /nfs/dbraw/zinc/70/27/30/915702730.db2.gz PZWQXVMADQOFAN-LLVKDONJSA-N 0 1 256.346 0.682 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1cccc2nsnc21 ZINC001492894668 915808663 /nfs/dbraw/zinc/80/86/63/915808663.db2.gz JXCNNIJXLQXIDG-UHFFFAOYSA-N 0 1 288.376 0.915 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CCc1ccc(=O)[nH]c1 ZINC001329516118 915977598 /nfs/dbraw/zinc/97/75/98/915977598.db2.gz QKLRRSKNBQUHMP-LBPRGKRZSA-N 0 1 275.352 0.790 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@](C)(NC(=O)CN2CCCC2)C1 ZINC001400892903 915982145 /nfs/dbraw/zinc/98/21/45/915982145.db2.gz UXAWEUHZCWEHAS-DOMZBBRYSA-N 0 1 292.383 0.349 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CN1CCCC1=O ZINC001401082086 916017661 /nfs/dbraw/zinc/01/76/61/916017661.db2.gz UBRZRRQLKJUNBE-GFCCVEGCSA-N 0 1 299.802 0.942 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)COCc1ccncc1 ZINC001329588511 916038352 /nfs/dbraw/zinc/03/83/52/916038352.db2.gz NBEPYFRFEMPBSR-ZDUSSCGKSA-N 0 1 275.352 0.668 20 30 CCEDMN C=CCN(C(=O)C(=O)N(C)Cc1cnc[nH]1)[C@@H](C)COC ZINC001329750523 916164434 /nfs/dbraw/zinc/16/44/34/916164434.db2.gz RRRNMGHFMKZEQI-NSHDSACASA-N 0 1 294.355 0.418 20 30 CCEDMN C#CCCCS(=O)(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001329939367 916296285 /nfs/dbraw/zinc/29/62/85/916296285.db2.gz IQOYGUXYFLDELO-JTQLQIEISA-N 0 1 298.368 0.489 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)C1CN(CCn2cncn2)C1 ZINC001329983867 916333848 /nfs/dbraw/zinc/33/38/48/916333848.db2.gz LGKRLWAIELKCBV-ZDUSSCGKSA-N 0 1 289.383 0.518 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)CC1CC1 ZINC001492903734 916424977 /nfs/dbraw/zinc/42/49/77/916424977.db2.gz WWKMNIPRXPKNLY-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC001401948135 916727361 /nfs/dbraw/zinc/72/73/61/916727361.db2.gz BJCUPQIWBUPGFP-LLVKDONJSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C[C@H]1CCNC1=O ZINC001402050680 916774431 /nfs/dbraw/zinc/77/44/31/916774431.db2.gz HUTYYEVAECLSDO-NEPJUHHUSA-N 0 1 299.802 0.846 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@]1(O)CCN(C/C=C\Cl)C1 ZINC001402272147 916917570 /nfs/dbraw/zinc/91/75/70/916917570.db2.gz WXTTVXAFRINPAC-ZVIBEBPTSA-N 0 1 271.748 0.452 20 30 CCEDMN C=C(C)C[C@H](NC(=O)C[C@H]1COCCN1)C(=O)OCC ZINC001330933234 916975874 /nfs/dbraw/zinc/97/58/74/916975874.db2.gz CKDUGONUFJTRSR-RYUDHWBXSA-N 0 1 284.356 0.379 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](NC(=O)CS(C)(=O)=O)C1 ZINC001402569310 917099518 /nfs/dbraw/zinc/09/95/18/917099518.db2.gz BCPCYDLUQFIIOP-DTORHVGOSA-N 0 1 280.777 0.020 20 30 CCEDMN CCNC(=O)CN1CCC[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001402677532 917171084 /nfs/dbraw/zinc/17/10/84/917171084.db2.gz YGONUMLEFXYUFC-NWDGAFQWSA-N 0 1 280.372 0.110 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)CNC(=O)C1CC1 ZINC001402850682 917286623 /nfs/dbraw/zinc/28/66/23/917286623.db2.gz XNFWKHKCYYEVIE-SECBINFHSA-N 0 1 273.764 0.359 20 30 CCEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)CC(N)=O ZINC001331408964 917311460 /nfs/dbraw/zinc/31/14/60/917311460.db2.gz IECHNVVENYUNPI-QMMMGPOBSA-N 0 1 292.177 0.207 20 30 CCEDMN CCCc1cc(C(=O)N(C)C[C@H](C)NCC#N)n[nH]1 ZINC001331516588 917388875 /nfs/dbraw/zinc/38/88/75/917388875.db2.gz BHWUDOYGFNZNHC-JTQLQIEISA-N 0 1 263.345 0.936 20 30 CCEDMN CCC(=O)NC[C@H](CO)NCc1ccc(C#N)s1 ZINC001331664845 917489914 /nfs/dbraw/zinc/48/99/14/917489914.db2.gz NDCGFFOYOJGTHI-SECBINFHSA-N 0 1 267.354 0.596 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc(F)c1 ZINC001331665953 917493596 /nfs/dbraw/zinc/49/35/96/917493596.db2.gz MAVUGECBOOHMEK-LBPRGKRZSA-N 0 1 250.273 0.139 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H]1CC=CCC1 ZINC001331665947 917493999 /nfs/dbraw/zinc/49/39/99/917493999.db2.gz MACKCHLGBXBJFU-STQMWFEESA-N 0 1 250.342 0.433 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cnc(C2CC2)nc1 ZINC001331802390 917619501 /nfs/dbraw/zinc/61/95/01/917619501.db2.gz BOCFFWDRDDJRQF-ZDUSSCGKSA-N 0 1 288.351 0.058 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC(OC)(OC)[C@@H](O)C1 ZINC001588729173 983987097 /nfs/dbraw/zinc/98/70/97/983987097.db2.gz HLUBUVLRLYSMBE-ZJUUUORDSA-N 0 1 259.302 0.071 20 30 CCEDMN C=CCOC[C@@H]([NH2+]Cc1cnc(N(C)C)n1C)C(=O)[O-] ZINC001331933471 917728845 /nfs/dbraw/zinc/72/88/45/917728845.db2.gz CWUIANBABZSKET-LLVKDONJSA-N 0 1 282.344 0.232 20 30 CCEDMN C=CC[N@H+]1C[C@@H](C(=O)[O-])C2(CC(C(=O)OC)C2)C1 ZINC001331966496 917762032 /nfs/dbraw/zinc/76/20/32/917762032.db2.gz UMADPNPCYZYYQL-QUNCOHTASA-N 0 1 253.298 0.758 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@]2(NC(=O)CC)CCC[C@H]12 ZINC001332063713 917833950 /nfs/dbraw/zinc/83/39/50/917833950.db2.gz INVRWLBLILGIAV-WFASDCNBSA-N 0 1 279.384 0.812 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001403836176 918049439 /nfs/dbraw/zinc/04/94/39/918049439.db2.gz XDKRXULWOJLXNE-LLVKDONJSA-N 0 1 296.758 0.661 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@H]3C[C@@H](O)CN3C2=O)CC1 ZINC001332416162 918139375 /nfs/dbraw/zinc/13/93/75/918139375.db2.gz FJBQAGODXMDIAL-VXGBXAGGSA-N 0 1 279.340 0.034 20 30 CCEDMN N#CC1CCN(C[C@H](O)C[C@]2(O)CCOC2)CC1 ZINC001332614196 918310597 /nfs/dbraw/zinc/31/05/97/918310597.db2.gz TYNBOVHFBCDXOY-CHWSQXEVSA-N 0 1 254.330 0.124 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H](C)C1CC1 ZINC001332622172 918319380 /nfs/dbraw/zinc/31/93/80/918319380.db2.gz XGNGUFOLUKNNJG-UKRRQHHQSA-N 0 1 296.411 0.433 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001332646609 918346488 /nfs/dbraw/zinc/34/64/88/918346488.db2.gz IFHPZOSFIDKSKS-KFWWJZLASA-N 0 1 294.395 0.186 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@H](C)Cc1cc(C)[nH]n1)C(C)C ZINC001332700739 918390415 /nfs/dbraw/zinc/39/04/15/918390415.db2.gz PAKCLMUCXMDAEM-LLVKDONJSA-N 0 1 290.367 0.636 20 30 CCEDMN COCC#CCN1CC=C(CNC(=O)C[C@@H](C)OC)CC1 ZINC001333303218 918785159 /nfs/dbraw/zinc/78/51/59/918785159.db2.gz CLFCBNKJCBRYLW-CQSZACIVSA-N 0 1 294.395 0.810 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1nnn(C)c1C ZINC001405792152 918902514 /nfs/dbraw/zinc/90/25/14/918902514.db2.gz GAJHBGLHRIWAAH-VIFPVBQESA-N 0 1 285.779 0.926 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001333530529 918975322 /nfs/dbraw/zinc/97/53/22/918975322.db2.gz YCQXYMSBJXLNLB-WDEREUQCSA-N 0 1 252.314 0.331 20 30 CCEDMN C#CCCCC(=O)NCC1CC(NC(=O)c2cnn[nH]2)C1 ZINC001333725725 919120212 /nfs/dbraw/zinc/12/02/12/919120212.db2.gz UXMICPZSQTVQMU-UHFFFAOYSA-N 0 1 289.339 0.233 20 30 CCEDMN C=CCOCCCC(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001333979867 919292598 /nfs/dbraw/zinc/29/25/98/919292598.db2.gz IEVWIESTCSPKBA-NSHDSACASA-N 0 1 294.355 0.908 20 30 CCEDMN C=CCOCCCC(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001333979867 919292614 /nfs/dbraw/zinc/29/26/14/919292614.db2.gz IEVWIESTCSPKBA-NSHDSACASA-N 0 1 294.355 0.908 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1ccn(C)n1 ZINC001406470678 919295406 /nfs/dbraw/zinc/29/54/06/919295406.db2.gz ZGGMCCHTMSXHGL-JTQLQIEISA-N 0 1 270.764 0.809 20 30 CCEDMN N#CC[C@@H](O)CN1CCC(O[C@@H]2CCOC2)CC1 ZINC001333998984 919309941 /nfs/dbraw/zinc/30/99/41/919309941.db2.gz FQUUJMIQNAJXRW-DGCLKSJQSA-N 0 1 254.330 0.531 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H]1CCNC(=O)CC1 ZINC001406511952 919312520 /nfs/dbraw/zinc/31/25/20/919312520.db2.gz DOJBAXINWRDGDK-QWRGUYRKSA-N 0 1 287.791 0.750 20 30 CCEDMN C#CCSCCNC(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC001334109040 919370271 /nfs/dbraw/zinc/37/02/71/919370271.db2.gz DSODFCVXMMYGAJ-LBPRGKRZSA-N 0 1 297.424 0.022 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(C)CCN(CC(=O)N(C)C)CC1 ZINC001407111130 919622273 /nfs/dbraw/zinc/62/22/73/919622273.db2.gz BFNWDPFELPNZIE-NSHDSACASA-N 0 1 280.372 0.205 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccc2c(c1)nnn2C ZINC001492973635 920038151 /nfs/dbraw/zinc/03/81/51/920038151.db2.gz HIASPMZDVRRRQW-UHFFFAOYSA-N 0 1 285.351 0.653 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001408376145 920171128 /nfs/dbraw/zinc/17/11/28/920171128.db2.gz ZPNRAIWKECQHPW-ONGXEEELSA-N 0 1 289.339 0.456 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001415292975 920669045 /nfs/dbraw/zinc/66/90/45/920669045.db2.gz WDJVHGLFJNLRDO-GXSJLCMTSA-N 0 1 289.339 0.456 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCCNC(=O)Cc1cnc[nH]1 ZINC001336321710 920718792 /nfs/dbraw/zinc/71/87/92/920718792.db2.gz YLNIZGCHCFHORW-GHMZBOCLSA-N 0 1 291.355 0.513 20 30 CCEDMN Cc1nc(C)c(CNCCNC(=O)C2N=CC=CC2=O)o1 ZINC001336918474 921103180 /nfs/dbraw/zinc/10/31/80/921103180.db2.gz ODQBKZJEIHCHPW-BUHFOSPRSA-N 0 1 290.323 0.907 20 30 CCEDMN C=CCn1c([C@H](O)C=C)nnc1N1CCN(CC)CC1 ZINC001339839315 921848114 /nfs/dbraw/zinc/84/81/14/921848114.db2.gz YFPBZUHFNPOBER-GFCCVEGCSA-N 0 1 277.372 0.825 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(CS(C)(=O)=O)c1 ZINC001340013198 921915807 /nfs/dbraw/zinc/91/58/07/921915807.db2.gz HGNGJXNGVLBVTK-UHFFFAOYSA-N 0 1 294.376 0.070 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(Cl)n1 ZINC001340133974 921975593 /nfs/dbraw/zinc/97/55/93/921975593.db2.gz NXJNVZXMBOPJJR-VXNVDRBHSA-N 0 1 280.715 0.585 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CC[C@@](F)(C#N)C1 ZINC001340379779 922071872 /nfs/dbraw/zinc/07/18/72/922071872.db2.gz HUOMBLSBYKNNMJ-GFCCVEGCSA-N 0 1 288.290 0.691 20 30 CCEDMN C=CCn1c(-c2cn[nH]n2)nnc1N1CCN(CC)CC1 ZINC001340501779 922100954 /nfs/dbraw/zinc/10/09/54/922100954.db2.gz NUPHOFMBKVMPJX-UHFFFAOYSA-N 0 1 288.359 0.391 20 30 CCEDMN N#CCOc1cccc(CNC(=O)c2ncn[nH]2)c1 ZINC001340827283 922232182 /nfs/dbraw/zinc/23/21/82/922232182.db2.gz PSEQDYITMBKLOY-UHFFFAOYSA-N 0 1 257.253 0.637 20 30 CCEDMN N#CCOc1cccc(CNC(=O)c2nc[nH]n2)c1 ZINC001340827283 922232191 /nfs/dbraw/zinc/23/21/91/922232191.db2.gz PSEQDYITMBKLOY-UHFFFAOYSA-N 0 1 257.253 0.637 20 30 CCEDMN C#CCCCNC(=O)C(=O)NCc1cc(N(C)C)ccn1 ZINC001340974796 922299565 /nfs/dbraw/zinc/29/95/65/922299565.db2.gz PSUDXMZFCCIWFH-UHFFFAOYSA-N 0 1 288.351 0.293 20 30 CCEDMN C#CCNCC(=O)NC1CCN(C(=O)CC(C)C)CC1 ZINC001341394708 922464679 /nfs/dbraw/zinc/46/46/79/922464679.db2.gz VNOBSDPOHMQHAE-UHFFFAOYSA-N 0 1 279.384 0.363 20 30 CCEDMN C=C1CCN(c2nnc(-c3c[nH]nn3)n2CCOC)CC1 ZINC001341450246 922491840 /nfs/dbraw/zinc/49/18/40/922491840.db2.gz VSGYPKXBBPFEEP-UHFFFAOYSA-N 0 1 289.343 0.866 20 30 CCEDMN C#CCNCC(=O)N1CCC(C(=O)NCC(C)C)CC1 ZINC001342107990 922808116 /nfs/dbraw/zinc/80/81/16/922808116.db2.gz SDFZJUMYYDEECQ-UHFFFAOYSA-N 0 1 279.384 0.220 20 30 CCEDMN C#CCNCC(=O)NCc1nccc(OC)c1F ZINC001342130709 922821146 /nfs/dbraw/zinc/82/11/46/922821146.db2.gz QAFFBEIDEIHWOV-UHFFFAOYSA-N 0 1 251.261 0.068 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)C2CNCCS2(=O)=O)C1 ZINC001342203348 922860712 /nfs/dbraw/zinc/86/07/12/922860712.db2.gz LYUXHUDCTRZNGZ-RYUDHWBXSA-N 0 1 286.397 0.188 20 30 CCEDMN N#Cc1ccc(OCC(=O)NC[C@@H](O)c2cnc[nH]2)cc1 ZINC001419583424 922932218 /nfs/dbraw/zinc/93/22/18/922932218.db2.gz XXHAOUVNQDVOJA-CYBMUJFWSA-N 0 1 286.291 0.510 20 30 CCEDMN CNC(=O)C(C#N)C(=O)CCCCCNC(C)=O ZINC001342522867 922990254 /nfs/dbraw/zinc/99/02/54/922990254.db2.gz XMLCBKGHGBTISU-JTQLQIEISA-N 0 1 253.302 0.138 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)CC1(C)COC1 ZINC001342694785 923056805 /nfs/dbraw/zinc/05/68/05/923056805.db2.gz BXHKZAZMQYUIDB-NSHDSACASA-N 0 1 282.340 0.665 20 30 CCEDMN CNC(=O)C(C#N)C(=O)[C@@H]1CC12CCSCC2 ZINC001342761013 923082314 /nfs/dbraw/zinc/08/23/14/923082314.db2.gz JPFVLYFVKZXGAC-BDAKNGLRSA-N 0 1 252.339 0.975 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H]1CCCC(=O)N1C ZINC001419906310 923157950 /nfs/dbraw/zinc/15/79/50/923157950.db2.gz QOYRMJJKQGSGQS-GHMZBOCLSA-N 0 1 287.791 0.844 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)COc1ccccc1F ZINC001343178721 923225390 /nfs/dbraw/zinc/22/53/90/923225390.db2.gz DZEXMRNERDDSLB-LLVKDONJSA-N 0 1 264.300 0.932 20 30 CCEDMN C#CCNCC(=O)NCCCc1nc2ccccc2[nH]1 ZINC001343355616 923283307 /nfs/dbraw/zinc/28/33/07/923283307.db2.gz FBHQMMKGMZHVKD-UHFFFAOYSA-N 0 1 270.336 0.835 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)Cc1ccnc(N(C)C)c1 ZINC001420194506 923395696 /nfs/dbraw/zinc/39/56/96/923395696.db2.gz XYBULAKTYSTVBS-GFCCVEGCSA-N 0 1 289.383 0.855 20 30 CCEDMN C#CCNCC(=O)N1CCSC2(CCOCC2)C1 ZINC001343908390 923500211 /nfs/dbraw/zinc/50/02/11/923500211.db2.gz SUKYNCUELZRZJB-UHFFFAOYSA-N 0 1 268.382 0.334 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H]1CN(C)C(=O)c1ccn[nH]1 ZINC001344143591 923560626 /nfs/dbraw/zinc/56/06/26/923560626.db2.gz ZAPCEWPCXAIAIU-GFCCVEGCSA-N 0 1 288.351 0.742 20 30 CCEDMN Cc1[nH]c(C=NN2CC[NH+](C)CC2)cc1C(=O)[O-] ZINC001344636673 923657979 /nfs/dbraw/zinc/65/79/79/923657979.db2.gz DDDNWCSBBJMCNA-UHFFFAOYSA-N 0 1 250.302 0.603 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001345952494 924023302 /nfs/dbraw/zinc/02/33/02/924023302.db2.gz HLYHGQZMLLWWQX-VXGBXAGGSA-N 0 1 270.377 0.428 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)Cn2ncnn2)C1 ZINC001420936861 924042211 /nfs/dbraw/zinc/04/22/11/924042211.db2.gz IXIKLKWBDKBIFR-NSHDSACASA-N 0 1 298.778 0.206 20 30 CCEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)Cn2ncnn2)C1 ZINC001420936859 924042505 /nfs/dbraw/zinc/04/25/05/924042505.db2.gz IXIKLKWBDKBIFR-LLVKDONJSA-N 0 1 298.778 0.206 20 30 CCEDMN N#Cc1nccc(NCc2nnc([C@@H]3CCOC3)[nH]2)n1 ZINC001421187456 924199773 /nfs/dbraw/zinc/19/97/73/924199773.db2.gz CHVXEUPDDCQPRX-MRVPVSSYSA-N 0 1 271.284 0.004 20 30 CCEDMN N#Cc1nccc(NCc2n[nH]c([C@@H]3CCOC3)n2)n1 ZINC001421187456 924199777 /nfs/dbraw/zinc/19/97/77/924199777.db2.gz CHVXEUPDDCQPRX-MRVPVSSYSA-N 0 1 271.284 0.004 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001346482394 924235409 /nfs/dbraw/zinc/23/54/09/924235409.db2.gz YIWFSQRUWLKXLJ-TVQRCGJNSA-N 0 1 280.328 0.600 20 30 CCEDMN C=CCSCCNC(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001346667071 924285806 /nfs/dbraw/zinc/28/58/06/924285806.db2.gz WUPQQHDEEXWEQT-NEPJUHHUSA-N 0 1 269.414 0.410 20 30 CCEDMN C#CC1CCN(C(=O)[C@H]2CN3CCN2C[C@H]3C)CC1 ZINC001347193843 924395431 /nfs/dbraw/zinc/39/54/31/924395431.db2.gz GLTOURLVOGZRLZ-TZMCWYRMSA-N 0 1 261.369 0.247 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)[C@H]2CN3CCN2C[C@@H]3C)CC1 ZINC001347229478 924403270 /nfs/dbraw/zinc/40/32/70/924403270.db2.gz KXLNPXSJDOLLPL-ZQDZILKHSA-N 0 1 275.396 0.683 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCC[C@@H](Cc3nn[nH]n3)C2)c1 ZINC001347307293 924418672 /nfs/dbraw/zinc/41/86/72/924418672.db2.gz RXUGUSJGDAGXSH-LBPRGKRZSA-N 0 1 296.334 0.671 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC001347400842 924439818 /nfs/dbraw/zinc/43/98/18/924439818.db2.gz OTYLFLBLJFTXFI-LBPRGKRZSA-N 0 1 278.352 0.496 20 30 CCEDMN C#C[C@@H](NC(=O)NC[C@@H](C)N1CCN(C)CC1)C(C)C ZINC001347618081 924495752 /nfs/dbraw/zinc/49/57/52/924495752.db2.gz RUGSTERRBGQEBN-ZIAGYGMSSA-N 0 1 280.416 0.579 20 30 CCEDMN C#CCN(CC#C)C(=O)c1n[nH]cc1Br ZINC001348635515 924756574 /nfs/dbraw/zinc/75/65/74/924756574.db2.gz YOHJJJLDOFSYJK-UHFFFAOYSA-N 0 1 266.098 0.881 20 30 CCEDMN C=C(CC)C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC001348861134 924830630 /nfs/dbraw/zinc/83/06/30/924830630.db2.gz QAXZYNUIJZEPOU-AWEZNQCLSA-N 0 1 281.400 0.475 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001349468788 924967760 /nfs/dbraw/zinc/96/77/60/924967760.db2.gz WPUQACIZPBZNPW-RWMBFGLXSA-N 0 1 266.389 0.284 20 30 CCEDMN CCN1CCN(C2CN(C(=O)C#CC(C)C)C2)CC1 ZINC001349494091 924974273 /nfs/dbraw/zinc/97/42/73/924974273.db2.gz GOLUEMASMLRJBN-UHFFFAOYSA-N 0 1 263.385 0.494 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@]1([C@@H]2CCCCO2)CCCO1 ZINC001350136174 925132366 /nfs/dbraw/zinc/13/23/66/925132366.db2.gz WBDBIXJPNQASML-HOCLYGCPSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCOCCN(C)C(=O)c1ccc(CN(C)C)nc1 ZINC001351168271 925375361 /nfs/dbraw/zinc/37/53/61/925375361.db2.gz YSLRJBNXFOBCDX-UHFFFAOYSA-N 0 1 275.352 0.865 20 30 CCEDMN C#CCN(CC#CC)c1nnc(-c2c[nH]nn2)n1CC1CC1 ZINC001351334432 925405916 /nfs/dbraw/zinc/40/59/16/925405916.db2.gz WZZVAUIKGPTAOC-UHFFFAOYSA-N 0 1 295.350 0.936 20 30 CCEDMN COCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001480092477 925449651 /nfs/dbraw/zinc/44/96/51/925449651.db2.gz VOHRQPNJPSMSSS-RWMBFGLXSA-N 0 1 265.357 0.858 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC(=O)NCC)C1 ZINC001480108462 925502078 /nfs/dbraw/zinc/50/20/78/925502078.db2.gz QZEGXNDLKOHLBQ-JTQLQIEISA-N 0 1 273.764 0.456 20 30 CCEDMN C=CCN(CCOC)C(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001352015709 925508612 /nfs/dbraw/zinc/50/86/12/925508612.db2.gz VHZHKJRNQOBRTB-OLZOCXBDSA-N 0 1 267.373 0.036 20 30 CCEDMN C[C@H]1CN2CC[N@H+]1C[C@@H]2C(=O)[N-]Oc1cccc(C#N)c1 ZINC001352496408 925587549 /nfs/dbraw/zinc/58/75/49/925587549.db2.gz LWQGROOIQOCJBD-SMDDNHRTSA-N 0 1 286.335 0.357 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001352913352 925670354 /nfs/dbraw/zinc/67/03/54/925670354.db2.gz VSPXSDLPEQEIHG-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001352913352 925670359 /nfs/dbraw/zinc/67/03/59/925670359.db2.gz VSPXSDLPEQEIHG-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(OCC)c(OC)c1 ZINC001353042764 925712292 /nfs/dbraw/zinc/71/22/92/925712292.db2.gz MACMDMMMCBOKRH-UHFFFAOYSA-N 0 1 276.336 0.933 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N[C@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC001300603804 926105211 /nfs/dbraw/zinc/10/52/11/926105211.db2.gz UHOSMXGGAFTXMN-SECBINFHSA-N 0 1 295.343 0.276 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N[C@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC001300603804 926105215 /nfs/dbraw/zinc/10/52/15/926105215.db2.gz UHOSMXGGAFTXMN-SECBINFHSA-N 0 1 295.343 0.276 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001354708776 926157602 /nfs/dbraw/zinc/15/76/02/926157602.db2.gz GNIXQETYDBAZSG-VIFPVBQESA-N 0 1 277.328 0.396 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)NCc2n[nH]c(C)n2)C1 ZINC001355046276 926200855 /nfs/dbraw/zinc/20/08/55/926200855.db2.gz CYGZQZICYUJVRW-JTQLQIEISA-N 0 1 277.328 0.154 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001357033602 926478137 /nfs/dbraw/zinc/47/81/37/926478137.db2.gz WBCPIRMXONOAIB-VIFPVBQESA-N 0 1 279.344 0.499 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001357033602 926478142 /nfs/dbraw/zinc/47/81/42/926478142.db2.gz WBCPIRMXONOAIB-VIFPVBQESA-N 0 1 279.344 0.499 20 30 CCEDMN C#CCNCC(=O)N(CCCOC)Cc1ccncc1 ZINC001357497941 926551278 /nfs/dbraw/zinc/55/12/78/926551278.db2.gz HDDFITHOVTYDKR-UHFFFAOYSA-N 0 1 275.352 0.670 20 30 CCEDMN C#CCNCC(=O)Nc1cc2c([nH]c1=O)CCCC2 ZINC001357799737 926599041 /nfs/dbraw/zinc/59/90/41/926599041.db2.gz IRXXPLHVJWNCGN-UHFFFAOYSA-N 0 1 259.309 0.827 20 30 CCEDMN C[C@H](Oc1ccccn1)C(=O)NC1(C#N)CCN(C)CC1 ZINC001358275118 926663253 /nfs/dbraw/zinc/66/32/53/926663253.db2.gz JTHZSUVYGJAGAF-LBPRGKRZSA-N 0 1 288.351 0.953 20 30 CCEDMN CC(C)C#CC(=O)N(C)C1CN(C(=O)Cc2c[nH]cn2)C1 ZINC001358728917 926805561 /nfs/dbraw/zinc/80/55/61/926805561.db2.gz DMGZENNCMLXAID-UHFFFAOYSA-N 0 1 288.351 0.281 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1C[C@H]1C(N)=O ZINC001421748936 926891400 /nfs/dbraw/zinc/89/14/00/926891400.db2.gz NECGHGFUGBMKSZ-MXWKQRLJSA-N 0 1 285.775 0.441 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)CC(N)=O)CCC1 ZINC001421759365 926895899 /nfs/dbraw/zinc/89/58/99/926895899.db2.gz XJOBSJUBZKGRCR-VIFPVBQESA-N 0 1 287.791 0.879 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)[C@@H]2CCCO2)CCO1 ZINC001421789452 926912411 /nfs/dbraw/zinc/91/24/11/926912411.db2.gz UYZQCFWYBYASDZ-RYUDHWBXSA-N 0 1 288.775 0.735 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN([C@H]3CCOC3)CC2)CC1 ZINC001422008707 927036337 /nfs/dbraw/zinc/03/63/37/927036337.db2.gz DASFLZXFYWILOM-KBPBESRZSA-N 0 1 279.384 0.448 20 30 CCEDMN Cc1c(C#N)cccc1C(=O)Nc1nn[nH]c1C(N)=O ZINC001361425767 927045181 /nfs/dbraw/zinc/04/51/81/927045181.db2.gz DLVGLPAZBDMJRX-UHFFFAOYSA-N 0 1 270.252 0.336 20 30 CCEDMN N#CC1(c2ccc(C(=O)Nc3nn[nH]c3C(N)=O)cc2)CC1 ZINC001361438453 927056812 /nfs/dbraw/zinc/05/68/12/927056812.db2.gz NJLMHJCUJYPYHK-UHFFFAOYSA-N 0 1 296.290 0.711 20 30 CCEDMN C[C@H](O)CCCN1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001423085827 927668668 /nfs/dbraw/zinc/66/86/68/927668668.db2.gz MZXBGLAYCGCUQW-WCQYABFASA-N 0 1 290.367 0.851 20 30 CCEDMN N#C[C@H]1CSCCN1C(=O)CCN1CC[C@@H](F)C1 ZINC001362251257 927781855 /nfs/dbraw/zinc/78/18/55/927781855.db2.gz IQUSJVZNRVIZGV-MNOVXSKESA-N 0 1 271.361 0.888 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)[C@H](C)OC)CCO1 ZINC001424216556 928128145 /nfs/dbraw/zinc/12/81/45/928128145.db2.gz FUJAAPRFYUAUMR-WDEREUQCSA-N 0 1 276.764 0.591 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)C3(NC(N)=O)CC3)[nH]c2c1 ZINC001363071162 928836241 /nfs/dbraw/zinc/83/62/41/928836241.db2.gz IWLGXPLPFMUJEV-UHFFFAOYSA-N 0 1 284.279 0.574 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CCC(=O)NC3)[nH]c2c1 ZINC001363166271 928937980 /nfs/dbraw/zinc/93/79/80/928937980.db2.gz FBYQMIFKHAEZKV-VIFPVBQESA-N 0 1 283.291 0.899 20 30 CCEDMN COc1cc(Cn2c(=O)[nH]cc(C#N)c2=O)ccc1C ZINC001363185657 928957249 /nfs/dbraw/zinc/95/72/49/928957249.db2.gz QXVZEZHYWRGCIO-UHFFFAOYSA-N 0 1 271.276 0.774 20 30 CCEDMN CC(C)(C#N)C(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC001363494619 929299302 /nfs/dbraw/zinc/29/93/02/929299302.db2.gz IAVXPFOPNIRNQR-SNVBAGLBSA-N 0 1 270.296 0.955 20 30 CCEDMN CC(C)CN(Cc1nn[nH]n1)C(=O)c1cnccc1C#N ZINC001363543980 929345631 /nfs/dbraw/zinc/34/56/31/929345631.db2.gz LAQWTIXKOAJZPB-UHFFFAOYSA-N 0 1 285.311 0.765 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)[nH]1 ZINC001429037220 929366125 /nfs/dbraw/zinc/36/61/25/929366125.db2.gz ONSMVQYCGAYKJK-ONGXEEELSA-N 0 1 289.339 0.551 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)NC1(c2nn[nH]n2)CCC1 ZINC001363822502 929614593 /nfs/dbraw/zinc/61/45/93/929614593.db2.gz RLDUBGFELAANJQ-UHFFFAOYSA-N 0 1 271.284 0.517 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](NC(=O)c1[nH]ncc1F)C1CC1 ZINC001372441615 929839244 /nfs/dbraw/zinc/83/92/44/929839244.db2.gz GCRSLUZEBIVPHU-XVKPBYJWSA-N 0 1 293.302 0.333 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCCN1CCn1cncn1 ZINC001372500145 929853542 /nfs/dbraw/zinc/85/35/42/929853542.db2.gz LHDAYVDNHRAHQH-RYUDHWBXSA-N 0 1 276.344 0.018 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1CN(C)C(=O)N1 ZINC001444656329 929928370 /nfs/dbraw/zinc/92/83/70/929928370.db2.gz QCLPBOZRPAGDAB-ZJUUUORDSA-N 0 1 288.779 0.199 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccncc1F ZINC001445165608 930060554 /nfs/dbraw/zinc/06/05/54/930060554.db2.gz WXBJYYMHGOXGKL-VIFPVBQESA-N 0 1 287.722 0.654 20 30 CCEDMN Cc1c(C(=O)NCc2c[nH]nn2)cnn1CCC#N ZINC001445405494 930125762 /nfs/dbraw/zinc/12/57/62/930125762.db2.gz BFGBGYCDMMGYEI-UHFFFAOYSA-N 0 1 259.273 0.153 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CN(CCc2cnn(C)c2)C1 ZINC001373505096 930226237 /nfs/dbraw/zinc/22/62/37/930226237.db2.gz VRLSQAKVEWEFHZ-LLVKDONJSA-N 0 1 275.356 0.170 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CC(=O)N(C)C1)C1CC1 ZINC001445958812 930294337 /nfs/dbraw/zinc/29/43/37/930294337.db2.gz VVRBGGNFAQJJGS-RYUDHWBXSA-N 0 1 299.802 0.702 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@@H](NC(=O)CN2CCCC2)C1 ZINC001445958591 930295794 /nfs/dbraw/zinc/29/57/94/930295794.db2.gz OUXFAKBMCQWFPS-RWMBFGLXSA-N 0 1 292.383 0.253 20 30 CCEDMN CCOCC(=O)NC[C@@H](O)CNCc1ccccc1C#N ZINC001374573941 930566290 /nfs/dbraw/zinc/56/62/90/930566290.db2.gz ASRDJZUSNYPKQE-AWEZNQCLSA-N 0 1 291.351 0.161 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccncc1C ZINC001374604643 930588189 /nfs/dbraw/zinc/58/81/89/930588189.db2.gz YRPUEUNXUCHCLH-LLVKDONJSA-N 0 1 283.759 0.823 20 30 CCEDMN CC(C)(F)C(=O)NC[C@@H](CO)NCc1ccc(C#N)s1 ZINC001448792479 930984766 /nfs/dbraw/zinc/98/47/66/930984766.db2.gz DHVWAURZWFWIGO-VIFPVBQESA-N 0 1 299.371 0.935 20 30 CCEDMN C#CCn1cc(CNC(=O)CCN2CC[C@@H](F)C2)cn1 ZINC001448874060 931014648 /nfs/dbraw/zinc/01/46/48/931014648.db2.gz ILAVEOWJMPNNMV-CYBMUJFWSA-N 0 1 278.331 0.566 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)CCn1ccnn1)C1CC1 ZINC001376226340 931097932 /nfs/dbraw/zinc/09/79/32/931097932.db2.gz YJZLLXDOXYBCHV-LBPRGKRZSA-N 0 1 297.790 0.905 20 30 CCEDMN CCCc1cc(C(=O)N(C)CCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001449199160 931099850 /nfs/dbraw/zinc/09/98/50/931099850.db2.gz YLKXQGQRHLRCSS-JTQLQIEISA-N 0 1 291.355 0.710 20 30 CCEDMN C[C@@H](C(=O)NCc1n[nH]c(C2CC2)n1)n1cnc(C#N)n1 ZINC001450180221 931242950 /nfs/dbraw/zinc/24/29/50/931242950.db2.gz LJEXJUFOOPWDCA-ZETCQYMHSA-N 0 1 286.299 0.023 20 30 CCEDMN C[C@H](CN(C)C(=O)[C@@H](C)C#N)NC(=O)CN1CCCC1 ZINC001451223552 931400918 /nfs/dbraw/zinc/40/09/18/931400918.db2.gz ZKKBVZWXSIZTCJ-NWDGAFQWSA-N 0 1 280.372 0.205 20 30 CCEDMN C#CC[N@@H+](CCC(=O)Nc1ccccc1C#N)CC(=O)[O-] ZINC000092483635 949157263 /nfs/dbraw/zinc/15/72/63/949157263.db2.gz MYQQHLZVVJTWIP-UHFFFAOYSA-N 0 1 285.303 0.907 20 30 CCEDMN C#CC[N@H+](CCC(=O)Nc1ccccc1C#N)CC(=O)[O-] ZINC000092483635 949157271 /nfs/dbraw/zinc/15/72/71/949157271.db2.gz MYQQHLZVVJTWIP-UHFFFAOYSA-N 0 1 285.303 0.907 20 30 CCEDMN C[C@H]1CCC[N@@H+](CCC(=O)N(C)CCC#N)[C@@H]1C(=O)[O-] ZINC001589334322 953978389 /nfs/dbraw/zinc/97/83/89/953978389.db2.gz NLDWPIHEASMXOZ-AAEUAGOBSA-N 0 1 281.356 0.934 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@@H+](C)C[C@@H](O)CC1(C#N)CCOCC1 ZINC001589440672 954706732 /nfs/dbraw/zinc/70/67/32/954706732.db2.gz DPMZUEXMYQBIIG-RYUDHWBXSA-N 0 1 284.356 0.853 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N(CC)C[C@@H](C)C#N ZINC001589440786 954710063 /nfs/dbraw/zinc/71/00/63/954710063.db2.gz LCKOSNAKWCYLJX-QWRGUYRKSA-N 0 1 269.345 0.790 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N(CC)C[C@@H](C)C#N ZINC001589440786 954710071 /nfs/dbraw/zinc/71/00/71/954710071.db2.gz LCKOSNAKWCYLJX-QWRGUYRKSA-N 0 1 269.345 0.790 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C1 ZINC001593968835 956591814 /nfs/dbraw/zinc/59/18/14/956591814.db2.gz XLIPTSOKCATNAL-BXUZGUMPSA-N 0 1 297.355 0.170 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@](C)(C(=O)[O-])C1 ZINC000062875988 957620645 /nfs/dbraw/zinc/62/06/45/957620645.db2.gz JBZSWXNGNZLSTA-GXFFZTMASA-N 0 1 252.314 0.311 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000087612204 958021300 /nfs/dbraw/zinc/02/13/00/958021300.db2.gz SYPGMHLTTTVKCN-ZDUSSCGKSA-N 0 1 287.319 0.700 20 30 CCEDMN N#CCCNC(=O)C[N@H+]1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000087612204 958021312 /nfs/dbraw/zinc/02/13/12/958021312.db2.gz SYPGMHLTTTVKCN-ZDUSSCGKSA-N 0 1 287.319 0.700 20 30 CCEDMN C#CC[C@H]1CC[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001588392029 958028255 /nfs/dbraw/zinc/02/82/55/958028255.db2.gz RQQLHBISYWFPQI-WDEREUQCSA-N 0 1 250.298 0.017 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCC[C@@H]1CCC[N@H+](C)C1 ZINC001588408556 958112915 /nfs/dbraw/zinc/11/29/15/958112915.db2.gz RMXGZYKPHVSAJB-LBPRGKRZSA-N 0 1 281.356 0.448 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H](C(=O)[O-])[C@@H]1C ZINC000092924279 958193057 /nfs/dbraw/zinc/19/30/57/958193057.db2.gz DRAFIJRGAKYRDE-NWDGAFQWSA-N 0 1 266.341 0.982 20 30 CCEDMN C[C@H]1[C@@H](C(=O)[O-])CC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000092940207 958208720 /nfs/dbraw/zinc/20/87/20/958208720.db2.gz WQIWQOJDZHUATI-RYUDHWBXSA-N 0 1 292.339 0.437 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])CC1CCC2(CC1)OCCO2 ZINC001588424610 958219109 /nfs/dbraw/zinc/21/91/09/958219109.db2.gz UDSXCJRDWFOJBG-UHFFFAOYSA-N 0 1 267.325 0.940 20 30 CCEDMN COCC[N@@H+](CCC(=O)[O-])C[C@@H](O)CC1(C#N)CC1 ZINC001574156794 962682871 /nfs/dbraw/zinc/68/28/71/962682871.db2.gz VUMFZGWNSVGBNW-NSHDSACASA-N 0 1 270.329 0.464 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NCCC[NH+]1CCN(C)CC1 ZINC001573332024 962822467 /nfs/dbraw/zinc/82/24/67/962822467.db2.gz CKIOTZHWIQSJGF-UHFFFAOYSA-N 0 1 283.372 0.161 20 30 CCEDMN C=CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)CNC(=O)[O-] ZINC001573369120 963092862 /nfs/dbraw/zinc/09/28/62/963092862.db2.gz XFNUYWNOXAATBB-MNOVXSKESA-N 0 1 267.329 0.505 20 30 CCEDMN C[C@@H](C[N@@H+](C)CC(=O)NC1(C#N)CCC1)C(=O)[O-] ZINC001609741563 970503545 /nfs/dbraw/zinc/50/35/45/970503545.db2.gz QZXNIOHBVRYLTP-VIFPVBQESA-N 0 1 253.302 0.201 20 30 CCEDMN N#Cc1cccc(C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](O)C2)c1 ZINC000401822906 973422064 /nfs/dbraw/zinc/42/20/64/973422064.db2.gz ANOLBRQCPYEDJN-OLZOCXBDSA-N 0 1 260.293 0.826 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@](C)(C#N)C(C)C ZINC001603498744 973462852 /nfs/dbraw/zinc/46/28/52/973462852.db2.gz XNJBLIPKFMEVJN-GXFFZTMASA-N 0 1 269.345 0.836 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@](C)(C#N)C(C)C ZINC001603498744 973462858 /nfs/dbraw/zinc/46/28/58/973462858.db2.gz XNJBLIPKFMEVJN-GXFFZTMASA-N 0 1 269.345 0.836 20 30 CCEDMN C#C[C@H]([NH2+][C@@H](C(=O)[O-])C1(OC)CCC1)[C@@H]1CCCO1 ZINC001588377270 983356482 /nfs/dbraw/zinc/35/64/82/983356482.db2.gz KHRHKGSGBGHCGM-SRVKXCTJSA-N 0 1 267.325 0.779 20 30 CCEDMN C#CC[N@H+](CC(=O)N[C@@H](C(=O)[O-])[C@H](C)CC)CC1CC1 ZINC001588465727 983496238 /nfs/dbraw/zinc/49/62/38/983496238.db2.gz DWJBVXPNDJZZFF-BXUZGUMPSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CCOCC[N@@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001588489529 983527967 /nfs/dbraw/zinc/52/79/67/983527967.db2.gz BZFPPMHXZSALMD-UHFFFAOYSA-N 0 1 296.367 0.472 20 30 CCEDMN C#CCOCC[N@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001588489529 983527975 /nfs/dbraw/zinc/52/79/75/983527975.db2.gz BZFPPMHXZSALMD-UHFFFAOYSA-N 0 1 296.367 0.472 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588535495 983589465 /nfs/dbraw/zinc/58/94/65/983589465.db2.gz OYJGEYOPQHYADD-JQWIXIFHSA-N 0 1 280.328 0.550 20 30 CCEDMN C=C(Cl)C[N@H+](C)CCCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001588642377 983764473 /nfs/dbraw/zinc/76/44/73/983764473.db2.gz TWPWXEDTJZLDKJ-UWVGGRQHSA-N 0 1 274.748 0.898 20 30 CCEDMN C=C(Cl)C[N@@H+](C)CCCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001588642377 983764477 /nfs/dbraw/zinc/76/44/77/983764477.db2.gz TWPWXEDTJZLDKJ-UWVGGRQHSA-N 0 1 274.748 0.898 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1CC[C@H]2[C@@H]1CCCN2CCO ZINC001588662614 983790399 /nfs/dbraw/zinc/79/03/99/983790399.db2.gz DBOXBWJWLABTTE-WOPDTQHZSA-N 0 1 254.330 0.157 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@@H+]1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC001588669794 983815808 /nfs/dbraw/zinc/81/58/08/983815808.db2.gz POHXSUHMBWTMEM-TUAOUCFPSA-N 0 1 256.346 0.667 20 30 CCEDMN C=C[C@@H](C(=O)[O-])N1C[C@@H](C)[N@H+](CCOC)[C@@H](C)C1 ZINC001588669794 983815815 /nfs/dbraw/zinc/81/58/15/983815815.db2.gz POHXSUHMBWTMEM-TUAOUCFPSA-N 0 1 256.346 0.667 20 30 CCEDMN C=C[C@@H](C(=O)[O-])N1C[C@@H](C)[N@@H+](CCOC)[C@@H](C)C1 ZINC001588669794 983815820 /nfs/dbraw/zinc/81/58/20/983815820.db2.gz POHXSUHMBWTMEM-TUAOUCFPSA-N 0 1 256.346 0.667 20 30 CCEDMN C=CC[N@@H+](CCC)CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001588826532 984304211 /nfs/dbraw/zinc/30/42/11/984304211.db2.gz ITYYPMCBQLMUGD-GHMZBOCLSA-N 0 1 254.330 0.721 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@@H](C)C[C@@H]2C(=O)[O-])C1=O ZINC001588838885 984343039 /nfs/dbraw/zinc/34/30/39/984343039.db2.gz MAEHUGPPNVELLH-GRYCIOLGSA-N 0 1 266.341 0.958 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@@H](C)C[C@@H]2C(=O)[O-])C1=O ZINC001588838885 984343044 /nfs/dbraw/zinc/34/30/44/984343044.db2.gz MAEHUGPPNVELLH-GRYCIOLGSA-N 0 1 266.341 0.958 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2C[C@@H](C)[C@H](C(=O)[O-])C2)C1=O ZINC001588839184 984345266 /nfs/dbraw/zinc/34/52/66/984345266.db2.gz OMXHSCAOFSNTBE-GHMZBOCLSA-N 0 1 267.329 0.520 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CC[C@](F)(C(=O)[O-])C2)C1=O ZINC001588840106 984352196 /nfs/dbraw/zinc/35/21/96/984352196.db2.gz ZSXKGFUXYWNHEM-BXKDBHETSA-N 0 1 256.277 0.272 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CC[C@](F)(C(=O)[O-])C2)C1=O ZINC001588840106 984352194 /nfs/dbraw/zinc/35/21/94/984352194.db2.gz ZSXKGFUXYWNHEM-BXKDBHETSA-N 0 1 256.277 0.272 20 30 CCEDMN C=CCOCCCC(=O)O[C@H]1C[C@H](C(=O)[O-])[N@H+](C)C1 ZINC001588866537 984426059 /nfs/dbraw/zinc/42/60/59/984426059.db2.gz JOVXIENVGWVRGE-WDEREUQCSA-N 0 1 271.313 0.670 20 30 CCEDMN C=CCOCCCC(=O)O[C@H]1C[C@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588866537 984426060 /nfs/dbraw/zinc/42/60/60/984426060.db2.gz JOVXIENVGWVRGE-WDEREUQCSA-N 0 1 271.313 0.670 20 30 CCEDMN CC#CCC[N@@H+]1CC[C@H](C(=O)OC)C[C@H]1C(=O)[O-] ZINC001588927248 984597996 /nfs/dbraw/zinc/59/79/96/984597996.db2.gz QVEZDTKJBSPQBE-QWRGUYRKSA-N 0 1 253.298 0.738 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001589339880 986242889 /nfs/dbraw/zinc/24/28/89/986242889.db2.gz LTRUXIDWVVXZEM-ZNSHCXBVSA-N 0 1 265.313 0.009 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)CC(C)(C)C#N)C[C@H]1C(=O)[O-] ZINC001598572255 993216479 /nfs/dbraw/zinc/21/64/79/993216479.db2.gz HYGNQURLYJWVAN-IUCAKERBSA-N 0 1 254.286 0.627 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)O[C@H]1C[N@@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001598577326 993298155 /nfs/dbraw/zinc/29/81/55/993298155.db2.gz ZEIAWDSFLXDWCU-YGRLFVJLSA-N 0 1 291.307 0.601 20 30 CCEDMN C[N@H+]1CCN(C(=O)NCCCCC#N)C[C@@H](C(=O)[O-])C1 ZINC001598605580 993646873 /nfs/dbraw/zinc/64/68/73/993646873.db2.gz AZSAZAMRZQRNBH-NSHDSACASA-N 0 1 282.344 0.338 20 30 CCEDMN Cn1cc(C=NN2CC[NH+](C)CC2)cc1C(=O)[O-] ZINC001598620571 993997339 /nfs/dbraw/zinc/99/73/39/993997339.db2.gz DXBTXCNNRJZPCK-UHFFFAOYSA-N 0 1 250.302 0.305 20 30 CCEDMN N#Cc1cscc1C(=O)N1CC[C@@H](N2CC[C@H](O)C2)C1 ZINC000599940077 361836231 /nfs/dbraw/zinc/83/62/31/361836231.db2.gz KRXFNYCDUMJUID-NEPJUHHUSA-N 0 1 291.376 0.901 20 30 CCEDMN CCC(C#N)(CC)C(=O)NCCN1CC[C@@H](O)C1 ZINC000600534096 362005576 /nfs/dbraw/zinc/00/55/76/362005576.db2.gz LZWMOJLHCFYMGA-LLVKDONJSA-N 0 1 253.346 0.499 20 30 CCEDMN C=CCn1cc(CNC[C@@H](O)CC2(C#N)CC2)nn1 ZINC000600739541 362052071 /nfs/dbraw/zinc/05/20/71/362052071.db2.gz QGBBAKPASYFANH-LBPRGKRZSA-N 0 1 261.329 0.608 20 30 CCEDMN COC(=O)[C@H](C)NC(=O)[C@H](C)NCc1cc(C#N)cs1 ZINC000601146467 362157746 /nfs/dbraw/zinc/15/77/46/362157746.db2.gz ISQNAIHAOAZUEN-IUCAKERBSA-N 0 1 295.364 0.776 20 30 CCEDMN CN(CCOc1ccc(C#N)cc1)[C@@H]1COC[C@@H]1O ZINC000352797464 529924654 /nfs/dbraw/zinc/92/46/54/529924654.db2.gz IKLUZYQRKMQLHL-KGLIPLIRSA-N 0 1 262.309 0.629 20 30 CCEDMN C=CCC[C@@H](NC(=O)Cc1nnc[nH]1)C(=O)OCC ZINC000601620144 362328059 /nfs/dbraw/zinc/32/80/59/362328059.db2.gz IUSQTGUWIKLHOZ-SECBINFHSA-N 0 1 266.301 0.361 20 30 CCEDMN N#CCc1ccccc1C(=O)NCCN1CCC(O)CC1 ZINC000601618723 362328435 /nfs/dbraw/zinc/32/84/35/362328435.db2.gz COSAAFVHQWMNQR-UHFFFAOYSA-N 0 1 287.363 0.939 20 30 CCEDMN CCN(CCC#N)C(=O)[C@@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000602080788 362474646 /nfs/dbraw/zinc/47/46/46/362474646.db2.gz YOWPWHXWTNTKKF-RYUDHWBXSA-N 0 1 251.330 0.612 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@H]2CCN2C2CCCC2)CCO1 ZINC000602099723 362486757 /nfs/dbraw/zinc/48/67/57/362486757.db2.gz YFSHFYJPZQPGRZ-QWHCGFSZSA-N 0 1 263.341 0.754 20 30 CCEDMN N#Cc1ncn(CCNC(=O)[C@@H]2CCN2C2CCCC2)n1 ZINC000602153454 362528473 /nfs/dbraw/zinc/52/84/73/362528473.db2.gz RFCFECWVADUMRD-LBPRGKRZSA-N 0 1 288.355 0.283 20 30 CCEDMN CNC(=O)NC[C@H]1CN(C[C@H](C)CCC#N)CCO1 ZINC000602721891 362770334 /nfs/dbraw/zinc/77/03/34/362770334.db2.gz UHSRURSQRUEUCT-NEPJUHHUSA-N 0 1 268.361 0.556 20 30 CCEDMN C[C@@H]1CN(CCN2C[C@H]3CC[C@@H](C2)O3)C[C@@H](C)N1CC#N ZINC000602855395 362842186 /nfs/dbraw/zinc/84/21/86/362842186.db2.gz TXXBSZZXDYJOIK-FPCVCCKLSA-N 0 1 292.427 0.768 20 30 CCEDMN CC(C)COC[C@H](O)CN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602856573 362844026 /nfs/dbraw/zinc/84/40/26/362844026.db2.gz KXIDHNRAVRUKSA-RBSFLKMASA-N 0 1 283.416 0.938 20 30 CCEDMN C[C@@H]1CN(CCc2cnn(C)c2)C[C@H](C)N1CC#N ZINC000602856356 362844096 /nfs/dbraw/zinc/84/40/96/362844096.db2.gz ZKTBGVWRHCTUMJ-BETUJISGSA-N 0 1 261.373 0.881 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2cccnc2C#N)[C@H](C)CN1 ZINC000261566276 137008844 /nfs/dbraw/zinc/00/88/44/137008844.db2.gz GLEXSDVFJPXZEG-NXEZZACHSA-N 0 1 280.353 0.324 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1cncc(C#N)c1 ZINC000311131079 137074054 /nfs/dbraw/zinc/07/40/54/137074054.db2.gz AWIUNMDFCSTTFQ-VIFPVBQESA-N 0 1 268.342 0.182 20 30 CCEDMN N#CCC1(CN2CCN(Cc3nnc[nH]3)CC2)CC1 ZINC000602867344 362850322 /nfs/dbraw/zinc/85/03/22/362850322.db2.gz SDLAIAJLGYMSIJ-UHFFFAOYSA-N 0 1 260.345 0.616 20 30 CCEDMN CC(C)N1CCN(CC(=O)N[C@](C)(C#N)C2CC2)CC1 ZINC000028973213 348109328 /nfs/dbraw/zinc/10/93/28/348109328.db2.gz MFXXACUKLVBCHW-OAHLLOKOSA-N 0 1 278.400 0.821 20 30 CCEDMN CNC(=O)CCN1CCN(c2cccc(F)c2C#N)CC1 ZINC000029860597 348116072 /nfs/dbraw/zinc/11/60/72/348116072.db2.gz WRKNFAGWBOEXTA-UHFFFAOYSA-N 0 1 290.342 0.955 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)[C@H](C(C)(C)C)C1 ZINC000602874125 362853508 /nfs/dbraw/zinc/85/35/08/362853508.db2.gz NUUNGSLCGASMJA-LBPRGKRZSA-N 0 1 296.415 0.660 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2C[C@H]2C(F)(F)F)[nH]1 ZINC000602883337 362862586 /nfs/dbraw/zinc/86/25/86/362862586.db2.gz SIVGWVPHCQMCDN-RNFRBKRXSA-N 0 1 273.218 0.786 20 30 CCEDMN C[C@H]1COC2(CCC2)CN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000602887729 362867338 /nfs/dbraw/zinc/86/73/38/362867338.db2.gz QWPTYECXVNEJTJ-JTQLQIEISA-N 0 1 289.339 0.889 20 30 CCEDMN COC(=O)CNC(=O)CCN(C)[C@@H]1CCC[C@@H]1C#N ZINC000602976004 362918814 /nfs/dbraw/zinc/91/88/14/362918814.db2.gz JQWAYYLLPPNYAM-GHMZBOCLSA-N 0 1 267.329 0.290 20 30 CCEDMN CN1CC[C@@H](NS(=O)(=O)Cc2ccc(C#N)cc2)C1 ZINC000048742684 348424805 /nfs/dbraw/zinc/42/48/05/348424805.db2.gz WZXZPYSCRXGASB-CYBMUJFWSA-N 0 1 279.365 0.682 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(CC(=O)OC)CC1 ZINC000048840057 348427592 /nfs/dbraw/zinc/42/75/92/348427592.db2.gz VDCVXSGZAGFHBN-LLVKDONJSA-N 0 1 266.341 0.399 20 30 CCEDMN Cc1nn(C)c(N2CCN(CC(C)(C)O)CC2)c1C#N ZINC000071381514 348909387 /nfs/dbraw/zinc/90/93/87/348909387.db2.gz RFNYUMFCUQRFQZ-UHFFFAOYSA-N 0 1 277.372 0.493 20 30 CCEDMN CCC1(O)CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000075858952 349054383 /nfs/dbraw/zinc/05/43/83/349054383.db2.gz XMBUTVOCOBANPD-LBPRGKRZSA-N 0 1 267.373 0.984 20 30 CCEDMN N#CC1(NC(=O)CN[C@@H]2CCCn3nccc32)CCC1 ZINC000603029770 362944669 /nfs/dbraw/zinc/94/46/69/362944669.db2.gz NSSUBMQGRULKHA-LLVKDONJSA-N 0 1 273.340 0.870 20 30 CCEDMN CC1(C)CNCCN1S(=O)(=O)c1cccc(C#N)c1 ZINC000088139258 349357671 /nfs/dbraw/zinc/35/76/71/349357671.db2.gz XRJJBKYQXJMGPP-UHFFFAOYSA-N 0 1 279.365 0.931 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)CC(=O)NCC1CC1 ZINC000089942530 349415631 /nfs/dbraw/zinc/41/56/31/349415631.db2.gz QRLMBQNPYBTYRS-UHFFFAOYSA-N 0 1 290.371 0.254 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000125455238 350122240 /nfs/dbraw/zinc/12/22/40/350122240.db2.gz XZQGYJDMFIOKIY-XFMPKHEZSA-N 0 1 279.384 0.744 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1ccc(F)c(C#N)c1)c1nnc[nH]1 ZINC000128984579 350203749 /nfs/dbraw/zinc/20/37/49/350203749.db2.gz SCTGVIMULCTOQD-SSDOTTSWSA-N 0 1 295.299 0.855 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN1C(=O)c2ccccc2C1=O ZINC000143095936 350458006 /nfs/dbraw/zinc/45/80/06/350458006.db2.gz IIHZTGISODIKBZ-LLVKDONJSA-N 0 1 272.304 0.209 20 30 CCEDMN C=CCCOCC(=O)NCCCCN1CCOCC1 ZINC000149643332 350478863 /nfs/dbraw/zinc/47/88/63/350478863.db2.gz YDRHRALIMNLUMO-UHFFFAOYSA-N 0 1 270.373 0.808 20 30 CCEDMN CCN(CC(=O)N1C[C@@H](C)N(CC#N)[C@@H](C)C1)C1CC1 ZINC000603096661 362961841 /nfs/dbraw/zinc/96/18/41/362961841.db2.gz PNVATDIVFROPRZ-BETUJISGSA-N 0 1 278.400 0.915 20 30 CCEDMN N#Cc1ccc(NC(=O)CCNC2(C(N)=O)CC2)cc1 ZINC000152420636 350527959 /nfs/dbraw/zinc/52/79/59/350527959.db2.gz LJZXMWWEVLUIMQ-UHFFFAOYSA-N 0 1 272.308 0.494 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1c[nH]c(C#N)c1 ZINC000181285563 351310785 /nfs/dbraw/zinc/31/07/85/351310785.db2.gz NTTAHAVNKRNPGA-LLVKDONJSA-N 0 1 275.356 0.252 20 30 CCEDMN C=CCOCCCNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000618525264 366038804 /nfs/dbraw/zinc/03/88/04/366038804.db2.gz FYTJWRHAQOIUQZ-SNVBAGLBSA-N 0 1 264.329 0.934 20 30 CCEDMN Cc1nc([C@H]2CN(Cc3cc(C#N)n(C)c3)CCO2)n[nH]1 ZINC000191425585 351756995 /nfs/dbraw/zinc/75/69/95/351756995.db2.gz MXDLQPAXZLREKR-CYBMUJFWSA-N 0 1 286.339 0.897 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000227106306 352038171 /nfs/dbraw/zinc/03/81/71/352038171.db2.gz WXCHGEHTGFDHEO-MNOVXSKESA-N 0 1 254.330 0.864 20 30 CCEDMN CN(C)S(=O)(=O)CCNCc1ccc(C#N)cc1 ZINC000230988499 352124361 /nfs/dbraw/zinc/12/43/61/352124361.db2.gz OFROSIYKRKHOOX-UHFFFAOYSA-N 0 1 267.354 0.539 20 30 CCEDMN C#CC(C)(C)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000265162709 352623091 /nfs/dbraw/zinc/62/30/91/352623091.db2.gz BZUZYQCVSUPEST-UHFFFAOYSA-N 0 1 272.312 0.317 20 30 CCEDMN N#Cc1ccc(CNC(=O)CN2CCC[C@@H](CO)C2)cc1 ZINC000265908375 352670899 /nfs/dbraw/zinc/67/08/99/352670899.db2.gz VWURNIWYVJBMOV-OAHLLOKOSA-N 0 1 287.363 0.879 20 30 CCEDMN CC[C@H](O)CN1CCN(c2nccnc2C#N)C[C@H]1C ZINC000268190983 352815376 /nfs/dbraw/zinc/81/53/76/352815376.db2.gz DVZBESSQKWWZAJ-NEPJUHHUSA-N 0 1 275.356 0.630 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@H](C)c1cn(C)nc1C ZINC000272912731 353105876 /nfs/dbraw/zinc/10/58/76/353105876.db2.gz WYHOZZQTGPKPPJ-SNVBAGLBSA-N 0 1 271.386 0.980 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN(CCn3cncn3)C2)nc1 ZINC000292276629 198227391 /nfs/dbraw/zinc/22/73/91/198227391.db2.gz SPYNNEXBDCHQRH-CYBMUJFWSA-N 0 1 284.323 0.698 20 30 CCEDMN C=CC[C@H](CO)NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000279622498 353305590 /nfs/dbraw/zinc/30/55/90/353305590.db2.gz BARNUFYRGDVJPZ-BDAKNGLRSA-N 0 1 296.289 0.743 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](C(=O)OC)[C@H](C)C2)C1=O ZINC000281217285 353389591 /nfs/dbraw/zinc/38/95/91/353389591.db2.gz DNQAMWQXGROQRD-UPJWGTAASA-N 0 1 280.368 0.904 20 30 CCEDMN C[C@@H](C(=O)Nc1cccc(C#N)c1)N(C)CCC(N)=O ZINC000286339751 353579298 /nfs/dbraw/zinc/57/92/98/353579298.db2.gz GKEXHZQHPUAQFT-JTQLQIEISA-N 0 1 274.324 0.692 20 30 CCEDMN Cc1cc(C#N)nc(NCCCN2CCC[C@@H]2C(N)=O)n1 ZINC000287571842 353654846 /nfs/dbraw/zinc/65/48/46/353654846.db2.gz XCSQYXHVVMTGDJ-GFCCVEGCSA-N 0 1 288.355 0.408 20 30 CCEDMN CCNC(=O)CN1CCN(C[C@H](C)CCC#N)CC1 ZINC000618887382 366113580 /nfs/dbraw/zinc/11/35/80/366113580.db2.gz WKPUZLJEFJRCDE-CYBMUJFWSA-N 0 1 266.389 0.680 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H]2CCCN(CCCO)C2)ccn1 ZINC000295537411 353902386 /nfs/dbraw/zinc/90/23/86/353902386.db2.gz RUPRCGTXTJRGBD-ZDUSSCGKSA-N 0 1 288.351 0.530 20 30 CCEDMN CN(C([O-])=[NH+][C@@H]1CCn2ccnc2C1)[C@H]1CCOC1 ZINC000330268847 354314760 /nfs/dbraw/zinc/31/47/60/354314760.db2.gz IXMPAFVMHLXDLU-MNOVXSKESA-N 0 1 264.329 0.833 20 30 CCEDMN COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)C(C)C#N ZINC000331374001 354555750 /nfs/dbraw/zinc/55/57/50/354555750.db2.gz HGWWZCNXIVODEG-ZDCRXTMVSA-N 0 1 250.298 0.946 20 30 CCEDMN C[C@@H](C(=O)N1C[C@@H](C)N(C)C[C@H]1C)n1cnc(C#N)n1 ZINC000336998428 355243636 /nfs/dbraw/zinc/24/36/36/355243636.db2.gz PWSLOCVRPPDFME-MXWKQRLJSA-N 0 1 276.344 0.262 20 30 CCEDMN CCOC[C@@H](O)CN1CCN(c2cccc(C#N)n2)CC1 ZINC000339214293 355501974 /nfs/dbraw/zinc/50/19/74/355501974.db2.gz DRESCJZAWXZWLS-AWEZNQCLSA-N 0 1 290.367 0.473 20 30 CCEDMN C[C@@H](CNC(=O)c1cscc1C#N)N1CCN(C)CC1 ZINC000340310699 355555472 /nfs/dbraw/zinc/55/54/72/355555472.db2.gz RVAOYYKFVXTWBF-NSHDSACASA-N 0 1 292.408 0.985 20 30 CCEDMN CN(CCC(=O)Nc1cccc(C#N)c1)[C@@H]1CCNC1=O ZINC000340220153 355527359 /nfs/dbraw/zinc/52/73/59/355527359.db2.gz CSQZDWSXYGDMGB-CYBMUJFWSA-N 0 1 286.335 0.707 20 30 CCEDMN N#Cc1ccnc(N[C@@H]2CCc3[nH]cnc3C2)c1[N+](=O)[O-] ZINC000367907126 283118409 /nfs/dbraw/zinc/11/84/09/283118409.db2.gz OQWUQYNXMOUNDU-SECBINFHSA-N 0 1 284.279 0.976 20 30 CCEDMN N#Cc1csc(CNC[C@@]2(CCO)CCOC2)n1 ZINC000352837571 356093080 /nfs/dbraw/zinc/09/30/80/356093080.db2.gz OCURAJBQUHLHPM-GFCCVEGCSA-N 0 1 267.354 0.893 20 30 CCEDMN COCCN1CC[C@H](NCc2nc(C#N)cs2)C1 ZINC000352853704 356097354 /nfs/dbraw/zinc/09/73/54/356097354.db2.gz NMNXSTHBWHTIMO-JTQLQIEISA-N 0 1 266.370 0.825 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2cccc(C#N)c2)C[C@H]1CO ZINC000362062723 138044440 /nfs/dbraw/zinc/04/44/40/138044440.db2.gz FITHOBKSQGXMBO-WFASDCNBSA-N 0 1 287.363 0.735 20 30 CCEDMN Cc1nn(C[C@H](O)CN2C[C@@H](C)O[C@@H](C)C2)cc1C#N ZINC000362333507 138055121 /nfs/dbraw/zinc/05/51/21/138055121.db2.gz LWKSDAQMQCMFQT-UHIISALHSA-N 0 1 278.356 0.533 20 30 CCEDMN COC(=O)c1cccc(C(=O)N=c2[nH]n(C)cc2C#N)c1 ZINC000354924747 356530364 /nfs/dbraw/zinc/53/03/64/356530364.db2.gz STPQBVSAPKRRHK-UHFFFAOYSA-N 0 1 284.275 0.753 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2([S@](C)=O)CCC2)[nH]1 ZINC000619556343 366280934 /nfs/dbraw/zinc/28/09/34/366280934.db2.gz HKPGUYJSNPNYFR-FQEVSTJZSA-N 0 1 295.368 0.136 20 30 CCEDMN CN(CC(=O)NCc1ccc(C#N)cc1)C(C)(C)CO ZINC000609894825 363525278 /nfs/dbraw/zinc/52/52/78/363525278.db2.gz BJVJTKHHAIFDKK-UHFFFAOYSA-N 0 1 275.352 0.877 20 30 CCEDMN N#CCSCC(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000619868265 366365958 /nfs/dbraw/zinc/36/59/58/366365958.db2.gz GZDHEJFYAFLYMA-QMMMGPOBSA-N 0 1 282.325 0.738 20 30 CCEDMN N#Cc1ccnc(N2CCn3c(=O)[nH]nc3C2)c1[N+](=O)[O-] ZINC000341651251 283223862 /nfs/dbraw/zinc/22/38/62/283223862.db2.gz ZDKUIVUWZKZPDJ-UHFFFAOYSA-N 0 1 287.239 0.179 20 30 CCEDMN CC[C@@H](C)NC(=O)CCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610562901 363577533 /nfs/dbraw/zinc/57/75/33/363577533.db2.gz KFTXUOVNPKGIQD-SECBINFHSA-N 0 1 292.343 0.140 20 30 CCEDMN CO[C@@H](C)CCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610561112 363576869 /nfs/dbraw/zinc/57/68/69/363576869.db2.gz PFAWIJONYZQMMQ-QMMMGPOBSA-N 0 1 251.290 0.260 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H]2CCC[N@@H+](C)C2)[n-]1 ZINC000610564064 363579603 /nfs/dbraw/zinc/57/96/03/363579603.db2.gz KKBZRQFQUXBAGZ-JTQLQIEISA-N 0 1 276.344 0.177 20 30 CCEDMN CC[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H](C)O1 ZINC000610562705 363578025 /nfs/dbraw/zinc/57/80/25/363578025.db2.gz CPEGYVTZLNBKDQ-ONGXEEELSA-N 0 1 277.328 0.745 20 30 CCEDMN Cc1cc(CNC(=O)N=c2[nH]n(C)cc2C#N)on1 ZINC000610565017 363579841 /nfs/dbraw/zinc/57/98/41/363579841.db2.gz VIVVEVXQHGDKMF-UHFFFAOYSA-N 0 1 260.257 0.332 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC(c3cnc[nH]3)CC2)[n-]1 ZINC000610584927 363581985 /nfs/dbraw/zinc/58/19/85/363581985.db2.gz MVBPFOQTFWFETE-UHFFFAOYSA-N 0 1 299.338 0.848 20 30 CCEDMN N#Cc1ccc2ncc(CN3CC[C@@H](CC(N)=O)C3)n2c1 ZINC000377850727 357624168 /nfs/dbraw/zinc/62/41/68/357624168.db2.gz GORDCTJLUGECPR-NSHDSACASA-N 0 1 283.335 0.903 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN(CCCO)C1CC1 ZINC000404323949 357820899 /nfs/dbraw/zinc/82/08/99/357820899.db2.gz LWSASSQCPDOTSP-UHFFFAOYSA-N 0 1 278.356 0.489 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)C(C)(C)C#N)C1 ZINC000398938518 357778821 /nfs/dbraw/zinc/77/88/21/357778821.db2.gz JREOEUGMZSSVMW-NSHDSACASA-N 0 1 253.346 0.763 20 30 CCEDMN COCCC(C)(C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610634346 363588929 /nfs/dbraw/zinc/58/89/29/363588929.db2.gz FPBBFIWUEPZIGA-UHFFFAOYSA-N 0 1 265.317 0.650 20 30 CCEDMN C#CCNC(=O)CCN(CC)C[C@H](O)C(F)(F)F ZINC000294579365 199093487 /nfs/dbraw/zinc/09/34/87/199093487.db2.gz MOPAADJJMZZIJA-VIFPVBQESA-N 0 1 266.263 0.371 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@H](OC)[C@@H](OC)C1 ZINC000487993175 358287503 /nfs/dbraw/zinc/28/75/03/358287503.db2.gz WCHOKARGIYYUAV-IHRRRGAJSA-N 0 1 282.384 0.921 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)[C@@H]2CC2[N+](=O)[O-])n1 ZINC000491672004 358296704 /nfs/dbraw/zinc/29/67/04/358296704.db2.gz OLJMDGDCOGSOGO-VXGBXAGGSA-N 0 1 276.296 0.530 20 30 CCEDMN N#Cc1ccc(CN2CC[C@]3(CNC(=O)C3)C2)nc1 ZINC000569862993 358801470 /nfs/dbraw/zinc/80/14/70/358801470.db2.gz LOVDZICEWAJDGE-AWEZNQCLSA-N 0 1 256.309 0.665 20 30 CCEDMN C[C@H]1CN(CCO)CCN1c1ccc(C#N)c(N)n1 ZINC000570292470 358842930 /nfs/dbraw/zinc/84/29/30/358842930.db2.gz BBABIIVWTDDWOR-JTQLQIEISA-N 0 1 261.329 0.038 20 30 CCEDMN CC[C@H](CC#N)NC[C@@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000572056281 358927045 /nfs/dbraw/zinc/92/70/45/358927045.db2.gz RGSRGBJDQOKABV-XJFOESAGSA-N 0 1 269.389 0.738 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NCCCc1nc[nH]n1 ZINC000573215635 358948689 /nfs/dbraw/zinc/94/86/89/358948689.db2.gz ZPRUHXLMXVIJGP-ZYHUDNBSSA-N 0 1 264.329 0.835 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)Cc1c[nH]cn1 ZINC000579904675 359059219 /nfs/dbraw/zinc/05/92/19/359059219.db2.gz REOKOEISFQRIEY-UHFFFAOYSA-N 0 1 259.317 0.482 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC[C@@H](O)C(F)(F)F)[nH]1 ZINC000610876598 363624487 /nfs/dbraw/zinc/62/44/87/363624487.db2.gz AOGZQGVAAANJOL-SSDOTTSWSA-N 0 1 291.233 0.148 20 30 CCEDMN CC[N@H+]1CCN(C(=O)N=c2[n-]n(C)cc2C#N)C[C@H]1C ZINC000611095579 363659712 /nfs/dbraw/zinc/65/97/12/363659712.db2.gz RWSMDKSWSWDRNY-SNVBAGLBSA-N 0 1 276.344 0.272 20 30 CCEDMN N#C[C@@]1(F)CCN(C(=O)N[C@H]2CCc3nc[nH]c3C2)C1 ZINC000589630000 359394447 /nfs/dbraw/zinc/39/44/47/359394447.db2.gz QWKSOZJHAAQQRD-ZANVPECISA-N 0 1 277.303 0.914 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC(F)(F)[C@@H](CO)C2)[nH]1 ZINC000589761856 359400559 /nfs/dbraw/zinc/40/05/59/359400559.db2.gz PQWKWSUVAXNHHN-SECBINFHSA-N 0 1 299.281 0.195 20 30 CCEDMN CCc1nc(CNS(=O)(=O)CC2(C#N)CCCC2)n[nH]1 ZINC000590758001 359517495 /nfs/dbraw/zinc/51/74/95/359517495.db2.gz BQSINHAKHUISGE-UHFFFAOYSA-N 0 1 297.384 0.870 20 30 CCEDMN C[C@@H]1CN(C(=O)CC2(C#N)CC2)C[C@H](C)N1CCO ZINC000590873066 359533612 /nfs/dbraw/zinc/53/36/12/359533612.db2.gz OYGBQHYCLLPGRP-TXEJJXNPSA-N 0 1 265.357 0.594 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000590936296 359539824 /nfs/dbraw/zinc/53/98/24/359539824.db2.gz GQYFLFMILWMCML-UTUOFQBUSA-N 0 1 268.361 0.243 20 30 CCEDMN CO[C@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)C12CCC2 ZINC000590957442 359542916 /nfs/dbraw/zinc/54/29/16/359542916.db2.gz JCPGZDMQAXJGPU-MNOVXSKESA-N 0 1 289.339 0.793 20 30 CCEDMN COC1([C@H](C)NC(=O)N=c2[nH]n(C)cc2C#N)CCC1 ZINC000591338109 359579599 /nfs/dbraw/zinc/57/95/99/359579599.db2.gz GIOCAOYTDYPSNH-VIFPVBQESA-N 0 1 277.328 0.793 20 30 CCEDMN C[C@@H]1CN(Cc2ccnc(C#N)c2)[C@H](C)CN1CCO ZINC000591377861 359586726 /nfs/dbraw/zinc/58/67/26/359586726.db2.gz QRFYZHDNPHBWRQ-CHWSQXEVSA-N 0 1 274.368 0.840 20 30 CCEDMN CC(C)(CO)N1CCN(Cc2ccncc2C#N)CC1 ZINC000592080557 359751281 /nfs/dbraw/zinc/75/12/81/359751281.db2.gz XDXGOTBOIZXAII-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CCc2ccc3c(c2)CCO3)c1=O ZINC000592354941 359823694 /nfs/dbraw/zinc/82/36/94/359823694.db2.gz CGFDHUQWINMGOZ-UHFFFAOYSA-N 0 1 283.287 0.586 20 30 CCEDMN CN1CCC[C@H](NC(=O)C(=O)Nc2cccc(C#N)n2)C1 ZINC000592285492 359811140 /nfs/dbraw/zinc/81/11/40/359811140.db2.gz ZKAAAQQATIQNRO-NSHDSACASA-N 0 1 287.323 0.102 20 30 CCEDMN CC(C)COC[C@H](O)CN1CCN(CCC#N)CC1 ZINC000611174900 363671034 /nfs/dbraw/zinc/67/10/34/363671034.db2.gz PCZFVTCEQFTHBV-CQSZACIVSA-N 0 1 269.389 0.551 20 30 CCEDMN CCN(CC(=O)N1CC[C@](O)(CC#N)C1)C1CC1 ZINC000592844026 359951406 /nfs/dbraw/zinc/95/14/06/359951406.db2.gz AIVMPLPITUVGRF-CYBMUJFWSA-N 0 1 251.330 0.348 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC[C@](O)(CC#N)C1 ZINC000592843832 359951640 /nfs/dbraw/zinc/95/16/40/359951640.db2.gz HBRMPOBHXHBHFM-WCQYABFASA-N 0 1 251.330 0.348 20 30 CCEDMN CNS(=O)(=O)C[C@@H]1CCCN1[C@H](C)CCC#N ZINC000593017050 360014888 /nfs/dbraw/zinc/01/48/88/360014888.db2.gz CISTTWWVJGECOW-MNOVXSKESA-N 0 1 259.375 0.692 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)[C@@H](C)CCO ZINC000593109965 360042151 /nfs/dbraw/zinc/04/21/51/360042151.db2.gz PGIODGHDSUEZFA-WCQYABFASA-N 0 1 255.362 0.744 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000593487257 360097266 /nfs/dbraw/zinc/09/72/66/360097266.db2.gz NKJQTERIKUOVAV-STQMWFEESA-N 0 1 265.357 0.717 20 30 CCEDMN N#Cc1cccc2c1CN(CCC(=O)N1CCNCC1)C2 ZINC000593730989 360143327 /nfs/dbraw/zinc/14/33/27/360143327.db2.gz QULYVIKLWXCCNF-UHFFFAOYSA-N 0 1 284.363 0.696 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000593637158 360125147 /nfs/dbraw/zinc/12/51/47/360125147.db2.gz GWQWTCIHKVVOJY-VXGBXAGGSA-N 0 1 267.373 0.840 20 30 CCEDMN COC(=O)C[C@H](N)C(=O)N1Cc2cccc(C#N)c2C1 ZINC000593919136 360214971 /nfs/dbraw/zinc/21/49/71/360214971.db2.gz PFZPAKRGZUVEGV-LBPRGKRZSA-N 0 1 273.292 0.291 20 30 CCEDMN COCC#CCN1CCN(CCC(=O)OC)C[C@@H]1C ZINC000611407243 363714195 /nfs/dbraw/zinc/71/41/95/363714195.db2.gz NAIDSCVWXYBPFP-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN C[C@@H]1[C@H](C)OCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000611519414 363731967 /nfs/dbraw/zinc/73/19/67/363731967.db2.gz BDOOLRJFDHKIOM-BDAKNGLRSA-N 0 1 263.301 0.355 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@H]3CC[N@@H+](C)C[C@@H]32)[n-]1 ZINC000611519438 363732049 /nfs/dbraw/zinc/73/20/49/363732049.db2.gz JRIVBRJTQBWHAF-PWSUYJOCSA-N 0 1 288.355 0.272 20 30 CCEDMN N#Cc1ccc(CCN2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000595389514 360516094 /nfs/dbraw/zinc/51/60/94/360516094.db2.gz PDKAQFKAZQLFGB-ZDUSSCGKSA-N 0 1 279.365 0.464 20 30 CCEDMN CN(CC(=O)NCC#N)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000595522676 360561572 /nfs/dbraw/zinc/56/15/72/360561572.db2.gz DWLAJNSANMMOGI-ZDUSSCGKSA-N 0 1 284.323 0.466 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@@](O)(C3CC3)C2)CCC1 ZINC000595750687 360638999 /nfs/dbraw/zinc/63/89/99/360638999.db2.gz SRUXVFOTFUACSL-HNNXBMFYSA-N 0 1 277.368 0.786 20 30 CCEDMN C[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@@H](C)C1O ZINC000595750097 360639459 /nfs/dbraw/zinc/63/94/59/360639459.db2.gz NVDINMYXKJQZKK-CHWSQXEVSA-N 0 1 292.383 0.591 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H]2C[C@@H](C(=O)OC)C2)C1=O ZINC000595857115 360681397 /nfs/dbraw/zinc/68/13/97/360681397.db2.gz UITLJDBTOFMQNF-TUAOUCFPSA-N 0 1 266.341 0.657 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@]1(C)CO ZINC000595873759 360687029 /nfs/dbraw/zinc/68/70/29/360687029.db2.gz NLXUILLMKQZGQO-DGCLKSJQSA-N 0 1 265.357 0.499 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@H]2COC[C@@H]2C1)C1CC1 ZINC000595827667 360669686 /nfs/dbraw/zinc/66/96/86/360669686.db2.gz CWIRSUWIMYVFIO-SLEUVZQESA-N 0 1 277.368 0.763 20 30 CCEDMN C[C@@H]1CN(CCCNc2cc(C#N)cnn2)C[C@H](C)O1 ZINC000596069070 360785983 /nfs/dbraw/zinc/78/59/83/360785983.db2.gz JJWQGIHTDHEORP-TXEJJXNPSA-N 0 1 275.356 0.681 20 30 CCEDMN Cn1ncc(C#N)c1N1CCN(C[C@H]2CCOC2)CC1 ZINC000596150346 360813341 /nfs/dbraw/zinc/81/33/41/360813341.db2.gz AKYWJCCVUJXAGD-GFCCVEGCSA-N 0 1 275.356 0.450 20 30 CCEDMN C[C@@H]1CN(c2cc(C#N)cnn2)C[C@H](C)N1CCO ZINC000596258452 360855025 /nfs/dbraw/zinc/85/50/25/360855025.db2.gz PFMACPJVUWPWGU-PHIMTYICSA-N 0 1 261.329 0.240 20 30 CCEDMN CCc1nc(C#N)cc(N2C[C@@H](C)N(CCO)C[C@@H]2C)n1 ZINC000596275184 360864007 /nfs/dbraw/zinc/86/40/07/360864007.db2.gz ORQLGMRNHWJQSN-NEPJUHHUSA-N 0 1 289.383 0.802 20 30 CCEDMN N#C[C@@]1(NC(=O)[C@@H]2CCCc3n[nH]nc32)CCSC1 ZINC000597036242 360998218 /nfs/dbraw/zinc/99/82/18/360998218.db2.gz OWJQCCNVOZMWHL-PELKAZGASA-N 0 1 277.353 0.740 20 30 CCEDMN CCN(C(=O)C(=O)NCCCCC#N)[C@@H]1CCN(C)C1 ZINC000597253979 361042377 /nfs/dbraw/zinc/04/23/77/361042377.db2.gz BDBCVTMZDOEWFO-GFCCVEGCSA-N 0 1 280.372 0.349 20 30 CCEDMN N#Cc1cscc1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000597626365 361167131 /nfs/dbraw/zinc/16/71/31/361167131.db2.gz SJFFTQSHUMEMCA-LBPRGKRZSA-N 0 1 290.392 0.739 20 30 CCEDMN N#Cc1cccc(CC(=O)NC[C@H]2CN3CCN2CCC3)c1 ZINC000597623860 361165632 /nfs/dbraw/zinc/16/56/32/361165632.db2.gz CQPIZGPGEAOLFY-INIZCTEOSA-N 0 1 298.390 0.607 20 30 CCEDMN N#C[C@@H](CO)NC(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000597744752 361228814 /nfs/dbraw/zinc/22/88/14/361228814.db2.gz XHKVIDNTQFTFQJ-UONOGXRCSA-N 0 1 273.336 0.652 20 30 CCEDMN Cc1nnc(SCC(=O)N2CC(CC#N)C2)[nH]1 ZINC000598033766 361319261 /nfs/dbraw/zinc/31/92/61/361319261.db2.gz CPPVJKQYZODJOG-UHFFFAOYSA-N 0 1 251.315 0.577 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CC(CC#N)C2)n1 ZINC000598033766 361319266 /nfs/dbraw/zinc/31/92/66/361319266.db2.gz CPPVJKQYZODJOG-UHFFFAOYSA-N 0 1 251.315 0.577 20 30 CCEDMN CCNC(=O)CN(CC)C[C@H](O)CC(C)(C)C#N ZINC000598585878 361448583 /nfs/dbraw/zinc/44/85/83/361448583.db2.gz BTKYBWZODBUDRE-LLVKDONJSA-N 0 1 255.362 0.745 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCC[C@@H]1CS(C)(=O)=O ZINC000598626386 361465824 /nfs/dbraw/zinc/46/58/24/361465824.db2.gz BZCWVNWYGFEVDS-NEPJUHHUSA-N 0 1 288.413 0.796 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@@H](C)[C@@H](CO)C1 ZINC000598646777 361471530 /nfs/dbraw/zinc/47/15/30/361471530.db2.gz ZEMBCULYMBECEO-NFAWXSAZSA-N 0 1 281.400 0.991 20 30 CCEDMN Cn1ccnc1N1CCN(C[C@@H](O)CC(C)(C)C#N)CC1 ZINC000598597714 361454619 /nfs/dbraw/zinc/45/46/19/361454619.db2.gz UICVHUPUDQVMNK-ZDUSSCGKSA-N 0 1 291.399 0.843 20 30 CCEDMN N#Cc1c2c(cnc1NCCN1CC[C@@H](O)C1)CCC2 ZINC000599175708 361598360 /nfs/dbraw/zinc/59/83/60/361598360.db2.gz IVWWXUGSILSJQF-GFCCVEGCSA-N 0 1 272.352 0.342 20 30 CCEDMN CC#CCCNS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599274253 361628453 /nfs/dbraw/zinc/62/84/53/361628453.db2.gz OSHRNMJXNIEHND-UHFFFAOYSA-N 0 1 272.286 0.020 20 30 CCEDMN COC(=O)c1cc(S(=O)(=O)NCCCCC#N)on1 ZINC000599229638 361616478 /nfs/dbraw/zinc/61/64/78/361616478.db2.gz GPLMHRMXPWRJNF-UHFFFAOYSA-N 0 1 287.297 0.433 20 30 CCEDMN C=C[C@@H](C)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599259568 361624451 /nfs/dbraw/zinc/62/44/51/361624451.db2.gz ZPGSKTLBEUWKRD-ZCFIWIBFSA-N 0 1 260.275 0.181 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC[C@H](c2n[nH]c(C3CC3)n2)C1 ZINC000613150367 364131682 /nfs/dbraw/zinc/13/16/82/364131682.db2.gz AZKMCOMTPVVTDY-WDEREUQCSA-N 0 1 276.340 0.935 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000614431546 364604743 /nfs/dbraw/zinc/60/47/43/364604743.db2.gz FGTWDJZLOGCJJF-DZGCQCFKSA-N 0 1 282.384 0.637 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000614444591 364606961 /nfs/dbraw/zinc/60/69/61/364606961.db2.gz AFBNTNSTFLRKAB-STQMWFEESA-N 0 1 268.357 0.247 20 30 CCEDMN CO[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CC[C@@H]1C ZINC000615253049 364896408 /nfs/dbraw/zinc/89/64/08/364896408.db2.gz GOMORELRLOOLDZ-GXSJLCMTSA-N 0 1 277.328 0.602 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)N2CC[C@](F)(C#N)C2)[nH]1 ZINC000615536553 364996179 /nfs/dbraw/zinc/99/61/79/364996179.db2.gz DFOJQVHSDYGBCE-ZDUSSCGKSA-N 0 1 294.334 0.336 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCc2cn[nH]c2C1 ZINC000615793534 365091423 /nfs/dbraw/zinc/09/14/23/365091423.db2.gz OHNFLSIEAPWZFL-JTQLQIEISA-N 0 1 273.340 0.719 20 30 CCEDMN CNC(=O)CCCN1CCN(c2cccc(C#N)n2)CC1 ZINC000565155157 291348443 /nfs/dbraw/zinc/34/84/43/291348443.db2.gz CYVKVJOYKCIKSW-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN CN1CCC[C@@H](NCc2cnc3c(C#N)cnn3c2)C1=O ZINC000566797784 291438743 /nfs/dbraw/zinc/43/87/43/291438743.db2.gz OXJIWDPMYWWJII-GFCCVEGCSA-N 0 1 284.323 0.311 20 30 CCEDMN C=CCCN(C)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000276164487 193231100 /nfs/dbraw/zinc/23/11/00/193231100.db2.gz RIKCUIXWFCNLLJ-UHFFFAOYSA-N 0 1 274.328 0.824 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCC2(CC#N)CC2)[C@H](C)C1 ZINC000355873211 296183817 /nfs/dbraw/zinc/18/38/17/296183817.db2.gz LVAQNDVUHLMQBL-CHWSQXEVSA-N 0 1 294.399 0.777 20 30 CCEDMN CC#CCN(C)C(=O)C(C)(C)CN1CCOCC1 ZINC000635969856 373176847 /nfs/dbraw/zinc/17/68/47/373176847.db2.gz IWYJOCJGCDDHET-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)[C@H]1CCN1C1CCCC1 ZINC000636111663 373372227 /nfs/dbraw/zinc/37/22/27/373372227.db2.gz NRGBGTFUIYJFGA-GXTWGEPZSA-N 0 1 264.369 0.894 20 30 CCEDMN C=CCC1(C(=O)NC[C@@H]2COCCN2)CCOCC1 ZINC000638614467 375201015 /nfs/dbraw/zinc/20/10/15/375201015.db2.gz TUEGARKHPZPKTI-GFCCVEGCSA-N 0 1 268.357 0.464 20 30 CCEDMN C=CC[C@@H](CO)NCc1ccc(S(N)(=O)=O)s1 ZINC000639046822 375545546 /nfs/dbraw/zinc/54/55/46/375545546.db2.gz XRIQGOLWUCDGKT-QMMMGPOBSA-N 0 1 276.383 0.422 20 30 CCEDMN CC#CCNCc1cn([C@@H](C)c2nnc(CC)[nH]2)nn1 ZINC000641208099 376882003 /nfs/dbraw/zinc/88/20/03/376882003.db2.gz SMXVFANCVQUGDJ-JTQLQIEISA-N 0 1 273.344 0.681 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CCCN(CCCO)C2)nc1 ZINC000515207265 249124255 /nfs/dbraw/zinc/12/42/55/249124255.db2.gz FNUGYTHTCVRNHA-CYBMUJFWSA-N 0 1 288.351 0.530 20 30 CCEDMN CN(C)CC(=O)N1CCN(Cc2ccc(C#N)s2)CC1 ZINC000516488589 249166811 /nfs/dbraw/zinc/16/68/11/249166811.db2.gz VXWMLTNMBQAOIQ-UHFFFAOYSA-N 0 1 292.408 0.826 20 30 CCEDMN Cn1cc(CN2C[C@@H](O)[C@@H](O)C2)c(-c2ccc(C#N)cc2)n1 ZINC000278757563 194142053 /nfs/dbraw/zinc/14/20/53/194142053.db2.gz MLJMCZUGXCNGQX-GASCZTMLSA-N 0 1 298.346 0.496 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CC[C@]2(CNC(=O)C2)C1 ZINC000278819281 194169893 /nfs/dbraw/zinc/16/98/93/194169893.db2.gz HIUXCJIAMSMBST-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN CC(=O)c1cccc(OC[C@@H](O)CNCC#CCO)c1 ZINC000574812672 335049478 /nfs/dbraw/zinc/04/94/78/335049478.db2.gz HHZGDPLDRQQVLS-AWEZNQCLSA-N 0 1 277.320 0.214 20 30 CCEDMN C=CCCn1cc(CNC[C@@H]2CCCNC2=O)nn1 ZINC000657490314 413007981 /nfs/dbraw/zinc/00/79/81/413007981.db2.gz IOIPCNWCAAECGV-NSHDSACASA-N 0 1 263.345 0.470 20 30 CCEDMN CC(C)(C)OC(=O)NC[C@H](O)CNC[C@@H](C#N)CCC#N ZINC000497306444 262140260 /nfs/dbraw/zinc/14/02/60/262140260.db2.gz RENAAYZDFJCADS-VXGBXAGGSA-N 0 1 296.371 0.905 20 30 CCEDMN C=CCC[C@@H](O)C[N@H+]1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000284303171 388774556 /nfs/dbraw/zinc/77/45/56/388774556.db2.gz OSPVVJTYTQICCN-GHMZBOCLSA-N 0 1 267.329 0.869 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CC[N@@H+](CC(C)(C)O)C[C@H]1C ZINC000186624057 388843794 /nfs/dbraw/zinc/84/37/94/388843794.db2.gz SDNHFRSULQQSJF-NWDGAFQWSA-N 0 1 282.388 0.633 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000186624057 388843798 /nfs/dbraw/zinc/84/37/98/388843798.db2.gz SDNHFRSULQQSJF-NWDGAFQWSA-N 0 1 282.388 0.633 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000035301857 388836261 /nfs/dbraw/zinc/83/62/61/388836261.db2.gz NJMFATOPTHMTKE-LLVKDONJSA-N 0 1 252.314 0.736 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000035301857 388836265 /nfs/dbraw/zinc/83/62/65/388836265.db2.gz NJMFATOPTHMTKE-LLVKDONJSA-N 0 1 252.314 0.736 20 30 CCEDMN C#C[C@@H](C)NCc1c(C)nn(C)c1N1CCOCC1 ZINC000289105632 388867605 /nfs/dbraw/zinc/86/76/05/388867605.db2.gz CLCWGDRHPGSKFU-LLVKDONJSA-N 0 1 262.357 0.676 20 30 CCEDMN C=CCN1CC[C@@H]([NH+]2CCC(c3nc(=O)[n-][nH]3)CC2)C1=O ZINC000289543618 388871288 /nfs/dbraw/zinc/87/12/88/388871288.db2.gz WVWJFKXKOOWEJX-LLVKDONJSA-N 0 1 291.355 0.064 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCCCc1cn[nH]c1C ZINC000290689160 388910453 /nfs/dbraw/zinc/91/04/53/388910453.db2.gz QWCATLAJXLFNJN-LLVKDONJSA-N 0 1 252.318 0.497 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000291400584 388922211 /nfs/dbraw/zinc/92/22/11/388922211.db2.gz IPPQRTXLKJVGBU-PELKAZGASA-N 0 1 252.318 0.871 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000293305146 388981460 /nfs/dbraw/zinc/98/14/60/388981460.db2.gz FDDWWDZLLYGJRZ-TUAOUCFPSA-N 0 1 282.388 0.411 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](O)CCOC(C)C)C1=O ZINC000337200279 389053144 /nfs/dbraw/zinc/05/31/44/389053144.db2.gz BOENUHCXALHJMN-KGLIPLIRSA-N 0 1 284.400 0.881 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(OCCOC)CC2)C1=O ZINC000337198036 389053326 /nfs/dbraw/zinc/05/33/26/389053326.db2.gz XXAVBYKDMMBICL-AWEZNQCLSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCN2C[C@H]3CC[C@@H](C2)O3)C1=O ZINC000337200955 389053402 /nfs/dbraw/zinc/05/34/02/389053402.db2.gz CJCZMRKNISGUPC-QLFBSQMISA-N 0 1 293.411 0.568 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](O)CSC)C1=O ZINC000337199736 389053840 /nfs/dbraw/zinc/05/38/40/389053840.db2.gz BEXHALFZWWDIOM-MNOVXSKESA-N 0 1 258.387 0.429 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(CC(C)(C)O)[C@H](C)C2)C1=O ZINC000337205513 389055349 /nfs/dbraw/zinc/05/53/49/389055349.db2.gz KTIALQSSKOWEHK-ZIAGYGMSSA-N 0 1 295.427 0.550 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCOCCOC)C1=O ZINC000337220834 389055990 /nfs/dbraw/zinc/05/59/90/389055990.db2.gz KRMBTBYVYWHUDE-LBPRGKRZSA-N 0 1 256.346 0.368 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C[C@@H](C)O)C[C@H]2C)C1=O ZINC000337438092 389061041 /nfs/dbraw/zinc/06/10/41/389061041.db2.gz YAAPVLLFCJKJPZ-MCIONIFRSA-N 0 1 281.400 0.160 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000337703380 389066514 /nfs/dbraw/zinc/06/65/14/389066514.db2.gz WDLJLBAABRFCSM-OLZOCXBDSA-N 0 1 265.357 0.717 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCCCC1)N(C)CCO ZINC000302963607 389080431 /nfs/dbraw/zinc/08/04/31/389080431.db2.gz SXOIOMJEGWQYOT-LLVKDONJSA-N 0 1 253.346 0.642 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCCC[C@@H]1[C@@H](C)O ZINC000303994125 389081052 /nfs/dbraw/zinc/08/10/52/389081052.db2.gz OHDZNPAPAGWEQG-CHWSQXEVSA-N 0 1 267.373 0.984 20 30 CCEDMN CCN(C[C@H](C)C#N)C[C@H](O)CN1C[C@@H](C)O[C@H](C)C1 ZINC000304019008 389081854 /nfs/dbraw/zinc/08/18/54/389081854.db2.gz XMFDHJGDOSNILJ-TUVASFSCSA-N 0 1 283.416 0.938 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C[C@@H]1CCC[C@@H]1O ZINC000305295447 389086297 /nfs/dbraw/zinc/08/62/97/389086297.db2.gz GHWQMEJLOBFZCR-STQMWFEESA-N 0 1 267.373 0.841 20 30 CCEDMN CC#CCCNC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000355153231 389043600 /nfs/dbraw/zinc/04/36/00/389043600.db2.gz CTUGBZZXMITABN-CHWSQXEVSA-N 0 1 267.373 0.496 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000355139254 389044105 /nfs/dbraw/zinc/04/41/05/389044105.db2.gz SANCCHOQOWKQCE-LBPRGKRZSA-N 0 1 277.368 0.540 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@]2(CCCOC2)C1 ZINC000355785963 389116803 /nfs/dbraw/zinc/11/68/03/389116803.db2.gz PXTHJEQLMQXWPB-ZDUSSCGKSA-N 0 1 251.330 0.519 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cc(F)cc3nn[nH]c32)C1 ZINC000328655268 389130117 /nfs/dbraw/zinc/13/01/17/389130117.db2.gz MQNYRVNXNSAGMO-UHFFFAOYSA-N 0 1 275.243 0.198 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NC(C)(C)c1nn[nH]n1)OCC ZINC000339363214 389131554 /nfs/dbraw/zinc/13/15/54/389131554.db2.gz QVKIZMHNFMNIIK-SECBINFHSA-N 0 1 267.333 0.922 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000328686344 389131690 /nfs/dbraw/zinc/13/16/90/389131690.db2.gz GGNNTBXIVLOMTM-PHIMTYICSA-N 0 1 266.301 0.232 20 30 CCEDMN O=C(NCC1(O)CCC1)[C@@H]1CCc2[nH]nnc2C1 ZINC000328790706 389138031 /nfs/dbraw/zinc/13/80/31/389138031.db2.gz QLWLLYHBEGQGSZ-MRVPVSSYSA-N 0 1 250.302 0.781 20 30 CCEDMN O=C(NCC1(O)CCC1)[C@@H]1CCc2nn[nH]c2C1 ZINC000328790706 389138032 /nfs/dbraw/zinc/13/80/32/389138032.db2.gz QLWLLYHBEGQGSZ-MRVPVSSYSA-N 0 1 250.302 0.781 20 30 CCEDMN CC(C#N)C(=O)N(CCC#N)CCN1CCOCC1 ZINC000357834723 389138885 /nfs/dbraw/zinc/13/88/85/389138885.db2.gz RZUMWNONDGRTKU-GFCCVEGCSA-N 0 1 264.329 0.221 20 30 CCEDMN Cc1nc(C)c(C(=O)NC[C@@H]2CN(C)CCN2C)nc1C ZINC000329639010 389181616 /nfs/dbraw/zinc/18/16/16/389181616.db2.gz WIMRAZJBKOCRSA-CYBMUJFWSA-N 0 1 291.399 0.952 20 30 CCEDMN CC[C@H](C(=O)NCCN1CCN(C)CC1)N1CCCC1=O ZINC000329675496 389186438 /nfs/dbraw/zinc/18/64/38/389186438.db2.gz UKTKAVCBKHVZPJ-CYBMUJFWSA-N 0 1 296.415 0.591 20 30 CCEDMN Cc1nnc2n1C[C@H](CNC(=O)N1CC(F)C1)CC2 ZINC000329759460 389197384 /nfs/dbraw/zinc/19/73/84/389197384.db2.gz OXIUSXAHYIUQGF-VIFPVBQESA-N 0 1 267.308 0.717 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CC[C@]3(CCOC3)C2)C1 ZINC000329803055 389202812 /nfs/dbraw/zinc/20/28/12/389202812.db2.gz JNHMOUBINCMSTQ-ZFWWWQNUSA-N 0 1 297.399 0.734 20 30 CCEDMN C=C[C@@H](CO)NC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000358458624 389151375 /nfs/dbraw/zinc/15/13/75/389151375.db2.gz ADMDSZHZYFSLTO-QWHCGFSZSA-N 0 1 285.388 0.189 20 30 CCEDMN Cc1cc(CNC([O-])=[NH+][C@@H]2CCc3ncnn3C2)n(C)n1 ZINC000329285020 389154108 /nfs/dbraw/zinc/15/41/08/389154108.db2.gz OCXAVZLHYGRMQN-SNVBAGLBSA-N 0 1 289.343 0.339 20 30 CCEDMN C[C@H](C#N)CN(C)C[C@@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000358538110 389154149 /nfs/dbraw/zinc/15/41/49/389154149.db2.gz BZBRJRDGQRBOIR-YIYPIFLZSA-N 0 1 269.389 0.548 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCC1(C)COC1 ZINC000329327194 389157823 /nfs/dbraw/zinc/15/78/23/389157823.db2.gz JVLVYRLJYHTUHW-NSHDSACASA-N 0 1 256.346 0.948 20 30 CCEDMN CC[C@H]1CN(C(=O)NCCn2cnc(C#N)n2)CCCO1 ZINC000329341916 389158192 /nfs/dbraw/zinc/15/81/92/389158192.db2.gz PHYFDSMQPLBUIL-NSHDSACASA-N 0 1 292.343 0.565 20 30 CCEDMN CC1=CCN(CCNC(=O)Cn2cnc(C#N)n2)CC1 ZINC000329464364 389164801 /nfs/dbraw/zinc/16/48/01/389164801.db2.gz QZYUZHDHNBVXJE-UHFFFAOYSA-N 0 1 274.328 0.758 20 30 CCEDMN C=CCNC(=O)CN(C)CCCc1[nH]nc(N)c1C#N ZINC000125479179 389166137 /nfs/dbraw/zinc/16/61/37/389166137.db2.gz AIHHLORNIOSZNE-UHFFFAOYSA-N 0 1 276.344 0.030 20 30 CCEDMN Cc1nnc2n1C[C@@H](C[NH+]=C([O-])N1CCC[C@H]1CO)CC2 ZINC000329572474 389171580 /nfs/dbraw/zinc/17/15/80/389171580.db2.gz RAMRCDNFZDIWIQ-NEPJUHHUSA-N 0 1 293.371 0.520 20 30 CCEDMN CN1CC[C@H](NC(=O)N[C@@H]2CCc3nc[nH]c3C2)C1=O ZINC000329601839 389174670 /nfs/dbraw/zinc/17/46/70/389174670.db2.gz KTZJXCFFYXABMV-SCZZXKLOSA-N 0 1 277.328 0.001 20 30 CCEDMN COCCN1[C@H](C)CN(c2cnc(C#N)cn2)C[C@@H]1C ZINC000128187145 389176480 /nfs/dbraw/zinc/17/64/80/389176480.db2.gz MVEJFJHTDQWBMA-TXEJJXNPSA-N 0 1 275.356 0.894 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](C(N)=O)CC[C@H]1C ZINC000247584058 389241325 /nfs/dbraw/zinc/24/13/25/389241325.db2.gz LWHJTQNKXYPEBF-JHJVBQTASA-N 0 1 294.399 0.580 20 30 CCEDMN CN(CCO)C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000330563874 389241633 /nfs/dbraw/zinc/24/16/33/389241633.db2.gz BCJGMRJTPXPJIA-UHFFFAOYSA-N 0 1 292.383 0.884 20 30 CCEDMN N#CCN1CCC[C@H](CNC(=O)c2n[nH]cc2[N+](=O)[O-])C1 ZINC000343654019 389268614 /nfs/dbraw/zinc/26/86/14/389268614.db2.gz GVFHXBIWOVWICI-SECBINFHSA-N 0 1 292.299 0.283 20 30 CCEDMN CCc1cc(C(=O)N(C)CCNC(=O)N(C)C)n[nH]1 ZINC000330665005 389251157 /nfs/dbraw/zinc/25/11/57/389251157.db2.gz QWRGKBPKZZJDDX-UHFFFAOYSA-N 0 1 267.333 0.520 20 30 CCEDMN CN(CCC(=O)N(C)CCC#N)CC(=O)NC(C)(C)C ZINC000131057868 389209315 /nfs/dbraw/zinc/20/93/15/389209315.db2.gz OLUSNWYEGGZNNS-UHFFFAOYSA-N 0 1 282.388 0.595 20 30 CCEDMN O=C(NCCn1cncn1)N1CCOC2(CCCC2)C1 ZINC000329910801 389210682 /nfs/dbraw/zinc/21/06/82/389210682.db2.gz VZBNXILUWQSWPV-UHFFFAOYSA-N 0 1 279.344 0.837 20 30 CCEDMN C[C@]1(O)CCCN(C(=O)N[C@H]2CCc3ncnn3C2)CC1 ZINC000329919860 389211451 /nfs/dbraw/zinc/21/14/51/389211451.db2.gz RWNJQWZUYLTUMO-FZMZJTMJSA-N 0 1 293.371 0.744 20 30 CCEDMN C[C@H](Cn1ccnc1)[NH+]=C([O-])N1C[C@@H]2OCCN(C)[C@H]2C1 ZINC000329929610 389212200 /nfs/dbraw/zinc/21/22/00/389212200.db2.gz YXFMRKYNUDCSAZ-AGIUHOORSA-N 0 1 293.371 0.200 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CCO[C@]2(CCOC2)C1 ZINC000329933739 389212348 /nfs/dbraw/zinc/21/23/48/389212348.db2.gz BQXTXHNJGYZPSY-CYBMUJFWSA-N 0 1 280.328 0.623 20 30 CCEDMN CC1(CNC(=O)N2CCn3ncnc3C2)CCOCC1 ZINC000329928092 389212641 /nfs/dbraw/zinc/21/26/41/389212641.db2.gz MDUSNYXLXNLREQ-UHFFFAOYSA-N 0 1 279.344 0.824 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NC[C@H]1CN(C)CCN1C ZINC000136254519 389265812 /nfs/dbraw/zinc/26/58/12/389265812.db2.gz OCCGFTYYOBLBIM-AAEUAGOBSA-N 0 1 252.362 0.288 20 30 CCEDMN COCCCNC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000330408826 389225998 /nfs/dbraw/zinc/22/59/98/389225998.db2.gz JRXSUPKYTSITLD-CQSZACIVSA-N 0 1 299.415 0.981 20 30 CCEDMN CNC(=O)[C@@H](C)CN(C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000246249461 389226007 /nfs/dbraw/zinc/22/60/07/389226007.db2.gz SQJKMOKKDAIAMD-FZMZJTMJSA-N 0 1 282.388 0.355 20 30 CCEDMN N#Cc1ccc(CN2CCn3c(=O)[nH]nc3C2)s1 ZINC000362097237 389229732 /nfs/dbraw/zinc/22/97/32/389229732.db2.gz OJGFIOWQUJAGOP-UHFFFAOYSA-N 0 1 261.310 0.933 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@](C)(CC)C(N)=O ZINC000133361800 389234013 /nfs/dbraw/zinc/23/40/13/389234013.db2.gz YWDRKNQPBOEWHY-CYBMUJFWSA-N 0 1 253.346 0.431 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(O)(COC)CC1 ZINC000330496932 389235272 /nfs/dbraw/zinc/23/52/72/389235272.db2.gz YTUPQTQSEAHHFG-NSHDSACASA-N 0 1 256.346 0.150 20 30 CCEDMN Cc1nnc(SCCC(=O)N2CCOC[C@H]2C#N)[nH]1 ZINC000362404753 389235414 /nfs/dbraw/zinc/23/54/14/389235414.db2.gz ARAINDJMMANNMM-SECBINFHSA-N 0 1 281.341 0.346 20 30 CCEDMN COC(=O)c1cc(C(=O)NC[C@@H]2CN(C)CCN2C)c[nH]1 ZINC000330496762 389235501 /nfs/dbraw/zinc/23/55/01/389235501.db2.gz YKBBEJSDNMFDFF-LLVKDONJSA-N 0 1 294.355 0.352 20 30 CCEDMN COC(=O)[C@@H]1CN(C(=O)NCCCn2ccnc2)CCO1 ZINC000330519629 389237788 /nfs/dbraw/zinc/23/77/88/389237788.db2.gz HPJQTQKGTOWZCS-NSHDSACASA-N 0 1 296.327 0.061 20 30 CCEDMN CCNC(=O)NC(=O)[C@H](C)N1C[C@H](OC)C[C@H]1COC ZINC000330544929 389239996 /nfs/dbraw/zinc/23/99/96/389239996.db2.gz YFZWHJOIFKYUCV-GARJFASQSA-N 0 1 287.360 0.161 20 30 CCEDMN C=CCCS(=O)(=O)N[C@@H](C(=O)OC)c1ccn(C)n1 ZINC000345633980 389311219 /nfs/dbraw/zinc/31/12/19/389311219.db2.gz DBBCXFFYZZSNEP-SNVBAGLBSA-N 0 1 287.341 0.130 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000264464020 389330109 /nfs/dbraw/zinc/33/01/09/389330109.db2.gz FGPLWHRWLJZQNV-QWHCGFSZSA-N 0 1 297.399 0.424 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@H](O)[C@H](C)C1 ZINC000346576012 389332637 /nfs/dbraw/zinc/33/26/37/389332637.db2.gz QLDWUAMWRCEOJN-WOPDTQHZSA-N 0 1 265.357 0.640 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@@H](C)C(=O)NC2(C#N)CCC2)[C@H]1C ZINC000346649157 389333787 /nfs/dbraw/zinc/33/37/87/389333787.db2.gz GMGZYTWWXNZMIK-SDDRHHMPSA-N 0 1 293.367 0.821 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)c3cc(C#N)c[nH]3)CC2)n[nH]1 ZINC000332082933 389333981 /nfs/dbraw/zinc/33/39/81/389333981.db2.gz AUNIIWPOKNGNSI-UHFFFAOYSA-N 0 1 299.338 0.271 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N2CCC(F)(C#N)CC2)C1 ZINC000344824796 389284771 /nfs/dbraw/zinc/28/47/71/389284771.db2.gz KACYATNWZNLFMQ-LBPRGKRZSA-N 0 1 297.378 0.269 20 30 CCEDMN CC(C)[C@@H](CNC(=O)NCCCC#N)N1CCN(C)CC1 ZINC000345505572 389306863 /nfs/dbraw/zinc/30/68/63/389306863.db2.gz QJJVEFJKQGUHRC-CQSZACIVSA-N 0 1 295.431 0.861 20 30 CCEDMN COC[C@H](O)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000264726261 389350021 /nfs/dbraw/zinc/35/00/21/389350021.db2.gz AVGNKKZXZFZDLY-CYBMUJFWSA-N 0 1 276.340 0.083 20 30 CCEDMN C=CCNC(=O)CNCc1cc(C(=O)OC)n(C)c1 ZINC000348136485 389366432 /nfs/dbraw/zinc/36/64/32/389366432.db2.gz NWZMEHWUVIZRCI-UHFFFAOYSA-N 0 1 265.313 0.204 20 30 CCEDMN N#Cc1c[nH]c(=O)n(C[C@H]2CCC(F)(F)C2)c1=O ZINC000335480855 389570397 /nfs/dbraw/zinc/57/03/97/389570397.db2.gz XPYDLMUVNSURBV-ZETCQYMHSA-N 0 1 255.224 0.844 20 30 CCEDMN C=C[C@@H]1CCCCN1CC(=O)N1CCN(C(C)=O)CC1 ZINC000335217105 389542812 /nfs/dbraw/zinc/54/28/12/389542812.db2.gz DMROLQPVUOAHJK-CQSZACIVSA-N 0 1 279.384 0.718 20 30 CCEDMN CC[C@](C)(NCC(=O)NCc1cccc(C#N)c1)C(N)=O ZINC000351472797 389585471 /nfs/dbraw/zinc/58/54/71/389585471.db2.gz QMPDJKTWUPQXJT-HNNXBMFYSA-N 0 1 288.351 0.418 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[C@@H]2CCN(C)C[C@H]21 ZINC000335743288 389602831 /nfs/dbraw/zinc/60/28/31/389602831.db2.gz REHFWOAVOUTIDZ-NWDGAFQWSA-N 0 1 258.387 0.918 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(NC(=O)C3CC3)CC2)C1=O ZINC000282132143 389657827 /nfs/dbraw/zinc/65/78/27/389657827.db2.gz YUYOUSBCBRCTBA-AWEZNQCLSA-N 0 1 291.395 0.764 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H]1CCO ZINC000426263322 389662270 /nfs/dbraw/zinc/66/22/70/389662270.db2.gz LCUAMIRNHFHBDV-CHWSQXEVSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN1CCN(CC(=O)N[C@H](C#N)C(C)(C)C)CC1 ZINC000427486730 389681428 /nfs/dbraw/zinc/68/14/28/389681428.db2.gz LAKPKBDUYOAHOS-GFCCVEGCSA-N 0 1 266.389 0.678 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C#N)cc2)[C@@H](C)CN1 ZINC000415550476 389691324 /nfs/dbraw/zinc/69/13/24/389691324.db2.gz CJYMSADHBCDMKG-QWRGUYRKSA-N 0 1 286.335 0.706 20 30 CCEDMN CNC(=O)[C@]1(C)CCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000430253796 389789861 /nfs/dbraw/zinc/78/98/61/389789861.db2.gz UAIYLSGSPLGARY-HUUCEWRRSA-N 0 1 292.383 0.253 20 30 CCEDMN N#CCCC1CCN(CC(=O)N2CCOCC2)CC1 ZINC000399397438 389791533 /nfs/dbraw/zinc/79/15/33/389791533.db2.gz MOEAVUWINQFIFE-UHFFFAOYSA-N 0 1 265.357 0.861 20 30 CCEDMN C=C(C)CCN1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000352008077 389734348 /nfs/dbraw/zinc/73/43/48/389734348.db2.gz ZSKDLXDXJZVFLS-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN CC(C)N1CCC[C@H](NS(=O)(=O)[C@H](C)C#N)C1 ZINC000416464413 389746254 /nfs/dbraw/zinc/74/62/54/389746254.db2.gz HNAXXTZXZCUEHQ-MNOVXSKESA-N 0 1 259.375 0.691 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1CCc2n[nH]nc2C1 ZINC000429308176 389750348 /nfs/dbraw/zinc/75/03/48/389750348.db2.gz KHYDENPMPKYSAQ-QMTHXVAHSA-N 0 1 290.371 0.606 20 30 CCEDMN N#CCSCCCN1C[C@H]2CCS(=O)(=O)[C@H]2C1 ZINC000352973309 389843529 /nfs/dbraw/zinc/84/35/29/389843529.db2.gz ACXUXBDGJDYGFY-MNOVXSKESA-N 0 1 274.411 0.752 20 30 CCEDMN N#CCSCCCN1C[C@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000352973308 389843768 /nfs/dbraw/zinc/84/37/68/389843768.db2.gz ACXUXBDGJDYGFY-GHMZBOCLSA-N 0 1 274.411 0.752 20 30 CCEDMN COC(=O)[C@H](NC(=O)C(C)C#N)c1ccc(OC)c(O)c1 ZINC000354104049 389859772 /nfs/dbraw/zinc/85/97/72/389859772.db2.gz KXWXUNCNJBPLNA-QPUJVOFHSA-N 0 1 292.291 0.891 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1CCNC(C)(C)C1 ZINC000418883479 389884905 /nfs/dbraw/zinc/88/49/05/389884905.db2.gz CCWXDNNQMSIFFY-UHFFFAOYSA-N 0 1 253.346 0.279 20 30 CCEDMN C=C(C)COCCNC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000419493681 389892696 /nfs/dbraw/zinc/89/26/96/389892696.db2.gz NBLWZQRFSCGRNM-UONOGXRCSA-N 0 1 299.415 0.676 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](O)C(C)(C)C1 ZINC000447869972 389967338 /nfs/dbraw/zinc/96/73/38/389967338.db2.gz AZEHTOUJJXEVCJ-NSHDSACASA-N 0 1 253.346 0.451 20 30 CCEDMN C=CCNC(=O)c1ccc(NCCc2nc[nH]n2)nc1 ZINC000433424020 389913168 /nfs/dbraw/zinc/91/31/68/389913168.db2.gz XDRTWBADTFOAJE-UHFFFAOYSA-N 0 1 272.312 0.192 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1ccc(C#N)c(F)c1)c1nnc[nH]1 ZINC000489671011 390101791 /nfs/dbraw/zinc/10/17/91/390101791.db2.gz YPIBLOKNFPSHBU-SSDOTTSWSA-N 0 1 295.299 0.855 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)CN1CCN(CC)CC1 ZINC000491745652 390116447 /nfs/dbraw/zinc/11/64/47/390116447.db2.gz NKVMSYWIRONZHW-ZDUSSCGKSA-N 0 1 251.374 0.542 20 30 CCEDMN C#C[C@H](NC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C)C(C)C ZINC000491772052 390116643 /nfs/dbraw/zinc/11/66/43/390116643.db2.gz SSWVZCQRVKOHFN-RDBSUJKOSA-N 0 1 281.400 0.741 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000493713367 390127532 /nfs/dbraw/zinc/12/75/32/390127532.db2.gz YWZVRQLPLODQNY-TUAOUCFPSA-N 0 1 294.355 0.177 20 30 CCEDMN CCN(C)C(=O)CN(C)CCCc1[nH]nc(N)c1C#N ZINC000535545788 390145814 /nfs/dbraw/zinc/14/58/14/390145814.db2.gz MTUUWKRVJBXZAQ-UHFFFAOYSA-N 0 1 278.360 0.037 20 30 CCEDMN Cc1nc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)ccc1C#N ZINC000539324568 390203706 /nfs/dbraw/zinc/20/37/06/390203706.db2.gz PYGXJZATAYRPQV-NSHDSACASA-N 0 1 297.322 0.795 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@](O)(CC)C1 ZINC000495209168 390225462 /nfs/dbraw/zinc/22/54/62/390225462.db2.gz AMDBWBOJNSYJPS-GXTWGEPZSA-N 0 1 267.373 0.841 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cccc3nn[nH]c32)CCO1 ZINC000543750065 390292183 /nfs/dbraw/zinc/29/21/83/390292183.db2.gz PIFOJXFBGFBIDY-QMMMGPOBSA-N 0 1 257.253 0.322 20 30 CCEDMN C#CCONC(=O)[C@H]1CCO[C@@H]1CNC(=O)OC(C)(C)C ZINC000496081587 390295969 /nfs/dbraw/zinc/29/59/69/390295969.db2.gz JPBUMYFJKFVDIK-WDEREUQCSA-N 0 1 298.339 0.597 20 30 CCEDMN C=CCC(CC=C)C(=O)NCC(=O)N1CCNCC1 ZINC000562973443 390491418 /nfs/dbraw/zinc/49/14/18/390491418.db2.gz DUASQVMUSFBOMH-UHFFFAOYSA-N 0 1 265.357 0.303 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1C[C@H](O)C(C)(C)C1 ZINC000563859365 390494236 /nfs/dbraw/zinc/49/42/36/390494236.db2.gz DSZABAOJEVZZOR-MNOVXSKESA-N 0 1 253.346 0.450 20 30 CCEDMN N#Cc1nccc(NC[C@H]2CCCC[N@@H+]2CCO)n1 ZINC000566483550 390620285 /nfs/dbraw/zinc/62/02/85/390620285.db2.gz VIQBKHVZIDEUJF-LLVKDONJSA-N 0 1 261.329 0.029 20 30 CCEDMN N#CCN1CCN(C(=O)c2cc(-c3ccoc3)[nH]n2)CC1 ZINC000525289453 390663521 /nfs/dbraw/zinc/66/35/21/390663521.db2.gz OUKYOTKWJHYWSX-UHFFFAOYSA-N 0 1 285.307 0.951 20 30 CCEDMN C[C@H]1CN(CCNCc2nc(C#N)cs2)CCO1 ZINC000567653252 390708532 /nfs/dbraw/zinc/70/85/32/390708532.db2.gz OUDJJWYKDLNWQY-JTQLQIEISA-N 0 1 266.370 0.825 20 30 CCEDMN CC[C@@H](CC#N)NCCN1C(=O)NC(C)(C)C1=O ZINC000569937640 390716877 /nfs/dbraw/zinc/71/68/77/390716877.db2.gz LSBVKFRBKJGPKO-VIFPVBQESA-N 0 1 252.318 0.599 20 30 CCEDMN N#CCc1ccc(CN2CC[C@H]3OCC(=O)N[C@@H]3C2)cc1 ZINC000570177479 390717975 /nfs/dbraw/zinc/71/79/75/390717975.db2.gz JYLYQNCADSEASS-HUUCEWRRSA-N 0 1 285.347 0.842 20 30 CCEDMN C=C[C@@H](O)C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000646621088 390700807 /nfs/dbraw/zinc/70/08/07/390700807.db2.gz QUYSNZOTOKRAHP-GRYCIOLGSA-N 0 1 256.346 0.149 20 30 CCEDMN N#Cc1cccc(CNC(=O)NC[C@@H]2COCCN2)c1 ZINC000529288075 390802324 /nfs/dbraw/zinc/80/23/24/390802324.db2.gz RYEFSUWVNCOHRE-CYBMUJFWSA-N 0 1 274.324 0.346 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CC2(C#N)CC2)C[C@]2(CCOC2)O1 ZINC000528484605 390749154 /nfs/dbraw/zinc/74/91/54/390749154.db2.gz ISJGVLBQNYLOIP-NFAWXSAZSA-N 0 1 280.368 0.921 20 30 CCEDMN O=C1c2ccccc2C(=O)N1CC#CCN1CCC[C@H](O)C1 ZINC000528485455 390749235 /nfs/dbraw/zinc/74/92/35/390749235.db2.gz NEJLJAOUVNJMTP-ZDUSSCGKSA-N 0 1 298.342 0.743 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000529912673 390873011 /nfs/dbraw/zinc/87/30/11/390873011.db2.gz BMELNEVCLWYCHR-LBPRGKRZSA-N 0 1 265.357 0.859 20 30 CCEDMN N#Cc1c2c(cnc1NCCN1CCCOCC1)CCC2 ZINC000578524870 390890198 /nfs/dbraw/zinc/89/01/98/390890198.db2.gz ADSQLIGTRIDPPL-UHFFFAOYSA-N 0 1 286.379 0.998 20 30 CCEDMN CCN1CCN(C(=O)CNc2ccc(C#N)cn2)[C@H](C)C1 ZINC000341781284 130042895 /nfs/dbraw/zinc/04/28/95/130042895.db2.gz VXEKDWGJXHCILH-GFCCVEGCSA-N 0 1 287.367 0.340 20 30 CCEDMN Cn1cc(N2CC[C@@H](NCc3cc(C#N)n(C)c3)C2=O)cn1 ZINC000191114956 130185569 /nfs/dbraw/zinc/18/55/69/130185569.db2.gz FESYVSBBSXYAQQ-CQSZACIVSA-N 0 1 298.350 0.525 20 30 CCEDMN C[C@@H]1CN2CCCC[C@H]2CN1C(=O)c1cnn(C)c1C#N ZINC000356143557 143976452 /nfs/dbraw/zinc/97/64/52/143976452.db2.gz BLOFJFIPDCIJAT-NEPJUHHUSA-N 0 1 287.367 0.991 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](C)[C@H](O)C1 ZINC000351775808 397462210 /nfs/dbraw/zinc/46/22/10/397462210.db2.gz WKZDGFKCPVCJHC-IJLUTSLNSA-N 0 1 253.346 0.307 20 30 CCEDMN N#Cc1ccncc1N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000373179204 144395673 /nfs/dbraw/zinc/39/56/73/144395673.db2.gz YBGXVBYLOCXYRP-DZGCQCFKSA-N 0 1 272.352 0.989 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@H]2CCCC[C@@H]2O)CC1 ZINC000649472283 397617105 /nfs/dbraw/zinc/61/71/05/397617105.db2.gz KDRMBMOSGDFZGW-GJZGRUSLSA-N 0 1 295.427 0.457 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000649537645 397630498 /nfs/dbraw/zinc/63/04/98/397630498.db2.gz FKKOZRUJWVGZOK-ZJUUUORDSA-N 0 1 253.302 0.892 20 30 CCEDMN C=CCCCS(=O)(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000651885680 411950493 /nfs/dbraw/zinc/95/04/93/411950493.db2.gz SSBRORBQQMTLJD-UHFFFAOYSA-N 0 1 299.400 0.218 20 30 CCEDMN C=CCCn1cc(CN2CCN(CCO)C[C@H]2C)nn1 ZINC000653756017 412341771 /nfs/dbraw/zinc/34/17/71/412341771.db2.gz PGKYZENIIPICRB-CYBMUJFWSA-N 0 1 279.388 0.353 20 30 CCEDMN COc1ccc(CN2CCC[C@](O)(C(N)=O)C2)cc1C#N ZINC000285024741 196180379 /nfs/dbraw/zinc/18/03/79/196180379.db2.gz ZXSZFXAZEIOIPX-OAHLLOKOSA-N 0 1 289.335 0.379 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000035301540 172115475 /nfs/dbraw/zinc/11/54/75/172115475.db2.gz MRJVSZYIXCIXNM-LLVKDONJSA-N 0 1 254.330 0.960 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000035301540 172115476 /nfs/dbraw/zinc/11/54/76/172115476.db2.gz MRJVSZYIXCIXNM-LLVKDONJSA-N 0 1 254.330 0.960 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@H](CN2CCCC2=O)C1 ZINC000662058594 414689169 /nfs/dbraw/zinc/68/91/69/414689169.db2.gz CPJFGJDPJSROSC-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCC1(c2ccccc2)CC1 ZINC000662165645 414694953 /nfs/dbraw/zinc/69/49/53/414694953.db2.gz KJFPAPKDEFMLES-CQSZACIVSA-N 0 1 274.364 0.971 20 30 CCEDMN C=C[C@H](CO)N[C@@H]1CCN(CC(F)(F)F)C1=O ZINC000662165816 414695001 /nfs/dbraw/zinc/69/50/01/414695001.db2.gz QKKCMIHXQQCTAT-HTQZYQBOSA-N 0 1 252.236 0.286 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCOc1cccc(Cl)c1 ZINC000662167089 414695032 /nfs/dbraw/zinc/69/50/32/414695032.db2.gz SGYKMUKTEJNJDV-GFCCVEGCSA-N 0 1 298.770 0.972 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NCCCc1nc[nH]n1 ZINC000665182150 415561811 /nfs/dbraw/zinc/56/18/11/415561811.db2.gz GNPNMVFDVFALPY-QWRGUYRKSA-N 0 1 279.344 0.628 20 30 CCEDMN Cc1cc(=NC(=O)N[C@H](C)c2nnc[nH]2)[nH]n1CCC#N ZINC000352827129 227301181 /nfs/dbraw/zinc/30/11/81/227301181.db2.gz MDMFCJBOWZPKLN-SECBINFHSA-N 0 1 288.315 0.528 20 30 CCEDMN NC(=O)[C@@H]1CN(C(=O)N[C@@H]2CCCc3cn[nH]c32)CCO1 ZINC000330114580 228042813 /nfs/dbraw/zinc/04/28/13/228042813.db2.gz DZQGQGSZNKUQFD-ZJUUUORDSA-N 0 1 293.327 0.937 20 30 CCEDMN Cc1nnsc1CNC([O-])=[NH+][C@H]1CCc2ncnn2C1 ZINC000330169123 228054635 /nfs/dbraw/zinc/05/46/35/228054635.db2.gz BFGBLIDZRIMZFC-QMMMGPOBSA-N 0 1 293.356 0.457 20 30 CCEDMN Cc1nnc2n1C[C@@H](CNC(=O)N[C@@H]1CCO[C@@H]1C)CC2 ZINC000330179362 228057074 /nfs/dbraw/zinc/05/70/74/228057074.db2.gz FIHYHRROVHRYNH-YUSALJHKSA-N 0 1 293.371 0.830 20 30 CCEDMN Cc1nnc2n1C[C@@H](C[NH+]=C([O-])N[C@@H]1CCO[C@@H]1C)CC2 ZINC000330179362 228057077 /nfs/dbraw/zinc/05/70/77/228057077.db2.gz FIHYHRROVHRYNH-YUSALJHKSA-N 0 1 293.371 0.830 20 30 CCEDMN O=C(NCCn1cncn1)N1CC[C@@H](O)C12CCCC2 ZINC000330355276 228164260 /nfs/dbraw/zinc/16/42/60/228164260.db2.gz QLXJZGPVBSNTAZ-LLVKDONJSA-N 0 1 279.344 0.572 20 30 CCEDMN O=C(NC[C@H]1COCCO1)N1CCN(CC2CC2)CC1 ZINC000328797591 545019033 /nfs/dbraw/zinc/01/90/33/545019033.db2.gz FFRKGKJWSJZSNU-ZDUSSCGKSA-N 0 1 283.372 0.343 20 30 CCEDMN O=C(NC[C@H]1COCCO1)N1CCN(C2CCC2)CC1 ZINC000329397932 545022197 /nfs/dbraw/zinc/02/21/97/545022197.db2.gz WTLNXZYCJXIAOZ-ZDUSSCGKSA-N 0 1 283.372 0.486 20 30 CCEDMN C#CCCN1CCN(c2cc3nncn3c(C)n2)CC1 ZINC000298111278 260144474 /nfs/dbraw/zinc/14/44/74/260144474.db2.gz BBHFZDAXZNUFEC-UHFFFAOYSA-N 0 1 270.340 0.578 20 30 CCEDMN CCNS(=O)(=O)[C@@H]1CCN([C@@H]2CC[C@@H](C#N)C2)C1 ZINC000407993402 260155926 /nfs/dbraw/zinc/15/59/26/260155926.db2.gz OLZZUWCMSSQFTN-IJLUTSLNSA-N 0 1 271.386 0.692 20 30 CCEDMN C[C@@H]1C[C@@H](O)C[C@H](C)N1CC(=O)N(CCC#N)CCC#N ZINC000249082548 261245506 /nfs/dbraw/zinc/24/55/06/261245506.db2.gz SRBNWFOFVPZNQK-BTTYYORXSA-N 0 1 292.383 0.876 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(CC)C(=O)[C@@H]2C)CC1 ZINC000491045468 261309348 /nfs/dbraw/zinc/30/93/48/261309348.db2.gz LJAULYVTQOUKRM-ZDUSSCGKSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC000491231209 261381712 /nfs/dbraw/zinc/38/17/12/261381712.db2.gz REGXRUMNISLAJD-LJISPDSOSA-N 0 1 293.363 0.679 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cn(C)nc2-c2cnn(C)c2)=NO1 ZINC000364156319 262160054 /nfs/dbraw/zinc/16/00/54/262160054.db2.gz NGFZQLNCIBCJKN-MRVPVSSYSA-N 0 1 288.311 0.673 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1C[C@@H](O)C2(CC2)C1 ZINC000364320545 262201393 /nfs/dbraw/zinc/20/13/93/262201393.db2.gz XPSOQZKSPMWVQQ-WDEREUQCSA-N 0 1 251.330 0.204 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1C[C@@H](O)C[C@H]1COC ZINC000411919519 262709365 /nfs/dbraw/zinc/70/93/65/262709365.db2.gz GZCQRLLQXBKSRK-RDBSUJKOSA-N 0 1 284.400 0.881 20 30 CCEDMN C[C@@H](N[C@@H](C)c1cnn(C)c1)C(=O)N(C)CCC#N ZINC000273371803 263016168 /nfs/dbraw/zinc/01/61/68/263016168.db2.gz LBCVVWQCZFAQAM-WDEREUQCSA-N 0 1 263.345 0.831 20 30 CCEDMN CO/N=C/C(=O)NCCN1CCc2ccccc2C1 ZINC000283129868 263081576 /nfs/dbraw/zinc/08/15/76/263081576.db2.gz ZOCQRZYXKWFGSX-MHWRWJLKSA-N 0 1 261.325 0.793 20 30 CCEDMN N#C[C@H]1CC[C@H](N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000292877588 263135888 /nfs/dbraw/zinc/13/58/88/263135888.db2.gz TWINHIVBOZMFKA-JSGCOSHPSA-N 0 1 299.378 0.995 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCC#N)CCC#N)CC1 ZINC000299309461 263238785 /nfs/dbraw/zinc/23/87/85/263238785.db2.gz DJJGFVUBFXKHJF-UHFFFAOYSA-N 0 1 272.352 0.988 20 30 CCEDMN CCN(CC(=O)N1CCSC[C@@H]1C#N)C1CC1 ZINC000342101788 263387516 /nfs/dbraw/zinc/38/75/16/263387516.db2.gz GRPPEXFYLJBYIM-NSHDSACASA-N 0 1 253.371 0.938 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H]1C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC000960498768 649854780 /nfs/dbraw/zinc/85/47/80/649854780.db2.gz DCQBICRQAXLVIJ-NXEZZACHSA-N 0 1 292.343 0.093 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC000960498768 649854783 /nfs/dbraw/zinc/85/47/83/649854783.db2.gz DCQBICRQAXLVIJ-NXEZZACHSA-N 0 1 292.343 0.093 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000416305518 263690419 /nfs/dbraw/zinc/69/04/19/263690419.db2.gz IXWVHFMOMMNJGW-SCRDCRAPSA-N 0 1 270.373 0.227 20 30 CCEDMN C[C@@H](CS(C)(=O)=O)NC(=O)N(C)CCn1cccn1 ZINC000330759035 264031233 /nfs/dbraw/zinc/03/12/33/264031233.db2.gz JYGLSOCFUDKALA-JTQLQIEISA-N 0 1 288.373 0.162 20 30 CCEDMN CC[C@H]1COCCN1C(=O)NCCn1cncn1 ZINC000330832473 264050516 /nfs/dbraw/zinc/05/05/16/264050516.db2.gz YWJORPCAVCFJSU-JTQLQIEISA-N 0 1 253.306 0.303 20 30 CCEDMN COCc1ncc(C(=O)NCCN2CCCCC2)c(N)n1 ZINC000331030169 264100575 /nfs/dbraw/zinc/10/05/75/264100575.db2.gz FKQHJEMJXHBWSC-UHFFFAOYSA-N 0 1 293.371 0.826 20 30 CCEDMN C[C@H](CNC(=O)CC(C)(C)C#N)N1CCN(C)CC1 ZINC000330989387 264104342 /nfs/dbraw/zinc/10/43/42/264104342.db2.gz ZQFOPCFDTNTFPJ-GFCCVEGCSA-N 0 1 266.389 0.678 20 30 CCEDMN C[C@@H]1CN(CCCNC(=O)NCCC#N)C[C@@H](C)O1 ZINC000331174819 264147373 /nfs/dbraw/zinc/14/73/73/264147373.db2.gz WRKMLYSGKCOTGL-VXGBXAGGSA-N 0 1 268.361 0.903 20 30 CCEDMN CN(C)C(=O)NCCN(C)Cc1cc(C#N)n(C)c1 ZINC000331180043 264155332 /nfs/dbraw/zinc/15/53/32/264155332.db2.gz RERDZERYIDNZFF-UHFFFAOYSA-N 0 1 263.345 0.804 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H](C)N(C)C[C@@H]1C ZINC000334001531 264232615 /nfs/dbraw/zinc/23/26/15/264232615.db2.gz WXTUKSRVHIYVMI-MNOVXSKESA-N 0 1 268.361 0.023 20 30 CCEDMN COC(=O)[C@@H]1CCN(C(=O)C(C)C#N)[C@H](C(=O)OC)C1 ZINC000357446419 266297315 /nfs/dbraw/zinc/29/73/15/266297315.db2.gz BJBWHQVOGBTFIL-BBBLOLIVSA-N 0 1 282.296 0.099 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@]2(CNC(=O)C2)C1 ZINC000369380202 267239089 /nfs/dbraw/zinc/23/90/89/267239089.db2.gz RYVOQTAOWFODML-IUODEOHRSA-N 0 1 292.383 0.207 20 30 CCEDMN Cc1cc(NCCN2CCN(C)CC2)c(C#N)cn1 ZINC000399434377 267300591 /nfs/dbraw/zinc/30/05/91/267300591.db2.gz JXXGZDXCGQBROJ-UHFFFAOYSA-N 0 1 259.357 0.343 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)c2cnn(C)c2C#N)CCCN1C ZINC000356741322 279125202 /nfs/dbraw/zinc/12/52/02/279125202.db2.gz RDFKIMUNEJMATO-MWLCHTKSSA-N 0 1 261.329 0.504 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(CO)(OC)CC1 ZINC000401720302 545090193 /nfs/dbraw/zinc/09/01/93/545090193.db2.gz NHFKOKJYODSFJC-NSHDSACASA-N 0 1 256.346 0.150 20 30 CCEDMN C[C@H](C[NH+]1CCOCC1)C(=O)Nc1nc(C#N)c(C#N)[n-]1 ZINC000273135266 298628421 /nfs/dbraw/zinc/62/84/21/298628421.db2.gz VRRMLSLMMWEIOS-SECBINFHSA-N 0 1 288.311 0.060 20 30 CCEDMN N#CC1CN(C(=O)c2cc(Br)[nH]n2)C1 ZINC000854645233 644240931 /nfs/dbraw/zinc/24/09/31/644240931.db2.gz NTRZGRXTDCLGOG-UHFFFAOYSA-N 0 1 255.075 0.768 20 30 CCEDMN N#CCC[C@H](C#N)CNCCC(=O)N1CCOCC1 ZINC000570920351 327634851 /nfs/dbraw/zinc/63/48/51/327634851.db2.gz IBMCKKZAAPIDRV-GFCCVEGCSA-N 0 1 264.329 0.268 20 30 CCEDMN C[C@@H]1[C@H](CO)CCCN1CC(=O)NC1(C#N)CCC1 ZINC000412061889 328103706 /nfs/dbraw/zinc/10/37/06/328103706.db2.gz ALNJTFDTJVTPDY-NEPJUHHUSA-N 0 1 265.357 0.642 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(CCOCCO)C(C)C ZINC000283744604 328128721 /nfs/dbraw/zinc/12/87/21/328128721.db2.gz JCALMPKDPFJTKP-UHFFFAOYSA-N 0 1 284.400 0.906 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(C(=O)N(C)C(C)C)CC1 ZINC000030977987 327064097 /nfs/dbraw/zinc/06/40/97/327064097.db2.gz OBTYESAKGGWAEG-ZDUSSCGKSA-N 0 1 293.411 0.703 20 30 CCEDMN C=CCN(C)C(=O)NCCCCN1CCOCC1 ZINC000153898108 327368511 /nfs/dbraw/zinc/36/85/11/327368511.db2.gz QPUGYWVRJMIMTF-UHFFFAOYSA-N 0 1 255.362 0.926 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCC[C@@H](C(N)=O)C2)CC1 ZINC000527290527 327566366 /nfs/dbraw/zinc/56/63/66/327566366.db2.gz GOEWHAMNLHAUES-VXGBXAGGSA-N 0 1 292.383 0.382 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC(C(=O)N(C)C)CC1 ZINC000052658862 328648003 /nfs/dbraw/zinc/64/80/03/328648003.db2.gz LJLIEQNKUWGKFB-GFCCVEGCSA-N 0 1 294.399 0.547 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(C(=O)NCCC)CC1 ZINC000042687212 328770288 /nfs/dbraw/zinc/77/02/88/328770288.db2.gz QRGDAVXIDFGCAI-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](CC(N)=O)C1 ZINC000377607538 329020022 /nfs/dbraw/zinc/02/00/22/329020022.db2.gz QJQLPDJCUJEFCZ-RYUDHWBXSA-N 0 1 280.372 0.192 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@@H](CC(N)=O)C1 ZINC000377607538 329020023 /nfs/dbraw/zinc/02/00/23/329020023.db2.gz QJQLPDJCUJEFCZ-RYUDHWBXSA-N 0 1 280.372 0.192 20 30 CCEDMN CN(CC#N)C(=O)[C@@H]1CCC[N@H+]1C1CCOCC1 ZINC000378187915 329042740 /nfs/dbraw/zinc/04/27/40/329042740.db2.gz BEGVXVMKEBCZOH-LBPRGKRZSA-N 0 1 251.330 0.612 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)CN1CCC(C)(C)C1 ZINC000584359421 329104007 /nfs/dbraw/zinc/10/40/07/329104007.db2.gz BPTREOUPNVCTOH-ZDUSSCGKSA-N 0 1 253.346 0.763 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CNC1(C(N)=O)CCCCC1 ZINC000177124289 329181075 /nfs/dbraw/zinc/18/10/75/329181075.db2.gz VJGQNOKTXWZHKA-AWEZNQCLSA-N 0 1 294.399 0.819 20 30 CCEDMN C=C(C)CN(C)CC(=O)NCC(C)(C)N1CCOCC1 ZINC000181258094 329228002 /nfs/dbraw/zinc/22/80/02/329228002.db2.gz ZPUSSCAPDMMXLM-UHFFFAOYSA-N 0 1 283.416 0.721 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCN(C)CC1)n1cccn1 ZINC000221237052 329248917 /nfs/dbraw/zinc/24/89/17/329248917.db2.gz XNUAOPRJWFBWBF-LLVKDONJSA-N 0 1 261.329 0.548 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NCCN1CCN(C)CC1 ZINC000184945174 329265828 /nfs/dbraw/zinc/26/58/28/329265828.db2.gz BLSYWCVWDUGLBZ-CYBMUJFWSA-N 0 1 253.390 0.952 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCSC2(CCOCC2)C1 ZINC000186845484 329350792 /nfs/dbraw/zinc/35/07/92/329350792.db2.gz ZRWGROYREBNWDQ-GFCCVEGCSA-N 0 1 282.409 0.722 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCSC2(CCOCC2)C1 ZINC000186845484 329350793 /nfs/dbraw/zinc/35/07/93/329350793.db2.gz ZRWGROYREBNWDQ-GFCCVEGCSA-N 0 1 282.409 0.722 20 30 CCEDMN C=C(C)CN1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000190374574 329395714 /nfs/dbraw/zinc/39/57/14/329395714.db2.gz KFNRAAFJVBAEQD-ZDUSSCGKSA-N 0 1 290.429 0.935 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC2CCC(C#N)CC2)CCN1 ZINC000418894974 329681423 /nfs/dbraw/zinc/68/14/23/329681423.db2.gz SHMRFMZSDQHXAU-PNESKVBLSA-N 0 1 292.383 0.253 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)NCC2CCC(C#N)CC2)CCN1 ZINC000418894973 329681504 /nfs/dbraw/zinc/68/15/04/329681504.db2.gz SHMRFMZSDQHXAU-HIFPTAJRSA-N 0 1 292.383 0.253 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000422987471 330162983 /nfs/dbraw/zinc/16/29/83/330162983.db2.gz IPEMYKIYKRDCMP-NSHDSACASA-N 0 1 253.346 0.231 20 30 CCEDMN C[C@@H]1OCC[C@]12CN(C[C@H](O)CC1(C#N)CC1)CCO2 ZINC000528494694 330273969 /nfs/dbraw/zinc/27/39/69/330273969.db2.gz XMRWXLGHRDZOAT-GUTXKFCHSA-N 0 1 280.368 0.921 20 30 CCEDMN C=CCn1cc(CN[C@@H](COC)C2CCOCC2)nn1 ZINC000424290432 330334579 /nfs/dbraw/zinc/33/45/79/330334579.db2.gz BTZDELQNGDMQIG-AWEZNQCLSA-N 0 1 280.372 0.995 20 30 CCEDMN C=CCN(C)[C@@H](C)C(=O)N1CCN(C(C)=O)CC1 ZINC000121390414 330399936 /nfs/dbraw/zinc/39/99/36/330399936.db2.gz ZFKJEKMSYGJUBS-NSHDSACASA-N 0 1 253.346 0.183 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@](C)(COC)C1 ZINC000451197752 331020136 /nfs/dbraw/zinc/02/01/36/331020136.db2.gz ZCESUKQDHLNATQ-ZDUSSCGKSA-N 0 1 269.345 0.357 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)CC(C)(C)CO ZINC000451239392 331021598 /nfs/dbraw/zinc/02/15/98/331021598.db2.gz BFEHHTVLWRXWPH-CQSZACIVSA-N 0 1 267.373 0.745 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](C(=O)N(C)CC)C(C)C ZINC000451309661 331024035 /nfs/dbraw/zinc/02/40/35/331024035.db2.gz GQCQUJLGDWXJEY-LBPRGKRZSA-N 0 1 298.387 0.091 20 30 CCEDMN CO[C@@H]1C[C@H](N(C)CC(=O)NCC#N)C12CCC2 ZINC000451863630 331045329 /nfs/dbraw/zinc/04/53/29/331045329.db2.gz ZBAIBPKRMAJOIR-WDEREUQCSA-N 0 1 251.330 0.516 20 30 CCEDMN C=C(C)CCNC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000452726458 331074385 /nfs/dbraw/zinc/07/43/85/331074385.db2.gz VCULKBOBRQSUQC-UHFFFAOYSA-N 0 1 274.328 0.872 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)[C@H](C)CN1CCOCC1 ZINC000456766738 331201663 /nfs/dbraw/zinc/20/16/63/331201663.db2.gz LKXYIRUIDFQPFY-CHWSQXEVSA-N 0 1 270.373 0.398 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000456766750 331201678 /nfs/dbraw/zinc/20/16/78/331201678.db2.gz LKXYIRUIDFQPFY-STQMWFEESA-N 0 1 270.373 0.398 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](C(=O)OC)[C@@H]2C)CC1 ZINC000490715481 332107913 /nfs/dbraw/zinc/10/79/13/332107913.db2.gz WXCZBOOYIDGAPY-JSGCOSHPSA-N 0 1 292.379 0.742 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@H](C)[C@H](OC)C2)CC1 ZINC000490720962 332109056 /nfs/dbraw/zinc/10/90/56/332109056.db2.gz UZDVDFZJHNHKSN-LSDHHAIUSA-N 0 1 293.411 0.121 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)COC[C@H]1CCCO1 ZINC000490874681 332123784 /nfs/dbraw/zinc/12/37/84/332123784.db2.gz NIKBGUYRFLIHBC-ZIAGYGMSSA-N 0 1 281.352 0.823 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CC(=O)N[C@H](C)C1 ZINC000490949914 332132370 /nfs/dbraw/zinc/13/23/70/332132370.db2.gz MXUJMGHCXFGXKF-FRRDWIJNSA-N 0 1 278.352 0.542 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H]2CCN(CC=C)C2=O)CC1 ZINC000491143799 332155582 /nfs/dbraw/zinc/15/55/82/332155582.db2.gz GOLAEKRWHBZBDR-CQSZACIVSA-N 0 1 289.379 0.235 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](COC(F)F)C1 ZINC000491178647 332160719 /nfs/dbraw/zinc/16/07/19/332160719.db2.gz AXKLMJFPZDGOQI-VHSXEESVSA-N 0 1 260.284 0.685 20 30 CCEDMN C#CCNC(=O)CCN(C)[C@H]1CCN(c2ccccc2)C1=O ZINC000491201318 332164454 /nfs/dbraw/zinc/16/44/54/332164454.db2.gz GJWISQQEFZPTBO-HNNXBMFYSA-N 0 1 299.374 0.863 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@H](OC)C[C@H]2C)CC1 ZINC000491325939 332190074 /nfs/dbraw/zinc/19/00/74/332190074.db2.gz IIYMJBPVJPGCFA-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(OCC)C2)CC1 ZINC000491395797 332204269 /nfs/dbraw/zinc/20/42/69/332204269.db2.gz VCWZJPVFIBGJHY-UHFFFAOYSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(CC#C)C(=O)C(=O)Nc1cc2cn[nH]c2cc1C ZINC000491633415 332255144 /nfs/dbraw/zinc/25/51/44/332255144.db2.gz PTKBVWLJJHLKMO-UHFFFAOYSA-N 0 1 294.314 0.905 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C[C@H](C)O)C[C@H]1C)C(C)C ZINC000491661886 332271575 /nfs/dbraw/zinc/27/15/75/332271575.db2.gz BGEYHNLIYFDSDQ-RDBSUJKOSA-N 0 1 281.400 0.741 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000491669740 332277040 /nfs/dbraw/zinc/27/70/40/332277040.db2.gz NWTVHMSOAWGGQF-OLZOCXBDSA-N 0 1 266.389 0.333 20 30 CCEDMN C#CCNC(=O)CCN(C)[C@H](C)C(=O)NC(C)(C)C ZINC000491784488 332347789 /nfs/dbraw/zinc/34/77/89/332347789.db2.gz QTKGBTJMDXEALT-LLVKDONJSA-N 0 1 267.373 0.361 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC2(C)CCC2)CC1 ZINC000491802961 332356827 /nfs/dbraw/zinc/35/68/27/332356827.db2.gz HOLCIFRLUCLENR-UHFFFAOYSA-N 0 1 263.385 0.544 20 30 CCEDMN CCc1cccc(OC[C@H](O)CNCC#CCO)c1 ZINC000566232923 334637524 /nfs/dbraw/zinc/63/75/24/334637524.db2.gz FHQPQEZKVMDZRT-CQSZACIVSA-N 0 1 263.337 0.574 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NS(=O)(=O)CCN1CCCC1 ZINC000567191258 334689059 /nfs/dbraw/zinc/68/90/59/334689059.db2.gz BFEGTRAGOWTZLX-NEPJUHHUSA-N 0 1 274.386 0.345 20 30 CCEDMN C[C@@H]1C[N@H+](C[C@H](O)CNCC2(C#N)CCC2)C[C@H](C)O1 ZINC000517126497 333093153 /nfs/dbraw/zinc/09/31/53/333093153.db2.gz GQKHWJNRDSXZIA-HZSPNIEDSA-N 0 1 281.400 0.740 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CNCC2(C#N)CCC2)C[C@H](C)O1 ZINC000517126497 333093154 /nfs/dbraw/zinc/09/31/54/333093154.db2.gz GQKHWJNRDSXZIA-HZSPNIEDSA-N 0 1 281.400 0.740 20 30 CCEDMN CNC(=O)[C@@H](NCC(=O)N[C@@](C)(C#N)C1CC1)C(C)C ZINC000183639939 333121600 /nfs/dbraw/zinc/12/16/00/333121600.db2.gz IXQBOTVUJXVEPH-JSGCOSHPSA-N 0 1 280.372 0.155 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000185494835 333141870 /nfs/dbraw/zinc/14/18/70/333141870.db2.gz JHOOEZDWGNPSHV-AWEZNQCLSA-N 0 1 265.401 0.932 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@@H](C)[C@@H]1C(=O)[O-] ZINC000235285363 333367294 /nfs/dbraw/zinc/36/72/94/333367294.db2.gz MATYUBKRPLQLQE-MWLCHTKSSA-N 0 1 283.328 0.183 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@@H](C)[C@@H]1C(=O)[O-] ZINC000235285363 333367295 /nfs/dbraw/zinc/36/72/95/333367295.db2.gz MATYUBKRPLQLQE-MWLCHTKSSA-N 0 1 283.328 0.183 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@]1(C)CO ZINC000546810530 334051038 /nfs/dbraw/zinc/05/10/38/334051038.db2.gz FXTXCCQPRLCKOU-JSGCOSHPSA-N 0 1 267.373 0.841 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@H](CO)C(C)(C)C ZINC000134419243 334090299 /nfs/dbraw/zinc/09/02/99/334090299.db2.gz ZJABWXLGWQXQLE-SNVBAGLBSA-N 0 1 271.361 0.337 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C[C@H](C)O)[C@H](C)CO ZINC000248995315 334324227 /nfs/dbraw/zinc/32/42/27/334324227.db2.gz XXXKJIARLZOSPD-DYEKYZERSA-N 0 1 285.388 0.104 20 30 CCEDMN COCCO[C@@H]1CCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000249331176 334331873 /nfs/dbraw/zinc/33/18/73/334331873.db2.gz HQHALLQZMJQOAP-QWHCGFSZSA-N 0 1 283.372 0.484 20 30 CCEDMN N#CCC[C@@H](C#N)CNCCn1cc([N+](=O)[O-])cn1 ZINC000567101034 334681044 /nfs/dbraw/zinc/68/10/44/334681044.db2.gz LCTOWNHIVUPLRA-JTQLQIEISA-N 0 1 262.273 0.824 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CNC1(CC(=O)OC)CC1 ZINC000576350484 335193141 /nfs/dbraw/zinc/19/31/41/335193141.db2.gz QBPZQODPJJCUSH-NSHDSACASA-N 0 1 281.356 0.680 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)C(=O)N1CCC(CCC#N)CC1 ZINC000578237425 335590351 /nfs/dbraw/zinc/59/03/51/335590351.db2.gz MYWFIQBSSXOENL-GFCCVEGCSA-N 0 1 292.383 0.349 20 30 CCEDMN CC1([N-]S(=O)(=O)c2ccc(C#N)o2)CC[NH2+]CC1 ZINC000581559741 336103118 /nfs/dbraw/zinc/10/31/18/336103118.db2.gz KOBUIFDXUZBAAU-UHFFFAOYSA-N 0 1 269.326 0.572 20 30 CCEDMN C[C@H](CNC(=O)c1cnccc1C#N)N1CCN(C)CC1 ZINC000358484453 534119598 /nfs/dbraw/zinc/11/95/98/534119598.db2.gz RJJAGIDZBTZIJX-GFCCVEGCSA-N 0 1 287.367 0.319 20 30 CCEDMN CC#CCN1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC000352550756 526362745 /nfs/dbraw/zinc/36/27/45/526362745.db2.gz QKHMYOVYROOCOP-GFCCVEGCSA-N 0 1 258.387 0.613 20 30 CCEDMN C=C[C@](C)(O)C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000665816590 545108057 /nfs/dbraw/zinc/10/80/57/545108057.db2.gz BYNQLZVUTKIMSL-SCRDCRAPSA-N 0 1 270.373 0.539 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000350899673 526479399 /nfs/dbraw/zinc/47/93/99/526479399.db2.gz ZUOVVUNRGWXKOD-LLVKDONJSA-N 0 1 276.340 0.938 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000332401589 526679652 /nfs/dbraw/zinc/67/96/52/526679652.db2.gz KKXUUXUOSCTQSW-SECBINFHSA-N 0 1 256.331 0.500 20 30 CCEDMN C=CCOCCCC(=O)NC(C)(C)c1nn[nH]n1 ZINC000354769610 526736836 /nfs/dbraw/zinc/73/68/36/526736836.db2.gz CNECSYPHSRFGDI-UHFFFAOYSA-N 0 1 253.306 0.534 20 30 CCEDMN C=CCOCCCN1CCN(CC(=O)N2CCCC2)CC1 ZINC000347966356 526755665 /nfs/dbraw/zinc/75/56/65/526755665.db2.gz FQDMZCQNOYMXEW-UHFFFAOYSA-N 0 1 295.427 0.819 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(CC)C[C@H]1C ZINC000352664829 528358942 /nfs/dbraw/zinc/35/89/42/528358942.db2.gz DALHHUWQKKVZKS-CYBMUJFWSA-N 0 1 266.389 0.775 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000352509801 528394576 /nfs/dbraw/zinc/39/45/76/528394576.db2.gz HVGUVJORYLOUFE-MRVPVSSYSA-N 0 1 286.361 0.244 20 30 CCEDMN CC[C@H]1CN(C(=O)N[C@H]2[C@@H]3COC[C@@H]32)CCN1CCOC ZINC000329992100 529259546 /nfs/dbraw/zinc/25/95/46/529259546.db2.gz ALXVWVXBIFBTLU-IGQOVBAYSA-N 0 1 297.399 0.588 20 30 CCEDMN C=CCC[C@@H](O)CN(C)CCN1CCCS1(=O)=O ZINC000675499695 545327166 /nfs/dbraw/zinc/32/71/66/545327166.db2.gz XFCHBLJBQRYKFD-GFCCVEGCSA-N 0 1 276.402 0.281 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@@H](CO)[C@@H](O)C1 ZINC000676560641 545346439 /nfs/dbraw/zinc/34/64/39/545346439.db2.gz GAEHVAWRUUILAD-IHRRRGAJSA-N 0 1 284.400 0.475 20 30 CCEDMN CCN(CC)C(=O)CN1CCCN(CC#CCOC)CC1 ZINC000677816820 545373109 /nfs/dbraw/zinc/37/31/09/545373109.db2.gz CRJUPYSRKQSVEB-UHFFFAOYSA-N 0 1 295.427 0.512 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN(CCO)C(C)(C)C1 ZINC000682036070 545470930 /nfs/dbraw/zinc/47/09/30/545470930.db2.gz YCHHCLZRVGXYEX-UHFFFAOYSA-N 0 1 295.427 0.576 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C(C)(C)CO)CC2)C1=O ZINC000685002822 545783921 /nfs/dbraw/zinc/78/39/21/545783921.db2.gz OKXUENLOSJQQPW-ZDUSSCGKSA-N 0 1 281.400 0.162 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC000791422123 581220895 /nfs/dbraw/zinc/22/08/95/581220895.db2.gz JTGJNDMMZKEKOP-NEPJUHHUSA-N 0 1 270.398 0.944 20 30 CCEDMN CNC(=O)CNC(=S)NN=C(C)CN1CCCCCC1 ZINC000777437513 581115869 /nfs/dbraw/zinc/11/58/69/581115869.db2.gz PWVMUKPKTIZZLE-UHFFFAOYSA-N 0 1 299.444 0.448 20 30 CCEDMN COCCN(C)c1ccc(C=NN[C@H](C)CO)cn1 ZINC000790167456 581178770 /nfs/dbraw/zinc/17/87/70/581178770.db2.gz SSFBZUBEANMJQQ-LLVKDONJSA-N 0 1 266.345 0.469 20 30 CCEDMN CCN(C)c1ccc(CN=Nc2ccc(C(N)=O)nn2)cn1 ZINC000790167026 581178874 /nfs/dbraw/zinc/17/88/74/581178874.db2.gz HWXKUOXPWBLRSK-UHFFFAOYSA-N 0 1 299.338 0.873 20 30 CCEDMN COC(=O)Cn1cccc1C=NNc1nccn1C ZINC000790305623 581183207 /nfs/dbraw/zinc/18/32/07/581183207.db2.gz LERKTAVOAUQEQV-UHFFFAOYSA-N 0 1 261.285 0.841 20 30 CCEDMN COc1cc(C=NNC2=NC[C@@H](C)N2)cc(OC)c1 ZINC000779805573 581207724 /nfs/dbraw/zinc/20/77/24/581207724.db2.gz PMLFLPNHYMBGKD-SECBINFHSA-N 0 1 262.313 0.975 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](C)[C@H](C)CNC(=O)[O-] ZINC000738942730 581211511 /nfs/dbraw/zinc/21/15/11/581211511.db2.gz WZCRKMSPMDHWIB-ZWNOBZJWSA-N 0 1 284.360 0.629 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+](C)[C@H](C)CNC(=O)[O-] ZINC000738942730 581211510 /nfs/dbraw/zinc/21/15/10/581211510.db2.gz WZCRKMSPMDHWIB-ZWNOBZJWSA-N 0 1 284.360 0.629 20 30 CCEDMN C#CCNC(=O)CN1CCc2ccc(O)cc2CC1 ZINC000726865992 581257275 /nfs/dbraw/zinc/25/72/75/581257275.db2.gz CAPVYSUDNASPKV-UHFFFAOYSA-N 0 1 258.321 0.542 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC2(CNC(=O)O2)CC1 ZINC000782000201 581284566 /nfs/dbraw/zinc/28/45/66/581284566.db2.gz MSIQQIWVAGSRRV-UHFFFAOYSA-N 0 1 295.383 0.985 20 30 CCEDMN COc1cccc(NC(=O)C(C#N)C(=O)c2cnn(C)n2)c1 ZINC000793126662 581285138 /nfs/dbraw/zinc/28/51/38/581285138.db2.gz VEEAQEFJQUVPEK-LLVKDONJSA-N 0 1 299.290 0.785 20 30 CCEDMN Cc1nn(-c2ccncc2)cc1C=NNC1=NC[C@@H](C)N1 ZINC000783862155 581365809 /nfs/dbraw/zinc/36/58/09/581365809.db2.gz LXSGUQHNQRTBGE-SNVBAGLBSA-N 0 1 283.339 0.847 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@H](C3OCCO3)C2)CCC1 ZINC000784337798 581380364 /nfs/dbraw/zinc/38/03/64/581380364.db2.gz SGHYLYSHZAFEPC-LBPRGKRZSA-N 0 1 293.367 0.634 20 30 CCEDMN Cc1nn(-c2ccccc2)c(N)c1C=NNC(=N)N ZINC000794889384 581389852 /nfs/dbraw/zinc/38/98/52/581389852.db2.gz WPXSVBUBPOHHPJ-UHFFFAOYSA-N 0 1 257.301 0.580 20 30 CCEDMN C#CCNC(=O)COC(=O)[C@H]1CCCN1C1CCCC1 ZINC000786894236 581452357 /nfs/dbraw/zinc/45/23/57/581452357.db2.gz ZTSSRONGKIGTRE-CYBMUJFWSA-N 0 1 278.352 0.686 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cnc3c(cnn3C)c2)=NO1 ZINC000765372414 581510013 /nfs/dbraw/zinc/51/00/13/581510013.db2.gz KRWCCGQLUPPYGK-SSDOTTSWSA-N 0 1 259.269 0.820 20 30 CCEDMN C[C@H]1CC(NC(=O)c2nn(C)c(=O)c3ccccc32)=NO1 ZINC000765383329 581510766 /nfs/dbraw/zinc/51/07/66/581510766.db2.gz GMDGMUMOZWCZGB-QMMMGPOBSA-N 0 1 286.291 0.786 20 30 CCEDMN CCC[N@@H+](CC(=O)NCC#N)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739355274 581540015 /nfs/dbraw/zinc/54/00/15/581540015.db2.gz XFQSOLVLUHUBTH-SNVBAGLBSA-N 0 1 268.317 0.090 20 30 CCEDMN COc1ncc(C(=O)C(C#N)C(=O)Nc2ccccn2)cn1 ZINC000734080053 581542856 /nfs/dbraw/zinc/54/28/56/581542856.db2.gz IPTYBVZYQSRCAH-SNVBAGLBSA-N 0 1 297.274 0.841 20 30 CCEDMN C(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1cnc2cnccn12 ZINC000753934347 581565605 /nfs/dbraw/zinc/56/56/05/581565605.db2.gz HMJRKGBIDIZYDU-RYUDHWBXSA-N 0 1 283.339 0.923 20 30 CCEDMN C#CC(=O)N[C@@H](CN1CCN(C)CC1)c1ccccc1 ZINC000754000588 581569339 /nfs/dbraw/zinc/56/93/39/581569339.db2.gz QUTINCQFOBGEHJ-HNNXBMFYSA-N 0 1 271.364 0.725 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(CC)[C@H](C)C1 ZINC000754197765 581579937 /nfs/dbraw/zinc/57/99/37/581579937.db2.gz QCJJKQLAPYMQBK-KGLIPLIRSA-N 0 1 263.385 0.637 20 30 CCEDMN CCc1nc(COC(=O)c2ccc(C#N)cn2)n[nH]1 ZINC000754809924 581611089 /nfs/dbraw/zinc/61/10/89/581611089.db2.gz APKOLGBJIVOMKS-UHFFFAOYSA-N 0 1 257.253 0.991 20 30 CCEDMN C=CCOCC(=O)C(C#N)C(=O)NC1CCCC1 ZINC000729891131 581619285 /nfs/dbraw/zinc/61/92/85/581619285.db2.gz CPJLRRMPBOXDIH-NSHDSACASA-N 0 1 250.298 0.957 20 30 CCEDMN N#CC(C(=O)CCCn1ccccc1=O)C(=O)NC1CC1 ZINC000735205179 581630729 /nfs/dbraw/zinc/63/07/29/581630729.db2.gz AMGZDASDMIYHES-GFCCVEGCSA-N 0 1 287.319 0.616 20 30 CCEDMN C#CCN(C)CCOc1ccccc1-c1nn[nH]n1 ZINC000735374831 581640759 /nfs/dbraw/zinc/64/07/59/581640759.db2.gz MOVPTDJSOUYMPT-UHFFFAOYSA-N 0 1 257.297 0.811 20 30 CCEDMN Cc1nc[nH]c1C=NNc1ccc(S(N)(=O)=O)cc1 ZINC000755455065 581640947 /nfs/dbraw/zinc/64/09/47/581640947.db2.gz KKUJJXKHWCKSQD-UHFFFAOYSA-N 0 1 279.325 0.812 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+](C)C[C@H](C)C(=O)[O-] ZINC000736105944 581697019 /nfs/dbraw/zinc/69/70/19/581697019.db2.gz PCNRSRJFCQNTTO-IUCAKERBSA-N 0 1 271.317 0.039 20 30 CCEDMN CN(C)CC(=O)N[C@@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000758755830 581767745 /nfs/dbraw/zinc/76/77/45/581767745.db2.gz VFMPPIHNSBXWDK-WDEREUQCSA-N 0 1 296.371 0.423 20 30 CCEDMN C[C@H]1CN(Cc2ccccn2)CCC1=NNCC(N)=O ZINC000758892716 581773940 /nfs/dbraw/zinc/77/39/40/581773940.db2.gz LWPKDHVSCMGFOL-NSHDSACASA-N 0 1 275.356 0.354 20 30 CCEDMN C=CCNC(=O)COC(=O)c1[nH]nc2c1CCCC2 ZINC000731705490 581776679 /nfs/dbraw/zinc/77/66/79/581776679.db2.gz FMGIQRSKUQHUPV-UHFFFAOYSA-N 0 1 263.297 0.748 20 30 CCEDMN COC(=O)[C@H](c1ccc(C#N)cc1)N1CCc2n[nH]nc2C1 ZINC000759521643 581803082 /nfs/dbraw/zinc/80/30/82/581803082.db2.gz NZEYKZPJYPOSTN-AWEZNQCLSA-N 0 1 297.318 0.949 20 30 CCEDMN N#Cc1ccccc1C=CC(=O)NCc1nn[nH]n1 ZINC000732157619 581806691 /nfs/dbraw/zinc/80/66/91/581806691.db2.gz BPGQWKVZRLBBTF-AATRIKPKSA-N 0 1 254.253 0.401 20 30 CCEDMN NC(=O)CON=Cc1ccccc1N1CCOCC1 ZINC000771570944 581809163 /nfs/dbraw/zinc/80/91/63/581809163.db2.gz LZMHVJVLNDHNPA-UHFFFAOYSA-N 0 1 263.297 0.359 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)c2ccc3nnnn3c2)c1 ZINC000759716798 581817031 /nfs/dbraw/zinc/81/70/31/581817031.db2.gz CYDRUGUUXCCKEH-UHFFFAOYSA-N 0 1 280.247 0.954 20 30 CCEDMN C=CCn1c(SCC(=O)NCCC#N)n[nH]c1=O ZINC000759715400 581817583 /nfs/dbraw/zinc/81/75/83/581817583.db2.gz FUICHUAFPOISIA-UHFFFAOYSA-N 0 1 267.314 0.292 20 30 CCEDMN C#CCCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000760470134 581860879 /nfs/dbraw/zinc/86/08/79/581860879.db2.gz GTDUUJYDNJBTIQ-NSHDSACASA-N 0 1 263.297 0.414 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)Cc2cccc(OCC#N)c2)n1 ZINC000760516049 581863461 /nfs/dbraw/zinc/86/34/61/581863461.db2.gz SBCLVHVXMLLJFQ-UHFFFAOYSA-N 0 1 299.334 0.917 20 30 CCEDMN Cn1nccc1CN=Nc1ccccc1S(N)(=O)=O ZINC000773114449 581909662 /nfs/dbraw/zinc/90/96/62/581909662.db2.gz XHGSFQFFIGLMDZ-UHFFFAOYSA-N 0 1 279.325 0.514 20 30 CCEDMN Cc1n[nH]cc1CN=Nc1ccccc1S(N)(=O)=O ZINC000773121365 581909825 /nfs/dbraw/zinc/90/98/25/581909825.db2.gz QUAUUHGABBESQS-UHFFFAOYSA-N 0 1 279.325 0.812 20 30 CCEDMN C#CCCCCC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000773341153 581922610 /nfs/dbraw/zinc/92/26/10/581922610.db2.gz MLZIVKBOQHJEHK-JTQLQIEISA-N 0 1 263.301 0.293 20 30 CCEDMN CON=CC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000773734426 581939467 /nfs/dbraw/zinc/93/94/67/581939467.db2.gz CDVCGTIFZJCKPR-GHMZBOCLSA-N 0 1 257.334 0.234 20 30 CCEDMN C#CCNC(=O)CN[C@H](C)c1ccc2c(c1)OCO2 ZINC000774830636 581998814 /nfs/dbraw/zinc/99/88/14/581998814.db2.gz GOMXSTMJTWJTOF-SNVBAGLBSA-N 0 1 260.293 0.815 20 30 CCEDMN O=S1(=O)CC[C@H](NN=Cc2cc(F)c(O)c(F)c2)C1 ZINC000749849979 582024925 /nfs/dbraw/zinc/02/49/25/582024925.db2.gz HWUANJNZHRYRAB-QMMMGPOBSA-N 0 1 290.291 0.781 20 30 CCEDMN C#C[C@H](NCCCS(=O)(=O)C(C)C)[C@H]1CCCO1 ZINC000775784940 582037552 /nfs/dbraw/zinc/03/75/52/582037552.db2.gz MMZZKAOITWCHIF-QWHCGFSZSA-N 0 1 273.398 0.970 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC(=O)NC1CC1)[C@H]1CCCO1 ZINC000775786652 582038419 /nfs/dbraw/zinc/03/84/19/582038419.db2.gz ZEUNKJZODRWDAJ-ADEWGFFLSA-N 0 1 279.340 0.134 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000738606063 582065460 /nfs/dbraw/zinc/06/54/60/582065460.db2.gz ZXAMHWWJSYBYDE-ZJUUUORDSA-N 0 1 268.317 0.089 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000738606063 582065464 /nfs/dbraw/zinc/06/54/64/582065464.db2.gz ZXAMHWWJSYBYDE-ZJUUUORDSA-N 0 1 268.317 0.089 20 30 CCEDMN Cn1nncc1CN=Nc1ccc(-n2cccn2)nn1 ZINC000751131174 582071268 /nfs/dbraw/zinc/07/12/68/582071268.db2.gz SBNVYOBYXWDDQA-UHFFFAOYSA-N 0 1 269.272 0.237 20 30 CCEDMN CN1C(=O)CN(N=Cc2cc(-c3cccnc3)[nH]n2)C1=O ZINC000768382781 582106174 /nfs/dbraw/zinc/10/61/74/582106174.db2.gz UQGIYKAGWKGVQF-UHFFFAOYSA-N 0 1 284.279 0.700 20 30 CCEDMN CN(CCCNCc1nc(C#N)cs1)S(C)(=O)=O ZINC000589308719 616075379 /nfs/dbraw/zinc/07/53/79/616075379.db2.gz FUZPSGXNWGJJJE-UHFFFAOYSA-N 0 1 288.398 0.386 20 30 CCEDMN C#CCOCCN1CCC(O)(C(=O)OCC)CC1 ZINC000851804938 612982789 /nfs/dbraw/zinc/98/27/89/612982789.db2.gz YANHECALZYSDBP-UHFFFAOYSA-N 0 1 255.314 0.026 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])CC(=O)Nc1cccc(C#N)c1 ZINC000037933039 597001215 /nfs/dbraw/zinc/00/12/15/597001215.db2.gz GCXYSCHJJWWPQL-UHFFFAOYSA-N 0 1 261.281 0.903 20 30 CCEDMN C[C@@H]1C[N@H+](C2CC2)C[C@@H]1NC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820246400 597655972 /nfs/dbraw/zinc/65/59/72/597655972.db2.gz WZGZMKVOWNYFPD-OLUVUFQESA-N 0 1 279.340 0.590 20 30 CCEDMN C#CCSCC(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000896417358 613010333 /nfs/dbraw/zinc/01/03/33/613010333.db2.gz TVQQYJTXYCPYTH-UHFFFAOYSA-N 0 1 293.396 0.124 20 30 CCEDMN C#CCSCC(=O)N[C@H](CO)CN1CCCCC1 ZINC000897725205 613013668 /nfs/dbraw/zinc/01/36/68/613013668.db2.gz PFZOCWPGUVNRIA-LBPRGKRZSA-N 0 1 270.398 0.316 20 30 CCEDMN N#CCSCCC[N@H+]1CCO[C@H](CC(=O)[O-])C1 ZINC000833068365 601455065 /nfs/dbraw/zinc/45/50/65/601455065.db2.gz WXXYYYIPECPCQH-SNVBAGLBSA-N 0 1 258.343 0.809 20 30 CCEDMN COc1cc(C=NNC[C@H](C)O)cc([N+](=O)[O-])c1O ZINC000814962602 617179569 /nfs/dbraw/zinc/17/95/69/617179569.db2.gz OPESPOZMAUCYKJ-ZETCQYMHSA-N 0 1 269.257 0.613 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000854546172 613028822 /nfs/dbraw/zinc/02/88/22/613028822.db2.gz YQOAFFSFSZJQKF-VIFPVBQESA-N 0 1 264.237 0.414 20 30 CCEDMN C[C@@H]1C[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)C[C@@H]1O ZINC000833623678 604566893 /nfs/dbraw/zinc/56/68/93/604566893.db2.gz PJXKDMZSZZTLKM-JIMOISOXSA-N 0 1 260.293 0.997 20 30 CCEDMN C#CC1(O)CCN([C@H](C)C(=O)N(C)C(C)C)CC1 ZINC000931146515 612953073 /nfs/dbraw/zinc/95/30/73/612953073.db2.gz ZPEYGPSXTBPDDV-GFCCVEGCSA-N 0 1 252.358 0.702 20 30 CCEDMN N#CCNC(=O)C[N@H+](CCCC(=O)[O-])Cc1ccccc1 ZINC000833067673 604783921 /nfs/dbraw/zinc/78/39/21/604783921.db2.gz YMPVNXCTKAWYEN-UHFFFAOYSA-N 0 1 289.335 0.993 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1CCC2(C1)CCN(C(=O)[O-])CC2 ZINC000833966623 605895553 /nfs/dbraw/zinc/89/55/53/605895553.db2.gz IOYAASYGJVOOLR-UHFFFAOYSA-N 0 1 280.328 0.092 20 30 CCEDMN N#CCC1CC[NH+](CN2C[C@H](NC(=O)[O-])CC2=O)CC1 ZINC000833970025 605996838 /nfs/dbraw/zinc/99/68/38/605996838.db2.gz ZQLZZFZUVQHDCT-LLVKDONJSA-N 0 1 280.328 0.438 20 30 CCEDMN C#CCCOC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000859012367 613050188 /nfs/dbraw/zinc/05/01/88/613050188.db2.gz OAABVTQJQYPNCJ-LBPRGKRZSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)CSc1nnc(C)[nH]1 ZINC000799083772 613056078 /nfs/dbraw/zinc/05/60/78/613056078.db2.gz MGFOGQYTHKUYQK-SECBINFHSA-N 0 1 268.342 0.096 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)CSc1nc(C)n[nH]1 ZINC000799083772 613056079 /nfs/dbraw/zinc/05/60/79/613056079.db2.gz MGFOGQYTHKUYQK-SECBINFHSA-N 0 1 268.342 0.096 20 30 CCEDMN N=C(N)NN=Cc1c[nH]c2ccc(-c3nn[nH]n3)cc12 ZINC000822846019 607146024 /nfs/dbraw/zinc/14/60/24/607146024.db2.gz OJOWLWQLEHOYSQ-UHFFFAOYSA-N 0 1 269.272 0.165 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCc2[nH]cnc2C)o1 ZINC000826322851 607886760 /nfs/dbraw/zinc/88/67/60/607886760.db2.gz DOJDLMJALBEYJQ-UHFFFAOYSA-N 0 1 273.260 0.641 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCc2cn(C)nc2C)o1 ZINC000826323189 607887071 /nfs/dbraw/zinc/88/70/71/607887071.db2.gz UMGOQNUBKXRGGD-UHFFFAOYSA-N 0 1 287.287 0.651 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCc2cc[nH]n2)o1 ZINC000826323267 607887200 /nfs/dbraw/zinc/88/72/00/607887200.db2.gz XPQQDGMUJYEISF-UHFFFAOYSA-N 0 1 259.233 0.332 20 30 CCEDMN N#Cc1cccc(Cn2cccc(-c3nn[nH]n3)c2=O)c1 ZINC000826386448 608152993 /nfs/dbraw/zinc/15/29/93/608152993.db2.gz FRYHLCHJYUMBEO-UHFFFAOYSA-N 0 1 278.275 0.948 20 30 CCEDMN C#CCNCC(=O)N(Cc1ccc(C(N)=O)cc1)C1CC1 ZINC000912474347 612956392 /nfs/dbraw/zinc/95/63/92/612956392.db2.gz CBBFGMOGLXPCKX-UHFFFAOYSA-N 0 1 285.347 0.499 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](O)c1ccccc1F ZINC000912367786 612956791 /nfs/dbraw/zinc/95/67/91/612956791.db2.gz GPIRIMHXKQULBC-GFCCVEGCSA-N 0 1 250.273 0.198 20 30 CCEDMN C#CCNCC(=O)NC[C@H](CO)Cc1ccccc1 ZINC000912404252 612956853 /nfs/dbraw/zinc/95/68/53/612956853.db2.gz MGRZAPVGKBMEIB-CQSZACIVSA-N 0 1 260.337 0.177 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2(C)CCOCC2)C1 ZINC000972553645 613079960 /nfs/dbraw/zinc/07/99/60/613079960.db2.gz CBQDIDNRTSNTBO-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000811998695 613083530 /nfs/dbraw/zinc/08/35/30/613083530.db2.gz WFUSZGWRAJDTAB-ZDUSSCGKSA-N 0 1 280.416 0.723 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)S(=O)(=O)c1ncc[nH]1 ZINC000867509322 613105008 /nfs/dbraw/zinc/10/50/08/613105008.db2.gz SFOIAVWOJHVELH-UHFFFAOYSA-N 0 1 299.352 0.375 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)c1cnc[nH]1 ZINC000855493958 613104567 /nfs/dbraw/zinc/10/45/67/613104567.db2.gz QSMVOPYRILCXTI-UHFFFAOYSA-N 0 1 263.297 0.827 20 30 CCEDMN C#C[C@@](C)(CC)N[C@@H]1CCN(c2cnn(C)c2)C1=O ZINC000852102868 613113015 /nfs/dbraw/zinc/11/30/15/613113015.db2.gz RVXREWSUPVBDHR-OCCSQVGLSA-N 0 1 260.341 0.917 20 30 CCEDMN C#CCCCCNC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000806959426 612977410 /nfs/dbraw/zinc/97/74/10/612977410.db2.gz NEPHDAPVJSIPMG-KGLIPLIRSA-N 0 1 281.400 0.886 20 30 CCEDMN C#CCCCCNC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000842181569 612977734 /nfs/dbraw/zinc/97/77/34/612977734.db2.gz YGQOUMZEDAQNOA-ZIAGYGMSSA-N 0 1 281.400 0.886 20 30 CCEDMN C#CCCCNC(=O)C(=O)N(CCC)[C@H]1CCN(C)C1 ZINC000834764375 612979000 /nfs/dbraw/zinc/97/90/00/612979000.db2.gz LKTOCVMSNNFJGS-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN COc1ccc(C(=O)[O-])cc1C=NN1CC[NH+](C)CC1 ZINC000901122775 617235449 /nfs/dbraw/zinc/23/54/49/617235449.db2.gz GRVISKHIQQOODM-UHFFFAOYSA-N 0 1 277.324 0.975 20 30 CCEDMN COc1ccc(OC)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834512211 617274963 /nfs/dbraw/zinc/27/49/63/617274963.db2.gz SWLZTAFISAMENU-BXKDBHETSA-N 0 1 275.308 0.545 20 30 CCEDMN COc1ccc2c(c1)C=C(C(=O)N[C@@H]1CNC[C@H]1C#N)CO2 ZINC000834500382 617283862 /nfs/dbraw/zinc/28/38/62/617283862.db2.gz SLYBELVFFPZLKF-TZMCWYRMSA-N 0 1 299.330 0.699 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000940705334 617293978 /nfs/dbraw/zinc/29/39/78/617293978.db2.gz OZZOXFRURXGIAO-HOSYDEDBSA-N 0 1 289.339 0.075 20 30 CCEDMN C[C@@H](C#N)OCCN[C@](C)(C(N)=O)c1ccccc1 ZINC000801931279 617297692 /nfs/dbraw/zinc/29/76/92/617297692.db2.gz WKFMZEXZYSWPAF-FZMZJTMJSA-N 0 1 261.325 0.905 20 30 CCEDMN C#CC1(O)CN(C(=O)[C@H]2C[C@H](C)Cc3c[nH]nc32)C1 ZINC000890526030 612958629 /nfs/dbraw/zinc/95/86/29/612958629.db2.gz FUTXKQJSGIKXIO-KOLCDFICSA-N 0 1 259.309 0.282 20 30 CCEDMN C#CCC1(O)CCN(C(=O)CN(CC)C2CC2)CC1 ZINC000882525645 612971619 /nfs/dbraw/zinc/97/16/19/612971619.db2.gz JTJHNFGFMOPENH-UHFFFAOYSA-N 0 1 264.369 0.848 20 30 CCEDMN C#CCC1(O)CCN([C@H]2CCN(CC)C2=O)CC1 ZINC000880482499 612974130 /nfs/dbraw/zinc/97/41/30/612974130.db2.gz KUIZCSIMXVFZSD-LBPRGKRZSA-N 0 1 250.342 0.457 20 30 CCEDMN C#CCOC(=O)c1cc(F)ccc1NS(C)(=O)=O ZINC000915008025 612977106 /nfs/dbraw/zinc/97/71/06/612977106.db2.gz QHAIJTYLWRFJDP-UHFFFAOYSA-N 0 1 271.269 0.987 20 30 CCEDMN C#CCCC[N@@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC000833362411 612978368 /nfs/dbraw/zinc/97/83/68/612978368.db2.gz QMCNEHHFUJBSSZ-MNOVXSKESA-N 0 1 253.298 0.738 20 30 CCEDMN C#CCCCN1CCN(c2nc(N)nc(C3CC3)n2)CC1 ZINC000933555299 612978475 /nfs/dbraw/zinc/97/84/75/612978475.db2.gz IOHCHSHQBBFXEY-UHFFFAOYSA-N 0 1 286.383 0.867 20 30 CCEDMN C#CCOCCN(CCC)[C@@H]1CC(=O)N(C)C1=O ZINC000852018447 612982074 /nfs/dbraw/zinc/98/20/74/612982074.db2.gz MXKSEWTUEZVBSM-LLVKDONJSA-N 0 1 252.314 0.106 20 30 CCEDMN C#CCOCC[N@@H+]1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000852006994 612983110 /nfs/dbraw/zinc/98/31/10/612983110.db2.gz HTKRHTFSUNHLAN-JTQLQIEISA-N 0 1 251.286 0.604 20 30 CCEDMN C#CCOCCN1CCOC[C@@H]1C[C@H]1CCOC1 ZINC000933663648 612984028 /nfs/dbraw/zinc/98/40/28/612984028.db2.gz RJNDQPUSEMWPDG-KGLIPLIRSA-N 0 1 253.342 0.764 20 30 CCEDMN C#CCOCCNC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000921935350 612987959 /nfs/dbraw/zinc/98/79/59/612987959.db2.gz XLGQUMYSFYQDQL-QWHCGFSZSA-N 0 1 297.424 0.515 20 30 CCEDMN C#CCOCCNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC000897373980 612989144 /nfs/dbraw/zinc/98/91/44/612989144.db2.gz YXNMROKHMSKZON-SNVBAGLBSA-N 0 1 261.325 0.914 20 30 CCEDMN C#CCONC(=O)C1CCC(N2CCOCC2)CC1 ZINC000912450390 612991786 /nfs/dbraw/zinc/99/17/86/612991786.db2.gz QCDARADVMAZQOD-UHFFFAOYSA-N 0 1 266.341 0.558 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000823152450 612997126 /nfs/dbraw/zinc/99/71/26/612997126.db2.gz SBBYSWBHFKKZDM-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnn(C)c2N)CC1 ZINC000981022250 613007021 /nfs/dbraw/zinc/00/70/21/613007021.db2.gz AAUDQCDYWJEVOH-UHFFFAOYSA-N 0 1 275.356 0.174 20 30 CCEDMN C#CCCN1CCN(Cc2coc(S(N)(=O)=O)c2)CC1 ZINC000851872675 613011954 /nfs/dbraw/zinc/01/19/54/613011954.db2.gz IOWOYKQCDHEAGR-UHFFFAOYSA-N 0 1 297.380 0.068 20 30 CCEDMN C#CCCN1CCN(c2cc(-c3nn[nH]n3)ccn2)CC1 ZINC000823844797 613012110 /nfs/dbraw/zinc/01/21/10/613012110.db2.gz IZCXYWNRWBKNJI-UHFFFAOYSA-N 0 1 283.339 0.407 20 30 CCEDMN C#CCSCC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000898436526 613012661 /nfs/dbraw/zinc/01/26/61/613012661.db2.gz MRIPRCZSHQPIMT-VIFPVBQESA-N 0 1 280.353 0.639 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)N(C)CC1CN(C)C1 ZINC000859018489 613019814 /nfs/dbraw/zinc/01/98/14/613019814.db2.gz VXRINBGVQBHRSQ-ZDUSSCGKSA-N 0 1 267.373 0.618 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000875925948 613035807 /nfs/dbraw/zinc/03/58/07/613035807.db2.gz ZVUVZHURPDUTHW-IUCAKERBSA-N 0 1 251.290 0.203 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)c1ccc(CN(C)C)nc1 ZINC000863802794 613037608 /nfs/dbraw/zinc/03/76/08/613037608.db2.gz DMKIGTPSKVGQIH-AWEZNQCLSA-N 0 1 275.352 0.911 20 30 CCEDMN C#CC[C@@H](NC(=O)C[C@H](C)n1cc[nH+]c1CC)C(=O)[O-] ZINC000910051730 613040043 /nfs/dbraw/zinc/04/00/43/613040043.db2.gz ZOHHEPZSNVFFRL-WDEREUQCSA-N 0 1 277.324 0.989 20 30 CCEDMN C#CC[C@H](CO)NC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000925168852 613055489 /nfs/dbraw/zinc/05/54/89/613055489.db2.gz ILVCZBJAMIAXOQ-UPJWGTAASA-N 0 1 297.424 0.250 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1[nH]nc2c1CCCCC2 ZINC000820059321 613058377 /nfs/dbraw/zinc/05/83/77/613058377.db2.gz ASDZIKMZYLIQHM-SNVBAGLBSA-N 0 1 261.325 0.793 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000812556026 613062157 /nfs/dbraw/zinc/06/21/57/613062157.db2.gz PEMKATMBMIIFIE-MNOVXSKESA-N 0 1 276.340 0.341 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000898084571 613071942 /nfs/dbraw/zinc/07/19/42/613071942.db2.gz KYPZIUJYHOCTMX-DZGCQCFKSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC000825979453 613072045 /nfs/dbraw/zinc/07/20/45/613072045.db2.gz SJWFJIDIQBHKML-LSDHHAIUSA-N 0 1 292.427 0.677 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@H]2CCc3[nH]cnc3C2)cn1 ZINC000834618522 613077611 /nfs/dbraw/zinc/07/76/11/613077611.db2.gz SVOHFKAPVGDUJM-LBPRGKRZSA-N 0 1 283.335 0.661 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC000971670437 613081400 /nfs/dbraw/zinc/08/14/00/613081400.db2.gz ILMKLKIAUOMXAA-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC000971538450 613082977 /nfs/dbraw/zinc/08/29/77/613082977.db2.gz CMMBEXYQXHUZRW-ZIAGYGMSSA-N 0 1 286.379 0.996 20 30 CCEDMN C#C[C@@H](CC)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000861309132 613084319 /nfs/dbraw/zinc/08/43/19/613084319.db2.gz KBHPMRKICJBKFA-JTQLQIEISA-N 0 1 281.337 0.595 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971372088 613084874 /nfs/dbraw/zinc/08/48/74/613084874.db2.gz UBJIOYPVSRTAAT-CYBMUJFWSA-N 0 1 256.309 0.666 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cccc(=O)[nH]2)C1 ZINC000971283727 613086368 /nfs/dbraw/zinc/08/63/68/613086368.db2.gz ZXGPKQLJWNOSEU-LLVKDONJSA-N 0 1 259.309 0.567 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cncnc2CC)C1 ZINC000980419554 613087880 /nfs/dbraw/zinc/08/78/80/613087880.db2.gz VMPRKMUTQARTGY-GFCCVEGCSA-N 0 1 272.352 0.819 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2(C)CCOCC2)C1 ZINC000972553646 613088746 /nfs/dbraw/zinc/08/87/46/613088746.db2.gz CBQDIDNRTSNTBO-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CC2OCCCO2)C1 ZINC000971377271 613089400 /nfs/dbraw/zinc/08/94/00/613089400.db2.gz WBYHEMILUAGRJY-LBPRGKRZSA-N 0 1 266.341 0.305 20 30 CCEDMN C#C[C@@H](NC(=O)C(C)(C)CN1CCOCC1)[C@@H]1CCCO1 ZINC000822072228 613091290 /nfs/dbraw/zinc/09/12/90/613091290.db2.gz GFOQTFUDEIDYMI-KGLIPLIRSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC000972016396 613091424 /nfs/dbraw/zinc/09/14/24/613091424.db2.gz KRDSJCATWBXIKD-KBPBESRZSA-N 0 1 290.367 0.274 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(=O)n(C)c2)C1 ZINC000971856191 613094267 /nfs/dbraw/zinc/09/42/67/613094267.db2.gz NLTBOOPSMWTDQH-ZDUSSCGKSA-N 0 1 273.336 0.165 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(C)[nH]c2=O)C1 ZINC000972426219 613094713 /nfs/dbraw/zinc/09/47/13/613094713.db2.gz KQNLTOKGPNRSTH-LBPRGKRZSA-N 0 1 273.336 0.875 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c[nH]c(=O)cc2OC)C1 ZINC000972357843 613096197 /nfs/dbraw/zinc/09/61/97/613096197.db2.gz IPNZIOHAXBWQQS-NSHDSACASA-N 0 1 289.335 0.575 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc(C)nc2C)C1 ZINC000972564791 613096421 /nfs/dbraw/zinc/09/64/21/613096421.db2.gz HRCRRLOUDYSJRO-ZDUSSCGKSA-N 0 1 272.352 0.873 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(CC3CC3)nn2)C1 ZINC000972636699 613096474 /nfs/dbraw/zinc/09/64/74/613096474.db2.gz VUWLAZNPWJMFHN-ZDUSSCGKSA-N 0 1 287.367 0.468 20 30 CCEDMN C#C[C@@H](NC(=O)N[C@@H](C)c1nnc[nH]1)C1CCOCC1 ZINC000823172796 613096967 /nfs/dbraw/zinc/09/69/67/613096967.db2.gz KXHCHBJVSQICFT-GXSJLCMTSA-N 0 1 277.328 0.593 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nccn3ccnc23)C1 ZINC000972357364 613097288 /nfs/dbraw/zinc/09/72/88/613097288.db2.gz DJLCRIFVQQYTDS-LBPRGKRZSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)c1ccn[nH]1 ZINC000855492463 613104545 /nfs/dbraw/zinc/10/45/45/613104545.db2.gz DYLFKOUGPZAKFN-UHFFFAOYSA-N 0 1 263.297 0.827 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC000825614527 613107263 /nfs/dbraw/zinc/10/72/63/613107263.db2.gz QCIPAHYNZSEZRW-LLVKDONJSA-N 0 1 266.341 0.653 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC[C@H](OC(C)C)C2)C1=O ZINC000844274112 613109444 /nfs/dbraw/zinc/10/94/44/613109444.db2.gz YNYGPKGFXSPHBF-STQMWFEESA-N 0 1 278.352 0.637 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000854079093 613120780 /nfs/dbraw/zinc/12/07/80/613120780.db2.gz VSQIJRCDEISWHF-YNEHKIRRSA-N 0 1 267.373 0.495 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C)[C@H](CO)C2)CC1 ZINC000857361060 613129268 /nfs/dbraw/zinc/12/92/68/613129268.db2.gz BBGYZUNGZUTUKW-HIFRSBDPSA-N 0 1 278.396 0.809 20 30 CCEDMN C#CCN1CCC(NC(=O)CCOCC(F)F)CC1 ZINC000928652851 613132829 /nfs/dbraw/zinc/13/28/29/613132829.db2.gz PAFAOWIHZJXEKW-UHFFFAOYSA-N 0 1 274.311 0.872 20 30 CCEDMN C#CCN1CCC(NC(=O)CC[C@@]2(C)CCC(=O)N2)CC1 ZINC000928654594 613132936 /nfs/dbraw/zinc/13/29/36/613132936.db2.gz IVOFQRYJVHNWCE-INIZCTEOSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCC(NC(=O)COCc2nccn2C)CC1 ZINC000928653290 613133329 /nfs/dbraw/zinc/13/33/29/613133329.db2.gz HDROJKPVIHNSBA-UHFFFAOYSA-N 0 1 290.367 0.151 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCOC2CCC2)CC1 ZINC000920794480 613133926 /nfs/dbraw/zinc/13/39/26/613133926.db2.gz IMGWHOAXJPKLID-UHFFFAOYSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CCN1CCC(NC(=O)NC2CC(CC(N)=O)C2)CC1 ZINC000925082660 613133957 /nfs/dbraw/zinc/13/39/57/613133957.db2.gz MKTCXQNCBFHUFV-UHFFFAOYSA-N 0 1 292.383 0.037 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC2(CC(N)=O)CC2)CC1 ZINC000922929437 613134027 /nfs/dbraw/zinc/13/40/27/613134027.db2.gz BFJNKPBVTAUDDX-UHFFFAOYSA-N 0 1 292.383 0.039 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2conc2C)CC1 ZINC000923525165 613134630 /nfs/dbraw/zinc/13/46/30/613134630.db2.gz OMZDIHOPOSWKFJ-UHFFFAOYSA-N 0 1 276.340 0.880 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)OC[C@H]2CCCO2)CC1 ZINC000928656444 613136018 /nfs/dbraw/zinc/13/60/18/613136018.db2.gz ABYVFYDQUVIJPQ-UKRRQHHQSA-N 0 1 294.395 0.784 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C)C[C@@H]1CC)[C@H]1CCCO1 ZINC000838560701 613136105 /nfs/dbraw/zinc/13/61/05/613136105.db2.gz ZBSYDCHZZAIUFE-MELADBBJSA-N 0 1 279.384 0.903 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CSCN2C(C)=O)CC1 ZINC000928657735 613136266 /nfs/dbraw/zinc/13/62/66/613136266.db2.gz WHRSVOYHTVYOLZ-ZDUSSCGKSA-N 0 1 295.408 0.122 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@](C)(O)C2CCC2)CC1 ZINC000928650924 613136292 /nfs/dbraw/zinc/13/62/92/613136292.db2.gz INDBVAMXYPHOFB-HNNXBMFYSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2C[C@@H](C)CO2)CC1 ZINC000928660042 613136552 /nfs/dbraw/zinc/13/65/52/613136552.db2.gz FMZINVCBXZLEFQ-DGCLKSJQSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC000830169437 613136823 /nfs/dbraw/zinc/13/68/23/613136823.db2.gz XHADFEIXYLAQPV-AAEUAGOBSA-N 0 1 266.341 0.004 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(C)nn3cccnc23)CC1 ZINC000928657708 613137213 /nfs/dbraw/zinc/13/72/13/613137213.db2.gz UUJIXGGKYDHCNT-UHFFFAOYSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN1CCC(NC(=O)c2[nH]cnc2CC)CC1 ZINC000928648091 613137224 /nfs/dbraw/zinc/13/72/24/613137224.db2.gz FVRMQIJWFCYKAB-UHFFFAOYSA-N 0 1 260.341 0.800 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnc(N(C)C)c2)CC1 ZINC000928654876 613137664 /nfs/dbraw/zinc/13/76/64/613137664.db2.gz GNWPTCTUAIKDIO-UHFFFAOYSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc(C)n2C)CC1 ZINC000928660299 613137676 /nfs/dbraw/zinc/13/76/76/613137676.db2.gz LKAVNXUZUZTVMS-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnc3ccnn32)CC1 ZINC000928660683 613137818 /nfs/dbraw/zinc/13/78/18/613137818.db2.gz NPNGLPXAEMKKIF-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN C#C[C@H](NC(=O)N1C[C@H]2CCC[C@@H](C1)N2)[C@@H]1CCCO1 ZINC000841879195 613138208 /nfs/dbraw/zinc/13/82/08/613138208.db2.gz LRAXFRCGKRZOBJ-CRWXNKLISA-N 0 1 277.368 0.703 20 30 CCEDMN C#CCN1CCC(Nc2ccc(C(N)=O)nn2)CC1 ZINC000895808338 613138756 /nfs/dbraw/zinc/13/87/56/613138756.db2.gz FVACLRXBEGDTCX-UHFFFAOYSA-N 0 1 259.313 0.085 20 30 CCEDMN C#C[C@H](NC(=O)NCC(C)(C)N1CCN(C)CC1)C(C)C ZINC000811997397 613139024 /nfs/dbraw/zinc/13/90/24/613139024.db2.gz BBKOHMDJUVVDCI-AWEZNQCLSA-N 0 1 294.443 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](C)n3cncn3)C2)C1 ZINC000981723371 613141839 /nfs/dbraw/zinc/14/18/39/613141839.db2.gz QGKIITXHKGDGAZ-CYBMUJFWSA-N 0 1 287.367 0.397 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3C[C@H]3OCC)C2)C1 ZINC000981642086 613143013 /nfs/dbraw/zinc/14/30/13/613143013.db2.gz RJANYBJYMSQFMG-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CCN(C)C3=O)C2)C1 ZINC000981950843 613143666 /nfs/dbraw/zinc/14/36/66/613143666.db2.gz MFQCXZASIHNSTI-ZDUSSCGKSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ccnnc3)C2)C1 ZINC000982034299 613144567 /nfs/dbraw/zinc/14/45/67/613144567.db2.gz SRMZXOMJIRVKJF-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC000968882727 613145231 /nfs/dbraw/zinc/14/52/31/613145231.db2.gz SWGGNTBZXTYNNQ-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000968990630 613146023 /nfs/dbraw/zinc/14/60/23/613146023.db2.gz JLYQVEFNBSMTDA-KBXIAJHMSA-N 0 1 276.380 0.873 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1C[C@H]1C(N)=O ZINC000969030349 613148833 /nfs/dbraw/zinc/14/88/33/613148833.db2.gz FUHRDRRZSACXHY-XQQFMLRXSA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cnn(CC)n1 ZINC000968847048 613149633 /nfs/dbraw/zinc/14/96/33/613149633.db2.gz STNZWLPCUAYZCF-ZDUSSCGKSA-N 0 1 289.383 0.906 20 30 CCEDMN C#C[C@H](NC[C@@H](O)CC(=O)OCC)[C@H]1CCCO1 ZINC000859257770 613150412 /nfs/dbraw/zinc/15/04/12/613150412.db2.gz FKDRADXWKIVARE-SDDRHHMPSA-N 0 1 255.314 0.071 20 30 CCEDMN C#C[C@H](NC[C@@H](O)CC(=O)OCC)[C@@H]1CCCO1 ZINC000859257771 613150447 /nfs/dbraw/zinc/15/04/47/613150447.db2.gz FKDRADXWKIVARE-SRVKXCTJSA-N 0 1 255.314 0.071 20 30 CCEDMN C#C[C@H]1CCCCN1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000934516382 613155788 /nfs/dbraw/zinc/15/57/88/613155788.db2.gz GPTWXCIGBHFGBB-STQMWFEESA-N 0 1 270.398 0.756 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)[C@H]2CCCCN2C)C1 ZINC000923575819 613172745 /nfs/dbraw/zinc/17/27/45/613172745.db2.gz NWKMTXQTDZMWJN-ZIAGYGMSSA-N 0 1 263.385 0.685 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)[C@H]2CCCCN2C)C1 ZINC000923575817 613190190 /nfs/dbraw/zinc/19/01/90/613190190.db2.gz NWKMTXQTDZMWJN-UONOGXRCSA-N 0 1 263.385 0.685 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CN(CCO)CC[C@H]2C)cn1 ZINC000968560188 613192052 /nfs/dbraw/zinc/19/20/52/613192052.db2.gz BAATYGZRSMHGAV-DOMZBBRYSA-N 0 1 287.363 0.495 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N1C[C@@H](CC)[C@](F)(C(=O)[O-])C1 ZINC000910549764 613197639 /nfs/dbraw/zinc/19/76/39/613197639.db2.gz URQUEPVOEATPKE-XUJVJEKNSA-N 0 1 296.342 0.745 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@@H](CC)[C@](F)(C(=O)[O-])C1 ZINC000910549764 613197640 /nfs/dbraw/zinc/19/76/40/613197640.db2.gz URQUEPVOEATPKE-XUJVJEKNSA-N 0 1 296.342 0.745 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N2C[C@@H](C)N[C@H](C)C2)c1 ZINC000842033182 613199027 /nfs/dbraw/zinc/19/90/27/613199027.db2.gz PBKZMTCIQKMTEA-CHWSQXEVSA-N 0 1 299.374 0.493 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CC1CC1)C(=O)[O-] ZINC000910378825 613200927 /nfs/dbraw/zinc/20/09/27/613200927.db2.gz BVGGQWVAFSFJBK-RYUDHWBXSA-N 0 1 264.325 0.454 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CC1CC1)C(=O)[O-] ZINC000910378825 613200929 /nfs/dbraw/zinc/20/09/29/613200929.db2.gz BVGGQWVAFSFJBK-RYUDHWBXSA-N 0 1 264.325 0.454 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H](COC)OC ZINC000850825958 613203199 /nfs/dbraw/zinc/20/31/99/613203199.db2.gz HTXJUQJWAUXIEC-RYUDHWBXSA-N 0 1 255.314 0.289 20 30 CCEDMN C#CCN1CCN(C(=O)CN2C[C@H](OC)CC[C@@H]2C)CC1 ZINC000879323863 613205294 /nfs/dbraw/zinc/20/52/94/613205294.db2.gz XLUMZUBENMKCHM-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN C#Cc1ccccc1CC(=O)NC[C@H]1CN2CCN1CCC2 ZINC000854353307 613206513 /nfs/dbraw/zinc/20/65/13/613206513.db2.gz WIWNVNQHICGFOT-KRWDZBQOSA-N 0 1 297.402 0.717 20 30 CCEDMN C#CCN1CCN(C(=O)c2c[nH]cc3ncnc2-3)CC1 ZINC000895898514 613209028 /nfs/dbraw/zinc/20/90/28/613209028.db2.gz VRELIKRVMGDRLF-UHFFFAOYSA-N 0 1 269.308 0.349 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)c1 ZINC000846526048 613213692 /nfs/dbraw/zinc/21/36/92/613213692.db2.gz BMNVXJBVTUNWQV-UHFFFAOYSA-N 0 1 296.334 0.139 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@]2(C)CCNC2=O)C(C)(C)C1 ZINC000941029385 613229518 /nfs/dbraw/zinc/22/95/18/613229518.db2.gz UQNIBXTYXSIRHV-MLGOLLRUSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc3ncccn3n2)[C@H](C)C1 ZINC000943737362 613234668 /nfs/dbraw/zinc/23/46/68/613234668.db2.gz BGZZCSWHBCKCEW-CHWSQXEVSA-N 0 1 297.362 0.803 20 30 CCEDMN C=C(Br)CNC[C@@H](O)C[C@]1(O)CCOC1 ZINC000905065636 613238507 /nfs/dbraw/zinc/23/85/07/613238507.db2.gz QEDYXKDFGJEBID-VHSXEESVSA-N 0 1 280.162 0.387 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2snnc2C)[C@@H](C)C1 ZINC000941797453 613245488 /nfs/dbraw/zinc/24/54/88/613245488.db2.gz CAFFFBAISGSYRS-GXSJLCMTSA-N 0 1 278.381 0.920 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966883219 613252299 /nfs/dbraw/zinc/25/22/99/613252299.db2.gz CFLIRBGCQBAYEP-GXSJLCMTSA-N 0 1 276.340 0.497 20 30 CCEDMN COc1ccnc(OC)c1C(=O)NCC#CCN(C)C ZINC000913525398 617326545 /nfs/dbraw/zinc/32/65/45/617326545.db2.gz VPCBMZPVPSDPHR-UHFFFAOYSA-N 0 1 277.324 0.394 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)Cn2ccnc2)CC1 ZINC000957899681 613258291 /nfs/dbraw/zinc/25/82/91/613258291.db2.gz JUTRISWSKQBOLJ-UHFFFAOYSA-N 0 1 262.357 0.994 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CC2OCCCO2)C(C)(C)C1 ZINC000940703785 613258455 /nfs/dbraw/zinc/25/84/55/613258455.db2.gz VJOILKYPFKOHEJ-ZDUSSCGKSA-N 0 1 294.395 0.989 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CN(C)C(=O)N2)CC1 ZINC000949659557 613260639 /nfs/dbraw/zinc/26/06/39/613260639.db2.gz OEYWFMYAKCRRBX-GFCCVEGCSA-N 0 1 280.372 0.120 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn3cccnc3n2)[C@@H](C)C1 ZINC000942256407 613274481 /nfs/dbraw/zinc/27/44/81/613274481.db2.gz FCDNUYNHFVYMHC-STQMWFEESA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CCNC(=O)CC2)C(C)(C)C1 ZINC000974861900 613282216 /nfs/dbraw/zinc/28/22/16/613282216.db2.gz GOTXCCMCJNYHJK-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(F)c(F)c2)C1 ZINC000958138657 613286956 /nfs/dbraw/zinc/28/69/56/613286956.db2.gz HRVXYOUPHYGBEH-SMDDNHRTSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c[nH]nc2CCC)[C@H](O)C1 ZINC000958203205 613288612 /nfs/dbraw/zinc/28/86/12/613288612.db2.gz ODSHOAAWFQFFLY-BXUZGUMPSA-N 0 1 290.367 0.018 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc3ncccc3o2)[C@H](O)C1 ZINC000958277116 613289089 /nfs/dbraw/zinc/28/90/89/613289089.db2.gz NLVMPKZSZUXJFC-DGCLKSJQSA-N 0 1 299.330 0.484 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc3cc[nH]c32)[C@H](O)C1 ZINC000958412941 613289531 /nfs/dbraw/zinc/28/95/31/613289531.db2.gz LEYSSNPMXAHYDT-UKRRQHHQSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cnc(C3CC3)o2)[C@H](O)C1 ZINC000958148940 613290079 /nfs/dbraw/zinc/29/00/79/613290079.db2.gz MCLLFMAHEABVEL-VXGBXAGGSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2nccc3occc32)[C@H](O)C1 ZINC000958281215 613290888 /nfs/dbraw/zinc/29/08/88/613290888.db2.gz IRCXPZFCYDJUSY-DGCLKSJQSA-N 0 1 299.330 0.484 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(CC)CCC(=O)NC ZINC000933867496 613292738 /nfs/dbraw/zinc/29/27/38/613292738.db2.gz KGGLEQJHFLRPES-UHFFFAOYSA-N 0 1 269.389 0.869 20 30 CCEDMN C=C(C)CN(CC)C(=O)COC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000108767607 613293418 /nfs/dbraw/zinc/29/34/18/613293418.db2.gz RHMIGGYCDCCKPF-NXEZZACHSA-N 0 1 270.285 0.619 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000860158150 613293936 /nfs/dbraw/zinc/29/39/36/613293936.db2.gz IOIWBZRCSQYKSQ-JHJVBQTASA-N 0 1 280.368 0.904 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(C)onc2CC)C1 ZINC000958666573 613294048 /nfs/dbraw/zinc/29/40/48/613294048.db2.gz MRMDUAPLINRFGO-AAEUAGOBSA-N 0 1 291.351 0.201 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)cc(C)n2)C1 ZINC000958199284 613294382 /nfs/dbraw/zinc/29/43/82/613294382.db2.gz GPQSYKRYQAELKC-ZFWWWQNUSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)ccc2C)C1 ZINC000958625856 613294449 /nfs/dbraw/zinc/29/44/49/613294449.db2.gz MKJAYHKYPNHTFO-HOCLYGCPSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C(C)(C)C1 ZINC000974639454 613298531 /nfs/dbraw/zinc/29/85/31/613298531.db2.gz HPDPWHJZKGTMIE-STQMWFEESA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2CCCN2C(C)=O)C(C)(C)C1 ZINC000977634800 613299272 /nfs/dbraw/zinc/29/92/72/613299272.db2.gz IXFPRQQLSHCXRT-KGLIPLIRSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CC[N@H+]1C[C@H](NC(=O)c2[nH]nc(C)c2[O-])C(C)(C)C1 ZINC000973047769 613301816 /nfs/dbraw/zinc/30/18/16/613301816.db2.gz MNMXWGVTHXUILN-JTQLQIEISA-N 0 1 276.340 0.497 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nc(C)c2[O-])C(C)(C)C1 ZINC000973047769 613301818 /nfs/dbraw/zinc/30/18/18/613301818.db2.gz MNMXWGVTHXUILN-JTQLQIEISA-N 0 1 276.340 0.497 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c(C)cccc2C)C1 ZINC000958419183 613302243 /nfs/dbraw/zinc/30/22/43/613302243.db2.gz YWLLJOBVSLJXCC-CABCVRRESA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(CC)s2)C1 ZINC000958232683 613302887 /nfs/dbraw/zinc/30/28/87/613302887.db2.gz VSFLGSSNGGBNBW-YPMHNXCESA-N 0 1 292.404 0.966 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc3c2CCC3)C1 ZINC000958744007 613303308 /nfs/dbraw/zinc/30/33/08/613303308.db2.gz DRNLXFNFZFJXQL-PBHICJAKSA-N 0 1 298.386 0.831 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ncccc2C)C1 ZINC000957943631 613304523 /nfs/dbraw/zinc/30/45/23/613304523.db2.gz KDGJLXQBFWIQHL-OLZOCXBDSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2oc(CC)cc2C)C1 ZINC000958590932 613304779 /nfs/dbraw/zinc/30/47/79/613304779.db2.gz CQZJVKOKFVUNDD-OCCSQVGLSA-N 0 1 290.363 0.806 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CN(C)C(=O)N2)CC1 ZINC000981751474 613311313 /nfs/dbraw/zinc/31/13/13/613311313.db2.gz SMBOZDQRPUTSJJ-GFCCVEGCSA-N 0 1 280.372 0.120 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(c2ccccn2)CC1 ZINC000959876360 613312975 /nfs/dbraw/zinc/31/29/75/613312975.db2.gz YCJJWLBHLDGYKL-NHAGDIPZSA-N 0 1 281.359 0.793 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1n[nH]c2ccccc21 ZINC000962729296 613314885 /nfs/dbraw/zinc/31/48/85/613314885.db2.gz DUFKUMRJAUJHNG-LAQFHYBYSA-N 0 1 294.358 0.785 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCC(=O)N1C(C)C ZINC000961564746 613315272 /nfs/dbraw/zinc/31/52/72/613315272.db2.gz GWOZBPSWVDMTHU-SFDCQRBFSA-N 0 1 289.379 0.065 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1COc2ccccc21 ZINC000963036579 613315690 /nfs/dbraw/zinc/31/56/90/613315690.db2.gz QKNMLHDJHFGBCJ-CTASWTNQSA-N 0 1 282.343 0.842 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1Cc2c(cccc2C)O1 ZINC000962309496 613316144 /nfs/dbraw/zinc/31/61/44/613316144.db2.gz WNKRSKQYRYEWJH-HDEZJCGLSA-N 0 1 296.370 0.978 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)n1cccc1 ZINC000958587115 613316294 /nfs/dbraw/zinc/31/62/94/613316294.db2.gz OGNFTICFHDSLJG-IGQOVBAYSA-N 0 1 257.337 0.729 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC000962685417 613317135 /nfs/dbraw/zinc/31/71/35/613317135.db2.gz WHCVUVSLDMQNBE-MUYACECFSA-N 0 1 284.363 0.194 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@]12CCC[C@H]1OCC2 ZINC000961017708 613317413 /nfs/dbraw/zinc/31/74/13/613317413.db2.gz QFNIZLVJQSFCOL-AQRJEWBLSA-N 0 1 274.364 0.625 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C(N)=O)cs1 ZINC000962830314 613317933 /nfs/dbraw/zinc/31/79/33/613317933.db2.gz HXOMKCUUNDUTEZ-IAZYJMLFSA-N 0 1 289.360 0.140 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C2CC2)nn1C ZINC000960127744 613318071 /nfs/dbraw/zinc/31/80/71/613318071.db2.gz YJMBJDPRCXNLTM-JYAVWHMHSA-N 0 1 284.363 0.591 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn2c(C)cnc2c1 ZINC000959886404 613320225 /nfs/dbraw/zinc/32/02/25/613320225.db2.gz FRGZDZRRLJEKDJ-FOLVSLTJSA-N 0 1 294.358 0.936 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(C)c1C ZINC000961705099 613320275 /nfs/dbraw/zinc/32/02/75/613320275.db2.gz WXMCSQYJTQGVHR-WDNDVIMCSA-N 0 1 257.337 0.627 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnoc1CC ZINC000961943092 613321602 /nfs/dbraw/zinc/32/16/02/613321602.db2.gz VWMPJWXZHZFTML-PJXYFTJBSA-N 0 1 259.309 0.530 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc2cnccc2s1 ZINC000960966049 613321675 /nfs/dbraw/zinc/32/16/75/613321675.db2.gz YQSWQRXFSXSTIX-IWIIMEHWSA-N 0 1 298.371 0.985 20 30 CCEDMN C#CCNC(=O)CCN1CCc2c(ncn2CC)C1 ZINC000933255963 613325616 /nfs/dbraw/zinc/32/56/16/613325616.db2.gz SXWNVAMWKQQJKC-UHFFFAOYSA-N 0 1 260.341 0.401 20 30 CCEDMN C#CCNC(=O)CCN1[C@H](C)C(=O)N(C)[C@H](C)[C@H]1C ZINC000878753248 613326113 /nfs/dbraw/zinc/32/61/13/613326113.db2.gz XTABKRQYZJCBDS-IJLUTSLNSA-N 0 1 265.357 0.065 20 30 CCEDMN C#CCNC(=O)CCNCc1cc(C(=O)OCC)no1 ZINC000872226417 613326696 /nfs/dbraw/zinc/32/66/96/613326696.db2.gz KBVSCIIQKBEZAU-UHFFFAOYSA-N 0 1 279.296 0.080 20 30 CCEDMN C#CCNC(=O)CN(C)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000852153143 613327476 /nfs/dbraw/zinc/32/74/76/613327476.db2.gz HKSSCRGLOUPLAU-AWEZNQCLSA-N 0 1 283.335 0.575 20 30 CCEDMN C#CCNC(=O)CN[C@H](Cn1ccnc1)c1ccccc1 ZINC000880568470 613331067 /nfs/dbraw/zinc/33/10/67/613331067.db2.gz ZXUBMVIQAZZGCB-OAHLLOKOSA-N 0 1 282.347 0.963 20 30 CCEDMN COc1cnc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(F)c1 ZINC000870940951 617330277 /nfs/dbraw/zinc/33/02/77/617330277.db2.gz OWRKGPCBYVSPOP-GMSGAONNSA-N 0 1 264.260 0.071 20 30 CCEDMN C=C(C)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)nc[nH]c1=O ZINC000961562319 613345373 /nfs/dbraw/zinc/34/53/73/613345373.db2.gz XTQPXMCAOWGCSI-PJXYFTJBSA-N 0 1 288.351 0.727 20 30 CCEDMN C=C(C)COCCNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000896995969 613351979 /nfs/dbraw/zinc/35/19/79/613351979.db2.gz VTNHAHSTYNPINE-ZDUSSCGKSA-N 0 1 268.357 0.416 20 30 CCEDMN C=C(C)CONC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000845596003 613352843 /nfs/dbraw/zinc/35/28/43/613352843.db2.gz MRQRHRDQYYUIMU-STQMWFEESA-N 0 1 283.372 0.735 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000910292254 613356032 /nfs/dbraw/zinc/35/60/32/613356032.db2.gz NZYSQMKMSWBQFZ-MNOVXSKESA-N 0 1 270.329 0.243 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000910292254 613356033 /nfs/dbraw/zinc/35/60/33/613356033.db2.gz NZYSQMKMSWBQFZ-MNOVXSKESA-N 0 1 270.329 0.243 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)CN(C)[C@H]1CCSC1 ZINC000882897804 613357320 /nfs/dbraw/zinc/35/73/20/613357320.db2.gz TZGXQQMICFUPSI-NEPJUHHUSA-N 0 1 272.414 0.867 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000922737927 613357995 /nfs/dbraw/zinc/35/79/95/613357995.db2.gz VUNMERVHGLPXMX-NXEZZACHSA-N 0 1 264.329 0.668 20 30 CCEDMN C=C(C)C[C@H](CO)NS(=O)(=O)c1ccccc1O ZINC000882844795 613358600 /nfs/dbraw/zinc/35/86/00/613358600.db2.gz AOGLVLILBBRZMP-SNVBAGLBSA-N 0 1 271.338 0.998 20 30 CCEDMN C=C(C)C[C@H](NC(=O)Cc1cnc[nH]1)C(=O)OC ZINC000913661105 613358674 /nfs/dbraw/zinc/35/86/74/613358674.db2.gz AWSALPVLVLZSBX-JTQLQIEISA-N 0 1 251.286 0.576 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1CC[C@H](N2CCC2)C1 ZINC000912902620 613359243 /nfs/dbraw/zinc/35/92/43/613359243.db2.gz MTSBYYWGPMQBSP-KBPBESRZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NC[C@H]1CC[N@@H+]1C ZINC000922323843 613362067 /nfs/dbraw/zinc/36/20/67/613362067.db2.gz JIDKONPMKLPWSD-XQQFMLRXSA-N 0 1 267.373 0.971 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NC[C@H]1CCN1C ZINC000922323843 613362068 /nfs/dbraw/zinc/36/20/68/613362068.db2.gz JIDKONPMKLPWSD-XQQFMLRXSA-N 0 1 267.373 0.971 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@]2(CC)CCNC2=O)C1 ZINC000968970041 613385492 /nfs/dbraw/zinc/38/54/92/613385492.db2.gz BNZFDOJTYGLJML-BXUZGUMPSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H](NC(=O)c3cnn[nH]3)C2)C1 ZINC000941447980 613393764 /nfs/dbraw/zinc/39/37/64/613393764.db2.gz PJMNVVJGPCEINU-SNVBAGLBSA-N 0 1 289.339 0.492 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN(Cc2nnc[nH]2)CC1 ZINC000878810673 613398423 /nfs/dbraw/zinc/39/84/23/613398423.db2.gz HVYOQOAOHIGTAT-NSHDSACASA-N 0 1 279.344 0.040 20 30 CCEDMN C=CC[C@@H]1CCN1C(=O)CN1CCN(CC)CC1 ZINC000890559778 613408874 /nfs/dbraw/zinc/40/88/74/613408874.db2.gz PMFKXGARVVQETG-CYBMUJFWSA-N 0 1 251.374 0.801 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@H](C(=O)OC)[C@H]1C ZINC000878495066 613412553 /nfs/dbraw/zinc/41/25/53/613412553.db2.gz HFBIOZLVNPVWHH-OUAUKWLOSA-N 0 1 255.314 0.988 20 30 CCEDMN C=CC[C@H](CO)NCc1cn(C[C@H]2CCCO2)nn1 ZINC000865527176 613418744 /nfs/dbraw/zinc/41/87/44/613418744.db2.gz HWRWWICFNGUALR-DGCLKSJQSA-N 0 1 266.345 0.484 20 30 CCEDMN C=CCN1C(=O)N=NC1S[C@@H]1CCN(OC)C1=O ZINC000917209217 613424438 /nfs/dbraw/zinc/42/44/38/613424438.db2.gz DGXPKOIJFASKGY-SSDOTTSWSA-N 0 1 270.314 0.424 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)CC=CCl ZINC000905251097 613424648 /nfs/dbraw/zinc/42/46/48/613424648.db2.gz IDETUFGEMUAPIE-ARJAWSKDSA-N 0 1 263.706 0.696 20 30 CCEDMN C=CC(=O)NCc1ccc(C(=O)NCC#CCN(C)C)cc1 ZINC000913517795 613426230 /nfs/dbraw/zinc/42/62/30/613426230.db2.gz PRRZCTMNQLWQCL-UHFFFAOYSA-N 0 1 299.374 0.784 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)[C@@H]2CCN(C)C2=O)C1 ZINC000972079550 613434746 /nfs/dbraw/zinc/43/47/46/613434746.db2.gz OOBHVBJVLBDZLL-CHWSQXEVSA-N 0 1 293.411 0.962 20 30 CCEDMN C=CCn1cc(CN[C@@H]2CC[C@@H](C(=O)OC)C2)nn1 ZINC000886628624 613436030 /nfs/dbraw/zinc/43/60/30/613436030.db2.gz XNBRZTXHEBSQHU-GHMZBOCLSA-N 0 1 264.329 0.895 20 30 CCEDMN C=CC(C)(C)CCCNC(=O)C(=O)N1CCN[C@H](C)C1 ZINC000885096062 613446713 /nfs/dbraw/zinc/44/67/13/613446713.db2.gz FHDOQNDUWFDAJU-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CC(C)(C)CCCNS(=O)(=O)C[C@H]1CNCCO1 ZINC000871806822 613446995 /nfs/dbraw/zinc/44/69/95/613446995.db2.gz OFEOAMPAPATMSI-GFCCVEGCSA-N 0 1 290.429 0.887 20 30 CCEDMN C=C[C@@H](CC)CC(=O)Nc1nn[nH]c1C(=O)NC ZINC000905934381 613449170 /nfs/dbraw/zinc/44/91/70/613449170.db2.gz KUNOTHIONMQJPP-ZETCQYMHSA-N 0 1 251.290 0.705 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CC[C@@H](N2CC[C@H](O)C2)C1 ZINC000861713765 613454397 /nfs/dbraw/zinc/45/43/97/613454397.db2.gz DUSDPGBYDRXVGU-MNOVXSKESA-N 0 1 274.311 0.865 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)C(=O)NC[C@H]1COCCN1 ZINC000884814195 613463884 /nfs/dbraw/zinc/46/38/84/613463884.db2.gz AGADERBJHTWBKH-LBPRGKRZSA-N 0 1 297.399 0.200 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)c3ncc[nH]3)CC2)C1 ZINC000941395899 613482054 /nfs/dbraw/zinc/48/20/54/613482054.db2.gz MDOCCIKQFRBQRZ-UHFFFAOYSA-N 0 1 289.383 0.428 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCCCN2C(N)=O)CC1 ZINC000957283454 613507494 /nfs/dbraw/zinc/50/74/94/613507494.db2.gz ANMVEUVFFNMECN-CYBMUJFWSA-N 0 1 294.399 0.640 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCCCN(C)C2=O)CC1 ZINC000957306973 613508010 /nfs/dbraw/zinc/50/80/10/613508010.db2.gz BFQVBVVYXQLNPM-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](C)N2C(=O)CCC2=O)CC1 ZINC000948615104 613508026 /nfs/dbraw/zinc/50/80/26/613508026.db2.gz QPFKCYZZTQGVTC-LBPRGKRZSA-N 0 1 293.367 0.244 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC000969795947 613536581 /nfs/dbraw/zinc/53/65/81/613536581.db2.gz KEALWVUCCOBBQK-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000970311845 613537488 /nfs/dbraw/zinc/53/74/88/613537488.db2.gz FCQZEAWFPWRVJQ-JTQLQIEISA-N 0 1 288.351 0.564 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3CCC(=O)N3)C2)C1 ZINC000982122932 613541709 /nfs/dbraw/zinc/54/17/09/613541709.db2.gz WMFPKYLHADIQGM-ZDUSSCGKSA-N 0 1 289.379 0.213 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3COC(=O)N3)C2)C1 ZINC000981742299 613543228 /nfs/dbraw/zinc/54/32/28/613543228.db2.gz KKRXYIRMBOBSNJ-GFCCVEGCSA-N 0 1 291.351 0.043 20 30 CCEDMN CC#CCN1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC000948867285 613565321 /nfs/dbraw/zinc/56/53/21/613565321.db2.gz HOLKPRWWUPUACU-CHWSQXEVSA-N 0 1 272.352 0.681 20 30 CCEDMN CC#CCN1CCN(C(=O)[C@H]2CCc3[nH]nnc3C2)CC1 ZINC000957319486 613566597 /nfs/dbraw/zinc/56/65/97/613566597.db2.gz PDQPQLUKFIWLOO-LBPRGKRZSA-N 0 1 287.367 0.077 20 30 CCEDMN CC#CCN1CCN(C(=O)[C@H]2CCc3nn[nH]c3C2)CC1 ZINC000957319486 613566600 /nfs/dbraw/zinc/56/66/00/613566600.db2.gz PDQPQLUKFIWLOO-LBPRGKRZSA-N 0 1 287.367 0.077 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965995083 613571500 /nfs/dbraw/zinc/57/15/00/613571500.db2.gz CHDPLLYSFGWILE-YPMHNXCESA-N 0 1 290.367 0.770 20 30 CCEDMN C=CCCOCCNC(=O)N1CCN(C)CCN(C)CC1 ZINC000893860950 613574671 /nfs/dbraw/zinc/57/46/71/613574671.db2.gz YLSNURBMEKXLOV-UHFFFAOYSA-N 0 1 298.431 0.468 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896567662 613579517 /nfs/dbraw/zinc/57/95/17/613579517.db2.gz HNHDBZPLHWFZOM-MJBXVCDLSA-N 0 1 283.416 0.718 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000896567660 613579540 /nfs/dbraw/zinc/57/95/40/613579540.db2.gz HNHDBZPLHWFZOM-MCIONIFRSA-N 0 1 283.416 0.718 20 30 CCEDMN C=CCC[C@@H](CO)NS(=O)(=O)N=S(=O)(CC)CC ZINC000867367517 613584534 /nfs/dbraw/zinc/58/45/34/613584534.db2.gz FJLYALCTRCUQRZ-JTQLQIEISA-N 0 1 298.430 0.656 20 30 CCEDMN C=CCC[C@H](C)NCc1nnc2c(=O)n(C)ccn12 ZINC000883334696 613588026 /nfs/dbraw/zinc/58/80/26/613588026.db2.gz FTMMJTUBEPXSCF-JTQLQIEISA-N 0 1 261.329 0.872 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC000965964347 613590481 /nfs/dbraw/zinc/59/04/81/613590481.db2.gz PGQRKRMRIJCVTL-ZFWWWQNUSA-N 0 1 288.395 0.813 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1C[C@H](C(=O)N(C)C)CC[C@@H]1C(=O)[O-] ZINC000923264469 613591286 /nfs/dbraw/zinc/59/12/86/613591286.db2.gz IJGKTJHBVPEYJZ-FRRDWIJNSA-N 0 1 298.383 0.567 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)C2=COCCO2)C1 ZINC000964877394 613593167 /nfs/dbraw/zinc/59/31/67/613593167.db2.gz SZARRFFDVVLOCY-QWHCGFSZSA-N 0 1 278.352 0.725 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965917641 613595389 /nfs/dbraw/zinc/59/53/89/613595389.db2.gz YGOMLQUUWVTHBN-CMPLNLGQSA-N 0 1 290.367 0.887 20 30 CCEDMN C=CCN(C)C(=O)Cc1n[nH]cc1C(=O)N(C)CC=C ZINC000935480477 613609227 /nfs/dbraw/zinc/60/92/27/613609227.db2.gz NQFXTGOPWQGNEF-UHFFFAOYSA-N 0 1 276.340 0.855 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc[nH]c2CC)C1 ZINC000958020447 613612715 /nfs/dbraw/zinc/61/27/15/613612715.db2.gz PEJMNRRXTMISRW-SWLSCSKDSA-N 0 1 289.379 0.623 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2oncc2C)C1 ZINC000958563282 613613724 /nfs/dbraw/zinc/61/37/24/613613724.db2.gz LKLIJARGELVYNS-NWDGAFQWSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc(Cl)c[nH]2)[C@H](O)C1 ZINC000957869970 613614983 /nfs/dbraw/zinc/61/49/83/613614983.db2.gz YNWRVMUMGPZHFP-ZWNOBZJWSA-N 0 1 295.770 0.714 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccsc2)[C@H](O)C1 ZINC000957863870 613615949 /nfs/dbraw/zinc/61/59/49/613615949.db2.gz NSRNNADNSVEPRW-CHWSQXEVSA-N 0 1 278.377 0.794 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)C23CCC(CC2)C3)C1 ZINC000958187256 613617530 /nfs/dbraw/zinc/61/75/30/613617530.db2.gz NVSPELAWZUMIKB-GWUWNPHMSA-N 0 1 290.407 0.999 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC000957979179 613618258 /nfs/dbraw/zinc/61/82/58/613618258.db2.gz LTOGJUOCIAKLHH-KBPBESRZSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2c[nH]c(=O)cn2)C(C)(C)C1 ZINC000974958197 613623403 /nfs/dbraw/zinc/62/34/03/613623403.db2.gz CGLQAOUGQGCMDH-LBPRGKRZSA-N 0 1 288.351 0.233 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC000958338791 613624739 /nfs/dbraw/zinc/62/47/39/613624739.db2.gz HVPNRZDQXOGDPV-FQKPHLNHSA-N 0 1 276.380 0.465 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)C2CCCCC2)C1 ZINC000957820704 613624976 /nfs/dbraw/zinc/62/49/76/613624976.db2.gz JRHUIQKGVOPYLD-CABCVRRESA-N 0 1 278.396 0.999 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2csc(C)n2)C1 ZINC000958339018 613625684 /nfs/dbraw/zinc/62/56/84/613625684.db2.gz JXVUEGVUNGURIP-YPMHNXCESA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccns2)C1 ZINC000958109786 613625763 /nfs/dbraw/zinc/62/57/63/613625763.db2.gz AWRIATZZBKVCIZ-MNOVXSKESA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccn(C)n1 ZINC000959850943 613633860 /nfs/dbraw/zinc/63/38/60/613633860.db2.gz QAZIMNHDQGDVSM-NHAGDIPZSA-N 0 1 272.352 0.032 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cn(C)nc1C ZINC000960297846 613634245 /nfs/dbraw/zinc/63/42/45/613634245.db2.gz QNVQNFGDHDYSCX-FOLVSLTJSA-N 0 1 286.379 0.341 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1Cc2ccncc2C1 ZINC000961793075 613636213 /nfs/dbraw/zinc/63/62/13/613636213.db2.gz XEJKDFRZNPLBGY-SIXLDLHFSA-N 0 1 295.386 0.866 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC12CCOCC2 ZINC000963153146 613637539 /nfs/dbraw/zinc/63/75/39/613637539.db2.gz IQHVPMQPEMZIFJ-BARDWOONSA-N 0 1 288.391 0.873 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1oncc1C ZINC000962195513 613643390 /nfs/dbraw/zinc/64/33/90/613643390.db2.gz AHOBKANORPAKST-GDNZZTSVSA-N 0 1 259.309 0.666 20 30 CCEDMN CC#CCNC(=O)N[C@H]1CCC[N@H+]2CCSC[C@H]12 ZINC000923216850 613644503 /nfs/dbraw/zinc/64/45/03/613644503.db2.gz QAPSRSMHHYNYBG-NWDGAFQWSA-N 0 1 267.398 0.889 20 30 CCEDMN CC#CCNC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000923216850 613644505 /nfs/dbraw/zinc/64/45/05/613644505.db2.gz QAPSRSMHHYNYBG-NWDGAFQWSA-N 0 1 267.398 0.889 20 30 CCEDMN CC#CCNC(=O)N[C@H]1CCC[N@H+]2CCSC[C@@H]12 ZINC000923216851 613644519 /nfs/dbraw/zinc/64/45/19/613644519.db2.gz QAPSRSMHHYNYBG-RYUDHWBXSA-N 0 1 267.398 0.889 20 30 CCEDMN CC#CCNC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000923216851 613644520 /nfs/dbraw/zinc/64/45/20/613644520.db2.gz QAPSRSMHHYNYBG-RYUDHWBXSA-N 0 1 267.398 0.889 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC(C(=O)NOC)CC1 ZINC000879920745 613646520 /nfs/dbraw/zinc/64/65/20/613646520.db2.gz DWKFUBSPKNCZBM-UHFFFAOYSA-N 0 1 295.383 0.577 20 30 CCEDMN C=CCN(CC=C)C(=O)COC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840673027 613647679 /nfs/dbraw/zinc/64/76/79/613647679.db2.gz WAHITOHGRGALAW-NXEZZACHSA-N 0 1 268.269 0.395 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000880442146 613651999 /nfs/dbraw/zinc/65/19/99/613651999.db2.gz UGRCNIAPCJMVFP-JTNHKYCSSA-N 0 1 275.352 0.966 20 30 CCEDMN CC#CC[C@H](CO)NCc1c2c(nn1C)CCOC2 ZINC000922217696 613652742 /nfs/dbraw/zinc/65/27/42/613652742.db2.gz VOZNELWFHUDSET-LLVKDONJSA-N 0 1 263.341 0.357 20 30 CCEDMN CC(=NNC1=NC[C@@H](C)N1)c1cnc2ncccn12 ZINC000905460776 613654513 /nfs/dbraw/zinc/65/45/13/613654513.db2.gz PRSFUHVPKBGABD-MRVPVSSYSA-N 0 1 257.301 0.391 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC000970090673 613662817 /nfs/dbraw/zinc/66/28/17/613662817.db2.gz TZOHCGXGYGRWQU-OCCSQVGLSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC000970412609 613662880 /nfs/dbraw/zinc/66/28/80/613662880.db2.gz PMUNONYFWPOWIN-DGCLKSJQSA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cncc(C(N)=O)c2)C1 ZINC000970895982 613666991 /nfs/dbraw/zinc/66/69/91/613666991.db2.gz QYORSWSRFCPLIK-SNVBAGLBSA-N 0 1 288.351 0.417 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2nccnc2N)C1 ZINC000969419349 613667842 /nfs/dbraw/zinc/66/78/42/613667842.db2.gz DIKNILBUWOXLLG-SECBINFHSA-N 0 1 261.329 0.295 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2COCCN2CC)C1 ZINC000970001725 613669653 /nfs/dbraw/zinc/66/96/53/613669653.db2.gz RQUGKTCFCSZMKA-JSGCOSHPSA-N 0 1 281.400 0.330 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccnc3ccnn32)C1 ZINC000969834391 613672602 /nfs/dbraw/zinc/67/26/02/613672602.db2.gz YSTYRSZTYSIBML-NSHDSACASA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnc3nccn3c2)C1 ZINC000970159673 613673319 /nfs/dbraw/zinc/67/33/19/613673319.db2.gz XWUBJLUEITWERH-NSHDSACASA-N 0 1 285.351 0.965 20 30 CCEDMN CC(=O)NC1(C(=O)NCC#CCN(C)C)CCCCC1 ZINC000913517169 613689350 /nfs/dbraw/zinc/68/93/50/613689350.db2.gz VPGVPBQKWZITMK-UHFFFAOYSA-N 0 1 279.384 0.507 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CC(=O)N(CC)C2)CC1 ZINC000981276257 613690694 /nfs/dbraw/zinc/69/06/94/613690694.db2.gz KMTYRGDXMFFTHK-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCN1CCCN(C(=O)Cn2ccc(C)cc2=O)CC1 ZINC000982054145 613690714 /nfs/dbraw/zinc/69/07/14/613690714.db2.gz LKXHEIHJLYHLIT-UHFFFAOYSA-N 0 1 289.379 0.877 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2nnc(C)[nH]2)CC1 ZINC000981116481 613690862 /nfs/dbraw/zinc/69/08/62/613690862.db2.gz OPMYCNGUWHDJBG-UHFFFAOYSA-N 0 1 263.345 0.376 20 30 CCEDMN C=CCN1CCCN(C(=O)c2ccnn2CCOC)CC1 ZINC000981410836 613698360 /nfs/dbraw/zinc/69/83/60/613698360.db2.gz KLDUWFUYNLFLJV-UHFFFAOYSA-N 0 1 292.383 0.863 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC000965996846 613736046 /nfs/dbraw/zinc/73/60/46/613736046.db2.gz UQACRZXQSLQOFR-DGCLKSJQSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C2(N(C)C)CCC2)C1=O ZINC000799026919 613737285 /nfs/dbraw/zinc/73/72/85/613737285.db2.gz RHZOZJWEUXRKNG-GFCCVEGCSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H]2CCCN2C(C)=O)C1=O ZINC000960352984 613738519 /nfs/dbraw/zinc/73/85/19/613738519.db2.gz AGXODAQFKNQUEO-UONOGXRCSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@@H](OC(=O)CCc2nc[nH]n2)C1=O ZINC000798632483 613764257 /nfs/dbraw/zinc/76/42/57/613764257.db2.gz LQIWCCUWXWUHGQ-SECBINFHSA-N 0 1 264.285 0.067 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(=O)n(C)n2)C1 ZINC000968614294 613768710 /nfs/dbraw/zinc/76/87/10/613768710.db2.gz JYJSEJLMMUUIIW-AAEUAGOBSA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)C2=COCCO2)C1 ZINC000964714075 613772012 /nfs/dbraw/zinc/77/20/12/613772012.db2.gz MAEIXUVYTDLYFH-NWDGAFQWSA-N 0 1 266.341 0.887 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cnn(C)n2)C1 ZINC000968413750 613774881 /nfs/dbraw/zinc/77/48/81/613774881.db2.gz MUHVZZUUXFJOPU-CMPLNLGQSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)CN2CCCC2=O)C(C)(C)C1 ZINC000972795037 613806155 /nfs/dbraw/zinc/80/61/55/613806155.db2.gz WITDNKOGEAHWOE-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C(C)(C)C1 ZINC000977630705 613807553 /nfs/dbraw/zinc/80/75/53/613807553.db2.gz VWNPKMJXGNUTMO-CHWSQXEVSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C(C)(C)C1 ZINC000977525096 613808347 /nfs/dbraw/zinc/80/83/47/613808347.db2.gz IOPVKAZHGHIPAG-UONOGXRCSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C(C)(C)C1 ZINC000975005552 613809363 /nfs/dbraw/zinc/80/93/63/613809363.db2.gz VCNXOSQDMINTJC-ABAIWWIYSA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cnnn2C)C(C)(C)C1 ZINC000974536776 613811879 /nfs/dbraw/zinc/81/18/79/613811879.db2.gz KBOFBZFRVNAAHJ-LLVKDONJSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2ncn(C)n2)C(C)(C)C1 ZINC000974559101 613829960 /nfs/dbraw/zinc/82/99/60/613829960.db2.gz JWMSPCFHFISXSH-JTQLQIEISA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC[C@H](O)C(C)C)CC1 ZINC000877892959 613845633 /nfs/dbraw/zinc/84/56/33/613845633.db2.gz IIPIMFOBGUMFCK-AWEZNQCLSA-N 0 1 283.416 0.313 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(C(C)(C)O)CC1 ZINC000879625888 613850990 /nfs/dbraw/zinc/85/09/90/613850990.db2.gz MNMTZGXJQPKMGP-LLVKDONJSA-N 0 1 297.399 0.870 20 30 CCEDMN C=CCNC(=S)N[C@H](C)CN1CCN(C)CC1 ZINC000730157084 613857623 /nfs/dbraw/zinc/85/76/23/613857623.db2.gz OIKQDYCHIUBIGA-LLVKDONJSA-N 0 1 256.419 0.272 20 30 CCEDMN C=CCNc1ncc(C(=O)NN2CCOC2=O)s1 ZINC000863937269 613876938 /nfs/dbraw/zinc/87/69/38/613876938.db2.gz CSPKKKGVIAMNEK-UHFFFAOYSA-N 0 1 268.298 0.838 20 30 CCEDMN C=CCOCC(=O)N1CCN(CCCCCO)CC1 ZINC000913690938 613879226 /nfs/dbraw/zinc/87/92/26/613879226.db2.gz CBIFOUKBAXRQDQ-UHFFFAOYSA-N 0 1 270.373 0.496 20 30 CCEDMN C=CCONC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000834931239 613883953 /nfs/dbraw/zinc/88/39/53/613883953.db2.gz CIELNBMYORMQPR-TXEJJXNPSA-N 0 1 271.361 0.903 20 30 CCEDMN C=CCOc1ccccc1CNC[C@H](O)CS(C)(=O)=O ZINC000922827669 613884848 /nfs/dbraw/zinc/88/48/48/613884848.db2.gz UVCQNAPMALZPOP-ZDUSSCGKSA-N 0 1 299.392 0.747 20 30 CCEDMN CC(C)(C)N1CC[C@@H]1C(=O)N1CCO[C@H](C#N)C1 ZINC000896208429 613929512 /nfs/dbraw/zinc/92/95/12/613929512.db2.gz HPCYRSVFDBIOTB-GHMZBOCLSA-N 0 1 251.330 0.610 20 30 CCEDMN CC(C)(C)[N@H+]1CC[C@@H]1C(=O)N1CCO[C@H](C#N)C1 ZINC000896208429 613929511 /nfs/dbraw/zinc/92/95/11/613929511.db2.gz HPCYRSVFDBIOTB-GHMZBOCLSA-N 0 1 251.330 0.610 20 30 CCEDMN CC(C)CC(=O)NCCC(=O)NCC#CCN(C)C ZINC000913519067 614018101 /nfs/dbraw/zinc/01/81/01/614018101.db2.gz MECOCABSCXYONB-UHFFFAOYSA-N 0 1 267.373 0.220 20 30 CCEDMN CC(C)(C)c1nc[nH]c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000876802963 614046439 /nfs/dbraw/zinc/04/64/39/614046439.db2.gz SWZQIWSYAKVGEC-IUCAKERBSA-N 0 1 261.329 0.549 20 30 CCEDMN CC(C)(C)n1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)cn1 ZINC000834489209 614060173 /nfs/dbraw/zinc/06/01/73/614060173.db2.gz KSWOZMWZFTZTFJ-MWLCHTKSSA-N 0 1 261.329 0.479 20 30 CCEDMN CC(C)(C)n1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1=O ZINC000870941288 614063443 /nfs/dbraw/zinc/06/34/43/614063443.db2.gz UEYIDFURYQLTLF-ZYHUDNBSSA-N 0 1 288.351 0.445 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@H](C2OCCO2)C1 ZINC000932009465 614180266 /nfs/dbraw/zinc/18/02/66/614180266.db2.gz ZLYVLQXRDVHVMR-SWLSCSKDSA-N 0 1 295.383 0.736 20 30 CCEDMN CC(=O)Nc1ccc(CC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834510620 614200837 /nfs/dbraw/zinc/20/08/37/614200837.db2.gz DLBFRFHURHLVIE-JSGCOSHPSA-N 0 1 286.335 0.415 20 30 CCEDMN CC(C)C[C@@H]1CN(C)CCN1C(=O)NCC#CCO ZINC000923761331 614201351 /nfs/dbraw/zinc/20/13/51/614201351.db2.gz PCFWZGVSYZNMMH-CYBMUJFWSA-N 0 1 267.373 0.354 20 30 CCEDMN CC(=O)c1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000834512394 614252534 /nfs/dbraw/zinc/25/25/34/614252534.db2.gz VWEXPXXLUFOMRH-RKDXNWHRSA-N 0 1 263.322 0.792 20 30 CCEDMN CC(C)(C#N)C(=O)N1CC[C@@H]2[C@H]1CCCN2CCO ZINC000889773180 614284676 /nfs/dbraw/zinc/28/46/76/614284676.db2.gz GPBGMJJDMLQMKO-VXGBXAGGSA-N 0 1 265.357 0.594 20 30 CCEDMN CC(C)c1cc(C(=O)N2CC[C@@H](NCC#N)C2)n[nH]1 ZINC000970044875 614299766 /nfs/dbraw/zinc/29/97/66/614299766.db2.gz ABFHVTSVSDOCEC-SNVBAGLBSA-N 0 1 261.329 0.861 20 30 CCEDMN CC(C)c1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)n(C)n1 ZINC000834480289 614304807 /nfs/dbraw/zinc/30/48/07/614304807.db2.gz NPKVVYVBXXALQH-ONGXEEELSA-N 0 1 261.329 0.385 20 30 CCEDMN CC(C)c1cc(C(=O)N[C@H]2CNC[C@H]2C#N)nn1C ZINC000834480912 614305156 /nfs/dbraw/zinc/30/51/56/614305156.db2.gz XWGZFPFGIFAEPV-KOLCDFICSA-N 0 1 261.329 0.385 20 30 CCEDMN CC(C)c1noc(CCC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000834521861 614339833 /nfs/dbraw/zinc/33/98/33/614339833.db2.gz WONCYROBXLGZFH-VHSXEESVSA-N 0 1 277.328 0.353 20 30 CCEDMN CC(C)(C)C(=O)NCCCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834498592 614343224 /nfs/dbraw/zinc/34/32/24/614343224.db2.gz AFIVHPASGZJBJU-WDEREUQCSA-N 0 1 280.372 0.157 20 30 CCEDMN CCC1(C(=O)N[C@H]2CNC[C@@H]2C#N)CCOCC1 ZINC000834485406 614665030 /nfs/dbraw/zinc/66/50/30/614665030.db2.gz WFDJAZLHFILAIB-QWRGUYRKSA-N 0 1 251.330 0.421 20 30 CCEDMN CC1(C)CO[C@H](CCC(=O)N[C@@H]2CNC[C@H]2C#N)C1 ZINC000884250895 614734098 /nfs/dbraw/zinc/73/40/98/614734098.db2.gz RAAPXPOJYRQSRI-IJLUTSLNSA-N 0 1 265.357 0.809 20 30 CCEDMN CCCC(=O)N1CCCC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913518176 614742646 /nfs/dbraw/zinc/74/26/46/614742646.db2.gz HGVKYDIALARXJE-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN Cc1[nH][nH]c(=O)c1Sc1nc(C)nc(C)c1C#N ZINC000916563486 614973300 /nfs/dbraw/zinc/97/33/00/614973300.db2.gz YBPLLPYYTHPQKI-SECBINFHSA-N 0 1 261.310 0.932 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@H]1CC[C@@H]1OC ZINC000934124398 615021194 /nfs/dbraw/zinc/02/11/94/615021194.db2.gz HSERXLKTVVOSLI-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC2(CCNC2=O)CC1 ZINC000879918082 615021677 /nfs/dbraw/zinc/02/16/77/615021677.db2.gz QKAOZZSYLZIGCO-UHFFFAOYSA-N 0 1 292.383 0.351 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H](CO)[C@H]1CO ZINC000879363163 615064502 /nfs/dbraw/zinc/06/45/02/615064502.db2.gz MVFDCGAEACCCSJ-HZSPNIEDSA-N 0 1 297.399 0.060 20 30 CCEDMN CC[N@H+]1CCCC[C@@H]1C(=O)N1CC[C@@H](NCC#N)C1 ZINC000970795306 615110957 /nfs/dbraw/zinc/11/09/57/615110957.db2.gz CHIVIZNQZYDTPQ-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN CCOC1CC2(C[C@@H]2C(=O)N[C@@H]2CNC[C@H]2C#N)C1 ZINC000870941122 615221342 /nfs/dbraw/zinc/22/13/42/615221342.db2.gz REQIQFRIGMVUPT-BCXZHAGTSA-N 0 1 263.341 0.419 20 30 CCEDMN CCOC(=O)N1C[C@@H](CO)[C@@H](NCc2ccc(C#N)o2)C1 ZINC000898356248 615237425 /nfs/dbraw/zinc/23/74/25/615237425.db2.gz MPQLRLAKBRFRBS-GWCFXTLKSA-N 0 1 293.323 0.690 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)CNc1ccc(C#N)cn1 ZINC000922159314 615312112 /nfs/dbraw/zinc/31/21/12/615312112.db2.gz OKNBZPJHLDOVQO-LBPRGKRZSA-N 0 1 273.340 0.576 20 30 CCEDMN CC[N@H+]1C[C@H](C)N(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@@H]1C ZINC000820348270 615394032 /nfs/dbraw/zinc/39/40/32/615394032.db2.gz OPDZYOLAZZOBMI-COPLHBTASA-N 0 1 281.356 0.932 20 30 CCEDMN CCOC(=O)c1cc(C(=O)NC2=NO[C@H](C)C2)on1 ZINC000827496375 615400198 /nfs/dbraw/zinc/40/01/98/615400198.db2.gz IKYIWPBBXLYZMN-ZCFIWIBFSA-N 0 1 267.241 0.703 20 30 CCEDMN CCO[C@@H](CC)C(=O)C(C#N)C(=O)NCCCOC ZINC000920106898 615403776 /nfs/dbraw/zinc/40/37/76/615403776.db2.gz HZZDFVOYEZPBNB-QWRGUYRKSA-N 0 1 270.329 0.663 20 30 CCEDMN CCO[C@@H]1[C@@H](C)[C@@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000906599720 615453726 /nfs/dbraw/zinc/45/37/26/615453726.db2.gz GOUWRQOXRUDDON-SDDRHHMPSA-N 0 1 265.357 0.762 20 30 CCEDMN CCc1cc(C(=O)N(C)C2CN(C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC000953769059 615610754 /nfs/dbraw/zinc/61/07/54/615610754.db2.gz DONHCUJHZKLQEU-SECBINFHSA-N 0 1 289.339 0.415 20 30 CCEDMN CCn1ncnc1C=NNCCN1CCCCC1 ZINC000848403805 615734911 /nfs/dbraw/zinc/73/49/11/615734911.db2.gz ZYFUNFZWJQPWJC-UHFFFAOYSA-N 0 1 250.350 0.707 20 30 CCEDMN CCc1nnc(C)cc1C(=O)NCC#CCN(C)C ZINC000913521441 615808210 /nfs/dbraw/zinc/80/82/10/615808210.db2.gz ZMFZQFWGNYQMRG-UHFFFAOYSA-N 0 1 260.341 0.642 20 30 CCEDMN CN(C)C(=O)[C@H]1CCN(Cc2cncc(C#N)c2)C1 ZINC000930717208 615833003 /nfs/dbraw/zinc/83/30/03/615833003.db2.gz RMEROYUBFAENMH-ZDUSSCGKSA-N 0 1 258.325 0.863 20 30 CCEDMN CN(C)CC#CCNC(=O)CCCOc1cccnc1 ZINC000913518780 615857505 /nfs/dbraw/zinc/85/75/05/615857505.db2.gz ALPQAHIHVBPHKI-UHFFFAOYSA-N 0 1 275.352 0.922 20 30 CCEDMN CN(C)CC#CCNC(=O)C(F)(F)C1(O)CCCCC1 ZINC000913521649 615857745 /nfs/dbraw/zinc/85/77/45/615857745.db2.gz IGTOCAUERQMBJV-UHFFFAOYSA-N 0 1 288.338 0.998 20 30 CCEDMN CN(C)CC#CCNC(=O)CCc1nccs1 ZINC000913517441 615857755 /nfs/dbraw/zinc/85/77/55/615857755.db2.gz AVXIAGGUDQZMEU-UHFFFAOYSA-N 0 1 251.355 0.757 20 30 CCEDMN CN(C)CC#CCNC(=O)CCCNC(=O)OC(C)(C)C ZINC000913516012 615857834 /nfs/dbraw/zinc/85/78/34/615857834.db2.gz WTOIDABWRWWBCY-UHFFFAOYSA-N 0 1 297.399 0.973 20 30 CCEDMN CN(C)CC#CCNC(=O)C1(C(=O)NC2CC2)CCC1 ZINC000913518103 615857893 /nfs/dbraw/zinc/85/78/93/615857893.db2.gz DQWHIGATOYGYCO-UHFFFAOYSA-N 0 1 277.368 0.117 20 30 CCEDMN CN(C)CC#CCNC(=O)CCn1cc(Cl)cn1 ZINC000913517890 615857958 /nfs/dbraw/zinc/85/79/58/615857958.db2.gz UFMAJFRJBKINLM-UHFFFAOYSA-N 0 1 268.748 0.608 20 30 CCEDMN CN(C)CC#CCNC(=O)CONC(=O)OC(C)(C)C ZINC000913524590 615858206 /nfs/dbraw/zinc/85/82/06/615858206.db2.gz SUDWTEJDMLBWGN-UHFFFAOYSA-N 0 1 285.344 0.124 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc(C(N)=O)cc1 ZINC000913521707 615858274 /nfs/dbraw/zinc/85/82/74/615858274.db2.gz KBKIUCCIQSQSBU-UHFFFAOYSA-N 0 1 273.336 0.009 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc2c(c1)NC(=O)C2 ZINC000913521427 615858374 /nfs/dbraw/zinc/85/83/74/615858374.db2.gz YVQAXCFTZJHKEJ-UHFFFAOYSA-N 0 1 285.347 0.405 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC000913519036 615859383 /nfs/dbraw/zinc/85/93/83/615859383.db2.gz KQIMBDJRHMAJLC-LLVKDONJSA-N 0 1 260.341 0.196 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@]1(O)CCCC(C)(C)C1 ZINC000913523669 615859818 /nfs/dbraw/zinc/85/98/18/615859818.db2.gz IFWQGTDGOARUGK-OAHLLOKOSA-N 0 1 266.385 0.999 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c[nH]c2ncccc12 ZINC000913518004 615859960 /nfs/dbraw/zinc/85/99/60/615859960.db2.gz ZJANLOWZJWKGJQ-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H](O)CCc1ccccc1 ZINC000913520777 615859976 /nfs/dbraw/zinc/85/99/76/615859976.db2.gz YCSBAJGVMANLGJ-OAHLLOKOSA-N 0 1 274.364 0.661 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCCC12OCCO2 ZINC000913521770 615860204 /nfs/dbraw/zinc/86/02/04/615860204.db2.gz MRAWEFPDVQUCSX-GFCCVEGCSA-N 0 1 266.341 0.211 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c[nH]nc1-c1ccccn1 ZINC000913522253 615860245 /nfs/dbraw/zinc/86/02/45/615860245.db2.gz BOHIGIDGYJYFBC-UHFFFAOYSA-N 0 1 283.335 0.767 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000913523149 615860302 /nfs/dbraw/zinc/86/03/02/615860302.db2.gz NNBMBYJBRWTTQF-CYBMUJFWSA-N 0 1 291.307 0.309 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c(C2CC2)cnn1C ZINC000913523904 615860453 /nfs/dbraw/zinc/86/04/53/615860453.db2.gz QZARQCLLRNZTDG-UHFFFAOYSA-N 0 1 260.341 0.592 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(-n2ccnn2)cc1 ZINC000913520305 615860695 /nfs/dbraw/zinc/86/06/95/615860695.db2.gz MMFDFNCBZGIRHP-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc([S@](C)=O)c1 ZINC000913521430 615861094 /nfs/dbraw/zinc/86/10/94/615861094.db2.gz YZHXLKCVDVYNHT-IBGZPJMESA-N 0 1 278.377 0.719 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncnc2sccc21 ZINC000913523892 615861849 /nfs/dbraw/zinc/86/18/49/615861849.db2.gz QNEOEVAJEJSWRP-UHFFFAOYSA-N 0 1 274.349 0.986 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncc2c(F)cccn21 ZINC000913524555 615861860 /nfs/dbraw/zinc/86/18/60/615861860.db2.gz RCWUOJYRYYTGRD-UHFFFAOYSA-N 0 1 274.299 0.768 20 30 CCEDMN CCn1cc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)nn1 ZINC000901206406 615962778 /nfs/dbraw/zinc/96/27/78/615962778.db2.gz KUYOWWDUSRLYGC-GHMZBOCLSA-N 0 1 261.333 0.492 20 30 CCEDMN C[N@@H+](CC(=O)NCCC#N)CC(C)(C)CNC(=O)[O-] ZINC000828108164 616046973 /nfs/dbraw/zinc/04/69/73/616046973.db2.gz MPFZERMHRDOABC-UHFFFAOYSA-N 0 1 270.333 0.242 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1cncc(O)c1 ZINC000905626820 616067813 /nfs/dbraw/zinc/06/78/13/616067813.db2.gz SBKKAUOUMQDNDS-CYBMUJFWSA-N 0 1 264.329 0.083 20 30 CCEDMN CN(CCc1cccc(C#N)c1)CC(=O)N1CCNC1=O ZINC000879434996 616125086 /nfs/dbraw/zinc/12/50/86/616125086.db2.gz SMVCZTANEXNSPL-UHFFFAOYSA-N 0 1 286.335 0.584 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CNc2ccccn2)CC1 ZINC000900608936 616166126 /nfs/dbraw/zinc/16/61/26/616166126.db2.gz RYDMYDYXBQIVHL-UHFFFAOYSA-N 0 1 273.340 0.598 20 30 CCEDMN CN1CCN(C)[C@H](CNCc2cc(C#N)cnc2Cl)C1 ZINC000877031116 616238660 /nfs/dbraw/zinc/23/86/60/616238660.db2.gz NCUFMNHVPQQFBI-CYBMUJFWSA-N 0 1 293.802 0.942 20 30 CCEDMN CN1CCN(c2cc(CNC(=O)C#CC3CC3)ccn2)CC1 ZINC000837347879 616246801 /nfs/dbraw/zinc/24/68/01/616246801.db2.gz DGRZHEFCXJVKOD-UHFFFAOYSA-N 0 1 298.390 0.863 20 30 CCEDMN C[N@@H+]1CCO[C@@H](C(=O)[N-]Oc2cccc(C#N)c2)C1 ZINC000870693054 616252828 /nfs/dbraw/zinc/25/28/28/616252828.db2.gz WMJMOKNBXLKVDW-GFCCVEGCSA-N 0 1 261.281 0.299 20 30 CCEDMN C[N@H+]1CCO[C@@H](C(=O)[N-]Oc2cccc(C#N)c2)C1 ZINC000870693054 616252829 /nfs/dbraw/zinc/25/28/29/616252829.db2.gz WMJMOKNBXLKVDW-GFCCVEGCSA-N 0 1 261.281 0.299 20 30 CCEDMN COC(=O)[C@@H]1CCC[C@H](C(=O)NCC#CCN(C)C)C1 ZINC000913518988 616295994 /nfs/dbraw/zinc/29/59/94/616295994.db2.gz IVGBXNHVMUWVEM-QWHCGFSZSA-N 0 1 280.368 0.647 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)N1CCC(C)(C#N)CC1 ZINC000907257616 616314942 /nfs/dbraw/zinc/31/49/42/616314942.db2.gz FVIORWNBIRIJKK-FZMZJTMJSA-N 0 1 265.357 0.594 20 30 CCEDMN COC(=O)/C=C\c1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)o1 ZINC000852827259 616321483 /nfs/dbraw/zinc/32/14/83/616321483.db2.gz FHLATVCJGAJJMB-CNDXBVBGSA-N 0 1 289.291 0.307 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)[C@H](C)N(C)C)CC1 ZINC000823364682 616345770 /nfs/dbraw/zinc/34/57/70/616345770.db2.gz BVAHQRSOAJWCSD-JTQLQIEISA-N 0 1 267.329 0.242 20 30 CCEDMN CN1CC[C@H](ON=C(N)CN2CCCCCC2)C1=O ZINC000116267980 616418965 /nfs/dbraw/zinc/41/89/65/616418965.db2.gz VSCDGMQVVHNXTM-NSHDSACASA-N 0 1 268.361 0.382 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCCC#C[Si](C)(C)C ZINC000912326264 616473202 /nfs/dbraw/zinc/47/32/02/616473202.db2.gz HNXDWBXAHUXRTG-OCCSQVGLSA-N 0 1 282.460 0.829 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCc1ccc(C#N)cc1 ZINC000900128826 616475374 /nfs/dbraw/zinc/47/53/74/616475374.db2.gz ZMHWWWZGUZSTDZ-HIFRSBDPSA-N 0 1 273.336 0.630 20 30 CCEDMN CN1C[C@H](O)C[C@H]1CNC(=O)C#Cc1cccs1 ZINC000924978972 616482056 /nfs/dbraw/zinc/48/20/56/616482056.db2.gz LXZXLLWGZINPSR-WDEREUQCSA-N 0 1 264.350 0.281 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N1Cc2cccc(C#N)c2C1 ZINC000911923171 616496784 /nfs/dbraw/zinc/49/67/84/616496784.db2.gz MACAQFHCZQHPRK-CZUORRHYSA-N 0 1 285.347 0.856 20 30 CCEDMN COCCOCCOCCN1CC[C@@](O)(CC#N)C1 ZINC000930818550 616552335 /nfs/dbraw/zinc/55/23/35/616552335.db2.gz ITYSHFUOPPVFLG-ZDUSSCGKSA-N 0 1 272.345 0.016 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000900770909 616587273 /nfs/dbraw/zinc/58/72/73/616587273.db2.gz LVOSCQKOXXIRHJ-KOLCDFICSA-N 0 1 290.323 0.601 20 30 CCEDMN COC(=O)[C@H](Cc1cncs1)NC(=O)C(C)C#N ZINC000832677924 616611375 /nfs/dbraw/zinc/61/13/75/616611375.db2.gz LXUYDEHYTRIQEY-APPZFPTMSA-N 0 1 267.310 0.503 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1N[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000934042935 616675118 /nfs/dbraw/zinc/67/51/18/616675118.db2.gz ZHLASNIRPRGHDJ-JLNYLFASSA-N 0 1 297.399 0.823 20 30 CCEDMN CNC(=O)C[C@H](NC[C@H](O)CC#N)c1ccccc1 ZINC000930302771 616680365 /nfs/dbraw/zinc/68/03/65/616680365.db2.gz ACPHLUFRKJYWSM-OLZOCXBDSA-N 0 1 261.325 0.728 20 30 CCEDMN CNC(=O)NC(=O)[C@@H](C)N(C)CCc1cccc(C#N)c1 ZINC000879434595 616704594 /nfs/dbraw/zinc/70/45/94/616704594.db2.gz LQWCLCKCIAWHFV-LLVKDONJSA-N 0 1 288.351 0.877 20 30 CCEDMN CO[C@@H]1CC[C@H](N2CCN(C(=O)CC#N)CC2)C1 ZINC000852125505 616706722 /nfs/dbraw/zinc/70/67/22/616706722.db2.gz KJIGCTAOVLROKJ-NWDGAFQWSA-N 0 1 251.330 0.612 20 30 CCEDMN COC[C@@H](C)C=Nn1c(C)cc(C)c(-c2nn[n-]n2)c1=O ZINC000821681791 616782387 /nfs/dbraw/zinc/78/23/87/616782387.db2.gz SAXWCHVUQZKCEX-QMMMGPOBSA-N 0 1 290.327 0.762 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@H](C)CO1 ZINC000892462139 616902032 /nfs/dbraw/zinc/90/20/32/616902032.db2.gz OLNUHKARHIVZQE-HBNTYKKESA-N 0 1 268.313 0.273 20 30 CCEDMN COC(=O)c1ccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000841040213 616914091 /nfs/dbraw/zinc/91/40/91/616914091.db2.gz IRQWCJDHMJOOJM-CMPLNLGQSA-N 0 1 288.307 0.706 20 30 CCEDMN COC(=O)[C@@H](C)NC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000876598888 616947259 /nfs/dbraw/zinc/94/72/59/616947259.db2.gz SXGKRVSBPWEDPW-RNCFNFMXSA-N 0 1 287.319 0.423 20 30 CCEDMN COCCN(CC#N)C(=O)[C@@H]1CCN1C(C)(C)C ZINC000909562535 616974249 /nfs/dbraw/zinc/97/42/49/616974249.db2.gz LXXGWHQGNNAIKH-NSHDSACASA-N 0 1 253.346 0.858 20 30 CCEDMN CO[C@H](C(=O)NCC#CCN(C)C)C(=O)OC(C)(C)C ZINC000913525103 616974737 /nfs/dbraw/zinc/97/47/37/616974737.db2.gz KFORFNMSYDETHI-LLVKDONJSA-N 0 1 284.356 0.024 20 30 CCEDMN CNCc1cn(C[C@H](O)c2ccc(C#N)cc2)nn1 ZINC000881406915 617003286 /nfs/dbraw/zinc/00/32/86/617003286.db2.gz MRMHXOZYMHFKDM-ZDUSSCGKSA-N 0 1 257.297 0.603 20 30 CCEDMN CON(C)C(=O)CCN1CCN([C@H](C#N)C(C)C)CC1 ZINC000808741127 617007570 /nfs/dbraw/zinc/00/75/70/617007570.db2.gz ALCKXGFPOKUCKF-CYBMUJFWSA-N 0 1 282.388 0.562 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)[nH]1 ZINC001020085560 625287836 /nfs/dbraw/zinc/28/78/36/625287836.db2.gz ZYIAJDZNDNQHFT-XYPYZODXSA-N 0 1 299.338 0.364 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC001025908172 625302008 /nfs/dbraw/zinc/30/20/08/625302008.db2.gz SGQFXTURUQYVEL-DJOIZQNCSA-N 0 1 299.378 0.646 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC[C@H](F)C1 ZINC001025979168 625315342 /nfs/dbraw/zinc/31/53/42/625315342.db2.gz UTNCELURGFYNML-JZRPKSSGSA-N 0 1 250.317 0.804 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001006622890 625409031 /nfs/dbraw/zinc/40/90/31/625409031.db2.gz DRXPGJANABDZTI-JTQLQIEISA-N 0 1 262.313 0.562 20 30 CCEDMN Cc1noc(CNC[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001026732720 625580010 /nfs/dbraw/zinc/58/00/10/625580010.db2.gz MSONZBNNXIBNHL-KOLCDFICSA-N 0 1 277.328 0.476 20 30 CCEDMN Cc1nonc1CNC[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001026733572 625581171 /nfs/dbraw/zinc/58/11/71/625581171.db2.gz WPKRUYJAFKSFOZ-KOLCDFICSA-N 0 1 277.328 0.476 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(C[C@@H](C)O)CC2)c1 ZINC000892314191 622832512 /nfs/dbraw/zinc/83/25/12/622832512.db2.gz GEHBSYIMXRZLQL-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000075164323 623097941 /nfs/dbraw/zinc/09/79/41/623097941.db2.gz AGELCHZMPUXWJP-AWEZNQCLSA-N 0 1 299.374 0.721 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2cn(CC)nn2)C1 ZINC001023673543 623102513 /nfs/dbraw/zinc/10/25/13/623102513.db2.gz SXVRALGQXICELE-LBPRGKRZSA-N 0 1 275.356 0.373 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H]1CCCCN1CC#N ZINC001024365678 623301400 /nfs/dbraw/zinc/30/14/00/623301400.db2.gz FOUBMBMYWBVTQQ-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ncn(C)n1 ZINC001024424580 623318635 /nfs/dbraw/zinc/31/86/35/623318635.db2.gz WOJMQFIMPNDKRK-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cc(=O)n(C)cn1 ZINC001024489032 623336145 /nfs/dbraw/zinc/33/61/45/623336145.db2.gz ZKKJHMADPLBOSH-LBPRGKRZSA-N 0 1 290.367 0.551 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCNC1=O ZINC001024493399 623336973 /nfs/dbraw/zinc/33/69/73/623336973.db2.gz XNKRUKRDLVMTSH-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024520026 623344298 /nfs/dbraw/zinc/34/42/98/623344298.db2.gz BUBCOEQQFHPHAP-CHWSQXEVSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024520026 623344300 /nfs/dbraw/zinc/34/43/00/623344300.db2.gz BUBCOEQQFHPHAP-CHWSQXEVSA-N 0 1 279.384 0.669 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CN(C)C(=O)N1 ZINC001024597319 623387398 /nfs/dbraw/zinc/38/73/98/623387398.db2.gz VCWDHLBEJHKETG-CHWSQXEVSA-N 0 1 292.383 0.004 20 30 CCEDMN N#CCN1CCCC[C@H]1CNC(=O)c1[nH]ncc1F ZINC001024637697 623405821 /nfs/dbraw/zinc/40/58/21/623405821.db2.gz OIFQIHPFPBVVAK-VIFPVBQESA-N 0 1 265.292 0.657 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001007462350 623644701 /nfs/dbraw/zinc/64/47/01/623644701.db2.gz WIWTXORFHWDKRY-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1[C@H]1CCCCN1C(=O)c1cnn[n-]1 ZINC001025247958 623673910 /nfs/dbraw/zinc/67/39/10/623673910.db2.gz SINNCKBGWFKZTP-ZIAGYGMSSA-N 0 1 287.367 0.897 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@](C)(C(=O)[O-])C1CC1 ZINC000328226161 623870208 /nfs/dbraw/zinc/87/02/08/623870208.db2.gz BMDSKTKUXLHXCJ-AWEZNQCLSA-N 0 1 281.356 0.494 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCC[C@H]1C(=O)[O-] ZINC000328318390 623877503 /nfs/dbraw/zinc/87/75/03/623877503.db2.gz YNQMLKUHTSGFPB-NSHDSACASA-N 0 1 267.329 0.200 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCO[C@H]1C(C)C ZINC001025809833 623885851 /nfs/dbraw/zinc/88/58/51/623885851.db2.gz BXDDXDTZMDMCDF-AHDPXTMNSA-N 0 1 276.380 0.727 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@H]2CN(CC#N)CC2(C)C)C1 ZINC000975060017 625716173 /nfs/dbraw/zinc/71/61/73/625716173.db2.gz IFSTYMTYTHBREJ-OLZOCXBDSA-N 0 1 278.400 0.678 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001021036533 624649825 /nfs/dbraw/zinc/64/98/25/624649825.db2.gz XUPHJKVFCDBJQF-MGCOHNPYSA-N 0 1 270.296 0.332 20 30 CCEDMN C[C@@H](NC(=O)[C@H]1CCCc2n[nH]nc21)C1CN(CC#N)C1 ZINC000970340042 624678176 /nfs/dbraw/zinc/67/81/76/624678176.db2.gz XKJNZEGGDXHYHG-KOLCDFICSA-N 0 1 288.355 0.185 20 30 CCEDMN Cc1nc(CC(=O)NCC#C[C@H]2CCCCO2)n[nH]1 ZINC000891115066 624728525 /nfs/dbraw/zinc/72/85/25/624728525.db2.gz BZFQOZCHHJDXMO-LLVKDONJSA-N 0 1 262.313 0.344 20 30 CCEDMN O=C(CN1CCCC1)NCC#C[C@H]1CCCCO1 ZINC000891124041 624732591 /nfs/dbraw/zinc/73/25/91/624732591.db2.gz HQKDPRRQWAAUQT-CYBMUJFWSA-N 0 1 250.342 0.771 20 30 CCEDMN Cc1ccc(CN2CC[C@@H](CS(N)(=O)=O)C2)cc1C#N ZINC000932949850 624812823 /nfs/dbraw/zinc/81/28/23/624812823.db2.gz PTPMOYKEQCDNRD-CYBMUJFWSA-N 0 1 293.392 0.977 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@@H](CCCN2C(=O)Cc2nnc[n-]2)C1 ZINC001021711638 624814357 /nfs/dbraw/zinc/81/43/57/624814357.db2.gz ZILXUKVBTXUNOM-STQMWFEESA-N 0 1 287.367 0.293 20 30 CCEDMN C#CC[N@H+]1CC[C@H]2[C@@H](CCCN2C(=O)Cc2nnc[n-]2)C1 ZINC001021711638 624814358 /nfs/dbraw/zinc/81/43/58/624814358.db2.gz ZILXUKVBTXUNOM-STQMWFEESA-N 0 1 287.367 0.293 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cccnn2)C1 ZINC001008947426 625745519 /nfs/dbraw/zinc/74/55/19/625745519.db2.gz WEFROXRLNPVWFB-GFCCVEGCSA-N 0 1 258.325 0.694 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC001009168250 625772275 /nfs/dbraw/zinc/77/22/75/625772275.db2.gz LREOBPTUSUTFHP-QWHCGFSZSA-N 0 1 294.399 0.686 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)CCn2ccnc2)C1 ZINC001027515217 625932400 /nfs/dbraw/zinc/93/24/00/625932400.db2.gz XDLYFYIXUHCDEK-AWEZNQCLSA-N 0 1 274.368 0.829 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@@H]2CCN2C(=O)[C@@H](C)C#N)n[nH]1 ZINC000977424239 625937331 /nfs/dbraw/zinc/93/73/31/625937331.db2.gz RMTCVIMIPFBGNO-ONGXEEELSA-N 0 1 289.339 0.551 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@@H](Nc2ncccn2)C1 ZINC000903024374 634527185 /nfs/dbraw/zinc/52/71/85/634527185.db2.gz UXVBNIYMUYNNDE-VXGBXAGGSA-N 0 1 261.329 0.891 20 30 CCEDMN Cc1nn(C)c(NS(=O)(=O)CCOCC2CC2)c1C#N ZINC000903173169 634538121 /nfs/dbraw/zinc/53/81/21/634538121.db2.gz KJNOFZGPVHKDIB-UHFFFAOYSA-N 0 1 298.368 0.769 20 30 CCEDMN C[C@@H]1NCCN(S(=O)(=O)c2ccsc2C#N)[C@H]1C ZINC000903497909 634591747 /nfs/dbraw/zinc/59/17/47/634591747.db2.gz ULLQONGUJDERQJ-IUCAKERBSA-N 0 1 285.394 0.991 20 30 CCEDMN CN(CC#N)[C@@H]1CCCN(C(=O)CN2CCCC2)C1 ZINC001027682233 630850309 /nfs/dbraw/zinc/85/03/09/630850309.db2.gz MNNNQWZAAOYUQU-CYBMUJFWSA-N 0 1 264.373 0.529 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCOC1 ZINC001027784428 630914256 /nfs/dbraw/zinc/91/42/56/630914256.db2.gz JVLJPBQIFMIDRM-QWHCGFSZSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cn(C)nc1C ZINC001027793907 630923824 /nfs/dbraw/zinc/92/38/24/630923824.db2.gz WFTBKUOEZVZXMG-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1nnn(C)c1C ZINC001027802619 630932310 /nfs/dbraw/zinc/93/23/10/630932310.db2.gz RPYZRRNPMAFMOI-NSHDSACASA-N 0 1 263.345 0.504 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@H](NC(=O)Cc3cnc[nH]3)C[C@@H]2C1 ZINC000980191331 630999991 /nfs/dbraw/zinc/99/99/91/630999991.db2.gz GQCYVGLEDRNHLN-XQQFMLRXSA-N 0 1 287.367 0.692 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@@H](NC(=O)Cc3nnc[nH]3)C[C@@H]2C1 ZINC000980208939 631003676 /nfs/dbraw/zinc/00/36/76/631003676.db2.gz JPXLAAIRBLPAJD-QJPTWQEYSA-N 0 1 288.355 0.087 20 30 CCEDMN Cc1nc([C@@H](C)N2CCCN(C(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC000980849370 631068587 /nfs/dbraw/zinc/06/85/87/631068587.db2.gz JACCHVDNKPFWRW-WDEREUQCSA-N 0 1 290.371 0.868 20 30 CCEDMN C[C@]12CN(CC#N)C[C@@]1(C)CN(C(=O)Cc1ccn[nH]1)C2 ZINC000982244450 631386474 /nfs/dbraw/zinc/38/64/74/631386474.db2.gz YBWTUIWDVMZWBP-GASCZTMLSA-N 0 1 287.367 0.646 20 30 CCEDMN Cc1cc(N)nc(N2CCN(c3ccc(C#N)nc3)CC2)n1 ZINC000893702347 631390295 /nfs/dbraw/zinc/39/02/95/631390295.db2.gz DCVLFSVHWJBNPA-UHFFFAOYSA-N 0 1 295.350 0.961 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(CCO)C[C@@H]2C)c1 ZINC000894131584 631548661 /nfs/dbraw/zinc/54/86/61/631548661.db2.gz UKKLXGZHABHHGK-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN C[C@H](Nc1ccncc1C#N)[C@H]1CN(C)CCN1C ZINC000895247683 632037570 /nfs/dbraw/zinc/03/75/70/632037570.db2.gz VOLRYDZOBPFSTM-SMDDNHRTSA-N 0 1 259.357 0.421 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC000984591413 632196640 /nfs/dbraw/zinc/19/66/40/632196640.db2.gz ZXYIWDZHDXYWSG-WDEREUQCSA-N 0 1 289.339 0.632 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnc(C)[nH]2)C1 ZINC001006945850 650058085 /nfs/dbraw/zinc/05/80/85/650058085.db2.gz LIAJNMYYBVRUKM-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN Cc1nc(CN(C)C2CCN(C(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC000985339740 632442523 /nfs/dbraw/zinc/44/25/23/632442523.db2.gz YVEFRIOBGIEFBH-JTQLQIEISA-N 0 1 290.371 0.696 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cc2ccnn2C)C1 ZINC001007227294 650071404 /nfs/dbraw/zinc/07/14/04/650071404.db2.gz ONSQHFRUTWOUFP-LBPRGKRZSA-N 0 1 262.357 0.729 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H]1CCCN(CCO)C1 ZINC001007356302 650076089 /nfs/dbraw/zinc/07/60/89/650076089.db2.gz GTYJLZQOBHVOBK-MJBXVCDLSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CN2CCCCC2=O)C1 ZINC001007771657 650093776 /nfs/dbraw/zinc/09/37/76/650093776.db2.gz SOTTZEQSSYTKDZ-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2coc(C(N)=O)c2)C1 ZINC001008069992 650100994 /nfs/dbraw/zinc/10/09/94/650100994.db2.gz YWWOMHHKRIJEKZ-LBPRGKRZSA-N 0 1 289.335 0.596 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CS(C)(=O)=O)C1 ZINC000988200262 632960253 /nfs/dbraw/zinc/96/02/53/632960253.db2.gz LJXCECOHBFACAN-NXEZZACHSA-N 0 1 294.804 0.363 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cc(=O)n(C)o2)C1 ZINC000988719847 633091196 /nfs/dbraw/zinc/09/11/96/633091196.db2.gz OOJDFTXSQPJJNF-VHSXEESVSA-N 0 1 299.758 0.923 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cc2nnc(C)[nH]2)C1 ZINC000988824500 633117740 /nfs/dbraw/zinc/11/77/40/633117740.db2.gz HIXUNEYWAXABCU-MWLCHTKSSA-N 0 1 297.790 0.987 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001008421403 650113634 /nfs/dbraw/zinc/11/36/34/650113634.db2.gz ISSXUKDPXCWBBD-SNVBAGLBSA-N 0 1 264.329 0.506 20 30 CCEDMN N#CCC1(CNC(=O)C2CN([C@@H]3CCOC3)C2)CC1 ZINC000897633933 633220435 /nfs/dbraw/zinc/22/04/35/633220435.db2.gz BMEYUSZGLJEVPT-GFCCVEGCSA-N 0 1 263.341 0.517 20 30 CCEDMN C[C@@H]1CN(Cc2cnc3c(C#N)cnn3c2)C[C@H]1O ZINC000897683819 633230020 /nfs/dbraw/zinc/23/00/20/633230020.db2.gz HMCFFVQGIUDSRO-BXKDBHETSA-N 0 1 257.297 0.414 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCCN(C[C@H](C)O)CC2)cn1 ZINC000989511282 633322301 /nfs/dbraw/zinc/32/23/01/633322301.db2.gz PWBSBBZNHNOLQU-ZDUSSCGKSA-N 0 1 287.363 0.592 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CCCN(CC#N)CC1 ZINC000989672868 633343769 /nfs/dbraw/zinc/34/37/69/633343769.db2.gz BSVKGAAHJWISQX-CQSZACIVSA-N 0 1 294.399 0.013 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2[nH]cnc2C)CC1 ZINC000989808694 633359182 /nfs/dbraw/zinc/35/91/82/633359182.db2.gz SHWWQYDEOHKLRW-UHFFFAOYSA-N 0 1 260.341 0.889 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cccn3nnnc23)C1 ZINC001014550674 633538599 /nfs/dbraw/zinc/53/85/99/633538599.db2.gz FCMLRYHRHSGNFF-NSHDSACASA-N 0 1 286.339 0.505 20 30 CCEDMN CC#CCN1CC[C@]2(NC(=O)c3ncn(C)n3)CCC[C@@H]12 ZINC000990564613 633554319 /nfs/dbraw/zinc/55/43/19/633554319.db2.gz AVMMNASCFMCBGU-IUODEOHRSA-N 0 1 287.367 0.565 20 30 CCEDMN N#Cc1ccc(CCNC(=O)C2CN([C@@H]3CCOC3)C2)cc1 ZINC000898580380 633626524 /nfs/dbraw/zinc/62/65/24/633626524.db2.gz JNGACLWBPQVRDV-MRXNPFEDSA-N 0 1 299.374 0.938 20 30 CCEDMN C[C@]1(C#N)CCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000898981121 633705086 /nfs/dbraw/zinc/70/50/86/633705086.db2.gz KUQYQAGVZUYPTK-GXTWGEPZSA-N 0 1 263.341 0.469 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cn2ccc(C)n2)C1 ZINC001008572044 650120560 /nfs/dbraw/zinc/12/05/60/650120560.db2.gz VVSYJBNXPIVAIJ-CYBMUJFWSA-N 0 1 262.357 0.958 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N[C@@H]1CCCN(CCO)C1 ZINC001008809837 650128239 /nfs/dbraw/zinc/12/82/39/650128239.db2.gz IWJWXBOKQLQKNB-ILXRZTDVSA-N 0 1 296.411 0.931 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)N(CC#N)CC#N ZINC000900463953 634026758 /nfs/dbraw/zinc/02/67/58/634026758.db2.gz IZKURWCXILERQJ-JOYOIKCWSA-N 0 1 257.297 0.951 20 30 CCEDMN C[C@H](NC(=O)c1cnccc1C#N)[C@@H]1CN(C)CCN1C ZINC000900811893 634097253 /nfs/dbraw/zinc/09/72/53/634097253.db2.gz PDZHCVLTFRVHDM-FZMZJTMJSA-N 0 1 287.367 0.317 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cc2nonc2C)C1 ZINC001009038466 650136378 /nfs/dbraw/zinc/13/63/78/650136378.db2.gz PBGKHXANIGBULV-NSHDSACASA-N 0 1 264.329 0.687 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001009274186 650141791 /nfs/dbraw/zinc/14/17/91/650141791.db2.gz NRUGPAWHNJLJNH-KGLIPLIRSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CN(C(C)=O)CCO2)C1 ZINC001009381273 650144317 /nfs/dbraw/zinc/14/43/17/650144317.db2.gz CMJGYWZQZQXRGZ-ZIAGYGMSSA-N 0 1 295.383 0.000 20 30 CCEDMN Cc1nc(C=NNC2=NC[C@@H](C)N2)c(Br)[nH]1 ZINC000901217336 634210694 /nfs/dbraw/zinc/21/06/94/634210694.db2.gz WRWGUBUFGVDUGT-RXMQYKEDSA-N 0 1 285.149 0.752 20 30 CCEDMN N#Cc1cncc(Cn2cc(CNC3CC3)nn2)c1 ZINC000905838598 634909404 /nfs/dbraw/zinc/90/94/04/634909404.db2.gz RLEVWSVCHCTYEP-UHFFFAOYSA-N 0 1 254.297 0.845 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1csc(Cl)n1 ZINC000906540780 635006054 /nfs/dbraw/zinc/00/60/54/635006054.db2.gz PYQRZTHGPVIOJI-RITPCOANSA-N 0 1 256.718 0.638 20 30 CCEDMN N#Cc1cccc(N2CCN(C(=O)Cc3c[nH]cn3)CC2)n1 ZINC000907382246 635116260 /nfs/dbraw/zinc/11/62/60/635116260.db2.gz FLYPTVXQYXFHET-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN N#Cc1sccc1C(=O)N1CC[C@@H](N2CC[C@@H](O)C2)C1 ZINC000908199142 635311094 /nfs/dbraw/zinc/31/10/94/635311094.db2.gz DVTZFFJYBNBAIO-GHMZBOCLSA-N 0 1 291.376 0.901 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCCOC2)C1 ZINC001010371577 650208909 /nfs/dbraw/zinc/20/89/09/650208909.db2.gz IRTNSESADIEGSQ-QWHCGFSZSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(C)ncn2)C1 ZINC001010636743 650247885 /nfs/dbraw/zinc/24/78/85/650247885.db2.gz OSNKBYNGWMUNBX-LBPRGKRZSA-N 0 1 258.325 0.612 20 30 CCEDMN C[C@H]1O[C@@H](C)[C@@H](C)[C@@H]1C(=O)NCC#CCN(C)C ZINC000913524456 636833182 /nfs/dbraw/zinc/83/31/82/636833182.db2.gz NCIRHKMLDHNSQG-XQHKEYJVSA-N 0 1 252.358 0.727 20 30 CCEDMN Cc1cc(C(=O)NCC#CCN(C)C)c2nccn2c1 ZINC000913524210 636834008 /nfs/dbraw/zinc/83/40/08/636834008.db2.gz DDXFDIKCJUSZQW-UHFFFAOYSA-N 0 1 270.336 0.938 20 30 CCEDMN N#CCCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000062133625 637151608 /nfs/dbraw/zinc/15/16/08/637151608.db2.gz ZPFITGCGFIGBLB-LLVKDONJSA-N 0 1 278.312 0.049 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001014993522 637285435 /nfs/dbraw/zinc/28/54/35/637285435.db2.gz ADDUJVSZPMVVIP-KGLIPLIRSA-N 0 1 286.379 0.659 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@]23CCC[C@@H]2N(CC#N)CC3)C1 ZINC000992187139 637334408 /nfs/dbraw/zinc/33/44/08/637334408.db2.gz IAGJKBDRNFUHAA-YCPHGPKFSA-N 0 1 290.411 0.965 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)Cc3nonc3C)CCC[C@@H]12 ZINC000992385487 637381561 /nfs/dbraw/zinc/38/15/61/637381561.db2.gz XGDSOFWARCZEDI-UKRRQHHQSA-N 0 1 288.351 0.667 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCN(CCCCCO)CC1 ZINC000913695367 637410341 /nfs/dbraw/zinc/41/03/41/637410341.db2.gz CQRYJGUSWXZMEB-CYBMUJFWSA-N 0 1 267.373 0.843 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)Cn2ncc3cccnc32)C1 ZINC001015303616 637564917 /nfs/dbraw/zinc/56/49/17/637564917.db2.gz OZFJNLNAGPOTHU-ZDUSSCGKSA-N 0 1 285.351 0.808 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2ncc3cccnc32)C1 ZINC001015303616 637564927 /nfs/dbraw/zinc/56/49/27/637564927.db2.gz OZFJNLNAGPOTHU-ZDUSSCGKSA-N 0 1 285.351 0.808 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cnn(C)c2)[C@@H]1C ZINC000993127602 637706639 /nfs/dbraw/zinc/70/66/39/637706639.db2.gz URVDIZWBUVUPTC-DZGCQCFKSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2ccon2)[C@@H]1C ZINC000993154823 637718250 /nfs/dbraw/zinc/71/82/50/637718250.db2.gz JPXAHDJQUNQOSS-WCQYABFASA-N 0 1 261.325 0.819 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnn(C)c2N)[C@@H]1C ZINC000993217599 637748897 /nfs/dbraw/zinc/74/88/97/637748897.db2.gz AXNMSMDEHCVYAW-CMPLNLGQSA-N 0 1 277.372 0.771 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@@H]1C ZINC000993247666 637757565 /nfs/dbraw/zinc/75/75/65/637757565.db2.gz LGBBOZARAQVDQK-FZMZJTMJSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)[C@H]1C ZINC000993336860 637790765 /nfs/dbraw/zinc/79/07/65/637790765.db2.gz VMWJQAYZNLROTD-ZYHUDNBSSA-N 0 1 275.356 0.258 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cn[nH]c(=O)c2)[C@@H]1C ZINC000993454517 637809203 /nfs/dbraw/zinc/80/92/03/637809203.db2.gz AFIBZOUFBIJASC-JQWIXIFHSA-N 0 1 274.324 0.398 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cnc[nH]c2=O)[C@@H]1C ZINC000993483004 637812841 /nfs/dbraw/zinc/81/28/41/637812841.db2.gz UKRZRHYLQWUFIK-AAEUAGOBSA-N 0 1 288.351 0.788 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(=O)n(C)c2)[C@H]1C ZINC000993542990 637816547 /nfs/dbraw/zinc/81/65/47/637816547.db2.gz POTHPNMBSGIIEZ-TZMCWYRMSA-N 0 1 287.363 0.601 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cc2cn(CC)nn2)[C@@H]1C ZINC000993611585 637820331 /nfs/dbraw/zinc/82/03/31/637820331.db2.gz AELTWRCXYXWRSZ-JSGCOSHPSA-N 0 1 291.399 0.996 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cc2cn(CC)nn2)[C@H]1C ZINC000993611587 637820380 /nfs/dbraw/zinc/82/03/80/637820380.db2.gz AELTWRCXYXWRSZ-OCCSQVGLSA-N 0 1 291.399 0.996 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2c(C)nc[nH]c2=O)[C@H]1C ZINC000993722407 637834826 /nfs/dbraw/zinc/83/48/26/637834826.db2.gz XCKQYIGIHBXIHL-VXGBXAGGSA-N 0 1 288.351 0.707 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2nonc2C)[C@H]1C ZINC000994130873 637869770 /nfs/dbraw/zinc/86/97/70/637869770.db2.gz XWAJBRNQEJUWPF-OLZOCXBDSA-N 0 1 290.367 0.913 20 30 CCEDMN N#CCC1CN(S(=O)(=O)C[C@@]23CC[N@@H+](C2)CCC3)C1 ZINC000917246013 637962530 /nfs/dbraw/zinc/96/25/30/637962530.db2.gz XFWACQLZCLPCCO-ZDUSSCGKSA-N 0 1 283.397 0.648 20 30 CCEDMN CN1CC(C(=O)N[C@H]2CCN(CC#N)CC2(C)C)=NC1=O ZINC000941002740 638507654 /nfs/dbraw/zinc/50/76/54/638507654.db2.gz MCLYCFAKLNGGTQ-NSHDSACASA-N 0 1 291.355 0.480 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@H]1NC(=O)c1cncc2nc[nH]c21 ZINC000942063499 638610739 /nfs/dbraw/zinc/61/07/39/638610739.db2.gz JMWRUPSPDRAHIE-ZYHUDNBSSA-N 0 1 298.350 0.922 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cn2ncnn2)CC1(C)C ZINC000995798616 638801079 /nfs/dbraw/zinc/80/10/79/638801079.db2.gz SRVALYVETCDBHY-SNVBAGLBSA-N 0 1 298.778 0.252 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)C(=O)N2CCC[C@H]2CC)C1 ZINC001015963831 638823233 /nfs/dbraw/zinc/82/32/33/638823233.db2.gz OOKVVLNNOFEQIR-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cn2cnnn2)C1 ZINC000998491341 638923376 /nfs/dbraw/zinc/92/33/76/638923376.db2.gz UZLUFUOXNNYLRR-SNVBAGLBSA-N 0 1 284.751 0.006 20 30 CCEDMN C=C1CC(C)(C(=O)NC2CN(C(=O)Cc3c[nH]cn3)C2)C1 ZINC000999293494 638972221 /nfs/dbraw/zinc/97/22/21/638972221.db2.gz UXTWBZCVSLFZGO-UHFFFAOYSA-N 0 1 288.351 0.636 20 30 CCEDMN C#CCN1CC[C@H](n2cc(CNC(=O)C3CCC3)nn2)C1 ZINC000999948193 639024768 /nfs/dbraw/zinc/02/47/68/639024768.db2.gz DNBPJWNBRGTLKE-AWEZNQCLSA-N 0 1 287.367 0.574 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC001000170151 639047304 /nfs/dbraw/zinc/04/73/04/639047304.db2.gz MGLLFHKHMYUART-BXUZGUMPSA-N 0 1 299.802 0.846 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014610545 650467572 /nfs/dbraw/zinc/46/75/72/650467572.db2.gz LAJQGHOWYAGQPS-CHWSQXEVSA-N 0 1 279.384 0.620 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cn[nH]c2)CC1 ZINC001000473197 639093659 /nfs/dbraw/zinc/09/36/59/639093659.db2.gz MFWQXOKJYNWEKQ-UHFFFAOYSA-N 0 1 258.325 0.795 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc[nH]c(=O)c2)CC1 ZINC001000574723 639124659 /nfs/dbraw/zinc/12/46/59/639124659.db2.gz YEGAOJKFMYPJGK-UHFFFAOYSA-N 0 1 271.320 0.782 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(OC)no2)CC1 ZINC001000604729 639138467 /nfs/dbraw/zinc/13/84/67/639138467.db2.gz RYHLBPAMYQUYLE-UHFFFAOYSA-N 0 1 275.308 0.678 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2coc(C)n2)CC1 ZINC001000603237 639138675 /nfs/dbraw/zinc/13/86/75/639138675.db2.gz KADXGVLYIVQJLF-UHFFFAOYSA-N 0 1 259.309 0.978 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnc3n[nH]nc3c2)CC1 ZINC001000782474 639171624 /nfs/dbraw/zinc/17/16/24/639171624.db2.gz VHLFJXQSQKZASC-UHFFFAOYSA-N 0 1 296.334 0.348 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2c[nH]nc2CC)CC1 ZINC001000892597 639184789 /nfs/dbraw/zinc/18/47/89/639184789.db2.gz CQQZDVGMAVTLMU-UHFFFAOYSA-N 0 1 272.352 0.967 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001014700985 650479923 /nfs/dbraw/zinc/47/99/23/650479923.db2.gz PHYRFQRSTZWEEG-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn(C(C)C)nn2)CC1 ZINC001001312002 639279227 /nfs/dbraw/zinc/27/92/27/639279227.db2.gz WRMDIUWEFLQVPP-UHFFFAOYSA-N 0 1 287.367 0.854 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2C[C@@]23CCOC3)CC1 ZINC001001358342 639287730 /nfs/dbraw/zinc/28/77/30/639287730.db2.gz VYTGLWIWZVDMAG-GOEBONIOSA-N 0 1 274.364 0.795 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001016287575 639370962 /nfs/dbraw/zinc/37/09/62/639370962.db2.gz PFRDVSFBXGMYPZ-CYBMUJFWSA-N 0 1 286.335 0.008 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OCC)n[nH]2)C1 ZINC001014884779 650499021 /nfs/dbraw/zinc/49/90/21/650499021.db2.gz LVECSLBYMBXFGE-JTQLQIEISA-N 0 1 264.329 0.799 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@]2(C)CCNC(=O)C2)CC1 ZINC001003146524 639511537 /nfs/dbraw/zinc/51/15/37/639511537.db2.gz RZSBHBRZOHXMFJ-MRXNPFEDSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2cnoc2)CC1 ZINC001003484668 639553250 /nfs/dbraw/zinc/55/32/50/639553250.db2.gz GNARDSDXQGXFJU-UHFFFAOYSA-N 0 1 261.325 0.821 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2ncc[nH]2)CC1 ZINC001003843592 639633868 /nfs/dbraw/zinc/63/38/68/639633868.db2.gz BSPHWEKMEFKRKV-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2cncn2C)CC1 ZINC001003974715 639658975 /nfs/dbraw/zinc/65/89/75/639658975.db2.gz IZPMOJHJLXYWRZ-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCN(C(C)=O)C2)CC1 ZINC001004063148 639671807 /nfs/dbraw/zinc/67/18/07/639671807.db2.gz UFOBRXNGYMQDOX-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn3cncc3c2)C1 ZINC001015118583 650529255 /nfs/dbraw/zinc/52/92/55/650529255.db2.gz IHLKGALTTQHVRT-ZDUSSCGKSA-N 0 1 268.320 0.772 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cc[nH]n2)CC1 ZINC001005130115 639878853 /nfs/dbraw/zinc/87/88/53/639878853.db2.gz NQOGCSDPQGEDCU-UHFFFAOYSA-N 0 1 260.341 0.969 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001005225886 639891634 /nfs/dbraw/zinc/89/16/34/639891634.db2.gz KHKNCMVAOCAQBX-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2coc(OC)n2)CC1 ZINC001005777630 639962463 /nfs/dbraw/zinc/96/24/63/639962463.db2.gz PBSAXWBQDUOFKZ-UHFFFAOYSA-N 0 1 277.324 0.853 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001015217373 650539804 /nfs/dbraw/zinc/53/98/04/650539804.db2.gz XMTJWLVXFRPQPG-VIFPVBQESA-N 0 1 272.312 0.343 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001015227123 650541520 /nfs/dbraw/zinc/54/15/20/650541520.db2.gz YOWKCLOBLFVLNQ-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN Cn1nnc2c1C[C@@H](NCc1nc(C#N)cs1)CC2 ZINC000922991699 639993896 /nfs/dbraw/zinc/99/38/96/639993896.db2.gz QVQCQKKTPLMDMC-QMMMGPOBSA-N 0 1 274.353 0.790 20 30 CCEDMN CN(C(=O)C1=NC(=O)N(C)C1)C1CCN(CC#N)CC1 ZINC001005944868 640037615 /nfs/dbraw/zinc/03/76/15/640037615.db2.gz ZXZHUHHCTQPLGC-UHFFFAOYSA-N 0 1 277.328 0.186 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(OCC)no2)C1 ZINC001015298223 650551074 /nfs/dbraw/zinc/55/10/74/650551074.db2.gz DOSPXNNEXBWLKR-NSHDSACASA-N 0 1 277.324 0.901 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc(COC)on2)CC1 ZINC001006105446 640158903 /nfs/dbraw/zinc/15/89/03/640158903.db2.gz VZYBZFISDFJZDZ-UHFFFAOYSA-N 0 1 291.351 0.991 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@H]2CCC(=O)N2)CC1 ZINC001006126558 640176462 /nfs/dbraw/zinc/17/64/62/640176462.db2.gz IDDVNNBUHQNSBQ-GFCCVEGCSA-N 0 1 277.368 0.211 20 30 CCEDMN Cc1nn(C)cc1C=NNc1ncnc2nc[nH]c21 ZINC000755662032 640331719 /nfs/dbraw/zinc/33/17/19/640331719.db2.gz UYCLGXVPLULDAG-UHFFFAOYSA-N 0 1 256.273 0.841 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001015496735 650573281 /nfs/dbraw/zinc/57/32/81/650573281.db2.gz VZXDJSVYSKRLNG-LBPRGKRZSA-N 0 1 286.339 0.505 20 30 CCEDMN N#Cc1ccc(C(=O)NCC(=O)Nc2c[nH]nn2)cc1 ZINC000798248019 640488582 /nfs/dbraw/zinc/48/85/82/640488582.db2.gz LSNBUTALNTVWBQ-UHFFFAOYSA-N 0 1 270.252 0.045 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001015621025 650594175 /nfs/dbraw/zinc/59/41/75/650594175.db2.gz QMWSMQBWNBABFC-GHMZBOCLSA-N 0 1 273.340 0.048 20 30 CCEDMN C[C@H](C#N)OCC[N@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(N)=O ZINC000801950131 640680747 /nfs/dbraw/zinc/68/07/47/640680747.db2.gz VZBLXAXJDCEUMA-RHYQMDGZSA-N 0 1 251.330 0.501 20 30 CCEDMN C[C@H](C#N)OCCN1C[C@@H]2CCC[C@@H]2[C@H]1C(N)=O ZINC000801950131 640680749 /nfs/dbraw/zinc/68/07/49/640680749.db2.gz VZBLXAXJDCEUMA-RHYQMDGZSA-N 0 1 251.330 0.501 20 30 CCEDMN C=CCCCN(C)CN1CC2(CCOCC2)[C@@H](O)C1=O ZINC000839999879 640731801 /nfs/dbraw/zinc/73/18/01/640731801.db2.gz MHOOZBKGYHVJEY-ZDUSSCGKSA-N 0 1 282.384 0.842 20 30 CCEDMN Cc1cc(C)[nH]c(=NNS(=O)(=O)c2ccc(C#N)o2)n1 ZINC000808065272 640846487 /nfs/dbraw/zinc/84/64/87/640846487.db2.gz NAGQKRFPKYANSB-UHFFFAOYSA-N 0 1 293.308 0.864 20 30 CCEDMN Cc1noc([C@@H]2CCCN(C[C@H](O)CC#N)C2)n1 ZINC000809572194 640900439 /nfs/dbraw/zinc/90/04/39/640900439.db2.gz IWHISGSNBCRDTK-GHMZBOCLSA-N 0 1 250.302 0.832 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H]3CCN(CC#N)C3)c2C1 ZINC001015926776 650658238 /nfs/dbraw/zinc/65/82/38/650658238.db2.gz XHBAOGMHHDIQKC-GHMZBOCLSA-N 0 1 287.367 0.862 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001015936165 650659401 /nfs/dbraw/zinc/65/94/01/650659401.db2.gz HKZUFZRKONOIGP-GFCCVEGCSA-N 0 1 276.340 0.865 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001015936165 650659403 /nfs/dbraw/zinc/65/94/03/650659403.db2.gz HKZUFZRKONOIGP-GFCCVEGCSA-N 0 1 276.340 0.865 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC001016102574 650682457 /nfs/dbraw/zinc/68/24/57/650682457.db2.gz XDIQNUHWQMGFMJ-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001016228478 650700914 /nfs/dbraw/zinc/70/09/14/650700914.db2.gz ICZANELHKNZORB-SNVBAGLBSA-N 0 1 270.296 0.286 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1cccnc1 ZINC000826383040 641930094 /nfs/dbraw/zinc/93/00/94/641930094.db2.gz HQGCZJKSNOJRPL-UHFFFAOYSA-N 0 1 252.241 0.378 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001016266157 650709484 /nfs/dbraw/zinc/70/94/84/650709484.db2.gz JNGCHGFEDLLMEX-SNVBAGLBSA-N 0 1 262.313 0.104 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](C2CC2)N2CCOCC2)C1 ZINC001016312651 650715999 /nfs/dbraw/zinc/71/59/99/650715999.db2.gz ZIYFLXMJWSORMS-HUUCEWRRSA-N 0 1 293.411 0.474 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829242671 642153283 /nfs/dbraw/zinc/15/32/83/642153283.db2.gz VWXCHILMBJPENO-HNNXBMFYSA-N 0 1 296.411 0.884 20 30 CCEDMN N#CC[C@]1(O)CCN(CCCN2CCOC2=O)C1 ZINC000829975618 642214532 /nfs/dbraw/zinc/21/45/32/642214532.db2.gz SHXWSGXOZABCMJ-LBPRGKRZSA-N 0 1 253.302 0.179 20 30 CCEDMN Cc1onc(-c2ccccn2)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834480620 642573594 /nfs/dbraw/zinc/57/35/94/642573594.db2.gz SYQITXFCHZZJBM-PWSUYJOCSA-N 0 1 297.318 0.886 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc(-n2ccnn2)c1 ZINC000834480946 642573629 /nfs/dbraw/zinc/57/36/29/642573629.db2.gz YJTTUMMUGXCAFN-WCQYABFASA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc2c([nH]c1=O)CCCC2 ZINC000834485457 642576121 /nfs/dbraw/zinc/57/61/21/642576121.db2.gz XDNRJDOQNXOQFO-GWCFXTLKSA-N 0 1 286.335 0.507 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cn1ncc2ccccc21 ZINC000834485467 642578164 /nfs/dbraw/zinc/57/81/64/642578164.db2.gz XFXUVROMKWOHOJ-RYUDHWBXSA-N 0 1 269.308 0.264 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccnc(-n2ccnc2)c1 ZINC000834490097 642579774 /nfs/dbraw/zinc/57/97/74/642579774.db2.gz RVGJGZRTVHVSHB-NEPJUHHUSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCCc1nc(C2CC2)no1 ZINC000834489086 642580081 /nfs/dbraw/zinc/58/00/81/642580081.db2.gz JGDDYBOPBIIQGQ-WDEREUQCSA-N 0 1 289.339 0.497 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCNC(=O)C1CCCCC1 ZINC000834493539 642583889 /nfs/dbraw/zinc/58/38/89/642583889.db2.gz FGHTZJWGRAOMHM-QWHCGFSZSA-N 0 1 292.383 0.301 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H](c1ccccc1)n1cccn1 ZINC000834494434 642584245 /nfs/dbraw/zinc/58/42/45/642584245.db2.gz MXLXJDWLJJCKSV-QLFBSQMISA-N 0 1 295.346 0.700 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc2c1OCCO2 ZINC000834494914 642585914 /nfs/dbraw/zinc/58/59/14/642585914.db2.gz QWNWCMKJHAQPLM-ONGXEEELSA-N 0 1 273.292 0.299 20 30 CCEDMN Cc1nn2c(nc(C)cc2C)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834500576 642588264 /nfs/dbraw/zinc/58/82/64/642588264.db2.gz UTFBYYCHVUDYDS-NEPJUHHUSA-N 0 1 298.350 0.496 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1c[nH]c(=O)c2ccccc12 ZINC000834499229 642588681 /nfs/dbraw/zinc/58/86/81/642588681.db2.gz GJBIPHUXTIQZFH-ZANVPECISA-N 0 1 282.303 0.782 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COc1cccc(Cl)c1 ZINC000834506291 642594257 /nfs/dbraw/zinc/59/42/57/642594257.db2.gz RTEWUGWHZZVGSQ-BXKDBHETSA-N 0 1 279.727 0.947 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(F)cc1F ZINC000834510532 642594762 /nfs/dbraw/zinc/59/47/62/642594762.db2.gz CBOISLCEDLPBCI-HQJQHLMTSA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)CCC(=O)N2 ZINC000834511275 642595005 /nfs/dbraw/zinc/59/50/05/642595005.db2.gz KAWPGPCYJSOJKL-DGCLKSJQSA-N 0 1 284.319 0.413 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1Cc2cc(Cl)ccc2O1 ZINC000834511015 642595214 /nfs/dbraw/zinc/59/52/14/642595214.db2.gz HYQJGIAQMOLODF-CDMKHQONSA-N 0 1 291.738 0.871 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1Cc2cc(Cl)ccc2O1 ZINC000834511021 642595841 /nfs/dbraw/zinc/59/58/41/642595841.db2.gz HYQJGIAQMOLODF-SUZMYJTESA-N 0 1 291.738 0.871 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cncn1-c1ccccc1 ZINC000834512522 642596795 /nfs/dbraw/zinc/59/67/95/642596795.db2.gz YIKQBPRJQFUEIF-AAEUAGOBSA-N 0 1 281.319 0.714 20 30 CCEDMN Cc1cccc(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834511565 642597795 /nfs/dbraw/zinc/59/77/95/642597795.db2.gz MJVKKMZFRUFRLJ-WCQYABFASA-N 0 1 259.309 0.602 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)CCO2 ZINC000834517597 642599731 /nfs/dbraw/zinc/59/97/31/642599731.db2.gz XMABIXYFBOJDKD-NEPJUHHUSA-N 0 1 257.293 0.463 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1c(F)cccc1F ZINC000834516464 642600163 /nfs/dbraw/zinc/60/01/63/642600163.db2.gz ITHMLCCFQPFHAM-GMSGAONNSA-N 0 1 251.236 0.806 20 30 CCEDMN N#Cc1cc(NC(=O)N[C@H]2CNC[C@H]2C#N)ccc1F ZINC000841104762 642803194 /nfs/dbraw/zinc/80/31/94/642803194.db2.gz RRLBYVWKCQHMQH-SKDRFNHKSA-N 0 1 273.271 0.930 20 30 CCEDMN Cc1nccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c1Cl ZINC000841178388 642806395 /nfs/dbraw/zinc/80/63/95/642806395.db2.gz CQVUUEZWCFUKTC-PSASIEDQSA-N 0 1 279.731 0.698 20 30 CCEDMN Cc1cc(C)c(C(=O)[O-])cc1C=NNC1=[NH+]CCN1 ZINC000841660464 642828636 /nfs/dbraw/zinc/82/86/36/642828636.db2.gz PSNXGKPRHRBIFY-UHFFFAOYSA-N 0 1 260.297 0.884 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1C=NN(C)[C@@H](C)CO ZINC000842542818 642910664 /nfs/dbraw/zinc/91/06/64/642910664.db2.gz VTBOPQFNPJQQAG-NSHDSACASA-N 0 1 295.387 0.212 20 30 CCEDMN C#CC[C@@H]1CCCN(CN2C[C@H](C(=O)OC)CC2=O)C1 ZINC000842628746 642919858 /nfs/dbraw/zinc/91/98/58/642919858.db2.gz FNMULJYWIBSLMD-CHWSQXEVSA-N 0 1 278.352 0.701 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnnn1C ZINC001017522506 650808714 /nfs/dbraw/zinc/80/87/14/650808714.db2.gz ZJOIOYWXMTWWIQ-TXEJJXNPSA-N 0 1 275.356 0.680 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc2ccccc2c(=O)[nH]1 ZINC000843459692 643062923 /nfs/dbraw/zinc/06/29/23/643062923.db2.gz GLIUFYXULYSTSN-MFKMUULPSA-N 0 1 282.303 0.782 20 30 CCEDMN Cc1ccc(OCC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000843459196 643063350 /nfs/dbraw/zinc/06/33/50/643063350.db2.gz DBWBWLURFAPTLK-DGCLKSJQSA-N 0 1 259.309 0.602 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(Cl)cc(F)c1N ZINC000843459836 643065586 /nfs/dbraw/zinc/06/55/86/643065586.db2.gz IZNKQFZQLFIRCL-LDWIPMOCSA-N 0 1 282.706 0.903 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1cnn2ccccc12 ZINC000843461542 643066279 /nfs/dbraw/zinc/06/62/79/643066279.db2.gz VHVPHTDGCBESKU-NWDGAFQWSA-N 0 1 269.308 0.105 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C(=O)Nc1ccc(Cl)cc1 ZINC000843461581 643066843 /nfs/dbraw/zinc/06/68/43/643066843.db2.gz LWXYQIJESXCEJI-KCJUWKMLSA-N 0 1 292.726 0.506 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cn1cc2ccccc2n1 ZINC000843463236 643067368 /nfs/dbraw/zinc/06/73/68/643067368.db2.gz VVSNKBSFZHOYPR-DGCLKSJQSA-N 0 1 269.308 0.264 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCCN1C ZINC001017666023 650816867 /nfs/dbraw/zinc/81/68/67/650816867.db2.gz CESPRCJUHQKBIL-QLFBSQMISA-N 0 1 275.396 0.779 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CNC[C@H]2C#N)c(C)s1 ZINC000844195086 643179048 /nfs/dbraw/zinc/17/90/48/643179048.db2.gz PYKPIQKYDSNBMT-KOLCDFICSA-N 0 1 264.354 0.530 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1CC(c2ccccc2)=NO1 ZINC000846678572 643443049 /nfs/dbraw/zinc/44/30/49/643443049.db2.gz VGDKUWLFUIPUGP-MRVWCRGKSA-N 0 1 284.319 0.407 20 30 CCEDMN C#C[C@H]1CCCN([C@H](C)C(=O)NCC(=O)OC)C1 ZINC000847033607 643480478 /nfs/dbraw/zinc/48/04/78/643480478.db2.gz YXYKMAHENNUKEY-MNOVXSKESA-N 0 1 252.314 0.009 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1nonc1C ZINC001018131975 650844905 /nfs/dbraw/zinc/84/49/05/650844905.db2.gz XVOVMUBIIHNIMX-BETUJISGSA-N 0 1 288.351 0.619 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CCCCCC[NH3+])o1 ZINC000850589865 643804426 /nfs/dbraw/zinc/80/44/26/643804426.db2.gz XROXQMBLHOGDOR-UHFFFAOYSA-N 0 1 271.342 0.949 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnn(C)c2)C1 ZINC001018479630 650869295 /nfs/dbraw/zinc/86/92/95/650869295.db2.gz JWPSDJBMIBLAFK-NSHDSACASA-N 0 1 268.748 0.977 20 30 CCEDMN Cc1ccn([C@H](C)CC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000852874844 644036444 /nfs/dbraw/zinc/03/64/44/644036444.db2.gz CZXAYHCYZSMYIG-IJLUTSLNSA-N 0 1 261.329 0.370 20 30 CCEDMN Cn1ncc(C2CC2)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000852875165 644036710 /nfs/dbraw/zinc/03/67/10/644036710.db2.gz GAHVXBPZTSMXIV-KOLCDFICSA-N 0 1 259.313 0.139 20 30 CCEDMN Cn1cc(Br)c(C(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000852876107 644037432 /nfs/dbraw/zinc/03/74/32/644037432.db2.gz VULRPRFHAVPLJG-SVRRBLITSA-N 0 1 298.144 0.024 20 30 CCEDMN Cn1cc(Br)c(C(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000852876105 644037817 /nfs/dbraw/zinc/03/78/17/644037817.db2.gz VULRPRFHAVPLJG-HTRCEHHLSA-N 0 1 298.144 0.024 20 30 CCEDMN NC(CN1CCCCCC1)=NOCC(=O)N1CCOCC1 ZINC000111178615 644091908 /nfs/dbraw/zinc/09/19/08/644091908.db2.gz YOOLXQZFEODPSK-UHFFFAOYSA-N 0 1 298.387 0.010 20 30 CCEDMN Cc1ncc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)n1C ZINC000853325733 644098799 /nfs/dbraw/zinc/09/87/99/644098799.db2.gz YRUKTAVRASRQMZ-TXEJJXNPSA-N 0 1 260.345 0.922 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2COCCN2C)C1 ZINC001018744219 650903676 /nfs/dbraw/zinc/90/36/76/650903676.db2.gz UMZNVHXJTIAMFU-RYUDHWBXSA-N 0 1 287.791 0.260 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cncnc2)C1 ZINC001018776565 650906723 /nfs/dbraw/zinc/90/67/23/650906723.db2.gz WHGACYRRUQEZJH-LBPRGKRZSA-N 0 1 280.759 0.962 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2(C(N)=O)CC2)C1 ZINC001019316958 650960947 /nfs/dbraw/zinc/96/09/47/650960947.db2.gz IGPYKNBPGSWGOH-VIFPVBQESA-N 0 1 271.748 0.195 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001019375798 650966687 /nfs/dbraw/zinc/96/66/87/650966687.db2.gz UZGKMBQNNCEJSC-GWCFXTLKSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001019678474 650997492 /nfs/dbraw/zinc/99/74/92/650997492.db2.gz IWMPFGFNTIJGNY-STQMWFEESA-N 0 1 299.802 0.940 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(=O)n(C)n2)C1 ZINC001019741030 651002249 /nfs/dbraw/zinc/00/22/49/651002249.db2.gz CGXRYPWZLWQXLZ-JTQLQIEISA-N 0 1 296.758 0.337 20 30 CCEDMN Cc1nccnc1[C@@H](C)NC[C@@H](O)CN(C)CCC#N ZINC000872249570 646229567 /nfs/dbraw/zinc/22/95/67/646229567.db2.gz XOBVXDPVLNMVGD-CHWSQXEVSA-N 0 1 277.372 0.642 20 30 CCEDMN Cn1cc(N=NCc2cn(C[C@@H]3CCOC3)nn2)cn1 ZINC000872369245 646252397 /nfs/dbraw/zinc/25/23/97/646252397.db2.gz GDPXDSJRNNZKKT-JTQLQIEISA-N 0 1 275.316 0.494 20 30 CCEDMN C[C@@H](C#N)CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872456169 646264724 /nfs/dbraw/zinc/26/47/24/646264724.db2.gz JXOJYHGBMGHGTF-ZKANADHPSA-N 0 1 281.403 0.877 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NCCCCO ZINC000876962414 646709056 /nfs/dbraw/zinc/70/90/56/646709056.db2.gz RBGRELDAGYERLK-AWEZNQCLSA-N 0 1 273.336 0.634 20 30 CCEDMN COc1cccc([C@@H]2CN(CC(=O)NCC#N)CCN2)c1 ZINC000879524867 647068932 /nfs/dbraw/zinc/06/89/32/647068932.db2.gz DHPFNSGDLPXRBF-AWEZNQCLSA-N 0 1 288.351 0.281 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@H]2CSC[C@H]2C1 ZINC000879558161 647073822 /nfs/dbraw/zinc/07/38/22/647073822.db2.gz RKFMHBFTVJPMCY-WDEREUQCSA-N 0 1 253.371 0.701 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN([C@H]3CCC[C@@H]3O)CC2)n1 ZINC000881914738 647352126 /nfs/dbraw/zinc/35/21/26/647352126.db2.gz BIQFLSKYBYGOTN-KBPBESRZSA-N 0 1 287.367 0.692 20 30 CCEDMN C[C@H]1CN(C(=O)Nc2cccc(CC#N)n2)CCN1 ZINC000883587654 647541229 /nfs/dbraw/zinc/54/12/29/647541229.db2.gz OHJPORJPMGHECY-JTQLQIEISA-N 0 1 259.313 0.973 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C[C@@H]1CCC2(CCC2)CO1 ZINC000884249461 647680533 /nfs/dbraw/zinc/68/05/33/647680533.db2.gz MFXXNFMTKOYLJC-FRRDWIJNSA-N 0 1 277.368 0.954 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2c3c(nn2C)CCSC3)N1 ZINC000884447516 647740064 /nfs/dbraw/zinc/74/00/64/647740064.db2.gz AWHSGMPAAUKPQL-MRVPVSSYSA-N 0 1 278.385 0.481 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)[C@@H]2CCCc3[nH]ncc32)CCO1 ZINC000887679090 648139780 /nfs/dbraw/zinc/13/97/80/648139780.db2.gz QKLMLNVYMJULSV-QMTHXVAHSA-N 0 1 274.324 0.971 20 30 CCEDMN O=C([C@@H]1CC1[N+](=O)[O-])N1CCC2(CC1)CC(=O)C=CO2 ZINC000887770950 648151758 /nfs/dbraw/zinc/15/17/58/648151758.db2.gz LLLGQNWGOFWMBJ-GHMZBOCLSA-N 0 1 280.280 0.516 20 30 CCEDMN N#Cc1csc(CNC(=O)[C@H]2C[N@H+]3CCN2CCC3)n1 ZINC000887980621 648179210 /nfs/dbraw/zinc/17/92/10/648179210.db2.gz RSRIISUILFBDBH-LLVKDONJSA-N 0 1 291.380 0.021 20 30 CCEDMN N#CC1(C(=O)N2CC[C@@H]3[C@@H]2CCCN3CCO)CCC1 ZINC000889768387 648247854 /nfs/dbraw/zinc/24/78/54/648247854.db2.gz MZRPMFQSWDSBAJ-OLZOCXBDSA-N 0 1 277.368 0.738 20 30 CCEDMN N#CC1(c2ccccn2)CCN(C[C@H](O)CO)CC1 ZINC000930333117 648851297 /nfs/dbraw/zinc/85/12/97/648851297.db2.gz MTWNSHMAQPAHOH-LBPRGKRZSA-N 0 1 261.325 0.292 20 30 CCEDMN C#CCN1CCN(C(=O)c2cccc(F)c2O)CC1 ZINC000932710320 649086386 /nfs/dbraw/zinc/08/63/86/649086386.db2.gz QWZFCNBGFIIAGD-UHFFFAOYSA-N 0 1 262.284 0.922 20 30 CCEDMN N#Cc1cccc(CN2CC[C@H](CS(N)(=O)=O)C2)c1 ZINC000932949854 649104955 /nfs/dbraw/zinc/10/49/55/649104955.db2.gz WYUJSHKMRNPUDZ-ZDUSSCGKSA-N 0 1 279.365 0.669 20 30 CCEDMN Cc1cn[nH]c1CN1C[C@@H]2CS(=O)(=O)C[C@@]2(C#N)C1 ZINC000933114333 649111407 /nfs/dbraw/zinc/11/14/07/649111407.db2.gz OMGZZTFNECBEBJ-ZYHUDNBSSA-N 0 1 280.353 0.088 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(NC(=O)c2[nH]ncc2F)CC1 ZINC000948096298 649363081 /nfs/dbraw/zinc/36/30/81/649363081.db2.gz JJUUIMAIRIMGCZ-MRVPVSSYSA-N 0 1 293.302 0.429 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(NC(=O)[C@H]2CCCN2C)CC1 ZINC000948097239 649363697 /nfs/dbraw/zinc/36/36/97/649363697.db2.gz YPDDGAMKVPZTTF-DGCLKSJQSA-N 0 1 292.383 0.347 20 30 CCEDMN N#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc3nc[nH]c32)C1 ZINC000958514862 649809661 /nfs/dbraw/zinc/80/96/61/649809661.db2.gz DNUWGKDNHCYMQB-MFKMUULPSA-N 0 1 299.334 0.109 20 30 CCEDMN C[C@H]1CN(c2cnc(C#N)c(C#N)n2)[C@@H](C)CN1C ZINC001164632026 719242663 /nfs/dbraw/zinc/24/26/63/719242663.db2.gz HPIZJYJVSCVJCS-UWVGGRQHSA-N 0 1 256.313 0.749 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncnc(Cl)c3C#N)C[C@H]21 ZINC001164672137 719380065 /nfs/dbraw/zinc/38/00/65/719380065.db2.gz YNZZBSCIPQXIQJ-NXEZZACHSA-N 0 1 279.731 0.521 20 30 CCEDMN Cc1ccc(N2C[C@H]3OCCN(C)[C@H]3C2)nc1C#N ZINC000302625475 719390881 /nfs/dbraw/zinc/39/08/81/719390881.db2.gz IBAFDEOMCWEUMX-QWHCGFSZSA-N 0 1 258.325 0.781 20 30 CCEDMN COc1cc(C#N)nc(N2C[C@H]3OCCN(C)[C@H]3C2)c1 ZINC001164666886 719397715 /nfs/dbraw/zinc/39/77/15/719397715.db2.gz MAMWMUHJKCVYGJ-QWHCGFSZSA-N 0 1 274.324 0.481 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncc(C#N)cc3F)C[C@H]21 ZINC001164670585 719416466 /nfs/dbraw/zinc/41/64/66/719416466.db2.gz OXKKYVDUDSVHGV-NEPJUHHUSA-N 0 1 262.288 0.612 20 30 CCEDMN COCC#CCN1CCC[C@]2(CCN(C(=O)COC)C2)C1 ZINC001277449164 805585290 /nfs/dbraw/zinc/58/52/90/805585290.db2.gz GTUGQCYNPOEHRP-INIZCTEOSA-N 0 1 294.395 0.597 20 30 CCEDMN CC#CCN1CCC(NC(=O)CNC(=O)[C@@H](C)CC)CC1 ZINC001230292255 805593284 /nfs/dbraw/zinc/59/32/84/805593284.db2.gz HMMQWJCDMIBPSH-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC1CCN([C@@H](C)C(=O)NC)CC1 ZINC001230414681 805601765 /nfs/dbraw/zinc/60/17/65/805601765.db2.gz DXJLRWQJVHNYOM-RYUDHWBXSA-N 0 1 297.399 0.293 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)NC(=O)C2CCC2)CC1 ZINC001230463772 805603085 /nfs/dbraw/zinc/60/30/85/805603085.db2.gz WLIRXPYDNFNEQC-GFCCVEGCSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)C[C@@H]2CCCO2)CC1 ZINC001266257533 790339225 /nfs/dbraw/zinc/33/92/25/790339225.db2.gz LANCNASWIAOBGG-HNNXBMFYSA-N 0 1 295.427 0.865 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)c2ncoc2C)CC1 ZINC001266257698 790341391 /nfs/dbraw/zinc/34/13/91/790341391.db2.gz PRKIFVADQJPLJG-UHFFFAOYSA-N 0 1 292.383 0.907 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3n[nH]cc3F)[C@H]2C1 ZINC001083185636 790369093 /nfs/dbraw/zinc/36/90/93/790369093.db2.gz CTJIZRDHHUWTEV-NWDGAFQWSA-N 0 1 294.330 0.650 20 30 CCEDMN C=CCN1CCN(CCNC(=O)COC2CCCC2)CC1 ZINC001266279025 790385995 /nfs/dbraw/zinc/38/59/95/790385995.db2.gz BAKDETGOBSGDNV-UHFFFAOYSA-N 0 1 295.427 0.865 20 30 CCEDMN CN(CCNC(=O)c1cnn[nH]1)c1ncc(C#N)cc1F ZINC001100400524 790408089 /nfs/dbraw/zinc/40/80/89/790408089.db2.gz HWVLZISAOWHYQT-UHFFFAOYSA-N 0 1 289.274 0.077 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCc1c(C)nc[nH]c1=O ZINC001266293190 790438035 /nfs/dbraw/zinc/43/80/35/790438035.db2.gz MUSGMROZERESFH-UHFFFAOYSA-N 0 1 290.367 0.495 20 30 CCEDMN C=C(C)CN(C)CCNC(=O)c1cc(=O)c(OC)co1 ZINC001266297838 790452129 /nfs/dbraw/zinc/45/21/29/790452129.db2.gz SGQQTQQVNLXXRR-UHFFFAOYSA-N 0 1 280.324 0.886 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)CNc1cncc(C#N)n1 ZINC001104225956 790452982 /nfs/dbraw/zinc/45/29/82/790452982.db2.gz GTCZIAOHEPMFMB-SNVBAGLBSA-N 0 1 299.338 0.478 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN([C@@H]2CCCN(C)C2=O)C1 ZINC001266307697 790479935 /nfs/dbraw/zinc/47/99/35/790479935.db2.gz JCKVBTOWKNJZOT-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@@H]1NC(=O)c2ccccc21 ZINC001266339894 790543461 /nfs/dbraw/zinc/54/34/61/790543461.db2.gz AQWPSFSVZCPMSJ-AWEZNQCLSA-N 0 1 285.347 0.542 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H]1CCCCN1C(=O)CC ZINC001266392056 790623492 /nfs/dbraw/zinc/62/34/92/790623492.db2.gz AMMAQDOUDNQXQH-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN(CC)CCNC(=O)C1(NC(C)=O)CCCCC1 ZINC001266399022 790636469 /nfs/dbraw/zinc/63/64/69/790636469.db2.gz HUYQRYMRQCHTCA-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN CC#CCN(CC)CCNC(=O)C[C@H]1CCC(=O)N1 ZINC001266423047 790670640 /nfs/dbraw/zinc/67/06/40/790670640.db2.gz ZWPDOQYWPPCKPU-GFCCVEGCSA-N 0 1 265.357 0.117 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001266476804 790772559 /nfs/dbraw/zinc/77/25/59/790772559.db2.gz CQMNWXWQLKFGNS-CZUORRHYSA-N 0 1 291.395 0.507 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)Cc1c[nH]cn1 ZINC001266483145 790774491 /nfs/dbraw/zinc/77/44/91/790774491.db2.gz PDCRVWVIQFOWNQ-CQSZACIVSA-N 0 1 290.367 0.183 20 30 CCEDMN Cc1nccnc1CNCCNC(=O)c1cc(C#N)c[nH]1 ZINC001125370805 790801621 /nfs/dbraw/zinc/80/16/21/790801621.db2.gz VQRFCJIAGULQNY-UHFFFAOYSA-N 0 1 284.323 0.504 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2ccn(C)n2)C1 ZINC001266548640 790911741 /nfs/dbraw/zinc/91/17/41/790911741.db2.gz DNKIGVXZSWSJLF-CYBMUJFWSA-N 0 1 290.367 0.122 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)c1cnco1 ZINC001266566876 790939707 /nfs/dbraw/zinc/93/97/07/790939707.db2.gz OAUPKCRYQPVERA-UHFFFAOYSA-N 0 1 279.340 0.766 20 30 CCEDMN C#CCN(CCNC(=O)c1ccnc2[nH]cnc21)C1CC1 ZINC001266594499 790999598 /nfs/dbraw/zinc/99/95/98/790999598.db2.gz LTTWKOPMYZHOKA-UHFFFAOYSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN(CCNC(=O)c1ccnc2c1CC(=O)N2)C1CC1 ZINC001266600332 791007619 /nfs/dbraw/zinc/00/76/19/791007619.db2.gz QOJIBMORDARACC-UHFFFAOYSA-N 0 1 298.346 0.404 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)[C@@H](C)COC)C1 ZINC001279470887 791065106 /nfs/dbraw/zinc/06/51/06/791065106.db2.gz JAPNULRMNGXTMX-ZFWWWQNUSA-N 0 1 284.400 0.788 20 30 CCEDMN Cc1noc(C)c1CNCCN(C)C(=O)[C@H](C)C#N ZINC001266659289 791091679 /nfs/dbraw/zinc/09/16/79/791091679.db2.gz CLFBUGHPUZBCBT-SECBINFHSA-N 0 1 264.329 0.999 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(=O)C(F)F)C1=O ZINC001231052775 805717901 /nfs/dbraw/zinc/71/79/01/805717901.db2.gz ZUICNFIYRASJCW-SNVBAGLBSA-N 0 1 289.326 0.477 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C(C)(F)F ZINC001231176579 805744440 /nfs/dbraw/zinc/74/44/40/805744440.db2.gz PILYSMMYHAWHHE-SNVBAGLBSA-N 0 1 291.342 0.770 20 30 CCEDMN CN1CCN(c2ccc(CN3CC(C#N)C3)cn2)CC1 ZINC001232361247 805928069 /nfs/dbraw/zinc/92/80/69/805928069.db2.gz VMPVKBURWGUSFH-UHFFFAOYSA-N 0 1 271.368 0.789 20 30 CCEDMN C[C@H]1CN(C)C(=O)CN1Cc1cc(C#N)ccc1O ZINC001232677874 805969516 /nfs/dbraw/zinc/96/95/16/805969516.db2.gz MAWXEHGPERVZSO-JTQLQIEISA-N 0 1 259.309 0.926 20 30 CCEDMN N#Cc1ccc(O)c(CN2CCn3ncnc3C2)c1 ZINC001232674282 805970427 /nfs/dbraw/zinc/97/04/27/805970427.db2.gz VIEGFMRZWBCXMT-UHFFFAOYSA-N 0 1 255.281 0.871 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)CCc2cn(C)nn2)C1 ZINC001277714835 805981031 /nfs/dbraw/zinc/98/10/31/805981031.db2.gz PHRLERIOGNQTJG-UHFFFAOYSA-N 0 1 291.399 0.857 20 30 CCEDMN CN(C1CN(Cc2cccc(C#N)n2)C1)[C@H]1CCOC1 ZINC001232925362 805996362 /nfs/dbraw/zinc/99/63/62/805996362.db2.gz DMAAXBJZPBKTPP-AWEZNQCLSA-N 0 1 272.352 0.858 20 30 CCEDMN N#Cc1ccc(N2CCN(CCO)CC2)c(CO)c1 ZINC000891433767 791223194 /nfs/dbraw/zinc/22/31/94/791223194.db2.gz KKPOMAIEKPQIPQ-UHFFFAOYSA-N 0 1 261.325 0.165 20 30 CCEDMN C=CCCC(=O)N[C@H](C)[C@H]1CCCN(CC(=O)NC)C1 ZINC001266892614 791324575 /nfs/dbraw/zinc/32/45/75/791324575.db2.gz OGXVYYBDACZMPO-OLZOCXBDSA-N 0 1 281.400 0.915 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cn1ccnc1 ZINC001266995551 791429209 /nfs/dbraw/zinc/42/92/09/791429209.db2.gz IPALQJFKOYFMGS-JTQLQIEISA-N 0 1 256.737 0.730 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3nnn(C)c3C)C[C@@H]21 ZINC001041992997 791609623 /nfs/dbraw/zinc/60/96/23/791609623.db2.gz WUAZHXQINNWVCN-STQMWFEESA-N 0 1 287.367 0.293 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@@H](C)OC)C[C@@H](C)O2 ZINC001098570417 791642316 /nfs/dbraw/zinc/64/23/16/791642316.db2.gz ILFZYXMMYPTCIW-ZIAGYGMSSA-N 0 1 294.395 0.736 20 30 CCEDMN CC(C)C#CC(=O)NCCN(C)Cc1n[nH]c(C2CC2)n1 ZINC001267195383 791655708 /nfs/dbraw/zinc/65/57/08/791655708.db2.gz YGQKZRZZDIPICA-UHFFFAOYSA-N 0 1 289.383 0.890 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001267207566 791673805 /nfs/dbraw/zinc/67/38/05/791673805.db2.gz JKWKCTGFGOBWLX-SEBNEYGDSA-N 0 1 292.379 0.108 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnn(CCOCC)c1 ZINC001267225714 791702405 /nfs/dbraw/zinc/70/24/05/791702405.db2.gz ZKFHEMONMRKLEN-UHFFFAOYSA-N 0 1 292.383 0.605 20 30 CCEDMN C=CCC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1C[NH+](C)CCO1 ZINC001038141419 791704235 /nfs/dbraw/zinc/70/42/35/791704235.db2.gz KZNRQNVWLTYIHW-STQMWFEESA-N 0 1 267.373 0.084 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)CCC(C)C ZINC001233723162 806111305 /nfs/dbraw/zinc/11/13/05/806111305.db2.gz WYQNAEYBXUNTSS-AWEZNQCLSA-N 0 1 295.427 0.999 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCNC(=O)NC ZINC001234112212 806183439 /nfs/dbraw/zinc/18/34/39/806183439.db2.gz QQCNERASJQPDOL-GFCCVEGCSA-N 0 1 282.388 0.414 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@@H](C)CC ZINC001234137244 806188500 /nfs/dbraw/zinc/18/85/00/806188500.db2.gz QIPSDXUSPUPULT-STQMWFEESA-N 0 1 281.400 0.609 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)c1ccn[nH]1 ZINC001234262037 806213059 /nfs/dbraw/zinc/21/30/59/806213059.db2.gz UMQHHQJEVJVLHK-LLVKDONJSA-N 0 1 293.371 0.152 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H](C)NC(N)=O ZINC001234276882 806216389 /nfs/dbraw/zinc/21/63/89/806216389.db2.gz UWPRHRNUTPGACQ-MNOVXSKESA-N 0 1 268.361 0.152 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CO[C@@H]1CCOC1 ZINC001234296051 806217742 /nfs/dbraw/zinc/21/77/42/806217742.db2.gz JVPIZZGHCARMLI-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN Cc1nc(N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)ccc1C#N ZINC001059880468 814929747 /nfs/dbraw/zinc/92/97/47/814929747.db2.gz KZEXOHKTJQYFPK-PHIMTYICSA-N 0 1 297.322 0.753 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccc(C(N)=O)s1 ZINC001267252338 793247909 /nfs/dbraw/zinc/24/79/09/793247909.db2.gz LKUXXLUDXGMCBA-UHFFFAOYSA-N 0 1 279.365 0.532 20 30 CCEDMN C#CC[NH2+]C[C@H]1CCCN1C(=O)c1cccc2nn[n-]c21 ZINC001277858313 806248583 /nfs/dbraw/zinc/24/85/83/806248583.db2.gz LMOMFQXUIGOLGY-LLVKDONJSA-N 0 1 283.335 0.785 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCCn1ccnn1 ZINC001235246019 806394964 /nfs/dbraw/zinc/39/49/64/806394964.db2.gz PYWUSFOQEVNVCB-CYBMUJFWSA-N 0 1 277.372 0.777 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCNC1=O ZINC001235280201 806398555 /nfs/dbraw/zinc/39/85/55/806398555.db2.gz HZJINYDZCPSWPP-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCNC1=O ZINC001235263689 806399404 /nfs/dbraw/zinc/39/94/04/806399404.db2.gz XNPIAEDCKGLYJT-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN N#CCCCN1C[C@@H]2OCCN(Cc3cn[nH]c3)[C@H]2C1 ZINC001277943054 806417912 /nfs/dbraw/zinc/41/79/12/806417912.db2.gz LHUDGJPGOOJAQU-KBPBESRZSA-N 0 1 275.356 0.598 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@H](C)COC ZINC001235669456 806487068 /nfs/dbraw/zinc/48/70/68/806487068.db2.gz NADXJHVPAJIDRG-CHWSQXEVSA-N 0 1 299.415 0.398 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)CC(=O)N(C)C(C)C ZINC001235698097 806494516 /nfs/dbraw/zinc/49/45/16/806494516.db2.gz HTNWFMLVQHVSGG-UHFFFAOYSA-N 0 1 299.415 0.494 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)CC(=O)N(C)C(C)C ZINC001235698097 806494520 /nfs/dbraw/zinc/49/45/20/806494520.db2.gz HTNWFMLVQHVSGG-UHFFFAOYSA-N 0 1 299.415 0.494 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235701758 806494945 /nfs/dbraw/zinc/49/49/45/806494945.db2.gz PWGBZXZLMUOSDO-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235701758 806494947 /nfs/dbraw/zinc/49/49/47/806494947.db2.gz PWGBZXZLMUOSDO-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN CCOC(=O)C1(C#N)CCN(CCCCO)CC1 ZINC001236086337 806542629 /nfs/dbraw/zinc/54/26/29/806542629.db2.gz HTWIBFXMUTXRIS-UHFFFAOYSA-N 0 1 254.330 0.928 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](CO)NCc1cncs1 ZINC001278070298 806649229 /nfs/dbraw/zinc/64/92/29/806649229.db2.gz HMLOKBUHVOEMTO-GXFFZTMASA-N 0 1 299.396 0.037 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1(COC)CCCCC1 ZINC001278094754 806661751 /nfs/dbraw/zinc/66/17/51/806661751.db2.gz YSXMVKRYMPQRSK-ZDUSSCGKSA-N 0 1 282.384 0.283 20 30 CCEDMN CN1CC2(C1)SCC[C@H]2C(=O)N1CC(CC#N)C1 ZINC001278097007 806662484 /nfs/dbraw/zinc/66/24/84/806662484.db2.gz UEJWOWUJOZKYHZ-NSHDSACASA-N 0 1 265.382 0.796 20 30 CCEDMN C=CCN1C(=O)CCC12CCN(Cc1nnc[nH]1)CC2 ZINC001237600931 806694064 /nfs/dbraw/zinc/69/40/64/806694064.db2.gz VXHSKBROXXUYSD-UHFFFAOYSA-N 0 1 275.356 0.948 20 30 CCEDMN CCc1[nH]ncc1CN1CCN(c2nccnc2C#N)CC1 ZINC001237659870 806707294 /nfs/dbraw/zinc/70/72/94/806707294.db2.gz RDVCKFVHDUAJLC-UHFFFAOYSA-N 0 1 297.366 0.956 20 30 CCEDMN C#CCNCC(=O)N(Cc1ccccc1)C[C@H](C)O ZINC001121848524 799076998 /nfs/dbraw/zinc/07/69/98/799076998.db2.gz KKKTYQYNGHSMBZ-ZDUSSCGKSA-N 0 1 260.337 0.619 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1CCOc2ccccc21 ZINC001121862131 799079386 /nfs/dbraw/zinc/07/93/86/799079386.db2.gz GAYJKFGXRUEPFS-LBPRGKRZSA-N 0 1 258.321 0.892 20 30 CCEDMN C#CCNCC(=O)N1CCN(CC(F)(F)F)[C@@H](C)C1 ZINC001122058101 799132010 /nfs/dbraw/zinc/13/20/10/799132010.db2.gz QLPYPRDTQMDCDG-JTQLQIEISA-N 0 1 277.290 0.304 20 30 CCEDMN C#CCNCC(=O)NCc1cc(OC)ccc1F ZINC001122081493 799138334 /nfs/dbraw/zinc/13/83/34/799138334.db2.gz FWDQAWCMMIDLAZ-UHFFFAOYSA-N 0 1 250.273 0.673 20 30 CCEDMN C#CCNCC(=O)NCc1cc2c([nH]c1=O)CCC2 ZINC001122112407 799146974 /nfs/dbraw/zinc/14/69/74/799146974.db2.gz WWVXWBOGAHPZMI-UHFFFAOYSA-N 0 1 259.309 0.115 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(CC(=O)OC)cc1 ZINC001122165211 799158340 /nfs/dbraw/zinc/15/83/40/799158340.db2.gz XYBPTQSAUAATTI-UHFFFAOYSA-N 0 1 274.320 0.241 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1CCC[C@@H](C(F)(F)F)O1 ZINC001122271749 799184843 /nfs/dbraw/zinc/18/48/43/799184843.db2.gz DVULTWHOSGZWTN-UWVGGRQHSA-N 0 1 278.274 0.825 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cccc2[nH]ccc21 ZINC001278154809 806727425 /nfs/dbraw/zinc/72/74/25/806727425.db2.gz HXXKAKBUGJHNIJ-GFCCVEGCSA-N 0 1 285.347 0.872 20 30 CCEDMN C=C(Br)CNCCNC(=O)CCOC ZINC001122387892 799220146 /nfs/dbraw/zinc/22/01/46/799220146.db2.gz HGRJJIWCOBZBBF-UHFFFAOYSA-N 0 1 265.151 0.637 20 30 CCEDMN Cn1nc(C2CC2)nc1CC(=O)C(C#N)C(=O)NC1CC1 ZINC001122514285 799254177 /nfs/dbraw/zinc/25/41/77/799254177.db2.gz XZSGYCFLTGMNOE-SNVBAGLBSA-N 0 1 287.323 0.222 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1(c2cccc(F)c2)CC1 ZINC001278164221 806735162 /nfs/dbraw/zinc/73/51/62/806735162.db2.gz BATAHWQPGMCVKC-AWEZNQCLSA-N 0 1 290.338 0.557 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C[C@H](C)c1ccco1 ZINC001278187615 806749135 /nfs/dbraw/zinc/74/91/35/806749135.db2.gz VAIWHXFMBJOHKV-RYUDHWBXSA-N 0 1 264.325 0.473 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1ccn[nH]1 ZINC001123898264 799498791 /nfs/dbraw/zinc/49/87/91/799498791.db2.gz ZFQKJZFQXJHRAX-UHFFFAOYSA-N 0 1 268.320 0.781 20 30 CCEDMN CO[C@H](C)C(=O)NCCNCC#Cc1ccccc1 ZINC001124273377 799587372 /nfs/dbraw/zinc/58/73/72/799587372.db2.gz NMYUTMZXUIGLJN-CYBMUJFWSA-N 0 1 260.337 0.779 20 30 CCEDMN Cn1cc(CC(=O)NCCNCC#Cc2ccccc2)cn1 ZINC001124606641 799618347 /nfs/dbraw/zinc/61/83/47/799618347.db2.gz IMQNWNUTLRWLAM-UHFFFAOYSA-N 0 1 296.374 0.720 20 30 CCEDMN N#CCNC(=O)CNC(=O)c1ccc(Cl)c(O)c1 ZINC001140178111 799885733 /nfs/dbraw/zinc/88/57/33/799885733.db2.gz ZIQJHPPJWXQQBG-UHFFFAOYSA-N 0 1 267.672 0.415 20 30 CCEDMN CN(C)c1nc(NC(=O)C2(C#N)CC2)c(N=O)c(=O)[nH]1 ZINC001143171826 800203272 /nfs/dbraw/zinc/20/32/72/800203272.db2.gz GPKIXRKAEYDCLR-UHFFFAOYSA-N 0 1 276.256 0.888 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cccc(C(=O)NC)n1 ZINC001149470114 800269409 /nfs/dbraw/zinc/26/94/09/800269409.db2.gz ROKUOBHFRIJHQD-UHFFFAOYSA-N 0 1 296.758 0.513 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@H](CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001150486961 800339050 /nfs/dbraw/zinc/33/90/50/800339050.db2.gz AXKBTRINNHMBES-ZBFHGGJFSA-N 0 1 294.395 0.643 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1[nH]nc2ccncc21 ZINC001152106756 800493060 /nfs/dbraw/zinc/49/30/60/800493060.db2.gz LTEXSJPSXOWVCX-VIFPVBQESA-N 0 1 273.252 0.143 20 30 CCEDMN CCN(Cc1cnn(C)c1)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001152450226 800524457 /nfs/dbraw/zinc/52/44/57/800524457.db2.gz UAFRRBMTWMXFBV-NWDGAFQWSA-N 0 1 277.372 0.906 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cc2cncnc2[nH]1 ZINC001152986779 800576319 /nfs/dbraw/zinc/57/63/19/800576319.db2.gz ZKDGJJDZYMWLLY-UHFFFAOYSA-N 0 1 253.225 0.757 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1cncc(OC)n1 ZINC001153086064 800595040 /nfs/dbraw/zinc/59/50/40/800595040.db2.gz QIQYYCXNLCZIGM-UHFFFAOYSA-N 0 1 294.355 0.284 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1cnc(C)o1 ZINC001153148621 800601697 /nfs/dbraw/zinc/60/16/97/800601697.db2.gz HWGSEGBPXOYYKG-SNVBAGLBSA-N 0 1 267.329 0.780 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1[nH]nc2cccnc21 ZINC001153144999 800601735 /nfs/dbraw/zinc/60/17/35/800601735.db2.gz SEKUXYCCBNFPAT-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H](C)N(CC)CCF ZINC001153301922 800618548 /nfs/dbraw/zinc/61/85/48/800618548.db2.gz CMTRXLCYNPHBKT-GFCCVEGCSA-N 0 1 258.337 0.822 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc(OCC)cn1 ZINC001153742149 800658857 /nfs/dbraw/zinc/65/88/57/800658857.db2.gz QCEHJMMZBZYWNC-UHFFFAOYSA-N 0 1 284.747 0.947 20 30 CCEDMN Cn1cc(NC(=O)c2cnc3cccnc3c2O)c(C#N)n1 ZINC001153850382 800677805 /nfs/dbraw/zinc/67/78/05/800677805.db2.gz AAHMQAVIASZJOG-UHFFFAOYSA-N 0 1 294.274 0.781 20 30 CCEDMN C#CCCN(CC)[C@H](C)CNC(=O)[C@@H](C)S(C)(=O)=O ZINC001154039385 800705665 /nfs/dbraw/zinc/70/56/65/800705665.db2.gz WDVAFSFUUCRBPU-VXGBXAGGSA-N 0 1 288.413 0.269 20 30 CCEDMN CCn1ncc(CNCCCNC(=O)CSCC#N)n1 ZINC001154802289 800848466 /nfs/dbraw/zinc/84/84/66/800848466.db2.gz BWGJBWJWBJTNTG-UHFFFAOYSA-N 0 1 296.400 0.151 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2ncc(C#N)cc2C#N)CC1 ZINC001155154444 800920306 /nfs/dbraw/zinc/92/03/06/800920306.db2.gz XJTJSCAGUQKPLK-ZDUSSCGKSA-N 0 1 299.334 0.542 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1n[nH]c2cccc(F)c21 ZINC001155285442 800947672 /nfs/dbraw/zinc/94/76/72/800947672.db2.gz ATEJNJMNIYCTPA-SECBINFHSA-N 0 1 290.254 0.887 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001155521826 800998222 /nfs/dbraw/zinc/99/82/22/800998222.db2.gz YYRDLUUKTAIKPI-AWEZNQCLSA-N 0 1 298.346 0.900 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)COC[C@H]1CCOC1 ZINC001155590142 801018609 /nfs/dbraw/zinc/01/86/09/801018609.db2.gz LRXISLGAELSQPH-KGLIPLIRSA-N 0 1 282.384 0.499 20 30 CCEDMN C[C@@H](NCCCNC(=O)[C@@H](C)C#N)c1nnnn1C1CC1 ZINC001155842660 801064818 /nfs/dbraw/zinc/06/48/18/801064818.db2.gz PTBDSZPPMSAEFJ-VHSXEESVSA-N 0 1 291.359 0.325 20 30 CCEDMN COC(=O)c1nccnc1NC(=NC#N)c1ccncc1 ZINC001156317589 801149026 /nfs/dbraw/zinc/14/90/26/801149026.db2.gz ITIDGXPOXDYOTJ-UHFFFAOYSA-N 0 1 282.263 0.998 20 30 CCEDMN N#CN=C(Nc1ccc(C(N)=O)nn1)c1ccncc1 ZINC001156322820 801150073 /nfs/dbraw/zinc/15/00/73/801150073.db2.gz KATQEXTYDYWMLJ-UHFFFAOYSA-N 0 1 267.252 0.310 20 30 CCEDMN Cc1cnc(CNCCCNC(=O)c2c[nH]c(C#N)c2)nc1 ZINC001156841267 801247108 /nfs/dbraw/zinc/24/71/08/801247108.db2.gz FMHXINNRVJHEBB-UHFFFAOYSA-N 0 1 298.350 0.895 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1COCCO1 ZINC001157568595 801423828 /nfs/dbraw/zinc/42/38/28/801423828.db2.gz SMSVNRACWOBTIU-KGLIPLIRSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)OC ZINC001157614864 801433416 /nfs/dbraw/zinc/43/34/16/801433416.db2.gz RQYDZDWLBJRBBC-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN CC(C)n1ncnc1CNCCCNC(=O)C#CC1CC1 ZINC001157705242 801459095 /nfs/dbraw/zinc/45/90/95/801459095.db2.gz SIPMDBJQSJSICU-UHFFFAOYSA-N 0 1 289.383 0.868 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1nocc1C ZINC001157846116 801500673 /nfs/dbraw/zinc/50/06/73/801500673.db2.gz LMDVOLZETQYEQV-UHFFFAOYSA-N 0 1 279.340 0.619 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1nccc(C)n1 ZINC001157859239 801505177 /nfs/dbraw/zinc/50/51/77/801505177.db2.gz DKPXVXUGEKHGDV-UHFFFAOYSA-N 0 1 290.367 0.421 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1COC(=O)N1 ZINC001157902795 801515151 /nfs/dbraw/zinc/51/51/51/801515151.db2.gz OUIWTRRINDFAKV-CHWSQXEVSA-N 0 1 293.367 0.431 20 30 CCEDMN COc1ccnc(NC(=N)N2CCN(C)CC2)c1C#N ZINC001157914336 801518700 /nfs/dbraw/zinc/51/87/00/801518700.db2.gz BWPOJDBAMWQDLN-UHFFFAOYSA-N 0 1 274.328 0.556 20 30 CCEDMN CN1CCN(C(=N)Nc2ncc([N+](=O)[O-])cc2C#N)CC1 ZINC001157915170 801520170 /nfs/dbraw/zinc/52/01/70/801520170.db2.gz SKVFUFHPCSGEJJ-UHFFFAOYSA-N 0 1 289.299 0.456 20 30 CCEDMN N#Cc1cc(-n2nnnc2CN)nc2ccc([N+](=O)[O-])cc12 ZINC001158079896 801567477 /nfs/dbraw/zinc/56/74/77/801567477.db2.gz CEVSCYDGSPAKSB-UHFFFAOYSA-N 0 1 296.250 0.449 20 30 CCEDMN CCOC(=O)C1(Nc2cnc(C#N)cn2)CCN(C)CC1 ZINC001158568623 801667553 /nfs/dbraw/zinc/66/75/53/801667553.db2.gz NCSUQDXWJKMGIO-UHFFFAOYSA-N 0 1 289.339 0.788 20 30 CCEDMN CN(C1CN(c2nccnc2C#N)C1)[C@H]1CCOC1 ZINC001158725821 801696008 /nfs/dbraw/zinc/69/60/08/801696008.db2.gz NRAVVHMZYWARCX-JTQLQIEISA-N 0 1 259.313 0.258 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CCn2cncn2)CC1 ZINC001159274456 801783549 /nfs/dbraw/zinc/78/35/49/801783549.db2.gz JYOHTEOGVQOSMO-UHFFFAOYSA-N 0 1 287.367 0.440 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1C[C@H](C)[C@]2(CCN(CC)C2=O)C1 ZINC001159318625 801790640 /nfs/dbraw/zinc/79/06/40/801790640.db2.gz RZUIPJHEWFSWHZ-CQDKDKBSSA-N 0 1 277.368 0.054 20 30 CCEDMN C[C@H](C(N)=O)N1CC=C(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001159586883 801835534 /nfs/dbraw/zinc/83/55/34/801835534.db2.gz DRMJIAZDSCURBV-WDEREUQCSA-N 0 1 278.356 0.158 20 30 CCEDMN C=CCN1CCC[C@H]1CNc1ncccc1S(N)(=O)=O ZINC001160952996 802052932 /nfs/dbraw/zinc/05/29/32/802052932.db2.gz LUQXHAQVDMECNV-NSHDSACASA-N 0 1 296.396 0.791 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C2(C(N)=O)CC2)CC1 ZINC001161069716 802081451 /nfs/dbraw/zinc/08/14/51/802081451.db2.gz ATPJDNLDXUYRAE-UHFFFAOYSA-N 0 1 275.352 0.024 20 30 CCEDMN C=CCS(=O)(=O)Nc1nc(C(=O)OC)ccc1OC ZINC001259922741 808804675 /nfs/dbraw/zinc/80/46/75/808804675.db2.gz HAAZROIVQPNKMO-UHFFFAOYSA-N 0 1 286.309 0.805 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CCCOCC2)[C@@H](O)C1 ZINC001083432647 814934465 /nfs/dbraw/zinc/93/44/65/814934465.db2.gz XMUNLARJISBATO-MJBXVCDLSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H](C)C(C)(C)C ZINC001265000901 809691216 /nfs/dbraw/zinc/69/12/16/809691216.db2.gz HWQRDMDPUDFMCB-CYBMUJFWSA-N 0 1 295.427 0.856 20 30 CCEDMN COCCCN1CCN(c2cc(C)nc(C#N)n2)CC1 ZINC001165097910 802781773 /nfs/dbraw/zinc/78/17/73/802781773.db2.gz XTKWTCPWAQEUEF-UHFFFAOYSA-N 0 1 275.356 0.815 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CCO)C[C@H]1C ZINC001206429168 803241673 /nfs/dbraw/zinc/24/16/73/803241673.db2.gz RMCVDPLJGJRRPW-FRRDWIJNSA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2OC)[C@H]1CC ZINC001087812159 814220494 /nfs/dbraw/zinc/22/04/94/814220494.db2.gz XYGZFRSHZSHWLT-QWHCGFSZSA-N 0 1 290.367 0.645 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCc2cncnc2)C1 ZINC001208004781 803478003 /nfs/dbraw/zinc/47/80/03/803478003.db2.gz FBEUVYZYHZWCMQ-UKRRQHHQSA-N 0 1 286.379 0.869 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(COC)CCOC2)C1 ZINC001208190103 803497241 /nfs/dbraw/zinc/49/72/41/803497241.db2.gz WCOQNHBQLBWLLA-UMVBOHGHSA-N 0 1 282.384 0.662 20 30 CCEDMN C=CCCCN(CC)C(=O)Nc1nn[nH]c1C(=O)NC ZINC001208743518 803544490 /nfs/dbraw/zinc/54/44/90/803544490.db2.gz HAUXFWQNCZSVME-UHFFFAOYSA-N 0 1 280.332 0.984 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)NC(=O)NCC)C1 ZINC001209099522 803574170 /nfs/dbraw/zinc/57/41/70/803574170.db2.gz XWYXZVQIARTOPT-VXGBXAGGSA-N 0 1 294.399 0.154 20 30 CCEDMN C=CCCCN1CCO[C@@]2(C1)COCCN(C(C)=O)C2 ZINC001209161877 803577236 /nfs/dbraw/zinc/57/72/36/803577236.db2.gz OXXXMOQDFBSPJX-OAHLLOKOSA-N 0 1 282.384 0.902 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1 ZINC001209296777 803591014 /nfs/dbraw/zinc/59/10/14/803591014.db2.gz YJJLKUCZTWROAK-CQSZACIVSA-N 0 1 297.399 0.695 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2CCOC2)[C@H](OC)C1 ZINC001211972601 814955652 /nfs/dbraw/zinc/95/56/52/814955652.db2.gz SQLSVOZRWGNCEG-MGPQQGTHSA-N 0 1 298.383 0.041 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(F)(F)F)[C@H](OC)C1 ZINC001211975051 814956061 /nfs/dbraw/zinc/95/60/61/814956061.db2.gz QMVBJOMNMNFHEK-NXEZZACHSA-N 0 1 278.274 0.778 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN([C@H](CC)C(N)=O)C[C@H]1C ZINC001211422340 803681190 /nfs/dbraw/zinc/68/11/90/803681190.db2.gz GYRRZOJZZMNIGG-JHJVBQTASA-N 0 1 297.399 0.280 20 30 CCEDMN CC(=O)NCCN(C)C[C@H](O)c1cccc(C#N)c1 ZINC000402211700 803817808 /nfs/dbraw/zinc/81/78/08/803817808.db2.gz MCLWVDDMDHDDHW-AWEZNQCLSA-N 0 1 261.325 0.660 20 30 CCEDMN C=CCCC(=O)N1CCO[C@@H]2CN([C@H](C)COC)C[C@@H]21 ZINC001217510080 803893092 /nfs/dbraw/zinc/89/30/92/803893092.db2.gz BFAKDGFVIOPQMB-HZSPNIEDSA-N 0 1 282.384 0.899 20 30 CCEDMN C#CCCCCC(=O)N1CCO[C@@H]2CN(C[C@@H](C)O)C[C@@H]21 ZINC001217669436 803899095 /nfs/dbraw/zinc/89/90/95/803899095.db2.gz KAPBEBPGFHBTJV-QLFBSQMISA-N 0 1 294.395 0.472 20 30 CCEDMN CC1=C(Oc2ccc(C[C@H](N)C(N)=O)cc2)C(=O)[C@H](C)O1 ZINC001218292175 803947038 /nfs/dbraw/zinc/94/70/38/803947038.db2.gz WFVRMCAYDMYTFX-UFBFGSQYSA-N 0 1 290.319 0.640 20 30 CCEDMN CO[C@@H]1CN(CC=C(C)C)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212041227 814983373 /nfs/dbraw/zinc/98/33/73/814983373.db2.gz JCIJRYSKXWBCOQ-JHJVBQTASA-N 0 1 265.357 0.928 20 30 CCEDMN COc1ccc(C#N)cc1NC(=O)C[C@@H]1COCCN1 ZINC001218979680 804172999 /nfs/dbraw/zinc/17/29/99/804172999.db2.gz UKFWVAKQCBUHPL-LLVKDONJSA-N 0 1 275.308 0.884 20 30 CCEDMN N#Cc1ccc(NC(=O)[C@@]23C[NH2+]C[C@@H]2CCN3)c([O-])c1 ZINC001218987775 804174958 /nfs/dbraw/zinc/17/49/58/804174958.db2.gz BSHACQQZBSHQRT-IINYFYTJSA-N 0 1 272.308 0.154 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C/c2ccco2)[C@@H](O)C1 ZINC001219116222 804207056 /nfs/dbraw/zinc/20/70/56/804207056.db2.gz JCRRVNRLIJELHN-AFJNRPGYSA-N 0 1 274.320 0.477 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001219313207 804267702 /nfs/dbraw/zinc/26/77/02/804267702.db2.gz YPXNTIBQJGSPDH-UTUOFQBUSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@@H]2CC(C)(C)CO2)C[C@@H]1O ZINC001219344203 804278576 /nfs/dbraw/zinc/27/85/76/804278576.db2.gz FBFQIOKBVOGBNN-MJBXVCDLSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCC2CCC2)C[C@@H]1O ZINC001219344680 804278930 /nfs/dbraw/zinc/27/89/30/804278930.db2.gz SDBOHSLELNLAFJ-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)COC)[C@@H](O)C1 ZINC001219355836 804284193 /nfs/dbraw/zinc/28/41/93/804284193.db2.gz OCDYLNHRFCAKCT-UTUOFQBUSA-N 0 1 256.346 0.006 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C=C(CC)CC)[C@@H](O)C1 ZINC001219465414 804322532 /nfs/dbraw/zinc/32/25/32/804322532.db2.gz FFIGWRRWSWVEFP-KGLIPLIRSA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)/C=C\c2ccc[nH]2)[C@@H](O)C1 ZINC001219517105 804333799 /nfs/dbraw/zinc/33/37/99/804333799.db2.gz QLCSTMJLBUNXAN-QLQVPFRHSA-N 0 1 261.325 0.375 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(C[C@H](C)O)C[C@@H]1O ZINC001219689791 804404892 /nfs/dbraw/zinc/40/48/92/804404892.db2.gz JDMBSWACSBFIHN-YUTCNCBUSA-N 0 1 282.384 0.297 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(COC)CCCC2)[C@@H](O)C1 ZINC001219759387 804417272 /nfs/dbraw/zinc/41/72/72/804417272.db2.gz FSPNFFGQFIYUEK-KGLIPLIRSA-N 0 1 294.395 0.378 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H](C(=O)OC)c1ccc(O)cc1 ZINC001219885408 804441052 /nfs/dbraw/zinc/44/10/52/804441052.db2.gz OIKOSOVHDXCUAR-RISCZKNCSA-N 0 1 276.292 0.073 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)[C@@H](N)Cc1ccsc1 ZINC001220036333 804479674 /nfs/dbraw/zinc/47/96/74/804479674.db2.gz MIDGCIRQAPJVNU-IUCAKERBSA-N 0 1 288.394 0.206 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2(C)CC2)[C@@H](O)C1 ZINC001220038853 804481174 /nfs/dbraw/zinc/48/11/74/804481174.db2.gz DSHWDFMGKUHKCR-NEPJUHHUSA-N 0 1 250.342 0.361 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@@H](N)COC(C)(C)C ZINC001220125031 804505724 /nfs/dbraw/zinc/50/57/24/804505724.db2.gz VGJOXOOMKATRAQ-JTQLQIEISA-N 0 1 272.345 0.364 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2(CCC)CC2)[C@@H](O)C1 ZINC001220312787 804571002 /nfs/dbraw/zinc/57/10/02/804571002.db2.gz AMPLUKCQQVAXJH-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCC(C)C)[C@@H](O)C1 ZINC001220405411 804597666 /nfs/dbraw/zinc/59/76/66/804597666.db2.gz RPNIFTGVMCYBJF-KGLIPLIRSA-N 0 1 266.385 0.997 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H](C(=O)OCC)[C@@H](C)CC ZINC001220665748 804645642 /nfs/dbraw/zinc/64/56/42/804645642.db2.gz KFGBERSFWVEUIC-CWSCBRNRSA-N 0 1 254.330 0.431 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCCC(=O)NCC)[C@@H](O)C1 ZINC001220791625 804668842 /nfs/dbraw/zinc/66/88/42/804668842.db2.gz BTHBGZDYNHBMRC-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCC[C@@H](NC(=O)NCCC[NH+]1CCOCC1)C(=O)[O-] ZINC000316538887 804707453 /nfs/dbraw/zinc/70/74/53/804707453.db2.gz XEVQCEBNMPQMSL-GFCCVEGCSA-N 0 1 299.371 0.427 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCCn2cccn2)[C@@H](O)C1 ZINC001221001885 804715699 /nfs/dbraw/zinc/71/56/99/804715699.db2.gz UWCTWPXOCXQXRW-KGLIPLIRSA-N 0 1 292.383 0.401 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(CC)CC)[C@@H](O)C1 ZINC001221020200 804720807 /nfs/dbraw/zinc/72/08/07/804720807.db2.gz KBWHQPSQMYYDIB-OLZOCXBDSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001221143825 804736077 /nfs/dbraw/zinc/73/60/77/804736077.db2.gz RZHUKQRTHGMESV-UTUOFQBUSA-N 0 1 274.336 0.098 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1C[C@H]2CCN(CC#CC)C[C@H]21 ZINC001221517867 804829221 /nfs/dbraw/zinc/82/92/21/804829221.db2.gz MHZRHBXZKFVKPT-IIAWOOMASA-N 0 1 276.380 0.870 20 30 CCEDMN CCCOCC(=O)NCC1CCN(CC#CCOC)CC1 ZINC001222564705 804917378 /nfs/dbraw/zinc/91/73/78/804917378.db2.gz QDACHHIHMMTMLM-UHFFFAOYSA-N 0 1 296.411 0.891 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H]2CCN(CC(=O)NC)[C@H]2C1 ZINC001222614061 804921019 /nfs/dbraw/zinc/92/10/19/804921019.db2.gz WLKZUXMMQCLMBT-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)CC(C)(C)O ZINC001276843128 804936655 /nfs/dbraw/zinc/93/66/55/804936655.db2.gz VAPXSWHUDSVIBJ-LBPRGKRZSA-N 0 1 270.373 0.541 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](C)CC(N)=O)C2)C1 ZINC001276869902 804959676 /nfs/dbraw/zinc/95/96/76/804959676.db2.gz OUVKBBOHTHCWGM-CYBMUJFWSA-N 0 1 291.395 0.446 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CCN(C(=O)[C@@H](C)CC(N)=O)C[C@@H]21 ZINC001223251704 804962757 /nfs/dbraw/zinc/96/27/57/804962757.db2.gz FIEIYSCQPZIFQQ-XQQFMLRXSA-N 0 1 279.384 0.607 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](COC)OC)C2)C1 ZINC001276876697 804962862 /nfs/dbraw/zinc/96/28/62/804962862.db2.gz BWIHYQBVMABABF-CYBMUJFWSA-N 0 1 280.368 0.205 20 30 CCEDMN C=CCCC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001276910205 804984002 /nfs/dbraw/zinc/98/40/02/804984002.db2.gz WXTDMGBUXPZXSD-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@H]1CCN1CC#N ZINC001276939104 805002761 /nfs/dbraw/zinc/00/27/61/805002761.db2.gz CZWOCEMJXKWVPI-CMPLNLGQSA-N 0 1 261.329 0.302 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@@H]1CCN1CC#N ZINC001276939105 805003182 /nfs/dbraw/zinc/00/31/82/805003182.db2.gz CZWOCEMJXKWVPI-JQWIXIFHSA-N 0 1 261.329 0.302 20 30 CCEDMN CC#CCCCC(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224158619 805013046 /nfs/dbraw/zinc/01/30/46/805013046.db2.gz WUNWYBYDIUVOKV-UHFFFAOYSA-N 0 1 279.384 0.494 20 30 CCEDMN C=C(CO[C@@H]1C[C@@H]2CC(=O)C[C@H]1N2C)C(=O)OCC ZINC001224351062 805030678 /nfs/dbraw/zinc/03/06/78/805030678.db2.gz BDSIEMNNSLFVHG-CYZMBNFOSA-N 0 1 267.325 0.927 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCc3nccn3C2)[C@H]1C ZINC001088678125 815056755 /nfs/dbraw/zinc/05/67/55/815056755.db2.gz HOCLMTODNSOWNL-RDBSUJKOSA-N 0 1 286.379 0.658 20 30 CCEDMN C#C[C@H](Oc1[nH]c(=O)nc2cnncc21)C(=O)OCC ZINC001226690754 805267197 /nfs/dbraw/zinc/26/71/97/805267197.db2.gz HXPDZPYVNREUTI-VIFPVBQESA-N 0 1 274.236 0.069 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2CCCCO2)CC1 ZINC001226883275 805291616 /nfs/dbraw/zinc/29/16/16/805291616.db2.gz CZJFPJKLCUOIDF-OAHLLOKOSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCCCC(=O)NC1CCN([C@@H]2CCCNC2=O)CC1 ZINC001227084931 805320935 /nfs/dbraw/zinc/32/09/35/805320935.db2.gz POLWIJDTBWVEFB-CQSZACIVSA-N 0 1 291.395 0.649 20 30 CCEDMN C[C@H](C(=O)N(C)C)N1CCC(NC(=O)C#CC2CC2)CC1 ZINC001227299035 805341859 /nfs/dbraw/zinc/34/18/59/805341859.db2.gz ICCQYPURFXDOFV-GFCCVEGCSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(C)C(=O)N1C[C@H]2CN(CC3CC3)C[C@@H](C1)O2 ZINC001142511962 815069795 /nfs/dbraw/zinc/06/97/95/815069795.db2.gz GNDWFXSTUPPSFM-BETUJISGSA-N 0 1 250.342 0.884 20 30 CCEDMN C[C@@H]1CSC[C@H]1NC(=O)NCC#CCN(C)C ZINC001228095404 805412790 /nfs/dbraw/zinc/41/27/90/805412790.db2.gz RWILUAQNRGJEGZ-GHMZBOCLSA-N 0 1 255.387 0.602 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001228651617 805463237 /nfs/dbraw/zinc/46/32/37/805463237.db2.gz GPGRNVFRFDGTTB-OBJOEFQTSA-N 0 1 293.411 0.914 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)Cc2cn(C)nc2C)C1 ZINC001278403459 807076121 /nfs/dbraw/zinc/07/61/21/807076121.db2.gz BTLULSWPPCYJLY-HNNXBMFYSA-N 0 1 274.368 0.485 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cc2cn(C)nc2C)C1 ZINC001278403459 807076122 /nfs/dbraw/zinc/07/61/22/807076122.db2.gz BTLULSWPPCYJLY-HNNXBMFYSA-N 0 1 274.368 0.485 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](N2CCC[C@@H](C#N)CC2)CN1 ZINC001246831763 807399417 /nfs/dbraw/zinc/39/94/17/807399417.db2.gz KUSTWLCVOYXNEE-UPJWGTAASA-N 0 1 265.357 0.906 20 30 CCEDMN N#Cc1ccc2cc(CN3C[C@H](CO)[C@H](O)C3)[nH]c2c1 ZINC001249426764 807555061 /nfs/dbraw/zinc/55/50/61/807555061.db2.gz GMJMXBDJARHMTI-IUODEOHRSA-N 0 1 271.320 0.825 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCCC(N)=O)[C@H]1C ZINC001278533045 807567148 /nfs/dbraw/zinc/56/71/48/807567148.db2.gz MNXXXDLOJLKFFP-NEPJUHHUSA-N 0 1 265.357 0.244 20 30 CCEDMN CC(C)[C@@H](NC(=O)NCC#CCN(C)C)c1cnn(C)c1 ZINC001251365669 807680222 /nfs/dbraw/zinc/68/02/22/807680222.db2.gz KEQBUSKYHSLMCY-CQSZACIVSA-N 0 1 291.399 0.981 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(c2cccc(C#N)c2)CC1 ZINC001251855229 807723836 /nfs/dbraw/zinc/72/38/36/807723836.db2.gz UCHXDVURDZEVFM-KRWDZBQOSA-N 0 1 299.374 0.691 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@@H]3C=C[C@H]2CC3)[C@@H](O)C1 ZINC001083476279 815144753 /nfs/dbraw/zinc/14/47/53/815144753.db2.gz FIJGFYBGIGTFLP-JKJDWNRSSA-N 0 1 288.391 0.773 20 30 CCEDMN N#Cc1ccccc1O[C@H]1CCC[N@H+](C[C@H](O)CO)C1 ZINC001252061635 807784791 /nfs/dbraw/zinc/78/47/91/807784791.db2.gz QGZIQPRPHCOLAY-KBPBESRZSA-N 0 1 276.336 0.755 20 30 CCEDMN N#Cc1ccccc1O[C@H]1CCCN(C[C@H](O)CO)C1 ZINC001252061635 807784795 /nfs/dbraw/zinc/78/47/95/807784795.db2.gz QGZIQPRPHCOLAY-KBPBESRZSA-N 0 1 276.336 0.755 20 30 CCEDMN C=CCOC[C@H](O)CN1CCN(c2cc(C)ncn2)CC1 ZINC001252471134 807881042 /nfs/dbraw/zinc/88/10/42/807881042.db2.gz CLGMJXQVZRRUDG-CQSZACIVSA-N 0 1 292.383 0.471 20 30 CCEDMN C=CCC[C@@H](O)CNc1cc(=O)[nH]c(N(C)C)n1 ZINC001252590963 807916204 /nfs/dbraw/zinc/91/62/04/807916204.db2.gz GTTHUXBLMDKXJY-SECBINFHSA-N 0 1 252.318 0.987 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2c(F)cncc2F)[C@@H](O)C1 ZINC001083496200 815163828 /nfs/dbraw/zinc/16/38/28/815163828.db2.gz ISHQCQQUJYUYDX-NEPJUHHUSA-N 0 1 297.305 0.711 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)CC(F)(F)F)cc[nH]1 ZINC001253106910 808005552 /nfs/dbraw/zinc/00/55/52/808005552.db2.gz LAKSBHSOLCZNFH-UHFFFAOYSA-N 0 1 264.228 0.789 20 30 CCEDMN C=C[C@@H](O)CN1CCOC2(CCN(C(C)=O)CC2)C1 ZINC001253576166 808084386 /nfs/dbraw/zinc/08/43/86/808084386.db2.gz AZOCZKBYBRCEDM-CYBMUJFWSA-N 0 1 268.357 0.247 20 30 CCEDMN C=C[C@@H](O)CN1CCC[C@@H](NC(=O)c2ccccn2)C1 ZINC001253588066 808093195 /nfs/dbraw/zinc/09/31/95/808093195.db2.gz RXHCEYVQGMHLSR-CHWSQXEVSA-N 0 1 275.352 0.823 20 30 CCEDMN CN1CCC(C#N)(NC[C@H](O)C(=O)OC(C)(C)C)CC1 ZINC001253709978 808125812 /nfs/dbraw/zinc/12/58/12/808125812.db2.gz VIYVVIYONIXPLC-NSHDSACASA-N 0 1 283.372 0.267 20 30 CCEDMN Cc1noc(C)c1CNCCNC(=O)C#CC1CC1 ZINC001126880988 815179722 /nfs/dbraw/zinc/17/97/22/815179722.db2.gz JINSBHSNBVBOSA-UHFFFAOYSA-N 0 1 261.325 0.911 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2cc[nH]c2C)C1 ZINC001278770556 808198270 /nfs/dbraw/zinc/19/82/70/808198270.db2.gz ALNVTKDAGXJQSL-HNNXBMFYSA-N 0 1 275.352 0.513 20 30 CCEDMN CC[C@H](F)CN1CC[C@@](O)(CNC(=O)C#CC(C)C)C1 ZINC001278776333 808204921 /nfs/dbraw/zinc/20/49/21/808204921.db2.gz INPRNJSEVVBTBB-DZGCQCFKSA-N 0 1 284.375 0.947 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cccc(C)c2F)C1 ZINC001278781126 808230497 /nfs/dbraw/zinc/23/04/97/808230497.db2.gz CUTVKUFEIQDIRB-MRXNPFEDSA-N 0 1 290.338 0.934 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001278787764 808244500 /nfs/dbraw/zinc/24/45/00/808244500.db2.gz VKTZJASFIBQSPD-INIZCTEOSA-N 0 1 298.346 0.363 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001278790295 808245388 /nfs/dbraw/zinc/24/53/88/808245388.db2.gz HMWDANFQZSVBRD-XJKCOSOUSA-N 0 1 278.396 0.855 20 30 CCEDMN CC1(C)CN([C@@H]2CC[C@H](C#N)C2)CCN1CC(N)=O ZINC001254671237 808271198 /nfs/dbraw/zinc/27/11/98/808271198.db2.gz QAUKHASKDZNDMB-NWDGAFQWSA-N 0 1 264.373 0.560 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@@H](C)CC ZINC001278855483 808337919 /nfs/dbraw/zinc/33/79/19/808337919.db2.gz QSPIUGRDSBEAQZ-QWHCGFSZSA-N 0 1 254.374 0.807 20 30 CCEDMN COc1cc(CNCCNC(=O)C#CC2CC2)sn1 ZINC001126899675 815204374 /nfs/dbraw/zinc/20/43/74/815204374.db2.gz XNLWURAGTRCPQT-UHFFFAOYSA-N 0 1 279.365 0.771 20 30 CCEDMN CC#CCN(C)CCN(C(=O)Cn1cncn1)C(C)C ZINC001278930150 808498158 /nfs/dbraw/zinc/49/81/58/808498158.db2.gz WRTUWAHRPORYAT-UHFFFAOYSA-N 0 1 277.372 0.470 20 30 CCEDMN Cc1nnc(CC(=O)NCCNCc2ccccc2C#N)[nH]1 ZINC001126912340 815229468 /nfs/dbraw/zinc/22/94/68/815229468.db2.gz KFHFNNMGLYZPHX-UHFFFAOYSA-N 0 1 298.350 0.433 20 30 CCEDMN N#Cc1cc(NS(=O)(=O)c2cccnc2)ccn1 ZINC001259602104 808760733 /nfs/dbraw/zinc/76/07/33/808760733.db2.gz GYENMJTXSKWNNQ-UHFFFAOYSA-N 0 1 260.278 0.571 20 30 CCEDMN C=CCS(=O)(=O)Nc1nc(Cl)nc2c1ncn2C ZINC001259923404 808805357 /nfs/dbraw/zinc/80/53/57/808805357.db2.gz IOCUAFOORCJRGK-UHFFFAOYSA-N 0 1 287.732 0.944 20 30 CCEDMN C=CCn1cnc2c1ncnc2NS(=O)(=O)CC=C ZINC001259923229 808805504 /nfs/dbraw/zinc/80/55/04/808805504.db2.gz YTEPSGXHRPYZJX-UHFFFAOYSA-N 0 1 279.325 0.940 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)[C@@H]2C1 ZINC001076151516 815250273 /nfs/dbraw/zinc/25/02/73/815250273.db2.gz WFWXASJPLXCYCF-VQJWOFKYSA-N 0 1 274.364 0.435 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](C)c3cncnc3)[C@@H]2C1 ZINC001076168568 815253965 /nfs/dbraw/zinc/25/39/65/815253965.db2.gz RGQCKGCFCXGCGF-VNHYZAJKSA-N 0 1 284.363 0.746 20 30 CCEDMN C[C@@H]1CN(Cc2cncc(C#N)c2)CCN1CCO ZINC001262265144 809261252 /nfs/dbraw/zinc/26/12/52/809261252.db2.gz LOZGZFTWYLSNHU-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN C=CCN(CC=C)c1nnc(C2=NO[C@H](CO)C2)n1CC ZINC001263030816 809427889 /nfs/dbraw/zinc/42/78/89/809427889.db2.gz CIGSDSMHVZSBAM-NSHDSACASA-N 0 1 291.355 0.962 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(Cl)ncc1F ZINC001263105387 809446380 /nfs/dbraw/zinc/44/63/80/809446380.db2.gz MUBQWOHSTBAOIZ-HZGVNTEJSA-N 0 1 268.679 0.715 20 30 CCEDMN C#CCNCC(=O)NCc1c(F)cc(F)cc1F ZINC001263203690 809480339 /nfs/dbraw/zinc/48/03/39/809480339.db2.gz JCCHJENTBYJBPT-UHFFFAOYSA-N 0 1 256.227 0.943 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cn(CC)nn3)[C@@H]2C1 ZINC001076410864 815292809 /nfs/dbraw/zinc/29/28/09/815292809.db2.gz HQWHCLMKDKYCQB-WCQYABFASA-N 0 1 275.356 0.630 20 30 CCEDMN C=C(CC(C)C)C(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001263994270 809619368 /nfs/dbraw/zinc/61/93/68/809619368.db2.gz LHZHGGLGRHMODC-GOEBONIOSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)CCC(F)F)n1 ZINC001264026896 809624250 /nfs/dbraw/zinc/62/42/50/809624250.db2.gz NNLSBJLLFRSBHR-UHFFFAOYSA-N 0 1 298.293 0.567 20 30 CCEDMN C[C@@H]1CCN(C(=O)[C@@H]2CCCN2C)[C@H](CNCC#N)C1 ZINC001264545625 809663515 /nfs/dbraw/zinc/66/35/15/809663515.db2.gz UMBAXVYHJIDDAY-RDBSUJKOSA-N 0 1 278.400 0.821 20 30 CCEDMN CC#CCNCc1cc(=O)[nH]c(CNC(=O)[C@@H]2C[C@H]2C)n1 ZINC001264582710 809664137 /nfs/dbraw/zinc/66/41/37/809664137.db2.gz JBKMYQNPVGBMRP-ZYHUDNBSSA-N 0 1 288.351 0.567 20 30 CCEDMN CC#CCN(CCO)[C@@H]1CCCN(C(=O)CC(C)(C)O)C1 ZINC001264630631 809666319 /nfs/dbraw/zinc/66/63/19/809666319.db2.gz TVERSMIJLPZKQG-CQSZACIVSA-N 0 1 296.411 0.456 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)CC[C@@H](C)OC)C1 ZINC001264630806 809666524 /nfs/dbraw/zinc/66/65/24/809666524.db2.gz VJWWBLCAMHMKLG-CABCVRRESA-N 0 1 296.411 0.720 20 30 CCEDMN CN1CCN(C2CN(Cc3cccc(C#N)c3)C2)CC1 ZINC000700214346 809730736 /nfs/dbraw/zinc/73/07/36/809730736.db2.gz OAZKDQOFVXWGKD-UHFFFAOYSA-N 0 1 270.380 0.990 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C#CC(C)(C)C)CC1 ZINC001265243045 809731044 /nfs/dbraw/zinc/73/10/44/809731044.db2.gz CXVWLAWPTNBVAM-UHFFFAOYSA-N 0 1 289.423 0.793 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@]2(C)CCOC2)CC1 ZINC001265253488 809732434 /nfs/dbraw/zinc/73/24/34/809732434.db2.gz QOSQNRZFRQKUIL-MRXNPFEDSA-N 0 1 293.411 0.170 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H]1C[C@H](NCc2cnns2)C1 ZINC001265475283 809788186 /nfs/dbraw/zinc/78/81/86/809788186.db2.gz IDTIKKYGZIKJGN-KWBADKCTSA-N 0 1 296.396 0.602 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@H](NCc2nncn2C)C1 ZINC001265475826 809788403 /nfs/dbraw/zinc/78/84/03/809788403.db2.gz QXRMIZVIHLUNEA-HAQNSBGRSA-N 0 1 275.356 0.355 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(C3CC3)CC2)[C@@H](O)C1 ZINC001083550281 815318043 /nfs/dbraw/zinc/31/80/43/815318043.db2.gz ABNPBPQYOZEECK-OLZOCXBDSA-N 0 1 264.369 0.914 20 30 CCEDMN C=C(Cl)CN1CCC[C@H]([C@@H](C)NC(=O)CC(N)=O)C1 ZINC001265699482 809827088 /nfs/dbraw/zinc/82/70/88/809827088.db2.gz FWNZYPQQFLNCEV-MNOVXSKESA-N 0 1 287.791 0.831 20 30 CCEDMN CC#CCCCC(=O)NCCCN(C)CC(=O)NC ZINC001265907054 809890293 /nfs/dbraw/zinc/89/02/93/809890293.db2.gz YMGQJRRFDURJQQ-UHFFFAOYSA-N 0 1 267.373 0.364 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@@H](CNCC#N)C2)n[nH]1 ZINC001265958162 809905594 /nfs/dbraw/zinc/90/55/94/809905594.db2.gz MPKLOWOJDLVZHC-JTQLQIEISA-N 0 1 261.329 0.547 20 30 CCEDMN CCN(CCCNC(=O)C#CC1CC1)CC(=O)NC(C)C ZINC001266040487 809924209 /nfs/dbraw/zinc/92/42/09/809924209.db2.gz IHNZRCLTKNFFKD-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)C(=O)C(C)(C)C ZINC001279672678 809992367 /nfs/dbraw/zinc/99/23/67/809992367.db2.gz LBYMYGGFJOKJFQ-UHFFFAOYSA-N 0 1 290.791 0.765 20 30 CCEDMN C=CCCC(=O)NC1(CCO)CN(C(=O)c2ccn[nH]2)C1 ZINC001280552043 810030722 /nfs/dbraw/zinc/03/07/22/810030722.db2.gz PNIIFZWINGPXJE-UHFFFAOYSA-N 0 1 292.339 0.069 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccccc2)C1 ZINC001076693238 815347813 /nfs/dbraw/zinc/34/78/13/815347813.db2.gz CYSRHNUOODHHKU-ZIAGYGMSSA-N 0 1 258.321 0.485 20 30 CCEDMN C[C@@H](CNc1ccncc1C#N)N(C)C(=O)c1ccn[nH]1 ZINC001104479625 811117613 /nfs/dbraw/zinc/11/76/13/811117613.db2.gz ONWMJXKOOXRBRZ-JTQLQIEISA-N 0 1 284.323 0.671 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(CCC)CCNC(=O)C1CCC1 ZINC001267309218 811130295 /nfs/dbraw/zinc/13/02/95/811130295.db2.gz IFBNDMCVLMVRDS-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ncc2c(c1C#N)CCC2 ZINC001167672934 811145823 /nfs/dbraw/zinc/14/58/23/811145823.db2.gz BJFUYFONGQYVFT-UHFFFAOYSA-N 0 1 280.335 0.874 20 30 CCEDMN COCC#CCN(CCNC(=O)c1ccncn1)C1CC1 ZINC001267335447 811170685 /nfs/dbraw/zinc/17/06/85/811170685.db2.gz VQJSAOFWSPZJRQ-UHFFFAOYSA-N 0 1 288.351 0.321 20 30 CCEDMN C#CCN[C@H](CNC(=O)c1cnnn1CC)c1ccccc1 ZINC001267357190 811206591 /nfs/dbraw/zinc/20/65/91/811206591.db2.gz KYTNBCZJFUNIBX-CQSZACIVSA-N 0 1 297.362 0.992 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cnc2c(C#N)c[nH]c2c1 ZINC001167675272 811212384 /nfs/dbraw/zinc/21/23/84/811212384.db2.gz JJUKHNXJRCKPHB-UHFFFAOYSA-N 0 1 279.307 0.867 20 30 CCEDMN CC#CCN[C@H](CNC(=O)C1(C(N)=O)CC1)c1ccccc1 ZINC001267369960 811227022 /nfs/dbraw/zinc/22/70/22/811227022.db2.gz KKWIJMQFODBIAV-CQSZACIVSA-N 0 1 299.374 0.722 20 30 CCEDMN C#CC[NH2+]CCN(C)C(=O)c1[n-]ncc1C(F)(F)F ZINC001267383423 811262782 /nfs/dbraw/zinc/26/27/82/811262782.db2.gz MSRHDVAHNLSORT-UHFFFAOYSA-N 0 1 274.246 0.723 20 30 CCEDMN Cc1nonc1CNC[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001023893382 811279158 /nfs/dbraw/zinc/27/91/58/811279158.db2.gz NETJRCGDZGNLTL-PWSUYJOCSA-N 0 1 291.355 0.866 20 30 CCEDMN Cc1nonc1CNC[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001023893381 811279730 /nfs/dbraw/zinc/27/97/30/811279730.db2.gz NETJRCGDZGNLTL-JQWIXIFHSA-N 0 1 291.355 0.866 20 30 CCEDMN Cc1nnc(CNC[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)o1 ZINC001023895554 811279765 /nfs/dbraw/zinc/27/97/65/811279765.db2.gz PQKLUVWXSFMBCC-ZYHUDNBSSA-N 0 1 291.355 0.866 20 30 CCEDMN C=CCCOCC(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@H](C)O ZINC001267442756 811308571 /nfs/dbraw/zinc/30/85/71/811308571.db2.gz BDPWAMSPQCITKX-MELADBBJSA-N 0 1 282.384 0.635 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CCNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001267524216 811403418 /nfs/dbraw/zinc/40/34/18/811403418.db2.gz QKYKLBVVFYQABU-LLVKDONJSA-N 0 1 276.340 0.499 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001267524216 811403424 /nfs/dbraw/zinc/40/34/24/811403424.db2.gz QKYKLBVVFYQABU-LLVKDONJSA-N 0 1 276.340 0.499 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001267524216 811403428 /nfs/dbraw/zinc/40/34/28/811403428.db2.gz QKYKLBVVFYQABU-LLVKDONJSA-N 0 1 276.340 0.499 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)c2ccoc2)CC1 ZINC001267573205 811464390 /nfs/dbraw/zinc/46/43/90/811464390.db2.gz BUQFTWMDZKVPCF-UHFFFAOYSA-N 0 1 289.379 0.993 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001077202369 815459199 /nfs/dbraw/zinc/45/91/99/815459199.db2.gz CTCHLUAVQGHNPE-HUUCEWRRSA-N 0 1 298.346 0.361 20 30 CCEDMN C=CCCCN1CC(NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001267650771 811616505 /nfs/dbraw/zinc/61/65/05/811616505.db2.gz CPAGJGNZIJFNQW-UHFFFAOYSA-N 0 1 275.352 0.766 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCc2ncncc2C1 ZINC001038339483 811647059 /nfs/dbraw/zinc/64/70/59/811647059.db2.gz KDXWJILULZAQHW-ZFWWWQNUSA-N 0 1 298.390 0.795 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@]2(F)CCOC2)C1 ZINC001267689898 811652732 /nfs/dbraw/zinc/65/27/32/811652732.db2.gz SILAHUIZYKUSDN-ZDUSSCGKSA-N 0 1 256.321 0.739 20 30 CCEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@@H](O)COC ZINC001267704652 811668587 /nfs/dbraw/zinc/66/85/87/811668587.db2.gz DEDREGOVSPHUMU-SOUVJXGZSA-N 0 1 294.395 0.472 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2ccon2)C1 ZINC001267719421 811683438 /nfs/dbraw/zinc/68/34/38/811683438.db2.gz ASRYYHSQZIFNPC-NSHDSACASA-N 0 1 265.313 0.681 20 30 CCEDMN COCCOCCN1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267741405 811723198 /nfs/dbraw/zinc/72/31/98/811723198.db2.gz XEJDXMXHFUVYAI-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccnc(OC)c2)C1 ZINC001077283152 815472434 /nfs/dbraw/zinc/47/24/34/815472434.db2.gz CBLUMRORTFWOOM-CHWSQXEVSA-N 0 1 291.351 0.441 20 30 CCEDMN CC(C)C#CC(=O)N(C)[C@H]1CCN(CCn2cncn2)C1 ZINC001267763426 811759626 /nfs/dbraw/zinc/75/96/26/811759626.db2.gz XDBVVGUDRNUQSW-AWEZNQCLSA-N 0 1 289.383 0.470 20 30 CCEDMN C=CCOCCN1CC[C@@H](N(CC)C(=O)c2cn[nH]n2)C1 ZINC001267787598 811785888 /nfs/dbraw/zinc/78/58/88/811785888.db2.gz GACQZWVTZXACMY-GFCCVEGCSA-N 0 1 293.371 0.544 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cn(CC)nn3)C[C@H]21 ZINC001042291048 811786892 /nfs/dbraw/zinc/78/68/92/811786892.db2.gz AVCDFQZYPBUPDO-TZMCWYRMSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cn(CC)nn3)C[C@H]21 ZINC001042291048 811786897 /nfs/dbraw/zinc/78/68/97/811786897.db2.gz AVCDFQZYPBUPDO-TZMCWYRMSA-N 0 1 287.367 0.468 20 30 CCEDMN NC(=O)C(=O)NC1(CNCC#Cc2ccccc2)CCCC1 ZINC001267840925 811828944 /nfs/dbraw/zinc/82/89/44/811828944.db2.gz HLPJDMICNTYBFF-UHFFFAOYSA-N 0 1 299.374 0.542 20 30 CCEDMN C=CCCNCc1cn(C2CN(C(=O)C(F)F)C2)nn1 ZINC001105128968 811850387 /nfs/dbraw/zinc/85/03/87/811850387.db2.gz OMEPGKFQBHFXJX-UHFFFAOYSA-N 0 1 285.298 0.592 20 30 CCEDMN C[C@H](CNCC#N)CNC(=O)c1ccn(-c2ccncc2)n1 ZINC001267991360 811929648 /nfs/dbraw/zinc/92/96/48/811929648.db2.gz AHXZQMOYZJRBBM-GFCCVEGCSA-N 0 1 298.350 0.746 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](CNCc2cn(C)nn2)C1 ZINC001026992930 811951186 /nfs/dbraw/zinc/95/11/86/811951186.db2.gz WEEOKDCOCKUMQC-GFCCVEGCSA-N 0 1 291.399 0.965 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cn(CCOC)nn1 ZINC001027876114 812111697 /nfs/dbraw/zinc/11/16/97/812111697.db2.gz FOOQZCRDLJPLMW-LBPRGKRZSA-N 0 1 293.371 0.305 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001027882568 812114278 /nfs/dbraw/zinc/11/42/78/812114278.db2.gz ROMGKLZOEAKLQU-VXGBXAGGSA-N 0 1 287.367 0.934 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnc2n1CCOC2 ZINC001027945600 812157057 /nfs/dbraw/zinc/15/70/57/812157057.db2.gz CHHCOGJQEALFFM-LBPRGKRZSA-N 0 1 290.367 0.794 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc2nc[nH]c2n1 ZINC001027954160 812161215 /nfs/dbraw/zinc/16/12/15/812161215.db2.gz FDSQFOBPGKHJHT-LLVKDONJSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2CCCC2)[C@@H](O)C1 ZINC001083318298 812161886 /nfs/dbraw/zinc/16/18/86/812161886.db2.gz LTIAQKQIZBTMRK-KGLIPLIRSA-N 0 1 264.369 0.751 20 30 CCEDMN N#Cc1ccc(CN2CC[C@H]2CNC(=O)c2ncn[nH]2)cc1 ZINC001038824987 812162310 /nfs/dbraw/zinc/16/23/10/812162310.db2.gz FJLAXHIKEMMJJJ-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN N#Cc1ccc(CN2CC[C@H]2CNC(=O)c2nc[nH]n2)cc1 ZINC001038824987 812162313 /nfs/dbraw/zinc/16/23/13/812162313.db2.gz FJLAXHIKEMMJJJ-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCc2cncn2C1 ZINC001027982409 812194129 /nfs/dbraw/zinc/19/41/29/812194129.db2.gz VQMWNKBIGLYSIC-ZIAGYGMSSA-N 0 1 286.379 0.659 20 30 CCEDMN C=C(C)C[N@H+]1CCC[C@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001027999642 812205301 /nfs/dbraw/zinc/20/53/01/812205301.db2.gz METIPSVTKXFGSN-VIFPVBQESA-N 0 1 293.327 0.053 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc(OC)n(C)n1 ZINC001028018459 812216834 /nfs/dbraw/zinc/21/68/34/812216834.db2.gz USCWVPHKKBJQKU-GFCCVEGCSA-N 0 1 290.367 0.646 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001028061602 812239174 /nfs/dbraw/zinc/23/91/74/812239174.db2.gz UPZGIZAZFFXPSZ-CYBMUJFWSA-N 0 1 274.368 0.864 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccsc2)[C@@H](O)C1 ZINC001083321320 812326633 /nfs/dbraw/zinc/32/66/33/812326633.db2.gz FAVFUAACSGMDIH-OLZOCXBDSA-N 0 1 278.377 0.475 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@H]2CCCN2CC#CC)nn1 ZINC001028187297 812340828 /nfs/dbraw/zinc/34/08/28/812340828.db2.gz HPYMDOVTYFZIFY-CYBMUJFWSA-N 0 1 287.367 0.682 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc2nncn2c1 ZINC001028199948 812358839 /nfs/dbraw/zinc/35/88/39/812358839.db2.gz JJYTUCLCXRNXAW-ZDUSSCGKSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3c[nH]c(C)n3)[C@@H]2C1 ZINC001075619743 812473869 /nfs/dbraw/zinc/47/38/69/812473869.db2.gz NIJJRMMEDVWMDF-WCQYABFASA-N 0 1 258.325 0.498 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1nccn2cc(C)nc12 ZINC001028245002 812480985 /nfs/dbraw/zinc/48/09/85/812480985.db2.gz BJQOYFZKRPMAHO-ZDUSSCGKSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001028285471 812580711 /nfs/dbraw/zinc/58/07/11/812580711.db2.gz YYMGIBANWNHBKU-LLVKDONJSA-N 0 1 271.324 0.490 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cccnc1N(C)C ZINC001028315020 812612166 /nfs/dbraw/zinc/61/21/66/812612166.db2.gz GLQOZFMTOOAQSL-ZDUSSCGKSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cccc(C(=O)NC)c1 ZINC001028326630 812618246 /nfs/dbraw/zinc/61/82/46/812618246.db2.gz NJGJNYPIAYTXOB-OAHLLOKOSA-N 0 1 299.374 0.874 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001028336597 812628828 /nfs/dbraw/zinc/62/88/28/812628828.db2.gz IROBUTRNJUOAET-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H]2CCN(CC#N)C2)c1C ZINC001028452012 812716478 /nfs/dbraw/zinc/71/64/78/812716478.db2.gz DBZCLSDJIRKDDI-NSHDSACASA-N 0 1 261.329 0.602 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2cncc(F)c2)C1 ZINC001028474112 812741378 /nfs/dbraw/zinc/74/13/78/812741378.db2.gz AXJHUGFATLBVTB-LLVKDONJSA-N 0 1 261.300 0.906 20 30 CCEDMN NC(=O)CC(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001268522537 812766554 /nfs/dbraw/zinc/76/65/54/812766554.db2.gz JVIMZOPSCQTBNO-SNAWJCMRSA-N 0 1 285.347 0.176 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cc(C)ns1 ZINC001126378204 812815766 /nfs/dbraw/zinc/81/57/66/812815766.db2.gz JEJFEGJYFMIPHV-CYBMUJFWSA-N 0 1 283.397 0.984 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001077429341 815557591 /nfs/dbraw/zinc/55/75/91/815557591.db2.gz OEOGYLDHISIRBY-YIDVYQOGSA-N 0 1 290.407 0.997 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2cncs2)[C@@H](O)C1 ZINC001083359973 812907428 /nfs/dbraw/zinc/90/74/28/812907428.db2.gz ITMIPGVZXKQUJZ-MNOVXSKESA-N 0 1 281.381 0.884 20 30 CCEDMN C[C@H](CNCC#N)NC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001268668476 812992893 /nfs/dbraw/zinc/99/28/93/812992893.db2.gz ZYKNOWKEMHWKED-VHSXEESVSA-N 0 1 275.356 0.766 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)[C@H](C)n1cccn1 ZINC001268723739 813036147 /nfs/dbraw/zinc/03/61/47/813036147.db2.gz ZXVUUYWQTAVIEG-KGLIPLIRSA-N 0 1 292.383 0.530 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)[C@H](O)C(C)C ZINC001268728543 813047428 /nfs/dbraw/zinc/04/74/28/813047428.db2.gz FJHSRJSWWMTPNC-CHWSQXEVSA-N 0 1 270.373 0.090 20 30 CCEDMN C=CC[N@H+](C)C[C@@H](C)NC(=O)C(C)(C)NC(C)=O ZINC001268749702 813065374 /nfs/dbraw/zinc/06/53/74/813065374.db2.gz COPFWNRPJCHUKT-SNVBAGLBSA-N 0 1 255.362 0.524 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCCNCc1cc(C)on1 ZINC001268791520 813089390 /nfs/dbraw/zinc/08/93/90/813089390.db2.gz OJMLPTWQHFVASK-CYBMUJFWSA-N 0 1 293.367 0.959 20 30 CCEDMN CCNCc1cn([C@H]2CCN(C(=O)C#CC(C)C)C2)nn1 ZINC001098672479 815575707 /nfs/dbraw/zinc/57/57/07/815575707.db2.gz FVYYLYAQTXDAMG-AWEZNQCLSA-N 0 1 289.383 0.820 20 30 CCEDMN C#CC(=O)N1Cc2n[nH]c(C(=O)N(CC)C(C)C)c2C1 ZINC001268855502 813116308 /nfs/dbraw/zinc/11/63/08/813116308.db2.gz RGAPAUXEJUVYGH-UHFFFAOYSA-N 0 1 274.324 0.756 20 30 CCEDMN C=CCN1C[C@]2(CCN(C(=O)c3cnc[nH]3)C2)OCC1=O ZINC001268868781 813125684 /nfs/dbraw/zinc/12/56/84/813125684.db2.gz WKPRDMBJAQBGJQ-AWEZNQCLSA-N 0 1 290.323 0.039 20 30 CCEDMN C#CCCCCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](O)COC ZINC001268934347 813146244 /nfs/dbraw/zinc/14/62/44/813146244.db2.gz DEDREGOVSPHUMU-KKUMJFAQSA-N 0 1 294.395 0.472 20 30 CCEDMN CC[C@@H](CN1C[C@@H]2C[C@H]1CN2C(=O)CSCC#N)OC ZINC001268926571 813147422 /nfs/dbraw/zinc/14/74/22/813147422.db2.gz JTGURAHHLBHUDY-AVGNSLFASA-N 0 1 297.424 0.953 20 30 CCEDMN CN1CC2(C1)CCCN(C(=O)C1(C#N)CCOCC1)C2 ZINC001269085680 813208853 /nfs/dbraw/zinc/20/88/53/813208853.db2.gz DNJXBOLQYFKJII-UHFFFAOYSA-N 0 1 277.368 0.861 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1ccns1 ZINC001127046673 815602811 /nfs/dbraw/zinc/60/28/11/815602811.db2.gz UKRSSDGEULRXAS-JTQLQIEISA-N 0 1 267.354 0.387 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2C(=O)c2ccn[nH]2)C1=O ZINC001269131938 813231427 /nfs/dbraw/zinc/23/14/27/813231427.db2.gz PMMNMMRTEIBVPC-AWEZNQCLSA-N 0 1 274.324 0.803 20 30 CCEDMN C=CCN1CC[C@]2(CCN(C(=O)c3ccn[nH]3)C2)C1=O ZINC001269132041 813231591 /nfs/dbraw/zinc/23/15/91/813231591.db2.gz SHHKCCCAYUSKEK-CQSZACIVSA-N 0 1 274.324 0.660 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1cncnc1 ZINC001126402867 813237392 /nfs/dbraw/zinc/23/73/92/813237392.db2.gz JGOUAXQKWLVJGS-UHFFFAOYSA-N 0 1 254.721 0.477 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CO[C@H]2CCOC2)C1 ZINC001269239995 813284894 /nfs/dbraw/zinc/28/48/94/813284894.db2.gz GRIZYQCLKIBCHM-OLZOCXBDSA-N 0 1 268.357 0.511 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)C(C)(C)CN1CCOCC1 ZINC001269254493 813291838 /nfs/dbraw/zinc/29/18/38/813291838.db2.gz AQWLLUFCRNULPV-AWEZNQCLSA-N 0 1 293.411 0.169 20 30 CCEDMN N#CCC1CN(C(=O)[C@@]23C[C@@H]2CN(C(=O)c2cnc[nH]2)C3)C1 ZINC001269413880 813363495 /nfs/dbraw/zinc/36/34/95/813363495.db2.gz DGIYWBZJEGHEAN-IAQYHMDHSA-N 0 1 299.334 0.244 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](CC)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001269448475 813374973 /nfs/dbraw/zinc/37/49/73/813374973.db2.gz LFNVUVIGMLSAGU-SNVBAGLBSA-N 0 1 295.387 0.305 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2C(=O)Cc2c[nH]cn2)C1=O ZINC001269568995 813424798 /nfs/dbraw/zinc/42/47/98/813424798.db2.gz JBHOOJRREUTEFW-HNNXBMFYSA-N 0 1 288.351 0.732 20 30 CCEDMN N#CCNCC[C@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC001269712407 813477975 /nfs/dbraw/zinc/47/79/75/813477975.db2.gz PMSPPKFQKYZLHP-GFCCVEGCSA-N 0 1 275.356 0.694 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@]2(CCN(C(=O)CC)C2)C1 ZINC001269713678 813478984 /nfs/dbraw/zinc/47/89/84/813478984.db2.gz GBUGERBXATZKBV-OAHLLOKOSA-N 0 1 277.368 0.070 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2C(=O)[C@H](C)N(C)C)C1=O ZINC001269796441 813509169 /nfs/dbraw/zinc/50/91/69/813509169.db2.gz DTOFQYWKKSXOEN-WFASDCNBSA-N 0 1 277.368 0.163 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2cnc3cccnn32)C1 ZINC001028849599 813581737 /nfs/dbraw/zinc/58/17/37/813581737.db2.gz GDTFLENQPKBFTR-ZDUSSCGKSA-N 0 1 297.362 0.804 20 30 CCEDMN C#CCNC(=O)CN1CCC(CCNC(=O)C(C)C)CC1 ZINC001270095352 813632015 /nfs/dbraw/zinc/63/20/15/813632015.db2.gz QXWKRRGPRDEBCR-UHFFFAOYSA-N 0 1 293.411 0.610 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H]2CCCO2)CC1 ZINC001225903709 813677041 /nfs/dbraw/zinc/67/70/41/813677041.db2.gz DDDFJXTVDGUNCC-AWEZNQCLSA-N 0 1 280.368 0.396 20 30 CCEDMN CN1CC2(C1)CCCN(C(=O)C#Cc1ccn(C)n1)C2 ZINC001270211538 813681840 /nfs/dbraw/zinc/68/18/40/813681840.db2.gz HHVPLRINZLDJBF-UHFFFAOYSA-N 0 1 272.352 0.326 20 30 CCEDMN C#CCCN1CC[C@@H](CNC(=O)[C@H]2CCCS2(=O)=O)C1 ZINC001028996837 813738452 /nfs/dbraw/zinc/73/84/52/813738452.db2.gz KDVULBJBYONHMS-QWHCGFSZSA-N 0 1 298.408 0.025 20 30 CCEDMN C[C@@H](NC[C@H](C)CNC(=O)C#CC1CC1)c1nncn1C ZINC001270401636 813750141 /nfs/dbraw/zinc/75/01/41/813750141.db2.gz GDWAOSVGDBBPQG-NWDGAFQWSA-N 0 1 289.383 0.631 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)CN2CCCNC2=O)C1 ZINC001270606711 813834826 /nfs/dbraw/zinc/83/48/26/813834826.db2.gz FXYZQYWOQVWNJO-OAHLLOKOSA-N 0 1 292.383 0.006 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)CNC(=O)OC)C1 ZINC001270620417 813842889 /nfs/dbraw/zinc/84/28/89/813842889.db2.gz MYUKDMGEGROFOB-LBPRGKRZSA-N 0 1 299.371 0.126 20 30 CCEDMN CC#CC(=O)N1CCCC2(CN(CCn3ccnc3)C2)C1 ZINC001270716668 813904512 /nfs/dbraw/zinc/90/45/12/813904512.db2.gz MAXVZZZRNYXDTM-UHFFFAOYSA-N 0 1 286.379 0.831 20 30 CCEDMN CC#CC(=O)N1C[C@H]2CC[C@@H](C1)N2CCn1ccnc1 ZINC001270717090 813904916 /nfs/dbraw/zinc/90/49/16/813904916.db2.gz UKEILLFHOJADKS-OKILXGFUSA-N 0 1 272.352 0.582 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CC2(C[C@H]2C(N)=O)C1 ZINC001270732275 813910670 /nfs/dbraw/zinc/91/06/70/813910670.db2.gz NMRKMWFDJKRWMN-JTQLQIEISA-N 0 1 295.302 0.382 20 30 CCEDMN C=CCCC(=O)N1CCC(CNCc2nnnn2C)CC1 ZINC001204748810 813932253 /nfs/dbraw/zinc/93/22/53/813932253.db2.gz RWVCIABSRFBVLA-UHFFFAOYSA-N 0 1 292.387 0.505 20 30 CCEDMN N#CC1(C(=O)N2CC3(CN(CCn4ccnc4)C3)C2)CCC1 ZINC001271112881 814157884 /nfs/dbraw/zinc/15/78/84/814157884.db2.gz WEGRALJIEXJUBU-UHFFFAOYSA-N 0 1 299.378 0.721 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncn(C)n2)[C@H]1CC ZINC001087563918 814165583 /nfs/dbraw/zinc/16/55/83/814165583.db2.gz XBQWWWDRMJYPTI-WDEREUQCSA-N 0 1 261.329 0.031 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)[C@H]1CC ZINC001087715494 814194595 /nfs/dbraw/zinc/19/45/95/814194595.db2.gz LRFYHPNDSARMHM-ZENOOKHLSA-N 0 1 291.395 0.648 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncc(OC)n2)[C@H]1CC ZINC001087750051 814203757 /nfs/dbraw/zinc/20/37/57/814203757.db2.gz PNAXIMLXSBTUFS-WCQYABFASA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CCC(NC(=O)CO[C@@H]2CCOC2)CC1 ZINC001227353468 814380190 /nfs/dbraw/zinc/38/01/90/814380190.db2.gz XSUOYTSMGWSAIF-CYBMUJFWSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3ccncn3)[C@@H]2C1 ZINC001075844231 814437345 /nfs/dbraw/zinc/43/73/45/814437345.db2.gz UHFQSIIHAVTMNU-DZGCQCFKSA-N 0 1 284.363 0.575 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCOC(C)C)[C@H](OC)C1 ZINC001211898417 814521709 /nfs/dbraw/zinc/52/17/09/814521709.db2.gz BYIDYICXXNKPMM-ZIAGYGMSSA-N 0 1 282.384 0.640 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CN(C)Cc2ccnn2C)C1 ZINC001029679257 814537076 /nfs/dbraw/zinc/53/70/76/814537076.db2.gz YNZIRAIYJAZLNP-STQMWFEESA-N 0 1 289.383 0.860 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@]1(CO)CCCN(CC(=C)C)C1 ZINC001271956880 814649680 /nfs/dbraw/zinc/64/96/80/814649680.db2.gz GIYNUNOJIQWGCC-GOEBONIOSA-N 0 1 294.395 0.544 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C2CCOCC2)[C@H]1C ZINC001088442195 814692106 /nfs/dbraw/zinc/69/21/06/814692106.db2.gz RIMMJDUJHGFDQT-YPMHNXCESA-N 0 1 250.342 0.625 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2COCCO2)[C@H]1C ZINC001088474767 814725399 /nfs/dbraw/zinc/72/53/99/814725399.db2.gz ZXVORABWHKSRCD-GRYCIOLGSA-N 0 1 254.330 0.167 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CCc2ncncc2C1 ZINC001272033860 814766334 /nfs/dbraw/zinc/76/63/34/814766334.db2.gz YGGDBSUCCOLZQF-ZDUSSCGKSA-N 0 1 286.379 0.605 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccc(=O)[nH]2)[C@H]1C ZINC001088510363 814766344 /nfs/dbraw/zinc/76/63/44/814766344.db2.gz WMILVEJTZMBNSO-MNOVXSKESA-N 0 1 259.309 0.613 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1ncccc1C ZINC001127039050 815588528 /nfs/dbraw/zinc/58/85/28/815588528.db2.gz AXSQCUNMGQUBEA-ZDUSSCGKSA-N 0 1 275.352 0.634 20 30 CCEDMN O=C(NCCN1CCC1)c1cccc(C#CCO)c1 ZINC000697377083 814851385 /nfs/dbraw/zinc/85/13/85/814851385.db2.gz XMFGEYNXQJNBPH-UHFFFAOYSA-N 0 1 258.321 0.466 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1nc2ncc(C)cn2n1 ZINC001272040635 814866459 /nfs/dbraw/zinc/86/64/59/814866459.db2.gz CSHYCCSUFLUNDC-UHFFFAOYSA-N 0 1 286.339 0.070 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cn(C)nn1 ZINC001126666687 814868971 /nfs/dbraw/zinc/86/89/71/814868971.db2.gz BVCUSBOJJZDTIQ-UHFFFAOYSA-N 0 1 288.149 0.043 20 30 CCEDMN Cn1cnc(C(=O)NCCNCC#Cc2ccccc2)n1 ZINC001126678255 814876768 /nfs/dbraw/zinc/87/67/68/814876768.db2.gz QGUFHQUZZUDULC-UHFFFAOYSA-N 0 1 283.335 0.186 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)OCC)[C@H](OC)C1 ZINC001211914510 814883257 /nfs/dbraw/zinc/88/32/57/814883257.db2.gz MCJZMTGOIFBLQM-YNEHKIRRSA-N 0 1 268.357 0.250 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@H]3COCCN3C2=O)CC1 ZINC000348901724 815635957 /nfs/dbraw/zinc/63/59/57/815635957.db2.gz DFTFPCNGBCSCPE-GFCCVEGCSA-N 0 1 279.340 0.300 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@H](C)OC)[C@H](OC)C1 ZINC001212158633 815742286 /nfs/dbraw/zinc/74/22/86/815742286.db2.gz FYRQZSXHEFGPDW-BFHYXJOUSA-N 0 1 282.384 0.640 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@H]2Cc3ccccc3CN2)CCO1 ZINC000093934143 815766847 /nfs/dbraw/zinc/76/68/47/815766847.db2.gz NZSIMHZYQQIEEH-UONOGXRCSA-N 0 1 271.320 0.452 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NC1CN(C[C@H]2CCOC2)C1 ZINC001030332614 815980058 /nfs/dbraw/zinc/98/00/58/815980058.db2.gz NTMSZACWTOSEJY-YUELXQCFSA-N 0 1 294.395 0.805 20 30 CCEDMN CC#CCN1CC(NC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001030416014 816024907 /nfs/dbraw/zinc/02/49/07/816024907.db2.gz UURXVFRCKJJTOU-UHFFFAOYSA-N 0 1 295.346 0.909 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)CN2CC[C@H](C)C2)CCN1CC#N ZINC001088859693 816089579 /nfs/dbraw/zinc/08/95/79/816089579.db2.gz JNCIQIGWWBGHSK-XQQFMLRXSA-N 0 1 264.373 0.431 20 30 CCEDMN CC#CCN1CC(NC(=O)c2occc2CN(C)C)C1 ZINC001030859096 816356144 /nfs/dbraw/zinc/35/61/44/816356144.db2.gz MLNJXPSBXRNWGK-UHFFFAOYSA-N 0 1 275.352 0.779 20 30 CCEDMN C#Cc1cncc(C(=O)NC2CN(Cc3n[nH]c(C)n3)C2)c1 ZINC001030928424 816448389 /nfs/dbraw/zinc/44/83/89/816448389.db2.gz SGEDGYXIESQHAB-UHFFFAOYSA-N 0 1 296.334 0.104 20 30 CCEDMN C=CCN1C(=O)COCC12CN(C[C@@H]1CCOC1)C2 ZINC001272508297 816523115 /nfs/dbraw/zinc/52/31/15/816523115.db2.gz NBFVNKNDVFUJJO-LBPRGKRZSA-N 0 1 266.341 0.122 20 30 CCEDMN C=CCN1C(=O)C[C@]2(CCC[N@@H+](Cc3cn[nH]n3)C2)C1=O ZINC001272570146 816590470 /nfs/dbraw/zinc/59/04/70/816590470.db2.gz PKQRMVMQUFYYLU-AWEZNQCLSA-N 0 1 289.339 0.332 20 30 CCEDMN C=CCN1C(=O)C[C@]2(CCCN(Cc3cn[nH]n3)C2)C1=O ZINC001272570146 816590482 /nfs/dbraw/zinc/59/04/82/816590482.db2.gz PKQRMVMQUFYYLU-AWEZNQCLSA-N 0 1 289.339 0.332 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)CCCCN2Cc1c[nH]nn1 ZINC001272571033 816591527 /nfs/dbraw/zinc/59/15/27/816591527.db2.gz XLTZSOXRSSSVQX-CQSZACIVSA-N 0 1 273.340 0.395 20 30 CCEDMN C[C@H](CCNc1ccc(C#N)cn1)NC(=O)c1ncn[nH]1 ZINC001106412593 816763043 /nfs/dbraw/zinc/76/30/43/816763043.db2.gz PMWPOWZDAJTWSV-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@H](CCNc1ccc(C#N)cn1)NC(=O)c1nc[nH]n1 ZINC001106412593 816763046 /nfs/dbraw/zinc/76/30/46/816763046.db2.gz PMWPOWZDAJTWSV-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)CC(F)(F)F ZINC001235068291 816802250 /nfs/dbraw/zinc/80/22/50/816802250.db2.gz ZBZNPAMQGXNWKX-UHFFFAOYSA-N 0 1 295.305 0.679 20 30 CCEDMN C=CCN1CC(NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001031216005 816826049 /nfs/dbraw/zinc/82/60/49/816826049.db2.gz KSEXNYFRGMSPQK-SNVBAGLBSA-N 0 1 260.341 0.501 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2C)[C@H](OC)C1 ZINC001213266602 816922131 /nfs/dbraw/zinc/92/21/31/816922131.db2.gz BDYOAVIAUSQQKH-AAVRWANBSA-N 0 1 282.384 0.660 20 30 CCEDMN C#CCCCCNC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001123515822 816971189 /nfs/dbraw/zinc/97/11/89/816971189.db2.gz VKGUZYFDBGWUNS-UONOGXRCSA-N 0 1 280.416 0.723 20 30 CCEDMN COC[C@H](C)N1C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](OC)C1 ZINC001212248787 817221540 /nfs/dbraw/zinc/22/15/40/817221540.db2.gz NJXHHLNDGZBVKE-BFHYXJOUSA-N 0 1 296.411 0.886 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC2CC(OCC)C2)C1 ZINC001077554236 817227494 /nfs/dbraw/zinc/22/74/94/817227494.db2.gz AKMXEABPWZLCQZ-NEXFUWMNSA-N 0 1 296.411 0.929 20 30 CCEDMN N#CCN1CC(CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001031688263 817244118 /nfs/dbraw/zinc/24/41/18/817244118.db2.gz BXBUIMYUJVECJE-UHFFFAOYSA-N 0 1 270.296 0.143 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1OC ZINC001212257745 817258570 /nfs/dbraw/zinc/25/85/70/817258570.db2.gz HXDJADVEBLNXSX-ZIAGYGMSSA-N 0 1 280.368 0.418 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C[C@@H](C)OC)C[C@@H]2O)C1 ZINC001083761988 817261700 /nfs/dbraw/zinc/26/17/00/817261700.db2.gz AMOXPVCOXUTMDD-UPJWGTAASA-N 0 1 282.384 0.539 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CNC(=O)c3ccccc32)C1 ZINC001031735360 817300384 /nfs/dbraw/zinc/30/03/84/817300384.db2.gz GISGMOGVHIDXBZ-HNNXBMFYSA-N 0 1 299.374 0.748 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnn(C)c1C ZINC001038070720 817303482 /nfs/dbraw/zinc/30/34/82/817303482.db2.gz SGBLQIQLTXZLKO-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001031742737 817307998 /nfs/dbraw/zinc/30/79/98/817307998.db2.gz OPNNLYSRNCFCHZ-AAEUAGOBSA-N 0 1 268.357 0.414 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(C)nc2CC)C1 ZINC001031753920 817339334 /nfs/dbraw/zinc/33/93/34/817339334.db2.gz FMGWCWNOOCJUKK-UHFFFAOYSA-N 0 1 274.368 0.667 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCC1CN(CC#N)C1 ZINC001031774146 817355296 /nfs/dbraw/zinc/35/52/96/817355296.db2.gz POQQKBVRWPMOBD-GFCCVEGCSA-N 0 1 250.346 0.042 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1cn[nH]n1 ZINC001089832330 817416406 /nfs/dbraw/zinc/41/64/06/817416406.db2.gz UFDLYHZBECXETQ-XPCVCDNBSA-N 0 1 299.378 0.610 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CC(=O)N[C@@H](CC)C2)C1 ZINC001031871317 817433435 /nfs/dbraw/zinc/43/34/35/817433435.db2.gz WAVPNHVOOAWSDX-STQMWFEESA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCOC[C@H]2C)C1 ZINC001031958024 817496075 /nfs/dbraw/zinc/49/60/75/817496075.db2.gz LPXKILZFGYEYSI-DGCLKSJQSA-N 0 1 252.358 0.893 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ocnc2C2CC2)[C@@H](O)C1 ZINC001083777244 817537240 /nfs/dbraw/zinc/53/72/40/817537240.db2.gz LQMARRXCQJXQOQ-NEPJUHHUSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCC[C@H](C(N)=O)C2)C1 ZINC001032020908 817555281 /nfs/dbraw/zinc/55/52/81/817555281.db2.gz YSWSFNHXDOCRBJ-QWHCGFSZSA-N 0 1 279.384 0.512 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc3ncccn3n2)C1 ZINC001032064624 817596434 /nfs/dbraw/zinc/59/64/34/817596434.db2.gz XPZKPDYJZPLBDR-UHFFFAOYSA-N 0 1 271.324 0.577 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc3c(cnn3C)c2)C1 ZINC001032073059 817607773 /nfs/dbraw/zinc/60/77/73/817607773.db2.gz RMEDCRWPSOQVKK-UHFFFAOYSA-N 0 1 285.351 0.816 20 30 CCEDMN Cc1cc(N[C@@H](C)CN(C)C(=O)c2ccn[nH]2)c(C#N)cn1 ZINC001115656181 817684934 /nfs/dbraw/zinc/68/49/34/817684934.db2.gz CFEIKQTWINTOFZ-NSHDSACASA-N 0 1 298.350 0.979 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001032204298 817700344 /nfs/dbraw/zinc/70/03/44/817700344.db2.gz PITBLMWAZTVAHJ-ZDUSSCGKSA-N 0 1 289.383 0.465 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(N(C)C)ccn2)C1 ZINC001032219199 817723792 /nfs/dbraw/zinc/72/37/92/817723792.db2.gz QKYZZYTYGRAJDI-UHFFFAOYSA-N 0 1 274.368 0.995 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2CC3(CC3)C2)C1 ZINC001077651812 817933733 /nfs/dbraw/zinc/93/37/33/817933733.db2.gz DJPOWOPSMPVHJT-CHWSQXEVSA-N 0 1 264.369 0.914 20 30 CCEDMN C[C@]1(CNC(=O)CSCC#N)CN(CC2CC2)CCO1 ZINC001107752274 817984741 /nfs/dbraw/zinc/98/47/41/817984741.db2.gz RDWBRYOMOQENFX-AWEZNQCLSA-N 0 1 297.424 0.860 20 30 CCEDMN CO[C@H](C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C#N)[nH]1 ZINC001032362364 817994829 /nfs/dbraw/zinc/99/48/29/817994829.db2.gz XKXPQXDRNDQCAY-WXHSDQCUSA-N 0 1 288.351 0.820 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cn1ccnc1 ZINC001032815092 818041526 /nfs/dbraw/zinc/04/15/26/818041526.db2.gz ZLGQWURBRIRICC-STQMWFEESA-N 0 1 258.325 0.192 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CC23CC3)[C@@H](O)C1 ZINC001083807148 818061690 /nfs/dbraw/zinc/06/16/90/818061690.db2.gz BIJSSNRWMWPRPZ-UTUOFQBUSA-N 0 1 250.342 0.524 20 30 CCEDMN COCC#CC(=O)N1CC[C@H]2CN(Cc3ccc[nH]3)C[C@H]21 ZINC001272954580 818118556 /nfs/dbraw/zinc/11/85/56/818118556.db2.gz IJJGQPLBCSRQDU-DZGCQCFKSA-N 0 1 287.363 0.697 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3ccoc3)C2)OCC1=O ZINC001272983139 818235963 /nfs/dbraw/zinc/23/59/63/818235963.db2.gz KWUAHINQFOHDST-HNNXBMFYSA-N 0 1 274.320 0.716 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccccn2)[C@@H](O)C1 ZINC001090007180 818262842 /nfs/dbraw/zinc/26/28/42/818262842.db2.gz PSKBUBHCGRWKCD-AAEUAGOBSA-N 0 1 261.325 0.433 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)on2)[C@@H](O)C1 ZINC001090032774 818297156 /nfs/dbraw/zinc/29/71/56/818297156.db2.gz SRNWRKVAVWQNIY-PWSUYJOCSA-N 0 1 265.313 0.334 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2cnn(C)c2)C1 ZINC001032897477 818346173 /nfs/dbraw/zinc/34/61/73/818346173.db2.gz XZMFFTBOYKFWMT-ZDUSSCGKSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cnn(C)c2)C1 ZINC001032897477 818346183 /nfs/dbraw/zinc/34/61/83/818346183.db2.gz XZMFFTBOYKFWMT-ZDUSSCGKSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccnc3ccnn32)C1 ZINC001033135543 818560525 /nfs/dbraw/zinc/56/05/25/818560525.db2.gz NRGKFSOSZALYSL-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033161450 818588087 /nfs/dbraw/zinc/58/80/87/818588087.db2.gz LZDXKMXXAQKHJP-IHRRRGAJSA-N 0 1 280.368 0.346 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccnn2CCOC)C1 ZINC001033174154 818604334 /nfs/dbraw/zinc/60/43/34/818604334.db2.gz IWPFXWCAGZMBKQ-CYBMUJFWSA-N 0 1 292.383 0.862 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033194265 818637098 /nfs/dbraw/zinc/63/70/98/818637098.db2.gz YSMGENVHLUNGTI-WFASDCNBSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033194265 818637102 /nfs/dbraw/zinc/63/71/02/818637102.db2.gz YSMGENVHLUNGTI-WFASDCNBSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2ccn(C)c(=O)c2)C1 ZINC001033208792 818653233 /nfs/dbraw/zinc/65/32/33/818653233.db2.gz OQTFJTBEALTMHY-ZDUSSCGKSA-N 0 1 273.336 0.165 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C)nc2C)[C@H](O)C1 ZINC001090122739 818664968 /nfs/dbraw/zinc/66/49/68/818664968.db2.gz JJPOPTBFZHDWHE-QWHCGFSZSA-N 0 1 278.356 0.080 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001033275374 818687800 /nfs/dbraw/zinc/68/78/00/818687800.db2.gz MDDLARQSPNAQLG-CQSZACIVSA-N 0 1 287.363 0.886 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cn(CC)nn2)C1 ZINC001033291725 818694887 /nfs/dbraw/zinc/69/48/87/818694887.db2.gz OTZJPWZXYGAWNR-CYBMUJFWSA-N 0 1 275.356 0.006 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cocn2)[C@@H](O)C1 ZINC001090131366 818695068 /nfs/dbraw/zinc/69/50/68/818695068.db2.gz ZPBJMXKYMXZEDE-PWSUYJOCSA-N 0 1 265.313 0.416 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cnn3cccnc23)C1 ZINC001033322512 818708295 /nfs/dbraw/zinc/70/82/95/818708295.db2.gz MNLJWUHMNROQGJ-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001033366647 818736322 /nfs/dbraw/zinc/73/63/22/818736322.db2.gz VOGCRYSGBXJXLU-NEPJUHHUSA-N 0 1 289.383 0.943 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001033398486 818753287 /nfs/dbraw/zinc/75/32/87/818753287.db2.gz ZBQGRDSMTILJPN-DOMZBBRYSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001033389518 818755978 /nfs/dbraw/zinc/75/59/78/818755978.db2.gz QANSMLLOLCMOMP-RISCZKNCSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001033389518 818755985 /nfs/dbraw/zinc/75/59/85/818755985.db2.gz QANSMLLOLCMOMP-RISCZKNCSA-N 0 1 265.357 0.374 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001033615914 818853033 /nfs/dbraw/zinc/85/30/33/818853033.db2.gz DCCLTICPSQSRTG-KBPBESRZSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)C2=COCCO2)C1 ZINC001033742567 818914205 /nfs/dbraw/zinc/91/42/05/818914205.db2.gz NEYJQCBVFSDMNZ-LBPRGKRZSA-N 0 1 264.325 0.431 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033849699 818965993 /nfs/dbraw/zinc/96/59/93/818965993.db2.gz BHTZLCOGSOOYNO-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(=O)n(C)cn2)C1 ZINC001033859679 818970173 /nfs/dbraw/zinc/97/01/73/818970173.db2.gz FCIZGMRTTGUVRK-LBPRGKRZSA-N 0 1 290.367 0.503 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2n[nH]nc2C)C1 ZINC001033912132 818986015 /nfs/dbraw/zinc/98/60/15/818986015.db2.gz GQUXAOJUSXKNKP-NSHDSACASA-N 0 1 263.345 0.836 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C2=COCCO2)C1 ZINC001034079958 819059349 /nfs/dbraw/zinc/05/93/49/819059349.db2.gz ISNUDVVEPPVHDO-GFCCVEGCSA-N 0 1 264.325 0.479 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncc(F)c2)[C@H](O)C1 ZINC001090191178 819089035 /nfs/dbraw/zinc/08/90/35/819089035.db2.gz GKHMOXJSEOJDJY-CHWSQXEVSA-N 0 1 279.315 0.572 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H](C)n2cncn2)C1 ZINC001034174129 819101379 /nfs/dbraw/zinc/10/13/79/819101379.db2.gz JAHUZHUTVAMLGN-ZIAGYGMSSA-N 0 1 289.383 0.833 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2COCCN2C)C1 ZINC001034176694 819102808 /nfs/dbraw/zinc/10/28/08/819102808.db2.gz XEEBOTLXMLEPJM-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CCCCN(CC#N)C2)n[nH]1 ZINC001034199764 819121281 /nfs/dbraw/zinc/12/12/81/819121281.db2.gz KMTWFZGUCHGRGL-LLVKDONJSA-N 0 1 276.344 0.150 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@@H]1CCCCN(CC#N)C1 ZINC001034276663 819152149 /nfs/dbraw/zinc/15/21/49/819152149.db2.gz IJTOWZUVQCLCIB-ZIAGYGMSSA-N 0 1 278.400 0.965 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001034458623 819212904 /nfs/dbraw/zinc/21/29/04/819212904.db2.gz OIYAFWNQASFQHD-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001034458623 819212913 /nfs/dbraw/zinc/21/29/13/819212913.db2.gz OIYAFWNQASFQHD-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC001045480695 819243682 /nfs/dbraw/zinc/24/36/82/819243682.db2.gz DUEHUMURSFUOOA-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@H](CNc1ccc(C#N)cn1)NC(=O)CCc1c[nH]nn1 ZINC001108131639 819250582 /nfs/dbraw/zinc/25/05/82/819250582.db2.gz SXPFSSYULGUDGL-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@H](CNc1ccc(C#N)cn1)NC(=O)CCc1cnn[nH]1 ZINC001108131639 819250591 /nfs/dbraw/zinc/25/05/91/819250591.db2.gz SXPFSSYULGUDGL-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(C)c2)[C@@H](O)C1 ZINC001090226818 819275009 /nfs/dbraw/zinc/27/50/09/819275009.db2.gz YIVJLKOFLGMPSH-STQMWFEESA-N 0 1 264.325 0.939 20 30 CCEDMN C=CC[C@@H]1CC[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC000703688037 819315989 /nfs/dbraw/zinc/31/59/89/819315989.db2.gz KUXPMEHXJITGMR-SNVBAGLBSA-N 0 1 261.343 0.384 20 30 CCEDMN C=C(C)CC[N@H+]1CCO[C@@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001035314778 819464517 /nfs/dbraw/zinc/46/45/17/819464517.db2.gz GMNNMOJRNQKFHC-KBPBESRZSA-N 0 1 282.384 0.806 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001035314778 819464520 /nfs/dbraw/zinc/46/45/20/819464520.db2.gz GMNNMOJRNQKFHC-KBPBESRZSA-N 0 1 282.384 0.806 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnns1)CC2 ZINC001035661518 819594848 /nfs/dbraw/zinc/59/48/48/819594848.db2.gz RHYQOATWEDVCFO-UHFFFAOYSA-N 0 1 276.365 0.709 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cn(C)nc1C)CC2 ZINC001035668224 819606949 /nfs/dbraw/zinc/60/69/49/819606949.db2.gz DZXJKQXIHOIASD-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1nnc(C)o1)CC2 ZINC001035698298 819609791 /nfs/dbraw/zinc/60/97/91/819609791.db2.gz UDRORKMKBPWIGO-UHFFFAOYSA-N 0 1 288.351 0.478 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1n[nH]nc1C)CC2 ZINC001035764292 819621395 /nfs/dbraw/zinc/62/13/95/819621395.db2.gz KRECBUHEXWRUCV-UHFFFAOYSA-N 0 1 287.367 0.674 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)Cc1ncc[nH]1)CC2 ZINC001035826242 819630496 /nfs/dbraw/zinc/63/04/96/819630496.db2.gz RJTIULNJJNFNOZ-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)Cn1cncn1)CC2 ZINC001035847246 819633302 /nfs/dbraw/zinc/63/33/02/819633302.db2.gz JJEPIVCPBRCCQT-UHFFFAOYSA-N 0 1 287.367 0.226 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccnc(C)n1)CC2 ZINC001035854740 819637844 /nfs/dbraw/zinc/63/78/44/819637844.db2.gz POQOBPJBEZMGPP-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2nc[nH]n2)CC[C@H]1NCC#N ZINC001035976241 819664481 /nfs/dbraw/zinc/66/44/81/819664481.db2.gz ZOXFHTMMQSXBRT-WDEREUQCSA-N 0 1 276.344 0.087 20 30 CCEDMN C#CC1(O)CCN([C@@H](C)C(=O)NCCC(C)C)CC1 ZINC000708090020 819757454 /nfs/dbraw/zinc/75/74/54/819757454.db2.gz UWOHYMNTPLDSJQ-ZDUSSCGKSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@@H](C)N(C)C1CC1 ZINC000710261743 819825254 /nfs/dbraw/zinc/82/52/54/819825254.db2.gz SGPHNNKVWRDCGL-LLVKDONJSA-N 0 1 258.387 0.802 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccn(CC)n2)[C@H](O)C1 ZINC001090272954 820038711 /nfs/dbraw/zinc/03/87/11/820038711.db2.gz AOYFNNHXDKPKIW-UONOGXRCSA-N 0 1 292.383 0.183 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C)CC2)[C@@H](O)C1 ZINC001090284914 820088811 /nfs/dbraw/zinc/08/88/11/820088811.db2.gz ZLYGXHFPPDEULL-NEPJUHHUSA-N 0 1 250.342 0.361 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@@H]1CCN(c2cncc(C#N)n2)C1 ZINC001062890769 820120668 /nfs/dbraw/zinc/12/06/68/820120668.db2.gz RTEGMJPMPFWVQH-LLVKDONJSA-N 0 1 297.322 0.422 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)COc1ccc(C#N)cc1 ZINC000712268051 820246943 /nfs/dbraw/zinc/24/69/43/820246943.db2.gz UJDSLQMDERXFOS-GFCCVEGCSA-N 0 1 259.309 0.757 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C3CC3)CC2)[C@@H](O)C1 ZINC001090338456 820278929 /nfs/dbraw/zinc/27/89/29/820278929.db2.gz JLCGPDCTTDIMTI-KGLIPLIRSA-N 0 1 276.380 0.751 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCOCC2)C1 ZINC001079639855 820445615 /nfs/dbraw/zinc/44/56/15/820445615.db2.gz VITKLOVYXIFUET-MGPQQGTHSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(CCF)c2)C1 ZINC001080015009 820499490 /nfs/dbraw/zinc/49/94/90/820499490.db2.gz WOCSOSXBARBANA-DGCLKSJQSA-N 0 1 278.331 0.536 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001080093651 820515700 /nfs/dbraw/zinc/51/57/00/820515700.db2.gz PAGBXPASAZWUTQ-HZSPNIEDSA-N 0 1 291.395 0.505 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001080346425 820553687 /nfs/dbraw/zinc/55/36/87/820553687.db2.gz ZHDAKHFECJMZBM-CKYFFXLPSA-N 0 1 288.355 0.185 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(=O)N2CCC[C@@H](C)C2)C1 ZINC001080566723 820594989 /nfs/dbraw/zinc/59/49/89/820594989.db2.gz JIHAZMGSCOJHMM-MGPQQGTHSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCCC(=O)N1CC(N(CC)C(=O)Cc2c[nH]cn2)C1 ZINC001080628553 820602988 /nfs/dbraw/zinc/60/29/88/820602988.db2.gz SLYIKFLNRSRKFW-UHFFFAOYSA-N 0 1 290.367 0.978 20 30 CCEDMN CC#CCCCC(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001164244801 820707885 /nfs/dbraw/zinc/70/78/85/820707885.db2.gz NYNIEOGEBGQSAW-LBPRGKRZSA-N 0 1 292.387 0.561 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)[C@H](OC)C1 ZINC001081502184 820779893 /nfs/dbraw/zinc/77/98/93/820779893.db2.gz KGBPPYQYUNJWGM-KHMAMNHCSA-N 0 1 276.380 0.871 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccnn2CC)[C@H](OC)C1 ZINC001081585490 820793198 /nfs/dbraw/zinc/79/31/98/820793198.db2.gz OYONLXSIYFDZPQ-TZMCWYRMSA-N 0 1 292.383 0.908 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CC2(C)C)[C@H](OC)C1 ZINC001081550197 820796259 /nfs/dbraw/zinc/79/62/59/820796259.db2.gz JVDLPLMEXYNNQQ-JHJVBQTASA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2cnc(C)o2)[C@H](OC)C1 ZINC001081795665 820829638 /nfs/dbraw/zinc/82/96/38/820829638.db2.gz OLUXYKPEZZEWRM-ZIAGYGMSSA-N 0 1 291.351 0.364 20 30 CCEDMN C=CCCC(=O)N1CCCC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001081945512 820865720 /nfs/dbraw/zinc/86/57/20/820865720.db2.gz MREUJSKVERUDIK-NSHDSACASA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)c2csc(=O)[nH]2)[C@H](OC)C1 ZINC001082044950 820881345 /nfs/dbraw/zinc/88/13/45/820881345.db2.gz CXRYWTDSCCFFIU-MWLCHTKSSA-N 0 1 297.380 0.854 20 30 CCEDMN C=C(C)C[NH+]1C[C@@H](NC(=O)C[N@@H+]2CC[C@H](C)C2)[C@H](OC)C1 ZINC001082132696 820905787 /nfs/dbraw/zinc/90/57/87/820905787.db2.gz NRBCTQXSEIKUNI-RRFJBIMHSA-N 0 1 295.427 0.720 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@]2(C)CCOC2)[C@H](OC)C1 ZINC001082275824 820931979 /nfs/dbraw/zinc/93/19/79/820931979.db2.gz NWMILHDMCRUWDU-NFAWXSAZSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CC3CC3)[C@H]2C1 ZINC001082975921 821085271 /nfs/dbraw/zinc/08/52/71/821085271.db2.gz NOPMJUJLQDPLLI-UONOGXRCSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3ccc(C)nc3)[C@H]2C1 ZINC001083002793 821100616 /nfs/dbraw/zinc/10/06/16/821100616.db2.gz XLOGZZUSVOMZBD-JKSUJKDBSA-N 0 1 299.374 0.939 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3n[nH]nc3C)[C@H]2C1 ZINC001083160358 821152991 /nfs/dbraw/zinc/15/29/91/821152991.db2.gz VOTRXIINTBLIEL-NWDGAFQWSA-N 0 1 291.355 0.214 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C3CC3)cn2)[C@@H](O)C1 ZINC001084012462 821183631 /nfs/dbraw/zinc/18/36/31/821183631.db2.gz QDYMWYTVXXFAJN-CVEARBPZSA-N 0 1 299.374 0.757 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CC23CCOCC3)[C@@H](O)C1 ZINC001084128574 821204856 /nfs/dbraw/zinc/20/48/56/821204856.db2.gz UMXIBAYPTGYIMP-MCIONIFRSA-N 0 1 294.395 0.541 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cn(C)cn3)[C@@H]2C1 ZINC001084279951 821262007 /nfs/dbraw/zinc/26/20/07/821262007.db2.gz XSWIXQTWELONSX-DGCLKSJQSA-N 0 1 260.341 0.752 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCNC3=O)[C@@H]2C1 ZINC001084401401 821288927 /nfs/dbraw/zinc/28/89/27/821288927.db2.gz BSADYOJCGLLUDI-JHJVBQTASA-N 0 1 277.368 0.231 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@@H]3COCCN3CC)[C@@H]2C1 ZINC001084468913 821294524 /nfs/dbraw/zinc/29/45/24/821294524.db2.gz HYVLFZMEELZIFS-KFWWJZLASA-N 0 1 293.411 0.426 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3COCCN3CC)[C@@H]2C1 ZINC001084468913 821294531 /nfs/dbraw/zinc/29/45/31/821294531.db2.gz HYVLFZMEELZIFS-KFWWJZLASA-N 0 1 293.411 0.426 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCCCC(=O)N3)[C@@H]2C1 ZINC001084654408 821339617 /nfs/dbraw/zinc/33/96/17/821339617.db2.gz QOZMCNAACPBLTA-HZSPNIEDSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CC[C@@H](NC(=O)Cc1cnc[nH]1)C(=O)OCC ZINC001119568780 821372737 /nfs/dbraw/zinc/37/27/37/821372737.db2.gz QPJKSUCBZSEBPX-SNVBAGLBSA-N 0 1 251.286 0.576 20 30 CCEDMN CC(C)(C(=O)N1C[C@H]2CCN(CC#N)C[C@H]21)c1c[nH]cn1 ZINC001084775948 821387050 /nfs/dbraw/zinc/38/70/50/821387050.db2.gz AJSFHHMOZCUTKK-VXGBXAGGSA-N 0 1 287.367 0.744 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3cncn3C)[C@@H]2C1 ZINC001084775377 821387294 /nfs/dbraw/zinc/38/72/94/821387294.db2.gz DGPSYZUDODKDFI-TZMCWYRMSA-N 0 1 274.368 0.681 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C(F)F)CC2)[C@H](O)C1 ZINC001099836358 821407675 /nfs/dbraw/zinc/40/76/75/821407675.db2.gz YUYIHJSOBZUKMB-GHMZBOCLSA-N 0 1 286.322 0.606 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CC[C@H]2CCN(CC#N)[C@H]2C1 ZINC001084903670 821426032 /nfs/dbraw/zinc/42/60/32/821426032.db2.gz MKOMRNBTLSMWRQ-MCIONIFRSA-N 0 1 276.384 0.527 20 30 CCEDMN C=CCN1CC[C@]2(CC[N@H+](Cc3cnc(N)nc3)C2)C1=O ZINC001273304867 821591343 /nfs/dbraw/zinc/59/13/43/821591343.db2.gz STLUVWRRZBFDRF-HNNXBMFYSA-N 0 1 287.367 0.669 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2CCCC[C@H]2NCC#N)=NC1=O ZINC001085320699 821660324 /nfs/dbraw/zinc/66/03/24/821660324.db2.gz LYDXRXGNNYIEMD-NXEZZACHSA-N 0 1 277.328 0.280 20 30 CCEDMN N#CCCN(CCC#N)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000826709992 821669404 /nfs/dbraw/zinc/66/94/04/821669404.db2.gz YRCBCOZNKSKGEU-ZDUSSCGKSA-N 0 1 276.340 0.363 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(=O)n(C)o1 ZINC001085569319 821802484 /nfs/dbraw/zinc/80/24/84/821802484.db2.gz GCQOJCKMSIIFRW-NSHDSACASA-N 0 1 277.324 0.148 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001085650127 821878456 /nfs/dbraw/zinc/87/84/56/821878456.db2.gz IIUCXXKJYUPSDD-JHJVBQTASA-N 0 1 272.352 0.679 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699675 821909371 /nfs/dbraw/zinc/90/93/71/821909371.db2.gz UCTPXIBWKBXTCM-SECBINFHSA-N 0 1 293.327 0.005 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccnc(OC)n1 ZINC001085716500 821917645 /nfs/dbraw/zinc/91/76/45/821917645.db2.gz NPEGNVVUGMQRDK-LBPRGKRZSA-N 0 1 288.351 0.655 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@@H]2CCN2CCO)cc1 ZINC001085757964 821937223 /nfs/dbraw/zinc/93/72/23/821937223.db2.gz VUUVQDXYTFYDQY-HNNXBMFYSA-N 0 1 272.348 0.807 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCN(C)C1=O ZINC001085771151 821943669 /nfs/dbraw/zinc/94/36/69/821943669.db2.gz VKJDSOJMFCTLKN-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnc2cccnn21 ZINC001085859755 821987611 /nfs/dbraw/zinc/98/76/11/821987611.db2.gz ILWKFOOGXDWUBG-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C=CCN1CCO[C@](C)(CNC(=O)CN2CC[C@H](C)C2)C1 ZINC001108216346 821987731 /nfs/dbraw/zinc/98/77/31/821987731.db2.gz XEEFEYYRNPLWLC-GOEBONIOSA-N 0 1 295.427 0.721 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnon1 ZINC001085884214 821999645 /nfs/dbraw/zinc/99/96/45/821999645.db2.gz XBJHGBPKEDPPIX-JTQLQIEISA-N 0 1 250.302 0.792 20 30 CCEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1cnon1 ZINC001085884214 821999649 /nfs/dbraw/zinc/99/96/49/821999649.db2.gz XBJHGBPKEDPPIX-JTQLQIEISA-N 0 1 250.302 0.792 20 30 CCEDMN Cc1cc(CC(=O)NCCN(C)c2ccc(C#N)nn2)[nH]n1 ZINC001100023484 822081853 /nfs/dbraw/zinc/08/18/53/822081853.db2.gz KCZLKCOWAHGGGC-UHFFFAOYSA-N 0 1 299.338 0.175 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)CC(N)=O)CC1 ZINC001273399052 822118229 /nfs/dbraw/zinc/11/82/29/822118229.db2.gz GSDXDTHYGUAWRC-JTQLQIEISA-N 0 1 273.764 0.585 20 30 CCEDMN C[C@H](CNC(=O)c1cnn[nH]1)N(C)c1ncccc1C#N ZINC001113969849 837387657 /nfs/dbraw/zinc/38/76/57/837387657.db2.gz CDNNFWNBUMCHEC-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCCC ZINC001113995595 837395878 /nfs/dbraw/zinc/39/58/78/837395878.db2.gz FTMHXDANYPYXDU-IMRBUKKESA-N 0 1 250.342 0.483 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)CSC ZINC001114041735 837419117 /nfs/dbraw/zinc/41/91/17/837419117.db2.gz POVHJMCJBYFEFE-NNYUYHANSA-N 0 1 252.383 0.665 20 30 CCEDMN N#CCNCCCNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001170431199 837433333 /nfs/dbraw/zinc/43/33/33/837433333.db2.gz IODVWCKKHDQROY-SNVBAGLBSA-N 0 1 261.329 0.134 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1[C@H]2CN(CC#CC)C[C@H]21 ZINC001114269156 837492689 /nfs/dbraw/zinc/49/26/89/837492689.db2.gz XDJVASSNDKHYQK-NHAGDIPZSA-N 0 1 260.337 0.096 20 30 CCEDMN CC#CC[NH2+]CCNC(=O)c1[n-]cnc1C(F)(F)F ZINC001129539239 837528541 /nfs/dbraw/zinc/52/85/41/837528541.db2.gz MLQFNQUHYKLXNL-UHFFFAOYSA-N 0 1 274.246 0.771 20 30 CCEDMN C#CCCCCC(=O)N1C[C@@H](NCC#C)[C@@H](n2ccnn2)C1 ZINC001129757044 837552787 /nfs/dbraw/zinc/55/27/87/837552787.db2.gz SEWKHSDLPNMFTO-CABCVRRESA-N 0 1 299.378 0.446 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C[C@@H](C)CC)C[C@@H]1n1ccnn1 ZINC001129798162 837558558 /nfs/dbraw/zinc/55/85/58/837558558.db2.gz PFXQZHQRIPPRRA-MJBXVCDLSA-N 0 1 289.383 0.689 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1C[C@H]1c1c[nH]cn1 ZINC001129897999 837583259 /nfs/dbraw/zinc/58/32/59/837583259.db2.gz YENDATFZVUEPPV-NXEZZACHSA-N 0 1 268.748 0.972 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)[C@@H]2CC23CC3)C[C@@H]1n1ccnn1 ZINC001130017319 837610280 /nfs/dbraw/zinc/61/02/80/837610280.db2.gz BPHCFQBCENZGJI-MJBXVCDLSA-N 0 1 299.378 0.443 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)COC)C1 ZINC001130326474 837765383 /nfs/dbraw/zinc/76/53/83/837765383.db2.gz PPKNBQRPELGGGG-LLVKDONJSA-N 0 1 276.340 0.095 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1n[nH]cc1Cl ZINC001187846346 844780018 /nfs/dbraw/zinc/78/00/18/844780018.db2.gz UKAXDTMBRPFOQK-YFKPBYRVSA-N 0 1 263.706 0.264 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(COC)o2)[C@H](O)C1 ZINC001090417989 835981742 /nfs/dbraw/zinc/98/17/42/835981742.db2.gz WDLVOPFHTDOBFD-CHWSQXEVSA-N 0 1 294.351 0.777 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cnn(C)c2)[C@H](O)C1 ZINC001100124907 835993214 /nfs/dbraw/zinc/99/32/14/835993214.db2.gz OTIQRWQRFBCAPX-ZIAGYGMSSA-N 0 1 292.383 0.090 20 30 CCEDMN Cc1cnc(CN)n1-c1nccc(C#N)c1[N+](=O)[O-] ZINC001169027795 836105750 /nfs/dbraw/zinc/10/57/50/836105750.db2.gz JOVOWDZYOPHCTR-UHFFFAOYSA-N 0 1 258.241 0.814 20 30 CCEDMN Cc1nc(NC[C@H](C)NC(=O)Cc2nnc[nH]2)ccc1C#N ZINC001108516931 836394205 /nfs/dbraw/zinc/39/42/05/836394205.db2.gz XDJKVANRZYXMNL-VIFPVBQESA-N 0 1 299.338 0.539 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)NC(C)=O)C2 ZINC001108887351 836568170 /nfs/dbraw/zinc/56/81/70/836568170.db2.gz HRBPEHRTVVBMSD-XEZLXBQYSA-N 0 1 265.357 0.419 20 30 CCEDMN C[C@H](O)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CSCC#N)C2 ZINC001108952400 836581518 /nfs/dbraw/zinc/58/15/18/836581518.db2.gz YMKWIGFVYOLOTK-USZNOCQGSA-N 0 1 283.397 0.345 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)OC)C2 ZINC001109006308 836596564 /nfs/dbraw/zinc/59/65/64/836596564.db2.gz NOSAVJSDCJZBHL-MQYQWHSLSA-N 0 1 282.384 0.945 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCn1ccnn1)C2 ZINC001109393141 836670355 /nfs/dbraw/zinc/67/03/55/836670355.db2.gz RZVPCRUKKRRNAG-RDBSUJKOSA-N 0 1 289.383 0.966 20 30 CCEDMN N#Cc1ccc(NC[C@@H](NC(=O)c2ncn[nH]2)C2CC2)nn1 ZINC001109884652 836743295 /nfs/dbraw/zinc/74/32/95/836743295.db2.gz DWJFJWBBEVWPML-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1ccc(NC[C@@H](NC(=O)c2nc[nH]n2)C2CC2)nn1 ZINC001109884652 836743309 /nfs/dbraw/zinc/74/33/09/836743309.db2.gz DWJFJWBBEVWPML-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCCN1CCN(C(=O)CNC(=O)N2CCCC2)CC1 ZINC001112843585 836940806 /nfs/dbraw/zinc/94/08/06/836940806.db2.gz XNMXPRGQHBGVOH-UHFFFAOYSA-N 0 1 294.399 0.512 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](C)NC(=O)CC)CC1 ZINC001112880000 836950728 /nfs/dbraw/zinc/95/07/28/836950728.db2.gz JXHMYUNAJAPSHI-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCCCN1CCN(C(=O)C[C@@H]2CCNC2=O)CC1 ZINC001112960736 836979885 /nfs/dbraw/zinc/97/98/85/836979885.db2.gz PSXXSRXGTIBNBC-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C[C@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001113115032 837031212 /nfs/dbraw/zinc/03/12/12/837031212.db2.gz UHCSOUNKGBFTHI-YUMQZZPRSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001113115032 837031215 /nfs/dbraw/zinc/03/12/15/837031215.db2.gz UHCSOUNKGBFTHI-YUMQZZPRSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2CN(C)C(=O)N2)CC1 ZINC001113190993 837048651 /nfs/dbraw/zinc/04/86/51/837048651.db2.gz YQIQAJFJSLUCIG-STQMWFEESA-N 0 1 294.399 0.509 20 30 CCEDMN Cc1cc(N[C@@H](C)[C@@H](C)NC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001113356450 837095556 /nfs/dbraw/zinc/09/55/56/837095556.db2.gz HOYTVNBCFYXDPY-VHSXEESVSA-N 0 1 299.338 0.421 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001113560927 837160066 /nfs/dbraw/zinc/16/00/66/837160066.db2.gz ZKGRLDIQFNBZMF-LBPRGKRZSA-N 0 1 265.357 0.375 20 30 CCEDMN C[C@H](CNC(=O)Cc1nnc[nH]1)N(C)c1ccc(C#N)nc1 ZINC001113714758 837204121 /nfs/dbraw/zinc/20/41/21/837204121.db2.gz OAJRFRRSUHWASG-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cncc(C)c2)[C@H](O)C1 ZINC001090460637 837215273 /nfs/dbraw/zinc/21/52/73/837215273.db2.gz RYYGHEWLXCKACP-HUUCEWRRSA-N 0 1 289.379 0.670 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1CCCCO1 ZINC001157682173 837235407 /nfs/dbraw/zinc/23/54/07/837235407.db2.gz YSVOMLVDNXGACO-ZDUSSCGKSA-N 0 1 259.309 0.982 20 30 CCEDMN CN(CC#N)C[C@H]1CCCCN1C(=O)[C@@H]1CCCN1C ZINC001157710596 837279164 /nfs/dbraw/zinc/27/91/64/837279164.db2.gz ISDLAOSYGPKDGI-KGLIPLIRSA-N 0 1 278.400 0.917 20 30 CCEDMN CCCCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001113883736 837353762 /nfs/dbraw/zinc/35/37/62/837353762.db2.gz VFPVXMFVQMAKRQ-NHAGDIPZSA-N 0 1 264.369 0.873 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)N(C)c1cncc(C#N)n1 ZINC001113898051 837357699 /nfs/dbraw/zinc/35/76/99/837357699.db2.gz KAMKYEOPMUOPIB-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1COCCN1CC ZINC001130611937 837868896 /nfs/dbraw/zinc/86/88/96/837868896.db2.gz JZVFMKAGYDGUCP-LLVKDONJSA-N 0 1 275.780 0.165 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccnnc1C ZINC001130864921 837942869 /nfs/dbraw/zinc/94/28/69/837942869.db2.gz MBOYRKYWILONSA-UHFFFAOYSA-N 0 1 254.721 0.857 20 30 CCEDMN C=CCCN1CCNC(=O)CCN(C(=O)COCC)CC1 ZINC001131331345 838050963 /nfs/dbraw/zinc/05/09/63/838050963.db2.gz JQJUQWVXVHVLSZ-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CNC(=O)CCC)CC[C@H]1C ZINC001131917045 838267759 /nfs/dbraw/zinc/26/77/59/838267759.db2.gz BFBXXOQDPMDAED-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCn2ccnn2)CC[C@H]1C ZINC001131988294 838288608 /nfs/dbraw/zinc/28/86/08/838288608.db2.gz LHTQCWZBMIGSKP-ZIAGYGMSSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2ncc[nH]2)CC[C@H]1C ZINC001132024477 838293173 /nfs/dbraw/zinc/29/31/73/838293173.db2.gz GUHJQKRUMBKCIA-CHWSQXEVSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C(C)(C)C(N)=O)CC[C@@H]1C ZINC001132121024 838321115 /nfs/dbraw/zinc/32/11/15/838321115.db2.gz YMPYYPMLBMOQMG-WDEREUQCSA-N 0 1 265.357 0.100 20 30 CCEDMN C[C@H]1CC[C@H](NC(=O)CCc2c[nH]nn2)CN1CC#N ZINC001132304360 838359314 /nfs/dbraw/zinc/35/93/14/838359314.db2.gz HAOGWNAYROAKSL-JQWIXIFHSA-N 0 1 276.344 0.230 20 30 CCEDMN C[C@H]1CC[C@H](NC(=O)CCc2cnn[nH]2)CN1CC#N ZINC001132304360 838359321 /nfs/dbraw/zinc/35/93/21/838359321.db2.gz HAOGWNAYROAKSL-JQWIXIFHSA-N 0 1 276.344 0.230 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CC(=O)N(C)C)CC[C@H]1C ZINC001132352891 838364877 /nfs/dbraw/zinc/36/48/77/838364877.db2.gz BRAWICURHAFWDZ-OLZOCXBDSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)NC(C)=O)CC[C@@H]1C ZINC001132365954 838372952 /nfs/dbraw/zinc/37/29/52/838372952.db2.gz TZXZFGRHVOFVNK-GXTWGEPZSA-N 0 1 293.411 0.894 20 30 CCEDMN C=CCOCCN1C[C@H](NC(=O)c2cnon2)CC[C@@H]1C ZINC001132369531 838373901 /nfs/dbraw/zinc/37/39/01/838373901.db2.gz YLTWHMGVQXCQQQ-NWDGAFQWSA-N 0 1 294.355 0.855 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(=O)NCC2CC2)CC[C@H]1C ZINC001132402394 838385488 /nfs/dbraw/zinc/38/54/88/838385488.db2.gz JTASRBBTNXLMEJ-OCCSQVGLSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCCC(N)=O)CC[C@H]1C ZINC001132420198 838389936 /nfs/dbraw/zinc/38/99/36/838389936.db2.gz OXCOFFMECATKAF-CHWSQXEVSA-N 0 1 279.384 0.634 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1cnnn1CC ZINC001132568703 838437612 /nfs/dbraw/zinc/43/76/12/838437612.db2.gz CGMNDMSRXGXKSA-VXGBXAGGSA-N 0 1 279.388 0.962 20 30 CCEDMN C[C@@H]1CCN(C(=O)c2ccn[nH]2)C[C@H]1CNCC#N ZINC001132655547 838459254 /nfs/dbraw/zinc/45/92/54/838459254.db2.gz PFQTXDVUWPDNMU-GHMZBOCLSA-N 0 1 261.329 0.621 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NCCNCC(=O)Nc1ccon1 ZINC001132663363 838465882 /nfs/dbraw/zinc/46/58/82/838465882.db2.gz VPNJEGNUJHIJOU-NSHDSACASA-N 0 1 294.355 0.921 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCNCc1cnnn1C ZINC001132667995 838466749 /nfs/dbraw/zinc/46/67/49/838466749.db2.gz YSKFGFNNFUIKCF-NSHDSACASA-N 0 1 265.361 0.623 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001132794823 838498283 /nfs/dbraw/zinc/49/82/83/838498283.db2.gz HCUSOZDUEINFHK-NSHDSACASA-N 0 1 287.791 0.844 20 30 CCEDMN C=CCCC(=O)N[C@H](C)C[C@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001133888884 838767629 /nfs/dbraw/zinc/76/76/29/838767629.db2.gz NVNCAUVBAPNIEJ-WDEREUQCSA-N 0 1 295.387 0.448 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)CCc1nc[nH]n1)NCC#N ZINC001134044379 838841655 /nfs/dbraw/zinc/84/16/55/838841655.db2.gz XXVHIPOQIBOWNI-NXEZZACHSA-N 0 1 264.333 0.134 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)C#CC1CC1)NCc1ncnn1C ZINC001134329630 838950254 /nfs/dbraw/zinc/95/02/54/838950254.db2.gz QEDVGMZCTHKKOI-VXGBXAGGSA-N 0 1 289.383 0.601 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@@H]1CCC(=O)N1 ZINC001135222856 839182527 /nfs/dbraw/zinc/18/25/27/839182527.db2.gz MNXJXKQGGMJFTC-VIFPVBQESA-N 0 1 259.737 0.113 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc2n[nH]c(=O)n2c1 ZINC001135237452 839185654 /nfs/dbraw/zinc/18/56/54/839185654.db2.gz XLKSVMMTBYWHII-UHFFFAOYSA-N 0 1 295.730 0.507 20 30 CCEDMN C=CCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1nnnn1C ZINC001135353466 839224908 /nfs/dbraw/zinc/22/49/08/839224908.db2.gz HWVFKKMPKIWSSJ-NEPJUHHUSA-N 0 1 294.403 0.939 20 30 CCEDMN CN(Cc1ccc(N[C@@H](C#N)C(N)=O)nc1)C1CC1 ZINC001159475537 839259043 /nfs/dbraw/zinc/25/90/43/839259043.db2.gz RHAQPBNEECZMBZ-NSHDSACASA-N 0 1 259.313 0.465 20 30 CCEDMN N#Cc1ccc(F)c(CNCCNC(=O)c2cnon2)c1 ZINC001135577027 839304865 /nfs/dbraw/zinc/30/48/65/839304865.db2.gz MZVKUVMLNFARHB-UHFFFAOYSA-N 0 1 289.270 0.600 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](COC)OC)C1 ZINC001185505028 844425674 /nfs/dbraw/zinc/42/56/74/844425674.db2.gz SVXXFXRBNKHGQP-GHMZBOCLSA-N 0 1 276.764 0.591 20 30 CCEDMN C=C[C@@H]1C[C@]1(Nc1cc2c(nn1)CNC2)C(=O)OCC ZINC001170926201 839567251 /nfs/dbraw/zinc/56/72/51/839567251.db2.gz YMHKUZBBDCURSM-QMTHXVAHSA-N 0 1 274.324 1.000 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@H]2[C@@H](CCN2C(=O)C(C)C)C1 ZINC001159782455 839576665 /nfs/dbraw/zinc/57/66/65/839576665.db2.gz QXUSAQRYIWZHDC-ZENOOKHLSA-N 0 1 291.395 0.442 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(Cl)[nH]2)[C@H](O)C1 ZINC001090550227 839631004 /nfs/dbraw/zinc/63/10/04/839631004.db2.gz PEKUFSMKTAPELT-CMPLNLGQSA-N 0 1 295.770 0.856 20 30 CCEDMN CCNC(=O)CN(C)CCCN(C)C(=O)C#CC1CC1 ZINC001273508196 844455952 /nfs/dbraw/zinc/45/59/52/844455952.db2.gz WOADOWYNKAHYEM-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn(C)c2CC)[C@H](O)C1 ZINC001090576453 839653767 /nfs/dbraw/zinc/65/37/67/839653767.db2.gz MIXPVGQZHORFKR-DZGCQCFKSA-N 0 1 291.395 0.939 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C3CC3)no2)[C@H](O)C1 ZINC001090610910 839672839 /nfs/dbraw/zinc/67/28/39/839672839.db2.gz WQPKRUWVDCHLFV-DGCLKSJQSA-N 0 1 291.351 0.903 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccn(CC)n2)[C@@H](O)C1 ZINC001090620597 839684442 /nfs/dbraw/zinc/68/44/42/839684442.db2.gz MBGIWQURQJVSQG-OCCSQVGLSA-N 0 1 292.383 0.644 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccco2)[C@@H](O)C1 ZINC001090659547 839710147 /nfs/dbraw/zinc/71/01/47/839710147.db2.gz HVQIDWFJGDJAPQ-OLZOCXBDSA-N 0 1 264.325 0.560 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccco2)[C@@H](O)C1 ZINC001090666926 839720112 /nfs/dbraw/zinc/72/01/12/839720112.db2.gz XKEACHMLSIMBRE-KGLIPLIRSA-N 0 1 276.336 0.397 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(CC=C)C[C@@H]2O)cn1 ZINC001090737998 839764307 /nfs/dbraw/zinc/76/43/07/839764307.db2.gz VMDMUQCCDIUFPW-CABCVRRESA-N 0 1 285.347 0.414 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn3cc[nH]c23)[C@H](O)C1 ZINC001090764434 839786297 /nfs/dbraw/zinc/78/62/97/839786297.db2.gz RGRDYFNFVVEPJC-VXGBXAGGSA-N 0 1 289.339 0.013 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2c(C)noc2C)[C@H](O)C1 ZINC001090798926 839818738 /nfs/dbraw/zinc/81/87/38/839818738.db2.gz PUVFMQAGEPGTRM-UONOGXRCSA-N 0 1 293.367 0.571 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)onc2CC)[C@@H](O)C1 ZINC001090799129 839819416 /nfs/dbraw/zinc/81/94/16/839819416.db2.gz SLCKSTPSSQGOOQ-OLZOCXBDSA-N 0 1 293.367 0.896 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccn(C)n2)[C@@H](O)C1 ZINC001090808336 839826213 /nfs/dbraw/zinc/82/62/13/839826213.db2.gz JDQOJTAIVWFFHO-YPMHNXCESA-N 0 1 278.356 0.161 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCN1CCCC1=O)C2 ZINC001110110767 839917733 /nfs/dbraw/zinc/91/77/33/839917733.db2.gz KXGYQPLOASWTSG-RDBSUJKOSA-N 0 1 289.379 0.354 20 30 CCEDMN N#Cc1ccc(CN2CCC3(CC2)CC(=O)NC(=O)C3)nc1 ZINC001144163564 839957167 /nfs/dbraw/zinc/95/71/67/839957167.db2.gz KRYZAOIKWMDONH-UHFFFAOYSA-N 0 1 298.346 0.972 20 30 CCEDMN N#CCNC1CC(CNC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001091115099 840057379 /nfs/dbraw/zinc/05/73/79/840057379.db2.gz ACNOEKFKXJFAKI-UHFFFAOYSA-N 0 1 287.367 0.910 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)c1cccc(C#CCO)c1 ZINC000042357997 840175669 /nfs/dbraw/zinc/17/56/69/840175669.db2.gz SKBJUBDWTXWTJW-UHFFFAOYSA-N 0 1 269.304 0.992 20 30 CCEDMN COc1cccc(C[C@H](N)C(=O)Nc2c[nH]nc2C#N)c1 ZINC001144933296 840195662 /nfs/dbraw/zinc/19/56/62/840195662.db2.gz NOCJLWHYBSCGLV-NSHDSACASA-N 0 1 285.307 0.798 20 30 CCEDMN N=C(Nc1ncnc2[nH]cnc21)c1ccc(C(N)=O)cc1 ZINC001171253432 840205008 /nfs/dbraw/zinc/20/50/08/840205008.db2.gz RXAZUMSEUDJACE-UHFFFAOYSA-N 0 1 281.279 0.489 20 30 CCEDMN C[C@H](C[C@H](C)NCC#N)NC(=O)CN1CCCC1 ZINC001146340931 840290975 /nfs/dbraw/zinc/29/09/75/840290975.db2.gz UWINDNPHWQKMFI-NWDGAFQWSA-N 0 1 252.362 0.479 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)C(C)(C)F)C2)C1 ZINC001146872053 840410882 /nfs/dbraw/zinc/41/08/82/840410882.db2.gz SOPCYHCSQUFFQY-UHFFFAOYSA-N 0 1 282.359 0.919 20 30 CCEDMN C#CCCCC(=O)N1CC2(C1)CCN([C@H]1CCNC1=O)C2 ZINC001147427858 840580791 /nfs/dbraw/zinc/58/07/91/840580791.db2.gz AIDNQTMOJLIIIO-ZDUSSCGKSA-N 0 1 289.379 0.213 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](CC)NC(C)=O)C2)C1 ZINC001148321161 840782593 /nfs/dbraw/zinc/78/25/93/840782593.db2.gz PJMGBRXYIUTRML-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CN(CC(N)=O)C[C@H]2C)cc1 ZINC001092874826 841073575 /nfs/dbraw/zinc/07/35/75/841073575.db2.gz AWXHLXWQXUVWNQ-IUODEOHRSA-N 0 1 299.374 0.451 20 30 CCEDMN C=CCN(C)CCCN(C)C(=O)[C@@H](C)S(C)(=O)=O ZINC001273531288 844629703 /nfs/dbraw/zinc/62/97/03/844629703.db2.gz XQGUJVJNDPYAKV-LLVKDONJSA-N 0 1 276.402 0.386 20 30 CCEDMN N#Cc1nc(NCCNC(=O)c2ncn[nH]2)sc1Cl ZINC001093793595 841460123 /nfs/dbraw/zinc/46/01/23/841460123.db2.gz XDGDBJUJVVGRPR-UHFFFAOYSA-N 0 1 297.731 0.628 20 30 CCEDMN N#Cc1nc(NCCNC(=O)c2nc[nH]n2)sc1Cl ZINC001093793595 841460124 /nfs/dbraw/zinc/46/01/24/841460124.db2.gz XDGDBJUJVVGRPR-UHFFFAOYSA-N 0 1 297.731 0.628 20 30 CCEDMN Cc1nc(NCCNC(=O)CCc2c[nH]nn2)ccc1C#N ZINC001094129791 841540957 /nfs/dbraw/zinc/54/09/57/841540957.db2.gz LQYVPYAZBJPNFB-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN Cc1nc(NCCNC(=O)CCc2cnn[nH]2)ccc1C#N ZINC001094129791 841540962 /nfs/dbraw/zinc/54/09/62/841540962.db2.gz LQYVPYAZBJPNFB-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCCNc1nccnc1C#N ZINC001094248568 841569097 /nfs/dbraw/zinc/56/90/97/841569097.db2.gz HGTPCCFYUDOODP-GFCCVEGCSA-N 0 1 288.355 0.361 20 30 CCEDMN Cc1cc(CC(=O)NCCCNc2ccncc2C#N)[nH]n1 ZINC001094364942 841622414 /nfs/dbraw/zinc/62/24/14/841622414.db2.gz QSOLRHYWHMBESX-UHFFFAOYSA-N 0 1 298.350 0.568 20 30 CCEDMN N#Cc1cnccc1NCCCNC(=O)Cc1cnc[nH]1 ZINC001094809157 841729331 /nfs/dbraw/zinc/72/93/31/841729331.db2.gz ZAXRRZMFIYJKCB-UHFFFAOYSA-N 0 1 284.323 0.259 20 30 CCEDMN C[C@@H](CCCCNCC#N)NC(=O)c1cnn[nH]1 ZINC001171660033 841746345 /nfs/dbraw/zinc/74/63/45/841746345.db2.gz XMASYYQWTCKMBI-VIFPVBQESA-N 0 1 250.306 0.206 20 30 CCEDMN N#CCN[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCc1nc[nH]n1)C2 ZINC001094892370 841901715 /nfs/dbraw/zinc/90/17/15/841901715.db2.gz LADDFCQBSXLMCY-SRVKXCTJSA-N 0 1 288.355 0.230 20 30 CCEDMN C[C@@H](CCCC#N)N1CC(N2CCN(C)C(=O)C2)C1 ZINC001172502775 841936902 /nfs/dbraw/zinc/93/69/02/841936902.db2.gz WMVHAODEWYIRQH-LBPRGKRZSA-N 0 1 264.373 0.527 20 30 CCEDMN CCN1CC[C@H](NC(=NO)c2ccc(OC)cn2)C1 ZINC001173355738 842055908 /nfs/dbraw/zinc/05/59/08/842055908.db2.gz VDXJISQRBPZRIC-JTQLQIEISA-N 0 1 264.329 0.910 20 30 CCEDMN CCOC(=O)C[C@H]1CC[C@H]([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)C1 ZINC001173654317 842129775 /nfs/dbraw/zinc/12/97/75/842129775.db2.gz SXWPGFCQHAZZAI-ZPFDUUQYSA-N 0 1 284.356 0.934 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)cn1)C2 ZINC001095310529 842189907 /nfs/dbraw/zinc/18/99/07/842189907.db2.gz ZCEHAWAJZLAHNW-MDZLAQPJSA-N 0 1 260.341 0.941 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)N1CCCC[C@@H]1C#N ZINC001176354214 842362873 /nfs/dbraw/zinc/36/28/73/842362873.db2.gz LBXWQBVMZBKYCT-CHWSQXEVSA-N 0 1 289.339 0.362 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C[N@@H+](C)CCC)C1 ZINC001150626201 842411935 /nfs/dbraw/zinc/41/19/35/842411935.db2.gz TYRKRJDQNXXINX-HNNXBMFYSA-N 0 1 295.427 0.559 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(C(=O)Cc2c[nH]cn2)C1 ZINC001176832138 842419162 /nfs/dbraw/zinc/41/91/62/842419162.db2.gz JYBWYEWIZXHQAT-NSHDSACASA-N 0 1 292.339 0.855 20 30 CCEDMN CCOC(=O)CO/N=C(\C(=O)N(C)CC)c1cc[nH]n1 ZINC001177254249 842534723 /nfs/dbraw/zinc/53/47/23/842534723.db2.gz FOQGEDPXXFKPGQ-PTNGSMBKSA-N 0 1 282.300 0.172 20 30 CCEDMN C=CCCOCC(=O)NCCCN[C@H](C)c1nnc(C)[nH]1 ZINC001177260865 842539529 /nfs/dbraw/zinc/53/95/29/842539529.db2.gz YGSSCDNOVWCECW-LLVKDONJSA-N 0 1 295.387 0.863 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1ncccn1 ZINC001177264509 842539672 /nfs/dbraw/zinc/53/96/72/842539672.db2.gz MNCKEPBSOBTWCB-UHFFFAOYSA-N 0 1 278.356 0.665 20 30 CCEDMN C=CCCOCC(=O)NCCCN[C@@H](C)c1nncn1C ZINC001177269452 842541257 /nfs/dbraw/zinc/54/12/57/842541257.db2.gz MQYAPTWGQRNEGY-LBPRGKRZSA-N 0 1 295.387 0.565 20 30 CCEDMN CSc1n[nH]c(NC(=O)[C@H](C)C#N)c1C(N)=O ZINC001179889244 843067152 /nfs/dbraw/zinc/06/71/52/843067152.db2.gz WGDZMZCBBYHIKM-SCSAIBSYSA-N 0 1 253.287 0.329 20 30 CCEDMN CCOC(=O)[C@@H]1c2[nH]cnc2CCN1C(=O)[C@@H](C)C#N ZINC001179895994 843070309 /nfs/dbraw/zinc/07/03/09/843070309.db2.gz MXVGZTQROCVHCI-KWQFWETISA-N 0 1 276.296 0.558 20 30 CCEDMN CCOC(=O)[C@@H]1c2nc[nH]c2CCN1C(=O)C(C)C#N ZINC001179895994 843070321 /nfs/dbraw/zinc/07/03/21/843070321.db2.gz MXVGZTQROCVHCI-KWQFWETISA-N 0 1 276.296 0.558 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)CC1CCS(=O)(=O)CC1 ZINC001181539214 843516063 /nfs/dbraw/zinc/51/60/63/843516063.db2.gz JTDHQCTWPKCNHV-UHFFFAOYSA-N 0 1 282.325 0.435 20 30 CCEDMN C[C@@H](C(=O)NCc1nn[nH]n1)c1ccc(C#N)cc1 ZINC001182797753 843915537 /nfs/dbraw/zinc/91/55/37/843915537.db2.gz GIAWVIVLYRFFQJ-MRVPVSSYSA-N 0 1 256.269 0.491 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)C(O)C(F)(F)F ZINC001183200824 843983828 /nfs/dbraw/zinc/98/38/28/843983828.db2.gz IQGGFOBOZGRLAC-LURJTMIESA-N 0 1 255.192 0.145 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@](C)(O)C=C)[C@@H]2C1 ZINC001187755492 844766188 /nfs/dbraw/zinc/76/61/88/844766188.db2.gz MWKZYAUEDHVRBY-GZBFAFLISA-N 0 1 262.353 0.479 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)NC(=O)CC)[C@@H]2C1 ZINC001187991937 844814789 /nfs/dbraw/zinc/81/47/89/844814789.db2.gz ZKKYJAPFHFFOAV-FRRDWIJNSA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCO2)C1 ZINC001188739814 844965516 /nfs/dbraw/zinc/96/55/16/844965516.db2.gz ZWMUWKLSASGHMF-STQMWFEESA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)CSC)C1 ZINC001188776721 844975478 /nfs/dbraw/zinc/97/54/78/844975478.db2.gz NTJGGUHDIUOLKC-NEPJUHHUSA-N 0 1 297.424 0.020 20 30 CCEDMN CCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C#N)C2 ZINC001110403577 844989094 /nfs/dbraw/zinc/98/90/94/844989094.db2.gz URVYTVOSQBBFRH-LOWDOPEQSA-N 0 1 265.357 0.904 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCCO2)C1 ZINC001189012668 845022415 /nfs/dbraw/zinc/02/24/15/845022415.db2.gz MCGSESFYKCNLEV-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN CCO[C@@H](CC)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189196012 845073799 /nfs/dbraw/zinc/07/37/99/845073799.db2.gz GGAFNZGZFWLMSE-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ccnn2C)C1 ZINC001189233858 845085418 /nfs/dbraw/zinc/08/54/18/845085418.db2.gz VYBNUBDTUFMTKT-CYBMUJFWSA-N 0 1 290.367 0.216 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H](C)OC)C1 ZINC001189290555 845095161 /nfs/dbraw/zinc/09/51/61/845095161.db2.gz QIQWPNPRSQIKPZ-ZIAGYGMSSA-N 0 1 282.384 0.594 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COCCOC)C1 ZINC001189473185 845137929 /nfs/dbraw/zinc/13/79/29/845137929.db2.gz ZPPALUNWGYGLEO-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)n2cncn2)C1 ZINC001189695304 845192760 /nfs/dbraw/zinc/19/27/60/845192760.db2.gz HBXIFFIKDUEUAH-QWHCGFSZSA-N 0 1 275.356 0.395 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189785786 845208719 /nfs/dbraw/zinc/20/87/19/845208719.db2.gz BKSNTCIDTFYCNX-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H](OC)C2CC2)C1 ZINC001189909712 845262774 /nfs/dbraw/zinc/26/27/74/845262774.db2.gz GJHSWKVCEDDDOP-LSDHHAIUSA-N 0 1 294.395 0.594 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001190036429 845315213 /nfs/dbraw/zinc/31/52/13/845315213.db2.gz MCJWGROUHSFHDI-HNNXBMFYSA-N 0 1 274.364 0.582 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2nnc(C)[nH]2)C1 ZINC001190046914 845315074 /nfs/dbraw/zinc/31/50/74/845315074.db2.gz MORGPSCHLUJFSN-GFCCVEGCSA-N 0 1 275.356 0.212 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(OC)ncn2)C1 ZINC001190195073 845360570 /nfs/dbraw/zinc/36/05/70/845360570.db2.gz AAKSLXWXLBKLSK-GFCCVEGCSA-N 0 1 288.351 0.655 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)NC(=O)CC)C1 ZINC001190327133 845388256 /nfs/dbraw/zinc/38/82/56/845388256.db2.gz BGVBZLJDXFGZQH-STQMWFEESA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CNC(=O)C3CCC3)[C@@H]2C1 ZINC001190334800 845390057 /nfs/dbraw/zinc/39/00/57/845390057.db2.gz ZTMFCPOKNCPQMI-UONOGXRCSA-N 0 1 289.379 0.069 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc3n(n2)CCC3)C1 ZINC001190519895 845417486 /nfs/dbraw/zinc/41/74/86/845417486.db2.gz MEMFFZORWLKXTP-AWEZNQCLSA-N 0 1 286.379 0.999 20 30 CCEDMN C=CCC1(S(=O)(=O)N2CCOC[C@H]2CN(C)C)CC1 ZINC001190798215 845504795 /nfs/dbraw/zinc/50/47/95/845504795.db2.gz MOSCULHEFZUTMM-GFCCVEGCSA-N 0 1 288.413 0.687 20 30 CCEDMN CC#CC[N@H+](C)[C@H]1CCN(C(=O)[C@@H]2COCCN2CC)C1 ZINC001191060942 845571762 /nfs/dbraw/zinc/57/17/62/845571762.db2.gz HFHAXICAOJODHL-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2COCCN2CC)C1 ZINC001191060942 845571778 /nfs/dbraw/zinc/57/17/78/845571778.db2.gz HFHAXICAOJODHL-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)CN2CCCCC2=O)C1 ZINC001191119962 845587447 /nfs/dbraw/zinc/58/74/47/845587447.db2.gz RSJFJEACQXYDBJ-AWEZNQCLSA-N 0 1 291.395 0.555 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CN2CCCCC2=O)C1 ZINC001191119962 845587455 /nfs/dbraw/zinc/58/74/55/845587455.db2.gz RSJFJEACQXYDBJ-AWEZNQCLSA-N 0 1 291.395 0.555 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccnnc2C)C1 ZINC001191162739 845598637 /nfs/dbraw/zinc/59/86/37/845598637.db2.gz PSEJRFDVHAPJEM-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cccc3ncnn32)C1 ZINC001191177960 845611246 /nfs/dbraw/zinc/61/12/46/845611246.db2.gz OAQSWTGTNRUTPT-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCCOC)C1 ZINC001191328110 845634970 /nfs/dbraw/zinc/63/49/70/845634970.db2.gz JCXCMKQYNLPNIC-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN CN1CCC(C#N)(NC(=O)NCC(F)(F)F)CC1 ZINC000157992546 845717019 /nfs/dbraw/zinc/71/70/19/845717019.db2.gz GGGDBAUOOFAWGD-UHFFFAOYSA-N 0 1 264.251 0.836 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1C[N@H+](CCC2CCCC2)C[C@H]1O ZINC001191777052 845724201 /nfs/dbraw/zinc/72/42/01/845724201.db2.gz DSWCPPWGUSHHDI-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@]2(F)CCOC2)C1 ZINC001191959552 845767268 /nfs/dbraw/zinc/76/72/68/845767268.db2.gz SVJTWWHWLHQAEK-GXTWGEPZSA-N 0 1 268.332 0.671 20 30 CCEDMN Cc1nc(CNC(=O)c2cnc(C)c(C#N)c2)n[nH]1 ZINC001192301467 845823386 /nfs/dbraw/zinc/82/33/86/845823386.db2.gz SHZVEUMNAPCESO-UHFFFAOYSA-N 0 1 256.269 0.618 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@H]1O ZINC001192510920 845861366 /nfs/dbraw/zinc/86/13/66/845861366.db2.gz UTTOLJNWBCHQGU-MGPQQGTHSA-N 0 1 282.384 0.112 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@H](C)CC)C1 ZINC001192518544 845863703 /nfs/dbraw/zinc/86/37/03/845863703.db2.gz WNOSEFRIKTXRIX-JHJVBQTASA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)CC)C1 ZINC001192518544 845863710 /nfs/dbraw/zinc/86/37/10/845863710.db2.gz WNOSEFRIKTXRIX-JHJVBQTASA-N 0 1 252.358 0.607 20 30 CCEDMN N#CCS(=O)(=O)Nc1cnccc1N1CCCC1 ZINC001192951715 845933857 /nfs/dbraw/zinc/93/38/57/845933857.db2.gz OTNAZVKOCISCOR-UHFFFAOYSA-N 0 1 266.326 0.947 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cccnn2)C1 ZINC001192998569 845951844 /nfs/dbraw/zinc/95/18/44/845951844.db2.gz LFHWASLHDWHVIF-ZDUSSCGKSA-N 0 1 288.351 0.273 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1c(F)cccc1CO ZINC001193157132 846008868 /nfs/dbraw/zinc/00/88/68/846008868.db2.gz BEZPFTNWGNWXOP-ZETCQYMHSA-N 0 1 258.274 0.972 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CCO[C@H](CN2CCCC2)C1 ZINC001193139832 846003432 /nfs/dbraw/zinc/00/34/32/846003432.db2.gz NRWJFIHTWXIDPC-VXGBXAGGSA-N 0 1 287.385 0.025 20 30 CCEDMN COC(=O)c1cc(NS(=O)(=O)[C@H](C)C#N)ccc1C#N ZINC001193157591 846007702 /nfs/dbraw/zinc/00/77/02/846007702.db2.gz FOXIIWQVTXCFPE-MRVPVSSYSA-N 0 1 293.304 0.999 20 30 CCEDMN N#Cc1ncc(NS(=O)(=O)C[C@H]2CCCO2)cn1 ZINC001193209011 846019431 /nfs/dbraw/zinc/01/94/31/846019431.db2.gz YLDXPSHDXWDOAH-SECBINFHSA-N 0 1 268.298 0.269 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCOC(C)C)C[C@H]1O ZINC001193377445 846067118 /nfs/dbraw/zinc/06/71/18/846067118.db2.gz SWIGYKXRWKTHSE-ZIAGYGMSSA-N 0 1 284.400 0.929 20 30 CCEDMN CCC(CO)(CO)NC(=O)c1ccc(O)c(C#N)c1 ZINC001193512087 846101148 /nfs/dbraw/zinc/10/11/48/846101148.db2.gz KCTFORPMUFFLOU-UHFFFAOYSA-N 0 1 264.281 0.127 20 30 CCEDMN CC(C)C[C@H](NC(=O)c1ccc(O)c(C#N)c1)C(=O)NO ZINC001193519058 846103290 /nfs/dbraw/zinc/10/32/90/846103290.db2.gz OAQXHLHOBLJESS-NSHDSACASA-N 0 1 291.307 0.914 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CNC(=O)C2)ccc1O ZINC001193515762 846103392 /nfs/dbraw/zinc/10/33/92/846103392.db2.gz RPMRQCJBYZEUJO-MRVPVSSYSA-N 0 1 259.265 0.130 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@@H](O)[C@@H](CO)C2)c1O ZINC001193642771 846135462 /nfs/dbraw/zinc/13/54/62/846135462.db2.gz PFZGJKQREGAUED-ZYHUDNBSSA-N 0 1 276.292 0.079 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](OCC)C(C)C)C1 ZINC001193745464 846146517 /nfs/dbraw/zinc/14/65/17/846146517.db2.gz FYWPDQOEMFAIJG-KFWWJZLASA-N 0 1 296.411 0.622 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1ccc(F)c(O)c1F ZINC001193767901 846155410 /nfs/dbraw/zinc/15/54/10/846155410.db2.gz AUDMTPYRUKQKSG-SSDOTTSWSA-N 0 1 267.235 0.608 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CNC(=O)[C@@H](C)CC)C1 ZINC001194081473 846213710 /nfs/dbraw/zinc/21/37/10/846213710.db2.gz PXJXQOGNHSENBM-KBPBESRZSA-N 0 1 293.411 0.705 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)CCOC)C1 ZINC001194135118 846220106 /nfs/dbraw/zinc/22/01/06/846220106.db2.gz OVOICKOSAWCUPX-HUUCEWRRSA-N 0 1 296.411 0.842 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001194381630 846283685 /nfs/dbraw/zinc/28/36/85/846283685.db2.gz KIZVDIBOXZNALM-OAHLLOKOSA-N 0 1 294.395 0.762 20 30 CCEDMN CC12CC(CN3CCN(C(=O)CC#N)CC3)(C1)CO2 ZINC001194458332 846293338 /nfs/dbraw/zinc/29/33/38/846293338.db2.gz RFEOCPWUGMHMNV-UHFFFAOYSA-N 0 1 263.341 0.613 20 30 CCEDMN C=CCN1CCCN(C(=O)CCC(=O)NCC)CC1 ZINC001195261394 846488154 /nfs/dbraw/zinc/48/81/54/846488154.db2.gz ZIJAYLSHTXQXSD-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C=CCC[N@H+](CCNC(=O)OC(C)(C)C)C[C@@H](O)CO ZINC001195393324 846528009 /nfs/dbraw/zinc/52/80/09/846528009.db2.gz FTNMYKFLFIWHBN-GFCCVEGCSA-N 0 1 288.388 0.742 20 30 CCEDMN C=CCNC(=O)[C@H]1CC12CCN([C@H](CC)C(N)=O)CC2 ZINC001273703938 846600150 /nfs/dbraw/zinc/60/01/50/846600150.db2.gz LWONCIXQRCGBRK-VXGBXAGGSA-N 0 1 279.384 0.655 20 30 CCEDMN N#Cc1ccc(C(=O)NC2CN(C3COC3)C2)c(O)c1 ZINC001195768760 846615763 /nfs/dbraw/zinc/61/57/63/846615763.db2.gz QIYOBKZIAKGZQH-UHFFFAOYSA-N 0 1 273.292 0.077 20 30 CCEDMN Cn1ccnc1CCNC(=O)c1ccc(C#N)cc1O ZINC001195768687 846615920 /nfs/dbraw/zinc/61/59/20/846615920.db2.gz PQIQKJPDOCXGKU-UHFFFAOYSA-N 0 1 270.292 0.970 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@H]1O ZINC001195739930 846618889 /nfs/dbraw/zinc/61/88/89/846618889.db2.gz SWSPHGRRXKLOIP-MGPQQGTHSA-N 0 1 284.400 0.665 20 30 CCEDMN CCOc1cc(N)n(C(=O)c2ccc(C#N)cc2O)c(=N)n1 ZINC001195740746 846619845 /nfs/dbraw/zinc/61/98/45/846619845.db2.gz LCPIWNHVBGVEPN-UHFFFAOYSA-N 0 1 299.290 0.609 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@H]2O)CC1 ZINC001195905902 846647711 /nfs/dbraw/zinc/64/77/11/846647711.db2.gz FKBKVBDXTVJCSB-JHJVBQTASA-N 0 1 282.384 0.275 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN([C@@H](C)COC)C[C@H]2O)CC1 ZINC001195932567 846651562 /nfs/dbraw/zinc/65/15/62/846651562.db2.gz RPZXVMXPMVRPQZ-BFHYXJOUSA-N 0 1 296.411 0.929 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)NC(=O)COC)CC1 ZINC001195951179 846659635 /nfs/dbraw/zinc/65/96/35/846659635.db2.gz VMLVAILWDQJECI-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CN(C)C(=O)C2CC2)CC1 ZINC001196040799 846678053 /nfs/dbraw/zinc/67/80/53/846678053.db2.gz PCMXTSDWFHEKEC-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN N#C[C@@H](NC(=O)c1cc(Cl)c(F)cc1O)C(N)=O ZINC001196477946 846753289 /nfs/dbraw/zinc/75/32/89/846753289.db2.gz KLYKJOMIJNVPCZ-SSDOTTSWSA-N 0 1 271.635 0.292 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N1CCCN(CCO)CC1 ZINC001196655550 846784035 /nfs/dbraw/zinc/78/40/35/846784035.db2.gz GPPUZANDXPPMNG-HNNXBMFYSA-N 0 1 284.400 0.742 20 30 CCEDMN N#Cc1cccc(-c2cc(C(=O)NCc3nn[nH]n3)no2)c1 ZINC001196770705 846804313 /nfs/dbraw/zinc/80/43/13/846804313.db2.gz HJXNYNIJNBBXCL-UHFFFAOYSA-N 0 1 295.262 0.656 20 30 CCEDMN C=CCN1CCCN(C(=O)COCc2nncn2C)CC1 ZINC001197653737 846942265 /nfs/dbraw/zinc/94/22/65/846942265.db2.gz JHUMYKUFYMGYGC-UHFFFAOYSA-N 0 1 293.371 0.052 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)COc2ccsc2)C1 ZINC001197832971 846971183 /nfs/dbraw/zinc/97/11/83/846971183.db2.gz ARQLWRVQILCNAZ-CHWSQXEVSA-N 0 1 296.392 0.864 20 30 CCEDMN CN(C)c1ccc(C(=O)Nc2nc[nH]c2C#N)nc1 ZINC001198123398 847053613 /nfs/dbraw/zinc/05/36/13/847053613.db2.gz ALOJBGWRKCMEBS-UHFFFAOYSA-N 0 1 256.269 0.995 20 30 CCEDMN CN(C)c1cnccc1C(=O)Nc1nc[nH]c1C#N ZINC001198160877 847055735 /nfs/dbraw/zinc/05/57/35/847055735.db2.gz NQGKAUYMXFSITN-UHFFFAOYSA-N 0 1 256.269 0.995 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(CCOC)CCC2)C1 ZINC001198160993 847056011 /nfs/dbraw/zinc/05/60/11/847056011.db2.gz GTKJSWKBRKNWRP-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](OC)C2CCCC2)C1 ZINC001198224841 847067819 /nfs/dbraw/zinc/06/78/19/847067819.db2.gz CDWUUEHKJWHXIM-RBSFLKMASA-N 0 1 294.395 0.376 20 30 CCEDMN C[C@H]1CCc2c(C(=O)n3nc(N)c(C#N)c3N)[nH]nc21 ZINC001198433640 847111193 /nfs/dbraw/zinc/11/11/93/847111193.db2.gz DZNSIBYZFDNQRO-YFKPBYRVSA-N 0 1 271.284 0.380 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)[C@H](C)OC)CCCO1 ZINC001199977303 847493000 /nfs/dbraw/zinc/49/30/00/847493000.db2.gz MNAXYANWIKZUKX-RYUDHWBXSA-N 0 1 290.791 0.981 20 30 CCEDMN CC(=O)NCC(=O)NC/C=C/CNCC#Cc1ccccc1 ZINC001273804447 847533505 /nfs/dbraw/zinc/53/35/05/847533505.db2.gz ICJGFBICRIVIBC-AATRIKPKSA-N 0 1 299.374 0.436 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(Cc3nc(C)no3)C2)C1=O ZINC001273815825 847565845 /nfs/dbraw/zinc/56/58/45/847565845.db2.gz CLFKRYOEDJTABF-CQSZACIVSA-N 0 1 276.340 0.988 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2[C@H](C)COC ZINC001110551353 847586304 /nfs/dbraw/zinc/58/63/04/847586304.db2.gz WBPCGFIMEQHITB-TUVASFSCSA-N 0 1 294.395 0.783 20 30 CCEDMN C=CCN1C[C@@]2(CC[N@H+](CCOC(C)C)C2)OCC1=O ZINC001273854208 847683033 /nfs/dbraw/zinc/68/30/33/847683033.db2.gz ZTHVHOVWEMNLQB-HNNXBMFYSA-N 0 1 282.384 0.901 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1CCC(NCC#N)CC1 ZINC001200850933 847697739 /nfs/dbraw/zinc/69/77/39/847697739.db2.gz PRUNIYJPTLNEHF-CYBMUJFWSA-N 0 1 284.379 0.770 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)/C(C)=C\CC ZINC001273879977 847722785 /nfs/dbraw/zinc/72/27/85/847722785.db2.gz UPVVRUQLEZFXAV-ZLXMBHDQSA-N 0 1 277.368 0.354 20 30 CCEDMN Cc1oncc1CNC/C=C/CNC(=O)[C@@H](C)C#N ZINC001273911031 847821557 /nfs/dbraw/zinc/82/15/57/847821557.db2.gz RSOQEUBRYZGNAW-FSIBCCDJSA-N 0 1 262.313 0.905 20 30 CCEDMN C[N@H+]1CC[C@H]2[C@@H]1CCN2C(=O)c1cnccc1C#N ZINC001273942268 847893384 /nfs/dbraw/zinc/89/33/84/847893384.db2.gz IKQOXUFIXPRSQZ-STQMWFEESA-N 0 1 256.309 0.872 20 30 CCEDMN C=CCOC[C@@H](O)CNc1cc(C(=O)OC)n[nH]1 ZINC001252453813 847972342 /nfs/dbraw/zinc/97/23/42/847972342.db2.gz LAVKLMNGHLGOCE-QMMMGPOBSA-N 0 1 255.274 0.172 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)Cn1ccc(C)n1 ZINC001114324279 848014478 /nfs/dbraw/zinc/01/44/78/848014478.db2.gz VMKDIROMKVHNCW-SPWCGHHHSA-N 0 1 286.379 0.507 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)N(C)C)C[C@H]21 ZINC001114352693 848023416 /nfs/dbraw/zinc/02/34/16/848023416.db2.gz FUOOHMRWCUOFSL-CXTNEJHOSA-N 0 1 293.411 0.866 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccn(C)n1 ZINC001114354867 848024522 /nfs/dbraw/zinc/02/45/22/848024522.db2.gz AMUYPICDRBCNBX-FOLVSLTJSA-N 0 1 286.379 0.422 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CN(C)C(=O)C(C)C ZINC001114361927 848026013 /nfs/dbraw/zinc/02/60/13/848026013.db2.gz WMXGUXMPWPCFRM-NHAGDIPZSA-N 0 1 291.395 0.171 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCn1ccnn1 ZINC001114398902 848033827 /nfs/dbraw/zinc/03/38/27/848033827.db2.gz JRPOYFTXASQRJO-NHAGDIPZSA-N 0 1 287.367 0.128 20 30 CCEDMN C=CCNC(=O)N1CCC(O)=C(C(=O)OCC)CC1 ZINC001202566885 848178174 /nfs/dbraw/zinc/17/81/74/848178174.db2.gz FCWIRKAAZJQRBD-SNVBAGLBSA-N 0 1 268.313 0.726 20 30 CCEDMN C#CCN1CC[C@]2(CCCN(COCCOC)CC2)C1=O ZINC001273991090 848211408 /nfs/dbraw/zinc/21/14/08/848211408.db2.gz VRKWAVXHYZRXCH-MRXNPFEDSA-N 0 1 294.395 0.945 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cnnn1C ZINC001273991194 848213943 /nfs/dbraw/zinc/21/39/43/848213943.db2.gz OUJOCABTZHYSCV-ARJAWSKDSA-N 0 1 269.736 0.443 20 30 CCEDMN CNc1nc(C(=O)NCC#CCN(C)C)cs1 ZINC000717637321 848285467 /nfs/dbraw/zinc/28/54/67/848285467.db2.gz JKGFCVXNMYWJSX-UHFFFAOYSA-N 0 1 252.343 0.480 20 30 CCEDMN C#CC[C@@H](CO)NCc1cc(Br)nn1C ZINC000717732408 848288659 /nfs/dbraw/zinc/28/86/59/848288659.db2.gz IIHXYUHRXXCSKF-QMMMGPOBSA-N 0 1 272.146 0.656 20 30 CCEDMN CC(C)(C)NC(=O)CNC/C=C/CNC(=O)C#CC1CC1 ZINC001274017516 848305121 /nfs/dbraw/zinc/30/51/21/848305121.db2.gz TUJKVWSZOCVTJF-SNAWJCMRSA-N 0 1 291.395 0.577 20 30 CCEDMN CCOCCN1C[C@]2(CCN(CCCC#N)C2)OCC1=O ZINC001274039156 848315625 /nfs/dbraw/zinc/31/56/25/848315625.db2.gz SHJWRTWQUABMSC-OAHLLOKOSA-N 0 1 295.383 0.630 20 30 CCEDMN C=C(C)CN1CCC2(CCN(CC(N)=O)CC2)C1=O ZINC001274062375 848333608 /nfs/dbraw/zinc/33/36/08/848333608.db2.gz PHYDFWGIZVMLIT-UHFFFAOYSA-N 0 1 265.357 0.362 20 30 CCEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2CC(=O)NC ZINC001274074238 848340435 /nfs/dbraw/zinc/34/04/35/848340435.db2.gz TXSPWXFKNKFLGM-VXGBXAGGSA-N 0 1 265.357 0.374 20 30 CCEDMN C#CC[C@@H](CO)NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000717800406 848395205 /nfs/dbraw/zinc/39/52/05/848395205.db2.gz GCWIJGAQWQQUQH-QMMMGPOBSA-N 0 1 293.266 0.766 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1CCN(CC#C)CC1(C)C ZINC001274437220 848477740 /nfs/dbraw/zinc/47/77/40/848477740.db2.gz SEJVFPDARBHHGY-AWEZNQCLSA-N 0 1 276.380 0.876 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)CCCCN2Cc1c[nH]ccc1=O ZINC001274450916 848481034 /nfs/dbraw/zinc/48/10/34/848481034.db2.gz XBXPRRGTVYUQKA-KRWDZBQOSA-N 0 1 299.374 0.965 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cccc2ncnn21 ZINC001275599417 848771559 /nfs/dbraw/zinc/77/15/59/848771559.db2.gz HARRSMHXURJDIA-NSHDSACASA-N 0 1 271.324 0.413 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccc(-n2cncn2)nc1 ZINC001275615079 848775073 /nfs/dbraw/zinc/77/50/73/848775073.db2.gz OAMCOKHZFMRACN-GFCCVEGCSA-N 0 1 298.350 0.346 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)N(C)[C@H](CC)C(N)=O ZINC001275782158 848822027 /nfs/dbraw/zinc/82/20/27/848822027.db2.gz OESDBAFYPJSBOL-WDEREUQCSA-N 0 1 255.362 0.653 20 30 CCEDMN N#CCNC[C@@H]1CCCC[C@H]1NC(=O)c1[nH]ncc1F ZINC001275793983 848826074 /nfs/dbraw/zinc/82/60/74/848826074.db2.gz RAPDVIFONITYRV-GXSJLCMTSA-N 0 1 279.319 0.951 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)[N@@H+](C)[C@H]1CCCN(C)C1=O ZINC001275827708 848838286 /nfs/dbraw/zinc/83/82/86/848838286.db2.gz KXMAZLLOWMKZFG-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)N(C)[C@H]1CCCN(C)C1=O ZINC001275827708 848838293 /nfs/dbraw/zinc/83/82/93/848838293.db2.gz KXMAZLLOWMKZFG-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001275911888 848864476 /nfs/dbraw/zinc/86/44/76/848864476.db2.gz HOVNUIWPWIOZBV-KGLIPLIRSA-N 0 1 293.411 0.846 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C[C@@H]1NC(=O)c2ccccc21 ZINC001275964090 848875763 /nfs/dbraw/zinc/87/57/63/848875763.db2.gz ZHIVXEVCTWHFMS-WFASDCNBSA-N 0 1 299.374 0.931 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CC(=O)N(C)C1)C2 ZINC001095492067 848950750 /nfs/dbraw/zinc/95/07/50/848950750.db2.gz RGAMVISVHAPPJU-RNJOBUHISA-N 0 1 277.368 0.372 20 30 CCEDMN Cc1cc(N(C)CCCNC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001095608589 848998770 /nfs/dbraw/zinc/99/87/70/848998770.db2.gz IMNIXYYAIRYTGL-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN Cc1cc(N(C)CCCNC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001095608589 848998775 /nfs/dbraw/zinc/99/87/75/848998775.db2.gz IMNIXYYAIRYTGL-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)CC(N)=O)C2 ZINC001110893296 849014842 /nfs/dbraw/zinc/01/48/42/849014842.db2.gz FFQVAOKHFLAYFA-QCNOEVLYSA-N 0 1 265.357 0.405 20 30 CCEDMN COc1ccc(CN2CCN(C)[C@H](CO)C2)cc1C#N ZINC000687596158 849125547 /nfs/dbraw/zinc/12/55/47/849125547.db2.gz WOYCEVZFKJEEFO-AWEZNQCLSA-N 0 1 275.352 0.675 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(=O)NCC1CC1)C2 ZINC001111257884 849135851 /nfs/dbraw/zinc/13/58/51/849135851.db2.gz MUVHOELDLHQKQT-MCIONIFRSA-N 0 1 291.395 0.810 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cccnc1 ZINC001114533091 849236002 /nfs/dbraw/zinc/23/60/02/849236002.db2.gz VKIQJVUMVXGUJY-FOLVSLTJSA-N 0 1 269.348 0.694 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccnc(C)n1 ZINC001114606633 849309642 /nfs/dbraw/zinc/30/96/42/849309642.db2.gz HJJHDQBKRDROOT-FOLVSLTJSA-N 0 1 284.363 0.397 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC(=O)N1C)C2 ZINC001095906631 849440006 /nfs/dbraw/zinc/44/00/06/849440006.db2.gz FXJBTRVUUFISNS-MROQNXINSA-N 0 1 277.368 0.515 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C(C)(F)F ZINC001114855059 849440592 /nfs/dbraw/zinc/44/05/92/849440592.db2.gz UBVRLCPFOHWRSI-UKKRHICBSA-N 0 1 256.296 0.957 20 30 CCEDMN CO[C@]1(CNCC#Cc2ccccc2)CCS(=O)(=O)C1 ZINC000720895779 849561643 /nfs/dbraw/zinc/56/16/43/849561643.db2.gz AVQGTOAUTFEKPR-HNNXBMFYSA-N 0 1 293.388 0.831 20 30 CCEDMN C=C[C@H](O)CN1CCN(c2ccc(Cl)nn2)CC1 ZINC001253587072 849567389 /nfs/dbraw/zinc/56/73/89/849567389.db2.gz YKOKWAHNGWRXOM-JTQLQIEISA-N 0 1 268.748 0.799 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1csc(=O)[nH]1 ZINC001038620242 849671729 /nfs/dbraw/zinc/67/17/29/849671729.db2.gz JBNMYVLQGUYOHJ-SECBINFHSA-N 0 1 265.338 0.676 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001038621893 849681063 /nfs/dbraw/zinc/68/10/63/849681063.db2.gz JMFPTIMCHWSUPC-VXGBXAGGSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCN(C)C(=O)Cc1ccn[nH]1 ZINC001067061627 849740757 /nfs/dbraw/zinc/74/07/57/849740757.db2.gz KWTSVWAQWBWTKZ-NSHDSACASA-N 0 1 291.355 0.419 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001038264585 849835512 /nfs/dbraw/zinc/83/55/12/849835512.db2.gz CKGAQYAUEXGZPU-FRRDWIJNSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCN1CC#C ZINC001038365936 849865444 /nfs/dbraw/zinc/86/54/44/849865444.db2.gz DPWMTEGROCLRLQ-CABCVRRESA-N 0 1 273.380 0.298 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001038365821 849866374 /nfs/dbraw/zinc/86/63/74/849866374.db2.gz DIFUTLUGPBPHOV-YNEHKIRRSA-N 0 1 272.352 0.727 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001038431789 849894813 /nfs/dbraw/zinc/89/48/13/849894813.db2.gz MAUQWNIDAUFKEE-VXGBXAGGSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001038642873 849968028 /nfs/dbraw/zinc/96/80/28/849968028.db2.gz CRXAZZZKKHZIBS-NWDGAFQWSA-N 0 1 287.367 0.438 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001038642884 849968772 /nfs/dbraw/zinc/96/87/72/849968772.db2.gz CRXAZZZKKHZIBS-VXGBXAGGSA-N 0 1 287.367 0.438 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cccc(C(=O)NC)c1 ZINC001039038182 850133614 /nfs/dbraw/zinc/13/36/14/850133614.db2.gz XHVXAEPIWVMFJU-OAHLLOKOSA-N 0 1 299.374 0.874 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COCCO1 ZINC001039351768 850176438 /nfs/dbraw/zinc/17/64/38/850176438.db2.gz DMPLAULQGFDVMC-ILXRZTDVSA-N 0 1 292.379 0.490 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CC[C@]2(C1)CCCN(CC#N)C2 ZINC001040116423 850268413 /nfs/dbraw/zinc/26/84/13/850268413.db2.gz WLRBIOGGJRNGRY-GDBMZVCRSA-N 0 1 290.411 0.919 20 30 CCEDMN C#CCN1CCC[C@@]2(CCN(C(=O)c3cnn(C)n3)C2)C1 ZINC001040446077 850290738 /nfs/dbraw/zinc/29/07/38/850290738.db2.gz IUKSYAAKXLVKBV-OAHLLOKOSA-N 0 1 287.367 0.376 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cncnc3)C[C@H]21 ZINC001042024636 850560690 /nfs/dbraw/zinc/56/06/90/850560690.db2.gz ZAKNNOXTIOOFLN-GXTWGEPZSA-N 0 1 270.336 0.646 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3nn(C)cc3C)C[C@H]21 ZINC001042060396 850571391 /nfs/dbraw/zinc/57/13/91/850571391.db2.gz JVAUFACWPNJIRW-UONOGXRCSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3nonc3C)C[C@@H]21 ZINC001042381233 850622304 /nfs/dbraw/zinc/62/23/04/850622304.db2.gz YOGMALXNJVFABU-RYUDHWBXSA-N 0 1 274.324 0.548 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)c2cc(CC)[nH]n2)C1 ZINC001042491468 850663105 /nfs/dbraw/zinc/66/31/05/850663105.db2.gz ZAWXPFHVRLXJEN-UHFFFAOYSA-N 0 1 260.341 0.752 20 30 CCEDMN C=C(Cl)CN1CC(N(C)C(=O)Cc2ccn[nH]2)C1 ZINC001042563467 850697608 /nfs/dbraw/zinc/69/76/08/850697608.db2.gz GEYCREDBHBCISY-UHFFFAOYSA-N 0 1 268.748 0.847 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001042842347 850768806 /nfs/dbraw/zinc/76/88/06/850768806.db2.gz VDAMYEFJJFQNDH-CYBMUJFWSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001042906982 850778632 /nfs/dbraw/zinc/77/86/32/850778632.db2.gz DPIYOVLZEJLLMS-NSHDSACASA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(Cl)CN1CC(N(C)C(=O)Cc2ncn[nH]2)C1 ZINC001044162979 851027440 /nfs/dbraw/zinc/02/74/40/851027440.db2.gz KAHKWFHMEHXFKW-UHFFFAOYSA-N 0 1 269.736 0.242 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(C)(NC(C)=O)CC2)C1=O ZINC001045339940 851236609 /nfs/dbraw/zinc/23/66/09/851236609.db2.gz BPDGBFFJHONRQD-CYBMUJFWSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001046125808 851388226 /nfs/dbraw/zinc/38/82/26/851388226.db2.gz WNMVQYUXQYBXKZ-CYBMUJFWSA-N 0 1 260.297 0.010 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc(C)nn2C)C1 ZINC001046175334 851408699 /nfs/dbraw/zinc/40/86/99/851408699.db2.gz HNRQCYKVMFISSG-HNNXBMFYSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCOC2)C1 ZINC001046182628 851412786 /nfs/dbraw/zinc/41/27/86/851412786.db2.gz IIWMHTWMHPBZIQ-GXTWGEPZSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cn(C)cn2)C1 ZINC001046242746 851436976 /nfs/dbraw/zinc/43/69/76/851436976.db2.gz AIFGZIGFLOIBDE-CQSZACIVSA-N 0 1 260.341 0.638 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cnn(CC)n2)C1 ZINC001046297962 851458511 /nfs/dbraw/zinc/45/85/11/851458511.db2.gz IQHBJEYUUVGUIE-ZDUSSCGKSA-N 0 1 263.345 0.678 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001046434663 851502271 /nfs/dbraw/zinc/50/22/71/851502271.db2.gz PMDGKZOWKHYSEE-CZUORRHYSA-N 0 1 286.379 0.659 20 30 CCEDMN N#Cc1cncc(N[C@H]2C[C@@H](CNC(=O)c3cnn[nH]3)C2)n1 ZINC001046654892 851576053 /nfs/dbraw/zinc/57/60/53/851576053.db2.gz NIQJYZYSCSEDJX-DTORHVGOSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCn1cc(C(=O)N[C@]2(C)CCN(CC#CC)C2)nn1 ZINC001046682111 851582265 /nfs/dbraw/zinc/58/22/65/851582265.db2.gz VYBXOQLRZRTCGY-OAHLLOKOSA-N 0 1 287.367 0.682 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnn3cc[nH]c23)C1 ZINC001046802440 851616927 /nfs/dbraw/zinc/61/69/27/851616927.db2.gz FZHDJXHBVQSFRH-HNNXBMFYSA-N 0 1 285.351 0.880 20 30 CCEDMN C#CC[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)c2cnn[n-]2)C1 ZINC001046952251 851649203 /nfs/dbraw/zinc/64/92/03/851649203.db2.gz WPLOQMXCTXPXQO-VIFPVBQESA-N 0 1 283.282 0.125 20 30 CCEDMN N#Cc1cncc(N[C@H]2C[C@H](CNC(=O)c3cnn[nH]3)C2)n1 ZINC001047087160 851668265 /nfs/dbraw/zinc/66/82/65/851668265.db2.gz NIQJYZYSCSEDJX-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)noc2C)C1 ZINC001047300362 851704133 /nfs/dbraw/zinc/70/41/33/851704133.db2.gz WIARVLFINKXDAY-STQMWFEESA-N 0 1 293.367 0.985 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2CCOC2)C1 ZINC001047329755 851721198 /nfs/dbraw/zinc/72/11/98/851721198.db2.gz BELOPTUEIGTTNS-IHRRRGAJSA-N 0 1 282.384 0.493 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]c(C)cc2C)C1 ZINC001047431262 851762660 /nfs/dbraw/zinc/76/26/60/851762660.db2.gz QTLTXQACXHDVCZ-KBPBESRZSA-N 0 1 289.379 0.772 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cnoc2)C1 ZINC001047498948 851790981 /nfs/dbraw/zinc/79/09/81/851790981.db2.gz QTFHULOHJXCWKN-STQMWFEESA-N 0 1 279.340 0.297 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccncn1)C2 ZINC001096198457 851794459 /nfs/dbraw/zinc/79/44/59/851794459.db2.gz QPYUBLDZYLEELW-WXHSDQCUSA-N 0 1 258.325 0.998 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)[C@H]2CN(C[C@@H](C)OC)C[C@@H]2O)C1 ZINC001047519642 851799895 /nfs/dbraw/zinc/79/98/95/851799895.db2.gz KTJPZNNJIAIMGV-RDBSUJKOSA-N 0 1 296.411 0.881 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2nc(C)c[nH]2)C1 ZINC001047557678 851814888 /nfs/dbraw/zinc/81/48/88/851814888.db2.gz HEULECAAZNQUMT-RYUDHWBXSA-N 0 1 278.356 0.411 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nonc1C)C2 ZINC001096266557 851877608 /nfs/dbraw/zinc/87/76/08/851877608.db2.gz POZYZJAHMTWNFY-WXHSDQCUSA-N 0 1 276.340 0.828 20 30 CCEDMN C#CCN1C[C@@H]2CN(C(=O)c3c[nH]c(C)cc3=O)C[C@@H]2C1 ZINC001049152219 852178045 /nfs/dbraw/zinc/17/80/45/852178045.db2.gz YLGFMQFKJNFHLK-BETUJISGSA-N 0 1 285.347 0.320 20 30 CCEDMN N#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)CCc1nc[nH]n1 ZINC001049409970 852261856 /nfs/dbraw/zinc/26/18/56/852261856.db2.gz FJWRPFAPFDJHMI-RYUDHWBXSA-N 0 1 288.355 0.326 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1n[nH]c(C)c1[O-] ZINC001049422327 852268396 /nfs/dbraw/zinc/26/83/96/852268396.db2.gz HTWPHVKTXJCPPH-NWDGAFQWSA-N 0 1 288.351 0.736 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1CN(C(C)=O)C1 ZINC001049439056 852275061 /nfs/dbraw/zinc/27/50/61/852275061.db2.gz IJEMYATWEQBMJC-GJZGRUSLSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(=O)n(C)o1 ZINC001049448205 852281253 /nfs/dbraw/zinc/28/12/53/852281253.db2.gz XYKXEAGOKFRALZ-VXGBXAGGSA-N 0 1 289.335 0.290 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc(=O)n(C)o1 ZINC001049448205 852281266 /nfs/dbraw/zinc/28/12/66/852281266.db2.gz XYKXEAGOKFRALZ-VXGBXAGGSA-N 0 1 289.335 0.290 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@H]1CCCC(=O)N1 ZINC001049539435 852309162 /nfs/dbraw/zinc/30/91/62/852309162.db2.gz CMOYISNCAUURHZ-MCIONIFRSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cn(CC)nn1 ZINC001049816094 852381188 /nfs/dbraw/zinc/38/11/88/852381188.db2.gz HHZDUXFOZFBZNS-ZIAGYGMSSA-N 0 1 287.367 0.610 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCC(=O)N1 ZINC001049857065 852386987 /nfs/dbraw/zinc/38/69/87/852386987.db2.gz FUWHXSTWKZKCNX-YNEHKIRRSA-N 0 1 277.368 0.516 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)COC)[C@@H]2C1 ZINC001049940377 852401602 /nfs/dbraw/zinc/40/16/02/852401602.db2.gz RAXVCTJPSTYJFR-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C3CCOCC3)[C@@H]2C1 ZINC001049949987 852406957 /nfs/dbraw/zinc/40/69/57/852406957.db2.gz QSLUUEIZDOOQIO-LSDHHAIUSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cn(C)nc3C)[C@@H]2C1 ZINC001049997873 852417942 /nfs/dbraw/zinc/41/79/42/852417942.db2.gz AGZXBDJVVUVAOV-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1COCCN1C)C2 ZINC001096978857 852475510 /nfs/dbraw/zinc/47/55/10/852475510.db2.gz OMTBYNQMNYDYKQ-YIYPIFLZSA-N 0 1 279.384 0.225 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(CC)n1)C2 ZINC001097039882 852492320 /nfs/dbraw/zinc/49/23/20/852492320.db2.gz MZYUFXCSDFTERH-BZPMIXESSA-N 0 1 287.367 0.656 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(C)nn1)C2 ZINC001097030267 852495001 /nfs/dbraw/zinc/49/50/01/852495001.db2.gz MXXSQQBTFYWCQN-FOGDFJRCSA-N 0 1 261.329 0.336 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCNC1=O)C2 ZINC001097213281 852515006 /nfs/dbraw/zinc/51/50/06/852515006.db2.gz JQDPVKWXMAMIAW-DGAVXFQQSA-N 0 1 289.379 0.257 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3C[C@@H](C)O)c1 ZINC001097812910 852626533 /nfs/dbraw/zinc/62/65/33/852626533.db2.gz GPSKVEHNJTUPDT-MPESAESLSA-N 0 1 299.374 0.779 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CCNC1=O)C2 ZINC001097836435 852631285 /nfs/dbraw/zinc/63/12/85/852631285.db2.gz WOLKGXUWWDCXBV-MCYUEQNJSA-N 0 1 277.368 0.420 20 30 CCEDMN C[C@H](CNC(=O)CN1CCCC1)Nc1ccc(C#N)nn1 ZINC001097863451 852634708 /nfs/dbraw/zinc/63/47/08/852634708.db2.gz LSRGWQBVZPEBSB-LLVKDONJSA-N 0 1 288.355 0.361 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CCCN(CC(N)=O)CC2)C1 ZINC001052687808 852641404 /nfs/dbraw/zinc/64/14/04/852641404.db2.gz ANGPGVPVGFQAOV-GFCCVEGCSA-N 0 1 279.384 0.799 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)CN1CCC[C@H]1C)CO2 ZINC001053926633 852849172 /nfs/dbraw/zinc/84/91/72/852849172.db2.gz KFNWHHIUBOBQPD-KGLIPLIRSA-N 0 1 293.411 0.616 20 30 CCEDMN CCC(=O)NCCN1CCC(NC(=O)[C@H](C)C#N)CC1 ZINC001055473169 853074403 /nfs/dbraw/zinc/07/44/03/853074403.db2.gz MZFPUEGIFKYBJD-LLVKDONJSA-N 0 1 280.372 0.253 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](Nc3cnc(C#N)cn3)C2)[nH]1 ZINC001056592837 853176845 /nfs/dbraw/zinc/17/68/45/853176845.db2.gz LLDNPHFQUARMFZ-SNVBAGLBSA-N 0 1 297.322 0.706 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cnn(CC)n3)[C@@H]2C1 ZINC001050041721 853301070 /nfs/dbraw/zinc/30/10/70/853301070.db2.gz SYFIFNGOIPMWRE-GXTWGEPZSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCc3cnccn3)[C@@H]2C1 ZINC001050176870 853331235 /nfs/dbraw/zinc/33/12/35/853331235.db2.gz JTALJOXQMGSDIF-GOEBONIOSA-N 0 1 298.390 0.965 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]([C@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001050268390 853349217 /nfs/dbraw/zinc/34/92/17/853349217.db2.gz LBJKFOOEZWLGJX-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]([C@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001050268390 853349227 /nfs/dbraw/zinc/34/92/27/853349227.db2.gz LBJKFOOEZWLGJX-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CC2(C1)CCN(CC#N)CC2 ZINC001050458971 853379772 /nfs/dbraw/zinc/37/97/72/853379772.db2.gz FYEABFFGJCYXPS-CYBMUJFWSA-N 0 1 276.384 0.529 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)CCc3c[nH]nn3)C2)CC1 ZINC001050669398 853411093 /nfs/dbraw/zinc/41/10/93/853411093.db2.gz BCARBBZHVDYPAL-UHFFFAOYSA-N 0 1 288.355 0.185 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)CCc3cnn[nH]3)C2)CC1 ZINC001050669398 853411101 /nfs/dbraw/zinc/41/11/01/853411101.db2.gz BCARBBZHVDYPAL-UHFFFAOYSA-N 0 1 288.355 0.185 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001057594161 853436037 /nfs/dbraw/zinc/43/60/37/853436037.db2.gz OUSSSXBHGMPBPV-UWVGGRQHSA-N 0 1 299.338 0.915 20 30 CCEDMN C=CCN1CCOC[C@@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001050997545 853503960 /nfs/dbraw/zinc/50/39/60/853503960.db2.gz CBKYIXMFVISKNQ-NSHDSACASA-N 0 1 290.367 0.515 20 30 CCEDMN C#CCN1CCOC[C@H]1CNC(=O)[C@@H]1CCCCN1CC ZINC001051255548 853556099 /nfs/dbraw/zinc/55/60/99/853556099.db2.gz RTISWFGLEVQWOT-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN C=C(C)CN1CCN([C@H]2CCN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001051998511 853673274 /nfs/dbraw/zinc/67/32/74/853673274.db2.gz VDPUDFXJGLZUIT-CABCVRRESA-N 0 1 290.411 0.941 20 30 CCEDMN CCN1CCN([C@@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052026495 853677394 /nfs/dbraw/zinc/67/73/94/853677394.db2.gz PTDKTTIJHRGTOM-OAHLLOKOSA-N 0 1 275.396 0.638 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@@H]2CCNC2=O)CC1 ZINC001052622288 853770983 /nfs/dbraw/zinc/77/09/83/853770983.db2.gz QKQATLAWIRMHOL-NWDGAFQWSA-N 0 1 299.802 0.846 20 30 CCEDMN N#Cc1ccc(NCC2CC(NC(=O)c3ncn[nH]3)C2)nc1 ZINC001067859953 853862677 /nfs/dbraw/zinc/86/26/77/853862677.db2.gz COGGGTKFSPIBPT-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(NCC2CC(NC(=O)c3nc[nH]n3)C2)nc1 ZINC001067859953 853862682 /nfs/dbraw/zinc/86/26/82/853862682.db2.gz COGGGTKFSPIBPT-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)nn1 ZINC001068618269 853907681 /nfs/dbraw/zinc/90/76/81/853907681.db2.gz GPLCXKYIVFOHEG-CZMCAQCFSA-N 0 1 298.310 0.087 20 30 CCEDMN C[C@H]1C[C@@H](Nc2cnc(C#N)cn2)CN1C(=O)c1ccn[nH]1 ZINC001069016001 853939531 /nfs/dbraw/zinc/93/95/31/853939531.db2.gz AFFLNUVMIQYNLK-VHSXEESVSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2CCC2)C[C@@H]1n1ccnn1 ZINC001070118023 854027270 /nfs/dbraw/zinc/02/72/70/854027270.db2.gz OOGLRFGTRUWQKA-OLZOCXBDSA-N 0 1 273.340 0.053 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2c[nH]cc2C)C[C@@H]1n1ccnn1 ZINC001070327073 854040071 /nfs/dbraw/zinc/04/00/71/854040071.db2.gz QLFXOTMDISBVAZ-KGLIPLIRSA-N 0 1 298.350 0.203 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ccco2)C[C@H]1c1cn(C)cn1 ZINC001070459994 854061502 /nfs/dbraw/zinc/06/15/02/854061502.db2.gz VEQHKPBHKOZHMP-GXTWGEPZSA-N 0 1 298.346 0.844 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](c2cncn2C)[C@H](NCCF)C1 ZINC001070630492 854084021 /nfs/dbraw/zinc/08/40/21/854084021.db2.gz SIEJGCHXFIBART-QJPTWQEYSA-N 0 1 293.346 0.433 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)c2cccc(C#CCO)c2)n[nH]1 ZINC000392317526 854086679 /nfs/dbraw/zinc/08/66/79/854086679.db2.gz JVGBBMAEABNRMV-SNVBAGLBSA-N 0 1 284.319 0.948 20 30 CCEDMN C=CC[N@@H+]1CC[C@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@H](C)O2 ZINC001071138995 854127716 /nfs/dbraw/zinc/12/77/16/854127716.db2.gz NLMIZVCLYWUQOB-WFASDCNBSA-N 0 1 290.367 0.901 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)c1cc[nH]n1)C[C@H](C)O2 ZINC001071138995 854127732 /nfs/dbraw/zinc/12/77/32/854127732.db2.gz NLMIZVCLYWUQOB-WFASDCNBSA-N 0 1 290.367 0.901 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)c1cn[nH]c1)C[C@@H](C)O2 ZINC001071139392 854128575 /nfs/dbraw/zinc/12/85/75/854128575.db2.gz UGRBXIUZEIVXTB-DOMZBBRYSA-N 0 1 290.367 0.901 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)c1cn[nH]n1)C[C@@H](C)O2 ZINC001071183764 854134271 /nfs/dbraw/zinc/13/42/71/854134271.db2.gz SOFFCXFIJYMNJW-BXUZGUMPSA-N 0 1 291.355 0.296 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cnn(C)c2N)CC[C@H]1C ZINC001071447251 854199989 /nfs/dbraw/zinc/19/99/89/854199989.db2.gz KLCFACYNWRDOME-NEPJUHHUSA-N 0 1 289.383 0.608 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@H]1C ZINC001071497161 854222757 /nfs/dbraw/zinc/22/27/57/854222757.db2.gz CYCHKADUXZEUMG-PWSUYJOCSA-N 0 1 275.356 0.258 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001071790227 854292319 /nfs/dbraw/zinc/29/23/19/854292319.db2.gz FDFLBYVMYVNNPI-GHMZBOCLSA-N 0 1 291.355 0.277 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2cnn(C)n2)CC[C@H]1C ZINC001071890614 854314379 /nfs/dbraw/zinc/31/43/79/854314379.db2.gz OYIZPPUSCVBPGQ-VXGBXAGGSA-N 0 1 277.372 0.974 20 30 CCEDMN C#CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001071980158 854329781 /nfs/dbraw/zinc/32/97/81/854329781.db2.gz CQGPTDNZJYNXEZ-ZYHUDNBSSA-N 0 1 289.339 0.185 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CC[C@H](C)N(CCO)C2)cn1 ZINC001072001750 854333488 /nfs/dbraw/zinc/33/34/88/854333488.db2.gz GHOGAFXSWIUTER-WFASDCNBSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2ccnc2)CC[C@@H]1C ZINC001072123746 854349586 /nfs/dbraw/zinc/34/95/86/854349586.db2.gz ULEBBJJXJITZTJ-QWHCGFSZSA-N 0 1 260.341 0.485 20 30 CCEDMN CCc1cc(C(=O)N2CC3(C2)CCN(CC#N)C3)n[nH]1 ZINC001072491418 854398157 /nfs/dbraw/zinc/39/81/57/854398157.db2.gz UHSVBHCUKDVJAK-UHFFFAOYSA-N 0 1 273.340 0.644 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@@H]3CCCO3)C2)C1 ZINC001072503193 854402091 /nfs/dbraw/zinc/40/20/91/854402091.db2.gz VPFWSOQGBQQSFV-ZDUSSCGKSA-N 0 1 262.353 0.723 20 30 CCEDMN C=CCCN1CCC2(CN(C(=O)CS(C)(=O)=O)C2)C1 ZINC001072527717 854408697 /nfs/dbraw/zinc/40/86/97/854408697.db2.gz WLMHRGRSYVFCJE-UHFFFAOYSA-N 0 1 286.397 0.141 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cn3cccc(C)c3=O)C2)C1 ZINC001072732381 854453801 /nfs/dbraw/zinc/45/38/01/854453801.db2.gz HRVJOTMYSUGYIB-UHFFFAOYSA-N 0 1 299.374 0.324 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3ccn(C)n3)C2)C1 ZINC001072729201 854454612 /nfs/dbraw/zinc/45/46/12/854454612.db2.gz TUNNBRNBTUJSLM-UHFFFAOYSA-N 0 1 272.352 0.130 20 30 CCEDMN C#CC[N@@H+]1CCC2(CN(C(=O)CCc3cncnc3)C2)C1 ZINC001072904936 854491075 /nfs/dbraw/zinc/49/10/75/854491075.db2.gz UEEZPECLLCHVQU-UHFFFAOYSA-N 0 1 284.363 0.577 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCc3cncnc3)C2)C1 ZINC001072904936 854491077 /nfs/dbraw/zinc/49/10/77/854491077.db2.gz UEEZPECLLCHVQU-UHFFFAOYSA-N 0 1 284.363 0.577 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cn3nccc3C)C2)C1 ZINC001073022599 854518212 /nfs/dbraw/zinc/51/82/12/854518212.db2.gz ZBWQKVDBIXZSEU-UHFFFAOYSA-N 0 1 272.352 0.359 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccncn3)C2)C1 ZINC001073063599 854522338 /nfs/dbraw/zinc/52/23/38/854522338.db2.gz AEYWSDNAHLHZMY-UHFFFAOYSA-N 0 1 256.309 0.258 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@]3(C)CCOC3)C2)C1 ZINC001073082533 854527411 /nfs/dbraw/zinc/52/74/11/854527411.db2.gz XVTKVXYRCVRTBA-AWEZNQCLSA-N 0 1 262.353 0.581 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C2CCOCC2)C1 ZINC001073508147 854570145 /nfs/dbraw/zinc/57/01/45/854570145.db2.gz ONTIFXVFWZZKCO-OAHLLOKOSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2ccn[nH]2)C1 ZINC001073540094 854584782 /nfs/dbraw/zinc/58/47/82/854584782.db2.gz YENNICQULDMNKY-LBPRGKRZSA-N 0 1 276.340 0.254 20 30 CCEDMN C#CCN1CCCO[C@@H](CNC(=O)c2[nH]ccc2C)C1 ZINC001073591015 854605905 /nfs/dbraw/zinc/60/59/05/854605905.db2.gz BXUFTSGLGODBCL-ZDUSSCGKSA-N 0 1 275.352 0.777 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC001073651661 854624529 /nfs/dbraw/zinc/62/45/29/854624529.db2.gz BTXDQDFTZLHXTD-LLVKDONJSA-N 0 1 292.339 0.189 20 30 CCEDMN C=C(C)CN1CCCO[C@H](CNC(=O)c2cnn(C)n2)C1 ZINC001073817997 854648055 /nfs/dbraw/zinc/64/80/55/854648055.db2.gz AAICNOFUNJLFOM-GFCCVEGCSA-N 0 1 293.371 0.212 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccc(=O)[nH]c2)C1 ZINC001073878582 854657869 /nfs/dbraw/zinc/65/78/69/854657869.db2.gz PEIKOUSKEYUGBE-CYBMUJFWSA-N 0 1 291.351 0.794 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)c3[nH]nnc3C)C[C@H]21 ZINC001074311702 854709175 /nfs/dbraw/zinc/70/91/75/854709175.db2.gz ATFDYVNEHRYOJN-VXGBXAGGSA-N 0 1 291.355 0.214 20 30 CCEDMN C[C@H](CNC(=O)CCc1cnc[nH]1)Nc1cncc(C#N)n1 ZINC001098344317 854859499 /nfs/dbraw/zinc/85/94/99/854859499.db2.gz JTSPKQAULRHQFW-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCC)[C@H](O)C1 ZINC001099687405 854968420 /nfs/dbraw/zinc/96/84/20/854968420.db2.gz VEPMRZRPGMSSDE-CHWSQXEVSA-N 0 1 252.358 0.751 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCC2CC2)[C@H](O)C1 ZINC001099749057 854983219 /nfs/dbraw/zinc/98/32/19/854983219.db2.gz GNQXHECBHHYPPQ-CHWSQXEVSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCOCCCC(=O)N[C@H]1CCN(CC=C)C[C@@H]1O ZINC001099764362 854988353 /nfs/dbraw/zinc/98/83/53/854988353.db2.gz UYRWSSGRZVNRDU-KBPBESRZSA-N 0 1 282.384 0.707 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2ccoc2)[C@@H](O)C1 ZINC001099777749 854991553 /nfs/dbraw/zinc/99/15/53/854991553.db2.gz BRMTXRSATBXTKS-GJZGRUSLSA-N 0 1 290.363 0.787 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CC[N@H+](CCOC(C)C)C[C@@H]1O ZINC001099805264 854999979 /nfs/dbraw/zinc/99/99/79/854999979.db2.gz ZDBKKFIAIRZKPX-CABCVRRESA-N 0 1 296.411 0.766 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)C#CC(C)C)[C@H](O)C1 ZINC001099823299 855003951 /nfs/dbraw/zinc/00/39/51/855003951.db2.gz BFAYEAGOUNNQOT-LSDHHAIUSA-N 0 1 294.395 0.400 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001099830173 855005303 /nfs/dbraw/zinc/00/53/03/855005303.db2.gz NXLHWDKLUXVJRY-OLZOCXBDSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@H]1CCN(CCO)C[C@H]1O ZINC001099847474 855010558 /nfs/dbraw/zinc/01/05/58/855010558.db2.gz NGRUJKCRNIZTMV-UONOGXRCSA-N 0 1 282.384 0.299 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(CCOC)CC2)[C@@H](O)C1 ZINC001099874512 855016656 /nfs/dbraw/zinc/01/66/56/855016656.db2.gz MKVDYMKXGUUWAF-KBPBESRZSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COc2cc(C)on2)[C@H](O)C1 ZINC001099881625 855019090 /nfs/dbraw/zinc/01/90/90/855019090.db2.gz FPKISOJTNRZYJM-VXGBXAGGSA-N 0 1 295.339 0.099 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cncnc2)[C@@H](O)C1 ZINC001099923390 855033436 /nfs/dbraw/zinc/03/34/36/855033436.db2.gz CRRYNLCOWZXZJG-KGLIPLIRSA-N 0 1 290.367 0.147 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC(C)(C)COC)[C@@H](O)C1 ZINC001099933421 855037562 /nfs/dbraw/zinc/03/75/62/855037562.db2.gz OFCMGRKKVYMRRX-OLZOCXBDSA-N 0 1 284.400 0.787 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C2(CF)CCC2)[C@@H](O)C1 ZINC001100015243 855072757 /nfs/dbraw/zinc/07/27/57/855072757.db2.gz HSLWLRNDFFCJMJ-STQMWFEESA-N 0 1 282.359 0.701 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(CF)CCC2)[C@@H](O)C1 ZINC001100015243 855072763 /nfs/dbraw/zinc/07/27/63/855072763.db2.gz HSLWLRNDFFCJMJ-STQMWFEESA-N 0 1 282.359 0.701 20 30 CCEDMN CC(C)C#CC(=O)NCC1CC(NCc2ncnn2C)C1 ZINC001100309439 855143296 /nfs/dbraw/zinc/14/32/96/855143296.db2.gz VPQSCDDERCWZQY-UHFFFAOYSA-N 0 1 289.383 0.459 20 30 CCEDMN CN(CCNC(=O)CCc1cnc[nH]1)c1ccncc1C#N ZINC001100380112 855158175 /nfs/dbraw/zinc/15/81/75/855158175.db2.gz BXXDLJMFVCZOOE-UHFFFAOYSA-N 0 1 298.350 0.862 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)CSC)[C@H](C)C1 ZINC001101704208 855347820 /nfs/dbraw/zinc/34/78/20/855347820.db2.gz VXWLHYKPCFGDFI-VXGBXAGGSA-N 0 1 299.440 0.336 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102261354 855422239 /nfs/dbraw/zinc/42/22/39/855422239.db2.gz WYVMETGIPJDPMQ-JHJVBQTASA-N 0 1 281.400 0.758 20 30 CCEDMN CC[C@H](CNC(=O)c1ncn[nH]1)Nc1ccncc1C#N ZINC001103171105 855502429 /nfs/dbraw/zinc/50/24/29/855502429.db2.gz XBKYFFGJRPASRN-SNVBAGLBSA-N 0 1 285.311 0.114 20 30 CCEDMN CC[C@H](CNC(=O)c1nc[nH]n1)Nc1ccncc1C#N ZINC001103171105 855502434 /nfs/dbraw/zinc/50/24/34/855502434.db2.gz XBKYFFGJRPASRN-SNVBAGLBSA-N 0 1 285.311 0.114 20 30 CCEDMN CC[C@@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)cn1 ZINC001103171064 855503489 /nfs/dbraw/zinc/50/34/89/855503489.db2.gz VFOMOJILKMMIGL-JTQLQIEISA-N 0 1 285.311 0.692 20 30 CCEDMN CC[C@@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)cn1 ZINC001103171064 855503493 /nfs/dbraw/zinc/50/34/93/855503493.db2.gz VFOMOJILKMMIGL-JTQLQIEISA-N 0 1 285.311 0.692 20 30 CCEDMN CC[C@@H](CNC(=O)c1cnn[nH]1)Nc1ncccc1C#N ZINC001103371073 855514698 /nfs/dbraw/zinc/51/46/98/855514698.db2.gz HVXFCSRQUMFWDS-JTQLQIEISA-N 0 1 285.311 0.692 20 30 CCEDMN C=CCOCC(=O)N[C@]12CCC[C@H]1CN([C@@H](C)C(N)=O)C2 ZINC001111660338 855585252 /nfs/dbraw/zinc/58/52/52/855585252.db2.gz FJOFHNVKBPQQTQ-HUBLWGQQSA-N 0 1 295.383 0.034 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](COC)OC)C1 ZINC001111867504 855601571 /nfs/dbraw/zinc/60/15/71/855601571.db2.gz MGSCVJSEKZQRAW-DZKIICNBSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](C)OCCOC)C1 ZINC001111889834 855601978 /nfs/dbraw/zinc/60/19/78/855601978.db2.gz GEGKHIRTBLVYRM-YCPHGPKFSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](OC)C(F)(F)F ZINC001115084196 855640606 /nfs/dbraw/zinc/64/06/06/855640606.db2.gz ZBSBSNYBTCISAQ-KLBPJQLPSA-N 0 1 290.285 0.633 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)CCOC ZINC001115262390 855656337 /nfs/dbraw/zinc/65/63/37/855656337.db2.gz BCFDNJDDQZXPFC-KBXIAJHMSA-N 0 1 294.395 0.355 20 30 CCEDMN C=C[C@H](COC)NC(=O)CCSc1nnc(C)[nH]1 ZINC001116649023 855805093 /nfs/dbraw/zinc/80/50/93/855805093.db2.gz KLWYKJZUOUMINQ-SECBINFHSA-N 0 1 270.358 0.913 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC001116705241 855815923 /nfs/dbraw/zinc/81/59/23/855815923.db2.gz AIGIPUDTUMEIMI-OLZOCXBDSA-N 0 1 299.415 0.674 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C2CCC(O)CC2)CC1 ZINC001116869986 855852491 /nfs/dbraw/zinc/85/24/91/855852491.db2.gz LGPVRGDLXNQUNX-UHFFFAOYSA-N 0 1 265.357 0.642 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NCCN1CC=CCC1 ZINC001116879660 855855602 /nfs/dbraw/zinc/85/56/02/855855602.db2.gz JJQDGHZRNQBDTC-LBPRGKRZSA-N 0 1 253.346 0.749 20 30 CCEDMN CCN1CCCN(C(=O)C(=O)N2CC[C@](F)(C#N)C2)CC1 ZINC001117835159 856087305 /nfs/dbraw/zinc/08/73/05/856087305.db2.gz OFEMKQSEWBIYFI-AWEZNQCLSA-N 0 1 296.346 0.005 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001118261652 856229955 /nfs/dbraw/zinc/22/99/55/856229955.db2.gz ALPHCTLBUUDVDD-LLVKDONJSA-N 0 1 280.328 0.816 20 30 CCEDMN Cn1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)c1C(F)F ZINC001118264979 856232570 /nfs/dbraw/zinc/23/25/70/856232570.db2.gz QCCWCRLLYGOEOV-SVRRBLITSA-N 0 1 269.255 0.199 20 30 CCEDMN CC#CCN(C)C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001118373210 856278238 /nfs/dbraw/zinc/27/82/38/856278238.db2.gz FTQDDMNDSMTOGA-SNVBAGLBSA-N 0 1 277.328 0.821 20 30 CCEDMN C=CC[C@H](NC(=O)[C@H]1CCCc2n[nH]nc21)C(=O)OCC ZINC001118694820 856398518 /nfs/dbraw/zinc/39/85/18/856398518.db2.gz XGLKYIPHFHHREL-ONGXEEELSA-N 0 1 292.339 0.849 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCc1n[nH]c(COC)n1 ZINC001118828794 856455497 /nfs/dbraw/zinc/45/54/97/856455497.db2.gz BLCYEJIZSHSQSD-BDAKNGLRSA-N 0 1 266.301 0.158 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCc1nnc(COC)[nH]1 ZINC001118828794 856455502 /nfs/dbraw/zinc/45/55/02/856455502.db2.gz BLCYEJIZSHSQSD-BDAKNGLRSA-N 0 1 266.301 0.158 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)N(C)Cc1nnc[nH]1 ZINC001118872068 856474313 /nfs/dbraw/zinc/47/43/13/856474313.db2.gz GAVRDLQHXQIZCS-SNVBAGLBSA-N 0 1 265.317 0.375 20 30 CCEDMN C#CCCCNC(=O)C(=O)NC[C@@H]1Cc2ccccc2CN1 ZINC001119415011 856671807 /nfs/dbraw/zinc/67/18/07/856671807.db2.gz NXWXRXUHRDPTJL-HNNXBMFYSA-N 0 1 299.374 0.347 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC001119961344 856936671 /nfs/dbraw/zinc/93/66/71/856936671.db2.gz CDOLCUAEQZGWCJ-CQSZACIVSA-N 0 1 294.355 0.420 20 30 CCEDMN COc1ncccc1CNC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001392889729 912235541 /nfs/dbraw/zinc/23/55/41/912235541.db2.gz NYCJFPXUIJPBEJ-LLVKDONJSA-N 0 1 288.351 0.988 20 30 CCEDMN C=CCN1CC[C@H](NC2(CNC(=O)C3CC3)CC2)C1=O ZINC001323369771 912301980 /nfs/dbraw/zinc/30/19/80/912301980.db2.gz DEVSVFQPNCTSFP-LBPRGKRZSA-N 0 1 277.368 0.422 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)[C@H]2CCCO2)CC1 ZINC001323385824 912313786 /nfs/dbraw/zinc/31/37/86/912313786.db2.gz XQKWPRSITOJIBW-NWDGAFQWSA-N 0 1 295.383 0.095 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCC1(N[C@@H]2CCNC2=O)CC1 ZINC001323395749 912315674 /nfs/dbraw/zinc/31/56/74/912315674.db2.gz AONNHCFYGXZQCG-VXGBXAGGSA-N 0 1 295.383 0.095 20 30 CCEDMN N#CCNC1(CNC(=O)c2[nH]nc3c2CCCC3)CC1 ZINC001323481160 912367751 /nfs/dbraw/zinc/36/77/51/912367751.db2.gz FYHCHHUDJUJPKC-UHFFFAOYSA-N 0 1 273.340 0.664 20 30 CCEDMN C[C@@H]1CN(C2CC2)C[C@@H]1NC(=O)C(=O)NCCCC#N ZINC001323549761 912407278 /nfs/dbraw/zinc/40/72/78/912407278.db2.gz FUKWXGJUZDRHDE-PWSUYJOCSA-N 0 1 278.356 0.005 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@](C)(CNCc2cnon2)C1 ZINC001393144123 912418726 /nfs/dbraw/zinc/41/87/26/912418726.db2.gz LSZDGBOPJVAYLW-ZWNOBZJWSA-N 0 1 277.328 0.557 20 30 CCEDMN CC1(CCC(=O)NCC2(NCC(=O)NCC#N)CC2)CC1 ZINC001323583427 912426475 /nfs/dbraw/zinc/42/64/75/912426475.db2.gz VPSZYZBMBWFKIS-UHFFFAOYSA-N 0 1 292.383 0.445 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC001323626910 912453938 /nfs/dbraw/zinc/45/39/38/912453938.db2.gz QMZLJXLMOUGLPG-GFCCVEGCSA-N 0 1 295.383 0.048 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(C(C)(C)C)CC1 ZINC001323730346 912496521 /nfs/dbraw/zinc/49/65/21/912496521.db2.gz QOIIACWBSCYDOV-UHFFFAOYSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@]2(CCN(C(=O)CCC)C2)C1 ZINC001323836756 912540215 /nfs/dbraw/zinc/54/02/15/912540215.db2.gz WONPQJKYLDTVRR-MRXNPFEDSA-N 0 1 291.395 0.460 20 30 CCEDMN CC(=NNc1ccc(-n2ccnc2)nn1)c1cc(CO)on1 ZINC001324014229 912629818 /nfs/dbraw/zinc/62/98/18/912629818.db2.gz JSVHDDYVWFYBSD-UHFFFAOYSA-N 0 1 299.294 0.979 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)Cc2cc[nH]n2)CCO1 ZINC001393579749 912661013 /nfs/dbraw/zinc/66/10/13/912661013.db2.gz KJYQLXZBRRXGLH-LBPRGKRZSA-N 0 1 298.774 0.522 20 30 CCEDMN CCc1cc(CNC(=O)C(=O)NCCCC#N)n[nH]1 ZINC001324560748 912906986 /nfs/dbraw/zinc/90/69/86/912906986.db2.gz CDLMIIQPFUVUIJ-UHFFFAOYSA-N 0 1 263.301 0.008 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)COCC(F)F)C1 ZINC001324633402 912956267 /nfs/dbraw/zinc/95/62/67/912956267.db2.gz SRXKVDHIMNBOTQ-LBPRGKRZSA-N 0 1 260.284 0.482 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2ccccn2)C1 ZINC001325053775 913172201 /nfs/dbraw/zinc/17/22/01/913172201.db2.gz KHUPJXCJJPUGSH-HNNXBMFYSA-N 0 1 273.336 0.272 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)CCOCC(C)C)C1 ZINC001325066679 913179969 /nfs/dbraw/zinc/17/99/69/913179969.db2.gz HHTQISMVCRKEMD-MRXNPFEDSA-N 0 1 296.411 0.625 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C[C@H](C)SC)C1 ZINC001325081165 913196333 /nfs/dbraw/zinc/19/63/33/913196333.db2.gz GMRZAYFYNKFWAQ-JSGCOSHPSA-N 0 1 284.425 0.704 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1cnnn1C ZINC001394479564 913209785 /nfs/dbraw/zinc/20/97/85/913209785.db2.gz NTVMPNWYVRHRNF-VIFPVBQESA-N 0 1 271.752 0.618 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCn1cnnn1 ZINC001394542625 913242729 /nfs/dbraw/zinc/24/27/29/913242729.db2.gz LITNVKGBNNOCKR-SNVBAGLBSA-N 0 1 286.767 0.252 20 30 CCEDMN CCC(=O)NC[C@H](CO)NCc1ccc(F)c(C#N)c1 ZINC001394565120 913265957 /nfs/dbraw/zinc/26/59/57/913265957.db2.gz YAUIMNWZLYLZMN-GFCCVEGCSA-N 0 1 279.315 0.674 20 30 CCEDMN N#CCc1cccc(N2CCN(CCCO)CC2)n1 ZINC001325709792 913537310 /nfs/dbraw/zinc/53/73/10/913537310.db2.gz GQIWNZLSUIGKRZ-UHFFFAOYSA-N 0 1 260.341 0.652 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H]2CN(CC(=O)N(C)C)C[C@H]2C1 ZINC001325738051 913553375 /nfs/dbraw/zinc/55/33/75/913553375.db2.gz XXAUWNSHAQBXPG-OKILXGFUSA-N 0 1 291.395 0.124 20 30 CCEDMN C#CCOCCC(=O)N1C[C@H]2CN(CCF)C[C@H]2C1 ZINC001325737499 913554861 /nfs/dbraw/zinc/55/48/61/913554861.db2.gz WDSKQBZYURMIKT-BETUJISGSA-N 0 1 268.332 0.386 20 30 CCEDMN C=CC[C@H]1CCN1C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000890550016 891416897 /nfs/dbraw/zinc/41/68/97/891416897.db2.gz RXQIKTADDUFJAB-QWHCGFSZSA-N 0 1 250.342 0.884 20 30 CCEDMN CC#CCN(CCNC(=O)[C@@H]1CCN(C)C1=O)C1CC1 ZINC001493403179 891437052 /nfs/dbraw/zinc/43/70/52/891437052.db2.gz XCHHFTRJHBYCNL-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001347196565 891549968 /nfs/dbraw/zinc/54/99/68/891549968.db2.gz FPHNSVRGLXTRNU-ZJUUUORDSA-N 0 1 265.317 0.497 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnn(C)c1-n1cccc1 ZINC001480897351 891709282 /nfs/dbraw/zinc/70/92/82/891709282.db2.gz DWSVPKRGYCRPMO-UHFFFAOYSA-N 0 1 299.378 0.896 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H](OC)C(C)C ZINC001480907403 891738704 /nfs/dbraw/zinc/73/87/04/891738704.db2.gz UCBXQKHCPBARSO-CYBMUJFWSA-N 0 1 270.373 0.355 20 30 CCEDMN C#CCN(C)CCNC(=O)CC(F)(F)C(F)F ZINC001480914167 891765182 /nfs/dbraw/zinc/76/51/82/891765182.db2.gz URDHXCKTGQPNJW-UHFFFAOYSA-N 0 1 254.227 0.958 20 30 CCEDMN CC#CCN(C)CCNC(=O)C1(C)CCOCC1 ZINC001480924800 891834045 /nfs/dbraw/zinc/83/40/45/891834045.db2.gz YULYZNAUGVABGT-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)CCn2ccnc2)C1 ZINC001325848130 913606182 /nfs/dbraw/zinc/60/61/82/913606182.db2.gz MRHOSEMSPUVNTD-HNNXBMFYSA-N 0 1 292.383 0.402 20 30 CCEDMN CCOCC(=O)N1CCC[C@H]2[C@H]1CCN2CC#CCOC ZINC001325871876 913619784 /nfs/dbraw/zinc/61/97/84/913619784.db2.gz AIWIYKITVLWSML-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccc(-n2cnnn2)cc1 ZINC001481015945 892056521 /nfs/dbraw/zinc/05/65/21/892056521.db2.gz UZDAXRAWOMDVBV-UHFFFAOYSA-N 0 1 298.350 0.347 20 30 CCEDMN Cc1ncc(CNC[C@H](O)CN(C)CCC#N)o1 ZINC001325881805 913624657 /nfs/dbraw/zinc/62/46/57/913624657.db2.gz YMNJMPANXUUDMB-NSHDSACASA-N 0 1 252.318 0.279 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](CNC(=O)CCC=C)C1 ZINC001481082179 892141130 /nfs/dbraw/zinc/14/11/30/892141130.db2.gz FSJVXOZFIXZMBB-ZIAGYGMSSA-N 0 1 291.395 0.529 20 30 CCEDMN C#CCN(CCNC(=O)c1ccnn1CC(F)F)C1CC1 ZINC001481170018 892267690 /nfs/dbraw/zinc/26/76/90/892267690.db2.gz AFFAEIXFDGHPMR-UHFFFAOYSA-N 0 1 296.321 0.976 20 30 CCEDMN Cc1nc([C@@H](C)NCCN(C)C(=O)C#CC2CC2)n[nH]1 ZINC001481229843 892401652 /nfs/dbraw/zinc/40/16/52/892401652.db2.gz ZJPZHTOEWQSATG-SNVBAGLBSA-N 0 1 275.356 0.636 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCNCc1oc(C)nc1C ZINC001481229937 892402150 /nfs/dbraw/zinc/40/21/50/892402150.db2.gz ZZMDHACVIAALIG-GFCCVEGCSA-N 0 1 293.367 0.878 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2cocn2)CC1 ZINC001481467225 892709753 /nfs/dbraw/zinc/70/97/53/892709753.db2.gz ZCIACWFRLXLDQZ-UHFFFAOYSA-N 0 1 278.356 0.550 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC(=O)NCC(F)(F)F)C1 ZINC001481694545 893027535 /nfs/dbraw/zinc/02/75/35/893027535.db2.gz GCNPWSSVXCWVOJ-VIFPVBQESA-N 0 1 293.289 0.432 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN(CCc2cnn(C)c2)C1 ZINC001481699258 893038113 /nfs/dbraw/zinc/03/81/13/893038113.db2.gz MDGDFQXXXCYOMH-CQSZACIVSA-N 0 1 292.383 0.356 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H](C)NC(=O)c2ccoc2)C1 ZINC001481702771 893044843 /nfs/dbraw/zinc/04/48/43/893044843.db2.gz XANSJBGYYYGZMZ-WCQYABFASA-N 0 1 291.351 0.774 20 30 CCEDMN Cc1cc(C[C@H](C)NS(=O)(=O)N(C)CCC#N)n[nH]1 ZINC001414267624 893715836 /nfs/dbraw/zinc/71/58/36/893715836.db2.gz BZFHRAYPWCOQSM-JTQLQIEISA-N 0 1 285.373 0.329 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCN(C)CCN1CCNC1=O ZINC001496698345 893862025 /nfs/dbraw/zinc/86/20/25/893862025.db2.gz AJQCADNRAMQMHA-UHFFFAOYSA-N 0 1 294.399 0.061 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)COCc1ncc(C)o1 ZINC001482612145 894407535 /nfs/dbraw/zinc/40/75/35/894407535.db2.gz RWSOCXQFEWHSFS-UHFFFAOYSA-N 0 1 293.367 0.913 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001482652261 894451784 /nfs/dbraw/zinc/45/17/84/894451784.db2.gz UWJCXOADNATWCE-LBPRGKRZSA-N 0 1 274.368 0.538 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](O)CN[C@@H](C)c1cnccn1 ZINC001482735557 894537616 /nfs/dbraw/zinc/53/76/16/894537616.db2.gz ZXPFBHAZAYLGKC-QWHCGFSZSA-N 0 1 292.383 0.961 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CC1(O)CCC1 ZINC001482729432 894549616 /nfs/dbraw/zinc/54/96/16/894549616.db2.gz RCIFANRQNNKHIK-JTQLQIEISA-N 0 1 276.764 0.111 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)CCNC(=O)NC ZINC001482922831 894697612 /nfs/dbraw/zinc/69/76/12/894697612.db2.gz BQTFDICYWRVPPY-UHFFFAOYSA-N 0 1 290.795 0.496 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)c1ccc[nH]1 ZINC001482981183 894754334 /nfs/dbraw/zinc/75/43/34/894754334.db2.gz OBVUMGCRRKCCEI-ARJAWSKDSA-N 0 1 276.340 0.193 20 30 CCEDMN C[C@H](NCCNC(=O)C#CC1CC1)c1cnccn1 ZINC001126875427 894942447 /nfs/dbraw/zinc/94/24/47/894942447.db2.gz GMDZOCYZDZHVCY-NSHDSACASA-N 0 1 258.325 0.657 20 30 CCEDMN CNc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC001326375148 913921623 /nfs/dbraw/zinc/92/16/23/913921623.db2.gz HILQTFUYTLMCEL-QPUJVOFHSA-N 0 1 289.295 0.478 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CN1CCCCC1=O ZINC001483243133 895372483 /nfs/dbraw/zinc/37/24/83/895372483.db2.gz JDPXWUASWREQOY-NSHDSACASA-N 0 1 287.791 0.846 20 30 CCEDMN CC[C@H](C(N)=O)N(C)C[C@H](C)NC(=O)C#CC1CC1 ZINC001483336175 895472831 /nfs/dbraw/zinc/47/28/31/895472831.db2.gz KPBIBGMRZSLZBR-CMPLNLGQSA-N 0 1 265.357 0.100 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)Cc1cnn(C)c1C ZINC001483345848 895483986 /nfs/dbraw/zinc/48/39/86/895483986.db2.gz JKFMKRNMFKZHQQ-LLVKDONJSA-N 0 1 262.357 0.341 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@]23CCC[C@H]2OCC3)[C@@H](O)C1 ZINC001083634300 895492252 /nfs/dbraw/zinc/49/22/52/895492252.db2.gz KWFSAJHXHGGTBA-CTASWTNQSA-N 0 1 294.395 0.683 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1ccnnc1C ZINC001483355938 895495241 /nfs/dbraw/zinc/49/52/41/895495241.db2.gz IJIGBRJZOUCVQI-GFCCVEGCSA-N 0 1 290.367 0.485 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCOC[C@H]1CCCO1 ZINC001483355780 895495692 /nfs/dbraw/zinc/49/56/92/895495692.db2.gz HDQUGYMAGKBABQ-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001483425921 895558400 /nfs/dbraw/zinc/55/84/00/895558400.db2.gz KNQNBTQNBIRQLS-SWLSCSKDSA-N 0 1 279.384 0.505 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CCn1cccc1 ZINC001497081317 895649403 /nfs/dbraw/zinc/64/94/03/895649403.db2.gz QXGYYHATFDLEMO-LBPRGKRZSA-N 0 1 285.775 0.697 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2coc(OC)n2)[C@@H](O)C1 ZINC001083674089 895679785 /nfs/dbraw/zinc/67/97/85/895679785.db2.gz MKKXNLTYGXWUHA-KOLCDFICSA-N 0 1 281.312 0.034 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H](C)n1cccn1 ZINC001483632302 895753711 /nfs/dbraw/zinc/75/37/11/895753711.db2.gz MCHQWTJSVDICJN-QWHCGFSZSA-N 0 1 260.341 0.658 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H]1CCN1Cc1n[nH]c(C)n1 ZINC001483708466 895888114 /nfs/dbraw/zinc/88/81/14/895888114.db2.gz XGKLJWRBZOITEE-LBPRGKRZSA-N 0 1 293.371 0.396 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C=C2CCC2)CC1 ZINC001483726373 895924534 /nfs/dbraw/zinc/92/45/34/895924534.db2.gz UIYXNGSKYIMYDL-UHFFFAOYSA-N 0 1 277.368 0.637 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C2(C3CC3)CC2)CC1 ZINC001483743036 895976283 /nfs/dbraw/zinc/97/62/83/895976283.db2.gz POCZJQZMBLHQDN-UHFFFAOYSA-N 0 1 291.395 0.717 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)Cc2nnc(C)[nH]2)C1 ZINC001483863219 896086267 /nfs/dbraw/zinc/08/62/67/896086267.db2.gz QMGIEIRLFFCCLD-UHFFFAOYSA-N 0 1 277.372 0.764 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001484047385 896174182 /nfs/dbraw/zinc/17/41/82/896174182.db2.gz BEMCVTVAVSDYDC-BBRMVZONSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)COCCCOC)C1 ZINC001484064923 896182876 /nfs/dbraw/zinc/18/28/76/896182876.db2.gz PIFWICFEXCHPJN-AWEZNQCLSA-N 0 1 268.357 0.253 20 30 CCEDMN C[C@@]1(CNCC#N)CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001484097697 896195024 /nfs/dbraw/zinc/19/50/24/896195024.db2.gz DWORJEFGIWROMU-JMSVASOKSA-N 0 1 287.367 0.865 20 30 CCEDMN C=CC[NH2+]C1(CNC(=O)c2ncccc2[O-])CCOCC1 ZINC001484183031 896225707 /nfs/dbraw/zinc/22/57/07/896225707.db2.gz TXIBQKCTAPKNJX-UHFFFAOYSA-N 0 1 291.351 0.842 20 30 CCEDMN C=CCCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(CC(N)=O)C2 ZINC001484317260 896338244 /nfs/dbraw/zinc/33/82/44/896338244.db2.gz DKLZKLUXESSZPP-AVGNSLFASA-N 0 1 279.384 0.797 20 30 CCEDMN C#CCCCCC(=O)N[C@]1(CO)CCCN(CCO)C1 ZINC001484434278 896408031 /nfs/dbraw/zinc/40/80/31/896408031.db2.gz OYLSKCHZQCXTAF-OAHLLOKOSA-N 0 1 282.384 0.115 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1ccncn1 ZINC001484497405 896444344 /nfs/dbraw/zinc/44/43/44/896444344.db2.gz RJZIITNRNXPPPJ-UHFFFAOYSA-N 0 1 284.747 0.253 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@]2(C1)CCCN(C(C)=O)C2 ZINC001484826992 896614292 /nfs/dbraw/zinc/61/42/92/896614292.db2.gz YQAXPMVJMBCSCL-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN([C@H](C)C(N)=O)CC1(C)C ZINC001484935556 896671761 /nfs/dbraw/zinc/67/17/61/896671761.db2.gz WBFKCNMJJFVICE-OLZOCXBDSA-N 0 1 293.411 0.880 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C(=O)NCC1CC1 ZINC001485073657 896755968 /nfs/dbraw/zinc/75/59/68/896755968.db2.gz UPGYONAHZWCNDE-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)Cn1nccc1C ZINC001485071485 896756295 /nfs/dbraw/zinc/75/62/95/896756295.db2.gz QMMSGUAUFBUJMF-AWEZNQCLSA-N 0 1 274.368 0.748 20 30 CCEDMN Cc1n[nH]c(C(=O)NC2CC(CNCC#N)C2)c1C ZINC001485086651 896762127 /nfs/dbraw/zinc/76/21/27/896762127.db2.gz ASFZRIFWUMCGIQ-UHFFFAOYSA-N 0 1 261.329 0.648 20 30 CCEDMN C#CC[N@H+](C)[C@@H](C)CNC(=O)c1cccc(=O)n1C ZINC001485350340 896981759 /nfs/dbraw/zinc/98/17/59/896981759.db2.gz NJLMMZHNNIROBV-NSHDSACASA-N 0 1 261.325 0.069 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cccc(=O)n1C ZINC001485350340 896981766 /nfs/dbraw/zinc/98/17/66/896981766.db2.gz NJLMMZHNNIROBV-NSHDSACASA-N 0 1 261.325 0.069 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001485526248 897108934 /nfs/dbraw/zinc/10/89/34/897108934.db2.gz IBASKTUEEDYVKE-JQWIXIFHSA-N 0 1 265.357 0.278 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C(=O)NCC2CC2)C1 ZINC001485531353 897109796 /nfs/dbraw/zinc/10/97/96/897109796.db2.gz SGXXOJJEECYVFY-SNVBAGLBSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001077740893 897388486 /nfs/dbraw/zinc/38/84/86/897388486.db2.gz HNORYPVIDJOJTB-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C[C@H](CNc1ncccc1C#N)NC(=O)c1ncn[nH]1 ZINC001107894602 897453406 /nfs/dbraw/zinc/45/34/06/897453406.db2.gz SICMHZVGFZGLGR-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C[C@H](CNc1ncccc1C#N)NC(=O)c1nc[nH]n1 ZINC001107894602 897453410 /nfs/dbraw/zinc/45/34/10/897453410.db2.gz SICMHZVGFZGLGR-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C=CCCOCC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001326781076 914164671 /nfs/dbraw/zinc/16/46/71/914164671.db2.gz KVXZPZOOQCTUBX-SNVBAGLBSA-N 0 1 280.328 0.865 20 30 CCEDMN C#CCN1C(=O)C[C@@]2(CCCN(Cc3ccon3)C2)C1=O ZINC001272756549 897536875 /nfs/dbraw/zinc/53/68/75/897536875.db2.gz GGQRRUYRYIFMSA-OAHLLOKOSA-N 0 1 287.319 0.649 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccncn1 ZINC001032428377 897549162 /nfs/dbraw/zinc/54/91/62/897549162.db2.gz DJKOWVJZUYLRSB-GJZGRUSLSA-N 0 1 284.363 0.718 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001032433488 897569036 /nfs/dbraw/zinc/56/90/36/897569036.db2.gz OGJYIQLTQLZNGB-RDBSUJKOSA-N 0 1 289.379 0.163 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCN(C)C(=O)C1 ZINC001032483637 897637349 /nfs/dbraw/zinc/63/73/49/897637349.db2.gz GEPQCILETAURNQ-IHRRRGAJSA-N 0 1 291.395 0.716 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)Cn2nccn2)C1 ZINC001107971940 897736045 /nfs/dbraw/zinc/73/60/45/897736045.db2.gz CHHUBDWSVULNGM-AWEZNQCLSA-N 0 1 293.371 0.061 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCc2cnc[nH]2)[C@H](OC)C1 ZINC001212385912 897784734 /nfs/dbraw/zinc/78/47/34/897784734.db2.gz WGEGVLHGTVOUGW-ZIAGYGMSSA-N 0 1 290.367 0.181 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](OC)C(C)C ZINC001032604902 897815397 /nfs/dbraw/zinc/81/53/97/897815397.db2.gz NIEKNLDXBDZFFJ-IHRRRGAJSA-N 0 1 264.369 0.966 20 30 CCEDMN C#CCNCc1cccc(N2CC[C@H](NC(=O)C3CC3)C2)n1 ZINC001128070054 897946674 /nfs/dbraw/zinc/94/66/74/897946674.db2.gz CYMDJJNLDUTAEE-HNNXBMFYSA-N 0 1 298.390 0.909 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CC2(CN(CCn3ccnc3)C2)C1 ZINC001272886463 898124303 /nfs/dbraw/zinc/12/43/03/898124303.db2.gz MOECRAJRRBZBSY-CHWSQXEVSA-N 0 1 285.351 0.187 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C3CC3)cn2)C1 ZINC001078101601 898234082 /nfs/dbraw/zinc/23/40/82/898234082.db2.gz QDYMWYTVXXFAJN-HZPDHXFCSA-N 0 1 299.374 0.757 20 30 CCEDMN C#CCN1CCO[C@](C)(CNC(=O)[C@H]2CCCCN2C)C1 ZINC001108030816 898245375 /nfs/dbraw/zinc/24/53/75/898245375.db2.gz POCUVWBXWTVBBO-GDBMZVCRSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc3c2CCC3)C1 ZINC001078262320 898324325 /nfs/dbraw/zinc/32/43/25/898324325.db2.gz ORIKUVLNYKNVBO-IAGOWNOFSA-N 0 1 298.386 0.974 20 30 CCEDMN C=CCCCC(=O)N[C@@H](C)CCNC(=O)Cc1nnc[nH]1 ZINC001078595757 898423816 /nfs/dbraw/zinc/42/38/16/898423816.db2.gz ILTVKMYGIBKZNA-NSHDSACASA-N 0 1 293.371 0.715 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2C[C@H](NCC#N)C23CCC3)n[nH]1 ZINC001078621287 898440700 /nfs/dbraw/zinc/44/07/00/898440700.db2.gz CSAWOFURCVLJLU-QWRGUYRKSA-N 0 1 288.355 0.196 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](C)c1c(F)cccc1F ZINC001486016999 898589927 /nfs/dbraw/zinc/58/99/27/898589927.db2.gz OPQSAQJLFUKPPW-GHMZBOCLSA-N 0 1 296.317 0.768 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCNC(N)=O)[C@@H]1C ZINC001486095477 898652766 /nfs/dbraw/zinc/65/27/66/898652766.db2.gz KDCDQNYZXPFFQD-ZJUUUORDSA-N 0 1 288.779 0.376 20 30 CCEDMN N#CCNC[C@H]1CC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001486220264 898721237 /nfs/dbraw/zinc/72/12/37/898721237.db2.gz JZUSQTNNHXZFPF-CMPLNLGQSA-N 0 1 298.350 0.970 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)N(C)C(=O)CCc1c[nH]nn1 ZINC001486822267 898995105 /nfs/dbraw/zinc/99/51/05/898995105.db2.gz KQOSXBWOXRYJLF-LLVKDONJSA-N 0 1 293.371 0.667 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)N(C)C(=O)CCc1cnn[nH]1 ZINC001486822267 898995117 /nfs/dbraw/zinc/99/51/17/898995117.db2.gz KQOSXBWOXRYJLF-LLVKDONJSA-N 0 1 293.371 0.667 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCC1CN(CCOC)C1 ZINC001494598814 899157431 /nfs/dbraw/zinc/15/74/31/899157431.db2.gz NAYTXIYXAHEMEM-CQSZACIVSA-N 0 1 297.399 0.152 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(C)nc2cc(C)nn21 ZINC001492863890 914350195 /nfs/dbraw/zinc/35/01/95/914350195.db2.gz YZQBTKFWINIPTJ-UHFFFAOYSA-N 0 1 285.351 0.641 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CNC(=O)NC)[C@@H]1C ZINC001410825715 899592669 /nfs/dbraw/zinc/59/26/69/899592669.db2.gz WKPLACFGZSXJEN-ZJUUUORDSA-N 0 1 288.779 0.247 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CN1CCCC1=O ZINC001439087097 899744711 /nfs/dbraw/zinc/74/47/11/899744711.db2.gz ACFZNRHIHOMBEF-SNVBAGLBSA-N 0 1 273.764 0.456 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CC(NCc2ncnn2C)C1 ZINC001411236489 899750409 /nfs/dbraw/zinc/75/04/09/899750409.db2.gz NNBAHJYXXNGOBA-WHXUTIOJSA-N 0 1 276.344 0.054 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001191911368 900020771 /nfs/dbraw/zinc/02/07/71/900020771.db2.gz FLGIBTZOVXGRQV-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN COC(=O)c1cc(NS(=O)(=O)[C@H](C)C#N)cnc1OC ZINC001193110744 900032831 /nfs/dbraw/zinc/03/28/31/900032831.db2.gz BSATWBTWAPXEGH-SSDOTTSWSA-N 0 1 299.308 0.531 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CN(C)C(=O)c1ccn[nH]1 ZINC001487716296 900108272 /nfs/dbraw/zinc/10/82/72/900108272.db2.gz UUXIUNURAYCMDA-YGRLFVJLSA-N 0 1 294.355 0.314 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CN(C)C(C)=O ZINC001489317917 900465046 /nfs/dbraw/zinc/46/50/46/900465046.db2.gz CQOIEYCXPJVNNU-HNNXBMFYSA-N 0 1 293.411 0.801 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](C)CC(N)=O ZINC001489327938 900469199 /nfs/dbraw/zinc/46/91/99/900469199.db2.gz NKCXYHFBVSTLAU-KGLIPLIRSA-N 0 1 293.411 0.834 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H](C)C1CCC1 ZINC001490213760 900554190 /nfs/dbraw/zinc/55/41/90/900554190.db2.gz WCEXDYQJBJDOBV-ZDUSSCGKSA-N 0 1 293.411 0.610 20 30 CCEDMN CN(CC#N)CCCNC(=O)Cc1n[nH]c2c1CCCC2 ZINC001490197479 900567202 /nfs/dbraw/zinc/56/72/02/900567202.db2.gz WEKBNTKTXIJENI-UHFFFAOYSA-N 0 1 289.383 0.793 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C(C)(C)C(C)C ZINC001490196013 900567272 /nfs/dbraw/zinc/56/72/72/900567272.db2.gz TVWCMBLLJASSIV-UHFFFAOYSA-N 0 1 295.427 0.856 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C2CC(C)C2)CC1 ZINC001490465611 900630735 /nfs/dbraw/zinc/63/07/35/900630735.db2.gz SYKYQSOBICZCGN-UHFFFAOYSA-N 0 1 277.412 0.790 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1CCCN(CC=C)C1 ZINC001490436871 900630937 /nfs/dbraw/zinc/63/09/37/900630937.db2.gz MUTQMKKJPMGUKB-STQMWFEESA-N 0 1 250.342 0.791 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)c2ccon2)CC1 ZINC001490465454 900632184 /nfs/dbraw/zinc/63/21/84/900632184.db2.gz KGZPYQKUCSQJCE-UHFFFAOYSA-N 0 1 278.356 0.598 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001490501077 900643857 /nfs/dbraw/zinc/64/38/57/900643857.db2.gz ZEVWJCUMLIFHAS-KBPBESRZSA-N 0 1 265.401 0.952 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)CNC(=O)N2CCCC2)C1 ZINC001490538763 900651863 /nfs/dbraw/zinc/65/18/63/900651863.db2.gz BYVKXNLCBOWRSE-GFCCVEGCSA-N 0 1 280.372 0.168 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CNC(=O)N2CCCC2)C1 ZINC001490538763 900651873 /nfs/dbraw/zinc/65/18/73/900651873.db2.gz BYVKXNLCBOWRSE-GFCCVEGCSA-N 0 1 280.372 0.168 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)NC1(c2nnc[nH]2)CCC1 ZINC001412032746 901417095 /nfs/dbraw/zinc/41/70/95/901417095.db2.gz JGVNBMDLCLRKCH-UHFFFAOYSA-N 0 1 270.296 0.824 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001412206816 901560278 /nfs/dbraw/zinc/56/02/78/901560278.db2.gz XOKMIHSUYLLHHI-ZDEQEGDKSA-N 0 1 292.383 0.251 20 30 CCEDMN N#Cc1csc(C(=O)N2CCOC[C@@H]2c2nn[nH]n2)c1 ZINC001412434176 901721175 /nfs/dbraw/zinc/72/11/75/901721175.db2.gz UTNSMUVIQFDIKA-MRVPVSSYSA-N 0 1 290.308 0.347 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@H](C)NC(=O)[C@@H](C)C#N)[nH]1 ZINC001412451643 901736844 /nfs/dbraw/zinc/73/68/44/901736844.db2.gz AFVVFPZPEPIZAQ-IUCAKERBSA-N 0 1 277.328 0.455 20 30 CCEDMN CNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)C1(C#N)CC(C)C1 ZINC001412546031 901812919 /nfs/dbraw/zinc/81/29/19/901812919.db2.gz PRYHPTBCTMQENV-ZQDGQSPWSA-N 0 1 289.339 0.123 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)/C(C)=C/CC ZINC001280794407 902022531 /nfs/dbraw/zinc/02/25/31/902022531.db2.gz SPUWCGTVMAWODC-NTEUORMPSA-N 0 1 293.411 0.872 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)/C(C)=C\CC ZINC001280794408 902022572 /nfs/dbraw/zinc/02/25/72/902022572.db2.gz SPUWCGTVMAWODC-ZROIWOOFSA-N 0 1 293.411 0.872 20 30 CCEDMN COC(=O)[C@H]1Cc2ccc(O)cc2CN1C(=O)C(C)C#N ZINC001412798500 902132413 /nfs/dbraw/zinc/13/24/13/902132413.db2.gz NOBQVPGHFVXJRI-NOZJJQNGSA-N 0 1 288.303 0.978 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](N[C@@H](C)c2nnc(C)[nH]2)C1 ZINC001490877748 902220233 /nfs/dbraw/zinc/22/02/33/902220233.db2.gz XQGXZLPBSCNSCT-DLOVCJGASA-N 0 1 293.371 0.614 20 30 CCEDMN N#Cc1cccc(NC(=O)NCCN2CCC(O)CC2)n1 ZINC001413593266 903100629 /nfs/dbraw/zinc/10/06/29/903100629.db2.gz LPALIHZJBUYXLQ-UHFFFAOYSA-N 0 1 289.339 0.531 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCCN(Cc2cncn2C)C1 ZINC001491102907 903361849 /nfs/dbraw/zinc/36/18/49/903361849.db2.gz RVAVXKONDUKCDQ-CHWSQXEVSA-N 0 1 289.383 0.908 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCCN(Cc2cncn2C)C1 ZINC001491102913 903362422 /nfs/dbraw/zinc/36/24/22/903362422.db2.gz RVAVXKONDUKCDQ-QWHCGFSZSA-N 0 1 289.383 0.908 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCCN([C@H](C)C(N)=O)C1 ZINC001491103394 903364210 /nfs/dbraw/zinc/36/42/10/903364210.db2.gz SZXWWLQSBJUSGI-CHWSQXEVSA-N 0 1 279.384 0.492 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](C)CNCc1nnc(C)[nH]1 ZINC001495652875 903511539 /nfs/dbraw/zinc/51/15/39/903511539.db2.gz RSEQZKIYEPXFKZ-YGRLFVJLSA-N 0 1 295.387 0.282 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H](C)NCc1nccnc1C ZINC001491452853 903602836 /nfs/dbraw/zinc/60/28/36/903602836.db2.gz SAGPFVFBXDFSSO-GFCCVEGCSA-N 0 1 290.367 0.419 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cn(C)nc1OC ZINC001491548046 903674314 /nfs/dbraw/zinc/67/43/14/903674314.db2.gz MULRNNLPJYLJKS-VIFPVBQESA-N 0 1 286.763 0.889 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CCOC[C@@H]1C#N ZINC001156884609 903764282 /nfs/dbraw/zinc/76/42/82/903764282.db2.gz OOGVNAIJCIVQQQ-JTQLQIEISA-N 0 1 281.275 0.799 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H]1CCC1(C)C ZINC001331773089 904015271 /nfs/dbraw/zinc/01/52/71/904015271.db2.gz TWFLDUKYQXOHIF-NWDGAFQWSA-N 0 1 252.358 0.513 20 30 CCEDMN C=CCN(CCNC(=O)c1ccnn1C)CCOC ZINC001279041125 904042169 /nfs/dbraw/zinc/04/21/69/904042169.db2.gz QDGGHXZAJXSNNV-UHFFFAOYSA-N 0 1 266.345 0.284 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](NC(=O)CC)CC1 ZINC001280689954 904138895 /nfs/dbraw/zinc/13/88/95/904138895.db2.gz ANUPJHTWTZMWAP-CHWSQXEVSA-N 0 1 279.384 0.505 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCCN([C@H]2CCNC2=O)CC1 ZINC001280707481 904140958 /nfs/dbraw/zinc/14/09/58/904140958.db2.gz HBUIDQFZCOJPIU-OLZOCXBDSA-N 0 1 279.384 0.812 20 30 CCEDMN C#CCC[N@H+](C)C[C@H]1CCCN1C(=O)c1n[nH]c(C)c1[O-] ZINC001281929062 904374905 /nfs/dbraw/zinc/37/49/05/904374905.db2.gz OAKDODYWEFOEFC-GFCCVEGCSA-N 0 1 290.367 0.983 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CCCC(N)=O ZINC001281968611 904379583 /nfs/dbraw/zinc/37/95/83/904379583.db2.gz NTRFBZYGKRTSDO-GFCCVEGCSA-N 0 1 265.357 0.198 20 30 CCEDMN C[C@H](NCC#N)[C@H](C)NC(=O)c1ccn(-c2ccncc2)n1 ZINC001282154887 904422698 /nfs/dbraw/zinc/42/26/98/904422698.db2.gz DHSSWZUSFZHYBP-RYUDHWBXSA-N 0 1 298.350 0.887 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1cnn(C)c1C ZINC001282354038 904451037 /nfs/dbraw/zinc/45/10/37/904451037.db2.gz GJBVQJOMNGEBCU-LBPRGKRZSA-N 0 1 292.383 0.428 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CCc1ncccn1 ZINC001282466138 904483655 /nfs/dbraw/zinc/48/36/55/904483655.db2.gz AEKROACKGGSIHQ-LBPRGKRZSA-N 0 1 260.341 0.479 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCCCC(=O)NC ZINC001282505678 904496003 /nfs/dbraw/zinc/49/60/03/904496003.db2.gz ZVYYWMPUDMGOTF-CYBMUJFWSA-N 0 1 281.400 0.753 20 30 CCEDMN C=CCCOCC(=O)NC[C@H](C)N(C)[C@@H]1CCCNC1=O ZINC001282504942 904496227 /nfs/dbraw/zinc/49/62/27/904496227.db2.gz UNTCDWAZDDRWBO-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1(CCO)CN(CC(C)C)C1 ZINC001282510913 904499181 /nfs/dbraw/zinc/49/91/81/904499181.db2.gz IXVCSZJCORFMKM-AWEZNQCLSA-N 0 1 284.400 0.523 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)COC[C@H]2CCCO2)C1 ZINC001282742060 904536330 /nfs/dbraw/zinc/53/63/30/904536330.db2.gz XAGYCYMSJXVGCA-UKRRQHHQSA-N 0 1 294.395 0.642 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001282744486 904537939 /nfs/dbraw/zinc/53/79/39/904537939.db2.gz OIYOEHRNZZKTFE-RISCZKNCSA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CO[C@H]2CCOC2)C(C)(C)C1 ZINC001282801193 904540316 /nfs/dbraw/zinc/54/03/16/904540316.db2.gz SHWUHJLYKSTIGP-STQMWFEESA-N 0 1 280.368 0.252 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)CNC(=O)OC)C(C)(C)C1 ZINC001282793597 904544851 /nfs/dbraw/zinc/54/48/51/904544851.db2.gz ZDEHFYKKCRMGOG-SNVBAGLBSA-N 0 1 269.345 0.355 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H](C)NC(=O)CC)C(C)(C)C1 ZINC001282812404 904546647 /nfs/dbraw/zinc/54/66/47/904546647.db2.gz VVUBYKBOWQTZDQ-QWHCGFSZSA-N 0 1 293.411 0.751 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCN(C(=O)Cc2c[nH]cn2)C1 ZINC001283368387 904830015 /nfs/dbraw/zinc/83/00/15/904830015.db2.gz UXPPXZFKIWYCBP-GFCCVEGCSA-N 0 1 288.351 0.329 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC001327914953 914854404 /nfs/dbraw/zinc/85/44/04/914854404.db2.gz XSTCTXZZAUCWNJ-NWDGAFQWSA-N 0 1 279.384 0.810 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC001327914068 914858396 /nfs/dbraw/zinc/85/83/96/914858396.db2.gz LKEHPSSBAMDSGL-QWHCGFSZSA-N 0 1 291.395 0.906 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](CO)NCc1cnc(C)nc1 ZINC001283759352 904998074 /nfs/dbraw/zinc/99/80/74/904998074.db2.gz GSGOYZMLSZMKDS-AWEZNQCLSA-N 0 1 292.383 0.708 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CCCc1ccccn1 ZINC001283826283 905039260 /nfs/dbraw/zinc/03/92/60/905039260.db2.gz UZLBHETYXVDPET-HNNXBMFYSA-N 0 1 289.379 0.494 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1ccc(OCC)cc1 ZINC001283827586 905043875 /nfs/dbraw/zinc/04/38/75/905043875.db2.gz NTWLACWOYWMTEZ-AWEZNQCLSA-N 0 1 290.363 0.328 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)COc1cccc(C)c1 ZINC001283827672 905043914 /nfs/dbraw/zinc/04/39/14/905043914.db2.gz PVEYORQMNGXZQI-AWEZNQCLSA-N 0 1 290.363 0.464 20 30 CCEDMN N#CCOc1ccccc1C(=O)NCc1nnc[nH]1 ZINC001327933717 914872914 /nfs/dbraw/zinc/87/29/14/914872914.db2.gz YVNBWTHANKXYPG-UHFFFAOYSA-N 0 1 257.253 0.637 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCc2cn(C)nn2)[C@H]1C ZINC001284148395 905158004 /nfs/dbraw/zinc/15/80/04/905158004.db2.gz JZNLLDMZBRHVBO-OCCSQVGLSA-N 0 1 289.383 0.350 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cnn(C)n1 ZINC001377874438 905206585 /nfs/dbraw/zinc/20/65/85/905206585.db2.gz UHIFUVXQEZIQLE-RKDXNWHRSA-N 0 1 271.752 0.664 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1c[nH]c(C(N)=O)c1 ZINC001377913925 905240728 /nfs/dbraw/zinc/24/07/28/905240728.db2.gz QIPRGUOWELSCFD-BDAKNGLRSA-N 0 1 298.774 0.963 20 30 CCEDMN C#CCN(C)CCN(C(=O)c1[nH]c(=O)[nH]c1C)C(C)C ZINC001284538322 905366464 /nfs/dbraw/zinc/36/64/64/905366464.db2.gz UYSGGFRPCLXBTB-UHFFFAOYSA-N 0 1 278.356 0.839 20 30 CCEDMN CN(C(=O)CCc1cnc[nH]1)C1CC(NCC#N)C1 ZINC001284771512 905434569 /nfs/dbraw/zinc/43/45/69/905434569.db2.gz UBVMCYMJEXQYSB-UHFFFAOYSA-N 0 1 261.329 0.445 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001285388812 905612171 /nfs/dbraw/zinc/61/21/71/905612171.db2.gz DUMDORVITOJOLG-WDEREUQCSA-N 0 1 291.355 0.621 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001285388812 905612183 /nfs/dbraw/zinc/61/21/83/905612183.db2.gz DUMDORVITOJOLG-WDEREUQCSA-N 0 1 291.355 0.621 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)CN(C)C(=O)Cc1ccn[nH]1 ZINC001287587602 905933249 /nfs/dbraw/zinc/93/32/49/905933249.db2.gz GUGXQZZFFVQNPR-NSHDSACASA-N 0 1 294.355 0.118 20 30 CCEDMN C=CCCCC(=O)NC[C@H](O)CN(C)C(=O)c1ccn[nH]1 ZINC001288065140 906004456 /nfs/dbraw/zinc/00/44/56/906004456.db2.gz UCHPHCTVAXYOJO-NSHDSACASA-N 0 1 294.355 0.315 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cccn1C ZINC001379164947 906026924 /nfs/dbraw/zinc/02/69/24/906026924.db2.gz JUJZPLYGTKTLKO-JTQLQIEISA-N 0 1 271.748 0.458 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H](OC)C1CCC1 ZINC001379231453 906079977 /nfs/dbraw/zinc/07/99/77/906079977.db2.gz LKNVBQVMDGTPNB-NEPJUHHUSA-N 0 1 290.791 0.621 20 30 CCEDMN C[C@H](CNCc1cc2n(n1)CCC2)NC(=O)[C@@H](C)C#N ZINC001379345423 906170028 /nfs/dbraw/zinc/17/00/28/906170028.db2.gz ZLUKOUZRGKUCFY-WDEREUQCSA-N 0 1 275.356 0.583 20 30 CCEDMN N#Cc1cnc(C(=O)NCc2nnc[nH]2)c(Cl)c1 ZINC001292149265 906194297 /nfs/dbraw/zinc/19/42/97/906194297.db2.gz SLWTXIJAJFRHBB-UHFFFAOYSA-N 0 1 262.660 0.655 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1c[nH]c(=O)cn1 ZINC001379411972 906217545 /nfs/dbraw/zinc/21/75/45/906217545.db2.gz AXMQOKXEWHBRFP-MRVPVSSYSA-N 0 1 270.720 0.230 20 30 CCEDMN O=C(C#CC1CC1)NCCCNC(=O)c1[nH]ncc1F ZINC001293302409 906458280 /nfs/dbraw/zinc/45/82/80/906458280.db2.gz QZDNAXROYNUOAU-UHFFFAOYSA-N 0 1 278.287 0.198 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cncn1C ZINC001379798068 906485444 /nfs/dbraw/zinc/48/54/44/906485444.db2.gz DALLURIXUAHILJ-SNVBAGLBSA-N 0 1 286.763 0.195 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001293545245 906486212 /nfs/dbraw/zinc/48/62/12/906486212.db2.gz SHJGIZYOVPWHON-SNVBAGLBSA-N 0 1 277.328 0.031 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC001380131595 906646864 /nfs/dbraw/zinc/64/68/64/906646864.db2.gz ALDOUBIBQFBNCY-UHFFFAOYSA-N 0 1 284.747 0.730 20 30 CCEDMN C#CCCCC(=O)NCCN(C)C(=O)[C@@H]1CCCCN1C ZINC001296053553 906855384 /nfs/dbraw/zinc/85/53/84/906855384.db2.gz SIEYWBGDZKOLBR-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)N1CC(NC(=O)c2[nH]ncc2F)C1 ZINC001297137535 907029862 /nfs/dbraw/zinc/02/98/62/907029862.db2.gz JHCWVTGDQOFGAC-IUCAKERBSA-N 0 1 294.330 0.948 20 30 CCEDMN CON=CC(=O)Nc1n[nH]c2cc(C)n(C)c(=O)c21 ZINC001299777943 907397467 /nfs/dbraw/zinc/39/74/67/907397467.db2.gz QYCVFYQILZUAEW-UHFFFAOYSA-N 0 1 263.257 0.141 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)[C@H]1C ZINC001337941423 921321141 /nfs/dbraw/zinc/32/11/41/921321141.db2.gz YADXOMXNNXUUIR-MNOVXSKESA-N 0 1 289.339 0.183 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1ncc[nH]1 ZINC001491625848 907463073 /nfs/dbraw/zinc/46/30/73/907463073.db2.gz WJPPMQDFCFUJJV-SECBINFHSA-N 0 1 256.737 0.799 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](COC)OC ZINC001382116763 907550582 /nfs/dbraw/zinc/55/05/82/907550582.db2.gz ATNPRYPZIPQNIN-MNOVXSKESA-N 0 1 278.780 0.837 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnc2[nH]c(C)nc2c1 ZINC001492272087 907820835 /nfs/dbraw/zinc/82/08/35/907820835.db2.gz JIHDHNWXQOGLSA-UHFFFAOYSA-N 0 1 271.324 0.561 20 30 CCEDMN CCc1c(C(=O)NCCN(C)CC#CCOC)ccn1C ZINC001492425123 907918421 /nfs/dbraw/zinc/91/84/21/907918421.db2.gz VQJNKAOJQGESGO-UHFFFAOYSA-N 0 1 291.395 0.899 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)N(C)CCCNC(=O)c1ncn[nH]1 ZINC001492476690 907944026 /nfs/dbraw/zinc/94/40/26/907944026.db2.gz PYGFDTFLNIICQG-QWRGUYRKSA-N 0 1 293.371 0.841 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)N(C)CCCNC(=O)c1nc[nH]n1 ZINC001492476690 907944034 /nfs/dbraw/zinc/94/40/34/907944034.db2.gz PYGFDTFLNIICQG-QWRGUYRKSA-N 0 1 293.371 0.841 20 30 CCEDMN C=C[C@H](O)C(=O)NC[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC001303494689 908091242 /nfs/dbraw/zinc/09/12/42/908091242.db2.gz XOZPQFXDOSQCEL-IHRRRGAJSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCNC(=O)C[N@@H+](C)CCCNC(=O)[C@@H]1CC[C@H](F)C1 ZINC001316845667 908206007 /nfs/dbraw/zinc/20/60/07/908206007.db2.gz SDOIQKVRFRKCAU-OLZOCXBDSA-N 0 1 297.374 0.312 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H]1CC[C@H](F)C1 ZINC001316845667 908206024 /nfs/dbraw/zinc/20/60/24/908206024.db2.gz SDOIQKVRFRKCAU-OLZOCXBDSA-N 0 1 297.374 0.312 20 30 CCEDMN CC#CCN(C)CCNC(=O)Cc1c(C)nn(C)c1C ZINC001317456290 908250071 /nfs/dbraw/zinc/25/00/71/908250071.db2.gz ZWDKONRRSPPCHZ-UHFFFAOYSA-N 0 1 276.384 0.651 20 30 CCEDMN N#Cc1nn(CCCNC(=O)C(F)(F)F)cc1[N+](=O)[O-] ZINC001307375218 908309256 /nfs/dbraw/zinc/30/92/56/908309256.db2.gz GLMHMNIPGLIQAY-UHFFFAOYSA-N 0 1 291.189 0.732 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@]2(CCOC2=O)C1 ZINC001308171378 908394793 /nfs/dbraw/zinc/39/47/93/908394793.db2.gz VKDHJBHOBAOIAK-MFKMUULPSA-N 0 1 253.298 0.743 20 30 CCEDMN C=C(C)COCCNC(=O)NCC#CCN(C)C ZINC001312258935 908593021 /nfs/dbraw/zinc/59/30/21/908593021.db2.gz MDWVTWJXCFVJJZ-UHFFFAOYSA-N 0 1 253.346 0.443 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)C(C)(C)C)C1 ZINC001317532803 908601953 /nfs/dbraw/zinc/60/19/53/908601953.db2.gz OKQSQZKVLKGBLS-STQMWFEESA-N 0 1 293.411 0.609 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CCN([C@@H](C)C(=O)NC)C[C@H]2C1 ZINC001316749280 908678288 /nfs/dbraw/zinc/67/82/88/908678288.db2.gz IQWFCDNLMLWDQP-MJBXVCDLSA-N 0 1 293.411 0.867 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)[C@@H]1CCCOC1 ZINC001316760818 908682682 /nfs/dbraw/zinc/68/26/82/908682682.db2.gz JBSAUVLSGIGGRC-OAHLLOKOSA-N 0 1 296.411 0.843 20 30 CCEDMN CN(CC#N)CCOCCN(C)C(=O)[C@H]1CCCN1C ZINC001316763204 908689549 /nfs/dbraw/zinc/68/95/49/908689549.db2.gz ROPOWVUEPNDIIP-CYBMUJFWSA-N 0 1 282.388 0.011 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CCCCC(=O)NC)CC1 ZINC001316937896 908694693 /nfs/dbraw/zinc/69/46/93/908694693.db2.gz OODAKQWLAAZZTR-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CNC(=O)[C@@H](C)C#N)c1C ZINC001386458878 908749870 /nfs/dbraw/zinc/74/98/70/908749870.db2.gz TVUIZZYPYXLFPG-YUMQZZPRSA-N 0 1 277.328 0.421 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCCN1C(=O)Cc1nnc(C)[nH]1 ZINC001316803129 908778357 /nfs/dbraw/zinc/77/83/57/908778357.db2.gz XEYYMCFOGAQJFZ-ZDUSSCGKSA-N 0 1 289.383 0.602 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)Cc1nnc(C)[nH]1 ZINC001316803129 908778365 /nfs/dbraw/zinc/77/83/65/908778365.db2.gz XEYYMCFOGAQJFZ-ZDUSSCGKSA-N 0 1 289.383 0.602 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCC(N)=O)[C@H]1C ZINC001316801129 908780214 /nfs/dbraw/zinc/78/02/14/908780214.db2.gz MZYMPYNWQFKDCS-NEPJUHHUSA-N 0 1 265.357 0.244 20 30 CCEDMN C=CCCN1CCC(N(C)C(=O)CS(C)(=O)=O)CC1 ZINC001316929056 908845636 /nfs/dbraw/zinc/84/56/36/908845636.db2.gz LEWUSOGEBIJRGP-UHFFFAOYSA-N 0 1 288.413 0.530 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)[C@@H]2CCC2(F)F)CC1 ZINC001316964668 908873324 /nfs/dbraw/zinc/87/33/24/908873324.db2.gz FRDBUMXDYUADGN-ZDUSSCGKSA-N 0 1 299.365 0.789 20 30 CCEDMN C=CCCC(=O)NCCN1CCN(C[C@H](C)OC)CC1 ZINC001316963451 908874260 /nfs/dbraw/zinc/87/42/60/908874260.db2.gz SVVNIITVQTXFKW-AWEZNQCLSA-N 0 1 283.416 0.721 20 30 CCEDMN C=C1CC(C)(C(=O)NCCN2CCN(CCOC)CC2)C1 ZINC001316968699 908881667 /nfs/dbraw/zinc/88/16/67/908881667.db2.gz QQULMCKGUGUOEH-UHFFFAOYSA-N 0 1 295.427 0.723 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCC(=O)N(CC)CC)C1 ZINC001316994082 908905132 /nfs/dbraw/zinc/90/51/32/908905132.db2.gz FYKLVGGZVKRKNY-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001316991921 908909510 /nfs/dbraw/zinc/90/95/10/908909510.db2.gz UPLKCWVZUZTCTB-CHWSQXEVSA-N 0 1 267.373 0.524 20 30 CCEDMN Cc1ncc(CN[C@H](C)CNC(=O)[C@H](C)C#N)o1 ZINC001317228801 909062750 /nfs/dbraw/zinc/06/27/50/909062750.db2.gz KMDDKRLPKIJLDD-RKDXNWHRSA-N 0 1 250.302 0.737 20 30 CCEDMN CCc1cc(C(=O)N2CC(NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001387802305 909271396 /nfs/dbraw/zinc/27/13/96/909271396.db2.gz VWMUTEBWIJEEKO-MRVPVSSYSA-N 0 1 275.312 0.072 20 30 CCEDMN CCCN(CCNC(=O)C#CC1CC1)[C@@H](C)C(=O)N(C)C ZINC001317541359 909306646 /nfs/dbraw/zinc/30/66/46/909306646.db2.gz YBNHUZCYCGVKLV-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(CCNC(=O)[C@H](OC)[C@@H]1CCOC1)C1CC1 ZINC001317553956 909327262 /nfs/dbraw/zinc/32/72/62/909327262.db2.gz FKOBTBHNTRTBEM-TZMCWYRMSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@H](C)CC(N)=O)c1ccccc1 ZINC001317721541 909477448 /nfs/dbraw/zinc/47/74/48/909477448.db2.gz HGUQTBMEUWQHPS-TZMCWYRMSA-N 0 1 287.363 0.578 20 30 CCEDMN CC(C)C[C@H](CNC(=O)[C@@H](C)C#N)NC(=O)c1cnn[nH]1 ZINC001388534696 909505509 /nfs/dbraw/zinc/50/55/09/909505509.db2.gz LGGXGCMOGPTRIX-VHSXEESVSA-N 0 1 292.343 0.225 20 30 CCEDMN CCOCCOCC(=O)NC1(C#N)CCN(C)CC1 ZINC001318349656 909716638 /nfs/dbraw/zinc/71/66/38/909716638.db2.gz QYADKSBQAYCMFP-UHFFFAOYSA-N 0 1 269.345 0.144 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H](C)COC)C1 ZINC001318385498 909731243 /nfs/dbraw/zinc/73/12/43/909731243.db2.gz HYDWKAQAWKLYQI-CHWSQXEVSA-N 0 1 252.358 0.825 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CCn2cncn2)C1 ZINC001318389040 909732158 /nfs/dbraw/zinc/73/21/58/909732158.db2.gz NSZQFKWUNDXMFJ-CYBMUJFWSA-N 0 1 275.356 0.224 20 30 CCEDMN COCC#CCN1CC[C@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC001318390989 909739215 /nfs/dbraw/zinc/73/92/15/909739215.db2.gz VXUGEHJJAUKOGV-LBPRGKRZSA-N 0 1 276.340 0.206 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CNC(=O)CCC(C)C)C1 ZINC001318437064 909754504 /nfs/dbraw/zinc/75/45/04/909754504.db2.gz MZTQQZTZFLTKRK-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CC[NH2+]C[C@@](C)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001318691029 909862291 /nfs/dbraw/zinc/86/22/91/909862291.db2.gz VNGHOOCLUHMDBM-OAHLLOKOSA-N 0 1 273.336 0.909 20 30 CCEDMN Cc1ncsc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001318764253 909882805 /nfs/dbraw/zinc/88/28/05/909882805.db2.gz GWNVSJFAXYQNPV-UHFFFAOYSA-N 0 1 267.339 0.883 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H]1CN(CCCC)CCO1 ZINC001319306338 910087155 /nfs/dbraw/zinc/08/71/55/910087155.db2.gz TZEZROYLVLFYOS-DZGCQCFKSA-N 0 1 284.400 0.931 20 30 CCEDMN CC(C)(CO)N1CCN(C[C@H](C#N)CCC#N)CC1 ZINC001319385584 910126936 /nfs/dbraw/zinc/12/69/36/910126936.db2.gz PXDXWLWURYYGGU-ZDUSSCGKSA-N 0 1 264.373 0.818 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NCc2nnnn2C)[C@H](C)C1 ZINC001319670990 910252522 /nfs/dbraw/zinc/25/25/22/910252522.db2.gz BFWZPHIHRNDFMX-VXGBXAGGSA-N 0 1 292.387 0.503 20 30 CCEDMN CCOC(=O)c1csc(C(C#N)C(=O)NC)n1 ZINC001319676457 910255453 /nfs/dbraw/zinc/25/54/53/910255453.db2.gz VULKCJASCQKIFM-ZCFIWIBFSA-N 0 1 253.283 0.673 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H]1CCCS1(=O)=O ZINC001390525815 910490868 /nfs/dbraw/zinc/49/08/68/910490868.db2.gz BTDRUQDMWOACAC-NXEZZACHSA-N 0 1 294.804 0.410 20 30 CCEDMN C#CCOCCN(C)[C@@H](C)C(=O)NC[C@H]1CCCO1 ZINC001320281779 910579129 /nfs/dbraw/zinc/57/91/29/910579129.db2.gz KYIGXEIHUXSADP-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN CCN(CCNCc1n[nH]c(C2CC2)n1)C(=O)[C@H](C)C#N ZINC001320694663 910806663 /nfs/dbraw/zinc/80/66/63/910806663.db2.gz TYTDIHSILJYOKW-SNVBAGLBSA-N 0 1 290.371 0.780 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(CC)CCNCc1cnc(C)o1 ZINC001320733768 910832559 /nfs/dbraw/zinc/83/25/59/910832559.db2.gz AWVUYBJRISVGES-LBPRGKRZSA-N 0 1 293.367 0.959 20 30 CCEDMN Cc1occc1C(=O)NC/C=C\CNCC(=O)NCC#N ZINC001320966919 910969814 /nfs/dbraw/zinc/96/98/14/910969814.db2.gz UVRVJJNVSRUWPL-IHWYPQMZSA-N 0 1 290.323 0.103 20 30 CCEDMN Cn1nccc1CNC/C=C\CNC(=O)CSCC#N ZINC001320970909 910973912 /nfs/dbraw/zinc/97/39/12/910973912.db2.gz NJXGKYKNPUAHON-IHWYPQMZSA-N 0 1 293.396 0.439 20 30 CCEDMN CCN(C(=O)[C@@H](C)C#N)[C@@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001391638986 911282675 /nfs/dbraw/zinc/28/26/75/911282675.db2.gz QNIDJBLOIPATOU-CMPLNLGQSA-N 0 1 290.371 0.696 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)CN[C@H](C)c1cnccn1 ZINC001321841923 911522316 /nfs/dbraw/zinc/52/23/16/911522316.db2.gz IHGKLWFAKUYKFY-QWHCGFSZSA-N 0 1 290.367 0.672 20 30 CCEDMN CC[C@@H](OC)C(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001322120963 911665922 /nfs/dbraw/zinc/66/59/22/911665922.db2.gz IXEARROZRZDPGC-QWHCGFSZSA-N 0 1 270.373 0.498 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cccn2nnnc12 ZINC001322167786 911692420 /nfs/dbraw/zinc/69/24/20/911692420.db2.gz GAKSFGFPCOYHGT-NSHDSACASA-N 0 1 286.339 0.198 20 30 CCEDMN C=CCN(C(=O)C(=O)NCc1cn[nH]c1C)C1CC1 ZINC001322199103 911708646 /nfs/dbraw/zinc/70/86/46/911708646.db2.gz ZJBNRKOMUJDSFO-UHFFFAOYSA-N 0 1 262.313 0.511 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC001323076143 912101717 /nfs/dbraw/zinc/10/17/17/912101717.db2.gz DXHCEUROHXZGLB-GXSJLCMTSA-N 0 1 294.355 0.083 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](C)n1cncn1 ZINC001398901044 914935636 /nfs/dbraw/zinc/93/56/36/914935636.db2.gz DFYVJXRAIUVQCO-ZJUUUORDSA-N 0 1 271.752 0.686 20 30 CCEDMN COCc1nc(CNc2nc(C)cc(C#N)n2)n[nH]1 ZINC001328055913 914944229 /nfs/dbraw/zinc/94/42/29/914944229.db2.gz KZWCJXFGPVXJRT-UHFFFAOYSA-N 0 1 259.273 0.533 20 30 CCEDMN COCc1nnc(CNc2nc(C)cc(C#N)n2)[nH]1 ZINC001328055913 914944250 /nfs/dbraw/zinc/94/42/50/914944250.db2.gz KZWCJXFGPVXJRT-UHFFFAOYSA-N 0 1 259.273 0.533 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H](C)CC(N)=O)C(C)(C)C1 ZINC001328081285 914961040 /nfs/dbraw/zinc/96/10/40/914961040.db2.gz KAQOBRNBUQPNKH-NWDGAFQWSA-N 0 1 279.384 0.348 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@H]1CCC(=O)N1 ZINC001399082342 915038177 /nfs/dbraw/zinc/03/81/77/915038177.db2.gz MHOXUGPZVVASMO-VHSXEESVSA-N 0 1 273.764 0.502 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)N[C@H](C#N)CO ZINC001328341177 915122914 /nfs/dbraw/zinc/12/29/14/915122914.db2.gz TWAPXMPJQFZZPO-SECBINFHSA-N 0 1 253.327 0.424 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N1CCNC(C)(C)C1 ZINC001328371052 915146894 /nfs/dbraw/zinc/14/68/94/915146894.db2.gz WPJCKUSBBFCHIG-UHFFFAOYSA-N 0 1 299.440 0.622 20 30 CCEDMN C#CC[C@@H]1CCCN(c2nnc(-c3c[nH]nn3)n2C)C1 ZINC001339530284 921751154 /nfs/dbraw/zinc/75/11/54/921751154.db2.gz NJGDDWSLIYQYOX-SNVBAGLBSA-N 0 1 271.328 0.840 20 30 CCEDMN C=C(CO)C(=O)N1C[C@@H](C)[N@@H+](CCOC)[C@@H](C)C1 ZINC001328576987 915284947 /nfs/dbraw/zinc/28/49/47/915284947.db2.gz IDXNKRAFAIBSGM-TXEJJXNPSA-N 0 1 256.346 0.103 20 30 CCEDMN C=C(CO)C(=O)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC001328576987 915284963 /nfs/dbraw/zinc/28/49/63/915284963.db2.gz IDXNKRAFAIBSGM-TXEJJXNPSA-N 0 1 256.346 0.103 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CC1(O)CCC1 ZINC001328721394 915383237 /nfs/dbraw/zinc/38/32/37/915383237.db2.gz TUZOTWIJUHWYPI-CYBMUJFWSA-N 0 1 264.369 0.848 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)[C@H](C)NCc1ccn(C)n1 ZINC001328993919 915574770 /nfs/dbraw/zinc/57/47/70/915574770.db2.gz ADYSNVMUXKPDAA-NWDGAFQWSA-N 0 1 280.372 0.606 20 30 CCEDMN C[C@@H](NCc1cnns1)[C@H](C)NC(=O)C#CC1CC1 ZINC001329033103 915607490 /nfs/dbraw/zinc/60/74/90/915607490.db2.gz GZPXOUUHNBUNKH-ZJUUUORDSA-N 0 1 278.381 0.934 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)N1CC[C@](F)(C#N)C1 ZINC001329037357 915613756 /nfs/dbraw/zinc/61/37/56/915613756.db2.gz SYJGIQHKEQLSKQ-RISCZKNCSA-N 0 1 296.346 0.051 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)NCCC#N)cn1 ZINC001329042161 915617205 /nfs/dbraw/zinc/61/72/05/915617205.db2.gz ULINQPTVTOPCCZ-UHFFFAOYSA-N 0 1 268.298 0.097 20 30 CCEDMN COC[C@H](O)C[N@@H+](C)[C@H](C)CNC(=O)C#CC(C)C ZINC001329431077 915908377 /nfs/dbraw/zinc/90/83/77/915908377.db2.gz IEHBFYQQICZJPB-CHWSQXEVSA-N 0 1 270.373 0.090 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001329474741 915950100 /nfs/dbraw/zinc/95/01/00/915950100.db2.gz NJFRYJKDYIASAP-QWHCGFSZSA-N 0 1 274.368 0.515 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCNC(=O)C(C)(C)C ZINC001329501534 915970042 /nfs/dbraw/zinc/97/00/42/915970042.db2.gz ROCQVPGMGHLKSG-ZDUSSCGKSA-N 0 1 295.427 0.999 20 30 CCEDMN Cc1nnc(CNC[C@](C)(NC(=O)[C@@H](C)C#N)C2CC2)[nH]1 ZINC001400996135 916006173 /nfs/dbraw/zinc/00/61/73/916006173.db2.gz JVGRCFSPIDDJNU-XPTSAGLGSA-N 0 1 290.371 0.647 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cnc2cccnn21 ZINC001329575011 916026251 /nfs/dbraw/zinc/02/62/51/916026251.db2.gz RPPVSRAKJGHRLA-LBPRGKRZSA-N 0 1 285.351 0.803 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H](CC)NC(C)=O ZINC001329935259 916290958 /nfs/dbraw/zinc/29/09/58/916290958.db2.gz ACPDLJRNIXVQFF-LSDHHAIUSA-N 0 1 293.411 0.895 20 30 CCEDMN C[N@H+]1CCC[C@H](NC(=O)C(=O)NCC2(CC#N)CC2)C1 ZINC001330222418 916489988 /nfs/dbraw/zinc/48/99/88/916489988.db2.gz BJOJBPILGYCXMG-NSHDSACASA-N 0 1 278.356 0.007 20 30 CCEDMN CN1CCC[C@H](NC(=O)C(=O)NCC2(CC#N)CC2)C1 ZINC001330222418 916489995 /nfs/dbraw/zinc/48/99/95/916489995.db2.gz BJOJBPILGYCXMG-NSHDSACASA-N 0 1 278.356 0.007 20 30 CCEDMN N#CCCN1CCN(CCC2OCCCO2)CC1 ZINC001331108991 917077933 /nfs/dbraw/zinc/07/79/33/917077933.db2.gz BUMZOTCMLMZVEC-UHFFFAOYSA-N 0 1 253.346 0.671 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1ccnn1CC ZINC001331117111 917098898 /nfs/dbraw/zinc/09/88/98/917098898.db2.gz ASCKPEAVBOKPOD-UHFFFAOYSA-N 0 1 292.383 0.605 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2ccncn2)CCO1 ZINC001402593437 917116389 /nfs/dbraw/zinc/11/63/89/917116389.db2.gz IXFFTXUOSVROHP-NSHDSACASA-N 0 1 296.758 0.660 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCCN(CC(=O)N2CCC2)C1 ZINC001402654087 917156866 /nfs/dbraw/zinc/15/68/66/917156866.db2.gz AMAVIMGACSXJKF-QWHCGFSZSA-N 0 1 292.383 0.207 20 30 CCEDMN N#Cc1ccc(CC(=O)NCCN2CC=CC2)cn1 ZINC001331480834 917363179 /nfs/dbraw/zinc/36/31/79/917363179.db2.gz MJXTZWHOKRYJPZ-UHFFFAOYSA-N 0 1 256.309 0.484 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C(=O)NCC(F)F ZINC001331492114 917373287 /nfs/dbraw/zinc/37/32/87/917373287.db2.gz SQNIGWZLFSCPOS-QMMMGPOBSA-N 0 1 297.733 0.557 20 30 CCEDMN C=CCCC(=O)NC[C@H](CO)N[C@@H](C)C(=O)NC(C)(C)C ZINC001331686010 917512081 /nfs/dbraw/zinc/51/20/81/917512081.db2.gz VDSYSOPXJAOFDO-NWDGAFQWSA-N 0 1 299.415 0.323 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H](C)c1c(C)n[nH]c1C ZINC001331806424 917625931 /nfs/dbraw/zinc/62/59/31/917625931.db2.gz VFUMMAWWQZPETM-GWCFXTLKSA-N 0 1 292.383 0.220 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCc1ccccc1OC ZINC001331818327 917633446 /nfs/dbraw/zinc/63/34/46/917633446.db2.gz GPGVZHJXCUKSDZ-AWEZNQCLSA-N 0 1 290.363 0.328 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cncnc1C1CC1 ZINC001331820935 917636851 /nfs/dbraw/zinc/63/68/51/917636851.db2.gz VNKOOQHVAQFJMU-LBPRGKRZSA-N 0 1 288.351 0.058 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1c(F)cccc1F ZINC001331820473 917637700 /nfs/dbraw/zinc/63/77/00/917637700.db2.gz SSAXDDVNPKRURJ-SECBINFHSA-N 0 1 268.263 0.278 20 30 CCEDMN C=C[C@H](COC)NS(=O)(=O)c1occc1C(=O)OC ZINC001331988093 917783543 /nfs/dbraw/zinc/78/35/43/917783543.db2.gz YYKUAKHOBYBMLC-MRVPVSSYSA-N 0 1 289.309 0.546 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)Cc1nnc(C)[nH]1 ZINC001403543068 917798029 /nfs/dbraw/zinc/79/80/29/917798029.db2.gz DOSVUPJBLYGORQ-VIFPVBQESA-N 0 1 285.779 0.845 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)Cc1ccc[nH]1 ZINC001403876901 918081348 /nfs/dbraw/zinc/08/13/48/918081348.db2.gz JTDQQVMGSUFUSI-LBPRGKRZSA-N 0 1 285.775 0.719 20 30 CCEDMN CC(C)CNC(=O)CN1CC[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001404044087 918180597 /nfs/dbraw/zinc/18/05/97/918180597.db2.gz RWASRMMSGMOKNM-STQMWFEESA-N 0 1 294.399 0.356 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cncc(C)c1 ZINC001332618080 918318577 /nfs/dbraw/zinc/31/85/77/918318577.db2.gz JAQZYDCOMCJSLQ-CQSZACIVSA-N 0 1 275.352 0.388 20 30 CCEDMN CCC[C@@H](C)C(=O)N(C)C[C@@H](O)CN(C)CC#CCOC ZINC001332621399 918322577 /nfs/dbraw/zinc/32/25/77/918322577.db2.gz UQUNWKLKFKZEAB-CABCVRRESA-N 0 1 298.427 0.824 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1coc(OCC)n1 ZINC001332648500 918352397 /nfs/dbraw/zinc/35/23/97/918352397.db2.gz ULRGNFUVUNSAKD-LLVKDONJSA-N 0 1 295.339 0.071 20 30 CCEDMN CN(CC#N)C[C@@H](O)CN(C)C(=O)c1cc(C2CC2)[nH]n1 ZINC001332665731 918364186 /nfs/dbraw/zinc/36/41/86/918364186.db2.gz XDOCJQHLHKENQJ-LLVKDONJSA-N 0 1 291.355 0.175 20 30 CCEDMN C=CCN(CCNC(=O)c1nn(C)cc1C)CCOC ZINC001332914489 918528913 /nfs/dbraw/zinc/52/89/13/918528913.db2.gz UGJLSARPFUKYNS-UHFFFAOYSA-N 0 1 280.372 0.593 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cccn(C)c2=O)CC1 ZINC001332926270 918536034 /nfs/dbraw/zinc/53/60/34/918536034.db2.gz MCGYKYJLWIAYTQ-UHFFFAOYSA-N 0 1 288.351 0.604 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)NCc1nc(CSC)n[nH]1 ZINC001333046031 918619679 /nfs/dbraw/zinc/61/96/79/918619679.db2.gz SBHTVLACGCNSEF-UHFFFAOYSA-N 0 1 297.384 0.318 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)NCc1nnc(CSC)[nH]1 ZINC001333046031 918619695 /nfs/dbraw/zinc/61/96/95/918619695.db2.gz SBHTVLACGCNSEF-UHFFFAOYSA-N 0 1 297.384 0.318 20 30 CCEDMN CC#CC[N@@H+](CC)CCNC(=O)c1ncccc1[O-] ZINC001492941876 918790527 /nfs/dbraw/zinc/79/05/27/918790527.db2.gz OMVHSZGSYILZNC-UHFFFAOYSA-N 0 1 261.325 0.862 20 30 CCEDMN C#CCCCNC(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001333370900 918843456 /nfs/dbraw/zinc/84/34/56/918843456.db2.gz VNNSLSZSSYNLJH-NSHDSACASA-N 0 1 252.314 0.313 20 30 CCEDMN COc1ccc(CNC[C@H](C)N(C)C(=O)[C@@H](C)C#N)nn1 ZINC001405803158 918916370 /nfs/dbraw/zinc/91/63/70/918916370.db2.gz ZTKZYPSWPUBLQH-QWRGUYRKSA-N 0 1 291.355 0.581 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H]1CCCN1C)N(C)C(=O)C#CC1CC1 ZINC001334192379 919415841 /nfs/dbraw/zinc/41/58/41/919415841.db2.gz LMCWNGQBBFRNSP-JSGCOSHPSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@H](C)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001334204832 919426358 /nfs/dbraw/zinc/42/63/58/919426358.db2.gz GHCXBVDKQINVES-RYUDHWBXSA-N 0 1 283.372 0.158 20 30 CCEDMN C[S@](=O)c1ccc(CN[C@@H]2CCCN(O)C2=O)cc1 ZINC001334374738 919534767 /nfs/dbraw/zinc/53/47/67/919534767.db2.gz MLUMLBGUUNCGNU-BLVKFPJESA-N 0 1 282.365 0.894 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@H](C)[C@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC001334449589 919593727 /nfs/dbraw/zinc/59/37/27/919593727.db2.gz UMHWYVLNVOHXND-OUAUKWLOSA-N 0 1 285.344 0.034 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@H](C)[C@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC001334449589 919593717 /nfs/dbraw/zinc/59/37/17/919593717.db2.gz UMHWYVLNVOHXND-OUAUKWLOSA-N 0 1 285.344 0.034 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@]1(O)CCN(CCC(F)(F)F)C1 ZINC001407348552 919716915 /nfs/dbraw/zinc/71/69/15/919716915.db2.gz GBINRDQJLZLRQI-MWLCHTKSSA-N 0 1 293.289 0.651 20 30 CCEDMN COCC#CC(=O)N1CCc2nc[nH]c2C12CCC2 ZINC001334738397 919737646 /nfs/dbraw/zinc/73/76/46/919737646.db2.gz CRMFCRYUZFMBQB-UHFFFAOYSA-N 0 1 259.309 0.823 20 30 CCEDMN C=CC[C@H]1NC(=O)N(Cc2n[nH]c([C@@H]3CCCO3)n2)C1=O ZINC001335244970 920054605 /nfs/dbraw/zinc/05/46/05/920054605.db2.gz FZEHQRFCICXXTM-BDAKNGLRSA-N 0 1 291.311 0.653 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2nnc(C)s2)CC1 ZINC001335311423 920113200 /nfs/dbraw/zinc/11/32/00/920113200.db2.gz INGXWOSQNGQWBI-UHFFFAOYSA-N 0 1 278.381 0.603 20 30 CCEDMN C[C@H](CCS(C)(=O)=O)NC[C@@H](C#N)CCC#N ZINC001335459075 920207265 /nfs/dbraw/zinc/20/72/65/920207265.db2.gz TWEKITXYPYTXDV-GHMZBOCLSA-N 0 1 257.359 0.843 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](CNC(=O)CN1CCCC1)C1CC1 ZINC001414855793 920525638 /nfs/dbraw/zinc/52/56/38/920525638.db2.gz JJTQYWFYZASSTJ-DGCLKSJQSA-N 0 1 292.383 0.253 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN(C(=O)[C@H]2CCCN2C)C1 ZINC001415964498 920865826 /nfs/dbraw/zinc/86/58/26/920865826.db2.gz KNOHUUBDCJUYNL-FRRDWIJNSA-N 0 1 292.383 0.347 20 30 CCEDMN CC(C)OC[C@H](O)CNCC1(C#N)CCOCC1 ZINC001336748992 920978061 /nfs/dbraw/zinc/97/80/61/920978061.db2.gz LVSHBQWZBNCLOE-GFCCVEGCSA-N 0 1 256.346 0.682 20 30 CCEDMN Cc1nc(C)c(CNCCNC(=O)C2N=CC=CC2=O)o1 ZINC001336918475 921103514 /nfs/dbraw/zinc/10/35/14/921103514.db2.gz ODQBKZJEIHCHPW-YPKPFQOOSA-N 0 1 290.323 0.907 20 30 CCEDMN CC(C)(C(N)=O)N1CCN(CCCCC#N)CC1 ZINC001340002326 921912514 /nfs/dbraw/zinc/91/25/14/921912514.db2.gz WRPYJCWDODXPSU-UHFFFAOYSA-N 0 1 252.362 0.562 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](O)c1cccc(OC)c1 ZINC001340029600 921920319 /nfs/dbraw/zinc/92/03/19/921920319.db2.gz LNDDCGNGVGDSSJ-CYBMUJFWSA-N 0 1 262.309 0.068 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnn(C2CCC2)c1 ZINC001340267341 922034319 /nfs/dbraw/zinc/03/43/19/922034319.db2.gz RXCVHDGJNUEIMA-BXKDBHETSA-N 0 1 259.313 0.449 20 30 CCEDMN Cc1cc(Cl)nc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC001340268188 922035173 /nfs/dbraw/zinc/03/51/73/922035173.db2.gz ZUPQZRLIYVOOIS-WPRPVWTQSA-N 0 1 264.716 0.885 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C)c1ncc(C)s1 ZINC001340396918 922075711 /nfs/dbraw/zinc/07/57/11/922075711.db2.gz BYMNBBLSQKPSFU-SECBINFHSA-N 0 1 251.355 0.894 20 30 CCEDMN CN(C)c1cnc(C(=O)[C@@H](C#N)c2nccn2C)cn1 ZINC001342268875 922885059 /nfs/dbraw/zinc/88/50/59/922885059.db2.gz QWVLOKGFWOEDDL-SECBINFHSA-N 0 1 270.296 0.766 20 30 CCEDMN CN(C)c1cnc(C(=O)C(C#N)c2nccn2C)cn1 ZINC001342268875 922885074 /nfs/dbraw/zinc/88/50/74/922885074.db2.gz QWVLOKGFWOEDDL-SECBINFHSA-N 0 1 270.296 0.766 20 30 CCEDMN Cc1cc(CNC[C@@H](C)N(C)C(=O)[C@@H](C)C#N)nn1C ZINC001419653587 922971460 /nfs/dbraw/zinc/97/14/60/922971460.db2.gz QOTSUCSXPFZEKC-CMPLNLGQSA-N 0 1 277.372 0.825 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001419783140 923095481 /nfs/dbraw/zinc/09/54/81/923095481.db2.gz AIDAYGNLHPTJDM-QWRGUYRKSA-N 0 1 287.791 0.702 20 30 CCEDMN C#CCNCC(=O)NCCCOCC(F)(F)F ZINC001343115630 923203427 /nfs/dbraw/zinc/20/34/27/923203427.db2.gz JMDRJEAQKIVAJS-UHFFFAOYSA-N 0 1 252.236 0.294 20 30 CCEDMN N#Cc1ccc(COC(=O)CCc2nc[nH]n2)nc1 ZINC001343411842 923306922 /nfs/dbraw/zinc/30/69/22/923306922.db2.gz MQFYUJNESLRXPD-UHFFFAOYSA-N 0 1 257.253 0.747 20 30 CCEDMN N#CC(C(=O)CCCCC(N)=O)C(=O)Nc1ccccn1 ZINC001343477761 923338176 /nfs/dbraw/zinc/33/81/76/923338176.db2.gz LWPUGMIRYCZDMA-SNVBAGLBSA-N 0 1 288.307 0.775 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCn3cnnc3[C@H]2C)CC1 ZINC001343565355 923371975 /nfs/dbraw/zinc/37/19/75/923371975.db2.gz CVIKKCZSLDRDIA-GFCCVEGCSA-N 0 1 287.367 0.527 20 30 CCEDMN N#CCC1(CNC(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)CC1 ZINC001343798907 923472854 /nfs/dbraw/zinc/47/28/54/923472854.db2.gz BJGBMLFDSNOCLF-LLVKDONJSA-N 0 1 290.367 0.149 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)[C@@]1(C)CCC(=O)NC1 ZINC001343854310 923483670 /nfs/dbraw/zinc/48/36/70/923483670.db2.gz XYFCPWZVGLNUOP-RNCFNFMXSA-N 0 1 260.297 0.513 20 30 CCEDMN CNC(=O)[C@@H](C#N)C(=O)c1nn(C)c(=O)c2ccccc12 ZINC001344543083 923632140 /nfs/dbraw/zinc/63/21/40/923632140.db2.gz QWMCUKBEADYLQZ-JTQLQIEISA-N 0 1 284.275 0.002 20 30 CCEDMN CCCN1CCCC[C@@H]1C(=O)NCC(=O)NCC#N ZINC001346131961 924080342 /nfs/dbraw/zinc/08/03/42/924080342.db2.gz WUMLGDSFGSMQFX-LLVKDONJSA-N 0 1 266.345 0.007 20 30 CCEDMN C=CCSCCNC(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001346667077 924287385 /nfs/dbraw/zinc/28/73/85/924287385.db2.gz WUPQQHDEEXWEQT-VXGBXAGGSA-N 0 1 269.414 0.410 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001347196563 924395889 /nfs/dbraw/zinc/39/58/89/924395889.db2.gz FPHNSVRGLXTRNU-VHSXEESVSA-N 0 1 265.317 0.497 20 30 CCEDMN C#CC1CCN(C(=O)[C@@H]2CN3CCN2C[C@H]3C)CC1 ZINC001347193842 924395914 /nfs/dbraw/zinc/39/59/14/924395914.db2.gz GLTOURLVOGZRLZ-OCCSQVGLSA-N 0 1 261.369 0.247 20 30 CCEDMN COCc1nc(CNC(=O)c2ccc(C#N)[nH]2)n[nH]1 ZINC001347840971 924549511 /nfs/dbraw/zinc/54/95/11/924549511.db2.gz CYSGVHNNWNGDPC-UHFFFAOYSA-N 0 1 260.257 0.081 20 30 CCEDMN COCc1nnc(CNC(=O)c2ccc(C#N)[nH]2)[nH]1 ZINC001347840971 924549519 /nfs/dbraw/zinc/54/95/19/924549519.db2.gz CYSGVHNNWNGDPC-UHFFFAOYSA-N 0 1 260.257 0.081 20 30 CCEDMN C#Cc1ccc(N2CC[N@@H+](C)C[C@@H](C(=O)OC)C2)nc1 ZINC001348213497 924620453 /nfs/dbraw/zinc/62/04/53/924620453.db2.gz DNVBNQPPNDZMCS-CYBMUJFWSA-N 0 1 273.336 0.604 20 30 CCEDMN C#Cc1ccc(N2CCN(C)C[C@@H](C(=O)OC)C2)nc1 ZINC001348213497 924620462 /nfs/dbraw/zinc/62/04/62/924620462.db2.gz DNVBNQPPNDZMCS-CYBMUJFWSA-N 0 1 273.336 0.604 20 30 CCEDMN C#CCN(CC1CC1)[C@H](C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC001348450491 924691875 /nfs/dbraw/zinc/69/18/75/924691875.db2.gz WEPGHWUWGJWRBP-TZMCWYRMSA-N 0 1 291.395 0.444 20 30 CCEDMN C=CCCC(=O)NCCNC(=O)[C@@H]1CCCCN1C ZINC001348614600 924750539 /nfs/dbraw/zinc/75/05/39/924750539.db2.gz FASXLETYBHIETH-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)Nc2nocc2C)CC1 ZINC001348846265 924825668 /nfs/dbraw/zinc/82/56/68/924825668.db2.gz DVBPNFSXFHURAL-UHFFFAOYSA-N 0 1 290.323 0.135 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)[C@H]2CN3CCN2C[C@H]3C)C1 ZINC001348888438 924836456 /nfs/dbraw/zinc/83/64/56/924836456.db2.gz VBMURWWOYYBARL-MGPQQGTHSA-N 0 1 261.369 0.247 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCNC(=O)Cc1n[nH]c(C)n1 ZINC001348934453 924845971 /nfs/dbraw/zinc/84/59/71/924845971.db2.gz IQJNYLVPEPDCST-UHFFFAOYSA-N 0 1 293.371 0.490 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNC(=O)[C@H]2CCCN2C)cc1 ZINC001349442907 924959583 /nfs/dbraw/zinc/95/95/83/924959583.db2.gz UIERKPWMAOBXOG-OAHLLOKOSA-N 0 1 299.374 0.608 20 30 CCEDMN CC(C)(C(=O)N1CC[C@](O)(CC#N)C1)c1c[nH]cn1 ZINC001349455262 924965457 /nfs/dbraw/zinc/96/54/57/924965457.db2.gz KAYDJUOMDKYJHD-CYBMUJFWSA-N 0 1 262.313 0.564 20 30 CCEDMN C#C[C@H](NC(=O)N(C)Cc1nnc[nH]1)C1CCOCC1 ZINC001350394002 925186768 /nfs/dbraw/zinc/18/67/68/925186768.db2.gz GTYNSBSPCUAWJT-NSHDSACASA-N 0 1 277.328 0.375 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CC[C@H](OC)C1 ZINC001351777424 925467352 /nfs/dbraw/zinc/46/73/52/925467352.db2.gz DXZVKZRIDZAGEL-VIFPVBQESA-N 0 1 275.316 0.474 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(O)(CC#C)CC2)CC1 ZINC001353016584 925702264 /nfs/dbraw/zinc/70/22/64/925702264.db2.gz FKXMTBGPOMYDSR-UHFFFAOYSA-N 0 1 288.391 0.708 20 30 CCEDMN C#CCNCC(=O)N1C[C@@H](C(=O)NCCCC)CC[C@H]1C ZINC001353211860 925763836 /nfs/dbraw/zinc/76/38/36/925763836.db2.gz LFLXBWFQBSZMEC-KGLIPLIRSA-N 0 1 293.411 0.753 20 30 CCEDMN N#CCC[C@@H](C#N)CNCC[C@]1(O)CCCOC1 ZINC001353248858 925773239 /nfs/dbraw/zinc/77/32/39/925773239.db2.gz VGIVCVGSFILRAV-QWHCGFSZSA-N 0 1 251.330 0.951 20 30 CCEDMN N#CCC[C@H](C#N)CNCC[C@]1(O)CCCOC1 ZINC001353248854 925773279 /nfs/dbraw/zinc/77/32/79/925773279.db2.gz VGIVCVGSFILRAV-CHWSQXEVSA-N 0 1 251.330 0.951 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001353369078 925815135 /nfs/dbraw/zinc/81/51/35/925815135.db2.gz MDYVQRYFYYRCOA-VIFPVBQESA-N 0 1 280.303 0.846 20 30 CCEDMN N#CC1(NC(=O)c2cnncc2O)CCSCC1 ZINC001353620605 925887843 /nfs/dbraw/zinc/88/78/43/925887843.db2.gz LOHZYRAOROFCOB-UHFFFAOYSA-N 0 1 264.310 0.701 20 30 CCEDMN C=CC[C@@H]1CCN1C(=O)CN1CCC(CO)CC1 ZINC001353822860 925939060 /nfs/dbraw/zinc/93/90/60/925939060.db2.gz ATAINWAGRFVWHC-CYBMUJFWSA-N 0 1 252.358 0.868 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001354052437 925997519 /nfs/dbraw/zinc/99/75/19/925997519.db2.gz USTDYSRVKKDDTD-AVGNSLFASA-N 0 1 296.367 0.490 20 30 CCEDMN O=C(c1cccc(C#CCO)c1)N1CC[C@@H](c2nc[nH]n2)C1 ZINC001354071874 926005164 /nfs/dbraw/zinc/00/51/64/926005164.db2.gz GTWNPJOSGOYCRD-CQSZACIVSA-N 0 1 296.330 0.778 20 30 CCEDMN C#CCNCC(=O)N1CCc2nc(C(C)C)ncc2C1 ZINC001354380752 926100281 /nfs/dbraw/zinc/10/02/81/926100281.db2.gz GSNFZNDXZWCQHY-UHFFFAOYSA-N 0 1 272.352 0.708 20 30 CCEDMN C=CCCOCC(=O)NCCN(C)C(=O)c1ccn[nH]1 ZINC001355691669 926303339 /nfs/dbraw/zinc/30/33/39/926303339.db2.gz GCLCGPZESPYQRD-UHFFFAOYSA-N 0 1 280.328 0.191 20 30 CCEDMN C=CCC(CC=C)C(=O)N(C)CCNC(=O)c1cnn[nH]1 ZINC001355735239 926307318 /nfs/dbraw/zinc/30/73/18/926307318.db2.gz CHXZCQSAOWBBKT-UHFFFAOYSA-N 0 1 291.355 0.761 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C[C@H](C)O)C1CC1 ZINC001356153089 926349290 /nfs/dbraw/zinc/34/92/90/926349290.db2.gz UMECEBQDODRWBF-VIFPVBQESA-N 0 1 289.343 0.599 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC(C)C)C1 ZINC001356273907 926363270 /nfs/dbraw/zinc/36/32/70/926363270.db2.gz CFGNQWCWFNWZGL-AXFHLTTASA-N 0 1 280.324 0.809 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)Cc1nnc[nH]1 ZINC001358400677 926688493 /nfs/dbraw/zinc/68/84/93/926688493.db2.gz FDXFFRZZUIYGDQ-SECBINFHSA-N 0 1 279.344 0.180 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001358544222 926740292 /nfs/dbraw/zinc/74/02/92/926740292.db2.gz FDXFFRZZUIYGDQ-VIFPVBQESA-N 0 1 279.344 0.180 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN1C(=O)Cc1c[nH]cn1 ZINC001358591288 926757760 /nfs/dbraw/zinc/75/77/60/926757760.db2.gz KHDDPPIFVOESAB-LBPRGKRZSA-N 0 1 276.340 0.636 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]1CNC(=O)CN1CCCC1 ZINC001358609554 926763308 /nfs/dbraw/zinc/76/33/08/926763308.db2.gz UIOSGADVWXNZST-ZDUSSCGKSA-N 0 1 279.384 0.766 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CNC(C)=O)C[C@@H]2C1 ZINC001421564203 926797913 /nfs/dbraw/zinc/79/79/13/926797913.db2.gz PWVAEMNDOCWIHF-TXEJJXNPSA-N 0 1 285.775 0.265 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc(F)c[nH]1 ZINC001422339759 927234153 /nfs/dbraw/zinc/23/41/53/927234153.db2.gz ZBLVGJJYBBAIGQ-SECBINFHSA-N 0 1 275.711 0.587 20 30 CCEDMN COC(=O)[C@H](CO)NC(=O)C(C#N)CCc1ccccc1 ZINC001361893843 927428285 /nfs/dbraw/zinc/42/82/85/927428285.db2.gz ZEWSBNCPEBKOOA-STQMWFEESA-N 0 1 290.319 0.409 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CN(CCn2cccn2)CC1(C)C ZINC001422799905 927519303 /nfs/dbraw/zinc/51/93/03/927519303.db2.gz MYSHFFBIIUOPJA-OLZOCXBDSA-N 0 1 289.383 0.869 20 30 CCEDMN Cc1ccc(F)c(CN[C@@H](CO)CNC(=O)[C@H](C)C#N)c1 ZINC001423190216 927726844 /nfs/dbraw/zinc/72/68/44/927726844.db2.gz OXKDLIMODKKZKA-DGCLKSJQSA-N 0 1 293.342 0.861 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC001423242176 927761289 /nfs/dbraw/zinc/76/12/89/927761289.db2.gz XKHOKXOKMIROLD-SNVBAGLBSA-N 0 1 285.775 0.504 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)NCc1ccc(C#N)s1 ZINC001362569769 928187906 /nfs/dbraw/zinc/18/79/06/928187906.db2.gz RZKZQTHPJGGSCR-GXFFZTMASA-N 0 1 290.392 0.624 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CN(C)C(C)=O ZINC001424771435 928287825 /nfs/dbraw/zinc/28/78/25/928287825.db2.gz OBMHUJCFANMXBT-VHSXEESVSA-N 0 1 275.780 0.700 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](CNC(=O)[C@@H]2CCCN2C)C1 ZINC001424777192 928291176 /nfs/dbraw/zinc/29/11/76/928291176.db2.gz VFJLYUSVSNBXGF-CYDGBPFRSA-N 0 1 292.383 0.251 20 30 CCEDMN CN1C[C@H](NS(=O)(=O)CC(C)(C)C#N)C(C)(C)C1 ZINC001424791875 928297101 /nfs/dbraw/zinc/29/71/01/928297101.db2.gz IUFVSEYUTCWXPE-JTQLQIEISA-N 0 1 273.402 0.796 20 30 CCEDMN Cc1onc(CC(=O)N2CCC[C@H]2c2nnc[nH]2)c1C#N ZINC001362688040 928357343 /nfs/dbraw/zinc/35/73/43/928357343.db2.gz JRRALPVLQNZHDK-NSHDSACASA-N 0 1 286.295 0.879 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NCCc1nc[nH]n1 ZINC001362740134 928430641 /nfs/dbraw/zinc/43/06/41/928430641.db2.gz ORLFCRBCRXCSQG-UHFFFAOYSA-N 0 1 271.280 0.657 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CNC(=O)OC)C1 ZINC001425878794 928695914 /nfs/dbraw/zinc/69/59/14/928695914.db2.gz GEYMKPJJLDHTEA-VHSXEESVSA-N 0 1 289.763 0.674 20 30 CCEDMN CCC(CC)(NC(=O)c1cc(C#N)c[nH]1)c1nn[nH]n1 ZINC001363138189 928909945 /nfs/dbraw/zinc/90/99/45/928909945.db2.gz SKJBITFMJQJGOU-UHFFFAOYSA-N 0 1 273.300 0.845 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](CNC(=O)[C@H]2CCCN2C)C1 ZINC001426848288 928930248 /nfs/dbraw/zinc/93/02/48/928930248.db2.gz VFJLYUSVSNBXGF-FVCCEPFGSA-N 0 1 292.383 0.251 20 30 CCEDMN N#Cc1ccc(NCC(=O)NC[C@@H](O)c2cnc[nH]2)cc1 ZINC001363419348 929206762 /nfs/dbraw/zinc/20/67/62/929206762.db2.gz WHUKYKZHQAOXEG-CYBMUJFWSA-N 0 1 285.307 0.543 20 30 CCEDMN CC(C)(CCC#N)C[NH2+]Cc1nc(CS(C)(=O)=O)n[n-]1 ZINC001363420851 929208566 /nfs/dbraw/zinc/20/85/66/929208566.db2.gz FBSGTVADQGQWSM-UHFFFAOYSA-N 0 1 299.400 0.769 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001429551001 929483205 /nfs/dbraw/zinc/48/32/05/929483205.db2.gz QBKLXQOKIVBRDV-CMPLNLGQSA-N 0 1 289.339 0.219 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](N(C)C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001372062304 929722999 /nfs/dbraw/zinc/72/29/99/929722999.db2.gz YXYLPQZNPROJHJ-KOLCDFICSA-N 0 1 289.339 0.551 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H](C)CN(C)C(=O)[C@H](C)C#N)c1C ZINC001443987000 929760902 /nfs/dbraw/zinc/76/09/02/929760902.db2.gz CHTTTXZLEJURDS-RKDXNWHRSA-N 0 1 291.355 0.763 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](O)CNCc1c(F)cccc1F ZINC001445149816 930051577 /nfs/dbraw/zinc/05/15/77/930051577.db2.gz HFZHMIBEYOQTNU-UWVGGRQHSA-N 0 1 297.305 0.691 20 30 CCEDMN CCN(CCNC(=O)[C@H](C)C#N)C(=O)[C@H]1CCCCN1C ZINC001373936399 930373283 /nfs/dbraw/zinc/37/32/83/930373283.db2.gz DYXNJWULGAOUHY-CHWSQXEVSA-N 0 1 294.399 0.595 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1C[C@H]1C(N)=O ZINC001446444904 930412972 /nfs/dbraw/zinc/41/29/72/930412972.db2.gz NECGHGFUGBMKSZ-AXFHLTTASA-N 0 1 285.775 0.441 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2COCCO2)CC1 ZINC001375395834 930874397 /nfs/dbraw/zinc/87/43/97/930874397.db2.gz FMWNOEZWIJIPOR-JTQLQIEISA-N 0 1 274.748 0.393 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)C(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001449198859 931099138 /nfs/dbraw/zinc/09/91/38/931099138.db2.gz XHCMJSFKUKAPFO-WDEREUQCSA-N 0 1 291.355 0.323 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001449560052 931158274 /nfs/dbraw/zinc/15/82/74/931158274.db2.gz OSHIUQZQYLFKDK-KPPDAEKUSA-N 0 1 289.339 0.123 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCS(C)(=O)=O ZINC001376761936 931262444 /nfs/dbraw/zinc/26/24/44/931262444.db2.gz MXPGRVZOYMNOHP-VIFPVBQESA-N 0 1 282.793 0.268 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1c[nH]c(=O)cn1 ZINC001376806397 931284232 /nfs/dbraw/zinc/28/42/32/931284232.db2.gz RWCGVDJEMMNRCU-QMMMGPOBSA-N 0 1 270.720 0.230 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@H]1CC[C@@H](C(=O)[O-])C1 ZINC000328010384 971441704 /nfs/dbraw/zinc/44/17/04/971441704.db2.gz PUWIDKLHMLJILU-NEPJUHHUSA-N 0 1 281.356 0.494 20 30 CCEDMN CC[N@H+](CC(=O)NCc1ccc(C#N)cc1)[C@H](C)C(=O)[O-] ZINC001589719049 950393016 /nfs/dbraw/zinc/39/30/16/950393016.db2.gz NQIVRCHOJXGKEE-LLVKDONJSA-N 0 1 289.335 0.969 20 30 CCEDMN CC[N@@H+](CC(=O)NCc1ccc(C#N)cc1)[C@H](C)C(=O)[O-] ZINC001589719049 950393034 /nfs/dbraw/zinc/39/30/34/950393034.db2.gz NQIVRCHOJXGKEE-LLVKDONJSA-N 0 1 289.335 0.969 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001589730075 950489039 /nfs/dbraw/zinc/48/90/39/950489039.db2.gz LOWJVAVWGBYKBN-QWHCGFSZSA-N 0 1 293.367 0.791 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NC[C@@H](CC)C(=O)[O-] ZINC000328307258 951124675 /nfs/dbraw/zinc/12/46/75/951124675.db2.gz VXJOQZKCLKGISE-LLVKDONJSA-N 0 1 269.345 0.352 20 30 CCEDMN Cn1cc([C@H]2C[C@@H](C(=O)[O-])C[N@H+](CCC#N)C2)cn1 ZINC001594538152 955598436 /nfs/dbraw/zinc/59/84/36/955598436.db2.gz QPRVNHIKOLIQMC-WDEREUQCSA-N 0 1 262.313 0.824 20 30 CCEDMN Cn1cc([C@H]2C[C@@H](C(=O)[O-])C[N@@H+](CCC#N)C2)cn1 ZINC001594538152 955598444 /nfs/dbraw/zinc/59/84/44/955598444.db2.gz QPRVNHIKOLIQMC-WDEREUQCSA-N 0 1 262.313 0.824 20 30 CCEDMN C#CC[C@@H]1CC[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001588392016 958028504 /nfs/dbraw/zinc/02/85/04/958028504.db2.gz RQQLHBISYWFPQI-GHMZBOCLSA-N 0 1 250.298 0.017 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@@H]1CCC[N@H+]2CCCC[C@H]12 ZINC001588410718 958142326 /nfs/dbraw/zinc/14/23/26/958142326.db2.gz ZFUYPNAOGLJEIR-CHWSQXEVSA-N 0 1 293.367 0.733 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H]1CCC2(CCOCC2)CO1 ZINC001588423751 958207636 /nfs/dbraw/zinc/20/76/36/958207636.db2.gz DPYCUDAZUKXURA-ZDUSSCGKSA-N 0 1 281.352 0.982 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H]1CCC2(CCOCC2)CO1 ZINC001588423751 958207648 /nfs/dbraw/zinc/20/76/48/958207648.db2.gz DPYCUDAZUKXURA-ZDUSSCGKSA-N 0 1 281.352 0.982 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)[C@]2(C(=O)[O-])CC2(C)C)C1 ZINC001588430155 958253260 /nfs/dbraw/zinc/25/32/60/958253260.db2.gz ISVSEWAZWKLERQ-ABAIWWIYSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)[C@]2(C(=O)[O-])CC2(C)C)C1 ZINC001588430155 958253277 /nfs/dbraw/zinc/25/32/77/958253277.db2.gz ISVSEWAZWKLERQ-ABAIWWIYSA-N 0 1 278.352 0.701 20 30 CCEDMN C=CCn1cc(C(=O)OC[C@@H]2CCC[N@@H+]2CC(=O)[O-])nn1 ZINC001588474652 958556323 /nfs/dbraw/zinc/55/63/23/958556323.db2.gz MAPZMXZDMIHHQF-JTQLQIEISA-N 0 1 294.311 0.170 20 30 CCEDMN CC(C)(C#N)CC[N@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001573381865 958666241 /nfs/dbraw/zinc/66/62/41/958666241.db2.gz OVIUFCHFIVHKOI-GWCFXTLKSA-N 0 1 252.314 0.959 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001588552668 959037763 /nfs/dbraw/zinc/03/77/63/959037763.db2.gz ZCYFLNRBDLFKRA-MNOVXSKESA-N 0 1 279.340 0.734 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)NCC[N@@H+]1CC=CCC1 ZINC001588558393 959086540 /nfs/dbraw/zinc/08/65/40/959086540.db2.gz RXWBYTRVHGHWQY-ZDUSSCGKSA-N 0 1 265.313 0.369 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)OC[C@H]1CCC[N@H+]1CC(=O)[O-] ZINC001594247256 959587705 /nfs/dbraw/zinc/58/77/05/959587705.db2.gz FGSIPBZQXQQSHW-LLVKDONJSA-N 0 1 291.307 0.901 20 30 CCEDMN N#Cc1cccc([C@H](O)C[N@H+]2CC[C@H](C(=O)[O-])C2)c1 ZINC001574490284 961712903 /nfs/dbraw/zinc/71/29/03/961712903.db2.gz ZQFDMUSFOIWXGE-QWHCGFSZSA-N 0 1 260.293 0.998 20 30 CCEDMN COCC[N@@H+](CCC(=O)[O-])C[C@H](O)CC1(C#N)CCC1 ZINC001574156377 962677094 /nfs/dbraw/zinc/67/70/94/962677094.db2.gz DQPGCSLYZNPUTO-GFCCVEGCSA-N 0 1 284.356 0.854 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001573327919 962808965 /nfs/dbraw/zinc/80/89/65/962808965.db2.gz XXBITKCVYVSUIC-NWDGAFQWSA-N 0 1 264.325 0.169 20 30 CCEDMN C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CNC(=O)[O-])[C@H]1CC ZINC001573343843 962909944 /nfs/dbraw/zinc/90/99/44/962909944.db2.gz KCYKAOMXBJYXLF-VHSXEESVSA-N 0 1 289.763 0.976 20 30 CCEDMN C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CNC(=O)[O-])[C@H]1CC ZINC001573343843 962909961 /nfs/dbraw/zinc/90/99/61/962909961.db2.gz KCYKAOMXBJYXLF-VHSXEESVSA-N 0 1 289.763 0.976 20 30 CCEDMN C=CC[N@H+](C)[C@H]1CCCN(C(=O)CNC(=O)[O-])C1 ZINC001573360452 963038767 /nfs/dbraw/zinc/03/87/67/963038767.db2.gz PECDUNVPYKFWDL-JTQLQIEISA-N 0 1 255.318 0.363 20 30 CCEDMN C=CCC[N@@H+]1CCC[C@@H](NC(=O)CNC(=O)[O-])[C@H]1C ZINC001573360732 963043315 /nfs/dbraw/zinc/04/33/15/963043315.db2.gz WOWMXUKPNFAEFA-GHMZBOCLSA-N 0 1 269.345 0.799 20 30 CCEDMN C[C@H](C#N)OCC[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001573366108 963059009 /nfs/dbraw/zinc/05/90/09/963059009.db2.gz YOZJROXUXCYLAX-ADEWGFFLSA-N 0 1 252.314 0.958 20 30 CCEDMN N#Cc1cccc(C[N@H+]2C[C@H](O)C[C@H](C(=O)[O-])C2)c1 ZINC000401822907 973422086 /nfs/dbraw/zinc/42/20/86/973422086.db2.gz ANOLBRQCPYEDJN-QWHCGFSZSA-N 0 1 260.293 0.826 20 30 CCEDMN C[C@@H](CCC#N)C[N@H+]1CCN(C)C[C@H](C(=O)[O-])C1 ZINC001592469828 978214487 /nfs/dbraw/zinc/21/44/87/978214487.db2.gz BAFZCTBRBVCWFU-RYUDHWBXSA-N 0 1 253.346 0.874 20 30 CCEDMN CC[C@@](COC)([NH2+]CC(=O)NC1(C#N)CCC1)C(=O)[O-] ZINC001595195332 979913115 /nfs/dbraw/zinc/91/31/15/979913115.db2.gz QJWONLVUEQFHFT-ZDUSSCGKSA-N 0 1 283.328 0.018 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@]1(COC)C(=O)[O-] ZINC001596299710 983725858 /nfs/dbraw/zinc/72/58/58/983725858.db2.gz XBEWTQUFCCFMLS-AWEZNQCLSA-N 0 1 297.355 0.314 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@]1(COC)C(=O)[O-] ZINC001596299710 983725859 /nfs/dbraw/zinc/72/58/59/983725859.db2.gz XBEWTQUFCCFMLS-AWEZNQCLSA-N 0 1 297.355 0.314 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1CC[C@H]2[C@H]1CCCN2CCO ZINC001588662611 983790240 /nfs/dbraw/zinc/79/02/40/983790240.db2.gz DBOXBWJWLABTTE-GRYCIOLGSA-N 0 1 254.330 0.157 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@@H+](CC1CC1)[C@H](C(N)=O)C1CC1 ZINC001588664328 983799669 /nfs/dbraw/zinc/79/96/69/983799669.db2.gz POIRZHYROGHAFR-QWRGUYRKSA-N 0 1 252.314 0.602 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+](CC1CC1)[C@H](C(N)=O)C1CC1 ZINC001588664328 983799671 /nfs/dbraw/zinc/79/96/71/983799671.db2.gz POIRZHYROGHAFR-QWRGUYRKSA-N 0 1 252.314 0.602 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+](CCO)Cc1cccnc1 ZINC001588728379 983981773 /nfs/dbraw/zinc/98/17/73/983981773.db2.gz JEGNDLXKANQGAH-GFCCVEGCSA-N 0 1 250.298 0.905 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC(OC)(OC)[C@H](O)C1 ZINC001588729172 983987642 /nfs/dbraw/zinc/98/76/42/983987642.db2.gz HLUBUVLRLYSMBE-VHSXEESVSA-N 0 1 259.302 0.071 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CCc2c(=O)[nH]n(C)c2C1 ZINC001588731253 984007555 /nfs/dbraw/zinc/00/75/55/984007555.db2.gz GTLWSBPCUDFDGK-SECBINFHSA-N 0 1 251.286 0.513 20 30 CCEDMN C=CCC[N@@H+](CC)CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001588807325 984259345 /nfs/dbraw/zinc/25/93/45/984259345.db2.gz JKSIGPANWQRWNS-MNOVXSKESA-N 0 1 254.330 0.721 20 30 CCEDMN C=CCCOCC(=O)O[C@H]1C[C@H](C(=O)[O-])[N@H+](C)C1 ZINC001588810310 984266474 /nfs/dbraw/zinc/26/64/74/984266474.db2.gz IIMQZRRKSJABFF-VHSXEESVSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCCOCC(=O)O[C@H]1C[C@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588810310 984266479 /nfs/dbraw/zinc/26/64/79/984266479.db2.gz IIMQZRRKSJABFF-VHSXEESVSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CCC[C@](C)(C(=O)[O-])C2)C1=O ZINC001588838688 984339832 /nfs/dbraw/zinc/33/98/32/984339832.db2.gz IGNKDMRNTGDXFE-RISCZKNCSA-N 0 1 266.341 0.960 20 30 CCEDMN C=CCN1CC[C@@H]([NH2+][C@@H](Cc2cncs2)C(=O)[O-])C1=O ZINC001588838784 984341075 /nfs/dbraw/zinc/34/10/75/984341075.db2.gz JTQUEQJEWINWBB-MNOVXSKESA-N 0 1 295.364 0.515 20 30 CCEDMN C=CCN1CCN(C[N@H+]2CCSC[C@H]2CC(=O)[O-])C1=O ZINC001588838909 984342690 /nfs/dbraw/zinc/34/26/90/984342690.db2.gz LSMWSOWXDQALKN-LLVKDONJSA-N 0 1 299.396 0.760 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2CCSC[C@H]2CC(=O)[O-])C1=O ZINC001588838909 984342697 /nfs/dbraw/zinc/34/26/97/984342697.db2.gz LSMWSOWXDQALKN-LLVKDONJSA-N 0 1 299.396 0.760 20 30 CCEDMN CC#CCCNC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC001588927082 984596576 /nfs/dbraw/zinc/59/65/76/984596576.db2.gz LXDFYBZGOGEDFI-LBPRGKRZSA-N 0 1 281.356 0.590 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)CC2(C#N)CC2)C[C@@]1(C)C(=O)[O-] ZINC001598572964 993228547 /nfs/dbraw/zinc/22/85/47/993228547.db2.gz KHJFRQXTPILWKX-CABZTGNLSA-N 0 1 266.297 0.771 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)c2ccc(C#N)o2)C[C@H]1C(=O)[O-] ZINC001598574317 993250730 /nfs/dbraw/zinc/25/07/30/993250730.db2.gz KACRVEKDBGTWPO-BDAKNGLRSA-N 0 1 264.237 0.465 20 30 CCEDMN COC(=O)[C@@H]1C[N@H+]([C@@H]2CC[C@@H](C#N)C2)C[C@@H]1C(=O)[O-] ZINC001598818460 996297322 /nfs/dbraw/zinc/29/73/22/996297322.db2.gz KFEWKLYXTLIDKH-CHWFTXMASA-N 0 1 266.297 0.484 20 30 CCEDMN C[C@@]1(C(=O)[O-])CC[N@@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC001593795719 996512117 /nfs/dbraw/zinc/51/21/17/996512117.db2.gz GTZGOZNUEAQMIT-GFCCVEGCSA-N 0 1 265.313 0.345 20 30 CCEDMN C[C@]1(CO)C[C@H](O)C[N@@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC001594031775 997610391 /nfs/dbraw/zinc/61/03/91/997610391.db2.gz GXFFONHUOFWWTE-XHDPSFHLSA-N 0 1 290.319 0.574 20 30 CCEDMN C[C@]1(CO)C[C@H](O)C[N@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC001594031775 997610397 /nfs/dbraw/zinc/61/03/97/997610397.db2.gz GXFFONHUOFWWTE-XHDPSFHLSA-N 0 1 290.319 0.574 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCN(CCCF)CC1 ZINC000599669616 361780521 /nfs/dbraw/zinc/78/05/21/361780521.db2.gz MHDCCVFCNVIXCV-CYBMUJFWSA-N 0 1 296.390 0.915 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000352859457 529489713 /nfs/dbraw/zinc/48/97/13/529489713.db2.gz FQZDTNXIHJYDBJ-OCCSQVGLSA-N 0 1 266.389 0.678 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000352859456 529489755 /nfs/dbraw/zinc/48/97/55/529489755.db2.gz FQZDTNXIHJYDBJ-JSGCOSHPSA-N 0 1 266.389 0.678 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000352246462 529490905 /nfs/dbraw/zinc/49/09/05/529490905.db2.gz PYGSBPAXPPCRGG-AAEUAGOBSA-N 0 1 253.346 0.763 20 30 CCEDMN CN1CCN(CCNC(=O)C2(C#N)CC3(CC3)C2)CC1 ZINC000600977221 362112921 /nfs/dbraw/zinc/11/29/21/362112921.db2.gz DDDWZCSUPHEUMI-UHFFFAOYSA-N 0 1 276.384 0.434 20 30 CCEDMN CN1CC[C@H](N2CCC(Nc3ccc(C#N)cn3)CC2)C1=O ZINC000601176133 362167478 /nfs/dbraw/zinc/16/74/78/362167478.db2.gz GNEJVOSNEUXBNC-AWEZNQCLSA-N 0 1 299.378 0.482 20 30 CCEDMN Cc1cc(CNC(=O)N2CCN(CCC#N)CC2)n[nH]1 ZINC000601576849 362314927 /nfs/dbraw/zinc/31/49/27/362314927.db2.gz XIKSSQBBVURDSK-UHFFFAOYSA-N 0 1 276.344 0.459 20 30 CCEDMN N#CC1(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)CC2(CC2)C1 ZINC000601654500 362342309 /nfs/dbraw/zinc/34/23/09/362342309.db2.gz FDINADWNVUKWML-NWDGAFQWSA-N 0 1 275.352 0.348 20 30 CCEDMN N#Cc1ccc(CCNC(=O)CCc2c[nH]nn2)cc1 ZINC000601666382 362346989 /nfs/dbraw/zinc/34/69/89/362346989.db2.gz ZXMBXJANSHSVPF-UHFFFAOYSA-N 0 1 269.308 0.968 20 30 CCEDMN N#Cc1ccc(CCNC(=O)CCc2cnn[nH]2)cc1 ZINC000601666382 362346994 /nfs/dbraw/zinc/34/69/94/362346994.db2.gz ZXMBXJANSHSVPF-UHFFFAOYSA-N 0 1 269.308 0.968 20 30 CCEDMN C=C(C)C[C@H](NC(=O)Cc1cc(C)n[nH]1)C(=O)OC ZINC000601782734 362390980 /nfs/dbraw/zinc/39/09/80/362390980.db2.gz SBYVCEHGOGPREM-NSHDSACASA-N 0 1 265.313 0.885 20 30 CCEDMN C[C@H](C(=O)N1CCN(c2cc(C#N)ccn2)CC1)N(C)C ZINC000602055544 362469991 /nfs/dbraw/zinc/46/99/91/362469991.db2.gz QZLQJZPWLRXLGX-GFCCVEGCSA-N 0 1 287.367 0.552 20 30 CCEDMN C[C@H](C(=O)N1CCN(c2ccc(C#N)cn2)CC1)N(C)C ZINC000602077705 362473031 /nfs/dbraw/zinc/47/30/31/362473031.db2.gz FNUNKLCUVRQOBV-GFCCVEGCSA-N 0 1 287.367 0.552 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)[C@H]2CCN2C2CCCC2)C1 ZINC000602166921 362537810 /nfs/dbraw/zinc/53/78/10/362537810.db2.gz JFPIUTNRTNEKDE-HIFRSBDPSA-N 0 1 277.368 0.880 20 30 CCEDMN C[C@@H]1CN(Cc2cccc(C#N)n2)CCN1CCO ZINC000602625522 362719632 /nfs/dbraw/zinc/71/96/32/362719632.db2.gz QVOYBIUQJSMWCZ-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN CS(=O)(=O)NC[C@H]1CCCN(CC2(CC#N)CC2)C1 ZINC000602786844 362799993 /nfs/dbraw/zinc/79/99/93/362799993.db2.gz WFNYQVGCYZNCHY-GFCCVEGCSA-N 0 1 285.413 0.941 20 30 CCEDMN C[C@@H]1CN(CCOCCC#N)C[C@H](C)N1CC#N ZINC000602847420 362834894 /nfs/dbraw/zinc/83/48/94/362834894.db2.gz BFPHFDGWJKBMNN-BETUJISGSA-N 0 1 250.346 0.835 20 30 CCEDMN N#Cc1csc(CNC[C@@H]2CS(=O)(=O)CCO2)c1 ZINC000535072097 290825989 /nfs/dbraw/zinc/82/59/89/290825989.db2.gz YYUJBIDKKLVNLG-SNVBAGLBSA-N 0 1 286.378 0.523 20 30 CCEDMN N#Cc1ncn(CCN=c2nc[nH]c3ccsc32)n1 ZINC000343541184 137140924 /nfs/dbraw/zinc/14/09/24/137140924.db2.gz LTCZYFUFVBHXTJ-UHFFFAOYSA-N 0 1 271.309 0.688 20 30 CCEDMN CC(C)N1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)CC1 ZINC000028973206 348109370 /nfs/dbraw/zinc/10/93/70/348109370.db2.gz MFXXACUKLVBCHW-HNNXBMFYSA-N 0 1 278.400 0.821 20 30 CCEDMN CCN1CCN(C[C@@H](O)COc2ccc(C#N)cc2)CC1 ZINC000032757880 348138561 /nfs/dbraw/zinc/13/85/61/348138561.db2.gz CMXQRSFEWZZCRO-OAHLLOKOSA-N 0 1 289.379 0.935 20 30 CCEDMN C[C@H](COCC1CC1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602887376 362866136 /nfs/dbraw/zinc/86/61/36/362866136.db2.gz PMXVTPNBTNCTNZ-SECBINFHSA-N 0 1 277.328 0.650 20 30 CCEDMN COc1ccc(CN2C[C@@H](O)C[C@@]2(C)CO)cc1C#N ZINC000602900850 362875631 /nfs/dbraw/zinc/87/56/31/362875631.db2.gz WBCOGRGWSWDBCY-ZFWWWQNUSA-N 0 1 276.336 0.884 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC(CO)CC1 ZINC000046091364 348349567 /nfs/dbraw/zinc/34/95/67/348349567.db2.gz WOSLFTPJSWZESK-LLVKDONJSA-N 0 1 253.346 0.451 20 30 CCEDMN CC[C@@](C)(NCC(=O)NCc1cccc(C#N)c1)C(N)=O ZINC000351472798 137426775 /nfs/dbraw/zinc/42/67/75/137426775.db2.gz QMPDJKTWUPQXJT-OAHLLOKOSA-N 0 1 288.351 0.418 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@H]1[C@@H](O)CC ZINC000074287430 348982486 /nfs/dbraw/zinc/98/24/86/348982486.db2.gz JPIBCTLXPAGDPT-RYUDHWBXSA-N 0 1 283.372 0.624 20 30 CCEDMN C=C(Cl)CNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000077791397 349122098 /nfs/dbraw/zinc/12/20/98/349122098.db2.gz XMBZZBKQHCFDGO-SNVBAGLBSA-N 0 1 260.769 0.284 20 30 CCEDMN C[C@@H](C#N)CNC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000171805156 350935890 /nfs/dbraw/zinc/93/58/90/350935890.db2.gz VEOOKSRYZVXFIK-LBPRGKRZSA-N 0 1 287.367 0.723 20 30 CCEDMN Cn1cc(CN2CCC(CC(N)=O)CC2)cc1C#N ZINC000191239702 351751317 /nfs/dbraw/zinc/75/13/17/351751317.db2.gz XGWVDXIRWHELBJ-UHFFFAOYSA-N 0 1 260.341 0.984 20 30 CCEDMN CN1CCC[C@@H]1CNS(=O)(=O)c1ccc(C#N)nc1 ZINC000234314886 352156869 /nfs/dbraw/zinc/15/68/69/352156869.db2.gz NUGZTRNQRWVTFB-LLVKDONJSA-N 0 1 280.353 0.326 20 30 CCEDMN CC[C@@H]1CN(C(=O)c2c[nH]c(C#N)c2)CCN1C[C@H](C)O ZINC000245652752 352332580 /nfs/dbraw/zinc/33/25/80/352332580.db2.gz BVAQHJWKHMIJFA-SMDDNHRTSA-N 0 1 290.367 0.804 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCCc1nc[nH]n1)OCC ZINC000270160975 352907318 /nfs/dbraw/zinc/90/73/18/352907318.db2.gz JKKOPSDMYNETKR-JTQLQIEISA-N 0 1 252.318 0.835 20 30 CCEDMN N#Cc1ccccc1OCCN1CC[C@@H](NC(N)=O)C1 ZINC000270789334 352985535 /nfs/dbraw/zinc/98/55/35/352985535.db2.gz VFJMNIWYKWEGAO-GFCCVEGCSA-N 0 1 274.324 0.680 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N[C@@H](C)c1cn[nH]c1 ZINC000275259105 353199913 /nfs/dbraw/zinc/19/99/13/353199913.db2.gz ZUBYXMAXOIIJQZ-IUCAKERBSA-N 0 1 265.317 0.461 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN(C(=O)c3cnc[nH]3)C2)nc1 ZINC000292424654 198284120 /nfs/dbraw/zinc/28/41/20/198284120.db2.gz QDUVDHHSBULYAE-LLVKDONJSA-N 0 1 283.291 0.970 20 30 CCEDMN COc1cccc(OC)c1CNCCn1cnc(C#N)n1 ZINC000285667169 353560904 /nfs/dbraw/zinc/56/09/04/353560904.db2.gz ZLYZWHHJZVOLMO-UHFFFAOYSA-N 0 1 287.323 0.957 20 30 CCEDMN CN(CCCCCO)C(=O)N=c1[nH]n(C)cc1C#N ZINC000618994191 366140868 /nfs/dbraw/zinc/14/08/68/366140868.db2.gz FZXMNPRIHVEWFG-UHFFFAOYSA-N 0 1 265.317 0.340 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@]1(C)CCCOC1 ZINC000329148188 354253422 /nfs/dbraw/zinc/25/34/22/354253422.db2.gz PNYNQSKDDTTYOT-UKRRQHHQSA-N 0 1 298.431 0.695 20 30 CCEDMN CC(C)N1CCN(C(=O)CNc2ccc(C#N)cn2)CC1 ZINC000330533674 354397297 /nfs/dbraw/zinc/39/72/97/354397297.db2.gz CPGONOOQALZSRY-UHFFFAOYSA-N 0 1 287.367 0.340 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(C(=O)c2ccc(C#N)[nH]2)C1 ZINC000331459105 354580029 /nfs/dbraw/zinc/58/00/29/354580029.db2.gz YVEDDXOUEQFRBD-KOLCDFICSA-N 0 1 286.295 0.607 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](OCCOC)C2)C1=O ZINC000337186249 355280502 /nfs/dbraw/zinc/28/05/02/355280502.db2.gz DEEJUKWIOPUALJ-STQMWFEESA-N 0 1 268.357 0.511 20 30 CCEDMN N#Cc1csc(CN[C@H](CO)C2CCOCC2)n1 ZINC000352844341 356095409 /nfs/dbraw/zinc/09/54/09/356095409.db2.gz XMDMNHMSSCOHOS-LLVKDONJSA-N 0 1 267.354 0.892 20 30 CCEDMN N#Cc1ccnc(N2CCN([C@@H]3CCC[C@H]3O)CC2)c1 ZINC000361942241 138038842 /nfs/dbraw/zinc/03/88/42/138038842.db2.gz GLXZWAOTOLMEGD-ZIAGYGMSSA-N 0 1 272.352 0.989 20 30 CCEDMN N#Cc1ccnc(N2CCN([C@@H]3CCC[C@@H]3O)CC2)c1 ZINC000361942239 138038969 /nfs/dbraw/zinc/03/89/69/138038969.db2.gz GLXZWAOTOLMEGD-KGLIPLIRSA-N 0 1 272.352 0.989 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2cccc(C#N)c2)C[C@H]1CO ZINC000362062715 138044083 /nfs/dbraw/zinc/04/40/83/138044083.db2.gz FITHOBKSQGXMBO-DOMZBBRYSA-N 0 1 287.363 0.735 20 30 CCEDMN CCC[C@@H](NC(=O)Cc1noc(C)c1C#N)c1nn[nH]n1 ZINC000354556387 356437580 /nfs/dbraw/zinc/43/75/80/356437580.db2.gz UOKBDJAJNZGRPW-SECBINFHSA-N 0 1 289.299 0.568 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000619556382 366280747 /nfs/dbraw/zinc/28/07/47/366280747.db2.gz UWIRAYIQVRDMKL-ZYHUDNBSSA-N 0 1 289.339 0.816 20 30 CCEDMN C[C@H](Oc1cccnc1)C(=O)NC1(C#N)CCN(C)CC1 ZINC000609793279 363518121 /nfs/dbraw/zinc/51/81/21/363518121.db2.gz CUZCZMQEHMOEQJ-LBPRGKRZSA-N 0 1 288.351 0.953 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)C(C)(C)CO ZINC000609894862 363525653 /nfs/dbraw/zinc/52/56/53/363525653.db2.gz HEHGYTPIYQBNFZ-LLVKDONJSA-N 0 1 255.362 0.697 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2ccnc(C#N)c2)[C@H](C)C1 ZINC000619721738 366341637 /nfs/dbraw/zinc/34/16/37/366341637.db2.gz KLWQFJIEZBHJFD-OLZOCXBDSA-N 0 1 274.368 0.840 20 30 CCEDMN CC(C)COCCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610528072 363572325 /nfs/dbraw/zinc/57/23/25/363572325.db2.gz LJBVLQVZLZKIEE-UHFFFAOYSA-N 0 1 279.344 0.898 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@](O)(C2CC2)C1 ZINC000365105558 357136043 /nfs/dbraw/zinc/13/60/43/357136043.db2.gz AEVFPFPUQSLVTP-HUUCEWRRSA-N 0 1 279.384 0.888 20 30 CCEDMN Cc1cc(CNC(=O)N=c2[nH]n(C)cc2C#N)[nH]n1 ZINC000610563225 363577947 /nfs/dbraw/zinc/57/79/47/363577947.db2.gz WPJYMWZJMNDQQM-UHFFFAOYSA-N 0 1 259.273 0.067 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H](CCO)c2ccccc2)[nH]1 ZINC000610563135 363577642 /nfs/dbraw/zinc/57/76/42/363577642.db2.gz SWUBNMPCYPVQLI-CYBMUJFWSA-N 0 1 299.334 0.959 20 30 CCEDMN C[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CCCO1 ZINC000610562189 363576271 /nfs/dbraw/zinc/57/62/71/363576271.db2.gz ZDBTZVYIDMRIFO-VIFPVBQESA-N 0 1 263.301 0.356 20 30 CCEDMN N#Cc1ccsc1NC(=O)CCN1C[C@H](O)C[C@H](O)C1 ZINC000610604588 363583733 /nfs/dbraw/zinc/58/37/33/363583733.db2.gz XVMVEPWHVBWXFY-PHIMTYICSA-N 0 1 295.364 0.376 20 30 CCEDMN CS(=O)(=O)N1CC[C@H](NCc2ccc(C#N)s2)C1 ZINC000400237634 357792663 /nfs/dbraw/zinc/79/26/63/357792663.db2.gz VMIRSAWYETURFX-VIFPVBQESA-N 0 1 285.394 0.743 20 30 CCEDMN N#CC1(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)CCCCC1 ZINC000405256561 357823934 /nfs/dbraw/zinc/82/39/34/357823934.db2.gz MPLRNCXMWLEXKC-QWHCGFSZSA-N 0 1 277.368 0.738 20 30 CCEDMN C[C@@H]1CN(c2cccc(C#N)n2)C[C@H](C)N1CCO ZINC000450788879 236111646 /nfs/dbraw/zinc/11/16/46/236111646.db2.gz DQJZRJJYQUIRSM-TXEJJXNPSA-N 0 1 260.341 0.845 20 30 CCEDMN C#CCNC(=O)CCN(CCOC)Cc1cccnc1 ZINC000294606078 199104425 /nfs/dbraw/zinc/10/44/25/199104425.db2.gz NXZZMQCVXOWWKL-UHFFFAOYSA-N 0 1 275.352 0.670 20 30 CCEDMN CC(C)CO[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610730796 363604537 /nfs/dbraw/zinc/60/45/37/363604537.db2.gz FZDQFJPCIIZBDI-GFCCVEGCSA-N 0 1 291.355 0.992 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000543804272 358548547 /nfs/dbraw/zinc/54/85/47/358548547.db2.gz ZLCKWTKOEATRFF-NWDGAFQWSA-N 0 1 267.377 0.081 20 30 CCEDMN N#Cc1ccncc1N1CCN(CC2(O)CCC2)CC1 ZINC000567823518 358594365 /nfs/dbraw/zinc/59/43/65/358594365.db2.gz KLRSXBGWLMSGTA-UHFFFAOYSA-N 0 1 272.352 0.990 20 30 CCEDMN N#Cc1cccc(NC(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)n1 ZINC000569360630 358758234 /nfs/dbraw/zinc/75/82/34/358758234.db2.gz GGUSSNUYUHAVLK-LLVKDONJSA-N 0 1 299.334 0.245 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN[C@H](CO)c1cccc(F)c1 ZINC000572039206 358926545 /nfs/dbraw/zinc/92/65/45/358926545.db2.gz NXFGAUCXMJDXFU-HUUCEWRRSA-N 0 1 295.358 0.655 20 30 CCEDMN CC1(C)CN(c2ccncc2C#N)CCN1CCO ZINC000588026878 359308413 /nfs/dbraw/zinc/30/84/13/359308413.db2.gz RXUWAOBYJKRQOP-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)N=c2ccn(CCC#N)[nH]2)n[nH]1 ZINC000588249319 359319085 /nfs/dbraw/zinc/31/90/85/359319085.db2.gz UTOCFWWXSTXHAF-MRVPVSSYSA-N 0 1 288.315 0.528 20 30 CCEDMN CCN1CCN([C@@H](C)C(=O)N(C)CCC#N)C[C@@H]1C ZINC000611092556 363658868 /nfs/dbraw/zinc/65/88/68/363658868.db2.gz ZFVPDICGDUBPAP-STQMWFEESA-N 0 1 266.389 0.773 20 30 CCEDMN Cc1cnccc1CCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611111999 363661249 /nfs/dbraw/zinc/66/12/49/363661249.db2.gz QDPSYFLIUZGFEH-UHFFFAOYSA-N 0 1 284.323 0.781 20 30 CCEDMN CO[C@](C)(CNC(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000589761832 359400534 /nfs/dbraw/zinc/40/05/34/359400534.db2.gz JWKGBWBSJFDWFP-CYBMUJFWSA-N 0 1 277.328 0.650 20 30 CCEDMN CCC[C@@H](NC(=O)c1cccc(C#N)n1)c1nn[nH]n1 ZINC000589840144 359405207 /nfs/dbraw/zinc/40/52/07/359405207.db2.gz SLUUCSLVSPKYQI-SECBINFHSA-N 0 1 271.284 0.738 20 30 CCEDMN C[C@@H](C(=O)N1CC[C@@H](Oc2ccc(C#N)cn2)C1)N(C)C ZINC000590416239 359455402 /nfs/dbraw/zinc/45/54/02/359455402.db2.gz IRJQTZILOMXYRZ-WCQYABFASA-N 0 1 288.351 0.883 20 30 CCEDMN N#CC1(NC(=O)CN2CCCC[C@@H]2C2(O)CC2)CCC1 ZINC000590969168 359543580 /nfs/dbraw/zinc/54/35/80/359543580.db2.gz UOAYUZVPCUTOKL-GFCCVEGCSA-N 0 1 277.368 0.928 20 30 CCEDMN CO[C@H]([C@@H](C)NC(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000590819769 359527090 /nfs/dbraw/zinc/52/70/90/359527090.db2.gz UWAPRXDZWYUAPQ-LDYMZIIASA-N 0 1 277.328 0.649 20 30 CCEDMN N#Cc1cncnc1N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000563039677 291183991 /nfs/dbraw/zinc/18/39/91/291183991.db2.gz ZQRZMWGZHOOJQJ-QMMMGPOBSA-N 0 1 272.268 0.821 20 30 CCEDMN C[NH+](C)CC[C@@](C)(O)CNC(=O)c1cc([O-])cc(C#N)c1 ZINC000591422686 359589048 /nfs/dbraw/zinc/58/90/48/359589048.db2.gz RBVYFIWXQBQRGC-OAHLLOKOSA-N 0 1 291.351 0.696 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)C(=O)N(C)C[C@H](C)C#N)CCN1 ZINC000591582936 359602601 /nfs/dbraw/zinc/60/26/01/359602601.db2.gz SMXIZKLFEOCVCN-VXGBXAGGSA-N 0 1 280.372 0.061 20 30 CCEDMN N#Cc1ccc(CN2CCC[C@](O)(CC(N)=O)C2)c(F)c1 ZINC000591629121 359616813 /nfs/dbraw/zinc/61/68/13/359616813.db2.gz CZXSBFWBOBGERT-HNNXBMFYSA-N 0 1 291.326 0.900 20 30 CCEDMN COc1cccc(CNC[C@H](O)CN(C)CCC#N)n1 ZINC000591728759 359648778 /nfs/dbraw/zinc/64/87/78/359648778.db2.gz FIHQCAKJSSDXJO-ZDUSSCGKSA-N 0 1 278.356 0.386 20 30 CCEDMN N#Cc1ccc(CNCCC(=O)NC(N)=O)c(F)c1 ZINC000591734024 359650084 /nfs/dbraw/zinc/65/00/84/359650084.db2.gz WIOJOIPUUVOKLL-UHFFFAOYSA-N 0 1 264.260 0.372 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)Nc2cccc(C#N)n2)C1 ZINC000563098890 291192931 /nfs/dbraw/zinc/19/29/31/291192931.db2.gz SCKWREQBPPZAGP-LBPRGKRZSA-N 0 1 288.355 0.321 20 30 CCEDMN N#Cc1cncc(N2CCN([C@H]3CCC[C@@H]3O)CC2)n1 ZINC000591907720 359687861 /nfs/dbraw/zinc/68/78/61/359687861.db2.gz HQMPAXWYHHMXFL-STQMWFEESA-N 0 1 273.340 0.384 20 30 CCEDMN N#Cc1cncnc1N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000591908062 359688440 /nfs/dbraw/zinc/68/84/40/359688440.db2.gz PBEVRIPCEKMEIC-OLZOCXBDSA-N 0 1 273.340 0.384 20 30 CCEDMN CN1CCC[C@@H](NC(=O)N2CC[C@](O)(CC#N)C2)C1 ZINC000591926457 359703765 /nfs/dbraw/zinc/70/37/65/359703765.db2.gz YMTDGJYGAKHZNP-DGCLKSJQSA-N 0 1 266.345 0.141 20 30 CCEDMN C[C@@H]1CN(Cc2ccncc2C#N)[C@H](C)CN1CCO ZINC000592125402 359760367 /nfs/dbraw/zinc/76/03/67/359760367.db2.gz WJNLWESJHVYGEZ-CHWSQXEVSA-N 0 1 274.368 0.840 20 30 CCEDMN CNC(=O)CCN(C)Cc1cnc2c(C#N)cnn2c1 ZINC000563154317 291200501 /nfs/dbraw/zinc/20/05/01/291200501.db2.gz KGBIHVZQSSNIOE-UHFFFAOYSA-N 0 1 272.312 0.169 20 30 CCEDMN N#CCC[C@@H](C#N)CNC[C@H](O)COCC1CC1 ZINC000592432235 359839847 /nfs/dbraw/zinc/83/98/47/359839847.db2.gz QSYMCGXRWNYARN-STQMWFEESA-N 0 1 251.330 0.807 20 30 CCEDMN N#Cc1ccc(N2CCN([C@@H]3CCC[C@@H]3O)CC2)nn1 ZINC000611240791 363692933 /nfs/dbraw/zinc/69/29/33/363692933.db2.gz VIFXXDNMFIZQCD-OLZOCXBDSA-N 0 1 273.340 0.384 20 30 CCEDMN CCOCCO[C@H](C)C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592647456 359907975 /nfs/dbraw/zinc/90/79/75/359907975.db2.gz WQXZYAQGDBUZFA-SNVBAGLBSA-N 0 1 280.328 0.402 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCO[C@@H](C)C2)[nH]n1C ZINC000592655004 359910336 /nfs/dbraw/zinc/91/03/36/359910336.db2.gz UUZMXJXJFHOONI-WCBMZHEXSA-N 0 1 262.313 0.776 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000592845333 359952274 /nfs/dbraw/zinc/95/22/74/359952274.db2.gz HWWOEHAKVSRIHX-QMTHXVAHSA-N 0 1 274.324 0.707 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000592849025 359954616 /nfs/dbraw/zinc/95/46/16/359954616.db2.gz FRVTUHZNJOWAGV-OAHLLOKOSA-N 0 1 297.318 0.962 20 30 CCEDMN CC[C@H](C#N)C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000593476467 360095250 /nfs/dbraw/zinc/09/52/50/360095250.db2.gz ZVHGHUPJHIDDDB-KGLIPLIRSA-N 0 1 294.399 0.059 20 30 CCEDMN C[C@@H](C(=O)N1[C@H](C)CN(C)[C@H](C)[C@@H]1C)n1cnc(C#N)n1 ZINC000593189476 360051661 /nfs/dbraw/zinc/05/16/61/360051661.db2.gz QOMSTHKTTYFDQT-WYUUTHIRSA-N 0 1 290.371 0.650 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1ccsc1C#N ZINC000593521621 360105933 /nfs/dbraw/zinc/10/59/33/360105933.db2.gz CHGUUNTZJBVSGM-UHFFFAOYSA-N 0 1 259.356 0.460 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000593576940 360115408 /nfs/dbraw/zinc/11/54/08/360115408.db2.gz VNTSYYHIIAMUIX-ZDUSSCGKSA-N 0 1 295.383 0.222 20 30 CCEDMN C[C@H](CO)N[C@H]1CC(=O)N(c2ccc(C#N)cc2)C1=O ZINC000593921616 360217476 /nfs/dbraw/zinc/21/74/76/360217476.db2.gz YXBRSHGQSPQIRU-SKDRFNHKSA-N 0 1 273.292 0.161 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cc2cncc(O)c2)CC1 ZINC000594422019 360298898 /nfs/dbraw/zinc/29/88/98/360298898.db2.gz XZHCXXSHKYPJLB-UHFFFAOYSA-N 0 1 274.324 0.434 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)c2ccc(C#N)nc2)CCN1 ZINC000595412878 360525010 /nfs/dbraw/zinc/52/50/10/360525010.db2.gz NSJBCICVHFIGQO-ZDUSSCGKSA-N 0 1 294.380 0.572 20 30 CCEDMN CN1CC(=O)N(CCN2CCC[C@H](CC#N)C2)C1=O ZINC000595623146 360601765 /nfs/dbraw/zinc/60/17/65/360601765.db2.gz IVMNQKUEMOQQBU-LLVKDONJSA-N 0 1 264.329 0.506 20 30 CCEDMN N#Cc1cccc(C2(NCC(N)=O)CCOCC2)c1 ZINC000595772518 360648689 /nfs/dbraw/zinc/64/86/89/360648689.db2.gz HJFHPAHZFZCUIH-UHFFFAOYSA-N 0 1 259.309 0.639 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](C)C(O)[C@H](C)C1 ZINC000595741840 360636205 /nfs/dbraw/zinc/63/62/05/360636205.db2.gz DOYRKCBJVJVJRM-JHJVBQTASA-N 0 1 281.400 0.943 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H]2NC(=O)CC[C@@H]2C1 ZINC000595744968 360638128 /nfs/dbraw/zinc/63/81/28/360638128.db2.gz WUDUMZOZONAFOF-OLZOCXBDSA-N 0 1 292.383 0.349 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@H](C)[C@H]1O ZINC000595747607 360638237 /nfs/dbraw/zinc/63/82/37/360638237.db2.gz AIIPMZDQCWINOJ-FDRIWYBQSA-N 0 1 279.384 0.744 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)N1CCC(C(=O)OCC)CC1 ZINC000595863441 360683207 /nfs/dbraw/zinc/68/32/07/360683207.db2.gz GVYRATSFSJTBPS-WCQYABFASA-N 0 1 298.383 0.313 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC(=O)N[C@H]1CCCC[C@@H]1C ZINC000595864021 360683741 /nfs/dbraw/zinc/68/37/41/360683741.db2.gz MSZKFSSWZOBJBP-TUAOUCFPSA-N 0 1 283.372 0.527 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@@]1(COC)C(=O)OC ZINC000595805343 360660337 /nfs/dbraw/zinc/66/03/37/360660337.db2.gz RAPGDOIQHAHMKH-GXTWGEPZSA-N 0 1 271.357 0.968 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@H]2COC[C@@H]2C1 ZINC000595833904 360673418 /nfs/dbraw/zinc/67/34/18/360673418.db2.gz SUHYGHOPEWZDPS-AGIUHOORSA-N 0 1 277.368 0.906 20 30 CCEDMN N#CC1(CNC(=O)[C@@H]2CNCCO2)CCCCC1 ZINC000595944986 360722636 /nfs/dbraw/zinc/72/26/36/360722636.db2.gz ZCBACIZYJNLIHR-NSHDSACASA-N 0 1 251.330 0.565 20 30 CCEDMN CCc1nc(C#N)cc(N2CCN(C[C@H](C)O)C[C@H]2C)n1 ZINC000596200363 360832439 /nfs/dbraw/zinc/83/24/39/360832439.db2.gz NATYXOCCDSAJFF-NEPJUHHUSA-N 0 1 289.383 0.802 20 30 CCEDMN C=CCSCCNC(=O)NCc1n[nH]c(COC)n1 ZINC000596215191 360838168 /nfs/dbraw/zinc/83/81/68/360838168.db2.gz OCCZEMMHMCKPLC-UHFFFAOYSA-N 0 1 285.373 0.670 20 30 CCEDMN C=CCSCCNC(=O)NCc1nnc(COC)[nH]1 ZINC000596215191 360838171 /nfs/dbraw/zinc/83/81/71/360838171.db2.gz OCCZEMMHMCKPLC-UHFFFAOYSA-N 0 1 285.373 0.670 20 30 CCEDMN CCc1nc(C#N)cc(N(C)Cc2n[nH]c(=O)o2)n1 ZINC000596274654 360864234 /nfs/dbraw/zinc/86/42/34/360864234.db2.gz BUBULCMOYCQBHN-UHFFFAOYSA-N 0 1 260.257 0.636 20 30 CCEDMN CC(C)(C#N)CNC(=O)NCCCc1nc[nH]n1 ZINC000596550043 360919069 /nfs/dbraw/zinc/91/90/69/360919069.db2.gz MHGIZJJGECWWOV-UHFFFAOYSA-N 0 1 250.306 0.586 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)C2(N(C)C)CC2)CC1 ZINC000597048346 361000556 /nfs/dbraw/zinc/00/05/56/361000556.db2.gz DGDZAIVLYXBFTK-CYBMUJFWSA-N 0 1 278.400 0.773 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CC[C@@H](N2CCN(CC)CC2)C1 ZINC000597164124 361020793 /nfs/dbraw/zinc/02/07/93/361020793.db2.gz DSLNENIGLLOSCP-UKRRQHHQSA-N 0 1 281.400 0.162 20 30 CCEDMN Cc1cc(=NC(=O)[C@H]2CCCc3n[nH]nc32)[nH]n1CCC#N ZINC000597460696 361103372 /nfs/dbraw/zinc/10/33/72/361103372.db2.gz XBPQXDVFFFTLIF-JTQLQIEISA-N 0 1 299.338 0.704 20 30 CCEDMN N#Cc1nccc(N2CCC[C@H](CN3CCOCC3)C2)n1 ZINC000563821064 291253025 /nfs/dbraw/zinc/25/30/25/291253025.db2.gz OQMGEOUGEDNOFQ-CYBMUJFWSA-N 0 1 287.367 0.897 20 30 CCEDMN N#C[C@]1(F)CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC000597543554 361134088 /nfs/dbraw/zinc/13/40/88/361134088.db2.gz AFOAPIBBZNKLAF-TVQRCGJNSA-N 0 1 262.288 0.979 20 30 CCEDMN N#Cc1cccc(C(=O)NC[C@@H]2CN3CCN2CCC3)n1 ZINC000597624390 361166813 /nfs/dbraw/zinc/16/68/13/361166813.db2.gz IIETYHCBURJQST-CYBMUJFWSA-N 0 1 285.351 0.073 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000597624522 361166901 /nfs/dbraw/zinc/16/69/01/361166901.db2.gz CIDIZZDZKYLNII-CYBMUJFWSA-N 0 1 287.367 0.315 20 30 CCEDMN CNS(=O)(=O)c1cc(NC(=O)[C@@H](C)CC#N)ccc1O ZINC000597628424 361166920 /nfs/dbraw/zinc/16/69/20/361166920.db2.gz GFJMGGJWVXBQCO-QMMMGPOBSA-N 0 1 297.336 0.789 20 30 CCEDMN CCN(CC)Cc1ccc(C(=O)N[C@@H](C#N)CO)o1 ZINC000597747775 361232488 /nfs/dbraw/zinc/23/24/88/361232488.db2.gz SNKMEBLEVNMDRA-JTQLQIEISA-N 0 1 265.313 0.736 20 30 CCEDMN N#C[C@@H](CO)NC(=O)CC1(N2CCOCC2)CCCC1 ZINC000597748128 361232800 /nfs/dbraw/zinc/23/28/00/361232800.db2.gz VZYPCUAIQCXADP-LBPRGKRZSA-N 0 1 281.356 0.022 20 30 CCEDMN CCc1nc(Cn2nc(CC)c(CC)c(C#N)c2=O)n[nH]1 ZINC000181762855 189305750 /nfs/dbraw/zinc/30/57/50/189305750.db2.gz XXHMMBJZCBZDQI-UHFFFAOYSA-N 0 1 286.339 0.969 20 30 CCEDMN C[C@@H](C#N)N(C(=O)[C@@H]1CN2CCN1CCC2)C1CC1 ZINC000598134484 361341274 /nfs/dbraw/zinc/34/12/74/361341274.db2.gz WVXPQUZUKIKJEN-AAEUAGOBSA-N 0 1 262.357 0.279 20 30 CCEDMN COCCN(C[C@H](O)CC(C)(C)C#N)[C@H](C)C(N)=O ZINC000598587414 361448716 /nfs/dbraw/zinc/44/87/16/361448716.db2.gz ADWRYUNGEMIMMF-GHMZBOCLSA-N 0 1 271.361 0.109 20 30 CCEDMN CNS(=O)(=O)CCNC(C)(C)c1cccc(C#N)c1 ZINC000598624475 361465663 /nfs/dbraw/zinc/46/56/63/361465663.db2.gz DTIRFSAFAAZCAT-UHFFFAOYSA-N 0 1 281.381 0.932 20 30 CCEDMN COC[C@@H](OC)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000598817871 361522088 /nfs/dbraw/zinc/52/20/88/361522088.db2.gz ZKPOYDGPIPYZDV-SNVBAGLBSA-N 0 1 280.328 0.532 20 30 CCEDMN CCOC(=O)C[C@H](O)CN1CCC(C)(C#N)CC1 ZINC000599367030 361670990 /nfs/dbraw/zinc/67/09/90/361670990.db2.gz TXYYJPKBLHYGAD-NSHDSACASA-N 0 1 254.330 0.926 20 30 CCEDMN C[C@H](C#N)CNC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599428270 361695542 /nfs/dbraw/zinc/69/55/42/361695542.db2.gz KIUBFKUFQHAQFP-MRVPVSSYSA-N 0 1 282.325 0.343 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000612013770 363829913 /nfs/dbraw/zinc/82/99/13/363829913.db2.gz BZXNAGMXPMDFQZ-JSGCOSHPSA-N 0 1 268.357 0.104 20 30 CCEDMN CCOC(=O)C1(CNC(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000613772751 364367702 /nfs/dbraw/zinc/36/77/02/364367702.db2.gz JEFVIXKWUMCJAK-UHFFFAOYSA-N 0 1 291.311 0.178 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCn3ccnc3C2)[nH]1 ZINC000614688648 364698602 /nfs/dbraw/zinc/69/86/02/364698602.db2.gz YAVBNAQXVTUIBI-JTQLQIEISA-N 0 1 285.311 0.047 20 30 CCEDMN CCOC[C@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000614688614 364698949 /nfs/dbraw/zinc/69/89/49/364698949.db2.gz UYDIRIAAZXSUDP-QMMMGPOBSA-N 0 1 251.290 0.260 20 30 CCEDMN COC[C@H]1CCCN1CC(=O)NC1(C#N)CCC1 ZINC000615227842 364889271 /nfs/dbraw/zinc/88/92/71/364889271.db2.gz XWIMWEVZQKQKFE-LLVKDONJSA-N 0 1 251.330 0.660 20 30 CCEDMN CCN1CCN(CC(=O)N2CC[C@@](F)(C#N)C2)CC1 ZINC000615826618 365099663 /nfs/dbraw/zinc/09/96/63/365099663.db2.gz VWBSCYRUZIQPSV-CYBMUJFWSA-N 0 1 268.336 0.088 20 30 CCEDMN C[C@H]1C[NH2+]CC[C@@H]1[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000565247325 291366452 /nfs/dbraw/zinc/36/64/52/291366452.db2.gz UYQFPOXGXUQHMR-WPRPVWTQSA-N 0 1 269.326 0.428 20 30 CCEDMN CCC#C[C@@H](C)N1CCCN(C(=O)CNC(C)=O)CC1 ZINC000625961837 368376263 /nfs/dbraw/zinc/37/62/63/368376263.db2.gz XNGDFHGEEFMJOP-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C[C@H]1CCN(CC(=O)NCc2ccc(C#N)cc2)[C@H]1CO ZINC000275985063 193181048 /nfs/dbraw/zinc/18/10/48/193181048.db2.gz FKCAHERHBFZPOA-WFASDCNBSA-N 0 1 287.363 0.877 20 30 CCEDMN CC#CCNC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000626302066 368509713 /nfs/dbraw/zinc/50/97/13/368509713.db2.gz IMNZEMPETVZEBH-UHFFFAOYSA-N 0 1 267.310 0.206 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)NC[C@@H]2COCCN2)C1 ZINC000627190716 368861242 /nfs/dbraw/zinc/86/12/42/368861242.db2.gz CIYSNOVHIKMRMM-CHWSQXEVSA-N 0 1 267.373 0.973 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1CCNCC1 ZINC000627434580 369000271 /nfs/dbraw/zinc/00/02/71/369000271.db2.gz MDRFUYAYGCGWNK-UHFFFAOYSA-N 0 1 253.346 0.137 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2cc(C3CC3)no2)[nH]1 ZINC000574263776 335004970 /nfs/dbraw/zinc/00/49/70/335004970.db2.gz WQKOZVSDKZHLBL-UHFFFAOYSA-N 0 1 257.253 0.831 20 30 CCEDMN C=CCNC(=O)CNCc1c2c(nn1C)CCCC2 ZINC000631109145 370387124 /nfs/dbraw/zinc/38/71/24/370387124.db2.gz LOMFJXRBPAWDDT-UHFFFAOYSA-N 0 1 262.357 0.691 20 30 CCEDMN CCN1CCN([C@H]2CCN(c3ncncc3C#N)C2)CC1 ZINC000567750213 291510183 /nfs/dbraw/zinc/51/01/83/291510183.db2.gz MFUIBPSKIWNMHP-AWEZNQCLSA-N 0 1 286.383 0.564 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)C(C)(C)CN1CCOCC1 ZINC000636005479 373232037 /nfs/dbraw/zinc/23/20/37/373232037.db2.gz IEZNXTSQOPOEGS-ZDUSSCGKSA-N 0 1 284.400 0.788 20 30 CCEDMN C=C[C@H](CO)NC(=O)CN(C)CCc1ccccc1 ZINC000636229943 373473127 /nfs/dbraw/zinc/47/31/27/373473127.db2.gz VPOAVIMRIUCPSF-CQSZACIVSA-N 0 1 262.353 0.824 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCC[C@H]2CCO2)CC1 ZINC000637880299 374445460 /nfs/dbraw/zinc/44/54/60/374445460.db2.gz IFRZLADUFXVWQZ-AWEZNQCLSA-N 0 1 281.400 0.475 20 30 CCEDMN C=CCOc1ccc(C(=O)NC[C@@H]2COCCN2)cc1 ZINC000638619616 375213793 /nfs/dbraw/zinc/21/37/93/375213793.db2.gz HVZJRFVNRVPXLV-CYBMUJFWSA-N 0 1 276.336 0.970 20 30 CCEDMN CN1CCN(CC#Cc2ccc(F)cc2)[C@@H](CO)C1 ZINC000639869231 376099939 /nfs/dbraw/zinc/09/99/39/376099939.db2.gz ALALDBUYIXQATH-OAHLLOKOSA-N 0 1 262.328 0.786 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H](O)C[C@@]1(C)CO ZINC000639855074 376081298 /nfs/dbraw/zinc/08/12/98/376081298.db2.gz LWEMPJGGQDMDSR-JSGCOSHPSA-N 0 1 270.373 0.229 20 30 CCEDMN C#CCNC(=O)[C@H](C)N[C@@H](C)c1ccc2c(c1)CC(=O)N2C ZINC000264020948 190089746 /nfs/dbraw/zinc/08/97/46/190089746.db2.gz WJWSTCLQUZPSRO-RYUDHWBXSA-N 0 1 299.374 0.994 20 30 CCEDMN COCCN1CC[C@@H](Nc2ncc([N+](=O)[O-])cc2C#N)C1 ZINC000264456787 190110801 /nfs/dbraw/zinc/11/08/01/190110801.db2.gz JFXIRYHYRAKLQM-LLVKDONJSA-N 0 1 291.311 0.994 20 30 CCEDMN C=CCCn1cc(Cn2cc(CNCC)nn2)nn1 ZINC000641182460 376853640 /nfs/dbraw/zinc/85/36/40/376853640.db2.gz PIRSRXIDOHEDEU-UHFFFAOYSA-N 0 1 261.333 0.604 20 30 CCEDMN CC#CC[C@@H](CO)NCc1ccc(S(N)(=O)=O)s1 ZINC000641766888 377451574 /nfs/dbraw/zinc/45/15/74/377451574.db2.gz DCFAXHGTLLAVBI-VIFPVBQESA-N 0 1 288.394 0.259 20 30 CCEDMN CC#CCNC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000641998046 377529502 /nfs/dbraw/zinc/52/95/02/377529502.db2.gz ZDHQCIYSUPGIHL-UHFFFAOYSA-N 0 1 266.389 0.335 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@@](C)(OC)C1 ZINC000279210383 194334173 /nfs/dbraw/zinc/33/41/73/194334173.db2.gz BSZMNMPDZFKQOM-SMDDNHRTSA-N 0 1 283.372 0.888 20 30 CCEDMN C=CCCn1cc(CNCCCC(=O)NC)nn1 ZINC000657333390 412960765 /nfs/dbraw/zinc/96/07/65/412960765.db2.gz HJHBRELFZVCDAS-UHFFFAOYSA-N 0 1 251.334 0.470 20 30 CCEDMN N#Cc1ccc(NC(=O)NC[C@H]2COCCN2)cc1 ZINC000236408242 284956628 /nfs/dbraw/zinc/95/66/28/284956628.db2.gz AQYXFCLQVXSCDQ-LBPRGKRZSA-N 0 1 260.297 0.668 20 30 CCEDMN C=CCCn1cc(CNCCc2ccn(C)n2)nn1 ZINC000657436923 412990125 /nfs/dbraw/zinc/99/01/25/412990125.db2.gz WBHKHURRUMCDRB-UHFFFAOYSA-N 0 1 260.345 0.920 20 30 CCEDMN C=CCCn1cc(CNC[C@@]2(C)CCC(=O)N2)nn1 ZINC000657487632 413003145 /nfs/dbraw/zinc/00/31/45/413003145.db2.gz LYCBFACTYHXVQI-CYBMUJFWSA-N 0 1 263.345 0.613 20 30 CCEDMN Cn1cc(CN2CC[C@@H]3NC(=O)OC[C@H]3C2)cc1C#N ZINC000281206752 195150312 /nfs/dbraw/zinc/15/03/12/195150312.db2.gz JLWCVGGQWAYRRJ-YPMHNXCESA-N 0 1 274.324 0.827 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N1CC[C@H](N2CC=CC2)C1 ZINC000332402191 260004993 /nfs/dbraw/zinc/00/49/93/260004993.db2.gz KQJPEKKYJRJDCE-OLZOCXBDSA-N 0 1 298.412 0.411 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N[C@@H](C)c1cnn(C)c1C ZINC000183403658 388754047 /nfs/dbraw/zinc/75/40/47/388754047.db2.gz GHUIMIQWSPDUSI-VHSXEESVSA-N 0 1 293.371 0.779 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCc2ccnn2C)C1=O ZINC000283210519 388763665 /nfs/dbraw/zinc/76/36/65/388763665.db2.gz RNVPREOACUHNPT-ZDUSSCGKSA-N 0 1 262.357 0.681 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000184433955 388774543 /nfs/dbraw/zinc/77/45/43/388774543.db2.gz WQHHCTDUGHUTHL-LBPRGKRZSA-N 0 1 275.418 0.118 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CC[N@@H+](CC(C)(C)O)C[C@@H]1C ZINC000186624075 388843390 /nfs/dbraw/zinc/84/33/90/388843390.db2.gz SDNHFRSULQQSJF-RYUDHWBXSA-N 0 1 282.388 0.633 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000186624075 388843394 /nfs/dbraw/zinc/84/33/94/388843394.db2.gz SDNHFRSULQQSJF-RYUDHWBXSA-N 0 1 282.388 0.633 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(C(N)=O)CC1 ZINC000025524628 388815350 /nfs/dbraw/zinc/81/53/50/388815350.db2.gz YAVLFYVATJMYRQ-LBPRGKRZSA-N 0 1 281.400 0.997 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@]23CCOC3=O)CC1 ZINC000186534464 388839554 /nfs/dbraw/zinc/83/95/54/388839554.db2.gz WTRXGRGFMOIZLT-MRXNPFEDSA-N 0 1 290.363 0.640 20 30 CCEDMN O=C(NCCn1cncn1)N1CCO[C@@]2(CCCOC2)C1 ZINC000329238499 227372050 /nfs/dbraw/zinc/37/20/50/227372050.db2.gz PQWYPKAVOAYHGP-ZDUSSCGKSA-N 0 1 295.343 0.074 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC000288898024 388866330 /nfs/dbraw/zinc/86/63/30/388866330.db2.gz JGDQLKCWQRNIQX-NWDGAFQWSA-N 0 1 279.384 0.762 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(CO)CC1 ZINC000046091527 388875783 /nfs/dbraw/zinc/87/57/83/388875783.db2.gz MOVSBNDVZIPVDW-SNVBAGLBSA-N 0 1 269.345 0.091 20 30 CCEDMN CCC[C@]1(C)C(=O)NCCN1CCC(=O)N(C)CCC#N ZINC000187354389 388879040 /nfs/dbraw/zinc/87/90/40/388879040.db2.gz ZFYQGFLODUNWOQ-OAHLLOKOSA-N 0 1 294.399 0.739 20 30 CCEDMN C[C@@H](O)CN(C)CC(=O)NC1(C#N)CCCCC1 ZINC000051821621 388927629 /nfs/dbraw/zinc/92/76/29/388927629.db2.gz JQPGCDUYUCXDCL-LLVKDONJSA-N 0 1 253.346 0.642 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NC[C@@H]1CCCCN1C1CC1 ZINC000290339009 388904204 /nfs/dbraw/zinc/90/42/04/388904204.db2.gz BHYPLHPCNLMCDA-ZDUSSCGKSA-N 0 1 279.384 0.764 20 30 CCEDMN CN(CC(=O)Nc1nc(-c2ccco2)n[nH]1)S(C)(=O)=O ZINC000066044104 388944429 /nfs/dbraw/zinc/94/44/29/388944429.db2.gz MYHROJPXEWCUPB-UHFFFAOYSA-N 0 1 299.312 0.544 20 30 CCEDMN CCCN(CC(=O)NCC#N)C[C@@H]1CCCCO1 ZINC000066539636 388945244 /nfs/dbraw/zinc/94/52/44/388945244.db2.gz XCANCXWAXDZKAH-LBPRGKRZSA-N 0 1 253.346 0.907 20 30 CCEDMN C[C@H]1CCCCN1CCNS(=O)(=O)N(C)CCC#N ZINC000076190846 388986323 /nfs/dbraw/zinc/98/63/23/388986323.db2.gz PUIQNNUQGQHCQV-LBPRGKRZSA-N 0 1 288.417 0.541 20 30 CCEDMN C#CCNC(=O)CCN1CCN(Cc2cccnc2)CC1 ZINC000293929587 388990698 /nfs/dbraw/zinc/99/06/98/388990698.db2.gz YSEYAJRCRXGADS-UHFFFAOYSA-N 0 1 286.379 0.339 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC(NC(N)=O)CC1 ZINC000079465685 388997023 /nfs/dbraw/zinc/99/70/23/388997023.db2.gz WKMNLBWHJWLXNQ-LBPRGKRZSA-N 0 1 294.399 0.708 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1C[C@@H](C)[C@H](CO)C1 ZINC000190860178 389000954 /nfs/dbraw/zinc/00/09/54/389000954.db2.gz IDDBXHJQACXKLN-XQQFMLRXSA-N 0 1 267.373 0.555 20 30 CCEDMN C#CCN(C)C[C@@H](O)COc1cccc([N+](=O)[O-])c1 ZINC000192234708 389029001 /nfs/dbraw/zinc/02/90/01/389029001.db2.gz VANZEXRQPACLII-GFCCVEGCSA-N 0 1 264.281 0.900 20 30 CCEDMN C=CCN(CCOC)CCn1cc([N+](=O)[O-])ccc1=O ZINC000191140046 389007166 /nfs/dbraw/zinc/00/71/66/389007166.db2.gz BGVAVJPWRPMTNU-UHFFFAOYSA-N 0 1 281.312 0.891 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)NC[C@@H]1CCCN1C ZINC000336916160 389032109 /nfs/dbraw/zinc/03/21/09/389032109.db2.gz MKXGIYRXPNGVER-QWRGUYRKSA-N 0 1 274.390 0.006 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)N[C@H]1CCN(C)C1 ZINC000336919293 389032326 /nfs/dbraw/zinc/03/23/26/389032326.db2.gz PXQCYOZZSSNOPF-QWRGUYRKSA-N 0 1 274.390 0.006 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(CC(C)(C)O)CC2)C1=O ZINC000337142059 389046604 /nfs/dbraw/zinc/04/66/04/389046604.db2.gz SSYPMXXQOSJZKT-ZDUSSCGKSA-N 0 1 281.400 0.162 20 30 CCEDMN C=CCN1CC[C@H](N2CCS[C@@H]3COCC[C@@H]32)C1=O ZINC000337165412 389048987 /nfs/dbraw/zinc/04/89/87/389048987.db2.gz YPTJRCFFHXIYRP-RWMBFGLXSA-N 0 1 282.409 0.980 20 30 CCEDMN Cc1c(C#N)cccc1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000338116082 389074792 /nfs/dbraw/zinc/07/47/92/389074792.db2.gz BUNFYHCIVLTDNH-CQSZACIVSA-N 0 1 286.379 0.842 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCCC[C@H]1[C@@H](C)O ZINC000303994126 389081157 /nfs/dbraw/zinc/08/11/57/389081157.db2.gz OHDZNPAPAGWEQG-OLZOCXBDSA-N 0 1 267.373 0.984 20 30 CCEDMN CCN(CCC#N)C[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000093697236 389038148 /nfs/dbraw/zinc/03/81/48/389038148.db2.gz HEZQATXAAMKYCG-GFCCVEGCSA-N 0 1 273.402 0.894 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)NCCC1(O)CCC1 ZINC000328902068 389144302 /nfs/dbraw/zinc/14/43/02/389144302.db2.gz ZXAPXWXOXRVBPA-ZDUSSCGKSA-N 0 1 298.431 0.431 20 30 CCEDMN Cc1[nH]ncc1CCCNC(=O)N1CCO[C@H](C#N)C1 ZINC000358299008 389147453 /nfs/dbraw/zinc/14/74/53/389147453.db2.gz IXBIDJKVUAVFJL-GFCCVEGCSA-N 0 1 277.328 0.585 20 30 CCEDMN C=CCNC(=O)CN1CCCC[C@H]1CN1CCOCC1 ZINC000109818889 389100241 /nfs/dbraw/zinc/10/02/41/389100241.db2.gz FPRFZTUSDNAXQG-AWEZNQCLSA-N 0 1 281.400 0.475 20 30 CCEDMN CCOCCN1CCN(CCOCCC#N)CC1 ZINC000338969448 389119526 /nfs/dbraw/zinc/11/95/26/389119526.db2.gz WLINXJDRHRLKMW-UHFFFAOYSA-N 0 1 255.362 0.571 20 30 CCEDMN C[S@](=O)C1(C[NH+]=C([O-])N[C@@H]2CCc3c[nH]nc3C2)CC1 ZINC000328622090 389129578 /nfs/dbraw/zinc/12/95/78/389129578.db2.gz XYPSJSRLNPIWFS-SBKAZYGRSA-N 0 1 296.396 0.682 20 30 CCEDMN N#Cc1ccccc1OC[C@H](O)C[N@@H+]1CCC[C@H]1CO ZINC000227088277 389149372 /nfs/dbraw/zinc/14/93/72/389149372.db2.gz GIZBFNOKZJKMMC-UONOGXRCSA-N 0 1 276.336 0.755 20 30 CCEDMN CCN(CC(=O)N[C@@](C)(C#N)C1CC1)[C@H](C)CO ZINC000339633040 389136252 /nfs/dbraw/zinc/13/62/52/389136252.db2.gz YTVWGZJOADRNMT-MFKMUULPSA-N 0 1 253.346 0.498 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(CC)[C@@H](C)CO ZINC000339627759 389136876 /nfs/dbraw/zinc/13/68/76/389136876.db2.gz XOVHCMUVNDELFP-RYUDHWBXSA-N 0 1 255.362 0.697 20 30 CCEDMN C=CCN1CC[C@H](N(CCO)[C@H]2CCO[C@H]2C)C1=O ZINC000328844921 389140981 /nfs/dbraw/zinc/14/09/81/389140981.db2.gz VGDRZRSNMMRZFN-AVGNSLFASA-N 0 1 268.357 0.245 20 30 CCEDMN [O-]C(N[C@H]1CCc2c[nH]nc2C1)=[NH+]CCn1cccn1 ZINC000329826711 389205489 /nfs/dbraw/zinc/20/54/89/389205489.db2.gz ITIBYEPSOZICTC-NSHDSACASA-N 0 1 274.328 0.667 20 30 CCEDMN O=C(NCCn1cccn1)N[C@H]1CCc2c[nH]nc2C1 ZINC000329826711 389205493 /nfs/dbraw/zinc/20/54/93/389205493.db2.gz ITIBYEPSOZICTC-NSHDSACASA-N 0 1 274.328 0.667 20 30 CCEDMN C[C@@H]1CN(C(=O)N[C@H]2CCc3nc[nH]c3C2)CCO1 ZINC000329747764 389195781 /nfs/dbraw/zinc/19/57/81/389195781.db2.gz ZPHVQOWQKKKQPV-ZJUUUORDSA-N 0 1 264.329 0.902 20 30 CCEDMN C[C@@H]1CN(C([O-])=[NH+][C@H]2CCc3nc[nH]c3C2)CCO1 ZINC000329747764 389195785 /nfs/dbraw/zinc/19/57/85/389195785.db2.gz ZPHVQOWQKKKQPV-ZJUUUORDSA-N 0 1 264.329 0.902 20 30 CCEDMN C[C@@H]1CN(C([O-])=[NH+][C@H]2CCc3[nH]cnc3C2)CCO1 ZINC000329747764 389195787 /nfs/dbraw/zinc/19/57/87/389195787.db2.gz ZPHVQOWQKKKQPV-ZJUUUORDSA-N 0 1 264.329 0.902 20 30 CCEDMN Cc1nc(CNC([O-])=[NH+][C@@H]2CCc3cn[nH]c3C2)no1 ZINC000329857399 389206690 /nfs/dbraw/zinc/20/66/90/389206690.db2.gz ZDNZCFMTMJMNLV-SECBINFHSA-N 0 1 276.300 0.662 20 30 CCEDMN Cc1nc(CNC(=O)N[C@@H]2CCc3c[nH]nc3C2)no1 ZINC000329857399 389206693 /nfs/dbraw/zinc/20/66/93/389206693.db2.gz ZDNZCFMTMJMNLV-SECBINFHSA-N 0 1 276.300 0.662 20 30 CCEDMN Cc1cnn([C@H]2CCN(C(=O)N[C@H]3[C@@H]4COC[C@@H]43)C2)c1 ZINC000329547057 389170314 /nfs/dbraw/zinc/17/03/14/389170314.db2.gz HJBGKNDYOPLPJV-WUHRBBMRSA-N 0 1 276.340 0.997 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)N1CCC[C@@H](n2cncn2)C1 ZINC000329831067 389205024 /nfs/dbraw/zinc/20/50/24/389205024.db2.gz SRUKODRWUBDOCT-VXGBXAGGSA-N 0 1 295.343 0.244 20 30 CCEDMN C[C@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)CC1(C#N)CC1 ZINC000329626687 389178970 /nfs/dbraw/zinc/17/89/70/389178970.db2.gz PAYOVTUDOVTQAG-CMPLNLGQSA-N 0 1 283.397 0.692 20 30 CCEDMN CN(CCO)C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000330589721 389244226 /nfs/dbraw/zinc/24/42/26/389244226.db2.gz POJYWHMHOGPCFX-UHFFFAOYSA-N 0 1 292.383 0.884 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1[C@H](C)C[C@@H](O)C[C@@H]1C ZINC000248825725 389250481 /nfs/dbraw/zinc/25/04/81/389250481.db2.gz KFFAURFXUKVUGQ-JGPRNRPPSA-N 0 1 269.345 0.232 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCN(C)C[C@H]1C ZINC000330670589 389251096 /nfs/dbraw/zinc/25/10/96/389251096.db2.gz MCEVUWGCQFASHE-CHWSQXEVSA-N 0 1 266.389 0.630 20 30 CCEDMN CN1CC[C@H]2CCN(C(=O)C(=O)NCCCCC#N)[C@@H]2C1 ZINC000343312483 389264043 /nfs/dbraw/zinc/26/40/43/389264043.db2.gz RVKJPMMYZPFSKL-QWHCGFSZSA-N 0 1 292.383 0.349 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)Nc2cncnc2)C1 ZINC000329854843 389207097 /nfs/dbraw/zinc/20/70/97/389207097.db2.gz WVPFYLWXXRKUGF-LLVKDONJSA-N 0 1 264.333 0.048 20 30 CCEDMN [O-]C(N[C@H]1CCc2c[nH]nc2C1)=[NH+]C[C@@H]1COCCO1 ZINC000329869643 389207778 /nfs/dbraw/zinc/20/77/78/389207778.db2.gz ZCSKLFSJJFYDCJ-WDEREUQCSA-N 0 1 280.328 0.186 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)N[C@H]1CCc2c[nH]nc2C1 ZINC000329869643 389207779 /nfs/dbraw/zinc/20/77/79/389207779.db2.gz ZCSKLFSJJFYDCJ-WDEREUQCSA-N 0 1 280.328 0.186 20 30 CCEDMN C=CCN(CCOC)C(=O)[C@@H](C)CN1CCOCC1 ZINC000361092222 389207801 /nfs/dbraw/zinc/20/78/01/389207801.db2.gz DTLISMXTZSFIBV-ZDUSSCGKSA-N 0 1 270.373 0.616 20 30 CCEDMN [O-]C(NC[C@@H]1CCc2nccn2C1)=[NH+]C[C@H]1COCCO1 ZINC000329899854 389209586 /nfs/dbraw/zinc/20/95/86/389209586.db2.gz NGOXSMLAIIUTOS-RYUDHWBXSA-N 0 1 294.355 0.365 20 30 CCEDMN C=CCOCCCC(=O)NC[C@@H]1C[N@H+](C)CCN1C ZINC000361807579 389224995 /nfs/dbraw/zinc/22/49/95/389224995.db2.gz BIFOJBUKLKQHEY-CYBMUJFWSA-N 0 1 269.389 0.331 20 30 CCEDMN CCn1nncc1C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000330426947 389228022 /nfs/dbraw/zinc/22/80/22/389228022.db2.gz GXDBOAQXHJBNDF-GFCCVEGCSA-N 0 1 295.387 0.959 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@H](OCCO)C1 ZINC000246692006 389231467 /nfs/dbraw/zinc/23/14/67/389231467.db2.gz DEMCDSKKSAHORJ-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C[C@H](C)NC(=O)NCCCCN1CCOCC1 ZINC000362379209 389234600 /nfs/dbraw/zinc/23/46/00/389234600.db2.gz JBWABLPPHKSKFV-LBPRGKRZSA-N 0 1 255.362 0.973 20 30 CCEDMN C=C(C)COCCNC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000330549222 389239968 /nfs/dbraw/zinc/23/99/68/389239968.db2.gz MELUNBBDJGAUJQ-SNVBAGLBSA-N 0 1 264.329 0.619 20 30 CCEDMN C=C(C)COCCNC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000330549222 389239969 /nfs/dbraw/zinc/23/99/69/389239969.db2.gz MELUNBBDJGAUJQ-SNVBAGLBSA-N 0 1 264.329 0.619 20 30 CCEDMN CN(C(=O)CSCC#N)[C@@H]1CCN(C2CC2)C1 ZINC000347002475 389343228 /nfs/dbraw/zinc/34/32/28/389343228.db2.gz CUJNPISBSLYOQD-LLVKDONJSA-N 0 1 253.371 0.938 20 30 CCEDMN CN(CC(=O)N1CCO[C@H](C#N)C1)[C@@H]1CCSC1 ZINC000155643907 389320360 /nfs/dbraw/zinc/32/03/60/389320360.db2.gz KNSSUINITVSZDF-GHMZBOCLSA-N 0 1 269.370 0.175 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C[C@@H]1CCN(C(C)=O)C1 ZINC000156588910 389326266 /nfs/dbraw/zinc/32/62/66/389326266.db2.gz CUAQZBWTOVEVIX-AWEZNQCLSA-N 0 1 294.399 0.549 20 30 CCEDMN CCN(CCC(=O)NCC(=O)OC)C[C@H](C)C#N ZINC000346343422 389327608 /nfs/dbraw/zinc/32/76/08/389327608.db2.gz IATFARFDUYVKGV-SNVBAGLBSA-N 0 1 255.318 0.147 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCOC[C@H](C)C1 ZINC000332055122 389328195 /nfs/dbraw/zinc/32/81/95/389328195.db2.gz WZDREFAFKMEZJK-GHMZBOCLSA-N 0 1 269.345 0.355 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(CCOCC(F)F)C1 ZINC000330978204 389270171 /nfs/dbraw/zinc/27/01/71/389270171.db2.gz UQYQJIGBLZBCMT-MRVPVSSYSA-N 0 1 251.277 0.476 20 30 CCEDMN CCN1C[C@@H](C)N(C(=O)c2cnn(C)c2C#N)[C@@H](C)C1 ZINC000344419430 389281722 /nfs/dbraw/zinc/28/17/22/389281722.db2.gz JDRPSZAHPGBKJV-PHIMTYICSA-N 0 1 275.356 0.846 20 30 CCEDMN N#CC1(NC(=O)CN[C@H](CO)c2ccccc2)CCC1 ZINC000346944878 389341059 /nfs/dbraw/zinc/34/10/59/389341059.db2.gz MEIWQABUUVQODO-CYBMUJFWSA-N 0 1 273.336 0.872 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000365826717 389287628 /nfs/dbraw/zinc/28/76/28/389287628.db2.gz WJGSZTBWVULGDI-OAHLLOKOSA-N 0 1 295.427 0.865 20 30 CCEDMN Cc1nnc(SCCC(=O)N2CCO[C@@H](C#N)C2)[nH]1 ZINC000345265871 389295822 /nfs/dbraw/zinc/29/58/22/389295822.db2.gz VWPWIIKQCBYTGN-VIFPVBQESA-N 0 1 281.341 0.346 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CC[C@]2(CCOC2)C1)C1CC1 ZINC000153571726 389303317 /nfs/dbraw/zinc/30/33/17/389303317.db2.gz OMTQHLPSGZCPQW-GJZGRUSLSA-N 0 1 277.368 0.907 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(CC(=O)OC)CC1 ZINC000345337547 389304270 /nfs/dbraw/zinc/30/42/70/389304270.db2.gz YIXOANFHCHPHLH-NSHDSACASA-N 0 1 268.357 0.952 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)N2CCO[C@@H](C#N)C2)n[nH]1 ZINC000408368317 389342747 /nfs/dbraw/zinc/34/27/47/389342747.db2.gz GDXIDQQYQAJAIY-SKDRFNHKSA-N 0 1 277.328 0.583 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](C)c1cnn(C)c1 ZINC000348321690 389374229 /nfs/dbraw/zinc/37/42/29/389374229.db2.gz WHCXBVIMWRERHW-SECBINFHSA-N 0 1 265.317 0.083 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N([C@H]2CCCc3cn[nH]c32)C1=O ZINC000348597520 389385154 /nfs/dbraw/zinc/38/51/54/389385154.db2.gz GANOZNWUKGLCBB-QWRGUYRKSA-N 0 1 290.323 0.910 20 30 CCEDMN C=CCN(Cc1n[nH]c(CC)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000159135387 389358464 /nfs/dbraw/zinc/35/84/64/389358464.db2.gz WYTBMLIDICSXOO-SNVBAGLBSA-N 0 1 284.385 0.542 20 30 CCEDMN C=CCNC(=O)N1CCN(C(=O)c2cc(C)[nH]n2)C[C@H]1C ZINC000334509742 389493675 /nfs/dbraw/zinc/49/36/75/389493675.db2.gz AXZOXBMJCZPING-LLVKDONJSA-N 0 1 291.355 0.760 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](c2ccccc2)[C@H](C(N)=O)C1 ZINC000411978432 389471788 /nfs/dbraw/zinc/47/17/88/389471788.db2.gz LEHJXXITQMJZPK-UONOGXRCSA-N 0 1 287.363 0.490 20 30 CCEDMN C[C@@H]1[C@@H](CO)CCCN1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000412072587 389474556 /nfs/dbraw/zinc/47/45/56/389474556.db2.gz HJEBAFJVFYMRNJ-JMSVASOKSA-N 0 1 279.384 0.888 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)Cc1cc(=O)n2[n-]ccc2n1 ZINC000411644167 389440899 /nfs/dbraw/zinc/44/08/99/389440899.db2.gz QMNPJRGFEXSJNR-UHFFFAOYSA-N 0 1 289.383 0.962 20 30 CCEDMN C=CCN(C)CC[N@@H+](CC)Cc1cc(=O)n2[n-]ccc2n1 ZINC000411644167 389440901 /nfs/dbraw/zinc/44/09/01/389440901.db2.gz QMNPJRGFEXSJNR-UHFFFAOYSA-N 0 1 289.383 0.962 20 30 CCEDMN C=CCN(C)CC[N@H+](CC)Cc1cc(=O)n2[n-]ccc2n1 ZINC000411644167 389440905 /nfs/dbraw/zinc/44/09/05/389440905.db2.gz QMNPJRGFEXSJNR-UHFFFAOYSA-N 0 1 289.383 0.962 20 30 CCEDMN CO[C@@H]1COC[C@@H]1NCC(=O)NC1(C#N)CCCCC1 ZINC000333904633 389448470 /nfs/dbraw/zinc/44/84/70/389448470.db2.gz UNWDRIMMDQJZLW-NWDGAFQWSA-N 0 1 281.356 0.332 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](O)C(C)(C)C1 ZINC000275429281 389510505 /nfs/dbraw/zinc/51/05/05/389510505.db2.gz IQSUKOLWTWOOIA-WDEREUQCSA-N 0 1 283.372 0.480 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000176646924 389562073 /nfs/dbraw/zinc/56/20/73/389562073.db2.gz VUOHXZSNQVBYIZ-UWVGGRQHSA-N 0 1 260.297 0.581 20 30 CCEDMN C=CCC[C@H](O)CN(C)C[C@@H]1CCS(=O)(=O)C1 ZINC000351271555 389572019 /nfs/dbraw/zinc/57/20/19/389572019.db2.gz QTLWYHPLPKHSJQ-RYUDHWBXSA-N 0 1 261.387 0.680 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(Cc2nc(C#N)cs2)C1 ZINC000414492194 389620304 /nfs/dbraw/zinc/62/03/04/389620304.db2.gz RGBQWWAWZUXAMK-JTQLQIEISA-N 0 1 294.380 0.551 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000424665201 389628622 /nfs/dbraw/zinc/62/86/22/389628622.db2.gz NKKAGWFRYLNHIH-GFCCVEGCSA-N 0 1 253.390 0.951 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000280302064 389599124 /nfs/dbraw/zinc/59/91/24/389599124.db2.gz QVBBFQLDFVKRDB-GMTAPVOTSA-N 0 1 279.344 0.743 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)N[C@@H]2CC[C@H](C#N)C2)[nH]1 ZINC000425654448 389654639 /nfs/dbraw/zinc/65/46/39/389654639.db2.gz PUWMXQVKGNTVLD-NWDGAFQWSA-N 0 1 290.371 0.680 20 30 CCEDMN Cc1cc(C#N)cc(NC(=O)C(=O)N2CCNCC2)c1 ZINC000415284936 389668920 /nfs/dbraw/zinc/66/89/20/389668920.db2.gz QHHAPKAXNRPFQM-UHFFFAOYSA-N 0 1 272.308 0.237 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1C(=O)C(=O)Nc1cccc(C#N)c1 ZINC000415559727 389692033 /nfs/dbraw/zinc/69/20/33/389692033.db2.gz KTLDVGMSGPKPGW-WDEREUQCSA-N 0 1 286.335 0.706 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NCCN1CCCC[C@@H]1C ZINC000416374249 389737291 /nfs/dbraw/zinc/73/72/91/389737291.db2.gz PQJIQDPAGLMCOZ-QWRGUYRKSA-N 0 1 259.375 0.692 20 30 CCEDMN CCN1CCCC[C@H]1CNS(=O)(=O)[C@@H](C)C#N ZINC000416385845 389738007 /nfs/dbraw/zinc/73/80/07/389738007.db2.gz HKCZHQREFWJODD-QWRGUYRKSA-N 0 1 259.375 0.692 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NC[C@@H]1CCCCN1C1CC1 ZINC000416466995 389746386 /nfs/dbraw/zinc/74/63/86/389746386.db2.gz ADOXNJZKLRGFCW-PWSUYJOCSA-N 0 1 271.386 0.835 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)C1(CO)CCC1 ZINC000429238796 389746419 /nfs/dbraw/zinc/74/64/19/389746419.db2.gz OUYWZUTUFNMOFQ-CYBMUJFWSA-N 0 1 265.357 0.642 20 30 CCEDMN COC(=O)[C@@H]1CCCN(C(=O)[C@H](C)C#N)[C@H]1C(=O)OC ZINC000442126266 389844575 /nfs/dbraw/zinc/84/45/75/389844575.db2.gz IMKXTUBKWIBPRN-OPRDCNLKSA-N 0 1 282.296 0.099 20 30 CCEDMN C=CCc1ccccc1OC[C@H](O)CN1C[C@@H](O)[C@@H](O)C1 ZINC000444705588 389914608 /nfs/dbraw/zinc/91/46/08/389914608.db2.gz NKQVLCGDZMHQDD-KFWWJZLASA-N 0 1 293.363 0.192 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(CCO)C1CCOCC1 ZINC000444776511 389918158 /nfs/dbraw/zinc/91/81/58/389918158.db2.gz LHFJWMWLYZYGGN-HNNXBMFYSA-N 0 1 297.399 0.514 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)NCCCC#N ZINC000436320322 389974439 /nfs/dbraw/zinc/97/44/39/389974439.db2.gz VJMKIANLYKCJHN-LBPRGKRZSA-N 0 1 282.388 0.777 20 30 CCEDMN CC#CCC[N@H+]1C[C@H](OCCOC)C[C@H](CO)C1 ZINC000447396871 389954045 /nfs/dbraw/zinc/95/40/45/389954045.db2.gz XJNKZAAKUWFSBA-UONOGXRCSA-N 0 1 255.358 0.746 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NC[C@H]1CN(C)CCN1C ZINC000437858064 389998309 /nfs/dbraw/zinc/99/83/09/389998309.db2.gz BEGXMVBJTNYELN-DZGCQCFKSA-N 0 1 283.416 0.577 20 30 CCEDMN C[C@@H](CNCc1cnc2ccc(C#N)cn12)S(C)(=O)=O ZINC000537327994 390176993 /nfs/dbraw/zinc/17/69/93/390176993.db2.gz UGAACCSKOJDZAB-JTQLQIEISA-N 0 1 292.364 0.729 20 30 CCEDMN N#CC1(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)CCC1 ZINC000539326406 390205384 /nfs/dbraw/zinc/20/53/84/390205384.db2.gz WNQMMWLVRYRQPW-SECBINFHSA-N 0 1 260.301 0.600 20 30 CCEDMN C=CCN1C(=O)N=NC1S[C@@H]1CCCN(C)C1=O ZINC000538706239 390196601 /nfs/dbraw/zinc/19/66/01/390196601.db2.gz PRJRQBGSOFEVKE-MRVPVSSYSA-N 0 1 268.342 0.883 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@@H]1CN(C)C(=O)O1 ZINC000495660244 390244997 /nfs/dbraw/zinc/24/49/97/390244997.db2.gz XNPZOARJGMTKNJ-ZETCQYMHSA-N 0 1 270.314 0.712 20 30 CCEDMN CN(CCCO)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000281827314 195398187 /nfs/dbraw/zinc/39/81/87/195398187.db2.gz MCOVGAFMWYOENU-AWEZNQCLSA-N 0 1 273.336 0.978 20 30 CCEDMN N#CCC1CCN([C@H]2CC(=O)N(C3CC3)C2=O)CC1 ZINC000542836056 390273807 /nfs/dbraw/zinc/27/38/07/390273807.db2.gz VTWVSXWSAGFWAA-LBPRGKRZSA-N 0 1 261.325 0.902 20 30 CCEDMN N#Cc1cncc(N2CCC[C@H](c3n[nH]c(=O)o3)C2)n1 ZINC000583318694 390282274 /nfs/dbraw/zinc/28/22/74/390282274.db2.gz CPBXYPQZUIGIJK-QMMMGPOBSA-N 0 1 272.268 0.821 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)NCc1cc(C)[nH]n1 ZINC000645304814 390406528 /nfs/dbraw/zinc/40/65/28/390406528.db2.gz KMLDFYPTTHJMTQ-JTQLQIEISA-N 0 1 250.302 0.292 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2CCC(=O)C[C@H]2C)[nH]n1C ZINC000648434304 390372372 /nfs/dbraw/zinc/37/23/72/390372372.db2.gz FXDNXTGYZBLZRN-KCJUWKMLSA-N 0 1 274.324 0.966 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1C[C@@H](O)C(C)(C)C1 ZINC000563859363 390494461 /nfs/dbraw/zinc/49/44/61/390494461.db2.gz DSZABAOJEVZZOR-GHMZBOCLSA-N 0 1 253.346 0.450 20 30 CCEDMN N#CCNC(=O)CN1CC2(CCC2)[C@@H]1C1CCOCC1 ZINC000564881767 390498125 /nfs/dbraw/zinc/49/81/25/390498125.db2.gz FXZUIJOJTDHJOS-AWEZNQCLSA-N 0 1 277.368 0.907 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1csc(=NC2CC2)[nH]1 ZINC000649185164 390514531 /nfs/dbraw/zinc/51/45/31/390514531.db2.gz ZADLLNMMNGFIHD-JTQLQIEISA-N 0 1 279.365 0.643 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000514769501 390468802 /nfs/dbraw/zinc/46/88/02/390468802.db2.gz AGUYRSBAQBLECO-QJPTWQEYSA-N 0 1 291.355 0.887 20 30 CCEDMN CCc1ccnc(CNC[C@@H](O)CN(C)CCC#N)c1 ZINC000567702228 390710311 /nfs/dbraw/zinc/71/03/11/390710311.db2.gz XOOIYYZQYODXHJ-OAHLLOKOSA-N 0 1 276.384 0.940 20 30 CCEDMN N#CCCn1ccc(=NC(=O)N[C@@H]2CCc3[nH]cnc3C2)[nH]1 ZINC000567798833 390711219 /nfs/dbraw/zinc/71/12/19/390711219.db2.gz TVXILZUDYUKYKD-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@H](O)CC2(O)CCC2)C1 ZINC000528536843 390755521 /nfs/dbraw/zinc/75/55/21/390755521.db2.gz IGSRRHBREOMGHR-DGCLKSJQSA-N 0 1 254.330 0.003 20 30 CCEDMN C[C@H](NC(=O)NC[C@H]1COCCN1)c1ccc(C#N)cc1 ZINC000529297098 390802087 /nfs/dbraw/zinc/80/20/87/390802087.db2.gz MZXKTLRGOMSOOS-FZMZJTMJSA-N 0 1 288.351 0.907 20 30 CCEDMN C[C@@H](CNCc1cc(C#N)n(C)c1)S(C)(=O)=O ZINC000571613141 390744075 /nfs/dbraw/zinc/74/40/75/390744075.db2.gz HFUNEQSRKNNTDM-VIFPVBQESA-N 0 1 255.343 0.419 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@H]1CNC(=O)C1 ZINC000578767657 390901737 /nfs/dbraw/zinc/90/17/37/390901737.db2.gz YUXTUWMPIUJMIG-SSDOTTSWSA-N 0 1 254.315 0.398 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@H](C)[C@H](C(=O)[O-])C2)C1=O ZINC000578891022 390906773 /nfs/dbraw/zinc/90/67/73/390906773.db2.gz CVENJQHHZJUARK-TUAOUCFPSA-N 0 1 266.341 0.816 20 30 CCEDMN Cc1cc(C#N)cc(N2CCN(CCCO)CC2)n1 ZINC000341848811 130092142 /nfs/dbraw/zinc/09/21/42/130092142.db2.gz BJWXVKYUMJDHGE-UHFFFAOYSA-N 0 1 260.341 0.766 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000242324438 131080395 /nfs/dbraw/zinc/08/03/95/131080395.db2.gz ZBGLPVGXXXRITE-JTQLQIEISA-N 0 1 297.380 0.547 20 30 CCEDMN C[C@H]1CN(c2nccnc2C#N)CCN1CC(C)(C)O ZINC000271502247 135098979 /nfs/dbraw/zinc/09/89/79/135098979.db2.gz GUVZXFTYCONUFW-NSHDSACASA-N 0 1 275.356 0.630 20 30 CCEDMN N#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1cnn[nH]1 ZINC000960282843 649853005 /nfs/dbraw/zinc/85/30/05/649853005.db2.gz ZRQSWYSAXPJAFL-DGCLKSJQSA-N 0 1 282.307 0.314 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@H]2CCCC[C@H]2O)CC1 ZINC000649472285 397617289 /nfs/dbraw/zinc/61/72/89/397617289.db2.gz KDRMBMOSGDFZGW-LSDHHAIUSA-N 0 1 295.427 0.457 20 30 CCEDMN COCC#CC[N@H+]1CC[C@@H]([C@H](O)C(F)(F)F)C1 ZINC000650204795 397704471 /nfs/dbraw/zinc/70/44/71/397704471.db2.gz HXPAIYGKVMVXJQ-ZJUUUORDSA-N 0 1 251.248 0.881 20 30 CCEDMN COCC#CCN1CC[C@@H]([C@H](O)C(F)(F)F)C1 ZINC000650204795 397704473 /nfs/dbraw/zinc/70/44/73/397704473.db2.gz HXPAIYGKVMVXJQ-ZJUUUORDSA-N 0 1 251.248 0.881 20 30 CCEDMN C=CCCn1cc(CNC2(CCO)CCOCC2)nn1 ZINC000653586827 412320513 /nfs/dbraw/zinc/32/05/13/412320513.db2.gz CRLBDTHZKFKDPO-UHFFFAOYSA-N 0 1 280.372 0.875 20 30 CCEDMN C=CCCn1cc(CN2CC[C@](C)(C(=O)NC)C2)nn1 ZINC000653656539 412331450 /nfs/dbraw/zinc/33/14/50/412331450.db2.gz HCILQNBFSWIUCS-AWEZNQCLSA-N 0 1 277.372 0.812 20 30 CCEDMN COCC#CCN1CCC(N2CN=NC2=O)CC1 ZINC000653652468 412331671 /nfs/dbraw/zinc/33/16/71/412331671.db2.gz GZHGSJVKXFSQMI-UHFFFAOYSA-N 0 1 250.302 0.270 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](COC)OC)C1=O ZINC000660463385 414340302 /nfs/dbraw/zinc/34/03/02/414340302.db2.gz BYCFZUOEIUTYJW-VXGBXAGGSA-N 0 1 256.346 0.367 20 30 CCEDMN C=C[C@H](CO)NCc1cccc(S(=O)(=O)N(C)C)c1 ZINC000662165650 414694904 /nfs/dbraw/zinc/69/49/04/414694904.db2.gz MNIGTQOULVAPIH-GFCCVEGCSA-N 0 1 284.381 0.573 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)Nc1cccc(C(=O)NC)c1 ZINC000662168235 414695272 /nfs/dbraw/zinc/69/52/72/414695272.db2.gz ZNWADUUSPNNXTI-CMPLNLGQSA-N 0 1 291.351 0.510 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N(C)Cc1ccccc1F ZINC000662167978 414695361 /nfs/dbraw/zinc/69/53/61/414695361.db2.gz WIIXTCFPWLMSAE-GFCCVEGCSA-N 0 1 266.316 0.921 20 30 CCEDMN CN(C)CC(=O)N1CCN(Cc2cccc(C#N)c2)CC1 ZINC000046063777 175391469 /nfs/dbraw/zinc/39/14/69/175391469.db2.gz BQPNQCCBTCVXEP-UHFFFAOYSA-N 0 1 286.379 0.764 20 30 CCEDMN N#Cc1cc([N+](=O)[O-])cnc1N1CCCN(CCO)CC1 ZINC000071349702 176133820 /nfs/dbraw/zinc/13/38/20/176133820.db2.gz SCTDLRIGCWHQIK-UHFFFAOYSA-N 0 1 291.311 0.366 20 30 CCEDMN CN(C)CC(=O)N1CCN(C[C@H](C#N)CCC#N)CC1 ZINC000352791034 227227929 /nfs/dbraw/zinc/22/79/29/227227929.db2.gz XFQFKGIQHJPPNQ-ZDUSSCGKSA-N 0 1 277.372 0.136 20 30 CCEDMN N#CCCn1ccc(=NC(=O)[C@H]2CCc3[nH]nnc3C2)[nH]1 ZINC000329037217 227266481 /nfs/dbraw/zinc/26/64/81/227266481.db2.gz ROLQPVLHHNOIQI-VIFPVBQESA-N 0 1 285.311 0.080 20 30 CCEDMN N#CCCn1ccc(=NC(=O)[C@H]2CCc3nn[nH]c3C2)[nH]1 ZINC000329037217 227266486 /nfs/dbraw/zinc/26/64/86/227266486.db2.gz ROLQPVLHHNOIQI-VIFPVBQESA-N 0 1 285.311 0.080 20 30 CCEDMN Cc1nn(C)c(N2CCN(CCC[C@H](C)O)CC2)c1C#N ZINC000355279455 227576548 /nfs/dbraw/zinc/57/65/48/227576548.db2.gz LMNICFYJZPGCCC-LBPRGKRZSA-N 0 1 291.399 0.883 20 30 CCEDMN C[C@H](C[NH+]=C([O-])N[C@@H]1CC[S@](=O)C1)Cn1cccn1 ZINC000329983964 228006618 /nfs/dbraw/zinc/00/66/18/228006618.db2.gz BRQNEHNHWLYOEB-BFKGZMGPSA-N 0 1 284.385 0.544 20 30 CCEDMN C[C@H](CNC(=O)N[C@@H]1CC[S@](=O)C1)Cn1cccn1 ZINC000329983964 228006621 /nfs/dbraw/zinc/00/66/21/228006621.db2.gz BRQNEHNHWLYOEB-BFKGZMGPSA-N 0 1 284.385 0.544 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N1CCO[C@@H](Cn2cccn2)C1 ZINC000330024166 228019827 /nfs/dbraw/zinc/01/98/27/228019827.db2.gz JNUILNIWEPZLJE-NDBYEHHHSA-N 0 1 292.339 0.143 20 30 CCEDMN C[C@@H](NC(=O)CNc1ccc(C#N)cn1)c1nnc[nH]1 ZINC000539801354 420413771 /nfs/dbraw/zinc/41/37/71/420413771.db2.gz KXXFHTNFZXEQRB-MRVPVSSYSA-N 0 1 271.284 0.361 20 30 CCEDMN C[C@H](OC[C@@H]1CCCO1)C(=O)NC[C@H]1CN(C)CCN1C ZINC000329424819 545023072 /nfs/dbraw/zinc/02/30/72/545023072.db2.gz GCWUNFYBYSLDAG-IHRRRGAJSA-N 0 1 299.415 0.773 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N(C)[C@@H]1CCCN(C)C1 ZINC000407978158 260141347 /nfs/dbraw/zinc/14/13/47/260141347.db2.gz WJACJHJRROCPIN-NWDGAFQWSA-N 0 1 288.417 0.491 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN(CC(C)(C)O)CC1 ZINC000070939864 260158551 /nfs/dbraw/zinc/15/85/51/260158551.db2.gz XGBOFUPIXSHDSC-LBPRGKRZSA-N 0 1 269.389 0.066 20 30 CCEDMN C[C@H](OC[C@@H]1CCCO1)C(=O)NC1(C#N)CCN(C)CC1 ZINC000518025603 260335949 /nfs/dbraw/zinc/33/59/49/260335949.db2.gz XZHUZJRAGMTMJZ-STQMWFEESA-N 0 1 295.383 0.675 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](c2ccnn2C)C1 ZINC000490556853 261162680 /nfs/dbraw/zinc/16/26/80/261162680.db2.gz RWRASKIPNDOION-QWHCGFSZSA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)Cc2cnccn2)CC1 ZINC000490651092 261176303 /nfs/dbraw/zinc/17/63/03/261176303.db2.gz PSFKLWKQFRGBNH-UHFFFAOYSA-N 0 1 272.352 0.780 20 30 CCEDMN C#CCN(CC#CC)CCCN1C(=O)NC(C)(C)C1=O ZINC000490677537 261183161 /nfs/dbraw/zinc/18/31/61/261183161.db2.gz LCWOHXKKFVZAEB-UHFFFAOYSA-N 0 1 275.352 0.665 20 30 CCEDMN CCN(CC(=O)N[C@](C)(C#N)C1CC1)[C@H]1CCOC1 ZINC000361579012 261347405 /nfs/dbraw/zinc/34/74/05/261347405.db2.gz UBXJQPJIMDUELU-GXTWGEPZSA-N 0 1 265.357 0.906 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CCCCC(=O)N1 ZINC000491810418 262082135 /nfs/dbraw/zinc/08/21/35/262082135.db2.gz IHYJQOLBIJNJHN-QWHCGFSZSA-N 0 1 278.352 0.686 20 30 CCEDMN C=CC[C@H](C)NC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000116971432 262206458 /nfs/dbraw/zinc/20/64/58/262206458.db2.gz WRVGDLOKCWKLHA-QWHCGFSZSA-N 0 1 268.405 0.886 20 30 CCEDMN CCc1[nH]nc(C(=O)N2CCN(CC#N)CC2)c1[N+](=O)[O-] ZINC000275107945 263032688 /nfs/dbraw/zinc/03/26/88/263032688.db2.gz JYCPVZGXKVIQRM-UHFFFAOYSA-N 0 1 292.299 0.162 20 30 CCEDMN COC(=O)[C@H](C)NC(=O)C(C#N)Cc1ccc(C#N)cc1 ZINC000279587329 263056079 /nfs/dbraw/zinc/05/60/79/263056079.db2.gz DCORGYUECLSUBP-GWCFXTLKSA-N 0 1 285.303 0.918 20 30 CCEDMN Cc1cn[nH]c1CN(CCC#N)CCN1CCOCC1 ZINC000283867734 263084536 /nfs/dbraw/zinc/08/45/36/263084536.db2.gz FASOIOWEOPIXGH-UHFFFAOYSA-N 0 1 277.372 0.766 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)C[C@@H](C)OC ZINC000285862289 263096140 /nfs/dbraw/zinc/09/61/40/263096140.db2.gz XQGSOLTZIHOBQY-ZJUUUORDSA-N 0 1 257.334 0.353 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@H](n2cccn2)C1 ZINC000291735048 263125157 /nfs/dbraw/zinc/12/51/57/263125157.db2.gz JSGPCFRQQZPXLL-OLZOCXBDSA-N 0 1 275.356 0.890 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1C[C@H](O)CN1Cc1ccccc1 ZINC000340744678 263303946 /nfs/dbraw/zinc/30/39/46/263303946.db2.gz XEEDXNSQGOLDLU-SNPRPXQTSA-N 0 1 287.363 0.898 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000340954811 263313612 /nfs/dbraw/zinc/31/36/12/263313612.db2.gz GIWGTLAEXMLTGR-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN COC(=O)[C@]12CN(CC(=O)NCC#N)C[C@H]1CCCC2 ZINC000342147860 263399429 /nfs/dbraw/zinc/39/94/29/263399429.db2.gz GCCJMVICMLKASF-BXUZGUMPSA-N 0 1 279.340 0.291 20 30 CCEDMN COC(=O)[C@]12CN(CC(=O)NCC#N)C[C@@H]1CCCC2 ZINC000342147870 263399596 /nfs/dbraw/zinc/39/95/96/263399596.db2.gz GCCJMVICMLKASF-SMDDNHRTSA-N 0 1 279.340 0.291 20 30 CCEDMN C=CCN(Cc1ccc(OC)cc1)C(=O)CNC(=O)CN ZINC000124631872 263905588 /nfs/dbraw/zinc/90/55/88/263905588.db2.gz RYPQGEBRTWKTIE-UHFFFAOYSA-N 0 1 291.351 0.285 20 30 CCEDMN N#CCNC(=O)CN1CCC(Cc2cccnc2)CC1 ZINC000330632929 264001226 /nfs/dbraw/zinc/00/12/26/264001226.db2.gz RPHKLMYYOPWMLR-UHFFFAOYSA-N 0 1 272.352 0.976 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC[C@H](c2nc[nH]n2)C1 ZINC000330869999 264058532 /nfs/dbraw/zinc/05/85/32/264058532.db2.gz QHCGWMGORDPDJX-GXFFZTMASA-N 0 1 264.329 0.838 20 30 CCEDMN N#C[C@@H]1CCC[C@@H]1NC[C@@H](O)COC1CCOCC1 ZINC000330853190 264068551 /nfs/dbraw/zinc/06/85/51/264068551.db2.gz RCBHTDBAMHEQDM-SCRDCRAPSA-N 0 1 268.357 0.825 20 30 CCEDMN CC(C)N(C)CCNC(=O)N[C@H]1CCCS(=O)(=O)C1 ZINC000330854999 264069418 /nfs/dbraw/zinc/06/94/18/264069418.db2.gz PCTZTBFSDDWUAF-NSHDSACASA-N 0 1 291.417 0.407 20 30 CCEDMN CC[C@H]1CN(C(=O)CCCC#N)CCN1C[C@H](C)O ZINC000330898509 264079264 /nfs/dbraw/zinc/07/92/64/264079264.db2.gz PEXIAJTZGCZBAB-STQMWFEESA-N 0 1 267.373 0.984 20 30 CCEDMN CC(C)[C@H](CCO)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000330937029 264082069 /nfs/dbraw/zinc/08/20/69/264082069.db2.gz TZVFYJXAGSFPMZ-OLZOCXBDSA-N 0 1 286.420 0.143 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000330951165 264086343 /nfs/dbraw/zinc/08/63/43/264086343.db2.gz KMTPWDJWMQTDTQ-ZFWWWQNUSA-N 0 1 282.384 0.494 20 30 CCEDMN Cc1nnsc1C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000331006531 264106353 /nfs/dbraw/zinc/10/63/53/264106353.db2.gz ZPZYCWWWJWNTNQ-VIFPVBQESA-N 0 1 283.401 0.787 20 30 CCEDMN C[N@@H+](CCCO)C1CCN(C(=O)N=c2cn[n-]s2)CC1 ZINC000331194161 264158671 /nfs/dbraw/zinc/15/86/71/264158671.db2.gz XEOBULCROPYRER-UHFFFAOYSA-N 0 1 299.400 0.862 20 30 CCEDMN CNC(=O)NC(=O)CCN(C)C1(C(=O)OC)CCCC1 ZINC000331204873 264160994 /nfs/dbraw/zinc/16/09/94/264160994.db2.gz SFJULDUDXFZFDI-UHFFFAOYSA-N 0 1 285.344 0.454 20 30 CCEDMN CO[C@H]1CCCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000076346412 264336929 /nfs/dbraw/zinc/33/69/29/264336929.db2.gz HRFKFLCAXWCTRW-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC([C@@H]2CCOC2)CC1 ZINC000368284923 267159378 /nfs/dbraw/zinc/15/93/78/267159378.db2.gz NJZIKMSVPULOSC-CYBMUJFWSA-N 0 1 295.383 0.747 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@](O)(C2CC2)C1 ZINC000370092698 267289741 /nfs/dbraw/zinc/28/97/41/267289741.db2.gz NZVRBHRTOHNHOI-CQSZACIVSA-N 0 1 265.357 0.595 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC1(C#N)CCSCC1 ZINC000336587749 277057756 /nfs/dbraw/zinc/05/77/56/277057756.db2.gz SDBPQRPNWLTQCM-SNVBAGLBSA-N 0 1 253.371 0.986 20 30 CCEDMN CN1CCC[C@H]1CNS(=O)(=O)c1ccc(C#N)cc1 ZINC000221178575 277081633 /nfs/dbraw/zinc/08/16/33/277081633.db2.gz VLZLTQKKKGAGEW-LBPRGKRZSA-N 0 1 279.365 0.931 20 30 CCEDMN C[C@@H]1COCCN1C([O-])=[NH+][C@H]1CCn2ccnc2C1 ZINC000330176185 288336119 /nfs/dbraw/zinc/33/61/19/288336119.db2.gz CBCKTGRHWYERIQ-MNOVXSKESA-N 0 1 264.329 0.833 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCC1(C#N)CCC1 ZINC000581812412 336146570 /nfs/dbraw/zinc/14/65/70/336146570.db2.gz YPQZCNQEXJLRLN-GFCCVEGCSA-N 0 1 250.346 0.476 20 30 CCEDMN O=C(N[C@H]1CCc2nc[nH]c2C1)N1CCNC(=O)C1 ZINC000329732919 295389405 /nfs/dbraw/zinc/38/94/05/295389405.db2.gz JFHPOGIGHHKBBY-QMMMGPOBSA-N 0 1 263.301 0.453 20 30 CCEDMN N#Cc1ccc(C(=O)NCCNc2cc[nH+]cc2)cc1[O-] ZINC000442623047 303188715 /nfs/dbraw/zinc/18/87/15/303188715.db2.gz PJOXEJVNYNWEDZ-UHFFFAOYSA-N 0 1 282.303 0.923 20 30 CCEDMN CC1CC(C#N)(C(=O)NCCCN2CCC[C@H]2C(N)=O)C1 ZINC000531810277 328038688 /nfs/dbraw/zinc/03/86/88/328038688.db2.gz ARMHKQUBEJKUNM-AVERBVTBSA-N 0 1 292.383 0.382 20 30 CCEDMN N#CCSCC(=O)NCCCCN1CCOCC1 ZINC000048287098 328047394 /nfs/dbraw/zinc/04/73/94/328047394.db2.gz VWAOXYIAFGVUDI-UHFFFAOYSA-N 0 1 271.386 0.472 20 30 CCEDMN C=CCOC[C@H](NC(=O)C[N@H+]1CC[C@@H](C)C1)C(=O)OC ZINC000563391923 328060601 /nfs/dbraw/zinc/06/06/01/328060601.db2.gz CSPKCDPDLAMBQP-NEPJUHHUSA-N 0 1 284.356 0.189 20 30 CCEDMN C=CCOC[C@H](NC(=O)CN1CC[C@@H](C)C1)C(=O)OC ZINC000563391923 328060602 /nfs/dbraw/zinc/06/06/02/328060602.db2.gz CSPKCDPDLAMBQP-NEPJUHHUSA-N 0 1 284.356 0.189 20 30 CCEDMN C[C@H]1[C@@H](CO)CCCN1CC(=O)NC1(C#N)CCC1 ZINC000412061890 328103537 /nfs/dbraw/zinc/10/35/37/328103537.db2.gz ALNJTFDTJVTPDY-NWDGAFQWSA-N 0 1 265.357 0.642 20 30 CCEDMN C=CCCCNC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000413502724 328147328 /nfs/dbraw/zinc/14/73/28/328147328.db2.gz NTQNRVATVKRDHP-GFCCVEGCSA-N 0 1 283.372 0.699 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cccc2n[nH]cc21 ZINC000268107858 328182645 /nfs/dbraw/zinc/18/26/45/328182645.db2.gz OECIAYUHGRMDHA-SECBINFHSA-N 0 1 256.265 0.927 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)NCCCCN1CCOCC1 ZINC000340349555 328259895 /nfs/dbraw/zinc/25/98/95/328259895.db2.gz FLWRGZWEMRBINL-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N[C@](C)(C#N)C(C)C)C[C@H]1O ZINC000341023894 327023306 /nfs/dbraw/zinc/02/33/06/327023306.db2.gz XSDZVCJFFXFTKM-YRGRVCCFSA-N 0 1 267.373 0.744 20 30 CCEDMN CCN1CCN(CC(=O)N(CCC#N)C(C)C)CC1 ZINC000531056266 327233391 /nfs/dbraw/zinc/23/33/91/327233391.db2.gz OQQQFNIJCUGZTO-UHFFFAOYSA-N 0 1 266.389 0.775 20 30 CCEDMN Cc1ccc(Cl)c(OC[C@H](O)CNCC#CCO)c1 ZINC000578070560 327298002 /nfs/dbraw/zinc/29/80/02/327298002.db2.gz XXKUVGAHVQWFLC-GFCCVEGCSA-N 0 1 283.755 0.973 20 30 CCEDMN C#CCNC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000491038407 332141439 /nfs/dbraw/zinc/14/14/39/332141439.db2.gz JLWQCEWFBFEPBG-NSHDSACASA-N 0 1 253.346 0.106 20 30 CCEDMN CCN(CCC#N)C(=O)CNC(C)(C)C(=O)N(C)C ZINC000114457737 328646776 /nfs/dbraw/zinc/64/67/76/328646776.db2.gz FCBJZZKGOZTITJ-UHFFFAOYSA-N 0 1 268.361 0.205 20 30 CCEDMN CC(C)(C)N1CC[C@](F)(C(=O)N2CCOC[C@H]2C#N)C1 ZINC000377938867 329035306 /nfs/dbraw/zinc/03/53/06/329035306.db2.gz MOGQGKXWXCLDFZ-BXUZGUMPSA-N 0 1 283.347 0.950 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCN(CC(C)(C)O)CC1 ZINC000171152806 329074685 /nfs/dbraw/zinc/07/46/85/329074685.db2.gz TVQQDWQJLIWMJX-CYBMUJFWSA-N 0 1 296.415 0.135 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1c1cncc(C#N)n1 ZINC000582539750 329108604 /nfs/dbraw/zinc/10/86/04/329108604.db2.gz ULSGYRBQRSGUGD-LLVKDONJSA-N 0 1 275.356 0.630 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@@H](C)c2n[nH]c(C)n2)C1=O ZINC000583070714 329310243 /nfs/dbraw/zinc/31/02/43/329310243.db2.gz ZCAQDFCGEWHABE-ONGXEEELSA-N 0 1 263.345 0.893 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)C1CCN(OC)CC1 ZINC000459595207 329341004 /nfs/dbraw/zinc/34/10/04/329341004.db2.gz ZWMONEKYWCUTBI-CYBMUJFWSA-N 0 1 296.415 0.952 20 30 CCEDMN Cn1ccnc1-c1cc(=NC(=O)[C@@H](N)CCCC#N)[nH][nH]1 ZINC000583320764 329451006 /nfs/dbraw/zinc/45/10/06/329451006.db2.gz MRZZETMXIOLGMT-VIFPVBQESA-N 0 1 287.327 0.192 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N1CCN[C@H](C)C1 ZINC000422987470 330162980 /nfs/dbraw/zinc/16/29/80/330162980.db2.gz IPEMYKIYKRDCMP-LLVKDONJSA-N 0 1 253.346 0.231 20 30 CCEDMN CN(C)C(=O)C(C)(C)NCC(=O)NC1(C#N)CCC1 ZINC000527135949 330225663 /nfs/dbraw/zinc/22/56/63/330225663.db2.gz XDFMFJBTBNDVFH-UHFFFAOYSA-N 0 1 266.345 0.005 20 30 CCEDMN CC[C@H](C#N)S(=O)(=O)Nc1ccc(C(N)=O)cc1 ZINC000088475032 330376502 /nfs/dbraw/zinc/37/65/02/330376502.db2.gz FOMNNEZXKLKYGB-SNVBAGLBSA-N 0 1 267.310 0.829 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N(C)CC(C)(C)CO ZINC000451249616 331021924 /nfs/dbraw/zinc/02/19/24/331021924.db2.gz VQQKEAREGVXBDF-NSHDSACASA-N 0 1 255.362 0.697 20 30 CCEDMN C=CCCCS(=O)(=O)NC[C@@H]1CCCCN1CCO ZINC000451674043 331038561 /nfs/dbraw/zinc/03/85/61/331038561.db2.gz XHLSIRGVJGABBA-ZDUSSCGKSA-N 0 1 290.429 0.719 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@]2(CCOC2)C1 ZINC000451899722 331046788 /nfs/dbraw/zinc/04/67/88/331046788.db2.gz ISDDXSMCZNYMKF-AWEZNQCLSA-N 0 1 281.356 0.501 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)NCCCCN1CCOCC1 ZINC000457731880 331232670 /nfs/dbraw/zinc/23/26/70/331232670.db2.gz CUUWVDGENJWQSW-AWEZNQCLSA-N 0 1 299.415 0.725 20 30 CCEDMN C=C(C)COCCNC(=O)NCc1n[nH]c(CC)n1 ZINC000458584732 331253511 /nfs/dbraw/zinc/25/35/11/331253511.db2.gz ULXXHTLMLULWBW-UHFFFAOYSA-N 0 1 267.333 0.759 20 30 CCEDMN C=CCOCC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000488837512 332028428 /nfs/dbraw/zinc/02/84/28/332028428.db2.gz ADMVHYGQPRYUEE-ZDUSSCGKSA-N 0 1 268.357 0.370 20 30 CCEDMN C=CCCCS(=O)(=O)N[C@@H]1CCN(CCOC)C1 ZINC000489633507 332062314 /nfs/dbraw/zinc/06/23/14/332062314.db2.gz YBKDDMYRFGWDIA-GFCCVEGCSA-N 0 1 276.402 0.593 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC2(CO)CC2)CC1 ZINC000490714291 332107407 /nfs/dbraw/zinc/10/74/07/332107407.db2.gz OGCOYYVUGGQZMD-UHFFFAOYSA-N 0 1 264.369 0.563 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@H]2C#N)CC1 ZINC000490800509 332116446 /nfs/dbraw/zinc/11/64/46/332116446.db2.gz SHPBARZKTULRHO-CYBMUJFWSA-N 0 1 261.325 0.083 20 30 CCEDMN C#CCN1CCC(C(=O)OCCCN2CCCC2=O)CC1 ZINC000490867027 332122442 /nfs/dbraw/zinc/12/24/42/332122442.db2.gz YDOKVWUAFOAQJV-UHFFFAOYSA-N 0 1 292.379 0.887 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](c2nccn2C)C1 ZINC000490891778 332125220 /nfs/dbraw/zinc/12/52/20/332125220.db2.gz HFQVMJROLBHHDG-NWDGAFQWSA-N 0 1 260.341 0.347 20 30 CCEDMN C#CCN1CCC(C(=O)OCCC2OCCO2)CC1 ZINC000491037237 332141102 /nfs/dbraw/zinc/14/11/02/332141102.db2.gz CHZTTXXVQVAMFU-UHFFFAOYSA-N 0 1 267.325 0.638 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC[C@@](C)(OC)C2)CC1 ZINC000491044144 332142434 /nfs/dbraw/zinc/14/24/34/332142434.db2.gz GEOXKHLBHFNDHT-MRXNPFEDSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(=O)NCC2(C)C)CC1 ZINC000491149159 332157118 /nfs/dbraw/zinc/15/71/18/332157118.db2.gz ZBJMTCYYXOBVNM-UHFFFAOYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](c1nncn1C)C(C)C ZINC000491210841 332167408 /nfs/dbraw/zinc/16/74/08/332167408.db2.gz ZKYMHUGORHBPLT-GFCCVEGCSA-N 0 1 263.345 0.241 20 30 CCEDMN C#CCN(CC#N)S(=O)(=O)CCN(CC)CC ZINC000491309317 332187736 /nfs/dbraw/zinc/18/77/36/332187736.db2.gz YSEYKJZRNFDOEN-UHFFFAOYSA-N 0 1 257.359 0.117 20 30 CCEDMN C#CCNC(=O)[C@@H](N)Cc1cn(Cc2ccccc2)cn1 ZINC000491555561 332237447 /nfs/dbraw/zinc/23/74/47/332237447.db2.gz RQOKHDDSLCRELB-HNNXBMFYSA-N 0 1 282.347 0.551 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000491627197 332250819 /nfs/dbraw/zinc/25/08/19/332250819.db2.gz JXJRBOQCJKNWRU-KGLIPLIRSA-N 0 1 280.416 0.723 20 30 CCEDMN C#C[C@@H](C)N(C)CCN1C(=O)NC2(CCCC2)C1=O ZINC000491629881 332252531 /nfs/dbraw/zinc/25/25/31/332252531.db2.gz MJOQXFHOMPTVTL-LLVKDONJSA-N 0 1 263.341 0.805 20 30 CCEDMN C#CCN1CCC(C(=O)NCC2([S@@](C)=O)CCC2)CC1 ZINC000491631704 332254341 /nfs/dbraw/zinc/25/43/41/332254341.db2.gz PEOHBROFYZNJQL-HXUWFJFHSA-N 0 1 296.436 0.749 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)N2CCCCC2)CC1 ZINC000491668533 332276331 /nfs/dbraw/zinc/27/63/31/332276331.db2.gz YTKKVTCTJQHSCS-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1ccccc1Cl ZINC000491674585 332280413 /nfs/dbraw/zinc/28/04/13/332280413.db2.gz KPRBVCIHKXAIQN-GHMZBOCLSA-N 0 1 269.728 0.663 20 30 CCEDMN C#CCN(CC)C(=O)NCCN1CCCOCC1 ZINC000491699233 332294204 /nfs/dbraw/zinc/29/42/04/332294204.db2.gz ULDWSDQYXVSDAI-UHFFFAOYSA-N 0 1 253.346 0.373 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N=c1[n-]nc(C)s1 ZINC000491716674 332306423 /nfs/dbraw/zinc/30/64/23/332306423.db2.gz OAZNBIKBSBFYKF-UHFFFAOYSA-N 0 1 267.358 0.345 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cc(C)ncn2)CC1 ZINC000491725950 332309677 /nfs/dbraw/zinc/30/96/77/332309677.db2.gz IRKKPNDSVSWLOV-UHFFFAOYSA-N 0 1 272.352 0.746 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)COC[C@@H]1CCOC1 ZINC000491726789 332310141 /nfs/dbraw/zinc/31/01/41/332310141.db2.gz GJXRENBWIXBACL-KGLIPLIRSA-N 0 1 281.352 0.680 20 30 CCEDMN Cc1c(/C=C/C(=O)NC2(C#N)CCN(C)CC2)cnn1C ZINC000492250515 332389207 /nfs/dbraw/zinc/38/92/07/332389207.db2.gz UEPUCFUAKBSCKO-SNAWJCMRSA-N 0 1 287.367 0.846 20 30 CCEDMN CC[C@@H]1CN(C)CCN1CC(=O)NC1(C#N)CCC1 ZINC000575743866 335111069 /nfs/dbraw/zinc/11/10/69/335111069.db2.gz YQOFRFGJJLNIOA-GFCCVEGCSA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NC[C@@H]1COCCN1 ZINC000529332849 333482787 /nfs/dbraw/zinc/48/27/87/333482787.db2.gz XNMKBGBJMYGNSC-UPJWGTAASA-N 0 1 283.372 0.255 20 30 CCEDMN C[C@@H]1CCCN(C(=O)C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000549625393 334200067 /nfs/dbraw/zinc/20/00/67/334200067.db2.gz OEXWBIOWVYOBAF-GFCCVEGCSA-N 0 1 292.383 0.349 20 30 CCEDMN C=CCOCCN1CCC[C@@H](S(=O)(=O)NC)C1 ZINC000549918890 334208541 /nfs/dbraw/zinc/20/85/41/334208541.db2.gz BXMZYMMQBCEOHD-LLVKDONJSA-N 0 1 262.375 0.203 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@H]([C@H](C)O)C1 ZINC000252764948 334391383 /nfs/dbraw/zinc/39/13/83/334391383.db2.gz IGHWYGRETRPKMC-SGMGOOAPSA-N 0 1 267.373 0.744 20 30 CCEDMN C#CCN(CC#C)C(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000156413686 334742227 /nfs/dbraw/zinc/74/22/27/334742227.db2.gz YGFFCDDFWZIGPC-UHFFFAOYSA-N 0 1 297.339 0.920 20 30 CCEDMN CCN(CC)CCS(=O)(=O)NCC1(C#N)CC1 ZINC000580604110 335300937 /nfs/dbraw/zinc/30/09/37/335300937.db2.gz KCPHJLXJZGSTKS-UHFFFAOYSA-N 0 1 259.375 0.551 20 30 CCEDMN C=CCNc1ncc(C(=O)NCCCc2nc[nH]n2)s1 ZINC000581317453 336047601 /nfs/dbraw/zinc/04/76/01/336047601.db2.gz FXKURKXFFLFCIV-UHFFFAOYSA-N 0 1 292.368 0.644 20 30 CCEDMN C[C@@H]1[C@@H](CO)CCN1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000385965441 336163577 /nfs/dbraw/zinc/16/35/77/336163577.db2.gz ZKGYLWHFFYAANB-GYSYKLTISA-N 0 1 265.357 0.498 20 30 CCEDMN N#CCNC(=O)CN[C@@H](CCO)c1ccc(Cl)cc1 ZINC000347624596 534687286 /nfs/dbraw/zinc/68/72/86/534687286.db2.gz AKKQFZNRTPOLHU-LBPRGKRZSA-N 0 1 281.743 0.993 20 30 CCEDMN C=C(C)CN(CCC(=O)NC(N)=O)Cc1ccc(CO)o1 ZINC000352799743 526551454 /nfs/dbraw/zinc/55/14/54/526551454.db2.gz GFCYLZTYFYSMSV-UHFFFAOYSA-N 0 1 295.339 0.735 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC000669276255 545203852 /nfs/dbraw/zinc/20/38/52/545203852.db2.gz AOCFWBSLLPPHMG-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCCCNC(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC000669289706 545204670 /nfs/dbraw/zinc/20/46/70/545204670.db2.gz QDHBFAHIDDTXLF-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC000352696655 528689907 /nfs/dbraw/zinc/68/99/07/528689907.db2.gz JQRVSLRHNPVMQL-JHJVBQTASA-N 0 1 267.373 0.840 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N(Cc2cc(C)[nH]n2)C1=O ZINC000672063178 545262170 /nfs/dbraw/zinc/26/21/70/545262170.db2.gz AHGYPZSTLMRVKI-SNVBAGLBSA-N 0 1 264.285 0.341 20 30 CCEDMN CCS(=O)(=O)C[C@@H](C)NCc1cc(C#N)n(C)c1 ZINC000191034605 535640764 /nfs/dbraw/zinc/64/07/64/535640764.db2.gz TYSZEJHOLQFHQA-SNVBAGLBSA-N 0 1 269.370 0.810 20 30 CCEDMN CC[C@@H](O)CN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000154903591 535813305 /nfs/dbraw/zinc/81/33/05/535813305.db2.gz DVZBESSQKWWZAJ-NWDGAFQWSA-N 0 1 275.356 0.630 20 30 CCEDMN COCC#CCN1CCC(N2CCCC2=O)CC1 ZINC000677816905 545373181 /nfs/dbraw/zinc/37/31/81/545373181.db2.gz DKHAUIOADTXABI-UHFFFAOYSA-N 0 1 250.342 0.723 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000962227710 649895833 /nfs/dbraw/zinc/89/58/33/649895833.db2.gz HAVUPYBMLZRKOH-PUHVVEEASA-N 0 1 285.351 0.085 20 30 CCEDMN CN1CCN(CCNC(=O)[C@]2(O)CCSC2)CC1 ZINC000328965765 581112915 /nfs/dbraw/zinc/11/29/15/581112915.db2.gz MMXDZUBMGHUYHT-LBPRGKRZSA-N 0 1 273.402 0.058 20 30 CCEDMN Cc1onc(CC(=O)NOC[C@@H]2CCOC2)c1C#N ZINC000788568947 581116435 /nfs/dbraw/zinc/11/64/35/581116435.db2.gz GBCVBZDNYSMALF-SECBINFHSA-N 0 1 265.269 0.482 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000739404856 581132067 /nfs/dbraw/zinc/13/20/67/581132067.db2.gz SAVGPSNILGYQSH-GHMZBOCLSA-N 0 1 282.344 0.336 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000739404856 581132070 /nfs/dbraw/zinc/13/20/70/581132070.db2.gz SAVGPSNILGYQSH-GHMZBOCLSA-N 0 1 282.344 0.336 20 30 CCEDMN Cn1cc([C@H](CO)NC[C@H](O)c2ccc(C#N)cc2)cn1 ZINC000778138614 581143265 /nfs/dbraw/zinc/14/32/65/581143265.db2.gz RLCYDOYZRPJBAZ-GJZGRUSLSA-N 0 1 286.335 0.648 20 30 CCEDMN COc1ccc(CNC2(C(N)=O)CCOCC2)cc1C#N ZINC000789556576 581151416 /nfs/dbraw/zinc/15/14/16/581151416.db2.gz CSCPZIDQBISJCT-UHFFFAOYSA-N 0 1 289.335 0.691 20 30 CCEDMN COCCCN(C)CCNS(=O)(=O)CC(C)(C)C#N ZINC000790028348 581172413 /nfs/dbraw/zinc/17/24/13/581172413.db2.gz YJBABVIXEJHPED-UHFFFAOYSA-N 0 1 291.417 0.424 20 30 CCEDMN COCCN(C)c1ccc(C=NNC2=NC[C@H](C)N2)cn1 ZINC000790173539 581179214 /nfs/dbraw/zinc/17/92/14/581179214.db2.gz JXGKKWFPOIMWOJ-NSHDSACASA-N 0 1 290.371 0.435 20 30 CCEDMN COc1ncnc(N)c1C=[NH+][N-]c1nc2ccccn2n1 ZINC000790195165 581179642 /nfs/dbraw/zinc/17/96/42/581179642.db2.gz ACWGSTAELNGUHS-UHFFFAOYSA-N 0 1 284.283 0.556 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cc2ccccc2CO)CC1 ZINC000779768212 581203453 /nfs/dbraw/zinc/20/34/53/581203453.db2.gz MFTDDIYFAGLTKF-UHFFFAOYSA-N 0 1 287.363 0.826 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)[C@@H](C)CN1CCOCC1 ZINC000781348100 581265576 /nfs/dbraw/zinc/26/55/76/581265576.db2.gz BDLQEVVULVSOFK-ZDUSSCGKSA-N 0 1 298.383 0.532 20 30 CCEDMN Cc1c(O)cc(O)c(C=NNc2nccn(C)c2=O)c1O ZINC000782242451 581293447 /nfs/dbraw/zinc/29/34/47/581293447.db2.gz IBJKBDAMJLXKHS-UHFFFAOYSA-N 0 1 290.279 0.652 20 30 CCEDMN Cc1c(O)cc(O)c(C=NN=c2[nH]ccn(C)c2=O)c1O ZINC000782242451 581293449 /nfs/dbraw/zinc/29/34/49/581293449.db2.gz IBJKBDAMJLXKHS-UHFFFAOYSA-N 0 1 290.279 0.652 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)[C@@H](NC(=O)[O-])C(C)C ZINC000738459828 581333412 /nfs/dbraw/zinc/33/34/12/581333412.db2.gz FQXLKSPYMZVQTI-RYUDHWBXSA-N 0 1 282.340 0.919 20 30 CCEDMN C=CC[C@@H](C)NC(=O)NCCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000738487996 581357714 /nfs/dbraw/zinc/35/77/14/581357714.db2.gz FEERCGXZJAARGP-GFCCVEGCSA-N 0 1 298.387 0.936 20 30 CCEDMN C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)OCC#N ZINC000728367135 581359866 /nfs/dbraw/zinc/35/98/66/581359866.db2.gz XRQCUIAQJIBPNB-MRVPVSSYSA-N 0 1 272.264 0.748 20 30 CCEDMN Cc1nn(-c2ccncc2)cc1C=NN1CC(=O)NC1=O ZINC000783817154 581364850 /nfs/dbraw/zinc/36/48/50/581364850.db2.gz WUIPRJOPEQNKLV-UHFFFAOYSA-N 0 1 284.279 0.461 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC(C)(C)OC ZINC000786298440 581436687 /nfs/dbraw/zinc/43/66/87/581436687.db2.gz VGPDZDXPRNTCDU-SNVBAGLBSA-N 0 1 270.329 0.663 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)NCCN2CCC2)nc1 ZINC000752649448 581511500 /nfs/dbraw/zinc/51/15/00/581511500.db2.gz VYHCKTLKSIZKPH-UHFFFAOYSA-N 0 1 288.355 0.370 20 30 CCEDMN C[N@@H+](CCCC#N)CCN1CCN(C(=O)[O-])CC1 ZINC000739664818 581589282 /nfs/dbraw/zinc/58/92/82/581589282.db2.gz UWIDUXKPZGUPQY-UHFFFAOYSA-N 0 1 254.334 0.518 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)C2(NC(N)=O)CC2)c1 ZINC000767878686 581634945 /nfs/dbraw/zinc/63/49/45/581634945.db2.gz WBDDPXMXOWNXKC-UHFFFAOYSA-N 0 1 260.253 0.403 20 30 CCEDMN Cc1cc(CC(=O)O[C@H](C)C(=O)N(C)CCC#N)n[nH]1 ZINC000756705093 581675919 /nfs/dbraw/zinc/67/59/19/581675919.db2.gz XLUCUFXOCDBAQE-SNVBAGLBSA-N 0 1 278.312 0.564 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000736350502 581748793 /nfs/dbraw/zinc/74/87/93/581748793.db2.gz YJNRZAVONGKBLV-FZMZJTMJSA-N 0 1 281.356 0.837 20 30 CCEDMN C#CCCCCNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000758768231 581768305 /nfs/dbraw/zinc/76/83/05/581768305.db2.gz TZPROBMWJPFGHT-CYBMUJFWSA-N 0 1 266.389 0.335 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000736673558 581816082 /nfs/dbraw/zinc/81/60/82/581816082.db2.gz QTONJNJZAHLPLS-WCBMZHEXSA-N 0 1 256.269 0.565 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CCN(C2CC2)[C@@H](C#N)C1 ZINC000732678643 581841973 /nfs/dbraw/zinc/84/19/73/581841973.db2.gz IQCTWXQWUZFMAU-STQMWFEESA-N 0 1 262.357 0.279 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)N[C@@H](C)CC)CC1 ZINC000772119999 581843917 /nfs/dbraw/zinc/84/39/17/581843917.db2.gz JSIVYXQXFIWLDR-LBPRGKRZSA-N 0 1 280.368 0.790 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)Nc1ccc2[nH]nnc2c1 ZINC000760709967 581870674 /nfs/dbraw/zinc/87/06/74/581870674.db2.gz CJGPVAQUXRVCCW-ZDUSSCGKSA-N 0 1 269.308 0.994 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+](C)C(C)(C)C(=O)[O-] ZINC000737094744 581902944 /nfs/dbraw/zinc/90/29/44/581902944.db2.gz UFJHYHOOYGMIJI-UHFFFAOYSA-N 0 1 255.318 0.544 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C)C(C)(C)C(=O)[O-] ZINC000737094744 581902947 /nfs/dbraw/zinc/90/29/47/581902947.db2.gz UFJHYHOOYGMIJI-UHFFFAOYSA-N 0 1 255.318 0.544 20 30 CCEDMN CC(=NN1CC[NH+](C)CC1)c1ccc(C(=O)[O-])o1 ZINC000772989529 581904179 /nfs/dbraw/zinc/90/41/79/581904179.db2.gz FUQKUYOMBUYQND-UHFFFAOYSA-N 0 1 251.286 0.949 20 30 CCEDMN CC(=NNc1cnn(C)c1)c1cc(C(N)=O)n(C)c1 ZINC000761859938 581922903 /nfs/dbraw/zinc/92/29/03/581922903.db2.gz DJPMZJMBXDXKRV-UHFFFAOYSA-N 0 1 260.301 0.694 20 30 CCEDMN COC(=O)Cn1cc(C(C)=NNc2cnn(C)c2)nn1 ZINC000761885073 581924952 /nfs/dbraw/zinc/92/49/52/581924952.db2.gz NKSATQXJXGOOQL-UHFFFAOYSA-N 0 1 277.288 0.021 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)C2(O)CCOCC2)c1 ZINC000773502016 581930114 /nfs/dbraw/zinc/93/01/14/581930114.db2.gz TUDLZFWDYIURTG-UHFFFAOYSA-N 0 1 262.265 0.744 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000773700584 581938621 /nfs/dbraw/zinc/93/86/21/581938621.db2.gz KEBRWZJOOVYSOZ-UONOGXRCSA-N 0 1 264.369 0.874 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2CCS(=O)(=O)C2)c1 ZINC000747998771 581959235 /nfs/dbraw/zinc/95/92/35/581959235.db2.gz GYXSVGBNHJSBBF-SECBINFHSA-N 0 1 280.305 0.637 20 30 CCEDMN C#CCNC(=O)[C@H](C)[NH+]1CCC(N(C)C(=O)[O-])CC1 ZINC000737492737 581965747 /nfs/dbraw/zinc/96/57/47/581965747.db2.gz OYQHLWOMSKTXOJ-JTQLQIEISA-N 0 1 267.329 0.199 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CCN2CCOCC2)c1 ZINC000748411527 581974183 /nfs/dbraw/zinc/97/41/83/581974183.db2.gz QXWPBWBYMAUNBQ-UHFFFAOYSA-N 0 1 275.308 0.925 20 30 CCEDMN C#C[C@H](NCc1ccc(OCC(N)=O)cc1)[C@H]1CCCO1 ZINC000775351233 582020731 /nfs/dbraw/zinc/02/07/31/582020731.db2.gz SNPNMVKJHXGXME-LSDHHAIUSA-N 0 1 288.347 0.821 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC(=O)[C@H](C)N(C)C)c1 ZINC000750016634 582030984 /nfs/dbraw/zinc/03/09/84/582030984.db2.gz GRNPFHHFHUSZSM-NSHDSACASA-N 0 1 273.336 0.673 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC[C@H]1CCCO1)[C@@H]1CCCO1 ZINC000775789467 582038120 /nfs/dbraw/zinc/03/81/20/582038120.db2.gz CWULROFGUBLRAD-MQYQWHSLSA-N 0 1 280.368 0.441 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NC(=O)NC(C)(C)C)[C@@H]1CCCO1 ZINC000775798152 582039538 /nfs/dbraw/zinc/03/95/38/582039538.db2.gz IISDHZPWBSAVLP-SRVKXCTJSA-N 0 1 295.383 0.770 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC(=O)NC(C)(C)C)[C@H]1CCCO1 ZINC000775798150 582039692 /nfs/dbraw/zinc/03/96/92/582039692.db2.gz IISDHZPWBSAVLP-GRYCIOLGSA-N 0 1 295.383 0.770 20 30 CCEDMN C[C@@H](C(=O)NCCNc1ccc(C#N)cn1)N(C)C ZINC000750604392 582052989 /nfs/dbraw/zinc/05/29/89/582052989.db2.gz VLRCBFYSZAVLGX-JTQLQIEISA-N 0 1 261.329 0.431 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@H]1CCCCN1C(=O)[O-] ZINC000738459842 582053274 /nfs/dbraw/zinc/05/32/74/582053274.db2.gz GFFPJVAYACNSQP-STQMWFEESA-N 0 1 293.367 0.733 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@H]1CCCCN1C(=O)[O-] ZINC000738459842 582053275 /nfs/dbraw/zinc/05/32/75/582053275.db2.gz GFFPJVAYACNSQP-STQMWFEESA-N 0 1 293.367 0.733 20 30 CCEDMN CCc1cc(CNC(=O)N2CCO[C@H](C#N)C2)n[nH]1 ZINC000739018865 582097671 /nfs/dbraw/zinc/09/76/71/582097671.db2.gz GIDBVHVYZZOIEH-LLVKDONJSA-N 0 1 263.301 0.406 20 30 CCEDMN C[C@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])[N@@H+](C)C1CC1 ZINC000820095290 597643354 /nfs/dbraw/zinc/64/33/54/597643354.db2.gz CMOYVAUHZZTVKF-NOZJJQNGSA-N 0 1 267.329 0.590 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N[C@@H]1CC[N@@H+](CC2CC2)C1 ZINC000819821446 597645762 /nfs/dbraw/zinc/64/57/62/597645762.db2.gz GTLDVQXKGYKDAD-RISCZKNCSA-N 0 1 279.340 0.591 20 30 CCEDMN O=C([O-])CCN[NH+]=Cc1ccc(N2CCOCC2)s1 ZINC000821524285 597739591 /nfs/dbraw/zinc/73/95/91/597739591.db2.gz AROYGRJQFPAVLI-UHFFFAOYSA-N 0 1 283.353 0.983 20 30 CCEDMN N#Cc1ccc(C(=O)OC[C@@H]2CCC[N@@H+]2CC(=O)[O-])cn1 ZINC000821329732 598062191 /nfs/dbraw/zinc/06/21/91/598062191.db2.gz HWJSABHZWCEYMV-LBPRGKRZSA-N 0 1 289.291 0.659 20 30 CCEDMN C#CCO[C@@H](C)C(=O)Nc1nc(C(=O)OCC)c[nH]1 ZINC000841221868 612997724 /nfs/dbraw/zinc/99/77/24/612997724.db2.gz MFWVXGISKKXNPZ-QMMMGPOBSA-N 0 1 265.269 0.563 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@H]2C[C@@H](C)CO2)CC1 ZINC000981651047 613003889 /nfs/dbraw/zinc/00/38/89/613003889.db2.gz XIDKGRMUSKSBDG-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCNC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000864422636 612946253 /nfs/dbraw/zinc/94/62/53/612946253.db2.gz DCWHOYWNEAASHF-UHFFFAOYSA-N 0 1 265.357 0.373 20 30 CCEDMN C#CCNC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000905150733 612947047 /nfs/dbraw/zinc/94/70/47/612947047.db2.gz OEHIOHWBIXVJES-GHMZBOCLSA-N 0 1 253.371 0.499 20 30 CCEDMN C#CCSCC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000895567348 613012014 /nfs/dbraw/zinc/01/20/14/613012014.db2.gz LVJSQDJBQUVNHD-BETUJISGSA-N 0 1 284.425 0.968 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)OC(C)(C)C ZINC000125233655 612947586 /nfs/dbraw/zinc/94/75/86/612947586.db2.gz LCEHUFVQBUVIFR-GFCCVEGCSA-N 0 1 297.399 0.971 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@H]2C[N@@H+](C3CC3)C[C@@H]2C)C1 ZINC000883514518 612948177 /nfs/dbraw/zinc/94/81/77/612948177.db2.gz KZFWBCAFJWSPCQ-JQWIXIFHSA-N 0 1 265.332 0.836 20 30 CCEDMN C#CCSCC(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000897256740 613013872 /nfs/dbraw/zinc/01/38/72/613013872.db2.gz KBTBONMVEAKSPI-MRVPVSSYSA-N 0 1 252.343 0.911 20 30 CCEDMN C#CCSCC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000891488317 613014240 /nfs/dbraw/zinc/01/42/40/613014240.db2.gz MTTFXQJMYRYSDV-UHFFFAOYSA-N 0 1 279.325 0.002 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(CC1CC1)[C@H](C(N)=O)C1CC1 ZINC000879491838 612949643 /nfs/dbraw/zinc/94/96/43/612949643.db2.gz BKXNNAPDCAFEFP-GWCFXTLKSA-N 0 1 277.368 0.100 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NC2CCO[C@H]2C)o1 ZINC000826322918 607654053 /nfs/dbraw/zinc/65/40/53/607654053.db2.gz HKTBRGUEJLTKEE-YFKPBYRVSA-N 0 1 263.261 0.740 20 30 CCEDMN C[C@@H]1C[N@@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C[C@H]1O ZINC000833621780 604568754 /nfs/dbraw/zinc/56/87/54/604568754.db2.gz JTEZLLYINHPMGV-OASPWFOLSA-N 0 1 260.293 0.997 20 30 CCEDMN C[C@@H]1C[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C[C@H]1O ZINC000833621780 604568758 /nfs/dbraw/zinc/56/87/58/604568758.db2.gz JTEZLLYINHPMGV-OASPWFOLSA-N 0 1 260.293 0.997 20 30 CCEDMN C#CC1(O)CN(C(=O)CSc2n[nH]c(=S)s2)C1 ZINC000890523215 612953893 /nfs/dbraw/zinc/95/38/93/612953893.db2.gz MMDOVKOSWMEQFD-UHFFFAOYSA-N 0 1 287.391 0.125 20 30 CCEDMN C#CC[C@@H]1CCCN([C@H]2CS(=O)(=O)C[C@H]2OC)C1 ZINC000852206666 613045020 /nfs/dbraw/zinc/04/50/20/613045020.db2.gz ORNOCFXQHFYSBI-FRRDWIJNSA-N 0 1 271.382 0.534 20 30 CCEDMN C[C@]1(C#N)CCC[N@@H+](CCC(=O)NCC(=O)[O-])C1 ZINC000833551856 605419255 /nfs/dbraw/zinc/41/92/55/605419255.db2.gz SOKZNMHLZGXVLB-GFCCVEGCSA-N 0 1 253.302 0.203 20 30 CCEDMN N#C[C@H]1CN(C(=O)[O-])C[C@H]1[NH2+]CC1(O)CCCC1 ZINC000833968970 605674789 /nfs/dbraw/zinc/67/47/89/605674789.db2.gz YTYUINLQKHPMFH-VHSXEESVSA-N 0 1 253.302 0.383 20 30 CCEDMN N#C[C@H]1CCC[C@H]1Nc1nccnc1-c1nn[nH]n1 ZINC000822806380 606242588 /nfs/dbraw/zinc/24/25/88/606242588.db2.gz MDDSZKINYZDIBJ-HTQZYQBOSA-N 0 1 256.273 0.761 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1ccc2c(c1)OCO2 ZINC000105964493 612956399 /nfs/dbraw/zinc/95/63/99/612956399.db2.gz XKLTXGSFKSIOBB-UHFFFAOYSA-N 0 1 260.293 0.597 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2COC(=O)C2)C1 ZINC000971344779 613081168 /nfs/dbraw/zinc/08/11/68/613081168.db2.gz VMZOEKGTCWKHGB-NWDGAFQWSA-N 0 1 264.325 0.106 20 30 CCEDMN C#C[C@@H](NC(=O)NC[C@@H]1CCN1C)C1CCOCC1 ZINC000923326534 613096105 /nfs/dbraw/zinc/09/61/05/613096105.db2.gz LMNVXQGBOVPWJV-QWHCGFSZSA-N 0 1 265.357 0.418 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)NCCS(=O)(=O)CC1CC1 ZINC000876704995 613389961 /nfs/dbraw/zinc/38/99/61/613389961.db2.gz IIGJEAGHDCEBTC-JTQLQIEISA-N 0 1 294.804 0.397 20 30 CCEDMN COc1ccc(C)cc1CC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834511518 617237428 /nfs/dbraw/zinc/23/74/28/617237428.db2.gz LUALRSYNMLGPFC-STQMWFEESA-N 0 1 273.336 0.774 20 30 CCEDMN COc1ccc(CC(=O)N[C@@H]2CNC[C@H]2C#N)cc1OC ZINC000834511508 617242006 /nfs/dbraw/zinc/24/20/06/617242006.db2.gz LSFNYWMZXJDGIB-VXGBXAGGSA-N 0 1 289.335 0.474 20 30 CCEDMN COc1ccc(CC(=O)N[C@H]2CNC[C@@H]2C#N)cc1OC ZINC000834511507 617242270 /nfs/dbraw/zinc/24/22/70/617242270.db2.gz LSFNYWMZXJDGIB-RYUDHWBXSA-N 0 1 289.335 0.474 20 30 CCEDMN COc1ccc(CNCCn2cnc(C#N)n2)nc1 ZINC000894420550 617257806 /nfs/dbraw/zinc/25/78/06/617257806.db2.gz RZMJGONBRWRIGB-UHFFFAOYSA-N 0 1 258.285 0.343 20 30 CCEDMN COc1ccc(F)cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834516834 617265153 /nfs/dbraw/zinc/26/51/53/617265153.db2.gz MLGOFZIFKBYDOV-KWQFWETISA-N 0 1 263.272 0.676 20 30 CCEDMN COc1ccc([C@H](CCO)NC[C@H](O)CC#N)cc1 ZINC000930189894 617281133 /nfs/dbraw/zinc/28/11/33/617281133.db2.gz ZTSNPWHBZGRHPH-OCCSQVGLSA-N 0 1 264.325 0.983 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](NC(=O)CN2CCCC2)C1 ZINC000951872981 617289826 /nfs/dbraw/zinc/28/98/26/617289826.db2.gz RLUSIZXEVGVYDW-STQMWFEESA-N 0 1 292.383 0.349 20 30 CCEDMN COc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1F ZINC000834485396 617292850 /nfs/dbraw/zinc/29/28/50/617292850.db2.gz WCVPDBJMKZQDMR-PSASIEDQSA-N 0 1 263.272 0.676 20 30 CCEDMN COc1cccc(CCC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834515832 617296026 /nfs/dbraw/zinc/29/60/26/617296026.db2.gz CDBTUEQPBLGOHO-TZMCWYRMSA-N 0 1 273.336 0.856 20 30 CCEDMN C#CC1(F)CN(C(=O)Cc2cc(=O)n(CC(C)C)[nH]2)C1 ZINC000829316367 612946949 /nfs/dbraw/zinc/94/69/49/612946949.db2.gz JHCZZJKMEBZWGH-UHFFFAOYSA-N 0 1 279.315 0.559 20 30 CCEDMN C#CC1(F)CN(C(=O)CN(C)[C@H]2CCSC2)C1 ZINC000881941564 612946762 /nfs/dbraw/zinc/94/67/62/612946762.db2.gz KTHSRLIWJPABIA-JTQLQIEISA-N 0 1 256.346 0.607 20 30 CCEDMN C#CC1(O)CN(C(=O)c2cc(-c3c(C)nn(C)c3C)[nH]n2)C1 ZINC000890520863 612958732 /nfs/dbraw/zinc/95/87/32/612958732.db2.gz OLDAPXYLRVPOIB-UHFFFAOYSA-N 0 1 299.334 0.247 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC000806917087 612980986 /nfs/dbraw/zinc/98/09/86/612980986.db2.gz YKKQDOTZAVJSME-RYUDHWBXSA-N 0 1 277.368 0.257 20 30 CCEDMN C#CCOCCC(=O)Nc1cc(C(=O)OC(C)C)[nH]n1 ZINC000888117531 612981044 /nfs/dbraw/zinc/98/10/44/612981044.db2.gz NPXQHIIGTWXJHM-UHFFFAOYSA-N 0 1 279.296 0.953 20 30 CCEDMN C#CCCCNC(=O)NC[C@H]1CCO[C@H]1c1n[nH]c(C)n1 ZINC000920744260 612981974 /nfs/dbraw/zinc/98/19/74/612981974.db2.gz JFRDNBMUSGVCAK-VXGBXAGGSA-N 0 1 291.355 0.903 20 30 CCEDMN C#CCOCCN1C[C@H](C)O[C@]2(CCO[C@H]2C)C1 ZINC000851998946 612985174 /nfs/dbraw/zinc/98/51/74/612985174.db2.gz CZXUZMOMVYKZPJ-MELADBBJSA-N 0 1 253.342 0.905 20 30 CCEDMN C#CCO[N-]C(=O)[C@H]1CCC[N@H+]1Cc1ccccn1 ZINC000855607059 612993016 /nfs/dbraw/zinc/99/30/16/612993016.db2.gz VSMSRKBIHCAGOY-CYBMUJFWSA-N 0 1 259.309 0.727 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@]23C[C@H]2COC3)CC1 ZINC000981204421 613004558 /nfs/dbraw/zinc/00/45/58/613004558.db2.gz JNTFGRUGYPTMGD-ZFWWWQNUSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc(C)nn2C)CC1 ZINC000980783779 613005319 /nfs/dbraw/zinc/00/53/19/613005319.db2.gz MKTIOVPABWEDSU-UHFFFAOYSA-N 0 1 274.368 0.900 20 30 CCEDMN C#CCOc1ccccc1CN1CC[C@@H](O)[C@@H](CO)C1 ZINC000840975447 613007708 /nfs/dbraw/zinc/00/77/08/613007708.db2.gz NVIKSFGNMCVUQX-HUUCEWRRSA-N 0 1 275.348 0.874 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H]2CC[C@@H](NC(=O)[O-])C2)CC1 ZINC000823444501 613009746 /nfs/dbraw/zinc/00/97/46/613009746.db2.gz KUHMNAIQHCAUPM-CHWSQXEVSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CCCN1CCN(c2cc(CO)c(F)cn2)CC1 ZINC000809352896 613012116 /nfs/dbraw/zinc/01/21/16/613012116.db2.gz IJZBGJGMTJARFC-UHFFFAOYSA-N 0 1 263.316 0.858 20 30 CCEDMN C#CCCN1CCN(c2nc(C)ccc2-c2nn[nH]n2)CC1 ZINC000823845420 613012218 /nfs/dbraw/zinc/01/22/18/613012218.db2.gz RIEZMCZNTOTHLS-UHFFFAOYSA-N 0 1 297.366 0.715 20 30 CCEDMN C#CCSCC(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000896209898 613013382 /nfs/dbraw/zinc/01/33/82/613013382.db2.gz FVVGOXDSCQZFMF-GFCCVEGCSA-N 0 1 270.398 0.580 20 30 CCEDMN C#CC[C@@H](CO)NCc1ccc(/C=C\C(=O)OC)o1 ZINC000895489480 613030120 /nfs/dbraw/zinc/03/01/20/613030120.db2.gz CSEVOSRWYVRSJX-TVRMLOFPSA-N 0 1 263.293 0.940 20 30 CCEDMN C#CC[C@@H](NC(=O)CN1CC[C@@H](C)C1)C(=O)OC ZINC000848219584 613039689 /nfs/dbraw/zinc/03/96/89/613039689.db2.gz AYURYBHZERUMTJ-GHMZBOCLSA-N 0 1 252.314 0.009 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000854542725 613057420 /nfs/dbraw/zinc/05/74/20/613057420.db2.gz QUOZROOBNJXJRN-LLVKDONJSA-N 0 1 287.323 0.407 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@@H]1CCN1C1CCCC1 ZINC000822679100 613058026 /nfs/dbraw/zinc/05/80/26/613058026.db2.gz AAWSXALGXSWNJI-KGLIPLIRSA-N 0 1 264.369 0.894 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CCC[N@@H+]1C(C)C)C(=O)[O-] ZINC000910049128 613068806 /nfs/dbraw/zinc/06/88/06/613068806.db2.gz TUXFWGXADJFXKR-QWRGUYRKSA-N 0 1 252.314 0.452 20 30 CCEDMN C#CCN(C(=O)c1cc(S(N)(=O)=O)ccc1O)C1CC1 ZINC000832222325 613069930 /nfs/dbraw/zinc/06/99/30/613069930.db2.gz ODJHSOKUWZBDDP-UHFFFAOYSA-N 0 1 294.332 0.277 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N([C@H](C)C(=O)[O-])C1CCC1 ZINC000909037793 613073353 /nfs/dbraw/zinc/07/33/53/613073353.db2.gz MUURSKKVKZHKKF-GFCCVEGCSA-N 0 1 295.383 0.979 20 30 CCEDMN C#CC[C@H]1CCN(CC(=O)NCC(=O)NC(C)(C)C)C1 ZINC000886363083 613074256 /nfs/dbraw/zinc/07/42/56/613074256.db2.gz ZQTDTYLMMCUMKS-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CC[C@H]1CCN(CCCS(=O)(=O)CCOC)C1 ZINC000886357464 613074463 /nfs/dbraw/zinc/07/44/63/613074463.db2.gz HMVOSSCBSBEFTJ-ZDUSSCGKSA-N 0 1 273.398 0.783 20 30 CCEDMN C#CC[C@H]1NC(=O)N(Cc2n[nH]c(C(C)(C)C)n2)C1=O ZINC000927728404 613075915 /nfs/dbraw/zinc/07/59/15/613075915.db2.gz OUBBUPOLECJMKY-MRVPVSSYSA-N 0 1 275.312 0.546 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@H](C)CN2CCOCC2)cn1 ZINC000819538779 613077247 /nfs/dbraw/zinc/07/72/47/613077247.db2.gz ZPJYSTSGCJCWOT-CYBMUJFWSA-N 0 1 290.367 0.101 20 30 CCEDMN C#CCn1ccc(CN(CCOC)CCc2c[nH]nn2)n1 ZINC000851996159 613078894 /nfs/dbraw/zinc/07/88/94/613078894.db2.gz JFRMTNGZXMDYRK-UHFFFAOYSA-N 0 1 288.355 0.326 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2CCN(C(C)=O)CC2)C1 ZINC000980353075 613080201 /nfs/dbraw/zinc/08/02/01/613080201.db2.gz OJKWGJGFVPCMMH-OAHLLOKOSA-N 0 1 291.395 0.411 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000820389625 613080501 /nfs/dbraw/zinc/08/05/01/613080501.db2.gz RLRNVPXJGHDGCR-KGLIPLIRSA-N 0 1 279.384 0.904 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000820394245 613081183 /nfs/dbraw/zinc/08/11/83/613081183.db2.gz GQFLADHWHGOINK-UPJWGTAASA-N 0 1 267.373 0.759 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cn(CC)nn2)C1 ZINC000971997684 613081897 /nfs/dbraw/zinc/08/18/97/613081897.db2.gz VMVCYWPTEBUGKS-CYBMUJFWSA-N 0 1 275.356 0.006 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000820665830 613085186 /nfs/dbraw/zinc/08/51/86/613085186.db2.gz CGAFNIDUXLIYLV-STQMWFEESA-N 0 1 295.383 0.535 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(=O)[nH]c2)C1 ZINC000980313200 613085899 /nfs/dbraw/zinc/08/58/99/613085899.db2.gz PSOUCBUYFYOXDA-GFCCVEGCSA-N 0 1 259.309 0.567 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnc3c2nnn3C)C1 ZINC000972182168 613087022 /nfs/dbraw/zinc/08/70/22/613087022.db2.gz KGAUSUIFZQFICA-LLVKDONJSA-N 0 1 298.350 0.143 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(CC)nn2)C1 ZINC000972630834 613087454 /nfs/dbraw/zinc/08/74/54/613087454.db2.gz FIAFHDVTHBFPOV-LLVKDONJSA-N 0 1 261.329 0.078 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC000972299354 613091766 /nfs/dbraw/zinc/09/17/66/613091766.db2.gz FMMFJTNPPGPLGJ-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC000971633837 613092777 /nfs/dbraw/zinc/09/27/77/613092777.db2.gz QTSIKPNYXNWVGN-KBPBESRZSA-N 0 1 291.395 0.409 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cccn(C)c2=O)C1 ZINC000972752618 613095860 /nfs/dbraw/zinc/09/58/60/613095860.db2.gz NSBJVKVOGDXFKR-LBPRGKRZSA-N 0 1 273.336 0.165 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC000971393550 613097347 /nfs/dbraw/zinc/09/73/47/613097347.db2.gz JSKZXCPMTYWBEI-NSHDSACASA-N 0 1 259.309 0.567 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)C1CC1 ZINC000922024313 613098208 /nfs/dbraw/zinc/09/82/08/613098208.db2.gz OXRUEMWIBOOTKR-UHFFFAOYSA-N 0 1 256.371 0.508 20 30 CCEDMN C#CCN(CC(=O)NCCCN1CCCC1=O)C(C)(C)C ZINC000932465868 613103315 /nfs/dbraw/zinc/10/33/15/613103315.db2.gz OKDLMAZBOKNWIU-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)CN(C)C ZINC000855493983 613103903 /nfs/dbraw/zinc/10/39/03/613103903.db2.gz RPOKELWAEJLGCH-UHFFFAOYSA-N 0 1 254.330 0.352 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H](O)c1c[nH]cn1 ZINC000869247303 613104350 /nfs/dbraw/zinc/10/43/50/613104350.db2.gz GVCKTTZNDNBXHN-LBPRGKRZSA-N 0 1 293.323 0.247 20 30 CCEDMN C#CCN(CC)[C@@H]1CCCN(CCC(=O)OC)C1=O ZINC000851799650 613107179 /nfs/dbraw/zinc/10/71/79/613107179.db2.gz PAYQRNGEKSHOLU-GFCCVEGCSA-N 0 1 266.341 0.496 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000811998023 613113547 /nfs/dbraw/zinc/11/35/47/613113547.db2.gz LTOZQRQLDDZHHG-ZDUSSCGKSA-N 0 1 280.416 0.676 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000950385238 613113846 /nfs/dbraw/zinc/11/38/46/613113846.db2.gz JXBVBQXASVQVBO-UHFFFAOYSA-N 0 1 283.335 0.737 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000820666033 613123184 /nfs/dbraw/zinc/12/31/84/613123184.db2.gz FNHSINOGEHVWNG-HZSPNIEDSA-N 0 1 281.400 0.885 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000820666034 613123740 /nfs/dbraw/zinc/12/37/40/613123740.db2.gz FNHSINOGEHVWNG-MELADBBJSA-N 0 1 281.400 0.885 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H](C)CCO)CC1 ZINC000846599666 613128490 /nfs/dbraw/zinc/12/84/90/613128490.db2.gz HKUCSJODSWLABV-GFCCVEGCSA-N 0 1 252.358 0.561 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H](O)CC(=O)OCC)CC1 ZINC000859556819 613131943 /nfs/dbraw/zinc/13/19/43/613131943.db2.gz PMBXLFSKNCSBBE-ZDUSSCGKSA-N 0 1 297.351 0.189 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2cnc(C(N)=O)s2)CC1 ZINC000906147247 613132075 /nfs/dbraw/zinc/13/20/75/613132075.db2.gz LFVJLCMPEORGPG-UHFFFAOYSA-N 0 1 292.364 0.526 20 30 CCEDMN C#CCN1CCC(NC(=O)COCC(F)F)CC1 ZINC000928652172 613132823 /nfs/dbraw/zinc/13/28/23/613132823.db2.gz YDHTXSYWXBLKOE-UHFFFAOYSA-N 0 1 260.284 0.482 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ncccc2OC)CC1 ZINC000928653690 613133505 /nfs/dbraw/zinc/13/35/05/613133505.db2.gz WWNYDVLQHVFECZ-UHFFFAOYSA-N 0 1 287.363 0.847 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCOC(C)C)CC1 ZINC000921947574 613133702 /nfs/dbraw/zinc/13/37/02/613133702.db2.gz RNRLBZLZEKGRCA-UHFFFAOYSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2C[C@@H](C)O[C@@H]2C)CC1 ZINC000923615582 613135036 /nfs/dbraw/zinc/13/50/36/613135036.db2.gz HAQWFFBPPVEORJ-YRGRVCCFSA-N 0 1 279.384 0.949 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cn(C)nn2)CC1 ZINC000931507686 613135495 /nfs/dbraw/zinc/13/54/95/613135495.db2.gz AEKRPYIYXBFYAB-UHFFFAOYSA-N 0 1 262.317 0.034 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](NC(N)=O)C(C)(C)C)CC1 ZINC000928653476 613135709 /nfs/dbraw/zinc/13/57/09/613135709.db2.gz NJTAXXKSLPGQQZ-GFCCVEGCSA-N 0 1 294.399 0.283 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCCN(C)C2=O)CC1 ZINC000928647898 613135782 /nfs/dbraw/zinc/13/57/82/613135782.db2.gz BAKWPCNBYIGNTM-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](CC)N2CCCC2=O)CC1 ZINC000928655177 613135920 /nfs/dbraw/zinc/13/59/20/613135920.db2.gz MNTWBVRWQISZJY-CQSZACIVSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCc3c[nH]nc3C2)CC1 ZINC000928656046 613135954 /nfs/dbraw/zinc/13/59/54/613135954.db2.gz JAKVKHXJLPUACU-GFCCVEGCSA-N 0 1 286.379 0.728 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1)C(C)C ZINC000838595264 613136420 /nfs/dbraw/zinc/13/64/20/613136420.db2.gz DIUCNWFELDNCNR-KKUMJFAQSA-N 0 1 293.411 0.885 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CO[C@H](C)C2)CC1 ZINC000928652704 613136442 /nfs/dbraw/zinc/13/64/42/613136442.db2.gz JHNBWYPHQVIUCR-NEPJUHHUSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](CCCC)NC(N)=O)CC1 ZINC000928656930 613136478 /nfs/dbraw/zinc/13/64/78/613136478.db2.gz GMAQOJJGXAXIAD-ZDUSSCGKSA-N 0 1 294.399 0.427 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc(C3CC3)nc2N)CC1 ZINC000928648269 613137611 /nfs/dbraw/zinc/13/76/11/613137611.db2.gz IHAKWXXDDOZKMG-UHFFFAOYSA-N 0 1 299.378 0.764 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nn(CC)nc2C)CC1 ZINC000928651016 613138357 /nfs/dbraw/zinc/13/83/57/613138357.db2.gz JXULIXIEQMISCP-UHFFFAOYSA-N 0 1 275.356 0.434 20 30 CCEDMN C#CCN1CCC(Nc2cc3nncn3c(C)n2)CC1 ZINC000895808476 613138518 /nfs/dbraw/zinc/13/85/18/613138518.db2.gz JCRTYDUESCYNQL-UHFFFAOYSA-N 0 1 270.340 0.942 20 30 CCEDMN C#CCN1CCC(Nc2cncc(C(=O)OC)n2)CC1 ZINC000895808579 613138695 /nfs/dbraw/zinc/13/86/95/613138695.db2.gz KQYWCWPHWLLLAX-UHFFFAOYSA-N 0 1 274.324 0.773 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)c1cc[nH]n1)CCO2 ZINC000949037694 613139919 /nfs/dbraw/zinc/13/99/19/613139919.db2.gz BTHNAQVKDORVRR-UHFFFAOYSA-N 0 1 288.351 0.350 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C3=CCOCC3)C2)C1 ZINC000981729941 613139994 /nfs/dbraw/zinc/13/99/94/613139994.db2.gz NQQWYNZRYKAEGD-UHFFFAOYSA-N 0 1 274.364 0.891 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@H](O)C(C)C)CCO2 ZINC000949090145 613140074 /nfs/dbraw/zinc/14/00/74/613140074.db2.gz XDPCTBQBVGWAQP-CQSZACIVSA-N 0 1 294.395 0.330 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H](O)C(C)C)C2)C1 ZINC000981748041 613142496 /nfs/dbraw/zinc/14/24/96/613142496.db2.gz QVWNWTDESCZTGM-CYBMUJFWSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnnc(C)c3)C2)C1 ZINC000981736786 613144536 /nfs/dbraw/zinc/14/45/36/613144536.db2.gz GFRZOAOGBWXDNE-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cn(C)nc3C)C2)C1 ZINC000981664148 613144785 /nfs/dbraw/zinc/14/47/85/613144785.db2.gz QKGXHYXJEMHCLC-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#C[C@H](NC(=O)c1cncc2nc[nH]c21)[C@H]1CCCO1 ZINC000897431060 613149449 /nfs/dbraw/zinc/14/94/49/613149449.db2.gz HUCTZUIAGOFDBB-CMPLNLGQSA-N 0 1 270.292 0.869 20 30 CCEDMN C#C[C@H](NC(=O)c1[nH]ncc1F)C1CCOCC1 ZINC000912693255 613149963 /nfs/dbraw/zinc/14/99/63/613149963.db2.gz VDAZVBULBOFCGG-JTQLQIEISA-N 0 1 251.261 0.707 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1nccnc1N ZINC000968793939 613150240 /nfs/dbraw/zinc/15/02/40/613150240.db2.gz NXBYIYMMPQIRIK-LBPRGKRZSA-N 0 1 287.367 0.666 20 30 CCEDMN C#C[C@H](N[C@@H]1CCN(C2CCC2)C1=O)[C@H]1CCCO1 ZINC000878454454 613152807 /nfs/dbraw/zinc/15/28/07/613152807.db2.gz XTCJFVCRQYJNQR-BFHYXJOUSA-N 0 1 262.353 0.910 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NCC(F)(F)F)[C@H]1CCCO1 ZINC000839563322 613152937 /nfs/dbraw/zinc/15/29/37/613152937.db2.gz HSAOFJVANWONDR-KXUCPTDWSA-N 0 1 278.274 0.824 20 30 CCEDMN C#CCN1CCCN(C(=O)[C@H]2CCCc3c[nH]nc32)CC1 ZINC000981164628 613155632 /nfs/dbraw/zinc/15/56/32/613155632.db2.gz DDQBTGBDZZUEFR-AWEZNQCLSA-N 0 1 286.379 0.997 20 30 CCEDMN C#C[C@](C)(CC)NC(=O)CC[NH+]1CCN(CC)CC1 ZINC000854698235 613163286 /nfs/dbraw/zinc/16/32/86/613163286.db2.gz VLGNAKLZMPUPQR-OAHLLOKOSA-N 0 1 265.401 0.932 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@H](N3CCC3)C2)nc1 ZINC000912917972 613174102 /nfs/dbraw/zinc/17/41/02/613174102.db2.gz RGQPYWVKVNZAKU-ZDUSSCGKSA-N 0 1 255.321 0.983 20 30 CCEDMN C#CCN1CCC[C@H](Nc2cc3nnc(C)n3cn2)C1 ZINC000884612411 613193747 /nfs/dbraw/zinc/19/37/47/613193747.db2.gz KXWXBDWHLIXTNI-LBPRGKRZSA-N 0 1 270.340 0.942 20 30 CCEDMN C#Cc1ccc(NC(=O)CCNC2(C(N)=O)CC2)cc1 ZINC000930243794 613194900 /nfs/dbraw/zinc/19/49/00/613194900.db2.gz NTQMNFYWJFTNBY-UHFFFAOYSA-N 0 1 271.320 0.604 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](C(=O)[O-])[C@H]1C ZINC000909806153 613197207 /nfs/dbraw/zinc/19/72/07/613197207.db2.gz LNGAKIWYCKDYKF-WOPDTQHZSA-N 0 1 264.325 0.406 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N[C@@H]2CCCN(C)C2)c1 ZINC000918476978 613203088 /nfs/dbraw/zinc/20/30/88/613203088.db2.gz AYJYKWUOUDFKSM-CQSZACIVSA-N 0 1 285.347 0.817 20 30 CCEDMN C#Cc1cccc(NC(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000860377589 613204667 /nfs/dbraw/zinc/20/46/67/613204667.db2.gz RQUXVXHEZAJFTB-CQSZACIVSA-N 0 1 273.336 0.856 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCC(c3nn[nH]n3)CC2)c1 ZINC000913745219 613212784 /nfs/dbraw/zinc/21/27/84/613212784.db2.gz UPIUQUSNHANBKL-UHFFFAOYSA-N 0 1 282.307 0.596 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCC3(CN(C)C3)C2)c1 ZINC000834523065 613212788 /nfs/dbraw/zinc/21/27/88/613212788.db2.gz YIXTXSNUFRKTKG-UHFFFAOYSA-N 0 1 255.321 0.841 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C(C)(C)C1 ZINC000940946288 613229605 /nfs/dbraw/zinc/22/96/05/613229605.db2.gz LWFMLOWFDROVCE-RQJABVFESA-N 0 1 276.380 0.729 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(COC)on2)[C@@H](C)C1 ZINC000943464438 613233501 /nfs/dbraw/zinc/23/35/01/613233501.db2.gz GYYSTYCLDZGPEC-WCQYABFASA-N 0 1 291.351 0.894 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)nc2OC)[C@H](C)C1 ZINC000942556196 613239747 /nfs/dbraw/zinc/23/97/47/613239747.db2.gz WZKSPDFALNXONV-DGCLKSJQSA-N 0 1 290.367 0.502 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC000951573790 613242480 /nfs/dbraw/zinc/24/24/80/613242480.db2.gz MOXBWGQFRJILTO-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC000951573790 613242482 /nfs/dbraw/zinc/24/24/82/613242482.db2.gz MOXBWGQFRJILTO-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnsn2)C[C@@H]1C ZINC000947080116 613242893 /nfs/dbraw/zinc/24/28/93/613242893.db2.gz HBPZSYZHTKQKDJ-VHSXEESVSA-N 0 1 264.354 0.754 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nccn3ccnc23)[C@@H](C)C1 ZINC000942858770 613244078 /nfs/dbraw/zinc/24/40/78/613244078.db2.gz KVIQMQDQQMLSTM-QWHCGFSZSA-N 0 1 297.362 0.803 20 30 CCEDMN C/C(=C/c1ccncc1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000848419308 613248058 /nfs/dbraw/zinc/24/80/58/613248058.db2.gz SSIABDBTZATCSQ-PIFJCSEESA-N 0 1 256.309 0.713 20 30 CCEDMN C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC000966557238 613248744 /nfs/dbraw/zinc/24/87/44/613248744.db2.gz CAXMFAGZKPYHDE-GWCFXTLKSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC000966557238 613248746 /nfs/dbraw/zinc/24/87/46/613248746.db2.gz CAXMFAGZKPYHDE-GWCFXTLKSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000965940186 613250742 /nfs/dbraw/zinc/25/07/42/613250742.db2.gz CRQRNSYJXKVTNN-RYUDHWBXSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000965940186 613250745 /nfs/dbraw/zinc/25/07/45/613250745.db2.gz CRQRNSYJXKVTNN-RYUDHWBXSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cn2cccn2)C1 ZINC000965147913 613252679 /nfs/dbraw/zinc/25/26/79/613252679.db2.gz GAPHPKPUSANINR-QWHCGFSZSA-N 0 1 260.341 0.343 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C[C@H]2CC(=O)NC2=O)CC1 ZINC000957948720 613258307 /nfs/dbraw/zinc/25/83/07/613258307.db2.gz TXKMJSMJYAPVIA-GFCCVEGCSA-N 0 1 293.367 0.150 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CCN(C(C)=O)C2)CC1 ZINC000957488100 613260598 /nfs/dbraw/zinc/26/05/98/613260598.db2.gz ZINUJFMEYHONQN-HNNXBMFYSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000940626287 613263159 /nfs/dbraw/zinc/26/31/59/613263159.db2.gz DBYWZHYLMXEFAD-NEPJUHHUSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(CC)n2)[C@H](C)C1 ZINC000942037803 613275607 /nfs/dbraw/zinc/27/56/07/613275607.db2.gz RGPOVYKAEYDTIX-NEPJUHHUSA-N 0 1 275.356 0.371 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(CC)n2)C[C@H]1C ZINC000947287570 613275993 /nfs/dbraw/zinc/27/59/93/613275993.db2.gz XILBHDJFYLWHJP-NEPJUHHUSA-N 0 1 275.356 0.514 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3c2CCC3)[C@@H](C)C1 ZINC000942106046 613276041 /nfs/dbraw/zinc/27/60/41/613276041.db2.gz LJVUONFXGMGVGV-JSGCOSHPSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cc(C)nn2C)C(C)(C)C1 ZINC000974827618 613280315 /nfs/dbraw/zinc/28/03/15/613280315.db2.gz NXZLCQPAWDFNTQ-CQSZACIVSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974668780 613280468 /nfs/dbraw/zinc/28/04/68/613280468.db2.gz CGSLWPVNVALPFC-QWHCGFSZSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CCCOC2)C(C)(C)C1 ZINC000972852082 613281812 /nfs/dbraw/zinc/28/18/12/613281812.db2.gz MHWNNLOMYFZESN-CHWSQXEVSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974716119 613282336 /nfs/dbraw/zinc/28/23/36/613282336.db2.gz KEGWUYICSIDKTR-VXGBXAGGSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC000958258308 613286604 /nfs/dbraw/zinc/28/66/04/613286604.db2.gz PCVGFAHFCOCRDA-XHDPSFHLSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccs2)C1 ZINC000957837712 613286720 /nfs/dbraw/zinc/28/67/20/613286720.db2.gz CUHIVOZRJAWURT-WDEREUQCSA-N 0 1 264.350 0.404 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cnoc2C2CC2)C1 ZINC000958555950 613287148 /nfs/dbraw/zinc/28/71/48/613287148.db2.gz OXRRNCBYDSOUNZ-WCQYABFASA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2occc2C)C1 ZINC000957855406 613287835 /nfs/dbraw/zinc/28/78/35/613287835.db2.gz NDDYCMILYHCTTN-NWDGAFQWSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)[C@H](O)C1 ZINC000958740983 613288246 /nfs/dbraw/zinc/28/82/46/613288246.db2.gz YDWCGNASLVUKQG-RMPHEQRESA-N 0 1 288.391 0.321 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2CC3(CCC3)C2)[C@H](O)C1 ZINC000958316275 613288469 /nfs/dbraw/zinc/28/84/69/613288469.db2.gz FYGTYSDAPSEHCM-ZIAGYGMSSA-N 0 1 276.380 0.609 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C)s2)[C@H](O)C1 ZINC000957816146 613289071 /nfs/dbraw/zinc/28/90/71/613289071.db2.gz HBIJHCFCXHYRPT-VXGBXAGGSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc(F)c2)[C@H](O)C1 ZINC000957826262 613289579 /nfs/dbraw/zinc/28/95/79/613289579.db2.gz OHPJRHLPGRQEFR-TZMCWYRMSA-N 0 1 276.311 0.481 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C23CCC(CC2)C3)C1 ZINC000958183777 613294081 /nfs/dbraw/zinc/29/40/81/613294081.db2.gz QJZYGTOAGWIJNS-WJEHIRDRSA-N 0 1 276.380 0.609 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc[nH]c2CC)C1 ZINC000958045766 613294664 /nfs/dbraw/zinc/29/46/64/613294664.db2.gz BIFAEJOQVNQFKZ-FZMZJTMJSA-N 0 1 275.352 0.233 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccnc(C)c2)C1 ZINC000958493272 613295619 /nfs/dbraw/zinc/29/56/19/613295619.db2.gz FMICYPDBUGZLPN-KBPBESRZSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977315775 613297332 /nfs/dbraw/zinc/29/73/32/613297332.db2.gz KJWNNQOXOBSRAJ-RYUDHWBXSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C(C)(C)C1 ZINC000974697548 613299223 /nfs/dbraw/zinc/29/92/23/613299223.db2.gz MPHJMBRXZLDVMN-JTQLQIEISA-N 0 1 276.340 0.497 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(Cl)o2)C1 ZINC000957881795 613302804 /nfs/dbraw/zinc/30/28/04/613302804.db2.gz FXPIHGPTMZKOAT-ZJUUUORDSA-N 0 1 282.727 0.589 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C)cc2F)C1 ZINC000958422433 613303019 /nfs/dbraw/zinc/30/30/19/613303019.db2.gz FBSFCMPQOWYASP-DOMZBBRYSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(CC)ccn2)C1 ZINC000958092617 613303129 /nfs/dbraw/zinc/30/31/29/613303129.db2.gz VKCGDHMCZCSBHA-HIFRSBDPSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccn2CC)C1 ZINC000958683934 613303299 /nfs/dbraw/zinc/30/32/99/613303299.db2.gz IEIPGCWCWBJDIO-OCCSQVGLSA-N 0 1 275.352 0.164 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ncc(F)cc2F)C1 ZINC000958182993 613304462 /nfs/dbraw/zinc/30/44/62/613304462.db2.gz JLKIPKJATFPTJR-SKDRFNHKSA-N 0 1 295.289 0.016 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@]2(C)CNC(=O)C2)CC1 ZINC000981674736 613309931 /nfs/dbraw/zinc/30/99/31/613309931.db2.gz XNHLZKVDSFKAPI-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2COCCN2CC)CC1 ZINC000981508863 613310387 /nfs/dbraw/zinc/31/03/87/613310387.db2.gz GKUYHFHRHICAKB-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCCCC(=O)N1 ZINC000962396138 613313474 /nfs/dbraw/zinc/31/34/74/613313474.db2.gz WVYSBGQTBPMMHT-FOCJUVANSA-N 0 1 289.379 0.115 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)c1cccnc1 ZINC000962316588 613315993 /nfs/dbraw/zinc/31/59/93/613315993.db2.gz LFYMGDSQYWPEKQ-RZFFKMDDSA-N 0 1 269.348 0.865 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCc2nn(C)cc21 ZINC000961588148 613316993 /nfs/dbraw/zinc/31/69/93/613316993.db2.gz AMYCZEJWVQEOHW-YYWXWVFPSA-N 0 1 298.390 0.520 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)nn(C)c1OC ZINC000961914711 613317356 /nfs/dbraw/zinc/31/73/56/613317356.db2.gz ZLRUVYKTYMYHNW-PJXYFTJBSA-N 0 1 288.351 0.030 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)nn1CC ZINC000961854146 613317774 /nfs/dbraw/zinc/31/77/74/613317774.db2.gz TWXBHYZJJTZMSO-IMRBUKKESA-N 0 1 272.352 0.505 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(COC)on1 ZINC000961962287 613317905 /nfs/dbraw/zinc/31/79/05/613317905.db2.gz HOXXULFNOABGFI-PJXYFTJBSA-N 0 1 275.308 0.114 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CC)nn1C ZINC000962124493 613317993 /nfs/dbraw/zinc/31/79/93/613317993.db2.gz WIYBHZPJZAXFGY-IMRBUKKESA-N 0 1 272.352 0.276 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2c(c1)ncn2C ZINC000960653364 613319552 /nfs/dbraw/zinc/31/95/52/613319552.db2.gz YTQAQSMCKCNQOO-VIKVFOODSA-N 0 1 294.358 0.867 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnc1C ZINC000961633863 613320101 /nfs/dbraw/zinc/32/01/01/613320101.db2.gz NYECELHRQXBLAH-WDNDVIMCSA-N 0 1 255.321 0.683 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C)s1 ZINC000958360495 613321067 /nfs/dbraw/zinc/32/10/67/613321067.db2.gz KLRUWJTYWTVQKL-IAZYJMLFSA-N 0 1 261.350 0.745 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2n1CCC2 ZINC000960337864 613321200 /nfs/dbraw/zinc/32/12/00/613321200.db2.gz QBUZCMBVLLAGEW-YABSGUDNSA-N 0 1 270.336 0.123 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(C2CC2)n1 ZINC000961972375 613322192 /nfs/dbraw/zinc/32/21/92/613322192.db2.gz PSBDXJCKIWHUKU-PJXYFTJBSA-N 0 1 271.320 0.845 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1noc2c1CCCC2 ZINC000961859805 613322411 /nfs/dbraw/zinc/32/24/11/613322411.db2.gz TXKWOKUELNDCPL-IMRBUKKESA-N 0 1 285.347 0.847 20 30 CCEDMN C=C(C)CN1CCOC2(CCN(C(=O)CC(N)=O)CC2)C1 ZINC000949326107 613322869 /nfs/dbraw/zinc/32/28/69/613322869.db2.gz BXLBVLFFRIURPH-UHFFFAOYSA-N 0 1 295.383 0.131 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H]1CN1C[C@@H](C)O[C@@H](C)C1 ZINC000920257314 613329237 /nfs/dbraw/zinc/32/92/37/613329237.db2.gz MYFSEIJDFSGEJX-QLFBSQMISA-N 0 1 293.411 0.309 20 30 CCEDMN C#CCNC(=O)CN1CCN(C)C[C@@H]1Cc1ccccc1 ZINC000844426536 613329665 /nfs/dbraw/zinc/32/96/65/613329665.db2.gz VGGYGWYFCYXPQN-INIZCTEOSA-N 0 1 285.391 0.595 20 30 CCEDMN C=C(C)CNS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914397154 613347294 /nfs/dbraw/zinc/34/72/94/613347294.db2.gz OHIJORHNSSZWLO-LBPRGKRZSA-N 0 1 258.387 0.968 20 30 CCEDMN C=C(C)COCCNS(=O)(=O)N=[S@](C)(=O)CC ZINC000866964504 613352288 /nfs/dbraw/zinc/35/22/88/613352288.db2.gz LXWKMXPSOHOLFL-MRXNPFEDSA-N 0 1 284.403 0.531 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000899234536 613354524 /nfs/dbraw/zinc/35/45/24/613354524.db2.gz KQFJKYGFXBHOOQ-STQMWFEESA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1n[nH]c(C)n1 ZINC000889699339 613356470 /nfs/dbraw/zinc/35/64/70/613356470.db2.gz CAYIMMSSOPSDMU-SNVBAGLBSA-N 0 1 265.317 0.200 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(=O)OC ZINC000870548368 613358898 /nfs/dbraw/zinc/35/88/98/613358898.db2.gz MPNYBUKDUYJPRK-HRDYMLBCSA-N 0 1 256.258 0.276 20 30 CCEDMN C=C(CO)C(=O)Nc1cc(N2CC[NH+](C)CC2)ccn1 ZINC000870667119 613367464 /nfs/dbraw/zinc/36/74/64/613367464.db2.gz RHODNUCVQASXMO-UHFFFAOYSA-N 0 1 276.340 0.320 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2ccnn2C)C1 ZINC000968522250 613384698 /nfs/dbraw/zinc/38/46/98/613384698.db2.gz BGMLMARYMCYKQG-LLVKDONJSA-N 0 1 282.775 0.906 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cn2nccn2)C1 ZINC000968805446 613384938 /nfs/dbraw/zinc/38/49/38/613384938.db2.gz OJXLXLPXAUAMQK-SNVBAGLBSA-N 0 1 269.736 0.221 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC000970589692 613385048 /nfs/dbraw/zinc/38/50/48/613385048.db2.gz OIXXDQBJYNOVJG-CHWSQXEVSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC000969935023 613385512 /nfs/dbraw/zinc/38/55/12/613385512.db2.gz UZGKMBQNNCEJSC-ZWNOBZJWSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)N[C@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC000908872480 613388601 /nfs/dbraw/zinc/38/86/01/613388601.db2.gz ZIIAKPGFTLZQRT-VHSXEESVSA-N 0 1 289.763 0.976 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(CC)[C@@H](C)CS(C)(=O)=O ZINC000876662535 613389113 /nfs/dbraw/zinc/38/91/13/613389113.db2.gz NKBLCNVMUZUYSQ-UWVGGRQHSA-N 0 1 296.820 0.738 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)[C@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000910268399 613390768 /nfs/dbraw/zinc/39/07/68/613390768.db2.gz YEBOMFOYEYKTLC-VHSXEESVSA-N 0 1 290.747 0.419 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)[C@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000910268399 613390769 /nfs/dbraw/zinc/39/07/69/613390769.db2.gz YEBOMFOYEYKTLC-VHSXEESVSA-N 0 1 290.747 0.419 20 30 CCEDMN COc1cnc(F)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000848419222 617331966 /nfs/dbraw/zinc/33/19/66/617331966.db2.gz RMVFWSMYEJFUMH-OIBJUYFYSA-N 0 1 264.260 0.071 20 30 CCEDMN C=CC[C@@H](C)N1CCN2C(=O)N(CC)C(=O)[C@H]2C1 ZINC000878175433 613399767 /nfs/dbraw/zinc/39/97/67/613399767.db2.gz RRLTYHDXHMDHIW-GHMZBOCLSA-N 0 1 251.330 0.919 20 30 CCEDMN C=CC[C@@H](CO)NS(=O)(=O)N=S1(=O)CCCC1 ZINC000867100058 613405196 /nfs/dbraw/zinc/40/51/96/613405196.db2.gz GCHGQOBLMKVNOT-VIFPVBQESA-N 0 1 282.387 0.020 20 30 CCEDMN C=C1CCC(C(=O)NC[C@@H]2[C@H]3CN(CC(N)=O)C[C@H]32)CC1 ZINC000978696279 613409493 /nfs/dbraw/zinc/40/94/93/613409493.db2.gz GFPAQTBWOCSFAS-ZSOGYDGISA-N 0 1 291.395 0.512 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000878708518 613411102 /nfs/dbraw/zinc/41/11/02/613411102.db2.gz QUXQASLLTWEXDD-NWDGAFQWSA-N 0 1 284.356 0.141 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@@H]2NC(=O)CC[C@@H]2C1 ZINC000878934418 613412196 /nfs/dbraw/zinc/41/21/96/613412196.db2.gz KMWTXFYPAUBQHN-GRYCIOLGSA-N 0 1 266.341 0.705 20 30 CCEDMN C=C1CN(C(=O)[C@@H](Cc2cnc[nH]2)NC(=O)CC(C)C)C1 ZINC000898704268 613416277 /nfs/dbraw/zinc/41/62/77/613416277.db2.gz RVLACKFYDOHBNM-CYBMUJFWSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CCn1cc(C(=O)N2CCCN(CCCF)CC2)nn1 ZINC000982113585 613425586 /nfs/dbraw/zinc/42/55/86/613425586.db2.gz LYRMONWTRXFLPF-UHFFFAOYSA-N 0 1 295.362 0.972 20 30 CCEDMN C=CCn1cc(CN[C@@H](COC)[C@H]2CCCOC2)nn1 ZINC000883231065 613436195 /nfs/dbraw/zinc/43/61/95/613436195.db2.gz YZIZIZFPPOYQLO-JSGCOSHPSA-N 0 1 280.372 0.995 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)[C@H]2CCN(C)C2=O)C1 ZINC000972079553 613439099 /nfs/dbraw/zinc/43/90/99/613439099.db2.gz OOBHVBJVLBDZLL-STQMWFEESA-N 0 1 293.411 0.962 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCN(CCCCCO)CC1 ZINC000931495339 613441929 /nfs/dbraw/zinc/44/19/29/613441929.db2.gz JCYARPVBRLNRBO-ZDUSSCGKSA-N 0 1 270.373 0.494 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000845634982 613446459 /nfs/dbraw/zinc/44/64/59/613446459.db2.gz CZHWMJRZKFQICU-NWDGAFQWSA-N 0 1 270.377 0.428 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1CCNC[C@H]1COC ZINC000911340565 613447103 /nfs/dbraw/zinc/44/71/03/613447103.db2.gz FDYROJUXMOIMIO-LBPRGKRZSA-N 0 1 297.399 0.152 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000848306216 613454014 /nfs/dbraw/zinc/45/40/14/613454014.db2.gz AHJNEYYSRLSGHU-VHSXEESVSA-N 0 1 260.284 0.475 20 30 CCEDMN C=CCC(F)(F)C(=O)NCc1n[nH]c(COC)n1 ZINC000855517489 613455169 /nfs/dbraw/zinc/45/51/69/613455169.db2.gz TWDLMFKWJDWTGQ-UHFFFAOYSA-N 0 1 260.244 0.779 20 30 CCEDMN C=CCC1(O)CCN(C(=O)NC[C@@H]2CCN2C)CC1 ZINC000925433391 613458250 /nfs/dbraw/zinc/45/82/50/613458250.db2.gz VQIXRHFKRNSUAF-LBPRGKRZSA-N 0 1 267.373 0.803 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@H](c2[nH]ncc2N)C1 ZINC000907418371 613463923 /nfs/dbraw/zinc/46/39/23/613463923.db2.gz SXBDMLZSNHHLBE-UMNHJUIQSA-N 0 1 276.340 0.899 20 30 CCEDMN C=CCCCC(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000913495224 613464475 /nfs/dbraw/zinc/46/44/75/613464475.db2.gz IJZCDLIVNHKFIN-VIFPVBQESA-N 0 1 251.290 0.456 20 30 CCEDMN C=CCCC[C@@H](C)NC(=O)C(=O)N1CCNC[C@@H]1COC ZINC000911078716 613469583 /nfs/dbraw/zinc/46/95/83/613469583.db2.gz MEESSAHPOSMNHS-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCCC[C@@H]([NH3+])C(=O)[N-]S(=O)(=O)[C@H]1CCCOC1 ZINC000901038408 613469991 /nfs/dbraw/zinc/46/99/91/613469991.db2.gz FDAVNSNJJNDGHW-WDEREUQCSA-N 0 1 290.385 0.295 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@H](C)N(C)C)C(=O)OC ZINC000928315251 613471113 /nfs/dbraw/zinc/47/11/13/613471113.db2.gz BEFQFXHSWQKHHF-WDEREUQCSA-N 0 1 256.346 0.951 20 30 CCEDMN C=C[C@H](OC(=O)CCCCc1cn[nH]n1)C(=O)OC ZINC000870531437 613499558 /nfs/dbraw/zinc/49/95/58/613499558.db2.gz CBAPNEXCVGEYKO-JTQLQIEISA-N 0 1 267.285 0.788 20 30 CCEDMN C=CCCN1CCN(C(=O)CCN2CCCC2=O)CC1 ZINC000957694326 613502643 /nfs/dbraw/zinc/50/26/43/613502643.db2.gz RTLXVFDMAGJMAU-UHFFFAOYSA-N 0 1 279.384 0.719 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC000942156623 613503737 /nfs/dbraw/zinc/50/37/37/613503737.db2.gz NKGNQURBNVBHQB-QMTHXVAHSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC000942156623 613503741 /nfs/dbraw/zinc/50/37/41/613503741.db2.gz NKGNQURBNVBHQB-QMTHXVAHSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2COCCN2CC)CC1 ZINC000949005241 613506721 /nfs/dbraw/zinc/50/67/21/613506721.db2.gz FRMFXHYJISMLSC-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN CC#CC(=O)NCC1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000801167652 613518260 /nfs/dbraw/zinc/51/82/60/613518260.db2.gz YUXKACHYJUKNPT-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cccc3ncnn32)C1 ZINC000970070805 613538887 /nfs/dbraw/zinc/53/88/87/613538887.db2.gz KSNYPCLTBDFYFA-LBPRGKRZSA-N 0 1 297.362 0.803 20 30 CCEDMN CC#CCN1CCCCC[C@H]1CNC(=O)c1nnn(C)n1 ZINC000968990962 613546641 /nfs/dbraw/zinc/54/66/41/613546641.db2.gz SMZUSKLANHJULC-LBPRGKRZSA-N 0 1 290.371 0.208 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000957992095 613549656 /nfs/dbraw/zinc/54/96/56/613549656.db2.gz VJFWGCSAFUFDTI-DGCLKSJQSA-N 0 1 291.351 0.386 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC000957992095 613549658 /nfs/dbraw/zinc/54/96/58/613549658.db2.gz VJFWGCSAFUFDTI-DGCLKSJQSA-N 0 1 291.351 0.386 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cncnc2)C1 ZINC000965970123 613571455 /nfs/dbraw/zinc/57/14/55/613571455.db2.gz XWEARQNNHQHFLQ-HIFRSBDPSA-N 0 1 286.379 0.869 20 30 CCEDMN C=CCCOCC(=O)N1CCN(CCCCCO)CC1 ZINC000913690488 613571731 /nfs/dbraw/zinc/57/17/31/613571731.db2.gz JBCNSMJZLTYTPI-UHFFFAOYSA-N 0 1 284.400 0.886 20 30 CCEDMN C=CCCO[N-]C(=O)[C@@H]1CC(=O)N(CC[NH+](C)C)C1 ZINC000856031997 613576571 /nfs/dbraw/zinc/57/65/71/613576571.db2.gz GSQSBKDMPKTSRF-LLVKDONJSA-N 0 1 269.345 0.020 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cncnc2)C1 ZINC000965970125 613590450 /nfs/dbraw/zinc/59/04/50/613590450.db2.gz XWEARQNNHQHFLQ-ZFWWWQNUSA-N 0 1 286.379 0.869 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1C[C@@H](C(=O)N(C)C)CC[C@H]1C(=O)[O-] ZINC000923264467 613590902 /nfs/dbraw/zinc/59/09/02/613590902.db2.gz IJGKTJHBVPEYJZ-AVGNSLFASA-N 0 1 298.383 0.567 20 30 CCEDMN C=CCN(C(=O)C(=O)N1CCN[C@@H](C(C)C)C1)C(C)C ZINC000817932121 613601882 /nfs/dbraw/zinc/60/18/82/613601882.db2.gz QIPIJEDYPFXIMS-CYBMUJFWSA-N 0 1 281.400 0.866 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnon2)C[C@@H]1C ZINC000947837939 613606133 /nfs/dbraw/zinc/60/61/33/613606133.db2.gz UUHKUYQBTQHOKC-QWRGUYRKSA-N 0 1 262.313 0.676 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C(C)(C)C1 ZINC000974700446 613610007 /nfs/dbraw/zinc/61/00/07/613610007.db2.gz UILYEVBILPISSP-LLVKDONJSA-N 0 1 290.367 0.887 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2occc2CC)C1 ZINC000958370443 613614028 /nfs/dbraw/zinc/61/40/28/613614028.db2.gz PZOHULYDQSZNDG-UONOGXRCSA-N 0 1 290.363 0.888 20 30 CCEDMN CC#CCN1C[C@]2(C)CN(C(=O)c3cnon3)C[C@]2(C)C1 ZINC000982661626 613617447 /nfs/dbraw/zinc/61/74/47/613617447.db2.gz ZKAWMKYEYIOSFS-GASCZTMLSA-N 0 1 288.351 0.877 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2conc2CC)C1 ZINC000958389966 613626021 /nfs/dbraw/zinc/62/60/21/613626021.db2.gz MVCJTCHINRXLEF-RISCZKNCSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cncnc1 ZINC000958733784 613635148 /nfs/dbraw/zinc/63/51/48/613635148.db2.gz SSZYGTJYHLRTNQ-NHAGDIPZSA-N 0 1 270.336 0.089 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)noc1C ZINC000958200808 613638909 /nfs/dbraw/zinc/63/89/09/613638909.db2.gz OANTUPJYEPGMLR-IMRBUKKESA-N 0 1 273.336 0.975 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(F)cn1 ZINC000958634061 613640513 /nfs/dbraw/zinc/64/05/13/613640513.db2.gz UQOGBNOUPLVCTE-IMRBUKKESA-N 0 1 273.311 0.904 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)nc1Cl ZINC000962259778 613641512 /nfs/dbraw/zinc/64/15/12/613641512.db2.gz IRJMNUNMQXTJEK-IAZYJMLFSA-N 0 1 292.770 0.757 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C)c1Cl ZINC000960590820 613642494 /nfs/dbraw/zinc/64/24/94/613642494.db2.gz BZJOJTHROBSIIF-GDNZZTSVSA-N 0 1 292.770 0.757 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)[C@H]1CC[N@@H+](C)[C@@H]1CC ZINC000909516766 613643374 /nfs/dbraw/zinc/64/33/74/613643374.db2.gz QWXPOHMFBYVIAZ-WDEREUQCSA-N 0 1 254.330 0.816 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)Cc1c[nH]cn1 ZINC000901214369 613644124 /nfs/dbraw/zinc/64/41/24/613644124.db2.gz XXYVEHQOHSHWBG-UHFFFAOYSA-N 0 1 251.286 0.530 20 30 CCEDMN C=CC[N@H+](CCc1cn(CC(=O)[O-])nn1)C1CC1 ZINC000833374708 613650498 /nfs/dbraw/zinc/65/04/98/613650498.db2.gz ZCVGYSJJKMJPNC-UHFFFAOYSA-N 0 1 250.302 0.556 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C2CCC(C(N)=O)CC2)C1 ZINC000970014670 613668163 /nfs/dbraw/zinc/66/81/63/613668163.db2.gz FCUYWAPZPUBEFZ-HIFPTAJRSA-N 0 1 293.411 0.901 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@H](CSC)C2=O)CC1 ZINC000924605941 613674215 /nfs/dbraw/zinc/67/42/15/613674215.db2.gz YRYUQJBHKXJATP-LLVKDONJSA-N 0 1 283.397 0.920 20 30 CCEDMN C=CCN1CCCN(C(=O)CO[C@@H]2CCOC2)CC1 ZINC000981148106 613689291 /nfs/dbraw/zinc/68/92/91/613689291.db2.gz BETVJIRNOAYNCM-CYBMUJFWSA-N 0 1 268.357 0.512 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC000981966193 613694866 /nfs/dbraw/zinc/69/48/66/613694866.db2.gz MKNQOCXJPAFXIE-OLZOCXBDSA-N 0 1 279.384 0.608 20 30 CCEDMN C=CCN1CCN(CN(C)C[C@H]2CCOC2)C1=O ZINC000840024408 613719392 /nfs/dbraw/zinc/71/93/92/613719392.db2.gz NOFSWRAEOZBRJV-GFCCVEGCSA-N 0 1 253.346 0.836 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000964877447 613734193 /nfs/dbraw/zinc/73/41/93/613734193.db2.gz UGZSYEFFAJPXFH-TZMCWYRMSA-N 0 1 275.352 0.946 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967726448 613736220 /nfs/dbraw/zinc/73/62/20/613736220.db2.gz VMLJPHWNSCBJFK-GHMZBOCLSA-N 0 1 278.356 0.752 20 30 CCEDMN CC(=O)N/C(=C\c1ccccc1)C(=O)NCC#CCN(C)C ZINC000913515694 613758111 /nfs/dbraw/zinc/75/81/11/613758111.db2.gz JDTDSGQVIPGZPD-SSZFMOIBSA-N 0 1 299.374 0.845 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cn(C)c(=O)cn2)C1 ZINC000967415837 613770141 /nfs/dbraw/zinc/77/01/41/613770141.db2.gz YFMHOIDVIWORCP-RYUDHWBXSA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230557 613770272 /nfs/dbraw/zinc/77/02/72/613770272.db2.gz FTRFZWBFUCJQER-QWRGUYRKSA-N 0 1 278.356 0.752 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cnn(C)n2)C1 ZINC000968413751 613770337 /nfs/dbraw/zinc/77/03/37/613770337.db2.gz MUHVZZUUXFJOPU-JQWIXIFHSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H]2CCC3(COC3)O2)C1=O ZINC000930310543 613777295 /nfs/dbraw/zinc/77/72/95/613777295.db2.gz IDNFIWJHJDKONN-STQMWFEESA-N 0 1 280.368 0.653 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H]2CCC(=O)O2)C1=O ZINC000852006750 613777398 /nfs/dbraw/zinc/77/73/98/613777398.db2.gz CWISBDVNUFIRED-QWRGUYRKSA-N 0 1 252.314 0.411 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C2CC(=O)NC(=O)C2)C(C)(C)C1 ZINC000977332399 613806177 /nfs/dbraw/zinc/80/61/77/613806177.db2.gz YDWCBLKQGPNGCV-LLVKDONJSA-N 0 1 293.367 0.052 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C(C)(C)C1 ZINC000977386512 613809446 /nfs/dbraw/zinc/80/94/46/613809446.db2.gz JDRQEXVJLQXYPE-GFCCVEGCSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974884629 613809464 /nfs/dbraw/zinc/80/94/64/613809464.db2.gz ZAMWSGIODNQWSS-ABAIWWIYSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2COCCN2CC)C(C)(C)C1 ZINC000974758577 613827364 /nfs/dbraw/zinc/82/73/64/613827364.db2.gz NDOWZNWAYVCQBW-KBPBESRZSA-N 0 1 295.427 0.720 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(C(=O)NOC)CC1 ZINC000879922689 613853354 /nfs/dbraw/zinc/85/33/54/613853354.db2.gz IUSFHLMXZLIJJX-SNVBAGLBSA-N 0 1 269.345 0.067 20 30 CCEDMN C=CCOCC(=O)N(CCC(=O)[O-])C[C@@H]1CCC[N@@H+]1CC ZINC000911287021 613879109 /nfs/dbraw/zinc/87/91/09/613879109.db2.gz MYTHPMKKYGPASU-ZDUSSCGKSA-N 0 1 298.383 0.977 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N(CCN2CCSCC2)C1=O ZINC000925281884 613882888 /nfs/dbraw/zinc/88/28/88/613882888.db2.gz FNXUOMGKRVTNDL-LLVKDONJSA-N 0 1 299.396 0.158 20 30 CCEDMN CC(=O)NC[C@H]1CN(Cc2cccc(C#N)c2)C[C@H]1O ZINC000957779959 613930874 /nfs/dbraw/zinc/93/08/74/613930874.db2.gz IGAQMWSUPWLBRR-LSDHHAIUSA-N 0 1 273.336 0.487 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)c2ccc(C#N)cn2)CCN1 ZINC000907298348 614163783 /nfs/dbraw/zinc/16/37/83/614163783.db2.gz NRYJKLLABNBFLC-LBPRGKRZSA-N 0 1 294.380 0.572 20 30 CCEDMN CC(C)COc1ncccc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000844195708 614174836 /nfs/dbraw/zinc/17/48/36/614174836.db2.gz YKJKKICKFZNZDG-DGCLKSJQSA-N 0 1 288.351 0.958 20 30 CCEDMN CC(C)CS(=O)(=O)C=C(O)NC1=NO[C@H](C)C1 ZINC000765381948 614175378 /nfs/dbraw/zinc/17/53/78/614175378.db2.gz VDGAJJCWNAKFBA-MRVPVSSYSA-N 0 1 262.331 0.296 20 30 CCEDMN CC(=O)Nc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834512008 614204045 /nfs/dbraw/zinc/20/40/45/614204045.db2.gz QXEHYJGOMWVPPG-AAEUAGOBSA-N 0 1 272.308 0.486 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)NC2=NO[C@@H](C)C2)cc1=O ZINC000935136470 614235694 /nfs/dbraw/zinc/23/56/94/614235694.db2.gz FFHPEOCISFMZTD-VIFPVBQESA-N 0 1 280.328 0.613 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N[C@H](C#N)C2CC2)cc1=O ZINC000932378054 614236932 /nfs/dbraw/zinc/23/69/32/614236932.db2.gz KSASRRRFCACFDC-GFCCVEGCSA-N 0 1 276.340 0.793 20 30 CCEDMN CC(C)(C#N)C(=O)N1CC[C@@H]2[C@@H]1CCCN2CCO ZINC000889773177 614284636 /nfs/dbraw/zinc/28/46/36/614284636.db2.gz GPBGMJJDMLQMKO-NEPJUHHUSA-N 0 1 265.357 0.594 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@@H](C2OCCO2)C1 ZINC000932009463 614285943 /nfs/dbraw/zinc/28/59/43/614285943.db2.gz ZLYVLQXRDVHVMR-DOMZBBRYSA-N 0 1 295.383 0.736 20 30 CCEDMN CC(C)(C(=O)[O-])[C@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC000135027727 614307585 /nfs/dbraw/zinc/30/75/85/614307585.db2.gz ZMHKCRWNIASZOC-JTQLQIEISA-N 0 1 267.329 0.449 20 30 CCEDMN CC(C)C(=O)N1CCCC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913517903 614353330 /nfs/dbraw/zinc/35/33/30/614353330.db2.gz UZODUIUSRSVGDV-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN CCCCCCCNC(=O)C(=O)N1CCNC[C@H]1COC ZINC000911337682 614901124 /nfs/dbraw/zinc/90/11/24/614901124.db2.gz BBNMRRMZNLEZKF-ZDUSSCGKSA-N 0 1 299.415 0.520 20 30 CCEDMN CCCCCCOCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834484908 614914259 /nfs/dbraw/zinc/91/42/59/614914259.db2.gz OYIIFOJCZLNNHZ-VXGBXAGGSA-N 0 1 253.346 0.811 20 30 CCEDMN CCC[C@@H](C)N1C[C@@H](C(=O)NCC#CCN(C)C)CC1=O ZINC000913517576 614979625 /nfs/dbraw/zinc/97/96/25/614979625.db2.gz HDOKTIFHSIZPAL-KGLIPLIRSA-N 0 1 293.411 0.705 20 30 CCEDMN CCN(CCC(N)=O)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000932480954 615040384 /nfs/dbraw/zinc/04/03/84/615040384.db2.gz FXESMFOOAONMNI-ZDUSSCGKSA-N 0 1 268.361 0.238 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+](CC(=O)[O-])C(C)C ZINC000820332927 615064265 /nfs/dbraw/zinc/06/42/65/615064265.db2.gz NCVSAAQZQJCYTL-LLVKDONJSA-N 0 1 269.345 0.790 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CC(=O)[O-])C(C)C ZINC000820332927 615064266 /nfs/dbraw/zinc/06/42/66/615064266.db2.gz NCVSAAQZQJCYTL-LLVKDONJSA-N 0 1 269.345 0.790 20 30 CCEDMN CCOC(=O)C(C)(C)CC(=O)NCC#CCN(C)C ZINC000913522770 615150119 /nfs/dbraw/zinc/15/01/19/615150119.db2.gz XUVBKBCOBKHBRP-UHFFFAOYSA-N 0 1 268.357 0.647 20 30 CCEDMN CCOC(=O)C1(C(=O)N[C@@H]2CNC[C@@H]2C#N)CCCC1 ZINC000843459733 615154856 /nfs/dbraw/zinc/15/48/56/615154856.db2.gz HQLLUSFBLFQGAK-WDEREUQCSA-N 0 1 279.340 0.338 20 30 CCEDMN CCOCCN1CCN(C(=O)NCC#CCO)C[C@@H]1CC ZINC000923781532 615253103 /nfs/dbraw/zinc/25/31/03/615253103.db2.gz ZOWYDNKVMHTDMI-AWEZNQCLSA-N 0 1 297.399 0.124 20 30 CCEDMN CCN1CC[C@@H]1CN[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000905804090 615260031 /nfs/dbraw/zinc/26/00/31/615260031.db2.gz JFWGTMYAHYXZKI-ZIAGYGMSSA-N 0 1 272.352 0.768 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)NCc1nc(C#N)cs1 ZINC000896459393 615319781 /nfs/dbraw/zinc/31/97/81/615319781.db2.gz FJLFSJFZGANVTE-JTQLQIEISA-N 0 1 279.369 0.908 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000981668609 615398043 /nfs/dbraw/zinc/39/80/43/615398043.db2.gz BRQVWDHVCVRYDB-RYUDHWBXSA-N 0 1 292.383 0.334 20 30 CCEDMN CCO[C@H](C(=O)N[C@@H]1CNC[C@@H]1C#N)c1ccccc1 ZINC000834493177 615472806 /nfs/dbraw/zinc/47/28/06/615472806.db2.gz BKGCBWAEKQFRBS-MJBXVCDLSA-N 0 1 273.336 0.992 20 30 CCEDMN CCO[C@H]1CCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000932978422 615490254 /nfs/dbraw/zinc/49/02/54/615490254.db2.gz GSSVKNLYMPYACZ-NEPJUHHUSA-N 0 1 253.346 0.858 20 30 CCEDMN CCOc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834512667 615509469 /nfs/dbraw/zinc/50/94/69/615509469.db2.gz ZVZISVQGZJMFQC-YPMHNXCESA-N 0 1 259.309 0.927 20 30 CCEDMN CCOc1cccc(CC(=O)NCC#CCN(C)C)n1 ZINC000913521650 615514289 /nfs/dbraw/zinc/51/42/89/615514289.db2.gz IJJWIXLTHRJNTA-UHFFFAOYSA-N 0 1 275.352 0.704 20 30 CCEDMN CCOc1nc(C(=O)NCC#CCN(C)C)co1 ZINC000913521270 615523913 /nfs/dbraw/zinc/52/39/13/615523913.db2.gz RNELYLBQBDPIHR-UHFFFAOYSA-N 0 1 251.286 0.368 20 30 CCEDMN CC[C@@H](NC[C@H](O)CC#N)c1cccc(S(N)(=O)=O)c1 ZINC000809579043 615535990 /nfs/dbraw/zinc/53/59/90/615535990.db2.gz VCNGNJXZSGWNRG-DGCLKSJQSA-N 0 1 297.380 0.649 20 30 CCEDMN CC[C@@H]1C[C@H](C(=O)N[C@H]2CNC[C@H]2C#N)CCO1 ZINC000834499886 615571175 /nfs/dbraw/zinc/57/11/75/615571175.db2.gz NTLDWNSFCPHGDV-KKOKHZNYSA-N 0 1 251.330 0.419 20 30 CCEDMN CC[C@H](C(N)=O)N1CCCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000981668608 615618486 /nfs/dbraw/zinc/61/84/86/615618486.db2.gz BRQVWDHVCVRYDB-NWDGAFQWSA-N 0 1 292.383 0.334 20 30 CCEDMN CCn1nc(C)c(C(=O)N[C@H]2CNC[C@H]2C#N)c1Cl ZINC000843459746 615648053 /nfs/dbraw/zinc/64/80/53/615648053.db2.gz HSZGKIUKCVYZKG-BDAKNGLRSA-N 0 1 281.747 0.706 20 30 CCEDMN CCc1ccc(O)c(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834495651 615676730 /nfs/dbraw/zinc/67/67/30/615676730.db2.gz XHXJAHFNNORJTF-PWSUYJOCSA-N 0 1 259.309 0.796 20 30 CCEDMN CCn1ncn(N=Cc2cc(F)c(O)c(F)c2)c1=O ZINC000814233992 615729322 /nfs/dbraw/zinc/72/93/22/615729322.db2.gz DDVHCTJIHDYCDT-UHFFFAOYSA-N 0 1 268.223 0.931 20 30 CCEDMN CC[C@H](CO)N1CCN(c2cc(C#N)nc(C)n2)CC1 ZINC000882031831 615734678 /nfs/dbraw/zinc/73/46/78/615734678.db2.gz ORIAPXMLDBJTSS-CYBMUJFWSA-N 0 1 275.356 0.550 20 30 CCEDMN CCc1nc(CNC(=O)N2CCC[C@@H](C#N)C2)n[nH]1 ZINC000922644126 615744376 /nfs/dbraw/zinc/74/43/76/615744376.db2.gz FDJYPVDLTKTAPK-VIFPVBQESA-N 0 1 262.317 0.812 20 30 CCEDMN CC[C@H](O)[C@@H](C)C(=O)NC1(C#N)CCN(C)CC1 ZINC000900608302 615833283 /nfs/dbraw/zinc/83/32/83/615833283.db2.gz LAZAPEBVRWCRGW-MNOVXSKESA-N 0 1 253.346 0.498 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cnn(C(F)F)c1 ZINC000930663018 615858761 /nfs/dbraw/zinc/85/87/61/615858761.db2.gz YNWAKFDYLRJKHN-UHFFFAOYSA-N 0 1 271.271 0.965 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCN(CC(F)(F)F)C1 ZINC000913521723 615859610 /nfs/dbraw/zinc/85/96/10/615859610.db2.gz KQHCJPOTGQGFDG-LLVKDONJSA-N 0 1 291.317 0.552 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H](O)C1CCCCC1 ZINC000913521096 615859627 /nfs/dbraw/zinc/85/96/27/615859627.db2.gz KRAHOVYJKRBXMP-ZDUSSCGKSA-N 0 1 252.358 0.609 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CC(c2cccnc2)=NO1 ZINC000913521560 615859827 /nfs/dbraw/zinc/85/98/27/615859827.db2.gz DVFYQJRWKZEYRW-AWEZNQCLSA-N 0 1 286.335 0.256 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC000913520215 615860216 /nfs/dbraw/zinc/86/02/16/615860216.db2.gz INNKQUGJSBMBSM-NSHDSACASA-N 0 1 260.341 0.196 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc2ccccc2c(=O)n1C ZINC000913522358 615860631 /nfs/dbraw/zinc/86/06/31/615860631.db2.gz FYXXSUSKERBZGF-UHFFFAOYSA-N 0 1 297.358 0.833 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(CNC(N)=O)cc1 ZINC000913516025 615860913 /nfs/dbraw/zinc/86/09/13/615860913.db2.gz XNLOIZQGPLNDRB-UHFFFAOYSA-N 0 1 288.351 0.150 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C)nc1[C@@H]1CCOC1 ZINC000913521162 615861973 /nfs/dbraw/zinc/86/19/73/615861973.db2.gz NCSFPNGQCLJVCZ-GFCCVEGCSA-N 0 1 290.367 0.219 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc(C2OCCO2)s1 ZINC000913521664 615862092 /nfs/dbraw/zinc/86/20/92/615862092.db2.gz IVFKILKATSAWBC-UHFFFAOYSA-N 0 1 295.364 0.483 20 30 CCEDMN CN(C)CC#CCNC(=O)c1coc2c1C(=O)NCCC2 ZINC000913524512 615862128 /nfs/dbraw/zinc/86/21/28/615862128.db2.gz PHUMBCAPBGBVHK-UHFFFAOYSA-N 0 1 289.335 0.250 20 30 CCEDMN CN(C(=O)[C@H]1NCCc2cc(C#N)ccc21)[C@@H]1CCOC1 ZINC000867944657 615889751 /nfs/dbraw/zinc/88/97/51/615889751.db2.gz YTXGBVSYXLZAOH-HIFRSBDPSA-N 0 1 285.347 0.992 20 30 CCEDMN CN(C)C(=O)OC[C@@H]1CCCCN1C[C@H](O)CC#N ZINC000930467936 615991941 /nfs/dbraw/zinc/99/19/41/615991941.db2.gz UQIQVVZBNVINHM-NWDGAFQWSA-N 0 1 269.345 0.814 20 30 CCEDMN CN(C)c1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc(Cl)n1 ZINC000834488648 616004684 /nfs/dbraw/zinc/00/46/84/616004684.db2.gz FSVWRWLHHUZDBZ-NXEZZACHSA-N 0 1 293.758 0.642 20 30 CCEDMN C[N@H+](CC(=O)N1CCCC1)C[C@@H](O)CC1(C#N)CCC1 ZINC000885928691 616043346 /nfs/dbraw/zinc/04/33/46/616043346.db2.gz DPCJPLSGNFYBTG-ZDUSSCGKSA-N 0 1 279.384 0.985 20 30 CCEDMN CN(CC(=O)N1CCCC1)C[C@@H](O)CC1(C#N)CCC1 ZINC000885928691 616043347 /nfs/dbraw/zinc/04/33/47/616043347.db2.gz DPCJPLSGNFYBTG-ZDUSSCGKSA-N 0 1 279.384 0.985 20 30 CCEDMN CN(CC(=O)NCCC#N)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000852155108 616047183 /nfs/dbraw/zinc/04/71/83/616047183.db2.gz YULATVLRTZSYGZ-CQSZACIVSA-N 0 1 298.350 0.856 20 30 CCEDMN C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828102869 616048901 /nfs/dbraw/zinc/04/89/01/616048901.db2.gz QNLQKUBMKKAEHO-RISCZKNCSA-N 0 1 294.355 0.479 20 30 CCEDMN C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828102869 616048902 /nfs/dbraw/zinc/04/89/02/616048902.db2.gz QNLQKUBMKKAEHO-RISCZKNCSA-N 0 1 294.355 0.479 20 30 CCEDMN CN(CCn1cncn1)C[C@H](O)c1cccc(C#N)c1 ZINC000844369910 616128955 /nfs/dbraw/zinc/12/89/55/616128955.db2.gz OCGYNINLNJBFQP-AWEZNQCLSA-N 0 1 271.324 0.815 20 30 CCEDMN CN1C(=O)[C@H]2CN(Cc3ccc(C#N)s3)C[C@H]2C1=O ZINC000877721158 616158494 /nfs/dbraw/zinc/15/84/94/616158494.db2.gz XYZJROIBCSFNPC-PHIMTYICSA-N 0 1 275.333 0.666 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCC#C[C@@H]1CCCCO1 ZINC000891105771 616211051 /nfs/dbraw/zinc/21/10/51/616211051.db2.gz CPVHVXZCTACRRU-STQMWFEESA-N 0 1 250.342 0.769 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1CCN(CC#N)CC1(C)C ZINC000940621790 616212576 /nfs/dbraw/zinc/21/25/76/616212576.db2.gz VLRWCMWNYLAHJP-STQMWFEESA-N 0 1 278.400 0.821 20 30 CCEDMN C[NH+]1CCN(N=C2C(=O)Nc3cc([O-])c(Cl)cc32)CC1 ZINC000853256102 616246299 /nfs/dbraw/zinc/24/62/99/616246299.db2.gz MSRBGZYOSBNSTA-UHFFFAOYSA-N 0 1 294.742 0.949 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCC(C)(C)CC#N ZINC000909881810 616316885 /nfs/dbraw/zinc/31/68/85/616316885.db2.gz GACYENYBSZYQEH-GWCFXTLKSA-N 0 1 253.346 0.498 20 30 CCEDMN COCC[N@H+]1CCCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC1 ZINC000820730179 616337878 /nfs/dbraw/zinc/33/78/78/616337878.db2.gz MNWACRUHVVOCPZ-CQSZACIVSA-N 0 1 297.355 0.172 20 30 CCEDMN COC(OC)[C@H](C)NCc1cnc2c(C#N)cnn2c1 ZINC000895795373 616357780 /nfs/dbraw/zinc/35/77/80/616357780.db2.gz VVTRSIWRLRUROP-VIFPVBQESA-N 0 1 275.312 0.698 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)C2(C#N)CCSCC2)C1 ZINC000932263630 616387497 /nfs/dbraw/zinc/38/74/97/616387497.db2.gz WNNGRKAKZASDOJ-GFCCVEGCSA-N 0 1 297.424 0.860 20 30 CCEDMN CN1CC[C@H]1CNC(=O)COc1cccc(C#N)c1 ZINC000928565418 616423252 /nfs/dbraw/zinc/42/32/52/616423252.db2.gz LFGRAOXBZCFVDM-LBPRGKRZSA-N 0 1 259.309 0.757 20 30 CCEDMN COC(=O)[C@@]1(O)CCN(Cc2ccc(OCC#N)cc2)C1 ZINC000880562312 616562024 /nfs/dbraw/zinc/56/20/24/616562024.db2.gz YXASXKJAPVKADF-OAHLLOKOSA-N 0 1 290.319 0.699 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000900770397 616587193 /nfs/dbraw/zinc/58/71/93/616587193.db2.gz DDFMJAOUKSNKAP-RNCFNFMXSA-N 0 1 290.323 0.601 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1N[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000934042937 616675643 /nfs/dbraw/zinc/67/56/43/616675643.db2.gz ZHLASNIRPRGHDJ-KYEXWDHISA-N 0 1 297.399 0.823 20 30 CCEDMN COCC(COC)N1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000930827514 616730390 /nfs/dbraw/zinc/73/03/90/616730390.db2.gz DOXDTPVMIASKPF-VXGBXAGGSA-N 0 1 255.362 0.566 20 30 CCEDMN COC[C@@H](C)NC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000867955090 616823953 /nfs/dbraw/zinc/82/39/53/616823953.db2.gz VMMXMXBBCZPHBE-QMTHXVAHSA-N 0 1 273.336 0.896 20 30 CCEDMN COCCC1(C(=O)N[C@H]2CNC[C@@H]2C#N)CCCC1 ZINC000834479474 616840172 /nfs/dbraw/zinc/84/01/72/616840172.db2.gz DSVMSZNGWQTNCB-RYUDHWBXSA-N 0 1 265.357 0.811 20 30 CCEDMN COCCC1CCN(CC(=O)NCCC#N)CC1 ZINC000859397808 616851595 /nfs/dbraw/zinc/85/15/95/616851595.db2.gz SRWKMNYOUWMNDH-UHFFFAOYSA-N 0 1 253.346 0.765 20 30 CCEDMN COC(=O)c1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834507336 616885962 /nfs/dbraw/zinc/88/59/62/616885962.db2.gz ZUTRFBMXWVIIGN-RYUDHWBXSA-N 0 1 273.292 0.315 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H](OC)C1CCC1 ZINC000850753427 616902005 /nfs/dbraw/zinc/90/20/05/616902005.db2.gz ZUPGELGVHQYFHE-DGCLKSJQSA-N 0 1 282.340 0.663 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CCCCO1 ZINC000121928220 616902260 /nfs/dbraw/zinc/90/22/60/616902260.db2.gz LXGQSLLRCFDELV-GHMZBOCLSA-N 0 1 268.313 0.417 20 30 CCEDMN COCc1ccnc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000846309275 616909490 /nfs/dbraw/zinc/90/94/90/616909490.db2.gz FJRPQHIUPVVYIM-QWRGUYRKSA-N 0 1 275.312 0.461 20 30 CCEDMN CON=C(C(=O)N[C@H]1CC[N@@H+](C)[C@H]1C)c1csc(N)n1 ZINC000928428800 617103165 /nfs/dbraw/zinc/10/31/65/617103165.db2.gz AABYKFRVEPPYMG-YUMQZZPRSA-N 0 1 297.384 0.285 20 30 CCEDMN CON=CC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000809647630 617105676 /nfs/dbraw/zinc/10/56/76/617105676.db2.gz SPJVHHLDYFOJLA-UHFFFAOYSA-N 0 1 259.269 0.720 20 30 CCEDMN CON=CC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000809647630 617105677 /nfs/dbraw/zinc/10/56/77/617105677.db2.gz SPJVHHLDYFOJLA-UHFFFAOYSA-N 0 1 259.269 0.720 20 30 CCEDMN COc1cc(C(=O)NC[C@@H]2COCCN2)ccc1C#N ZINC000877039756 617161752 /nfs/dbraw/zinc/16/17/52/617161752.db2.gz PGRSXRZRMFGOCZ-GFCCVEGCSA-N 0 1 275.308 0.285 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC[C@@H](F)C1 ZINC001025979170 625315078 /nfs/dbraw/zinc/31/50/78/625315078.db2.gz UTNCELURGFYNML-OBPIAQAESA-N 0 1 250.317 0.804 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC[C@H](F)C1 ZINC001025979167 625315222 /nfs/dbraw/zinc/31/52/22/625315222.db2.gz UTNCELURGFYNML-FHUSYTEZSA-N 0 1 250.317 0.804 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCO[C@@H]1C ZINC001026125296 625338271 /nfs/dbraw/zinc/33/82/71/625338271.db2.gz ZMYLXUQHOAIOGC-BJJPWKGXSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCO[C@H]1C ZINC001026125299 625338706 /nfs/dbraw/zinc/33/87/06/625338706.db2.gz ZMYLXUQHOAIOGC-QSLWVIQJSA-N 0 1 262.353 0.481 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)CN3CCCC3)C[C@@H]12 ZINC001026420030 625440294 /nfs/dbraw/zinc/44/02/94/625440294.db2.gz CHVQFMBHTIDDAE-MGPQQGTHSA-N 0 1 276.384 0.432 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)C2=NC(=O)N(C)C2)CCN1CC#N ZINC000947690147 625483244 /nfs/dbraw/zinc/48/32/44/625483244.db2.gz QWQOFMIBGCNUPC-ZJUUUORDSA-N 0 1 277.328 0.232 20 30 CCEDMN Cc1nnc(CNC[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)s1 ZINC001026732944 625578697 /nfs/dbraw/zinc/57/86/97/625578697.db2.gz PKRFVEKZZOSHJV-GXSJLCMTSA-N 0 1 293.396 0.944 20 30 CCEDMN C=CC[C@@H]1CCN1CC(=O)N1CCN(C(=O)OCC)CC1 ZINC000934473476 622714533 /nfs/dbraw/zinc/71/45/33/622714533.db2.gz WJIAPLZPCUTALT-CYBMUJFWSA-N 0 1 295.383 0.938 20 30 CCEDMN CC1CCN(CC(=O)N[C@H]2C[C@@H](NCC#N)C2)CC1 ZINC001022880492 622829859 /nfs/dbraw/zinc/82/98/59/622829859.db2.gz ZQGNOATUDIYSEU-BETUJISGSA-N 0 1 264.373 0.479 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2cccc3nc[nH]c32)C1 ZINC001022878907 622830141 /nfs/dbraw/zinc/83/01/41/622830141.db2.gz NOEADHVWRXWZMX-AOOOYVTPSA-N 0 1 269.308 0.937 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(C(=O)CCc2cnc[nH]2)CC1 ZINC001011280087 623072050 /nfs/dbraw/zinc/07/20/50/623072050.db2.gz SKJCGYUALJDUER-NSHDSACASA-N 0 1 289.339 0.173 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2CCCN(CCF)C2)nn1 ZINC001023637055 623089695 /nfs/dbraw/zinc/08/96/95/623089695.db2.gz BZSMDSNPIBBOLR-LBPRGKRZSA-N 0 1 295.362 0.875 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@H](CNCc2cnon2)C1 ZINC001026833261 625630269 /nfs/dbraw/zinc/63/02/69/625630269.db2.gz HGJKMTWAXDNFBB-GFCCVEGCSA-N 0 1 274.324 0.421 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@@H](CNCc2csnn2)C1 ZINC001026834595 625631713 /nfs/dbraw/zinc/63/17/13/625631713.db2.gz IHHPQNQDJDKUQP-LBPRGKRZSA-N 0 1 290.392 0.890 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1COCCN1CC ZINC001024517449 623347891 /nfs/dbraw/zinc/34/78/91/623347891.db2.gz WCHOOJXBRZHQIX-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1c[nH]c(=O)cn1 ZINC001024624757 623400989 /nfs/dbraw/zinc/40/09/89/623400989.db2.gz ZDSQOTMZNLSASB-NSHDSACASA-N 0 1 276.340 0.540 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1c[nH]c(=O)cn1 ZINC001024624662 623401171 /nfs/dbraw/zinc/40/11/71/623401171.db2.gz WNMMVMGRORVAKM-LBPRGKRZSA-N 0 1 288.351 0.378 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)C1CC(=O)NC(=O)C1 ZINC001024705700 623419260 /nfs/dbraw/zinc/41/92/60/623419260.db2.gz JOOTZAXWKYHDMV-LBPRGKRZSA-N 0 1 293.367 0.196 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2n[nH]cc2C)C1 ZINC001007299963 623610389 /nfs/dbraw/zinc/61/03/89/623610389.db2.gz KKFQWBIPAYULBG-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]([C@@H](C)NC(=O)c2ccncn2)C1 ZINC001025565005 623830423 /nfs/dbraw/zinc/83/04/23/623830423.db2.gz OPCSEYSNXDTLKQ-OLZOCXBDSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CCC(O)CC1 ZINC001025758554 623880298 /nfs/dbraw/zinc/88/02/98/623880298.db2.gz DOYKHKNCZSQWNC-TWASESMYSA-N 0 1 262.353 0.217 20 30 CCEDMN O=C(C#CC1CC1)N1C[C@@H]2CCN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC001021130712 624664786 /nfs/dbraw/zinc/66/47/86/624664786.db2.gz RVIDVDJHYMLTKE-GXTWGEPZSA-N 0 1 298.346 0.496 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@@H](CCCN2C(=O)c2cc[nH]n2)C1 ZINC001021529210 624761207 /nfs/dbraw/zinc/76/12/07/624761207.db2.gz LDHVUSWXPXYJLA-JSGCOSHPSA-N 0 1 272.352 0.969 20 30 CCEDMN C#CC[N@H+]1CC[C@H]2[C@@H](CCCN2C(=O)c2cc[nH]n2)C1 ZINC001021529210 624761212 /nfs/dbraw/zinc/76/12/12/624761212.db2.gz LDHVUSWXPXYJLA-JSGCOSHPSA-N 0 1 272.352 0.969 20 30 CCEDMN Cc1noc(C[C@@H]2CCCN(C[C@H](O)CC#N)C2)n1 ZINC000933573747 624896211 /nfs/dbraw/zinc/89/62/11/624896211.db2.gz NOLRZYRCJIZDFZ-NWDGAFQWSA-N 0 1 264.329 0.907 20 30 CCEDMN C=C(Br)CNC[C@H](O)COCCOC ZINC000234714374 625015387 /nfs/dbraw/zinc/01/53/87/625015387.db2.gz JSBPIASMJXAXFS-VIFPVBQESA-N 0 1 268.151 0.509 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)c2cncnc2C)C1 ZINC001027314904 625823453 /nfs/dbraw/zinc/82/34/53/625823453.db2.gz IBFBONCPSAXUPO-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN C=C(C)CN(C)[C@H]1CCCN(C(=O)CS(C)(=O)=O)C1 ZINC001027317966 625824311 /nfs/dbraw/zinc/82/43/11/625824311.db2.gz GSFHDXHYXKDTKL-LBPRGKRZSA-N 0 1 288.413 0.530 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)c2n[nH]nc2C)C1 ZINC001027518246 625933571 /nfs/dbraw/zinc/93/35/71/625933571.db2.gz RIEKUWSIBPQMIM-NSHDSACASA-N 0 1 261.329 0.283 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)c2c[nH]c(=O)n2C)C1 ZINC001027523559 625939661 /nfs/dbraw/zinc/93/96/61/625939661.db2.gz JJVYKILGANBYRQ-NSHDSACASA-N 0 1 276.340 0.295 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@H]2CNC(=O)C2CC2)C1=O ZINC001027715973 630873286 /nfs/dbraw/zinc/87/32/86/630873286.db2.gz PPQSGAJOUOPIIV-ZIAGYGMSSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001027742058 630889364 /nfs/dbraw/zinc/88/93/64/630889364.db2.gz NXPHOVHTQCMMDX-CYBMUJFWSA-N 0 1 261.325 0.700 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc(C)n(C)n1 ZINC001027779612 630909579 /nfs/dbraw/zinc/90/95/79/630909579.db2.gz YTJXKRAJEQFPAA-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H]2CCCN2CC#N)c1C ZINC001027798540 630927821 /nfs/dbraw/zinc/92/78/21/630927821.db2.gz JXYJXVDUJZZDLY-LLVKDONJSA-N 0 1 261.329 0.744 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1nccnc1N ZINC001027799965 630928973 /nfs/dbraw/zinc/92/89/73/630928973.db2.gz OIPBWFDXRXZTAF-SNVBAGLBSA-N 0 1 261.329 0.439 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@@H](NC(=O)c3cnn[nH]3)C[C@@H]2C1 ZINC000980145113 630993942 /nfs/dbraw/zinc/99/39/42/630993942.db2.gz KGTGICAEPFOFEM-HBNTYKKESA-N 0 1 274.328 0.159 20 30 CCEDMN C[C@]12CN(CC#N)C[C@@]1(C)CN(C(=O)Cc1ncn[nH]1)C2 ZINC000982725659 631579165 /nfs/dbraw/zinc/57/91/65/631579165.db2.gz NWFBFCHDNOOIOY-OKILXGFUSA-N 0 1 288.355 0.041 20 30 CCEDMN Cc1cc(C#N)nc(N2CC(N3CC[C@@H](O)C3)C2)c1 ZINC000894348696 631724348 /nfs/dbraw/zinc/72/43/48/631724348.db2.gz FUTQSOVEWKUBFL-CYBMUJFWSA-N 0 1 258.325 0.517 20 30 CCEDMN C[C@H](Nc1cnc(C#N)cn1)[C@H]1CN(C)CCN1C ZINC000895244975 632031264 /nfs/dbraw/zinc/03/12/64/632031264.db2.gz BNXHZNSKUKKZCS-CMPLNLGQSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@@H](Nc1cc(C#N)ncn1)[C@@H]1CN(C)CCN1C ZINC000895245680 632031516 /nfs/dbraw/zinc/03/15/16/632031516.db2.gz HPXNJUODXUMIGY-PWSUYJOCSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@@H](Nc1ncccc1C#N)[C@@H]1CN(C)CCN1C ZINC000895247997 632032120 /nfs/dbraw/zinc/03/21/20/632032120.db2.gz XXWFLKZKIBWQBV-YPMHNXCESA-N 0 1 259.357 0.999 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2COCCO2)C1 ZINC001006810865 650053583 /nfs/dbraw/zinc/05/35/83/650053583.db2.gz LAWYQHBEEGFBMO-CHWSQXEVSA-N 0 1 268.357 0.559 20 30 CCEDMN N#CC1CCN(C(=O)C2CN([C@H]3CCOC3)C2)CC1 ZINC000895985324 632186233 /nfs/dbraw/zinc/18/62/33/632186233.db2.gz GZZIMNPKVRFZOI-ZDUSSCGKSA-N 0 1 263.341 0.469 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N[C@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC000896540496 632273496 /nfs/dbraw/zinc/27/34/96/632273496.db2.gz XFOGOQZKUIIRPT-BXUZGUMPSA-N 0 1 289.383 0.559 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)[nH]1)[C@@H]1CN(C)CCN1C ZINC000896551219 632280709 /nfs/dbraw/zinc/28/07/09/632280709.db2.gz COZFMNZHDWYYLF-MFKMUULPSA-N 0 1 275.356 0.250 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CN(C)CCO2)C1 ZINC001006995214 650060824 /nfs/dbraw/zinc/06/08/24/650060824.db2.gz DCVJCZBLYWZJNC-STQMWFEESA-N 0 1 267.373 0.084 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001007490516 650080448 /nfs/dbraw/zinc/08/04/48/650080448.db2.gz CZVHNXZPUQXMQN-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN N#CC[C@H](NC(=O)C1CN([C@H]2CCOC2)C1)C(F)(F)F ZINC000928799284 632591187 /nfs/dbraw/zinc/59/11/87/632591187.db2.gz AHAHGAGLDVVBFN-UWVGGRQHSA-N 0 1 291.273 0.668 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2COCCN2CC)C1 ZINC001007707795 650088440 /nfs/dbraw/zinc/08/84/40/650088440.db2.gz SZLPIVFMOIUJHI-CABCVRRESA-N 0 1 295.427 0.864 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001007735251 650089510 /nfs/dbraw/zinc/08/95/10/650089510.db2.gz CHWMZMDLKFYBAB-NWDGAFQWSA-N 0 1 265.357 0.279 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CN2CN=NC2=O)[C@H]1C ZINC000986154082 632723750 /nfs/dbraw/zinc/72/37/50/632723750.db2.gz XGSRYGVDSLNXAG-UWVGGRQHSA-N 0 1 299.762 0.315 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCn2ccnn2)[C@H]1C ZINC000986219493 632730036 /nfs/dbraw/zinc/73/00/36/632730036.db2.gz QHYVUTBDSCOSIT-RYUDHWBXSA-N 0 1 297.790 1.000 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(OC)n(C)n2)C1 ZINC001007889798 650096047 /nfs/dbraw/zinc/09/60/47/650096047.db2.gz YRGQNBUVWCLQSY-GFCCVEGCSA-N 0 1 290.367 0.646 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001008054935 650100701 /nfs/dbraw/zinc/10/07/01/650100701.db2.gz BUUCWGXBXXNBMI-OLZOCXBDSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CN2CCCCC2=O)C1 ZINC001007771660 650094110 /nfs/dbraw/zinc/09/41/10/650094110.db2.gz SOTTZEQSSYTKDZ-ZDUSSCGKSA-N 0 1 279.384 0.766 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccc2c(c1)OCO2 ZINC000840998940 632829837 /nfs/dbraw/zinc/82/98/37/632829837.db2.gz FZORWOQTAHTSRM-PSASIEDQSA-N 0 1 274.280 0.648 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NC(=O)CN2CCCC2)[C@H]1C ZINC000988100032 632947580 /nfs/dbraw/zinc/94/75/80/632947580.db2.gz LYXDAKSTIVEWHG-XQQFMLRXSA-N 0 1 292.383 0.347 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001008157740 650102946 /nfs/dbraw/zinc/10/29/46/650102946.db2.gz LLOXUGVLIIVUHU-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cn(C)nc2OC)C1 ZINC001008165481 650103676 /nfs/dbraw/zinc/10/36/76/650103676.db2.gz XAUDWWZKOTXMFN-LBPRGKRZSA-N 0 1 290.367 0.646 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2c(C)cnn2C)C1 ZINC001008229080 650106241 /nfs/dbraw/zinc/10/62/41/650106241.db2.gz IKWIMKGOJJOOOR-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C[C@@H]1C[C@H](NCC#N)CN1C(=O)c1cccc2nn[nH]c21 ZINC000988876844 633125153 /nfs/dbraw/zinc/12/51/53/633125153.db2.gz RYOKQLAHRAQBKE-ZJUUUORDSA-N 0 1 284.323 0.674 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cn[nH]n2)C1 ZINC000989093468 633149378 /nfs/dbraw/zinc/14/93/78/633149378.db2.gz LTRHLFVKEVBHJE-RKDXNWHRSA-N 0 1 269.736 0.750 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@]2(C)CCN(C(C)=O)C2)CC1 ZINC000989433964 633222851 /nfs/dbraw/zinc/22/28/51/633222851.db2.gz OJYSKFOMXQELED-INIZCTEOSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCCN1CCCN(C(=O)C(=O)N2CC[C@@H](C)C2)CC1 ZINC000989446689 633257445 /nfs/dbraw/zinc/25/74/45/633257445.db2.gz WUUSZRBOMGSWFT-CQSZACIVSA-N 0 1 291.395 0.412 20 30 CCEDMN C=CC[N@H+]1CCCN(C(=O)C[NH+]2CCCC2)CC1 ZINC000989666493 633341727 /nfs/dbraw/zinc/34/17/27/633341727.db2.gz DQSKZKKDEGQLPH-UHFFFAOYSA-N 0 1 251.374 0.803 20 30 CCEDMN C#CCC[N@H+]1CCCN(C(=O)Cn2cc[nH+]c2C)CC1 ZINC000989673233 633343878 /nfs/dbraw/zinc/34/38/78/633343878.db2.gz KFBHGCBJPKHXTG-UHFFFAOYSA-N 0 1 274.368 0.749 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCN(CC#N)CC2)CC1 ZINC000989735123 633349883 /nfs/dbraw/zinc/34/98/83/633349883.db2.gz UAVVPTKWNLKXNV-UHFFFAOYSA-N 0 1 288.395 0.389 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ccnn3C)CCC[C@H]12 ZINC000990014924 633409828 /nfs/dbraw/zinc/40/98/28/633409828.db2.gz KTCLPUVIJOVQRO-DZGCQCFKSA-N 0 1 272.352 0.780 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3nccnc3N)CCC[C@H]12 ZINC000990067541 633440259 /nfs/dbraw/zinc/44/02/59/633440259.db2.gz KVEQNYCUBYKUHO-XHDPSFHLSA-N 0 1 285.351 0.419 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H]3COCCN3C)CCC[C@@H]12 ZINC000990304921 633504243 /nfs/dbraw/zinc/50/42/43/633504243.db2.gz BTTZBBQIRKBDBW-SQWLQELKSA-N 0 1 291.395 0.063 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnn(C)c3N)CCC[C@H]12 ZINC000990354502 633515766 /nfs/dbraw/zinc/51/57/66/633515766.db2.gz MNFLBKYDLAVGDY-WFASDCNBSA-N 0 1 287.367 0.362 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cn(C)nn3)CCC[C@@H]12 ZINC000990517035 633548506 /nfs/dbraw/zinc/54/85/06/633548506.db2.gz SBVKZAQDMXWPKZ-TZMCWYRMSA-N 0 1 273.340 0.175 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ncn(C)n3)CCC[C@H]12 ZINC000990540046 633552243 /nfs/dbraw/zinc/55/22/43/633552243.db2.gz CNWRXPHLTYRVEX-SMDDNHRTSA-N 0 1 273.340 0.175 20 30 CCEDMN C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N(CC#N)CC#N)C1 ZINC000900464491 634025820 /nfs/dbraw/zinc/02/58/20/634025820.db2.gz NTEGMGRZUUANKM-ONGXEEELSA-N 0 1 257.297 0.951 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cn(CCC)nn2)C1 ZINC001009014836 650135868 /nfs/dbraw/zinc/13/58/68/650135868.db2.gz JQWYINBJNDTWOJ-ZDUSSCGKSA-N 0 1 289.383 0.906 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001009274185 650141709 /nfs/dbraw/zinc/14/17/09/650141709.db2.gz NRUGPAWHNJLJNH-KBPBESRZSA-N 0 1 279.384 0.764 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2CCCN(CC#N)C2)c1C ZINC001006946441 635294249 /nfs/dbraw/zinc/29/42/49/635294249.db2.gz SXQARGFEGPBGIT-LLVKDONJSA-N 0 1 261.329 0.744 20 30 CCEDMN Cc1nn(-c2cccnc2)nc1C(=O)NCC#CCN(C)C ZINC000913525241 636833868 /nfs/dbraw/zinc/83/38/68/636833868.db2.gz PCEASNOPJPQELS-UHFFFAOYSA-N 0 1 298.350 0.266 20 30 CCEDMN Cc1ccn2ncc(C(=O)NCC#CCN(C)C)c2n1 ZINC000913518657 636834728 /nfs/dbraw/zinc/83/47/28/636834728.db2.gz WMYRCEBSAVTORT-UHFFFAOYSA-N 0 1 271.324 0.333 20 30 CCEDMN C[C@H](NC(=O)C1CCCCC1)C(=O)NCC#CCN(C)C ZINC000913517453 636835150 /nfs/dbraw/zinc/83/51/50/636835150.db2.gz BFJYUIXDXPNAJY-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3c[nH]c(=O)cn3)CCC[C@@H]12 ZINC000992149588 637321282 /nfs/dbraw/zinc/32/12/82/637321282.db2.gz UNDIGHPLDBGEGF-IUODEOHRSA-N 0 1 286.335 0.130 20 30 CCEDMN CN1CC(C(=O)N[C@]23CCC[C@@H]2N(CC#N)CC3)=NC1=O ZINC000992148832 637323433 /nfs/dbraw/zinc/32/34/33/637323433.db2.gz DRBGEWJRYHANHM-FZMZJTMJSA-N 0 1 289.339 0.376 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)NC23CCN(CC2)C3)cc1 ZINC000913632164 637385983 /nfs/dbraw/zinc/38/59/83/637385983.db2.gz RTZZVHRGGIGJGX-ZDUSSCGKSA-N 0 1 271.320 0.556 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cn2cccn2)[C@H]1C ZINC000993012175 637663937 /nfs/dbraw/zinc/66/39/37/637663937.db2.gz PXAQYRHOOHWOHV-CHWSQXEVSA-N 0 1 260.341 0.485 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2nnn(C)c2C)[C@H]1C ZINC000993180310 637728100 /nfs/dbraw/zinc/72/81/00/637728100.db2.gz GURLXCVGVMNNTE-ZYHUDNBSSA-N 0 1 277.372 0.892 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001015619518 637752828 /nfs/dbraw/zinc/75/28/28/637752828.db2.gz APCJDUCJBUPMIT-NEPJUHHUSA-N 0 1 287.367 0.438 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001015619518 637752833 /nfs/dbraw/zinc/75/28/33/637752833.db2.gz APCJDUCJBUPMIT-NEPJUHHUSA-N 0 1 287.367 0.438 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnnn2C)[C@@H]1C ZINC000993276968 637764278 /nfs/dbraw/zinc/76/42/78/637764278.db2.gz GLQGMWUUJMOCJS-RYUDHWBXSA-N 0 1 277.372 0.974 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CC2OCCCO2)[C@@H]1C ZINC000993302793 637769906 /nfs/dbraw/zinc/76/99/06/637769906.db2.gz OTPZZNFYBDRBBU-STQMWFEESA-N 0 1 280.368 0.742 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2ccn(C)n2)[C@H]1C ZINC000993318830 637780230 /nfs/dbraw/zinc/78/02/30/637780230.db2.gz VIVMZEAZSJGORQ-HIFRSBDPSA-N 0 1 288.395 0.955 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)[C@H]1C ZINC000993360676 637795181 /nfs/dbraw/zinc/79/51/81/637795181.db2.gz SELLHRMCWOZPKR-DGCLKSJQSA-N 0 1 289.383 0.648 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cn2nccc2C)[C@H]1C ZINC000993892490 637853645 /nfs/dbraw/zinc/85/36/45/637853645.db2.gz RLBZMIZRYPBDBC-KGLIPLIRSA-N 0 1 274.368 0.794 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](NC(=O)c2ncn[n-]2)[C@H]1C ZINC000994026381 637861517 /nfs/dbraw/zinc/86/15/17/637861517.db2.gz WUNJNVGSFLFBDE-GHMZBOCLSA-N 0 1 261.329 0.411 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cn2ccnc2)[C@H]1C ZINC000994506650 637955137 /nfs/dbraw/zinc/95/51/37/637955137.db2.gz LNFKGAWXSFZPRS-CHWSQXEVSA-N 0 1 260.341 0.485 20 30 CCEDMN NS(=O)(=O)c1ccc(NN=Cc2cscn2)nc1 ZINC000918713521 638034144 /nfs/dbraw/zinc/03/41/44/638034144.db2.gz ZIQBTBHUBGLKHG-UHFFFAOYSA-N 0 1 283.338 0.632 20 30 CCEDMN C[C@@H](OC(=O)c1[nH]nc2c1CCC2)C(=O)N(C)CCC#N ZINC000919332331 638070173 /nfs/dbraw/zinc/07/01/73/638070173.db2.gz DTELNXLVBYXIBD-SECBINFHSA-N 0 1 290.323 0.816 20 30 CCEDMN CS(=O)(=O)CC(=O)[C@@H](C#N)C(=O)NC1CCCCC1 ZINC000920110084 638124854 /nfs/dbraw/zinc/12/48/54/638124854.db2.gz YGTXLNDJIYJALD-SNVBAGLBSA-N 0 1 286.353 0.189 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC000939395137 638358822 /nfs/dbraw/zinc/35/88/22/638358822.db2.gz CNBIGVGFGVRQGD-ONGXEEELSA-N 0 1 289.339 0.551 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(C2CN(CCCF)C2)CC1 ZINC000941347840 638528651 /nfs/dbraw/zinc/52/86/51/638528651.db2.gz DQANEVNZEBNJEN-GFCCVEGCSA-N 0 1 282.363 0.334 20 30 CCEDMN CC1(C)CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C[C@H]1NCC#N ZINC000995924864 638804976 /nfs/dbraw/zinc/80/49/76/638804976.db2.gz QIOZNFCYRMYYSJ-NQBHXWOUSA-N 0 1 287.367 0.863 20 30 CCEDMN Cc1cc2c(c(Br)c1)NC(=O)C2=NNC(=N)N ZINC000735608413 638843207 /nfs/dbraw/zinc/84/32/07/638843207.db2.gz AAHPYDRDPGGLMK-UHFFFAOYSA-N 0 1 296.128 0.897 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)c2ccnn2C)C1 ZINC001014458811 650453692 /nfs/dbraw/zinc/45/36/92/650453692.db2.gz JEPKHRDKWHINMG-STQMWFEESA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccc(C)nn2)C1 ZINC001014405533 650448898 /nfs/dbraw/zinc/44/88/98/650448898.db2.gz GQPJTTKMAXIHJU-GFCCVEGCSA-N 0 1 258.325 0.612 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CCC(NCC#N)CC1 ZINC000997308167 638862792 /nfs/dbraw/zinc/86/27/92/638862792.db2.gz CAWCPFMDRQTKTN-CYBMUJFWSA-N 0 1 294.399 0.059 20 30 CCEDMN O=C(C#CC1CC1)N1CCC(CNCc2cnon2)CC1 ZINC000997763546 638882493 /nfs/dbraw/zinc/88/24/93/638882493.db2.gz CCYOCUOZYCTKHD-UHFFFAOYSA-N 0 1 288.351 0.811 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2COCCO2)C1 ZINC000998596276 638929516 /nfs/dbraw/zinc/92/95/16/638929516.db2.gz PRTFWVFPYXUKNZ-NWDGAFQWSA-N 0 1 288.775 0.735 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC000999300338 638973128 /nfs/dbraw/zinc/97/31/28/638973128.db2.gz XPEGAOYQEFOOII-NWDGAFQWSA-N 0 1 299.802 0.798 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CCC[C@H](NCC#N)C1 ZINC001000431552 639086274 /nfs/dbraw/zinc/08/62/74/639086274.db2.gz ZPFFINKGRKQTRB-RYUDHWBXSA-N 0 1 275.356 0.692 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001014615931 650468224 /nfs/dbraw/zinc/46/82/24/650468224.db2.gz JWJRSDHZLVDWQD-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CC(C)C)C2)C1 ZINC001014615908 650468471 /nfs/dbraw/zinc/46/84/71/650468471.db2.gz JTDPQZVTMQRBSS-KGLIPLIRSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn[nH]c(=O)c2)CC1 ZINC001000812091 639173841 /nfs/dbraw/zinc/17/38/41/639173841.db2.gz DCGQJPMBZTVUMR-UHFFFAOYSA-N 0 1 272.308 0.177 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC001000887619 639184553 /nfs/dbraw/zinc/18/45/53/639184553.db2.gz UAIZZAOOXSFEEK-CQSZACIVSA-N 0 1 289.379 0.284 20 30 CCEDMN CC#CC[NH+]1CCC(CNC(=O)[C@H]2C[N@H+](C)CCO2)CC1 ZINC001001278969 639274116 /nfs/dbraw/zinc/27/41/16/639274116.db2.gz OJWABDRFNZRDIF-OAHLLOKOSA-N 0 1 293.411 0.169 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001014737486 650483461 /nfs/dbraw/zinc/48/34/61/650483461.db2.gz QYVKRWXMSGTUNU-LLVKDONJSA-N 0 1 276.340 0.656 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC001001440130 639303884 /nfs/dbraw/zinc/30/38/84/639303884.db2.gz VZFRMPMUBGHJOK-UHFFFAOYSA-N 0 1 286.335 0.109 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C)n(C)n2)CC1 ZINC001002417078 639409781 /nfs/dbraw/zinc/40/97/81/639409781.db2.gz ABTSMRGRZKIWHH-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC001002458046 639414593 /nfs/dbraw/zinc/41/45/93/639414593.db2.gz DEQMJXUUJARMIJ-OLZOCXBDSA-N 0 1 278.352 0.399 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@H]2COC(=O)C2)CC1 ZINC001002601402 639433238 /nfs/dbraw/zinc/43/32/38/639433238.db2.gz JYUQFNSFGYPKAV-GFCCVEGCSA-N 0 1 278.352 0.544 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2n[nH]cc2C)CC1 ZINC001002771435 639453818 /nfs/dbraw/zinc/45/38/18/639453818.db2.gz FYLGQSKJXGDOGE-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)CC1 ZINC001002837184 639465794 /nfs/dbraw/zinc/46/57/94/639465794.db2.gz DBDHGUNRAKROBQ-TZMCWYRMSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCCNC2=O)CC1 ZINC001003082833 639503193 /nfs/dbraw/zinc/50/31/93/639503193.db2.gz BZHNBQVXRYRKRZ-ZDUSSCGKSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(C)nc2CC)CC1 ZINC001003092195 639504553 /nfs/dbraw/zinc/50/45/53/639504553.db2.gz NCNNKTVRDJXCPJ-UHFFFAOYSA-N 0 1 274.368 0.810 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC(CNC(=O)c2cnn[nH]2)C1 ZINC001003113491 639509372 /nfs/dbraw/zinc/50/93/72/639509372.db2.gz VSODOPTUQFTBHU-AWEZNQCLSA-N 0 1 291.355 0.595 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC001003220923 639517768 /nfs/dbraw/zinc/51/77/68/639517768.db2.gz FUXAMHBFCDOUKF-RYUDHWBXSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cccc3ncnn32)CC1 ZINC001003254480 639522757 /nfs/dbraw/zinc/52/27/57/639522757.db2.gz DZTIGEOTJJMXAL-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ccccc2C(N)=O)CC1 ZINC001003420041 639541265 /nfs/dbraw/zinc/54/12/65/639541265.db2.gz IPEKACZGZZLTJO-UHFFFAOYSA-N 0 1 299.374 0.542 20 30 CCEDMN N#CCCNC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000922228984 639588732 /nfs/dbraw/zinc/58/87/32/639588732.db2.gz PHRLDTDFYZVFLR-GHMZBOCLSA-N 0 1 268.386 0.779 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001014960794 650509168 /nfs/dbraw/zinc/50/91/68/650509168.db2.gz ZIQPBUGTHPTFIE-ZDUSSCGKSA-N 0 1 273.336 0.213 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2nc3ncccn3n2)CC1 ZINC001003817756 639627849 /nfs/dbraw/zinc/62/78/49/639627849.db2.gz QIIWRQWWOAUKNF-UHFFFAOYSA-N 0 1 298.350 0.342 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001015039780 650517877 /nfs/dbraw/zinc/51/78/77/650517877.db2.gz WDIYWQCPIWWEKQ-BPCQOVAHSA-N 0 1 279.384 0.655 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CC(=O)N(CC)C2)CC1 ZINC001005474345 639911407 /nfs/dbraw/zinc/91/14/07/639911407.db2.gz BSYPVPKTNMJLAE-CYBMUJFWSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCNC(=O)CC2)CC1 ZINC001005766820 639961083 /nfs/dbraw/zinc/96/10/83/639961083.db2.gz ZVPYQUUZMOYZOI-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2c[nH]c(=O)n2C)CC1 ZINC001005827867 639979683 /nfs/dbraw/zinc/97/96/83/639979683.db2.gz XTSDLVMFJFUFAI-UHFFFAOYSA-N 0 1 290.367 0.685 20 30 CCEDMN N#C[C@@]1(F)CCN(C(=O)NCCCc2nc[nH]n2)C1 ZINC000923139165 640032266 /nfs/dbraw/zinc/03/22/66/640032266.db2.gz HVSDTDHMBYNXEH-NSHDSACASA-N 0 1 266.280 0.384 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC001005932621 640034268 /nfs/dbraw/zinc/03/42/68/640034268.db2.gz ZQVOFXDIMJGIRT-UHFFFAOYSA-N 0 1 290.367 0.685 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc3ncccn3n2)CC1 ZINC001006167509 640193561 /nfs/dbraw/zinc/19/35/61/640193561.db2.gz WTMPWQQHAYLDCT-UHFFFAOYSA-N 0 1 297.362 0.899 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001015472351 650570622 /nfs/dbraw/zinc/57/06/22/650570622.db2.gz WTUUSBWVQXYSDC-LBPRGKRZSA-N 0 1 288.351 0.626 20 30 CCEDMN NC(=O)c1cccc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)c1 ZINC000755813723 640338400 /nfs/dbraw/zinc/33/84/00/640338400.db2.gz HAILXGCVNRPIMS-CHWSQXEVSA-N 0 1 285.351 0.979 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCc3nnn(C)c3C2)C1 ZINC001015618534 650594077 /nfs/dbraw/zinc/59/40/77/650594077.db2.gz VBNBKOSXQSJDOR-NWDGAFQWSA-N 0 1 289.383 0.297 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccoc2CC(N)=O)C1 ZINC001015618383 650594288 /nfs/dbraw/zinc/59/42/88/650594288.db2.gz RTVYZXZSPHNTEQ-NSHDSACASA-N 0 1 291.351 0.688 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2nnc3c2CCCC3)C1 ZINC001015710309 650613270 /nfs/dbraw/zinc/61/32/70/650613270.db2.gz JSWCFOYGXOFWAA-GFCCVEGCSA-N 0 1 289.383 0.533 20 30 CCEDMN C[C@H](C#N)OCC[N@@H+]1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000808777425 640878795 /nfs/dbraw/zinc/87/87/95/640878795.db2.gz NCTSYMITMKRLKQ-NXEZZACHSA-N 0 1 265.317 0.618 20 30 CCEDMN C[C@H](C#N)OCC[N@H+]1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000808777425 640878797 /nfs/dbraw/zinc/87/87/97/640878797.db2.gz NCTSYMITMKRLKQ-NXEZZACHSA-N 0 1 265.317 0.618 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)c[nH]c2CC(N)=O)C1 ZINC001015734070 650619222 /nfs/dbraw/zinc/61/92/22/650619222.db2.gz NWGSMPDJTFNEAQ-NSHDSACASA-N 0 1 290.367 0.341 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001015778620 650627878 /nfs/dbraw/zinc/62/78/78/650627878.db2.gz RYKUTAXPIWSCQX-AWEZNQCLSA-N 0 1 287.363 0.371 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001015783354 650629699 /nfs/dbraw/zinc/62/96/99/650629699.db2.gz OCRULHMQTUWAPO-SNVBAGLBSA-N 0 1 297.318 0.663 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cscn2)C1 ZINC001015801907 650631662 /nfs/dbraw/zinc/63/16/62/650631662.db2.gz AIOGANCFNGMWAT-LLVKDONJSA-N 0 1 263.366 0.899 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2nnn(C(C)C)c2C)C1 ZINC001015913027 650655211 /nfs/dbraw/zinc/65/52/11/650655211.db2.gz KFNIJOKJFOEKHS-ZDUSSCGKSA-N 0 1 289.383 0.995 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)N2CC[C@H](c3nc[nH]n3)C2)cc1 ZINC000819725290 641427130 /nfs/dbraw/zinc/42/71/30/641427130.db2.gz VQUXCKLAOUCTEE-STQMWFEESA-N 0 1 297.318 0.726 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001015994164 650671451 /nfs/dbraw/zinc/67/14/51/650671451.db2.gz SYVNJIXPQXMLMJ-TZMCWYRMSA-N 0 1 286.379 0.728 20 30 CCEDMN Cc1nc(CC(=O)NCCNc2ccc(C#N)cn2)n[nH]1 ZINC000821459806 641600704 /nfs/dbraw/zinc/60/07/04/641600704.db2.gz PCTZHQIYUYGYHC-UHFFFAOYSA-N 0 1 285.311 0.151 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2c(C)n[nH]c2C)C1 ZINC001016235873 650702282 /nfs/dbraw/zinc/70/22/82/650702282.db2.gz UOBFQODIASXOCC-CYBMUJFWSA-N 0 1 274.368 0.783 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1nnsc1Cl ZINC000826382953 641930788 /nfs/dbraw/zinc/93/07/88/641930788.db2.gz CDQDSOFPXJOKOI-UHFFFAOYSA-N 0 1 293.703 0.488 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1cn2ccccc2n1 ZINC000826383416 641931420 /nfs/dbraw/zinc/93/14/20/641931420.db2.gz ZLKAPFIPEUQSGO-UHFFFAOYSA-N 0 1 291.278 0.631 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001016349699 650720698 /nfs/dbraw/zinc/72/06/98/650720698.db2.gz OGKFARLIDLJJHV-QWHCGFSZSA-N 0 1 265.357 0.374 20 30 CCEDMN C#CC[C@H]1CCCN(CC(=O)NCC(=O)N2CCCC2)C1 ZINC000829778373 642191527 /nfs/dbraw/zinc/19/15/27/642191527.db2.gz BNHLPIIJHDYEFY-AWEZNQCLSA-N 0 1 291.395 0.460 20 30 CCEDMN N#CCN1CCC2(C[C@@H]2NC(=O)Cc2cnc[nH]2)CC1 ZINC001016852932 650766399 /nfs/dbraw/zinc/76/63/99/650766399.db2.gz CUHCAAICLCYVID-LBPRGKRZSA-N 0 1 273.340 0.446 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnn(-c2cccnc2)c1 ZINC000834480647 642573121 /nfs/dbraw/zinc/57/31/21/642573121.db2.gz UBBFACGQNWASEP-ZWNOBZJWSA-N 0 1 282.307 0.109 20 30 CCEDMN COc1csc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834479891 642573563 /nfs/dbraw/zinc/57/35/63/642573563.db2.gz JAJPTTGZWNIENW-CBAPKCEASA-N 0 1 251.311 0.598 20 30 CCEDMN Cc1c2ccccc2oc(=O)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834480858 642573659 /nfs/dbraw/zinc/57/36/59/642573659.db2.gz XIPSSMHBVPHTGZ-PWSUYJOCSA-N 0 1 297.314 0.943 20 30 CCEDMN Cn1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(C(C)(C)C)n1 ZINC000834484066 642574175 /nfs/dbraw/zinc/57/41/75/642574175.db2.gz DGYKYEUJHFYSQI-MWLCHTKSSA-N 0 1 275.356 0.559 20 30 CCEDMN Cn1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1-c1ccccc1 ZINC000834485145 642575454 /nfs/dbraw/zinc/57/54/54/642575454.db2.gz SFOQLIDZBRKEDD-JSGCOSHPSA-N 0 1 295.346 0.928 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC000834489191 642579823 /nfs/dbraw/zinc/57/98/23/642579823.db2.gz KOBZYTNEHJZPIF-CDMKHQONSA-N 0 1 275.283 0.357 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1csc2cncn21 ZINC000834490983 642581949 /nfs/dbraw/zinc/58/19/49/642581949.db2.gz ZCEVYYHCQUXDCM-HTQZYQBOSA-N 0 1 261.310 0.237 20 30 CCEDMN Cc1sc(=O)n(CCC(=O)N[C@H]2CNC[C@@H]2C#N)c1C ZINC000834490661 642582169 /nfs/dbraw/zinc/58/21/69/642582169.db2.gz WPSLBDBCBWHUJE-QWRGUYRKSA-N 0 1 294.380 0.145 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CSC[C@H]1CCCCO1 ZINC000834493185 642582921 /nfs/dbraw/zinc/58/29/21/642582921.db2.gz BLLNZPICPAQGJO-UTUOFQBUSA-N 0 1 283.397 0.516 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CSC[C@@H]1CCCCO1 ZINC000834493183 642583798 /nfs/dbraw/zinc/58/37/98/642583798.db2.gz BLLNZPICPAQGJO-GRYCIOLGSA-N 0 1 283.397 0.516 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnn(-c2ccccc2F)c1 ZINC000834495353 642584913 /nfs/dbraw/zinc/58/49/13/642584913.db2.gz ULYRPISDCOXKIT-GXFFZTMASA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccn1Cc1ccncc1 ZINC000834494797 642585323 /nfs/dbraw/zinc/58/53/23/642585323.db2.gz POJKRBORYJSRRR-KBPBESRZSA-N 0 1 295.346 0.773 20 30 CCEDMN COc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)ccc1[N+](=O)[O-] ZINC000834494775 642585956 /nfs/dbraw/zinc/58/59/56/642585956.db2.gz PESJURLLJBZDCA-VHSXEESVSA-N 0 1 290.279 0.445 20 30 CCEDMN Cn1c2ccc(C(=O)N[C@@H]3CNC[C@H]3C#N)cc2[nH]c1=O ZINC000834494571 642585987 /nfs/dbraw/zinc/58/59/87/642585987.db2.gz OGNBACOAZYZLGL-MWLCHTKSSA-N 0 1 285.307 0.120 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccnc(C2CC2)c1 ZINC000834500314 642588011 /nfs/dbraw/zinc/58/80/11/642588011.db2.gz RWQKGOANHZSWIC-WCQYABFASA-N 0 1 256.309 0.800 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1noc2c1CCCCC2 ZINC000834499729 642589036 /nfs/dbraw/zinc/58/90/36/642589036.db2.gz MDPIGMIEUGJEBU-GXSJLCMTSA-N 0 1 274.324 0.785 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(F)cc(F)c1 ZINC000834504801 642591433 /nfs/dbraw/zinc/59/14/33/642591433.db2.gz FJSJFRRNAIXRTJ-KCJUWKMLSA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC000834505381 642591669 /nfs/dbraw/zinc/59/16/69/642591669.db2.gz KFQXYGDUUDQYPL-WCBMZHEXSA-N 0 1 275.268 0.018 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1CCCc2cccnc21 ZINC000834505560 642591851 /nfs/dbraw/zinc/59/18/51/642591851.db2.gz MDGNYGUNRRNKRM-FRRDWIJNSA-N 0 1 270.336 0.729 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COc1ccccc1F ZINC000834512342 642597619 /nfs/dbraw/zinc/59/76/19/642597619.db2.gz VDHJMNKLQVAQOA-ONGXEEELSA-N 0 1 263.272 0.432 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1cnn(-c2ccccc2)c1 ZINC000834515910 642598952 /nfs/dbraw/zinc/59/89/52/642598952.db2.gz DEZIYNVKLBDUEW-HIFRSBDPSA-N 0 1 295.346 0.643 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCc1nc2ccccc2[nH]1 ZINC000834512585 642599219 /nfs/dbraw/zinc/59/92/19/642599219.db2.gz YYEPMRXGAJBBMD-MFKMUULPSA-N 0 1 283.335 0.723 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@H]2C#N)cnn1C(C)(C)C ZINC000834521622 642601910 /nfs/dbraw/zinc/60/19/10/642601910.db2.gz OHBXWNJJAVFNRH-PWSUYJOCSA-N 0 1 275.356 0.788 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1cnn(-c2ccccc2)n1 ZINC000841167120 642805190 /nfs/dbraw/zinc/80/51/90/642805190.db2.gz HMSPQATUYIQQMB-CMPLNLGQSA-N 0 1 297.322 0.500 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccc2c(n1)CCC2 ZINC000841259468 642809228 /nfs/dbraw/zinc/80/92/28/642809228.db2.gz RLQCBLPZECNRHT-PWSUYJOCSA-N 0 1 271.324 0.803 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CC[C@H]2CC2(Cl)Cl)c1=O ZINC000842613909 642915803 /nfs/dbraw/zinc/91/58/03/642915803.db2.gz FIZCVGAHPUWCRS-ZETCQYMHSA-N 0 1 274.107 0.992 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cc(C)n[nH]1 ZINC001017471309 650804448 /nfs/dbraw/zinc/80/44/48/650804448.db2.gz QVUYNEHDSHLVSH-GASCZTMLSA-N 0 1 286.379 0.959 20 30 CCEDMN Cc1ccc(OCC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000843459198 643063852 /nfs/dbraw/zinc/06/38/52/643063852.db2.gz DBWBWLURFAPTLK-YPMHNXCESA-N 0 1 259.309 0.602 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000843463817 643067317 /nfs/dbraw/zinc/06/73/17/643067317.db2.gz YECOARSUMPQMHZ-GXSJLCMTSA-N 0 1 289.295 0.234 20 30 CCEDMN Cc1ccc2oc(C(=O)N[C@@H]3CNC[C@H]3C#N)cc2n1 ZINC000844194537 643178235 /nfs/dbraw/zinc/17/82/35/643178235.db2.gz JSFYPZAZGQZBNG-MWLCHTKSSA-N 0 1 270.292 0.978 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(C)n1 ZINC001017815728 650825017 /nfs/dbraw/zinc/82/50/17/650825017.db2.gz YEMFYMUQDYROMZ-PHIMTYICSA-N 0 1 276.344 0.075 20 30 CCEDMN COc1cccc(OC)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000846676367 643441755 /nfs/dbraw/zinc/44/17/55/643441755.db2.gz DGJYRNLAPRPKHM-ZJUUUORDSA-N 0 1 275.308 0.545 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)N[C@H]1CCCN(O)C1=O ZINC000848135008 643605527 /nfs/dbraw/zinc/60/55/27/643605527.db2.gz IAKHYSLCXNLITM-VIFPVBQESA-N 0 1 280.328 0.952 20 30 CCEDMN CN(C)c1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1Cl ZINC000848418897 643634384 /nfs/dbraw/zinc/63/43/84/643634384.db2.gz OGSHXTQIDSPMQW-ONGXEEELSA-N 0 1 293.758 0.642 20 30 CCEDMN N#CC1CCN(C[C@@](O)(C(N)=O)c2ccccc2)CC1 ZINC000851739494 643876626 /nfs/dbraw/zinc/87/66/26/643876626.db2.gz CGLGZFXYVKMDSW-HNNXBMFYSA-N 0 1 273.336 0.595 20 30 CCEDMN C[C@@H]1Cc2cc(C(=O)N[C@H]3CNC[C@@H]3C#N)ccc2O1 ZINC000852827245 644014321 /nfs/dbraw/zinc/01/43/21/644014321.db2.gz FAORRJDMFNPTPG-ICCXJUOJSA-N 0 1 271.320 0.851 20 30 CCEDMN O=C(C#CC1CC[NH2+]CC1)NC1(c2nn[n-]n2)CCCC1 ZINC000852843182 644019275 /nfs/dbraw/zinc/01/92/75/644019275.db2.gz SAEGDHTWPMGNMM-UHFFFAOYSA-N 0 1 288.355 0.088 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1cc(C2CC2)no1 ZINC000852875876 644037842 /nfs/dbraw/zinc/03/78/42/644037842.db2.gz SAIQHDQWPZCIQK-JOYOIKCWSA-N 0 1 260.297 0.322 20 30 CCEDMN Cn1cc(Br)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000852876106 644038019 /nfs/dbraw/zinc/03/80/19/644038019.db2.gz VULRPRFHAVPLJG-POYBYMJQSA-N 0 1 298.144 0.024 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001018994224 650928140 /nfs/dbraw/zinc/92/81/40/650928140.db2.gz LMKJXJJJFTWCAM-HBNTYKKESA-N 0 1 259.313 0.227 20 30 CCEDMN O=c1ccn(N=C2CCC[N@H+]3CCCC[C@H]23)c(=O)[nH]1 ZINC000863182639 645068002 /nfs/dbraw/zinc/06/80/02/645068002.db2.gz TWCQXJWJXMHRQX-LLVKDONJSA-N 0 1 262.313 0.801 20 30 CCEDMN O=c1ccn(N=C2CCCN3CCCC[C@H]23)c(=O)[nH]1 ZINC000863182639 645068004 /nfs/dbraw/zinc/06/80/04/645068004.db2.gz TWCQXJWJXMHRQX-LLVKDONJSA-N 0 1 262.313 0.801 20 30 CCEDMN N#C[C@]1(C(=O)NCCCCN2CCOCC2)CCCOC1 ZINC000864888171 645300989 /nfs/dbraw/zinc/30/09/89/645300989.db2.gz VDDNXWBRMAQKAK-OAHLLOKOSA-N 0 1 295.383 0.535 20 30 CCEDMN Cn1ncc(CN[C@H]2Cc3ccc(C#N)cc3C2)n1 ZINC000865847293 645428004 /nfs/dbraw/zinc/42/80/04/645428004.db2.gz JXGFLFUKLFGQJP-ZDUSSCGKSA-N 0 1 253.309 0.944 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2cn(C)nn2)C1 ZINC001019886592 651012723 /nfs/dbraw/zinc/01/27/23/651012723.db2.gz WSURTNSPDDNWHM-NSHDSACASA-N 0 1 297.790 0.691 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001020343253 651061308 /nfs/dbraw/zinc/06/13/08/651061308.db2.gz LLNDIDLRPBGHNW-XYPYZODXSA-N 0 1 296.330 0.902 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnn(C(F)F)c1 ZINC000870941810 646055972 /nfs/dbraw/zinc/05/59/72/646055972.db2.gz ZQZCWXJLRPWLQH-XPUUQOCRSA-N 0 1 255.228 0.120 20 30 CCEDMN O=S1(=O)CC[C@H](NN=Cc2ccc(F)c(F)c2O)C1 ZINC000872324815 646249118 /nfs/dbraw/zinc/24/91/18/646249118.db2.gz PRBXPWRIJIJZNF-QMMMGPOBSA-N 0 1 290.291 0.781 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2ccc(F)c(F)c2[O-])N1 ZINC000872364742 646252457 /nfs/dbraw/zinc/25/24/57/646252457.db2.gz SNJWPYZPALTJBW-ZCFIWIBFSA-N 0 1 254.240 0.942 20 30 CCEDMN C[C@H]1CN(C(=O)[C@@H]2NCCc3cc(C#N)ccc32)CCO1 ZINC000876570377 646626016 /nfs/dbraw/zinc/62/60/16/646626016.db2.gz BFAHXVZEAUFQFF-XHDPSFHLSA-N 0 1 285.347 0.992 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cn1ccc(C(F)F)n1 ZINC000876801787 646668751 /nfs/dbraw/zinc/66/87/51/646668751.db2.gz IPQBPIAREQWVNZ-VXNVDRBHSA-N 0 1 269.255 0.048 20 30 CCEDMN N#CCNC(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000126356817 646735911 /nfs/dbraw/zinc/73/59/11/646735911.db2.gz IMFQFARXEXDGBQ-UHFFFAOYSA-N 0 1 274.305 0.325 20 30 CCEDMN C#CCN(C[C@H](O)COCCOC)C1CSC1 ZINC000878909719 646997403 /nfs/dbraw/zinc/99/74/03/646997403.db2.gz AWQQQRDZEWKWOT-LBPRGKRZSA-N 0 1 259.371 0.061 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCOC[C@@H]1C#N ZINC000878975051 647005612 /nfs/dbraw/zinc/00/56/12/647005612.db2.gz WORQAGHDEAWYQP-NRUUGDAUSA-N 0 1 274.324 0.827 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1C[C@@H](O)CC(C)(C)C1 ZINC000879618220 647086815 /nfs/dbraw/zinc/08/68/15/647086815.db2.gz WOPDZKVVZIYRHO-NEPJUHHUSA-N 0 1 267.373 0.840 20 30 CCEDMN N#CCCOCCN1CCC[C@H]2CCNC(=O)[C@@H]21 ZINC000880524915 647219825 /nfs/dbraw/zinc/21/98/25/647219825.db2.gz WYHDEXTZVVRQJF-NWDGAFQWSA-N 0 1 251.330 0.517 20 30 CCEDMN C[C@@H]1CCc2[nH]nc(C(=O)N[C@H]3CNC[C@H]3C#N)c2C1 ZINC000884250234 647681023 /nfs/dbraw/zinc/68/10/23/647681023.db2.gz ZZRVMKXJIZEUEY-LNLATYFQSA-N 0 1 273.340 0.376 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCNC(=O)CC2)CCC1 ZINC000885986122 647889970 /nfs/dbraw/zinc/88/99/70/647889970.db2.gz YTCBUKQNAXLTQQ-NSHDSACASA-N 0 1 251.330 0.253 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CCO[C@@](C)(C#N)C1 ZINC000887688577 648143388 /nfs/dbraw/zinc/14/33/88/648143388.db2.gz MVRRSVSYRCSSQE-GWCFXTLKSA-N 0 1 262.313 0.729 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@H]3[C@H]2CCCN3CCO)co1 ZINC000889742854 648239491 /nfs/dbraw/zinc/23/94/91/648239491.db2.gz KNPFGOXHVHAQIY-UONOGXRCSA-N 0 1 289.335 0.822 20 30 CCEDMN CN1C(=O)CC[C@H](NCC#Cc2ccccc2)C1=O ZINC000090029336 648401868 /nfs/dbraw/zinc/40/18/68/648401868.db2.gz MILOECIKMZYZTF-ZDUSSCGKSA-N 0 1 256.305 0.775 20 30 CCEDMN C[C@@H](CS(C)(=O)=O)NCCNc1ccc(C#N)cn1 ZINC000927162942 648547281 /nfs/dbraw/zinc/54/72/81/648547281.db2.gz HIKAGPBWBMWOPI-JTQLQIEISA-N 0 1 282.369 0.388 20 30 CCEDMN N#CC1(C[C@H](O)CN2C[C@@H]3CS(=O)(=O)C[C@@H]3C2)CCC1 ZINC000933719930 649138180 /nfs/dbraw/zinc/13/81/80/649138180.db2.gz LTBJFUFXLJXXNW-XQQFMLRXSA-N 0 1 298.408 0.408 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC(N(C)C(=O)[C@H]2CCCCN2C)C1 ZINC000954710150 649675991 /nfs/dbraw/zinc/67/59/91/649675991.db2.gz OTDDSYIPXWZUTO-DGCLKSJQSA-N 0 1 292.383 0.300 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncc(Cl)nc3C#N)C[C@H]21 ZINC001164669885 719378451 /nfs/dbraw/zinc/37/84/51/719378451.db2.gz SEAWKHBOIKWAPH-NXEZZACHSA-N 0 1 279.731 0.521 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nnc(Cl)cc3C#N)C[C@H]21 ZINC001164672665 719381355 /nfs/dbraw/zinc/38/13/55/719381355.db2.gz ZXQZFWGXMFHOTG-NXEZZACHSA-N 0 1 279.731 0.521 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ccc4c(C#N)c[nH]c4n3)C[C@@H]21 ZINC001164668310 719400298 /nfs/dbraw/zinc/40/02/98/719400298.db2.gz QWYJDDXIULYOHC-QWHCGFSZSA-N 0 1 283.335 0.954 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)NC(=O)C2CCC2)CC1 ZINC001230463777 805602974 /nfs/dbraw/zinc/60/29/74/805602974.db2.gz WLIRXPYDNFNEQC-LBPRGKRZSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN([C@H]2CCCN(C)C2=O)C1 ZINC001266307700 790480314 /nfs/dbraw/zinc/48/03/14/790480314.db2.gz JCKVBTOWKNJZOT-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCCCO1 ZINC001038171442 790506415 /nfs/dbraw/zinc/50/64/15/790506415.db2.gz NTPDRECZWJVVQN-CHWSQXEVSA-N 0 1 250.342 0.769 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(C(=O)NC)CC2)C1 ZINC001266347125 790557096 /nfs/dbraw/zinc/55/70/96/790557096.db2.gz KTOIPKBIMDPDNA-LLVKDONJSA-N 0 1 265.357 0.279 20 30 CCEDMN CC#CC[N@@H+](C)CCNC(=O)Cc1c(C)n[nH]c1C ZINC001266357682 790583340 /nfs/dbraw/zinc/58/33/40/790583340.db2.gz DKJQEHMTFPQSCG-UHFFFAOYSA-N 0 1 262.357 0.640 20 30 CCEDMN CC#CCN(C)CCNC(=O)Cc1c(C)n[nH]c1C ZINC001266357682 790583353 /nfs/dbraw/zinc/58/33/53/790583353.db2.gz DKJQEHMTFPQSCG-UHFFFAOYSA-N 0 1 262.357 0.640 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc2[nH]c(=O)n(C)c2c1 ZINC001266367820 790601680 /nfs/dbraw/zinc/60/16/80/790601680.db2.gz NATCQTPFGZMTOM-UHFFFAOYSA-N 0 1 286.335 0.574 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)CC1CCOCC1 ZINC001266383579 790617432 /nfs/dbraw/zinc/61/74/32/790617432.db2.gz RPSPMALONPVTCI-UHFFFAOYSA-N 0 1 296.411 0.891 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cccc(-n2cnnn2)c1 ZINC001266434811 790694658 /nfs/dbraw/zinc/69/46/58/790694658.db2.gz OWSHHSQCGPDQKL-UHFFFAOYSA-N 0 1 298.350 0.347 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCc1cncn1C ZINC001266492639 790783752 /nfs/dbraw/zinc/78/37/52/790783752.db2.gz TXGBDHTUYYJGIB-CQSZACIVSA-N 0 1 274.368 0.567 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCCNCc2ccc(F)cn2)c1 ZINC001125390855 790874000 /nfs/dbraw/zinc/87/40/00/790874000.db2.gz GNSZTGZYNTZMNA-UHFFFAOYSA-N 0 1 287.298 0.940 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)C1CC1 ZINC001266554284 790920764 /nfs/dbraw/zinc/92/07/64/790920764.db2.gz WTAMMXFFCCOITQ-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001167363065 790972296 /nfs/dbraw/zinc/97/22/96/790972296.db2.gz KXSJSYAXPXVSCZ-NSHDSACASA-N 0 1 294.403 0.969 20 30 CCEDMN N#CCN(CCNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CC1 ZINC001266596798 791002381 /nfs/dbraw/zinc/00/23/81/791002381.db2.gz OBPCJBWVNAIVTH-VXGBXAGGSA-N 0 1 273.340 0.617 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@@H](NCc2nccn2C)C1 ZINC001266681166 791130931 /nfs/dbraw/zinc/13/09/31/791130931.db2.gz VCRSCWBBCWCOLD-BETUJISGSA-N 0 1 274.368 0.960 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CN1CCCC1=O ZINC001230739463 805658604 /nfs/dbraw/zinc/65/86/04/805658604.db2.gz NLLLZSUBNKMBLG-ZDUSSCGKSA-N 0 1 277.368 0.165 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@H]1COCCO1 ZINC001230824266 805674077 /nfs/dbraw/zinc/67/40/77/805674077.db2.gz WADUJHYSVAMJPI-ZIAGYGMSSA-N 0 1 298.383 0.137 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](C)OCC ZINC001230855717 805678085 /nfs/dbraw/zinc/67/80/85/805678085.db2.gz RGYGURXSHJNWSY-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CNC(=O)C1CC1 ZINC001230876736 805681240 /nfs/dbraw/zinc/68/12/40/805681240.db2.gz OMMSXPCYXDJIEQ-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cncnc1C ZINC001277475722 805702327 /nfs/dbraw/zinc/70/23/27/805702327.db2.gz BQMUBSWDCBQVGJ-UHFFFAOYSA-N 0 1 290.367 0.487 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C1CCCC1 ZINC001231099349 805726966 /nfs/dbraw/zinc/72/69/66/805726966.db2.gz CEARHWBMVMTLGG-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](C)COC ZINC001231215619 805753834 /nfs/dbraw/zinc/75/38/34/805753834.db2.gz HXGNOINUKUCSMK-OLZOCXBDSA-N 0 1 252.358 0.825 20 30 CCEDMN C[C@]1(CO)CC[N@@H+](Cc2cc(C#N)ccc2[O-])C[C@@H]1O ZINC001232673735 805967471 /nfs/dbraw/zinc/96/74/71/805967471.db2.gz LYUZSEZUGQGWIV-LSDHHAIUSA-N 0 1 276.336 0.829 20 30 CCEDMN N#Cc1ccc(O)c(CN2CCS(=O)(=O)CC2)c1 ZINC001232676006 805970415 /nfs/dbraw/zinc/97/04/15/805970415.db2.gz XOQSMBYGYPHKEM-UHFFFAOYSA-N 0 1 266.322 0.494 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC([C@H](C)NC(=O)CC)CC1 ZINC001279111387 791182937 /nfs/dbraw/zinc/18/29/37/791182937.db2.gz LBQAYAHWPIRNKT-QWHCGFSZSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)Cc3ccco3)[C@H]2C1 ZINC001083229551 791365843 /nfs/dbraw/zinc/36/58/43/791365843.db2.gz GFXNFOFSSVMCHF-LSDHHAIUSA-N 0 1 288.347 0.757 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@H](CNCc2ccon2)C1 ZINC001267037204 791468653 /nfs/dbraw/zinc/46/86/53/791468653.db2.gz AAZSYXYSWSPPKD-DOMZBBRYSA-N 0 1 293.367 0.940 20 30 CCEDMN N#Cc1csc(CNC[C@@H]2C[C@@H]3COC[C@@H]3O2)n1 ZINC000724572270 791596659 /nfs/dbraw/zinc/59/66/59/791596659.db2.gz ZLBHCVBGQYQCIW-MIMYLULJSA-N 0 1 265.338 0.908 20 30 CCEDMN CN(CCNC(=O)C#CC1CC1)Cc1n[nH]c(C2CC2)n1 ZINC001267194611 791657010 /nfs/dbraw/zinc/65/70/10/791657010.db2.gz VKELLJFHSLECJW-UHFFFAOYSA-N 0 1 287.367 0.644 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cc(C2CC2)nn1C ZINC001267197127 791660506 /nfs/dbraw/zinc/66/05/06/791660506.db2.gz HFLXFFZJZLLIEW-UHFFFAOYSA-N 0 1 274.368 0.982 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001267225678 791701598 /nfs/dbraw/zinc/70/15/98/791701598.db2.gz YLLKNIGXZNODOO-ZDUSSCGKSA-N 0 1 276.384 0.670 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H](CCC(C)C)NC(C)=O ZINC001267232805 791713121 /nfs/dbraw/zinc/71/31/21/791713121.db2.gz DSOZIIZBMPTXGZ-AWEZNQCLSA-N 0 1 281.400 0.609 20 30 CCEDMN N#CCN1CC[C@H](Oc2n[nH]c(=O)cc2Cl)C1 ZINC001233586847 806088512 /nfs/dbraw/zinc/08/85/12/806088512.db2.gz JHNZYUXCXATLQV-ZETCQYMHSA-N 0 1 254.677 0.812 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCCC(=O)NC ZINC001233837654 806128033 /nfs/dbraw/zinc/12/80/33/806128033.db2.gz PNPJVQZQZVRZKG-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)Cc1ccnn1C ZINC001234013949 806168763 /nfs/dbraw/zinc/16/87/63/806168763.db2.gz YTDVJAURKMJJMY-AWEZNQCLSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cc1ccnn1C ZINC001234013949 806168765 /nfs/dbraw/zinc/16/87/65/806168765.db2.gz YTDVJAURKMJJMY-AWEZNQCLSA-N 0 1 274.368 0.519 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)Cc1nnc(C)[nH]1 ZINC001234162485 806194710 /nfs/dbraw/zinc/19/47/10/806194710.db2.gz SCWVTAXEMVKBAL-LBPRGKRZSA-N 0 1 277.372 0.764 20 30 CCEDMN C=C1O[C@H](CC)C(=O)C1Oc1cn(C)c(=O)n(C)c1=O ZINC001234190481 806200849 /nfs/dbraw/zinc/20/08/49/806200849.db2.gz XQJYYNIXZZWNRU-MRVPVSSYSA-N 0 1 280.280 0.072 20 30 CCEDMN C[C@@H](O)CN1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234205906 806204137 /nfs/dbraw/zinc/20/41/37/806204137.db2.gz MKGZXLVDYOUXJV-CHWSQXEVSA-N 0 1 266.385 0.949 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@@H]1CCN1[C@H](C)COC ZINC001234246098 806210442 /nfs/dbraw/zinc/21/04/42/806210442.db2.gz IMCATRBQLORYPQ-KGLIPLIRSA-N 0 1 282.384 0.594 20 30 CCEDMN CC(C)NC(=O)C[N@@H+](CCCNC(=O)[C@@H](C)C#N)C(C)C ZINC001267239726 793226690 /nfs/dbraw/zinc/22/66/90/793226690.db2.gz MVWQQBNAYYUWEY-ZDUSSCGKSA-N 0 1 296.415 0.887 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnn(CCOC)c1C ZINC001267241020 793229212 /nfs/dbraw/zinc/22/92/12/793229212.db2.gz IDGCTWLMTNCFQF-UHFFFAOYSA-N 0 1 292.383 0.523 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@]1(F)CCOC1 ZINC001267248486 793239299 /nfs/dbraw/zinc/23/92/99/793239299.db2.gz CUZNESNHLLTMIH-CYBMUJFWSA-N 0 1 256.321 0.576 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCCN(CC)CC#CC ZINC001267250727 793242084 /nfs/dbraw/zinc/24/20/84/793242084.db2.gz MXCKRMIQFWVZAA-HNNXBMFYSA-N 0 1 293.411 0.919 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)NC(=O)COC ZINC001234479735 806259028 /nfs/dbraw/zinc/25/90/28/806259028.db2.gz YXRJAFWBVKMFBX-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](C)NC(=O)CC ZINC001234489171 806259972 /nfs/dbraw/zinc/25/99/72/806259972.db2.gz KLVKKAANBGNNAG-STQMWFEESA-N 0 1 279.384 0.457 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N(C)C[C@@H]1CCN1CC#N ZINC001234642460 806290922 /nfs/dbraw/zinc/29/09/22/806290922.db2.gz JOZYCVZOFDXITI-STQMWFEESA-N 0 1 284.379 0.723 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1ncoc1C ZINC001235003415 806341660 /nfs/dbraw/zinc/34/16/60/806341660.db2.gz ZFKDKTHWCRAYFQ-UHFFFAOYSA-N 0 1 294.355 0.337 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H]1CCNC1=O ZINC001235263685 806399483 /nfs/dbraw/zinc/39/94/83/806399483.db2.gz XNPIAEDCKGLYJT-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CSC(C)C ZINC001278047806 806636494 /nfs/dbraw/zinc/63/64/94/806636494.db2.gz ICDZFRBSGXWIHY-LLVKDONJSA-N 0 1 258.387 0.218 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1csc(C)c1C ZINC001278084755 806653668 /nfs/dbraw/zinc/65/36/68/806653668.db2.gz HAYJNYPXTREDOC-LLVKDONJSA-N 0 1 266.366 0.678 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1CCc2cc(F)ccc21 ZINC001278112542 806680185 /nfs/dbraw/zinc/68/01/85/806680185.db2.gz MJQHHVWDGCJJPX-DZGCQCFKSA-N 0 1 290.338 0.555 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1c(C)cc(C)[nH]c1=O ZINC001278120883 806700637 /nfs/dbraw/zinc/70/06/37/806700637.db2.gz YZBJNMFMIJROCI-LBPRGKRZSA-N 0 1 291.351 0.108 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(Cn2cccn2)c1 ZINC001121850848 799078105 /nfs/dbraw/zinc/07/81/05/799078105.db2.gz FRLZMKUEKSIMMN-UHFFFAOYSA-N 0 1 282.347 0.770 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCCc1ccccc1 ZINC001278148221 806717962 /nfs/dbraw/zinc/71/79/62/806717962.db2.gz SCPBCMPEZPLTGH-HNNXBMFYSA-N 0 1 274.364 0.709 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1c2ccccc2C(=O)N1C ZINC001122090104 799140742 /nfs/dbraw/zinc/14/07/42/799140742.db2.gz RPFIBEJDQFIUOV-ZDUSSCGKSA-N 0 1 271.320 0.152 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@H]1C[C@H]1CCCO1 ZINC001122365016 799213793 /nfs/dbraw/zinc/21/37/93/799213793.db2.gz LWPOMIDOYTYBRT-CHWSQXEVSA-N 0 1 266.341 0.006 20 30 CCEDMN COCCC(=O)NCCNCc1cc(C#N)ccc1F ZINC001122394157 799223117 /nfs/dbraw/zinc/22/31/17/799223117.db2.gz SQBBOVJFPKTULH-UHFFFAOYSA-N 0 1 279.315 0.940 20 30 CCEDMN C#CCN(C)c1nnc(-c2c[nH]nn2)n1C[C@H]1CCCO1 ZINC001122865609 799303740 /nfs/dbraw/zinc/30/37/40/799303740.db2.gz XGDPINCJSCKHLE-SNVBAGLBSA-N 0 1 287.327 0.312 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1CCc2ccccc2C1 ZINC001278165278 806736998 /nfs/dbraw/zinc/73/69/98/806736998.db2.gz UZJPDMUYDMOZOK-HZPDHXFCSA-N 0 1 286.375 0.491 20 30 CCEDMN O=C(CN1CCCC1=O)NCCNCC#Cc1ccccc1 ZINC001123335588 799391386 /nfs/dbraw/zinc/39/13/86/799391386.db2.gz XVUVWSDEYLGRDC-UHFFFAOYSA-N 0 1 299.374 0.366 20 30 CCEDMN C#CCN1CCC(OC(=O)CCc2cnn(C)n2)CC1 ZINC001123348660 799395002 /nfs/dbraw/zinc/39/50/02/799395002.db2.gz FBWHHTSUEUHMEI-UHFFFAOYSA-N 0 1 276.340 0.389 20 30 CCEDMN C=CC(C)(C)NC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001123887925 799495068 /nfs/dbraw/zinc/49/50/68/799495068.db2.gz YBHXVKBNBZVPCO-LBPRGKRZSA-N 0 1 268.405 0.886 20 30 CCEDMN C=CCN1CC[C@@]2(CCC[N@H+](Cc3cnnn3C)C2)C1=O ZINC001238156630 806759629 /nfs/dbraw/zinc/75/96/29/806759629.db2.gz IGRBUXWGDGPWSY-OAHLLOKOSA-N 0 1 289.383 0.816 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN(Cc3cnnn3C)C2)C1=O ZINC001238156630 806759633 /nfs/dbraw/zinc/75/96/33/806759633.db2.gz IGRBUXWGDGPWSY-OAHLLOKOSA-N 0 1 289.383 0.816 20 30 CCEDMN C=CCCC(=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001124636886 799620866 /nfs/dbraw/zinc/62/08/66/799620866.db2.gz ZMJALOFZFXXSON-UHFFFAOYSA-N 0 1 263.345 0.854 20 30 CCEDMN C#CCOc1ccc(CN2C[C@H](CO)[C@H](O)C2)cc1OC ZINC001138343983 799721493 /nfs/dbraw/zinc/72/14/93/799721493.db2.gz UHAMBSQZQPEIOD-ZIAGYGMSSA-N 0 1 291.347 0.492 20 30 CCEDMN C#CCOc1ccc(CN2C[C@@H](O)[C@H](CO)C2)cc1OC ZINC001138343982 799722505 /nfs/dbraw/zinc/72/25/05/799722505.db2.gz UHAMBSQZQPEIOD-UONOGXRCSA-N 0 1 291.347 0.492 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001278203016 806771562 /nfs/dbraw/zinc/77/15/62/806771562.db2.gz XQVZTBLGUWENRW-ZBFHGGJFSA-N 0 1 288.391 0.874 20 30 CCEDMN C=CCN1CCN(Cc2c(C)[nH]c(=O)[nH]c2=O)CC1 ZINC001138466819 799731785 /nfs/dbraw/zinc/73/17/85/799731785.db2.gz AWWDJYRFHWLPRW-UHFFFAOYSA-N 0 1 264.329 0.500 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CN2CCCCC2=O)C1 ZINC001276398282 799797799 /nfs/dbraw/zinc/79/77/99/799797799.db2.gz XELBTUSISCZPAE-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN N#C[C@H]1CN(Cc2cn3c(n2)COCC3)CCC1=O ZINC001141535343 800018263 /nfs/dbraw/zinc/01/82/63/800018263.db2.gz YZDYVXXJQYRFBJ-JTQLQIEISA-N 0 1 260.297 0.328 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2ccon2)C1 ZINC001149457720 800267177 /nfs/dbraw/zinc/26/71/77/800267177.db2.gz JHBULZCNJGOXGK-ZDUSSCGKSA-N 0 1 279.340 0.610 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)Cc2cc[nH]n2)C1 ZINC001149457278 800267229 /nfs/dbraw/zinc/26/72/29/800267229.db2.gz GOUSJZHWLIPECO-ZDUSSCGKSA-N 0 1 278.356 0.345 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2cc[nH]n2)C1 ZINC001149457278 800267232 /nfs/dbraw/zinc/26/72/32/800267232.db2.gz GOUSJZHWLIPECO-ZDUSSCGKSA-N 0 1 278.356 0.345 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)c1cccc2nc(CO)[nH]c21 ZINC001149892924 800305405 /nfs/dbraw/zinc/30/54/05/800305405.db2.gz HBDDULXIRYZTNF-AWEZNQCLSA-N 0 1 288.307 0.714 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C2(COC)CC2)C1 ZINC001149965767 800309274 /nfs/dbraw/zinc/30/92/74/800309274.db2.gz BUYOOLJLLDKUFT-CYBMUJFWSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)[C@H](C)CNC(=O)COC)C1=O ZINC001151506620 800433833 /nfs/dbraw/zinc/43/38/33/800433833.db2.gz AMRSDUHTXMGURM-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCc2nnc(C)n2C1 ZINC001152090625 800488994 /nfs/dbraw/zinc/48/89/94/800488994.db2.gz IVDSRYRUGTUTJR-LLVKDONJSA-N 0 1 297.790 0.607 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccc2c(c1)CNC2=O ZINC001152765502 800551993 /nfs/dbraw/zinc/55/19/93/800551993.db2.gz GYNPBRBLKCDKBT-UHFFFAOYSA-N 0 1 267.248 0.777 20 30 CCEDMN C#Cc1cc(NC(=O)c2cc3c[nH]cnc-3n2)ccn1 ZINC001152984970 800576532 /nfs/dbraw/zinc/57/65/32/800576532.db2.gz DKIYNRAZFIRRDF-UHFFFAOYSA-N 0 1 263.260 0.960 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1cc(C)ncn1 ZINC001153086476 800595442 /nfs/dbraw/zinc/59/54/42/800595442.db2.gz YVIWOFCLJSQTON-UHFFFAOYSA-N 0 1 278.356 0.584 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1snnc1C ZINC001153148758 800601842 /nfs/dbraw/zinc/60/18/42/800601842.db2.gz LBLYSQKSWRVLNL-JTQLQIEISA-N 0 1 284.385 0.643 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1csnn1 ZINC001154505527 800789672 /nfs/dbraw/zinc/78/96/72/800789672.db2.gz QNAHIKQUQSEHBX-LLVKDONJSA-N 0 1 296.396 0.628 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1cnco1 ZINC001154511991 800792188 /nfs/dbraw/zinc/79/21/88/800792188.db2.gz DAXIUAZNQNLCCQ-GFCCVEGCSA-N 0 1 279.340 0.765 20 30 CCEDMN Cc1nnc(CNCCCNC(=O)[C@H](C)C#N)n1C1CC1 ZINC001155829694 801059589 /nfs/dbraw/zinc/05/95/89/801059589.db2.gz YMLJEIVGMYAJQD-SNVBAGLBSA-N 0 1 290.371 0.677 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CCOCC(F)F)C[C@H]1C ZINC001206660086 801117871 /nfs/dbraw/zinc/11/78/71/801117871.db2.gz WOHARIIAKLXTBZ-GMTAPVOTSA-N 0 1 289.326 0.864 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)c1c[nH]c(C)cc1=O ZINC001156188714 801125546 /nfs/dbraw/zinc/12/55/46/801125546.db2.gz IRXKCDWOOYPJAV-GFCCVEGCSA-N 0 1 275.352 0.757 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)c1c[nH]c(C)cc1=O ZINC001156188714 801125551 /nfs/dbraw/zinc/12/55/51/801125551.db2.gz IRXKCDWOOYPJAV-GFCCVEGCSA-N 0 1 275.352 0.757 20 30 CCEDMN N#CNC(=Nc1cncc(-n2cncn2)n1)c1ccncc1 ZINC001156326787 801151244 /nfs/dbraw/zinc/15/12/44/801151244.db2.gz YILJBDXBDCKECN-UHFFFAOYSA-N 0 1 291.278 0.601 20 30 CCEDMN C#CCCCC(=O)NCCCN[C@H](C)c1nncn1C ZINC001157170643 801317856 /nfs/dbraw/zinc/31/78/56/801317856.db2.gz ORZCRJIWWXRPMG-GFCCVEGCSA-N 0 1 277.372 0.776 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1ncccn1 ZINC001157190043 801321410 /nfs/dbraw/zinc/32/14/10/801321410.db2.gz YIOVGGZZLPQJFI-CQSZACIVSA-N 0 1 278.356 0.400 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1nnc(C)[nH]1 ZINC001157201230 801323853 /nfs/dbraw/zinc/32/38/53/801323853.db2.gz CTXNIWDTSZKCKJ-CYBMUJFWSA-N 0 1 281.360 0.036 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCOC1 ZINC001157648443 801445203 /nfs/dbraw/zinc/44/52/03/801445203.db2.gz JHGYWGUEOKYCBL-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)CCCCc1cn[nH]n1 ZINC001157759528 801473919 /nfs/dbraw/zinc/47/39/19/801473919.db2.gz BAQXYOHVVYDKNW-GFCCVEGCSA-N 0 1 266.345 0.961 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CC(=O)NC ZINC001157865045 801506295 /nfs/dbraw/zinc/50/62/95/801506295.db2.gz KMJWSCRUMYIRKB-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC2(C[C@H]2CCO)CC1 ZINC001158111950 801573622 /nfs/dbraw/zinc/57/36/22/801573622.db2.gz SZNOWQSQJKQHHZ-YPMHNXCESA-N 0 1 250.342 0.348 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC2(C[C@@H]2CCO)CC1 ZINC001158111948 801573829 /nfs/dbraw/zinc/57/38/29/801573829.db2.gz SZNOWQSQJKQHHZ-AAEUAGOBSA-N 0 1 250.342 0.348 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cnn(C)n1 ZINC001158598035 801670326 /nfs/dbraw/zinc/67/03/26/801670326.db2.gz PJGBJDROVNHEKQ-GFCCVEGCSA-N 0 1 275.356 0.375 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)COCC)CC1 ZINC001159143697 801764707 /nfs/dbraw/zinc/76/47/07/801764707.db2.gz ATLNCZCAGNYWJX-UHFFFAOYSA-N 0 1 250.342 0.795 20 30 CCEDMN CCOC(=O)[C@H](C#N)Nc1nc(Cl)nc2c1CNCC2 ZINC001159323576 801791252 /nfs/dbraw/zinc/79/12/52/801791252.db2.gz LJENDVFJFPTSER-VIFPVBQESA-N 0 1 295.730 0.643 20 30 CCEDMN C=CCCC(=O)NCCC1=CCN([C@@H]2CCNC2=O)CC1 ZINC001159543828 801832536 /nfs/dbraw/zinc/83/25/36/801832536.db2.gz BSMISQWJLJWPFO-CQSZACIVSA-N 0 1 291.395 0.980 20 30 CCEDMN C[C@H](C#N)C(=O)NCCC1=CCN([C@@H](C)C(N)=O)CC1 ZINC001159586881 801835882 /nfs/dbraw/zinc/83/58/82/801835882.db2.gz DRMJIAZDSCURBV-MNOVXSKESA-N 0 1 278.356 0.158 20 30 CCEDMN CC[C@H](C(N)=O)N1CC=C(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001159595129 801837855 /nfs/dbraw/zinc/83/78/55/801837855.db2.gz VNVQVYOJMCGZCH-WCQYABFASA-N 0 1 292.383 0.548 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CCn2ccnn2)CC1 ZINC001159945054 801894886 /nfs/dbraw/zinc/89/48/86/801894886.db2.gz IDGNYQVDEIIUQK-UHFFFAOYSA-N 0 1 287.367 0.440 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(CCc2ccccn2)CC1 ZINC001160483223 801949188 /nfs/dbraw/zinc/94/91/88/801949188.db2.gz RFINJLRYJYDQOL-INIZCTEOSA-N 0 1 286.379 0.119 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2c[nH]c(=O)n2C)CC1 ZINC001160867432 802034392 /nfs/dbraw/zinc/03/43/92/802034392.db2.gz FSCJKURRUGGVBF-UHFFFAOYSA-N 0 1 288.351 0.511 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2CCN(C)C2=O)CC1 ZINC001160961201 802053822 /nfs/dbraw/zinc/05/38/22/802053822.db2.gz MRXWAHVMULGNDA-CQSZACIVSA-N 0 1 289.379 0.236 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCCN(c2cccnc2)CC1 ZINC001161239480 802115421 /nfs/dbraw/zinc/11/54/21/802115421.db2.gz MJBSXIKSAUPESZ-HNNXBMFYSA-N 0 1 272.352 0.471 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@@H]2CC[C@@H]([S@@](C)=O)C2)CC1 ZINC001161877728 802237206 /nfs/dbraw/zinc/23/72/06/802237206.db2.gz MTOVSZGEOIAEOL-ARGWCVDVSA-N 0 1 296.436 0.747 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)COCCOCC)CC1 ZINC001161959541 802259799 /nfs/dbraw/zinc/25/97/99/802259799.db2.gz WAOUZBXCHDDMKE-UHFFFAOYSA-N 0 1 294.395 0.811 20 30 CCEDMN Cc1nc(C#N)cnc1NS(=O)(=O)C1CCOCC1 ZINC001259908375 808798039 /nfs/dbraw/zinc/79/80/39/808798039.db2.gz KOKQENZIDUOGKM-UHFFFAOYSA-N 0 1 282.325 0.577 20 30 CCEDMN Cc1nnc(CN)n1-c1ccc2[nH]c(C#N)cc2n1 ZINC001163351225 802544525 /nfs/dbraw/zinc/54/45/25/802544525.db2.gz MZOCZNSXQNUURW-UHFFFAOYSA-N 0 1 253.269 0.782 20 30 CCEDMN CCOC(=O)c1cn(-c2cc(CC#N)ccn2)nc1CN ZINC001163523995 802567703 /nfs/dbraw/zinc/56/77/03/802567703.db2.gz GOXGDFFCAOIQTC-UHFFFAOYSA-N 0 1 285.307 0.969 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001164222482 802690411 /nfs/dbraw/zinc/69/04/11/802690411.db2.gz LTXGMCCPSVJHDU-GHMZBOCLSA-N 0 1 295.387 0.163 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCCOC)[C@H](OC)C1 ZINC001211934999 814934534 /nfs/dbraw/zinc/93/45/34/814934534.db2.gz KLUNCVNYAVXHHE-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NCCCNCc1nnnn1C ZINC001164346902 802726972 /nfs/dbraw/zinc/72/69/72/802726972.db2.gz XWGUPUCTPJPSND-LLVKDONJSA-N 0 1 280.376 0.408 20 30 CCEDMN N#Cc1c2c(cnc1N1CCNC(=O)C13CNC3)CCCC2 ZINC001165300433 802819015 /nfs/dbraw/zinc/81/90/15/802819015.db2.gz BGDTXGIFYRYHKU-UHFFFAOYSA-N 0 1 297.362 0.110 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1cc(C#N)cc(C)n1 ZINC001165442314 802844961 /nfs/dbraw/zinc/84/49/61/802844961.db2.gz JMOOOQOLFCXVQB-LLVKDONJSA-N 0 1 260.297 0.213 20 30 CCEDMN COCc1nn(-c2cc(OC)cc(C#N)n2)c2c1CNC2 ZINC001165633419 802858617 /nfs/dbraw/zinc/85/86/17/802858617.db2.gz RMOOXGFFEYZURH-UHFFFAOYSA-N 0 1 285.307 0.897 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)c3cc[nH]c3)C2)nn1 ZINC001105246533 811872333 /nfs/dbraw/zinc/87/23/33/811872333.db2.gz AIEZQVUZDMRJFZ-UHFFFAOYSA-N 0 1 298.350 0.416 20 30 CCEDMN Cc1nc(C#N)cnc1N1CC[C@@H](N)C(F)(F)C1 ZINC001166958759 802994145 /nfs/dbraw/zinc/99/41/45/802994145.db2.gz IKSXRNWIUCVARF-SECBINFHSA-N 0 1 253.256 0.829 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)Cc2cncn2C)C1 ZINC001276453572 803074811 /nfs/dbraw/zinc/07/48/11/803074811.db2.gz NFHYINMLBRKCAW-NSHDSACASA-N 0 1 262.357 0.585 20 30 CCEDMN COC[C@@H](O)CN1CC(CNC(=O)C#CC(C)(C)C)C1 ZINC001268825352 813105445 /nfs/dbraw/zinc/10/54/45/813105445.db2.gz PUNIOOCIYUFDCM-ZDUSSCGKSA-N 0 1 282.384 0.091 20 30 CCEDMN Cc1nc(CN2C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001206659000 803276829 /nfs/dbraw/zinc/27/68/29/803276829.db2.gz AHLRZFRAYDRNRP-IQJOONFLSA-N 0 1 276.344 0.209 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)C3(N(C)C)CC3)C2)C1=O ZINC001270727776 813909285 /nfs/dbraw/zinc/90/92/85/813909285.db2.gz HYIMNOAVBFBVOF-HNNXBMFYSA-N 0 1 289.379 0.165 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](OC)C2CC2)C1 ZINC001206925638 803308914 /nfs/dbraw/zinc/30/89/14/803308914.db2.gz HYJBJAVAEZFOAD-RTXFEEFZSA-N 0 1 250.342 0.481 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(CC)CCNC2=O)C1 ZINC001207617701 803425795 /nfs/dbraw/zinc/42/57/95/803425795.db2.gz WQCNCFOVZIKWFY-IOASZLSFSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCOC[C@@H]2CCCO2)C1 ZINC001207826305 803454777 /nfs/dbraw/zinc/45/47/77/803454777.db2.gz KVDJTDOESGBQTK-QLFBSQMISA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN([C@H](C)C(=O)NC)C[C@H]1C ZINC001208458590 803515283 /nfs/dbraw/zinc/51/52/83/803515283.db2.gz PNFDOYAYWMCTPV-JHJVBQTASA-N 0 1 281.400 0.914 20 30 CCEDMN CC1(C)CN(CC#N)C[C@H]1NC(=O)CCc1c[nH]nn1 ZINC001276606864 803563247 /nfs/dbraw/zinc/56/32/47/803563247.db2.gz CBYWZQODDOXAJG-LLVKDONJSA-N 0 1 276.344 0.087 20 30 CCEDMN CC1(C)CN(CC#N)C[C@H]1NC(=O)CCc1cnn[nH]1 ZINC001276606864 803563253 /nfs/dbraw/zinc/56/32/53/803563253.db2.gz CBYWZQODDOXAJG-LLVKDONJSA-N 0 1 276.344 0.087 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccoc2CC)[C@@H](O)C1 ZINC001083437472 814947073 /nfs/dbraw/zinc/94/70/73/814947073.db2.gz SCFGKOFXKVAXNA-OLZOCXBDSA-N 0 1 276.336 0.640 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)NC(=O)NCC)C1 ZINC001209099192 803574046 /nfs/dbraw/zinc/57/40/46/803574046.db2.gz RVTATRJNPGIMNF-VXGBXAGGSA-N 0 1 296.415 0.707 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncc3[nH]cnc32)[C@H]1C ZINC001088581502 814952810 /nfs/dbraw/zinc/95/28/10/814952810.db2.gz VLTHZFZETYZCPL-PWSUYJOCSA-N 0 1 283.335 0.784 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCF)[C@H](OC)C1 ZINC001213280413 803689339 /nfs/dbraw/zinc/68/93/39/803689339.db2.gz LTJKDGWTZOQVAZ-VXGBXAGGSA-N 0 1 256.321 0.575 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccc3n[nH]nc32)[C@H]1C ZINC001088588203 814955807 /nfs/dbraw/zinc/95/58/07/814955807.db2.gz VFSSQCOWSNXUFX-PWSUYJOCSA-N 0 1 283.335 0.784 20 30 CCEDMN C=CCOCCN1C[C@@H](C)[C@H](NC(=O)c2nonc2C)C1 ZINC001211458685 803683181 /nfs/dbraw/zinc/68/31/81/803683181.db2.gz NWDQPCQMQOEHOA-ZYHUDNBSSA-N 0 1 294.355 0.631 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1OC ZINC001213269191 803687859 /nfs/dbraw/zinc/68/78/59/803687859.db2.gz DFOKBNDDZMFLBD-RBSFLKMASA-N 0 1 296.411 0.766 20 30 CCEDMN CCCCCCCN1C[C@@H](NC(=O)C(N)=O)[C@H](OC)C1 ZINC001213352995 803691384 /nfs/dbraw/zinc/69/13/84/803691384.db2.gz MONIDJIIYVAAOM-VXGBXAGGSA-N 0 1 285.388 0.258 20 30 CCEDMN CS(=O)(=O)CCNCc1ccc(C#N)c(F)c1 ZINC000404142918 803847583 /nfs/dbraw/zinc/84/75/83/803847583.db2.gz MQSCEUOCEFMMKU-UHFFFAOYSA-N 0 1 256.302 0.832 20 30 CCEDMN C=CCOCC[N@H+](C)CCN(C)C(=O)[C@H]1CCC(=O)N1C ZINC001272093385 814973367 /nfs/dbraw/zinc/97/33/67/814973367.db2.gz PSKAVDMYOWKLBG-CYBMUJFWSA-N 0 1 297.399 0.200 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CCSC)[C@H]2C1 ZINC001217260774 803880409 /nfs/dbraw/zinc/88/04/09/803880409.db2.gz HLNKUXBMBUGDMK-QWHCGFSZSA-N 0 1 282.409 0.674 20 30 CCEDMN C=CCOCC(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001217537527 803894638 /nfs/dbraw/zinc/89/46/38/803894638.db2.gz GNIKMACBQOXPOE-NWDGAFQWSA-N 0 1 254.330 0.121 20 30 CCEDMN Cn1ccnc1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001218497851 803964579 /nfs/dbraw/zinc/96/45/79/803964579.db2.gz OHTOTQWTGXGJHD-LBPRGKRZSA-N 0 1 269.308 0.800 20 30 CCEDMN COc1cc(NC(=O)[C@@H](N)Cc2ccccc2C#N)ncn1 ZINC001218534742 803991202 /nfs/dbraw/zinc/99/12/02/803991202.db2.gz CSGLDBLDHIWYTA-LBPRGKRZSA-N 0 1 297.318 0.865 20 30 CCEDMN Cc1cc(C#N)c(NC(=O)[C@@H](N)CC[S@@](C)=O)s1 ZINC001218553380 804001410 /nfs/dbraw/zinc/00/14/10/804001410.db2.gz BOVALPAFYANRIJ-NIVTXAMTSA-N 0 1 285.394 0.963 20 30 CCEDMN Cc1nc(NC(=O)[C@@H](N)Cc2ccccc2C#N)cc(=O)[nH]1 ZINC001218565314 804011839 /nfs/dbraw/zinc/01/18/39/804011839.db2.gz SSZHHAUPWWYREH-LBPRGKRZSA-N 0 1 297.318 0.871 20 30 CCEDMN N#Cc1nc[nH]c1NC(=O)[C@@H]1Cc2ccccc2CN1 ZINC001218594451 804026735 /nfs/dbraw/zinc/02/67/35/804026735.db2.gz JOVBEMKTYOXIBZ-NSHDSACASA-N 0 1 267.292 0.934 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)C(=O)C(C)(C)C)[C@H](OC)C1 ZINC001212057993 814985916 /nfs/dbraw/zinc/98/59/16/814985916.db2.gz QWMAMUIDWGKJER-VXGBXAGGSA-N 0 1 282.384 0.993 20 30 CCEDMN N#Cc1cccc2[nH]nc(NC(=O)C[C@@H]3COCCN3)c21 ZINC001218656353 804065809 /nfs/dbraw/zinc/06/58/09/804065809.db2.gz GNUJVKILAIPLLM-SNVBAGLBSA-N 0 1 285.307 0.752 20 30 CCEDMN Cn1cc(NC(=O)[C@@H]2C[C@@H](F)CCN2)c(C#N)n1 ZINC001218667459 804071804 /nfs/dbraw/zinc/07/18/04/804071804.db2.gz HETFJZPUVRMKEA-YUMQZZPRSA-N 0 1 251.265 0.320 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc3c([nH]2)CCOC3)[C@H]1C ZINC001088615277 814997852 /nfs/dbraw/zinc/99/78/52/814997852.db2.gz GVRNONXAQMCRBQ-YPMHNXCESA-N 0 1 287.363 0.913 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC[C@H]2CCOC2)[C@@H](O)C1 ZINC001219450767 804320975 /nfs/dbraw/zinc/32/09/75/804320975.db2.gz YUCAOBGKQOXPTC-MJBXVCDLSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](O)C1 ZINC001219467980 804324941 /nfs/dbraw/zinc/32/49/41/804324941.db2.gz VXKQTCSWMSZVEH-MNOVXSKESA-N 0 1 294.355 0.165 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)/C=C/c2ccc[nH]2)[C@@H](O)C1 ZINC001219519807 804336095 /nfs/dbraw/zinc/33/60/95/804336095.db2.gz ZIZXFEKVGLZCQN-IHDARNGBSA-N 0 1 275.352 0.765 20 30 CCEDMN C[S@@](=O)CC[C@H](N)C(=O)Nc1ccc(F)c(CC#N)c1 ZINC001219518588 804336928 /nfs/dbraw/zinc/33/69/28/804336928.db2.gz QRRWXCVANGEVQF-FKIZINRSSA-N 0 1 297.355 0.926 20 30 CCEDMN CC[C@H](CN1C[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C1)OC ZINC001219587518 804361670 /nfs/dbraw/zinc/36/16/70/804361670.db2.gz JKMIVNROEOTPIP-MCIONIFRSA-N 0 1 282.384 0.232 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(C[C@H]2CCCCO2)C[C@@H]1O ZINC001219594912 804365004 /nfs/dbraw/zinc/36/50/04/804365004.db2.gz ZRLQJGNCGGZJRO-KFWWJZLASA-N 0 1 292.379 0.130 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(CCCOC)C[C@@H]2O)CCC1 ZINC001219782006 804424359 /nfs/dbraw/zinc/42/43/59/804424359.db2.gz JMDHUONHAWIBLC-KGLIPLIRSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H](C)C2CCC2)[C@@H](O)C1 ZINC001219862025 804433431 /nfs/dbraw/zinc/43/34/31/804433431.db2.gz AIKGMTMWAQUBEU-CFVMTHIKSA-N 0 1 296.411 0.787 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC1(N2CCOCC2)CCCCC1 ZINC001219940622 804453491 /nfs/dbraw/zinc/45/34/91/804453491.db2.gz AEZCPTIOYMBXQT-HNNXBMFYSA-N 0 1 293.411 0.488 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(C)(C)COC)[C@@H](O)C1 ZINC001220057959 804489280 /nfs/dbraw/zinc/48/92/80/804489280.db2.gz NFFMETOKDWTOLM-OLZOCXBDSA-N 0 1 282.384 0.234 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001220076320 804496289 /nfs/dbraw/zinc/49/62/89/804496289.db2.gz WLYCVROCBFYBQR-MBNYWOFBSA-N 0 1 270.373 0.396 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)C(F)(F)F)[C@@H](O)C1 ZINC001220158429 804520029 /nfs/dbraw/zinc/52/00/29/804520029.db2.gz VINSOZCKAVJXKK-BBBLOLIVSA-N 0 1 280.290 0.922 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NC[C@H]1C[C@@H](O)C1 ZINC001220191390 804532553 /nfs/dbraw/zinc/53/25/53/804532553.db2.gz GSZHTSVTKSBYDI-GDLCADMTSA-N 0 1 273.336 0.315 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(CCOCC)C[C@@H]2O)CC1 ZINC001220280917 804560605 /nfs/dbraw/zinc/56/06/05/804560605.db2.gz YOMOQBIQVZGHRA-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN C[C@@H](N)C(=O)NC1=C(Cl)C(=O)c2ccccc2C1=O ZINC001220284136 804561096 /nfs/dbraw/zinc/56/10/96/804561096.db2.gz HBWHYOYFICXCMB-ZCFIWIBFSA-N 0 1 278.695 0.979 20 30 CCEDMN C#C[C@](C)(CC)NC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001220382905 804589876 /nfs/dbraw/zinc/58/98/76/804589876.db2.gz CCBKWGUTIRSOQZ-CYBMUJFWSA-N 0 1 290.327 0.620 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@@H]1O ZINC001220434409 804603911 /nfs/dbraw/zinc/60/39/11/804603911.db2.gz IUQMJAVPDROHOH-UPJWGTAASA-N 0 1 284.400 0.785 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)[C@@H](N)Cc1ccsc1 ZINC001220498905 804615575 /nfs/dbraw/zinc/61/55/75/804615575.db2.gz OGRDOGINRYWJNP-VHSXEESVSA-N 0 1 281.337 0.189 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)[C@H](N)c1ccccc1 ZINC001220499379 804617136 /nfs/dbraw/zinc/61/71/36/804617136.db2.gz XGQMEPHAAKXGBK-GHMZBOCLSA-N 0 1 261.281 0.258 20 30 CCEDMN C=CCCCCCN1C[C@@H](NC(=O)c2cnon2)[C@@H](O)C1 ZINC001220583431 804630589 /nfs/dbraw/zinc/63/05/89/804630589.db2.gz XOSVHLHELSATTE-OLZOCXBDSA-N 0 1 294.355 0.591 20 30 CCEDMN COC(=O)c1ccc(C(N)=NC(=O)[C@@H]2NC[C@H]3C[C@H]32)cc1 ZINC001220579201 804630778 /nfs/dbraw/zinc/63/07/78/804630778.db2.gz IRJDXSXVJDNHCT-IJLUTSLNSA-N 0 1 287.319 0.313 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC(C)(C)C)[C@@H](O)C1 ZINC001220585894 804632119 /nfs/dbraw/zinc/63/21/19/804632119.db2.gz BWFPDAHCRWTQEQ-OLZOCXBDSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCC[C@@H](NC(=O)N[C@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC000315892178 804657141 /nfs/dbraw/zinc/65/71/41/804657141.db2.gz LONLNNCJWBYPMK-CMPLNLGQSA-N 0 1 281.356 0.942 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H]1CCCCN1C(N)=O ZINC001272151813 815030600 /nfs/dbraw/zinc/03/06/00/815030600.db2.gz DJJDKRXHBKIYRU-CYBMUJFWSA-N 0 1 294.399 0.333 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H]1CCN(c2ccccn2)C1 ZINC001220933864 804701748 /nfs/dbraw/zinc/70/17/48/804701748.db2.gz CWJCZQIMMKWEKO-RISCZKNCSA-N 0 1 258.325 0.127 20 30 CCEDMN CC(C)C[C@@](C)(C#N)NC(=O)C[C@@H]1COCCN1 ZINC001221292339 804767885 /nfs/dbraw/zinc/76/78/85/804767885.db2.gz JXRHPFJCIQTVAO-YPMHNXCESA-N 0 1 253.346 0.809 20 30 CCEDMN CC[C@@H](CO)NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001221380604 804795292 /nfs/dbraw/zinc/79/52/92/804795292.db2.gz UDNDRSSUIWMJQY-STQMWFEESA-N 0 1 261.325 0.315 20 30 CCEDMN C#CCCCC(=O)N1C[C@H]2CCN(CCOCCO)C[C@H]21 ZINC001221512485 804825653 /nfs/dbraw/zinc/82/56/53/804825653.db2.gz CIXVARWBXBUTRO-HUUCEWRRSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(CNC(=O)CCC)CC1 ZINC001222264186 804887881 /nfs/dbraw/zinc/88/78/81/804887881.db2.gz ZXHVQFBTEOZZQP-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN CCN(C)C(=O)CN1CCC(CNC(=O)[C@@H](C)C#N)CC1 ZINC001222637184 804922379 /nfs/dbraw/zinc/92/23/79/804922379.db2.gz XUUGSJQLEFSLIX-LBPRGKRZSA-N 0 1 294.399 0.453 20 30 CCEDMN N#Cc1cc[nH]c1CN1CC2(CN(CCn3ccnc3)C2)C1 ZINC001276840459 804935431 /nfs/dbraw/zinc/93/54/31/804935431.db2.gz OWQFJNDHDMQXSY-UHFFFAOYSA-N 0 1 296.378 0.901 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CC1(O)CCC1 ZINC001276841399 804935499 /nfs/dbraw/zinc/93/54/99/804935499.db2.gz CSBARUIWRYDKQK-GFCCVEGCSA-N 0 1 250.342 0.505 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)C3(C(=O)NC)CC3)C[C@@H]21 ZINC001223674353 804984224 /nfs/dbraw/zinc/98/42/24/804984224.db2.gz CWXFOHBOTDFYGO-OLZOCXBDSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C3(C(=O)NC)CC3)C[C@@H]21 ZINC001223674353 804984229 /nfs/dbraw/zinc/98/42/29/804984229.db2.gz CWXFOHBOTDFYGO-OLZOCXBDSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnc4n[nH]cc4c3)[C@@H]2C1 ZINC001075932357 815047929 /nfs/dbraw/zinc/04/79/29/815047929.db2.gz XLGOTOWEUBOZRW-SMDDNHRTSA-N 0 1 295.346 0.737 20 30 CCEDMN C=C(CO[C@H]1C[C@@H]2CC(=O)C[C@H]1N2C)C(=O)OCC ZINC001224351063 805030424 /nfs/dbraw/zinc/03/04/24/805030424.db2.gz BDSIEMNNSLFVHG-UHTWSYAYSA-N 0 1 267.325 0.927 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)CCC2CCC2)CC1 ZINC001277028056 805115716 /nfs/dbraw/zinc/11/57/16/805115716.db2.gz MTXSLFIFKZHGRB-UHFFFAOYSA-N 0 1 291.395 0.555 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCn3ccnc3C2)[C@H]1C ZINC001088679880 815056629 /nfs/dbraw/zinc/05/66/29/815056629.db2.gz ZVJZSQJTEKXKGO-MCIONIFRSA-N 0 1 286.379 0.658 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(=O)C(F)F)CC1 ZINC001225740477 805157314 /nfs/dbraw/zinc/15/73/14/805157314.db2.gz NJTWGTLMOHDUDW-SECBINFHSA-N 0 1 289.326 0.523 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C(C)(F)F)CC1 ZINC001225795748 805164112 /nfs/dbraw/zinc/16/41/12/805164112.db2.gz QVFLOJKQMXFZAD-UHFFFAOYSA-N 0 1 274.311 0.872 20 30 CCEDMN C[N@H+]1[C@@H]2C[C@@H](Oc3[n-]c(=O)c(F)cc3C#N)[C@H]1CC(=O)C2 ZINC001226014687 805185957 /nfs/dbraw/zinc/18/59/57/805185957.db2.gz ZCILZKNJGQRQSI-XXILOJSOSA-N 0 1 291.282 0.981 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCn3nccc32)[C@H]1C ZINC001088676650 815055488 /nfs/dbraw/zinc/05/54/88/815055488.db2.gz NKKWCMPHPAFHGL-MCIONIFRSA-N 0 1 286.379 0.973 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1ncncc1C(=O)OC ZINC001226147547 805197842 /nfs/dbraw/zinc/19/78/42/805197842.db2.gz QXSVQHPYKOCTQO-LURJTMIESA-N 0 1 264.237 0.861 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C2=COCCC2)CC1 ZINC001226471345 805237191 /nfs/dbraw/zinc/23/71/91/805237191.db2.gz MOZQYXILJFRPTO-UHFFFAOYSA-N 0 1 292.379 0.911 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCN([C@@H](C)C(=O)N(C)C)CC1 ZINC001226640933 805259144 /nfs/dbraw/zinc/25/91/44/805259144.db2.gz RMTVDYGTKSKYQG-QWRGUYRKSA-N 0 1 280.372 0.203 20 30 CCEDMN C#CCCCCC(=O)NC1CCN([C@H](C)C(=O)NC)CC1 ZINC001226951951 805299534 /nfs/dbraw/zinc/29/95/34/805299534.db2.gz OVGNJDNVIOWLJD-CYBMUJFWSA-N 0 1 293.411 0.895 20 30 CCEDMN CC(C)C#CC(=O)NC1CCN(CCn2cncn2)CC1 ZINC001227265120 805339276 /nfs/dbraw/zinc/33/92/76/805339276.db2.gz DULGFHXYFLQARZ-UHFFFAOYSA-N 0 1 289.383 0.518 20 30 CCEDMN CCc1nc(CNC(=O)NCC2(CC#N)CC2)n[nH]1 ZINC001227509471 805358722 /nfs/dbraw/zinc/35/87/22/805358722.db2.gz FERZKXBTZQKWNO-UHFFFAOYSA-N 0 1 262.317 0.860 20 30 CCEDMN C=C(C)C(=O)OC[C@@H](C)Oc1nc(O)cc(=O)[nH]1 ZINC001228388498 805442728 /nfs/dbraw/zinc/44/27/28/805442728.db2.gz JPXKMVNKHHCQOA-SSDOTTSWSA-N 0 1 254.242 0.774 20 30 CCEDMN CC[C@H](F)C(=O)NC1CCN(CC#CCOC)CC1 ZINC001228651291 805462652 /nfs/dbraw/zinc/46/26/52/805462652.db2.gz CAOGHHWIDYSRIA-ZDUSSCGKSA-N 0 1 270.348 0.965 20 30 CCEDMN N#CCN[C@@H]1CCCC[C@H]1NC(=O)CCc1c[nH]nn1 ZINC001228669930 805467110 /nfs/dbraw/zinc/46/71/10/805467110.db2.gz XUZDPYSULCKDRT-VXGBXAGGSA-N 0 1 276.344 0.278 20 30 CCEDMN N#CCN[C@@H]1CCCC[C@H]1NC(=O)CCc1cnn[nH]1 ZINC001228669930 805467112 /nfs/dbraw/zinc/46/71/12/805467112.db2.gz XUZDPYSULCKDRT-VXGBXAGGSA-N 0 1 276.344 0.278 20 30 CCEDMN COCC#CCN1CCC(NC(=O)Cc2cnoc2)CC1 ZINC001228801787 805481278 /nfs/dbraw/zinc/48/12/78/805481278.db2.gz YFMYKAKGJPFQMX-UHFFFAOYSA-N 0 1 291.351 0.448 20 30 CCEDMN N#CC1(NC(=O)[C@@]23C[C@@H]2CN(Cc2cn[nH]c2)C3)CCC1 ZINC001277358084 805505862 /nfs/dbraw/zinc/50/58/62/805505862.db2.gz JOTLKYLEMROWDE-IUODEOHRSA-N 0 1 285.351 0.794 20 30 CCEDMN C[C@]1(NC(=O)C(N)=O)CCN(Cc2ccc(C#N)cc2)C1 ZINC001278419794 807098805 /nfs/dbraw/zinc/09/88/05/807098805.db2.gz GOCKPRHPUACGGG-HNNXBMFYSA-N 0 1 286.335 0.124 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CCCN(CCOCCO)[C@@H]1C ZINC001278511511 807535763 /nfs/dbraw/zinc/53/57/63/807535763.db2.gz WKNPWDLJWUQLAR-CABCVRRESA-N 0 1 296.411 0.624 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCc2cn[nH]c2)[C@H]1C ZINC001278522321 807563864 /nfs/dbraw/zinc/56/38/64/807563864.db2.gz WNOAUNGIAXWJMZ-OCCSQVGLSA-N 0 1 274.368 0.945 20 30 CCEDMN CN(C)CCNC(=S)N/C=C/C(=O)C(F)(F)F ZINC001249849080 807577313 /nfs/dbraw/zinc/57/73/13/807577313.db2.gz QSKLKQTZSXSXOC-ONEGZZNKSA-N 0 1 269.292 0.657 20 30 CCEDMN Cc1cc(C#N)cc(C#N)c1NS(=O)(=O)N(C)C ZINC001251087145 807662623 /nfs/dbraw/zinc/66/26/23/807662623.db2.gz JGJFPKMFPJHOTJ-UHFFFAOYSA-N 0 1 264.310 0.957 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cn(CC2CCC2)cn1 ZINC001251899911 807741303 /nfs/dbraw/zinc/74/13/03/807741303.db2.gz FJMINBMPDSACKJ-HNNXBMFYSA-N 0 1 277.368 0.784 20 30 CCEDMN Cc1cc(NC(=O)CNCCNC(=O)C#CC(C)C)no1 ZINC001126833747 815146836 /nfs/dbraw/zinc/14/68/36/815146836.db2.gz CEOPINWSFCJOAX-UHFFFAOYSA-N 0 1 292.339 0.287 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cn(C)cn2)C1 ZINC001278653102 807799462 /nfs/dbraw/zinc/79/94/62/807799462.db2.gz IUMXWFRIFZPGHX-OAHLLOKOSA-N 0 1 274.368 0.885 20 30 CCEDMN C=CCOC[C@@H](O)CN1Cc2cn(C)nc2[C@@H](COC)C1 ZINC001252463175 807875210 /nfs/dbraw/zinc/87/52/10/807875210.db2.gz MCIIMRNVZFBCFN-KGLIPLIRSA-N 0 1 295.383 0.529 20 30 CCEDMN C=CCOC[C@@H](O)CN1CC2(C1)CCN(C(C)=O)C2 ZINC001252466109 807878152 /nfs/dbraw/zinc/87/81/52/807878152.db2.gz MINLGWJZEVKDER-ZDUSSCGKSA-N 0 1 268.357 0.104 20 30 CCEDMN C=CCOC[C@@H](O)CN1Cc2ccnn2CC[C@@H]1CO ZINC001252474140 807885671 /nfs/dbraw/zinc/88/56/71/807885671.db2.gz VHFGHMOLCNHODO-KGLIPLIRSA-N 0 1 281.356 0.013 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cc(OC2COC2)ccn1 ZINC001252487752 807889850 /nfs/dbraw/zinc/88/98/50/807889850.db2.gz ZDOMMOXQZPHKGE-CYBMUJFWSA-N 0 1 294.351 0.512 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cc(OC2COC2)ccn1 ZINC001252487753 807890014 /nfs/dbraw/zinc/89/00/14/807890014.db2.gz ZDOMMOXQZPHKGE-ZDUSSCGKSA-N 0 1 294.351 0.512 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(c2nc(C)cc(OC)n2)CC1 ZINC001252556206 807909241 /nfs/dbraw/zinc/90/92/41/807909241.db2.gz DZINPWXQEKWBJY-OAHLLOKOSA-N 0 1 292.383 0.853 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)C2)C1 ZINC001253538236 808079287 /nfs/dbraw/zinc/07/92/87/808079287.db2.gz CFZOBPUKWFELEM-ITGUQSILSA-N 0 1 260.337 0.046 20 30 CCEDMN CC(C)[C@@H](O)CN1CCN(c2nccnc2C#N)CC1 ZINC001253766322 808135915 /nfs/dbraw/zinc/13/59/15/808135915.db2.gz RDQMCRBFJHIPKC-ZDUSSCGKSA-N 0 1 275.356 0.487 20 30 CCEDMN CCc1nc([C@H](C)NCCNC(=O)C#CC2CC2)n[nH]1 ZINC001126880800 815180744 /nfs/dbraw/zinc/18/07/44/815180744.db2.gz AJEVJKFMGSZFSI-JTQLQIEISA-N 0 1 275.356 0.547 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CC2(C)CC2)C1 ZINC001278791734 808257331 /nfs/dbraw/zinc/25/73/31/808257331.db2.gz SFFKNZKKXJQGNK-AWEZNQCLSA-N 0 1 250.342 0.363 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2coc(CCC)n2)C1 ZINC001278815327 808281128 /nfs/dbraw/zinc/28/11/28/808281128.db2.gz BJWRJAGSVORSEX-HNNXBMFYSA-N 0 1 291.351 0.427 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001278816103 808282923 /nfs/dbraw/zinc/28/29/23/808282923.db2.gz NIWPMMNQJUPYSQ-INIZCTEOSA-N 0 1 287.363 0.303 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C(C)(CC)CC)C1 ZINC001278826962 808298720 /nfs/dbraw/zinc/29/87/20/808298720.db2.gz CGWNCFQQZACVKZ-HNNXBMFYSA-N 0 1 266.385 0.999 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C[C@@H](C)CC ZINC001278855484 808337946 /nfs/dbraw/zinc/33/79/46/808337946.db2.gz QSPIUGRDSBEAQZ-STQMWFEESA-N 0 1 254.374 0.807 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+]C1CCC2(CC1)OCCO2 ZINC001255616074 808413310 /nfs/dbraw/zinc/41/33/10/808413310.db2.gz AVPKHUXDCPGFBZ-ONGXEEELSA-N 0 1 270.329 0.498 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@](O)(C2CC2)C1 ZINC001256096262 808470545 /nfs/dbraw/zinc/47/05/45/808470545.db2.gz OTXOGLARYKDYSZ-XHDPSFHLSA-N 0 1 277.368 0.784 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@H](OC)[C@H]1CCOC1)C(C)C ZINC001278914515 808473181 /nfs/dbraw/zinc/47/31/81/808473181.db2.gz GPSZJWSJNLLYFZ-LSDHHAIUSA-N 0 1 296.411 0.840 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N(C)Cc1nnc[nH]1 ZINC001256205019 808480142 /nfs/dbraw/zinc/48/01/42/808480142.db2.gz JOSYHZDPQIHTPH-VIFPVBQESA-N 0 1 262.317 0.187 20 30 CCEDMN CC[C@H](C)[C@H](O)CNC(=O)NCC#CCN(C)C ZINC001256579563 808535201 /nfs/dbraw/zinc/53/52/01/808535201.db2.gz MFMXZDIWIBIBEM-NWDGAFQWSA-N 0 1 255.362 0.258 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC[C@@H](CCO)C1 ZINC001256585904 808537582 /nfs/dbraw/zinc/53/75/82/808537582.db2.gz XVZUJMODDRBXDA-ZDUSSCGKSA-N 0 1 267.373 0.355 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)CC(C)(C)C#N ZINC001256584985 808537770 /nfs/dbraw/zinc/53/77/70/808537770.db2.gz MMPYDYLUFGOIFH-UHFFFAOYSA-N 0 1 250.346 0.743 20 30 CCEDMN C[C@@H](C[C@H](O)c1ccco1)NC(=O)NCC#CCN(C)C ZINC001256585019 808538295 /nfs/dbraw/zinc/53/82/95/808538295.db2.gz MSZROEJZAJERMB-STQMWFEESA-N 0 1 293.367 0.956 20 30 CCEDMN C#CCN1CCN([C@H]2CC[C@H](C(=O)OCC)C2)CC1 ZINC001257062857 808588266 /nfs/dbraw/zinc/58/82/66/808588266.db2.gz FXHZRWKMBUTNCA-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](NCCC#N)C[C@H]1C(=O)OC ZINC001257717556 808629962 /nfs/dbraw/zinc/62/99/62/808629962.db2.gz WYRRVKOZAZLTTI-GMTAPVOTSA-N 0 1 268.313 0.621 20 30 CCEDMN C=C(C)CN1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC001258285682 808669654 /nfs/dbraw/zinc/66/96/54/808669654.db2.gz WMYQHSCZZIJYKZ-UHFFFAOYSA-N 0 1 263.345 0.458 20 30 CCEDMN COC(=O)CC[C@H](C)N1CCN(CCC#N)CC1 ZINC001258372232 808678486 /nfs/dbraw/zinc/67/84/86/808678486.db2.gz WQIRMVXBEZJPJC-LBPRGKRZSA-N 0 1 253.346 0.859 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2CCN(C(=O)c3ccnc4n[nH]nc43)[C@@H]2C1 ZINC001076022338 815234446 /nfs/dbraw/zinc/23/44/46/815234446.db2.gz FTBIEOZWIFANKZ-CMPLNLGQSA-N 0 1 298.350 0.685 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC001259791250 808769716 /nfs/dbraw/zinc/76/97/16/808769716.db2.gz RNYZBGDNJJUUQP-OLZOCXBDSA-N 0 1 291.395 0.648 20 30 CCEDMN C=CCS(=O)(=O)Nc1ncccc1N1CCN(C)CC1 ZINC001259923655 808805538 /nfs/dbraw/zinc/80/55/38/808805538.db2.gz VCVDXPIIAUANOE-UHFFFAOYSA-N 0 1 296.396 0.761 20 30 CCEDMN N#C[C@@H](NS(=O)(=O)Cc1ccccc1Cl)C(N)=O ZINC001260697463 808876049 /nfs/dbraw/zinc/87/60/49/808876049.db2.gz GCNOPPQKEORPTH-SECBINFHSA-N 0 1 287.728 0.137 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](OC)C[C@]2(C)CO)CC1 ZINC001261884981 809119889 /nfs/dbraw/zinc/11/98/89/809119889.db2.gz UWWSALWWFASLDE-GOEBONIOSA-N 0 1 294.395 0.330 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3Cc4ccncc4C3)[C@@H]2C1 ZINC001076246001 815265100 /nfs/dbraw/zinc/26/51/00/815265100.db2.gz ZVUFCJLCGXSTMV-YQQAZPJKSA-N 0 1 295.386 0.962 20 30 CCEDMN C=CCOC[C@H](NC(=O)C[C@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC001262177314 809223256 /nfs/dbraw/zinc/22/32/56/809223256.db2.gz QRCGDVCVWQJZLG-MNOVXSKESA-N 0 1 270.329 0.100 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc(C(N)=O)cc1F ZINC001262274374 809264845 /nfs/dbraw/zinc/26/48/45/809264845.db2.gz WLSYXOZNPJRNEI-UHFFFAOYSA-N 0 1 292.314 0.611 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1CCNC[C@@H]1CCOC ZINC001262542971 809333188 /nfs/dbraw/zinc/33/31/88/809333188.db2.gz NITCKWHLJCSBFY-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN Cn1nc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1C(F)F ZINC001263105263 809446771 /nfs/dbraw/zinc/44/67/71/809446771.db2.gz IZFXGKJLNNMFSE-POYBYMJQSA-N 0 1 269.255 0.199 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnn(CC)c3C)[C@@H]2C1 ZINC001076390963 815289896 /nfs/dbraw/zinc/28/98/96/815289896.db2.gz WAOIKIBKXGCFNE-DZGCQCFKSA-N 0 1 286.379 0.991 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cn(CC)nn3)[C@@H]2C1 ZINC001076410749 815293542 /nfs/dbraw/zinc/29/35/42/815293542.db2.gz BBOWTNDUPFOCGS-GXTWGEPZSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3cnccn3)[C@@H]2C1 ZINC001076431482 815297296 /nfs/dbraw/zinc/29/72/96/815297296.db2.gz UNJGISJVDBROFA-DZGCQCFKSA-N 0 1 284.363 0.575 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2c[nH]c(C)n2)C1 ZINC001264074935 809628627 /nfs/dbraw/zinc/62/86/27/809628627.db2.gz LXAVDPVHFQCEIE-ZDUSSCGKSA-N 0 1 290.367 0.250 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)C=C(C)C)n1 ZINC001264580071 809664590 /nfs/dbraw/zinc/66/45/90/809664590.db2.gz KMYOTMYDMYTCRQ-UHFFFAOYSA-N 0 1 274.324 0.487 20 30 CCEDMN C=C(C)CCC(=O)NCCCN(C)[C@H](C)C(=O)NC ZINC001264992143 809689723 /nfs/dbraw/zinc/68/97/23/809689723.db2.gz NMPHREUPCXFENS-GFCCVEGCSA-N 0 1 269.389 0.915 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2ccncc2)CC1 ZINC001265256147 809732126 /nfs/dbraw/zinc/73/21/26/809732126.db2.gz NRXXVQAUPCBETM-UHFFFAOYSA-N 0 1 286.379 0.452 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)c2c[nH]cc2C)CC1 ZINC001265254473 809732444 /nfs/dbraw/zinc/73/24/44/809732444.db2.gz NRGDNIUMDXVPCW-UHFFFAOYSA-N 0 1 288.395 0.694 20 30 CCEDMN CCOCCN1CC[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001265278339 809744518 /nfs/dbraw/zinc/74/45/18/809744518.db2.gz DPKZJPVGCVJGII-LBPRGKRZSA-N 0 1 276.340 0.727 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCNC(=O)C(C)(C)C)C1 ZINC001265296816 809750954 /nfs/dbraw/zinc/75/09/54/809750954.db2.gz DSKBYYCDAWHQHR-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccnc(C)n3)[C@@H]2C1 ZINC001076584852 815314217 /nfs/dbraw/zinc/31/42/17/815314217.db2.gz IQRBQESLUYMGKU-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H]1C[C@H](NCc2cnns2)C1 ZINC001265475281 809787774 /nfs/dbraw/zinc/78/77/74/809787774.db2.gz IDTIKKYGZIKJGN-GIPNMCIBSA-N 0 1 296.396 0.602 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H]2[C@H](CCCN2C(C)=O)C1 ZINC001265520689 809795552 /nfs/dbraw/zinc/79/55/52/809795552.db2.gz IPAYMXGUBBHKHA-ZIAGYGMSSA-N 0 1 279.384 0.621 20 30 CCEDMN CCOCCC(=O)NC[C@@H]1CCCN(CC#CCOC)C1 ZINC001265578665 809807312 /nfs/dbraw/zinc/80/73/12/809807312.db2.gz ZQUZYJGPIRVZSS-HNNXBMFYSA-N 0 1 296.411 0.891 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)Cc1nonc1C ZINC001265656035 809820115 /nfs/dbraw/zinc/82/01/15/809820115.db2.gz VBMVMYVRBLMKBB-ZDUSSCGKSA-N 0 1 290.367 0.915 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001265654560 809820443 /nfs/dbraw/zinc/82/04/43/809820443.db2.gz XLVOTKFXZITFHB-KBPBESRZSA-N 0 1 291.395 0.649 20 30 CCEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)NC[C@@H](C)NCC#N ZINC001265800782 809857152 /nfs/dbraw/zinc/85/71/52/809857152.db2.gz KGUKYAJJJFWXOM-ZCFIWIBFSA-N 0 1 289.261 0.968 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)NCc1cnnn1C ZINC001265826016 809865864 /nfs/dbraw/zinc/86/58/64/809865864.db2.gz BRGOPZZJGOVMJV-RTXFEEFZSA-N 0 1 293.371 0.001 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCN(C)CC(=O)NCC ZINC001265902070 809889115 /nfs/dbraw/zinc/88/91/15/809889115.db2.gz IGLGMQYQXUHPSF-UHFFFAOYSA-N 0 1 269.389 0.773 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H](NCc2ccns2)C1 ZINC001265905404 809890088 /nfs/dbraw/zinc/89/00/88/809890088.db2.gz MSQYZDISUMVEEB-NEPJUHHUSA-N 0 1 293.392 0.872 20 30 CCEDMN C#CCNC(=O)CN(CC)CCCNC(=O)[C@@H]1C[C@H]1C ZINC001266069803 809930010 /nfs/dbraw/zinc/93/00/10/809930010.db2.gz BNSNMTOCBQCYIW-CHWSQXEVSA-N 0 1 279.384 0.220 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC[C@H](C)NCc1nonc1C ZINC001266144383 809946811 /nfs/dbraw/zinc/94/68/11/809946811.db2.gz QTZQTWJMIPFQKU-JQWIXIFHSA-N 0 1 294.355 0.401 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)COCc2ccnn2C)C1 ZINC001266225579 809966931 /nfs/dbraw/zinc/96/69/31/809966931.db2.gz PTZPAWZUWZUAHG-CYBMUJFWSA-N 0 1 292.383 0.703 20 30 CCEDMN C=C(Cl)CNCCOCCN(C)C(=O)[C@H]1CCOC1 ZINC001279392998 809977673 /nfs/dbraw/zinc/97/76/73/809977673.db2.gz MQGSEHBOYHWBKT-LBPRGKRZSA-N 0 1 290.791 0.840 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)c2cc(C)no2)C1 ZINC001279463139 809980894 /nfs/dbraw/zinc/98/08/94/809980894.db2.gz WHUGTTLGONMMFN-HNNXBMFYSA-N 0 1 291.351 0.563 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)COC(C)(C)C)C1 ZINC001279481126 809983192 /nfs/dbraw/zinc/98/31/92/809983192.db2.gz UJWCBERGNZMDHF-OAHLLOKOSA-N 0 1 284.400 0.931 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1ccn(C)n1 ZINC001279901739 809999396 /nfs/dbraw/zinc/99/93/96/809999396.db2.gz PJIJEAMJBYAUDN-UHFFFAOYSA-N 0 1 286.763 0.197 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccco2)C1 ZINC001076684383 815344024 /nfs/dbraw/zinc/34/40/24/815344024.db2.gz KIXNGQRBSACEOL-GHMZBOCLSA-N 0 1 250.298 0.631 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CC2CCC2)C1 ZINC001076840876 815387215 /nfs/dbraw/zinc/38/72/15/815387215.db2.gz FQPASAMJRFSDQM-CHWSQXEVSA-N 0 1 252.358 0.914 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@H](C)[C@H](CCNCC#N)C1 ZINC001104476443 811092190 /nfs/dbraw/zinc/09/21/90/811092190.db2.gz WIYIMPRMWWKLAB-MWLCHTKSSA-N 0 1 276.344 0.325 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)C2CCC2)C1 ZINC001267283092 811093359 /nfs/dbraw/zinc/09/33/59/811093359.db2.gz IFLBMVKCBKZUPW-LBPRGKRZSA-N 0 1 279.384 0.527 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H]1CCN(CCF)C1 ZINC001267291208 811108423 /nfs/dbraw/zinc/10/84/23/811108423.db2.gz BIRRTXWFPZGBJX-YPMHNXCESA-N 0 1 258.337 0.721 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cc(Cl)nc(CC#N)n1 ZINC001167672695 811130342 /nfs/dbraw/zinc/13/03/42/811130342.db2.gz UGBLXZZINVZICB-UHFFFAOYSA-N 0 1 289.730 0.628 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H]1CCN([C@H](C)C(=O)NC)C1 ZINC001267310106 811132321 /nfs/dbraw/zinc/13/23/21/811132321.db2.gz QEIJVOLSSUVCKB-OLZOCXBDSA-N 0 1 297.399 0.152 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN(CCC)[C@H]1CCNC1=O ZINC001267312593 811138328 /nfs/dbraw/zinc/13/83/28/811138328.db2.gz HCSOIEMEJRSBTD-SWLSCSKDSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN(CCC)[C@@H]1CCNC1=O ZINC001267316270 811143958 /nfs/dbraw/zinc/14/39/58/811143958.db2.gz KVRROXITQDIJJD-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCCNCc1cn([C@H]2CCN(C(C)=O)C2)nn1 ZINC001098591348 811414248 /nfs/dbraw/zinc/41/42/48/811414248.db2.gz FCTLJSXOZTYTKN-ZDUSSCGKSA-N 0 1 263.345 0.737 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CC(=O)N(C(C)C)C1 ZINC001038317577 811426340 /nfs/dbraw/zinc/42/63/40/811426340.db2.gz LNOIFIWRUGUDSQ-KBPBESRZSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)[C@H]2CCNC2=O)C1 ZINC001267561166 811449991 /nfs/dbraw/zinc/44/99/91/811449991.db2.gz MHGOTZGFFLNUOE-VXGBXAGGSA-N 0 1 299.802 0.703 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)CCOC)CC1 ZINC001267571261 811460300 /nfs/dbraw/zinc/46/03/00/811460300.db2.gz KHDBGTQTSUHWOJ-UHFFFAOYSA-N 0 1 269.389 0.285 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@H]1CCN(C(=O)C2CCC2)C1 ZINC001267577829 811473278 /nfs/dbraw/zinc/47/32/78/811473278.db2.gz SQOVYQVHBZTXTP-CYBMUJFWSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@@H]2CC2(F)F)CC1 ZINC001267607569 811554184 /nfs/dbraw/zinc/55/41/84/811554184.db2.gz MZSAVTDFBNJRTD-LBPRGKRZSA-N 0 1 287.354 0.904 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](CN(C)CC(=O)N(C)C)C1 ZINC001267631602 811589435 /nfs/dbraw/zinc/58/94/35/811589435.db2.gz HJFTWCVANJHFRB-CYBMUJFWSA-N 0 1 297.399 0.058 20 30 CCEDMN CN(CCCN(C)c1nccnc1C#N)C(=O)c1ccn[nH]1 ZINC001112028341 811606538 /nfs/dbraw/zinc/60/65/38/811606538.db2.gz GUXYQZORRFOSAP-UHFFFAOYSA-N 0 1 299.338 0.670 20 30 CCEDMN C#CCNCC(=O)NCCOc1ccc(OC)cc1 ZINC000695228665 811615537 /nfs/dbraw/zinc/61/55/37/811615537.db2.gz RBEBEBOLQWEUSC-UHFFFAOYSA-N 0 1 262.309 0.413 20 30 CCEDMN CCN(CCNC(=O)c1cnn[nH]1)c1ccc(C#N)cn1 ZINC001100762957 811633584 /nfs/dbraw/zinc/63/35/84/811633584.db2.gz ZXUKWBDZZSMNHF-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2ccccc2)C1 ZINC001077242845 815466842 /nfs/dbraw/zinc/46/68/42/815466842.db2.gz NLRFOYSKSNYWEO-HUUCEWRRSA-N 0 1 274.364 0.967 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCOCC(F)F)C1 ZINC001267741585 811724990 /nfs/dbraw/zinc/72/49/90/811724990.db2.gz FKIXHJXPJKQJGT-LLVKDONJSA-N 0 1 274.311 0.872 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCOCCCOC)C1 ZINC001267750872 811746548 /nfs/dbraw/zinc/74/65/48/811746548.db2.gz QCOFWPPOIVVFRK-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN C=CCOCCN1CC[C@@H](N(C)C(=O)c2n[nH]cc2F)C1 ZINC001267771413 811769150 /nfs/dbraw/zinc/76/91/50/811769150.db2.gz GHFUFTDMKWANHO-LLVKDONJSA-N 0 1 296.346 0.898 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CCc2nccn2C)C1 ZINC001267787581 811784802 /nfs/dbraw/zinc/78/48/02/811784802.db2.gz FJHGRIYWKYRRDN-CQSZACIVSA-N 0 1 288.395 0.909 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)CCNC(=O)c1cnn[nH]1 ZINC001077294338 815477004 /nfs/dbraw/zinc/47/70/04/815477004.db2.gz OSSZJZALMMBLBG-NSHDSACASA-N 0 1 291.355 0.623 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1C[C@H]2CCN(CCOC)C[C@H]21 ZINC001222072390 811854970 /nfs/dbraw/zinc/85/49/70/811854970.db2.gz QHCKYQWCCWFCOE-MGPQQGTHSA-N 0 1 282.384 0.757 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCC[NH+]2C)[C@@H](O)C1 ZINC001083573247 815482117 /nfs/dbraw/zinc/48/21/17/815482117.db2.gz CLXAQYHJBVBDAL-KFWWJZLASA-N 0 1 295.427 0.598 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@@H]1CNCc1cnns1 ZINC001267951565 811887977 /nfs/dbraw/zinc/88/79/77/811887977.db2.gz GYVPCJUSYFUCSH-LLVKDONJSA-N 0 1 296.396 0.821 20 30 CCEDMN C#CC[NH+]1CCC[C@@H](NC(=O)C[N@@H+]2CC[C@H](C)C2)C1 ZINC001008530628 811904664 /nfs/dbraw/zinc/90/46/64/811904664.db2.gz BGWLAQRFBDUJPC-UONOGXRCSA-N 0 1 263.385 0.542 20 30 CCEDMN C[C@H](NCCNC(=O)c1c[nH]c(C#N)c1)c1cnccn1 ZINC001125988189 811967452 /nfs/dbraw/zinc/96/74/52/811967452.db2.gz PAKBWFMTAFHVCL-JTQLQIEISA-N 0 1 284.323 0.757 20 30 CCEDMN CCNC(=O)CC(=O)NCCNCc1ccccc1C#N ZINC001126002636 811976449 /nfs/dbraw/zinc/97/64/49/811976449.db2.gz LHPXNMBNUIUYMH-UHFFFAOYSA-N 0 1 288.351 0.290 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)c1nnc2ccccn21 ZINC000092492370 812048580 /nfs/dbraw/zinc/04/85/80/812048580.db2.gz CHDPRMGBIIWYEF-JTQLQIEISA-N 0 1 257.297 0.129 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cn(CCOC)nn1 ZINC001027876113 812110614 /nfs/dbraw/zinc/11/06/14/812110614.db2.gz FOOQZCRDLJPLMW-GFCCVEGCSA-N 0 1 293.371 0.305 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001028048325 812232397 /nfs/dbraw/zinc/23/23/97/812232397.db2.gz UXOKALWXBIFLLO-ZQDZILKHSA-N 0 1 294.395 0.805 20 30 CCEDMN C#CC[NH2+]C[C@H](CC(C)C)NC(=O)c1[n-]nnc1C ZINC001268108990 812268470 /nfs/dbraw/zinc/26/84/70/812268470.db2.gz BFRBIFRSASKCSA-NSHDSACASA-N 0 1 263.345 0.480 20 30 CCEDMN C#CC[N@H+](C)CCN(C)C(=O)[C@H]1CCc2cncn2C1 ZINC001268239267 812405762 /nfs/dbraw/zinc/40/57/62/812405762.db2.gz AIMOATRMZRPQDW-ZDUSSCGKSA-N 0 1 274.368 0.469 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1csnn1 ZINC001268243744 812409741 /nfs/dbraw/zinc/40/97/41/812409741.db2.gz PGIONACNOPCJPE-UHFFFAOYSA-N 0 1 282.369 0.192 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnc2n[nH]c(C)c2c1 ZINC001268256247 812423217 /nfs/dbraw/zinc/42/32/17/812423217.db2.gz DDCHHKXYICKECU-UHFFFAOYSA-N 0 1 285.351 0.903 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001028228801 812438313 /nfs/dbraw/zinc/43/83/13/812438313.db2.gz ZMSRQWJMSHMXFS-LBPRGKRZSA-N 0 1 288.351 0.331 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnc(OC)nc1 ZINC001028239161 812455749 /nfs/dbraw/zinc/45/57/49/812455749.db2.gz GAOMJYWVPABQBS-LBPRGKRZSA-N 0 1 276.340 0.865 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cnc(OC)nc1 ZINC001028239160 812456517 /nfs/dbraw/zinc/45/65/17/812456517.db2.gz GAOMJYWVPABQBS-GFCCVEGCSA-N 0 1 276.340 0.865 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001028248697 812492189 /nfs/dbraw/zinc/49/21/89/812492189.db2.gz BLEXGTKSUSGIGV-RYUDHWBXSA-N 0 1 287.367 0.619 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](CC)NC(C)=O)[C@H](OC)C1 ZINC001213477010 812524612 /nfs/dbraw/zinc/52/46/12/812524612.db2.gz FVVULEOGMWCYBC-MGPQQGTHSA-N 0 1 297.399 0.293 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnn(C)c1OC ZINC001028288755 812583770 /nfs/dbraw/zinc/58/37/70/812583770.db2.gz LQPJNVBFQDSKHN-NSHDSACASA-N 0 1 278.356 0.809 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001028336594 812628904 /nfs/dbraw/zinc/62/89/04/812628904.db2.gz IROBUTRNJUOAET-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1nonc1C ZINC001028346456 812634193 /nfs/dbraw/zinc/63/41/93/812634193.db2.gz ZVCHTLWKNUTWNL-JTQLQIEISA-N 0 1 250.302 0.758 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)nc1 ZINC001028348054 812635838 /nfs/dbraw/zinc/63/58/38/812635838.db2.gz JXLDMRLRYWSKLN-LBPRGKRZSA-N 0 1 286.335 0.008 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cc(C)no2)C1 ZINC001268512029 812747354 /nfs/dbraw/zinc/74/73/54/812747354.db2.gz UYAVNHACGDRUJL-UHFFFAOYSA-N 0 1 279.340 0.847 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001028484744 812753549 /nfs/dbraw/zinc/75/35/49/812753549.db2.gz BMIRLQHOYJFDML-LLVKDONJSA-N 0 1 276.340 0.499 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC/C=C\CNCc1cnoc1C ZINC001268540964 812781724 /nfs/dbraw/zinc/78/17/24/812781724.db2.gz HAZFHWTWTIZICI-CFHLNLSMSA-N 0 1 291.351 0.783 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cnc(C)o1 ZINC001127023336 815559512 /nfs/dbraw/zinc/55/95/12/815559512.db2.gz JSPAXYYIPOVYRD-UHFFFAOYSA-N 0 1 265.313 0.229 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001028592201 812910138 /nfs/dbraw/zinc/91/01/38/812910138.db2.gz ZKVVDYGDRAPSNR-KBPBESRZSA-N 0 1 298.390 0.653 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc[nH]c(=O)c2)[C@@H](O)C1 ZINC001083396966 812937910 /nfs/dbraw/zinc/93/79/10/812937910.db2.gz NBDDAFZEUZZLEP-NEPJUHHUSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)CNCc1ccn(C)n1 ZINC001268639419 812962381 /nfs/dbraw/zinc/96/23/81/812962381.db2.gz IKJRQVFDGFLBMS-LLVKDONJSA-N 0 1 266.345 0.217 20 30 CCEDMN Cc1ncc(CNC[C@@H](C)NC(=O)C#CC2CC2)o1 ZINC001268644497 812968475 /nfs/dbraw/zinc/96/84/75/812968475.db2.gz DMYRFIRLHNOCKU-SNVBAGLBSA-N 0 1 261.325 0.991 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)CNCc1ccns1 ZINC001268688351 813010576 /nfs/dbraw/zinc/01/05/76/813010576.db2.gz DLNPUECPLMDSND-NSHDSACASA-N 0 1 281.381 0.777 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1cocn1 ZINC001268725600 813042177 /nfs/dbraw/zinc/04/21/77/813042177.db2.gz NVVPCMZUHRXYNP-LLVKDONJSA-N 0 1 265.313 0.375 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](C)NC(=O)CCCF)C1=O ZINC001268742481 813055508 /nfs/dbraw/zinc/05/55/08/813055508.db2.gz DWTRDRAZIUDHQH-STQMWFEESA-N 0 1 299.390 0.960 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CNC(=O)c1ccccc1 ZINC001268755302 813065803 /nfs/dbraw/zinc/06/58/03/813065803.db2.gz VNRMYNNSVXRMHD-CYBMUJFWSA-N 0 1 287.363 0.486 20 30 CCEDMN CCCn1ncnc1CNCCCN(C)C(=O)[C@@H](C)C#N ZINC001268783567 813084059 /nfs/dbraw/zinc/08/40/59/813084059.db2.gz KOWKKCLPIBHRLL-LBPRGKRZSA-N 0 1 292.387 0.786 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1CN(CCN2CCCC2=O)C1 ZINC001268858537 813118340 /nfs/dbraw/zinc/11/83/40/813118340.db2.gz NZSZFFPUHFAADK-UHFFFAOYSA-N 0 1 293.411 0.869 20 30 CCEDMN N#CC1(C(=O)N2CC[C@@H]3C[C@@]32C(=O)NCc2cnc[nH]2)CC1 ZINC001269020972 813180608 /nfs/dbraw/zinc/18/06/08/813180608.db2.gz XGBKTOHSDXHYSC-BMIGLBTASA-N 0 1 299.334 0.321 20 30 CCEDMN N#CC1(C(=O)NC23CC(C(=O)NCc4cnc[nH]4)(C2)C3)CC1 ZINC001269021055 813180862 /nfs/dbraw/zinc/18/08/62/813180862.db2.gz ZGRADSJNEJKMAU-UHFFFAOYSA-N 0 1 299.334 0.369 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCOC[C@@H]2CCCO2)C1 ZINC001269143037 813238756 /nfs/dbraw/zinc/23/87/56/813238756.db2.gz VRQOIIXYGPDRCL-CABCVRRESA-N 0 1 294.395 0.786 20 30 CCEDMN N#CCSCC(=O)NC[C@@H]1CCN1CCCF ZINC001269200765 813259965 /nfs/dbraw/zinc/25/99/65/813259965.db2.gz MKPXPVCQNKGAIT-JTQLQIEISA-N 0 1 259.350 0.793 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN1C[C@H]1CCc2ncnn21 ZINC001269206019 813264728 /nfs/dbraw/zinc/26/47/28/813264728.db2.gz PPTOMTRYARRNNW-CHWSQXEVSA-N 0 1 289.383 0.922 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CC1OCCCO1 ZINC001269224504 813273556 /nfs/dbraw/zinc/27/35/56/813273556.db2.gz WGQFLXVEQSGLSQ-LBPRGKRZSA-N 0 1 266.341 0.353 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCC[N@@H+]1C ZINC001269273111 813300842 /nfs/dbraw/zinc/30/08/42/813300842.db2.gz RJSGHQZZQMNHSY-CABCVRRESA-N 0 1 295.427 0.864 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001269330398 813329327 /nfs/dbraw/zinc/32/93/27/813329327.db2.gz IMNQXFKUWCIHGI-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1nccn1CC ZINC001126412423 813351742 /nfs/dbraw/zinc/35/17/42/813351742.db2.gz DQDOOFOOBSXAES-UHFFFAOYSA-N 0 1 256.737 0.975 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)/C(C)=C\C)CC1 ZINC001269393988 813355404 /nfs/dbraw/zinc/35/54/04/813355404.db2.gz RBQPDVWDRAISDZ-WZUFQYTHSA-N 0 1 265.357 0.493 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)Cc1ccn[nH]1 ZINC001269663477 813463348 /nfs/dbraw/zinc/46/33/48/813463348.db2.gz CAROHWINRCBXOS-CHWSQXEVSA-N 0 1 286.335 0.177 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)[C@H](C)N(C)C)C2)C1=O ZINC001269797101 813509860 /nfs/dbraw/zinc/50/98/60/813509860.db2.gz PUBQIJSVICRYPM-SWLSCSKDSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)CNCc1ncnn1C ZINC001270486263 813781188 /nfs/dbraw/zinc/78/11/88/813781188.db2.gz JURNVAGJTLQMNN-ZDUSSCGKSA-N 0 1 291.399 0.851 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(CN(CCn3ccnc3)C2)C1 ZINC001270507830 813789165 /nfs/dbraw/zinc/78/91/65/813789165.db2.gz NVNJDYBIWGEXRR-LBPRGKRZSA-N 0 1 273.340 0.187 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)CNC(=O)C2CC2)C1 ZINC001270547739 813805615 /nfs/dbraw/zinc/80/56/15/813805615.db2.gz GOSOLHSXXKWOHX-HNNXBMFYSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCCC(=O)N[C@]1(C)CCN([C@@H]2CCCNC2=O)C1 ZINC001270558706 813810559 /nfs/dbraw/zinc/81/05/59/813810559.db2.gz SOEAIOSDMSVAKI-IUODEOHRSA-N 0 1 279.384 0.812 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCc2ccnn2C)C1 ZINC001270590563 813828243 /nfs/dbraw/zinc/82/82/43/813828243.db2.gz PPIPBQMPRIVJLA-HNNXBMFYSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3n[nH]cc3C)[C@@H]2C1 ZINC001075774543 813965841 /nfs/dbraw/zinc/96/58/41/813965841.db2.gz FQMWZLWNCSVWFL-QWHCGFSZSA-N 0 1 272.352 0.888 20 30 CCEDMN N#Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCO)c[nH]1 ZINC001029278061 814034321 /nfs/dbraw/zinc/03/43/21/814034321.db2.gz JKDGMUNTVKAWTQ-BETUJISGSA-N 0 1 274.324 0.167 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)C[C@@H]2CCNC2=O)C1 ZINC001270966025 814103050 /nfs/dbraw/zinc/10/30/50/814103050.db2.gz UJPVMTZEQMYKBJ-XJKSGUPXSA-N 0 1 291.395 0.364 20 30 CCEDMN C[C@H](CNCC#N)N(C)C(=O)c1ccn(-c2ccncc2)n1 ZINC001271036216 814123526 /nfs/dbraw/zinc/12/35/26/814123526.db2.gz UAXUIZHESIXEDH-GFCCVEGCSA-N 0 1 298.350 0.841 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc3ccnn32)[C@H]1CC ZINC001087598940 814172593 /nfs/dbraw/zinc/17/25/93/814172593.db2.gz GIUDRJJCTGFFTC-QWHCGFSZSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3ncccc23)[C@H]1CC ZINC001087604780 814173721 /nfs/dbraw/zinc/17/37/21/814173721.db2.gz NDWOLJRZCTVMHX-UONOGXRCSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@H]1CC ZINC001087614421 814175781 /nfs/dbraw/zinc/17/57/81/814175781.db2.gz SLKOATYLALLMEU-WDEREUQCSA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CN2CCCCC2=O)[C@H]1CC ZINC001087638578 814177404 /nfs/dbraw/zinc/17/74/04/814177404.db2.gz AMHVHXBLTUKHKW-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C(=O)N2CC[C@H](C)C2)[C@H]1CC ZINC001087790049 814214528 /nfs/dbraw/zinc/21/45/28/814214528.db2.gz SEINENWJJCNIHG-MELADBBJSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC001271160505 814252885 /nfs/dbraw/zinc/25/28/85/814252885.db2.gz ULADSSFPCHWLKG-INIZCTEOSA-N 0 1 298.346 0.363 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H](CNCC#N)CC(C)C)n[nH]1 ZINC001271261179 814354004 /nfs/dbraw/zinc/35/40/04/814354004.db2.gz HGJQIAODQJIHCV-LLVKDONJSA-N 0 1 278.360 0.300 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cnc(C)cn1 ZINC001127051626 815605210 /nfs/dbraw/zinc/60/52/10/815605210.db2.gz KFMMGRNDGGWXTJ-LBPRGKRZSA-N 0 1 276.340 0.029 20 30 CCEDMN C=C(C)C(=O)OCCNc1ncnc2c1CNCC2 ZINC001168069880 814536893 /nfs/dbraw/zinc/53/68/93/814536893.db2.gz MSGHFKFYXGVLMJ-UHFFFAOYSA-N 0 1 262.313 0.654 20 30 CCEDMN N#CCN[C@@H]1CCCCCN(C(=O)Cc2ccn[nH]2)C1 ZINC001088285429 814573763 /nfs/dbraw/zinc/57/37/63/814573763.db2.gz DUWLDPJBJZQUHW-CYBMUJFWSA-N 0 1 275.356 0.837 20 30 CCEDMN Cc1cc[nH]c1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001271912194 814628540 /nfs/dbraw/zinc/62/85/40/814628540.db2.gz PRLUVBGDTXFGSL-UHFFFAOYSA-N 0 1 289.383 0.631 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc4c([nH]3)CCOC4)[C@@H]2C1 ZINC001075873307 814635064 /nfs/dbraw/zinc/63/50/64/814635064.db2.gz OMGHEFWWYLQCDP-BLLLJJGKSA-N 0 1 299.374 0.867 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)c2cocn2)C1 ZINC001271948955 814642953 /nfs/dbraw/zinc/64/29/53/814642953.db2.gz FMDJJNTUBNKKNT-AWEZNQCLSA-N 0 1 279.340 0.807 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1ccc(C)n1C ZINC001271962511 814654379 /nfs/dbraw/zinc/65/43/79/814654379.db2.gz NBJPWDJPCFROTB-UHFFFAOYSA-N 0 1 291.395 0.987 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCCOCC1 ZINC001272005800 814700662 /nfs/dbraw/zinc/70/06/62/814700662.db2.gz YFIKANCZLJRYKK-CYBMUJFWSA-N 0 1 252.358 0.827 20 30 CCEDMN C#C[C@@H](Oc1nc(Cl)nc2nc[nH]c21)C(=O)OCC ZINC001228507224 814716633 /nfs/dbraw/zinc/71/66/33/814716633.db2.gz JNGIMRINLQCXLD-ZCFIWIBFSA-N 0 1 280.671 0.950 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2CCN(CC#N)[C@@H]2C)c1C ZINC001088496746 814744681 /nfs/dbraw/zinc/74/46/81/814744681.db2.gz NPDDYUDBKKTZEC-MNOVXSKESA-N 0 1 261.329 0.743 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CNC(=O)c2ccccc21 ZINC001272033266 814765479 /nfs/dbraw/zinc/76/54/79/814765479.db2.gz IREAIRDUPQYYRW-OAHLLOKOSA-N 0 1 299.374 0.537 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C[C@@H]1CCCC(=O)N1 ZINC001272060128 814895803 /nfs/dbraw/zinc/89/58/03/814895803.db2.gz DRLICRJZWAEEBN-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CC[C@@H]3C[C@@H]3CC2)[C@@H](O)C1 ZINC001083661826 815630516 /nfs/dbraw/zinc/63/05/16/815630516.db2.gz OEOGYLDHISIRBY-JKJDWNRSSA-N 0 1 290.407 0.997 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)[C@H]1C ZINC001088864438 816108408 /nfs/dbraw/zinc/10/84/08/816108408.db2.gz KMERDVVFIQTDPH-MQYQWHSLSA-N 0 1 291.395 0.490 20 30 CCEDMN C=C(C)CCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001120733866 816203314 /nfs/dbraw/zinc/20/33/14/816203314.db2.gz GGNDPNKGWSHXMY-UHFFFAOYSA-N 0 1 265.317 0.366 20 30 CCEDMN C=C(C)CCC(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001120733866 816203318 /nfs/dbraw/zinc/20/33/18/816203318.db2.gz GGNDPNKGWSHXMY-UHFFFAOYSA-N 0 1 265.317 0.366 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C2CCN(C(C)=O)CC2)[C@H]1C ZINC001089029588 816218093 /nfs/dbraw/zinc/21/80/93/816218093.db2.gz IFVUPYFUUNLBIJ-DOMZBBRYSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2ccccc2=O)[C@H]1C ZINC001089031228 816219164 /nfs/dbraw/zinc/21/91/64/816219164.db2.gz XTLZHCFOYGNITR-OLZOCXBDSA-N 0 1 273.336 0.061 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)nc2)[C@H]1C ZINC001089074939 816235432 /nfs/dbraw/zinc/23/54/32/816235432.db2.gz GOHBFWGQIREHTJ-PWSUYJOCSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCCCC(=O)N(C)[C@H](C)CNC(=O)[C@H]1CCCN1C ZINC001280524741 816288813 /nfs/dbraw/zinc/28/88/13/816288813.db2.gz LOHHOUVMRAVALY-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN N#Cc1ccc(C[N@@H+]2CC[C@H](CO)[C@H](O)C2)c([O-])c1 ZINC001233383387 816314999 /nfs/dbraw/zinc/31/49/99/816314999.db2.gz FZNZEJYUWSCBRE-TZMCWYRMSA-N 0 1 262.309 0.439 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001030859309 816355573 /nfs/dbraw/zinc/35/55/73/816355573.db2.gz FETFKEUKMPOCHH-GFCCVEGCSA-N 0 1 289.383 0.991 20 30 CCEDMN C#CCCCC(=O)NC[C@H](O)CNCc1cc(C)n(C)n1 ZINC001272407137 816395968 /nfs/dbraw/zinc/39/59/68/816395968.db2.gz XEZGXAFUZDTLLW-CQSZACIVSA-N 0 1 292.383 0.099 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2C[N@H+](CCCF)C[C@@H]2O)cc1 ZINC001083691125 816419602 /nfs/dbraw/zinc/41/96/02/816419602.db2.gz ZTNJPYNHOFTHLI-CABCVRRESA-N 0 1 290.338 0.802 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCCF)C[C@@H]2O)cc1 ZINC001083691125 816419608 /nfs/dbraw/zinc/41/96/08/816419608.db2.gz ZTNJPYNHOFTHLI-CABCVRRESA-N 0 1 290.338 0.802 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H](C)CC(N)=O ZINC001099164337 816454528 /nfs/dbraw/zinc/45/45/28/816454528.db2.gz CJSZRSXPFAOSIF-QWHCGFSZSA-N 0 1 293.411 0.738 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CN2CCOCC2)C1 ZINC001007117936 816528119 /nfs/dbraw/zinc/52/81/19/816528119.db2.gz UQMJEFPEAKCIEU-CYBMUJFWSA-N 0 1 267.373 0.085 20 30 CCEDMN C#CCNCC(=O)N1CCc2c(cccc2OC)C1 ZINC001122036106 816549204 /nfs/dbraw/zinc/54/92/04/816549204.db2.gz NVVJIQCCCCUEKU-UHFFFAOYSA-N 0 1 258.321 0.803 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001031017153 816567743 /nfs/dbraw/zinc/56/77/43/816567743.db2.gz NZBBWFHKEGUDOR-UHFFFAOYSA-N 0 1 254.721 0.505 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1cnc(C)nc1 ZINC001272551972 816574867 /nfs/dbraw/zinc/57/48/67/816574867.db2.gz NMVTVZCFWDUQPW-HUUCEWRRSA-N 0 1 284.363 0.984 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cnc(C)nc1 ZINC001272551972 816574871 /nfs/dbraw/zinc/57/48/71/816574871.db2.gz NMVTVZCFWDUQPW-HUUCEWRRSA-N 0 1 284.363 0.984 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2Cc2c[nH]nn2)C1=O ZINC001272570106 816590240 /nfs/dbraw/zinc/59/02/40/816590240.db2.gz OQNSVVWSXSGUHG-ZDUSSCGKSA-N 0 1 259.313 0.005 20 30 CCEDMN CC#CCN1CC(NC(=O)CN2CCC(C)CC2)C1 ZINC001031143446 816694863 /nfs/dbraw/zinc/69/48/63/816694863.db2.gz PVISQTPMIHEKPS-UHFFFAOYSA-N 0 1 263.385 0.542 20 30 CCEDMN CN1CCN(Cc2ccc(F)cc2C#N)[C@H](CO)C1 ZINC000699457960 816729782 /nfs/dbraw/zinc/72/97/82/816729782.db2.gz NSEIRSAVMCPHAO-AWEZNQCLSA-N 0 1 263.316 0.806 20 30 CCEDMN C[C@H](CCNc1ccncc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001106598913 816820748 /nfs/dbraw/zinc/82/07/48/816820748.db2.gz TVMWILYLDBUIEF-LLVKDONJSA-N 0 1 298.350 0.648 20 30 CCEDMN CCN(CCNc1ccncc1C#N)C(=O)c1cc(C)[nH]n1 ZINC001106692528 816851752 /nfs/dbraw/zinc/85/17/52/816851752.db2.gz WNTZUGUIKPCBOS-UHFFFAOYSA-N 0 1 298.350 0.981 20 30 CCEDMN C[C@H]1COC[C@H]1NCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000699811525 816904228 /nfs/dbraw/zinc/90/42/28/816904228.db2.gz KLQARWWQGXDGGE-SMDDNHRTSA-N 0 1 294.376 0.956 20 30 CCEDMN C[C@@H]1COC[C@@H]1NCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000699811523 816904523 /nfs/dbraw/zinc/90/45/23/816904523.db2.gz KLQARWWQGXDGGE-RISCZKNCSA-N 0 1 294.376 0.956 20 30 CCEDMN C=C(C)COCCNC(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001123664760 817006541 /nfs/dbraw/zinc/00/65/41/817006541.db2.gz AWCGOSSMNUOOPO-STQMWFEESA-N 0 1 267.373 0.084 20 30 CCEDMN N#CCSCC(=O)NCCNCc1ccccn1 ZINC001123787381 817064185 /nfs/dbraw/zinc/06/41/85/817064185.db2.gz NXDCEGHAEGKKGU-UHFFFAOYSA-N 0 1 264.354 0.544 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnn(C)c2N)C1 ZINC001031626564 817199233 /nfs/dbraw/zinc/19/92/33/817199233.db2.gz NAZRMSDBBVZMFA-UHFFFAOYSA-N 0 1 263.345 0.240 20 30 CCEDMN C=C(Cl)CN1CC(CNC(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001031662242 817228511 /nfs/dbraw/zinc/22/85/11/817228511.db2.gz YRHQPUWURZTQCO-NXEZZACHSA-N 0 1 273.720 0.452 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(OC)ncn2)C1 ZINC001031673939 817236185 /nfs/dbraw/zinc/23/61/85/817236185.db2.gz BZMMVBGEOZYAJE-UHFFFAOYSA-N 0 1 274.324 0.170 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccn(C(F)F)n2)C1 ZINC001031698108 817255767 /nfs/dbraw/zinc/25/57/67/817255767.db2.gz TZAVUGPSFGTIRA-UHFFFAOYSA-N 0 1 282.294 0.963 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2c(C)nnn2CC)C1 ZINC001031727811 817294038 /nfs/dbraw/zinc/29/40/38/817294038.db2.gz UUXRZUWVELEVDR-UHFFFAOYSA-N 0 1 277.372 0.844 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCCNC2=O)C1 ZINC001031747468 817332509 /nfs/dbraw/zinc/33/25/09/817332509.db2.gz ILBZBJVSYLIIBJ-LBPRGKRZSA-N 0 1 265.357 0.137 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn(C)c2Cl)C1 ZINC001031771569 817354067 /nfs/dbraw/zinc/35/40/67/817354067.db2.gz ARTXIJKUDJUQAI-UHFFFAOYSA-N 0 1 268.748 0.921 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](NC(=O)Cn2nccn2)[C@H](OC)C1 ZINC001212335328 817382014 /nfs/dbraw/zinc/38/20/14/817382014.db2.gz WSGXQSOUUPYWEU-CHWSQXEVSA-N 0 1 293.371 0.060 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)NCC1CN(CC#N)C1 ZINC001031931135 817473240 /nfs/dbraw/zinc/47/32/40/817473240.db2.gz FOWZSYCROXPLPS-UHFFFAOYSA-N 0 1 276.340 0.526 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCNCc1cnnn1CC ZINC001124488629 817488196 /nfs/dbraw/zinc/48/81/96/817488196.db2.gz SLBPFQZFWZKBPC-GFCCVEGCSA-N 0 1 295.387 0.485 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)[C@@H]1COCCO1 ZINC001038074787 817531004 /nfs/dbraw/zinc/53/10/04/817531004.db2.gz DLAIDVPDPQVXJY-RYUDHWBXSA-N 0 1 254.330 0.168 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn(CC3CC3)nn2)C1 ZINC001032080225 817608781 /nfs/dbraw/zinc/60/87/81/817608781.db2.gz MHBOWXXDRQJRBE-UHFFFAOYSA-N 0 1 275.356 0.536 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCc1nc2ccccc2n1C ZINC001124890559 817619437 /nfs/dbraw/zinc/61/94/37/817619437.db2.gz ZPACBBYVGMYKKZ-NSHDSACASA-N 0 1 285.351 0.939 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cncc(C(N)=O)c2)C1 ZINC001032192196 817687220 /nfs/dbraw/zinc/68/72/20/817687220.db2.gz CHJCUQCMUFTYFT-UHFFFAOYSA-N 0 1 288.351 0.418 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C)c1 ZINC001032272161 817772429 /nfs/dbraw/zinc/77/24/29/817772429.db2.gz LXVOTDFYQFFSMY-STQMWFEESA-N 0 1 260.341 0.895 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1nccnc1C ZINC001272746096 817777345 /nfs/dbraw/zinc/77/73/45/817777345.db2.gz JSXFDNIRPBCYSG-HUUCEWRRSA-N 0 1 284.363 0.984 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nn1)NC(=O)[C@H]1CCCN1C ZINC001107644736 817911514 /nfs/dbraw/zinc/91/15/14/817911514.db2.gz HVTZMHJLVJSDQX-ZYHUDNBSSA-N 0 1 288.355 0.359 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN(CCC=C)C[C@H]2O)c1 ZINC001077650194 817917825 /nfs/dbraw/zinc/91/78/25/817917825.db2.gz XWEYEGNVTFGDIR-HUUCEWRRSA-N 0 1 285.347 0.414 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)COC ZINC001098833724 818031382 /nfs/dbraw/zinc/03/13/82/818031382.db2.gz TZXFJVZQQPWAHJ-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN C=C(C)CCC(=O)NCCNCC(=O)Nc1cc(C)on1 ZINC001128405445 818220649 /nfs/dbraw/zinc/22/06/49/818220649.db2.gz ZPLOHHIHAVBRKX-UHFFFAOYSA-N 0 1 294.355 0.984 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2nnn(C)c2C)C1 ZINC001032974576 818397822 /nfs/dbraw/zinc/39/78/22/818397822.db2.gz YRLYOEHFPMAJIL-GFCCVEGCSA-N 0 1 277.372 0.846 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033003646 818416970 /nfs/dbraw/zinc/41/69/70/818416970.db2.gz FHGLWSJORMPVGR-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2snnc2C)[C@@H](O)C1 ZINC001090066099 818447277 /nfs/dbraw/zinc/44/72/77/818447277.db2.gz VDNUPRJRRCJFFO-QWRGUYRKSA-N 0 1 296.396 0.588 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033037743 818448604 /nfs/dbraw/zinc/44/86/04/818448604.db2.gz LRZVHYYYKZCZGU-SNVBAGLBSA-N 0 1 265.313 0.311 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)COCCN(Cc1cnc[nH]1)C2 ZINC001273023894 818459114 /nfs/dbraw/zinc/45/91/14/818459114.db2.gz LROOZXQAEXGINN-HNNXBMFYSA-N 0 1 288.351 0.094 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C)nc2)[C@H](O)C1 ZINC001090074578 818465137 /nfs/dbraw/zinc/46/51/37/818465137.db2.gz IETPCQICSAREAF-UONOGXRCSA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccccc2O)[C@@H](O)C1 ZINC001090077290 818468893 /nfs/dbraw/zinc/46/88/93/818468893.db2.gz QOTXSUOHPLZNTR-OCCSQVGLSA-N 0 1 276.336 0.743 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CC)n[nH]2)[C@@H](O)C1 ZINC001090100890 818515947 /nfs/dbraw/zinc/51/59/47/818515947.db2.gz USWGHLZKDAPUHP-YPMHNXCESA-N 0 1 278.356 0.323 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccn(CC)n2)[C@@H](O)C1 ZINC001083832322 818527636 /nfs/dbraw/zinc/52/76/36/818527636.db2.gz CGUPULOJEWUWDB-OLZOCXBDSA-N 0 1 278.356 0.254 20 30 CCEDMN C=CCCN1CC[C@H](N(C)C(=O)C2CS(=O)(=O)C2)C1 ZINC001033109768 818528876 /nfs/dbraw/zinc/52/88/76/818528876.db2.gz DXEUWAVAWGPORU-LBPRGKRZSA-N 0 1 286.397 0.140 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001033175491 818600566 /nfs/dbraw/zinc/60/05/66/818600566.db2.gz DPCBMUXKRMPULN-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccnn2CCOC)C1 ZINC001033174155 818603683 /nfs/dbraw/zinc/60/36/83/818603683.db2.gz IWPFXWCAGZMBKQ-ZDUSSCGKSA-N 0 1 292.383 0.862 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C2CCC(C(N)=O)CC2)C1 ZINC001033219152 818658304 /nfs/dbraw/zinc/65/83/04/818658304.db2.gz GSQHENWFTUTYND-RUXDESIVSA-N 0 1 293.411 0.997 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(C(N)=O)cn2C)C1 ZINC001033239524 818670217 /nfs/dbraw/zinc/67/02/17/818670217.db2.gz YEDVRTDCPVYKFL-GFCCVEGCSA-N 0 1 290.367 0.456 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccn(CCOC)n2)C1 ZINC001033252161 818674110 /nfs/dbraw/zinc/67/41/10/818674110.db2.gz DINQRKNDUZVPRS-CYBMUJFWSA-N 0 1 292.383 0.862 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)o2)C1 ZINC001033245329 818674488 /nfs/dbraw/zinc/67/44/88/818674488.db2.gz DDTXVPVFBMBPOY-JTQLQIEISA-N 0 1 277.324 0.711 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001083853255 818703579 /nfs/dbraw/zinc/70/35/79/818703579.db2.gz KXALIPULMYPUGQ-RNJOBUHISA-N 0 1 268.357 0.149 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001033337055 818721663 /nfs/dbraw/zinc/72/16/63/818721663.db2.gz DKVUVQRGBAFNJF-IGQOVBAYSA-N 0 1 264.369 0.988 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@]2(F)CCOC2)C1 ZINC001033364383 818733273 /nfs/dbraw/zinc/73/32/73/818733273.db2.gz DTKMTUJLXOIXAL-YPMHNXCESA-N 0 1 256.321 0.834 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001033414604 818761416 /nfs/dbraw/zinc/76/14/16/818761416.db2.gz XIQPQAFWSPXSLQ-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2cc(C(N)=O)co2)C1 ZINC001033411812 818762028 /nfs/dbraw/zinc/76/20/28/818762028.db2.gz CVXHAJCDVFQQIQ-LBPRGKRZSA-N 0 1 289.335 0.548 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001033414259 818762080 /nfs/dbraw/zinc/76/20/80/818762080.db2.gz UGSBEJMJNCNTPW-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001033421107 818771383 /nfs/dbraw/zinc/77/13/83/818771383.db2.gz LRQUKNFYORLMBE-RISCZKNCSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001033489936 818801508 /nfs/dbraw/zinc/80/15/08/818801508.db2.gz CERIGGNUUPXOQR-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001033535102 818820534 /nfs/dbraw/zinc/82/05/34/818820534.db2.gz BAPXJHWXYJHRIT-LLVKDONJSA-N 0 1 276.340 0.446 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC001033563108 818833288 /nfs/dbraw/zinc/83/32/88/818833288.db2.gz SGRZJVBCVVQQBQ-OLZOCXBDSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CC[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001033563108 818833293 /nfs/dbraw/zinc/83/32/93/818833293.db2.gz SGRZJVBCVVQQBQ-OLZOCXBDSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC001033563108 818833304 /nfs/dbraw/zinc/83/33/04/818833304.db2.gz SGRZJVBCVVQQBQ-OLZOCXBDSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001033563108 818833309 /nfs/dbraw/zinc/83/33/09/818833309.db2.gz SGRZJVBCVVQQBQ-OLZOCXBDSA-N 0 1 286.379 0.681 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001033582225 818836766 /nfs/dbraw/zinc/83/67/66/818836766.db2.gz INNYPTQESGSRKL-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001033571790 818840037 /nfs/dbraw/zinc/84/00/37/818840037.db2.gz QTERVYMYKRSOTO-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN CN(C)c1ccncc1C(=O)N(C)[C@H]1CCN(CC#N)C1 ZINC001033584969 818846158 /nfs/dbraw/zinc/84/61/58/818846158.db2.gz CXBWYLPTXUYFRF-LBPRGKRZSA-N 0 1 287.367 0.817 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001033617418 818853014 /nfs/dbraw/zinc/85/30/14/818853014.db2.gz TWTUVULTVGJZNV-KGLIPLIRSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CC[N@H+]1CC[C@H](N(C)C(=O)[C@@H]2C[NH+](CC)CCO2)C1 ZINC001033681113 818884876 /nfs/dbraw/zinc/88/48/76/818884876.db2.gz RUQSTHRNIJSEHD-KBPBESRZSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2nn(CC)nc2C)C1 ZINC001033710335 818896302 /nfs/dbraw/zinc/89/63/02/818896302.db2.gz PGXJTUIIXMELBA-GFCCVEGCSA-N 0 1 277.372 0.939 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)N2CCOCC2)C1 ZINC001033720758 818896340 /nfs/dbraw/zinc/89/63/40/818896340.db2.gz YAAWRBUQDVNXGA-KBPBESRZSA-N 0 1 281.400 0.426 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001033725653 818905901 /nfs/dbraw/zinc/90/59/01/818905901.db2.gz XHXAZSFAMBYIKX-GXTWGEPZSA-N 0 1 274.368 0.690 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033791267 818939816 /nfs/dbraw/zinc/93/98/16/818939816.db2.gz ISIIRUGMEHSKBE-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033791267 818939821 /nfs/dbraw/zinc/93/98/21/818939821.db2.gz ISIIRUGMEHSKBE-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cccc(=O)[nH]2)C1 ZINC001033792350 818940561 /nfs/dbraw/zinc/94/05/61/818940561.db2.gz OKOXCBJJCPPWLT-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cccc(=O)[nH]2)C1 ZINC001033792350 818940567 /nfs/dbraw/zinc/94/05/67/818940567.db2.gz OKOXCBJJCPPWLT-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033808495 818943799 /nfs/dbraw/zinc/94/37/99/818943799.db2.gz BSMMUPPKWGHBMR-LLVKDONJSA-N 0 1 277.324 0.148 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2COCCN2C)C1 ZINC001033802216 818948585 /nfs/dbraw/zinc/94/85/85/818948585.db2.gz REWIUZBWAYVWFA-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@]23C[C@H]2COC3)C1 ZINC001033839531 818963551 /nfs/dbraw/zinc/96/35/51/818963551.db2.gz QMDJACWZUHBIIA-DZKIICNBSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccc(=O)n(C)c2)C1 ZINC001033886197 818980740 /nfs/dbraw/zinc/98/07/40/818980740.db2.gz UPIBUHMGEGMMFI-AWEZNQCLSA-N 0 1 287.363 0.555 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CCNC2=O)C1 ZINC001033923814 818989865 /nfs/dbraw/zinc/98/98/65/818989865.db2.gz UJQXBKXDIWALIO-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccncc2F)[C@@H](O)C1 ZINC001090159177 819000076 /nfs/dbraw/zinc/00/00/76/819000076.db2.gz RGPHISZOMOVJDE-OLZOCXBDSA-N 0 1 279.315 0.572 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001034021578 819032386 /nfs/dbraw/zinc/03/23/86/819032386.db2.gz PUJOXVNVBZRLKE-RYUDHWBXSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001034051891 819039095 /nfs/dbraw/zinc/03/90/95/819039095.db2.gz CZQHIDKPHQDBFF-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2COCCO2)C1 ZINC001034107706 819076653 /nfs/dbraw/zinc/07/66/53/819076653.db2.gz LZFGBYHZPHTRFN-CHWSQXEVSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001034107707 819076693 /nfs/dbraw/zinc/07/66/93/819076693.db2.gz LZFGBYHZPHTRFN-OLZOCXBDSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC001034121314 819082639 /nfs/dbraw/zinc/08/26/39/819082639.db2.gz WITDAMLAOFBDJX-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC001034121314 819082642 /nfs/dbraw/zinc/08/26/42/819082642.db2.gz WITDAMLAOFBDJX-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1CCCCN(CC#N)C1 ZINC001034129302 819088031 /nfs/dbraw/zinc/08/80/31/819088031.db2.gz AHGBMLOCQZDJGP-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cn(C)cn2)C1 ZINC001034168868 819104971 /nfs/dbraw/zinc/10/49/71/819104971.db2.gz KRPFQJQKZYPACR-GFCCVEGCSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cccnc2)[C@@H](O)C1 ZINC001090199771 819135262 /nfs/dbraw/zinc/13/52/62/819135262.db2.gz JKFTVBYTZOGCTO-GJZGRUSLSA-N 0 1 287.363 0.199 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2coc(C)n2)[C@H](O)C1 ZINC001090205334 819161020 /nfs/dbraw/zinc/16/10/20/819161020.db2.gz CRICLJYKGPGGTG-WCQYABFASA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(C(C)C)C[C@@H]1n1ccnn1 ZINC001128939386 819173921 /nfs/dbraw/zinc/17/39/21/819173921.db2.gz OGROUSJSDQXWNX-KGLIPLIRSA-N 0 1 289.383 0.832 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001034440538 819206834 /nfs/dbraw/zinc/20/68/34/819206834.db2.gz WBQWPUWQZZMOCI-OLZOCXBDSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnnc(C)c2)[C@@H](O)C1 ZINC001090222284 819246955 /nfs/dbraw/zinc/24/69/55/819246955.db2.gz SDRABHYWZNYSHU-STQMWFEESA-N 0 1 276.340 0.136 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2nccc(C)n2)[C@H](O)C1 ZINC001090232026 819279406 /nfs/dbraw/zinc/27/94/06/819279406.db2.gz RFUUDVVMHWGWGX-CHWSQXEVSA-N 0 1 290.367 0.526 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nccc(C)n2)[C@H](O)C1 ZINC001090232026 819279414 /nfs/dbraw/zinc/27/94/14/819279414.db2.gz RFUUDVVMHWGWGX-CHWSQXEVSA-N 0 1 290.367 0.526 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C)nn2)[C@H](O)C1 ZINC001090257691 819321819 /nfs/dbraw/zinc/32/18/19/819321819.db2.gz KEAKUTXZMZSCAQ-GXTWGEPZSA-N 0 1 290.367 0.526 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H]1CNCc1ccn(C)n1 ZINC001034885962 819355734 /nfs/dbraw/zinc/35/57/34/819355734.db2.gz CZODWIZMXZWGKD-DGCLKSJQSA-N 0 1 275.356 0.660 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CC)C[C@@H]1n1ccnn1 ZINC001129159598 819464827 /nfs/dbraw/zinc/46/48/27/819464827.db2.gz MJBGHYFQCIQFPI-NEPJUHHUSA-N 0 1 277.372 0.852 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001035375490 819494097 /nfs/dbraw/zinc/49/40/97/819494097.db2.gz PJUCTBHUXXSQAA-LZWOXQAQSA-N 0 1 294.395 0.806 20 30 CCEDMN C=CCN1CCO[C@@H](CNC(=O)[C@H]2CCCCN2C)C1 ZINC001035413428 819518436 /nfs/dbraw/zinc/51/84/36/819518436.db2.gz CELPFAGTMMTCAE-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@H]1OCC)CC2 ZINC001035655891 819594108 /nfs/dbraw/zinc/59/41/08/819594108.db2.gz KXNPTKUHTYHXHY-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccnc2ccnn21 ZINC001129240649 819720600 /nfs/dbraw/zinc/72/06/00/819720600.db2.gz UYXYTKSQIWRWGR-UHFFFAOYSA-N 0 1 279.731 0.801 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CC[C@@H]1NCC#N ZINC001036299828 819722689 /nfs/dbraw/zinc/72/26/89/819722689.db2.gz ZJWVBFQDHLAILY-PWSUYJOCSA-N 0 1 298.350 0.922 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nn(C)cc2C)[C@H](O)C1 ZINC001090263913 819988552 /nfs/dbraw/zinc/98/85/52/819988552.db2.gz YZBHKKMCVZDDSB-NWDGAFQWSA-N 0 1 278.356 0.080 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])CC[C@H]21 ZINC001036690746 820021254 /nfs/dbraw/zinc/02/12/54/820021254.db2.gz FLBKAZFJPCIVMG-VXGBXAGGSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3[nH]nc(C)c3[O-])CC[C@H]21 ZINC001036690746 820021262 /nfs/dbraw/zinc/02/12/62/820021262.db2.gz FLBKAZFJPCIVMG-VXGBXAGGSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3[nH]nc(C)c3[O-])CC[C@H]21 ZINC001036690746 820021269 /nfs/dbraw/zinc/02/12/69/820021269.db2.gz FLBKAZFJPCIVMG-VXGBXAGGSA-N 0 1 288.351 0.593 20 30 CCEDMN CC(=O)N1CCN(CCCCCNC(=O)[C@H](C)C#N)CC1 ZINC001079164835 820322198 /nfs/dbraw/zinc/32/21/98/820322198.db2.gz UEIGAHLEWFEHMM-CYBMUJFWSA-N 0 1 294.399 0.597 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001079388579 820372352 /nfs/dbraw/zinc/37/23/52/820372352.db2.gz OWRLSDNPVPGXHT-TZMCWYRMSA-N 0 1 273.336 0.393 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cc(C)on2)C1 ZINC001079503553 820408576 /nfs/dbraw/zinc/40/85/76/820408576.db2.gz QHEDNYJAICLFEH-BXUZGUMPSA-N 0 1 275.352 0.985 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc3n(n2)CCC3)C1 ZINC001079816010 820469920 /nfs/dbraw/zinc/46/99/20/820469920.db2.gz KVJWIDIRVPUSIB-IUODEOHRSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001079889938 820485635 /nfs/dbraw/zinc/48/56/35/820485635.db2.gz ZORFMEDKCQDOKE-HKUMRIAESA-N 0 1 272.352 0.583 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001080316003 820551561 /nfs/dbraw/zinc/55/15/61/820551561.db2.gz UQEOGKHLSQFANO-JHJVBQTASA-N 0 1 277.368 0.067 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCCOC)[C@@H](O)C1 ZINC001099711930 820553070 /nfs/dbraw/zinc/55/30/70/820553070.db2.gz HVKFENZUOKUXRF-STQMWFEESA-N 0 1 270.373 0.541 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001080769670 820635101 /nfs/dbraw/zinc/63/51/01/820635101.db2.gz CYLWRWCORVAVNU-MGPQQGTHSA-N 0 1 291.395 0.315 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H]2CCOC2)[C@H](OC)C1 ZINC001081467687 820768999 /nfs/dbraw/zinc/76/89/99/820768999.db2.gz YTCOFQVQBYVSNY-BFHYXJOUSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)[C@H](OC)C1 ZINC001081955035 820869708 /nfs/dbraw/zinc/86/97/08/820869708.db2.gz TVVWGFJXAJAHGR-CHWSQXEVSA-N 0 1 291.351 0.331 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@@]2(F)CCOC2)[C@H](OC)C1 ZINC001082064097 820891598 /nfs/dbraw/zinc/89/15/98/820891598.db2.gz QPHFUYSDUORAJJ-YRGRVCCFSA-N 0 1 286.347 0.507 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2conc2C)[C@H](OC)C1 ZINC001082092960 820893021 /nfs/dbraw/zinc/89/30/21/820893021.db2.gz XWPGMWCWWANDJR-CHWSQXEVSA-N 0 1 279.340 0.988 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccco2)[C@H](OC)C1 ZINC001082259320 820925520 /nfs/dbraw/zinc/92/55/20/820925520.db2.gz DQOCIBILANBKNK-ZIAGYGMSSA-N 0 1 276.336 0.661 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C#C)cn2)[C@H](OC)C1 ZINC001082333494 820937203 /nfs/dbraw/zinc/93/72/03/820937203.db2.gz KZOMWFNKQYLDKX-HZPDHXFCSA-N 0 1 297.358 0.515 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2[nH]cnc2CC)[C@H](OC)C1 ZINC001082349692 820942685 /nfs/dbraw/zinc/94/26/85/820942685.db2.gz NKPVNTJUQWUJOI-CHWSQXEVSA-N 0 1 290.367 0.424 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@]2(C)CCNC2=O)C[C@H]1C ZINC001082737580 821028758 /nfs/dbraw/zinc/02/87/58/821028758.db2.gz FHMMRFKXMGTQNQ-GLXFQSAKSA-N 0 1 299.802 0.702 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]nc2CC)[C@@H](O)C1 ZINC001090379803 821038258 /nfs/dbraw/zinc/03/82/58/821038258.db2.gz AOJSPMCTHPFFPZ-OLZOCXBDSA-N 0 1 278.356 0.323 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CC[C@H](C)CC2)[C@@H](O)C1 ZINC001083973414 821176065 /nfs/dbraw/zinc/17/60/65/821176065.db2.gz HSDVHTQKZHIPEU-TUVASFSCSA-N 0 1 278.396 0.997 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2C[C@H]3CCN(CCO)C[C@H]32)c1 ZINC001084261708 821257018 /nfs/dbraw/zinc/25/70/18/821257018.db2.gz UUGGIFBPANMBNP-DGCLKSJQSA-N 0 1 274.324 0.025 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cnnn3C)[C@@H]2C1 ZINC001084316157 821269905 /nfs/dbraw/zinc/26/99/05/821269905.db2.gz UUCBZFOPDXEFCG-DGCLKSJQSA-N 0 1 275.356 0.538 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cnnn3CC)[C@@H]2C1 ZINC001084327284 821270532 /nfs/dbraw/zinc/27/05/32/821270532.db2.gz NQICZQRMEFVDSH-TZMCWYRMSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001084299961 821275653 /nfs/dbraw/zinc/27/56/53/821275653.db2.gz ODLMCHXVQKDVNQ-CHWSQXEVSA-N 0 1 285.347 0.957 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001084299961 821275656 /nfs/dbraw/zinc/27/56/56/821275656.db2.gz ODLMCHXVQKDVNQ-CHWSQXEVSA-N 0 1 285.347 0.957 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)c3cccc4nn[nH]c43)[C@@H]2C1 ZINC001084367789 821279652 /nfs/dbraw/zinc/27/96/52/821279652.db2.gz HKQLRMUMQGQREG-ZWNOBZJWSA-N 0 1 296.334 0.628 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3CC(=O)N(C)C3)[C@@H]2C1 ZINC001084386906 821279840 /nfs/dbraw/zinc/27/98/40/821279840.db2.gz UFMDLLUSYKYVOG-FRRDWIJNSA-N 0 1 277.368 0.183 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CC(=O)N(C)C3)[C@@H]2C1 ZINC001084386906 821279847 /nfs/dbraw/zinc/27/98/47/821279847.db2.gz UFMDLLUSYKYVOG-FRRDWIJNSA-N 0 1 277.368 0.183 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@]3(C)CCNC(=O)C3)[C@@H]2C1 ZINC001084448747 821291498 /nfs/dbraw/zinc/29/14/98/821291498.db2.gz DLIRNCAOOHRTIE-IOASZLSFSA-N 0 1 291.395 0.621 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ncc(OC)cn3)[C@@H]2C1 ZINC001084506632 821303204 /nfs/dbraw/zinc/30/32/04/821303204.db2.gz USWGTSRQSRAPSM-DGCLKSJQSA-N 0 1 288.351 0.818 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ncc(OC)cn3)[C@@H]2C1 ZINC001084506632 821303212 /nfs/dbraw/zinc/30/32/12/821303212.db2.gz USWGTSRQSRAPSM-DGCLKSJQSA-N 0 1 288.351 0.818 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCn3ccnc3)[C@@H]2C1 ZINC001084560995 821315308 /nfs/dbraw/zinc/31/53/08/821315308.db2.gz MTULTKMYWRUZTJ-HUUCEWRRSA-N 0 1 286.379 0.829 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cn3nccc3C)[C@@H]2C1 ZINC001084692459 821352509 /nfs/dbraw/zinc/35/25/09/821352509.db2.gz KKJYDIVCTUPXBR-ZIAGYGMSSA-N 0 1 274.368 0.910 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cnn(C)c3OC)[C@@H]2C1 ZINC001084800145 821393540 /nfs/dbraw/zinc/39/35/40/821393540.db2.gz ULTJXBPTYPUMBQ-DGCLKSJQSA-N 0 1 290.367 0.761 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)Cc3[nH]cnc3C)[C@@H]2C1 ZINC001084799314 821395672 /nfs/dbraw/zinc/39/56/72/821395672.db2.gz CHGBBTSKFMVCJX-TZMCWYRMSA-N 0 1 272.352 0.427 20 30 CCEDMN C#CCN(C(=O)CCc1c[nH]nn1)C1CSC1 ZINC000820363223 821442560 /nfs/dbraw/zinc/44/25/60/821442560.db2.gz ZOEHTDRXENCIPY-UHFFFAOYSA-N 0 1 250.327 0.315 20 30 CCEDMN C#CCN(C(=O)CCc1cnn[nH]1)C1CSC1 ZINC000820363223 821442564 /nfs/dbraw/zinc/44/25/64/821442564.db2.gz ZOEHTDRXENCIPY-UHFFFAOYSA-N 0 1 250.327 0.315 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)[C@H]3OCC[C@H]3C)C[C@@H]21 ZINC001085036049 821465717 /nfs/dbraw/zinc/46/57/17/821465717.db2.gz IHCOVQOUOUXIIA-KBXIAJHMSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3OCC[C@H]3C)C[C@@H]21 ZINC001085036049 821465722 /nfs/dbraw/zinc/46/57/22/821465722.db2.gz IHCOVQOUOUXIIA-KBXIAJHMSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCN1CC[C@@]2(CC[N@@H+](Cc3ccnnc3)C2)C1=O ZINC001273271155 821529034 /nfs/dbraw/zinc/52/90/34/821529034.db2.gz JLWDSXCBUNSLMJ-OAHLLOKOSA-N 0 1 270.336 0.534 20 30 CCEDMN C[C@]1(NC(=O)c2cnn[nH]2)CCN(c2ccncc2C#N)C1 ZINC001065359166 821558523 /nfs/dbraw/zinc/55/85/23/821558523.db2.gz RCPRJUDASBSOJH-AWEZNQCLSA-N 0 1 297.322 0.470 20 30 CCEDMN C=CCN1CC[C@@]2(CC[N@H+](Cc3cnc(N)nc3)C2)C1=O ZINC001273304868 821591148 /nfs/dbraw/zinc/59/11/48/821591148.db2.gz STLUVWRRZBFDRF-OAHLLOKOSA-N 0 1 287.367 0.669 20 30 CCEDMN N#CCNC[C@@H]1CN(C(=O)c2cccc3[nH]cnc32)CCO1 ZINC001273330280 821686064 /nfs/dbraw/zinc/68/60/64/821686064.db2.gz BCKLIXYVRQSXAC-LLVKDONJSA-N 0 1 299.334 0.517 20 30 CCEDMN CN(C)c1ccc(C(N)=NOC[C@H]2CNC(=O)C2)cc1 ZINC000828302518 821757321 /nfs/dbraw/zinc/75/73/21/821757321.db2.gz OUNYTSNNISSSNR-SNVBAGLBSA-N 0 1 276.340 0.526 20 30 CCEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1nccnc1N ZINC001085524254 821770146 /nfs/dbraw/zinc/77/01/46/821770146.db2.gz HCXVWDLBGISDKJ-LLVKDONJSA-N 0 1 275.356 0.781 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CC(=O)N(CC)C1 ZINC001085627539 821858972 /nfs/dbraw/zinc/85/89/72/821858972.db2.gz HUGVEYDVJJFNOA-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnn2c1CCC2 ZINC001085632581 821862813 /nfs/dbraw/zinc/86/28/13/821862813.db2.gz XXVXZXWQGVCNIF-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnn2c1CCC2 ZINC001085632581 821862822 /nfs/dbraw/zinc/86/28/22/821862822.db2.gz XXVXZXWQGVCNIF-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCNC1=O ZINC001085652971 821873224 /nfs/dbraw/zinc/87/32/24/821873224.db2.gz JWHHWPARYHMLHH-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(OCC)n[nH]1 ZINC001085666118 821885985 /nfs/dbraw/zinc/88/59/85/821885985.db2.gz YWJDLEOZQKGLGL-GFCCVEGCSA-N 0 1 290.367 0.978 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccn2nnnc2c1 ZINC001085761965 821933737 /nfs/dbraw/zinc/93/37/37/821933737.db2.gz XSFMVZSAOASUIV-ZDUSSCGKSA-N 0 1 298.350 0.294 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cn(C)c(=O)[nH]1 ZINC001085797969 821955332 /nfs/dbraw/zinc/95/53/32/821955332.db2.gz FEBFHTCLJRTVEQ-NSHDSACASA-N 0 1 278.356 0.848 20 30 CCEDMN C=CCN1CCO[C@](C)(CNC(=O)CN2CC[C@@H](C)C2)C1 ZINC001108216345 821988053 /nfs/dbraw/zinc/98/80/53/821988053.db2.gz XEEFEYYRNPLWLC-GDBMZVCRSA-N 0 1 295.427 0.721 20 30 CCEDMN C=C(C)CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cnn(C)n1 ZINC001085872859 821989479 /nfs/dbraw/zinc/98/94/79/821989479.db2.gz JDFGFCZTUIKBMH-LBPRGKRZSA-N 0 1 277.372 0.928 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc2ncccn2n1 ZINC001085882169 821994270 /nfs/dbraw/zinc/99/42/70/821994270.db2.gz WYUBUIKLHKEAPQ-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@H]2CCN2CCCO)cn1 ZINC001085933985 822023065 /nfs/dbraw/zinc/02/30/65/822023065.db2.gz OTRLWOQHMUFCLD-OAHLLOKOSA-N 0 1 287.363 0.592 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)c1ccc2[nH]nnc2c1 ZINC001085966171 822042091 /nfs/dbraw/zinc/04/20/91/822042091.db2.gz CHTYLVSFKMYAGS-NSHDSACASA-N 0 1 284.323 0.628 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccn(C)n1 ZINC001085972227 822043112 /nfs/dbraw/zinc/04/31/12/822043112.db2.gz VCLWYWWZILFVLE-LBPRGKRZSA-N 0 1 260.341 0.590 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1[nH]cnc1C ZINC001086004427 822064803 /nfs/dbraw/zinc/06/48/03/822064803.db2.gz FNKUTNWOZXLHOJ-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN(C(=O)c2ccn[nH]2)CC1 ZINC001066474887 822197314 /nfs/dbraw/zinc/19/73/14/822197314.db2.gz KVTHSJGKJHDZRF-GHMZBOCLSA-N 0 1 289.339 0.680 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cnccn2)[C@@H](O)C1 ZINC001100066510 822213268 /nfs/dbraw/zinc/21/32/68/822213268.db2.gz JIWSSPLHWCISTO-KGLIPLIRSA-N 0 1 290.367 0.147 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001086468684 822268177 /nfs/dbraw/zinc/26/81/77/822268177.db2.gz MGZIRZAPZNJIOA-ZKCHVHJHSA-N 0 1 251.265 0.170 20 30 CCEDMN Cc1ccc(C#N)c(N(C)[C@@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001113970123 837388066 /nfs/dbraw/zinc/38/80/66/837388066.db2.gz JDDYRMUNQMCEMC-JTQLQIEISA-N 0 1 299.338 0.635 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)N(C)C)C[C@H]21 ZINC001114201218 837470532 /nfs/dbraw/zinc/47/05/32/837470532.db2.gz LNHFJNSHWMWDKX-RMRHIDDWSA-N 0 1 291.395 0.313 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CC)C[C@@H]1n1ccnn1 ZINC001129477029 837515883 /nfs/dbraw/zinc/51/58/83/837515883.db2.gz WSYAHDIVZHFUDA-OLZOCXBDSA-N 0 1 293.371 0.232 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)/C=C/C2CC2)C[C@@H]1n1ccnn1 ZINC001129718166 837548836 /nfs/dbraw/zinc/54/88/36/837548836.db2.gz ZRDILNIYKCRTOF-CNBITHIKSA-N 0 1 299.378 0.609 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCN(C)C(=O)CC(C)C ZINC001273481493 844029494 /nfs/dbraw/zinc/02/94/94/844029494.db2.gz GOHVWSUTPSISCS-CQSZACIVSA-N 0 1 295.427 0.951 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)cn1)NC(=O)CN1CCCC1 ZINC001108385197 835997743 /nfs/dbraw/zinc/99/77/43/835997743.db2.gz YUGDKNLDDBWTQG-LBPRGKRZSA-N 0 1 287.367 0.966 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)Cn2ccnc2)C1 ZINC001108439496 836110660 /nfs/dbraw/zinc/11/06/60/836110660.db2.gz LZQGPJLFWKOLMF-HNNXBMFYSA-N 0 1 292.383 0.666 20 30 CCEDMN COc1nc(C)nc(-n2c(C)cnc2CN)c1C#N ZINC001169020568 836115869 /nfs/dbraw/zinc/11/58/69/836115869.db2.gz OXZYEPOSGPZIDI-UHFFFAOYSA-N 0 1 258.285 0.618 20 30 CCEDMN C[C@@H](CNc1ncccc1C#N)NC(=O)c1ncn[nH]1 ZINC001108475723 836274781 /nfs/dbraw/zinc/27/47/81/836274781.db2.gz SICMHZVGFZGLGR-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C[C@@H](CNc1ncccc1C#N)NC(=O)c1nc[nH]n1 ZINC001108475723 836274793 /nfs/dbraw/zinc/27/47/93/836274793.db2.gz SICMHZVGFZGLGR-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN CN1CCN(c2cccc(N3CCNC[C@@H]3C#N)n2)CC1 ZINC001156328007 836320254 /nfs/dbraw/zinc/32/02/54/836320254.db2.gz XRMCDNZNMCDSEO-ZDUSSCGKSA-N 0 1 286.383 0.135 20 30 CCEDMN N#CC[C@@H](O)C[N@H+]1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001169865016 836470654 /nfs/dbraw/zinc/47/06/54/836470654.db2.gz VUAFFKDZSVBHAT-RKDXNWHRSA-N 0 1 252.274 0.229 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)CCC)C2 ZINC001109016614 836599016 /nfs/dbraw/zinc/59/90/16/836599016.db2.gz WPMSDZQCVJXCDJ-AGIUHOORSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(C)(C)O)C2 ZINC001109215445 836638596 /nfs/dbraw/zinc/63/85/96/836638596.db2.gz GHBCGKUERNUMIA-WOPDTQHZSA-N 0 1 250.342 0.502 20 30 CCEDMN C[C@@H](CN(C)c1ccc(C#N)nc1)NC(=O)Cc1cnc[nH]1 ZINC001109255130 836648286 /nfs/dbraw/zinc/64/82/86/836648286.db2.gz MTSRSDJFINTBTE-NSHDSACASA-N 0 1 298.350 0.860 20 30 CCEDMN C[C@H](CN(C)c1ncccc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001109254649 836648482 /nfs/dbraw/zinc/64/84/82/836648482.db2.gz DECDIPKGIPLENJ-LLVKDONJSA-N 0 1 298.350 0.860 20 30 CCEDMN Cc1ccc(C#N)c(N(C)C[C@@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001109306714 836653826 /nfs/dbraw/zinc/65/38/26/836653826.db2.gz NDZLLCZEHFKYBC-SNVBAGLBSA-N 0 1 299.338 0.635 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCC(N)=O)C2 ZINC001109953341 836749263 /nfs/dbraw/zinc/74/92/63/836749263.db2.gz GFAPIUJNTHWOIF-AGIUHOORSA-N 0 1 279.384 0.940 20 30 CCEDMN N#Cc1nc(NC[C@H]2COCCN2)cc2nc[nH]c21 ZINC001170035811 836754922 /nfs/dbraw/zinc/75/49/22/836754922.db2.gz PPTASJMCDAZDRN-QMMMGPOBSA-N 0 1 258.285 0.230 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCCC(=O)NC)[C@@H](O)C1 ZINC001100135904 836762210 /nfs/dbraw/zinc/76/22/10/836762210.db2.gz DOUDWVGIDSBXDR-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2COCCO2)CC1 ZINC001112619939 836828031 /nfs/dbraw/zinc/82/80/31/836828031.db2.gz UBUSGQGCVXRTCS-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCOCC(=O)N1CCN(CCCCOC)CC1 ZINC001112703620 836874451 /nfs/dbraw/zinc/87/44/51/836874451.db2.gz AQKXJPSQRVIHFE-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2CN(C)CCO2)CC1 ZINC001112741877 836889143 /nfs/dbraw/zinc/88/91/43/836889143.db2.gz DPRRXBZRFLYITE-LSDHHAIUSA-N 0 1 295.427 0.816 20 30 CCEDMN C=CCCCN1CCN(C(=O)CN2CN=NC2=O)CC1 ZINC001112782298 836911125 /nfs/dbraw/zinc/91/11/25/836911125.db2.gz MUMSZORABGOWOJ-UHFFFAOYSA-N 0 1 279.344 0.094 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2cnn(C)c2N)CC1 ZINC001112783834 836911425 /nfs/dbraw/zinc/91/14/25/836911425.db2.gz FHOYNOJGNQRHDA-UHFFFAOYSA-N 0 1 277.372 0.726 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cn(C)nn2)CC1 ZINC001112817570 836930544 /nfs/dbraw/zinc/93/05/44/836930544.db2.gz SPEUJOFDMDULBD-LBPRGKRZSA-N 0 1 277.372 0.928 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CC(CNc2ncnc3[nH]cnc32)C1 ZINC001112828690 836934637 /nfs/dbraw/zinc/93/46/37/836934637.db2.gz CUYGKOWBVILJFO-IDKOKCKLSA-N 0 1 299.338 0.771 20 30 CCEDMN C[C@@H](Nc1ncccc1C#N)[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001113150342 837035609 /nfs/dbraw/zinc/03/56/09/837035609.db2.gz KTTWECNDUALHRQ-VHSXEESVSA-N 0 1 299.338 0.619 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@@](C)(C(N)=O)C(C)C ZINC001170129333 837060954 /nfs/dbraw/zinc/06/09/54/837060954.db2.gz XFLSVRTXENZDJB-CYBMUJFWSA-N 0 1 268.361 0.238 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H](COC)OC)CC1 ZINC001113331469 837091367 /nfs/dbraw/zinc/09/13/67/837091367.db2.gz YTFFMSCFMWDAHS-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C[C@@H](Nc1cncc(C#N)n1)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001113356506 837097288 /nfs/dbraw/zinc/09/72/88/837097288.db2.gz IKRYGZLKNQBCRQ-SFYZADRCSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1ncccc1C#N ZINC001113357633 837100699 /nfs/dbraw/zinc/10/06/99/837100699.db2.gz UKVWVNGINIRDMH-DTWKUNHWSA-N 0 1 285.311 0.690 20 30 CCEDMN C=CCCN1CCN(C(=O)COC[C@H]2CCOC2)CC1 ZINC001113399104 837108353 /nfs/dbraw/zinc/10/83/53/837108353.db2.gz QRBGDZWCMGPJST-AWEZNQCLSA-N 0 1 282.384 0.760 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)N(C)c1ncnc2[nH]cnc21 ZINC001113537376 837152308 /nfs/dbraw/zinc/15/23/08/837152308.db2.gz MVCHMPPCOUODSU-BDAKNGLRSA-N 0 1 287.327 0.453 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C=C(C)C ZINC001113813976 837252117 /nfs/dbraw/zinc/25/21/17/837252117.db2.gz XJNBFHDFYAUYSN-RMRHIDDWSA-N 0 1 291.395 0.690 20 30 CCEDMN Cc1nnc(CNCCCNC(=O)C#CC(C)(C)C)[nH]1 ZINC001157727134 837326246 /nfs/dbraw/zinc/32/62/46/837326246.db2.gz DWVZJNSXECWWPR-UHFFFAOYSA-N 0 1 277.372 0.759 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOC(C)C ZINC001113903667 837361378 /nfs/dbraw/zinc/36/13/78/837361378.db2.gz BILIXBYXNBLTAK-FOLVSLTJSA-N 0 1 294.395 0.498 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCOC ZINC001113936051 837370443 /nfs/dbraw/zinc/37/04/43/837370443.db2.gz YQEFVYRGCJOLJP-NHAGDIPZSA-N 0 1 280.368 0.109 20 30 CCEDMN C#CCCN1CCOC[C@@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001130825839 837935000 /nfs/dbraw/zinc/93/50/00/837935000.db2.gz MSBUNHXKVWEVDM-LLVKDONJSA-N 0 1 291.355 0.228 20 30 CCEDMN C#CCCN1CCOC[C@@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001130825839 837935005 /nfs/dbraw/zinc/93/50/05/837935005.db2.gz MSBUNHXKVWEVDM-LLVKDONJSA-N 0 1 291.355 0.228 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H]1CC[C@@H](NCC#N)C1 ZINC001086659007 838004450 /nfs/dbraw/zinc/00/44/50/838004450.db2.gz HKMVIBWKZNWTDN-YNEHKIRRSA-N 0 1 264.373 0.479 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2csc(C)n2)[C@@H](O)C1 ZINC001090501372 838152810 /nfs/dbraw/zinc/15/28/10/838152810.db2.gz XAGCGJIJWVQFPQ-JQWIXIFHSA-N 0 1 281.381 0.803 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)COCC=C)C[C@@H](C)O2 ZINC001131646486 838165304 /nfs/dbraw/zinc/16/53/04/838165304.db2.gz WCJLWLPGPVOGOI-ZBFHGGJFSA-N 0 1 292.379 0.514 20 30 CCEDMN C[C@@]1(C(=O)NCCNCC#Cc2ccccc2)CNC(=O)C1 ZINC001131684587 838174745 /nfs/dbraw/zinc/17/47/45/838174745.db2.gz IRLUIHOUDYCHBX-KRWDZBQOSA-N 0 1 299.374 0.270 20 30 CCEDMN Cn1nnc(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)n1 ZINC001131674568 838178125 /nfs/dbraw/zinc/17/81/25/838178125.db2.gz SCOGLSISFHHZGB-UHFFFAOYSA-N 0 1 268.240 0.210 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001131840800 838241059 /nfs/dbraw/zinc/24/10/59/838241059.db2.gz VMAGFKJROUXPGV-JTQLQIEISA-N 0 1 273.764 0.361 20 30 CCEDMN C[C@@H]1CC[C@@H](NC(=O)CSCC#N)CN1CCO ZINC001131830536 838241977 /nfs/dbraw/zinc/24/19/77/838241977.db2.gz SCUYAOVHBLPKIS-GHMZBOCLSA-N 0 1 271.386 0.205 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCCC(=O)NC)CC[C@@H]1C ZINC001131977425 838280128 /nfs/dbraw/zinc/28/01/28/838280128.db2.gz XEVOFLQTTWQYJX-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CC[C@@H](C)N(CCOCCO)C1 ZINC001132022119 838293740 /nfs/dbraw/zinc/29/37/40/838293740.db2.gz VDSBGSKNVMACQM-HUUCEWRRSA-N 0 1 296.411 0.624 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001132573804 838439968 /nfs/dbraw/zinc/43/99/68/838439968.db2.gz QZRCWTXKNRDRBX-VHSXEESVSA-N 0 1 265.361 0.777 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)N2CCc3cc(C#N)ccc3C2)C1 ZINC001185245757 844376526 /nfs/dbraw/zinc/37/65/26/844376526.db2.gz RPHDSQZPCOAHPR-MRXNPFEDSA-N 0 1 298.390 0.689 20 30 CCEDMN Cc1nnc(CN[C@@H](C)C[C@H](C)NC(=O)C#CC2CC2)[nH]1 ZINC001134322594 838947330 /nfs/dbraw/zinc/94/73/30/838947330.db2.gz UAKUGBYDVSLCLL-QWRGUYRKSA-N 0 1 289.383 0.899 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)C#CC1CC1)NCc1nncn1C ZINC001134325221 838948251 /nfs/dbraw/zinc/94/82/51/838948251.db2.gz APWTYIDLRSPCHS-VXGBXAGGSA-N 0 1 289.383 0.601 20 30 CCEDMN C#CC[NH2+]CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001134890316 839109083 /nfs/dbraw/zinc/10/90/83/839109083.db2.gz GTIVXFHIPOTODL-UHFFFAOYSA-N 0 1 260.297 0.012 20 30 CCEDMN CC[C@](N)(CO)Nc1cnc2ccc(C#N)cc2n1 ZINC001170860799 839449644 /nfs/dbraw/zinc/44/96/44/839449644.db2.gz ZQVDNFWTTXKZPA-CYBMUJFWSA-N 0 1 257.297 0.971 20 30 CCEDMN CCOC(=O)c1cnc(N[C@](N)(CC)CO)c(C#N)c1 ZINC001170865305 839460332 /nfs/dbraw/zinc/46/03/32/839460332.db2.gz LYICQIGXXWQUME-CYBMUJFWSA-N 0 1 278.312 0.599 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C(C)C)n[nH]2)[C@H](O)C1 ZINC001090578970 839652214 /nfs/dbraw/zinc/65/22/14/839652214.db2.gz ADNCVGVZIYNGER-BXUZGUMPSA-N 0 1 292.383 0.884 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)C[NH+]2CCC(C)(C)C2)[C@H](O)C1 ZINC001090574774 839653422 /nfs/dbraw/zinc/65/34/22/839653422.db2.gz WZCYGSCXHXSYOX-ZIAGYGMSSA-N 0 1 295.427 0.456 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(CCC)c2)[C@@H](O)C1 ZINC001090612412 839674558 /nfs/dbraw/zinc/67/45/58/839674558.db2.gz MSTBEYBAWIHLBB-KGLIPLIRSA-N 0 1 292.383 0.644 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(CC)c2C)[C@@H](O)C1 ZINC001090667785 839716862 /nfs/dbraw/zinc/71/68/62/839716862.db2.gz OBBLGJBYGQBUQE-KGLIPLIRSA-N 0 1 292.383 0.562 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CCC)on2)[C@H](O)C1 ZINC001090692886 839740412 /nfs/dbraw/zinc/74/04/12/839740412.db2.gz PABMFYPVAQDNNR-TZMCWYRMSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(CCC)n2)[C@@H](O)C1 ZINC001090721816 839756120 /nfs/dbraw/zinc/75/61/20/839756120.db2.gz CNCNPOVQYCZNDI-YPMHNXCESA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)n(C)c2C)[C@@H](O)C1 ZINC001090797186 839816928 /nfs/dbraw/zinc/81/69/28/839816928.db2.gz VJDWTBHHEDQYAV-CABCVRRESA-N 0 1 291.395 0.993 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)onc2CC)[C@H](O)C1 ZINC001090799130 839819160 /nfs/dbraw/zinc/81/91/60/839819160.db2.gz SLCKSTPSSQGOOQ-QWHCGFSZSA-N 0 1 293.367 0.896 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccc(C)nc2)[C@H](O)C1 ZINC001090812134 839830012 /nfs/dbraw/zinc/83/00/12/839830012.db2.gz CFIRBFGJIWGPLS-LSDHHAIUSA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)n(C)n2)[C@@H](O)C1 ZINC001090848639 839852281 /nfs/dbraw/zinc/85/22/81/839852281.db2.gz HBPSZCBLVHHAQE-JSGCOSHPSA-N 0 1 292.383 0.334 20 30 CCEDMN CCOC(=O)[C@H]1c2[nH]cnc2CCN1C(=O)CCS ZINC001143986219 839903646 /nfs/dbraw/zinc/90/36/46/839903646.db2.gz GINZQFYLVCNODP-LLVKDONJSA-N 0 1 283.353 0.719 20 30 CCEDMN CN1CCC(C#N)(NC(=O)/C=C\[C@H]2COC(C)(C)O2)CC1 ZINC001144003296 839910278 /nfs/dbraw/zinc/91/02/78/839910278.db2.gz ILODMVIVUAHPSQ-RXNFCKPNSA-N 0 1 293.367 0.798 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)[C@@]2(C#N)CCCOC2)n1 ZINC001144010296 839913104 /nfs/dbraw/zinc/91/31/04/839913104.db2.gz FWWKKKRFCMRXAE-GFCCVEGCSA-N 0 1 263.301 0.092 20 30 CCEDMN CN(C(=O)[C@@H](N)Cc1ccccc1C#N)C1(C)COC1 ZINC001160663059 840111839 /nfs/dbraw/zinc/11/18/39/840111839.db2.gz HQUUKQGCGVCANA-ZDUSSCGKSA-N 0 1 273.336 0.675 20 30 CCEDMN Cc1nc2cccc(C(=O)NCCNCC#N)c2[nH]1 ZINC001146701032 840337502 /nfs/dbraw/zinc/33/75/02/840337502.db2.gz UJAGEXSQSKKXIH-UHFFFAOYSA-N 0 1 257.297 0.714 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(CN(C(=O)C3CC3)C2)C1 ZINC001146876827 840413192 /nfs/dbraw/zinc/41/31/92/840413192.db2.gz ZHHLYFJKOCIAPZ-GFCCVEGCSA-N 0 1 291.395 0.621 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001147225358 840534650 /nfs/dbraw/zinc/53/46/50/840534650.db2.gz KFEHGDFOKYSKRM-ZDUSSCGKSA-N 0 1 275.352 0.330 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001148126301 840750921 /nfs/dbraw/zinc/75/09/21/840750921.db2.gz WIHPLYFUARJYHJ-QWHCGFSZSA-N 0 1 293.411 0.997 20 30 CCEDMN N#Cc1cc(C(=O)NCc2n[nH]c(CO)n2)cs1 ZINC001148340810 840786645 /nfs/dbraw/zinc/78/66/45/840786645.db2.gz YNAGZLPTFKBMFU-UHFFFAOYSA-N 0 1 263.282 0.160 20 30 CCEDMN N#Cc1cc(C(=O)NCc2nnc(CO)[nH]2)cs1 ZINC001148340810 840786651 /nfs/dbraw/zinc/78/66/51/840786651.db2.gz YNAGZLPTFKBMFU-UHFFFAOYSA-N 0 1 263.282 0.160 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN[C@H](C)c2cnccn2)nc1 ZINC001148370013 840794502 /nfs/dbraw/zinc/79/45/02/840794502.db2.gz DZAHEHCRSIYEGN-GFCCVEGCSA-N 0 1 295.346 0.934 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CC(=O)NCC3CC3)C2)C1 ZINC001148583624 840852553 /nfs/dbraw/zinc/85/25/53/840852553.db2.gz SNLLALHMNFIWBM-UHFFFAOYSA-N 0 1 289.379 0.070 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(=O)NCC2CC2)C1 ZINC001186653229 844586030 /nfs/dbraw/zinc/58/60/30/844586030.db2.gz ZTMQPCJMNITCPU-LLVKDONJSA-N 0 1 285.775 0.456 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@H]2CN(CC#N)C[C@@]2(C)C1 ZINC001091906618 840971563 /nfs/dbraw/zinc/97/15/63/840971563.db2.gz WHZQLMKIXFZRRQ-MFKMUULPSA-N 0 1 274.328 0.031 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](CNC(=O)c2[n-]nnc2C)[C@H](C)C1 ZINC001092880102 841077669 /nfs/dbraw/zinc/07/76/69/841077669.db2.gz YTHXUHDDXOCZKN-ZYHUDNBSSA-N 0 1 275.356 0.434 20 30 CCEDMN CC#CC[N@@H+]1C[C@@H](CNC(=O)c2[n-]nnc2C)[C@H](C)C1 ZINC001092880102 841077678 /nfs/dbraw/zinc/07/76/78/841077678.db2.gz YTHXUHDDXOCZKN-ZYHUDNBSSA-N 0 1 275.356 0.434 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NCCNc1cncc(C#N)n1 ZINC001094188566 841560950 /nfs/dbraw/zinc/56/09/50/841560950.db2.gz CLLJMENWPZKHSG-JTQLQIEISA-N 0 1 299.338 0.478 20 30 CCEDMN CN1CCC(C#N)(N[C@H]2CCN3C(=O)CC[C@H]3C2)CC1 ZINC001172177816 841888134 /nfs/dbraw/zinc/88/81/34/841888134.db2.gz ZEWDAVGCODFFPY-STQMWFEESA-N 0 1 276.384 0.717 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnccn1)C2 ZINC001095123803 842064094 /nfs/dbraw/zinc/06/40/94/842064094.db2.gz WRIDOPISFHRGJB-MDZLAQPJSA-N 0 1 258.325 0.998 20 30 CCEDMN N#Cc1ccc(F)c(F)c1NC(=O)Cc1nn[nH]n1 ZINC001176845047 842443850 /nfs/dbraw/zinc/44/38/50/842443850.db2.gz NSIVBUAVVMGVBY-UHFFFAOYSA-N 0 1 264.195 0.531 20 30 CCEDMN C=CCCCN1CCO[C@@H]2CCN(C(=O)CC(N)=O)C[C@H]21 ZINC001176948694 842467080 /nfs/dbraw/zinc/46/70/80/842467080.db2.gz CSWHCOBNZCTGLM-CHWSQXEVSA-N 0 1 295.383 0.130 20 30 CCEDMN N#Cc1cccnc1NC1(CNC(=O)c2ncn[nH]2)CC1 ZINC001110200244 842506833 /nfs/dbraw/zinc/50/68/33/842506833.db2.gz YNMTXLZMRDYQNB-UHFFFAOYSA-N 0 1 283.295 0.446 20 30 CCEDMN N#Cc1cccnc1NC1(CNC(=O)c2nc[nH]n2)CC1 ZINC001110200244 842506845 /nfs/dbraw/zinc/50/68/45/842506845.db2.gz YNMTXLZMRDYQNB-UHFFFAOYSA-N 0 1 283.295 0.446 20 30 CCEDMN N#Cc1nc[nH]c1NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001177172964 842510093 /nfs/dbraw/zinc/51/00/93/842510093.db2.gz OTGXPICGEAIZIY-SSDOTTSWSA-N 0 1 256.269 0.748 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001177176743 842517375 /nfs/dbraw/zinc/51/73/75/842517375.db2.gz PUBJPCVJMCFCHT-NXEZZACHSA-N 0 1 260.297 0.266 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001177181260 842518971 /nfs/dbraw/zinc/51/89/71/842518971.db2.gz CSMQPPIZCLARTF-XVKPBYJWSA-N 0 1 283.353 0.102 20 30 CCEDMN CCOC(=O)CO/N=C(\C(=O)N1CC=CC1)c1cc[nH]n1 ZINC001177254342 842534102 /nfs/dbraw/zinc/53/41/02/842534102.db2.gz KJDBUJSOANKPNE-VBKFSLOCSA-N 0 1 292.295 0.092 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1ncnn1C ZINC001177269570 842541109 /nfs/dbraw/zinc/54/11/09/842541109.db2.gz RFQUBSBFTLUMIJ-NSHDSACASA-N 0 1 281.360 0.002 20 30 CCEDMN C=CC[C@@](C)(NC(=O)Cc1n[nH]c(C)n1)C(=O)OC ZINC001177444402 842609564 /nfs/dbraw/zinc/60/95/64/842609564.db2.gz RIHFUEOQSWLSBL-GFCCVEGCSA-N 0 1 266.301 0.280 20 30 CCEDMN CCOC(=O)c1cc(NC(=O)C#Cc2cccnc2)n[nH]1 ZINC001177773321 842659649 /nfs/dbraw/zinc/65/96/49/842659649.db2.gz PPYPPAQAKPLGPT-UHFFFAOYSA-N 0 1 284.275 0.972 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@H](O)CF ZINC001177917420 842706930 /nfs/dbraw/zinc/70/69/30/842706930.db2.gz HIXIKXRAVBZKPP-DTWKUNHWSA-N 0 1 256.302 0.877 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1C=CS(=O)(=O)C1 ZINC001177917371 842707338 /nfs/dbraw/zinc/70/73/38/842707338.db2.gz SKTBTVIUBBLNTO-ZJUUUORDSA-N 0 1 296.373 0.857 20 30 CCEDMN N#C[C@@H](Cc1cccs1)C(=O)NCc1nnc[nH]1 ZINC001177915070 842707453 /nfs/dbraw/zinc/70/74/53/842707453.db2.gz JNDZADYDJCGCKC-MRVPVSSYSA-N 0 1 261.310 0.865 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NCC(=O)CCO ZINC001177915879 842707578 /nfs/dbraw/zinc/70/75/78/842707578.db2.gz QJSVUOFLMHPIPW-VIFPVBQESA-N 0 1 266.322 0.498 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H]1CNC(=O)O1 ZINC001177917854 842710389 /nfs/dbraw/zinc/71/03/89/842710389.db2.gz MORGQEDPUBETTL-RKDXNWHRSA-N 0 1 279.321 0.655 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)NC(C)=O)[C@H]1C ZINC001178069635 842743259 /nfs/dbraw/zinc/74/32/59/842743259.db2.gz KXPIZMCXHIVHOL-NHCYSSNCSA-N 0 1 287.791 0.843 20 30 CCEDMN N#CCNCCCCCCNC(=O)CCc1nc[nH]n1 ZINC001178140151 842774423 /nfs/dbraw/zinc/77/44/23/842774423.db2.gz KQUGYSFHMVMEOS-UHFFFAOYSA-N 0 1 278.360 0.527 20 30 CCEDMN C#CCC[C@@H](O)CNCc1ccc(S(C)(=O)=O)o1 ZINC001179489932 843006670 /nfs/dbraw/zinc/00/66/70/843006670.db2.gz WUHVSTYPFXGEMX-SNVBAGLBSA-N 0 1 271.338 0.547 20 30 CCEDMN CCOC(=O)[C@H]1c2[nH]cnc2CCN1C(=O)[C@@H](C)C#N ZINC001179895992 843070039 /nfs/dbraw/zinc/07/00/39/843070039.db2.gz MXVGZTQROCVHCI-GZMMTYOYSA-N 0 1 276.296 0.558 20 30 CCEDMN CCOC(=O)[C@H]1c2nc[nH]c2CCN1C(=O)C(C)C#N ZINC001179895992 843070051 /nfs/dbraw/zinc/07/00/51/843070051.db2.gz MXVGZTQROCVHCI-GZMMTYOYSA-N 0 1 276.296 0.558 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)[C@H](C)N1CCCC1 ZINC001180581138 843195931 /nfs/dbraw/zinc/19/59/31/843195931.db2.gz AIAABQCGYKDCBZ-VHSXEESVSA-N 0 1 253.302 0.042 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCOCC1)N1CCCC1 ZINC001180583818 843218911 /nfs/dbraw/zinc/21/89/11/843218911.db2.gz APZAFKGLKDGUHT-NSHDSACASA-N 0 1 251.330 0.660 20 30 CCEDMN C=CCCC(=O)N1C[C@H](O)C[C@H]1c1n[nH]c(CC)n1 ZINC001181155019 843418266 /nfs/dbraw/zinc/41/82/66/843418266.db2.gz BRVGPCNODDLAOK-ZJUUUORDSA-N 0 1 264.329 0.968 20 30 CCEDMN N#Cc1cc(CC(=O)NCc2nnc[nH]2)ccc1F ZINC001181195302 843422099 /nfs/dbraw/zinc/42/20/99/843422099.db2.gz DRUWLPNOWLUJJM-UHFFFAOYSA-N 0 1 259.244 0.674 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)Cn1nc(Cl)ccc1=O ZINC001181890067 843637382 /nfs/dbraw/zinc/63/73/82/843637382.db2.gz YZVMZCHVLUKFJU-UHFFFAOYSA-N 0 1 278.659 0.130 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N1CCOC[C@@H]1C#N ZINC001181997080 843668627 /nfs/dbraw/zinc/66/86/27/843668627.db2.gz VLSIJLIRNVPNOV-RYUDHWBXSA-N 0 1 251.330 0.612 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccn[nH]1)C2 ZINC001110305055 843828813 /nfs/dbraw/zinc/82/88/13/843828813.db2.gz BQZFMXIFVZQIEB-BNOWGMLFSA-N 0 1 290.367 0.947 20 30 CCEDMN CC(C)[C@H](C(=O)Nc1nc(C#N)c(C#N)nc1N)N(C)C ZINC001183360722 844006805 /nfs/dbraw/zinc/00/68/05/844006805.db2.gz ZNHLENMHJOBGTA-SNVBAGLBSA-N 0 1 287.327 0.327 20 30 CCEDMN C=C[C@@H](CO)NC(=O)Cc1[nH]nc2ccc(O)cc21 ZINC001187251329 844688842 /nfs/dbraw/zinc/68/88/42/844688842.db2.gz XZGDAHLVNRHUEI-QMMMGPOBSA-N 0 1 261.281 0.474 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2cnoc2C)cc[nH]1 ZINC001187327584 844695152 /nfs/dbraw/zinc/69/51/52/844695152.db2.gz DZCJQIVZHCUNOX-UHFFFAOYSA-N 0 1 263.278 0.582 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](OCC)C3CC3)[C@@H]2C1 ZINC001187938351 844810593 /nfs/dbraw/zinc/81/05/93/844810593.db2.gz OXXVIEOQZQQYPT-RRFJBIMHSA-N 0 1 276.380 0.967 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CS(=O)(=O)CC)C1 ZINC001188126118 844834855 /nfs/dbraw/zinc/83/48/55/844834855.db2.gz PWDMGZSUSNKWIJ-SNVBAGLBSA-N 0 1 294.804 0.364 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C(F)F)C1 ZINC001188527867 844900062 /nfs/dbraw/zinc/90/00/62/844900062.db2.gz CUDYHWYRIWWLAC-JTQLQIEISA-N 0 1 260.284 0.434 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)CC2CC2)C1 ZINC001188611149 844921129 /nfs/dbraw/zinc/92/11/29/844921129.db2.gz SPXGVUCTGXHKJC-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@H]2CCCNC2=O)C1 ZINC001189279100 845091788 /nfs/dbraw/zinc/09/17/88/845091788.db2.gz FVRPMXANERBHDK-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC)OC)C1 ZINC001189259840 845099493 /nfs/dbraw/zinc/09/94/93/845099493.db2.gz BRYPCNPADZPASM-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)/C(C)=C\C)C1 ZINC001189594400 845171483 /nfs/dbraw/zinc/17/14/83/845171483.db2.gz RHVCVQBNYMSSMA-LPEQCFEDSA-N 0 1 291.395 0.623 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2COC(=O)C2)C1 ZINC001189641358 845176980 /nfs/dbraw/zinc/17/69/80/845176980.db2.gz GXTKVLQEDRVPRZ-CHWSQXEVSA-N 0 1 278.352 0.496 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)COC(C)(C)C)C1 ZINC001189751338 845198616 /nfs/dbraw/zinc/19/86/16/845198616.db2.gz FBDLIRNWTBBVPX-AWEZNQCLSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COCc3cccnc3)[C@@H]2C1 ZINC001189978057 845297173 /nfs/dbraw/zinc/29/71/73/845297173.db2.gz ADYRWDKCLPZLSN-JKSUJKDBSA-N 0 1 299.374 0.764 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001190054931 845319372 /nfs/dbraw/zinc/31/93/72/845319372.db2.gz UYGDTJSCZUKDRX-CHWSQXEVSA-N 0 1 279.384 0.442 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001190054935 845319639 /nfs/dbraw/zinc/31/96/39/845319639.db2.gz UYGDTJSCZUKDRX-STQMWFEESA-N 0 1 279.384 0.442 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)CCOC)[C@@H]2C1 ZINC001190270922 845380526 /nfs/dbraw/zinc/38/05/26/845380526.db2.gz ANLPIHRORZRUOW-MELADBBJSA-N 0 1 264.369 0.825 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001190454920 845405162 /nfs/dbraw/zinc/40/51/62/845405162.db2.gz UESULBDJRORCGN-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001190454921 845405367 /nfs/dbraw/zinc/40/53/67/845405367.db2.gz UESULBDJRORCGN-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001190808233 845492621 /nfs/dbraw/zinc/49/26/21/845492621.db2.gz GJVOFNWLYXFKKT-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN N#Cc1cncc(CNS(=O)(=O)c2ncc[nH]2)c1 ZINC001190754714 845492852 /nfs/dbraw/zinc/49/28/52/845492852.db2.gz DRPGOLIUOBRVAO-UHFFFAOYSA-N 0 1 263.282 0.155 20 30 CCEDMN C=CCC1(S(=O)(=O)N2CCOC[C@@H]2CN(C)C)CC1 ZINC001190798216 845504416 /nfs/dbraw/zinc/50/44/16/845504416.db2.gz MOSCULHEFZUTMM-LBPRGKRZSA-N 0 1 288.413 0.687 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(=O)n(C)c2)C1 ZINC001191127749 845589726 /nfs/dbraw/zinc/58/97/26/845589726.db2.gz IBXJENKICJPYOX-CQSZACIVSA-N 0 1 287.363 0.555 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCCOCC)C1 ZINC001191287791 845623151 /nfs/dbraw/zinc/62/31/51/845623151.db2.gz VUECWMXVQDDOCD-CHWSQXEVSA-N 0 1 270.373 0.541 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C(C)(C)COC)C1 ZINC001191359248 845638290 /nfs/dbraw/zinc/63/82/90/845638290.db2.gz FAKPSGZTXYCPQL-CQSZACIVSA-N 0 1 296.411 0.842 20 30 CCEDMN N#Cc1cc(C(=O)Nc2n[nH]c3nccnc23)ccn1 ZINC001191539357 845676343 /nfs/dbraw/zinc/67/63/43/845676343.db2.gz DOSXAHAYQCWMEE-UHFFFAOYSA-N 0 1 265.236 0.823 20 30 CCEDMN C=CCn1cc(CNS(=O)(=O)c2conc2C)nn1 ZINC001191583304 845686529 /nfs/dbraw/zinc/68/65/29/845686529.db2.gz UKSDTQBOPRXFEB-UHFFFAOYSA-N 0 1 283.313 0.239 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)/C=C/C2CC2)C1 ZINC001192070362 845784357 /nfs/dbraw/zinc/78/43/57/845784357.db2.gz LRZIEMZHYCSGFQ-FBVTZJDUSA-N 0 1 250.342 0.690 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)/C=C/C2CC2)C1 ZINC001192070362 845784359 /nfs/dbraw/zinc/78/43/59/845784359.db2.gz LRZIEMZHYCSGFQ-FBVTZJDUSA-N 0 1 250.342 0.690 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C=C2CCC2)C1 ZINC001192119587 845789079 /nfs/dbraw/zinc/78/90/79/845789079.db2.gz LMWAFGKXRLJZIC-ZIAGYGMSSA-N 0 1 280.368 0.461 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@H](C)SC)C1 ZINC001192313060 845818849 /nfs/dbraw/zinc/81/88/49/845818849.db2.gz MSCRUHOEJBFJTE-QJPTWQEYSA-N 0 1 272.414 0.865 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@H]1O ZINC001192320815 845820183 /nfs/dbraw/zinc/82/01/83/845820183.db2.gz MKAXOVGKCVFWLW-RBSFLKMASA-N 0 1 296.411 0.502 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)OCCOC)C1 ZINC001192632344 845890550 /nfs/dbraw/zinc/89/05/50/845890550.db2.gz OYJXPJIZSNRUIX-STQMWFEESA-N 0 1 268.357 0.204 20 30 CCEDMN C[C@@H](O)CCCN1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192813115 845915042 /nfs/dbraw/zinc/91/50/42/845915042.db2.gz FAVDSUJNAOAOMO-MGPQQGTHSA-N 0 1 296.411 0.358 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)[N-]c1c[nH+]c2ccccn12 ZINC001193104048 845978409 /nfs/dbraw/zinc/97/84/09/845978409.db2.gz DRJCVDIYZSJWJR-QMMMGPOBSA-N 0 1 250.283 0.988 20 30 CCEDMN COCCOc1cc(NS(=O)(=O)[C@H](C)C#N)ccn1 ZINC001193111807 845980996 /nfs/dbraw/zinc/98/09/96/845980996.db2.gz LPEJOUSLJWYHMB-SECBINFHSA-N 0 1 285.325 0.761 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cccc2c1CNC(=O)N2 ZINC001193158324 846007567 /nfs/dbraw/zinc/00/75/67/846007567.db2.gz NUYWBRUFCBJCEM-ZETCQYMHSA-N 0 1 280.309 0.975 20 30 CCEDMN CNC(=O)c1ccc(NS(=O)(=O)[C@H](C)C#N)c(F)c1 ZINC001193158992 846008121 /nfs/dbraw/zinc/00/81/21/846008121.db2.gz YCLXIPTUEGMPNV-SSDOTTSWSA-N 0 1 285.300 0.839 20 30 CCEDMN COC(=O)c1cc(NS(=O)(=O)[C@@H](C)C#N)ccc1C#N ZINC001193157593 846008507 /nfs/dbraw/zinc/00/85/07/846008507.db2.gz FOXIIWQVTXCFPE-QMMMGPOBSA-N 0 1 293.304 0.999 20 30 CCEDMN COc1cc(NS(=O)(=O)[C@H](C)C#N)cc(C(N)=O)c1 ZINC001193161661 846009679 /nfs/dbraw/zinc/00/96/79/846009679.db2.gz SLEXETNTJPPHAZ-SSDOTTSWSA-N 0 1 283.309 0.448 20 30 CCEDMN N#Cc1cccc(NS(=O)(=O)C[C@@H]2CCCO2)n1 ZINC001193205428 846018725 /nfs/dbraw/zinc/01/87/25/846018725.db2.gz HMPFPBFNMUHGOA-JTQLQIEISA-N 0 1 267.310 0.874 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](NC(N)=O)C(C)(C)C)C1 ZINC001193477701 846088645 /nfs/dbraw/zinc/08/86/45/846088645.db2.gz BVDHMHNKWBJQER-VXGBXAGGSA-N 0 1 294.399 0.235 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@@H](CO)[C@@H](O)C2)c1O ZINC001193642330 846135478 /nfs/dbraw/zinc/13/54/78/846135478.db2.gz DVVVNNUYJBHKPT-JQWIXIFHSA-N 0 1 276.292 0.079 20 30 CCEDMN C[N@H+]1CC[C@@H](CNC(=O)c2cccc(C#N)c2[O-])C1 ZINC001193658956 846139592 /nfs/dbraw/zinc/13/95/92/846139592.db2.gz HVEJZZOCPYCLID-JTQLQIEISA-N 0 1 259.309 0.945 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnn3cc[nH]c23)C1 ZINC001193684732 846142141 /nfs/dbraw/zinc/14/21/41/846142141.db2.gz UOHGBMLOZPNROF-GFCCVEGCSA-N 0 1 285.351 0.832 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCCCNC(C)=O)C1 ZINC001193877029 846165543 /nfs/dbraw/zinc/16/55/43/846165543.db2.gz AGMAYBHJKIPREO-HNNXBMFYSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)CCOC)C1 ZINC001194146680 846225681 /nfs/dbraw/zinc/22/56/81/846225681.db2.gz USLNVNBGCSFNEF-CHWSQXEVSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COCCC=C)C1 ZINC001194381733 846283851 /nfs/dbraw/zinc/28/38/51/846283851.db2.gz MNBVLNDOGUWUBZ-CYBMUJFWSA-N 0 1 250.342 0.745 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)CSCC#N)CC1 ZINC001194919449 846417701 /nfs/dbraw/zinc/41/77/01/846417701.db2.gz UQVOFTARJLRXLV-UHFFFAOYSA-N 0 1 297.424 0.980 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@@H]2CCOC2)CC1 ZINC001195088337 846457044 /nfs/dbraw/zinc/45/70/44/846457044.db2.gz BPUIJSXDBBKJAH-CQSZACIVSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@H]2CCCCO2)C[C@H]1O ZINC001195312193 846502835 /nfs/dbraw/zinc/50/28/35/846502835.db2.gz JEJHSTZJYQMBPR-MGPQQGTHSA-N 0 1 296.411 0.929 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1C[N@@H+](CCC[C@@H](C)O)C[C@H]1O ZINC001195473586 846546951 /nfs/dbraw/zinc/54/69/51/846546951.db2.gz OFBOBPQJELUITK-RBSFLKMASA-N 0 1 296.411 0.502 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@H]1O ZINC001195473586 846546958 /nfs/dbraw/zinc/54/69/58/846546958.db2.gz OFBOBPQJELUITK-RBSFLKMASA-N 0 1 296.411 0.502 20 30 CCEDMN CC(C)C#CC(=O)N1CCCN(CCCO)CC1 ZINC001195753986 846608176 /nfs/dbraw/zinc/60/81/76/846608176.db2.gz GPLZUVKPHXTBNC-UHFFFAOYSA-N 0 1 252.358 0.563 20 30 CCEDMN Cn1cc(CCNC(=O)c2ccc(C#N)cc2O)cn1 ZINC001195764964 846613304 /nfs/dbraw/zinc/61/33/04/846613304.db2.gz UZPYMUGCWINCLT-UHFFFAOYSA-N 0 1 270.292 0.970 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@H]1O ZINC001195739929 846617443 /nfs/dbraw/zinc/61/74/43/846617443.db2.gz SWSPHGRRXKLOIP-BFHYXJOUSA-N 0 1 284.400 0.665 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN3C(=O)CC[C@@H]3C2)c(O)c1 ZINC001195742010 846618946 /nfs/dbraw/zinc/61/89/46/846618946.db2.gz UHAJXDOXFMSJGN-LLVKDONJSA-N 0 1 285.303 0.711 20 30 CCEDMN C=CCC[C@H](C)[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ncccn2)C1 ZINC001197090090 846835972 /nfs/dbraw/zinc/83/59/72/846835972.db2.gz JNPCBQIYAGYTRX-YNEHKIRRSA-N 0 1 290.367 0.606 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H](C)OCCOC)CC1 ZINC001197338779 846899292 /nfs/dbraw/zinc/89/92/92/846899292.db2.gz GUPJHNGXYBDUAQ-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)Cn2cncn2)CC1 ZINC001198047298 847025999 /nfs/dbraw/zinc/02/59/99/847025999.db2.gz YWCGTXNFWQVEHP-UHFFFAOYSA-N 0 1 293.371 0.015 20 30 CCEDMN C#CCOC[C@H](O)CNc1cc(=O)[nH]c(Cl)n1 ZINC001251825656 847152051 /nfs/dbraw/zinc/15/20/51/847152051.db2.gz ACSXMYZDMWKKBU-SSDOTTSWSA-N 0 1 257.677 0.258 20 30 CCEDMN N#Cc1ccnc(CN2C[C@H](CCO)[C@H](CO)C2)c1 ZINC001198751314 847160614 /nfs/dbraw/zinc/16/06/14/847160614.db2.gz CKEOWLDVSCTRHF-STQMWFEESA-N 0 1 261.325 0.376 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2cnn(C)c2)C1 ZINC001199017427 847204055 /nfs/dbraw/zinc/20/40/55/847204055.db2.gz HDWBVTBLPSRBAK-ZIAGYGMSSA-N 0 1 292.383 0.090 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCc2cscn2)C1 ZINC001199377549 847311797 /nfs/dbraw/zinc/31/17/97/847311797.db2.gz FHELJRBKYZEVGB-CHWSQXEVSA-N 0 1 293.392 0.260 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(CCO)ccn1 ZINC001199763248 847425205 /nfs/dbraw/zinc/42/52/05/847425205.db2.gz DLOACUMTQOQEKG-AWEZNQCLSA-N 0 1 289.379 0.997 20 30 CCEDMN N#CCNC(=O)CNC/C=C/CNC(=O)c1ccccc1 ZINC001273815561 847564579 /nfs/dbraw/zinc/56/45/79/847564579.db2.gz SNHMBOJEMYNOQP-SNAWJCMRSA-N 0 1 286.335 0.202 20 30 CCEDMN CC(C)C#CC(=O)N1CCC(NCc2ncnn2C)CC1 ZINC001200448812 847629587 /nfs/dbraw/zinc/62/95/87/847629587.db2.gz OPFSSKHLLVSPQR-UHFFFAOYSA-N 0 1 289.383 0.555 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC(NCc2ccon2)CC1 ZINC001200532457 847646033 /nfs/dbraw/zinc/64/60/33/847646033.db2.gz RGPUFQKVASCTML-GFCCVEGCSA-N 0 1 291.351 0.794 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114329257 848015230 /nfs/dbraw/zinc/01/52/30/848015230.db2.gz VXCRLERORHMKQP-JYAVWHMHSA-N 0 1 293.411 0.723 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cnn(C)c1C ZINC001114340871 848019492 /nfs/dbraw/zinc/01/94/92/848019492.db2.gz OIAMMYCOFVPDPQ-FOLVSLTJSA-N 0 1 286.379 0.341 20 30 CCEDMN C=CCC[C@@H](O)CN1CC(N(C)[C@@H]2CCOC2)C1 ZINC001252603004 848031452 /nfs/dbraw/zinc/03/14/52/848031452.db2.gz JGPHWFCRKOKFAO-TZMCWYRMSA-N 0 1 254.374 0.718 20 30 CCEDMN N#CCOc1ccc(CN2CCOC[C@H](O)C2)cc1 ZINC001137115452 848124192 /nfs/dbraw/zinc/12/41/92/848124192.db2.gz OXGHEPBNULTHCY-CYBMUJFWSA-N 0 1 262.309 0.782 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN([C@H](C)C(C)=O)CC2 ZINC001273984022 848196619 /nfs/dbraw/zinc/19/66/19/848196619.db2.gz NXIIPBAPQXNKRJ-DGCLKSJQSA-N 0 1 262.353 0.815 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccnn1C)C2 ZINC001095366732 848267057 /nfs/dbraw/zinc/26/70/57/848267057.db2.gz QIPLOWKMRYKKJH-RDBSUJKOSA-N 0 1 272.352 0.317 20 30 CCEDMN N#CCCCN1CCC[C@]12CCN(C1COC1)C2=O ZINC001274040699 848315951 /nfs/dbraw/zinc/31/59/51/848315951.db2.gz RYDYJGRBQFUDNE-CQSZACIVSA-N 0 1 263.341 0.756 20 30 CCEDMN C=C(C)CN1C[C@]2(CC1=O)COCC[N@H+](C[C@H](C)O)C2 ZINC001274047859 848320388 /nfs/dbraw/zinc/32/03/88/848320388.db2.gz UOLYUDZKXSPDMT-DZGCQCFKSA-N 0 1 282.384 0.494 20 30 CCEDMN C=CCN1CC2(CCC1=O)CCN(CC(=O)NC)CC2 ZINC001274070900 848338152 /nfs/dbraw/zinc/33/81/52/848338152.db2.gz ATCUKPPMOMIMTE-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN CNC(=O)CN1CCCC[C@]12CC(=O)N(CCCC#N)C2 ZINC001274076356 848342883 /nfs/dbraw/zinc/34/28/83/848342883.db2.gz ZVWRGCJIBJRFEL-OAHLLOKOSA-N 0 1 292.383 0.493 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@@H]1CCC(=O)NC1 ZINC001274087469 848346237 /nfs/dbraw/zinc/34/62/37/848346237.db2.gz AKJNQLRHPZCXQQ-DLGQBQFBSA-N 0 1 299.802 0.917 20 30 CCEDMN C=C(C)CN1C[C@@]2(F)CN(Cc3nnc[nH]3)C[C@@]2(F)C1=O ZINC001274356280 848460564 /nfs/dbraw/zinc/46/05/64/848460564.db2.gz RFWQVQYVPVGTOV-QWHCGFSZSA-N 0 1 297.309 0.455 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cnn(C)n1 ZINC001274438169 848476748 /nfs/dbraw/zinc/47/67/48/848476748.db2.gz DUGKLRDHVBGAPW-ONEGZZNKSA-N 0 1 269.736 0.443 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CCN(CC#C)CC1(C)C ZINC001274437221 848477678 /nfs/dbraw/zinc/47/76/78/848477678.db2.gz SEJVFPDARBHHGY-CQSZACIVSA-N 0 1 276.380 0.876 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H](C)CNCc1ccc(F)cn1 ZINC001274753709 848559483 /nfs/dbraw/zinc/55/94/83/848559483.db2.gz ZJWFNSMCACNISO-NEPJUHHUSA-N 0 1 293.342 0.853 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)CC[C@@H](C)OC)CC1 ZINC001274903193 848585278 /nfs/dbraw/zinc/58/52/78/848585278.db2.gz BOHHOFCTLFPAKC-CQSZACIVSA-N 0 1 296.411 0.768 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)CNCc2cnnn2C)cn1 ZINC001274991741 848609850 /nfs/dbraw/zinc/60/98/50/848609850.db2.gz NLKOVKCUOGRJAA-LLVKDONJSA-N 0 1 298.350 0.100 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CNCc1nccn1C ZINC001275092611 848633670 /nfs/dbraw/zinc/63/36/70/848633670.db2.gz VSUQUIXZMRPYOY-NSHDSACASA-N 0 1 250.346 0.981 20 30 CCEDMN C[C@@H](CNCc1ccn(C)n1)NC(=O)C#CC1CC1 ZINC001275149724 848650779 /nfs/dbraw/zinc/65/07/79/848650779.db2.gz JJRNUGGEWWLVEW-NSHDSACASA-N 0 1 260.341 0.428 20 30 CCEDMN CCO[C@@H](CC)C(=O)N[C@@H](C)C[N@@H+](C)CC#CCOC ZINC001275512618 848746199 /nfs/dbraw/zinc/74/61/99/848746199.db2.gz MDPCWGOBQVUTMJ-KBPBESRZSA-N 0 1 284.400 0.888 20 30 CCEDMN CCO[C@@H](CC)C(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001275512618 848746207 /nfs/dbraw/zinc/74/62/07/848746207.db2.gz MDPCWGOBQVUTMJ-KBPBESRZSA-N 0 1 284.400 0.888 20 30 CCEDMN CN1CCO[C@H]2C[N@@H+](Cc3cc(C#N)ccc3[O-])C[C@@H]21 ZINC001275547890 848758261 /nfs/dbraw/zinc/75/82/61/848758261.db2.gz OIJVIHNWQBEIFQ-ZFWWWQNUSA-N 0 1 273.336 0.779 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CCc1cnccn1 ZINC001275690429 848792012 /nfs/dbraw/zinc/79/20/12/848792012.db2.gz UJGZLSYWPZANRQ-ZDUSSCGKSA-N 0 1 274.368 0.869 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCCC(=O)N(C)C ZINC001275698168 848794678 /nfs/dbraw/zinc/79/46/78/848794678.db2.gz PYOJSCGTWPSPLJ-CYBMUJFWSA-N 0 1 281.400 0.705 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CNC(=O)CC(C)(C)C ZINC001275720364 848799777 /nfs/dbraw/zinc/79/97/77/848799777.db2.gz DNSZYHLKWURVKB-ZDUSSCGKSA-N 0 1 295.427 0.999 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H](C)OCC1CC1 ZINC001275780595 848820678 /nfs/dbraw/zinc/82/06/78/848820678.db2.gz GMUZLJZVGBEWKG-NWDGAFQWSA-N 0 1 252.358 0.871 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1ocnc1C ZINC001275801406 848829371 /nfs/dbraw/zinc/82/93/71/848829371.db2.gz OUBYDRTZXOYZNF-NSHDSACASA-N 0 1 279.340 0.683 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)Cc1nnc(C)o1 ZINC001275819545 848835762 /nfs/dbraw/zinc/83/57/62/848835762.db2.gz SSSBMXYOFAAWDW-JTQLQIEISA-N 0 1 264.329 0.380 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H](C)N(C)[C@H]2CCNC2=O)C1 ZINC001275928676 848870018 /nfs/dbraw/zinc/87/00/18/848870018.db2.gz QCQXBKWBXTXPQC-NEPJUHHUSA-N 0 1 279.384 0.668 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1nc2nccc(C)n2n1 ZINC001275987428 848882246 /nfs/dbraw/zinc/88/22/46/848882246.db2.gz SCWUIJDVJIWHHZ-LLVKDONJSA-N 0 1 286.339 0.116 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)CSC)C1 ZINC001111578953 849106674 /nfs/dbraw/zinc/10/66/74/849106674.db2.gz COAMFXQMABCEJN-AAEUAGOBSA-N 0 1 252.383 0.953 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OC)C1CCC1 ZINC001114639189 849343156 /nfs/dbraw/zinc/34/31/56/849343156.db2.gz RTSHLRHLDUBOMI-DGAVXFQQSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001114658581 849349481 /nfs/dbraw/zinc/34/94/81/849349481.db2.gz SLLWLRIQZVRAHW-WUHRBBMRSA-N 0 1 291.395 0.217 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CF)CCC1 ZINC001114864464 849448690 /nfs/dbraw/zinc/44/86/90/849448690.db2.gz DHSNGCFZJYQNRC-GDNZZTSVSA-N 0 1 250.317 0.806 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1Nc1ccnc(C#N)n1 ZINC001067028914 849714035 /nfs/dbraw/zinc/71/40/35/849714035.db2.gz DSTFJLWOQRCMLR-KOLCDFICSA-N 0 1 297.322 0.066 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1Nc1ccncc1C#N ZINC001067029681 849714663 /nfs/dbraw/zinc/71/46/63/849714663.db2.gz ODCWOLYETVQEDV-IINYFYTJSA-N 0 1 296.334 0.671 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccnc2[nH]cnc21 ZINC001038308216 849845211 /nfs/dbraw/zinc/84/52/11/849845211.db2.gz XEWPVXIFCMCCRP-NSHDSACASA-N 0 1 283.335 0.785 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001038348080 849861761 /nfs/dbraw/zinc/86/17/61/849861761.db2.gz BTPTVSIDUIBOIG-NSHDSACASA-N 0 1 276.340 0.656 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cc(=O)n(C)cn1 ZINC001038362818 849864590 /nfs/dbraw/zinc/86/45/90/849864590.db2.gz GBONAJFJBUBRCS-NSHDSACASA-N 0 1 276.340 0.161 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001038442658 849898784 /nfs/dbraw/zinc/89/87/84/849898784.db2.gz MKGRIOXWQQYJMF-VIFPVBQESA-N 0 1 259.313 0.226 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001038561304 849943514 /nfs/dbraw/zinc/94/35/14/849943514.db2.gz GCIREFYQBIIPGE-CHWSQXEVSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001038561304 849943522 /nfs/dbraw/zinc/94/35/22/849943522.db2.gz GCIREFYQBIIPGE-CHWSQXEVSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnc2c(c1)ncn2C ZINC001038584980 849951186 /nfs/dbraw/zinc/95/11/86/849951186.db2.gz GTNPWKTVQABNEV-ZDUSSCGKSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnn(CCOC)c1 ZINC001038970686 850105135 /nfs/dbraw/zinc/10/51/35/850105135.db2.gz KRGYFJYQBRYNEN-AWEZNQCLSA-N 0 1 290.367 0.357 20 30 CCEDMN N#CCNC1C[C@H]2CCC[C@@H](C1)N2C(=O)Cc1ccn[nH]1 ZINC001039269223 850168277 /nfs/dbraw/zinc/16/82/77/850168277.db2.gz GGKGBUAHOFUEQD-AGUYFDCRSA-N 0 1 287.367 0.977 20 30 CCEDMN N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccn[nH]1 ZINC001039337642 850175673 /nfs/dbraw/zinc/17/56/73/850175673.db2.gz LIKXGOXVDGAXBD-MNOVXSKESA-N 0 1 259.313 0.612 20 30 CCEDMN N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn[nH]1 ZINC001039349740 850177365 /nfs/dbraw/zinc/17/73/65/850177365.db2.gz MSTJIHAJWIBJOV-OLZOCXBDSA-N 0 1 273.340 0.541 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COC(=O)N1 ZINC001039367337 850180207 /nfs/dbraw/zinc/18/02/07/850180207.db2.gz YSJPNYJYLMZQSE-AGIUHOORSA-N 0 1 291.351 0.183 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1nnc(C)o1 ZINC001039369295 850180446 /nfs/dbraw/zinc/18/04/46/850180446.db2.gz FTVODKZNPKEVLY-OLZOCXBDSA-N 0 1 288.351 0.619 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1nccn1 ZINC001039380717 850182028 /nfs/dbraw/zinc/18/20/28/850182028.db2.gz FZWISDQUTSFOCM-KGLIPLIRSA-N 0 1 287.367 0.367 20 30 CCEDMN C[C@@H](C(N)=O)N1CC[C@]2(CCN(C(=O)C#CC3CC3)C2)C1 ZINC001041265703 850415574 /nfs/dbraw/zinc/41/55/74/850415574.db2.gz LOABKKXUFQWTEL-LRDDRELGSA-N 0 1 289.379 0.198 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnn(CC)n3)C[C@H]21 ZINC001042044969 850566287 /nfs/dbraw/zinc/56/62/87/850566287.db2.gz ATVMLGXDFYFKDP-TZMCWYRMSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnc4n3CCC4)C[C@@H]21 ZINC001042099816 850577480 /nfs/dbraw/zinc/57/74/80/850577480.db2.gz IXCGTBOXXYDQNM-HIFRSBDPSA-N 0 1 298.390 0.999 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3nc[nH]n3)C[C@H]21 ZINC001042275765 850607527 /nfs/dbraw/zinc/60/75/27/850607527.db2.gz WFCUHXXFJQZPIK-NWDGAFQWSA-N 0 1 273.340 0.364 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001042724324 850744541 /nfs/dbraw/zinc/74/45/41/850744541.db2.gz WJFHQSYETZKUAD-CYBMUJFWSA-N 0 1 272.352 0.605 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001043021869 850799313 /nfs/dbraw/zinc/79/93/13/850799313.db2.gz HRQBIUXHQCTVII-CYBMUJFWSA-N 0 1 279.384 0.764 20 30 CCEDMN CCN(CCCNC(=O)c1cnn[nH]1)c1ccc(C#N)nc1 ZINC001095987452 851130617 /nfs/dbraw/zinc/13/06/17/851130617.db2.gz GYMHJGWOPWWLTE-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnn(C)c2N)CC1 ZINC001045417038 851254933 /nfs/dbraw/zinc/25/49/33/851254933.db2.gz RZOLTIXMGBYAEP-UHFFFAOYSA-N 0 1 275.356 0.220 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCCC(=O)N2C)CC1 ZINC001045633556 851289390 /nfs/dbraw/zinc/28/93/90/851289390.db2.gz LWFLFDLDGWWGHC-ZDUSSCGKSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C2=COCCO2)C1 ZINC001046113228 851380777 /nfs/dbraw/zinc/38/07/77/851380777.db2.gz FLOLGQXUZQSXQG-ZDUSSCGKSA-N 0 1 250.298 0.088 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001046240204 851435125 /nfs/dbraw/zinc/43/51/25/851435125.db2.gz QBGUVLPHQPJYRY-DOMZBBRYSA-N 0 1 277.368 0.117 20 30 CCEDMN C[C@]1(NC(=O)c2cncc3nc[nH]c32)CCN(CC#N)C1 ZINC001046335392 851468998 /nfs/dbraw/zinc/46/89/98/851468998.db2.gz LDEALZHGJLMZFH-AWEZNQCLSA-N 0 1 284.323 0.676 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001046363936 851477517 /nfs/dbraw/zinc/47/75/17/851477517.db2.gz GXAMJHNIFVRLDS-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001046375272 851478936 /nfs/dbraw/zinc/47/89/36/851478936.db2.gz MQMKYGWXBJEIKZ-GUYCJALGSA-N 0 1 298.390 0.795 20 30 CCEDMN C[C@@]1(NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CCN(CC#N)C1 ZINC001046386028 851483965 /nfs/dbraw/zinc/48/39/65/851483965.db2.gz UGJVTOCJZQRWMA-JTNHKYCSSA-N 0 1 273.340 0.617 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2c(C)ncn2C)C1 ZINC001046397309 851486155 /nfs/dbraw/zinc/48/61/55/851486155.db2.gz LATKRXJHYSGAQJ-AWEZNQCLSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@](C)(NC(=O)c2cnc[nH]c2=O)C1 ZINC001046408469 851491185 /nfs/dbraw/zinc/49/11/85/851491185.db2.gz XEEPHLIXWCAMCI-CQSZACIVSA-N 0 1 274.324 0.400 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001046472288 851517594 /nfs/dbraw/zinc/51/75/94/851517594.db2.gz DTNWWLHVRYEMRO-AWEZNQCLSA-N 0 1 286.339 0.733 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001046507076 851526172 /nfs/dbraw/zinc/52/61/72/851526172.db2.gz GLJFXMWFMZZCBR-AYDFFVQHSA-N 0 1 294.395 0.805 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(C(N)=O)co2)C1 ZINC001046616923 851567637 /nfs/dbraw/zinc/56/76/37/851567637.db2.gz XYQYFVNZGOIKGP-CQSZACIVSA-N 0 1 277.324 0.759 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](C)(NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001046733950 851600151 /nfs/dbraw/zinc/60/01/51/851600151.db2.gz KNAKNGUQJQWDKT-CQSZACIVSA-N 0 1 276.340 0.494 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001046733950 851600161 /nfs/dbraw/zinc/60/01/61/851600161.db2.gz KNAKNGUQJQWDKT-CQSZACIVSA-N 0 1 276.340 0.494 20 30 CCEDMN C[C@@]1(NC(=O)[C@H]2CCc3[nH]cnc3C2)CCN(CC#N)C1 ZINC001046758302 851606689 /nfs/dbraw/zinc/60/66/89/851606689.db2.gz OWAFAERJPDRUJV-XHDPSFHLSA-N 0 1 287.367 0.619 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cnn(C)c2OC)C1 ZINC001046802646 851615800 /nfs/dbraw/zinc/61/58/00/851615800.db2.gz JXUPOOPAEDQZEK-AWEZNQCLSA-N 0 1 278.356 0.809 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001046890593 851641912 /nfs/dbraw/zinc/64/19/12/851641912.db2.gz QHUGSQBSUCQBFI-OAHLLOKOSA-N 0 1 288.351 0.561 20 30 CCEDMN CC#CC[N@H+]1CCC(F)(F)[C@@H](CNC(=O)c2cnn[n-]2)C1 ZINC001046951713 851649492 /nfs/dbraw/zinc/64/94/92/851649492.db2.gz SQQBKQCYMJKCCH-JTQLQIEISA-N 0 1 297.309 0.515 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(CC#N)C3)n[nH]1 ZINC001047072299 851666420 /nfs/dbraw/zinc/66/64/20/851666420.db2.gz YMLVCXGTNJECIL-SRVKXCTJSA-N 0 1 288.355 0.148 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccsc2)C1 ZINC001047290181 851698373 /nfs/dbraw/zinc/69/83/73/851698373.db2.gz LUVWSVSNRMOKHS-STQMWFEESA-N 0 1 280.393 0.980 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2occc2C)C1 ZINC001047306895 851706504 /nfs/dbraw/zinc/70/65/04/851706504.db2.gz ZPZWDRIXHOSYJI-STQMWFEESA-N 0 1 276.336 0.728 20 30 CCEDMN C=C(C)CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cnns2)C1 ZINC001047315906 851711921 /nfs/dbraw/zinc/71/19/21/851711921.db2.gz XQLLEOMVTQUEFE-QWRGUYRKSA-N 0 1 296.396 0.621 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cnn(C)c2)C1 ZINC001047319497 851714598 /nfs/dbraw/zinc/71/45/98/851714598.db2.gz FNSBGZQAUQXQCD-KBPBESRZSA-N 0 1 292.383 0.042 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2coc(CC)n2)C1 ZINC001047357478 851736132 /nfs/dbraw/zinc/73/61/32/851736132.db2.gz CIEQQZNKGMXEBX-STQMWFEESA-N 0 1 293.367 0.930 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc[nH]c2CC)C1 ZINC001047367280 851739020 /nfs/dbraw/zinc/73/90/20/851739020.db2.gz FKMHOOFOHLXJBN-GJZGRUSLSA-N 0 1 289.379 0.718 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2occc2C)C1 ZINC001047520358 851799772 /nfs/dbraw/zinc/79/97/72/851799772.db2.gz OKVORWKJFPNFAH-KBPBESRZSA-N 0 1 290.363 0.657 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001047536627 851805982 /nfs/dbraw/zinc/80/59/82/851805982.db2.gz AEINCKDSJSVMGD-ZOBORPQBSA-N 0 1 282.384 0.634 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001047537397 851807539 /nfs/dbraw/zinc/80/75/39/851807539.db2.gz HQUOFBSGQLFSMJ-MRLBHPIUSA-N 0 1 292.379 0.081 20 30 CCEDMN C#CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)[nH]c2C)C1 ZINC001047546896 851810440 /nfs/dbraw/zinc/81/04/40/851810440.db2.gz GDTSDBPNWXLOGH-GJZGRUSLSA-N 0 1 289.379 0.772 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)[nH]c2C)C1 ZINC001047546896 851810447 /nfs/dbraw/zinc/81/04/47/851810447.db2.gz GDTSDBPNWXLOGH-GJZGRUSLSA-N 0 1 289.379 0.772 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ncc[nH]2)C1 ZINC001047580016 851823650 /nfs/dbraw/zinc/82/36/50/851823650.db2.gz YBQMIFNJJVKBHR-RYUDHWBXSA-N 0 1 278.356 0.032 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2oncc2C)C1 ZINC001047585110 851824728 /nfs/dbraw/zinc/82/47/28/851824728.db2.gz LGUMJMJKNDGXKB-RYUDHWBXSA-N 0 1 279.340 0.676 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2CCCOC2)C1 ZINC001047632259 851841493 /nfs/dbraw/zinc/84/14/93/851841493.db2.gz ATIRFBNPJNXXGQ-KKUMJFAQSA-N 0 1 296.411 0.883 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001096360556 851956216 /nfs/dbraw/zinc/95/62/16/851956216.db2.gz ONBBISDLZHLUOF-LLVKDONJSA-N 0 1 298.350 0.851 20 30 CCEDMN Cc1nc(CN2C[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@@H]3C2)n[nH]1 ZINC001048725794 852036726 /nfs/dbraw/zinc/03/67/26/852036726.db2.gz VYSLONXTOGGBBY-ZMLRMANQSA-N 0 1 288.355 0.163 20 30 CCEDMN C#CC[N@H+]1C[C@H]2CN(C(=O)CCc3ncc[nH]3)C[C@H]2C1 ZINC001048793213 852061093 /nfs/dbraw/zinc/06/10/93/852061093.db2.gz ZDKVVOPVAPBNCM-BETUJISGSA-N 0 1 272.352 0.366 20 30 CCEDMN C=CCN1C[C@@H]2CN(C(=O)[C@H]3CCCS3(=O)=O)C[C@@H]2C1 ZINC001049224044 852198463 /nfs/dbraw/zinc/19/84/63/852198463.db2.gz ZOSMUFQTXPXVRN-FRRDWIJNSA-N 0 1 298.408 0.140 20 30 CCEDMN N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)CCc1nc[nH]n1 ZINC001049409971 852261988 /nfs/dbraw/zinc/26/19/88/852261988.db2.gz FJWRPFAPFDJHMI-VXGBXAGGSA-N 0 1 288.355 0.326 20 30 CCEDMN C=CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnon1 ZINC001049801636 852378933 /nfs/dbraw/zinc/37/89/33/852378933.db2.gz INNDEVOGULEDKV-NEPJUHHUSA-N 0 1 262.313 0.935 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cccnn1 ZINC001049809803 852380786 /nfs/dbraw/zinc/38/07/86/852380786.db2.gz ZEUHJDFHJUMSFZ-KGLIPLIRSA-N 0 1 270.336 0.789 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCn3cncn3)[C@@H]2C1 ZINC001049974277 852411809 /nfs/dbraw/zinc/41/18/09/852411809.db2.gz RAISQCVGTJPDPW-UONOGXRCSA-N 0 1 287.367 0.224 20 30 CCEDMN N#Cc1nccnc1N[C@@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001096853593 852457972 /nfs/dbraw/zinc/45/79/72/852457972.db2.gz LKORFDZSAHLDGA-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccc(=O)[nH]c1)C2 ZINC001097558567 852545618 /nfs/dbraw/zinc/54/56/18/852545618.db2.gz KUGXCVJMMTZHMM-MCIONIFRSA-N 0 1 285.347 0.684 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)C1CCC1)C2 ZINC001111453070 852551045 /nfs/dbraw/zinc/55/10/45/852551045.db2.gz MXKVYQTZUHGHCJ-MCIONIFRSA-N 0 1 291.395 0.810 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1C[C@H]1C(N)=O)C2 ZINC001097860680 852633601 /nfs/dbraw/zinc/63/36/01/852633601.db2.gz PJAOOLYPZIHKAG-ZIQFBCGOSA-N 0 1 263.341 0.015 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@@H](CNC(=O)c1ncc[nH]1)O2 ZINC001053596384 852768806 /nfs/dbraw/zinc/76/88/06/852768806.db2.gz SNBJEIRASDSBAR-LBPRGKRZSA-N 0 1 290.367 0.949 20 30 CCEDMN C=C(C)CN1CC2(C1)CC[C@@H](CNC(=O)c1ncn[nH]1)O2 ZINC001053681523 852776855 /nfs/dbraw/zinc/77/68/55/852776855.db2.gz MBQMYOJMSZQGLL-NSHDSACASA-N 0 1 291.355 0.344 20 30 CCEDMN C=C(C)CN1CC2(C1)CC[C@@H](CNC(=O)c1nc[nH]n1)O2 ZINC001053681523 852776860 /nfs/dbraw/zinc/77/68/60/852776860.db2.gz MBQMYOJMSZQGLL-NSHDSACASA-N 0 1 291.355 0.344 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2nnn(C)c2C)C[C@@H]1C ZINC001054522992 852966840 /nfs/dbraw/zinc/96/68/40/852966840.db2.gz ILVBSXMMCHSBJF-GZMMTYOYSA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2n[nH]nc2C)C[C@@H]1C ZINC001054960456 853044106 /nfs/dbraw/zinc/04/41/06/853044106.db2.gz BTUBKZZVBILICJ-OIBJUYFYSA-N 0 1 283.763 0.916 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2c[nH]c(=O)n2C)C[C@@H]1C ZINC001054973253 853047589 /nfs/dbraw/zinc/04/75/89/853047589.db2.gz GCCOTHHQUWVUHV-WPRPVWTQSA-N 0 1 298.774 0.928 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1CCN(CCNC(C)=O)CC1 ZINC001055483819 853079325 /nfs/dbraw/zinc/07/93/25/853079325.db2.gz RDYQGQPUHFUJLV-HNNXBMFYSA-N 0 1 297.399 0.030 20 30 CCEDMN Cc1cc(N[C@@H](C)CNC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001097995362 853082510 /nfs/dbraw/zinc/08/25/10/853082510.db2.gz PWEXFANFYKSBSA-VIFPVBQESA-N 0 1 285.311 0.032 20 30 CCEDMN Cc1cc(N[C@@H](C)CNC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001097995362 853082513 /nfs/dbraw/zinc/08/25/13/853082513.db2.gz PWEXFANFYKSBSA-VIFPVBQESA-N 0 1 285.311 0.032 20 30 CCEDMN C[C@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)nc1 ZINC001097995321 853083813 /nfs/dbraw/zinc/08/38/13/853083813.db2.gz ONTGBFQSPLYDCF-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C[C@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)nc1 ZINC001097995321 853083820 /nfs/dbraw/zinc/08/38/20/853083820.db2.gz ONTGBFQSPLYDCF-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(c3ccnc(C#N)n3)CC2)[nH]1 ZINC001055746618 853114610 /nfs/dbraw/zinc/11/46/10/853114610.db2.gz YSIWMQVQDNQILN-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN N#Cc1cnccc1N[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001056597245 853176968 /nfs/dbraw/zinc/17/69/68/853176968.db2.gz PSFKFNGPWPZZFB-CYBMUJFWSA-N 0 1 296.334 0.354 20 30 CCEDMN N#Cc1ccc(N[C@@H]2CCN(C(=O)Cc3c[nH]cn3)C2)nc1 ZINC001056790764 853214968 /nfs/dbraw/zinc/21/49/68/853214968.db2.gz WQMFRXZLIQYBCV-GFCCVEGCSA-N 0 1 296.334 0.932 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3CCCC(=O)N3)[C@@H]2C1 ZINC001050071243 853309713 /nfs/dbraw/zinc/30/97/13/853309713.db2.gz MUOMZBKNTVKZDP-BFHYXJOUSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H]3CCC(=O)NC3)[C@@H]2C1 ZINC001050082290 853310400 /nfs/dbraw/zinc/31/04/00/853310400.db2.gz FNZKBRTWYWLSEM-HZSPNIEDSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3c[nH]nc3C)[C@@H]2C1 ZINC001050107326 853314317 /nfs/dbraw/zinc/31/43/17/853314317.db2.gz BATUNBRPPMYRPZ-GXTWGEPZSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3[nH]cnc3C)[C@@H]2C1 ZINC001050215213 853338890 /nfs/dbraw/zinc/33/88/90/853338890.db2.gz RSLPWEUCFNYCDS-QWHCGFSZSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCC(=O)N1)C2 ZINC001098024437 853388088 /nfs/dbraw/zinc/38/80/88/853388088.db2.gz LWCGKZNKJWODHP-LPWJVIDDSA-N 0 1 275.352 0.010 20 30 CCEDMN N#Cc1cnc(N[C@H]2CCCN(C(=O)c3ccn[nH]3)C2)cn1 ZINC001057590654 853434979 /nfs/dbraw/zinc/43/49/79/853434979.db2.gz DLSJRIBNZXHXON-JTQLQIEISA-N 0 1 297.322 0.788 20 30 CCEDMN C[C@H](CCNC(=O)[C@@H](C)C#N)NCc1cnon1 ZINC001266130670 853443852 /nfs/dbraw/zinc/44/38/52/853443852.db2.gz PLVMWEUWNRARFE-DTWKUNHWSA-N 0 1 251.290 0.214 20 30 CCEDMN C=CCN1CCOC[C@@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001050852455 853462910 /nfs/dbraw/zinc/46/29/10/853462910.db2.gz FYWJCHGFRKYPEB-LBPRGKRZSA-N 0 1 278.356 0.643 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCO[C@H](CNCc2cnns2)C1 ZINC001051495213 853597144 /nfs/dbraw/zinc/59/71/44/853597144.db2.gz SHJBSQKBRMAIDU-VHSXEESVSA-N 0 1 295.368 0.015 20 30 CCEDMN O=C(C#CC1CC1)N1CCO[C@@H](CNCc2ccccn2)C1 ZINC001051610291 853612549 /nfs/dbraw/zinc/61/25/49/853612549.db2.gz CEBMTODJHIICKO-INIZCTEOSA-N 0 1 299.374 0.812 20 30 CCEDMN C[C@H]1C[C@H](Nc2cncc(C#N)n2)CN1C(=O)c1ccn[nH]1 ZINC001069016386 853939538 /nfs/dbraw/zinc/93/95/38/853939538.db2.gz FXUGSTKROWEJFH-UWVGGRQHSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C(C)=C/C)C[C@@H]1n1ccnn1 ZINC001070169721 854032754 /nfs/dbraw/zinc/03/27/54/854032754.db2.gz GPJGKEOWGSQGOM-NRBOULDASA-N 0 1 273.340 0.219 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001070535048 854072080 /nfs/dbraw/zinc/07/20/80/854072080.db2.gz BDFJVWJONPTYFM-LLVKDONJSA-N 0 1 291.355 0.421 20 30 CCEDMN N#CCN1C[C@@H](F)C[C@@H]1c1nc(CNC(=O)C2CC2)n[nH]1 ZINC001070724968 854089837 /nfs/dbraw/zinc/08/98/37/854089837.db2.gz LNTDWBNJLBTLBQ-VHSXEESVSA-N 0 1 292.318 0.439 20 30 CCEDMN N#CCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(=O)C2CC2)[nH]1 ZINC001070724968 854089843 /nfs/dbraw/zinc/08/98/43/854089843.db2.gz LNTDWBNJLBTLBQ-VHSXEESVSA-N 0 1 292.318 0.439 20 30 CCEDMN C=CC[N@@H+]1CC[C@@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@H](C)O2 ZINC001071138994 854126950 /nfs/dbraw/zinc/12/69/50/854126950.db2.gz NLMIZVCLYWUQOB-SWLSCSKDSA-N 0 1 290.367 0.901 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)c1cc[nH]n1)C[C@H](C)O2 ZINC001071138994 854126953 /nfs/dbraw/zinc/12/69/53/854126953.db2.gz NLMIZVCLYWUQOB-SWLSCSKDSA-N 0 1 290.367 0.901 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)CSC)C[C@@H](C)O2 ZINC001071132237 854127256 /nfs/dbraw/zinc/12/72/56/854127256.db2.gz SVUPKYDTSGTMCM-OCCSQVGLSA-N 0 1 282.409 0.674 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)CC(C)(C)O)C[C@H](C)O2 ZINC001071161297 854132148 /nfs/dbraw/zinc/13/21/48/854132148.db2.gz HXQJIKNGLHFOPB-XJKSGUPXSA-N 0 1 294.395 0.472 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)CC(C)(C)O)C[C@H](C)O2 ZINC001071161294 854132177 /nfs/dbraw/zinc/13/21/77/854132177.db2.gz HXQJIKNGLHFOPB-BBRMVZONSA-N 0 1 294.395 0.472 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)c1cnon1)C[C@@H](C)O2 ZINC001071221486 854138208 /nfs/dbraw/zinc/13/82/08/854138208.db2.gz QNOIOBXTOJGYGI-BXUZGUMPSA-N 0 1 292.339 0.561 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cc(=O)n(C)o2)CC[C@H]1C ZINC001071463320 854207150 /nfs/dbraw/zinc/20/71/50/854207150.db2.gz JVQREDMOIHGDCQ-MNOVXSKESA-N 0 1 279.340 0.747 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2nnc(C)o2)CC[C@@H]1C ZINC001071489113 854218944 /nfs/dbraw/zinc/21/89/44/854218944.db2.gz ZVHNGTJGTZBGBG-WCQYABFASA-N 0 1 290.367 0.913 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC[C@H]1C ZINC001071738510 854277286 /nfs/dbraw/zinc/27/72/86/854277286.db2.gz DGVFASKETQSLMV-ZSAUSMIDSA-N 0 1 276.380 0.871 20 30 CCEDMN C=CCC[N@@H+]1C[C@H](NC(=O)c2nnn(C)n2)CC[C@@H]1C ZINC001071748458 854279863 /nfs/dbraw/zinc/27/98/63/854279863.db2.gz OIBWUTRABOPILB-WDEREUQCSA-N 0 1 278.360 0.369 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CC[C@H](C)N(CCO)C2)c1 ZINC001071813661 854299768 /nfs/dbraw/zinc/29/97/68/854299768.db2.gz CWDQVTQEFVHRSV-SWLSCSKDSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2nccc2C)CC[C@@H]1C ZINC001071865992 854310723 /nfs/dbraw/zinc/31/07/23/854310723.db2.gz PNDGZNBITBFWRA-JSGCOSHPSA-N 0 1 274.368 0.794 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2nonc2C)CC[C@@H]1C ZINC001071953993 854327186 /nfs/dbraw/zinc/32/71/86/854327186.db2.gz IGTLPJVTOHAIDG-WCQYABFASA-N 0 1 290.367 0.913 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001072039216 854340303 /nfs/dbraw/zinc/34/03/03/854340303.db2.gz OUDJSAVNCMDTIV-MNOVXSKESA-N 0 1 289.339 0.041 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001072039216 854340309 /nfs/dbraw/zinc/34/03/09/854340309.db2.gz OUDJSAVNCMDTIV-MNOVXSKESA-N 0 1 289.339 0.041 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2ccccc2=O)CC[C@H]1C ZINC001072064528 854341106 /nfs/dbraw/zinc/34/11/06/854341106.db2.gz ZUTWFNMFQRJINB-ZIAGYGMSSA-N 0 1 287.363 0.451 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CC3(O)CCC3)C2)C1 ZINC001072716174 854452279 /nfs/dbraw/zinc/45/22/79/854452279.db2.gz HSYVBDFAABNJHY-UHFFFAOYSA-N 0 1 262.353 0.459 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCC[C@H]3OC)C2)C1 ZINC001072871761 854484278 /nfs/dbraw/zinc/48/42/78/854484278.db2.gz MQFLMFRJEJXQGN-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C(=O)N3CC[C@@H](C)C3)C2)C1 ZINC001073114854 854534477 /nfs/dbraw/zinc/53/44/77/854534477.db2.gz JPOKPEAGZXBBOW-CYBMUJFWSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cncnc3CC)C2)C1 ZINC001073435313 854557029 /nfs/dbraw/zinc/55/70/29/854557029.db2.gz JGPUTVFYVQBIKY-UHFFFAOYSA-N 0 1 284.363 0.820 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2cn(C)cn2)C1 ZINC001073569602 854598066 /nfs/dbraw/zinc/59/80/66/854598066.db2.gz BTPDQQGZHDYUBV-ZDUSSCGKSA-N 0 1 290.367 0.264 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC001073566856 854598300 /nfs/dbraw/zinc/59/83/00/854598300.db2.gz VXNUYCHDGISNCB-ZDUSSCGKSA-N 0 1 288.351 0.893 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@H]1CN(CC#N)CCCO1 ZINC001073663271 854627220 /nfs/dbraw/zinc/62/72/20/854627220.db2.gz XUXBIXFEQVGUKT-KBPBESRZSA-N 0 1 294.399 0.201 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2nc(C)c[nH]2)C1 ZINC001073807228 854646598 /nfs/dbraw/zinc/64/65/98/854646598.db2.gz JEYSQIMLBNFIAA-CYBMUJFWSA-N 0 1 290.367 0.562 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H]3OCCN(CC#N)[C@H]3C2)[nH]1 ZINC001074194978 854694126 /nfs/dbraw/zinc/69/41/26/854694126.db2.gz NQXCBEVUEMQFHO-STQMWFEESA-N 0 1 289.339 0.157 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccn(C)c1=O)C2 ZINC001098240478 854853657 /nfs/dbraw/zinc/85/36/57/854853657.db2.gz YBQGINBOXFRHDJ-BNOWGMLFSA-N 0 1 285.347 0.354 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nonc1C)C2 ZINC001098499486 854870136 /nfs/dbraw/zinc/87/01/36/854870136.db2.gz BXPRMYJEFXKBHM-UTUOFQBUSA-N 0 1 274.324 0.736 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@]12CCC[C@H]1CN(CC#N)C2 ZINC001098760268 854882454 /nfs/dbraw/zinc/88/24/54/854882454.db2.gz VYUBSFZLGCHYRW-YDHLFZDLSA-N 0 1 276.384 0.575 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2CCOC2)C1 ZINC001098751996 854883140 /nfs/dbraw/zinc/88/31/40/854883140.db2.gz VRHWYZZONZSPDJ-IPYPFGDCSA-N 0 1 262.353 0.627 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnc(C)[nH]2)C1 ZINC001098759803 854883608 /nfs/dbraw/zinc/88/36/08/854883608.db2.gz QQSPHNGWEUNRPW-WFASDCNBSA-N 0 1 272.352 0.936 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ncn(C)n2)C1 ZINC001098825638 854890611 /nfs/dbraw/zinc/89/06/11/854890611.db2.gz QZCLELLCVLQLSN-WFASDCNBSA-N 0 1 287.367 0.423 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001098982541 854902241 /nfs/dbraw/zinc/90/22/41/854902241.db2.gz CBPRIVWJAVVWRW-XEZPLFJOSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cccnn2)C1 ZINC001099091826 854914143 /nfs/dbraw/zinc/91/41/43/854914143.db2.gz WTSGMKNXOUTZFU-WFASDCNBSA-N 0 1 270.336 0.694 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C(F)F)C[C@H]1C(F)(F)F ZINC001099195744 854920514 /nfs/dbraw/zinc/92/05/14/854920514.db2.gz IAOHQMGDTWGWRY-RNFRBKRXSA-N 0 1 270.201 0.864 20 30 CCEDMN N#CCN[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C(F)(F)F ZINC001099216414 854922676 /nfs/dbraw/zinc/92/26/76/854922676.db2.gz GZIXNSQYJAMVET-VXNVDRBHSA-N 0 1 287.245 0.526 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CCNC(N)=O ZINC001099329845 854928409 /nfs/dbraw/zinc/92/84/09/854928409.db2.gz VXDAUJGDRNDKHX-LBPRGKRZSA-N 0 1 294.399 0.285 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)COC2CCCC2)[C@@H](O)C1 ZINC001099697740 854970116 /nfs/dbraw/zinc/97/01/16/854970116.db2.gz DAXGJYGBKKNHAR-GJZGRUSLSA-N 0 1 294.395 0.520 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCOCC2CC2)[C@H](O)C1 ZINC001099829907 855005843 /nfs/dbraw/zinc/00/58/43/855005843.db2.gz JUBFINVGNFBNCD-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(C(F)F)CC2)[C@@H](O)C1 ZINC001099828931 855006228 /nfs/dbraw/zinc/00/62/28/855006228.db2.gz APFWBRDGHLJXJZ-ZJUUUORDSA-N 0 1 274.311 0.769 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCC2CCCC2)[C@@H](O)C1 ZINC001099882990 855018485 /nfs/dbraw/zinc/01/84/85/855018485.db2.gz WCVKKGGGXRUIRA-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCC2CCCC2)[C@@H](O)C1 ZINC001099882991 855018517 /nfs/dbraw/zinc/01/85/17/855018517.db2.gz WCVKKGGGXRUIRA-GJZGRUSLSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC(C)(F)F)[C@H](O)C1 ZINC001099991092 855062910 /nfs/dbraw/zinc/06/29/10/855062910.db2.gz FPZNQVJDCXWMAW-NXEZZACHSA-N 0 1 262.300 0.769 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C2(C(C)C)CC2)[C@@H](O)C1 ZINC001099994539 855064768 /nfs/dbraw/zinc/06/47/68/855064768.db2.gz SIEKSRGDYFFYIO-KBPBESRZSA-N 0 1 278.396 0.997 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C(C)C)CC2)[C@@H](O)C1 ZINC001099994539 855064772 /nfs/dbraw/zinc/06/47/72/855064772.db2.gz SIEKSRGDYFFYIO-KBPBESRZSA-N 0 1 278.396 0.997 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)C2(C(C)C)CC2)[C@@H](O)C1 ZINC001099994540 855064929 /nfs/dbraw/zinc/06/49/29/855064929.db2.gz SIEKSRGDYFFYIO-KGLIPLIRSA-N 0 1 278.396 0.997 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C(C)C)CC2)[C@@H](O)C1 ZINC001099994540 855064939 /nfs/dbraw/zinc/06/49/39/855064939.db2.gz SIEKSRGDYFFYIO-KGLIPLIRSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)C2(CC)CC2)[C@H](O)C1 ZINC001099995690 855065411 /nfs/dbraw/zinc/06/54/11/855065411.db2.gz CVTWGWSHSFBVEK-QWHCGFSZSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COc2cccnc2)[C@@H](O)C1 ZINC001100036861 855078988 /nfs/dbraw/zinc/07/89/88/855078988.db2.gz OKZMFSPPICCVBI-KGLIPLIRSA-N 0 1 291.351 0.198 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CC)CCOCC2)[C@H](O)C1 ZINC001100056106 855086568 /nfs/dbraw/zinc/08/65/68/855086568.db2.gz OUIQABFYZBYPAA-UONOGXRCSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COc2ccccc2)[C@@H](O)C1 ZINC001100088010 855097994 /nfs/dbraw/zinc/09/79/94/855097994.db2.gz UTTRSQAXPYUZKY-CABCVRRESA-N 0 1 290.363 0.803 20 30 CCEDMN C=CCOCC(=O)NCC1CC(NCc2ccn(C)n2)C1 ZINC001100186781 855113533 /nfs/dbraw/zinc/11/35/33/855113533.db2.gz KTLSKMQWDPYTGF-UHFFFAOYSA-N 0 1 292.383 0.607 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CN([C@@H](C)C(=O)NC)C[C@@]2(C)C1 ZINC001101167506 855270832 /nfs/dbraw/zinc/27/08/32/855270832.db2.gz HKFZEYJUMAKRGM-ZENOOKHLSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CN([C@H](C)C(=O)NC)C[C@@]2(C)C1 ZINC001101167505 855270972 /nfs/dbraw/zinc/27/09/72/855270972.db2.gz HKFZEYJUMAKRGM-IOASZLSFSA-N 0 1 293.411 0.867 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CN(Cc2cnnn2C)C[C@H]1C ZINC001101822202 855373493 /nfs/dbraw/zinc/37/34/93/855373493.db2.gz HLHDEXOCTMTYEA-QJPTWQEYSA-N 0 1 290.371 0.159 20 30 CCEDMN N#CCN1C[C@@H]2CCC[C@]2(NC(=O)CCc2c[nH]nn2)C1 ZINC001111877191 855602231 /nfs/dbraw/zinc/60/22/31/855602231.db2.gz VJVHNHWMMBGMPD-FZMZJTMJSA-N 0 1 288.355 0.232 20 30 CCEDMN N#CCN1C[C@@H]2CCC[C@]2(NC(=O)CCc2cnn[nH]2)C1 ZINC001111877191 855602235 /nfs/dbraw/zinc/60/22/35/855602235.db2.gz VJVHNHWMMBGMPD-FZMZJTMJSA-N 0 1 288.355 0.232 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)N1CCCC1=O ZINC001115115601 855642862 /nfs/dbraw/zinc/64/28/62/855642862.db2.gz DIZRZOGDUHCANX-BCUIYNNISA-N 0 1 289.379 0.067 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CC(C)C)C(N)=O ZINC001115362091 855666340 /nfs/dbraw/zinc/66/63/40/855666340.db2.gz AMFXOZVBBBZXRC-MQYQWHSLSA-N 0 1 291.395 0.204 20 30 CCEDMN CCc1nn(C)cc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001116450814 855769634 /nfs/dbraw/zinc/76/96/34/855769634.db2.gz BPHRNVXSUFJHBH-UHFFFAOYSA-N 0 1 278.341 0.414 20 30 CCEDMN C=CCN(C(=O)C(=O)NCC[N@H+](C)C1CC1)C1CC1 ZINC001117097773 855911030 /nfs/dbraw/zinc/91/10/30/855911030.db2.gz XLDPNSHKXBGYMS-UHFFFAOYSA-N 0 1 265.357 0.374 20 30 CCEDMN C#CCN(CC1CC1)[C@@H](C)C(=O)NC(=O)NCC=C ZINC001117992726 856130718 /nfs/dbraw/zinc/13/07/18/856130718.db2.gz AVVXWSFIRFRMFI-NSHDSACASA-N 0 1 263.341 0.732 20 30 CCEDMN COCCOC[C@H](O)CNCc1ccc(C#N)cn1 ZINC001118089930 856156890 /nfs/dbraw/zinc/15/68/90/856156890.db2.gz CJXPFAFMERZXHZ-CYBMUJFWSA-N 0 1 265.313 0.067 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCNc1ccccc1 ZINC001118285042 856243607 /nfs/dbraw/zinc/24/36/07/856243607.db2.gz RRTBHFCFAAIZJE-DGCLKSJQSA-N 0 1 258.325 0.716 20 30 CCEDMN C=CCOCCNC(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC001118562929 856343602 /nfs/dbraw/zinc/34/36/02/856343602.db2.gz LNNDDFINDFNOCP-NEPJUHHUSA-N 0 1 295.383 0.047 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCCc3nn[nH]c32)CC1 ZINC001118645934 856377375 /nfs/dbraw/zinc/37/73/75/856377375.db2.gz LMXJVSDAPALUOJ-SNVBAGLBSA-N 0 1 288.355 0.329 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCCc3n[nH]nc32)CC1 ZINC001118645934 856377387 /nfs/dbraw/zinc/37/73/87/856377387.db2.gz LMXJVSDAPALUOJ-SNVBAGLBSA-N 0 1 288.355 0.329 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N(C)Cc1nnc[nH]1 ZINC001118686798 856393596 /nfs/dbraw/zinc/39/35/96/856393596.db2.gz LDSXKMTVIXSVMK-JTQLQIEISA-N 0 1 265.317 0.234 20 30 CCEDMN C#CCC1(O)CCN(C(=O)[C@@H](C)Cc2cnc[nH]2)CC1 ZINC001119288228 856613498 /nfs/dbraw/zinc/61/34/98/856613498.db2.gz ZJTCMUUHYDFVER-LBPRGKRZSA-N 0 1 275.352 0.965 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@H]1CCCN1C)C(=O)OCC ZINC001119529657 856720606 /nfs/dbraw/zinc/72/06/06/856720606.db2.gz XWKNOLHZHPWQAC-GHMZBOCLSA-N 0 1 254.330 0.705 20 30 CCEDMN Cc1ccc(C(=O)NCC(=O)NCC#CCN(C)C)s1 ZINC001119663905 856824801 /nfs/dbraw/zinc/82/48/01/856824801.db2.gz ZEKUTWYXTTXUEV-UHFFFAOYSA-N 0 1 293.392 0.468 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1)C(C)C ZINC001119865112 856899013 /nfs/dbraw/zinc/89/90/13/856899013.db2.gz KCZJQXHDQLJUFE-CHWSQXEVSA-N 0 1 291.395 0.598 20 30 CCEDMN C=CCCSCCn1nnnc1N(C)Cc1nnc[nH]1 ZINC001120184368 857004951 /nfs/dbraw/zinc/00/49/51/857004951.db2.gz NFJDHKKNLKNSAO-UHFFFAOYSA-N 0 1 294.388 0.737 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)COCc1ccnn1C ZINC001323263507 912232023 /nfs/dbraw/zinc/23/20/23/912232023.db2.gz RTNYQFUEZKKEPC-ZDUSSCGKSA-N 0 1 290.367 0.151 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H](CC(C)C)NC(C)=O ZINC001323339164 912281269 /nfs/dbraw/zinc/28/12/69/912281269.db2.gz DXXRBPOOPIEKAP-CABCVRRESA-N 0 1 293.411 0.751 20 30 CCEDMN C=CCN1CC[C@@H](NC2(CNC(=O)C3CC3)CC2)C1=O ZINC001323369769 912299936 /nfs/dbraw/zinc/29/99/36/912299936.db2.gz DEVSVFQPNCTSFP-GFCCVEGCSA-N 0 1 277.368 0.422 20 30 CCEDMN CNC(=O)[C@H](C)NC1(CNC(=O)C#CC(C)(C)C)CC1 ZINC001323438036 912345232 /nfs/dbraw/zinc/34/52/32/912345232.db2.gz RBJXHZBKBRVZHB-NSHDSACASA-N 0 1 279.384 0.409 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)CC(N)=O)C(C)(C)C1 ZINC001328081283 914960130 /nfs/dbraw/zinc/96/01/30/914960130.db2.gz KAQOBRNBUQPNKH-NEPJUHHUSA-N 0 1 279.384 0.348 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)CCCCNC(N)=O)C1 ZINC001323955603 912597371 /nfs/dbraw/zinc/59/73/71/912597371.db2.gz WKCCKOZSTCVLEN-UHFFFAOYSA-N 0 1 296.415 0.934 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)C2(C(=O)NC)CC2)C1 ZINC001323994148 912615445 /nfs/dbraw/zinc/61/54/45/912615445.db2.gz AINIOOILROTARC-UHFFFAOYSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2cnon2)CCO1 ZINC001393586694 912660631 /nfs/dbraw/zinc/66/06/31/912660631.db2.gz OOKLEEJNYSSXTC-VIFPVBQESA-N 0 1 286.719 0.253 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCN(C)CC(=O)NCC1CC1 ZINC001393611557 912676051 /nfs/dbraw/zinc/67/60/51/912676051.db2.gz BODXCWDHZYKQSW-LBPRGKRZSA-N 0 1 294.399 0.453 20 30 CCEDMN COCC#CCN1CC[C@](C)(NC(=O)C[C@@H](C)OC)C1 ZINC001324589665 912921609 /nfs/dbraw/zinc/92/16/09/912921609.db2.gz QFWBLVLEAGDBHS-HIFRSBDPSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cn2nccc2C)C1 ZINC001324655502 912965868 /nfs/dbraw/zinc/96/58/68/912965868.db2.gz FOBPNIFCXRPPSX-AWEZNQCLSA-N 0 1 260.341 0.405 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cc2nonc2C)C1 ZINC001324660273 912971356 /nfs/dbraw/zinc/97/13/56/912971356.db2.gz GROTWHJNUOLSKT-ZDUSSCGKSA-N 0 1 262.313 0.134 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cc(C)ncn1 ZINC001324803127 913043619 /nfs/dbraw/zinc/04/36/19/913043619.db2.gz OFBZWQIFRQHGMY-UHFFFAOYSA-N 0 1 295.324 0.242 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2CCCC2)C1 ZINC001325054825 913171485 /nfs/dbraw/zinc/17/14/85/913171485.db2.gz QTECVXUANFIZLJ-AWEZNQCLSA-N 0 1 250.342 0.363 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@@H](C)[C@H]1CCCO1 ZINC001492829330 913176152 /nfs/dbraw/zinc/17/61/52/913176152.db2.gz PTPIDYXUIPOQDI-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001325100752 913212784 /nfs/dbraw/zinc/21/27/84/913212784.db2.gz CJZHKXGJDLZHQN-WCQYABFASA-N 0 1 286.322 0.608 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H](OC)C2CCCC2)C1 ZINC001325153444 913246102 /nfs/dbraw/zinc/24/61/02/913246102.db2.gz JUYRCDWLVHQWCX-GOEBONIOSA-N 0 1 294.395 0.378 20 30 CCEDMN CCCC(=O)N[C@H]1C[C@H]2C[C@@H](C1)N(CC(=O)NCC#N)C2 ZINC001325326764 913347042 /nfs/dbraw/zinc/34/70/42/913347042.db2.gz JLONPHMXJYPFOL-AVGNSLFASA-N 0 1 292.383 0.395 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H](C)CC(N)=O ZINC001282665509 891469671 /nfs/dbraw/zinc/46/96/71/891469671.db2.gz NIUDTEDWPIVXJD-QWHCGFSZSA-N 0 1 279.384 0.492 20 30 CCEDMN C=CCCC(=O)NCCNC(=O)C(C)(C)c1cnc[nH]1 ZINC001282940181 891572516 /nfs/dbraw/zinc/57/25/16/891572516.db2.gz RWXNGFZZUOZECV-UHFFFAOYSA-N 0 1 278.356 0.886 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)[C@@H]2CCCO2)C1 ZINC001325817098 913586002 /nfs/dbraw/zinc/58/60/02/913586002.db2.gz FTMSQHGOIDWNIY-DZGCQCFKSA-N 0 1 280.368 0.132 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](n3cncn3)C2)CC1 ZINC001325848826 913607758 /nfs/dbraw/zinc/60/77/58/913607758.db2.gz DMJHLMBCHAHIKW-CQSZACIVSA-N 0 1 287.367 0.397 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@H](C)NCc1nccn1C ZINC001283609193 891948401 /nfs/dbraw/zinc/94/84/01/891948401.db2.gz ZFOVOHTTXOCUMC-ZDUSSCGKSA-N 0 1 292.383 0.397 20 30 CCEDMN C#CCN(CC)CCNC(=O)Cn1ccc(C(F)F)n1 ZINC001480979820 892009402 /nfs/dbraw/zinc/00/94/02/892009402.db2.gz XYXINSJPUSNAPT-UHFFFAOYSA-N 0 1 284.310 0.892 20 30 CCEDMN CC#CCCCC(=O)NCCN(CC)[C@H]1CCNC1=O ZINC001480994553 892030955 /nfs/dbraw/zinc/03/09/55/892030955.db2.gz LNVGLWRSCFACPK-ZDUSSCGKSA-N 0 1 279.384 0.507 20 30 CCEDMN COc1cccc(CN[C@@H](C)CNC(=O)[C@H](C)C#N)n1 ZINC001419720290 892063525 /nfs/dbraw/zinc/06/35/25/892063525.db2.gz NCAPWRQPGABYQN-MNOVXSKESA-N 0 1 276.340 0.844 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001481052089 892116820 /nfs/dbraw/zinc/11/68/20/892116820.db2.gz JWIFQFCJDHLYMK-WFASDCNBSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H]1CCN([C@H]2CCNC2=O)C1 ZINC001481096992 892162742 /nfs/dbraw/zinc/16/27/42/892162742.db2.gz WRWCLUABYPDNQY-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)C[C@H]1CCOC1 ZINC001481145981 892227339 /nfs/dbraw/zinc/22/73/39/892227339.db2.gz RHCJSXRCBBAAIB-OAHLLOKOSA-N 0 1 296.411 0.891 20 30 CCEDMN C#CCN(CCNC(=O)[C@H]1CCCCO1)C1CC1 ZINC001481165199 892259646 /nfs/dbraw/zinc/25/96/46/892259646.db2.gz IAEYGBQOXHZLOE-CYBMUJFWSA-N 0 1 250.342 0.769 20 30 CCEDMN COc1nccc(CNCCN(C)C(=O)[C@H](C)C#N)n1 ZINC001481215649 892364224 /nfs/dbraw/zinc/36/42/24/892364224.db2.gz MBNFXUDFNROOSF-SNVBAGLBSA-N 0 1 277.328 0.193 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)CCNCc1cnc(C)o1 ZINC001481225018 892394614 /nfs/dbraw/zinc/39/46/14/892394614.db2.gz DHULXXSOFUFJAF-CQSZACIVSA-N 0 1 281.356 0.858 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)CCNC(N)=O)C1 ZINC001481410370 892618682 /nfs/dbraw/zinc/61/86/82/892618682.db2.gz FZYSLKOUCNHGAM-LBPRGKRZSA-N 0 1 282.388 0.544 20 30 CCEDMN CCCN(C(=O)CCc1c[nH]nn1)[C@@H]1CCN(CC#N)C1 ZINC001481407440 892618945 /nfs/dbraw/zinc/61/89/45/892618945.db2.gz DESHLPLVBWUYCN-CYBMUJFWSA-N 0 1 290.371 0.574 20 30 CCEDMN CCCN(C(=O)CCc1cnn[nH]1)[C@@H]1CCN(CC#N)C1 ZINC001481407440 892618951 /nfs/dbraw/zinc/61/89/51/892618951.db2.gz DESHLPLVBWUYCN-CYBMUJFWSA-N 0 1 290.371 0.574 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)CNC(C)=O)C1 ZINC001481541616 892789911 /nfs/dbraw/zinc/78/99/11/892789911.db2.gz MAHMKPHMCYKKKP-SNVBAGLBSA-N 0 1 253.346 0.278 20 30 CCEDMN Cc1oncc1CNCCN(CCO)C(=O)C#CC1CC1 ZINC001326023251 913699832 /nfs/dbraw/zinc/69/98/32/913699832.db2.gz WVACVKWYXCUHJD-UHFFFAOYSA-N 0 1 291.351 0.307 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CC[C@H]2CCCO2)C1 ZINC001481698223 893026729 /nfs/dbraw/zinc/02/67/29/893026729.db2.gz RGYZENUQDUGQCG-CHWSQXEVSA-N 0 1 250.342 0.769 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)COCC(F)F)C1 ZINC001481735360 893106212 /nfs/dbraw/zinc/10/62/12/893106212.db2.gz LNURSVDRSULDBX-JTQLQIEISA-N 0 1 262.300 0.987 20 30 CCEDMN CCN(C(=O)[C@H](C)C#N)[C@H]1CCN(CCOCCO)C1 ZINC001481762010 893125648 /nfs/dbraw/zinc/12/56/48/893125648.db2.gz QDAWIISQJLPZRI-OLZOCXBDSA-N 0 1 283.372 0.078 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H](C)CNCc1nonc1C ZINC001482101090 893395602 /nfs/dbraw/zinc/39/56/02/893395602.db2.gz KSTJWQOIFHLIPN-PWSUYJOCSA-N 0 1 294.355 0.258 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CN(CC2CCC2)CCO1 ZINC001482185149 893474637 /nfs/dbraw/zinc/47/46/37/893474637.db2.gz QPHHNESLXYCHFX-AAEUAGOBSA-N 0 1 265.357 0.763 20 30 CCEDMN C[C@@H](CNCc1cnnn1C)N(C)C(=O)C#CC1CC1 ZINC001482280264 893755970 /nfs/dbraw/zinc/75/59/70/893755970.db2.gz FSRDBMONQVFPRD-NSHDSACASA-N 0 1 275.356 0.165 20 30 CCEDMN CN1CC2(C1)CCCN(C(=O)C#Cc1cccnc1)C2 ZINC001270343508 893757870 /nfs/dbraw/zinc/75/78/70/893757870.db2.gz QUCZJEPYYZZCNQ-UHFFFAOYSA-N 0 1 269.348 0.987 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cnco1 ZINC001496754236 894269738 /nfs/dbraw/zinc/26/97/38/894269738.db2.gz GYDYKMMPPAZIDE-UHFFFAOYSA-N 0 1 265.313 0.328 20 30 CCEDMN C[C@H](CCNCc1cnn(C)n1)NC(=O)CSCC#N ZINC001482809143 894622091 /nfs/dbraw/zinc/62/20/91/894622091.db2.gz AUJJVODROYCQCL-SNVBAGLBSA-N 0 1 296.400 0.056 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)c1cccn1C ZINC001482983773 894759636 /nfs/dbraw/zinc/75/96/36/894759636.db2.gz IVIVBRYVLLPXRS-PLNGDYQASA-N 0 1 290.367 0.203 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)Cc1nc[nH]n1 ZINC001483081481 894885581 /nfs/dbraw/zinc/88/55/81/894885581.db2.gz ZMYRNHVIZLLABQ-IHWYPQMZSA-N 0 1 269.736 0.362 20 30 CCEDMN COc1ncccc1CNC[C@H](C)NC(=O)[C@@H](C)C#N ZINC001483215944 895122253 /nfs/dbraw/zinc/12/22/53/895122253.db2.gz WHQMLNXBVCAFMQ-QWRGUYRKSA-N 0 1 276.340 0.844 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H](C)CNCc1conc1CC ZINC001483227364 895143748 /nfs/dbraw/zinc/14/37/48/895143748.db2.gz ZXXAUGAWCHHUMA-RYUDHWBXSA-N 0 1 293.367 0.870 20 30 CCEDMN CCCC[C@H](C(N)=O)N(C)C[C@H](C)NC(=O)C#CC1CC1 ZINC001483336361 895475031 /nfs/dbraw/zinc/47/50/31/895475031.db2.gz MJNSDNLZIHKEOP-GXTWGEPZSA-N 0 1 293.411 0.880 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CN(C)C(=O)C1CC1 ZINC001483345154 895480734 /nfs/dbraw/zinc/48/07/34/895480734.db2.gz KKDXEYVDWJTZEB-GFCCVEGCSA-N 0 1 279.384 0.315 20 30 CCEDMN C=C(Cl)CN(C)C[C@H](C)NC(=O)c1cnn[nH]1 ZINC001483359280 895502186 /nfs/dbraw/zinc/50/21/86/895502186.db2.gz DKUANEJIILRWTG-QMMMGPOBSA-N 0 1 257.725 0.607 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)CN(C)[C@@H]1CCCNC1=O ZINC001483373041 895509670 /nfs/dbraw/zinc/50/96/70/895509670.db2.gz SVVFDLSDGQLDHL-VXGBXAGGSA-N 0 1 281.400 0.914 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)Cc1cnoc1 ZINC001483376492 895515288 /nfs/dbraw/zinc/51/52/88/895515288.db2.gz IMUSRFIFMDGHPX-GFCCVEGCSA-N 0 1 279.340 0.303 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)C1(NC(C)=O)CCCC1 ZINC001483394978 895535128 /nfs/dbraw/zinc/53/51/28/895535128.db2.gz FPIPADMOVMNSER-LBPRGKRZSA-N 0 1 279.384 0.505 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1cccnn1 ZINC001483399840 895539756 /nfs/dbraw/zinc/53/97/56/895539756.db2.gz VZQLUJFVSIMFIE-LBPRGKRZSA-N 0 1 276.340 0.177 20 30 CCEDMN CN(CCCNCC#N)C(=O)c1cccc2nn[nH]c21 ZINC001483468040 895593746 /nfs/dbraw/zinc/59/37/46/895593746.db2.gz BVTFCTIYJYXFGH-UHFFFAOYSA-N 0 1 272.312 0.533 20 30 CCEDMN CN1C[C@H](NS(=O)(=O)CC2(CC#N)CC2)C(C)(C)C1 ZINC001364967437 895771358 /nfs/dbraw/zinc/77/13/58/895771358.db2.gz MVUHJOPCIHWWJI-NSHDSACASA-N 0 1 285.413 0.940 20 30 CCEDMN C=CCC1(C(=O)NC[C@@H]2CCN2C[C@@H](C)O)CCOCC1 ZINC001483695391 895872120 /nfs/dbraw/zinc/87/21/20/895872120.db2.gz BNBWHCUPZYHRFQ-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)c3nc[nH]n3)CCC[C@@H]12 ZINC000992336492 895907442 /nfs/dbraw/zinc/90/74/42/895907442.db2.gz XYEJNRXVCKPXAM-SKDRFNHKSA-N 0 1 260.301 0.055 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)c3ncn[nH]3)CCC[C@@H]12 ZINC000992336492 895907449 /nfs/dbraw/zinc/90/74/49/895907449.db2.gz XYEJNRXVCKPXAM-SKDRFNHKSA-N 0 1 260.301 0.055 20 30 CCEDMN CC[S@@](=O)CCNCc1cnc2c(C#N)cnn2c1 ZINC001326615058 914052048 /nfs/dbraw/zinc/05/20/48/914052048.db2.gz TYQNGGVHXRMQHC-LJQANCHMSA-N 0 1 277.353 0.459 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H]([C@@H](C)NCc2cnon2)C1 ZINC001484330296 896347256 /nfs/dbraw/zinc/34/72/56/896347256.db2.gz CZQOUQYCBNDQIG-NEPJUHHUSA-N 0 1 294.355 0.599 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]([C@@H](C)NCc2nnnn2C)C1 ZINC001484331614 896348519 /nfs/dbraw/zinc/34/85/19/896348519.db2.gz LAQWMIKBZGPKSQ-NEPJUHHUSA-N 0 1 292.387 0.503 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2n[nH]nc2C)CCO1 ZINC001484689358 896536977 /nfs/dbraw/zinc/53/69/77/896536977.db2.gz XNICXUNJDSAKOH-JTQLQIEISA-N 0 1 299.762 0.296 20 30 CCEDMN C=C(C)CN1CC2(C1)CN(C(=O)C[N@H+](C)CCC)CCO2 ZINC001484778388 896596267 /nfs/dbraw/zinc/59/62/67/896596267.db2.gz NASZJICYEKNEQZ-UHFFFAOYSA-N 0 1 295.427 0.818 20 30 CCEDMN CC#CCCCC(=O)N[C@H]1CCN(CC(=O)NC)[C@@H](C)C1 ZINC001484979062 896698648 /nfs/dbraw/zinc/69/86/48/896698648.db2.gz XCZYUNLXVMWDEI-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)COC(C)C)CC1 ZINC001485015163 896720818 /nfs/dbraw/zinc/72/08/18/896720818.db2.gz WSEAWZYEYBCNIF-UHFFFAOYSA-N 0 1 270.373 0.541 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)[N@@H+](C)[C@H]1CCN(C)C1=O ZINC001485296523 896924904 /nfs/dbraw/zinc/92/49/04/896924904.db2.gz BXMLTOVSJXFUEV-STQMWFEESA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)N(C)[C@H]1CCN(C)C1=O ZINC001485296523 896924912 /nfs/dbraw/zinc/92/49/12/896924912.db2.gz BXMLTOVSJXFUEV-STQMWFEESA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)Cc1cnn(C)c1C ZINC001485315927 896941207 /nfs/dbraw/zinc/94/12/07/896941207.db2.gz OPMUDXHUABKCLK-LLVKDONJSA-N 0 1 262.357 0.341 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@]1(F)CCOC1 ZINC001485341688 896969262 /nfs/dbraw/zinc/96/92/62/896969262.db2.gz KRMKXLJEJDFYDJ-OCCSQVGLSA-N 0 1 286.347 0.201 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1csc(=O)[nH]1 ZINC001485341884 896970068 /nfs/dbraw/zinc/97/00/68/896970068.db2.gz NUASEUWLBXLMEF-SECBINFHSA-N 0 1 267.354 0.922 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCc1cnn(C)n1 ZINC001485350985 896981216 /nfs/dbraw/zinc/98/12/16/896981216.db2.gz RGIMXOKAROSEDF-GFCCVEGCSA-N 0 1 277.372 0.208 20 30 CCEDMN CCCC[C@@H](CNCc1cnnn1C)NC(=O)[C@@H](C)C#N ZINC001485461799 897061519 /nfs/dbraw/zinc/06/15/19/897061519.db2.gz QQLCVMVNVZXDNA-RYUDHWBXSA-N 0 1 292.387 0.739 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccco2)C1 ZINC001077710249 897325024 /nfs/dbraw/zinc/32/50/24/897325024.db2.gz YPMVCMVPVVBMQH-AAVRWANBSA-N 0 1 288.347 0.568 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2cn(C)cn2)C1 ZINC001107872906 897408091 /nfs/dbraw/zinc/40/80/91/897408091.db2.gz VWWXQBCWWRFVLN-HNNXBMFYSA-N 0 1 292.383 0.817 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@]1(C)CN(CC2CC2)CCO1 ZINC001107902302 897469763 /nfs/dbraw/zinc/46/97/63/897469763.db2.gz HBHONWCYEDZVBQ-CVEARBPZSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2ncc[nH]2)C1 ZINC001107929647 897511749 /nfs/dbraw/zinc/51/17/49/897511749.db2.gz XQVIXCILEAFJML-AWEZNQCLSA-N 0 1 278.356 0.807 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]cc1C(F)(F)F ZINC001032438933 897563165 /nfs/dbraw/zinc/56/31/65/897563165.db2.gz HVEBBUTUIQRVDN-IUCAKERBSA-N 0 1 298.268 0.960 20 30 CCEDMN CCNCc1nnc2n1CC[C@H](NC(=O)[C@H](C)C#N)CC2 ZINC001127963816 897579905 /nfs/dbraw/zinc/57/99/05/897579905.db2.gz AAWBKTREUZWOCV-GHMZBOCLSA-N 0 1 290.371 0.368 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cnccc1OC ZINC001032472192 897611133 /nfs/dbraw/zinc/61/11/33/897611133.db2.gz IICFKOAMUKFVEF-GJZGRUSLSA-N 0 1 299.374 0.941 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cnoc1 ZINC001032581689 897772068 /nfs/dbraw/zinc/77/20/68/897772068.db2.gz DBSMGOWYGMJRAT-STQMWFEESA-N 0 1 259.309 0.526 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](OC)C(C)C ZINC001032604903 897814181 /nfs/dbraw/zinc/81/41/81/897814181.db2.gz NIEKNLDXBDZFFJ-MELADBBJSA-N 0 1 264.369 0.966 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001032629460 897851616 /nfs/dbraw/zinc/85/16/16/897851616.db2.gz WZHSCHOGCMPZIM-RFGFWPKPSA-N 0 1 291.395 0.749 20 30 CCEDMN C=CCN1CCO[C@](C)(CNC(=O)CCc2cnc[nH]2)C1 ZINC001107988109 897890759 /nfs/dbraw/zinc/89/07/59/897890759.db2.gz WQQWAZWEQUYKNJ-OAHLLOKOSA-N 0 1 292.383 0.735 20 30 CCEDMN C#CCN1CCO[C@@](C)(CNC(=O)CCc2cnc[nH]2)C1 ZINC001107988227 897893111 /nfs/dbraw/zinc/89/31/11/897893111.db2.gz XKTKZGUXFUWHFM-HNNXBMFYSA-N 0 1 290.367 0.183 20 30 CCEDMN CC#CCCCC(=O)NCC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001077902865 898022481 /nfs/dbraw/zinc/02/24/81/898022481.db2.gz ZKNATWOAPJNOAX-NSHDSACASA-N 0 1 291.355 0.623 20 30 CCEDMN C=CCCCC(=O)NCC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001078100092 898231125 /nfs/dbraw/zinc/23/11/25/898231125.db2.gz HFYPVRYHXIJIBX-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN N#CCNC1(CNC(=O)CCCCc2cn[nH]n2)CCC1 ZINC001326859032 914236054 /nfs/dbraw/zinc/23/60/54/914236054.db2.gz CSZVUYKTEGMFKT-UHFFFAOYSA-N 0 1 290.371 0.670 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C(C)(C)C(N)=O ZINC001485885589 898486732 /nfs/dbraw/zinc/48/67/32/898486732.db2.gz NJJKOYMCTAPEDX-SECBINFHSA-N 0 1 275.780 0.687 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)CC(C)=C(C)C ZINC001486023231 898595967 /nfs/dbraw/zinc/59/59/67/898595967.db2.gz AKEJAPHGABRMKY-AWEZNQCLSA-N 0 1 282.384 0.449 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cnc2[nH]ccc2c1 ZINC001486006891 898602239 /nfs/dbraw/zinc/60/22/39/898602239.db2.gz VOJNHRPMPVKLQH-CYBMUJFWSA-N 0 1 286.335 0.218 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)COc1cc(C)ccc1C ZINC001486061497 898628451 /nfs/dbraw/zinc/62/84/51/898628451.db2.gz NXWXPWZYDVLJPM-CQSZACIVSA-N 0 1 290.363 0.382 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)CO[C@H]3CCOC3)CCC[C@H]12 ZINC001486143580 898682553 /nfs/dbraw/zinc/68/25/53/898682553.db2.gz CQGLAKDCRYUSID-OFQRWUPVSA-N 0 1 292.379 0.538 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCCC(=O)NCC)[C@@H]1C ZINC001486183650 898701261 /nfs/dbraw/zinc/70/12/61/898701261.db2.gz KNCSOITYTGDRSL-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN N#CCNC[C@@H]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001486220266 898719406 /nfs/dbraw/zinc/71/94/06/898719406.db2.gz JZUSQTNNHXZFPF-PWSUYJOCSA-N 0 1 298.350 0.970 20 30 CCEDMN C#CC[N@H+](C)C[C@H](O)CN(C)C(=O)c1ccccn1 ZINC001486322024 898780375 /nfs/dbraw/zinc/78/03/75/898780375.db2.gz XFLPQEYUKSPMOE-LBPRGKRZSA-N 0 1 261.325 0.080 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccccn1 ZINC001486322024 898780383 /nfs/dbraw/zinc/78/03/83/898780383.db2.gz XFLPQEYUKSPMOE-LBPRGKRZSA-N 0 1 261.325 0.080 20 30 CCEDMN C=CC[N@H+](C)C[C@H](O)CN(C)C(=O)Cc1ccc(F)cn1 ZINC001486339847 898802862 /nfs/dbraw/zinc/80/28/62/898802862.db2.gz AMENESHHINDXRM-AWEZNQCLSA-N 0 1 295.358 0.700 20 30 CCEDMN C=C(C)CCC(=O)NC1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001486600341 898933233 /nfs/dbraw/zinc/93/32/33/898933233.db2.gz WHSPPTDETXSXPN-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN C=C(C)CCC(=O)NC1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001486600341 898933247 /nfs/dbraw/zinc/93/32/47/898933247.db2.gz WHSPPTDETXSXPN-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN CC(C)C#CC(=O)NCC1(NCC(=O)N(C)C(C)C)CC1 ZINC001323438052 899432590 /nfs/dbraw/zinc/43/25/90/899432590.db2.gz RUULJCFUJYVRPG-UHFFFAOYSA-N 0 1 293.411 0.751 20 30 CCEDMN Cc1cc(CN[C@@H](CO)CNC(=O)[C@@H](C)C#N)sn1 ZINC001410597702 899490073 /nfs/dbraw/zinc/49/00/73/899490073.db2.gz WGYDAGWCUWIDFI-WCBMZHEXSA-N 0 1 282.369 0.178 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)Cc1ccco1 ZINC001411118751 899689157 /nfs/dbraw/zinc/68/91/57/899689157.db2.gz BZNFAIUWJLGDTL-LLVKDONJSA-N 0 1 286.759 0.984 20 30 CCEDMN CNC(=O)CN1CCC([C@H](C)NC(=O)[C@@H](C)C#N)CC1 ZINC001411228804 899746720 /nfs/dbraw/zinc/74/67/20/899746720.db2.gz CLAVQRSFGQCQHH-QWRGUYRKSA-N 0 1 280.372 0.109 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2CCC2)C1 ZINC001190600683 900016743 /nfs/dbraw/zinc/01/67/43/900016743.db2.gz BGRQVPQIRCQDFN-CHWSQXEVSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](C)CN(C)C(=O)c1ccn[nH]1 ZINC001487716293 900107691 /nfs/dbraw/zinc/10/76/91/900107691.db2.gz UUXIUNURAYCMDA-HZMBPMFUSA-N 0 1 294.355 0.314 20 30 CCEDMN C=CCC(C)(C)C(=O)N(C)C[C@H](C)NC(=O)c1cnn[nH]1 ZINC001487775824 900128258 /nfs/dbraw/zinc/12/82/58/900128258.db2.gz BOMMBHAWRWWFSP-JTQLQIEISA-N 0 1 293.371 0.984 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1ncc(C)cn1 ZINC001327211381 914420932 /nfs/dbraw/zinc/42/09/32/914420932.db2.gz NBKVWHAQHZMJHU-UHFFFAOYSA-N 0 1 295.324 0.242 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)c1cncnc1 ZINC001489212662 900450599 /nfs/dbraw/zinc/45/05/99/900450599.db2.gz WUPGUNFTFBTPIS-UHFFFAOYSA-N 0 1 290.367 0.520 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)C[C@@H]1CCCCN1C(=O)CC ZINC001489317882 900466136 /nfs/dbraw/zinc/46/61/36/900466136.db2.gz SCHUCYGTVKYOJS-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](O)C(C)C ZINC001489320705 900468615 /nfs/dbraw/zinc/46/86/15/900468615.db2.gz KQFVZNPIECJKBX-UONOGXRCSA-N 0 1 266.385 0.949 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)c2oncc2C)CC1 ZINC001490474430 900633742 /nfs/dbraw/zinc/63/37/42/900633742.db2.gz CPGLJIAANWKENG-UHFFFAOYSA-N 0 1 290.367 0.354 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001490537090 900652435 /nfs/dbraw/zinc/65/24/35/900652435.db2.gz DGZHIVOITKVONN-ZBFHGGJFSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@H]2CCO[C@H]2C)CC1 ZINC001490506639 900655587 /nfs/dbraw/zinc/65/55/87/900655587.db2.gz GVZDIMBTJZLDST-GJZGRUSLSA-N 0 1 295.427 0.721 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](CN(C)CC(=O)N(C)C)C1 ZINC001490750907 900716227 /nfs/dbraw/zinc/71/62/27/900716227.db2.gz BYOZTSCWMLMICI-CHWSQXEVSA-N 0 1 294.399 0.405 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)C=C(C)C)C1 ZINC001493175331 900766980 /nfs/dbraw/zinc/76/69/80/900766980.db2.gz LFECGKVMVQMKQW-ZDUSSCGKSA-N 0 1 277.368 0.140 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CNC(=O)CCc1nc[nH]n1 ZINC001295458429 900906044 /nfs/dbraw/zinc/90/60/44/900906044.db2.gz LZWRSMITMHPNGD-NSHDSACASA-N 0 1 291.355 0.018 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)CCc1c[nH]cn1 ZINC001320007612 900911964 /nfs/dbraw/zinc/91/19/64/900911964.db2.gz SGJGVTMPZNWDMQ-UHFFFAOYSA-N 0 1 292.383 0.382 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@@H]1CCN(CC)C1=O ZINC001442915347 901082269 /nfs/dbraw/zinc/08/22/69/901082269.db2.gz ABUPBBQPSBOTJE-CHWSQXEVSA-N 0 1 294.399 0.595 20 30 CCEDMN COc1ccc(C#N)cc1NC(=O)CCc1nn[nH]n1 ZINC001411702264 901203698 /nfs/dbraw/zinc/20/36/98/901203698.db2.gz LRJFNOZMHPRDJO-UHFFFAOYSA-N 0 1 272.268 0.651 20 30 CCEDMN N#CC1(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)CC2(CC2)C1 ZINC001411778633 901248648 /nfs/dbraw/zinc/24/86/48/901248648.db2.gz POIUXEYXIPCWLE-SECBINFHSA-N 0 1 288.311 0.184 20 30 CCEDMN CN(CC(=O)N1CCC[C@](C)(C#N)C1)[C@@H]1CCC[C@H]1O ZINC001412729581 901995830 /nfs/dbraw/zinc/99/58/30/901995830.db2.gz OFLRWVGPLYSCQB-UMVBOHGHSA-N 0 1 279.384 0.984 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)NCCn1cnc(C#N)n1 ZINC001412938195 902378147 /nfs/dbraw/zinc/37/81/47/902378147.db2.gz SAPUBSRRSGETBM-NOZJJQNGSA-N 0 1 299.338 0.355 20 30 CCEDMN CCN1CC[C@H]1C(=O)N1CCC(C#N)(C(=O)OC)CC1 ZINC001412942792 902379440 /nfs/dbraw/zinc/37/94/40/902379440.db2.gz ZZJUBOCRTAKBRK-NSHDSACASA-N 0 1 279.340 0.386 20 30 CCEDMN Cc1cc(C[C@@H](C)NS(=O)(=O)N(C)[C@@H](C)CC#N)n[nH]1 ZINC001413362688 902902979 /nfs/dbraw/zinc/90/29/79/902902979.db2.gz WIKGUZVSSCEFNU-MNOVXSKESA-N 0 1 299.400 0.718 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@](O)(CC#N)C2)CC1 ZINC001328592400 915294686 /nfs/dbraw/zinc/29/46/86/915294686.db2.gz SWYXRNGPIYXJPU-HNNXBMFYSA-N 0 1 275.352 0.209 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCCN([C@@H]2CCNC2=O)C1 ZINC001491103389 903364505 /nfs/dbraw/zinc/36/45/05/903364505.db2.gz SYTDMQBCYDGTCB-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN Cc1nonc1CNC[C@H](C)CNC(=O)[C@H](C)C#N ZINC001495654294 903524973 /nfs/dbraw/zinc/52/49/73/903524973.db2.gz FCMDKLFASLQZFT-DTWKUNHWSA-N 0 1 265.317 0.380 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CC[C@H](c3[nH]ncc3N)C2)cn1 ZINC001413788220 903654874 /nfs/dbraw/zinc/65/48/74/903654874.db2.gz IHUWUBUTDPUEBK-NSHDSACASA-N 0 1 296.334 0.817 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1CN(CCC2CC2)CCO1 ZINC001495845354 903995608 /nfs/dbraw/zinc/99/56/08/903995608.db2.gz NNEFQEQKESYJQH-ZFWWWQNUSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H](C)OC)CC1 ZINC001281798912 904349037 /nfs/dbraw/zinc/34/90/37/904349037.db2.gz MTNDAXJQPJSRGK-LLVKDONJSA-N 0 1 256.346 0.150 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CCn1cccn1 ZINC001281920558 904367198 /nfs/dbraw/zinc/36/71/98/904367198.db2.gz VIPAWIPOOJTLNC-CQSZACIVSA-N 0 1 274.368 0.829 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCn2cncc2C1 ZINC001282412353 904469184 /nfs/dbraw/zinc/46/91/84/904469184.db2.gz NTYBSZMSLTUXLO-UONOGXRCSA-N 0 1 288.395 0.905 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cn2cccnc2n1 ZINC001282425224 904475619 /nfs/dbraw/zinc/47/56/19/904475619.db2.gz PYCBCPFWQAVFDI-GFCCVEGCSA-N 0 1 285.351 0.803 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CCCn1cncn1 ZINC001282479381 904485230 /nfs/dbraw/zinc/48/52/30/904485230.db2.gz VIYFKWHXPIQSEF-LBPRGKRZSA-N 0 1 263.345 0.128 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc2ncccn2n1 ZINC001282466209 904488786 /nfs/dbraw/zinc/48/87/86/904488786.db2.gz ATDSHBHTALTDAT-LBPRGKRZSA-N 0 1 285.351 0.803 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H](O)C(C)C ZINC001282667983 904517599 /nfs/dbraw/zinc/51/75/99/904517599.db2.gz TWHYPIKBOCTNDQ-ZIAGYGMSSA-N 0 1 266.385 0.997 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc(C)n[nH]1 ZINC001332623097 904531069 /nfs/dbraw/zinc/53/10/69/904531069.db2.gz ZXQIJDDHWWLMPJ-GFCCVEGCSA-N 0 1 278.356 0.106 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@]2(C1)CN(C(C)C)CCO2 ZINC001282773359 904539734 /nfs/dbraw/zinc/53/97/34/904539734.db2.gz QKKUWFUQNZMNQA-GOEBONIOSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CO[C@@H]2CCOC2)C(C)(C)C1 ZINC001282801190 904542165 /nfs/dbraw/zinc/54/21/65/904542165.db2.gz SHWUHJLYKSTIGP-CHWSQXEVSA-N 0 1 280.368 0.252 20 30 CCEDMN C=CCCC(=O)NCCNC(=O)C(C)(C)[N@H+](C)CC ZINC001282940358 904620409 /nfs/dbraw/zinc/62/04/09/904620409.db2.gz UPLOWPZZWAJCRJ-UHFFFAOYSA-N 0 1 269.389 0.915 20 30 CCEDMN Cn1ncc(CNC[C@H]2C[C@H](NC(=O)C#CC3CC3)C2)n1 ZINC001283042516 904680556 /nfs/dbraw/zinc/68/05/56/904680556.db2.gz ZCXAIXWNFZHKON-JOCQHMNTSA-N 0 1 287.367 0.213 20 30 CCEDMN Cc1nonc1CNCCC[C@H](C)NC(=O)[C@@H](C)C#N ZINC001283146539 904708979 /nfs/dbraw/zinc/70/89/79/904708979.db2.gz RJNFCCJLBMQEOL-UWVGGRQHSA-N 0 1 279.344 0.912 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H](F)c1ccccc1 ZINC001283812404 905027441 /nfs/dbraw/zinc/02/74/41/905027441.db2.gz HMVFIGHIRGQGNH-KBPBESRZSA-N 0 1 278.327 0.787 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1ccc(F)cc1 ZINC001283826266 905041985 /nfs/dbraw/zinc/04/19/85/905041985.db2.gz UQTRIPUWHXFJAG-ZDUSSCGKSA-N 0 1 264.300 0.068 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@@H]1CCN(Cc2nccn2C)C1 ZINC001377666562 905087146 /nfs/dbraw/zinc/08/71/46/905087146.db2.gz AWLFKVYUVLWEAL-CHWSQXEVSA-N 0 1 289.383 0.908 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H](C)NC(=O)c2cnn[nH]2)cc1 ZINC001284298213 905240113 /nfs/dbraw/zinc/24/01/13/905240113.db2.gz XNRXUTDFUJMVLZ-SNVBAGLBSA-N 0 1 297.318 0.334 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H](C)CNC(=O)Cc2nnc[nH]2)C1 ZINC001284335463 905252982 /nfs/dbraw/zinc/25/29/82/905252982.db2.gz JFMOBAPODHDWGX-SNVBAGLBSA-N 0 1 291.355 0.324 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc(OC)ccn1 ZINC001284505581 905338609 /nfs/dbraw/zinc/33/86/09/905338609.db2.gz NSIQVTSPIBMMMW-GFCCVEGCSA-N 0 1 291.351 0.088 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)Cc1csc(C)n1 ZINC001284520388 905355856 /nfs/dbraw/zinc/35/58/56/905355856.db2.gz SKLOHNRMOPCKOX-ZDUSSCGKSA-N 0 1 295.408 0.378 20 30 CCEDMN C=CCN(CCNC(=O)[C@H]1CCO[C@@H]1C)CCOC ZINC001284669133 905412176 /nfs/dbraw/zinc/41/21/76/905412176.db2.gz UVRSUQSRRUMMSE-OLZOCXBDSA-N 0 1 270.373 0.662 20 30 CCEDMN C=CCN(CCNC(=O)[C@@H]1CCO[C@H]1C)CCOC ZINC001284669134 905412702 /nfs/dbraw/zinc/41/27/02/905412702.db2.gz UVRSUQSRRUMMSE-QWHCGFSZSA-N 0 1 270.373 0.662 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N(C)C1CC(NCC#N)C1 ZINC001284799931 905436583 /nfs/dbraw/zinc/43/65/83/905436583.db2.gz ZGZOQFUVCPPDAR-ZBOXLXRLSA-N 0 1 275.356 0.691 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001285399580 905612668 /nfs/dbraw/zinc/61/26/68/905612668.db2.gz LHRIVLPGIUTBEO-QWRGUYRKSA-N 0 1 291.355 0.786 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCC=CCNC(=O)c1cnn[nH]1 ZINC001285584992 905679730 /nfs/dbraw/zinc/67/97/30/905679730.db2.gz FUBOMPDWWCVZDC-PSKZRQQASA-N 0 1 291.355 0.809 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001285751801 905752331 /nfs/dbraw/zinc/75/23/31/905752331.db2.gz ZWRRZEDRWJJVGE-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C=CCCCC(=O)NC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001285750758 905753410 /nfs/dbraw/zinc/75/34/10/905753410.db2.gz BPCGSRRMWVBMIZ-VIFPVBQESA-N 0 1 265.317 0.396 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H]2CNC(=O)C#CC(C)C)[nH]1 ZINC001285853745 905789414 /nfs/dbraw/zinc/78/94/14/905789414.db2.gz SSEWFGGVCSDZKA-GFCCVEGCSA-N 0 1 288.351 0.708 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(C(F)F)nc1 ZINC001332928364 905818936 /nfs/dbraw/zinc/81/89/36/905818936.db2.gz HOJIZWQBAJYWMP-SCZZXKLOSA-N 0 1 266.251 0.861 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CNC(=O)C1CC1 ZINC001379056935 905960363 /nfs/dbraw/zinc/96/03/63/905960363.db2.gz CCTXOMOLTKVICP-JTQLQIEISA-N 0 1 287.791 0.702 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H](C)NC(=O)CC)CC1 ZINC001337245041 921224107 /nfs/dbraw/zinc/22/41/07/921224107.db2.gz FVPVDSRGJNPFLS-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN CC(C)(C)C#CC(=O)NCCNC(=O)CN1CCCC1 ZINC001292718327 906331451 /nfs/dbraw/zinc/33/14/51/906331451.db2.gz NLWBOKAFXLXIND-UHFFFAOYSA-N 0 1 279.384 0.364 20 30 CCEDMN N#CCc1ccccc1C(=O)NCCc1nc[nH]n1 ZINC001293432018 906474073 /nfs/dbraw/zinc/47/40/73/906474073.db2.gz PGHNSBGOFBLAFM-UHFFFAOYSA-N 0 1 255.281 0.843 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001293534512 906482832 /nfs/dbraw/zinc/48/28/32/906482832.db2.gz WDMQOLXBSWYTOR-SNVBAGLBSA-N 0 1 262.313 0.707 20 30 CCEDMN CCNC(=O)CN1CC[C@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC001380204829 906670971 /nfs/dbraw/zinc/67/09/71/906670971.db2.gz JLMIKJJZPNVKQJ-DOMZBBRYSA-N 0 1 292.383 0.207 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)[C@H](C)OCC)CCO1 ZINC001380905040 906985577 /nfs/dbraw/zinc/98/55/77/906985577.db2.gz RGEIEVLBAUSKDV-NWDGAFQWSA-N 0 1 290.791 0.981 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)N1CC(NC(=O)c2[nH]ncc2F)C1 ZINC001297137531 907028576 /nfs/dbraw/zinc/02/85/76/907028576.db2.gz JHCWVTGDQOFGAC-BDAKNGLRSA-N 0 1 294.330 0.948 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)Cc1cnn(C)c1C ZINC001317173812 907403809 /nfs/dbraw/zinc/40/38/09/907403809.db2.gz QPGQOLBGTZFWTP-OAHLLOKOSA-N 0 1 288.395 0.875 20 30 CCEDMN CC(C)(C)C#CC(=O)NCCCNC(=O)Cc1nnc[nH]1 ZINC001491699111 907511304 /nfs/dbraw/zinc/51/13/04/907511304.db2.gz UAMZKGZMVGHPKW-UHFFFAOYSA-N 0 1 291.355 0.019 20 30 CCEDMN CC#CCCCC(=O)NCCCNC(=O)CN1CCCC1 ZINC001491711734 907534921 /nfs/dbraw/zinc/53/49/21/907534921.db2.gz RTCNZEJPMOVIJN-UHFFFAOYSA-N 0 1 293.411 0.898 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](COC)OC ZINC001382116771 907542475 /nfs/dbraw/zinc/54/24/75/907542475.db2.gz ATNPRYPZIPQNIN-QWRGUYRKSA-N 0 1 278.780 0.837 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](COC)OC ZINC001382116756 907553308 /nfs/dbraw/zinc/55/33/08/907553308.db2.gz ATNPRYPZIPQNIN-GHMZBOCLSA-N 0 1 278.780 0.837 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC[C@@H](C)NCc1ncccn1 ZINC001492022748 907692885 /nfs/dbraw/zinc/69/28/85/907692885.db2.gz YHANZFOGISWTPU-OLZOCXBDSA-N 0 1 290.367 0.499 20 30 CCEDMN C=CCCC(=O)NCCN(C)CCn1cccn1 ZINC001492212826 907764601 /nfs/dbraw/zinc/76/46/01/907764601.db2.gz HRNBNBOPEXAQST-UHFFFAOYSA-N 0 1 250.346 0.897 20 30 CCEDMN CN1CC[C@@H](C(=O)Nc2nc3ccc(C#N)cc3[nH]2)C1=O ZINC001301793348 907990178 /nfs/dbraw/zinc/99/01/78/907990178.db2.gz UNURIRDCXWNCDO-VIFPVBQESA-N 0 1 283.291 0.851 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C)Oc1ccc(F)cc1 ZINC001339974527 908493979 /nfs/dbraw/zinc/49/39/79/908493979.db2.gz SSNQHWWQRDTONM-NSHDSACASA-N 0 1 264.300 0.932 20 30 CCEDMN C#CC[N@H+](CCO)[C@H]1CCCN(C(=O)CCOC(C)C)C1 ZINC001316745239 908663870 /nfs/dbraw/zinc/66/38/70/908663870.db2.gz WBGVQRAOWHMSHD-HNNXBMFYSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)CCOC(C)C)C1 ZINC001316745239 908663872 /nfs/dbraw/zinc/66/38/72/908663872.db2.gz WBGVQRAOWHMSHD-HNNXBMFYSA-N 0 1 296.411 0.720 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)n2cncn2)CC1 ZINC001313443869 908669491 /nfs/dbraw/zinc/66/94/91/908669491.db2.gz FNTBZQQKQMKYMP-ZDUSSCGKSA-N 0 1 277.372 0.950 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)C#N)NC(=O)c1cccc2nc[nH]c21 ZINC001386460657 908754172 /nfs/dbraw/zinc/75/41/72/908754172.db2.gz YDQBSLZXDTVPJA-VHSXEESVSA-N 0 1 299.334 0.957 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)CNC(=O)CC)CC(C)(C)C1 ZINC001316807712 908777120 /nfs/dbraw/zinc/77/71/20/908777120.db2.gz HUXFDFBQMXAMNW-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C[C@@H](C(=O)N(C)C)N(C)CCCNC(=O)C#CC(C)(C)C ZINC001316823234 908789675 /nfs/dbraw/zinc/78/96/75/908789675.db2.gz FOENRUAUBWCPDN-ZDUSSCGKSA-N 0 1 295.427 0.951 20 30 CCEDMN COCC#CCN1CCC(N(C)C(=O)C2CC2)CC1 ZINC001316929262 908854045 /nfs/dbraw/zinc/85/40/45/908854045.db2.gz WQDULDJTHDEKAF-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)CNC(=O)OC)C1 ZINC001316940443 908857214 /nfs/dbraw/zinc/85/72/14/908857214.db2.gz QJMQVFZNDRCEAT-LBPRGKRZSA-N 0 1 299.371 0.126 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CCCN(CCOCC)C1 ZINC001316942529 908860036 /nfs/dbraw/zinc/86/00/36/908860036.db2.gz BFDRKHYBNXRTJR-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN1CCN(CCF)CC1 ZINC001316958430 908864436 /nfs/dbraw/zinc/86/44/36/908864436.db2.gz VYKXMNPGXXDOAS-AWEZNQCLSA-N 0 1 299.390 0.118 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H]1CCNC1=O ZINC001317188333 909036268 /nfs/dbraw/zinc/03/62/68/909036268.db2.gz JCEWFWLQBRXDKM-NEPJUHHUSA-N 0 1 299.802 0.846 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)[C@H]1CCCN(CC(N)=O)C1 ZINC001317211005 909044719 /nfs/dbraw/zinc/04/47/19/909044719.db2.gz WFLDGCPTLIWKRB-KBPBESRZSA-N 0 1 293.411 0.882 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccncn1 ZINC001317277761 909110041 /nfs/dbraw/zinc/11/00/41/909110041.db2.gz ULFVMQGNBJQJDZ-VIFPVBQESA-N 0 1 254.721 0.937 20 30 CCEDMN N#CCNC[C@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001317343903 909151418 /nfs/dbraw/zinc/15/14/18/909151418.db2.gz OHWQUNRAJTXVDO-SNVBAGLBSA-N 0 1 284.323 0.533 20 30 CCEDMN CC#CCN(CC)CCNC(=O)COCC1CC1 ZINC001317477185 909252644 /nfs/dbraw/zinc/25/26/44/909252644.db2.gz MKDXYMWAKQNITB-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCN(CC)[C@H]1CCNC1=O ZINC001317485819 909258535 /nfs/dbraw/zinc/25/85/35/909258535.db2.gz DRMHBJZXXJBNEX-WFASDCNBSA-N 0 1 281.400 0.915 20 30 CCEDMN CC#CCN(CC)CCNC(=O)COc1cccnc1 ZINC001317488640 909264399 /nfs/dbraw/zinc/26/43/99/909264399.db2.gz UMFXFKUHNYJDCH-UHFFFAOYSA-N 0 1 275.352 0.922 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2ccco2)C1 ZINC001317517348 909286678 /nfs/dbraw/zinc/28/66/78/909286678.db2.gz LDFSZPHUZKYNOD-CYBMUJFWSA-N 0 1 276.336 0.981 20 30 CCEDMN C#CCOCCC(=O)N(C)CCNCc1ccns1 ZINC001317586182 909388616 /nfs/dbraw/zinc/38/86/16/909388616.db2.gz GAUMNGXBQSYXGD-UHFFFAOYSA-N 0 1 281.381 0.731 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](CC)NC(C)=O ZINC001317632516 909424721 /nfs/dbraw/zinc/42/47/21/909424721.db2.gz UUEXAYOUXWOBQJ-NSHDSACASA-N 0 1 275.780 0.702 20 30 CCEDMN C#CCN[C@@H](CNC(=O)C1=COCCO1)c1ccccc1 ZINC001317715051 909476768 /nfs/dbraw/zinc/47/67/68/909476768.db2.gz WUBGELLFCWQSSQ-AWEZNQCLSA-N 0 1 286.331 0.955 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@H]1CCC(=O)N1C)c1ccccc1 ZINC001317722517 909477702 /nfs/dbraw/zinc/47/77/02/909477702.db2.gz VLRGWVZPXAYVEA-HUUCEWRRSA-N 0 1 299.374 0.688 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001317814196 909509758 /nfs/dbraw/zinc/50/97/58/909509758.db2.gz SBQCAWIJYYMLON-LSDHHAIUSA-N 0 1 277.412 0.742 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@H]2CCOC[C@@H]2C)C1 ZINC001318150436 909644069 /nfs/dbraw/zinc/64/40/69/909644069.db2.gz OQMLLDYBIHUHII-ZFWWWQNUSA-N 0 1 296.411 0.910 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)CC(N)=O ZINC001318209245 909662898 /nfs/dbraw/zinc/66/28/98/909662898.db2.gz KPIRACWBNWZNGB-SRVKXCTJSA-N 0 1 265.357 0.359 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2ccc(OC)nc2)C1 ZINC001318282326 909684970 /nfs/dbraw/zinc/68/49/70/909684970.db2.gz AEWXRZPFXUGQBF-AWEZNQCLSA-N 0 1 287.363 0.847 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](CCCC)NC(N)=O)C1 ZINC001318309319 909696847 /nfs/dbraw/zinc/69/68/47/909696847.db2.gz MAQQSBGXYUCMFR-NWDGAFQWSA-N 0 1 282.388 0.590 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001318349312 909717518 /nfs/dbraw/zinc/71/75/18/909717518.db2.gz JNEXZSJGLSRVDE-CYBMUJFWSA-N 0 1 289.383 0.997 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1COCCO1)C1CC1 ZINC001389597545 909992524 /nfs/dbraw/zinc/99/25/24/909992524.db2.gz YVLXWGBZQLIFFZ-NEPJUHHUSA-N 0 1 288.775 0.639 20 30 CCEDMN C#CCN1CCO[C@@H](CNC(=O)C(C)(C)c2cnc[nH]2)C1 ZINC001319330775 910102043 /nfs/dbraw/zinc/10/20/43/910102043.db2.gz UVXUNEIPJHNRLU-LBPRGKRZSA-N 0 1 290.367 0.138 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CNC(=O)[C@@H]2CCCCN2C)CC1 ZINC001389894847 910138541 /nfs/dbraw/zinc/13/85/41/910138541.db2.gz IGGHUZNNAIEIHC-RYUDHWBXSA-N 0 1 292.383 0.395 20 30 CCEDMN C#CCOCCN(C)[C@@H](C)C(=O)NC(=O)NC(C)(C)C ZINC001319772923 910290376 /nfs/dbraw/zinc/29/03/76/910290376.db2.gz IFEOWCITEBSKNC-NSHDSACASA-N 0 1 283.372 0.581 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cnc2n1CCC2 ZINC001320009358 910413748 /nfs/dbraw/zinc/41/37/48/910413748.db2.gz SMACIGZTZYZKKR-UHFFFAOYSA-N 0 1 274.368 0.856 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cn(C)nc1COC ZINC001320022771 910416199 /nfs/dbraw/zinc/41/61/99/910416199.db2.gz YPLGWMBNZHEMIJ-UHFFFAOYSA-N 0 1 278.356 0.204 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1coc(OC)n1 ZINC001320029044 910422754 /nfs/dbraw/zinc/42/27/54/910422754.db2.gz LYJZZPHZXWMZJF-UHFFFAOYSA-N 0 1 295.339 0.337 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@H]1CCCC(=O)N1 ZINC001390445143 910422835 /nfs/dbraw/zinc/42/28/35/910422835.db2.gz WQHPUYWZOZWECC-GHMZBOCLSA-N 0 1 287.791 0.892 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)NCCN2CC=CC2)cc1 ZINC001320203293 910513272 /nfs/dbraw/zinc/51/32/72/910513272.db2.gz RJHPZDYQXVANJM-CQSZACIVSA-N 0 1 271.320 0.580 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CCNCc1cnon1 ZINC001320396213 910653700 /nfs/dbraw/zinc/65/37/00/910653700.db2.gz IFEJQSKJGPEDKG-LLVKDONJSA-N 0 1 264.329 0.858 20 30 CCEDMN C#C[C@H](NCc1c(C)nn(CCO)c1C)[C@H]1CCCO1 ZINC001320701114 910810625 /nfs/dbraw/zinc/81/06/25/910810625.db2.gz SLNMRXOAEYVKNS-LSDHHAIUSA-N 0 1 277.368 0.763 20 30 CCEDMN C=CCO[C@H]1CCN(C[C@@H](C)CS(C)(=O)=O)C1 ZINC001320899917 910927140 /nfs/dbraw/zinc/92/71/40/910927140.db2.gz YPCIFTKKXFHEGT-NEPJUHHUSA-N 0 1 261.387 0.944 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C)[C@H](C)CC#N ZINC001339008978 921623960 /nfs/dbraw/zinc/62/39/60/921623960.db2.gz IZHIPPXPGPUFBB-SECBINFHSA-N 0 1 272.316 0.988 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)C1CC(C)(C)C1 ZINC001321045520 911044222 /nfs/dbraw/zinc/04/42/22/911044222.db2.gz WHFFSXNCNDJMFE-WAYWQWQTSA-N 0 1 291.395 0.434 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H]1CCCNC1=O ZINC001321106937 911087973 /nfs/dbraw/zinc/08/79/73/911087973.db2.gz KRIPEXSBRHLUMA-OTDNITJGSA-N 0 1 285.775 0.527 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)c1c[nH]c(=O)cn1 ZINC001321211781 911164105 /nfs/dbraw/zinc/16/41/05/911164105.db2.gz RUEUMAYUKIVEID-NSCUHMNNSA-N 0 1 282.731 0.398 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN([C@@H](C)CCC)CC1 ZINC001321238555 911184294 /nfs/dbraw/zinc/18/42/94/911184294.db2.gz CFZHROAXCJTAFX-LBPRGKRZSA-N 0 1 273.402 0.260 20 30 CCEDMN N#Cc1c(F)cccc1C(=O)NCCN1CCC(O)CC1 ZINC001417869759 921655450 /nfs/dbraw/zinc/65/54/50/921655450.db2.gz XWJGNOZSWCPVNZ-UHFFFAOYSA-N 0 1 291.326 0.884 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cnn(C)n1 ZINC001322023393 911624854 /nfs/dbraw/zinc/62/48/54/911624854.db2.gz ICLHQLDEBXQVTA-QMMMGPOBSA-N 0 1 257.725 0.276 20 30 CCEDMN CCO[C@H](C(=O)N[C@H](C)CN(C)CC#CCOC)C1CC1 ZINC001322161865 911688155 /nfs/dbraw/zinc/68/81/55/911688155.db2.gz WPPRGQKAQFLVPL-HIFRSBDPSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC001322198014 911707187 /nfs/dbraw/zinc/70/71/87/911707187.db2.gz ZBPLGJXNDWBMLX-TZMCWYRMSA-N 0 1 279.384 0.315 20 30 CCEDMN C[C@@H](CO)NN=Cc1ccc(N2CCN(C)CC2)c(F)c1 ZINC001322339323 911768666 /nfs/dbraw/zinc/76/86/66/911768666.db2.gz OMESHTORLXWNJM-LBPRGKRZSA-N 0 1 294.374 0.882 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1cncc(C)c1 ZINC001392394626 911779974 /nfs/dbraw/zinc/77/99/74/911779974.db2.gz YFVSGLDHRXEQDU-ZDUSSCGKSA-N 0 1 297.786 0.752 20 30 CCEDMN CC(CS(C)(=O)=O)N=Nc1cn[nH]c(=O)c1Cl ZINC001322739782 911928158 /nfs/dbraw/zinc/92/81/58/911928158.db2.gz CURFVMFUHQMTPT-UHFFFAOYSA-N 0 1 278.721 0.668 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)Cc1cncn1C ZINC001392607499 911981678 /nfs/dbraw/zinc/98/16/78/911981678.db2.gz GNIWDONGTCTUGA-JTQLQIEISA-N 0 1 270.764 0.809 20 30 CCEDMN N#CCNC[C@H](NC(=O)[C@H]1CCCc2n[nH]nc21)C1CC1 ZINC001323067731 912097162 /nfs/dbraw/zinc/09/71/62/912097162.db2.gz SNMAZTXWXFRWJO-JQWIXIFHSA-N 0 1 288.355 0.233 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)c1nnn(C)c1C)C1CC1 ZINC001392758904 912135809 /nfs/dbraw/zinc/13/58/09/912135809.db2.gz FPNLHNNBGNTPOJ-NSHDSACASA-N 0 1 297.790 0.974 20 30 CCEDMN Cc1nnsc1CNC[C@H](NC(=O)[C@H](C)C#N)C1CC1 ZINC001392776453 912145991 /nfs/dbraw/zinc/14/59/91/912145991.db2.gz ZGMGETPSUSYXAM-KCJUWKMLSA-N 0 1 293.396 0.991 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)Cc1cc(C)on1 ZINC001323210763 912184798 /nfs/dbraw/zinc/18/47/98/912184798.db2.gz JWGLNFZQHBNHOJ-ZDUSSCGKSA-N 0 1 261.325 0.739 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@](C)(O)C1CC1 ZINC001323215285 912187429 /nfs/dbraw/zinc/18/74/29/912187429.db2.gz VKPNBSFSTXIFCU-ZFWWWQNUSA-N 0 1 264.369 0.751 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCN1CCOC(C)C ZINC001323231099 912202123 /nfs/dbraw/zinc/20/21/23/912202123.db2.gz JHTCBYNHXYCQFW-DZGCQCFKSA-N 0 1 284.400 0.929 20 30 CCEDMN C=C[C@](C)(O)C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001328430853 915191195 /nfs/dbraw/zinc/19/11/95/915191195.db2.gz RNJPXPZQXGBFCT-UFBFGSQYSA-N 0 1 266.301 0.209 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1ccn(-c2ccncc2)n1 ZINC001328483484 915226275 /nfs/dbraw/zinc/22/62/75/915226275.db2.gz LLHHYWDFDRAMJG-LBPRGKRZSA-N 0 1 282.303 0.742 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cnc(C)cn2)CC1 ZINC001328509791 915242996 /nfs/dbraw/zinc/24/29/96/915242996.db2.gz NNECKAOQBPPQNR-UHFFFAOYSA-N 0 1 290.367 0.528 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)C[C@H]2CCOC2)CC1 ZINC001328519561 915248869 /nfs/dbraw/zinc/24/88/69/915248869.db2.gz JKTLGVBPYXVAIL-CQSZACIVSA-N 0 1 294.395 0.379 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)C2(F)CCCC2)CC1 ZINC001328529723 915256244 /nfs/dbraw/zinc/25/62/44/915256244.db2.gz QVUDKEPWVPTKKU-UHFFFAOYSA-N 0 1 282.359 0.845 20 30 CCEDMN N#CCCCNC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001329037587 915613537 /nfs/dbraw/zinc/61/35/37/915613537.db2.gz DERUGYYPSLWQSV-VIFPVBQESA-N 0 1 278.316 0.759 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCN(Cc2n[nH]c(C3CC3)n2)C1 ZINC001400646443 915847952 /nfs/dbraw/zinc/84/79/52/915847952.db2.gz AVMJHDVMYPNRDX-MWLCHTKSSA-N 0 1 288.355 0.532 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCn1cccn1 ZINC001329384216 915864084 /nfs/dbraw/zinc/86/40/84/915864084.db2.gz BXJYPRVBVPTUEZ-ZDUSSCGKSA-N 0 1 262.357 0.733 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CSCC#N ZINC001329387230 915866904 /nfs/dbraw/zinc/86/69/04/915866904.db2.gz WXEYRZCDQNLXOG-NSHDSACASA-N 0 1 253.371 0.703 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@H](C)OCC1CC1 ZINC001329394127 915872296 /nfs/dbraw/zinc/87/22/96/915872296.db2.gz SXFRARYVXFDQGS-KGLIPLIRSA-N 0 1 296.411 0.888 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1cc(C)ncn1 ZINC001329413854 915895735 /nfs/dbraw/zinc/89/57/35/915895735.db2.gz ITRLHMWPFXUWJY-ZDUSSCGKSA-N 0 1 290.367 0.485 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cn2c(n1)CCC2 ZINC001329439962 915915562 /nfs/dbraw/zinc/91/55/62/915915562.db2.gz DPZZWCMTHIYNHO-GFCCVEGCSA-N 0 1 274.368 0.903 20 30 CCEDMN C=CC[N@H+](C)[C@H](C)CNC(=O)[C@H](C)N1C(=O)CCC1=O ZINC001329461917 915937104 /nfs/dbraw/zinc/93/71/04/915937104.db2.gz WNNKQDRIMAHUNB-MNOVXSKESA-N 0 1 281.356 0.146 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@@H](OC)C(C)C ZINC001329530317 915991139 /nfs/dbraw/zinc/99/11/39/915991139.db2.gz GRCTXJBZSIIBKM-KBPBESRZSA-N 0 1 284.400 0.744 20 30 CCEDMN Cc1nnc(CNC[C@@](C)(NC(=O)[C@@H](C)C#N)C2CC2)[nH]1 ZINC001400996123 916004261 /nfs/dbraw/zinc/00/42/61/916004261.db2.gz JVGRCFSPIDDJNU-LKFCYVNXSA-N 0 1 290.371 0.647 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCCN1C(=O)C1CC1 ZINC001329575885 916023939 /nfs/dbraw/zinc/02/39/39/916023939.db2.gz XUBZWDYLTQHCFP-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCCCN(C)C1=O ZINC001329592714 916043101 /nfs/dbraw/zinc/04/31/01/916043101.db2.gz SJUXVNWUJLXMQH-STQMWFEESA-N 0 1 279.384 0.315 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1(C2CC2)CC1 ZINC001401547162 916317690 /nfs/dbraw/zinc/31/76/90/916317690.db2.gz WDADVDAADOQELN-LLVKDONJSA-N 0 1 272.776 0.996 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1(C2CC2)CC1 ZINC001401547165 916319173 /nfs/dbraw/zinc/31/91/73/916319173.db2.gz WDADVDAADOQELN-NSHDSACASA-N 0 1 272.776 0.996 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc(CC)on1 ZINC001401588383 916350549 /nfs/dbraw/zinc/35/05/49/916350549.db2.gz SPBPNBMRVKCJTD-VIFPVBQESA-N 0 1 287.747 0.670 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)NCc2cc(C)[nH]n2)C1 ZINC001330144918 916434179 /nfs/dbraw/zinc/43/41/79/916434179.db2.gz SUHSQQGDTGUVIP-LLVKDONJSA-N 0 1 276.340 0.759 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCn1cnccc1=O ZINC001401712079 916503888 /nfs/dbraw/zinc/50/38/88/916503888.db2.gz VRFNPBBVQCVYIF-NSHDSACASA-N 0 1 298.774 0.480 20 30 CCEDMN CN[C@H](C)c1cn(CC(=O)NC2(C#N)CCC2)nn1 ZINC001330783371 916860584 /nfs/dbraw/zinc/86/05/84/916860584.db2.gz KECVNDORXCJDPL-SECBINFHSA-N 0 1 262.317 0.121 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@]1(O)CCN(C/C=C/Cl)C1 ZINC001402272146 916919962 /nfs/dbraw/zinc/91/99/62/916919962.db2.gz WXTTVXAFRINPAC-WKLDVVIOSA-N 0 1 271.748 0.452 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@H](O)C(C)C ZINC001331117601 917099133 /nfs/dbraw/zinc/09/91/33/917099133.db2.gz IFDNUBVVHDZVAP-CYBMUJFWSA-N 0 1 270.373 0.091 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@H]1C[C@@H]1C ZINC001331145261 917122755 /nfs/dbraw/zinc/12/27/55/917122755.db2.gz PKBAHNPLSWRKMK-STQMWFEESA-N 0 1 252.358 0.730 20 30 CCEDMN C=C(Cl)CN[C@@]1(CO)CCCN(C(=O)c2cn[nH]n2)C1 ZINC001402735544 917196673 /nfs/dbraw/zinc/19/66/73/917196673.db2.gz VZNJQSNPGVOACR-LBPRGKRZSA-N 0 1 299.762 0.114 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CS(C)(=O)=O ZINC001402958773 917355231 /nfs/dbraw/zinc/35/52/31/917355231.db2.gz JVPHKJSVPRKIQE-IUCAKERBSA-N 0 1 282.793 0.266 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1c[nH]c(=O)n1C ZINC001402988890 917404738 /nfs/dbraw/zinc/40/47/38/917404738.db2.gz BTPIPWNJWNPAQJ-BDAKNGLRSA-N 0 1 286.763 0.975 20 30 CCEDMN C#C[C@H](CC)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC001331555271 917412498 /nfs/dbraw/zinc/41/24/98/917412498.db2.gz ONIJCQSIKSRDDQ-SSDOTTSWSA-N 0 1 272.282 0.151 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CNC(=O)NC ZINC001403003094 917414628 /nfs/dbraw/zinc/41/46/28/917414628.db2.gz UPXISKHXMDRWIS-DTWKUNHWSA-N 0 1 276.768 0.151 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1C(C)(C)C1(C)C ZINC001331698498 917524703 /nfs/dbraw/zinc/52/47/03/917524703.db2.gz WEGIBLCLIBIJPZ-SNVBAGLBSA-N 0 1 252.358 0.369 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H](CO)NCc1ccsc1 ZINC001331711488 917539801 /nfs/dbraw/zinc/53/98/01/917539801.db2.gz INSLATABWCISRN-WCQYABFASA-N 0 1 296.392 0.353 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H]1CCC=CCCC1 ZINC001331740137 917563445 /nfs/dbraw/zinc/56/34/45/917563445.db2.gz OASVXYYPGBGYNW-UONOGXRCSA-N 0 1 264.369 0.823 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1(C2CCC2)CCC1 ZINC001331756435 917577794 /nfs/dbraw/zinc/57/77/94/917577794.db2.gz ITSJZSNXUKUXBR-ZDUSSCGKSA-N 0 1 264.369 0.657 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CC(C)(C)COC ZINC001331760192 917582552 /nfs/dbraw/zinc/58/25/52/917582552.db2.gz ZFOWNVSZQVMXCS-GFCCVEGCSA-N 0 1 270.373 0.139 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H](C)c1ccsc1 ZINC001331788408 917608732 /nfs/dbraw/zinc/60/87/32/917608732.db2.gz VNBGMIVHIGUROY-DGCLKSJQSA-N 0 1 280.393 0.942 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)C1(C)CC=CC1 ZINC001331788283 917609032 /nfs/dbraw/zinc/60/90/32/917609032.db2.gz RFCFUZKLYUQLAN-CYBMUJFWSA-N 0 1 280.368 0.059 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(OC(C)C)cn1 ZINC001331840698 917653911 /nfs/dbraw/zinc/65/39/11/917653911.db2.gz JEUCRQWBDYTLFM-GFCCVEGCSA-N 0 1 291.351 0.182 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)N(C)Cc2nnc[nH]2)C1 ZINC001332031898 917812733 /nfs/dbraw/zinc/81/27/33/917812733.db2.gz GQBZKMNRBWYZII-NSHDSACASA-N 0 1 276.344 0.044 20 30 CCEDMN C=CCC[C@@H](NC(=O)c1cc(C[NH+](C)C)on1)C(=O)[O-] ZINC001332134561 917891847 /nfs/dbraw/zinc/89/18/47/917891847.db2.gz BJUNFJNZUKNEHY-SNVBAGLBSA-N 0 1 281.312 0.885 20 30 CCEDMN N#CCCC[C@H]([NH3+])C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001332157164 917915384 /nfs/dbraw/zinc/91/53/84/917915384.db2.gz OKORAHLKVDCAGH-JTQLQIEISA-N 0 1 293.327 0.502 20 30 CCEDMN C=CCOC[C@H](NC(=O)C[N@H+](C)C(C)C)C(=O)[O-] ZINC001332172829 917932012 /nfs/dbraw/zinc/93/20/12/917932012.db2.gz SNKQQGFQIPIMPI-JTQLQIEISA-N 0 1 258.318 0.099 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](O)CN(C)C/C=C/Cl ZINC001403899968 918100875 /nfs/dbraw/zinc/10/08/75/918100875.db2.gz DBZDBUNUJNSPPF-XKFHPXPTSA-N 0 1 273.764 0.650 20 30 CCEDMN COCC#CC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC001332487867 918207004 /nfs/dbraw/zinc/20/70/04/918207004.db2.gz KGMJZBPFXCJNNR-UHFFFAOYSA-N 0 1 280.368 0.207 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1c(C)noc1C ZINC001332616745 918314010 /nfs/dbraw/zinc/31/40/10/918314010.db2.gz OFHRUALAJKSSCT-GFCCVEGCSA-N 0 1 279.340 0.289 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H]1CCO[C@H]1CC ZINC001332646228 918350023 /nfs/dbraw/zinc/35/00/23/918350023.db2.gz HTVZKVPVOTZIKE-ZNMIVQPWSA-N 0 1 296.411 0.576 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cc(C2CC2)no1 ZINC001332665231 918362876 /nfs/dbraw/zinc/36/28/76/918362876.db2.gz QXFGWCYIDVJTPY-LBPRGKRZSA-N 0 1 291.351 0.550 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CCn1ccnn1 ZINC001492940723 918745149 /nfs/dbraw/zinc/74/51/49/918745149.db2.gz CTQCLCVGJRLKDY-UHFFFAOYSA-N 0 1 263.345 0.130 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CC(NC(=O)c2[nH]ncc2F)C1 ZINC001405866689 918953514 /nfs/dbraw/zinc/95/35/14/918953514.db2.gz XXYNOKWBJMRFTR-UEJVZZJDSA-N 0 1 293.302 0.428 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C=C)COC)CC1 ZINC001333503713 918956555 /nfs/dbraw/zinc/95/65/55/918956555.db2.gz DEZFRXMRESSFGE-GFCCVEGCSA-N 0 1 265.357 0.584 20 30 CCEDMN C=CCn1nnnc1N1CCN([C@H](C)CC)CC1 ZINC001333660314 919077337 /nfs/dbraw/zinc/07/73/37/919077337.db2.gz WQVHBORTEUOBCO-LLVKDONJSA-N 0 1 250.350 0.780 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2ccc(C(N)=O)cn2)C1 ZINC001333958591 919275167 /nfs/dbraw/zinc/27/51/67/919275167.db2.gz CHRAMHKQIRTFNO-GFCCVEGCSA-N 0 1 258.325 0.690 20 30 CCEDMN N#CCCN1CCN(C[C@H]2CCC3(COC3)O2)CC1 ZINC001334009246 919317945 /nfs/dbraw/zinc/31/79/45/919317945.db2.gz LOVMPLBGPVFEAE-CYBMUJFWSA-N 0 1 265.357 0.466 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC001334198950 919418405 /nfs/dbraw/zinc/41/84/05/919418405.db2.gz QZQLNZVGADXVKN-AGIUHOORSA-N 0 1 278.352 0.653 20 30 CCEDMN COCC#CC(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC001334387564 919545505 /nfs/dbraw/zinc/54/55/05/919545505.db2.gz BAROCBOBSLBXJK-VIFPVBQESA-N 0 1 265.269 0.131 20 30 CCEDMN Cn1cnc(/C=C\C(=O)NC2(C#N)CCN(C)CC2)c1 ZINC001334481505 919618899 /nfs/dbraw/zinc/61/88/99/919618899.db2.gz KSVLEUMQSAPSMZ-ARJAWSKDSA-N 0 1 273.340 0.537 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCC(C)(NC(=O)[C@@H](C)C#N)CC1 ZINC001407120181 919625870 /nfs/dbraw/zinc/62/58/70/919625870.db2.gz SUCYUPZYAAYRCX-WDEREUQCSA-N 0 1 280.372 0.251 20 30 CCEDMN N#C[C@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(C3CC3)C2)C1 ZINC001334602366 919675167 /nfs/dbraw/zinc/67/51/67/919675167.db2.gz GWMVVQCLVKLDBV-NEPJUHHUSA-N 0 1 290.367 0.101 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@]1(O)CCN(CCC(F)(F)F)C1 ZINC001407348545 919712930 /nfs/dbraw/zinc/71/29/30/919712930.db2.gz GBINRDQJLZLRQI-KOLCDFICSA-N 0 1 293.289 0.651 20 30 CCEDMN C=CCOC[C@H](NC(=O)NCC[N@H+](C)C1CC1)C(=O)[O-] ZINC001334962029 919866562 /nfs/dbraw/zinc/86/65/62/919866562.db2.gz HWVJLTSFGQYYCV-NSHDSACASA-N 0 1 285.344 0.036 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCN(C)CC1)[C@@H]1CCC(=O)N1 ZINC001334971965 919872658 /nfs/dbraw/zinc/87/26/58/919872658.db2.gz LOUICRJRLRCWSK-MNOVXSKESA-N 0 1 278.356 0.005 20 30 CCEDMN CCCN1CCCC[C@@H]1C(=O)N[C@@H]1CCN(O)C1=O ZINC001335287204 920093395 /nfs/dbraw/zinc/09/33/95/920093395.db2.gz GCJZKBNSMZAVGV-GHMZBOCLSA-N 0 1 269.345 0.357 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1ccccc1[N+](=O)[O-] ZINC001335344725 920131320 /nfs/dbraw/zinc/13/13/20/920131320.db2.gz DZCHFCRXEGITPZ-ZDUSSCGKSA-N 0 1 292.339 0.891 20 30 CCEDMN C[C@H](CCNC(=O)[C@@H](C)C#N)NC(=O)Cc1cnc[nH]1 ZINC001408498478 920206317 /nfs/dbraw/zinc/20/63/17/920206317.db2.gz ZRSSHSSULXISGE-VHSXEESVSA-N 0 1 277.328 0.123 20 30 CCEDMN C=CCCCNC(=O)N1CCNC[C@H]1CCOC ZINC001335522013 920251142 /nfs/dbraw/zinc/25/11/42/920251142.db2.gz MQLGXMSGJLQVSQ-GFCCVEGCSA-N 0 1 255.362 0.973 20 30 CCEDMN CCN(CCNC(=O)[C@H]1CCCCN1C)C(=O)[C@@H](C)C#N ZINC001415691548 920804682 /nfs/dbraw/zinc/80/46/82/920804682.db2.gz DWQVQPSJTCWGFY-QWHCGFSZSA-N 0 1 294.399 0.595 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCc2nc[nH]c2[C@H]1c1cccnc1 ZINC001336538631 920820912 /nfs/dbraw/zinc/82/09/12/920820912.db2.gz ZSKKNHVTRLYSOF-GXTWGEPZSA-N 0 1 284.319 0.826 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CN3CCC2CC3)nn1 ZINC001336618883 920880313 /nfs/dbraw/zinc/88/03/13/920880313.db2.gz WZGWQZUZHBUJBX-GFCCVEGCSA-N 0 1 275.356 0.678 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CNC(=O)CN1CCC(C)CC1 ZINC001416940250 921068980 /nfs/dbraw/zinc/06/89/80/921068980.db2.gz MYVFRYWRRGZDKH-OLZOCXBDSA-N 0 1 294.399 0.499 20 30 CCEDMN COC[C@@H]1CNCCN1C(=O)NCC(C)(C)C#N ZINC001336871173 921070578 /nfs/dbraw/zinc/07/05/78/921070578.db2.gz HKZQZBHMRXTBHJ-JTQLQIEISA-N 0 1 254.334 0.166 20 30 CCEDMN COc1nccc(CN[C@@H](C)CNC(=O)[C@@H](C)C#N)n1 ZINC001418210793 921918378 /nfs/dbraw/zinc/91/83/78/921918378.db2.gz HZOCKBMGIZVMBR-UWVGGRQHSA-N 0 1 277.328 0.239 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCCN(C(=O)c2ccccc2)C1 ZINC001340028615 921920470 /nfs/dbraw/zinc/92/04/70/921920470.db2.gz AWNITOVVRJZODV-HNNXBMFYSA-N 0 1 299.374 0.630 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1coc(C(N)=O)c1 ZINC001418260273 921968521 /nfs/dbraw/zinc/96/85/21/921968521.db2.gz BOTHPVSIPMOTCI-QMMMGPOBSA-N 0 1 285.731 0.839 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CC[C@H](COC)C1 ZINC001340493257 922098975 /nfs/dbraw/zinc/09/89/75/922098975.db2.gz KMSHSMVKYXNAPJ-JTQLQIEISA-N 0 1 289.343 0.722 20 30 CCEDMN C#CCNCC(=O)NCc1cc(COC)cs1 ZINC001340628070 922144799 /nfs/dbraw/zinc/14/47/99/922144799.db2.gz SGGPDZXWKBTEOO-UHFFFAOYSA-N 0 1 252.339 0.734 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CC(N)=O)C1CCCCC1 ZINC001340769951 922212633 /nfs/dbraw/zinc/21/26/33/922212633.db2.gz WONAHTMPJKWOEG-LBPRGKRZSA-N 0 1 265.357 0.150 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCCCNC(=O)c1cnn[nH]1 ZINC001340787414 922219559 /nfs/dbraw/zinc/21/95/59/922219559.db2.gz GNBGTPASDLIEPP-ZJUUUORDSA-N 0 1 292.343 0.369 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CCCNC[C@@H]1C(=O)OC ZINC001340928653 922278981 /nfs/dbraw/zinc/27/89/81/922278981.db2.gz IJNWMVKIYUSBGN-NWDGAFQWSA-N 0 1 268.357 0.952 20 30 CCEDMN CN[C@H](C(=O)N1CCC[C@@H](C#N)C1)c1cnn(C)c1 ZINC001340989444 922306586 /nfs/dbraw/zinc/30/65/86/922306586.db2.gz BNMWHQNIASOPDN-JQWIXIFHSA-N 0 1 261.329 0.443 20 30 CCEDMN C#CCNCC(=O)NCCc1ccn(-c2ccccc2)n1 ZINC001341407898 922471909 /nfs/dbraw/zinc/47/19/09/922471909.db2.gz CGXCSWWASXGGMS-UHFFFAOYSA-N 0 1 282.347 0.754 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1cncnc1 ZINC001341803763 922681445 /nfs/dbraw/zinc/68/14/45/922681445.db2.gz FEIAHWFCCOVDMS-SNVBAGLBSA-N 0 1 267.248 0.833 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)C[C@H]1CCC(=O)N1)C1CC1 ZINC001419300453 922758105 /nfs/dbraw/zinc/75/81/05/922758105.db2.gz XABPNUMQRGAHQQ-NEPJUHHUSA-N 0 1 299.802 0.892 20 30 CCEDMN CCNC(=O)CN1CCC(CCNC(=O)[C@H](C)C#N)CC1 ZINC001419387723 922796835 /nfs/dbraw/zinc/79/68/35/922796835.db2.gz OBXZVQYZWFVKQL-GFCCVEGCSA-N 0 1 294.399 0.500 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C)Cc1cnn(C)c1 ZINC001342193572 922858080 /nfs/dbraw/zinc/85/80/80/922858080.db2.gz MJGIDKJOBHBMGQ-UHFFFAOYSA-N 0 1 299.342 0.619 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(Br)cn1 ZINC001342392697 922944005 /nfs/dbraw/zinc/94/40/05/922944005.db2.gz RICIROAQDXXWDC-UHFFFAOYSA-N 0 1 282.141 0.683 20 30 CCEDMN C#CCNCC(=O)NCC(C)(C)C1CCOCC1 ZINC001342946092 923143450 /nfs/dbraw/zinc/14/34/50/923143450.db2.gz ZMEPXFDITBDGFS-UHFFFAOYSA-N 0 1 252.358 0.778 20 30 CCEDMN C[C@H](CNC(=O)c1cnn(C)n1)NCc1ccccc1C#N ZINC001419877479 923145372 /nfs/dbraw/zinc/14/53/72/923145372.db2.gz XNLRCDJJQZKKCI-LLVKDONJSA-N 0 1 298.350 0.595 20 30 CCEDMN CCOC(=O)C[C@H]1CCCN1CC(=O)NCCC#N ZINC001343046544 923179333 /nfs/dbraw/zinc/17/93/33/923179333.db2.gz GDKZMFWROOUJFJ-LLVKDONJSA-N 0 1 267.329 0.434 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2[nH]ccc2n1 ZINC001343467508 923330712 /nfs/dbraw/zinc/33/07/12/923330712.db2.gz OAYDSFOVRLLQIQ-PELKAZGASA-N 0 1 255.281 0.404 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](C(=O)N(C)c2ccccc2)C1 ZINC001343658355 923408372 /nfs/dbraw/zinc/40/83/72/923408372.db2.gz CTAJZIKUCHUZGC-AWEZNQCLSA-N 0 1 299.374 0.721 20 30 CCEDMN Cc1nccnc1CNC[C@@H](C)NC(=O)c1ccc(C#N)[nH]1 ZINC001420284995 923501781 /nfs/dbraw/zinc/50/17/81/923501781.db2.gz YPOGWKMRPMZZBE-SNVBAGLBSA-N 0 1 298.350 0.893 20 30 CCEDMN Cn1c(Cl)cnc1C(C#N)C(=O)c1cc(=O)n(C)cn1 ZINC001344863637 923713439 /nfs/dbraw/zinc/71/34/39/923713439.db2.gz IXHKCPWPQRJEEW-SSDOTTSWSA-N 0 1 291.698 0.657 20 30 CCEDMN CCn1ccnc1C(C#N)C(=O)CNC(=O)OC ZINC001344874725 923718421 /nfs/dbraw/zinc/71/84/21/923718421.db2.gz GANDPBQPPSWAJM-QMMMGPOBSA-N 0 1 250.258 0.435 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cnn(C)c1OC ZINC001420509384 923727055 /nfs/dbraw/zinc/72/70/55/923727055.db2.gz WPPFZICKLHAKSK-VIFPVBQESA-N 0 1 286.763 0.889 20 30 CCEDMN C#CCN1CCC(OC(=O)COCc2ccnn2C)CC1 ZINC001344942318 923740825 /nfs/dbraw/zinc/74/08/25/923740825.db2.gz LHQJDNBSVGBOCZ-UHFFFAOYSA-N 0 1 291.351 0.578 20 30 CCEDMN CCCCCNC(=O)C(C#N)C(=O)C[C@@H]1CCC(=O)NC1 ZINC001346198925 924106717 /nfs/dbraw/zinc/10/67/17/924106717.db2.gz HRAZICFOSMMQIQ-NWDGAFQWSA-N 0 1 293.367 0.918 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)C[NH+]1CCC(CO)CC1)C(=O)[O-] ZINC001346310386 924154981 /nfs/dbraw/zinc/15/49/81/924154981.db2.gz BHNRBZAELREXNO-GFCCVEGCSA-N 0 1 284.356 0.226 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)CCCCC#N ZINC001346453741 924219369 /nfs/dbraw/zinc/21/93/69/924219369.db2.gz ZTPUPUDCOXGYFF-ZDUSSCGKSA-N 0 1 266.389 0.822 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001347196561 924395960 /nfs/dbraw/zinc/39/59/60/924395960.db2.gz FPHNSVRGLXTRNU-UWVGGRQHSA-N 0 1 265.317 0.497 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001348164579 924609175 /nfs/dbraw/zinc/60/91/75/924609175.db2.gz FXIQOFRZZGHZHI-NSHDSACASA-N 0 1 278.360 0.099 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNC(=O)c1[nH]nc(C)c1C ZINC001348680370 924771732 /nfs/dbraw/zinc/77/17/32/924771732.db2.gz WNGPWBLPHADDLB-LLVKDONJSA-N 0 1 294.355 0.464 20 30 CCEDMN CC(=O)NC1CCC(C(=O)NCC#CCN(C)C)CC1 ZINC001348917244 924841765 /nfs/dbraw/zinc/84/17/65/924841765.db2.gz BSICLWVIBPAKBV-UHFFFAOYSA-N 0 1 279.384 0.363 20 30 CCEDMN C#Cc1ccc(NC(=O)[C@H]2CN3CCN2C[C@H]3C)cc1 ZINC001349586956 924995139 /nfs/dbraw/zinc/99/51/39/924995139.db2.gz AYLSAIOJRRNWHY-IUODEOHRSA-N 0 1 269.348 0.995 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H]1CCC[N@@H+]1CC(C)C)C(=O)[O-] ZINC001349932425 925100254 /nfs/dbraw/zinc/10/02/54/925100254.db2.gz RALIZOWUVRJDRS-OLZOCXBDSA-N 0 1 298.383 0.879 20 30 CCEDMN Cc1nc(CC(=O)NCc2ccc(C#N)cn2)n[nH]1 ZINC001351012577 925337957 /nfs/dbraw/zinc/33/79/57/925337957.db2.gz KEMFTYOTIQTWIR-UHFFFAOYSA-N 0 1 256.269 0.239 20 30 CCEDMN C=C(CC)CNC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001351188615 925381329 /nfs/dbraw/zinc/38/13/29/925381329.db2.gz UUZKCNBOJSFJLX-CYBMUJFWSA-N 0 1 268.405 0.888 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)NCc1ccn(C)n1 ZINC001480432473 925693170 /nfs/dbraw/zinc/69/31/70/925693170.db2.gz MXWUEYBAKFETJR-NSHDSACASA-N 0 1 250.346 0.981 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1nccc2occc21 ZINC001353073137 925721523 /nfs/dbraw/zinc/72/15/23/925721523.db2.gz PTYCAJSOLFORRW-SCZZXKLOSA-N 0 1 256.265 0.669 20 30 CCEDMN C[C@H](NC[C@H](O)CC1(C#N)CCC1)c1nncn1C ZINC001353353388 925808185 /nfs/dbraw/zinc/80/81/85/925808185.db2.gz HFYZOXICIRCAFD-WDEREUQCSA-N 0 1 263.345 0.911 20 30 CCEDMN C#CCCCC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001353380057 925817097 /nfs/dbraw/zinc/81/70/97/925817097.db2.gz NVNQKRVRNGBMAS-DZGCQCFKSA-N 0 1 280.368 0.132 20 30 CCEDMN C#CCNCC(=O)N(C)[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC001353380783 925818563 /nfs/dbraw/zinc/81/85/63/925818563.db2.gz FDMSLACCJJVNBE-NEPJUHHUSA-N 0 1 286.397 0.023 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001354617961 926139567 /nfs/dbraw/zinc/13/95/67/926139567.db2.gz RIPRUOIWLUMURY-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN(CC)C(=O)c1[nH]nnc1C ZINC001356161997 926349020 /nfs/dbraw/zinc/34/90/20/926349020.db2.gz NEKWMTQTFRIBLH-UHFFFAOYSA-N 0 1 293.371 0.904 20 30 CCEDMN C#CCNCC(=O)NC[C@@](C)(C(=O)OC)c1ccccc1 ZINC001357600470 926567566 /nfs/dbraw/zinc/56/75/66/926567566.db2.gz BJEYKWFZVJNAGP-MRXNPFEDSA-N 0 1 288.347 0.456 20 30 CCEDMN O=C(C#CC1CC1)NC[C@@H]1CCN1C(=O)CN1CCCC1 ZINC001358604723 926762393 /nfs/dbraw/zinc/76/23/93/926762393.db2.gz BVINLZFCEZCODO-AWEZNQCLSA-N 0 1 289.379 0.213 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@H+]1CCC3(COC3)C1)cc2=O ZINC001414017573 926847229 /nfs/dbraw/zinc/84/72/29/926847229.db2.gz ITPYMLFXFGZJAC-UHFFFAOYSA-N 0 1 285.307 0.529 20 30 CCEDMN CCn1cc(CNC[C@H](C)CNC(=O)[C@H](C)C#N)nn1 ZINC001421677801 926848209 /nfs/dbraw/zinc/84/82/09/926848209.db2.gz QFMRRWFSACXAHY-WDEREUQCSA-N 0 1 278.360 0.300 20 30 CCEDMN CN(Cc1nnc[nH]1)C[C@@H](O)CC1(C#N)CCOCC1 ZINC001421748251 926888151 /nfs/dbraw/zinc/88/81/51/926888151.db2.gz DWTBVSVWHQZXML-NSHDSACASA-N 0 1 279.344 0.308 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCn1cnccc1=O ZINC001480562666 926950326 /nfs/dbraw/zinc/95/03/26/926950326.db2.gz CXZAMPZHOKGKKI-LLVKDONJSA-N 0 1 298.774 0.480 20 30 CCEDMN COc1cncc(CNC[C@H](C)N(C)C(=O)[C@@H](C)C#N)n1 ZINC001421873948 926961846 /nfs/dbraw/zinc/96/18/46/926961846.db2.gz QJTGUVWMJOKMOE-QWRGUYRKSA-N 0 1 291.355 0.581 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](C)CC(N)=O ZINC001421940440 927004964 /nfs/dbraw/zinc/00/49/64/927004964.db2.gz UGORCQKQNYQOMC-SCZZXKLOSA-N 0 1 275.780 0.687 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCCC(N)=O)C[C@@H]1C ZINC001422057044 927053106 /nfs/dbraw/zinc/05/31/06/927053106.db2.gz FRFRJKSXZSJKLC-ONGXEEELSA-N 0 1 287.791 0.831 20 30 CCEDMN C[C@H](NC(=O)C1(C#N)CCOCC1)[C@@H]1CN(C)CCN1C ZINC001361900515 927432301 /nfs/dbraw/zinc/43/23/01/927432301.db2.gz JMNSUAQBPDAOJS-STQMWFEESA-N 0 1 294.399 0.057 20 30 CCEDMN N#C[C@@]1(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)CCCOC1 ZINC001362361035 927922793 /nfs/dbraw/zinc/92/27/93/927922793.db2.gz WGOCXVROMXCOHT-MFKMUULPSA-N 0 1 290.327 0.226 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCc1ccnc(C#N)c1 ZINC001362421170 927996116 /nfs/dbraw/zinc/99/61/16/927996116.db2.gz RVBUPGVFXKURJD-GXTWGEPZSA-N 0 1 274.324 0.025 20 30 CCEDMN C[C@@H](NS(=O)(=O)Cc1csc(C#N)c1)c1nnc[nH]1 ZINC001423907004 928029852 /nfs/dbraw/zinc/02/98/52/928029852.db2.gz UKJRRSFYAWUFEM-SSDOTTSWSA-N 0 1 297.365 0.918 20 30 CCEDMN CC[C@@H]1[C@@H](C(=O)Nc2nc(C#N)c(C#N)[n-]2)CC[N@H+]1C ZINC001362610381 928248077 /nfs/dbraw/zinc/24/80/77/928248077.db2.gz DFJLTHRDBAXJTD-GZMMTYOYSA-N 0 1 272.312 0.822 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCNC(=O)NC ZINC001424757095 928279400 /nfs/dbraw/zinc/27/94/00/928279400.db2.gz IQXVISFOZIUTHN-NXEZZACHSA-N 0 1 290.795 0.541 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)c1 ZINC001362683914 928351548 /nfs/dbraw/zinc/35/15/48/928351548.db2.gz BXYZNMLKJTUZIT-JTQLQIEISA-N 0 1 283.295 0.486 20 30 CCEDMN CCC[N@H+]1CCC[C@@H]1C(=O)Nc1nc(C#N)c(C#N)[n-]1 ZINC001362864004 928587513 /nfs/dbraw/zinc/58/75/13/928587513.db2.gz KONOKFHZBHHCAH-LLVKDONJSA-N 0 1 272.312 0.966 20 30 CCEDMN Cc1cc(C(=O)N2CC([C@H](C)NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001427067387 928964858 /nfs/dbraw/zinc/96/48/58/928964858.db2.gz GVWNLYWYLLTKPF-SCZZXKLOSA-N 0 1 289.339 0.455 20 30 CCEDMN C[C@@H]1CN(Cc2cc(C#N)ccn2)[C@@H](C)CN1CCO ZINC001363267825 929051000 /nfs/dbraw/zinc/05/10/00/929051000.db2.gz SZXRQVMNLDISLT-OLZOCXBDSA-N 0 1 274.368 0.840 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC001363283687 929073138 /nfs/dbraw/zinc/07/31/38/929073138.db2.gz RPQJCYQLFOBRGG-UHFFFAOYSA-N 0 1 285.311 0.728 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC001363283687 929073146 /nfs/dbraw/zinc/07/31/46/929073146.db2.gz RPQJCYQLFOBRGG-UHFFFAOYSA-N 0 1 285.311 0.728 20 30 CCEDMN N#CC[C@@H](C(=O)NCc1n[nH]c(CO)n1)c1ccccc1 ZINC001363288735 929081759 /nfs/dbraw/zinc/08/17/59/929081759.db2.gz UKNSPYDFUNORHN-LLVKDONJSA-N 0 1 285.307 0.611 20 30 CCEDMN N#CC[C@@H](C(=O)NCc1nnc(CO)[nH]1)c1ccccc1 ZINC001363288735 929081770 /nfs/dbraw/zinc/08/17/70/929081770.db2.gz UKNSPYDFUNORHN-LLVKDONJSA-N 0 1 285.307 0.611 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)[C@H](O)c1ccc(C#N)cc1 ZINC001363482686 929285384 /nfs/dbraw/zinc/28/53/84/929285384.db2.gz VCTVRDZHNNBVTF-CYBMUJFWSA-N 0 1 270.292 0.973 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)C(=O)c1cc(C2CC2)[nH]n1 ZINC001429454877 929458434 /nfs/dbraw/zinc/45/84/34/929458434.db2.gz REQXDLSXDMSBQM-VIFPVBQESA-N 0 1 289.339 0.635 20 30 CCEDMN CCN(CCNC(=O)c1[nH]nc(C)c1C)C(=O)[C@H](C)C#N ZINC001429548959 929483319 /nfs/dbraw/zinc/48/33/19/929483319.db2.gz JVOVLHPQIPCPIY-SECBINFHSA-N 0 1 291.355 0.765 20 30 CCEDMN Cc1nc([C@@H](C)NS(=O)(=O)c2ccc(C#N)cn2)n[nH]1 ZINC001363878948 929629299 /nfs/dbraw/zinc/62/92/99/929629299.db2.gz XJACELUZLSJMDZ-SSDOTTSWSA-N 0 1 292.324 0.419 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCCC(N)=O ZINC001444730522 929943633 /nfs/dbraw/zinc/94/36/33/929943633.db2.gz RJGLKDNFHQVOOX-SNVBAGLBSA-N 0 1 275.780 0.831 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](CNC(=O)c1ncn[nH]1)C(C)(C)C ZINC001444915228 929970073 /nfs/dbraw/zinc/97/00/73/929970073.db2.gz HVDTYDPJLGCRGI-RKDXNWHRSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](CNC(=O)c1nc[nH]n1)C(C)(C)C ZINC001444915228 929970078 /nfs/dbraw/zinc/97/00/78/929970078.db2.gz HVDTYDPJLGCRGI-RKDXNWHRSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](O)CNCc1ccncc1Cl ZINC001445166848 930058429 /nfs/dbraw/zinc/05/84/29/930058429.db2.gz ZYFRZTRKHXZOSJ-KOLCDFICSA-N 0 1 296.758 0.461 20 30 CCEDMN C[C@H](CNC(=O)c1n[nH]c2ccccc21)NC(=O)[C@@H](C)C#N ZINC001373118100 930082527 /nfs/dbraw/zinc/08/25/27/930082527.db2.gz ZHJIRVVVFZTYRT-VHSXEESVSA-N 0 1 299.334 0.957 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](CNCc1cnns1)C1CC1 ZINC001445930608 930287370 /nfs/dbraw/zinc/28/73/70/930287370.db2.gz DCAVVLQPQIUAFV-KCJUWKMLSA-N 0 1 279.369 0.682 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CNC(=O)NC ZINC001373761204 930333503 /nfs/dbraw/zinc/33/35/03/930333503.db2.gz POLCYXUWPIHOCS-SECBINFHSA-N 0 1 276.768 0.152 20 30 CCEDMN CC(C)N1CC[C@@H](NC2(CNC(=O)[C@H](C)C#N)CC2)C1=O ZINC001446154572 930354727 /nfs/dbraw/zinc/35/47/27/930354727.db2.gz AWAPGTLYRILACE-VXGBXAGGSA-N 0 1 292.383 0.394 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H]1CCC(=O)NC1 ZINC001374245893 930465075 /nfs/dbraw/zinc/46/50/75/930465075.db2.gz HAJKFWXWMYMFGH-MNOVXSKESA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](C)S(C)(=O)=O ZINC001374247100 930467483 /nfs/dbraw/zinc/46/74/83/930467483.db2.gz SKMBAIVZFUFWGB-VHSXEESVSA-N 0 1 296.820 0.609 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1(C(F)F)CC1 ZINC001374597886 930582796 /nfs/dbraw/zinc/58/27/96/930582796.db2.gz ANQRXUPUWMHPJA-QMMMGPOBSA-N 0 1 282.718 0.851 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CNC(=O)C1CC1 ZINC001374868919 930697266 /nfs/dbraw/zinc/69/72/66/930697266.db2.gz UENHGKMKFHPMNA-VIFPVBQESA-N 0 1 273.764 0.359 20 30 CCEDMN COc1cc(CNC[C@H](C)NC(=O)[C@H](C)C#N)sn1 ZINC001374873541 930704204 /nfs/dbraw/zinc/70/42/04/930704204.db2.gz QKRODPGNLISGFD-BDAKNGLRSA-N 0 1 282.369 0.906 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CCO)CN(CC2CCCC2)C1 ZINC001448156317 930840652 /nfs/dbraw/zinc/84/06/52/930840652.db2.gz DFYFUQXAJMCABI-GFCCVEGCSA-N 0 1 279.384 0.889 20 30 CCEDMN COc1cc(CN[C@@H](CNC(=O)[C@H](C)C#N)C2CC2)on1 ZINC001376199887 931091136 /nfs/dbraw/zinc/09/11/36/931091136.db2.gz ODBJSMGRASGANC-SKDRFNHKSA-N 0 1 292.339 0.827 20 30 CCEDMN CCN(CCNC(=O)CN1CCCC1)C(=O)[C@@H](C)C#N ZINC001449391842 931138012 /nfs/dbraw/zinc/13/80/12/931138012.db2.gz XZFYINAPWHLYND-LBPRGKRZSA-N 0 1 280.372 0.207 20 30 CCEDMN C[C@H](C#N)C(=O)N(CCNC(=O)CN1CCCC1)C1CC1 ZINC001449868789 931200115 /nfs/dbraw/zinc/20/01/15/931200115.db2.gz VJQWKDAJKMAYRP-GFCCVEGCSA-N 0 1 292.383 0.349 20 30 CCEDMN CCCC[C@@H](CNC(=O)c1ncn[nH]1)NC(=O)[C@@H](C)C#N ZINC001450257427 931250304 /nfs/dbraw/zinc/25/03/04/931250304.db2.gz JRKUTCRVSGTXJR-UWVGGRQHSA-N 0 1 292.343 0.369 20 30 CCEDMN CCCC[C@@H](CNC(=O)c1nc[nH]n1)NC(=O)[C@@H](C)C#N ZINC001450257427 931250311 /nfs/dbraw/zinc/25/03/11/931250311.db2.gz JRKUTCRVSGTXJR-UWVGGRQHSA-N 0 1 292.343 0.369 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN(C(=O)Cc3ncn[nH]3)C2)nc1 ZINC001450470412 931295022 /nfs/dbraw/zinc/29/50/22/931295022.db2.gz RWSYFWHSDINZAB-LLVKDONJSA-N 0 1 298.306 0.294 20 30 CCEDMN Cc1cc(C(=O)Nc2n[nH]c3ncnn23)ncc1C#N ZINC001450634842 931319745 /nfs/dbraw/zinc/31/97/45/931319745.db2.gz CSJDDIZKGKHTIM-UHFFFAOYSA-N 0 1 268.240 0.280 20 30 CCEDMN C=CCNc1ncc(C(=O)Nc2n[nH]c3ncnn23)s1 ZINC001450646301 931322269 /nfs/dbraw/zinc/32/22/69/931322269.db2.gz ZYDSGKOPAFVXST-UHFFFAOYSA-N 0 1 290.312 0.759 20 30 CCEDMN CNC(=O)CN(C)CCCN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001376920248 931325270 /nfs/dbraw/zinc/32/52/70/931325270.db2.gz BINZAIOBJBCOCJ-UHFFFAOYSA-N 0 1 291.355 0.026 20 30 CCEDMN CC[N@@H+](C[C@H](O)CC1(C#N)CCOCC1)[C@@H](C)C(=O)[O-] ZINC001589719326 950401863 /nfs/dbraw/zinc/40/18/63/950401863.db2.gz CESHNMCKWXKNHI-NWDGAFQWSA-N 0 1 284.356 0.853 20 30 CCEDMN C[C@@H]1CC[C@H](C(=O)[O-])C[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC001589305792 953738268 /nfs/dbraw/zinc/73/82/68/953738268.db2.gz RBGPHEPTMUIRQE-JRPNMDOOSA-N 0 1 293.367 0.980 20 30 CCEDMN C[C@H]1CC[C@H](C(=O)[O-])C[N@@H+]1Cn1cccc(C#N)c1=O ZINC001589307576 953753455 /nfs/dbraw/zinc/75/34/55/953753455.db2.gz ACMDSWYOEZRENM-JQWIXIFHSA-N 0 1 275.308 0.863 20 30 CCEDMN C[C@H]1CC[C@H](C(=O)[O-])C[N@H+]1Cn1cccc(C#N)c1=O ZINC001589307576 953753462 /nfs/dbraw/zinc/75/34/62/953753462.db2.gz ACMDSWYOEZRENM-JQWIXIFHSA-N 0 1 275.308 0.863 20 30 CCEDMN C[C@H]1CCC[N@@H+](CCC(=O)N(C)CCC#N)[C@H]1C(=O)[O-] ZINC001589334327 953977554 /nfs/dbraw/zinc/97/75/54/953977554.db2.gz NLDWPIHEASMXOZ-WCQYABFASA-N 0 1 281.356 0.934 20 30 CCEDMN N#CC1(Cn2cc(C[NH+]3CCC(C(=O)[O-])CC3)nn2)CC1 ZINC001594602847 956152812 /nfs/dbraw/zinc/15/28/12/956152812.db2.gz SGIVDHSYTJKAFC-UHFFFAOYSA-N 0 1 289.339 0.878 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](C(=O)[O-])C[C@@H](c2cnn(C)c2)C1 ZINC001588390761 958014357 /nfs/dbraw/zinc/01/43/57/958014357.db2.gz HIIUVDXQNOJAMS-NEPJUHHUSA-N 0 1 261.325 0.934 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)C[N@H+](C)CC(C)(C)C ZINC001588402176 958088582 /nfs/dbraw/zinc/08/85/82/958088582.db2.gz NOYJXUDFTZUVKA-UHFFFAOYSA-N 0 1 254.330 0.511 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@@H]1C[N@@H+](C2CC2)C[C@@H]1C ZINC001588410134 958135762 /nfs/dbraw/zinc/13/57/62/958135762.db2.gz PLEULUOQXTTYFA-CMPLNLGQSA-N 0 1 279.340 0.199 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N[C@H]2CCC[C@H]2C(=O)[O-])C1 ZINC001588430996 958264918 /nfs/dbraw/zinc/26/49/18/958264918.db2.gz RPQUAOOHLLAABT-XQQFMLRXSA-N 0 1 293.367 0.637 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N[C@H]2CCC[C@H]2C(=O)[O-])C1 ZINC001588430996 958264929 /nfs/dbraw/zinc/26/49/29/958264929.db2.gz RPQUAOOHLLAABT-XQQFMLRXSA-N 0 1 293.367 0.637 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2CC[C@](C)(C(=O)[O-])C2)C1=O ZINC001588465367 958501081 /nfs/dbraw/zinc/50/10/81/958501081.db2.gz FYOYHRKQSOMOLW-ZDUSSCGKSA-N 0 1 267.329 0.664 20 30 CCEDMN C#CC[NH2+]CC(=O)Nc1cccc(CCC(=O)[O-])c1 ZINC000134677562 958847388 /nfs/dbraw/zinc/84/73/88/958847388.db2.gz CTUZSEXTAJWMDD-UHFFFAOYSA-N 0 1 260.293 0.865 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N1CCC[N@H+]2CCC[C@@H]2C1 ZINC001588539077 958951974 /nfs/dbraw/zinc/95/19/74/958951974.db2.gz WPENGCNEEDNSSL-BXUZGUMPSA-N 0 1 279.340 0.688 20 30 CCEDMN N#CC1(C[C@@H](O)C[N@H+]2CCC[C@H](C(=O)[O-])C2)CC1 ZINC001574480093 961657803 /nfs/dbraw/zinc/65/78/03/961657803.db2.gz BIDGTRWAKXIFEX-WDEREUQCSA-N 0 1 252.314 0.838 20 30 CCEDMN C#CCC[N@H+](CC)CC1CCN(C(=O)CNC(=O)[O-])CC1 ZINC001573302432 962653121 /nfs/dbraw/zinc/65/31/21/962653121.db2.gz VSILWWHBUXWXDW-UHFFFAOYSA-N 0 1 295.383 0.838 20 30 CCEDMN C#CCC[N@@H+](C)CCCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001573302702 962659422 /nfs/dbraw/zinc/65/94/22/962659422.db2.gz ZEGPIELRGURGOW-QWRGUYRKSA-N 0 1 252.314 0.169 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1C[C@@H](C(=O)[O-])[C@@H](c2ccccc2)C1 ZINC001573311285 962697132 /nfs/dbraw/zinc/69/71/32/962697132.db2.gz LMDKZTKCDYTFMI-ZIAGYGMSSA-N 0 1 286.331 0.536 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2CC[C@@H](NC(=O)CNC(=O)[O-])C[C@H]2C1 ZINC001573369032 963091443 /nfs/dbraw/zinc/09/14/43/963091443.db2.gz VOSLONLUPNXUGY-SDDRHHMPSA-N 0 1 281.356 0.657 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)N(C)CCC#N)C[C@]1(C)C(=O)[O-] ZINC001571013301 963295002 /nfs/dbraw/zinc/29/50/02/963295002.db2.gz NOXXMEKLCWYWDO-FZMZJTMJSA-N 0 1 281.356 0.791 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC001588369241 983312419 /nfs/dbraw/zinc/31/24/19/983312419.db2.gz GUZANWGTAGZJOL-STQMWFEESA-N 0 1 295.383 0.979 20 30 CCEDMN C#C[C@@H]1CCC[N@@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)C1 ZINC001588383117 983377316 /nfs/dbraw/zinc/37/73/16/983377316.db2.gz NZAKVZASRLBNFP-YPMHNXCESA-N 0 1 266.341 0.557 20 30 CCEDMN C#C[C@@H]1CCC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)C1 ZINC001588383117 983377321 /nfs/dbraw/zinc/37/73/21/983377321.db2.gz NZAKVZASRLBNFP-YPMHNXCESA-N 0 1 266.341 0.557 20 30 CCEDMN C#CCCOC(=O)N1CC[NH+](CCC(=O)[O-])CC1 ZINC001588455230 983479098 /nfs/dbraw/zinc/47/90/98/983479098.db2.gz HUHHZAMSXJCKFI-UHFFFAOYSA-N 0 1 254.286 0.239 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)Nc1cc(C(=O)[O-])nn1C ZINC001588463570 983491367 /nfs/dbraw/zinc/49/13/67/983491367.db2.gz BCSGUYGWWSQTSE-UHFFFAOYSA-N 0 1 293.327 0.195 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)[O-] ZINC001588479336 983518677 /nfs/dbraw/zinc/51/86/77/983518677.db2.gz JQCWFWOPYGWARK-GVXVVHGQSA-N 0 1 264.325 0.311 20 30 CCEDMN C#CCOCC[NH2+]C(C)(C)c1cc(C(=O)[O-])on1 ZINC001588491801 983537468 /nfs/dbraw/zinc/53/74/68/983537468.db2.gz WTEYFUGMMFUUEU-UHFFFAOYSA-N 0 1 252.270 0.847 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001588536634 983594944 /nfs/dbraw/zinc/59/49/44/983594944.db2.gz ZUMISDGQIJTELP-CMPLNLGQSA-N 0 1 254.286 0.229 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@@](OC)(C(=O)[O-])C1 ZINC001596229672 983621603 /nfs/dbraw/zinc/62/16/03/983621603.db2.gz FFQWINQIIDXHPL-FZMZJTMJSA-N 0 1 297.355 0.170 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@](O)(C2(C(=O)OC)CCC2)C1 ZINC001588663765 983796803 /nfs/dbraw/zinc/79/68/03/983796803.db2.gz PIPKMVICEKFWTC-ABAIWWIYSA-N 0 1 297.351 0.796 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](C(=O)NC2CC2)C1 ZINC001588663846 983797160 /nfs/dbraw/zinc/79/71/60/983797160.db2.gz QSISXBQPPSMNHN-ONGXEEELSA-N 0 1 252.314 0.616 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC001588729513 983990531 /nfs/dbraw/zinc/99/05/31/983990531.db2.gz RIGPRGSMMPLEMJ-WZRBSPASSA-N 0 1 255.314 0.896 20 30 CCEDMN C=CC[C@@H](C(=O)OC)[NH+]1CCC([C@@H](O)C(=O)[O-])CC1 ZINC001588732015 984014619 /nfs/dbraw/zinc/01/46/19/984014619.db2.gz UFZQZMPXFMXJOE-WDEREUQCSA-N 0 1 271.313 0.262 20 30 CCEDMN C=CCC(F)(F)C(=O)NCC[N@H+](C)CC(=O)[O-] ZINC001588742656 984033429 /nfs/dbraw/zinc/03/34/29/984033429.db2.gz HTTGFZYRZHJOPT-UHFFFAOYSA-N 0 1 250.245 0.330 20 30 CCEDMN C=CCC[N@H+]1C[C@H]2CN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])C[C@H]2C1 ZINC001588807677 984260706 /nfs/dbraw/zinc/26/07/06/984260706.db2.gz REPPNMHZLLLHLN-YVECIDJPSA-N 0 1 278.352 0.673 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)C1=O ZINC001588839036 984343343 /nfs/dbraw/zinc/34/33/43/984343343.db2.gz NGONJKXPVNUJKK-SMDDNHRTSA-N 0 1 293.323 0.079 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)C1=O ZINC001588839036 984343349 /nfs/dbraw/zinc/34/33/49/984343349.db2.gz NGONJKXPVNUJKK-SMDDNHRTSA-N 0 1 293.323 0.079 20 30 CCEDMN C=CC[N@@H+]1CCC2(CN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)C1 ZINC001588839918 984350959 /nfs/dbraw/zinc/35/09/59/984350959.db2.gz XZVHHJMESLWZNH-MNOVXSKESA-N 0 1 264.325 0.427 20 30 CCEDMN CC#CC[N@@H+]1CCCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588930964 984600665 /nfs/dbraw/zinc/60/06/65/984600665.db2.gz GUAPQZVJXFZPOE-NWDGAFQWSA-N 0 1 264.325 0.265 20 30 CCEDMN CC#CC[N@H+]1CCCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588930964 984600670 /nfs/dbraw/zinc/60/06/70/984600670.db2.gz GUAPQZVJXFZPOE-NWDGAFQWSA-N 0 1 264.325 0.265 20 30 CCEDMN C[N@@H+](Cc1cc(C#N)cs1)C[C@](C)(O)C(=O)[O-] ZINC001598507992 992532524 /nfs/dbraw/zinc/53/25/24/992532524.db2.gz YMWQMOUKPIOKNX-NSHDSACASA-N 0 1 254.311 0.887 20 30 CCEDMN C[C@]1(C(=O)[O-])CC[N@@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC001593795720 996512174 /nfs/dbraw/zinc/51/21/74/996512174.db2.gz GTZGOZNUEAQMIT-LBPRGKRZSA-N 0 1 265.313 0.345 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2cnccc2C#N)CC1 ZINC000091727936 349474091 /nfs/dbraw/zinc/47/40/91/349474091.db2.gz JMAKOGVLJBNMGE-ZDUSSCGKSA-N 0 1 260.341 0.846 20 30 CCEDMN N#Cc1ccc2ncc(CN(CCO)CCCO)n2c1 ZINC000093323908 349538166 /nfs/dbraw/zinc/53/81/66/349538166.db2.gz QQOXTWPZAIXTAI-UHFFFAOYSA-N 0 1 274.324 0.383 20 30 CCEDMN Cc1cc(C(=O)NCCN2CC[C@@H](O)C2)ncc1C#N ZINC000600531614 362005210 /nfs/dbraw/zinc/00/52/10/362005210.db2.gz HAAJONWJXABNIK-GFCCVEGCSA-N 0 1 274.324 0.058 20 30 CCEDMN C[C@@H](CNS(=O)(=O)CCCC#N)N(C)C1CC1 ZINC000111338957 349771259 /nfs/dbraw/zinc/77/12/59/349771259.db2.gz MWKAAFZLHHMXJL-JTQLQIEISA-N 0 1 259.375 0.692 20 30 CCEDMN C[C@](O)(C[C@H](O)CN1CC[C@](O)(CC#N)C1)C1CC1 ZINC000600846096 362079377 /nfs/dbraw/zinc/07/93/77/362079377.db2.gz LVCQAQAXAIBTSL-MELADBBJSA-N 0 1 268.357 0.249 20 30 CCEDMN N#Cc1cc(NCCN2C[C@H]3CC[C@@H](C2)O3)nc(C2CC2)n1 ZINC000601171141 362165902 /nfs/dbraw/zinc/16/59/02/362165902.db2.gz JKCFSJCGMXGLOP-OKILXGFUSA-N 0 1 299.378 0.923 20 30 CCEDMN N#C[C@H]1CN(S(=O)(=O)c2cc(O)cc(F)c2)CCO1 ZINC000601338439 362234202 /nfs/dbraw/zinc/23/42/02/362234202.db2.gz VITWPSJTAOYHHW-JTQLQIEISA-N 0 1 286.284 0.444 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)[C@H]1CCC[C@@H]1O ZINC000352670857 529826449 /nfs/dbraw/zinc/82/64/49/529826449.db2.gz GYRQILYYGHXQJC-STQMWFEESA-N 0 1 278.356 0.488 20 30 CCEDMN C[C@H](CNC(=O)c1ccc(C#N)nc1)N1CCN(C)CC1 ZINC000115384427 349854412 /nfs/dbraw/zinc/85/44/12/349854412.db2.gz USJLACJBOSMURK-GFCCVEGCSA-N 0 1 287.367 0.319 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000573542352 334945620 /nfs/dbraw/zinc/94/56/20/334945620.db2.gz JDWGWPZZDDQLQL-OLZOCXBDSA-N 0 1 297.399 0.428 20 30 CCEDMN CN(CCOCCC#N)[C@H]1CCCCN(C)C1=O ZINC000601973977 362446034 /nfs/dbraw/zinc/44/60/34/362446034.db2.gz FWTLEFQLMZPXSZ-LBPRGKRZSA-N 0 1 253.346 0.859 20 30 CCEDMN CN(C(=O)CCCc1nn[nH]n1)[C@H]1CCC[C@H]1C#N ZINC000602195361 362551220 /nfs/dbraw/zinc/55/12/20/362551220.db2.gz KGWJGUIWNWSCBO-UWVGGRQHSA-N 0 1 262.317 0.673 20 30 CCEDMN C[C@H](CCC#N)CN1CCN(C(=O)[C@H](C)O)CC1 ZINC000602484064 362640843 /nfs/dbraw/zinc/64/08/43/362640843.db2.gz LBPHDCZYMPJJBV-NEPJUHHUSA-N 0 1 253.346 0.451 20 30 CCEDMN COc1cc(C(=O)N=c2[nH]n(C(C)C)cc2C#N)nn1C ZINC000361863617 232264136 /nfs/dbraw/zinc/26/41/36/232264136.db2.gz XRJRUOXRHVSDOJ-UHFFFAOYSA-N 0 1 288.311 0.752 20 30 CCEDMN CS(=O)(=O)CCCNCc1cccc(C#N)n1 ZINC000602539484 362667783 /nfs/dbraw/zinc/66/77/83/362667783.db2.gz GRSDGTGAGCUIKL-UHFFFAOYSA-N 0 1 253.327 0.478 20 30 CCEDMN C[C@@H]1OCC[C@@H]1N(CCO)Cc1cccc(C#N)n1 ZINC000602683364 362747592 /nfs/dbraw/zinc/74/75/92/362747592.db2.gz VJPDRLAYSXZIIB-FZMZJTMJSA-N 0 1 261.325 0.925 20 30 CCEDMN COC(=O)CNC(=O)CNCc1ccc(C#N)c(F)c1 ZINC000602750079 362783292 /nfs/dbraw/zinc/78/32/92/362783292.db2.gz PGVAOKTULGFMGG-UHFFFAOYSA-N 0 1 279.271 0.076 20 30 CCEDMN CN(C)C(=O)CCN1CCN(CC2(CC#N)CC2)CC1 ZINC000602786006 362800284 /nfs/dbraw/zinc/80/02/84/362800284.db2.gz MKDRWOPKZSSFPE-UHFFFAOYSA-N 0 1 278.400 0.776 20 30 CCEDMN Cc1nc(N(C)CC(=O)N2CCNCC2)ccc1C#N ZINC000261708267 137016273 /nfs/dbraw/zinc/01/62/73/137016273.db2.gz JCZGMLHPUJUAFN-UHFFFAOYSA-N 0 1 273.340 0.130 20 30 CCEDMN CC(C)c1nc([C@H](C)NC(=O)Cn2cnc(C#N)n2)n[nH]1 ZINC000343005398 137109005 /nfs/dbraw/zinc/10/90/05/137109005.db2.gz YDOATNJORIJOSU-QMMMGPOBSA-N 0 1 288.315 0.269 20 30 CCEDMN C[C@@H](COCC1CC1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602887377 362866243 /nfs/dbraw/zinc/86/62/43/362866243.db2.gz PMXVTPNBTNCTNZ-VIFPVBQESA-N 0 1 277.328 0.650 20 30 CCEDMN CC(C)C[C@H]1COCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000602887353 362866251 /nfs/dbraw/zinc/86/62/51/362866251.db2.gz PBYXJEKJHZSPDU-LBPRGKRZSA-N 0 1 291.355 0.992 20 30 CCEDMN CO[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1(C)C ZINC000602881401 362860567 /nfs/dbraw/zinc/86/05/67/362860567.db2.gz QBUWGLSQNQAFQE-LLVKDONJSA-N 0 1 291.355 0.992 20 30 CCEDMN Cc1ccc(CN2CCN(C)C[C@@H]2CO)cc1C#N ZINC000602904110 362876581 /nfs/dbraw/zinc/87/65/81/362876581.db2.gz IZECFSKOOXNJDF-OAHLLOKOSA-N 0 1 259.353 0.975 20 30 CCEDMN COc1ccc(CN2C[C@H](O)C[C@]2(C)CO)cc1C#N ZINC000602900848 362875265 /nfs/dbraw/zinc/87/52/65/362875265.db2.gz WBCOGRGWSWDBCY-UKRRQHHQSA-N 0 1 276.336 0.884 20 30 CCEDMN C[C@@H]1C[C@H](O)CN(CC(=O)NCc2cccc(C#N)c2)C1 ZINC000412040335 233015291 /nfs/dbraw/zinc/01/52/91/233015291.db2.gz RDDRHYDIIGOQGY-DOMZBBRYSA-N 0 1 287.363 0.877 20 30 CCEDMN C[C@H](CNS(=O)(=O)N(C)CCC#N)N(C)C1CC1 ZINC000069129249 348833001 /nfs/dbraw/zinc/83/30/01/348833001.db2.gz IFRBCPXQDOEUFV-SNVBAGLBSA-N 0 1 274.390 0.149 20 30 CCEDMN COCCN1CCCN(C(=O)c2cc(C#N)cn2C)CC1 ZINC000081714740 349280673 /nfs/dbraw/zinc/28/06/73/349280673.db2.gz LDNWNTDXEFPKSO-UHFFFAOYSA-N 0 1 290.367 0.691 20 30 CCEDMN C#CCCCCC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000271408293 191121154 /nfs/dbraw/zinc/12/11/54/191121154.db2.gz JLIVCNVMTHQEJT-CYBMUJFWSA-N 0 1 279.384 0.636 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)n1cc(CNC2CC2)nn1 ZINC000603188981 362991228 /nfs/dbraw/zinc/99/12/28/362991228.db2.gz PHKHYJUFWCIDQR-SNVBAGLBSA-N 0 1 276.344 0.463 20 30 CCEDMN C=C(C)CN(CC)C(=O)CS(=O)(=O)c1ncc[nH]1 ZINC000172880232 351014352 /nfs/dbraw/zinc/01/43/52/351014352.db2.gz MGFUADFIAIHJJQ-UHFFFAOYSA-N 0 1 271.342 0.608 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@@H](CCO)C1)C1CC1 ZINC000225996432 352018626 /nfs/dbraw/zinc/01/86/26/352018626.db2.gz GMKUTDVPZMFBNN-SWLSCSKDSA-N 0 1 279.384 0.889 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCC[C@@H](CCO)C1)C1CC1 ZINC000225996432 352018628 /nfs/dbraw/zinc/01/86/28/352018628.db2.gz GMKUTDVPZMFBNN-SWLSCSKDSA-N 0 1 279.384 0.889 20 30 CCEDMN Cc1cc(S(=O)(=O)NCCN(C)C)ccc1C#N ZINC000234233891 352154983 /nfs/dbraw/zinc/15/49/83/352154983.db2.gz PPZALFZNOXPICX-UHFFFAOYSA-N 0 1 267.354 0.707 20 30 CCEDMN CN1CCC(NS(=O)(=O)c2cccnc2C#N)CC1 ZINC000234313697 352156921 /nfs/dbraw/zinc/15/69/21/352156921.db2.gz VOPLILMSWXKYIV-UHFFFAOYSA-N 0 1 280.353 0.326 20 30 CCEDMN N#Cc1nccnc1N1CCC(CN2CCOCC2)CC1 ZINC000263803401 352594806 /nfs/dbraw/zinc/59/48/06/352594806.db2.gz LWZPZUCAZJHQMR-UHFFFAOYSA-N 0 1 287.367 0.897 20 30 CCEDMN C[C@H](O)CN1CCN(c2cccnc2C#N)C[C@@H]1C ZINC000273993599 353114198 /nfs/dbraw/zinc/11/41/98/353114198.db2.gz IZFVYZXRJPEQBT-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCN(C)[C@H](C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000275128660 353187049 /nfs/dbraw/zinc/18/70/49/353187049.db2.gz BKUZQKBRZVSCQT-GHMZBOCLSA-N 0 1 253.346 0.217 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000280613355 353372501 /nfs/dbraw/zinc/37/25/01/353372501.db2.gz KFWQGYLYYGZCHO-NSHDSACASA-N 0 1 290.367 0.825 20 30 CCEDMN N#Cc1ccnc(C(=O)N[C@H](CO)CN2CCCCC2)c1 ZINC000293748681 353836194 /nfs/dbraw/zinc/83/61/94/353836194.db2.gz XXBKWHDIDMFRPP-ZDUSSCGKSA-N 0 1 288.351 0.530 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@@H](SC)C1 ZINC000296204421 353936920 /nfs/dbraw/zinc/93/69/20/353936920.db2.gz JKPNECWQEHICBE-NXEZZACHSA-N 0 1 271.386 0.824 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@H](CNC(C)=O)C1 ZINC000299369059 354011839 /nfs/dbraw/zinc/01/18/39/354011839.db2.gz YDXMRZVANNRUTA-AAEUAGOBSA-N 0 1 267.373 0.525 20 30 CCEDMN C[N@@H+]1CCCN(C([O-])=[NH+][C@@H]2CCc3cn[nH]c3C2)CC1 ZINC000329887368 354268268 /nfs/dbraw/zinc/26/82/68/354268268.db2.gz XHSLNYVCLWLBBK-GFCCVEGCSA-N 0 1 277.372 0.819 20 30 CCEDMN O=C(NCCN1CCSCC1)N1CCn2ncnc2C1 ZINC000329941651 354276416 /nfs/dbraw/zinc/27/64/16/354276416.db2.gz VCWWVFGPRHPLJJ-UHFFFAOYSA-N 0 1 296.400 0.057 20 30 CCEDMN C[C@@H]1[C@@H](C)N(CC(=O)N[C@@](C)(C#N)C2CC2)CCN1C ZINC000331789528 354618222 /nfs/dbraw/zinc/61/82/22/354618222.db2.gz GNNBIEBOGOBDMK-JMSVASOKSA-N 0 1 278.400 0.819 20 30 CCEDMN COc1ccc(CN2CCn3c(n[nH]c3=O)C2)cc1C#N ZINC000332673441 354718019 /nfs/dbraw/zinc/71/80/19/354718019.db2.gz ZRHUEUUVRZYPRQ-UHFFFAOYSA-N 0 1 285.307 0.880 20 30 CCEDMN N#C[C@H]1CN(C(=O)N[C@H]2CCc3nc[nH]c3C2)CCO1 ZINC000332904357 354738879 /nfs/dbraw/zinc/73/88/79/354738879.db2.gz RLBBBSMLOSQSKJ-UWVGGRQHSA-N 0 1 275.312 0.201 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)NCCN1CCCCC1 ZINC000336921824 355227066 /nfs/dbraw/zinc/22/70/66/355227066.db2.gz RCDUQYLLLJCMDO-UHFFFAOYSA-N 0 1 288.417 0.542 20 30 CCEDMN C[C@@H](C(=O)N1C[C@@H](C)N(C)C[C@@H]1C)n1cnc(C#N)n1 ZINC000336998433 355243511 /nfs/dbraw/zinc/24/35/11/355243511.db2.gz PWSLOCVRPPDFME-VWYCJHECSA-N 0 1 276.344 0.262 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)[C@@H](C)n2cnc(C#N)n2)C[C@H]1C ZINC000337000290 355244071 /nfs/dbraw/zinc/24/40/71/355244071.db2.gz RXTOFRWUOIUIDQ-GRYCIOLGSA-N 0 1 290.371 0.652 20 30 CCEDMN C[C@@H](CC#N)N(C)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000338284531 355311402 /nfs/dbraw/zinc/31/14/02/355311402.db2.gz TWHGBTXTJLYYBA-QWRGUYRKSA-N 0 1 259.375 0.502 20 30 CCEDMN CN(C[C@@H](O)COc1ccc(C#N)cc1)[C@H]1CCNC1=O ZINC000340227208 355532996 /nfs/dbraw/zinc/53/29/96/355532996.db2.gz WGNPKNGKEYTOHV-OCCSQVGLSA-N 0 1 289.335 0.118 20 30 CCEDMN CN(C)c1cc(C#N)cc(C(=O)NCc2nnc[nH]2)c1 ZINC000340145984 355511747 /nfs/dbraw/zinc/51/17/47/355511747.db2.gz KLDRMQQVJFXHCC-UHFFFAOYSA-N 0 1 270.296 0.672 20 30 CCEDMN CCOC(=O)CCN(C)[C@@H](C)C(=O)N(C)CCC#N ZINC000343414741 355770317 /nfs/dbraw/zinc/77/03/17/355770317.db2.gz BLQMMSRRKQOEMS-NSHDSACASA-N 0 1 269.345 0.632 20 30 CCEDMN N#Cc1ccnc(N2CCN([C@H]3CCC[C@@H]3O)CC2)c1 ZINC000361942238 138038823 /nfs/dbraw/zinc/03/88/23/138038823.db2.gz GLXZWAOTOLMEGD-KBPBESRZSA-N 0 1 272.352 0.989 20 30 CCEDMN COCCN1CCCN(C(=O)c2cnn(C)c2C#N)CC1 ZINC000355110598 356580141 /nfs/dbraw/zinc/58/01/41/356580141.db2.gz WMKBZZLBMDLLKP-UHFFFAOYSA-N 0 1 291.355 0.086 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc(C#N)nc2)CC1 ZINC000609662807 363513746 /nfs/dbraw/zinc/51/37/46/363513746.db2.gz SXWREVBFXJOQKV-UHFFFAOYSA-N 0 1 269.308 0.671 20 30 CCEDMN N#Cc1cccc(-c2cc(C(=O)Nc3nn[nH]n3)on2)c1 ZINC000447517421 283201346 /nfs/dbraw/zinc/20/13/46/283201346.db2.gz SFHWPWFWSALUHV-UHFFFAOYSA-N 0 1 281.235 0.979 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)N[C@H]1CCCN(C)C1 ZINC000619708224 366330016 /nfs/dbraw/zinc/33/00/16/366330016.db2.gz MGKPYSQLTRXKKZ-RYUDHWBXSA-N 0 1 288.417 0.397 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC3(CCO3)CC2)[nH]1 ZINC000619727595 366344476 /nfs/dbraw/zinc/34/44/76/366344476.db2.gz RHUSNJWCLHICEL-UHFFFAOYSA-N 0 1 275.312 0.500 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](O)C1(C)C ZINC000361419389 357047177 /nfs/dbraw/zinc/04/71/77/357047177.db2.gz CCSGUXKJOVJACR-LLVKDONJSA-N 0 1 253.346 0.594 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCOC(C)(C)C2)[nH]1 ZINC000610528043 363572812 /nfs/dbraw/zinc/57/28/12/363572812.db2.gz IJNSURCCGYZXRP-UHFFFAOYSA-N 0 1 263.301 0.356 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H](CO)Cc2ccccc2)[nH]1 ZINC000610527766 363572597 /nfs/dbraw/zinc/57/25/97/363572597.db2.gz GIKRTWDNPJLKHY-ZDUSSCGKSA-N 0 1 299.334 0.439 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@@](O)(C2CC2)C1 ZINC000365105556 357135705 /nfs/dbraw/zinc/13/57/05/357135705.db2.gz AEVFPFPUQSLVTP-CABCVRRESA-N 0 1 279.384 0.888 20 30 CCEDMN CC[C@@H](COC)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610560841 363576136 /nfs/dbraw/zinc/57/61/36/363576136.db2.gz XEHKGMUYIULKDS-VIFPVBQESA-N 0 1 251.290 0.260 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCOCC(F)(F)F)[nH]1 ZINC000610561979 363576813 /nfs/dbraw/zinc/57/68/13/363576813.db2.gz GSTBXKBMTBPFMQ-UHFFFAOYSA-N 0 1 291.233 0.414 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC([C@H]2CCOC2)CC1 ZINC000374623630 357400607 /nfs/dbraw/zinc/40/06/07/357400607.db2.gz OJPVSEAVGURNFE-OCCSQVGLSA-N 0 1 264.369 0.873 20 30 CCEDMN N#CC1(C(=O)NC[C@H]2CN3CCN2CCC3)CCC1 ZINC000394813167 357759730 /nfs/dbraw/zinc/75/97/30/357759730.db2.gz UECWHGZSWFSSHP-LBPRGKRZSA-N 0 1 262.357 0.186 20 30 CCEDMN CNS(=O)(=O)NC1CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC000407991964 357855520 /nfs/dbraw/zinc/85/55/20/357855520.db2.gz AJKSTSFYYKTSHB-ZYHUDNBSSA-N 0 1 286.401 0.197 20 30 CCEDMN C[C@H](O)CCN1CCN(c2nccnc2C#N)C[C@H]1C ZINC000450487796 236092802 /nfs/dbraw/zinc/09/28/02/236092802.db2.gz WCKBSXBJDSASGC-NEPJUHHUSA-N 0 1 275.356 0.630 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1CCOc1cccc(C#N)c1 ZINC000452114595 236221645 /nfs/dbraw/zinc/22/16/45/236221645.db2.gz KOKLBOVFYLJAPT-CQSZACIVSA-N 0 1 289.379 0.935 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@]3(CCOC3)C2)[nH]1 ZINC000610698473 363600808 /nfs/dbraw/zinc/60/08/08/363600808.db2.gz HSKHDSJCZZQDCE-CYBMUJFWSA-N 0 1 275.312 0.358 20 30 CCEDMN C#CCN(CC#CC)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000490691026 358291062 /nfs/dbraw/zinc/29/10/62/358291062.db2.gz UOGLJDTYVZWARW-CQSZACIVSA-N 0 1 277.368 0.375 20 30 CCEDMN C#CCNC(=O)c1ccc(NCc2n[nH]c(CC)n2)nc1 ZINC000294854101 199214645 /nfs/dbraw/zinc/21/46/45/199214645.db2.gz CNFQBBIENXXWOE-UHFFFAOYSA-N 0 1 284.323 0.737 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@@H]2CCC[C@@H]21 ZINC000610762669 363610075 /nfs/dbraw/zinc/61/00/75/363610075.db2.gz LEPDZNDQLDGKPP-DCAQKATOSA-N 0 1 251.330 0.871 20 30 CCEDMN C[C@@H]1C[C@H](O)CN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000569121298 358737039 /nfs/dbraw/zinc/73/70/39/358737039.db2.gz DCVBCSLPVGAADN-MFKMUULPSA-N 0 1 271.324 0.804 20 30 CCEDMN COC(=O)[C@@]1(C)CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000574159195 359033949 /nfs/dbraw/zinc/03/39/49/359033949.db2.gz CFLHVUMEDCSKGE-HNNXBMFYSA-N 0 1 299.334 0.986 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N2CCSC[C@H]2C#N)C1 ZINC000573932231 359012588 /nfs/dbraw/zinc/01/25/88/359012588.db2.gz GPSDZDGVDJYPFU-GHMZBOCLSA-N 0 1 253.371 0.796 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@H]2[C@H]2CCCO2)[nH]1 ZINC000585797460 359277796 /nfs/dbraw/zinc/27/77/96/359277796.db2.gz YMHRHOYHIIDTGF-VXGBXAGGSA-N 0 1 289.339 0.889 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)C(=O)COc1ccc(C#N)cc1 ZINC000588848765 359341244 /nfs/dbraw/zinc/34/12/44/359341244.db2.gz DUBOSGOANYXHOC-UHFFFAOYSA-N 0 1 288.263 0.684 20 30 CCEDMN N#Cc1csc(C(=O)N[C@H](CO)CN2CCCC2)c1 ZINC000588868655 359344769 /nfs/dbraw/zinc/34/47/69/359344769.db2.gz BEUFKPGNPGMVMX-NSHDSACASA-N 0 1 279.365 0.806 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@@H]1C ZINC000611091938 363659849 /nfs/dbraw/zinc/65/98/49/363659849.db2.gz DBDKAKRIVNDAGV-WFASDCNBSA-N 0 1 278.400 0.821 20 30 CCEDMN N#C[C@]1(F)CCN(C(=O)N[C@H]2CCc3nc[nH]c3C2)C1 ZINC000589629999 359394319 /nfs/dbraw/zinc/39/43/19/359394319.db2.gz QWKSOZJHAAQQRD-TVQRCGJNSA-N 0 1 277.303 0.914 20 30 CCEDMN N#CC1(NC(=O)CN2CCCC[C@H]2C2(O)CC2)CCC1 ZINC000590969169 359543611 /nfs/dbraw/zinc/54/36/11/359543611.db2.gz UOAYUZVPCUTOKL-LBPRGKRZSA-N 0 1 277.368 0.928 20 30 CCEDMN CC[C@@H](C)[C@@H](CNC(=O)N=c1[nH]n(C)cc1C#N)OC ZINC000590819571 359527053 /nfs/dbraw/zinc/52/70/53/359527053.db2.gz NEWGPHNBHVSITH-MWLCHTKSSA-N 0 1 279.344 0.896 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H]2C[C@H]2C2CC2)[nH]1 ZINC000590822211 359527502 /nfs/dbraw/zinc/52/75/02/359527502.db2.gz FNDOGCFTJJZNDF-ONGXEEELSA-N 0 1 259.313 0.881 20 30 CCEDMN CO[C@@H]1CN(c2cc(C)ncc2C#N)C[C@H]1c1cn[nH]n1 ZINC000591629274 359616788 /nfs/dbraw/zinc/61/67/88/359616788.db2.gz JDAMQHMZJQRJFJ-SMDDNHRTSA-N 0 1 284.323 0.999 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1ccc(C#N)cc1F ZINC000591733992 359649854 /nfs/dbraw/zinc/64/98/54/359649854.db2.gz TVXHBBGFPQMVTK-CQSZACIVSA-N 0 1 290.342 0.993 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000591990101 359720464 /nfs/dbraw/zinc/72/04/64/359720464.db2.gz SPEZUYQIABIHDJ-RISCZKNCSA-N 0 1 289.339 0.847 20 30 CCEDMN CCC[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000611162051 363668470 /nfs/dbraw/zinc/66/84/70/363668470.db2.gz OSUUJZJJFYXLTD-NSHDSACASA-N 0 1 277.328 0.746 20 30 CCEDMN CCOC(=O)CC[C@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000611169559 363669380 /nfs/dbraw/zinc/66/93/80/363669380.db2.gz XGLYVDKDZQARQP-VIFPVBQESA-N 0 1 293.327 0.567 20 30 CCEDMN N#Cc1cnn2cc(CNC[C@@H](O)C(F)(F)F)cnc12 ZINC000592509300 359865367 /nfs/dbraw/zinc/86/53/67/359865367.db2.gz IERHIKZVPKKTHV-SECBINFHSA-N 0 1 285.229 0.614 20 30 CCEDMN C=CCn1cc(C(=O)N=c2[nH]n(C(C)(C)C)cc2C#N)nn1 ZINC000592603250 359900369 /nfs/dbraw/zinc/90/03/69/359900369.db2.gz YBCQDERVAKDADV-UHFFFAOYSA-N 0 1 299.338 0.961 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cnc(C)nc2C)[nH]n1C ZINC000592654652 359910275 /nfs/dbraw/zinc/91/02/75/359910275.db2.gz SHPHCVUXMPNGLZ-UHFFFAOYSA-N 0 1 270.296 0.681 20 30 CCEDMN CN(CC(=O)N1CC[C@@](O)(CC#N)C1)[C@H]1CCSC1 ZINC000592847894 359953560 /nfs/dbraw/zinc/95/35/60/359953560.db2.gz RKBDHEQSUMDDOV-AAEUAGOBSA-N 0 1 283.397 0.301 20 30 CCEDMN N#Cc1cc(N2CCN(Cc3nnc[nH]3)CC2)ccn1 ZINC000593507484 360102015 /nfs/dbraw/zinc/10/20/15/360102015.db2.gz JOCSKPGZNSPNDO-UHFFFAOYSA-N 0 1 269.312 0.394 20 30 CCEDMN N#Cc1cccc(N2CCN(Cc3nnc[nH]3)CC2)n1 ZINC000593509545 360103267 /nfs/dbraw/zinc/10/32/67/360103267.db2.gz WQSCOZJXZYJCEB-UHFFFAOYSA-N 0 1 269.312 0.394 20 30 CCEDMN CC[C@@H](C#N)C(=O)NCCCCN1CCOCC1 ZINC000593407537 360080670 /nfs/dbraw/zinc/08/06/70/360080670.db2.gz IKDRWUSNNFUCBZ-LBPRGKRZSA-N 0 1 253.346 0.765 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3ccsc3C#N)[C@@H]2C1 ZINC000593597804 360119188 /nfs/dbraw/zinc/11/91/88/360119188.db2.gz KOZOCOCVFQXEOE-NXEZZACHSA-N 0 1 297.405 0.944 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000593637155 360124818 /nfs/dbraw/zinc/12/48/18/360124818.db2.gz GWQWTCIHKVVOJY-NEPJUHHUSA-N 0 1 267.373 0.840 20 30 CCEDMN N#Cc1cccc(CN2CCC(NC(=O)CO)CC2)c1 ZINC000593885652 360195023 /nfs/dbraw/zinc/19/50/23/360195023.db2.gz JHMOKIQKHCVGDZ-UHFFFAOYSA-N 0 1 273.336 0.631 20 30 CCEDMN C[C@H](NCC(=O)N1CCN(C)CC1)c1cccc(C#N)c1 ZINC000611386498 363712394 /nfs/dbraw/zinc/71/23/94/363712394.db2.gz LGYWTEHFHRZHPZ-ZDUSSCGKSA-N 0 1 286.379 0.983 20 30 CCEDMN COC(=O)c1ccc(NCCc2n[nH]c(C)n2)c(C#N)n1 ZINC000594559636 360330690 /nfs/dbraw/zinc/33/06/90/360330690.db2.gz SNPHCENTRBGVAV-UHFFFAOYSA-N 0 1 286.295 0.821 20 30 CCEDMN COC(=O)c1ccc(NCCc2nnc(C)[nH]2)c(C#N)n1 ZINC000594559636 360330695 /nfs/dbraw/zinc/33/06/95/360330695.db2.gz SNPHCENTRBGVAV-UHFFFAOYSA-N 0 1 286.295 0.821 20 30 CCEDMN CN(CCC#N)S(=O)(=O)NC[C@H]1CC[N@H+]1C(C)(C)C ZINC000594787669 360383185 /nfs/dbraw/zinc/38/31/85/360383185.db2.gz BWLGSHWLRRWOSS-LLVKDONJSA-N 0 1 288.417 0.539 20 30 CCEDMN CN(CCC#N)S(=O)(=O)NC[C@H]1CCN1C(C)(C)C ZINC000594787669 360383187 /nfs/dbraw/zinc/38/31/87/360383187.db2.gz BWLGSHWLRRWOSS-LLVKDONJSA-N 0 1 288.417 0.539 20 30 CCEDMN CC1(C[N-]S(=O)(=O)c2ccc(C#N)o2)CC[NH2+]CC1 ZINC000595108812 360438410 /nfs/dbraw/zinc/43/84/10/360438410.db2.gz UGPJHZAXPXLGKF-UHFFFAOYSA-N 0 1 283.353 0.819 20 30 CCEDMN C[C@@H](NC(=O)N=c1ccn(CCC#N)[nH]1)c1nnc[nH]1 ZINC000611519179 363732192 /nfs/dbraw/zinc/73/21/92/363732192.db2.gz AFQBZPGDUVKEFW-MRVPVSSYSA-N 0 1 274.288 0.219 20 30 CCEDMN COC[C@@H](CN1CCN(c2cccc(C#N)n2)CC1)OC ZINC000595434483 360530727 /nfs/dbraw/zinc/53/07/27/360530727.db2.gz BTXBHUKDBKXYCF-CQSZACIVSA-N 0 1 290.367 0.737 20 30 CCEDMN CCCN1CC[C@H](NS(=O)(=O)N(C)[C@@H](C)CC#N)C1 ZINC000595353595 360506234 /nfs/dbraw/zinc/50/62/34/360506234.db2.gz HXVQAISNTCCJJV-RYUDHWBXSA-N 0 1 288.417 0.539 20 30 CCEDMN COC[C@H](CN1CCN([C@H](C#N)C(C)C)CC1)OC ZINC000595399429 360518766 /nfs/dbraw/zinc/51/87/66/360518766.db2.gz WQUKYHQMWUFCAU-UONOGXRCSA-N 0 1 269.389 0.814 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCC[C@H](N2CCOCC2)CC1 ZINC000595473190 360544929 /nfs/dbraw/zinc/54/49/29/360544929.db2.gz UOFFOIXDGQNRFP-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@H]1CCO[C@H]1C(=O)OC ZINC000595665645 360613518 /nfs/dbraw/zinc/61/35/18/360613518.db2.gz HLGBQTQKNHPFKZ-WCQYABFASA-N 0 1 282.340 0.107 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC2(COC2)C1 ZINC000595832125 360671295 /nfs/dbraw/zinc/67/12/95/360671295.db2.gz BYZRHTZNYWGQKZ-GFCCVEGCSA-N 0 1 265.357 0.717 20 30 CCEDMN Cc1nc([C@@H]2CCN(c3cc(C#N)cnn3)C2)n[nH]1 ZINC000596274253 360862330 /nfs/dbraw/zinc/86/23/30/360862330.db2.gz PUZGKSBACCGNFX-SNVBAGLBSA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@@H]2CCN(c3cc(C#N)cnn3)C2)[nH]1 ZINC000596274253 360862334 /nfs/dbraw/zinc/86/23/34/360862334.db2.gz PUZGKSBACCGNFX-SNVBAGLBSA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nc(C(=O)N2CC[C@H]3OCCN[C@@H]3C2)ccc1C#N ZINC000563627598 291243700 /nfs/dbraw/zinc/24/37/00/291243700.db2.gz FUHDUHBNQRAADC-ZIAGYGMSSA-N 0 1 286.335 0.465 20 30 CCEDMN C[C@H](CC#N)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000596998712 360992319 /nfs/dbraw/zinc/99/23/19/360992319.db2.gz PLCZEOWCQJXYSS-SSDOTTSWSA-N 0 1 283.309 0.072 20 30 CCEDMN CC(C)[C@H](C#N)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597187009 361026956 /nfs/dbraw/zinc/02/69/56/361026956.db2.gz CILXCYIICKMWPW-JTQLQIEISA-N 0 1 297.336 0.318 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)NCc1cn[nH]c1C ZINC000597207460 361031725 /nfs/dbraw/zinc/03/17/25/361031725.db2.gz XUCNEYVBKQTYCZ-UHFFFAOYSA-N 0 1 296.396 0.760 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)[C@H](C)CC#N)CC2)n[nH]1 ZINC000597262222 361043951 /nfs/dbraw/zinc/04/39/51/361043951.db2.gz XVKKELGCDDTYRM-SNVBAGLBSA-N 0 1 276.344 0.307 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)COc1ccc(C#N)cc1 ZINC000598162513 361346390 /nfs/dbraw/zinc/34/63/90/361346390.db2.gz IZYCIHAFGSZLRZ-UHFFFAOYSA-N 0 1 273.336 0.957 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1CCN2CCC1CC2 ZINC000613860094 364415154 /nfs/dbraw/zinc/41/51/54/364415154.db2.gz BTAXLZOCHLKXNY-AWEZNQCLSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)[C@H](CC(=O)NC[C@H]1CN2CCN1CCC2)OCC ZINC000614503009 364632826 /nfs/dbraw/zinc/63/28/26/364632826.db2.gz MUTRUJSHFAHRHU-GJZGRUSLSA-N 0 1 295.427 0.864 20 30 CCEDMN C[C@H](NC(=O)c1cc(O)cc(C#N)c1)c1nnc[nH]1 ZINC000614720515 364710727 /nfs/dbraw/zinc/71/07/27/364710727.db2.gz YMBDDUHVSSYQDX-ZETCQYMHSA-N 0 1 257.253 0.873 20 30 CCEDMN COCCN(CCC#N)C(=O)c1cccc2nn[nH]c21 ZINC000615129499 364854261 /nfs/dbraw/zinc/85/42/61/364854261.db2.gz OAJJILRLKIMYJB-UHFFFAOYSA-N 0 1 273.296 0.960 20 30 CCEDMN Cc1cc(CNC(=O)N2CC[C@](F)(C#N)C2)n[nH]1 ZINC000615539897 364997660 /nfs/dbraw/zinc/99/76/60/364997660.db2.gz LWFUQHWJUGXHPP-NSHDSACASA-N 0 1 251.265 0.865 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)c1ccc(C#N)[nH]1 ZINC000275385318 193017522 /nfs/dbraw/zinc/01/75/22/193017522.db2.gz BYUVOESEXLNQNL-LLVKDONJSA-N 0 1 290.367 0.804 20 30 CCEDMN CCC(O)(CC)CCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000615979612 365148406 /nfs/dbraw/zinc/14/84/06/365148406.db2.gz JXTUFAYWDPAGPH-UHFFFAOYSA-N 0 1 279.344 0.776 20 30 CCEDMN CC(C)[C@@H]1CN(C(=O)N=c2[n-]n(C)cc2C#N)CC[N@H+]1C ZINC000616989953 365509702 /nfs/dbraw/zinc/50/97/02/365509702.db2.gz DKQDMRLEJGUMJT-LBPRGKRZSA-N 0 1 290.371 0.518 20 30 CCEDMN C[C@H]1CN(c2nc3ccccn3c2C#N)CCN1CCO ZINC000302472979 201458985 /nfs/dbraw/zinc/45/89/85/201458985.db2.gz WFKCIKAQJIWEKY-LBPRGKRZSA-N 0 1 285.351 0.709 20 30 CCEDMN C=C[C@H](C)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000626066541 368419729 /nfs/dbraw/zinc/41/97/29/368419729.db2.gz KJEXRIJJLMEQQW-QMMMGPOBSA-N 0 1 269.326 0.757 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@@H](C)C(=O)N(C)CCC#N)[C@@H]1C ZINC000347219422 284065577 /nfs/dbraw/zinc/06/55/77/284065577.db2.gz SJKORLROBFLPDQ-GRYCIOLGSA-N 0 1 281.356 0.630 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1CCNC[C@H]1C(C)C ZINC000627314691 368952483 /nfs/dbraw/zinc/95/24/83/368952483.db2.gz KATGRMFLXOGPNL-LBPRGKRZSA-N 0 1 267.373 0.525 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN(C)C2CCC2)C1=O ZINC000629989179 369875015 /nfs/dbraw/zinc/87/50/15/369875015.db2.gz PHKRCQCLVQZXSG-ZDUSSCGKSA-N 0 1 279.384 0.716 20 30 CCEDMN CC(C)(CCC[NH3+])[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000574412547 335017697 /nfs/dbraw/zinc/01/76/97/335017697.db2.gz CKMFPZDAHVBVBQ-UHFFFAOYSA-N 0 1 271.342 0.947 20 30 CCEDMN C=C(C)COCCNC(=O)CCCc1nn[nH]n1 ZINC000635690489 372767798 /nfs/dbraw/zinc/76/77/98/372767798.db2.gz UARYGSYSCSXQQF-UHFFFAOYSA-N 0 1 253.306 0.231 20 30 CCEDMN C=CC(C)(C)CCNC(=O)NCCN1CC[C@H](O)C1 ZINC000637323773 374105310 /nfs/dbraw/zinc/10/53/10/374105310.db2.gz LBTKPJNECIDIJM-LBPRGKRZSA-N 0 1 269.389 0.955 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H](OC)[C@H](C)CC)CC1 ZINC000637880955 374444169 /nfs/dbraw/zinc/44/41/69/374444169.db2.gz YBUCGVYVODHQBO-CABCVRRESA-N 0 1 297.443 0.967 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@]1(C)CNCCO1 ZINC000638363449 374959385 /nfs/dbraw/zinc/95/93/85/374959385.db2.gz UJAWHLVWNSNDIS-GVXVVHGQSA-N 0 1 254.330 0.072 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CCC[C@H](c3n[n-]c(=N)o3)C2)C1=O ZINC000639822792 376031261 /nfs/dbraw/zinc/03/12/61/376031261.db2.gz SSQDRFSCTUZJSU-WDEREUQCSA-N 0 1 291.355 0.448 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H](O)C[C@@]1(C)CO ZINC000639857819 376087733 /nfs/dbraw/zinc/08/77/33/376087733.db2.gz VPTWEQDPMNPEHH-OCCSQVGLSA-N 0 1 268.357 0.005 20 30 CCEDMN C=CCOCCn1cc([C@@H](N)[C@@H]2CCCO2)nn1 ZINC000641030204 376685869 /nfs/dbraw/zinc/68/58/69/376685869.db2.gz UJTGNZDOJGYMAG-NWDGAFQWSA-N 0 1 252.318 0.660 20 30 CCEDMN C=CCN1CC[C@H](n2cc(CNC3CC3)nn2)C1=O ZINC000641107558 376787661 /nfs/dbraw/zinc/78/76/61/376787661.db2.gz CCIYNTZWXIZSKY-LBPRGKRZSA-N 0 1 261.329 0.490 20 30 CCEDMN C=CCC[C@H](O)Cn1cc([C@H](N)[C@@H]2CCCO2)nn1 ZINC000641212655 376892356 /nfs/dbraw/zinc/89/23/56/376892356.db2.gz OCSMDWWEBXOFEY-DRZSPHRISA-N 0 1 266.345 0.784 20 30 CCEDMN Cn1nccc1[C@H](CO)NCCOc1ccccc1C#N ZINC000459738718 249059228 /nfs/dbraw/zinc/05/92/28/249059228.db2.gz BJEJQIVBNVKVPQ-ZDUSSCGKSA-N 0 1 286.335 0.994 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)C[C@@H]1CCCCO1 ZINC000266747387 190278504 /nfs/dbraw/zinc/27/85/04/190278504.db2.gz RTGZLTWYPQEGDW-RYUDHWBXSA-N 0 1 283.372 0.888 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](c2ncc[nH]2)C1 ZINC000266926020 190291063 /nfs/dbraw/zinc/29/10/63/190291063.db2.gz NINZSKQQVSSNOD-RYUDHWBXSA-N 0 1 260.341 0.727 20 30 CCEDMN C[C@@]1(O)CCN(CC(=O)NCc2ccc(C#N)cc2)C1 ZINC000267393651 190326791 /nfs/dbraw/zinc/32/67/91/190326791.db2.gz XOTMDDOZJKEWTI-OAHLLOKOSA-N 0 1 273.336 0.631 20 30 CCEDMN C=CCCn1cc(CNCCN2CCOC[C@@H]2C)nn1 ZINC000657347156 412966743 /nfs/dbraw/zinc/96/67/43/412966743.db2.gz VCXTYCZGMRZJSU-ZDUSSCGKSA-N 0 1 279.388 0.665 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCOC2(CCOCC2)CC1 ZINC000364259733 262191655 /nfs/dbraw/zinc/19/16/55/262191655.db2.gz HUTPZORYBYXTGE-CYBMUJFWSA-N 0 1 282.384 0.949 20 30 CCEDMN C[C@@H]1CN(CCC(=O)N(C)CCC#N)[C@H](C)CO1 ZINC000035784630 388837151 /nfs/dbraw/zinc/83/71/51/388837151.db2.gz JZQUUVLZXNIUQA-VXGBXAGGSA-N 0 1 253.346 0.858 20 30 CCEDMN COc1cc(C#N)ccc1CN1C[C@@H](O)C[C@H]1CO ZINC000186530859 388839578 /nfs/dbraw/zinc/83/95/78/388839578.db2.gz DXUPRRATSKJTFN-STQMWFEESA-N 0 1 262.309 0.494 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@@](CO)(CCC)C1 ZINC000288922055 388866340 /nfs/dbraw/zinc/86/63/40/388866340.db2.gz VDSVHHZYOIFCJX-DOMZBBRYSA-N 0 1 297.399 0.871 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC000289058187 388867378 /nfs/dbraw/zinc/86/73/78/388867378.db2.gz NFECIXYZPWLUOP-YPMHNXCESA-N 0 1 279.384 0.620 20 30 CCEDMN COC(=O)[C@@H](C)CN(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000048335695 388889970 /nfs/dbraw/zinc/88/99/70/388889970.db2.gz SZIHSSLIBACDNA-HZMBPMFUSA-N 0 1 281.356 0.536 20 30 CCEDMN C[C@@H](C#N)CNC(=O)NCCN1CCCOCC1 ZINC000187365188 388879012 /nfs/dbraw/zinc/87/90/12/388879012.db2.gz MYOZEQZZXKRXSP-NSHDSACASA-N 0 1 254.334 0.168 20 30 CCEDMN C#CCN1CCC(C(=O)NOCCCC(=O)OC)CC1 ZINC000290805354 388913504 /nfs/dbraw/zinc/91/35/04/388913504.db2.gz YMILTNGKOGJMTA-UHFFFAOYSA-N 0 1 282.340 0.333 20 30 CCEDMN CCN(CCC(=O)OC)CC(=O)N[C@](C)(C#N)C(C)C ZINC000050054171 388925064 /nfs/dbraw/zinc/92/50/64/388925064.db2.gz JXJGNVHAIKPVAI-CQSZACIVSA-N 0 1 283.372 0.926 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)CCCOC ZINC000066378474 388944257 /nfs/dbraw/zinc/94/42/57/388944257.db2.gz VDQILEIBNOFJED-LBPRGKRZSA-N 0 1 255.362 0.963 20 30 CCEDMN CC#CC[N@@H+](C)CC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000293047177 388977715 /nfs/dbraw/zinc/97/77/15/388977715.db2.gz HNBGVDVOBHVQNE-UHFFFAOYSA-N 0 1 274.320 0.907 20 30 CCEDMN CC#CC[N@H+](C)CC(=O)[N-]C(=O)c1ccc(OC)cc1 ZINC000293047177 388977718 /nfs/dbraw/zinc/97/77/18/388977718.db2.gz HNBGVDVOBHVQNE-UHFFFAOYSA-N 0 1 274.320 0.907 20 30 CCEDMN C=CCOc1cccc(CNCCS(=O)(=O)NC)c1 ZINC000190314307 388988910 /nfs/dbraw/zinc/98/89/10/388988910.db2.gz SPGAEMNPZIWTCP-UHFFFAOYSA-N 0 1 284.381 0.890 20 30 CCEDMN C=CCC[C@H](O)CN1CCCN(CCOC)C(=O)C1 ZINC000190806881 388999110 /nfs/dbraw/zinc/99/91/10/388999110.db2.gz OIIKZOFQPVNBSO-ZDUSSCGKSA-N 0 1 270.373 0.494 20 30 CCEDMN CCN1CCN(c2ccc(S(N)(=O)=O)cc2C#N)CC1 ZINC000301164032 389047065 /nfs/dbraw/zinc/04/70/65/389047065.db2.gz CZUIIAURDIOYGZ-UHFFFAOYSA-N 0 1 294.380 0.348 20 30 CCEDMN C=CCN1CC[C@@H](N[C@](C)(COC)CC(=O)OC)C1=O ZINC000337182820 389050002 /nfs/dbraw/zinc/05/00/02/389050002.db2.gz NISCOIFLXONKLJ-RISCZKNCSA-N 0 1 284.356 0.331 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCC(=O)NCC)C1=O ZINC000337222640 389056094 /nfs/dbraw/zinc/05/60/94/389056094.db2.gz MBIZZAWEURDBQV-LLVKDONJSA-N 0 1 253.346 0.231 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCN2C[C@@H](C)O[C@@H](C)C2)C1=O ZINC000337228652 389057180 /nfs/dbraw/zinc/05/71/80/389057180.db2.gz HGNVCIRWJPTLSN-ZNMIVQPWSA-N 0 1 295.427 0.814 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N1CCN(C)CC[C@@H]1C ZINC000337967954 389071666 /nfs/dbraw/zinc/07/16/66/389071666.db2.gz UNUQPRNTEUWIME-NEPJUHHUSA-N 0 1 288.417 0.491 20 30 CCEDMN Cc1ccc(N2CCN(CCCO)CC2)nc1C#N ZINC000302592549 389074926 /nfs/dbraw/zinc/07/49/26/389074926.db2.gz AMYYBWVBMKKYAT-UHFFFAOYSA-N 0 1 260.341 0.766 20 30 CCEDMN C[C@H]1CCNC(=O)[C@H]1NCC(=O)Nc1ccc(C#N)cc1 ZINC000193660779 389077004 /nfs/dbraw/zinc/07/70/04/389077004.db2.gz WAUBPVPVISIESH-HZMBPMFUSA-N 0 1 286.335 0.611 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N1CCN(C)CC[C@H]1C ZINC000337084144 389042299 /nfs/dbraw/zinc/04/22/99/389042299.db2.gz CHZMASOIGFOMDQ-NWDGAFQWSA-N 0 1 288.417 0.349 20 30 CCEDMN CCN(CCNS(=O)(=O)N(CC)CCC#N)C1CC1 ZINC000337087532 389042604 /nfs/dbraw/zinc/04/26/04/389042604.db2.gz GDOLFYXEKKIBND-UHFFFAOYSA-N 0 1 288.417 0.541 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000355156978 389044761 /nfs/dbraw/zinc/04/47/61/389044761.db2.gz ZLCXDDJUBHSMPS-GFCCVEGCSA-N 0 1 295.383 0.048 20 30 CCEDMN CN(CCN1CCCC1)S(=O)(=O)CCCC#N ZINC000111339616 389105209 /nfs/dbraw/zinc/10/52/09/389105209.db2.gz OFLDIGHNMCXFSY-UHFFFAOYSA-N 0 1 259.375 0.648 20 30 CCEDMN CN(C1CCN(CCOCCC#N)CC1)S(C)(=O)=O ZINC000339030723 389122341 /nfs/dbraw/zinc/12/23/41/389122341.db2.gz YDOUHNGKGCBHDQ-UHFFFAOYSA-N 0 1 289.401 0.272 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+]C[C@H]1CCCCO1)CC2 ZINC000328849746 389141094 /nfs/dbraw/zinc/14/10/94/389141094.db2.gz YXNOOIRCLSZTHI-VXGBXAGGSA-N 0 1 293.371 0.974 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NC[C@H]1CCCCO1)CC2 ZINC000328849746 389141097 /nfs/dbraw/zinc/14/10/97/389141097.db2.gz YXNOOIRCLSZTHI-VXGBXAGGSA-N 0 1 293.371 0.974 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000359674202 389181121 /nfs/dbraw/zinc/18/11/21/389181121.db2.gz AHPNBSWRSVUQHR-CHWSQXEVSA-N 0 1 268.405 0.886 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000360249571 389194154 /nfs/dbraw/zinc/19/41/54/389194154.db2.gz MHCLWQDXRGNUJS-JTQLQIEISA-N 0 1 283.357 0.467 20 30 CCEDMN [O-]C(N[C@H]1CCc2ncnn2C1)=[NH+]CC[C@@H]1CCOC1 ZINC000329767402 389197944 /nfs/dbraw/zinc/19/79/44/389197944.db2.gz ZMJMPGPSBYMDMZ-MNOVXSKESA-N 0 1 279.344 0.523 20 30 CCEDMN O=C(NCC[C@@H]1CCOC1)N[C@H]1CCc2ncnn2C1 ZINC000329767402 389197947 /nfs/dbraw/zinc/19/79/47/389197947.db2.gz ZMJMPGPSBYMDMZ-MNOVXSKESA-N 0 1 279.344 0.523 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCN1C(=O)CCCC1=O ZINC000329791426 389201638 /nfs/dbraw/zinc/20/16/38/389201638.db2.gz DOHLIIOXPSUSTM-JTQLQIEISA-N 0 1 267.329 0.576 20 30 CCEDMN C=CCCOCCNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000122431360 389152140 /nfs/dbraw/zinc/15/21/40/389152140.db2.gz RDZPLIBVZIXDNZ-UHFFFAOYSA-N 0 1 299.415 0.677 20 30 CCEDMN C[C@@H](NC(=O)N[C@@H]1CCO[C@H](C)C1)c1nnc[nH]1 ZINC000329306676 389156165 /nfs/dbraw/zinc/15/61/65/389156165.db2.gz YSXNHPWUIQUNAK-IWSPIJDZSA-N 0 1 253.306 0.937 20 30 CCEDMN C=C(CC)CN[C@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000358621288 389156262 /nfs/dbraw/zinc/15/62/62/389156262.db2.gz UMUUVZYWPJFRFB-STQMWFEESA-N 0 1 288.413 0.040 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCC1(O)CCOCC1 ZINC000329334983 389157438 /nfs/dbraw/zinc/15/74/38/389157438.db2.gz OJHWOENVODEGQR-GFCCVEGCSA-N 0 1 286.372 0.453 20 30 CCEDMN Cc1nnc2n1C[C@H](CNC(=O)N[C@@H]1CCCOC1)CC2 ZINC000329350197 389159218 /nfs/dbraw/zinc/15/92/18/389159218.db2.gz WTEMEHSMDSSCCN-NWDGAFQWSA-N 0 1 293.371 0.832 20 30 CCEDMN Cc1nnc2n1C[C@H](C[NH+]=C([O-])N[C@@H]1CCCOC1)CC2 ZINC000329350197 389159219 /nfs/dbraw/zinc/15/92/19/389159219.db2.gz WTEMEHSMDSSCCN-NWDGAFQWSA-N 0 1 293.371 0.832 20 30 CCEDMN CC(C)(O)CN1CCN(C(=O)NCCC2(O)CCC2)CC1 ZINC000329514219 389168225 /nfs/dbraw/zinc/16/82/25/389168225.db2.gz OBBGYUUGLKAALD-UHFFFAOYSA-N 0 1 299.415 0.594 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)N(C)CC1(O)CCC1)CC2 ZINC000329548909 389170401 /nfs/dbraw/zinc/17/04/01/389170401.db2.gz FACCTPQUNSYQJM-NSHDSACASA-N 0 1 293.371 0.662 20 30 CCEDMN C=CCN(CCC#N)C(=O)CN1CCN(CC)CC1 ZINC000359397338 389172191 /nfs/dbraw/zinc/17/21/91/389172191.db2.gz YIKMQWDJBMIHAM-UHFFFAOYSA-N 0 1 264.373 0.552 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](C(=O)NCC2CC2)C1 ZINC000248743196 389249955 /nfs/dbraw/zinc/24/99/55/389249955.db2.gz BNJKPASIZREIPK-TZMCWYRMSA-N 0 1 291.395 0.363 20 30 CCEDMN COCC[N@@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000330656559 389250369 /nfs/dbraw/zinc/25/03/69/389250369.db2.gz VDEQSYNBMUBCPI-SNVBAGLBSA-N 0 1 265.313 0.813 20 30 CCEDMN COCC[N@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC000330656559 389250370 /nfs/dbraw/zinc/25/03/70/389250370.db2.gz VDEQSYNBMUBCPI-SNVBAGLBSA-N 0 1 265.313 0.813 20 30 CCEDMN C=CCNC(=O)CN1CCS[C@H]2COCC[C@@H]21 ZINC000135519805 389258164 /nfs/dbraw/zinc/25/81/64/389258164.db2.gz WCAFKAFAOLZHAL-QWRGUYRKSA-N 0 1 256.371 0.495 20 30 CCEDMN N#C[C@@H]1CN(C([O-])=[NH+][C@H]2CCc3cn[nH]c3C2)CCO1 ZINC000329859817 389206983 /nfs/dbraw/zinc/20/69/83/389206983.db2.gz PAZUJKWASHIALV-WDEREUQCSA-N 0 1 275.312 0.405 20 30 CCEDMN [O-]C(N[C@H]1CCOC2(CCC2)C1)=[NH+]CCn1cncn1 ZINC000329873522 389207872 /nfs/dbraw/zinc/20/78/72/389207872.db2.gz CFHKCMQMYLAVBF-NSHDSACASA-N 0 1 279.344 0.883 20 30 CCEDMN O=C(NCCn1cncn1)N[C@H]1CCOC2(CCC2)C1 ZINC000329873522 389207874 /nfs/dbraw/zinc/20/78/74/389207874.db2.gz CFHKCMQMYLAVBF-NSHDSACASA-N 0 1 279.344 0.883 20 30 CCEDMN CN1CCO[C@@H](C(=O)NC2(c3nnc[nH]3)CCC2)C1 ZINC000329905018 389210656 /nfs/dbraw/zinc/21/06/56/389210656.db2.gz JLXVCTATPIBKQZ-SECBINFHSA-N 0 1 265.317 0.471 20 30 CCEDMN CN(CCN(C)C1CC1)C(=O)N[C@@H]1CC[S@](=O)C1 ZINC000329924282 389211553 /nfs/dbraw/zinc/21/15/53/389211553.db2.gz IZBFTBASWFNBGX-MGNBDDOMSA-N 0 1 273.402 0.447 20 30 CCEDMN C[N@@H+](CCNC(=O)NC[C@@]1(O)CCSC1)C1CC1 ZINC000330014995 389216429 /nfs/dbraw/zinc/21/64/29/389216429.db2.gz NKGNIUPJNROJJY-LBPRGKRZSA-N 0 1 273.402 0.452 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCOC[C@H](C)C1 ZINC000361942756 389227074 /nfs/dbraw/zinc/22/70/74/389227074.db2.gz IMAJKTDWLQODDQ-VXGBXAGGSA-N 0 1 253.346 0.715 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@](C)(CC)C(N)=O ZINC000133361609 389234209 /nfs/dbraw/zinc/23/42/09/389234209.db2.gz YWDRKNQPBOEWHY-ZDUSSCGKSA-N 0 1 253.346 0.431 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](OCC(C)C)C1 ZINC000247026369 389235095 /nfs/dbraw/zinc/23/50/95/389235095.db2.gz DKPINGLITRWKIB-OLZOCXBDSA-N 0 1 252.358 0.871 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000330547528 389240283 /nfs/dbraw/zinc/24/02/83/389240283.db2.gz CPDWRWCGDPYYLS-NXEZZACHSA-N 0 1 264.329 0.210 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000330547528 389240286 /nfs/dbraw/zinc/24/02/86/389240286.db2.gz CPDWRWCGDPYYLS-NXEZZACHSA-N 0 1 264.329 0.210 20 30 CCEDMN C[C@@H](C#N)C(=O)Nc1ccc(N2CCN(C)CC2)cn1 ZINC000345822747 389315917 /nfs/dbraw/zinc/31/59/17/389315917.db2.gz XPXBFGZXJBXWOO-NSHDSACASA-N 0 1 273.340 0.932 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC[C@H]2CCCO2)CC1 ZINC000345861114 389316603 /nfs/dbraw/zinc/31/66/03/389316603.db2.gz SUNJTQBCIFWGOC-CQSZACIVSA-N 0 1 281.400 0.475 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C)CCCC(=O)NC ZINC000155001323 389316625 /nfs/dbraw/zinc/31/66/25/389316625.db2.gz HJNCLGNJFLRRDH-UHFFFAOYSA-N 0 1 269.389 0.869 20 30 CCEDMN CCN(C)CCNS(=O)(=O)Cc1cccc(C#N)c1 ZINC000264473073 389331236 /nfs/dbraw/zinc/33/12/36/389331236.db2.gz JMYJSMOVBRZKQP-UHFFFAOYSA-N 0 1 281.381 0.929 20 30 CCEDMN C[C@H](O)C[C@H]1COCCN1CCC(=O)N(C)CCC#N ZINC000157514070 389331389 /nfs/dbraw/zinc/33/13/89/389331389.db2.gz BYVIMEWBAACNQD-STQMWFEESA-N 0 1 283.372 0.220 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN([C@@H]3CCC[C@H]3O)CC2)n1 ZINC000367420281 389331454 /nfs/dbraw/zinc/33/14/54/389331454.db2.gz PXRZICSBEGGLER-ZIAGYGMSSA-N 0 1 287.367 0.692 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](C)[C@@H](O)C1 ZINC000346579832 389332763 /nfs/dbraw/zinc/33/27/63/389332763.db2.gz YHSMFGZBUOJLED-UTUOFQBUSA-N 0 1 265.357 0.640 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](C)[C@H](O)C1 ZINC000346579831 389332890 /nfs/dbraw/zinc/33/28/90/389332890.db2.gz YHSMFGZBUOJLED-IJLUTSLNSA-N 0 1 265.357 0.640 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](CO)C[C@H]1C ZINC000344131605 389279016 /nfs/dbraw/zinc/27/90/16/389279016.db2.gz NUNSIIGENGVSBQ-OLZOCXBDSA-N 0 1 267.373 0.841 20 30 CCEDMN CN(C)C1CN(C(=O)c2ncc(C#N)cc2Cl)C1 ZINC000345279314 389297656 /nfs/dbraw/zinc/29/76/56/389297656.db2.gz BYHNVEOBXBICAH-UHFFFAOYSA-N 0 1 264.716 0.993 20 30 CCEDMN CCNC(=O)NC(=O)[C@H](C)N[C@H](C)c1cnn(CC)c1 ZINC000331324179 389302449 /nfs/dbraw/zinc/30/24/49/389302449.db2.gz MAIDTLWSZZIVHM-ZJUUUORDSA-N 0 1 281.360 0.992 20 30 CCEDMN CCN1CCN(CC(=O)NCCCCC#N)CC1 ZINC000345444470 389306105 /nfs/dbraw/zinc/30/61/05/389306105.db2.gz ROYRPSFPKYRLLW-UHFFFAOYSA-N 0 1 252.362 0.434 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CN1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000348373722 389374599 /nfs/dbraw/zinc/37/45/99/389374599.db2.gz CTJYMTGKUYIGNN-DLOVCJGASA-N 0 1 290.323 0.464 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000348442587 389377942 /nfs/dbraw/zinc/37/79/42/389377942.db2.gz NAYRHWZPGWXZHT-UHFFFAOYSA-N 0 1 299.290 0.610 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[N@H+](C2CCOCC2)C[C@H]1C ZINC000373028400 389462386 /nfs/dbraw/zinc/46/23/86/389462386.db2.gz INVDUOIMNCPWDF-KGLIPLIRSA-N 0 1 295.427 0.862 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N(C)C[C@H](C)C#N)C1 ZINC000349940921 389465154 /nfs/dbraw/zinc/46/51/54/389465154.db2.gz YHTIJKRLXJLMME-NEPJUHHUSA-N 0 1 268.361 0.508 20 30 CCEDMN C[C@@H]1[C@H](CO)CCCN1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000412072589 389474141 /nfs/dbraw/zinc/47/41/41/389474141.db2.gz HJEBAFJVFYMRNJ-TYNCELHUSA-N 0 1 279.384 0.888 20 30 CCEDMN C=CCNC(=O)CN(C)C(C)(C)CN1CCOCC1 ZINC000412096047 389475607 /nfs/dbraw/zinc/47/56/07/389475607.db2.gz TVFWILLUICNHOO-UHFFFAOYSA-N 0 1 269.389 0.331 20 30 CCEDMN C=C(C)CN(CC)C(=O)NC[C@H]1C[N@@H+](C)CCN1C ZINC000350049316 389481480 /nfs/dbraw/zinc/48/14/80/389481480.db2.gz KOHRLOJDGATOTL-ZDUSSCGKSA-N 0 1 268.405 0.840 20 30 CCEDMN C=C(C)CN(CC)C(=O)NC[C@H]1CN(C)CCN1C ZINC000350049316 389481483 /nfs/dbraw/zinc/48/14/83/389481483.db2.gz KOHRLOJDGATOTL-ZDUSSCGKSA-N 0 1 268.405 0.840 20 30 CCEDMN CC#CCN1CCC[C@@](O)(C(=O)N2CCCC2)C1 ZINC000334397559 389484100 /nfs/dbraw/zinc/48/41/00/389484100.db2.gz LGEJBPIMASSFGW-AWEZNQCLSA-N 0 1 250.342 0.459 20 30 CCEDMN C#CCCCN1CCN(c2ncccc2C(N)=O)CC1 ZINC000270976105 389437686 /nfs/dbraw/zinc/43/76/86/389437686.db2.gz BNSYSDZNIIZYRH-UHFFFAOYSA-N 0 1 272.352 0.716 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000333757672 389442147 /nfs/dbraw/zinc/44/21/47/389442147.db2.gz YYRIZVWNBYOHOI-MJBXVCDLSA-N 0 1 296.411 0.881 20 30 CCEDMN CNC(=O)NC(=O)CCN(CCC#N)CC1CC1 ZINC000174695840 389527742 /nfs/dbraw/zinc/52/77/42/389527742.db2.gz HGUWKCSMWKRZLF-UHFFFAOYSA-N 0 1 252.318 0.458 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(C(C)(C)C(N)=O)CC1 ZINC000351268703 389570717 /nfs/dbraw/zinc/57/07/17/389570717.db2.gz KKJATPLVLZTKNW-GFCCVEGCSA-N 0 1 269.389 0.195 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H](CO)CN2CCCCC2)cn1 ZINC000413795383 389558093 /nfs/dbraw/zinc/55/80/93/389558093.db2.gz BOXGPCUNJADNSU-CQSZACIVSA-N 0 1 288.351 0.530 20 30 CCEDMN C#CCCN1CCN(c2nc3c(cnn3C)c(N)n2)CC1 ZINC000278307457 389559352 /nfs/dbraw/zinc/55/93/52/389559352.db2.gz GZGGIXILWIPGEJ-UHFFFAOYSA-N 0 1 285.355 0.091 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H](CO)CN2CCCCC2)o1 ZINC000413802528 389559834 /nfs/dbraw/zinc/55/98/34/389559834.db2.gz UVEYWEANFGVMFP-NSHDSACASA-N 0 1 277.324 0.728 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN(CC)C2CC2)C1=O ZINC000281548494 389619822 /nfs/dbraw/zinc/61/98/22/389619822.db2.gz YVQSADXCVONBOY-ZDUSSCGKSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H](CCC)c2nn[nH]n2)nn1 ZINC000424703020 389629106 /nfs/dbraw/zinc/62/91/06/389629106.db2.gz GKYISWVIWICTFP-SECBINFHSA-N 0 1 290.331 0.639 20 30 CCEDMN C=CCn1cc(CNC(=O)CN(C)[C@@H]2CCSC2)nn1 ZINC000424908550 389635415 /nfs/dbraw/zinc/63/54/15/389635415.db2.gz PJOFWWRIZFGWRU-GFCCVEGCSA-N 0 1 295.412 0.518 20 30 CCEDMN COC(=O)[C@@H](c1cccc(C#N)c1)N1CCc2n[nH]nc2C1 ZINC000351616920 389635806 /nfs/dbraw/zinc/63/58/06/389635806.db2.gz BMBBQDMYVYJEKF-CQSZACIVSA-N 0 1 297.318 0.949 20 30 CCEDMN O=C1NC(=O)[C@@H]2CN(CC#Cc3ccc(Cl)cc3)C[C@H]12 ZINC000335592462 389577265 /nfs/dbraw/zinc/57/72/65/389577265.db2.gz VRHTVMCGOLDIJX-BETUJISGSA-N 0 1 288.734 0.896 20 30 CCEDMN C=CCOCCCC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000413906187 389579023 /nfs/dbraw/zinc/57/90/23/389579023.db2.gz RLFRFLUPPXNGLF-CYBMUJFWSA-N 0 1 298.383 0.532 20 30 CCEDMN C#CCN1CCCC[C@H]1COC(=O)N[C@H]1CCOC1 ZINC000375805625 389715636 /nfs/dbraw/zinc/71/56/36/389715636.db2.gz MWZBXNCFYMJPEQ-STQMWFEESA-N 0 1 266.341 0.989 20 30 CCEDMN C#C[C@H](NC(=O)CSc1nnc(C)[nH]1)C(C)C ZINC000282174486 389658009 /nfs/dbraw/zinc/65/80/09/389658009.db2.gz RMSKXVCIAZARCV-VIFPVBQESA-N 0 1 252.343 0.979 20 30 CCEDMN C#C[C@H](NC(=O)CSc1nc(C)n[nH]1)C(C)C ZINC000282174486 389658013 /nfs/dbraw/zinc/65/80/13/389658013.db2.gz RMSKXVCIAZARCV-VIFPVBQESA-N 0 1 252.343 0.979 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@H]1CCCN1C1CC1 ZINC000427086718 389679897 /nfs/dbraw/zinc/67/98/97/389679897.db2.gz VHFACDXLECUKPU-WCQYABFASA-N 0 1 252.358 0.914 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@@H]1CCCN1C1CC1 ZINC000427086716 389680310 /nfs/dbraw/zinc/68/03/10/389680310.db2.gz VHFACDXLECUKPU-AAEUAGOBSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCC[C@@H](O)CN1CC[C@@H](CS(C)(=O)=O)C1 ZINC000429388677 389754344 /nfs/dbraw/zinc/75/43/44/389754344.db2.gz WCLJHEZKQJIFPU-VXGBXAGGSA-N 0 1 261.387 0.680 20 30 CCEDMN CN1CCN(C)[C@H](CNCc2nc(C#N)cs2)C1 ZINC000386384404 389765954 /nfs/dbraw/zinc/76/59/54/389765954.db2.gz SFXPBJANSZZAOJ-LLVKDONJSA-N 0 1 265.386 0.350 20 30 CCEDMN COC[C@@H]1CC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000352227462 389775180 /nfs/dbraw/zinc/77/51/80/389775180.db2.gz OBFFAQWPDJTWNT-VXGBXAGGSA-N 0 1 253.346 0.715 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NCCN1CCCC[C@H]1C ZINC000416374246 389737297 /nfs/dbraw/zinc/73/72/97/389737297.db2.gz PQJIQDPAGLMCOZ-GHMZBOCLSA-N 0 1 259.375 0.692 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCc2n[nH]nc2C1 ZINC000429288282 389749452 /nfs/dbraw/zinc/74/94/52/389749452.db2.gz WGNZKNIOXHMRTE-SNVBAGLBSA-N 0 1 276.344 0.171 20 30 CCEDMN CCOC(=O)[C@@H](Cc1ccccn1)NC(=O)C(C)C#N ZINC000430511097 389803302 /nfs/dbraw/zinc/80/33/02/389803302.db2.gz OBRDRAINBBHOJC-ZYHUDNBSSA-N 0 1 275.308 0.832 20 30 CCEDMN CCN(CCC#N)C(=O)CN(CCO)CC1CC1 ZINC000352467088 389815384 /nfs/dbraw/zinc/81/53/84/389815384.db2.gz IOMSTMKBTOUHFB-UHFFFAOYSA-N 0 1 253.346 0.453 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NCC1(CC#N)CC1 ZINC000430690206 389817690 /nfs/dbraw/zinc/81/76/90/389817690.db2.gz GEUITEFSRIRTDU-CYBMUJFWSA-N 0 1 293.415 0.615 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CN(C)CCN1C ZINC000442658350 389853711 /nfs/dbraw/zinc/85/37/11/389853711.db2.gz ZUQVYJVTGXHILT-JSGCOSHPSA-N 0 1 253.390 0.951 20 30 CCEDMN C=CCCC[C@@H](C)NC(=O)C(=O)N1CCN[C@H](C)C1 ZINC000419133715 389887260 /nfs/dbraw/zinc/88/72/60/389887260.db2.gz GBRJTWXLEAMVAP-VXGBXAGGSA-N 0 1 267.373 0.668 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC#Cc2ccccc2)C[C@@H](C)N1 ZINC000419124068 389887270 /nfs/dbraw/zinc/88/72/70/389887270.db2.gz YYSDUYBYCYKPLQ-ZIAGYGMSSA-N 0 1 299.374 0.363 20 30 CCEDMN CNS(=O)(=O)CCNCc1ccc(C#N)c(C)c1 ZINC000444002140 389887640 /nfs/dbraw/zinc/88/76/40/389887640.db2.gz ZUUOCUJADDXVBS-UHFFFAOYSA-N 0 1 267.354 0.506 20 30 CCEDMN N#CCNC(=O)CN1CCSC[C@H]1C1CCC1 ZINC000419356619 389891564 /nfs/dbraw/zinc/89/15/64/389891564.db2.gz GPVLFFLDNPVHGY-NSHDSACASA-N 0 1 253.371 0.844 20 30 CCEDMN CC#CCCNC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000419479945 389892268 /nfs/dbraw/zinc/89/22/68/389892268.db2.gz MKALDKWGZAUZLH-OLZOCXBDSA-N 0 1 267.373 0.496 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)NCCCC#N ZINC000419475841 389892475 /nfs/dbraw/zinc/89/24/75/389892475.db2.gz IJBYDBZNUZRZJI-NWDGAFQWSA-N 0 1 268.361 0.387 20 30 CCEDMN C=CCOC[C@H](NC(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C)C(=O)OC ZINC000435945461 389967586 /nfs/dbraw/zinc/96/75/86/389967586.db2.gz VEJKDVGWCMNMOX-XQQFMLRXSA-N 0 1 298.383 0.720 20 30 CCEDMN C=CCOC[C@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)C(=O)OC ZINC000435945461 389967589 /nfs/dbraw/zinc/96/75/89/389967589.db2.gz VEJKDVGWCMNMOX-XQQFMLRXSA-N 0 1 298.383 0.720 20 30 CCEDMN N#Cc1ccsc1NC(=O)CN[C@H](CO)[C@@H]1CCCO1 ZINC000447916803 389971445 /nfs/dbraw/zinc/97/14/45/389971445.db2.gz PPQNTEMXPRDUGH-MNOVXSKESA-N 0 1 295.364 0.688 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](O)C(C)(C)C1 ZINC000447923534 389972475 /nfs/dbraw/zinc/97/24/75/389972475.db2.gz DLXXGJMKODVSBD-NEPJUHHUSA-N 0 1 267.373 0.697 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@@H](O)C(C)(C)C1 ZINC000446067367 389929829 /nfs/dbraw/zinc/92/98/29/389929829.db2.gz OSFPEWDEWGAYJO-VXGBXAGGSA-N 0 1 267.373 0.840 20 30 CCEDMN COC[C@H](NCC(=O)N[C@@](C)(C#N)C1CC1)[C@@H]1CCCO1 ZINC000434798221 389946980 /nfs/dbraw/zinc/94/69/80/389946980.db2.gz YIPSPKJOOGVAEJ-YDHLFZDLSA-N 0 1 295.383 0.578 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCCC#N)CCN1CC(C)(C)O ZINC000436329552 389974697 /nfs/dbraw/zinc/97/46/97/389974697.db2.gz XVCHYPJMJVFJPD-GFCCVEGCSA-N 0 1 282.388 0.777 20 30 CCEDMN C=C[C@@H](C)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000436772257 389984813 /nfs/dbraw/zinc/98/48/13/389984813.db2.gz DMBFUXNGDMMVCQ-SECBINFHSA-N 0 1 298.364 0.947 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](O)[C@H]1C ZINC000451458049 390002371 /nfs/dbraw/zinc/00/23/71/390002371.db2.gz MQDJMJFNRRBKOP-VXGBXAGGSA-N 0 1 253.346 0.594 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCCNC(=O)C1CC1 ZINC000490876271 390108347 /nfs/dbraw/zinc/10/83/47/390108347.db2.gz SQMGSYOZKPFFCS-CQSZACIVSA-N 0 1 292.379 0.934 20 30 CCEDMN C#CCN(C)CCCNc1ccc2c(n1)CCC2=O ZINC000491303866 390111682 /nfs/dbraw/zinc/11/16/82/390111682.db2.gz CUODNIYZYKALTO-UHFFFAOYSA-N 0 1 257.337 0.999 20 30 CCEDMN C#CCNC(=O)CCN1CCO[C@H](C2CCC2)C1 ZINC000491738285 390116457 /nfs/dbraw/zinc/11/64/57/390116457.db2.gz WPUVPHUTLJFCAP-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H](C)c1cn[nH]c1 ZINC000494637653 390187355 /nfs/dbraw/zinc/18/73/55/390187355.db2.gz MMKXRHNSMAQELU-QMMMGPOBSA-N 0 1 251.290 0.072 20 30 CCEDMN N#Cc1ccc(C=CC(=O)NC2(c3nn[nH]n3)CC2)cc1 ZINC000493362268 390124097 /nfs/dbraw/zinc/12/40/97/390124097.db2.gz BJWUNVBQFQOMRK-WAYWQWQTSA-N 0 1 280.291 0.890 20 30 CCEDMN CCOC(=O)NCCCC(=O)NC1(C#N)CCN(C)CC1 ZINC000493989459 390138260 /nfs/dbraw/zinc/13/82/60/390138260.db2.gz RHWPBIFANAKKSN-UHFFFAOYSA-N 0 1 296.371 0.617 20 30 CCEDMN N#CC1(CS(=O)(=O)N2CC[C@@H](c3nc[nH]n3)C2)CCC1 ZINC000579825581 390211257 /nfs/dbraw/zinc/21/12/57/390211257.db2.gz BHSZNACSCBGZKN-SNVBAGLBSA-N 0 1 295.368 0.618 20 30 CCEDMN CCN1CCN([C@@H]2CCN(C(=O)NC[C@H](C)C#N)C2)CC1 ZINC000541019569 390234226 /nfs/dbraw/zinc/23/42/26/390234226.db2.gz KSPLMVAHAMSDSN-ZIAGYGMSSA-N 0 1 293.415 0.567 20 30 CCEDMN N#Cc1cccc(N2CCN(CC3(CO)COC3)CC2)n1 ZINC000546919348 390364416 /nfs/dbraw/zinc/36/44/16/390364416.db2.gz SIYFAEZMQZCASA-UHFFFAOYSA-N 0 1 288.351 0.084 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](c3nnc[nH]3)C2)C1=O ZINC000644954264 390354633 /nfs/dbraw/zinc/35/46/33/390354633.db2.gz STKIGAVPERRVGP-MNOVXSKESA-N 0 1 261.329 0.381 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)NCc1n[nH]c(C2CC2)n1 ZINC000645523858 390441676 /nfs/dbraw/zinc/44/16/76/390441676.db2.gz QOPLNEPFPROWED-SNVBAGLBSA-N 0 1 277.328 0.256 20 30 CCEDMN C=CCn1cc(C(=O)N2CCCN(CC)CC2)nn1 ZINC000648776835 390407012 /nfs/dbraw/zinc/40/70/12/390407012.db2.gz VQPWPONPVVYJFQ-UHFFFAOYSA-N 0 1 263.345 0.632 20 30 CCEDMN C=CCn1cc(C(=O)N(C)[C@H]2CN3CCC2CC3)nn1 ZINC000648779544 390407720 /nfs/dbraw/zinc/40/77/20/390407720.db2.gz VMEUKYKFNGJMGS-ZDUSSCGKSA-N 0 1 275.356 0.630 20 30 CCEDMN C=CCn1cc(C(=O)NCc2n[nH]c(C(C)(C)C)n2)nn1 ZINC000648928062 390447444 /nfs/dbraw/zinc/44/74/44/390447444.db2.gz XDPWFWGGCSTCOV-UHFFFAOYSA-N 0 1 289.343 0.810 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2ccc(C#N)cn2)[C@H](C)C1 ZINC000565488841 390518986 /nfs/dbraw/zinc/51/89/86/390518986.db2.gz VKIOYNVTEMEXTM-CHWSQXEVSA-N 0 1 274.368 0.840 20 30 CCEDMN CNC(=O)[C@@]1(C(F)(F)F)CCN(CC#CCOC)C1 ZINC000646112037 390603036 /nfs/dbraw/zinc/60/30/36/390603036.db2.gz FEVORNNIPMFXET-LLVKDONJSA-N 0 1 278.274 0.637 20 30 CCEDMN C=C[C@@H](O)C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000646687027 390709114 /nfs/dbraw/zinc/70/91/14/390709114.db2.gz MDIGAUMXGUZJHS-CQSZACIVSA-N 0 1 275.352 0.709 20 30 CCEDMN N#CC1(CNS(=O)(=O)CCN2CCCC2)CC1 ZINC000569799684 390716523 /nfs/dbraw/zinc/71/65/23/390716523.db2.gz OQJCPUAUIPAYJJ-UHFFFAOYSA-N 0 1 257.359 0.305 20 30 CCEDMN C[C@@H]1CN(CCCNc2cc(C#N)ncn2)C[C@@H](C)O1 ZINC000527135002 390693847 /nfs/dbraw/zinc/69/38/47/390693847.db2.gz SNVWQRIJOZXNBD-VXGBXAGGSA-N 0 1 275.356 0.681 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H](O)CC2(O)CCC2)C1 ZINC000528536850 390755461 /nfs/dbraw/zinc/75/54/61/390755461.db2.gz IGSRRHBREOMGHR-YPMHNXCESA-N 0 1 254.330 0.003 20 30 CCEDMN C=C[C@@H](O)C(=O)NCC1(CN2CCOCC2)CCCCC1 ZINC000646900413 390736103 /nfs/dbraw/zinc/73/61/03/390736103.db2.gz RZCPOSWMJPDXTI-CQSZACIVSA-N 0 1 296.411 0.932 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CC2(C#N)CC2)C[C@@]2(CCOC2)O1 ZINC000528484609 390749148 /nfs/dbraw/zinc/74/91/48/390749148.db2.gz ISJGVLBQNYLOIP-UMVBOHGHSA-N 0 1 280.368 0.921 20 30 CCEDMN C=CCCS(=O)(=O)NCCCCN1CCN(C)CC1 ZINC000578107801 390864718 /nfs/dbraw/zinc/86/47/18/390864718.db2.gz MZRQZSQIMCWIDE-UHFFFAOYSA-N 0 1 289.445 0.510 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N(CCC)[C@@H]1CCN(C)C1 ZINC000647567799 390842189 /nfs/dbraw/zinc/84/21/89/390842189.db2.gz AZJNTTYYDQATMQ-GFCCVEGCSA-N 0 1 267.373 0.574 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)Cc1ccno1 ZINC000534099526 390888305 /nfs/dbraw/zinc/88/83/05/390888305.db2.gz PNSGJOBZPPCBOD-UHFFFAOYSA-N 0 1 260.301 0.747 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NCCN(C)C1CC1 ZINC000331798420 533397842 /nfs/dbraw/zinc/39/78/42/533397842.db2.gz RVRXNUVHZONMFJ-JTQLQIEISA-N 0 1 274.390 0.149 20 30 CCEDMN Cc1cc(N2CCN(CCCO)CC2)cc(C#N)n1 ZINC000341839972 130085301 /nfs/dbraw/zinc/08/53/01/130085301.db2.gz APWUJDKJTDQKJU-UHFFFAOYSA-N 0 1 260.341 0.766 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2nccn2CC(F)(F)F)N1 ZINC000779799199 581114051 /nfs/dbraw/zinc/11/40/51/581114051.db2.gz SHUXISSMCAGBME-ZETCQYMHSA-N 0 1 274.250 0.717 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](CNC(=O)CCC)C1 ZINC000245392169 131085410 /nfs/dbraw/zinc/08/54/10/131085410.db2.gz SODUSLLZEUVJNV-ZIAGYGMSSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000575118737 335068544 /nfs/dbraw/zinc/06/85/44/335068544.db2.gz PTPVMEHPDWUGQQ-GUBZILKMSA-N 0 1 265.317 0.756 20 30 CCEDMN N#Cc1cc(F)c(C(=O)NC2(c3nn[nH]n3)CC2)c(F)c1 ZINC000357082639 144058369 /nfs/dbraw/zinc/05/83/69/144058369.db2.gz XQUPOBNBTJYMNS-UHFFFAOYSA-N 0 1 290.233 0.769 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)N[C@@H]2CC2(C)C)[nH]1 ZINC000359127924 144195767 /nfs/dbraw/zinc/19/57/67/144195767.db2.gz BKWUXQURRSULNJ-SNVBAGLBSA-N 0 1 289.339 0.611 20 30 CCEDMN C=CCN1CC[C@H]([N@H+](CC(=O)[O-])CC2CC2)C1=O ZINC000650510014 397726485 /nfs/dbraw/zinc/72/64/85/397726485.db2.gz GQQYGQXDPHAZNX-NSHDSACASA-N 0 1 252.314 0.570 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+](CC(=O)[O-])CC2CC2)C1=O ZINC000650510014 397726488 /nfs/dbraw/zinc/72/64/88/397726488.db2.gz GQQYGQXDPHAZNX-NSHDSACASA-N 0 1 252.314 0.570 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@@H]1CCC(=O)N1 ZINC000651191156 397849895 /nfs/dbraw/zinc/84/98/95/397849895.db2.gz OJXWGNCYBJURMK-ZETCQYMHSA-N 0 1 254.315 0.540 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N(C)CC1CN(C)C1 ZINC000658666459 413186718 /nfs/dbraw/zinc/18/67/18/413186718.db2.gz UMOUGBZWCLKETA-UHFFFAOYSA-N 0 1 253.346 0.041 20 30 CCEDMN C[C@@H](C(=O)NCC(=O)NCC#N)N1CCCCCC1 ZINC000343518398 286773043 /nfs/dbraw/zinc/77/30/43/286773043.db2.gz MGHIBKNECCISFG-NSHDSACASA-N 0 1 266.345 0.007 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(Cc2cc(C#N)n(C)c2)C1 ZINC000284583179 196090363 /nfs/dbraw/zinc/09/03/63/196090363.db2.gz OEVONZGWWDRTMI-OLZOCXBDSA-N 0 1 286.339 0.977 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N[C@@H](Cc1ccccc1)C(C)=O ZINC000662163841 414694880 /nfs/dbraw/zinc/69/48/80/414694880.db2.gz NQGXXFQOXDBTAK-CABCVRRESA-N 0 1 290.363 0.439 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCO[C@H]1CCCC[C@@H]1C ZINC000662166639 414695013 /nfs/dbraw/zinc/69/50/13/414695013.db2.gz AUURTJNZNZPCRX-MJBXVCDLSA-N 0 1 284.400 0.834 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC[C@@H]1COc2ccccc2O1 ZINC000662167450 414695080 /nfs/dbraw/zinc/69/50/80/414695080.db2.gz FVVBIAFIDRGBER-VXGBXAGGSA-N 0 1 292.335 0.079 20 30 CCEDMN C=C[C@H](CO)NCC1=Nc2ccccc2S(=O)(=O)N1 ZINC000662168213 414695311 /nfs/dbraw/zinc/69/53/11/414695311.db2.gz YDQYOTBXBMGTQD-SECBINFHSA-N 0 1 281.337 0.145 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(F)c(C#N)c1)N(C)C ZINC000071140583 176039038 /nfs/dbraw/zinc/03/90/38/176039038.db2.gz XNHSBQSWCJSULZ-VIFPVBQESA-N 0 1 285.344 0.926 20 30 CCEDMN C[C@@H]1CCCN(CC(=O)N[C@@](C)(C#N)C2CC2)[C@H]1CO ZINC000305151738 287345908 /nfs/dbraw/zinc/34/59/08/287345908.db2.gz AIBTVMWLCZGKFW-ZLDLUXBVSA-N 0 1 279.384 0.888 20 30 CCEDMN O=C(NCc1nnc[nH]1)[C@@H]1COCCN1CC(F)(F)F ZINC000329258039 227389427 /nfs/dbraw/zinc/38/94/27/227389427.db2.gz YGGDTFGJVSXAFC-ZETCQYMHSA-N 0 1 293.249 0.524 20 30 CCEDMN O=C(CN1CCOCC1)N[C@@H]1CCc2[nH]cnc2C1 ZINC000329165291 227334603 /nfs/dbraw/zinc/33/46/03/227334603.db2.gz HJRHJAIUDGPSQF-SNVBAGLBSA-N 0 1 264.329 0.556 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N[C@@H]2CC[S@@](=O)C2)n[nH]1 ZINC000330260608 228084133 /nfs/dbraw/zinc/08/41/33/228084133.db2.gz OKDBXLFAZYIYBL-STMWZDKPSA-N 0 1 284.385 0.674 20 30 CCEDMN C#CCN(C)CCCNc1nc2c(cnn2C)c(=O)[nH]1 ZINC000491255369 420312638 /nfs/dbraw/zinc/31/26/38/420312638.db2.gz WTMQQVGMRREEPK-UHFFFAOYSA-N 0 1 274.328 0.436 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC([O-])=[NH+]C1CCOCC1)CC2 ZINC000328831239 545019673 /nfs/dbraw/zinc/01/96/73/545019673.db2.gz UXKNDTWZCOYFBJ-NSHDSACASA-N 0 1 279.344 0.584 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)NC1CCOCC1)CC2 ZINC000328831239 545019675 /nfs/dbraw/zinc/01/96/75/545019675.db2.gz UXKNDTWZCOYFBJ-NSHDSACASA-N 0 1 279.344 0.584 20 30 CCEDMN CCCn1nccc1NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000329385044 545022324 /nfs/dbraw/zinc/02/23/24/545022324.db2.gz RWBYOQFFRBTBMR-GFCCVEGCSA-N 0 1 294.403 0.865 20 30 CCEDMN CS(=O)(=O)N1CCC[C@H](CN2CCC(C#N)CC2)C1 ZINC000093697273 260302357 /nfs/dbraw/zinc/30/23/57/260302357.db2.gz AOKCNDJQCQUUIQ-CYBMUJFWSA-N 0 1 285.413 0.894 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)Cc2cn(C)nn2)CC1 ZINC000490934888 261251659 /nfs/dbraw/zinc/25/16/59/261251659.db2.gz LGBOKXYMRJJYQJ-UHFFFAOYSA-N 0 1 289.383 0.509 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@H]1C(=O)NCC[C@@H]1C ZINC000249473732 261253765 /nfs/dbraw/zinc/25/37/65/261253765.db2.gz HISBVMSGRJRGCP-MDZLAQPJSA-N 0 1 280.372 0.109 20 30 CCEDMN CC[C@](C)(NCC(=O)NC1(C#N)CCCCC1)C(N)=O ZINC000177137712 261334694 /nfs/dbraw/zinc/33/46/94/261334694.db2.gz DKVCQNAKPODHPX-ZDUSSCGKSA-N 0 1 280.372 0.573 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@]2(C1)c1ccccc1NC2=O ZINC000364436769 262239467 /nfs/dbraw/zinc/23/94/67/262239467.db2.gz ZCEVOIWKBKLCFF-SJCJKPOMSA-N 0 1 297.358 0.720 20 30 CCEDMN C=C(C)CN(CC)C(=O)Cn1nc(SC)[nH]c1=O ZINC000188174167 262251260 /nfs/dbraw/zinc/25/12/60/262251260.db2.gz SPHMWRFNIWQOER-UHFFFAOYSA-N 0 1 270.358 0.718 20 30 CCEDMN C=C[C@](C)(O)CC(=O)Nc1ccn(-c2ccncc2)n1 ZINC000354481850 262266031 /nfs/dbraw/zinc/26/60/31/262266031.db2.gz YXEVIKOLNXDBHW-AWEZNQCLSA-N 0 1 272.308 0.955 20 30 CCEDMN N#C[C@@H]1CSCCN1C(=O)CCCCc1cn[nH]n1 ZINC000274468612 263024510 /nfs/dbraw/zinc/02/45/10/263024510.db2.gz MQLLBBKEALQSAJ-LLVKDONJSA-N 0 1 279.369 0.985 20 30 CCEDMN CO/N=C/C(=O)NCc1ccc(N2CCN(C)CC2)nc1 ZINC000283781466 263084085 /nfs/dbraw/zinc/08/40/85/263084085.db2.gz UVXLFIYJUCQOSA-GZTJUZNOSA-N 0 1 291.355 0.082 20 30 CCEDMN Cc1nsc(NC(=O)NC[C@H]2COCCN2)c1C#N ZINC000528689136 269930290 /nfs/dbraw/zinc/93/02/90/269930290.db2.gz HVHRUYWHKKSIHX-QMMMGPOBSA-N 0 1 281.341 0.433 20 30 CCEDMN N#CCN1CCN(C(=O)c2cc(C3CC3)[nH]n2)CC1 ZINC000299600444 263248987 /nfs/dbraw/zinc/24/89/87/263248987.db2.gz GAJNWXHUPINPGN-UHFFFAOYSA-N 0 1 259.313 0.568 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000340954821 263313285 /nfs/dbraw/zinc/31/32/85/263313285.db2.gz GIWGTLAEXMLTGR-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN C=CCOc1cccnc1C(=O)NCCc1nc[nH]n1 ZINC000342113654 263388970 /nfs/dbraw/zinc/38/89/70/263388970.db2.gz RBVBKWSQJMSMAJ-UHFFFAOYSA-N 0 1 273.296 0.737 20 30 CCEDMN COC(=O)[C@@]12CN(CC(=O)NCC#N)C[C@H]1CCCC2 ZINC000342147865 263399458 /nfs/dbraw/zinc/39/94/58/263399458.db2.gz GCCJMVICMLKASF-RISCZKNCSA-N 0 1 279.340 0.291 20 30 CCEDMN COC[C@H](C)CNC(=O)NC[C@H]1CN(C)CCN1C ZINC000330690604 264003739 /nfs/dbraw/zinc/00/37/39/264003739.db2.gz FUGLKQHUBVKXDB-NEPJUHHUSA-N 0 1 272.393 0.018 20 30 CCEDMN CN(Cc1ccncc1)C(=O)NC[C@H]1CN(C)CCN1C ZINC000330732425 264037528 /nfs/dbraw/zinc/03/75/28/264037528.db2.gz JMBHUUQKLSPXHL-AWEZNQCLSA-N 0 1 291.399 0.673 20 30 CCEDMN C[C@H](O)C(C)(C)NC(=O)c1cccn2c(O)nnc12 ZINC000330792231 264039653 /nfs/dbraw/zinc/03/96/53/264039653.db2.gz BWRLPGKCCYXQAV-ZETCQYMHSA-N 0 1 264.285 0.899 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2cc(OC)no2)C1 ZINC000330907391 264074751 /nfs/dbraw/zinc/07/47/51/264074751.db2.gz SWKNMRJKFIFVTQ-SECBINFHSA-N 0 1 269.301 0.709 20 30 CCEDMN CN(C)C(=O)CCNC(=O)N1CCC[C@H](n2cncn2)C1 ZINC000330936976 264081810 /nfs/dbraw/zinc/08/18/10/264081810.db2.gz TVELGVOQBKFZSG-NSHDSACASA-N 0 1 294.359 0.307 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CC[C@H](C)[C@H](OC)C2)C1 ZINC000330938749 264082134 /nfs/dbraw/zinc/08/21/34/264082134.db2.gz CBRCAQQHBIRIRW-MELADBBJSA-N 0 1 299.415 0.978 20 30 CCEDMN CNC(=O)N[C@H]1CCN(Cc2ccc(C(N)=O)cc2)C1 ZINC000330946935 264085283 /nfs/dbraw/zinc/08/52/83/264085283.db2.gz LHZXKEVHOXXCQD-LBPRGKRZSA-N 0 1 276.340 0.493 20 30 CCEDMN CO[C@@H]1CN(C(=O)NCc2cn[nH]c2C)C[C@@H]1OC ZINC000331131537 264148804 /nfs/dbraw/zinc/14/88/04/264148804.db2.gz TYBQDLPYUBKIPO-PHIMTYICSA-N 0 1 268.317 0.478 20 30 CCEDMN C[C@H](CC[NH+]=C([O-])N[C@@H]1CCc2n[nH]cc2C1)[S@@](C)=O ZINC000331132252 264149244 /nfs/dbraw/zinc/14/92/44/264149244.db2.gz SZFIGUGNWZZSBC-GYKIULCDSA-N 0 1 298.412 0.928 20 30 CCEDMN C[C@H](CCNC(=O)N[C@@H]1CCc2n[nH]cc2C1)[S@@](C)=O ZINC000331132252 264149248 /nfs/dbraw/zinc/14/92/48/264149248.db2.gz SZFIGUGNWZZSBC-GYKIULCDSA-N 0 1 298.412 0.928 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)CC1(CO)CC1 ZINC000190025435 264345799 /nfs/dbraw/zinc/34/57/99/264345799.db2.gz VHWWUPWKCHKCRK-CYBMUJFWSA-N 0 1 267.373 0.745 20 30 CCEDMN C=CCCCCNC(=O)C(=O)NCCN(C)CCOC ZINC000285841944 264569686 /nfs/dbraw/zinc/56/96/86/264569686.db2.gz GRZGHGOQOQWFLY-UHFFFAOYSA-N 0 1 285.388 0.153 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000356799825 266177257 /nfs/dbraw/zinc/17/72/57/266177257.db2.gz YMEAJEAWMSLJGF-UHFFFAOYSA-N 0 1 279.252 0.332 20 30 CCEDMN C=C[C@H](CO)NC(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000356861782 266196744 /nfs/dbraw/zinc/19/67/44/266196744.db2.gz OBKGZDTXRNSENV-SNVBAGLBSA-N 0 1 275.312 0.570 20 30 CCEDMN COCCOC1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000116885957 267186650 /nfs/dbraw/zinc/18/66/50/267186650.db2.gz MWHHHDDUIMMMCE-ZDUSSCGKSA-N 0 1 297.399 0.874 20 30 CCEDMN C#CC[C@H](NCC(=O)NC(=O)NC)c1ccccc1 ZINC000271663622 276790667 /nfs/dbraw/zinc/79/06/67/276790667.db2.gz DWYYIBXXJYQYHH-LBPRGKRZSA-N 0 1 259.309 0.796 20 30 CCEDMN COc1ccc(=NC(=O)N[C@@H]2CC[N@H+]3CCCC[C@H]23)[n-]n1 ZINC000329131364 295064006 /nfs/dbraw/zinc/06/40/06/295064006.db2.gz OTQHYFBITJHGGA-GHMZBOCLSA-N 0 1 291.355 0.860 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@](CO)(OC)C1 ZINC000401812727 545090651 /nfs/dbraw/zinc/09/06/51/545090651.db2.gz VHUKFVQXIHKMOW-YPMHNXCESA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCN1CC[C@@H](N2CCSC[C@H](C(=O)OC)C2)C1=O ZINC000412084487 328103156 /nfs/dbraw/zinc/10/31/56/328103156.db2.gz TUPNYQCQRAIKBJ-VXGBXAGGSA-N 0 1 298.408 0.611 20 30 CCEDMN CCN1CCN(c2ccc(CNC(=O)CC#N)cn2)CC1 ZINC000331053473 328476349 /nfs/dbraw/zinc/47/63/49/328476349.db2.gz JMBFQIXSHSGJAA-UHFFFAOYSA-N 0 1 287.367 0.753 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000186083762 329337308 /nfs/dbraw/zinc/33/73/08/329337308.db2.gz SGQGPOMQZVWKBT-KGLIPLIRSA-N 0 1 279.384 0.762 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@@H]1C(=O)NCC[C@@H]1C ZINC000193602258 328524504 /nfs/dbraw/zinc/52/45/04/328524504.db2.gz UXJAPPPBDZKYAY-AAEUAGOBSA-N 0 1 267.373 0.525 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@@](C)(C(N)=O)C(C)C ZINC000347707048 328576622 /nfs/dbraw/zinc/57/66/22/328576622.db2.gz PAGPODHAEFWCRT-UONOGXRCSA-N 0 1 282.388 0.530 20 30 CCEDMN C[C@@H]1C[C@H](O)CN1CC(=O)N(CCC#N)CCC#N ZINC000340928100 327021836 /nfs/dbraw/zinc/02/18/36/327021836.db2.gz MBBIDKUFWHWGCM-NEPJUHHUSA-N 0 1 264.329 0.097 20 30 CCEDMN CC#CCN(C)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000458427576 331251138 /nfs/dbraw/zinc/25/11/38/331251138.db2.gz LKMAXCDPTIMKCG-GFCCVEGCSA-N 0 1 253.346 0.372 20 30 CCEDMN CO[C@H]1C[C@@H](N(C)CC(=O)NC2(C#N)CCC2)C1 ZINC000560468779 327311672 /nfs/dbraw/zinc/31/16/72/327311672.db2.gz JNWNKOWMYQILQQ-PHIMTYICSA-N 0 1 251.330 0.658 20 30 CCEDMN COc1cccc(OC)c1OC[C@@H](O)CNCC#CCO ZINC000578989192 327354523 /nfs/dbraw/zinc/35/45/23/327354523.db2.gz YTZUCNKEYOHGIE-LBPRGKRZSA-N 0 1 295.335 0.029 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN2CC[C@H](C)C2)C1=O ZINC000566459219 327376595 /nfs/dbraw/zinc/37/65/95/327376595.db2.gz HJUIJGDGALYGRO-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)N[C@@H]1CC[N@H+](CCOC)C1 ZINC000575219469 327394670 /nfs/dbraw/zinc/39/46/70/327394670.db2.gz XSLRNHUXOJWCTI-MCIONIFRSA-N 0 1 297.399 0.740 20 30 CCEDMN COC(=O)[C@]1(C)CCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000177468388 329184716 /nfs/dbraw/zinc/18/47/16/329184716.db2.gz HRQGGKVSAQLIIU-BXUZGUMPSA-N 0 1 281.356 0.632 20 30 CCEDMN C=C(C)CN(C)CC(=O)NCCCC(=O)OCC ZINC000181313471 329231132 /nfs/dbraw/zinc/23/11/32/329231132.db2.gz HDTIKKJATCEASE-UHFFFAOYSA-N 0 1 256.346 0.954 20 30 CCEDMN CCNC(=O)NC(=O)[C@@H](C)N1CCC(CC#N)CC1 ZINC000397338097 329350049 /nfs/dbraw/zinc/35/00/49/329350049.db2.gz BUKDHWHRMQTSBY-SNVBAGLBSA-N 0 1 266.345 0.846 20 30 CCEDMN CCNC(=O)NC(=O)[C@H](C)N1CCC(CC#N)CC1 ZINC000397338095 329350078 /nfs/dbraw/zinc/35/00/78/329350078.db2.gz BUKDHWHRMQTSBY-JTQLQIEISA-N 0 1 266.345 0.846 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000262693405 330027584 /nfs/dbraw/zinc/02/75/84/330027584.db2.gz WOBTXHYYHOXDIC-ZJUUUORDSA-N 0 1 283.328 0.183 20 30 CCEDMN C=CCCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000271150160 330078061 /nfs/dbraw/zinc/07/80/61/330078061.db2.gz QFMJRKRLHZDNBJ-NSHDSACASA-N 0 1 265.313 0.966 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)C(=O)NCC(C)(C)CC#N)CCCN1C ZINC000422424776 330146324 /nfs/dbraw/zinc/14/63/24/330146324.db2.gz KUWMYMBTLSMPHJ-RYUDHWBXSA-N 0 1 294.399 0.641 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](O)CC2(O)CCC2)C1=O ZINC000528498253 330300220 /nfs/dbraw/zinc/30/02/20/330300220.db2.gz VOJRXZBFEQLDAL-QWHCGFSZSA-N 0 1 282.384 0.371 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)C[C@H]1COCCN1 ZINC000424212261 330328666 /nfs/dbraw/zinc/32/86/66/330328666.db2.gz ODNIZEZGLVOUJD-SRVKXCTJSA-N 0 1 254.330 0.072 20 30 CCEDMN CN(CCC#N)C(=O)CCN(C1CC1)C1COC1 ZINC000451123103 331016603 /nfs/dbraw/zinc/01/66/03/331016603.db2.gz CAPVFMIYRVAIHD-UHFFFAOYSA-N 0 1 251.330 0.612 20 30 CCEDMN CS[C@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000451324053 331024433 /nfs/dbraw/zinc/02/44/33/331024433.db2.gz KMRILHZOOZWMLK-JTQLQIEISA-N 0 1 253.371 0.986 20 30 CCEDMN CO[C@H]1C[C@@H](CN(C)C(=O)[C@]2(C#N)C[C@H]2C)N(C)C1 ZINC000451607343 331036453 /nfs/dbraw/zinc/03/64/53/331036453.db2.gz KNOWKBOBWXCECP-UHXUPSOCSA-N 0 1 265.357 0.714 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@@H]1COC[C@H]1OCC ZINC000451944596 331047929 /nfs/dbraw/zinc/04/79/29/331047929.db2.gz PKYKAKXNBUYLSB-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C=CC(C)(C)CNC(=O)NCCC[N@H+]1CCC[C@H]1C(N)=O ZINC000454475561 331147053 /nfs/dbraw/zinc/14/70/53/331147053.db2.gz FWYGYPPYGCKESZ-LBPRGKRZSA-N 0 1 296.415 0.838 20 30 CCEDMN C=CC(C)(C)CNC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000454475561 331147054 /nfs/dbraw/zinc/14/70/54/331147054.db2.gz FWYGYPPYGCKESZ-LBPRGKRZSA-N 0 1 296.415 0.838 20 30 CCEDMN C=CCC[C@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])C(=O)OCC ZINC000456606497 331196540 /nfs/dbraw/zinc/19/65/40/331196540.db2.gz LQDMLPXTAJBYCT-QMMMGPOBSA-N 0 1 296.283 0.946 20 30 CCEDMN CC(C)(CC#N)CNC(=O)NCCN1CCCOCC1 ZINC000458848588 331261723 /nfs/dbraw/zinc/26/17/23/331261723.db2.gz RRIALRXKYYQODX-UHFFFAOYSA-N 0 1 282.388 0.948 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)CC(=O)OCC)CC1 ZINC000490656061 332100582 /nfs/dbraw/zinc/10/05/82/332100582.db2.gz HCYRZJWQJQOHEI-UHFFFAOYSA-N 0 1 280.368 0.743 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](COC)C2)CC1 ZINC000490657367 332100819 /nfs/dbraw/zinc/10/08/19/332100819.db2.gz VGKYIGODGWCEAK-ZDUSSCGKSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CCN(CC#CC)C(=O)c1n[nH]c(C)c1[N+](=O)[O-] ZINC000490698243 332105849 /nfs/dbraw/zinc/10/58/49/332105849.db2.gz MWRMFPIWJZZJPE-UHFFFAOYSA-N 0 1 260.253 0.725 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CCN(C)C[C@H]2C)nc1 ZINC000490720382 332109129 /nfs/dbraw/zinc/10/91/29/332109129.db2.gz RCLGWWFQNWVQLO-GFCCVEGCSA-N 0 1 272.352 0.585 20 30 CCEDMN C#CCN1CCC(C(=O)N=c2ccn(CC(F)F)[nH]2)CC1 ZINC000490741632 332111829 /nfs/dbraw/zinc/11/18/29/332111829.db2.gz UGSJQUAXCQTPLG-UHFFFAOYSA-N 0 1 296.321 0.854 20 30 CCEDMN C#C[C@H](CCC)NS(=O)(=O)CCN1CCCC1 ZINC000490742446 332112367 /nfs/dbraw/zinc/11/23/67/332112367.db2.gz XWSMLICGHZGJIL-GFCCVEGCSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCS[C@H](C)[C@H]2C)CC1 ZINC000490760467 332112925 /nfs/dbraw/zinc/11/29/25/332112925.db2.gz LVIUOUBJLACKQG-ZIAGYGMSSA-N 0 1 295.452 0.590 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@H]2C[C@@H](C)O)CC1 ZINC000490951076 332132664 /nfs/dbraw/zinc/13/26/64/332132664.db2.gz VYNFDKAEUXDSBY-UKRRQHHQSA-N 0 1 294.395 0.330 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@@H]2C[C@H](C)O)CC1 ZINC000490951079 332132752 /nfs/dbraw/zinc/13/27/52/332132752.db2.gz VYNFDKAEUXDSBY-ZFWWWQNUSA-N 0 1 294.395 0.330 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC[C@](C)(OC)C2)CC1 ZINC000491044142 332142370 /nfs/dbraw/zinc/14/23/70/332142370.db2.gz GEOXKHLBHFNDHT-INIZCTEOSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CCNC(=O)CCN[C@H](C)c1ccncc1OC ZINC000491119040 332151822 /nfs/dbraw/zinc/15/18/22/332151822.db2.gz GQTWFJWOVSHYFV-LLVKDONJSA-N 0 1 261.325 0.880 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCO)CC2CC2)CC1 ZINC000491164853 332159313 /nfs/dbraw/zinc/15/93/13/332159313.db2.gz GHMQNKMFFIRHBG-UHFFFAOYSA-N 0 1 264.369 0.563 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)c1ccnc2c1nnn2C ZINC000491201133 332164502 /nfs/dbraw/zinc/16/45/02/332164502.db2.gz FPLLZRHQUBWKRB-LLVKDONJSA-N 0 1 299.334 0.618 20 30 CCEDMN C#CCN1CCC(C(=O)NOCC(C)(C)O)CC1 ZINC000491314178 332188158 /nfs/dbraw/zinc/18/81/58/332188158.db2.gz DMOCGCCVIQXJSZ-UHFFFAOYSA-N 0 1 254.330 0.150 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)CCOCCO)CC1 ZINC000491483810 332219545 /nfs/dbraw/zinc/21/95/45/332219545.db2.gz FKLAWHMADZGVMJ-UHFFFAOYSA-N 0 1 282.384 0.189 20 30 CCEDMN C#CCNC(=O)C1CCN(CN2C(=O)CCC2(C)C)CC1 ZINC000491619384 332245531 /nfs/dbraw/zinc/24/55/31/332245531.db2.gz DQGYFGREPJVDSC-UHFFFAOYSA-N 0 1 291.395 0.806 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000491640400 332260316 /nfs/dbraw/zinc/26/03/16/332260316.db2.gz OLCSGZQJNVKJAZ-GHMZBOCLSA-N 0 1 274.324 0.311 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC(CCC#N)CC2)C1=O ZINC000491705778 332298997 /nfs/dbraw/zinc/29/89/97/332298997.db2.gz DABDLCCGAQTKCM-CYBMUJFWSA-N 0 1 273.336 0.763 20 30 CCEDMN C#CCN1CCC(C(=O)OCC2(C(=O)OC)CC2)CC1 ZINC000491724519 332308973 /nfs/dbraw/zinc/30/89/73/332308973.db2.gz FQHBQAGMIJHAOG-UHFFFAOYSA-N 0 1 279.336 0.828 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)COC[C@@H]1CCOC1 ZINC000491726796 332310241 /nfs/dbraw/zinc/31/02/41/332310241.db2.gz GJXRENBWIXBACL-ZIAGYGMSSA-N 0 1 281.352 0.680 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1ccc(F)cc1 ZINC000491773560 332339602 /nfs/dbraw/zinc/33/96/02/332339602.db2.gz VJJQJBCHZITNMW-NEPJUHHUSA-N 0 1 253.273 0.149 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(C(C)(C)C(=O)OC)CC1 ZINC000494420032 332472817 /nfs/dbraw/zinc/47/28/17/332472817.db2.gz TYFUHDCTRHWZSM-CYBMUJFWSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCCCCN1CCN(CC(=O)NCCOC)CC1 ZINC000516907904 333086053 /nfs/dbraw/zinc/08/60/53/333086053.db2.gz SFOYVIHMSIXELX-UHFFFAOYSA-N 0 1 283.416 0.723 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H](O)C(F)(F)F)CC1 ZINC000186134446 333155505 /nfs/dbraw/zinc/15/55/05/333155505.db2.gz HNCBHPKSFBHWAR-LLVKDONJSA-N 0 1 292.301 0.713 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CCN(CCC)CC2)nc1 ZINC000193296620 333247378 /nfs/dbraw/zinc/24/73/78/333247378.db2.gz GQFLZSLZLPQHSF-UHFFFAOYSA-N 0 1 286.379 0.977 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC[C@H](O)CC1 ZINC000339783559 335148367 /nfs/dbraw/zinc/14/83/67/335148367.db2.gz ODVJEBJGBZGKPS-ZDUSSCGKSA-N 0 1 278.356 0.489 20 30 CCEDMN CCCNC(=O)C1(NCC(=O)NCC#N)CCCCC1 ZINC000078656001 334138400 /nfs/dbraw/zinc/13/84/00/334138400.db2.gz VJPJPFRYHIXHJK-UHFFFAOYSA-N 0 1 280.372 0.445 20 30 CCEDMN N#CCCN(CCCN1C(=O)CNC1=O)CC1CC1 ZINC000081044308 334177082 /nfs/dbraw/zinc/17/70/82/334177082.db2.gz SWEXJZJPRMNYNN-UHFFFAOYSA-N 0 1 264.329 0.554 20 30 CCEDMN CCN(CCC#N)C(=O)CN1[C@@H](C)CCC[C@H]1CO ZINC000246499134 334260379 /nfs/dbraw/zinc/26/03/79/334260379.db2.gz HHWXGYVEPPSEOH-STQMWFEESA-N 0 1 267.373 0.984 20 30 CCEDMN N#CCCC1CCN(CC(=O)NC(=O)NC2CC2)CC1 ZINC000566174469 334631950 /nfs/dbraw/zinc/63/19/50/334631950.db2.gz ZUYNNDJKRCKTJZ-UHFFFAOYSA-N 0 1 278.356 0.990 20 30 CCEDMN C[C@]1(C[N-]S(=O)(=O)c2ccc(C#N)o2)CCC[NH2+]C1 ZINC000576958719 335270138 /nfs/dbraw/zinc/27/01/38/335270138.db2.gz NCDUOWLMVLKCSI-LBPRGKRZSA-N 0 1 283.353 0.819 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1c1cc(C#N)ncn1 ZINC000577459611 335354586 /nfs/dbraw/zinc/35/45/86/335354586.db2.gz ROPIYMPMHZHZGP-NSHDSACASA-N 0 1 275.356 0.630 20 30 CCEDMN N#Cc1c2c(cnc1NCCN1CCC(O)CC1)CCC2 ZINC000579346423 335736588 /nfs/dbraw/zinc/73/65/88/335736588.db2.gz YRWAIRMIJDMNLS-UHFFFAOYSA-N 0 1 286.379 0.732 20 30 CCEDMN C[C@H](NC(=O)[C@H]1CCc2[nH]nnc2C1)C(=O)N1CCCC1 ZINC000329145992 534280870 /nfs/dbraw/zinc/28/08/70/534280870.db2.gz XAQCBTIQRWLDEQ-UWVGGRQHSA-N 0 1 291.355 0.877 20 30 CCEDMN C[C@H](NC(=O)[C@H]1CCc2nn[nH]c2C1)C(=O)N1CCCC1 ZINC000329145992 534280872 /nfs/dbraw/zinc/28/08/72/534280872.db2.gz XAQCBTIQRWLDEQ-UWVGGRQHSA-N 0 1 291.355 0.877 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1cscc1C#N ZINC000340026227 524618796 /nfs/dbraw/zinc/61/87/96/524618796.db2.gz CAQXMQUCBRRXMO-NSHDSACASA-N 0 1 292.408 0.985 20 30 CCEDMN C=CCCNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000665945778 545110340 /nfs/dbraw/zinc/11/03/40/545110340.db2.gz KIXDGOZEXIHZPK-UHFFFAOYSA-N 0 1 255.362 0.661 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H](C)c1ccnc(OC)c1 ZINC000347523091 526595884 /nfs/dbraw/zinc/59/58/84/526595884.db2.gz BIXIYXSUPDEIBQ-JTQLQIEISA-N 0 1 292.339 0.753 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](C)c1ccnc(OC)c1 ZINC000347523092 526596994 /nfs/dbraw/zinc/59/69/94/526596994.db2.gz BIXIYXSUPDEIBQ-SNVBAGLBSA-N 0 1 292.339 0.753 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](N2CCOCC2)[C@H]1C ZINC000351937220 526634566 /nfs/dbraw/zinc/63/45/66/526634566.db2.gz NUYYRBXQKIVVFX-HZSPNIEDSA-N 0 1 281.400 0.472 20 30 CCEDMN C=C[C@@](C)(O)C(=O)Nc1ccc(N2CCN(C)CC2)cn1 ZINC000666560961 545125642 /nfs/dbraw/zinc/12/56/42/545125642.db2.gz ZAMBCODMECUXMU-OAHLLOKOSA-N 0 1 290.367 0.709 20 30 CCEDMN C=CCC[C@@H](O)CN1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000352570052 527455504 /nfs/dbraw/zinc/45/55/04/527455504.db2.gz BFKVAMIBIUYXIG-OLZOCXBDSA-N 0 1 290.429 0.669 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2nccn(C)c2=O)CC1 ZINC000351472779 527457820 /nfs/dbraw/zinc/45/78/20/527457820.db2.gz QEQXBIZZYJZQOV-CYBMUJFWSA-N 0 1 292.383 0.229 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)N1CCNC[C@@H]1C ZINC000333662086 527470061 /nfs/dbraw/zinc/47/00/61/527470061.db2.gz XCCKBTROIRYYJQ-TUAOUCFPSA-N 0 1 253.346 0.723 20 30 CCEDMN C=CCC[C@H](O)CN1CCN([C@@H](CC)C(=O)OC)CC1 ZINC000352661629 527491125 /nfs/dbraw/zinc/49/11/25/527491125.db2.gz XWJQZFNUUKHSDV-KBPBESRZSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000668284707 545170989 /nfs/dbraw/zinc/17/09/89/545170989.db2.gz UTXOWFLTCHBPGD-HNNXBMFYSA-N 0 1 291.351 0.676 20 30 CCEDMN C=C[C@H](CO)NCc1ccc(N(C)CCOC)nc1 ZINC000352454183 527592655 /nfs/dbraw/zinc/59/26/55/527592655.db2.gz SKUVEKICJCLFJC-CYBMUJFWSA-N 0 1 265.357 0.801 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1[C@@H](CO)CC[C@H]1CO ZINC000347307791 527613463 /nfs/dbraw/zinc/61/34/63/527613463.db2.gz NLDGUKQEPLROLG-BETUJISGSA-N 0 1 268.357 0.005 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1[C@H](CO)CC[C@H]1CO ZINC000347307794 527613899 /nfs/dbraw/zinc/61/38/99/527613899.db2.gz NLDGUKQEPLROLG-STQMWFEESA-N 0 1 268.357 0.005 20 30 CCEDMN CCN(CC(=O)N(CC)C[C@@H](C)C#N)C[C@@H](C)O ZINC000352205198 527894458 /nfs/dbraw/zinc/89/44/58/527894458.db2.gz ZKTUUDIWVRUYBM-NWDGAFQWSA-N 0 1 255.362 0.697 20 30 CCEDMN CC1CCN(CCNC(=O)N[C@@H]2CC[S@](=O)C2)CC1 ZINC000329983919 528232477 /nfs/dbraw/zinc/23/24/77/528232477.db2.gz BQKWLVWNKKMMJX-BLVKFPJESA-N 0 1 287.429 0.743 20 30 CCEDMN CCN(CCOC)CC(=O)N(CC)C[C@H](C)C#N ZINC000353460400 528563995 /nfs/dbraw/zinc/56/39/95/528563995.db2.gz BIHTXBZDKGTXTD-GFCCVEGCSA-N 0 1 255.362 0.963 20 30 CCEDMN CN(CC(=O)NCc1ccc(C(=O)NO)cc1)C1CC1 ZINC000671995727 545261451 /nfs/dbraw/zinc/26/14/51/545261451.db2.gz JQZDLHBCUISVPY-UHFFFAOYSA-N 0 1 277.324 0.516 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000673011027 545278035 /nfs/dbraw/zinc/27/80/35/545278035.db2.gz SLPHGFXFBKJCQF-QWHCGFSZSA-N 0 1 285.388 0.286 20 30 CCEDMN CCN1CCC[C@H](NC(=O)N[C@@H]2CC[S@@](=O)C2)C1 ZINC000330025000 529081990 /nfs/dbraw/zinc/08/19/90/529081990.db2.gz KEQMSJRJDMSZID-CSJMPQKXSA-N 0 1 273.402 0.495 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)[C@H](C)C1 ZINC000352661500 529138666 /nfs/dbraw/zinc/13/86/66/529138666.db2.gz XSDKZIMZXKHJPZ-DOMZBBRYSA-N 0 1 278.400 0.821 20 30 CCEDMN C=CCn1c(=O)[nH]nc1SCC(=O)Nc1ccon1 ZINC000675297304 545324331 /nfs/dbraw/zinc/32/43/31/545324331.db2.gz LFCZYPLRUYQGEV-UHFFFAOYSA-N 0 1 281.297 0.889 20 30 CCEDMN COCC#CCSc1nc2n[nH]cc2c(=O)[nH]1 ZINC000678824295 545398298 /nfs/dbraw/zinc/39/82/98/545398298.db2.gz XQCJGMDLOLXHTR-UHFFFAOYSA-N 0 1 250.283 0.800 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1cccc(C#N)c1 ZINC000318268395 536697449 /nfs/dbraw/zinc/69/74/49/536697449.db2.gz DFHZXIPPZSODNH-ZDUSSCGKSA-N 0 1 298.302 0.795 20 30 CCEDMN COc1cc(CN2CCC[C@@](O)(CO)C2)ccc1C#N ZINC000313692168 536754039 /nfs/dbraw/zinc/75/40/39/536754039.db2.gz WCBQMSADDQRWTN-HNNXBMFYSA-N 0 1 276.336 0.886 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000680494901 545430325 /nfs/dbraw/zinc/43/03/25/545430325.db2.gz HHBINMALNYQKEZ-ZDUSSCGKSA-N 0 1 298.431 0.249 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)C(=O)NCC2CC2)c1 ZINC000788522085 581114979 /nfs/dbraw/zinc/11/49/79/581114979.db2.gz VNTLORZKUCNWFM-UHFFFAOYSA-N 0 1 259.265 0.729 20 30 CCEDMN Cn1c(N)c(C=NNc2ccccc2)c(=O)n(C)c1=O ZINC000254367820 649888102 /nfs/dbraw/zinc/88/81/02/649888102.db2.gz UAAAKQZNOOGLQY-UHFFFAOYSA-N 0 1 273.296 0.112 20 30 CCEDMN C=CCNC(=O)CNC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777229361 581111229 /nfs/dbraw/zinc/11/12/29/581111229.db2.gz YJBWRNLTRLWZPH-UHFFFAOYSA-N 0 1 276.340 0.249 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777268386 581111704 /nfs/dbraw/zinc/11/17/04/581111704.db2.gz DLSKHCRKGTYPIJ-CQSZACIVSA-N 0 1 276.340 0.876 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2cc([N+](=O)[O-])ccc2[O-])N1 ZINC000779803058 581114167 /nfs/dbraw/zinc/11/41/67/581114167.db2.gz MVUNLATVKLRKMF-ZETCQYMHSA-N 0 1 263.257 0.572 20 30 CCEDMN CCOC1CC(CC(=O)C(C#N)C(=O)NCCOC)C1 ZINC000789341459 581144309 /nfs/dbraw/zinc/14/43/09/581144309.db2.gz GDYDZLVWTRTYCK-MCIGGMRASA-N 0 1 282.340 0.663 20 30 CCEDMN C#CC(=O)N1CCC(CCCN2CCOCC2)CC1 ZINC000781025498 581256848 /nfs/dbraw/zinc/25/68/48/581256848.db2.gz SXBYISHFPKILHK-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN COc1ccccc1-c1ncc(C=NNC(=N)N)cn1 ZINC000792961505 581277777 /nfs/dbraw/zinc/27/77/77/581277777.db2.gz DVDJIDBJPWNVAJ-UHFFFAOYSA-N 0 1 270.296 0.969 20 30 CCEDMN COc1ccccc1NC(=O)C(C#N)C(=O)c1cnn(C)n1 ZINC000793126442 581285017 /nfs/dbraw/zinc/28/50/17/581285017.db2.gz SKSYWCDCUJFQOO-VIFPVBQESA-N 0 1 299.290 0.785 20 30 CCEDMN C#CCNC(=O)CCNCc1nn(C)c2ccccc12 ZINC000727469832 581320584 /nfs/dbraw/zinc/32/05/84/581320584.db2.gz JIPLWSJLAGQNQL-UHFFFAOYSA-N 0 1 270.336 0.802 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CCCOCC1)[C@H]1CCCO1 ZINC000782990031 581331778 /nfs/dbraw/zinc/33/17/78/581331778.db2.gz DCUBWAURHPXMAM-UONOGXRCSA-N 0 1 295.383 0.189 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](NC(=O)[O-])[C@@H](C)C1 ZINC000738462362 581333743 /nfs/dbraw/zinc/33/37/43/581333743.db2.gz ZBZLYXKTPFCKSR-XQQFMLRXSA-N 0 1 293.367 0.589 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)NCc1cc(C)[nH]n1 ZINC000783003862 581333812 /nfs/dbraw/zinc/33/38/12/581333812.db2.gz FNRVMNXLKFYSNJ-UHFFFAOYSA-N 0 1 286.357 0.118 20 30 CCEDMN C#CCOCCNC(=O)NCCCc1cn[nH]c1C ZINC000783736043 581358034 /nfs/dbraw/zinc/35/80/34/581358034.db2.gz UDHSGSILMSEFDM-UHFFFAOYSA-N 0 1 264.329 0.600 20 30 CCEDMN C#CCOCCNC(=O)CN(C)[C@@H]1CCSC1 ZINC000784610623 581391301 /nfs/dbraw/zinc/39/13/01/581391301.db2.gz XZTAIYFXVJCANF-LLVKDONJSA-N 0 1 256.371 0.190 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@@H]1CCC[C@@H](C(N)=O)C1 ZINC000786929574 581453349 /nfs/dbraw/zinc/45/33/49/581453349.db2.gz LGTZMTVSFQWUOQ-GMTAPVOTSA-N 0 1 279.340 0.512 20 30 CCEDMN CCN(CC(=O)NCc1ccc(C(=O)NO)cc1)C1CC1 ZINC000788253366 581485928 /nfs/dbraw/zinc/48/59/28/581485928.db2.gz FZSGBMNRLRFUOP-UHFFFAOYSA-N 0 1 291.351 0.906 20 30 CCEDMN CCS(=O)(=O)C=C(C)NNc1[nH+]cnc2[n-]cnc21 ZINC000733747741 581523663 /nfs/dbraw/zinc/52/36/63/581523663.db2.gz XVPZTNWWEXAXLD-UHFFFAOYSA-N 0 1 282.329 0.575 20 30 CCEDMN COC[C@@H](C)NC(=S)NN=C1CCN2CCC[C@H]12 ZINC000765558231 581525103 /nfs/dbraw/zinc/52/51/03/581525103.db2.gz ADOHLCXLRRFRHK-MWLCHTKSSA-N 0 1 270.402 0.710 20 30 CCEDMN N=C(N)NN=Cc1ccc([N+](=O)[O-])cc1[N+](=O)[O-] ZINC000766011751 581546825 /nfs/dbraw/zinc/54/68/25/581546825.db2.gz RXPPJXHWHLNJAH-UHFFFAOYSA-N 0 1 252.190 0.320 20 30 CCEDMN C#CC(=O)NCc1ccc(N2CCN(CC)CC2)nc1 ZINC000753944359 581566197 /nfs/dbraw/zinc/56/61/97/581566197.db2.gz YSTOYBZVXZRLOQ-UHFFFAOYSA-N 0 1 272.352 0.473 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NCc1cc(CC)[nH]n1 ZINC000754203168 581580043 /nfs/dbraw/zinc/58/00/43/581580043.db2.gz MPBFWAHZPWOXFR-JTQLQIEISA-N 0 1 252.318 0.708 20 30 CCEDMN C[C@H]1CCN(CC(=O)NCCC#N)C[C@@H]1n1ccnc1 ZINC000767433499 581613416 /nfs/dbraw/zinc/61/34/16/581613416.db2.gz JCNDXRGZIKYKIO-STQMWFEESA-N 0 1 275.356 0.796 20 30 CCEDMN N#CCCNC(=O)C[N@H+]1CCC[C@@H]2COCC[C@@H]21 ZINC000756903053 581683864 /nfs/dbraw/zinc/68/38/64/581683864.db2.gz ZAAJADKVFQZOJF-NEPJUHHUSA-N 0 1 251.330 0.517 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)CCSCC(=O)[O-])CC1 ZINC000736077161 581694594 /nfs/dbraw/zinc/69/45/94/581694594.db2.gz NMYQZYVNRJPNKD-UHFFFAOYSA-N 0 1 284.381 0.362 20 30 CCEDMN Cc1ccc(-c2cc(C(=O)OCC(=O)NCC#N)[nH]n2)o1 ZINC000731243871 581761116 /nfs/dbraw/zinc/76/11/16/581761116.db2.gz CHJWZLLMNHQCAS-UHFFFAOYSA-N 0 1 288.263 0.775 20 30 CCEDMN CC1(CC(=O)C(C#N)C(=O)N2CCS(=O)(=O)CC2)CC1 ZINC000759493469 581801244 /nfs/dbraw/zinc/80/12/44/581801244.db2.gz CAEZULSLAROLCY-JTQLQIEISA-N 0 1 298.364 0.142 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)c1nnc2ccccc2c1O ZINC000771578895 581810386 /nfs/dbraw/zinc/81/03/86/581810386.db2.gz PPIWDIFYTVNQHY-CQSZACIVSA-N 0 1 286.291 0.994 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCN(C2CC2)[C@H](C#N)C1 ZINC000732678636 581842066 /nfs/dbraw/zinc/84/20/66/581842066.db2.gz IQCTWXQWUZFMAU-CHWSQXEVSA-N 0 1 262.357 0.279 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](CC)C(N)=O)CC1 ZINC000772109826 581843686 /nfs/dbraw/zinc/84/36/86/581843686.db2.gz MXLRABMVOWQHHI-LLVKDONJSA-N 0 1 252.314 0.139 20 30 CCEDMN N#C[C@@H](C(=O)[C@@H]1CC(=O)N(C2CC2)C1)c1ccncn1 ZINC000760338505 581855810 /nfs/dbraw/zinc/85/58/10/581855810.db2.gz FRJRRAIKMUHBBU-MWLCHTKSSA-N 0 1 270.292 0.664 20 30 CCEDMN C#CCCNC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000733287700 581896935 /nfs/dbraw/zinc/89/69/35/581896935.db2.gz NITOKOXBADBBIK-UHFFFAOYSA-N 0 1 297.314 0.397 20 30 CCEDMN C#C[C@H](NC(=O)NCc1cc(C)[nH]n1)[C@H]1CCCO1 ZINC000773312441 581921163 /nfs/dbraw/zinc/92/11/63/581921163.db2.gz TUXWZZSWAKDNNC-NWDGAFQWSA-N 0 1 262.313 0.698 20 30 CCEDMN C#CCNC(=O)CON=C(N)CN1CCCCCC1 ZINC000747834916 581954400 /nfs/dbraw/zinc/95/44/00/581954400.db2.gz RNOQTKDGMQDFMR-UHFFFAOYSA-N 0 1 266.345 0.110 20 30 CCEDMN CN(CC(=O)[O-])C(=O)CC[N@@H+](C)Cc1cccc(C#N)c1 ZINC000737412701 581954990 /nfs/dbraw/zinc/95/49/90/581954990.db2.gz VOBAZZPRORSJKN-UHFFFAOYSA-N 0 1 289.335 0.923 20 30 CCEDMN Cc1ccc(O)c(CN=Nc2nccn(C)c2=O)n1 ZINC000748081190 581961279 /nfs/dbraw/zinc/96/12/79/581961279.db2.gz ZUNVJIDQVYTKJC-UHFFFAOYSA-N 0 1 259.269 0.635 20 30 CCEDMN C#Cc1ccc(C(=O)NCCc2nc(C)n[nH]2)cn1 ZINC000748309968 581968558 /nfs/dbraw/zinc/96/85/58/581968558.db2.gz SIAYJVLZJZWCKS-UHFFFAOYSA-N 0 1 255.281 0.462 20 30 CCEDMN CCC[C@H]1CN(C(=O)C=NOC)CCN1CCOC ZINC000774458465 581974815 /nfs/dbraw/zinc/97/48/15/581974815.db2.gz GRQWRXXPYGVOQV-LBPRGKRZSA-N 0 1 271.361 0.578 20 30 CCEDMN C#C[C@H](N[C@H]1CCCS(=O)(=O)C1)[C@@H]1CCCO1 ZINC000775572484 582029305 /nfs/dbraw/zinc/02/93/05/582029305.db2.gz AZZNGAFWLYKPNS-SRVKXCTJSA-N 0 1 257.355 0.334 20 30 CCEDMN N#Cc1ccc(NC(=O)CNC2(C(N)=O)CCCC2)cc1 ZINC000750048270 582031180 /nfs/dbraw/zinc/03/11/80/582031180.db2.gz FTBGZXGHKHGURL-UHFFFAOYSA-N 0 1 286.335 0.884 20 30 CCEDMN C#CCNC(=O)CN1CCC(OC[C@H]2CCCCO2)CC1 ZINC000750062895 582032946 /nfs/dbraw/zinc/03/29/46/582032946.db2.gz SPOVBCHSOUYMRV-OAHLLOKOSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)[C@@H]1CCCN1C(=O)[O-] ZINC000738459394 582053317 /nfs/dbraw/zinc/05/33/17/582053317.db2.gz ATGONLOLTQULCU-NEPJUHHUSA-N 0 1 280.324 0.770 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738495648 582056775 /nfs/dbraw/zinc/05/67/75/582056775.db2.gz NEPJZQDLOBYNBK-GHMZBOCLSA-N 0 1 269.345 0.609 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000738606062 582065419 /nfs/dbraw/zinc/06/54/19/582065419.db2.gz ZXAMHWWJSYBYDE-VHSXEESVSA-N 0 1 268.317 0.089 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000738606062 582065421 /nfs/dbraw/zinc/06/54/21/582065421.db2.gz ZXAMHWWJSYBYDE-VHSXEESVSA-N 0 1 268.317 0.089 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@@]12CCOC2 ZINC000764759181 582067331 /nfs/dbraw/zinc/06/73/31/582067331.db2.gz INMSGGCKYCDASF-JTNHKYCSSA-N 0 1 280.324 0.275 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@@]12CCOC2 ZINC000764764464 582068083 /nfs/dbraw/zinc/06/80/83/582068083.db2.gz ZAEABGQHPYDMJP-TYNCELHUSA-N 0 1 294.351 0.665 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCSC[C@H]2c2nn[nH]n2)c1 ZINC000776565248 582078501 /nfs/dbraw/zinc/07/85/01/582078501.db2.gz REZMKZTZNMEOCR-VIFPVBQESA-N 0 1 289.324 0.330 20 30 CCEDMN C#CCCN1CCN(Cc2nnnn2CCCC)CC1 ZINC000776595884 582084042 /nfs/dbraw/zinc/08/40/42/582084042.db2.gz UNMHJXPBHLONMC-UHFFFAOYSA-N 0 1 276.388 0.614 20 30 CCEDMN Cc1nn(CCC(=O)[O-])c(C)c1C=NN1CC[NH+](C)CC1 ZINC000738922280 582089454 /nfs/dbraw/zinc/08/94/54/582089454.db2.gz GFUXKRLMXPRRCA-UHFFFAOYSA-N 0 1 293.371 0.556 20 30 CCEDMN N#Cc1cccc(OCC(=O)NCCN2CCC2)c1 ZINC000752297563 582103363 /nfs/dbraw/zinc/10/33/63/582103363.db2.gz NFUBUSWBTZIXFC-UHFFFAOYSA-N 0 1 259.309 0.759 20 30 CCEDMN COC[C@H](C)[C@H](C)C(=O)C(C#N)C(=O)NC1CC1 ZINC000813060043 616638883 /nfs/dbraw/zinc/63/88/83/616638883.db2.gz OFYZLSBKBHFTGD-QXEWZRGKSA-N 0 1 252.314 0.892 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CSC(C)C ZINC000916871152 616901088 /nfs/dbraw/zinc/90/10/88/616901088.db2.gz QGGNKMOHMJYZJS-SNVBAGLBSA-N 0 1 272.370 0.990 20 30 CCEDMN C[N@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC(=O)[O-] ZINC000163333531 597387640 /nfs/dbraw/zinc/38/76/40/597387640.db2.gz PPWDNKQARLYVJZ-UHFFFAOYSA-N 0 1 282.321 0.348 20 30 CCEDMN C[N@@H+](CCS(=O)(=O)c1cccc(C#N)c1)CC(=O)[O-] ZINC000163333531 597387643 /nfs/dbraw/zinc/38/76/43/597387643.db2.gz PPWDNKQARLYVJZ-UHFFFAOYSA-N 0 1 282.321 0.348 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@@H+](C)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000817772316 597476079 /nfs/dbraw/zinc/47/60/79/597476079.db2.gz UDAMZRVKCQLFCM-CMPLNLGQSA-N 0 1 278.308 0.703 20 30 CCEDMN N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@@H](C(=O)[O-])C2)c1 ZINC000062134677 597535822 /nfs/dbraw/zinc/53/58/22/597535822.db2.gz KOITWXOUUMXALO-LLVKDONJSA-N 0 1 273.292 0.903 20 30 CCEDMN COc1cc(C)cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1O ZINC000834499620 617175227 /nfs/dbraw/zinc/17/52/27/617175227.db2.gz KQBDMTYOGCNHGI-ONGXEEELSA-N 0 1 275.308 0.551 20 30 CCEDMN Brc1ccoc1C=NNC1=NCCN1 ZINC000841658420 612945294 /nfs/dbraw/zinc/94/52/94/612945294.db2.gz AARXVUFCNKESTC-UHFFFAOYSA-N 0 1 257.091 0.925 20 30 CCEDMN C#CCSCC(=O)N1CC[C@@H](N2CC[C@@H](O)C2)C1 ZINC000908203937 613010727 /nfs/dbraw/zinc/01/07/27/613010727.db2.gz SKRQMDPVOFCOGW-VXGBXAGGSA-N 0 1 268.382 0.020 20 30 CCEDMN C#CC1(F)CN(C(=O)NCCN2CCCOCC2)C1 ZINC000883710217 612947043 /nfs/dbraw/zinc/94/70/43/612947043.db2.gz FBFDJHLFAFUUTD-UHFFFAOYSA-N 0 1 269.320 0.075 20 30 CCEDMN C#CC1(F)CN(C(=O)NC[C@H]2CN(CC(C)C)CCO2)C1 ZINC000883075741 612947407 /nfs/dbraw/zinc/94/74/07/612947407.db2.gz KQUGZULDPVZCSU-ZDUSSCGKSA-N 0 1 297.374 0.710 20 30 CCEDMN C#CC[N@@H+](CC)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000382469608 601008840 /nfs/dbraw/zinc/00/88/40/601008840.db2.gz AGNXGAOFEBIZHV-UHFFFAOYSA-N 0 1 252.314 0.265 20 30 CCEDMN C#CC[N@H+](CC)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000382469608 601008843 /nfs/dbraw/zinc/00/88/43/601008843.db2.gz AGNXGAOFEBIZHV-UHFFFAOYSA-N 0 1 252.314 0.265 20 30 CCEDMN COc1cc(C)sc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834489395 617178878 /nfs/dbraw/zinc/17/88/78/617178878.db2.gz MBUWUHOWSKNJKD-RKDXNWHRSA-N 0 1 265.338 0.907 20 30 CCEDMN C#CC1(NC(=O)NC[C@@H]2CN(C)CCN2C)CCCC1 ZINC000883385332 612949960 /nfs/dbraw/zinc/94/99/60/612949960.db2.gz JWLWRGRONYLQGG-CYBMUJFWSA-N 0 1 278.400 0.477 20 30 CCEDMN C[C@@H](NC(=O)C#Cc1ccc2c(c1)OCO2)c1nnc[nH]1 ZINC000121796116 617179920 /nfs/dbraw/zinc/17/99/20/617179920.db2.gz IKTUKUFGUMHJQG-SECBINFHSA-N 0 1 284.275 0.762 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000913301925 612951398 /nfs/dbraw/zinc/95/13/98/612951398.db2.gz GVKXDWKKWIHZCF-VIFPVBQESA-N 0 1 287.275 0.964 20 30 CCEDMN C[C@@H]1C[N@H+]([C@@H](C(=O)[O-])c2ccc(C#N)cc2)C[C@H]1O ZINC000833623680 604566508 /nfs/dbraw/zinc/56/65/08/604566508.db2.gz PJXKDMZSZZTLKM-OASPWFOLSA-N 0 1 260.293 0.997 20 30 CCEDMN C[C@@H]1C[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)C[C@@H]1O ZINC000833623677 604566808 /nfs/dbraw/zinc/56/68/08/604566808.db2.gz PJXKDMZSZZTLKM-ICCXJUOJSA-N 0 1 260.293 0.997 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CN(CC#N)CC[C@@H]2C)n[nH]1 ZINC000966000777 649946964 /nfs/dbraw/zinc/94/69/64/649946964.db2.gz RTRMTRQFGSWCPY-GXSJLCMTSA-N 0 1 276.344 0.006 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)C(C)(C)C#N ZINC000833626246 605156181 /nfs/dbraw/zinc/15/61/81/605156181.db2.gz ZDZAZOMOWQQEFL-JTQLQIEISA-N 0 1 267.329 0.544 20 30 CCEDMN N#CCCCNC(=O)NCCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000833965228 605398438 /nfs/dbraw/zinc/39/84/38/605398438.db2.gz FUCOJIRGEQEOQP-UHFFFAOYSA-N 0 1 297.359 0.275 20 30 CCEDMN CC(C)(C)OCCCn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000819949984 606791535 /nfs/dbraw/zinc/79/15/35/606791535.db2.gz FEIGXTUKLPDJEL-UHFFFAOYSA-N 0 1 290.331 0.722 20 30 CCEDMN C#CC[C@H](COC)NC(=O)c1ccc(CN(C)C)nc1 ZINC000863802795 613065867 /nfs/dbraw/zinc/06/58/67/613065867.db2.gz DMKIGTPSKVGQIH-CQSZACIVSA-N 0 1 275.352 0.911 20 30 CCEDMN C#CCN(C)C(=O)C(=O)N1CCN(C(C)(C)CC)CC1 ZINC000848636627 613070998 /nfs/dbraw/zinc/07/09/98/613070998.db2.gz SKYORLWKXGVEFK-UHFFFAOYSA-N 0 1 279.384 0.411 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(C(=O)NCCC)c1 ZINC000912368725 612956625 /nfs/dbraw/zinc/95/66/25/612956625.db2.gz UFKGXVDYJJMNKA-UHFFFAOYSA-N 0 1 287.363 0.665 20 30 CCEDMN C#C[C@@H](C)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000860948276 613082395 /nfs/dbraw/zinc/08/23/95/613082395.db2.gz ZHWMTGKACFCKJN-MRVPVSSYSA-N 0 1 267.310 0.205 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCOCC2)C1 ZINC000971432194 613091678 /nfs/dbraw/zinc/09/16/78/613091678.db2.gz HZGOQNGBSJIEBB-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)N1CCC[C@@H]1C ZINC000922022902 613098082 /nfs/dbraw/zinc/09/80/82/613098082.db2.gz QFZKWEDWAYZXTJ-ZDUSSCGKSA-N 0 1 299.440 0.745 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)nc(N)n1Cc1ccc(CO)cc1 ZINC000826382983 609418928 /nfs/dbraw/zinc/41/89/28/609418928.db2.gz DVDICGDSGOYFSO-UHFFFAOYSA-N 0 1 296.294 0.058 20 30 CCEDMN C#CCN(C[C@@H](O)COC1CCOCC1)C1CSC1 ZINC000878913019 613108050 /nfs/dbraw/zinc/10/80/50/613108050.db2.gz YCKXURDVRIDSQN-CYBMUJFWSA-N 0 1 285.409 0.594 20 30 CCEDMN COc1cc(NC(=O)NCC#CCN(C)C)cnc1OC ZINC000932005033 617202698 /nfs/dbraw/zinc/20/26/98/617202698.db2.gz LPFBRMWSNPSASB-UHFFFAOYSA-N 0 1 292.339 0.785 20 30 CCEDMN CC(C)NC(=O)CN(C)C[C@H](O)CC1(C#N)CCC1 ZINC000885946382 613914686 /nfs/dbraw/zinc/91/46/86/613914686.db2.gz IPCRGORTLIDDFC-GFCCVEGCSA-N 0 1 267.373 0.888 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)OC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840672962 614181455 /nfs/dbraw/zinc/18/14/55/614181455.db2.gz UEUCJVPETNMKRB-DCJFZGGZSA-N 0 1 297.311 0.638 20 30 CCEDMN C#CCCCCCN1CCN2[C@H](CNS2(=O)=O)C1 ZINC000933098414 612976957 /nfs/dbraw/zinc/97/69/57/612976957.db2.gz WUGCGTODEAETGZ-GFCCVEGCSA-N 0 1 271.386 0.014 20 30 CCEDMN C#CCOCCC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833363892 612978257 /nfs/dbraw/zinc/97/82/57/612978257.db2.gz FLIBVOAWUKBVEZ-GFCCVEGCSA-N 0 1 282.340 0.034 20 30 CCEDMN COc1ccc(CC(=O)NCC#CCN(C)C)nc1 ZINC000913521732 617241240 /nfs/dbraw/zinc/24/12/40/617241240.db2.gz KTIYOYIMMNWZFC-UHFFFAOYSA-N 0 1 261.325 0.314 20 30 CCEDMN C[C@@H](O)CN1CCOC2(CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000949320621 617288593 /nfs/dbraw/zinc/28/85/93/617288593.db2.gz QSEJLXWUSXNTSS-QWHCGFSZSA-N 0 1 295.383 0.220 20 30 CCEDMN COc1ccc2c(c1)CC[C@@H]2C(=O)N[C@H]1CNC[C@H]1C#N ZINC000876803898 617284587 /nfs/dbraw/zinc/28/45/87/617284587.db2.gz YVHQEDCTVPVBQX-UGFHNGPFSA-N 0 1 285.347 0.953 20 30 CCEDMN C[C@@H](C#N)CN(CC1CN(S(C)(=O)=O)C1)C1CC1 ZINC000930088387 617296055 /nfs/dbraw/zinc/29/60/55/617296055.db2.gz SEWWMOGUPBWBAM-JTQLQIEISA-N 0 1 271.386 0.502 20 30 CCEDMN C#CC1(O)CN(C(=O)c2c(Cl)[nH]nc2C2CC2)C1 ZINC000890527273 612958746 /nfs/dbraw/zinc/95/87/46/612958746.db2.gz BOQMSOAQCDIEIN-UHFFFAOYSA-N 0 1 265.700 0.761 20 30 CCEDMN C#CC1(O)CN(C(=O)c2n[nH]c(C)c2Br)C1 ZINC000890521572 612960124 /nfs/dbraw/zinc/96/01/24/612960124.db2.gz KUOARZHPYZKPEP-UHFFFAOYSA-N 0 1 284.113 0.301 20 30 CCEDMN C#CC1CCN(C(=O)C2CN([C@@H]3CCOC3)C2)CC1 ZINC000898088677 612962998 /nfs/dbraw/zinc/96/29/98/612962998.db2.gz RKSWNXQMXZKSNM-CQSZACIVSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CC1CCN([C@H](C)C(=O)NCC(=O)OC)CC1 ZINC000830337727 612965003 /nfs/dbraw/zinc/96/50/03/612965003.db2.gz ARBWIYGGJCZHGX-SNVBAGLBSA-N 0 1 252.314 0.009 20 30 CCEDMN C#CC[NH2+][C@@H]1CCN(C(=O)c2[n-]ncc2C(F)(F)F)C1 ZINC000968884115 612967919 /nfs/dbraw/zinc/96/79/19/612967919.db2.gz IDVXVHWOKRKQHM-MRVPVSSYSA-N 0 1 286.257 0.866 20 30 CCEDMN C#CCCCC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000841457469 612974921 /nfs/dbraw/zinc/97/49/21/612974921.db2.gz RKZXYOQNOSUUOL-STQMWFEESA-N 0 1 252.358 0.703 20 30 CCEDMN C#CCCCCNC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000806960113 612977488 /nfs/dbraw/zinc/97/74/88/612977488.db2.gz WALUVEMAXZCONK-UHFFFAOYSA-N 0 1 281.400 0.888 20 30 CCEDMN C#CCCCNC(=O)C(=O)NC[C@@H]1CCCCN1C1CC1 ZINC000806917300 612980175 /nfs/dbraw/zinc/98/01/75/612980175.db2.gz INCVUNSOUKQJJZ-AWEZNQCLSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCOCCN1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC000933682062 612983928 /nfs/dbraw/zinc/98/39/28/612983928.db2.gz BIFMLIMISMMVIN-KBPBESRZSA-N 0 1 253.342 0.906 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(C)C[C@@H]1CC ZINC000838548945 612986308 /nfs/dbraw/zinc/98/63/08/612986308.db2.gz WDGMGNJRNZJQKX-LBPRGKRZSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H]1COCC[N@@H+]1C1CCCC1 ZINC000812787013 612992734 /nfs/dbraw/zinc/99/27/34/612992734.db2.gz YXWCSANUXJUHDE-LBPRGKRZSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H]1COCC[N@H+]1C1CCCC1 ZINC000812787013 612992735 /nfs/dbraw/zinc/99/27/35/612992735.db2.gz YXWCSANUXJUHDE-LBPRGKRZSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CCO[N-]C(=O)[C@]1(O)CC[N@@H+](Cc2ccccc2)C1 ZINC000855603865 612993170 /nfs/dbraw/zinc/99/31/70/612993170.db2.gz UDVDMRHHXXXIRK-HNNXBMFYSA-N 0 1 274.320 0.304 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000822542147 612994193 /nfs/dbraw/zinc/99/41/93/612994193.db2.gz QZUFFTFSHGXHHN-AWEZNQCLSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@@H](C)N(CC)C[C@H]1C ZINC000827572880 613000639 /nfs/dbraw/zinc/00/06/39/613000639.db2.gz BZYHOOSQQQVOKX-JHJVBQTASA-N 0 1 252.358 0.966 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc(OCC)n[nH]2)CC1 ZINC000981418903 613005695 /nfs/dbraw/zinc/00/56/95/613005695.db2.gz QMNYOCFGKAOBKZ-UHFFFAOYSA-N 0 1 290.367 0.980 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc(OC)n(C)n2)CC1 ZINC000981593188 613005892 /nfs/dbraw/zinc/00/58/92/613005892.db2.gz VINNHEIZYSWVIP-UHFFFAOYSA-N 0 1 290.367 0.600 20 30 CCEDMN C#CCOc1ccc(CN2CC[C@@](O)(C(=O)OC)C2)cc1 ZINC000895708921 613006015 /nfs/dbraw/zinc/00/60/15/613006015.db2.gz XOTZQVLOZUMSLH-INIZCTEOSA-N 0 1 289.331 0.808 20 30 CCEDMN C#CCCN1CCN(c2cc[nH]c(=O)c2[N+](=O)[O-])CC1 ZINC000853234562 613012229 /nfs/dbraw/zinc/01/22/29/613012229.db2.gz FTNZWQXYCXQVRY-UHFFFAOYSA-N 0 1 276.296 0.841 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)CN1CCN(CC)CC1 ZINC000863785859 613019660 /nfs/dbraw/zinc/01/96/60/613019660.db2.gz OPJNFTJOICVJQK-AWEZNQCLSA-N 0 1 281.400 0.169 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965915681 613023639 /nfs/dbraw/zinc/02/36/39/613023639.db2.gz DQJLSKVXHXCPOD-JQWIXIFHSA-N 0 1 290.367 0.887 20 30 CCEDMN C#CC[C@@H](CO)NCc1c(C(F)(F)F)cnn1C ZINC000883125600 613030326 /nfs/dbraw/zinc/03/03/26/613030326.db2.gz ODDGLLNVJVBBKU-QMMMGPOBSA-N 0 1 261.247 0.913 20 30 CCEDMN C#CC[C@H](CO)NC(=O)NCc1cc(N(C)C)ccn1 ZINC000924671151 613055204 /nfs/dbraw/zinc/05/52/04/613055204.db2.gz AEOFPKTVWNVEGW-LLVKDONJSA-N 0 1 276.340 0.331 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1cn[nH]c1-c1ccccn1 ZINC000811493640 613058557 /nfs/dbraw/zinc/05/85/57/613058557.db2.gz MDFSWNYKKKYOBG-LLVKDONJSA-N 0 1 284.319 0.976 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000847158143 613063012 /nfs/dbraw/zinc/06/30/12/613063012.db2.gz BCNPBWSJXWQYOT-UWVGGRQHSA-N 0 1 262.313 0.115 20 30 CCEDMN C#CCN(C(=O)N[C@@H](C)CN1CCN(C)CC1)C(C)C ZINC000917223999 613067595 /nfs/dbraw/zinc/06/75/95/613067595.db2.gz PLKDNNPOSDRLIR-AWEZNQCLSA-N 0 1 280.416 0.676 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H](C)Cc1cnc[nH]1)C(=O)OC ZINC000848220771 613069264 /nfs/dbraw/zinc/06/92/64/613069264.db2.gz MOOWUSRMQZCMRE-KOLCDFICSA-N 0 1 263.297 0.269 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N(CC(=O)[O-])C1CCC1 ZINC000909037479 613073134 /nfs/dbraw/zinc/07/31/34/613073134.db2.gz JNHOTBYBZHZJGL-UHFFFAOYSA-N 0 1 281.356 0.590 20 30 CCEDMN C#CCN(C)CCCNC(=O)c1cc2n(n1)CCCC2=O ZINC000908436216 613078187 /nfs/dbraw/zinc/07/81/87/613078187.db2.gz DXLBASJFUJTANC-UHFFFAOYSA-N 0 1 288.351 0.545 20 30 CCEDMN C#CCn1ccc(CN(CC)S(=O)(=O)c2ncc[nH]2)n1 ZINC000867204936 613078584 /nfs/dbraw/zinc/07/85/84/613078584.db2.gz VOGFMSBFIZIGFG-UHFFFAOYSA-N 0 1 293.352 0.450 20 30 CCEDMN C#CCN(C)Cc1ccc(S(=O)(=O)NC)cc1 ZINC000851977597 613079454 /nfs/dbraw/zinc/07/94/54/613079454.db2.gz DOMXFCUBHCVFCP-UHFFFAOYSA-N 0 1 252.339 0.660 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC000971747266 613081442 /nfs/dbraw/zinc/08/14/42/613081442.db2.gz VNZZSBPXOLSHAT-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCCO2)C1 ZINC000971111091 613081503 /nfs/dbraw/zinc/08/15/03/613081503.db2.gz XYKABXJNAJFACL-OLZOCXBDSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCCOC2)C1 ZINC000980417827 613081542 /nfs/dbraw/zinc/08/15/42/613081542.db2.gz DPEMICBCAZSKNN-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cc(C)on2)C1 ZINC000971227755 613081579 /nfs/dbraw/zinc/08/15/79/613081579.db2.gz XCEAKBVSXCBQFR-CYBMUJFWSA-N 0 1 261.325 0.691 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC000980270641 613083574 /nfs/dbraw/zinc/08/35/74/613083574.db2.gz QNTNERDLPQZMOQ-HUUCEWRRSA-N 0 1 283.375 0.962 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCn3nccc32)C1 ZINC000971812902 613083838 /nfs/dbraw/zinc/08/38/38/613083838.db2.gz FQOZBXAKIQPTEE-KGLIPLIRSA-N 0 1 286.379 0.926 20 30 CCEDMN C#C[C@@H](CC)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000834381749 613084295 /nfs/dbraw/zinc/08/42/95/613084295.db2.gz TXOYLCLACWDLFR-QMMMGPOBSA-N 0 1 282.321 0.181 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc3n(n2)CCCC3)C1 ZINC000971752497 613085449 /nfs/dbraw/zinc/08/54/49/613085449.db2.gz INXRSVXEZVWFQY-CQSZACIVSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(C(C)(C)C)nn2)C1 ZINC000971658510 613087368 /nfs/dbraw/zinc/08/73/68/613087368.db2.gz QDHBORYTDUVCSZ-GFCCVEGCSA-N 0 1 289.383 0.813 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nnn(C(C)C)c2C)C1 ZINC000972636670 613088365 /nfs/dbraw/zinc/08/83/65/613088365.db2.gz VEHXMUZVYMALTE-CYBMUJFWSA-N 0 1 289.383 0.947 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CC[C@@H]2CCOC2)C1 ZINC000971297050 613089265 /nfs/dbraw/zinc/08/92/65/613089265.db2.gz DAHFDLGMRODBNH-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCc3nncn3C2)C1 ZINC000980470874 613091942 /nfs/dbraw/zinc/09/19/42/613091942.db2.gz JEAOGDAPCZOKLB-OLZOCXBDSA-N 0 1 287.367 0.006 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC000971970432 613091981 /nfs/dbraw/zinc/09/19/81/613091981.db2.gz VOLPUQNSIAMRTE-DEPYFDJDSA-N 0 1 274.364 0.576 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](OC)C(C)C)C1 ZINC000972244141 613092421 /nfs/dbraw/zinc/09/24/21/613092421.db2.gz ZTQHMAVLPXEZNB-QWHCGFSZSA-N 0 1 252.358 0.823 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN(C)C(C)(C)C1)[C@@H]1CCCO1 ZINC000883580965 613094063 /nfs/dbraw/zinc/09/40/63/613094063.db2.gz NJIPLOYBMCWUBA-OLZOCXBDSA-N 0 1 279.384 0.903 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(C)n(C)n2)C1 ZINC000971165994 613094141 /nfs/dbraw/zinc/09/41/41/613094141.db2.gz GPUPPXGMQZTEAN-LBPRGKRZSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(OCC)no2)C1 ZINC000971952969 613094589 /nfs/dbraw/zinc/09/45/89/613094589.db2.gz PFPGAKDVOMULNO-NSHDSACASA-N 0 1 277.324 0.853 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CCC(O)CC1)C(C)C ZINC000894934238 613095599 /nfs/dbraw/zinc/09/55/99/613095599.db2.gz OFJKPPCGQRXODH-CYBMUJFWSA-N 0 1 267.373 0.400 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CC[C@@H](O)C1)C(C)C ZINC000875594874 613095634 /nfs/dbraw/zinc/09/56/34/613095634.db2.gz TTZOXRXCNKJAOO-VXGBXAGGSA-N 0 1 253.346 0.010 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cncc3ncn(C)c32)C1 ZINC000971714963 613096288 /nfs/dbraw/zinc/09/62/88/613096288.db2.gz BHLHLWBWMDYYQE-LBPRGKRZSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)c1nnc([C@@H]2CN3CCC[C@@H]3CO2)n1CC ZINC000828600683 613097626 /nfs/dbraw/zinc/09/76/26/613097626.db2.gz LFDRXJORRJTSAB-OLZOCXBDSA-N 0 1 289.383 0.903 20 30 CCEDMN C#CCN(CC#N)C(=O)c1cc([C@@H]2CCCN2C)n[nH]1 ZINC000907979830 613101375 /nfs/dbraw/zinc/10/13/75/613101375.db2.gz NRTOLYTZTUAHIJ-ZDUSSCGKSA-N 0 1 271.324 0.775 20 30 CCEDMN C#CC[N@@H+](CC)CC(=O)N[C@H](C(=O)[O-])[C@H](C)CC ZINC000825614785 613106491 /nfs/dbraw/zinc/10/64/91/613106491.db2.gz XXBYCDNIMANHOC-PWSUYJOCSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CC[N@H+](CC)CC(=O)N[C@H](C(=O)[O-])[C@H](C)CC ZINC000825614785 613106494 /nfs/dbraw/zinc/10/64/94/613106494.db2.gz XXBYCDNIMANHOC-PWSUYJOCSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC3(COC3)C2)CC1 ZINC000856194456 613128606 /nfs/dbraw/zinc/12/86/06/613128606.db2.gz LKDQYVDXXXBWTQ-UHFFFAOYSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@H](COCC)C2)CC1 ZINC000862958965 613129075 /nfs/dbraw/zinc/12/90/75/613129075.db2.gz MCVOJDZBRDAEPW-OAHLLOKOSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@@H]2COC)CC1 ZINC000886873441 613129345 /nfs/dbraw/zinc/12/93/45/613129345.db2.gz PSOHWBOIGKFXCE-AWEZNQCLSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCN1CCC(NC(=O)C2=COCCO2)CC1 ZINC000928661576 613132450 /nfs/dbraw/zinc/13/24/50/613132450.db2.gz ZEUPJNBIWKJPOJ-UHFFFAOYSA-N 0 1 250.298 0.088 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(O)CCCC2)CC1 ZINC000928656061 613132568 /nfs/dbraw/zinc/13/25/68/613132568.db2.gz JLPCSCKVBVWYJM-UHFFFAOYSA-N 0 1 250.342 0.505 20 30 CCEDMN C#CCN1CCC(NC(=O)N2CCOCC2)CC1 ZINC000852193333 613133263 /nfs/dbraw/zinc/13/32/63/613133263.db2.gz LRBPRVIYMZPJHD-UHFFFAOYSA-N 0 1 251.330 0.126 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cncs2)CC1 ZINC000928653062 613133269 /nfs/dbraw/zinc/13/32/69/613133269.db2.gz XOANWLBXEYUSGZ-UHFFFAOYSA-N 0 1 263.366 0.899 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cn(CC)nn2)CC1 ZINC000830161948 613133407 /nfs/dbraw/zinc/13/34/07/613133407.db2.gz CCVDMCRCOZMPDB-UHFFFAOYSA-N 0 1 275.356 0.054 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ccn(C)n2)CC1 ZINC000928649466 613133519 /nfs/dbraw/zinc/13/35/19/613133519.db2.gz MQMLEAGURAJSCF-UHFFFAOYSA-N 0 1 260.341 0.176 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cscn2)CC1 ZINC000928648946 613133553 /nfs/dbraw/zinc/13/35/53/613133553.db2.gz VUMNUZTWQPCDBP-UHFFFAOYSA-N 0 1 263.366 0.899 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC2(OC)CCC2)CC1 ZINC000921859267 613133691 /nfs/dbraw/zinc/13/36/91/613133691.db2.gz VZEHBPFMCLVOJZ-UHFFFAOYSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cnn(C)c2C)CC1 ZINC000921671823 613134513 /nfs/dbraw/zinc/13/45/13/613134513.db2.gz ZJAUNXGIKJAGFS-UHFFFAOYSA-N 0 1 289.383 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCCN(C)C2=O)CC1 ZINC000921313809 613134713 /nfs/dbraw/zinc/13/47/13/613134713.db2.gz QOHUDLYSHUQNKP-CYBMUJFWSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2C[C@@H](C)OC2=O)CC1 ZINC000896379028 613135235 /nfs/dbraw/zinc/13/52/35/613135235.db2.gz BZZOBKYUKXKNGX-PWSUYJOCSA-N 0 1 279.340 0.087 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCCOCC2)CC1 ZINC000921823435 613135375 /nfs/dbraw/zinc/13/53/75/613135375.db2.gz WTGVBBIEFPSJBM-ZDUSSCGKSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](O)c2cccnc2)CC1 ZINC000928653693 613135739 /nfs/dbraw/zinc/13/57/39/613135739.db2.gz WYSMYGYYSQOUJN-AWEZNQCLSA-N 0 1 273.336 0.329 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](O)CCSC)CC1 ZINC000928654614 613135942 /nfs/dbraw/zinc/13/59/42/613135942.db2.gz JNBTYOVQJZOECJ-LBPRGKRZSA-N 0 1 270.398 0.314 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](OC)C(C)C)CC1 ZINC000928654377 613135995 /nfs/dbraw/zinc/13/59/95/613135995.db2.gz YYJKUPRRCHXMKQ-ZDUSSCGKSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)CC1 ZINC000830162597 613136238 /nfs/dbraw/zinc/13/62/38/613136238.db2.gz MCCJUGHQXZECMV-SEBNEYGDSA-N 0 1 274.364 0.624 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCCN(C)C2=O)CC1 ZINC000928650946 613136601 /nfs/dbraw/zinc/13/66/01/613136601.db2.gz ITVGDJRQDNCMLM-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(N(C)C)nc2)CC1 ZINC000928657184 613137299 /nfs/dbraw/zinc/13/72/99/613137299.db2.gz QRMZKDHPYFSHCE-UHFFFAOYSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C#N)cn2)CC1 ZINC000928655609 613137307 /nfs/dbraw/zinc/13/73/07/613137307.db2.gz UKVMBPFCMAMFNL-UHFFFAOYSA-N 0 1 268.320 0.781 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc3nccn3c2)CC1 ZINC000928661143 613137535 /nfs/dbraw/zinc/13/75/35/613137535.db2.gz YJIWNDFNIRYPAA-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccc3n[nH]nc32)CC1 ZINC000928653999 613137628 /nfs/dbraw/zinc/13/76/28/613137628.db2.gz JKCDEKRBRAXDEX-UHFFFAOYSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CCC(NC(=O)c2coc(C#N)c2)CC1 ZINC000928660658 613138359 /nfs/dbraw/zinc/13/83/59/613138359.db2.gz UHCFVNSZZISOJJ-UHFFFAOYSA-N 0 1 257.293 0.979 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@@H](CC)OC)CCO2 ZINC000949048302 613139261 /nfs/dbraw/zinc/13/92/61/613139261.db2.gz IOEPULPINCXGKK-CQSZACIVSA-N 0 1 294.395 0.738 20 30 CCEDMN C#C[C@H](NC(=O)NCc1n[nH]c(C(C)C)n1)[C@H]1CCCO1 ZINC000838559416 613141934 /nfs/dbraw/zinc/14/19/34/613141934.db2.gz MDGGWRNKQCRROQ-WDEREUQCSA-N 0 1 291.355 0.908 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3c(C)cnn3C)C2)C1 ZINC000981948687 613143556 /nfs/dbraw/zinc/14/35/56/613143556.db2.gz NESKNIROLIVHGK-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cncnc3C)C2)C1 ZINC000981643514 613144659 /nfs/dbraw/zinc/14/46/59/613144659.db2.gz OJVHYNPFTOODMB-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3nc(C)c[nH]3)C2)C1 ZINC000982061399 613145130 /nfs/dbraw/zinc/14/51/30/613145130.db2.gz SWFPITFVSQQBBY-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC000968882725 613148238 /nfs/dbraw/zinc/14/82/38/613148238.db2.gz SWGGNTBZXTYNNQ-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#C[C@H](NC[C@@H]1CN(CC)C(=O)O1)[C@@H]1CCCO1 ZINC000846856466 613150389 /nfs/dbraw/zinc/15/03/89/613150389.db2.gz BUOQFIMCDCRQIH-WOPDTQHZSA-N 0 1 252.314 0.598 20 30 CCEDMN C#C[C@H](NCc1ccc(S(N)(=O)=O)cc1)[C@@H]1CCCO1 ZINC000877418381 613151104 /nfs/dbraw/zinc/15/11/04/613151104.db2.gz YCUSSZSEGOYKSN-KBPBESRZSA-N 0 1 294.376 0.604 20 30 CCEDMN C#C[C@H](NC[C@H]1CN(C2CC2)C(=O)O1)[C@H]1CCCO1 ZINC000844322114 613151188 /nfs/dbraw/zinc/15/11/88/613151188.db2.gz KBQNBOJLVNXQRT-RWMBFGLXSA-N 0 1 264.325 0.740 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N(C)Cc2cnn(C)c2)C1 ZINC000847027534 613159022 /nfs/dbraw/zinc/15/90/22/613159022.db2.gz UFQVTDKVDLZRDA-ZDUSSCGKSA-N 0 1 274.368 0.724 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1n[nH]c(C)c1Br ZINC000838270589 613162489 /nfs/dbraw/zinc/16/24/89/613162489.db2.gz KWDASFRHMDTXFB-QMMMGPOBSA-N 0 1 298.140 0.955 20 30 CCEDMN C#C[C@](C)(CC)NC(=O)CN1CCN(CC)CC1 ZINC000854697664 613163814 /nfs/dbraw/zinc/16/38/14/613163814.db2.gz ISBLLFBYWRQQPP-CQSZACIVSA-N 0 1 251.374 0.542 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@@H](N3CCC3)C2)cn1 ZINC000912917329 613171701 /nfs/dbraw/zinc/17/17/01/613171701.db2.gz GYQYOIWDMFOOGS-CQSZACIVSA-N 0 1 255.321 0.983 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)[C@@H](C)Cc2cnc[nH]2)C1 ZINC000923556648 613172114 /nfs/dbraw/zinc/17/21/14/613172114.db2.gz YDXQHIWDWJGYDL-QWHCGFSZSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)COCC(F)F ZINC000860529626 613176595 /nfs/dbraw/zinc/17/65/95/613176595.db2.gz JQFFAMKZYIQSIQ-SNVBAGLBSA-N 0 1 261.268 0.909 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@]1(C)CCC(=O)N1 ZINC000873501703 613177131 /nfs/dbraw/zinc/17/71/31/613177131.db2.gz JKQUOGSFUKZLNS-RISCZKNCSA-N 0 1 264.325 0.296 20 30 CCEDMN C#CCN1CCC[C@H](Nc2ccnc(C(=O)N(C)C)c2)C1 ZINC000884612256 613180649 /nfs/dbraw/zinc/18/06/49/613180649.db2.gz IIAXOOOWNDUTOQ-AWEZNQCLSA-N 0 1 286.379 0.715 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CN(CCO)CC[C@H]2C)nc1 ZINC000968563040 613192021 /nfs/dbraw/zinc/19/20/21/613192021.db2.gz ZZAIRUCOEBXQLU-DOMZBBRYSA-N 0 1 287.363 0.495 20 30 CCEDMN C#CCN1CCC[C@H](Nc2ncnc3c2cnn3C)C1 ZINC000884611526 613193769 /nfs/dbraw/zinc/19/37/69/613193769.db2.gz OEYUMNPCHWUUNM-NSHDSACASA-N 0 1 270.340 0.873 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(CC)C[C@@H]1C ZINC000804379558 613196063 /nfs/dbraw/zinc/19/60/63/613196063.db2.gz NGRVRHMXZSVISJ-KBPBESRZSA-N 0 1 263.385 0.637 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)N2CC[N@H+]3CC[C@H]2C3)cc1 ZINC000907217754 613196716 /nfs/dbraw/zinc/19/67/16/613196716.db2.gz VCJXTDMSFKCOBU-ZDUSSCGKSA-N 0 1 276.361 0.747 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)N2CCN3CC[C@H]2C3)cc1 ZINC000907217754 613196718 /nfs/dbraw/zinc/19/67/18/613196718.db2.gz VCJXTDMSFKCOBU-ZDUSSCGKSA-N 0 1 276.361 0.747 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H](CC)NC(C)=O ZINC000870195289 613203163 /nfs/dbraw/zinc/20/31/63/613203163.db2.gz QVCWUMXESKUGGR-QWHCGFSZSA-N 0 1 266.341 0.542 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)[C@H](C)CC(=O)[O-] ZINC000833364237 613203523 /nfs/dbraw/zinc/20/35/23/613203523.db2.gz HYAKCDHCZZTCMO-MNOVXSKESA-N 0 1 253.298 0.738 20 30 CCEDMN C#Cc1cccc(NC(=O)Cn2cnc(-c3nn[nH]n3)n2)c1 ZINC000819709842 613204130 /nfs/dbraw/zinc/20/41/30/613204130.db2.gz RGVMDJZYCGGAGH-UHFFFAOYSA-N 0 1 294.278 0.078 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCCN(CCOC)CC2)c1 ZINC000806922625 613212876 /nfs/dbraw/zinc/21/28/76/613212876.db2.gz OFFJRVPFJBGKIQ-UHFFFAOYSA-N 0 1 287.363 0.857 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@@H]2CN(CC#CC)C[C@H]2O)c1 ZINC000958391026 613217521 /nfs/dbraw/zinc/21/75/21/613217521.db2.gz WTSNBNNVRJNCRJ-HZPDHXFCSA-N 0 1 297.358 0.109 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(=O)[nH]n2)C(C)(C)C1 ZINC000940551640 613235840 /nfs/dbraw/zinc/23/58/40/613235840.db2.gz VZHSDFMAXJPMCN-GFCCVEGCSA-N 0 1 288.351 0.646 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CC1(O)CCOCC1 ZINC000872199166 613238713 /nfs/dbraw/zinc/23/87/13/613238713.db2.gz KJRQDYPNGFZVLW-JTQLQIEISA-N 0 1 294.189 0.777 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)nc2C)[C@H](C)C1 ZINC000941889871 613239857 /nfs/dbraw/zinc/23/98/57/613239857.db2.gz CDRPPJUOIIMIED-BXUZGUMPSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc(OC)nc2)C[C@@H]1C ZINC000947891449 613240531 /nfs/dbraw/zinc/24/05/31/613240531.db2.gz QVZPYFLJZSVFIV-WCQYABFASA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2C)[C@H](C)C1 ZINC000941825268 613241808 /nfs/dbraw/zinc/24/18/08/613241808.db2.gz WQXLLRUOZLERAB-BXUZGUMPSA-N 0 1 274.368 0.802 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2COC(=O)N2)C1 ZINC000950006109 613245125 /nfs/dbraw/zinc/24/51/25/613245125.db2.gz ZJWHSCMCIHVQBZ-LBPRGKRZSA-N 0 1 281.356 0.594 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ncc[nH]2)C1 ZINC000968458779 613247255 /nfs/dbraw/zinc/24/72/55/613247255.db2.gz DYKWAINFDZCLNV-RYUDHWBXSA-N 0 1 260.341 0.412 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC000968524863 613247622 /nfs/dbraw/zinc/24/76/22/613247622.db2.gz IHNDICSBSBGWOU-ZFWWWQNUSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000965845148 613255045 /nfs/dbraw/zinc/25/50/45/613255045.db2.gz JOAQMBVKUQLRJO-WCQYABFASA-N 0 1 273.336 0.861 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)Cn2ccccc2=O)CC1 ZINC000957605532 613258348 /nfs/dbraw/zinc/25/83/48/613258348.db2.gz QIYMRGWYWRUFOW-UHFFFAOYSA-N 0 1 289.379 0.959 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2COCCO2)C(C)(C)C1 ZINC000940589707 613262491 /nfs/dbraw/zinc/26/24/91/613262491.db2.gz XMPDVKPPDXGHGF-STQMWFEESA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(OC)nn2)[C@@H](C)C1 ZINC000943985811 613269012 /nfs/dbraw/zinc/26/90/12/613269012.db2.gz AKSDLCQDVUJTMQ-RYUDHWBXSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(OC)nn2)C[C@H]1C ZINC000947894924 613269151 /nfs/dbraw/zinc/26/91/51/613269151.db2.gz DMXVGRDTYRQDTO-NEPJUHHUSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nc2C)C[C@H]1C ZINC000947126753 613273447 /nfs/dbraw/zinc/27/34/47/613273447.db2.gz PJRASTOKXMLKSW-YPMHNXCESA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CC)nn2)C(C)(C)C1 ZINC000941134562 613273614 /nfs/dbraw/zinc/27/36/14/613273614.db2.gz YQLSRNMYGREAQY-ZDUSSCGKSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CC[N@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000941989958 613278088 /nfs/dbraw/zinc/27/80/88/613278088.db2.gz RRJZRGPLIPYTSF-NEPJUHHUSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(C)onc2CC)C1 ZINC000958666575 613285638 /nfs/dbraw/zinc/28/56/38/613285638.db2.gz MRMDUAPLINRFGO-WCQYABFASA-N 0 1 291.351 0.201 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CC3(CC3)C2)C1 ZINC000958373845 613285664 /nfs/dbraw/zinc/28/56/64/613285664.db2.gz IQNCRIJOVBCYPB-QWHCGFSZSA-N 0 1 262.353 0.219 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c[nH]c3ccccc23)C1 ZINC000958232652 613285818 /nfs/dbraw/zinc/28/58/18/613285818.db2.gz VJXOIDHEVWSYRG-BLLLJJGKSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC000958220501 613287571 /nfs/dbraw/zinc/28/75/71/613287571.db2.gz ZFSNPOCINXPESL-XHDPSFHLSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)c(CC)o2)[C@H](O)C1 ZINC000958588574 613288573 /nfs/dbraw/zinc/28/85/73/613288573.db2.gz UTOBGCXEVQTKMV-CHWSQXEVSA-N 0 1 290.363 0.806 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc3c(c2)CCC3)[C@H](O)C1 ZINC000958656914 613289400 /nfs/dbraw/zinc/28/94/00/613289400.db2.gz BCAUYLRKDIQTTQ-IAGOWNOFSA-N 0 1 298.386 0.831 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccn2C(C)C)[C@H](O)C1 ZINC000958682793 613289612 /nfs/dbraw/zinc/28/96/12/613289612.db2.gz CUKCUPWGXOPZNM-UKRRQHHQSA-N 0 1 289.379 0.725 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(F)s2)[C@H](O)C1 ZINC000958739451 613289633 /nfs/dbraw/zinc/28/96/33/613289633.db2.gz BRFIVYDOEFNJSJ-NXEZZACHSA-N 0 1 282.340 0.543 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2csc(Cl)n2)[C@H](O)C1 ZINC000958565842 613290529 /nfs/dbraw/zinc/29/05/29/613290529.db2.gz ATRNBBMNOSXXBJ-PSASIEDQSA-N 0 1 299.783 0.452 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2csc(C)n2)[C@H](O)C1 ZINC000958338470 613290810 /nfs/dbraw/zinc/29/08/10/613290810.db2.gz CYCGWXXNHCMURJ-ZYHUDNBSSA-N 0 1 279.365 0.107 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@@H]1C(=O)NCC1(C)C ZINC000931662907 613294061 /nfs/dbraw/zinc/29/40/61/613294061.db2.gz HVZKWWDECKCBQB-VXGBXAGGSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3n[nH]cc3F)C[C@]2(C)C1 ZINC000982528904 613294193 /nfs/dbraw/zinc/29/41/93/613294193.db2.gz MBYWPASXGCAPKY-GASCZTMLSA-N 0 1 290.342 0.966 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(F)ccc2C)C1 ZINC000958291335 613294560 /nfs/dbraw/zinc/29/45/60/613294560.db2.gz PBJVRMDZEYYLPE-WFASDCNBSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc3ncccc3o2)C1 ZINC000958277115 613294565 /nfs/dbraw/zinc/29/45/65/613294565.db2.gz NLVMPKZSZUXJFC-AAEUAGOBSA-N 0 1 299.330 0.484 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c[nH]nc2CCC)C1 ZINC000958203206 613294591 /nfs/dbraw/zinc/29/45/91/613294591.db2.gz ODSHOAAWFQFFLY-FZMZJTMJSA-N 0 1 290.367 0.018 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2scnc2CC)C1 ZINC000958125666 613296571 /nfs/dbraw/zinc/29/65/71/613296571.db2.gz ACFKIHNIOIIMIQ-JQWIXIFHSA-N 0 1 293.392 0.361 20 30 CCEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cn(C)nn2)C1 ZINC000969617688 613300887 /nfs/dbraw/zinc/30/08/87/613300887.db2.gz VQPZZCFCWUSDSG-SNVBAGLBSA-N 0 1 263.345 0.441 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC000958414417 613302133 /nfs/dbraw/zinc/30/21/33/613302133.db2.gz ZERALRKUYBSNMJ-RISCZKNCSA-N 0 1 290.367 0.189 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c[nH]c3cccnc32)C1 ZINC000958581804 613302225 /nfs/dbraw/zinc/30/22/25/613302225.db2.gz SWMRJBCNENUROL-RISCZKNCSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c(F)cncc2F)C1 ZINC000958100884 613302474 /nfs/dbraw/zinc/30/24/74/613302474.db2.gz IKODEGFZUFPJNN-SKDRFNHKSA-N 0 1 295.289 0.016 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(F)cc2F)C1 ZINC000958635723 613302830 /nfs/dbraw/zinc/30/28/30/613302830.db2.gz PIPTWBQRIZDNTE-YGRLFVJLSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cncc(C)c2)C1 ZINC000957957012 613304099 /nfs/dbraw/zinc/30/40/99/613304099.db2.gz IVLLHYDYCVTKFD-KGLIPLIRSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCCCO1 ZINC000958522617 613313728 /nfs/dbraw/zinc/31/37/28/613313728.db2.gz KYEMUKWLBXLZGF-RMRHIDDWSA-N 0 1 262.353 0.625 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC(F)(F)C1 ZINC000958511563 613313895 /nfs/dbraw/zinc/31/38/95/613313895.db2.gz KQSIEDIBPBZMGG-URLYPYJESA-N 0 1 254.280 0.711 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCn2cncc2C1 ZINC000960630798 613315732 /nfs/dbraw/zinc/31/57/32/613315732.db2.gz PTBGTDWFINMCIB-RZFFKMDDSA-N 0 1 284.363 0.125 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)nn2cccnc12 ZINC000962612275 613317447 /nfs/dbraw/zinc/31/74/47/613317447.db2.gz BUMRPBABJPKBFV-IMRBUKKESA-N 0 1 295.346 0.331 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C#C)cc1 ZINC000961444606 613318503 /nfs/dbraw/zinc/31/85/03/613318503.db2.gz SOLCANKQBONWNC-ZSHCYNCHSA-N 0 1 264.328 0.961 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2ncccn2n1 ZINC000962112432 613318587 /nfs/dbraw/zinc/31/85/87/613318587.db2.gz VIKCSDXCDGEIDA-YABSGUDNSA-N 0 1 281.319 0.023 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)nc1CC ZINC000960435681 613320729 /nfs/dbraw/zinc/32/07/29/613320729.db2.gz IJKFUNAXFDOKCA-YABSGUDNSA-N 0 1 272.352 0.276 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C)nc1C ZINC000962065241 613320970 /nfs/dbraw/zinc/32/09/70/613320970.db2.gz FQZVTKCNLAPPCL-WDNDVIMCSA-N 0 1 270.336 0.387 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnn1CCC ZINC000960117237 613321010 /nfs/dbraw/zinc/32/10/10/613321010.db2.gz OECPBGPORJOAFK-IMRBUKKESA-N 0 1 272.352 0.586 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C)c1CCC ZINC000960760984 613321127 /nfs/dbraw/zinc/32/11/27/613321127.db2.gz UPNWTTUYLPLXKI-NHAGDIPZSA-N 0 1 286.379 0.666 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncc(C)cc1OC ZINC000960316286 613322037 /nfs/dbraw/zinc/32/20/37/613322037.db2.gz MZYDSAMUVAGZFI-IMRBUKKESA-N 0 1 285.347 0.692 20 30 CCEDMN C#CCNC(=O)CCN1C[C@H](CC)[C@@](F)(C(=O)OCC)C1 ZINC000886878727 613326106 /nfs/dbraw/zinc/32/61/06/613326106.db2.gz MGCKOVRFGJVKJO-SWLSCSKDSA-N 0 1 298.358 0.739 20 30 CCEDMN C#CCNC(=O)CCNCc1c(C(F)(F)F)cnn1C ZINC000850101217 613326788 /nfs/dbraw/zinc/32/67/88/613326788.db2.gz DQCBUIGTBUVMGR-UHFFFAOYSA-N 0 1 288.273 0.668 20 30 CCEDMN C#CCNC(=O)C[N@H+](C)CC[C@@H](NC(=O)[O-])C(C)C ZINC000823456925 613327691 /nfs/dbraw/zinc/32/76/91/613327691.db2.gz SBOFPLIMTMXUFT-LLVKDONJSA-N 0 1 269.345 0.350 20 30 CCEDMN C#CCNC(=O)CN(CC)[C@@H]1CCN(CC(F)(F)F)C1 ZINC000878946655 613328222 /nfs/dbraw/zinc/32/82/22/613328222.db2.gz MXYMSLITPVQNJX-LLVKDONJSA-N 0 1 291.317 0.694 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H]1CN1C[C@H](C)O[C@@H](C)C1 ZINC000920257316 613329254 /nfs/dbraw/zinc/32/92/54/613329254.db2.gz MYFSEIJDFSGEJX-SOUVJXGZSA-N 0 1 293.411 0.309 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCC[C@@H]([C@H](C)NC(=O)[O-])C1 ZINC000823456434 613329268 /nfs/dbraw/zinc/32/92/68/613329268.db2.gz MLJHMANHUVENAE-WDEREUQCSA-N 0 1 267.329 0.104 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C(=O)OC)[C@@H]2CCC[C@H]21 ZINC000859949431 613329914 /nfs/dbraw/zinc/32/99/14/613329914.db2.gz PUDWWTYYMSHPRH-RWMBFGLXSA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](N(C)c2ccccc2)C1 ZINC000852654261 613330124 /nfs/dbraw/zinc/33/01/24/613330124.db2.gz HKHZAGDGNNFKOK-OAHLLOKOSA-N 0 1 271.364 0.947 20 30 CCEDMN C#CCNC(=O)CN1CC[C@](C)(C(=O)OC(C)(C)C)C1 ZINC000839101872 613330202 /nfs/dbraw/zinc/33/02/02/613330202.db2.gz PVRWVGYYSXBWDK-HNNXBMFYSA-N 0 1 280.368 0.790 20 30 CCEDMN C#CCNC(=O)CNC1(c2cccc(C#N)c2)CCOCC1 ZINC000852564505 613330793 /nfs/dbraw/zinc/33/07/93/613330793.db2.gz IBNOTAMAVPMMTQ-UHFFFAOYSA-N 0 1 297.358 0.903 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2nnn(C)n2)C(C)(C)C1 ZINC000974946729 613342541 /nfs/dbraw/zinc/34/25/41/613342541.db2.gz KJRWJFLRBUIKRH-JTQLQIEISA-N 0 1 278.360 0.226 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])[C@@H]2C1 ZINC000977981024 613344119 /nfs/dbraw/zinc/34/41/19/613344119.db2.gz NZBYCCKOYMLMRM-CNDDSTCGSA-N 0 1 290.367 0.907 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC000977981024 613344120 /nfs/dbraw/zinc/34/41/20/613344120.db2.gz NZBYCCKOYMLMRM-CNDDSTCGSA-N 0 1 290.367 0.907 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC000977981024 613344121 /nfs/dbraw/zinc/34/41/21/613344121.db2.gz NZBYCCKOYMLMRM-CNDDSTCGSA-N 0 1 290.367 0.907 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000882899010 613355237 /nfs/dbraw/zinc/35/52/37/613355237.db2.gz CBMCEQMZCQHWPW-NSHDSACASA-N 0 1 289.339 0.867 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)NCC[N@H+](C)C1CC1)C(=O)[O-] ZINC000908886880 613355920 /nfs/dbraw/zinc/35/59/20/613355920.db2.gz GMYANECXKAVGMJ-LLVKDONJSA-N 0 1 269.345 0.799 20 30 CCEDMN C=C(C)[C@@H](CO)N1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC000856556200 613360588 /nfs/dbraw/zinc/36/05/88/613360588.db2.gz ZRSZZZSMBWVMLJ-RRFJBIMHSA-N 0 1 289.379 0.774 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H](C)C1CN(CCO)C1 ZINC000970559765 613361547 /nfs/dbraw/zinc/36/15/47/613361547.db2.gz FEBDBNOKCYYUNT-UBHSHLNASA-N 0 1 282.384 0.396 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC000968556181 613385448 /nfs/dbraw/zinc/38/54/48/613385448.db2.gz POGSGRKZHCIKCE-RKDXNWHRSA-N 0 1 273.720 0.038 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000876546129 613387184 /nfs/dbraw/zinc/38/71/84/613387184.db2.gz ZFYBPWGLRZIKQO-RKDXNWHRSA-N 0 1 280.777 0.102 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N[C@]1(C)CCS(=O)(=O)C1 ZINC000876555957 613388490 /nfs/dbraw/zinc/38/84/90/613388490.db2.gz IMRDENLVHWCFBB-PSASIEDQSA-N 0 1 280.777 0.150 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN([C@H](CC)CO)CC1 ZINC000878142466 613397972 /nfs/dbraw/zinc/39/79/72/613397972.db2.gz ZMMFLSVYDXHOCZ-OLZOCXBDSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@H](C2OCCO2)C1 ZINC000931999412 613412104 /nfs/dbraw/zinc/41/21/04/613412104.db2.gz QPNJEHGYFHWTQD-WDEREUQCSA-N 0 1 255.314 0.799 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@H](C(=O)OC)[C@@H]1C ZINC000878495063 613412680 /nfs/dbraw/zinc/41/26/80/613412680.db2.gz HFBIOZLVNPVWHH-GARJFASQSA-N 0 1 255.314 0.988 20 30 CCEDMN C=CCn1cc(C(=O)OCc2n[nH]c(CC)n2)nn1 ZINC000830119547 613432897 /nfs/dbraw/zinc/43/28/97/613432897.db2.gz CUUWABFBJSVXIW-UHFFFAOYSA-N 0 1 262.273 0.502 20 30 CCEDMN C=CCn1cc(CN[C@@H](C)C[C@@H]2CCC(=O)N2)nn1 ZINC000926614928 613435902 /nfs/dbraw/zinc/43/59/02/613435902.db2.gz NHIAXTQUZXDCFQ-QWRGUYRKSA-N 0 1 263.345 0.611 20 30 CCEDMN C=CCC1(O)CCN([C@@H]2CCC(=O)NC2=O)CC1 ZINC000886776409 613460672 /nfs/dbraw/zinc/46/06/72/613460672.db2.gz FNNYIQYGPIUHPL-SNVBAGLBSA-N 0 1 252.314 0.195 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC[C@@]2(C1)CN(CC)CCO2 ZINC000972562030 613464014 /nfs/dbraw/zinc/46/40/14/613464014.db2.gz ZOKYGUKVWIHSQW-YCPHGPKFSA-N 0 1 294.395 0.901 20 30 CCEDMN C=CCCC[C@@H](C)NC(=O)C(=O)N1CCNC[C@H]1COC ZINC000911078717 613469747 /nfs/dbraw/zinc/46/97/47/613469747.db2.gz MEESSAHPOSMNHS-OLZOCXBDSA-N 0 1 297.399 0.294 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N1CCN(C)CCN(C)CC1 ZINC000888986615 613476258 /nfs/dbraw/zinc/47/62/58/613476258.db2.gz NJYCUJVFRMSXSQ-INIZCTEOSA-N 0 1 297.443 0.921 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NOCCN1CCCC1=O ZINC000856042568 613476929 /nfs/dbraw/zinc/47/69/29/613476929.db2.gz AXCXIJWDUQEQNZ-AWEZNQCLSA-N 0 1 284.356 0.885 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN(CCCCCO)CC1 ZINC000931495338 613482179 /nfs/dbraw/zinc/48/21/79/613482179.db2.gz JCYARPVBRLNRBO-CYBMUJFWSA-N 0 1 270.373 0.494 20 30 CCEDMN C=C[C@H](C)ON1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000843314016 613483376 /nfs/dbraw/zinc/48/33/76/613483376.db2.gz AWRZCMDJJFDZMV-CBAPKCEASA-N 0 1 250.258 0.379 20 30 CCEDMN C=CCCN1CCN(C(=O)C(C)(C)C(N)=O)CC1 ZINC000948882152 613502038 /nfs/dbraw/zinc/50/20/38/613502038.db2.gz ABHPFPCAIMFMSQ-UHFFFAOYSA-N 0 1 253.346 0.218 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)[C@H](C)OC)C2)C1 ZINC000972283583 613513748 /nfs/dbraw/zinc/51/37/48/613513748.db2.gz DOOLYJXFLPZWBK-ZFWWWQNUSA-N 0 1 282.384 0.901 20 30 CCEDMN CC#CC(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000801144815 613517768 /nfs/dbraw/zinc/51/77/68/613517768.db2.gz XZXAHOVIMJAYPB-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC000970813304 613530723 /nfs/dbraw/zinc/53/07/23/613530723.db2.gz ILEHDICRDOUUDK-TZMCWYRMSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2OCC[C@H]2C)C1 ZINC000970446008 613531245 /nfs/dbraw/zinc/53/12/45/613531245.db2.gz YPAIKPLQKHCVRD-BZPMIXESSA-N 0 1 264.369 0.871 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2COCCN2CC)C1 ZINC000970002446 613536775 /nfs/dbraw/zinc/53/67/75/613536775.db2.gz WQBTXGNGVAPAAH-DZGCQCFKSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000970432703 613538189 /nfs/dbraw/zinc/53/81/89/613538189.db2.gz CGTVYMITUXQNJI-JTQLQIEISA-N 0 1 288.351 0.187 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])[C@H](O)C1 ZINC000957985330 613549891 /nfs/dbraw/zinc/54/98/91/613549891.db2.gz GCDDQKDYXSWPDD-GHMZBOCLSA-N 0 1 294.355 0.022 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965952309 613571766 /nfs/dbraw/zinc/57/17/66/613571766.db2.gz KWEQWGHHPZCDIT-DOMZBBRYSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966892030 613576127 /nfs/dbraw/zinc/57/61/27/613576127.db2.gz JFCMZZDRIDUDLP-DGCLKSJQSA-N 0 1 288.351 0.646 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](C)C1 ZINC000941963120 613588338 /nfs/dbraw/zinc/58/83/38/613588338.db2.gz JQNWDJIDNLBCBN-CMPLNLGQSA-N 0 1 290.367 0.887 20 30 CCEDMN C=CCCn1cc(CN=Nc2nccn(C)c2=O)nn1 ZINC000853315025 613597683 /nfs/dbraw/zinc/59/76/83/613597683.db2.gz WOZMCHCCEWGYDJ-UHFFFAOYSA-N 0 1 273.300 0.394 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@@H]1CCN(CCOC)C1)C(C)C ZINC000804900814 613603466 /nfs/dbraw/zinc/60/34/66/613603466.db2.gz JSMBXTOKLIMDCY-CYBMUJFWSA-N 0 1 297.399 0.246 20 30 CCEDMN C=CCN(C(=O)C1CN([C@@H]2CCOC2)C1)[C@@H](C)COC ZINC000912311853 613604774 /nfs/dbraw/zinc/60/47/74/613604774.db2.gz AOTWSBGGPQKIJM-GXTWGEPZSA-N 0 1 282.384 0.757 20 30 CCEDMN C=CCN(C(=O)NCc1n[nH]c(=O)n1C)C1CC1 ZINC000889579188 613606422 /nfs/dbraw/zinc/60/64/22/613606422.db2.gz WBVPVTLRUKAMTN-UHFFFAOYSA-N 0 1 251.290 0.381 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H](C)n2cncn2)C(C)(C)C1 ZINC000974485461 613609228 /nfs/dbraw/zinc/60/92/28/613609228.db2.gz QTKHSCYPRPETNK-CHWSQXEVSA-N 0 1 289.383 0.689 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C)n2C)C1 ZINC000957872490 613612836 /nfs/dbraw/zinc/61/28/36/613612836.db2.gz CJVXVTFNVILEGC-DZGCQCFKSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccco2)C1 ZINC000957830702 613613144 /nfs/dbraw/zinc/61/31/44/613613144.db2.gz PJTZUVODGVOZER-NWDGAFQWSA-N 0 1 262.309 0.325 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2nocc2C)C1 ZINC000958571454 613619537 /nfs/dbraw/zinc/61/95/37/613619537.db2.gz XILNZWZLOZHHIV-RYUDHWBXSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2ncc[nH]2)C(C)(C)C1 ZINC000977376702 613621142 /nfs/dbraw/zinc/62/11/42/613621142.db2.gz IUZGAJPUFNJUNT-LBPRGKRZSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CN(C)CCO2)C(C)(C)C1 ZINC000972990791 613621958 /nfs/dbraw/zinc/62/19/58/613621958.db2.gz AVRCRFALQDRGNV-KGLIPLIRSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H](C)n2cncn2)C(C)(C)C1 ZINC000974485466 613622029 /nfs/dbraw/zinc/62/20/29/613622029.db2.gz QTKHSCYPRPETNK-STQMWFEESA-N 0 1 289.383 0.689 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2ccn[nH]2)C(C)(C)C1 ZINC000972826849 613623439 /nfs/dbraw/zinc/62/34/39/613623439.db2.gz BMVGMTXBDLCLMP-LBPRGKRZSA-N 0 1 260.341 0.873 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccccc2)C1 ZINC000957825671 613625287 /nfs/dbraw/zinc/62/52/87/613625287.db2.gz IXTXLYHZOATJLL-CABCVRRESA-N 0 1 272.348 0.732 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cnccc2C)C1 ZINC000957957332 613625967 /nfs/dbraw/zinc/62/59/67/613625967.db2.gz LDJUPAQPWFGWNY-HIFRSBDPSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cccn2CC)C1 ZINC000958683753 613626053 /nfs/dbraw/zinc/62/60/53/613626053.db2.gz HJYBEHFTQUPUFL-HIFRSBDPSA-N 0 1 289.379 0.554 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cnn(C)c1 ZINC000958384392 613635119 /nfs/dbraw/zinc/63/51/19/613635119.db2.gz VWJZDQYBBNYBNL-NHAGDIPZSA-N 0 1 272.352 0.032 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC000961302661 613636473 /nfs/dbraw/zinc/63/64/73/613636473.db2.gz NXOJSCQXUMMPEV-OWYFMNJBSA-N 0 1 288.391 0.871 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]nc1C ZINC000961430174 613638240 /nfs/dbraw/zinc/63/82/40/613638240.db2.gz MQMFEJUQDRLOAN-ITGUQSILSA-N 0 1 258.325 0.401 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(N(C)C)ccn1 ZINC000962989966 613639098 /nfs/dbraw/zinc/63/90/98/613639098.db2.gz YOHZAMBWVORWKM-FOLVSLTJSA-N 0 1 298.390 0.831 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(F)c[nH]1 ZINC000961226988 613639237 /nfs/dbraw/zinc/63/92/37/613639237.db2.gz MYVKBLKPSVOEDO-PJXYFTJBSA-N 0 1 261.300 0.837 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C)nc1C ZINC000962082833 613640908 /nfs/dbraw/zinc/64/09/08/613640908.db2.gz LQGALXHKMSGLNH-FICVDOATSA-N 0 1 284.363 0.777 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)[C@H](C)[NH+]1CCSCC1 ZINC000909515694 613643197 /nfs/dbraw/zinc/64/31/97/613643197.db2.gz FRWYOPSXOIBSHU-JTQLQIEISA-N 0 1 272.370 0.523 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccn1 ZINC000962098487 613643263 /nfs/dbraw/zinc/64/32/63/613643263.db2.gz FXDIXKAQVVPKBC-GDNZZTSVSA-N 0 1 256.309 0.160 20 30 CCEDMN C=CC[N@H+](CC(=O)[O-])C[C@H](O)COCc1ccco1 ZINC000846264514 613643703 /nfs/dbraw/zinc/64/37/03/613643703.db2.gz GLBGFQHPSLIWSB-NSHDSACASA-N 0 1 269.297 0.730 20 30 CCEDMN C=CC[N@@H+](CC(=O)[O-])C[C@H](O)COCc1ccco1 ZINC000846264514 613643705 /nfs/dbraw/zinc/64/37/05/613643705.db2.gz GLBGFQHPSLIWSB-NSHDSACASA-N 0 1 269.297 0.730 20 30 CCEDMN CC#CCNC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833380335 613643857 /nfs/dbraw/zinc/64/38/57/613643857.db2.gz BNNAEPZDLMGCPO-NSHDSACASA-N 0 1 267.329 0.200 20 30 CCEDMN C=CCN(CC=C)C(=O)CNC1(C(=O)NC)CCOCC1 ZINC000887083276 613647304 /nfs/dbraw/zinc/64/73/04/613647304.db2.gz VWRNWSMOBRYKBU-UHFFFAOYSA-N 0 1 295.383 0.072 20 30 CCEDMN C=CCN(CCC#N)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897823851 613649010 /nfs/dbraw/zinc/64/90/10/613649010.db2.gz NHAQQJSYQDYJAS-CYBMUJFWSA-N 0 1 263.341 0.635 20 30 CCEDMN C=CC[N@H+](CN1C[C@H](NC(=O)[O-])CC1=O)C(C)C ZINC000833788337 613651151 /nfs/dbraw/zinc/65/11/51/613651151.db2.gz VHHYYMZTQYUVRJ-SNVBAGLBSA-N 0 1 255.318 0.709 20 30 CCEDMN C=CC[N@@H+](CN1C[C@H](NC(=O)[O-])CC1=O)C(C)C ZINC000833788337 613651155 /nfs/dbraw/zinc/65/11/55/613651155.db2.gz VHHYYMZTQYUVRJ-SNVBAGLBSA-N 0 1 255.318 0.709 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC000970717269 613662925 /nfs/dbraw/zinc/66/29/25/613662925.db2.gz APXVZTUJTMWZLK-DGCLKSJQSA-N 0 1 294.399 0.542 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(=O)n(C)o2)C1 ZINC000969572101 613663820 /nfs/dbraw/zinc/66/38/20/613663820.db2.gz FBWLCYCWYWHOEU-SECBINFHSA-N 0 1 265.313 0.214 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cn(C)nc2COC)C1 ZINC000969989737 613666348 /nfs/dbraw/zinc/66/63/48/613666348.db2.gz AWAFKEIDFRYVSV-LLVKDONJSA-N 0 1 292.383 0.803 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C2CN(C(C)=O)C2)C1 ZINC000969567595 613669071 /nfs/dbraw/zinc/66/90/71/613669071.db2.gz FXBMHFAQGHAYON-JTQLQIEISA-N 0 1 265.357 0.087 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC000970735580 613669626 /nfs/dbraw/zinc/66/96/26/613669626.db2.gz SFJWPFMDJZZGDM-GXTWGEPZSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000969247941 613671426 /nfs/dbraw/zinc/67/14/26/613671426.db2.gz ZUTVXPFHWMEZJM-VIFPVBQESA-N 0 1 262.313 0.418 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccoc2CC(N)=O)C1 ZINC000970337715 613672690 /nfs/dbraw/zinc/67/26/90/613672690.db2.gz DFSKUYGBGCXOFM-JTQLQIEISA-N 0 1 291.351 0.544 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cn(CC)nn2)C1 ZINC000970658752 613672763 /nfs/dbraw/zinc/67/27/63/613672763.db2.gz GNUZSLIAUBDCHL-JTQLQIEISA-N 0 1 263.345 0.534 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CC(=O)N(CCC)C2)CC1 ZINC000982049036 613690702 /nfs/dbraw/zinc/69/07/02/613690702.db2.gz DWGGPAQMCYWWTP-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCC(=O)NC2)CC1 ZINC000981523729 613693357 /nfs/dbraw/zinc/69/33/57/613693357.db2.gz XUWZCLZRNDCTJM-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC000982966179 613696275 /nfs/dbraw/zinc/69/62/75/613696275.db2.gz FQZODEJYBGFHNJ-UHFFFAOYSA-N 0 1 276.340 0.448 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cnc[nH]c2=O)CC1 ZINC000981414123 613698690 /nfs/dbraw/zinc/69/86/90/613698690.db2.gz GLYNHSQHRWZFPM-UHFFFAOYSA-N 0 1 262.313 0.516 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000967745666 613729137 /nfs/dbraw/zinc/72/91/37/613729137.db2.gz FXOZLWBFILXCQP-DGTMBMJNSA-N 0 1 264.369 0.891 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000967745674 613733381 /nfs/dbraw/zinc/73/33/81/613733381.db2.gz FXOZLWBFILXCQP-RGDJUOJXSA-N 0 1 264.369 0.891 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCC2OCCO2)C1=O ZINC000799176959 613738227 /nfs/dbraw/zinc/73/82/27/613738227.db2.gz GIWCFSIEHKOGOA-LLVKDONJSA-N 0 1 254.330 0.468 20 30 CCEDMN CC(=O)N(CC(=O)N[C@H]1CNC[C@@H]1C#N)c1ccccc1 ZINC000884250497 613756430 /nfs/dbraw/zinc/75/64/30/613756430.db2.gz GEOXNIZWWGJCAR-JSGCOSHPSA-N 0 1 286.335 0.267 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cn2cncn2)C1 ZINC000968614770 613772271 /nfs/dbraw/zinc/77/22/71/613772271.db2.gz NHVBITJULCCQBX-NWDGAFQWSA-N 0 1 263.345 0.291 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H]2C[C@@H]3COC[C@H]3O2)C1=O ZINC000930310522 613777210 /nfs/dbraw/zinc/77/72/10/613777210.db2.gz HGQALZWRGLXCTG-ZOBORPQBSA-N 0 1 280.368 0.509 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](O)CC2(O)CCCC2)C1=O ZINC000878549541 613777324 /nfs/dbraw/zinc/77/73/24/613777324.db2.gz HLHCYZFULOOZEM-KGLIPLIRSA-N 0 1 296.411 0.761 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](C(=O)OCC)C2)C1=O ZINC000932639870 613778427 /nfs/dbraw/zinc/77/84/27/613778427.db2.gz SJXHQWISROSORS-RYUDHWBXSA-N 0 1 266.341 0.658 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(CCCCCO)CC2)C1=O ZINC000931496608 613778674 /nfs/dbraw/zinc/77/86/74/613778674.db2.gz UKNLNUCXGFADLJ-HNNXBMFYSA-N 0 1 295.427 0.554 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975046812 613809381 /nfs/dbraw/zinc/80/93/81/613809381.db2.gz XVRANBLRFJPSJJ-IAQYHMDHSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC000958444715 613813497 /nfs/dbraw/zinc/81/34/97/613813497.db2.gz RQXVGTDUMNNOAN-WCQYABFASA-N 0 1 291.351 0.761 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2c[nH]c(=O)n2C)C(C)(C)C1 ZINC000974907354 613829747 /nfs/dbraw/zinc/82/97/47/613829747.db2.gz YUHCBSYVCMFWAK-NSHDSACASA-N 0 1 278.356 0.752 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000879402317 613846758 /nfs/dbraw/zinc/84/67/58/613846758.db2.gz JYFRHPKPEVPLMP-RNCFNFMXSA-N 0 1 276.340 0.494 20 30 CCEDMN C=CCNC(=O)Cc1noc([C@H](C)NCCC)n1 ZINC000843214360 613849118 /nfs/dbraw/zinc/84/91/18/613849118.db2.gz DRHKHJVFTHCLEE-VIFPVBQESA-N 0 1 252.318 0.975 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@@H]1CC[C@H]1OC ZINC000934132925 613849752 /nfs/dbraw/zinc/84/97/52/613849752.db2.gz UBWRLAJWSRERDQ-NXEZZACHSA-N 0 1 255.318 0.107 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1C[C@@H](O)CC(C)(C)C1 ZINC000879618122 613851061 /nfs/dbraw/zinc/85/10/61/613851061.db2.gz RTAXIJMNWXRMAT-MNOVXSKESA-N 0 1 283.372 0.480 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@@H](CF)C1 ZINC000880294884 613851160 /nfs/dbraw/zinc/85/11/60/613851160.db2.gz FOIHPVKPQRZMCM-ZJUUUORDSA-N 0 1 257.309 0.678 20 30 CCEDMN C=CCNC(=O)[C@@H](C)OC(=O)c1ccc([N+](=O)[O-])[nH]1 ZINC000798894999 613854272 /nfs/dbraw/zinc/85/42/72/613854272.db2.gz RKQCOQHVXISVNV-SSDOTTSWSA-N 0 1 267.241 0.770 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(CC1CC1)[C@@H](C(N)=O)C1CC1 ZINC000879494270 613855004 /nfs/dbraw/zinc/85/50/04/613855004.db2.gz PUFPFAOTQFTANT-GXFFZTMASA-N 0 1 279.384 0.653 20 30 CCEDMN C=CCOCC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907478697 613879190 /nfs/dbraw/zinc/87/91/90/613879190.db2.gz YFSURCQHEGXXKY-MRVPVSSYSA-N 0 1 269.330 0.019 20 30 CCEDMN C=CCOCCCC(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913743763 613879665 /nfs/dbraw/zinc/87/96/65/613879665.db2.gz KBEUYWLMZFZUBZ-UHFFFAOYSA-N 0 1 279.344 0.889 20 30 CCEDMN C=CCOCCN1CCCC[C@H]1CS(N)(=O)=O ZINC000931230251 613880431 /nfs/dbraw/zinc/88/04/31/613880431.db2.gz JNZPQZJUCOBFCJ-NSHDSACASA-N 0 1 262.375 0.332 20 30 CCEDMN C=CCOCCNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897348286 613880654 /nfs/dbraw/zinc/88/06/54/613880654.db2.gz DHVKXMNYTDCCKU-LBPRGKRZSA-N 0 1 254.330 0.026 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CCC[N@@H+]1C(C)C)C(=O)[O-] ZINC000909767578 613882599 /nfs/dbraw/zinc/88/25/99/613882599.db2.gz PTGOTXHEUALFCC-NWDGAFQWSA-N 0 1 284.356 0.631 20 30 CCEDMN C=CCO[N-]C(=O)C[N@@H+]1CCC[C@H](C(=O)OCC)C1 ZINC000909283328 613883132 /nfs/dbraw/zinc/88/31/32/613883132.db2.gz XSVAYSMBPQIFOH-NSHDSACASA-N 0 1 270.329 0.495 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COCc1ccccn1 ZINC000805856294 613930270 /nfs/dbraw/zinc/93/02/70/613930270.db2.gz TUJJQBRTQCHCIP-LBPRGKRZSA-N 0 1 275.308 0.832 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CO[C@H](C)c1nccn1C ZINC000805855315 613934010 /nfs/dbraw/zinc/93/40/10/613934010.db2.gz GQMLUKNPXZHXBR-GHMZBOCLSA-N 0 1 292.339 0.731 20 30 CCEDMN CC(C)(C)N1CC[C@@](F)(C(=O)OCC(=O)NCCC#N)C1 ZINC000811444321 613935601 /nfs/dbraw/zinc/93/56/01/613935601.db2.gz BZQHNVURUMDRNA-AWEZNQCLSA-N 0 1 299.346 0.772 20 30 CCEDMN CC(C)OC[C@H](N)C(=O)N[C@H]1Cc2ccc(C#N)cc2C1 ZINC000868038331 614035051 /nfs/dbraw/zinc/03/50/51/614035051.db2.gz PXEHOFJSSPYKDU-GJZGRUSLSA-N 0 1 287.363 0.894 20 30 CCEDMN CC(=O)Nc1ccc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834510619 614200092 /nfs/dbraw/zinc/20/00/92/614200092.db2.gz DLBFRFHURHLVIE-GXTWGEPZSA-N 0 1 286.335 0.415 20 30 CCEDMN CC(C)[C@H]1CNCCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000903509465 614275045 /nfs/dbraw/zinc/27/50/45/614275045.db2.gz KHRNMRFLGAVXRE-GFCCVEGCSA-N 0 1 294.380 0.572 20 30 CCEDMN CC(C)(C(=O)[O-])[C@H]1CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000135030990 614307775 /nfs/dbraw/zinc/30/77/75/614307775.db2.gz LVFIHQCCVLJTNK-NSHDSACASA-N 0 1 281.356 0.839 20 30 CCEDMN CC(C)c1ncncc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000843462516 614329984 /nfs/dbraw/zinc/32/99/84/614329984.db2.gz QQIDJRCQKMHJIE-GXSJLCMTSA-N 0 1 259.313 0.441 20 30 CCEDMN CC(C)n1cc(NC(=O)N[C@H]2CNC[C@H]2C#N)cn1 ZINC000848598722 614357013 /nfs/dbraw/zinc/35/70/13/614357013.db2.gz QEWDRLRYRSOQBD-KOLCDFICSA-N 0 1 262.317 0.697 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@H]1NC(=O)c1[nH]ncc1F ZINC000941007456 614605816 /nfs/dbraw/zinc/60/58/16/614605816.db2.gz DBLFRMUKBPALNE-SNVBAGLBSA-N 0 1 279.319 0.903 20 30 CCEDMN CCCN1CCC[C@@H]1C(=O)N[C@@H]1CCCN(O)C1=O ZINC000848139223 614664245 /nfs/dbraw/zinc/66/42/45/614664245.db2.gz SOWARHWHXAIRDB-GHMZBOCLSA-N 0 1 269.345 0.357 20 30 CCEDMN CCN(C(=O)[C@H]1CCCCN1C)C1CN(CC#N)C1 ZINC000950631295 614668541 /nfs/dbraw/zinc/66/85/41/614668541.db2.gz JSXMMOLLGBUBHG-CYBMUJFWSA-N 0 1 264.373 0.527 20 30 CCEDMN CCCCCCCN1CCN2[C@H](CNS2(=O)=O)C1 ZINC000933099806 614901112 /nfs/dbraw/zinc/90/11/12/614901112.db2.gz GMPQXLQGQAGDLB-GFCCVEGCSA-N 0 1 275.418 0.791 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000827111687 615021111 /nfs/dbraw/zinc/02/11/11/615021111.db2.gz QNYVLZFSWWWWDA-LLVKDONJSA-N 0 1 282.344 0.433 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000827111687 615021115 /nfs/dbraw/zinc/02/11/15/615021115.db2.gz QNYVLZFSWWWWDA-LLVKDONJSA-N 0 1 282.344 0.433 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000827112108 615021492 /nfs/dbraw/zinc/02/14/92/615021492.db2.gz WIPJNAOADLBZST-NSHDSACASA-N 0 1 282.344 0.433 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000827112108 615021494 /nfs/dbraw/zinc/02/14/94/615021494.db2.gz WIPJNAOADLBZST-NSHDSACASA-N 0 1 282.344 0.433 20 30 CCEDMN CCNC(=O)NC1(C(=O)NCC#CCN(C)C)CCCC1 ZINC000913517790 615061241 /nfs/dbraw/zinc/06/12/41/615061241.db2.gz PMRXVSBRESEIOH-UHFFFAOYSA-N 0 1 294.399 0.300 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CC(=O)[O-])C(C)C ZINC000820332929 615061569 /nfs/dbraw/zinc/06/15/69/615061569.db2.gz NCVSAAQZQJCYTL-NSHDSACASA-N 0 1 269.345 0.790 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CC(=O)[O-])C(C)C ZINC000820332929 615061570 /nfs/dbraw/zinc/06/15/70/615061570.db2.gz NCVSAAQZQJCYTL-NSHDSACASA-N 0 1 269.345 0.790 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@H]1c1nnc[nH]1 ZINC000931134912 615064358 /nfs/dbraw/zinc/06/43/58/615064358.db2.gz QGSOUAYIJOUEID-NEPJUHHUSA-N 0 1 290.371 0.950 20 30 CCEDMN CC[N@H+]1CCCN(C(=O)[C@@H](C)n2cnc(C#N)n2)[C@H](C)C1 ZINC000910275340 615118765 /nfs/dbraw/zinc/11/87/65/615118765.db2.gz UMMPKTCDLOXPQR-VXGBXAGGSA-N 0 1 290.371 0.653 20 30 CCEDMN CC[N@H+]1CCC[C@@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820348042 615129085 /nfs/dbraw/zinc/12/90/85/615129085.db2.gz HSSXNLVNVCAAOU-ZWNOBZJWSA-N 0 1 267.329 0.591 20 30 CCEDMN CCN1CCC[C@H](NCc2cnc3c(C#N)cnn3c2)C1=O ZINC000896733667 615143234 /nfs/dbraw/zinc/14/32/34/615143234.db2.gz MQNSPPKMDWLDJH-ZDUSSCGKSA-N 0 1 298.350 0.701 20 30 CCEDMN CCOCCOCCCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000852827186 615270161 /nfs/dbraw/zinc/27/01/61/615270161.db2.gz CLXVUEGSFHUREK-VXGBXAGGSA-N 0 1 269.345 0.047 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)N1CCN(C2CC2)[C@H](C#N)C1 ZINC000883894333 615314767 /nfs/dbraw/zinc/31/47/67/615314767.db2.gz BZJVCWQVFUMYMV-UONOGXRCSA-N 0 1 291.399 0.462 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)N1CC[C@@](F)(C#N)C1 ZINC000883898043 615315395 /nfs/dbraw/zinc/31/53/95/615315395.db2.gz RPWGBCOXPCNRKR-CMPLNLGQSA-N 0 1 254.309 0.728 20 30 CCEDMN CCS(=O)(=O)NC1CCN(CCO[C@@H](C)C#N)CC1 ZINC000903010193 615341170 /nfs/dbraw/zinc/34/11/70/615341170.db2.gz PWSDHEKDMSBNKW-NSHDSACASA-N 0 1 289.401 0.319 20 30 CCEDMN CCS(=O)(=O)NCCNCc1csc(C#N)c1 ZINC000921604013 615347963 /nfs/dbraw/zinc/34/79/63/615347963.db2.gz OUZAUAMECBABIY-UHFFFAOYSA-N 0 1 273.383 0.649 20 30 CCEDMN CCO[C@@H](C(=O)C(C#N)C(=O)NC1CC1)C1CCOCC1 ZINC000870143634 615398962 /nfs/dbraw/zinc/39/89/62/615398962.db2.gz WINYMEBQRNPPTA-GXTWGEPZSA-N 0 1 294.351 0.806 20 30 CCEDMN CCO[C@@H]1COCC[C@@H]1CC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000870940166 615421531 /nfs/dbraw/zinc/42/15/31/615421531.db2.gz GJADKXIJIYCGGY-MROQNXINSA-N 0 1 281.356 0.046 20 30 CCEDMN CCOc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834489007 615513321 /nfs/dbraw/zinc/51/33/21/615513321.db2.gz IGGIIUJSNNQOPZ-DGCLKSJQSA-N 0 1 259.309 0.927 20 30 CCEDMN CC[C@@H]1[C@@H](C(=O)N[C@H]2CCCN(O)C2=O)CC[N@H+]1C ZINC000868563861 615585336 /nfs/dbraw/zinc/58/53/36/615585336.db2.gz WSPNZUWWJCBBFF-GARJFASQSA-N 0 1 269.345 0.213 20 30 CCEDMN CC[C@H](C#N)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896533703 615599781 /nfs/dbraw/zinc/59/97/81/615599781.db2.gz JDBLEWSWZQAGIZ-TUAOUCFPSA-N 0 1 252.362 0.287 20 30 CCEDMN CCn1nc2c(cc1=O)CN(CCO[C@@H](C)C#N)CC2 ZINC000801926299 615675371 /nfs/dbraw/zinc/67/53/71/615675371.db2.gz VOUAFIQBMPERFZ-NSHDSACASA-N 0 1 276.340 0.550 20 30 CCEDMN CCc1nc(C)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000834494081 615733885 /nfs/dbraw/zinc/73/38/85/615733885.db2.gz JYWSQLORMZKWLR-DTWKUNHWSA-N 0 1 264.354 0.855 20 30 CCEDMN CN(C(=O)C1CN([C@@H]2CCOC2)C1)[C@@H]1CCC[C@@H]1C#N ZINC000864078026 615805056 /nfs/dbraw/zinc/80/50/56/615805056.db2.gz KNSXDNLCSUQKDU-MRVWCRGKSA-N 0 1 277.368 0.858 20 30 CCEDMN CCc1nnc(C)cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834505993 615808658 /nfs/dbraw/zinc/80/86/58/615808658.db2.gz PHVOVYSXQVWFGH-CABZTGNLSA-N 0 1 259.313 0.189 20 30 CCEDMN CN(C)C1(C(=O)NCc2ccc(C(=O)NO)cc2)CC1 ZINC000907811965 615842905 /nfs/dbraw/zinc/84/29/05/615842905.db2.gz DWCDQUOSSUFZSG-UHFFFAOYSA-N 0 1 277.324 0.516 20 30 CCEDMN CN(C)CC#CCNC(=O)C1(C)COC(C)(C)OC1 ZINC000913520206 615857677 /nfs/dbraw/zinc/85/76/77/615857677.db2.gz IENZUJMMSPWUME-UHFFFAOYSA-N 0 1 268.357 0.457 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cn(C)nc1C1CC1 ZINC000931503439 615858954 /nfs/dbraw/zinc/85/89/54/615858954.db2.gz BANPPFUMXDGKBE-UHFFFAOYSA-N 0 1 275.356 0.984 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1C[C@@H]1NC(=O)OC(C)(C)C ZINC000913523339 615859493 /nfs/dbraw/zinc/85/94/93/615859493.db2.gz WOYDDRKHFALFMX-NEPJUHHUSA-N 0 1 295.383 0.581 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCC(=O)c2cccn21 ZINC000913521697 615859750 /nfs/dbraw/zinc/85/97/50/615859750.db2.gz JSVGXDBYDJMKIV-ZDUSSCGKSA-N 0 1 273.336 0.687 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c[nH]nc1-c1cccnc1 ZINC000913518645 615859990 /nfs/dbraw/zinc/85/99/90/615859990.db2.gz VYTCSNIUZHLWOJ-UHFFFAOYSA-N 0 1 283.335 0.767 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c(C2CCC2)cnn1C ZINC000913524073 615860057 /nfs/dbraw/zinc/86/00/57/615860057.db2.gz YOJBFIGKMQDGPW-UHFFFAOYSA-N 0 1 274.368 0.982 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(=O)[nH]c(C2CC2)c1 ZINC000913521354 615860553 /nfs/dbraw/zinc/86/05/53/615860553.db2.gz VMDAQQYZJGYTJZ-UHFFFAOYSA-N 0 1 273.336 0.959 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(N)nc(Cl)c1 ZINC000913517755 615860597 /nfs/dbraw/zinc/86/05/97/615860597.db2.gz OIYYNRHXZQGVKR-UHFFFAOYSA-N 0 1 266.732 0.612 20 30 CCEDMN CC[C@H](OC1CCCC1)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834490234 615863897 /nfs/dbraw/zinc/86/38/97/615863897.db2.gz SPLDIBHHZYBBDD-DRZSPHRISA-N 0 1 265.357 0.952 20 30 CCEDMN CN(C)c1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834512459 616020640 /nfs/dbraw/zinc/02/06/40/616020640.db2.gz XJGJRWAFGIWSIL-AAEUAGOBSA-N 0 1 258.325 0.594 20 30 CCEDMN CN(C)c1[nH+]cc(CNC(=O)[C@](C)(C#N)CC(=O)[O-])n1C ZINC000820554196 616036180 /nfs/dbraw/zinc/03/61/80/616036180.db2.gz IIPTXLILQCGGOC-ZDUSSCGKSA-N 0 1 293.327 0.107 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC000971720854 616040426 /nfs/dbraw/zinc/04/04/26/616040426.db2.gz VWHANVSOFRSHID-IJLUTSLNSA-N 0 1 273.340 0.569 20 30 CCEDMN CN(CC(=O)N1CCO[C@](C)(C#N)C1)[C@H]1CCSC1 ZINC000887680576 616044851 /nfs/dbraw/zinc/04/48/51/616044851.db2.gz IYFXSKBGKFYSQI-WCQYABFASA-N 0 1 283.397 0.565 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCOCC1)C1CCC1 ZINC000900728234 616046128 /nfs/dbraw/zinc/04/61/28/616046128.db2.gz ZVCFKNFGYKRSOH-UHFFFAOYSA-N 0 1 251.330 0.660 20 30 CCEDMN C[N@@H+](CC(C)(CO)CO)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000833692391 616052359 /nfs/dbraw/zinc/05/23/59/616052359.db2.gz RXIPLCCMDUFHKF-ZDUSSCGKSA-N 0 1 292.335 0.607 20 30 CCEDMN CN(CC1CN(S(C)(=O)=O)C1)[C@@H]1CCC[C@H]1C#N ZINC000931473914 616067157 /nfs/dbraw/zinc/06/71/57/616067157.db2.gz CMGRVRBSLAHQST-NWDGAFQWSA-N 0 1 271.386 0.502 20 30 CCEDMN CN([C@H]1CN2CCC1CC2)S(=O)(=O)CC(C)(C)C#N ZINC000916478157 616118129 /nfs/dbraw/zinc/11/81/29/616118129.db2.gz FZPODJKTBPKXOG-LBPRGKRZSA-N 0 1 285.413 0.892 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)CC1 ZINC000878223244 616165896 /nfs/dbraw/zinc/16/58/96/616165896.db2.gz MOGMYKLXQLYLQZ-RWMBFGLXSA-N 0 1 277.368 0.906 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)N1CCC(CC#N)CC1 ZINC000907288617 616315558 /nfs/dbraw/zinc/31/55/58/616315558.db2.gz GEHKWEGJNBONQP-JSGCOSHPSA-N 0 1 265.357 0.594 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850756719 616492077 /nfs/dbraw/zinc/49/20/77/616492077.db2.gz DJEVNMCPVXCKPO-ZDCRXTMVSA-N 0 1 250.298 0.504 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)[C@@H]1CCCCN1C ZINC000825374424 616545343 /nfs/dbraw/zinc/54/53/43/616545343.db2.gz MVGXMUYUZBZKDY-NSHDSACASA-N 0 1 267.329 0.386 20 30 CCEDMN COCCOCCOCCN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000930828310 616552571 /nfs/dbraw/zinc/55/25/71/616552571.db2.gz JMNQLXASJUDNLK-HUUCEWRRSA-N 0 1 299.415 0.584 20 30 CCEDMN COC(=O)[C@@]1(O)CCN(Cc2ccc(C#N)cc2C)C1 ZINC000880566711 616560916 /nfs/dbraw/zinc/56/09/16/616560916.db2.gz QQXFVSXESCIJMT-OAHLLOKOSA-N 0 1 274.320 0.977 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)C#CC(C)C ZINC000831262947 616610522 /nfs/dbraw/zinc/61/05/22/616610522.db2.gz ILLHPPGNQHQWQM-NSHDSACASA-N 0 1 263.297 0.269 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1N[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000934042931 616675346 /nfs/dbraw/zinc/67/53/46/616675346.db2.gz ZHLASNIRPRGHDJ-BHPKHCPMSA-N 0 1 297.399 0.823 20 30 CCEDMN COC(=O)c1c(C)[nH]c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1C ZINC000834504825 616809921 /nfs/dbraw/zinc/80/99/21/616809921.db2.gz FOJJQDWKSPXPFC-UWVGGRQHSA-N 0 1 290.323 0.260 20 30 CCEDMN CO[C@@H]1COCC[C@H]1N(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000880424766 616811341 /nfs/dbraw/zinc/81/13/41/616811341.db2.gz LHBZGYCGOWDXBD-UMVBOHGHSA-N 0 1 295.383 0.531 20 30 CCEDMN CO[C@@H]1C[C@@H](N(C)CC(=O)NCCC#N)C12CCC2 ZINC000832205035 616846711 /nfs/dbraw/zinc/84/67/11/616846711.db2.gz VRRGVZUDTYKOTG-VXGBXAGGSA-N 0 1 265.357 0.906 20 30 CCEDMN COC[C@]1(CO)CCN(Cc2ccc(C#N)o2)C1 ZINC000878688118 616876348 /nfs/dbraw/zinc/87/63/48/616876348.db2.gz XMNYJNIBLCMGIE-CYBMUJFWSA-N 0 1 250.298 0.982 20 30 CCEDMN COCCN(CCC(=O)OC)Cc1cccc(C#N)n1 ZINC000876736951 616999876 /nfs/dbraw/zinc/99/98/76/616999876.db2.gz NLIOVVOGXSHPHY-UHFFFAOYSA-N 0 1 277.324 0.965 20 30 CCEDMN CNS(=O)(=O)CCNCc1c(C)cc(C#N)cc1C ZINC000922035186 617021109 /nfs/dbraw/zinc/02/11/09/617021109.db2.gz BKBJCTLCNUSDPJ-UHFFFAOYSA-N 0 1 281.381 0.814 20 30 CCEDMN CON=C(C(=O)N[C@@H]1CCCN(C)C1)c1csc(N)n1 ZINC000874390005 617102954 /nfs/dbraw/zinc/10/29/54/617102954.db2.gz WSORTGZUSQESMM-MRVPVSSYSA-N 0 1 297.384 0.286 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCC[C@H](OC)C1 ZINC001025988792 625316985 /nfs/dbraw/zinc/31/69/85/625316985.db2.gz MBDWNTLMBVAKKN-SEBNEYGDSA-N 0 1 276.380 0.871 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@]12CCOC2 ZINC001026137747 625341164 /nfs/dbraw/zinc/34/11/64/625341164.db2.gz PFULKTFXJLYGDD-QKDZRIPBSA-N 0 1 274.364 0.483 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CN2CCCNC2=O)C1 ZINC001007773666 625345649 /nfs/dbraw/zinc/34/56/49/625345649.db2.gz DZPDXUDZXCKDKB-CYBMUJFWSA-N 0 1 292.383 0.006 20 30 CCEDMN C#CC[NH2+][C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@@H]21 ZINC001026248116 625373086 /nfs/dbraw/zinc/37/30/86/625373086.db2.gz LGLNYLJWWNHRSH-RWMBFGLXSA-N 0 1 285.347 0.861 20 30 CCEDMN Cc1noc(CNC[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001026732721 625579044 /nfs/dbraw/zinc/57/90/44/625579044.db2.gz MSONZBNNXIBNHL-MWLCHTKSSA-N 0 1 277.328 0.476 20 30 CCEDMN C[C@H]1C(=O)NCCCN1C[C@@H](O)CC1(C#N)CCC1 ZINC000934810689 622870375 /nfs/dbraw/zinc/87/03/75/622870375.db2.gz JKQPRDAKIMUMBQ-RYUDHWBXSA-N 0 1 265.357 0.642 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001023402496 623024620 /nfs/dbraw/zinc/02/46/20/623024620.db2.gz CEZUQSCMFHSRQZ-NSHDSACASA-N 0 1 298.350 0.428 20 30 CCEDMN Cc1nonc1CNC[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001026834995 625635432 /nfs/dbraw/zinc/63/54/32/625635432.db2.gz OAMPLZNSAYUHMY-ZDUSSCGKSA-N 0 1 288.351 0.730 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1=COCCO1 ZINC001024316073 623283428 /nfs/dbraw/zinc/28/34/28/623283428.db2.gz HRXVFEVXEXHQPN-ZDUSSCGKSA-N 0 1 278.352 0.869 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cnn(C)c1N ZINC001024405869 623314355 /nfs/dbraw/zinc/31/43/55/623314355.db2.gz CSWLVWAZWXMSNW-LBPRGKRZSA-N 0 1 289.383 0.610 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001024467029 623331409 /nfs/dbraw/zinc/33/14/09/623331409.db2.gz BJWJHKUORDEWHF-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001024467028 623331991 /nfs/dbraw/zinc/33/19/91/623331991.db2.gz BJWJHKUORDEWHF-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCNC1=O ZINC001024491800 623335081 /nfs/dbraw/zinc/33/50/81/623335081.db2.gz FSJKPDVKWLHJHW-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001024488720 623336155 /nfs/dbraw/zinc/33/61/55/623336155.db2.gz UKXSOUQIRYATPR-ZNMIVQPWSA-N 0 1 294.395 0.784 20 30 CCEDMN C[N@H+]1CCCC[C@@H]1C(=O)NC[C@@H]1CCCCN1CC#N ZINC001024514162 623344263 /nfs/dbraw/zinc/34/42/63/623344263.db2.gz WLHNMYZPGUYLEK-UONOGXRCSA-N 0 1 278.400 0.965 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@@H]1CCCCN1CC#N ZINC001024514162 623344266 /nfs/dbraw/zinc/34/42/66/623344266.db2.gz WLHNMYZPGUYLEK-UONOGXRCSA-N 0 1 278.400 0.965 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1COCCN1CC ZINC001024516760 623347579 /nfs/dbraw/zinc/34/75/79/623347579.db2.gz NCDSXZRDIKMTFV-CABCVRRESA-N 0 1 295.427 0.864 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001024580070 623376491 /nfs/dbraw/zinc/37/64/91/623376491.db2.gz QMTPZZBADIWMMR-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1[nH]nnc1C ZINC001024588454 623382820 /nfs/dbraw/zinc/38/28/20/623382820.db2.gz ZZBWNCDAZFDKOT-NSHDSACASA-N 0 1 263.345 0.883 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001024599920 623390434 /nfs/dbraw/zinc/39/04/34/623390434.db2.gz RWTBIYBLHLXXMR-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@H]1CNC(=O)c1ncn[n-]1 ZINC001024716466 623421381 /nfs/dbraw/zinc/42/13/81/623421381.db2.gz WAPMZWLYWGMMGM-NSHDSACASA-N 0 1 261.329 0.412 20 30 CCEDMN C#CCCN1CCCC[C@H]1CNC(=O)c1cnon1 ZINC001024721281 623423052 /nfs/dbraw/zinc/42/30/52/623423052.db2.gz YVECAGVOHOUXNK-NSHDSACASA-N 0 1 262.313 0.677 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001024817719 623453748 /nfs/dbraw/zinc/45/37/48/623453748.db2.gz FNIWGIYLXCHSDX-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CN(CC#N)CC2(C)C)[nH]n1 ZINC000973023732 623567377 /nfs/dbraw/zinc/56/73/77/623567377.db2.gz ASSPBWHNQOLZMU-LBPRGKRZSA-N 0 1 275.356 0.611 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001019273304 623805820 /nfs/dbraw/zinc/80/58/20/623805820.db2.gz AQDHYHIERSHZJJ-FZMZJTMJSA-N 0 1 299.802 0.846 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@@H]1CCCN(CC#N)C1 ZINC001025470413 623810252 /nfs/dbraw/zinc/81/02/52/623810252.db2.gz XJTVFRPOGHGSJU-NXEZZACHSA-N 0 1 262.317 0.159 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]([C@H](C)NC(=O)c2cccnn2)C1 ZINC001025572505 623833410 /nfs/dbraw/zinc/83/34/10/623833410.db2.gz DTEYVELQHOMLJT-QWHCGFSZSA-N 0 1 272.352 0.940 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC000974973190 625705474 /nfs/dbraw/zinc/70/54/74/625705474.db2.gz DEBYXYMJHBHCNU-CKYFFXLPSA-N 0 1 287.323 0.242 20 30 CCEDMN C[C@H]1CCCN1CC(=O)N[C@H]1CN(CC#N)CC1(C)C ZINC000975056927 625716251 /nfs/dbraw/zinc/71/62/51/625716251.db2.gz ZWGMRUADYQBNSA-STQMWFEESA-N 0 1 278.400 0.821 20 30 CCEDMN C[C@@H]1CCCN1CC(=O)N[C@@H]1CN(CC#N)CC1(C)C ZINC000975056912 625716831 /nfs/dbraw/zinc/71/68/31/625716831.db2.gz ZWGMRUADYQBNSA-CHWSQXEVSA-N 0 1 278.400 0.821 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NC(=O)Cc2cnc[nH]2)[C@@H]1C ZINC001020538332 624505036 /nfs/dbraw/zinc/50/50/36/624505036.db2.gz DWYCZFAXDWSFLF-NHCYSSNCSA-N 0 1 289.339 0.217 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)[C@H]2COCCO2)C1 ZINC001006812425 624648798 /nfs/dbraw/zinc/64/87/98/624648798.db2.gz PGGLGOMXNOPXTF-CHWSQXEVSA-N 0 1 266.341 0.006 20 30 CCEDMN O=C(CCc1c[nH]nn1)NCC#C[C@@H]1CCCCO1 ZINC000891116056 624729532 /nfs/dbraw/zinc/72/95/32/624729532.db2.gz KCZPHBLSYFDBCN-LBPRGKRZSA-N 0 1 262.313 0.426 20 30 CCEDMN O=C(CCc1cnn[nH]1)NCC#C[C@@H]1CCCCO1 ZINC000891116056 624729536 /nfs/dbraw/zinc/72/95/36/624729536.db2.gz KCZPHBLSYFDBCN-LBPRGKRZSA-N 0 1 262.313 0.426 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001009556512 625845132 /nfs/dbraw/zinc/84/51/32/625845132.db2.gz BFAYNZGUEFAFMN-ZDUSSCGKSA-N 0 1 288.351 0.561 20 30 CCEDMN C[C@@H](C(N)=O)N(C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027402007 625870166 /nfs/dbraw/zinc/87/01/66/625870166.db2.gz KPKVTTGIKLJASX-WCQYABFASA-N 0 1 277.368 0.196 20 30 CCEDMN Cc1ccn2ncnc2c1NC(=O)NCC#CCN(C)C ZINC000931518933 625925535 /nfs/dbraw/zinc/92/55/35/625925535.db2.gz OSRCGGFYDUEMPS-UHFFFAOYSA-N 0 1 286.339 0.724 20 30 CCEDMN N#C[C@H]1CCC[N@H+](CCC(=O)N2CC[NH2+]CC2)C1 ZINC000903564257 634608540 /nfs/dbraw/zinc/60/85/40/634608540.db2.gz SCNGFCCPLBMFTI-GFCCVEGCSA-N 0 1 250.346 0.044 20 30 CCEDMN COc1nccc(/C=C2\C(=O)c3ncnn3C2C)n1 ZINC000905087068 634791201 /nfs/dbraw/zinc/79/12/01/634791201.db2.gz VIDIYCGCYGNUDH-VYVBZAQUSA-N 0 1 257.253 0.918 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCOC1 ZINC001027784430 630914571 /nfs/dbraw/zinc/91/45/71/630914571.db2.gz JVLJPBQIFMIDRM-STQMWFEESA-N 0 1 250.342 0.627 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@H]1CCCN1CC#N ZINC001027792752 630923121 /nfs/dbraw/zinc/92/31/21/630923121.db2.gz VHHULBXOKATJDJ-NEPJUHHUSA-N 0 1 250.346 0.185 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cn(C)nc1C ZINC001027796772 630925988 /nfs/dbraw/zinc/92/59/88/630925988.db2.gz KUAAYAHNNPZYIS-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN Cc1oncc1CN[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000967945412 650006164 /nfs/dbraw/zinc/00/61/64/650006164.db2.gz WTGYHLKGWZZICW-JOYOIKCWSA-N 0 1 262.313 0.833 20 30 CCEDMN O=C1[C@@H](NCc2ccc(-n3cncn3)cc2)CCCN1O ZINC000895164631 631999767 /nfs/dbraw/zinc/99/97/67/631999767.db2.gz FVDLYMIPYPBISO-ZDUSSCGKSA-N 0 1 287.323 0.737 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)n1C)[C@@H]1CN(C)CCN1C ZINC000896541163 632273762 /nfs/dbraw/zinc/27/37/62/632273762.db2.gz ZQWCGNRVJOPSJH-RISCZKNCSA-N 0 1 289.383 0.261 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000896546526 632277042 /nfs/dbraw/zinc/27/70/42/632277042.db2.gz WFSNHRPZSUHFSJ-OLZOCXBDSA-N 0 1 251.374 0.540 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001007096671 650065813 /nfs/dbraw/zinc/06/58/13/650065813.db2.gz FFQFJJOYYQZZBX-NEPJUHHUSA-N 0 1 265.357 0.279 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CCN1C(=O)[C@H](C)C#N ZINC000985271007 632405253 /nfs/dbraw/zinc/40/52/53/632405253.db2.gz IZRQFKOJABODMA-KXUCPTDWSA-N 0 1 290.327 0.074 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CCN1C(=O)[C@H](C)C#N ZINC000985271007 632405255 /nfs/dbraw/zinc/40/52/55/632405255.db2.gz IZRQFKOJABODMA-KXUCPTDWSA-N 0 1 290.327 0.074 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC001007173028 650070067 /nfs/dbraw/zinc/07/00/67/650070067.db2.gz LFOWJUUXVXGCQQ-JTQLQIEISA-N 0 1 263.345 0.383 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001007375895 650075908 /nfs/dbraw/zinc/07/59/08/650075908.db2.gz VNEMLHBLTOAVDQ-OBJOEFQTSA-N 0 1 250.342 0.790 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1CCCN(CCO)C1 ZINC001007356301 650076205 /nfs/dbraw/zinc/07/62/05/650076205.db2.gz GTYJLZQOBHVOBK-MCIONIFRSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cn3c(n2)COCC3)C1 ZINC001007639839 650087530 /nfs/dbraw/zinc/08/75/30/650087530.db2.gz JNGWMFVWIHLZHS-GFCCVEGCSA-N 0 1 290.367 0.794 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCn2cnnn2)[C@H]1C ZINC000987247384 632847788 /nfs/dbraw/zinc/84/77/88/632847788.db2.gz GFUOBHUDZDGGHP-QWRGUYRKSA-N 0 1 298.778 0.395 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCN(C(=O)[C@H](C)C#N)C2)[nH]n1 ZINC000937811773 633080466 /nfs/dbraw/zinc/08/04/66/633080466.db2.gz SGSUKVZCZGHVJY-KOLCDFICSA-N 0 1 289.339 0.137 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cn2nccn2)C1 ZINC000988883448 633125202 /nfs/dbraw/zinc/12/52/02/633125202.db2.gz CLZRDAWWGPJSNM-WDEREUQCSA-N 0 1 283.763 0.610 20 30 CCEDMN C=CC[N@H+]1CCCN(C(=O)[C@H]2CCc3nncn3C2)CC1 ZINC000989728470 633349247 /nfs/dbraw/zinc/34/92/47/633349247.db2.gz LNTBBGLVVSZOSC-ZDUSSCGKSA-N 0 1 289.383 0.561 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCc3nncn3C2)CC1 ZINC000989728470 633349253 /nfs/dbraw/zinc/34/92/53/633349253.db2.gz LNTBBGLVVSZOSC-ZDUSSCGKSA-N 0 1 289.383 0.561 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC001007063002 633359115 /nfs/dbraw/zinc/35/91/15/633359115.db2.gz KQAXVPPWTMQGSG-CYBMUJFWSA-N 0 1 274.368 0.865 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC001007063002 633359118 /nfs/dbraw/zinc/35/91/18/633359118.db2.gz KQAXVPPWTMQGSG-CYBMUJFWSA-N 0 1 274.368 0.865 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnn(C)c3)CCC[C@@H]12 ZINC000989943602 633392136 /nfs/dbraw/zinc/39/21/36/633392136.db2.gz YNXPOELTLDUIPU-HIFRSBDPSA-N 0 1 272.352 0.780 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H]1CCCN(CCO)C1 ZINC001008843750 650129055 /nfs/dbraw/zinc/12/90/55/650129055.db2.gz DSTFCRBCXABJMN-IHRRRGAJSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2nc3ncccn3n2)C1 ZINC001008920106 650132238 /nfs/dbraw/zinc/13/22/38/650132238.db2.gz UHZKHIJLCOAYPI-LBPRGKRZSA-N 0 1 298.350 0.342 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001009259955 650141447 /nfs/dbraw/zinc/14/14/47/650141447.db2.gz LPGKQZOEPYVIFT-ZDUSSCGKSA-N 0 1 287.363 0.603 20 30 CCEDMN Cc1nc(C=NNC2=NC[C@H](C)N2)c(Br)[nH]1 ZINC000901217337 634210955 /nfs/dbraw/zinc/21/09/55/634210955.db2.gz WRWGUBUFGVDUGT-YFKPBYRVSA-N 0 1 285.149 0.752 20 30 CCEDMN N#CC[C@@H](NS(=O)(=O)c1c[nH]cn1)C(F)(F)F ZINC000901772153 634276211 /nfs/dbraw/zinc/27/62/11/634276211.db2.gz LXOGPKMWGHVMFR-RXMQYKEDSA-N 0 1 268.220 0.533 20 30 CCEDMN C[C@@H]1CCN(CCNS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000907014783 635062511 /nfs/dbraw/zinc/06/25/11/635062511.db2.gz UXJOQDTVPZBSQG-LLVKDONJSA-N 0 1 294.380 0.573 20 30 CCEDMN N#Cc1sccc1C(=O)N[C@H](CO)CN1CCCC1 ZINC000908203480 635315317 /nfs/dbraw/zinc/31/53/17/635315317.db2.gz DSGARIFNLACEMP-JTQLQIEISA-N 0 1 279.365 0.806 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@H]3CCCC(=O)N3)CCC[C@@H]12 ZINC000991250080 635728686 /nfs/dbraw/zinc/72/86/86/635728686.db2.gz YCWRIXMKWSGDKR-IOASZLSFSA-N 0 1 289.379 0.402 20 30 CCEDMN C[C@@H]1C(=O)N(NC(=O)c2sccc2CC#N)C(=O)N1C ZINC000912817651 636684055 /nfs/dbraw/zinc/68/40/55/636684055.db2.gz ZQXUAZOVGQRZMO-SSDOTTSWSA-N 0 1 292.320 0.741 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CC[C@H](N3CCC3)C2)cn1 ZINC000912898608 636693953 /nfs/dbraw/zinc/69/39/53/636693953.db2.gz NHAAYYYNSMNQBK-AWEZNQCLSA-N 0 1 270.336 0.802 20 30 CCEDMN C[C@@H](C(=O)NCC#CCN(C)C)C(=O)OC(C)(C)C ZINC000913523338 636831747 /nfs/dbraw/zinc/83/17/47/636831747.db2.gz WNDIQLYTDUGTTG-NSHDSACASA-N 0 1 268.357 0.645 20 30 CCEDMN Cc1cc(C(=O)NCC#CCN(C)C)ncc1C#N ZINC000913522858 636832177 /nfs/dbraw/zinc/83/21/77/636832177.db2.gz BVXUHSWGGSGIMZ-UHFFFAOYSA-N 0 1 256.309 0.557 20 30 CCEDMN C[C@H](CC(=O)NCC#CCN(C)C)[C@@H]1CCCO1 ZINC000913523830 636832645 /nfs/dbraw/zinc/83/26/45/636832645.db2.gz OADWSHAJFUNBTA-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN Cc1sc(=O)n(CCC(=O)NCC#CCN(C)C)c1C ZINC000913518880 636834492 /nfs/dbraw/zinc/83/44/92/636834492.db2.gz DXGCNXXOIMJZCV-UHFFFAOYSA-N 0 1 295.408 0.598 20 30 CCEDMN Cc1cc(-n2cncn2)ccc1C(=O)NCC#CCN(C)C ZINC000913519435 636834983 /nfs/dbraw/zinc/83/49/83/636834983.db2.gz ZQOVSLDFIHRGPU-UHFFFAOYSA-N 0 1 297.362 0.871 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC2(CC1)OCCO2 ZINC000045749787 636931870 /nfs/dbraw/zinc/93/18/70/636931870.db2.gz OJVLJBJZPHDUTH-LBPRGKRZSA-N 0 1 281.356 0.586 20 30 CCEDMN CCNS(=O)(=O)CCNCc1ccc(OC)c(C#N)c1 ZINC000230658562 637179606 /nfs/dbraw/zinc/17/96/06/637179606.db2.gz VNUKTWJJWGNMGE-UHFFFAOYSA-N 0 1 297.380 0.596 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ncccn3)CCC[C@H]12 ZINC000992352901 637373028 /nfs/dbraw/zinc/37/30/28/637373028.db2.gz UOGMTNVROGIKOF-SWLSCSKDSA-N 0 1 270.336 0.837 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cccnn3)CCC[C@H]12 ZINC000992346862 637373080 /nfs/dbraw/zinc/37/30/80/637373080.db2.gz KFOWFYRJJJTDCQ-ZFWWWQNUSA-N 0 1 270.336 0.837 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ccn(C)n3)CCC[C@H]12 ZINC000992511743 637459238 /nfs/dbraw/zinc/45/92/38/637459238.db2.gz ZHBFLKIDEABNLO-ZFWWWQNUSA-N 0 1 272.352 0.780 20 30 CCEDMN C[C@H]1CN(C2CC2)C[C@H]1NS(=O)(=O)CC(C)(C)C#N ZINC000914244432 637570091 /nfs/dbraw/zinc/57/00/91/637570091.db2.gz IOGCWQFJFFHAOE-CMPLNLGQSA-N 0 1 285.413 0.938 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CN2CCCC2=O)[C@@H]1C ZINC000993032132 637671122 /nfs/dbraw/zinc/67/11/22/637671122.db2.gz HMGJIVRTIMEXNB-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@@H]1C ZINC000993269201 637761894 /nfs/dbraw/zinc/76/18/94/637761894.db2.gz UEBCCYFXBBUFPA-WFASDCNBSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnnn2C)[C@@H]1C ZINC000993295528 637766483 /nfs/dbraw/zinc/76/64/83/637766483.db2.gz QANPKMIKSMPFGQ-QWRGUYRKSA-N 0 1 263.345 0.584 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc3nn[n-]c3n2)[C@H]1C ZINC000993412748 637803555 /nfs/dbraw/zinc/80/35/55/637803555.db2.gz JUZCCDOYYHCDLU-MNOVXSKESA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2ccc3n[nH]nc3n2)[C@H]1C ZINC000993412748 637803558 /nfs/dbraw/zinc/80/35/58/637803558.db2.gz JUZCCDOYYHCDLU-MNOVXSKESA-N 0 1 298.350 0.569 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)C2=NC(=O)N(C)C2)CCCN1CC#N ZINC000993746338 637841696 /nfs/dbraw/zinc/84/16/96/637841696.db2.gz BANURPIKYZOMOF-ZJUUUORDSA-N 0 1 277.328 0.232 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@@H]1C ZINC000993817940 637848622 /nfs/dbraw/zinc/84/86/22/637848622.db2.gz DKBOUCXCPGEVSV-QWRGUYRKSA-N 0 1 290.367 0.882 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cn2cncn2)[C@H]1C ZINC000994377023 637924826 /nfs/dbraw/zinc/92/48/26/637924826.db2.gz MJILOUNVOXKALU-CHWSQXEVSA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2nonc2C)[C@H]1C ZINC000994534829 637982420 /nfs/dbraw/zinc/98/24/20/637982420.db2.gz ODXNEJLRCOWKLJ-NEPJUHHUSA-N 0 1 276.340 0.984 20 30 CCEDMN C[C@@H](CNS(=O)(=O)N(C)CCC#N)N1CCCCC1 ZINC000917660877 637982481 /nfs/dbraw/zinc/98/24/81/637982481.db2.gz JCYJIGFGABBXRZ-LBPRGKRZSA-N 0 1 288.417 0.541 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000942220176 638631103 /nfs/dbraw/zinc/63/11/03/638631103.db2.gz QJJAPVGERJDHSP-CMPLNLGQSA-N 0 1 287.367 0.862 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnnn2CC)C1 ZINC001014371790 650444435 /nfs/dbraw/zinc/44/44/35/650444435.db2.gz VYARYLCARLAQLH-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN CC1(C)CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C[C@@H]1NCC#N ZINC000995924869 638805051 /nfs/dbraw/zinc/80/50/51/638805051.db2.gz QIOZNFCYRMYYSJ-WZRBSPASSA-N 0 1 287.367 0.863 20 30 CCEDMN O=C(C#CC1CC1)N1CCC(NCc2ncccn2)CC1 ZINC000996383259 638817177 /nfs/dbraw/zinc/81/71/77/638817177.db2.gz IEXXPIMQMREBNV-UHFFFAOYSA-N 0 1 284.363 0.971 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001014460408 650453961 /nfs/dbraw/zinc/45/39/61/650453961.db2.gz KZEFGLHLWQXILX-IJLUTSLNSA-N 0 1 265.357 0.278 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CCC[C@@H](NCC#N)C1 ZINC000998724984 638936756 /nfs/dbraw/zinc/93/67/56/638936756.db2.gz VHBZBRYLQHQFPS-NEPJUHHUSA-N 0 1 250.346 0.185 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CN2CN=NC2=O)C1 ZINC000998956661 638952213 /nfs/dbraw/zinc/95/22/13/638952213.db2.gz MMUVJCCBRZGRCT-JTQLQIEISA-N 0 1 299.762 0.317 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC000999300341 638973285 /nfs/dbraw/zinc/97/32/85/638973285.db2.gz XPEGAOYQEFOOII-RYUDHWBXSA-N 0 1 299.802 0.798 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(C)nnc2C)C1 ZINC001014550104 650461637 /nfs/dbraw/zinc/46/16/37/650461637.db2.gz CCMOHLDFGBUYEZ-ZDUSSCGKSA-N 0 1 272.352 0.921 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(C)no2)CC1 ZINC001000421790 639084092 /nfs/dbraw/zinc/08/40/92/639084092.db2.gz XYQBLCLGSGVTKJ-UHFFFAOYSA-N 0 1 259.309 0.978 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2C[C@H]2OCC)CC1 ZINC001000455864 639089919 /nfs/dbraw/zinc/08/99/19/639089919.db2.gz CCGOXGITEVCEGP-ZIAGYGMSSA-N 0 1 262.353 0.793 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC001000498504 639104161 /nfs/dbraw/zinc/10/41/61/639104161.db2.gz ZMLFAPQWXQRVQU-ZIAGYGMSSA-N 0 1 290.363 0.567 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC001000752488 639164821 /nfs/dbraw/zinc/16/48/21/639164821.db2.gz JIGSSLWXRVBDIL-CQSZACIVSA-N 0 1 289.379 0.236 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cncn2C)CC1 ZINC001000910919 639190077 /nfs/dbraw/zinc/19/00/77/639190077.db2.gz OAJVRCWMOKLTOT-UHFFFAOYSA-N 0 1 258.325 0.415 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cn2c(C)csc2=O)C1 ZINC001016230491 639197310 /nfs/dbraw/zinc/19/73/10/639197310.db2.gz UOOFCGSOHNDQDA-GFCCVEGCSA-N 0 1 293.392 0.432 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001014689677 650478186 /nfs/dbraw/zinc/47/81/86/650478186.db2.gz SDXVZBPQGTUTDN-HIFRSBDPSA-N 0 1 298.390 0.795 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC001001036945 639220456 /nfs/dbraw/zinc/22/04/56/639220456.db2.gz OBLMIXMZYQPJCZ-QWHCGFSZSA-N 0 1 277.368 0.432 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)CC1 ZINC001001178753 639255911 /nfs/dbraw/zinc/25/59/11/639255911.db2.gz NDJPGDAPJPBRIK-JKSUJKDBSA-N 0 1 298.390 0.905 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001014714264 650481168 /nfs/dbraw/zinc/48/11/68/650481168.db2.gz PSEIYJICAJJDND-ZDUSSCGKSA-N 0 1 287.367 0.369 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2ccncn2)CC1 ZINC001001281976 639274272 /nfs/dbraw/zinc/27/42/72/639274272.db2.gz OWULYIQMRBBAOM-UHFFFAOYSA-N 0 1 270.336 0.862 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)c2cnon2)CC1 ZINC001001285862 639276651 /nfs/dbraw/zinc/27/66/51/639276651.db2.gz PXQLGPBPKYTZEC-UHFFFAOYSA-N 0 1 260.297 0.455 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cnon2)CC1 ZINC001001285862 639276658 /nfs/dbraw/zinc/27/66/58/639276658.db2.gz PXQLGPBPKYTZEC-UHFFFAOYSA-N 0 1 260.297 0.455 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn(CC3CC3)nn2)CC1 ZINC001001311375 639279681 /nfs/dbraw/zinc/27/96/81/639279681.db2.gz UBOGELCWWKCAMF-UHFFFAOYSA-N 0 1 299.378 0.683 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3n2CCC3)C1 ZINC001014773237 650487229 /nfs/dbraw/zinc/48/72/29/650487229.db2.gz JOTGLZNIRGZKSI-LLVKDONJSA-N 0 1 260.341 0.819 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCCO2)CC1 ZINC001002273410 639387364 /nfs/dbraw/zinc/38/73/64/639387364.db2.gz QJTNVAQEEJCSQN-CYBMUJFWSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cc(OC)no2)CC1 ZINC001002632970 639435336 /nfs/dbraw/zinc/43/53/36/639435336.db2.gz JZEAMCUCYWOLFO-UHFFFAOYSA-N 0 1 277.324 0.901 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)CC1 ZINC001002949987 639483552 /nfs/dbraw/zinc/48/35/52/639483552.db2.gz PLRLJIMTPSWJAN-CYBMUJFWSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cn2ccc(C)n2)CC1 ZINC001003647262 639585787 /nfs/dbraw/zinc/58/57/87/639585787.db2.gz XKONTXNCTWWLCQ-UHFFFAOYSA-N 0 1 274.368 0.795 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001014983032 650511567 /nfs/dbraw/zinc/51/15/67/650511567.db2.gz HKLZUYAYMHNVEA-OLZOCXBDSA-N 0 1 274.368 0.822 20 30 CCEDMN C=C(Cl)CN1CCC(NC(=O)Cc2nnc[nH]2)CC1 ZINC001003929276 639650658 /nfs/dbraw/zinc/65/06/58/639650658.db2.gz AOYBCMBPAKGPPW-UHFFFAOYSA-N 0 1 283.763 0.680 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3[nH]c(=O)[nH]c3c2)C1 ZINC001015013253 650514724 /nfs/dbraw/zinc/51/47/24/650514724.db2.gz FLURMASABDWRMT-SNVBAGLBSA-N 0 1 287.323 0.654 20 30 CCEDMN Cn1ccc(CNc2n[nH]c(N3CCOCC3)c2C#N)n1 ZINC000922359882 639668112 /nfs/dbraw/zinc/66/81/12/639668112.db2.gz MVAQWHQIKMQXFX-UHFFFAOYSA-N 0 1 287.327 0.464 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cn3c(n2)CCC3)CC1 ZINC001005409427 639909007 /nfs/dbraw/zinc/90/90/07/639909007.db2.gz JAYFKMPVPPYSPG-UHFFFAOYSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC001005468201 639911817 /nfs/dbraw/zinc/91/18/17/639911817.db2.gz BFJSMDXRDXEWEV-GFCCVEGCSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001015253770 650543331 /nfs/dbraw/zinc/54/33/31/650543331.db2.gz PIFSPKOWVOSFDM-ZDUSSCGKSA-N 0 1 274.368 0.485 20 30 CCEDMN N#C[C@@H]1CCCN(C(=O)NCCCc2nc[nH]n2)C1 ZINC000923127685 640028934 /nfs/dbraw/zinc/02/89/34/640028934.db2.gz QQJHMYHVHMXEOU-JTQLQIEISA-N 0 1 262.317 0.682 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc3nnnn3c2)C1 ZINC001015258351 650544179 /nfs/dbraw/zinc/54/41/79/650544179.db2.gz AKHMSXGPMAMOPF-GFCCVEGCSA-N 0 1 286.339 0.505 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@]2(C)CCNC2=O)CC1 ZINC001005988448 640056866 /nfs/dbraw/zinc/05/68/66/640056866.db2.gz VVNYSULDUAAUOD-MRXNPFEDSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(=O)c(OC)co2)C1 ZINC001015278788 650549197 /nfs/dbraw/zinc/54/91/97/650549197.db2.gz QCEHYBNPIPPMRT-NSHDSACASA-N 0 1 290.319 0.476 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001015438821 650563404 /nfs/dbraw/zinc/56/34/04/650563404.db2.gz PEYGBJUVBVBAGA-ZDUSSCGKSA-N 0 1 276.384 0.956 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001015489767 650572393 /nfs/dbraw/zinc/57/23/93/650572393.db2.gz XQINTTJEFGVPRF-CYBMUJFWSA-N 0 1 296.334 0.799 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001015494211 650573209 /nfs/dbraw/zinc/57/32/09/650573209.db2.gz ZBWIJPZUDGNYKQ-NWDGAFQWSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2ccc(S(C)(=O)=O)o2)=NO1 ZINC000765381842 640383240 /nfs/dbraw/zinc/38/32/40/640383240.db2.gz TXVXKUKNLQDBQH-ZCFIWIBFSA-N 0 1 272.282 0.535 20 30 CCEDMN N#Cc1cnn(C(=O)Cc2n[nH]c3c2CCCC3)c1N ZINC000806594904 640792622 /nfs/dbraw/zinc/79/26/22/640792622.db2.gz NMHHVWINBCWZEJ-UHFFFAOYSA-N 0 1 270.296 0.822 20 30 CCEDMN Nc1ccc(CN=Nc2ccc(S(N)(=O)=O)cn2)cn1 ZINC000807962115 640843883 /nfs/dbraw/zinc/84/38/83/640843883.db2.gz CTVGLZDVADNWQJ-UHFFFAOYSA-N 0 1 292.324 0.152 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCc3nnnn3CC2)C1 ZINC001015731101 650618235 /nfs/dbraw/zinc/61/82/35/650618235.db2.gz JJOSVUXFTXORHG-VXGBXAGGSA-N 0 1 290.371 0.002 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1cc2n(n1)CCO2 ZINC000810536568 640937318 /nfs/dbraw/zinc/93/73/18/640937318.db2.gz RJUHCFYARRIXGQ-VIFPVBQESA-N 0 1 297.274 0.632 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncc(OC)n2)C1 ZINC001015798210 650631780 /nfs/dbraw/zinc/63/17/80/650631780.db2.gz ZOPMEJRSVMTOGF-SNVBAGLBSA-N 0 1 262.313 0.475 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001015834864 650636622 /nfs/dbraw/zinc/63/66/22/650636622.db2.gz MMPLDUKHZMPGSF-MNOVXSKESA-N 0 1 251.330 0.032 20 30 CCEDMN C[C@@H](C(=O)N[C@H]1CCN(O)C1=O)N1CCCCCC1 ZINC000816696564 641200239 /nfs/dbraw/zinc/20/02/39/641200239.db2.gz AXPDIGHSSYQYAS-QWRGUYRKSA-N 0 1 269.345 0.357 20 30 CCEDMN N#CCNC(=O)CNC[C@@H](O)c1cc(F)ccc1F ZINC000819310842 641399594 /nfs/dbraw/zinc/39/95/94/641399594.db2.gz HZEOXPGHHBPYII-LLVKDONJSA-N 0 1 269.251 0.228 20 30 CCEDMN N#CCNC(=O)CNC[C@@H](O)c1ccccc1F ZINC000819309924 641399934 /nfs/dbraw/zinc/39/99/34/641399934.db2.gz DUYJEGBOAGVNSQ-LLVKDONJSA-N 0 1 251.261 0.088 20 30 CCEDMN N#CCNC(=O)CNC[C@@H](O)c1ccc(F)c(F)c1 ZINC000819309887 641400136 /nfs/dbraw/zinc/40/01/36/641400136.db2.gz CWZAGGDMTCEQAK-LLVKDONJSA-N 0 1 269.251 0.228 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C(C)C)nn2)C1 ZINC001015914939 650656587 /nfs/dbraw/zinc/65/65/87/650656587.db2.gz FWJTVAHTVSRHAS-NSHDSACASA-N 0 1 263.345 0.849 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC001015957739 650663568 /nfs/dbraw/zinc/66/35/68/650663568.db2.gz CZHLJMXMHRDLEF-STQMWFEESA-N 0 1 286.379 0.728 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001015957739 650663574 /nfs/dbraw/zinc/66/35/74/650663574.db2.gz CZHLJMXMHRDLEF-STQMWFEESA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001015962259 650663690 /nfs/dbraw/zinc/66/36/90/650663690.db2.gz FZWQFAWNCGAZEW-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001015958647 650664322 /nfs/dbraw/zinc/66/43/22/650664322.db2.gz RTSUGBOMPOZERN-NEPJUHHUSA-N 0 1 272.352 0.338 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001015968112 650666807 /nfs/dbraw/zinc/66/68/07/650666807.db2.gz QUKZCTNBIOIQPD-SECBINFHSA-N 0 1 269.736 0.290 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2conc2COC)C1 ZINC001015982129 650669552 /nfs/dbraw/zinc/66/95/52/650669552.db2.gz ZZGKABRGJPVECT-LLVKDONJSA-N 0 1 277.324 0.648 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC001016099638 650681041 /nfs/dbraw/zinc/68/10/41/650681041.db2.gz CCMOVCIEFDIWJN-OLZOCXBDSA-N 0 1 277.368 0.259 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001016128110 650683654 /nfs/dbraw/zinc/68/36/54/650683654.db2.gz MSGKNBWBZGCQDI-UONOGXRCSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001016129071 650684930 /nfs/dbraw/zinc/68/49/30/650684930.db2.gz OSHZWBZNNRYEJB-SNVBAGLBSA-N 0 1 264.329 0.419 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001016222382 650699664 /nfs/dbraw/zinc/69/96/64/650699664.db2.gz YINHLWPHLOGVHG-HNNXBMFYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)CC1 ZINC000825287456 641879344 /nfs/dbraw/zinc/87/93/44/641879344.db2.gz SASXAMZTMBTHKG-UHFFFAOYSA-N 0 1 286.257 0.820 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1cccc(C#N)c1 ZINC000826386499 641933651 /nfs/dbraw/zinc/93/36/51/641933651.db2.gz HTLGJMPRHMGRIT-UHFFFAOYSA-N 0 1 276.263 0.855 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nn(CC)nc2C)C1 ZINC001016423975 650729599 /nfs/dbraw/zinc/72/95/99/650729599.db2.gz JFZWCVUWMGMBNY-GFCCVEGCSA-N 0 1 277.372 0.987 20 30 CCEDMN C#CC[C@H]1CCCN(CC(=O)NC(=O)NCC=C)C1 ZINC000829769375 642190412 /nfs/dbraw/zinc/19/04/12/642190412.db2.gz UAIUJOSTZREWOA-LBPRGKRZSA-N 0 1 263.341 0.734 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H](O)c2ccc(C#N)cc2)C1 ZINC000829982805 642215828 /nfs/dbraw/zinc/21/58/28/642215828.db2.gz GYBJGRURVDGHCW-GJZGRUSLSA-N 0 1 271.320 0.942 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCC1CCOCC1 ZINC000834479277 642571830 /nfs/dbraw/zinc/57/18/30/642571830.db2.gz BWQNLJGOZDKEQU-NWDGAFQWSA-N 0 1 251.330 0.421 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000834479948 642572602 /nfs/dbraw/zinc/57/26/02/642572602.db2.gz JSSRPTUQMGHFGK-IONNQARKSA-N 0 1 275.268 0.018 20 30 CCEDMN Cc1nc(C(=O)N[C@@H]2CNC[C@H]2C#N)nn1-c1ccccc1 ZINC000834484438 642574242 /nfs/dbraw/zinc/57/42/42/642574242.db2.gz IVHFACXMLCBPRR-DGCLKSJQSA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1c(F)cccc1F ZINC000834481027 642574305 /nfs/dbraw/zinc/57/43/05/642574305.db2.gz ZHHPGVGEOJCRSX-UFBFGSQYSA-N 0 1 265.263 0.735 20 30 CCEDMN Cn1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(-c2ccccc2)n1 ZINC000834484453 642575126 /nfs/dbraw/zinc/57/51/26/642575126.db2.gz JABDSJQURVUCAI-GXTWGEPZSA-N 0 1 295.346 0.928 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ncccc1C(F)(F)F ZINC000834485071 642576811 /nfs/dbraw/zinc/57/68/11/642576811.db2.gz RKZKGDPBFUZRHG-VXNVDRBHSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccc(-n2cnnc2)cc1 ZINC000834484912 642576965 /nfs/dbraw/zinc/57/69/65/642576965.db2.gz PAFFHQYIHHLHKE-TZMCWYRMSA-N 0 1 296.334 0.038 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cn1ncc2ccccc21 ZINC000834485468 642577757 /nfs/dbraw/zinc/57/77/57/642577757.db2.gz XFXUVROMKWOHOJ-VXGBXAGGSA-N 0 1 269.308 0.264 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@H]2C#N)cnn1-c1ccccn1 ZINC000834489417 642580151 /nfs/dbraw/zinc/58/01/51/642580151.db2.gz MCMIMZSHZYSIBD-YPMHNXCESA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(-c2ccco2)[nH]c1=O ZINC000834493091 642581324 /nfs/dbraw/zinc/58/13/24/642581324.db2.gz AJQOCMKHBAMVMC-BXKDBHETSA-N 0 1 298.302 0.889 20 30 CCEDMN Cc1sc(=O)n(CCC(=O)N[C@@H]2CNC[C@H]2C#N)c1C ZINC000834490657 642582106 /nfs/dbraw/zinc/58/21/06/642582106.db2.gz WPSLBDBCBWHUJE-GHMZBOCLSA-N 0 1 294.380 0.145 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(Cl)cn1 ZINC000834490863 642582222 /nfs/dbraw/zinc/58/22/22/642582222.db2.gz XPZAFNIGHINRJK-XCBNKYQSSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CSC[C@@H]1CCCCO1 ZINC000834493186 642583256 /nfs/dbraw/zinc/58/32/56/642583256.db2.gz BLLNZPICPAQGJO-WOPDTQHZSA-N 0 1 283.397 0.516 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2nccn2c1 ZINC000834494413 642583275 /nfs/dbraw/zinc/58/32/75/642583275.db2.gz MVTVDMPAKLSAQY-MNOVXSKESA-N 0 1 255.281 0.176 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(N)nc(Cl)c1 ZINC000834494268 642583433 /nfs/dbraw/zinc/58/34/33/642583433.db2.gz MNBAYBLMZWGTQK-JGVFFNPUSA-N 0 1 265.704 0.159 20 30 CCEDMN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834494582 642583956 /nfs/dbraw/zinc/58/39/56/642583956.db2.gz OGZOTKQEGSZRPH-CABZTGNLSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(=O)c2ccccc2o1 ZINC000834495329 642584825 /nfs/dbraw/zinc/58/48/25/642584825.db2.gz UDSHBNYJLRJSSQ-KOLCDFICSA-N 0 1 283.287 0.634 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccn(-c2cccc(F)c2)n1 ZINC000834494604 642585202 /nfs/dbraw/zinc/58/52/02/642585202.db2.gz ORDADKDKWADGEK-HZMBPMFUSA-N 0 1 299.309 0.853 20 30 CCEDMN Cc1noc2ncc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc12 ZINC000834499035 642586806 /nfs/dbraw/zinc/58/68/06/642586806.db2.gz DOZKDMHCNATNLT-GXSJLCMTSA-N 0 1 271.280 0.373 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccnc1Cl ZINC000834495474 642586852 /nfs/dbraw/zinc/58/68/52/642586852.db2.gz VZFOABGVWYOUMW-VXNVDRBHSA-N 0 1 250.689 0.576 20 30 CCEDMN Cc1cccc(-n2cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)nn2)c1 ZINC000834495802 642587066 /nfs/dbraw/zinc/58/70/66/642587066.db2.gz ZUPREKWYZDKOIL-WCQYABFASA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000834499455 642588543 /nfs/dbraw/zinc/58/85/43/642588543.db2.gz IOJJFEDFGOHGSF-HZGVNTEJSA-N 0 1 293.258 0.157 20 30 CCEDMN Cn1cc(Cl)cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834511176 642595069 /nfs/dbraw/zinc/59/50/69/642595069.db2.gz JCVSVJXSZDDYDZ-CBAPKCEASA-N 0 1 252.705 0.520 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc2ccccc2oc1=O ZINC000834510632 642595247 /nfs/dbraw/zinc/59/52/47/642595247.db2.gz DLWVSGQZFIMITP-JQWIXIFHSA-N 0 1 283.287 0.634 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCc1ccc(F)cc1 ZINC000834511036 642595690 /nfs/dbraw/zinc/59/56/90/642595690.db2.gz HZNVWSZHUUNLPH-WCQYABFASA-N 0 1 261.300 0.986 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(Cn2cccn2)cc1 ZINC000834516144 642598098 /nfs/dbraw/zinc/59/80/98/642598098.db2.gz FPSYQSTZXLHGAI-GJZGRUSLSA-N 0 1 295.346 0.773 20 30 CCEDMN Cc1nn(C)c(C)c1CCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834515706 642598901 /nfs/dbraw/zinc/59/89/01/642598901.db2.gz BEPWAONPQCJKNV-AAEUAGOBSA-N 0 1 275.356 0.197 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(Cn2cccn2)cc1 ZINC000834516145 642599037 /nfs/dbraw/zinc/59/90/37/642599037.db2.gz FPSYQSTZXLHGAI-HUUCEWRRSA-N 0 1 295.346 0.773 20 30 CCEDMN Cc1cc2ncc(C(=O)N[C@@H]3CNC[C@@H]3C#N)c(C)n2n1 ZINC000834517605 642600967 /nfs/dbraw/zinc/60/09/67/642600967.db2.gz XNYWYSAOGPOZQG-CMPLNLGQSA-N 0 1 284.323 0.188 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC)C[C@H]1CC ZINC000836950426 642715600 /nfs/dbraw/zinc/71/56/00/642715600.db2.gz YQKGFEPQKPQNNP-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN O=C([O-])C1(c2ccccc2)CC(N=NC2=[NH+]CCN2)C1 ZINC000841660605 642829163 /nfs/dbraw/zinc/82/91/63/642829163.db2.gz SCIUFHJOTUZXGN-UHFFFAOYSA-N 0 1 272.308 0.708 20 30 CCEDMN Cc1ccc(/C=C\C(=O)N[C@@H]2CNC[C@H]2C#N)cn1 ZINC000843459499 643063256 /nfs/dbraw/zinc/06/32/56/643063256.db2.gz FNFUEILIHDWMLO-DIABFLQPSA-N 0 1 256.309 0.631 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000843460871 643064330 /nfs/dbraw/zinc/06/43/30/643064330.db2.gz ISKUUYLMTPDIRD-GMSGAONNSA-N 0 1 278.243 0.575 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccccc1-n1ccnn1 ZINC000843461122 643064938 /nfs/dbraw/zinc/06/49/38/643064938.db2.gz SDSXHUKJKPQZNQ-JQWIXIFHSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)/C=C\c1ccc([N+](=O)[O-])cc1 ZINC000843461779 643066333 /nfs/dbraw/zinc/06/63/33/643066333.db2.gz NLFTWGPROHRRBY-YKZHHUDBSA-N 0 1 286.291 0.836 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000843463481 643067580 /nfs/dbraw/zinc/06/75/80/643067580.db2.gz WQTXIJUYHHTNJL-CMPLNLGQSA-N 0 1 274.280 0.365 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cn2ccccc2n1 ZINC000844194017 643177774 /nfs/dbraw/zinc/17/77/74/643177774.db2.gz TVIJUSGVQOQSTG-ZJUUUORDSA-N 0 1 255.281 0.176 20 30 CCEDMN C[C@@H]1CCCc2ncc(C(=O)N[C@H]3CNC[C@@H]3C#N)n21 ZINC000846678123 643441919 /nfs/dbraw/zinc/44/19/19/643441919.db2.gz QZUSPIIBOWZGCD-VWYCJHECSA-N 0 1 273.340 0.622 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CCCS2(=O)=O)c1 ZINC000847615720 643538348 /nfs/dbraw/zinc/53/83/48/643538348.db2.gz ITELALHLNKJGTE-LLVKDONJSA-N 0 1 280.305 0.780 20 30 CCEDMN O=C(CSc1n[nH]c(=S)s1)NCC#CCO ZINC000848040262 643576362 /nfs/dbraw/zinc/57/63/62/643576362.db2.gz GHZKRBZQFAPJOX-UHFFFAOYSA-N 0 1 275.380 0.031 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H]1CCC[N@H+]2CCCC[C@H]12 ZINC000848509795 643647366 /nfs/dbraw/zinc/64/73/66/643647366.db2.gz YMZLAJJUBAMMDS-QWHCGFSZSA-N 0 1 270.398 0.946 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H]1CCCN2CCCC[C@H]12 ZINC000848509795 643647368 /nfs/dbraw/zinc/64/73/68/643647368.db2.gz YMZLAJJUBAMMDS-QWHCGFSZSA-N 0 1 270.398 0.946 20 30 CCEDMN C#CCOCCNS(=O)(=O)c1ccccc1O ZINC000849385286 643736387 /nfs/dbraw/zinc/73/63/87/643736387.db2.gz IQLAWZDVSHJKCV-UHFFFAOYSA-N 0 1 255.295 0.320 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ncoc1-c1ccon1 ZINC000852828175 644014298 /nfs/dbraw/zinc/01/42/98/644014298.db2.gz UVRDNYRYCLZVEP-IONNQARKSA-N 0 1 273.252 0.171 20 30 CCEDMN Cn1ncc(C2CC2)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000852875166 644036458 /nfs/dbraw/zinc/03/64/58/644036458.db2.gz GAHVXBPZTSMXIV-MWLCHTKSSA-N 0 1 259.313 0.139 20 30 CCEDMN Cn1cc(C(=O)N[C@H]2CNC[C@H]2C#N)c(-c2ccco2)n1 ZINC000852875734 644037376 /nfs/dbraw/zinc/03/73/76/644037376.db2.gz OOMUSOVDBKWZFD-KOLCDFICSA-N 0 1 285.307 0.521 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)C#Cc1ccc2c(c1)OCO2 ZINC000855638932 644338677 /nfs/dbraw/zinc/33/86/77/644338677.db2.gz YIUCXNRUPUDINU-UHFFFAOYSA-N 0 1 286.331 0.787 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H](NCc3cnnn3C)C2)C1 ZINC001019353563 650965064 /nfs/dbraw/zinc/96/50/64/650965064.db2.gz QPIWYICWPNXWEL-LBPRGKRZSA-N 0 1 289.383 0.862 20 30 CCEDMN C#CCOc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000863584739 645110122 /nfs/dbraw/zinc/11/01/22/645110122.db2.gz IJBPSPAVOJTKPA-JSGCOSHPSA-N 0 1 269.304 0.540 20 30 CCEDMN N#Cc1nccn1CC(=O)CCNC(=O)C(F)(F)F ZINC000865362561 645358690 /nfs/dbraw/zinc/35/86/90/645358690.db2.gz ZRUQKTUCQOLYDG-UHFFFAOYSA-N 0 1 274.202 0.392 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CN3CCN2CCC3)co1 ZINC000868088563 645718216 /nfs/dbraw/zinc/71/82/16/645718216.db2.gz FAHLJJSUGNVVBX-GFCCVEGCSA-N 0 1 274.324 0.271 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1cn2cc(F)ccc2n1 ZINC000870940291 646054021 /nfs/dbraw/zinc/05/40/21/646054021.db2.gz HYUGDXLCXUCRFJ-BXKDBHETSA-N 0 1 287.298 0.244 20 30 CCEDMN Cc1csc2nc(CC(=O)N[C@@H]3CNC[C@H]3C#N)cn12 ZINC000870940252 646054563 /nfs/dbraw/zinc/05/45/63/646054563.db2.gz HFZQXFMTCUMUBI-MWLCHTKSSA-N 0 1 289.364 0.475 20 30 CCEDMN Cn1cc(N=NCc2cn(C[C@@H]3CCCO3)nn2)cn1 ZINC000872370484 646252560 /nfs/dbraw/zinc/25/25/60/646252560.db2.gz ZAXLXQQOASLRFB-LBPRGKRZSA-N 0 1 275.316 0.637 20 30 CCEDMN Cn1nncc1N=NCc1cn(C[C@@H]2CCCO2)nn1 ZINC000872378566 646253844 /nfs/dbraw/zinc/25/38/44/646253844.db2.gz BKYZYHGYQNVRGE-JTQLQIEISA-N 0 1 276.304 0.032 20 30 CCEDMN C[C@@H](CN(C)C(=O)C#Cc1ccccc1)c1nn[nH]n1 ZINC000124437992 646354883 /nfs/dbraw/zinc/35/48/83/646354883.db2.gz FASGKJGPMKCQMO-NSHDSACASA-N 0 1 269.308 0.813 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC000876801801 646668388 /nfs/dbraw/zinc/66/83/88/646668388.db2.gz IUACNHNDWAHEIR-JSGCOSHPSA-N 0 1 281.319 0.918 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC000876801802 646668626 /nfs/dbraw/zinc/66/86/26/646668626.db2.gz IUACNHNDWAHEIR-OCCSQVGLSA-N 0 1 281.319 0.918 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1csc(NC2CC2)n1 ZINC000876801382 646669056 /nfs/dbraw/zinc/66/90/56/646669056.db2.gz BTCNDKXNMCVSAV-IONNQARKSA-N 0 1 277.353 0.559 20 30 CCEDMN Cc1n[nH]c(C)c1CCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000876802235 646670841 /nfs/dbraw/zinc/67/08/41/646670841.db2.gz NEWMFMAGVNMONB-ZYHUDNBSSA-N 0 1 261.329 0.187 20 30 CCEDMN C[C@@H]1CN(CC[C@@H]2CCOC2=O)C[C@H](C)N1CC#N ZINC000878774031 646977659 /nfs/dbraw/zinc/97/76/59/646977659.db2.gz OVDCVFMCBYIRRR-FRRDWIJNSA-N 0 1 265.357 0.858 20 30 CCEDMN N#CCCN1CCN(CCC(=O)N2CCCC2)CC1 ZINC000879600678 647080474 /nfs/dbraw/zinc/08/04/74/647080474.db2.gz VOMLUFIKUCAYRZ-UHFFFAOYSA-N 0 1 264.373 0.530 20 30 CCEDMN N#Cc1c(CN2C[C@H](CO)[C@H](CO)C2)cn2ccccc12 ZINC000879692353 647094612 /nfs/dbraw/zinc/09/46/12/647094612.db2.gz KYIFGCNSUOGHHA-OKILXGFUSA-N 0 1 285.347 0.844 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CC2[N+](=O)[O-])C[C@H](C)N1CC#N ZINC000880453898 647202789 /nfs/dbraw/zinc/20/27/89/647202789.db2.gz UYXLRBBYFLWFQP-LMLFDSFASA-N 0 1 266.301 0.096 20 30 CCEDMN N#CCCOCCN1CCC[C@H]2CCNC(=O)[C@H]21 ZINC000880524916 647219510 /nfs/dbraw/zinc/21/95/10/647219510.db2.gz WYHDEXTZVVRQJF-RYUDHWBXSA-N 0 1 251.330 0.517 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2[nH]cnc2C)CC1 ZINC001006349003 647574879 /nfs/dbraw/zinc/57/48/79/647574879.db2.gz WIHVPMWFIKCMHI-UHFFFAOYSA-N 0 1 274.368 0.817 20 30 CCEDMN N#Cc1ccc(CN[C@@H]2C[C@@H](O)[C@@H](O)C2)c(F)c1 ZINC000886244877 647931657 /nfs/dbraw/zinc/93/16/57/647931657.db2.gz CTPTXFVHBHLLIH-UOJUARBOSA-N 0 1 250.273 0.671 20 30 CCEDMN N#CC1(C(=O)N2CC[C@H]3[C@@H]2CCCN3CCO)CCC1 ZINC000889768390 648248011 /nfs/dbraw/zinc/24/80/11/648248011.db2.gz MZRPMFQSWDSBAJ-STQMWFEESA-N 0 1 277.368 0.738 20 30 CCEDMN N#CC1CCC(CN2CCO[C@@H](C(N)=O)C2)CC1 ZINC000929425019 648737294 /nfs/dbraw/zinc/73/72/94/648737294.db2.gz QHZXNSUSKOAJKT-HTAVTVPLSA-N 0 1 251.330 0.502 20 30 CCEDMN COc1cnc(NC(=O)NCC#CCN(C)C)s1 ZINC000931284113 648974167 /nfs/dbraw/zinc/97/41/67/648974167.db2.gz QBBCHLAMAZLMSL-UHFFFAOYSA-N 0 1 268.342 0.838 20 30 CCEDMN C[C@@H](N[C@H]1C(=O)NCC1(C)C)C(=O)NC1(C#N)CCC1 ZINC000931656882 649015142 /nfs/dbraw/zinc/01/51/42/649015142.db2.gz VSGMNNPMXIFSPK-ZJUUUORDSA-N 0 1 278.356 0.052 20 30 CCEDMN COc1cccc2c1CC[C@]2(CO)NC[C@H](O)CC#N ZINC000931694931 649019087 /nfs/dbraw/zinc/01/90/87/649019087.db2.gz ODEPIDYAQOILIX-IAQYHMDHSA-N 0 1 276.336 0.693 20 30 CCEDMN N#Cc1ccc(CN2CC[C@@H](CS(N)(=O)=O)C2)s1 ZINC000932946540 649102482 /nfs/dbraw/zinc/10/24/82/649102482.db2.gz GQPZOUZWQOFOIE-SECBINFHSA-N 0 1 285.394 0.730 20 30 CCEDMN C#C[C@@H]1CCCCN1CCCS(=O)(=O)N(C)C ZINC000934510603 649177005 /nfs/dbraw/zinc/17/70/05/649177005.db2.gz AXVCQFNAXGSCSH-GFCCVEGCSA-N 0 1 258.387 0.756 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC000951872754 649568447 /nfs/dbraw/zinc/56/84/47/649568447.db2.gz ONYBIYXFWOORMM-BDAKNGLRSA-N 0 1 293.302 0.429 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)CC1CC(O)C1 ZINC000091498762 649705772 /nfs/dbraw/zinc/70/57/72/649705772.db2.gz GOZNJWZHMJIPAU-MMWSSPAHSA-N 0 1 265.357 0.498 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnnn2CC)C1 ZINC001007280257 649826805 /nfs/dbraw/zinc/82/68/05/649826805.db2.gz NGBQTNSYLYKJDU-LBPRGKRZSA-N 0 1 275.356 0.516 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncc([N+](=O)[O-])cc3C#N)C[C@@H]21 ZINC000471704400 719387887 /nfs/dbraw/zinc/38/78/87/719387887.db2.gz DJEARYRQQAZAMZ-RYUDHWBXSA-N 0 1 289.295 0.381 20 30 CCEDMN Cc1cc(N2C[C@H]3OCCN(C)[C@H]3C2)nc(C#N)n1 ZINC001164666986 719399592 /nfs/dbraw/zinc/39/95/92/719399592.db2.gz MGSVVBDIMCITEO-WDEREUQCSA-N 0 1 259.313 0.176 20 30 CCEDMN COc1nc(N2C[C@@H]3[C@H](C2)OCCN3C)c(F)cc1C#N ZINC001164667012 719429692 /nfs/dbraw/zinc/42/96/92/719429692.db2.gz FHQOQNNSAOSXNQ-NEPJUHHUSA-N 0 1 292.314 0.620 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H](C)N2CCCC2=O)CC1 ZINC001230024435 805576873 /nfs/dbraw/zinc/57/68/73/805576873.db2.gz LWDAJBJPFRUZSL-CYBMUJFWSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCCOCC(=O)NC1CCN([C@@H](C)C(=O)NC)CC1 ZINC001230411743 805599892 /nfs/dbraw/zinc/59/98/92/805599892.db2.gz QUAVYGSIOZJHQC-LBPRGKRZSA-N 0 1 297.399 0.294 20 30 CCEDMN CCc1nc([C@H](C)N(C)CCNC(=O)C#CC2CC2)n[nH]1 ZINC001266272784 790375576 /nfs/dbraw/zinc/37/55/76/790375576.db2.gz BUEAKBFASMGNHT-NSHDSACASA-N 0 1 289.383 0.890 20 30 CCEDMN COC[C@@H](C)N1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038167806 790398178 /nfs/dbraw/zinc/39/81/78/790398178.db2.gz HYSORHYSXRIDPK-ZWNOBZJWSA-N 0 1 276.340 0.725 20 30 CCEDMN CCOCCN1CCN(CCNC(=O)C#CC2CC2)CC1 ZINC001266283326 790398243 /nfs/dbraw/zinc/39/82/43/790398243.db2.gz MVGMGNHIVMDYMN-UHFFFAOYSA-N 0 1 293.411 0.170 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H](OC)C1CCC1 ZINC001266304461 790472352 /nfs/dbraw/zinc/47/23/52/790472352.db2.gz WWAVSTFFLGVBMI-ZDUSSCGKSA-N 0 1 252.358 0.873 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1C[C@H]2CN(CCF)C[C@H]2C1 ZINC001279362784 790510950 /nfs/dbraw/zinc/51/09/50/790510950.db2.gz KYLQCUYVFNEXPN-MBNYWOFBSA-N 0 1 270.348 0.673 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](C2OCCO2)C1 ZINC000076293654 790515220 /nfs/dbraw/zinc/51/52/20/790515220.db2.gz MIKUQLRRJXISHZ-CYBMUJFWSA-N 0 1 295.383 0.833 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cncnc1 ZINC001266386150 790619864 /nfs/dbraw/zinc/61/98/64/790619864.db2.gz UFSAEKTVOIQDMK-UHFFFAOYSA-N 0 1 276.340 0.178 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cn2c(n1)CCC2 ZINC001266390931 790623648 /nfs/dbraw/zinc/62/36/48/790623648.db2.gz SJEMSTCIOPJJDH-UHFFFAOYSA-N 0 1 274.368 0.904 20 30 CCEDMN CC#CCN(CC)CCNC(=O)C[C@H]1CCOC[C@H]1OC ZINC001266394525 790627987 /nfs/dbraw/zinc/62/79/87/790627987.db2.gz SKDIYVAXIBGONI-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCCN1[C@@H]1CCN(C)C1=O ZINC001266453435 790743853 /nfs/dbraw/zinc/74/38/53/790743853.db2.gz FSDOWOXMHAKUKL-CHWSQXEVSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)C[C@@H]1CCC(=O)NC1 ZINC001266467864 790762332 /nfs/dbraw/zinc/76/23/32/790762332.db2.gz FQSXCVWMSKRILF-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)NC(C)=O ZINC001266476500 790769889 /nfs/dbraw/zinc/76/98/89/790769889.db2.gz ZLWGQCJATICFJH-BNOWGMLFSA-N 0 1 279.384 0.762 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)[C@]1(C)CCC(=O)N1 ZINC001266480550 790775101 /nfs/dbraw/zinc/77/51/01/790775101.db2.gz SUPWDWHKXJUNIM-RISCZKNCSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)C2CCC2)C1 ZINC001266497860 790793211 /nfs/dbraw/zinc/79/32/11/790793211.db2.gz LXVPRMZDJDAVET-STQMWFEESA-N 0 1 291.395 0.363 20 30 CCEDMN CCC[N@H+](CC#CCOC)CCNC(=O)C1=CCOCC1 ZINC001266557988 790927408 /nfs/dbraw/zinc/92/74/08/790927408.db2.gz CTHRHXPRHYTOPQ-UHFFFAOYSA-N 0 1 294.395 0.811 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)C1=CCOCC1 ZINC001266557988 790927413 /nfs/dbraw/zinc/92/74/13/790927413.db2.gz CTHRHXPRHYTOPQ-UHFFFAOYSA-N 0 1 294.395 0.811 20 30 CCEDMN CC#CCN(CCNC(=O)CCn1ccnn1)C1CC1 ZINC001266591037 790993293 /nfs/dbraw/zinc/99/32/93/790993293.db2.gz XLNFXOVLCAVXSM-UHFFFAOYSA-N 0 1 275.356 0.272 20 30 CCEDMN CC#CCN(CCNC(=O)c1ccnc2n[nH]nc21)C1CC1 ZINC001266600152 791008531 /nfs/dbraw/zinc/00/85/31/791008531.db2.gz KREYHSIXVBNCKO-UHFFFAOYSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cn1cccn1 ZINC001230772246 805663370 /nfs/dbraw/zinc/66/33/70/805663370.db2.gz DKJWWHVVTNEQIX-ZDUSSCGKSA-N 0 1 260.341 0.439 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCn1cncn1 ZINC001230781579 805664839 /nfs/dbraw/zinc/66/48/39/805664839.db2.gz MLEXLTGLSRTNBN-CYBMUJFWSA-N 0 1 275.356 0.224 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CNC(=O)OC ZINC001230914558 805690754 /nfs/dbraw/zinc/69/07/54/805690754.db2.gz AMSNDPMOIPDIGY-JTQLQIEISA-N 0 1 255.318 0.061 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cnn(C)c1C ZINC001277475809 805702783 /nfs/dbraw/zinc/70/27/83/805702783.db2.gz GKXHHGXGRAQGOI-UHFFFAOYSA-N 0 1 292.383 0.430 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)Cn1cc(C)cn1 ZINC001230989951 805704840 /nfs/dbraw/zinc/70/48/40/805704840.db2.gz SZESOZRJWYUPGQ-CQSZACIVSA-N 0 1 274.368 0.748 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cn1cc(C)cn1 ZINC001230989951 805704846 /nfs/dbraw/zinc/70/48/46/805704846.db2.gz SZESOZRJWYUPGQ-CQSZACIVSA-N 0 1 274.368 0.748 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CNC(=O)CC ZINC001231273598 805766464 /nfs/dbraw/zinc/76/64/64/805766464.db2.gz VMCGXSRZBOLINC-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN CCOCCN1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231294523 805771290 /nfs/dbraw/zinc/77/12/90/805771290.db2.gz YXANWMYMOFXGKF-NWDGAFQWSA-N 0 1 253.346 0.715 20 30 CCEDMN Cc1nc(CN2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)n[nH]1 ZINC001231294183 805772427 /nfs/dbraw/zinc/77/24/27/805772427.db2.gz VVKRLLQEDKNYHU-GXSJLCMTSA-N 0 1 276.344 0.306 20 30 CCEDMN COCC#CCN1CC[C@H]1CN(C)C(=O)Cc1ccn[nH]1 ZINC001231378626 805784036 /nfs/dbraw/zinc/78/40/36/805784036.db2.gz SWWIUDUZQPAPSU-AWEZNQCLSA-N 0 1 290.367 0.135 20 30 CCEDMN CC(C)C#CC(=O)NCCOCCCNCc1ccon1 ZINC001277603842 805891991 /nfs/dbraw/zinc/89/19/91/805891991.db2.gz KDARZDZWQMPDPU-UHFFFAOYSA-N 0 1 293.367 0.947 20 30 CCEDMN N#Cc1ccc(CCN2CC3(C2)CC[C@H](C(N)=O)O3)cc1 ZINC001277643681 805918221 /nfs/dbraw/zinc/91/82/21/805918221.db2.gz QMXYGJPKJGWQMM-CQSZACIVSA-N 0 1 285.347 0.819 20 30 CCEDMN N#C[C@@H]1CN(Cc2c[nH]c3nccnc23)CCC1=O ZINC001232548266 805957436 /nfs/dbraw/zinc/95/74/36/805957436.db2.gz LKXOXZIJDUDEES-SECBINFHSA-N 0 1 255.281 0.824 20 30 CCEDMN N#Cc1ccc(O)c(CN2C[C@@H](F)C[C@H]2C(N)=O)c1 ZINC001232679189 805969132 /nfs/dbraw/zinc/96/91/32/805969132.db2.gz GMDHCZCALKVITL-QWRGUYRKSA-N 0 1 263.272 0.662 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@@H](CNCc2ccon2)C1 ZINC001267037207 791468997 /nfs/dbraw/zinc/46/89/97/791468997.db2.gz AAZSYXYSWSPPKD-WFASDCNBSA-N 0 1 293.367 0.940 20 30 CCEDMN C=CCCCCN1CC[C@@H]2C[C@@]21C(=O)Nc1nnnn1C ZINC001277788586 806074998 /nfs/dbraw/zinc/07/49/98/806074998.db2.gz LHPFGLUAJJASFR-RISCZKNCSA-N 0 1 290.371 0.969 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C=C(C)C ZINC001233509580 806071551 /nfs/dbraw/zinc/07/15/51/806071551.db2.gz FCZUPOZAAHUTNG-UHFFFAOYSA-N 0 1 267.373 0.693 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ncc(OC)cc1F ZINC001267199484 791662485 /nfs/dbraw/zinc/66/24/85/791662485.db2.gz QANQYTSGQVBUMG-UHFFFAOYSA-N 0 1 279.315 0.914 20 30 CCEDMN C[C@H](O)CN1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038141244 791707526 /nfs/dbraw/zinc/70/75/26/791707526.db2.gz UBZKPVMXLZMZQZ-ONGXEEELSA-N 0 1 262.313 0.071 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C[C@H]1C=CCC1 ZINC001233759083 806116660 /nfs/dbraw/zinc/11/66/60/806116660.db2.gz MCJLTJYFQQWNEZ-AWEZNQCLSA-N 0 1 291.395 0.530 20 30 CCEDMN C#CCNC(=O)CC(=O)N(C)C[C@@H]1CCN1CC=C(C)C ZINC001233810963 806124429 /nfs/dbraw/zinc/12/44/29/806124429.db2.gz STLPFSOIJTUDHU-AWEZNQCLSA-N 0 1 291.395 0.625 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@H](C)CC ZINC001234137242 806188361 /nfs/dbraw/zinc/18/83/61/806188361.db2.gz QIPSDXUSPUPULT-OLZOCXBDSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H](C)CC ZINC001234127658 806187733 /nfs/dbraw/zinc/18/77/33/806187733.db2.gz ZEKAWICVKGLEGP-GFCCVEGCSA-N 0 1 267.373 0.220 20 30 CCEDMN CN(C[C@@H]1CCN1CCCO)C(=O)C#CC(C)(C)C ZINC001234216233 806203974 /nfs/dbraw/zinc/20/39/74/806203974.db2.gz VRMVDKVCWRVDEO-ZDUSSCGKSA-N 0 1 266.385 0.951 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)CCNC(=O)[C@@H](C)OC)C1=O ZINC001267238572 793223388 /nfs/dbraw/zinc/22/33/88/793223388.db2.gz PFHMAQIXNQITPJ-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN CC[C@@H](C(N)=O)N(CC)CCNC(=O)C#CC1CC1 ZINC001267241082 793230009 /nfs/dbraw/zinc/23/00/09/793230009.db2.gz JPVUAEVWFXIRPV-LBPRGKRZSA-N 0 1 265.357 0.102 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)C#CC1CC1 ZINC001267241402 793230061 /nfs/dbraw/zinc/23/00/61/793230061.db2.gz WTKAVLRXZSRIQU-UHFFFAOYSA-N 0 1 262.353 0.488 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cn(C)nc1C1CC1 ZINC001267242800 793233646 /nfs/dbraw/zinc/23/36/46/793233646.db2.gz YYMNLCGDPUWQKE-UHFFFAOYSA-N 0 1 274.368 0.982 20 30 CCEDMN CCN(CC#N)CCNC(=O)C(C)(C)c1cnc[nH]1 ZINC001267255226 793251781 /nfs/dbraw/zinc/25/17/81/793251781.db2.gz COGYCEHMAJWLRP-UHFFFAOYSA-N 0 1 263.345 0.649 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)c1cn[nH]c1 ZINC001234382281 806235253 /nfs/dbraw/zinc/23/52/53/806235253.db2.gz TWUCDCNEQBJFHV-LLVKDONJSA-N 0 1 293.371 0.152 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](C)NC(=O)CC ZINC001234489168 806260937 /nfs/dbraw/zinc/26/09/37/806260937.db2.gz KLVKKAANBGNNAG-OLZOCXBDSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CC(C#C)Oc1[nH]c(=O)nc2ccc(C(N)=O)cc21 ZINC001234515548 806266335 /nfs/dbraw/zinc/26/63/35/806266335.db2.gz IQOYPZGUYQTZBH-UHFFFAOYSA-N 0 1 267.244 0.448 20 30 CCEDMN C#CCC1(C(=O)N(C)C[C@H]2CCN2CCO)CCOCC1 ZINC001235208878 806386970 /nfs/dbraw/zinc/38/69/70/806386970.db2.gz HQDZWOGBNARTRS-CQSZACIVSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCC(=O)NC1 ZINC001235262993 806400139 /nfs/dbraw/zinc/40/01/39/806400139.db2.gz SHFMQZWNCCRDRE-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)NCCCOC ZINC001235437138 806425212 /nfs/dbraw/zinc/42/52/12/806425212.db2.gz UFYNSYGSOJSRPK-UHFFFAOYSA-N 0 1 299.415 0.543 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(Cl)o1 ZINC001278047821 806635966 /nfs/dbraw/zinc/63/59/66/806635966.db2.gz IKYRSZHXCWTHOJ-VIFPVBQESA-N 0 1 270.716 0.637 20 30 CCEDMN C=CCCC[NH2+][C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001278069496 806648332 /nfs/dbraw/zinc/64/83/32/806648332.db2.gz APCWWYKLQKLNGO-LLVKDONJSA-N 0 1 279.340 0.434 20 30 CCEDMN CC(C)(C)OC(=O)N1CCN(Cc2nnc[nH]2)[C@H](C#N)C1 ZINC001237598496 806692969 /nfs/dbraw/zinc/69/29/69/806692969.db2.gz DHPNSRGITRHXJE-SNVBAGLBSA-N 0 1 292.343 0.750 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnncc2O)C1=O ZINC001120647927 798902622 /nfs/dbraw/zinc/90/26/22/798902622.db2.gz OTJWLSCUIQBGDJ-SNVBAGLBSA-N 0 1 276.296 0.041 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](C)CC(F)(F)F ZINC001278120770 806701069 /nfs/dbraw/zinc/70/10/69/806701069.db2.gz XWTFQTNHBSCVFD-RKDXNWHRSA-N 0 1 266.263 0.275 20 30 CCEDMN C#CCN1CCC(NC(=O)NO[C@@H]2CCCCO2)CC1 ZINC001121910350 799091445 /nfs/dbraw/zinc/09/14/45/799091445.db2.gz JFPDKFZWUZPTHQ-CYBMUJFWSA-N 0 1 281.356 0.841 20 30 CCEDMN C#CCNCC(=O)N[C@H](Cn1cccn1)c1ccccc1 ZINC001121954435 799107322 /nfs/dbraw/zinc/10/73/22/799107322.db2.gz BKRHRIIDJQWBEG-OAHLLOKOSA-N 0 1 282.347 0.963 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)Cc2csc(CN)n2)C1 ZINC001122000587 799118034 /nfs/dbraw/zinc/11/80/34/799118034.db2.gz XCPUMFWJJXRIOR-DTWKUNHWSA-N 0 1 264.354 0.953 20 30 CCEDMN COc1cncc(C(=O)C(C#N)c2nccn2C)n1 ZINC001122517489 799255460 /nfs/dbraw/zinc/25/54/60/799255460.db2.gz CNWOJNKIIPGWFL-QMMMGPOBSA-N 0 1 257.253 0.709 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)[C@@H]1CCCO1 ZINC001123151323 799364670 /nfs/dbraw/zinc/36/46/70/799364670.db2.gz MSQTTYCRKRZKPW-AWEZNQCLSA-N 0 1 273.336 0.943 20 30 CCEDMN C#CCN1CCC(OC(=O)C2(C(=O)NC)CCC2)CC1 ZINC001123251217 799379878 /nfs/dbraw/zinc/37/98/78/799379878.db2.gz YIGPNGTWAXJKCO-UHFFFAOYSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccccc1OC ZINC001278187630 806749522 /nfs/dbraw/zinc/74/95/22/806749522.db2.gz VGOIVOHARFRDBS-NSHDSACASA-N 0 1 262.309 0.009 20 30 CCEDMN C#Cc1cccc(CNC(=O)[C@H]2CN3CCN2C[C@@H]3C)c1 ZINC001123898804 799498662 /nfs/dbraw/zinc/49/86/62/799498662.db2.gz WZAWLYMHWAZYIO-XJKSGUPXSA-N 0 1 283.375 0.672 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(F)cc1Cl ZINC001278194099 806756346 /nfs/dbraw/zinc/75/63/46/806756346.db2.gz GTTSQGZBXXYQSH-SNVBAGLBSA-N 0 1 284.718 0.793 20 30 CCEDMN CO[C@@H](C)C(=O)NCCNCc1ccccc1C#N ZINC001124273637 799586736 /nfs/dbraw/zinc/58/67/36/799586736.db2.gz QWZVIZPWCBVILN-NSHDSACASA-N 0 1 261.325 0.799 20 30 CCEDMN Cc1cnn(CC(=O)NCCNCC#Cc2ccccc2)c1 ZINC001124281312 799588519 /nfs/dbraw/zinc/58/85/19/799588519.db2.gz FFBVVQUDSBPDFB-UHFFFAOYSA-N 0 1 296.374 0.949 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCC(=O)Nc1ccccc1 ZINC001124890467 799644768 /nfs/dbraw/zinc/64/47/68/799644768.db2.gz XSZLXEOGZLTPGP-LLVKDONJSA-N 0 1 274.324 0.491 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1csc(C2CC2)n1 ZINC001278203235 806771389 /nfs/dbraw/zinc/77/13/89/806771389.db2.gz ZDRXFELAGKHPOH-JTQLQIEISA-N 0 1 279.365 0.334 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCN1CCCOCC1 ZINC001138405309 799727382 /nfs/dbraw/zinc/72/73/82/799727382.db2.gz MATOXJBCOIHWRF-OLZOCXBDSA-N 0 1 268.357 0.416 20 30 CCEDMN N#C[C@H]1CN(Cc2ccc(OCCO)cc2)CCC1=O ZINC001138798611 799755515 /nfs/dbraw/zinc/75/55/15/799755515.db2.gz YXQSFNZWDRXUAS-ZDUSSCGKSA-N 0 1 274.320 0.972 20 30 CCEDMN C=CCn1cc(CN2CC[C@H](CO)[C@H](O)C2)cn1 ZINC001139768726 799839751 /nfs/dbraw/zinc/83/97/51/799839751.db2.gz SXPHTUHPZMGBLS-CHWSQXEVSA-N 0 1 251.330 0.244 20 30 CCEDMN N#C[C@@H]1CN(Cc2cn(-c3cnccn3)cn2)CCC1=O ZINC001238817773 806810081 /nfs/dbraw/zinc/81/00/81/806810081.db2.gz VYEBFXUXUNYWJP-LLVKDONJSA-N 0 1 282.307 0.577 20 30 CCEDMN COCCN1CCCO[C@H](CNC(=O)C#CC2CC2)C1 ZINC001149694979 800291195 /nfs/dbraw/zinc/29/11/95/800291195.db2.gz WDHQPTWBEXZYPJ-CQSZACIVSA-N 0 1 280.368 0.253 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCNC(=O)c1ccco1 ZINC001150285640 800330262 /nfs/dbraw/zinc/33/02/62/800330262.db2.gz ZRISAVPOYBDZQP-UHFFFAOYSA-N 0 1 299.758 0.858 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)COCC=C)CC1 ZINC001151014624 800384220 /nfs/dbraw/zinc/38/42/20/800384220.db2.gz VLCZYMIHFIHABW-UHFFFAOYSA-N 0 1 294.395 0.810 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1ccn2cncc2c1 ZINC001151909656 800470831 /nfs/dbraw/zinc/47/08/31/800470831.db2.gz XBRPCQJADYLBFR-LLVKDONJSA-N 0 1 272.264 0.519 20 30 CCEDMN C=CCN1CCN(C(=O)c2[nH]nc3ccncc32)CC1 ZINC001152098766 800490211 /nfs/dbraw/zinc/49/02/11/800490211.db2.gz YJRSPWQWNHPVAU-UHFFFAOYSA-N 0 1 271.324 0.902 20 30 CCEDMN C#CCC[N@H+](CC)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152835909 800559495 /nfs/dbraw/zinc/55/94/95/800559495.db2.gz ZEPRQBPUGIQGMJ-SNVBAGLBSA-N 0 1 278.356 0.887 20 30 CCEDMN C#CCC[N@@H+](CC)[C@H](C)CNC(=O)c1n[nH]c(C)c1[O-] ZINC001152835909 800559499 /nfs/dbraw/zinc/55/94/99/800559499.db2.gz ZEPRQBPUGIQGMJ-SNVBAGLBSA-N 0 1 278.356 0.887 20 30 CCEDMN C#CCC[N@H+](CC)[C@H](C)CNC(=O)c1n[nH]c(C)c1[O-] ZINC001152835909 800559505 /nfs/dbraw/zinc/55/95/05/800559505.db2.gz ZEPRQBPUGIQGMJ-SNVBAGLBSA-N 0 1 278.356 0.887 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1ccns1 ZINC001153086061 800595404 /nfs/dbraw/zinc/59/54/04/800595404.db2.gz QFNOJRHKVXTMBC-UHFFFAOYSA-N 0 1 269.370 0.942 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1ccc(OC)nn1 ZINC001153134330 800600204 /nfs/dbraw/zinc/60/02/04/800600204.db2.gz BUBFXADSUPDZDN-NSHDSACASA-N 0 1 294.355 0.282 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1cnc(C)o1 ZINC001153148620 800601540 /nfs/dbraw/zinc/60/15/40/800601540.db2.gz HWGSEGBPXOYYKG-JTQLQIEISA-N 0 1 267.329 0.780 20 30 CCEDMN CC[N@@H+](CC#CCOC)[C@H](C)CNC(=O)c1n[nH]cc1C ZINC001153248204 800613193 /nfs/dbraw/zinc/61/31/93/800613193.db2.gz WCFHEUKPENCWKZ-CYBMUJFWSA-N 0 1 292.383 0.808 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1n[nH]cc1C ZINC001153248204 800613198 /nfs/dbraw/zinc/61/31/98/800613198.db2.gz WCFHEUKPENCWKZ-CYBMUJFWSA-N 0 1 292.383 0.808 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)Cc1n[nH]c(C)n1 ZINC001153266288 800616631 /nfs/dbraw/zinc/61/66/31/800616631.db2.gz OVSAUFJWZIQMAR-SECBINFHSA-N 0 1 264.333 0.006 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H](C)N(CC)CCF ZINC001153306485 800619158 /nfs/dbraw/zinc/61/91/58/800619158.db2.gz KIEYSULBZHRRJP-VXGBXAGGSA-N 0 1 258.337 0.821 20 30 CCEDMN C=C(C)CN(CC)[C@H](C)CNC(=O)[C@H](C)S(C)(=O)=O ZINC001154038542 800708575 /nfs/dbraw/zinc/70/85/75/800708575.db2.gz JOFRSWDIWIHKLW-NEPJUHHUSA-N 0 1 290.429 0.822 20 30 CCEDMN Cc1nc2c(C(=O)N=C(NO)c3ccc(C)cn3)c[nH]n2n1 ZINC001154668572 800827864 /nfs/dbraw/zinc/82/78/64/800827864.db2.gz WTLBJJPCXILOLU-UHFFFAOYSA-N 0 1 299.294 0.635 20 30 CCEDMN COc1cc2[nH]ncc2cc1C(=O)N1CCNC[C@H]1C#N ZINC001154791982 800846748 /nfs/dbraw/zinc/84/67/48/800846748.db2.gz WVSJQGUSTZHZPW-SNVBAGLBSA-N 0 1 285.307 0.509 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2ncc(C)nc2C#N)CC1 ZINC001155159785 800921464 /nfs/dbraw/zinc/92/14/64/800921464.db2.gz MVUMKIWIOLSMOI-LBPRGKRZSA-N 0 1 289.339 0.373 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2cc(C)nc(C#N)n2)CC1 ZINC001155158995 800921668 /nfs/dbraw/zinc/92/16/68/800921668.db2.gz GZECNWPLJYMSDU-CYBMUJFWSA-N 0 1 289.339 0.373 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1nccs1 ZINC001155828868 801060364 /nfs/dbraw/zinc/06/03/64/801060364.db2.gz SOCUCBJIXYLCRS-SECBINFHSA-N 0 1 252.343 0.899 20 30 CCEDMN CC(C)n1ncnc1CNCCCNC(=O)[C@H](C)C#N ZINC001155836727 801062235 /nfs/dbraw/zinc/06/22/35/801062235.db2.gz RBCGBTLKKBMDFV-LLVKDONJSA-N 0 1 278.360 0.615 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCNCc2ccon2)[nH]1 ZINC001156698118 801215690 /nfs/dbraw/zinc/21/56/90/801215690.db2.gz SAHRLSCRJPCHHO-UHFFFAOYSA-N 0 1 273.296 0.784 20 30 CCEDMN C#CCCCCC(=O)NCCCNCc1nncn1C ZINC001156811561 801239664 /nfs/dbraw/zinc/23/96/64/801239664.db2.gz FONINTKYKDQXGG-UHFFFAOYSA-N 0 1 277.372 0.605 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ncc(C#N)cc1F ZINC001156830576 801245812 /nfs/dbraw/zinc/24/58/12/801245812.db2.gz NPUXSMLOGQNUQW-UHFFFAOYSA-N 0 1 258.260 0.525 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CCNC[C@@H]1C#N ZINC001156879229 801253535 /nfs/dbraw/zinc/25/35/35/801253535.db2.gz YYLXTIBNMCIEDG-JTQLQIEISA-N 0 1 280.291 0.372 20 30 CCEDMN Cn1c(Nc2nccc3[nH]cc(C#N)c32)cc(=O)n(C)c1=O ZINC001156960323 801272122 /nfs/dbraw/zinc/27/21/22/801272122.db2.gz RETGZCLVRYWHKI-UHFFFAOYSA-N 0 1 296.290 0.576 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1nnc(C)[nH]1 ZINC001157201231 801325001 /nfs/dbraw/zinc/32/50/01/801325001.db2.gz CTXNIWDTSZKCKJ-ZDUSSCGKSA-N 0 1 281.360 0.036 20 30 CCEDMN COCC#CC[N@@H+](C)C[C@H]1CCCCN1C(=O)[C@@H](C)OC ZINC001157636351 801441960 /nfs/dbraw/zinc/44/19/60/801441960.db2.gz CNAVSAOHGSDHKL-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN COCC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)OC ZINC001157636351 801441963 /nfs/dbraw/zinc/44/19/63/801441963.db2.gz CNAVSAOHGSDHKL-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN CC(C)C#CC(=O)NCCCNCc1cnon1 ZINC001157638725 801443465 /nfs/dbraw/zinc/44/34/65/801443465.db2.gz LNOJLSSJCVODST-UHFFFAOYSA-N 0 1 250.302 0.325 20 30 CCEDMN CCn1ncnc1CNCCCNC(=O)C#CC(C)(C)C ZINC001157719265 801463117 /nfs/dbraw/zinc/46/31/17/801463117.db2.gz VRSRIIASQHAOHY-UHFFFAOYSA-N 0 1 291.399 0.943 20 30 CCEDMN CCOC(=O)C1=C=CC(=NC[C@@H]2CC(O)N(C)C2)N=C1 ZINC001157905938 801515843 /nfs/dbraw/zinc/51/58/43/801515843.db2.gz ZHKRNSLNAUNEQW-JTQLQIEISA-N 0 1 275.308 0.055 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)CC(N)=O ZINC001158316776 801619176 /nfs/dbraw/zinc/61/91/76/801619176.db2.gz RNPYQAXHJGZPOT-CHWSQXEVSA-N 0 1 279.384 0.444 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cc1cnc[nH]1 ZINC001158533880 801662452 /nfs/dbraw/zinc/66/24/52/801662452.db2.gz JUWWJRARWGAESK-CQSZACIVSA-N 0 1 274.368 0.898 20 30 CCEDMN N#Cc1c[nH]c2ncnc(NC[C@@H]3COCCN3)c12 ZINC001159036965 801745134 /nfs/dbraw/zinc/74/51/34/801745134.db2.gz PYIDXZPTABMALK-SECBINFHSA-N 0 1 258.285 0.230 20 30 CCEDMN N#Cc1ccc2ncnc(NC[C@@H]3COCCN3)c2c1 ZINC001159042039 801746614 /nfs/dbraw/zinc/74/66/14/801746614.db2.gz BOWHFDIURYUVAJ-LLVKDONJSA-N 0 1 269.308 0.902 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1C[C@@H](OCC)[C@H]2OCCC[C@H]21 ZINC001159085640 801754973 /nfs/dbraw/zinc/75/49/73/801754973.db2.gz WXLMXRMDEHJFCL-NMKXLXIOSA-N 0 1 266.341 0.132 20 30 CCEDMN C=CCNC(=O)c1cccnc1NCC1CN(C)C1 ZINC001159220456 801775537 /nfs/dbraw/zinc/77/55/37/801775537.db2.gz WXNICGHHRYJGBG-UHFFFAOYSA-N 0 1 260.341 0.971 20 30 CCEDMN N#C[C@@H](Nc1ncccc1CN1CCCC1)C(N)=O ZINC001159473248 801813824 /nfs/dbraw/zinc/81/38/24/801813824.db2.gz BKJZVSCYHHWDNQ-LLVKDONJSA-N 0 1 259.313 0.467 20 30 CCEDMN CC[C@@H](C(N)=O)N1CC=C(CCNC(=O)[C@H](C)C#N)CC1 ZINC001159595130 801838074 /nfs/dbraw/zinc/83/80/74/801838074.db2.gz VNVQVYOJMCGZCH-YPMHNXCESA-N 0 1 292.383 0.548 20 30 CCEDMN C#CC[NH2+]CCCNC(=O)c1[n-]ncc1C(F)(F)F ZINC001159702898 801853615 /nfs/dbraw/zinc/85/36/15/801853615.db2.gz PXUWFZYVCQDETI-UHFFFAOYSA-N 0 1 274.246 0.771 20 30 CCEDMN C=C[C@H]1C[C@@]1(Nc1ncc2c(n1)CNC2)C(=O)OCC ZINC001159801465 801873059 /nfs/dbraw/zinc/87/30/59/801873059.db2.gz KNPZJHAVRMOZBM-HZMBPMFUSA-N 0 1 274.324 1.000 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C[C@@H]2CCNC2=O)CC1 ZINC001160341815 801930223 /nfs/dbraw/zinc/93/02/23/801930223.db2.gz CEXKSJVQRMHCNM-AWEZNQCLSA-N 0 1 289.379 0.284 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C(C)(C)C(N)=O)CC1 ZINC001160406553 801937564 /nfs/dbraw/zinc/93/75/64/801937564.db2.gz QAUKGZZNJCBGFK-UHFFFAOYSA-N 0 1 277.368 0.270 20 30 CCEDMN Cn1ncc2c1CN(C(=O)[C@@H](N)Cc1ccccc1C#N)C2 ZINC001160563952 801970774 /nfs/dbraw/zinc/97/07/74/801970774.db2.gz AOBNMWXXJDPFLL-AWEZNQCLSA-N 0 1 295.346 0.704 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(C(=O)C(C)(C)C)CC1 ZINC001160948579 802052006 /nfs/dbraw/zinc/05/20/06/802052006.db2.gz MGLDWJGRDLAIHP-AWEZNQCLSA-N 0 1 265.357 0.054 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@H](Oc2ncccc2C)C1 ZINC001161395039 802145662 /nfs/dbraw/zinc/14/56/62/802145662.db2.gz RKFJDBCDIRKDKM-DOMZBBRYSA-N 0 1 273.336 0.720 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C[C@H]2CCC(=O)N2)CC1 ZINC001161477881 802160859 /nfs/dbraw/zinc/16/08/59/802160859.db2.gz INDGBLMXAQHRLN-CQSZACIVSA-N 0 1 289.379 0.427 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)NCC#CCN(C)C ZINC001251708257 807696947 /nfs/dbraw/zinc/69/69/47/807696947.db2.gz OTEDQDBVPNSGTF-GFCCVEGCSA-N 0 1 253.346 0.178 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cc2nonc2C)CC1 ZINC001161632252 802190192 /nfs/dbraw/zinc/19/01/92/802190192.db2.gz VDJPRUYOYYJOQJ-UHFFFAOYSA-N 0 1 288.351 0.692 20 30 CCEDMN CN1CCC(C#N)(Nc2cc(N)c([N+](=O)[O-])cn2)CC1 ZINC001162227498 802292437 /nfs/dbraw/zinc/29/24/37/802292437.db2.gz UQRZGAJHZZXEOL-UHFFFAOYSA-N 0 1 276.300 0.972 20 30 CCEDMN Cn1cnc2c1ncnc2NC1(C#N)CCN(C)CC1 ZINC001162225028 802292530 /nfs/dbraw/zinc/29/25/30/802292530.db2.gz AXXAXKVZLIXKQN-UHFFFAOYSA-N 0 1 271.328 0.763 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cccc(C#N)n1 ZINC001162537500 802362457 /nfs/dbraw/zinc/36/24/57/802362457.db2.gz NKJBPRADWCKZLS-UHFFFAOYSA-N 0 1 271.280 0.774 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CC(F)(F)F ZINC001278850636 808333295 /nfs/dbraw/zinc/33/32/95/808333295.db2.gz QKOJNVRQGRVJQU-SECBINFHSA-N 0 1 266.263 0.323 20 30 CCEDMN O=C1C=C2CN(S(=O)(=O)C3CC3)CCC2S1 ZINC001259272149 808743882 /nfs/dbraw/zinc/74/38/82/808743882.db2.gz GABAXRHHLHPCSK-SECBINFHSA-N 0 1 259.352 0.753 20 30 CCEDMN Cn1ncc(C#N)c1NS(=O)(=O)CCC(F)(F)F ZINC001259865752 808788053 /nfs/dbraw/zinc/78/80/53/808788053.db2.gz GKPYVEAKAXWQCG-UHFFFAOYSA-N 0 1 282.247 0.986 20 30 CCEDMN C=CCS(=O)(=O)Nc1nn(C)c2ncccc12 ZINC001259922645 808804759 /nfs/dbraw/zinc/80/47/59/808804759.db2.gz PJGVBFWZOBFWCB-UHFFFAOYSA-N 0 1 252.299 0.896 20 30 CCEDMN CCOC(=O)c1cn(-c2ncccc2C#N)nc1CN ZINC001163521691 802567053 /nfs/dbraw/zinc/56/70/53/802567053.db2.gz PAEZGVYTLJAMSF-UHFFFAOYSA-N 0 1 271.280 0.774 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C(C)(C)CNC(C)=O)[C@H]1C ZINC001264722095 809674962 /nfs/dbraw/zinc/67/49/62/809674962.db2.gz BTOYVLMATUCWHH-YPMHNXCESA-N 0 1 279.384 0.361 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCN(CCOCC2CC2)C1 ZINC001265276318 809742815 /nfs/dbraw/zinc/74/28/15/809742815.db2.gz BNZMGSUWUJUPIJ-WCQYABFASA-N 0 1 265.357 0.763 20 30 CCEDMN Cc1nc(N2CC(N(C)[C@@H]3CCOC3)C2)cnc1C#N ZINC001165205530 802795987 /nfs/dbraw/zinc/79/59/87/802795987.db2.gz SSOBUTLXJIBCJJ-LLVKDONJSA-N 0 1 273.340 0.566 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](NC(N)=O)C(C)(C)C ZINC001268254715 812420861 /nfs/dbraw/zinc/42/08/61/812420861.db2.gz BMPBVLCVOFLPKE-NSHDSACASA-N 0 1 282.388 0.093 20 30 CCEDMN Cc1nc(C#N)cc(N2CC[C@H](N)C(F)(F)C2)n1 ZINC001166959760 802995444 /nfs/dbraw/zinc/99/54/44/802995444.db2.gz BYDYJQJCFCCYDM-VIFPVBQESA-N 0 1 253.256 0.829 20 30 CCEDMN C=CCOC[C@H]1c2nnn(C)c2CCN1Cc1c[nH]nn1 ZINC001203200044 803020232 /nfs/dbraw/zinc/02/02/32/803020232.db2.gz OQIFRCALGXJEPA-LBPRGKRZSA-N 0 1 289.343 0.235 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C(=O)NCC(F)F ZINC001268693415 813012673 /nfs/dbraw/zinc/01/26/73/813012673.db2.gz BCFRIQSTTZZQEL-ZETCQYMHSA-N 0 1 283.706 0.215 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](C)[C@H](NC(C)=O)C1 ZINC001205694304 803158513 /nfs/dbraw/zinc/15/85/13/803158513.db2.gz FOXAPNSRUZJSPQ-TZMCWYRMSA-N 0 1 279.384 0.643 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC)OCC)C1 ZINC001206377889 803237110 /nfs/dbraw/zinc/23/71/10/803237110.db2.gz DNKIZKRILRDWPU-UPJWGTAASA-N 0 1 252.358 0.871 20 30 CCEDMN C=C(Cl)CN1C[C@@H](C)[C@H](NC(=O)CCc2nc[nH]n2)C1 ZINC001206800159 803288688 /nfs/dbraw/zinc/28/86/88/803288688.db2.gz VLUBYNVQPWVTOD-MWLCHTKSSA-N 0 1 297.790 0.926 20 30 CCEDMN C[C@H](CNC(=O)c1[nH]ncc1F)Nc1cncc(C#N)n1 ZINC001098238030 814764311 /nfs/dbraw/zinc/76/43/11/814764311.db2.gz MUGBBEZGTKWQQQ-SSDOTTSWSA-N 0 1 289.274 0.441 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCn2ccnc2)C1 ZINC001208247486 803500094 /nfs/dbraw/zinc/50/00/94/803500094.db2.gz JRCBSJGMVQUSTD-ZIAGYGMSSA-N 0 1 274.368 0.733 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCc2nc(C)no2)C1 ZINC001208263458 803500976 /nfs/dbraw/zinc/50/09/76/803500976.db2.gz WRNLARXOIMHEHW-DGCLKSJQSA-N 0 1 290.367 0.770 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COc2cnn(C)c2)C1 ZINC001208429502 803513431 /nfs/dbraw/zinc/51/34/31/803513431.db2.gz FQACFOHKQPKZKP-TZMCWYRMSA-N 0 1 290.367 0.259 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC2(O)CCC2)C(C)(C)C1 ZINC001276579250 803536900 /nfs/dbraw/zinc/53/69/00/803536900.db2.gz LRZSJDAGRGQDHA-GFCCVEGCSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCN(CCN1CCN(C)CC1)C(=O)OCC ZINC001209014980 803563659 /nfs/dbraw/zinc/56/36/59/803563659.db2.gz GUFMMELZDZVGTO-UHFFFAOYSA-N 0 1 255.362 0.878 20 30 CCEDMN C=CCN(CCN1C[C@@H](OC)[C@@H](OC)C1)C(=O)OCC ZINC001209015931 803563887 /nfs/dbraw/zinc/56/38/87/803563887.db2.gz BZHBSLILRLGIMK-BETUJISGSA-N 0 1 286.372 0.977 20 30 CCEDMN C=CCOC(=O)N1CCN(Cc2cnccc2N)CC1 ZINC001209731952 803626070 /nfs/dbraw/zinc/62/60/70/803626070.db2.gz FPQHJUBPVWJOPE-UHFFFAOYSA-N 0 1 276.340 0.934 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(C)(C)COC)[C@H](OC)C1 ZINC001213211582 803684999 /nfs/dbraw/zinc/68/49/99/803684999.db2.gz NXSLHCBAZYVMEZ-ZIAGYGMSSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](OC)C2CCC2)[C@H](OC)C1 ZINC001213232605 803686598 /nfs/dbraw/zinc/68/65/98/803686598.db2.gz JZPOFFBQGXFYSF-KFWWJZLASA-N 0 1 294.395 0.640 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CCOC)C[C@H]1OC ZINC001213959446 803707280 /nfs/dbraw/zinc/70/72/80/803707280.db2.gz KPOANLFDQNJQBG-CHWSQXEVSA-N 0 1 286.372 0.041 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1[C@H]2CN(CC(=O)NC)C[C@H]21 ZINC001276707962 803716662 /nfs/dbraw/zinc/71/66/62/803716662.db2.gz KPEYHUPEIQNCOQ-SYQHCUMBSA-N 0 1 293.411 0.629 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC=C)[C@H](OC)C1 ZINC001212022218 814979389 /nfs/dbraw/zinc/97/93/89/814979389.db2.gz GRRVOBJNZVKWLR-CHWSQXEVSA-N 0 1 250.342 0.791 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1OC ZINC001212032033 814981848 /nfs/dbraw/zinc/98/18/48/814981848.db2.gz GOSWSSJUZZVUFE-ZIAGYGMSSA-N 0 1 282.384 0.971 20 30 CCEDMN N#Cc1nc[nH]c1NC(=O)[C@@H](N)Cc1c[nH]c2ncccc12 ZINC001218596398 804028056 /nfs/dbraw/zinc/02/80/56/804028056.db2.gz ZHGRYSIGLAHMOI-JTQLQIEISA-N 0 1 295.306 0.666 20 30 CCEDMN CNc1ccc(C#N)cc1NC(=O)[C@@H](N)CC[S@@](C)=O ZINC001218981260 804172701 /nfs/dbraw/zinc/17/27/01/804172701.db2.gz PMYJPOFNWKDHJC-WVDJIFEKSA-N 0 1 294.380 0.634 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H](C)OCC=C)[C@H]2C1 ZINC001219065450 804189916 /nfs/dbraw/zinc/18/99/16/804189916.db2.gz DSBRPBXYKVMJSG-QLFBSQMISA-N 0 1 292.379 0.512 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)C(C)(F)F)[C@@H](O)C1 ZINC001219096800 804196243 /nfs/dbraw/zinc/19/62/43/804196243.db2.gz AIAFAWHEYPCZKR-ZJUUUORDSA-N 0 1 262.300 0.769 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)CCCC(C)=O)[C@@H](O)C1 ZINC001219185934 804229509 /nfs/dbraw/zinc/22/95/09/804229509.db2.gz NQCHGPAHPXTRIZ-KGLIPLIRSA-N 0 1 282.384 0.873 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COC(C)C)[C@@H](O)C1 ZINC001219311406 804265175 /nfs/dbraw/zinc/26/51/75/804265175.db2.gz YEJGBAKYTCUQML-NEPJUHHUSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCC(C)C)C[C@@H]1O ZINC001219344436 804278985 /nfs/dbraw/zinc/27/89/85/804278985.db2.gz KMTZZWJKPOJZMS-KGLIPLIRSA-N 0 1 284.400 0.787 20 30 CCEDMN N#Cc1ccc(NC(=O)C[C@H]2COCCN2)c(C#N)c1 ZINC001219394066 804299326 /nfs/dbraw/zinc/29/93/26/804299326.db2.gz XAARDBJOKDXOQK-LBPRGKRZSA-N 0 1 270.292 0.747 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2cc(OC)no2)[C@@H](O)C1 ZINC001219485318 804328116 /nfs/dbraw/zinc/32/81/16/804328116.db2.gz GGTRKUJUDXXWAU-MNOVXSKESA-N 0 1 295.339 0.424 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(Cc2ccccc2)C[C@@H]1O ZINC001219591632 804363981 /nfs/dbraw/zinc/36/39/81/804363981.db2.gz NOORZJOIRTXAQI-CVEARBPZSA-N 0 1 284.359 0.761 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001219710765 804411436 /nfs/dbraw/zinc/41/14/36/804411436.db2.gz HOLSCODYHOWOMK-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCC(F)F)[C@@H](O)C1 ZINC001220019523 804469804 /nfs/dbraw/zinc/46/98/04/804469804.db2.gz SLNPFBMQZHZCFE-ZJUUUORDSA-N 0 1 262.300 0.769 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCN1C[C@H](C)OC ZINC001276809969 804478215 /nfs/dbraw/zinc/47/82/15/804478215.db2.gz OCDXVBISIKBHGP-RYUDHWBXSA-N 0 1 256.346 0.414 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2nc(C)no2)[C@@H](O)C1 ZINC001220109930 804503616 /nfs/dbraw/zinc/50/36/16/804503616.db2.gz ZOFBBANEBKCUCG-NEPJUHHUSA-N 0 1 294.355 0.048 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001220147612 804515314 /nfs/dbraw/zinc/51/53/14/804515314.db2.gz GGEAGKQBIVOQLH-NEPJUHHUSA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCC[C@@H](C(N)=O)C1 ZINC001272125511 815022334 /nfs/dbraw/zinc/02/23/34/815022334.db2.gz HJNBABRTALBIMS-CHWSQXEVSA-N 0 1 279.384 0.302 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@@H](F)C(C)C)[C@@H](O)C1 ZINC001220180849 804528338 /nfs/dbraw/zinc/52/83/38/804528338.db2.gz SHLYBKVJJYRRIC-WOPDTQHZSA-N 0 1 258.337 0.718 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](CC(C)C)OC)[C@@H](O)C1 ZINC001220308643 804569072 /nfs/dbraw/zinc/56/90/72/804569072.db2.gz HLBGPJNIBMXXKJ-HZSPNIEDSA-N 0 1 282.384 0.232 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2cncs2)[C@@H](O)C1 ZINC001220371854 804584074 /nfs/dbraw/zinc/58/40/74/804584074.db2.gz XWDRUKFHZANPPH-OLZOCXBDSA-N 0 1 293.392 0.260 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(CF)CCC2)[C@@H](O)C1 ZINC001220392679 804594550 /nfs/dbraw/zinc/59/45/50/804594550.db2.gz MYXWPVAROKUFOJ-NEPJUHHUSA-N 0 1 270.348 0.864 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CF)CCC2)[C@@H](O)C1 ZINC001220394226 804594603 /nfs/dbraw/zinc/59/46/03/804594603.db2.gz JRDGJLWOPUDLEN-NEPJUHHUSA-N 0 1 268.332 0.311 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccc(-n2ccnc2)nn1 ZINC001272131170 815024946 /nfs/dbraw/zinc/02/49/46/815024946.db2.gz BBNZFYOYOISLPG-UHFFFAOYSA-N 0 1 298.350 0.299 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](CC)CCC)[C@@H](O)C1 ZINC001220632805 804640654 /nfs/dbraw/zinc/64/06/54/804640654.db2.gz KHUZXOWKQGTICJ-MJBXVCDLSA-N 0 1 266.385 0.997 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccc(OC)nc1OC ZINC001220823454 804674580 /nfs/dbraw/zinc/67/45/80/804674580.db2.gz JVXLKEIYHMCNJZ-ZDUSSCGKSA-N 0 1 263.297 0.066 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](OC)C2CCCC2)[C@@H](O)C1 ZINC001220869283 804685280 /nfs/dbraw/zinc/68/52/80/804685280.db2.gz CDWUUEHKJWHXIM-QLFBSQMISA-N 0 1 294.395 0.376 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)CCCCCOCC)[C@@H](O)C1 ZINC001221133744 804733870 /nfs/dbraw/zinc/73/38/70/804733870.db2.gz ROMJKUOUZDRNEI-CABCVRRESA-N 0 1 296.411 0.768 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCCCOCC)[C@@H](O)C1 ZINC001221133744 804733874 /nfs/dbraw/zinc/73/38/74/804733874.db2.gz ROMJKUOUZDRNEI-CABCVRRESA-N 0 1 296.411 0.768 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CCN(Cc2cnn(C)c2)CC1 ZINC001222636805 804921839 /nfs/dbraw/zinc/92/18/39/804921839.db2.gz QGBYFKUMLLYZRO-LBPRGKRZSA-N 0 1 289.383 0.908 20 30 CCEDMN COC(=O)c1cnc(COC2=C(C)O[C@H](C)C2=O)cn1 ZINC001223045571 804951737 /nfs/dbraw/zinc/95/17/37/804951737.db2.gz FAFHBRUKNICCJS-SSDOTTSWSA-N 0 1 278.264 0.999 20 30 CCEDMN C=CCCC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001276910206 804984241 /nfs/dbraw/zinc/98/42/41/804984241.db2.gz WXTDMGBUXPZXSD-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224041942 805005609 /nfs/dbraw/zinc/00/56/09/805005609.db2.gz IFMRMZYEBDWHHT-UHFFFAOYSA-N 0 1 281.400 0.902 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)COCc1ccncc1 ZINC001276946651 805008240 /nfs/dbraw/zinc/00/82/40/805008240.db2.gz AMWDMDPCOSFKEO-OAHLLOKOSA-N 0 1 287.363 0.812 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CC[C@H]2CC[N@@H+](CCO)[C@H]2C1 ZINC001224289616 805022933 /nfs/dbraw/zinc/02/29/33/805022933.db2.gz RBCSLPRWTBZAHJ-MJBXVCDLSA-N 0 1 282.384 0.493 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1C[C@H](CNCc2ccon2)C1 ZINC001276978029 805045359 /nfs/dbraw/zinc/04/53/59/805045359.db2.gz XOVMDMPXZUPXQL-MQMHXKEQSA-N 0 1 291.351 0.699 20 30 CCEDMN C=C(C)C(=O)OC[C@H](C)Oc1nc(C(=O)OC)cc(=O)[nH]1 ZINC001225734951 805157355 /nfs/dbraw/zinc/15/73/55/805157355.db2.gz QMSBSRAMIRWKIZ-QMMMGPOBSA-N 0 1 296.279 0.855 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C2CCOCC2)CC1 ZINC001225754443 805159260 /nfs/dbraw/zinc/15/92/60/805159260.db2.gz GDVNWFFKWYJPOP-UHFFFAOYSA-N 0 1 294.395 0.643 20 30 CCEDMN C[C@H](C[NH+]1CCOCC1)Oc1[n-]c(=O)c(F)cc1C#N ZINC001226014692 805185382 /nfs/dbraw/zinc/18/53/82/805185382.db2.gz ZHCZYWVBINKNSC-SECBINFHSA-N 0 1 281.287 0.897 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cc(C)n[nH]2)CC1 ZINC001226285412 805213627 /nfs/dbraw/zinc/21/36/27/805213627.db2.gz RRMOBUSPLVAHHS-UHFFFAOYSA-N 0 1 290.367 0.562 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)CC2(C)CC2)CC1 ZINC001277118792 805215563 /nfs/dbraw/zinc/21/55/63/805215563.db2.gz CWLJGZJNOJRKMC-UHFFFAOYSA-N 0 1 279.384 0.717 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](C)COC)CC1 ZINC001226579306 805249991 /nfs/dbraw/zinc/24/99/91/805249991.db2.gz KMXOKXDJQICBHD-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN Cc1nn(C)cc1CN1CCC(NC(=O)[C@H](C)C#N)CC1 ZINC001226624618 805256500 /nfs/dbraw/zinc/25/65/00/805256500.db2.gz BHNNMYSZSLCLOC-LLVKDONJSA-N 0 1 289.383 0.969 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCCNCc1cn(C)nn1 ZINC001277147359 805266078 /nfs/dbraw/zinc/26/60/78/805266078.db2.gz DBZGNJXRQPGYFX-MNOVXSKESA-N 0 1 278.360 0.349 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ccn(C)c2)CC1 ZINC001226857388 805289547 /nfs/dbraw/zinc/28/95/47/805289547.db2.gz BVEOHNKCUAGXGW-UHFFFAOYSA-N 0 1 289.379 0.869 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCc1n[nH]c(C(C)C)n1 ZINC001226868106 805289651 /nfs/dbraw/zinc/28/96/51/805289651.db2.gz XFNYZTNUEKEBCN-SECBINFHSA-N 0 1 267.333 0.928 20 30 CCEDMN C#CCNC(=O)CC(=O)NC1CCN(C[C@@H](F)CC)CC1 ZINC001226961399 805299465 /nfs/dbraw/zinc/29/94/65/805299465.db2.gz APPKZSJOGNUKHG-LBPRGKRZSA-N 0 1 297.374 0.455 20 30 CCEDMN N#CCN1CC[C@@H](Oc2[nH]c(=O)nc3[nH]ccc32)C1 ZINC001227270133 805339252 /nfs/dbraw/zinc/33/92/52/805339252.db2.gz CXLDWIISQMJPDE-MRVPVSSYSA-N 0 1 259.269 0.640 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC1CCN(CC#CCOC)CC1 ZINC001227341822 805342153 /nfs/dbraw/zinc/34/21/53/805342153.db2.gz DDARCIJEEIYSHE-AWEZNQCLSA-N 0 1 292.379 0.255 20 30 CCEDMN N#CC1(NC(=O)[C@@]23C[C@@H]2CN(Cc2cccnn2)C3)CCC1 ZINC001277206200 805347192 /nfs/dbraw/zinc/34/71/92/805347192.db2.gz HQXQHIZTUBBLHD-MLGOLLRUSA-N 0 1 297.362 0.861 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@]2(COC)CCOC2)CC1 ZINC001228466092 805448152 /nfs/dbraw/zinc/44/81/52/805448152.db2.gz FJDKNXHEBYQGEO-INIZCTEOSA-N 0 1 294.395 0.643 20 30 CCEDMN N#CCN1CC[C@H](Oc2nc(Cl)nc3nc[nH]c32)C1 ZINC001228509972 805452216 /nfs/dbraw/zinc/45/22/16/805452216.db2.gz RUGHIYAUPBRXGV-ZETCQYMHSA-N 0 1 278.703 0.983 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1nc(OC)cc(=O)[nH]1 ZINC001228918015 805489687 /nfs/dbraw/zinc/48/96/87/805489687.db2.gz JSKGHMSZJBKNRN-QMMMGPOBSA-N 0 1 266.253 0.525 20 30 CCEDMN CC1=C(Oc2nc(C)[nH]c(=O)c2[N+](=O)[O-])C(=O)[C@H](C)O1 ZINC001229378076 805527644 /nfs/dbraw/zinc/52/76/44/805527644.db2.gz FOMKEXMRCSIZAB-BYPYZUCNSA-N 0 1 281.224 0.997 20 30 CCEDMN C=C1O[C@@H](CC)C(=O)C1Oc1nc(OC)nc(OC)n1 ZINC001229427830 805531809 /nfs/dbraw/zinc/53/18/09/805531809.db2.gz CLCOSRYICXNZLZ-ZETCQYMHSA-N 0 1 281.268 0.877 20 30 CCEDMN CC#CCN1CCC(NC(=O)COCCCOC)CC1 ZINC001229961075 805569335 /nfs/dbraw/zinc/56/93/35/805569335.db2.gz ZXXYFKUORGDCSL-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN C[C@@H]1C[C@@H](NCC#Cc2ccccc2)CN1C(=O)C(N)=O ZINC001278396895 807065573 /nfs/dbraw/zinc/06/55/73/807065573.db2.gz DDXYHXBSGUSZEK-TZMCWYRMSA-N 0 1 285.347 0.102 20 30 CCEDMN C=CCOC[C@H](O)C[N@H+]1CCC(F)(F)[C@H](N)C1 ZINC001246030139 807127153 /nfs/dbraw/zinc/12/71/53/807127153.db2.gz FAZPCUVBSBACIU-NXEZZACHSA-N 0 1 250.289 0.218 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(=O)n(C)c2)[C@H]1C ZINC001088700030 815099808 /nfs/dbraw/zinc/09/98/08/815099808.db2.gz SECYDOZCWOKJOK-OCCSQVGLSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCCCC(=O)N[C@@]12CCC[C@@H]1N(CC(=O)NC)CC2 ZINC001278444761 807238519 /nfs/dbraw/zinc/23/85/19/807238519.db2.gz FYIABNBDGRDTPV-XJKSGUPXSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cncn2C)[C@H]1C ZINC001088711357 815109961 /nfs/dbraw/zinc/10/99/61/815109961.db2.gz DISKXPWWMZFEAS-NEPJUHHUSA-N 0 1 260.341 0.636 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)c2ccnn2C)[C@@H](O)C1 ZINC001083469130 815118311 /nfs/dbraw/zinc/11/83/11/815118311.db2.gz HUTYFLARBWRJNG-SCRDCRAPSA-N 0 1 292.383 0.261 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCCC(=O)N2)[C@H]1C ZINC001088729150 815121556 /nfs/dbraw/zinc/12/15/56/815121556.db2.gz OGLKZOVYVFKKII-MCIONIFRSA-N 0 1 291.395 0.648 20 30 CCEDMN Nc1nonc1C(=Nc1ccc2cncn2c1)NO ZINC001250324695 807591598 /nfs/dbraw/zinc/59/15/98/807591598.db2.gz YCMNTGFFLMJHJT-UHFFFAOYSA-N 0 1 259.229 0.357 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@H]2CC[C@@H](C1)[S@@]2=O ZINC001251707607 807695619 /nfs/dbraw/zinc/69/56/19/807695619.db2.gz AHAYYAGHJKZCCL-MTJIALIYSA-N 0 1 297.424 0.246 20 30 CCEDMN C#CCOC[C@H](O)CN1Cc2n[nH]c(COCC=C)c2C1 ZINC001251840659 807716990 /nfs/dbraw/zinc/71/69/90/807716990.db2.gz RUKZXFYFBUHBEM-GFCCVEGCSA-N 0 1 291.351 0.439 20 30 CCEDMN C#CCOC[C@H](O)CN1CC2(C1)CC(F)(F)CS2 ZINC001251855224 807724008 /nfs/dbraw/zinc/72/40/08/807724008.db2.gz UAWDCGILLPYDKC-SNVBAGLBSA-N 0 1 277.336 0.824 20 30 CCEDMN CC(C)C#CC(=O)NCCNCc1n[nH]c(C(C)(C)C)n1 ZINC001126828174 815142420 /nfs/dbraw/zinc/14/24/20/815142420.db2.gz LPQKMBDKYUFGGE-UHFFFAOYSA-N 0 1 291.399 0.967 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(C(=O)OCC)ccn1 ZINC001251885395 807734706 /nfs/dbraw/zinc/73/47/06/807734706.db2.gz URZZWLCQFNPKDK-CQSZACIVSA-N 0 1 292.335 0.359 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1nc(C)ccc1F ZINC001251890411 807736568 /nfs/dbraw/zinc/73/65/68/807736568.db2.gz BDLORNBCNJJLLE-NSHDSACASA-N 0 1 252.289 0.629 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ccncc1Cl ZINC001251893312 807737337 /nfs/dbraw/zinc/73/73/37/807737337.db2.gz AUFKRHVMBSGRBA-NSHDSACASA-N 0 1 254.717 0.835 20 30 CCEDMN C#CCOC[C@H](O)CN[C@H]1COc2ccc(F)cc21 ZINC001251891588 807737353 /nfs/dbraw/zinc/73/73/53/807737353.db2.gz WAJRPAYCVVQLPA-YPMHNXCESA-N 0 1 265.284 0.860 20 30 CCEDMN C#CCOC[C@H](O)CN[C@@H]1COc2ccc(F)cc21 ZINC001251891586 807737380 /nfs/dbraw/zinc/73/73/80/807737380.db2.gz WAJRPAYCVVQLPA-DGCLKSJQSA-N 0 1 265.284 0.860 20 30 CCEDMN C=CCOC[C@H](O)CN1Cc2cn(C)nc2[C@H](COC)C1 ZINC001252463176 807875513 /nfs/dbraw/zinc/87/55/13/807875513.db2.gz MCIIMRNVZFBCFN-UONOGXRCSA-N 0 1 295.383 0.529 20 30 CCEDMN C=CCOC[C@H](O)CN1Cc2cn(C)nc2[C@@H](COC)C1 ZINC001252463178 807875732 /nfs/dbraw/zinc/87/57/32/807875732.db2.gz MCIIMRNVZFBCFN-ZIAGYGMSSA-N 0 1 295.383 0.529 20 30 CCEDMN C=CCOC[C@H](O)CN1Cc2ccnn2C[C@H](COC)C1 ZINC001252467280 807877608 /nfs/dbraw/zinc/87/76/08/807877608.db2.gz XFHLYHIOVTXJDM-UKRRQHHQSA-N 0 1 295.383 0.525 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCN(c2cncnc2)CC1 ZINC001252469901 807878967 /nfs/dbraw/zinc/87/89/67/807878967.db2.gz DOJZBDYOWXLJHE-AWEZNQCLSA-N 0 1 278.356 0.162 20 30 CCEDMN C=CCOC[C@@H](O)CN(C)C1CCS(=O)(=O)CC1 ZINC001252470591 807881221 /nfs/dbraw/zinc/88/12/21/807881221.db2.gz MLZZISLXMJDOJS-LBPRGKRZSA-N 0 1 277.386 0.059 20 30 CCEDMN C=C[C@@](C)(O)CN1Cc2ncn(C)c2[C@@H](COC)C1 ZINC001252552230 807908311 /nfs/dbraw/zinc/90/83/11/807908311.db2.gz IIAPTPJEUPSHJA-BXUZGUMPSA-N 0 1 265.357 0.903 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224387227 815163211 /nfs/dbraw/zinc/16/32/11/815163211.db2.gz ZZQARPWXMCMMRL-OAHLLOKOSA-N 0 1 281.400 0.902 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCCOC1 ZINC001038119612 815172365 /nfs/dbraw/zinc/17/23/65/815172365.db2.gz IZZHZAGWVCMCJH-OLZOCXBDSA-N 0 1 250.342 0.627 20 30 CCEDMN C=C[C@H](O)CN1Cc2cn(C)nc2[C@@H](COCC)C1 ZINC001253576126 808082559 /nfs/dbraw/zinc/08/25/59/808082559.db2.gz APDLUFXDTMUKJC-OLZOCXBDSA-N 0 1 265.357 0.903 20 30 CCEDMN C=C[C@@H](O)CN1CCCn2cnc(COCCO)c2C1 ZINC001253577137 808086897 /nfs/dbraw/zinc/08/68/97/808086897.db2.gz RWHIPMGZVPYTKY-GFCCVEGCSA-N 0 1 281.356 0.145 20 30 CCEDMN C=C[C@@H](O)CN[C@H](CC(=O)OC)c1ccccn1 ZINC001253606403 808096337 /nfs/dbraw/zinc/09/63/37/808096337.db2.gz GVYKIUCCAIAPBC-ZYHUDNBSSA-N 0 1 250.298 0.822 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)nc[nH]c2=O)[C@H]1C ZINC001088807944 815175777 /nfs/dbraw/zinc/17/57/77/815175777.db2.gz HXNPALLIDOHEEB-NEPJUHHUSA-N 0 1 288.351 0.707 20 30 CCEDMN Cc1onc(CC(=O)N[C@@H](C)c2nnc[nH]2)c1C#N ZINC001254339482 808219217 /nfs/dbraw/zinc/21/92/17/808219217.db2.gz XIJXMBYCBSJYHC-LURJTMIESA-N 0 1 260.257 0.393 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1(C(F)F)CCC1 ZINC001278868991 808399311 /nfs/dbraw/zinc/39/93/11/808399311.db2.gz LXCIOXYWOYTVRY-NSHDSACASA-N 0 1 288.338 0.806 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@]1(C)CCCOC1 ZINC001278877989 808418781 /nfs/dbraw/zinc/41/87/81/808418781.db2.gz FWYVLZHJBPIJQK-ZFWWWQNUSA-N 0 1 282.384 0.187 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001038495962 815204428 /nfs/dbraw/zinc/20/44/28/815204428.db2.gz FPQTVZDDRILWQY-JTQLQIEISA-N 0 1 284.323 0.180 20 30 CCEDMN C=CCCN1CC(O)(CNC(=O)[C@H]2CCCCN2C)C1 ZINC001278884200 808426717 /nfs/dbraw/zinc/42/67/17/808426717.db2.gz ZTABRMAVJNVJHD-CYBMUJFWSA-N 0 1 281.400 0.210 20 30 CCEDMN C#CCN1CCN(C2CCN(c3ncccn3)CC2)CC1 ZINC001256062125 808466142 /nfs/dbraw/zinc/46/61/42/808466142.db2.gz VIXKLONVJOSAQM-UHFFFAOYSA-N 0 1 285.395 0.696 20 30 CCEDMN C[C@H](CC(=O)N1CCOCC1)NCc1ccc(C#N)o1 ZINC001256328483 808497958 /nfs/dbraw/zinc/49/79/58/808497958.db2.gz PNCFJWVKKMTHKG-LLVKDONJSA-N 0 1 277.324 0.878 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1CCNC(C)(C)C1 ZINC001261822552 809098682 /nfs/dbraw/zinc/09/86/82/809098682.db2.gz CTJURNQQRCNTQV-UHFFFAOYSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3Cc4ccncc4C3)[C@@H]2C1 ZINC001076246002 815265292 /nfs/dbraw/zinc/26/52/92/815265292.db2.gz ZVUFCJLCGXSTMV-ZMSDIMECSA-N 0 1 295.386 0.962 20 30 CCEDMN CC(C)(O)C[C@@H](O)CN1CCN(CCC#N)CC1 ZINC001262016436 809157066 /nfs/dbraw/zinc/15/70/66/809157066.db2.gz VBYHQTNVKQBCPU-GFCCVEGCSA-N 0 1 255.362 0.040 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cn3ccc(C)cc3=O)[C@@H]2C1 ZINC001076308152 815276042 /nfs/dbraw/zinc/27/60/42/815276042.db2.gz RKAIVCGSMRXDSD-LSDHHAIUSA-N 0 1 299.374 0.323 20 30 CCEDMN C#CCNCC(=O)NCCCc1ccc2c(c1)OCCO2 ZINC001263091666 809439428 /nfs/dbraw/zinc/43/94/28/809439428.db2.gz SNBBIJWLUKFPMN-UHFFFAOYSA-N 0 1 288.347 0.729 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC001263196905 809477683 /nfs/dbraw/zinc/47/76/83/809477683.db2.gz GWBYFUMQEHFMIY-JTQLQIEISA-N 0 1 261.281 0.995 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001076412772 815293598 /nfs/dbraw/zinc/29/35/98/815293598.db2.gz UJYCKZMDDDTDIT-JTQLQIEISA-N 0 1 277.328 0.089 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001076412772 815293601 /nfs/dbraw/zinc/29/36/01/815293601.db2.gz UJYCKZMDDDTDIT-JTQLQIEISA-N 0 1 277.328 0.089 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC1CC1)NC(=O)CN1CCCC1 ZINC001076431379 815297278 /nfs/dbraw/zinc/29/72/78/815297278.db2.gz CYNIJRJGUOZNFL-ZDUSSCGKSA-N 0 1 291.395 0.507 20 30 CCEDMN CC[C@](C)(C#N)NC(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001263723142 809557780 /nfs/dbraw/zinc/55/77/80/809557780.db2.gz OWIRJFKHYAMJHM-NQBHXWOUSA-N 0 1 250.346 0.183 20 30 CCEDMN C=C(C)CCNC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001263729312 809559363 /nfs/dbraw/zinc/55/93/63/809559363.db2.gz SBPLKNXGCDJNKY-ZDUSSCGKSA-N 0 1 268.405 0.888 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCc1cncn1C ZINC001263818735 809578167 /nfs/dbraw/zinc/57/81/67/809578167.db2.gz DRXMPIFCVGCNOR-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)NC(=O)CC)[C@H]1CC ZINC001264053488 809625721 /nfs/dbraw/zinc/62/57/21/809625721.db2.gz YOUMRPCZJCRXSF-MELADBBJSA-N 0 1 293.411 0.894 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1CCN(CCF)[C@@H]1CC ZINC001264051779 809625783 /nfs/dbraw/zinc/62/57/83/809625783.db2.gz PSYZAFDDVWMHFB-QWHCGFSZSA-N 0 1 270.348 0.965 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H](C)CSC)C1 ZINC001264075514 809628095 /nfs/dbraw/zinc/62/80/95/809628095.db2.gz XMOJOTLIXOMQNN-UONOGXRCSA-N 0 1 298.452 0.904 20 30 CCEDMN COCC#CCN(C)C[C@@H]1CCCCN1C(=O)COC ZINC001264177818 809636044 /nfs/dbraw/zinc/63/60/44/809636044.db2.gz GIXNCBAZEDXSOW-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC001076556430 815310452 /nfs/dbraw/zinc/31/04/52/815310452.db2.gz JPODKASBCQIFAF-SMDDNHRTSA-N 0 1 296.334 0.628 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(CCCNC(C)=O)C1CC1 ZINC001265149720 809715620 /nfs/dbraw/zinc/71/56/20/809715620.db2.gz ZNRPHMFTFUOTIM-LLVKDONJSA-N 0 1 265.357 0.115 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)C[C@H]2CCCO2)CC1 ZINC001265256395 809733153 /nfs/dbraw/zinc/73/31/53/809733153.db2.gz WFEPUUULNGMDDZ-OAHLLOKOSA-N 0 1 295.427 0.865 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2cnc(C)[nH]2)CC1 ZINC001265257450 809733479 /nfs/dbraw/zinc/73/34/79/809733479.db2.gz FEVGRPUDHRIBJD-UHFFFAOYSA-N 0 1 289.383 0.089 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H](OC)C2CC2)CC1 ZINC001265258223 809733736 /nfs/dbraw/zinc/73/37/36/809733736.db2.gz CMROZSKHZQBVQE-CQSZACIVSA-N 0 1 281.400 0.331 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)Cc2ncc[nH]2)CC1 ZINC001265265199 809735289 /nfs/dbraw/zinc/73/52/89/809735289.db2.gz SCZUQOVACSINEU-UHFFFAOYSA-N 0 1 291.399 0.262 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCc2nc(CC)no2)C1 ZINC001265317810 809757414 /nfs/dbraw/zinc/75/74/14/809757414.db2.gz XRBGHMQFPFTGRW-LBPRGKRZSA-N 0 1 290.367 0.778 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](NCc2ncnn2C)C1 ZINC001265468882 809786300 /nfs/dbraw/zinc/78/63/00/809786300.db2.gz QQWQVLRIUJRQTB-XYPYZODXSA-N 0 1 263.345 0.518 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)/C(C)=C/C ZINC001265822671 809863916 /nfs/dbraw/zinc/86/39/16/809863916.db2.gz YYWMJYBRGBQWFH-WUXMJOGZSA-N 0 1 265.357 0.140 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN(C)Cc1cnc(C)o1 ZINC001265845147 809873888 /nfs/dbraw/zinc/87/38/88/809873888.db2.gz DVFINHTXKGXGTB-GFCCVEGCSA-N 0 1 293.367 0.959 20 30 CCEDMN Cc1nonc1CNC[C@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001265972793 809909978 /nfs/dbraw/zinc/90/99/78/809909978.db2.gz BIXWAWAVJPGGME-CYBMUJFWSA-N 0 1 290.367 0.976 20 30 CCEDMN N#CCN[C@H]1CCC[C@@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001266060169 809929085 /nfs/dbraw/zinc/92/90/85/809929085.db2.gz RIYNLPWFLIZKSB-NWDGAFQWSA-N 0 1 275.356 0.883 20 30 CCEDMN CCNC(=O)CN(CCCNC(=O)C#CC1CC1)C1CC1 ZINC001266135784 809944804 /nfs/dbraw/zinc/94/48/04/809944804.db2.gz XPUXLBHOCPTGLQ-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN COCC#CCN1CCC[C@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001266233878 809968901 /nfs/dbraw/zinc/96/89/01/809968901.db2.gz SULJVSRRDXPPMP-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)[C@@H]2CC[C@@H](F)C2)CC1 ZINC001279438471 809978844 /nfs/dbraw/zinc/97/88/44/809978844.db2.gz HZHVUMYZMSGCLZ-CHWSQXEVSA-N 0 1 296.390 0.818 20 30 CCEDMN C=CCOCCN1CCC[C@](CO)(NC(=O)[C@@H]2C[C@H]2C)C1 ZINC001279499261 809984697 /nfs/dbraw/zinc/98/46/97/809984697.db2.gz HMHYPNCAVZGISP-FMKPAKJESA-N 0 1 296.411 0.788 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@]2(CO)CCCN(CC)C2)cn1 ZINC001279517714 809985993 /nfs/dbraw/zinc/98/59/93/809985993.db2.gz ASLQWYMYHVSJTP-INIZCTEOSA-N 0 1 287.363 0.640 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C(C)(C)NC(C)=O ZINC001279588705 809989060 /nfs/dbraw/zinc/98/90/60/809989060.db2.gz UCGBGTSJZYXQTO-KBPBESRZSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001280273129 810010636 /nfs/dbraw/zinc/01/06/36/810010636.db2.gz YPOGLNMIXUQGJN-VHSXEESVSA-N 0 1 277.328 0.231 20 30 CCEDMN C#CCN(C)Cc1ccccc1CNC(=O)[C@H]1C[C@H]1C(N)=O ZINC001280334192 810011150 /nfs/dbraw/zinc/01/11/50/810011150.db2.gz UNIMXQUHLMPYRO-CABCVRRESA-N 0 1 299.374 0.489 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCN(C)C2=O)CCC1 ZINC001280500092 810026626 /nfs/dbraw/zinc/02/66/26/810026626.db2.gz ZCSRYRJOHYJLRD-LLVKDONJSA-N 0 1 299.802 0.846 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(F)nc2)C1 ZINC001076815689 815381797 /nfs/dbraw/zinc/38/17/97/815381797.db2.gz LCQWOKZSVFKIJL-VXGBXAGGSA-N 0 1 279.315 0.572 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC001076853180 815396447 /nfs/dbraw/zinc/39/64/47/815396447.db2.gz PTOFISCYXXMTLX-ITGHMWBKSA-N 0 1 264.369 0.770 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC2(C)C)C1 ZINC001076895291 815407180 /nfs/dbraw/zinc/40/71/80/815407180.db2.gz GRZLOYLJIGOCJS-QJPTWQEYSA-N 0 1 250.342 0.217 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2ccc(C)o2)C1 ZINC001076897422 815408275 /nfs/dbraw/zinc/40/82/75/815408275.db2.gz QSCSLKZAWPJIFP-ZIAGYGMSSA-N 0 1 278.352 0.868 20 30 CCEDMN CC(C)=CCN1C[C@@H](O)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001076906359 815409446 /nfs/dbraw/zinc/40/94/46/815409446.db2.gz XJHKUMPRVKRTMO-ZIAGYGMSSA-N 0 1 288.351 0.627 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCCN1Cc1n[nH]c(C)n1 ZINC001267263108 811064085 /nfs/dbraw/zinc/06/40/85/811064085.db2.gz GLEPDHBMDGMXSE-LBPRGKRZSA-N 0 1 293.371 0.396 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCCN1[C@@H]1CCCNC1=O ZINC001267268393 811069573 /nfs/dbraw/zinc/06/95/73/811069573.db2.gz YDVWKKNEPBIYLN-ZIAGYGMSSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)CC)C1 ZINC001267284095 811094823 /nfs/dbraw/zinc/09/48/23/811094823.db2.gz WYZZNOMUUSHJAF-NEPJUHHUSA-N 0 1 267.373 0.525 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2C[C@@H]2C(F)F)C1 ZINC001076952285 815420919 /nfs/dbraw/zinc/42/09/19/815420919.db2.gz IFRRCLIMMRUOST-UKKRHICBSA-N 0 1 272.295 0.072 20 30 CCEDMN Cc1cc(C#N)nc(-n2c(C)ncc(CN)c2=N)n1 ZINC001167672605 811125701 /nfs/dbraw/zinc/12/57/01/811125701.db2.gz PSWIDJSCOXZTKL-UHFFFAOYSA-N 0 1 255.285 0.089 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(CCC)CCNC(=O)C1CCC1 ZINC001267309219 811129670 /nfs/dbraw/zinc/12/96/70/811129670.db2.gz IFBNDMCVLMVRDS-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CC[N@@H+](CCC)CCNC(=O)[C@H]1CN(CC)CCO1 ZINC001267320570 811151385 /nfs/dbraw/zinc/15/13/85/811151385.db2.gz OSBQJIAQYLDUOP-CQSZACIVSA-N 0 1 281.400 0.169 20 30 CCEDMN C#CCOCCC(=O)N(C)CCNCc1ccc(F)cn1 ZINC001267372569 811230282 /nfs/dbraw/zinc/23/02/82/811230282.db2.gz UYUOWPMETJAYHN-UHFFFAOYSA-N 0 1 293.342 0.809 20 30 CCEDMN Cc1noc(CNC[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001023895163 811279783 /nfs/dbraw/zinc/27/97/83/811279783.db2.gz LEFLSCJXUMCCTK-ZYHUDNBSSA-N 0 1 291.355 0.866 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)CC(N)=O)C1 ZINC001267514193 811390216 /nfs/dbraw/zinc/39/02/16/811390216.db2.gz TUAKVLCMQCAGBJ-SNVBAGLBSA-N 0 1 273.764 0.443 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2c(C)[nH]nc2Cl)C1 ZINC001077109107 815445068 /nfs/dbraw/zinc/44/50/68/815445068.db2.gz IOTPQNOPYRNXOM-NXEZZACHSA-N 0 1 296.758 0.170 20 30 CCEDMN C=CCn1cccc1C(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001077133557 815450018 /nfs/dbraw/zinc/45/00/18/815450018.db2.gz SSMYOZDYDHFJLC-TZMCWYRMSA-N 0 1 293.367 0.095 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@H]1CCN(C(=O)C(F)F)C1 ZINC001267578038 811473081 /nfs/dbraw/zinc/47/30/81/811473081.db2.gz VMRRGBHCWSMQBG-SNVBAGLBSA-N 0 1 289.326 0.334 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)[C@H]2CCCO2)CC1 ZINC001267605084 811536900 /nfs/dbraw/zinc/53/69/00/811536900.db2.gz LAQGBMSHODLOBR-OAHLLOKOSA-N 0 1 293.411 0.265 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCO3)[C@@H]2C1 ZINC001075524011 811561303 /nfs/dbraw/zinc/56/13/03/811561303.db2.gz JTPVUPWNKUKWLA-YNEHKIRRSA-N 0 1 250.342 0.884 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](CN(C)CC(=O)N(C)C)C1 ZINC001267631188 811588619 /nfs/dbraw/zinc/58/86/19/811588619.db2.gz CCNFOVHBQGOYHW-CYBMUJFWSA-N 0 1 281.400 0.821 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCc2ncncc2C1 ZINC001038339482 811647164 /nfs/dbraw/zinc/64/71/64/811647164.db2.gz KDXWJILULZAQHW-UKRRQHHQSA-N 0 1 298.390 0.795 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CCn2cnccc2=O)C1 ZINC001267695318 811662129 /nfs/dbraw/zinc/66/21/29/811662129.db2.gz QZIVSBQOVIRKHL-UHFFFAOYSA-N 0 1 290.367 0.258 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](CC(=C)C)NC(C)=O)C1 ZINC001267731036 811699832 /nfs/dbraw/zinc/69/98/32/811699832.db2.gz LBMXKDLZOSXKMB-UONOGXRCSA-N 0 1 279.384 0.834 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccnc2C2CC2)C1 ZINC001077305633 815477462 /nfs/dbraw/zinc/47/74/62/815477462.db2.gz NORXDRSLQRZVHX-HUUCEWRRSA-N 0 1 299.374 0.757 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)nc1 ZINC001105305295 811901396 /nfs/dbraw/zinc/90/13/96/811901396.db2.gz XCMPFYHDZVUWIE-GFCCVEGCSA-N 0 1 299.338 0.938 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2C(C)(C)C)C1 ZINC001077371260 815487397 /nfs/dbraw/zinc/48/73/97/815487397.db2.gz CGIXRXFVKKYMRG-AAVRWANBSA-N 0 1 278.396 0.853 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2c(C)cncc2C)C1 ZINC001077383406 815489205 /nfs/dbraw/zinc/48/92/05/815489205.db2.gz HYJSPENKJCVJKG-ZIAGYGMSSA-N 0 1 287.363 0.497 20 30 CCEDMN C=C(Br)CNCCNC(=O)C1=CCOCC1 ZINC001126109809 812013093 /nfs/dbraw/zinc/01/30/93/812013093.db2.gz GLOIWCSAJJQBLM-UHFFFAOYSA-N 0 1 289.173 0.948 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001027917107 812139844 /nfs/dbraw/zinc/13/98/44/812139844.db2.gz APJWKEOJRNTYRD-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001027917107 812139849 /nfs/dbraw/zinc/13/98/49/812139849.db2.gz APJWKEOJRNTYRD-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCc2nccn2C1 ZINC001027973680 812183986 /nfs/dbraw/zinc/18/39/86/812183986.db2.gz WWDSFTSKZFQHGE-UONOGXRCSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCn2ccnc2C1 ZINC001027979692 812190233 /nfs/dbraw/zinc/19/02/33/812190233.db2.gz HILARGJEVBSJKN-KGLIPLIRSA-N 0 1 286.379 0.659 20 30 CCEDMN N#Cc1ccc(N[C@H]2CCN(C(=O)Cc3ccn[nH]3)C2)cn1 ZINC001058865020 812234535 /nfs/dbraw/zinc/23/45/35/812234535.db2.gz XHXGFAGZZRYFGV-AWEZNQCLSA-N 0 1 296.334 0.932 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ncccc2CC)[C@@H](O)C1 ZINC001083589607 815514321 /nfs/dbraw/zinc/51/43/21/815514321.db2.gz PMIFHPWXMIDWTM-KGLIPLIRSA-N 0 1 289.379 0.995 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001038878970 812289384 /nfs/dbraw/zinc/28/93/84/812289384.db2.gz HLDCXIHDFIWLSQ-ZDUSSCGKSA-N 0 1 296.334 0.799 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)c1[nH]ncc1F ZINC001028120412 812292787 /nfs/dbraw/zinc/29/27/87/812292787.db2.gz OZXGVCUQNIEDRL-MRVPVSSYSA-N 0 1 251.265 0.267 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cn(C)nc1COC ZINC001268241182 812406386 /nfs/dbraw/zinc/40/63/86/812406386.db2.gz WDTDJWMSJSOEMM-UHFFFAOYSA-N 0 1 292.383 0.594 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCOC[C@@H]1CCCO1 ZINC001268242111 812408291 /nfs/dbraw/zinc/40/82/91/812408291.db2.gz GVHUPHBYLILOIL-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)C1(COC)CCC1 ZINC001268244117 812411698 /nfs/dbraw/zinc/41/16/98/812411698.db2.gz TYBWTVIOJFVGIX-UHFFFAOYSA-N 0 1 296.411 0.843 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cccnn1 ZINC001028220294 812416935 /nfs/dbraw/zinc/41/69/35/812416935.db2.gz SZDMLXPLOFQKMU-GFCCVEGCSA-N 0 1 258.325 0.694 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3c[nH]c(C)n3)[C@@H]2C1 ZINC001075622075 812509106 /nfs/dbraw/zinc/50/91/06/812509106.db2.gz DJEDTJBKQBDJNQ-GXTWGEPZSA-N 0 1 272.352 0.888 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CCNCc1cnon1 ZINC001268357812 812517809 /nfs/dbraw/zinc/51/78/09/812517809.db2.gz JEZUJNMAVLTESE-MFKMUULPSA-N 0 1 282.344 0.381 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3CCOC3)[C@@H]2C1 ZINC001075637749 812635673 /nfs/dbraw/zinc/63/56/73/812635673.db2.gz WMHAQSJFLMNGKF-SOUVJXGZSA-N 0 1 276.380 0.969 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN[C@H](C)c1cnccn1 ZINC001126365585 812683839 /nfs/dbraw/zinc/68/38/39/812683839.db2.gz LJBPUIOWDOECOE-BXUZGUMPSA-N 0 1 278.356 0.571 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cc(C)ncn1 ZINC001126365782 812684651 /nfs/dbraw/zinc/68/46/51/812684651.db2.gz NIBQRZAKPVAFHZ-CQSZACIVSA-N 0 1 278.356 0.318 20 30 CCEDMN CC(C)[C@@H](CNC(=O)NCCC#N)N1CCN(C)CC1 ZINC000426438763 812806382 /nfs/dbraw/zinc/80/63/82/812806382.db2.gz FHLWSKJNNHENRK-CYBMUJFWSA-N 0 1 281.404 0.471 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN[C@H](C)c1n[nH]c(C)n1 ZINC001126377983 812811059 /nfs/dbraw/zinc/81/10/59/812811059.db2.gz HLDMVCMGNYHLHT-RNCFNFMXSA-N 0 1 281.360 0.207 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccoc2)[C@@H](O)C1 ZINC001083338970 812887398 /nfs/dbraw/zinc/88/73/98/812887398.db2.gz OKKREXNZUMVCEH-NEPJUHHUSA-N 0 1 250.298 0.631 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1ccc(F)cn1 ZINC001127027058 815564268 /nfs/dbraw/zinc/56/42/68/815564268.db2.gz GMURCEYWRPSFEL-UHFFFAOYSA-N 0 1 279.315 0.466 20 30 CCEDMN Cc1cnc(CNC[C@H](C)NC(=O)[C@H](C)C#N)cn1 ZINC001268681125 813001923 /nfs/dbraw/zinc/00/19/23/813001923.db2.gz BQFWQRUJUADXRG-KOLCDFICSA-N 0 1 261.329 0.539 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC(=O)NCC ZINC001268681757 813006502 /nfs/dbraw/zinc/00/65/02/813006502.db2.gz JEJPHPCYEPTJNO-VIFPVBQESA-N 0 1 261.753 0.359 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1cnco1 ZINC001268737385 813053047 /nfs/dbraw/zinc/05/30/47/813053047.db2.gz ATHIJQDHBOXADW-LLVKDONJSA-N 0 1 265.313 0.375 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001268746656 813059823 /nfs/dbraw/zinc/05/98/23/813059823.db2.gz RHIAEPPNHSNIMN-YJNKXOJESA-N 0 1 294.395 0.640 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(C(=O)c1cnc[nH]1)CC2 ZINC001268867562 813124354 /nfs/dbraw/zinc/12/43/54/813124354.db2.gz JFRMRZQCKCGDMO-LLVKDONJSA-N 0 1 286.335 0.401 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2n[nH]c3c2CCC3)C1 ZINC001028652304 813164634 /nfs/dbraw/zinc/16/46/34/813164634.db2.gz NEAZFCVCXLZRPO-LBPRGKRZSA-N 0 1 286.379 0.973 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1ccn[nH]1 ZINC001269131906 813231555 /nfs/dbraw/zinc/23/15/55/813231555.db2.gz OLVYITXSLNAJKM-VXGBXAGGSA-N 0 1 272.308 0.248 20 30 CCEDMN C=CCC[C@H](C)[N@H+]1CC[C@@H](NC(=O)c2cn[nH]n2)C1 ZINC001269142496 813237448 /nfs/dbraw/zinc/23/74/48/813237448.db2.gz DTWAFLASWCKCLP-WDEREUQCSA-N 0 1 263.345 0.964 20 30 CCEDMN C=CCC[C@H](C)[N@@H+]1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001269142496 813237450 /nfs/dbraw/zinc/23/74/50/813237450.db2.gz DTWAFLASWCKCLP-WDEREUQCSA-N 0 1 263.345 0.964 20 30 CCEDMN O=C(Cc1cncnc1)NCCNCC#Cc1ccccc1 ZINC001126402901 813238824 /nfs/dbraw/zinc/23/88/24/813238824.db2.gz KOSXUCUIMQDPEN-UHFFFAOYSA-N 0 1 294.358 0.777 20 30 CCEDMN N#Cc1cccnc1N[C@H]1CCN(C(=O)Cc2c[nH]cn2)C1 ZINC001059079809 813240205 /nfs/dbraw/zinc/24/02/05/813240205.db2.gz VZHDGYLSEOIMHC-LBPRGKRZSA-N 0 1 296.334 0.932 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CNC(=O)CC)C1 ZINC001269221906 813276141 /nfs/dbraw/zinc/27/61/41/813276141.db2.gz QWPNKQZXIIWWNO-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN CC(C)CCC(=O)NCC1(NCC(=O)NCC#N)CC1 ZINC001269368007 813344509 /nfs/dbraw/zinc/34/45/09/813344509.db2.gz FHKMHVGRZWKONF-UHFFFAOYSA-N 0 1 280.372 0.301 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](CC)NCc1cnnn1C ZINC001269396451 813355795 /nfs/dbraw/zinc/35/57/95/813355795.db2.gz FDFMVBPFTWNSOU-SMDDNHRTSA-N 0 1 295.387 0.127 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2cn[nH]n2)C1 ZINC001269812786 813518933 /nfs/dbraw/zinc/51/89/33/813518933.db2.gz SYVVYEABLOMENP-SNVBAGLBSA-N 0 1 263.345 0.916 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2[nH]c(C)nc2C)C1 ZINC001028808832 813535548 /nfs/dbraw/zinc/53/55/48/813535548.db2.gz OTHGNSWHTBEKQH-GFCCVEGCSA-N 0 1 260.341 0.711 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](OC)C3CC3)[C@@H]2C1 ZINC001075749364 813708308 /nfs/dbraw/zinc/70/83/08/813708308.db2.gz ROZOTYFNOPGVEC-RRFJBIMHSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCNC(=O)CN1CCC2(C1)CCN(C(=O)CC)CC2 ZINC001270323078 813719535 /nfs/dbraw/zinc/71/95/35/813719535.db2.gz MJWQDRUQKRNVJC-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cc2cncn2C)C1 ZINC001270649453 813871608 /nfs/dbraw/zinc/87/16/08/813871608.db2.gz NVKYTQIPUOHQJH-AWEZNQCLSA-N 0 1 260.341 0.176 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@@H](O)C1 ZINC001083413481 814030609 /nfs/dbraw/zinc/03/06/09/814030609.db2.gz QCYREUDQEQJCER-OLZOCXBDSA-N 0 1 274.324 0.237 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2COCCO2)[C@H]1CC ZINC001087507837 814032167 /nfs/dbraw/zinc/03/21/67/814032167.db2.gz OOTGYLFVUAOWAB-MJBXVCDLSA-N 0 1 280.368 0.394 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2cc(C)cn2)[C@H]1CC ZINC001087513259 814043833 /nfs/dbraw/zinc/04/38/33/814043833.db2.gz JPHXVCNEOVWZFR-UONOGXRCSA-N 0 1 274.368 0.794 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccc(C)o2)[C@@H](O)C1 ZINC001083415110 814045063 /nfs/dbraw/zinc/04/50/63/814045063.db2.gz QSCSLKZAWPJIFP-KGLIPLIRSA-N 0 1 278.352 0.868 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cn4c(n3)CCC4)[C@@H]2C1 ZINC001075806265 814081830 /nfs/dbraw/zinc/08/18/30/814081830.db2.gz CSRWJZROQOETNM-GXTWGEPZSA-N 0 1 284.363 0.609 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C[C@H]2CCOC2)CC1 ZINC001226691051 814083555 /nfs/dbraw/zinc/08/35/55/814083555.db2.gz UYSAUJVTRHSHHM-CQSZACIVSA-N 0 1 294.395 0.643 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001029359645 814096469 /nfs/dbraw/zinc/09/64/69/814096469.db2.gz FKZBPGGVOCLAML-HZSPNIEDSA-N 0 1 276.384 0.669 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCNC(=O)C2)[C@H]1CC ZINC001087540020 814117634 /nfs/dbraw/zinc/11/76/34/814117634.db2.gz XSWWRDNKMBUUMQ-HZSPNIEDSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2ccsc2)C1 ZINC001271109082 814154952 /nfs/dbraw/zinc/15/49/52/814154952.db2.gz HJTCRUISWDSJRW-AWEZNQCLSA-N 0 1 278.377 0.938 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCNC2=O)[C@H]1CC ZINC001087609505 814174267 /nfs/dbraw/zinc/17/42/67/814174267.db2.gz PCWKDDZOXPEDBH-FRRDWIJNSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncn2C)[C@H]1CC ZINC001087644407 814181364 /nfs/dbraw/zinc/18/13/64/814181364.db2.gz SRXBDEBHQHTHDJ-NWDGAFQWSA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCNC(=O)CC2)[C@H]1CC ZINC001087672731 814183887 /nfs/dbraw/zinc/18/38/87/814183887.db2.gz GURKRTQXHOHJRP-HZSPNIEDSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2[C@H]1CCNC1=O ZINC001029422719 814218664 /nfs/dbraw/zinc/21/86/64/814218664.db2.gz VVHSHMSNBOQCTE-XQQFMLRXSA-N 0 1 291.395 0.762 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)[C@H]1CC ZINC001087841618 814233020 /nfs/dbraw/zinc/23/30/20/814233020.db2.gz GXCLCAMMBMLQDB-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccc(C)cc2F)C1 ZINC001271191020 814328080 /nfs/dbraw/zinc/32/80/80/814328080.db2.gz BVBFPFJYMXQVIL-INIZCTEOSA-N 0 1 290.338 0.934 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cccc3cc[nH]c32)C1 ZINC001271193486 814330009 /nfs/dbraw/zinc/33/00/09/814330009.db2.gz XBIXJQBZDQCLFP-KRWDZBQOSA-N 0 1 297.358 0.968 20 30 CCEDMN N#CCN[C@H]1CCCCCN(C(=O)Cc2ccn[nH]2)C1 ZINC001088285430 814574180 /nfs/dbraw/zinc/57/41/80/814574180.db2.gz DUWLDPJBJZQUHW-ZDUSSCGKSA-N 0 1 275.356 0.837 20 30 CCEDMN N#CCN[C@@H]1CCCCCN(C(=O)CCc2nc[nH]n2)C1 ZINC001088291381 814581875 /nfs/dbraw/zinc/58/18/75/814581875.db2.gz GCFIWTQGDUUJFI-GFCCVEGCSA-N 0 1 290.371 0.622 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCCCC[C@H](NCC#N)C1 ZINC001088357938 814641873 /nfs/dbraw/zinc/64/18/73/814641873.db2.gz PCAROKLWZQAUKQ-NSHDSACASA-N 0 1 276.344 0.611 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)c2[nH]cnc2C)C1 ZINC001271997328 814672365 /nfs/dbraw/zinc/67/23/65/814672365.db2.gz WWBNOQIIGZQCEH-HNNXBMFYSA-N 0 1 292.383 0.851 20 30 CCEDMN N#CCN[C@@H]1CCCCCN(C(=O)Cc2ncn[nH]2)C1 ZINC001088412161 814677710 /nfs/dbraw/zinc/67/77/10/814677710.db2.gz ZMVOUTCAXQZICT-LLVKDONJSA-N 0 1 276.344 0.232 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](NC(=O)CC)[C@H]2C)C1=O ZINC001088437089 814687017 /nfs/dbraw/zinc/68/70/17/814687017.db2.gz NZPXIIUMLLYOKJ-AGIUHOORSA-N 0 1 279.384 0.762 20 30 CCEDMN C=CCC[N@H+]1CC[C@@H]1CNC(=O)c1cnc[nH]c1=O ZINC001038381045 815582503 /nfs/dbraw/zinc/58/25/03/815582503.db2.gz CHRSCSORVCZWSN-SNVBAGLBSA-N 0 1 262.313 0.562 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)N1CCCC1 ZINC001127035564 815584991 /nfs/dbraw/zinc/58/49/91/815584991.db2.gz KXHBGRDUFLAQQX-UHFFFAOYSA-N 0 1 288.779 0.250 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1ocnc1C ZINC001127045789 815600665 /nfs/dbraw/zinc/60/06/65/815600665.db2.gz HGGIJDDBCFUYPK-LLVKDONJSA-N 0 1 265.313 0.227 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCN[C@@H](C)c1n[nH]c(CC)n1 ZINC001127046172 815601608 /nfs/dbraw/zinc/60/16/08/815601608.db2.gz NDRQQEXARSKLLP-WDEREUQCSA-N 0 1 293.371 0.172 20 30 CCEDMN CCc1cc(C(=O)N2C[C@@H](CNCC#N)[C@H](C)C2)n[nH]1 ZINC001105844196 815912255 /nfs/dbraw/zinc/91/22/55/815912255.db2.gz VLFRKXOJFVTMDX-GHMZBOCLSA-N 0 1 275.356 0.793 20 30 CCEDMN C=CCN1CC(NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001030314265 815971817 /nfs/dbraw/zinc/97/18/17/815971817.db2.gz SLVPCXRSVRHKPP-LLVKDONJSA-N 0 1 260.341 0.816 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@H]1C ZINC001088943487 816187159 /nfs/dbraw/zinc/18/71/59/816187159.db2.gz FMVLABMGIMPADN-PWSUYJOCSA-N 0 1 288.351 0.330 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCCN2C(N)=O)[C@H]1C ZINC001088971840 816198811 /nfs/dbraw/zinc/19/88/11/816198811.db2.gz GELOMDRRIQQTQI-FRRDWIJNSA-N 0 1 294.399 0.685 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cccn(C)c2=O)[C@H]1C ZINC001088988749 816205040 /nfs/dbraw/zinc/20/50/40/816205040.db2.gz NSMZMMOEDXOGJA-YPMHNXCESA-N 0 1 275.352 0.764 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccc(C(N)=O)cc2)[C@H]1C ZINC001089068698 816231044 /nfs/dbraw/zinc/23/10/44/816231044.db2.gz QLZKSZIUJYHGLP-DOMZBBRYSA-N 0 1 299.374 0.540 20 30 CCEDMN C=CCCC(=O)NC[C@H](O)CNCc1oc(C)nc1C ZINC001272379739 816376936 /nfs/dbraw/zinc/37/69/36/816376936.db2.gz KWYWZOLAXMIZCZ-GFCCVEGCSA-N 0 1 281.356 0.824 20 30 CCEDMN C#CCN1C[C@@]2(CCN(CCC(F)(F)F)C2)OCC1=O ZINC001272502291 816515891 /nfs/dbraw/zinc/51/58/91/816515891.db2.gz LMDZPFQCAIBWLL-LBPRGKRZSA-N 0 1 290.285 0.875 20 30 CCEDMN C=C(C)CNC(=O)[C@H]1CC12CCN(CC(=O)N(C)C)CC2 ZINC001272535214 816560509 /nfs/dbraw/zinc/56/05/09/816560509.db2.gz WHDDMSWLUOSPKB-CYBMUJFWSA-N 0 1 293.411 0.869 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@H+]2Cc1cn[nH]c1 ZINC001272544416 816567460 /nfs/dbraw/zinc/56/74/60/816567460.db2.gz RUKXRBBRKURAPZ-CHWSQXEVSA-N 0 1 258.325 0.608 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cn[nH]c1 ZINC001272544416 816567468 /nfs/dbraw/zinc/56/74/68/816567468.db2.gz RUKXRBBRKURAPZ-CHWSQXEVSA-N 0 1 258.325 0.608 20 30 CCEDMN N#CC1(CNS(=O)(=O)C[C@@]23CC[N@@H+](C2)CCC3)CC1 ZINC000915186519 816790987 /nfs/dbraw/zinc/79/09/87/816790987.db2.gz LBVNKMUJEMJLIA-ZDUSSCGKSA-N 0 1 283.397 0.695 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001031222856 816840862 /nfs/dbraw/zinc/84/08/62/816840862.db2.gz UUPLNNHGYLTIGJ-NSHDSACASA-N 0 1 272.352 0.338 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@@H]1CCCO1 ZINC001123143905 816873338 /nfs/dbraw/zinc/87/33/38/816873338.db2.gz MTOSSGJUQQNQTG-HNNXBMFYSA-N 0 1 272.348 0.923 20 30 CCEDMN CCn1ccnc1[C@@H](C#N)C(=O)[C@@H](NC=O)C(C)C ZINC001123338285 816923659 /nfs/dbraw/zinc/92/36/59/816923659.db2.gz ZRPNYIORLOPJSH-QWRGUYRKSA-N 0 1 262.313 0.850 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc(C)n(C)n2)C1 ZINC001031564810 817142580 /nfs/dbraw/zinc/14/25/80/817142580.db2.gz XJJNPIOPZXOEOE-UHFFFAOYSA-N 0 1 262.357 0.966 20 30 CCEDMN C#CC[N@H+]1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC001089620958 817276125 /nfs/dbraw/zinc/27/61/25/817276125.db2.gz JFQXKCZDIWOPOG-QWHCGFSZSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC001089620958 817276127 /nfs/dbraw/zinc/27/61/27/817276127.db2.gz JFQXKCZDIWOPOG-QWHCGFSZSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001031804305 817379269 /nfs/dbraw/zinc/37/92/69/817379269.db2.gz WTWFPCHADGJQPE-GFCCVEGCSA-N 0 1 265.357 0.137 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccncc2C)C1 ZINC001047375456 817433728 /nfs/dbraw/zinc/43/37/28/817433728.db2.gz UHLLYQMSARCQBF-GJZGRUSLSA-N 0 1 287.363 0.530 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001031871102 817434189 /nfs/dbraw/zinc/43/41/89/817434189.db2.gz NKNHNFKCKAMLDI-SOUVJXGZSA-N 0 1 294.395 0.662 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cn(C)nc2OC)C1 ZINC001031897558 817449615 /nfs/dbraw/zinc/44/96/15/817449615.db2.gz VLGYYRPUONTSFA-UHFFFAOYSA-N 0 1 278.356 0.666 20 30 CCEDMN C=CCN1CC(CNC(=O)c2csc(=O)[nH]2)C1 ZINC001031914726 817461660 /nfs/dbraw/zinc/46/16/60/817461660.db2.gz UDVNUYGOULDXKH-UHFFFAOYSA-N 0 1 253.327 0.696 20 30 CCEDMN C#Cc1cncc(C(=O)NC2(C#N)CCN(C)CC2)c1 ZINC001127702520 817471133 /nfs/dbraw/zinc/47/11/33/817471133.db2.gz BTDAAPSNPCNRTJ-UHFFFAOYSA-N 0 1 268.320 0.781 20 30 CCEDMN C#CCN1CC(CNC(=O)c2occc2CN(C)C)C1 ZINC001031930798 817474506 /nfs/dbraw/zinc/47/45/06/817474506.db2.gz BMCPVQLXDQIHQO-UHFFFAOYSA-N 0 1 275.352 0.636 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCN[C@@H](C)c1n[nH]c(C)n1 ZINC001124487819 817486427 /nfs/dbraw/zinc/48/64/27/817486427.db2.gz GTXIEXCLDYFBHG-QWRGUYRKSA-N 0 1 295.387 0.861 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC001047468059 817535208 /nfs/dbraw/zinc/53/52/08/817535208.db2.gz RPWXIYYAZKPZSU-QPSCCSFWSA-N 0 1 294.395 0.328 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@@H](C)COC ZINC001124748929 817560424 /nfs/dbraw/zinc/56/04/24/817560424.db2.gz LSHQOJAVMYNLMW-QMMMGPOBSA-N 0 1 279.178 0.883 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cc(C)nn1C ZINC001272719311 817584316 /nfs/dbraw/zinc/58/43/16/817584316.db2.gz RLGPXZSKVCGQSW-HUUCEWRRSA-N 0 1 286.379 0.927 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn3nccc3nc2C)C1 ZINC001032083517 817612782 /nfs/dbraw/zinc/61/27/82/817612782.db2.gz ZZFBTRWFNCERJK-UHFFFAOYSA-N 0 1 285.351 0.885 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnc(OC)nc2)C1 ZINC001032099231 817630443 /nfs/dbraw/zinc/63/04/43/817630443.db2.gz BYAOLYYWVLSWBJ-UHFFFAOYSA-N 0 1 276.340 0.723 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001032123941 817652271 /nfs/dbraw/zinc/65/22/71/817652271.db2.gz MMNFBBPNWNORAF-AWEZNQCLSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001032126324 817656005 /nfs/dbraw/zinc/65/60/05/817656005.db2.gz AYLDJYSKPIWDNO-NSHDSACASA-N 0 1 275.356 0.144 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](C)Nc1ncnc2[nH]cnc21 ZINC001115660277 817723991 /nfs/dbraw/zinc/72/39/91/817723991.db2.gz LZVRYEGSUTYFPS-RKDXNWHRSA-N 0 1 287.327 0.771 20 30 CCEDMN C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1cccn1 ZINC001032279598 817777826 /nfs/dbraw/zinc/77/78/26/817777826.db2.gz WPIWQCNGDPSQQF-STQMWFEESA-N 0 1 260.341 0.744 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+]([C@@H](C)COC)C[C@H]2O)C1 ZINC001077645746 817913320 /nfs/dbraw/zinc/91/33/20/817913320.db2.gz UYHFBNAEYFZPID-YNEHKIRRSA-N 0 1 282.384 0.539 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN([C@@H](C)COC)C[C@H]2O)C1 ZINC001077645746 817913324 /nfs/dbraw/zinc/91/33/24/817913324.db2.gz UYHFBNAEYFZPID-YNEHKIRRSA-N 0 1 282.384 0.539 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H](C)CNc2nccnc2C#N)c1C ZINC001107663420 817923468 /nfs/dbraw/zinc/92/34/68/817923468.db2.gz CLIVXCSGCNEGSH-MRVPVSSYSA-N 0 1 299.338 0.919 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H](C)C#N)CC2(CCOCC2)C1 ZINC001099571519 817930723 /nfs/dbraw/zinc/93/07/23/817930723.db2.gz JIOIHGUZNPZGNL-UONOGXRCSA-N 0 1 289.379 0.767 20 30 CCEDMN Cc1nc(CC(=O)N[C@H](C)CNc2ccc(C#N)cn2)n[nH]1 ZINC001107730083 817971804 /nfs/dbraw/zinc/97/18/04/817971804.db2.gz RQBHVVGXMUHLBI-SECBINFHSA-N 0 1 299.338 0.539 20 30 CCEDMN CC(C)N1CCO[C@@](C)(CNC(=O)CSCC#N)C1 ZINC001107754233 817985473 /nfs/dbraw/zinc/98/54/73/817985473.db2.gz SPPCCLGXUTUCGR-ZDUSSCGKSA-N 0 1 285.413 0.859 20 30 CCEDMN C=CCOCC(=O)NC[C@@]1(C)CN(C(C)C)CCO1 ZINC001107812743 818028233 /nfs/dbraw/zinc/02/82/33/818028233.db2.gz DAXFMNFFRGPKSU-AWEZNQCLSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccncc2Cl)[C@@H](O)C1 ZINC001083805952 818060781 /nfs/dbraw/zinc/06/07/81/818060781.db2.gz JVWGSJDWXLWIEZ-OLZOCXBDSA-N 0 1 293.754 0.533 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C)o2)[C@@H](O)C1 ZINC001090051523 818356022 /nfs/dbraw/zinc/35/60/22/818356022.db2.gz SHFRQLFRQONLQX-NEPJUHHUSA-N 0 1 264.325 0.939 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCOCC2)C1 ZINC001033043098 818457222 /nfs/dbraw/zinc/45/72/22/818457222.db2.gz FQFLZFXUOKKBLM-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN CN(C(=O)c1c[nH]cc2ncnc1-2)[C@H]1CCN(CC#N)C1 ZINC001033101091 818520253 /nfs/dbraw/zinc/52/02/53/818520253.db2.gz GNSTZISOKYXZFD-JTQLQIEISA-N 0 1 284.323 0.628 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cccn3nnnc23)C1 ZINC001033114260 818535305 /nfs/dbraw/zinc/53/53/05/818535305.db2.gz KDQNMBGJCBHALV-LLVKDONJSA-N 0 1 286.339 0.457 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)[C@@H](C)N2C(=O)CCC2=O)C1 ZINC001033121319 818546287 /nfs/dbraw/zinc/54/62/87/818546287.db2.gz DCPZZRCXRYSKNV-NEPJUHHUSA-N 0 1 293.367 0.243 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)N2C(=O)CCC2=O)C1 ZINC001033121319 818546296 /nfs/dbraw/zinc/54/62/96/818546296.db2.gz DCPZZRCXRYSKNV-NEPJUHHUSA-N 0 1 293.367 0.243 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc3cccnn32)[C@@H](O)C1 ZINC001083841073 818563447 /nfs/dbraw/zinc/56/34/47/818563447.db2.gz DMMAQICUTMBFJV-HIFRSBDPSA-N 0 1 298.346 0.133 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cn2cc(C3CC3)nn2)C1 ZINC001033141344 818565188 /nfs/dbraw/zinc/56/51/88/818565188.db2.gz DOSVSGHJNQHQQU-ZDUSSCGKSA-N 0 1 287.367 0.321 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnsn2)[C@H](O)C1 ZINC001090106793 818593588 /nfs/dbraw/zinc/59/35/88/818593588.db2.gz DZWLKRABVAEMOI-MWLCHTKSSA-N 0 1 282.369 0.279 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033202340 818647276 /nfs/dbraw/zinc/64/72/76/818647276.db2.gz FONYIVCCBVTJSP-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001033290753 818693797 /nfs/dbraw/zinc/69/37/97/818693797.db2.gz XKOJIOOQZMQJOV-SMDDNHRTSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033393253 818750610 /nfs/dbraw/zinc/75/06/10/818750610.db2.gz DUBNLXIYXIQLPZ-NSHDSACASA-N 0 1 276.340 0.295 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCNC(=O)[C@H]1C[C@H]1C ZINC001128631651 818753990 /nfs/dbraw/zinc/75/39/90/818753990.db2.gz CWWQOUKIVFJCCI-KOLCDFICSA-N 0 1 287.791 0.607 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cccc(=O)n2C)C1 ZINC001033407402 818757097 /nfs/dbraw/zinc/75/70/97/818757097.db2.gz OGAIHXFHVVHSCX-CYBMUJFWSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ccc(=O)n(CC)n2)C1 ZINC001033509746 818809819 /nfs/dbraw/zinc/80/98/19/818809819.db2.gz KQYIMIAWNMQRSW-GFCCVEGCSA-N 0 1 288.351 0.043 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC001033577461 818837705 /nfs/dbraw/zinc/83/77/05/818837705.db2.gz NLQSXOAERCKGOP-LLVKDONJSA-N 0 1 299.334 0.214 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001033612034 818850337 /nfs/dbraw/zinc/85/03/37/818850337.db2.gz BVTHIDNVJSZRQA-LSDHHAIUSA-N 0 1 283.375 0.962 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCc3nncn3C2)C1 ZINC001033678045 818884555 /nfs/dbraw/zinc/88/45/55/818884555.db2.gz BAHHHYBMOLNSJP-STQMWFEESA-N 0 1 289.383 0.559 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2[nH]cnc2C)C1 ZINC001033710557 818897097 /nfs/dbraw/zinc/89/70/97/818897097.db2.gz HKMRYUPRULAEJW-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)C2=COCCO2)C1 ZINC001033736946 818909267 /nfs/dbraw/zinc/90/92/67/818909267.db2.gz HQGHDNMMLLXOFA-ZDUSSCGKSA-N 0 1 278.352 0.821 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2COCCO2)C1 ZINC001033759924 818918428 /nfs/dbraw/zinc/91/84/28/818918428.db2.gz BRNZBTQNDVBLFK-CHWSQXEVSA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2COCCN2C)C1 ZINC001033794086 818942248 /nfs/dbraw/zinc/94/22/48/818942248.db2.gz FDISVYPOVVJLSH-ZIAGYGMSSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033849209 818966656 /nfs/dbraw/zinc/96/66/56/818966656.db2.gz UAJLLTXFYGNICI-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncoc2CC)[C@H](O)C1 ZINC001090151651 818987599 /nfs/dbraw/zinc/98/75/99/818987599.db2.gz SOXYXQYQPAIRDU-WDEREUQCSA-N 0 1 279.340 0.588 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033934528 819008107 /nfs/dbraw/zinc/00/81/07/819008107.db2.gz LFULWJBWBILUNJ-CHWSQXEVSA-N 0 1 277.368 0.163 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001033936180 819008760 /nfs/dbraw/zinc/00/87/60/819008760.db2.gz TYLBKNFCZPZHAY-BYNSBNAKSA-N 0 1 276.380 0.825 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001034024632 819038430 /nfs/dbraw/zinc/03/84/30/819038430.db2.gz DHODKRHBCBNRBZ-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001034052091 819039385 /nfs/dbraw/zinc/03/93/85/819039385.db2.gz GKWRUDOBBXQXLL-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC001034100560 819073754 /nfs/dbraw/zinc/07/37/54/819073754.db2.gz QMQZEFFHQQHXAA-CYBMUJFWSA-N 0 1 277.368 0.213 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001034165593 819104598 /nfs/dbraw/zinc/10/45/98/819104598.db2.gz OXLSQQBOSCONQS-UONOGXRCSA-N 0 1 292.379 0.934 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC001034202062 819123398 /nfs/dbraw/zinc/12/33/98/819123398.db2.gz PZSVABJTMDADHU-ZDUSSCGKSA-N 0 1 289.383 0.906 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001034257306 819140324 /nfs/dbraw/zinc/14/03/24/819140324.db2.gz IDYAWNUSVMBLMO-LLVKDONJSA-N 0 1 274.324 0.400 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(CC)n2)[C@@H](O)C1 ZINC001090205274 819167467 /nfs/dbraw/zinc/16/74/67/819167467.db2.gz CAUIOKMJQVLEIR-PWSUYJOCSA-N 0 1 279.340 0.588 20 30 CCEDMN N#CCN1CCCC[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC001034379291 819187188 /nfs/dbraw/zinc/18/71/88/819187188.db2.gz AKQWHOGKGKGBGK-SECBINFHSA-N 0 1 265.292 0.657 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@@H](NC(=O)Cc2nonc2C)C1 ZINC001034468735 819215246 /nfs/dbraw/zinc/21/52/46/819215246.db2.gz QCSNWYZPHFWFDS-CYBMUJFWSA-N 0 1 290.367 0.915 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)Cc2nonc2C)C1 ZINC001034468735 819215251 /nfs/dbraw/zinc/21/52/51/819215251.db2.gz QCSNWYZPHFWFDS-CYBMUJFWSA-N 0 1 290.367 0.915 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccnn2CC)[C@H](O)C1 ZINC001090219225 819227652 /nfs/dbraw/zinc/22/76/52/819227652.db2.gz MTVZLRTWEYLJFR-TZMCWYRMSA-N 0 1 290.367 0.091 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2nccn2CC)[C@H](O)C1 ZINC001090222911 819243553 /nfs/dbraw/zinc/24/35/53/819243553.db2.gz XRXILCHZDVQZOJ-CHWSQXEVSA-N 0 1 290.367 0.091 20 30 CCEDMN C#CCN1CCO[C@H](CNC(=O)c2n[nH]c3ccccc32)C1 ZINC001035599617 819573487 /nfs/dbraw/zinc/57/34/87/819573487.db2.gz GKJFQKQEHHBDDU-GFCCVEGCSA-N 0 1 298.346 0.627 20 30 CCEDMN CCCCCCCNC(=O)[C@@H](N)CCS(C)(=O)=O ZINC000705910185 819589728 /nfs/dbraw/zinc/58/97/28/819589728.db2.gz OGYVYEJESYPCGM-NSHDSACASA-N 0 1 278.418 0.835 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cn(CC=C)nn1)CC2 ZINC001035812908 819632790 /nfs/dbraw/zinc/63/27/90/819632790.db2.gz WMEXGQMRVAGHBN-UHFFFAOYSA-N 0 1 299.378 0.635 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnn3cc[nH]c13)CC2 ZINC001035842294 819636668 /nfs/dbraw/zinc/63/66/68/819636668.db2.gz SVDAVTJYCMYGRE-UHFFFAOYSA-N 0 1 297.362 0.834 20 30 CCEDMN C=CC(C)(C)CCNC(=O)[C@]1(COC)CNCCO1 ZINC000710810128 819843239 /nfs/dbraw/zinc/84/32/39/819843239.db2.gz LWHJICGRINJHIW-CQSZACIVSA-N 0 1 270.373 0.710 20 30 CCEDMN N#CCNc1cccc2nc([C@@H]3CNCCO3)cn21 ZINC001163187195 819982532 /nfs/dbraw/zinc/98/25/32/819982532.db2.gz COTJMWBSFZHQGM-NSHDSACASA-N 0 1 257.297 0.931 20 30 CCEDMN C[C@H](CNc1ccnc(C#N)n1)NC(=O)CCc1cnc[nH]1 ZINC001108186222 820100489 /nfs/dbraw/zinc/10/04/89/820100489.db2.gz MGCACBGVXGIYLC-SNVBAGLBSA-N 0 1 299.338 0.043 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c(C)nnn1CC ZINC001129283678 820105696 /nfs/dbraw/zinc/10/56/96/820105696.db2.gz BZINKJJQUNXXNR-UHFFFAOYSA-N 0 1 271.752 0.678 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnn2C(C)C)[C@@H](O)C1 ZINC001090290399 820149301 /nfs/dbraw/zinc/14/93/01/820149301.db2.gz ULLNUIZJRPXAQG-OCCSQVGLSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CCCCC(=O)NC1(CNC(=O)c2ncn[nH]2)CCC1 ZINC001063997811 820176362 /nfs/dbraw/zinc/17/63/62/820176362.db2.gz YDRUMKRPZBCJJG-UHFFFAOYSA-N 0 1 291.355 0.930 20 30 CCEDMN C=CCCCC(=O)NC1(CNC(=O)c2nc[nH]n2)CCC1 ZINC001063997811 820176367 /nfs/dbraw/zinc/17/63/67/820176367.db2.gz YDRUMKRPZBCJJG-UHFFFAOYSA-N 0 1 291.355 0.930 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)Cc2cnc(C)o2)[C@H](O)C1 ZINC001090323407 820261248 /nfs/dbraw/zinc/26/12/48/820261248.db2.gz ROIUAACWKGPXIO-ZIAGYGMSSA-N 0 1 291.351 0.100 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cnc(C)o2)[C@H](O)C1 ZINC001090323407 820261254 /nfs/dbraw/zinc/26/12/54/820261254.db2.gz ROIUAACWKGPXIO-ZIAGYGMSSA-N 0 1 291.351 0.100 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCOCC)[C@@H](O)C1 ZINC001099698676 820320506 /nfs/dbraw/zinc/32/05/06/820320506.db2.gz SDPLVGWMSKRWIK-OLZOCXBDSA-N 0 1 270.373 0.541 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](C)[C@H](NC(=O)CC)C2)C1=O ZINC001079327399 820352340 /nfs/dbraw/zinc/35/23/40/820352340.db2.gz NLBHESSFFZNBLK-UPJWGTAASA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCOCC(=O)N1CC(N(CC)C(=O)c2ccn[nH]2)C1 ZINC001079352830 820365762 /nfs/dbraw/zinc/36/57/62/820365762.db2.gz OSWCESGTVIVDOB-UHFFFAOYSA-N 0 1 292.339 0.285 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001079472726 820405772 /nfs/dbraw/zinc/40/57/72/820405772.db2.gz GCJUNYMHFASAPH-DGCLKSJQSA-N 0 1 260.341 0.032 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccccc2)[C@@H](O)C1 ZINC001090365496 820406511 /nfs/dbraw/zinc/40/65/11/820406511.db2.gz SAISNVQUVWBBGE-HOTGVXAUSA-N 0 1 286.375 0.804 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCC2CC2)[C@H](O)C1 ZINC001099701725 820406702 /nfs/dbraw/zinc/40/67/02/820406702.db2.gz IRXNGZDECTWBKQ-CHWSQXEVSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCOC)[C@@H](O)C1 ZINC001099703974 820453178 /nfs/dbraw/zinc/45/31/78/820453178.db2.gz DRXSFZORQCNBFT-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001079689533 820453555 /nfs/dbraw/zinc/45/35/55/820453555.db2.gz KLNWCZRNTASCBM-ZIAGYGMSSA-N 0 1 289.379 0.779 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCc3nccn32)C1 ZINC001079850585 820481032 /nfs/dbraw/zinc/48/10/32/820481032.db2.gz OGHWCVZALIKTMQ-MGPQQGTHSA-N 0 1 286.379 0.830 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3c(c2)nnn3C)C1 ZINC001079963490 820490994 /nfs/dbraw/zinc/49/09/94/820490994.db2.gz FJUBRWYSWMGQRO-BXUZGUMPSA-N 0 1 297.362 0.652 20 30 CCEDMN C=CCN(C(=O)C(=O)N(C)CC1CN(C)C1)[C@@H](C)COC ZINC001118468877 820528612 /nfs/dbraw/zinc/52/86/12/820528612.db2.gz ZRLPJHKOOJZRCK-LBPRGKRZSA-N 0 1 297.399 0.056 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001080847986 820645971 /nfs/dbraw/zinc/64/59/71/820645971.db2.gz WLHFXTISBDAHJX-ZYHUDNBSSA-N 0 1 273.340 0.899 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001081067134 820672872 /nfs/dbraw/zinc/67/28/72/820672872.db2.gz XZEBTNKDMNXQGE-ZWNOBZJWSA-N 0 1 288.351 0.417 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)[C@H](OC)C1 ZINC001081314958 820737733 /nfs/dbraw/zinc/73/77/33/820737733.db2.gz JICPVPSMXPIHCI-VXGBXAGGSA-N 0 1 292.339 0.187 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(C)n[nH]2)[C@H](OC)C1 ZINC001081406507 820757711 /nfs/dbraw/zinc/75/77/11/820757711.db2.gz JFTDTCVOZJKCKR-CHWSQXEVSA-N 0 1 278.356 0.723 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2CCC(O)CC2)[C@H](OC)C1 ZINC001081595323 820804178 /nfs/dbraw/zinc/80/41/78/820804178.db2.gz IUKIJBQBOZXSKA-NEXFUWMNSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H]2CCCOC2)[C@H](OC)C1 ZINC001082374642 820950591 /nfs/dbraw/zinc/95/05/91/820950591.db2.gz LEJYOLDIUUHECJ-RBSFLKMASA-N 0 1 294.395 0.642 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H]2CCNC2=O)C[C@H]1C ZINC001082556648 820997308 /nfs/dbraw/zinc/99/73/08/820997308.db2.gz XUIGVWZUNJWMLH-ADEWGFFLSA-N 0 1 299.802 0.702 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]nc2C(C)C)[C@H](O)C1 ZINC001090384319 821038032 /nfs/dbraw/zinc/03/80/32/821038032.db2.gz JOPMOLXQYUPJSP-CHWSQXEVSA-N 0 1 292.383 0.884 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]nc2C(C)C)[C@@H](O)C1 ZINC001090384322 821038666 /nfs/dbraw/zinc/03/86/66/821038666.db2.gz JOPMOLXQYUPJSP-STQMWFEESA-N 0 1 292.383 0.884 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](NC(=O)/C=C/C2CC2)[C@H](O)C1 ZINC001099769519 821051492 /nfs/dbraw/zinc/05/14/92/821051492.db2.gz SZLVVGZUJCKDIS-HYLRALAJSA-N 0 1 262.353 0.527 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2CCCNC2=O)C1 ZINC001098886721 821053115 /nfs/dbraw/zinc/05/31/15/821053115.db2.gz DTYDRWOZRBSTCT-ZENOOKHLSA-N 0 1 289.379 0.117 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CC(F)(F)F)[C@H]2C1 ZINC001083017948 821110763 /nfs/dbraw/zinc/11/07/63/821110763.db2.gz LMDDBKTVNOQKAP-WDEREUQCSA-N 0 1 290.285 0.874 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C3CC(C)C3)[C@H]2C1 ZINC001083047170 821125722 /nfs/dbraw/zinc/12/57/22/821125722.db2.gz MWPFNBYOBQCIQL-PFSRBDOWSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H](OC)C3CC3)[C@H]2C1 ZINC001083067871 821134984 /nfs/dbraw/zinc/13/49/84/821134984.db2.gz HSSNEDPZWXXLMS-ZNMIVQPWSA-N 0 1 292.379 0.346 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3cc(F)c[nH]3)[C@H]2C1 ZINC001083146671 821146493 /nfs/dbraw/zinc/14/64/93/821146493.db2.gz XAJINXAGSJGAFE-UONOGXRCSA-N 0 1 291.326 0.702 20 30 CCEDMN C#CCC[N@@H+]1C[C@H]2OCCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)[C@H]2C1 ZINC001083172788 821156462 /nfs/dbraw/zinc/15/64/62/821156462.db2.gz CKYCHQSDHYJMFE-CQJMVSDSSA-N 0 1 288.391 0.967 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H]3C[C@H]4C[C@H]4C3)[C@H]2C1 ZINC001083172788 821156467 /nfs/dbraw/zinc/15/64/67/821156467.db2.gz CKYCHQSDHYJMFE-CQJMVSDSSA-N 0 1 288.391 0.967 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(F)cc(F)c2)[C@@H](O)C1 ZINC001084030890 821181393 /nfs/dbraw/zinc/18/13/93/821181393.db2.gz KWWRLNBWMGQEQL-KGLIPLIRSA-N 0 1 294.301 0.763 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCn3cncn3)[C@@H]2C1 ZINC001084192974 821230098 /nfs/dbraw/zinc/23/00/98/821230098.db2.gz RHGFZIPTIPLTGE-ZIAGYGMSSA-N 0 1 287.367 0.224 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3cnn(C)c3)[C@@H]2C1 ZINC001084221088 821244579 /nfs/dbraw/zinc/24/45/79/821244579.db2.gz FGCDBUPXTZHWCV-ZIAGYGMSSA-N 0 1 274.368 0.681 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cncnc3)[C@@H]2C1 ZINC001084297686 821258839 /nfs/dbraw/zinc/25/88/39/821258839.db2.gz HRNLPPHNFYKEMH-TZMCWYRMSA-N 0 1 270.336 0.646 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCNC(=O)C3)[C@@H]2C1 ZINC001084275768 821259964 /nfs/dbraw/zinc/25/99/64/821259964.db2.gz JDBPSIDVGBADLF-JHJVBQTASA-N 0 1 277.368 0.231 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cn(C)nn3)[C@@H]2C1 ZINC001084322556 821269953 /nfs/dbraw/zinc/26/99/53/821269953.db2.gz MNDAZWXUMVDOAL-DGCLKSJQSA-N 0 1 275.356 0.538 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCNC3=O)[C@@H]2C1 ZINC001084401402 821288766 /nfs/dbraw/zinc/28/87/66/821288766.db2.gz BSADYOJCGLLUDI-YNEHKIRRSA-N 0 1 277.368 0.231 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)Cc3cc(C)nn3C)[C@@H]2C1 ZINC001084515162 821307269 /nfs/dbraw/zinc/30/72/69/821307269.db2.gz UBYODPHBYSCXFE-UKRRQHHQSA-N 0 1 286.379 0.437 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2(OC)CCC2)[C@H](O)C1 ZINC001099807885 821327951 /nfs/dbraw/zinc/32/79/51/821327951.db2.gz XGVHXHQMCASMRO-ZIAGYGMSSA-N 0 1 294.395 0.520 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)Cn3ccc(C)n3)[C@@H]2C1 ZINC001084669493 821346912 /nfs/dbraw/zinc/34/69/12/821346912.db2.gz VKXGUYLOXNIVGG-ZIAGYGMSSA-N 0 1 274.368 0.910 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cn3ccc(C)n3)[C@@H]2C1 ZINC001084669493 821346914 /nfs/dbraw/zinc/34/69/14/821346914.db2.gz VKXGUYLOXNIVGG-ZIAGYGMSSA-N 0 1 274.368 0.910 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cnon3)[C@@H]2C1 ZINC001084731865 821366533 /nfs/dbraw/zinc/36/65/33/821366533.db2.gz SZEPKBWWTBYRQX-ZYHUDNBSSA-N 0 1 260.297 0.239 20 30 CCEDMN N#CCN1CC[C@H](Oc2nc[nH]c(=O)c2Cl)C1 ZINC001230018737 821402594 /nfs/dbraw/zinc/40/25/94/821402594.db2.gz STFOFMLEUZWZLF-ZETCQYMHSA-N 0 1 254.677 0.812 20 30 CCEDMN CO[C@@H](C)CN1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230814967 821451631 /nfs/dbraw/zinc/45/16/31/821451631.db2.gz JGKUKWHRICQDOK-RYUDHWBXSA-N 0 1 285.413 0.811 20 30 CCEDMN C#CCN1CCC2(CCN(Cc3ccnnc3)CC2)C1=O ZINC001273271023 821524545 /nfs/dbraw/zinc/52/45/45/821524545.db2.gz GIJPKFXQTPVWHP-UHFFFAOYSA-N 0 1 284.363 0.924 20 30 CCEDMN CN(C)CC#CCNC(=O)c1noc2c1COCC2 ZINC000823674888 821529779 /nfs/dbraw/zinc/52/97/79/821529779.db2.gz GCMVVQZQIAQNAO-UHFFFAOYSA-N 0 1 263.297 0.042 20 30 CCEDMN CN(C)CC#CCNC(=O)c1csc([N+](=O)[O-])c1 ZINC000823675042 821530565 /nfs/dbraw/zinc/53/05/65/821530565.db2.gz WQGFTYDFEDPDGT-UHFFFAOYSA-N 0 1 267.310 0.951 20 30 CCEDMN COC(=O)[C@H](Cc1ccccc1O)NC(=O)C(C)C#N ZINC000826663554 821667962 /nfs/dbraw/zinc/66/79/62/821667962.db2.gz BMOQUPHBXMZNHZ-ONGXEEELSA-N 0 1 276.292 0.752 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)Nc1cncc(C#N)n1 ZINC001098307060 821668325 /nfs/dbraw/zinc/66/83/25/821668325.db2.gz SLYGGOSMHKCANK-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cn(C)nc1C ZINC001085523946 821769460 /nfs/dbraw/zinc/76/94/60/821769460.db2.gz YVXUWOBIJIRFQW-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN CN(C[C@H]1CCN1CCO)C(=O)c1cc(C#N)c[nH]1 ZINC001085535594 821774095 /nfs/dbraw/zinc/77/40/95/821774095.db2.gz MOQFFTZMKPQSLH-LLVKDONJSA-N 0 1 262.313 0.025 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cn(C)cn1 ZINC001085545798 821779582 /nfs/dbraw/zinc/77/95/82/821779582.db2.gz PICKMBGANIWTQK-GFCCVEGCSA-N 0 1 260.341 0.590 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cn[nH]c(=O)c1 ZINC001085655179 821874170 /nfs/dbraw/zinc/87/41/70/821874170.db2.gz JKHXXSDRSBKEQB-GFCCVEGCSA-N 0 1 276.340 0.905 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1OCCO[C@H]1C ZINC001085649185 821878070 /nfs/dbraw/zinc/87/80/70/821878070.db2.gz HWGFXCCQAGIHJV-IHRRRGAJSA-N 0 1 280.368 0.346 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699066 821907445 /nfs/dbraw/zinc/90/74/45/821907445.db2.gz FGLIXCMRBOLNCY-VIFPVBQESA-N 0 1 293.327 0.005 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)C[C@@H]2CCN2C[C@@H](C)O)c1 ZINC001085809980 821962093 /nfs/dbraw/zinc/96/20/93/821962093.db2.gz RSWYQVHKBIHATM-DOMZBBRYSA-N 0 1 287.363 0.590 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CCN1CCOC ZINC001085827135 821969596 /nfs/dbraw/zinc/96/95/96/821969596.db2.gz JCXIQSQUIGBLFK-MJBXVCDLSA-N 0 1 282.384 0.757 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1nc(C)c[nH]1 ZINC001085863904 821987704 /nfs/dbraw/zinc/98/77/04/821987704.db2.gz MQOQWRJLOWQFRS-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(C(N)=O)c[nH]1 ZINC001085895645 822003679 /nfs/dbraw/zinc/00/36/79/822003679.db2.gz IGYRTFGAJYLDJF-LBPRGKRZSA-N 0 1 288.351 0.283 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnn(C)c1OC ZINC001085948605 822031616 /nfs/dbraw/zinc/03/16/16/822031616.db2.gz MHAFAMMQJBWALB-LBPRGKRZSA-N 0 1 290.367 0.598 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCCN([C@H]2CCNC2=O)CC1 ZINC001273411880 822163512 /nfs/dbraw/zinc/16/35/12/822163512.db2.gz VVXIZSYZTOOYQT-KGLIPLIRSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CC(=O)N(C)C)C1 ZINC001108262596 822210134 /nfs/dbraw/zinc/21/01/34/822210134.db2.gz UDNBGZUUSSJGQT-HNNXBMFYSA-N 0 1 297.399 0.248 20 30 CCEDMN CCc1nnc(CNCCCNC(=O)[C@H](C)C#N)s1 ZINC001155842685 822261612 /nfs/dbraw/zinc/26/16/12/822261612.db2.gz QJJQGIQVPHDZGR-SECBINFHSA-N 0 1 281.385 0.856 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](NC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001273437091 822291367 /nfs/dbraw/zinc/29/13/67/822291367.db2.gz IBPJFEIILOCXKL-MJBXVCDLSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2ncccn2)C1 ZINC001108297254 822332749 /nfs/dbraw/zinc/33/27/49/822332749.db2.gz BDIQETYRJOXCID-OAHLLOKOSA-N 0 1 290.367 0.873 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2cccnn2)C1 ZINC001108299609 822336089 /nfs/dbraw/zinc/33/60/89/822336089.db2.gz PHGGQYDIGDFPLJ-HNNXBMFYSA-N 0 1 290.367 0.873 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(Cc3cncn3C)C[C@H]21 ZINC001114006130 837401338 /nfs/dbraw/zinc/40/13/38/837401338.db2.gz WSKIOPVCTPTRJK-NHAGDIPZSA-N 0 1 274.368 0.933 20 30 CCEDMN CC[C@H](SC)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114152212 837456511 /nfs/dbraw/zinc/45/65/11/837456511.db2.gz UFTBNXDDXYCRQY-RFQIPJPRSA-N 0 1 296.436 0.824 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@@H](C)OC ZINC001114161163 837457674 /nfs/dbraw/zinc/45/76/74/837457674.db2.gz BNPJNXWSGCXNEK-CXTNEJHOSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1C[C@@H]2C(NC(=O)/C=C/c3ccc[nH]3)[C@@H]2C1 ZINC001114184398 837467280 /nfs/dbraw/zinc/46/72/80/837467280.db2.gz OLVGYBQISKXYOD-DXQZDJPLSA-N 0 1 255.321 0.708 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]cc1C ZINC001114232141 837483452 /nfs/dbraw/zinc/48/34/52/837483452.db2.gz PLKNSWSAOMUCEU-IMRBUKKESA-N 0 1 288.351 0.028 20 30 CCEDMN Cc1nc([C@H](C)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)n[nH]1 ZINC001114255556 837488860 /nfs/dbraw/zinc/48/88/60/837488860.db2.gz KWUOXNIAQMEJKS-GCVYGYKWSA-N 0 1 299.378 0.634 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1cc(OC)no1 ZINC001157874642 837526223 /nfs/dbraw/zinc/52/62/23/837526223.db2.gz VZRJAAUXHPNYMX-LLVKDONJSA-N 0 1 295.339 0.317 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCCNCc1cnc(C)o1 ZINC001129770536 837557550 /nfs/dbraw/zinc/55/75/50/837557550.db2.gz HPKRTIQZWGLVPF-AWEZNQCLSA-N 0 1 290.367 0.286 20 30 CCEDMN CC#CCN[C@@H]1CN(C(C)=O)C[C@H]1c1cn(C)cn1 ZINC001130473667 837799791 /nfs/dbraw/zinc/79/97/91/837799791.db2.gz BQRGXNJVCJGHKF-GXTWGEPZSA-N 0 1 260.341 0.347 20 30 CCEDMN Cc1nc(CNC(=O)[C@@H](C#N)Cc2ccc(O)cc2)n[nH]1 ZINC001183618736 844059026 /nfs/dbraw/zinc/05/90/26/844059026.db2.gz USZXZCQTLLGBIN-LLVKDONJSA-N 0 1 285.307 0.817 20 30 CCEDMN Cc1cc(NC[C@H](C)NC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001108475405 836270086 /nfs/dbraw/zinc/27/00/86/836270086.db2.gz GYKZFJMHNAOJMH-VIFPVBQESA-N 0 1 285.311 0.032 20 30 CCEDMN Cc1cc(NC[C@H](C)NC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001108475405 836270097 /nfs/dbraw/zinc/27/00/97/836270097.db2.gz GYKZFJMHNAOJMH-VIFPVBQESA-N 0 1 285.311 0.032 20 30 CCEDMN CC(C)CN1CC(N2C[C@@H](NC(=O)[C@H](C)C#N)CC2=O)C1 ZINC001108528152 836423306 /nfs/dbraw/zinc/42/33/06/836423306.db2.gz USKURFOCXVBNIZ-NEPJUHHUSA-N 0 1 292.383 0.203 20 30 CCEDMN C[C@@H](CNc1nccnc1C#N)NC(=O)c1[nH]ncc1F ZINC001108673388 836507092 /nfs/dbraw/zinc/50/70/92/836507092.db2.gz IZYBMTMSIJAOSF-ZETCQYMHSA-N 0 1 289.274 0.441 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001108783440 836527524 /nfs/dbraw/zinc/52/75/24/836527524.db2.gz PVAIRCJBYAAHQB-VIFPVBQESA-N 0 1 285.311 0.610 20 30 CCEDMN COC(=O)n1ncc(C#N)c1Nc1ncc2c(n1)COC2 ZINC001169971414 836578559 /nfs/dbraw/zinc/57/85/59/836578559.db2.gz ZMQLIFNQBLLASV-UHFFFAOYSA-N 0 1 286.251 0.933 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC(=O)NC)C2 ZINC001109180799 836631114 /nfs/dbraw/zinc/63/11/14/836631114.db2.gz QHJIDZKYJGGKMG-AGIUHOORSA-N 0 1 279.384 0.810 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cc(C)nn2C)[C@@H](O)C1 ZINC001090427994 836724104 /nfs/dbraw/zinc/72/41/04/836724104.db2.gz QZUZVVACRFCWQR-KBPBESRZSA-N 0 1 292.383 0.008 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC001112683919 836859085 /nfs/dbraw/zinc/85/90/85/836859085.db2.gz VCIMKKHROGQLGO-QWHCGFSZSA-N 0 1 280.368 0.906 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(Nc2ncnc3[nH]cnc32)CC1 ZINC001110088049 837002229 /nfs/dbraw/zinc/00/22/29/837002229.db2.gz OYGATSXKHATCBR-MRVPVSSYSA-N 0 1 285.311 0.573 20 30 CCEDMN C=CCCN1CCN(C(=O)CCCCNC(N)=O)CC1 ZINC001113078528 837018242 /nfs/dbraw/zinc/01/82/42/837018242.db2.gz NAVPSJYYHIKKDR-UHFFFAOYSA-N 0 1 282.388 0.545 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC001113187080 837051097 /nfs/dbraw/zinc/05/10/97/837051097.db2.gz PGZHBJZFTAKVIA-CHWSQXEVSA-N 0 1 279.384 0.608 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)c2nc[nH]n2)CC1 ZINC001113442689 837115917 /nfs/dbraw/zinc/11/59/17/837115917.db2.gz BQMDMJGFFOZRBG-NSHDSACASA-N 0 1 263.345 0.917 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H](CCC)NC(N)=O)CC1 ZINC001113583532 837167333 /nfs/dbraw/zinc/16/73/33/837167333.db2.gz QLZDKMWRYPPBTA-CYBMUJFWSA-N 0 1 296.415 0.934 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)N(C)c1ncccc1C#N ZINC001113898487 837358435 /nfs/dbraw/zinc/35/84/35/837358435.db2.gz VQJUBMKDPXYLAT-NSHDSACASA-N 0 1 298.350 0.860 20 30 CCEDMN CN(CCF)CCCN(C)C(=O)CSCC#N ZINC001273489126 844265817 /nfs/dbraw/zinc/26/58/17/844265817.db2.gz XCJUPIVGQXLEQR-UHFFFAOYSA-N 0 1 261.366 0.993 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCC=C)C[C@H]1c1cn(C)cn1 ZINC001130535464 837843578 /nfs/dbraw/zinc/84/35/78/837843578.db2.gz QSACECZMDVVZRC-DZGCQCFKSA-N 0 1 286.379 0.904 20 30 CCEDMN C#CCN1C[C@@H](F)C[C@@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001131140335 838005467 /nfs/dbraw/zinc/00/54/67/838005467.db2.gz NUXGMCIWXXHSTE-VHSXEESVSA-N 0 1 279.319 0.549 20 30 CCEDMN C#CCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001131140335 838005478 /nfs/dbraw/zinc/00/54/78/838005478.db2.gz NUXGMCIWXXHSTE-VHSXEESVSA-N 0 1 279.319 0.549 20 30 CCEDMN CC#CCN1CC[C@@]2(C1)CN(C(=O)CCOC)C[C@@H](C)O2 ZINC001131616287 838156737 /nfs/dbraw/zinc/15/67/37/838156737.db2.gz LDUROQKJHIQHET-GDBMZVCRSA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)COCC)C[C@H](C)O2 ZINC001131639374 838163344 /nfs/dbraw/zinc/16/33/44/838163344.db2.gz URXKRGIPSLYHCC-DZGCQCFKSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)COCCC)C[C@@H](C)O2 ZINC001131641446 838163805 /nfs/dbraw/zinc/16/38/05/838163805.db2.gz FKEQBIPFRLXQFD-GDBMZVCRSA-N 0 1 294.395 0.738 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CNC(=O)CC)CC[C@H]1C ZINC001131912442 838265803 /nfs/dbraw/zinc/26/58/03/838265803.db2.gz YAZPAFPNYABKQQ-OLZOCXBDSA-N 0 1 279.384 0.505 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)COCCOC)CC[C@@H]1C ZINC001131931634 838268673 /nfs/dbraw/zinc/26/86/73/838268673.db2.gz VLGQGWWHEIFMMY-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COc2cc(C)on2)CC[C@@H]1C ZINC001132116491 838322497 /nfs/dbraw/zinc/32/24/97/838322497.db2.gz QQCWMBBZOUWGJH-WCQYABFASA-N 0 1 291.351 0.964 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnn2cccnc12 ZINC001132205605 838338268 /nfs/dbraw/zinc/33/82/68/838338268.db2.gz MOBLNKJHLCCGJC-UHFFFAOYSA-N 0 1 279.731 0.801 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCn2ccnc2)CC[C@@H]1C ZINC001132221349 838340274 /nfs/dbraw/zinc/34/02/74/838340274.db2.gz GGLAIKRTWSJQLO-KBPBESRZSA-N 0 1 274.368 0.876 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2cnn(C)n2)CC[C@@H]1C ZINC001132317801 838362988 /nfs/dbraw/zinc/36/29/88/838362988.db2.gz JIZONOLHDIKKNZ-JSGCOSHPSA-N 0 1 289.383 0.350 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC(=O)N(C)C)CC[C@H]1C ZINC001132346353 838370014 /nfs/dbraw/zinc/37/00/14/838370014.db2.gz YMFISLIJQJAFBW-VXGBXAGGSA-N 0 1 265.357 0.067 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC(=O)NCC2CC2)CC[C@H]1C ZINC001132417418 838390405 /nfs/dbraw/zinc/39/04/05/838390405.db2.gz XDVRVGDNHYTMAD-TZMCWYRMSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c(C)cnn1C ZINC001132548255 838430550 /nfs/dbraw/zinc/43/05/50/838430550.db2.gz IPJFAVVOQGDNKC-UHFFFAOYSA-N 0 1 256.737 0.800 20 30 CCEDMN C[C@]1(C(=O)NCCNCC#Cc2ccccc2)CCNC1=O ZINC001133753958 838708258 /nfs/dbraw/zinc/70/82/58/838708258.db2.gz ORZSGCLOBJWVLI-KRWDZBQOSA-N 0 1 299.374 0.270 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@H](C)NCc1cnon1 ZINC001133909417 838773006 /nfs/dbraw/zinc/77/30/06/838773006.db2.gz LMQZQFLEAWWOOV-WDEREUQCSA-N 0 1 282.344 0.645 20 30 CCEDMN N#Cc1nc(Cl)c(NC[C@H]2COCCN2)nc1C#N ZINC001159044552 838842649 /nfs/dbraw/zinc/84/26/49/838842649.db2.gz XTFIHIRQGIETFZ-ZETCQYMHSA-N 0 1 278.703 0.274 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ncccc2F)[C@H](O)C1 ZINC001090517973 838928240 /nfs/dbraw/zinc/92/82/40/838928240.db2.gz AGTISVSKWHUCKX-GXTWGEPZSA-N 0 1 293.342 0.501 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCCS(=O)(=O)C1 ZINC001134659455 839043986 /nfs/dbraw/zinc/04/39/86/839043986.db2.gz CAHHROKDGYQAQN-SNVBAGLBSA-N 0 1 294.804 0.270 20 30 CCEDMN CN(C)C(=O)CC(=O)NCCNCc1ccccc1C#N ZINC001134941858 839124046 /nfs/dbraw/zinc/12/40/46/839124046.db2.gz RICKSMJXOHKFPJ-UHFFFAOYSA-N 0 1 288.351 0.242 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCNCc1cnnn1C ZINC001135147495 839169391 /nfs/dbraw/zinc/16/93/91/839169391.db2.gz JOOMXBOAXZTLSP-STQMWFEESA-N 0 1 293.371 0.002 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccn(CC)n2)[C@H](O)C1 ZINC001090620600 839684527 /nfs/dbraw/zinc/68/45/27/839684527.db2.gz MBGIWQURQJVSQG-TZMCWYRMSA-N 0 1 292.383 0.644 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)n[nH]c2C)[C@H](O)C1 ZINC001090648988 839703498 /nfs/dbraw/zinc/70/34/98/839703498.db2.gz AXZDWJLNVGBFTF-NWDGAFQWSA-N 0 1 278.356 0.378 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccco2)[C@@H](O)C1 ZINC001090666925 839721580 /nfs/dbraw/zinc/72/15/80/839721580.db2.gz XKEACHMLSIMBRE-KBPBESRZSA-N 0 1 276.336 0.397 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CCC)on2)[C@H](O)C1 ZINC001090692883 839740800 /nfs/dbraw/zinc/74/08/00/839740800.db2.gz PABMFYPVAQDNNR-GXTWGEPZSA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nocc2C)[C@@H](O)C1 ZINC001090709329 839747619 /nfs/dbraw/zinc/74/76/19/839747619.db2.gz LMAFLMZZUSGNCT-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C(C)(C)c2c[nH]cn2)[C@@H](O)C1 ZINC001090727152 839757708 /nfs/dbraw/zinc/75/77/08/839757708.db2.gz IATJBGOWSIAZCB-NEPJUHHUSA-N 0 1 292.383 0.425 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)C)[C@@H](O)C1 ZINC001090744031 839771792 /nfs/dbraw/zinc/77/17/92/839771792.db2.gz QHJLQHPSZAKFOY-NEPJUHHUSA-N 0 1 252.358 0.607 20 30 CCEDMN C=CCOC[C@@H]1c2nnn(C)c2CCN1Cc1cnc[nH]1 ZINC001136867455 839790623 /nfs/dbraw/zinc/79/06/23/839790623.db2.gz QLSOEJFDAXRASY-CYBMUJFWSA-N 0 1 288.355 0.840 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2[nH]cnc2CC)[C@@H](O)C1 ZINC001090769037 839794826 /nfs/dbraw/zinc/79/48/26/839794826.db2.gz ZOUZVVKCWBWSOJ-NEPJUHHUSA-N 0 1 278.356 0.323 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)nn(C)c2F)[C@@H](O)C1 ZINC001090770816 839798823 /nfs/dbraw/zinc/79/88/23/839798823.db2.gz KXOYTVKVQNGAEE-MNOVXSKESA-N 0 1 296.346 0.219 20 30 CCEDMN C#CC(=O)N[C@@]1(C(=O)OC)CCN(Cc2ccccc2)C1 ZINC001143915486 839894271 /nfs/dbraw/zinc/89/42/71/839894271.db2.gz BIUZDUJGYRHEOZ-INIZCTEOSA-N 0 1 286.331 0.554 20 30 CCEDMN N#CCNC1CC(CNC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001091204476 840134496 /nfs/dbraw/zinc/13/44/96/840134496.db2.gz GHTLZINNBDTWMI-VQXHTEKXSA-N 0 1 288.355 0.233 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@H](N)Cc1cccc(OC)c1 ZINC001144942314 840199283 /nfs/dbraw/zinc/19/92/83/840199283.db2.gz DQXKVMPXAJMLCQ-WCQYABFASA-N 0 1 264.325 0.228 20 30 CCEDMN N#CCNCCNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001146578307 840330213 /nfs/dbraw/zinc/33/02/13/840330213.db2.gz LFGOECBTVWFAPA-UHFFFAOYSA-N 0 1 270.296 0.315 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CN(C(=O)C(C)C)C2)C1 ZINC001147005421 840458056 /nfs/dbraw/zinc/45/80/56/840458056.db2.gz HNPVAVKSPNOYLK-ZDUSSCGKSA-N 0 1 291.395 0.315 20 30 CCEDMN Cn1cncc1CC(=O)NCCNCC#Cc1ccccc1 ZINC001147900488 840719785 /nfs/dbraw/zinc/71/97/85/840719785.db2.gz OQAGSPGJLAANPK-UHFFFAOYSA-N 0 1 296.374 0.720 20 30 CCEDMN C=CCCCC(=O)N1CC2(C1)CCN(CC(=O)N(C)C)C2 ZINC001148193763 840751616 /nfs/dbraw/zinc/75/16/16/840751616.db2.gz IHBODOAFCMJLMD-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)OCCOCC)C2)C1 ZINC001148827916 840891245 /nfs/dbraw/zinc/89/12/45/840891245.db2.gz RJZJFVIVCLWKMZ-CQSZACIVSA-N 0 1 294.395 0.596 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001091977923 840983743 /nfs/dbraw/zinc/98/37/43/840983743.db2.gz AKQXHKKKIKLTEJ-KCXAZCMYSA-N 0 1 293.411 0.854 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CC(Nc2ncnc3[nH]cnc32)C1 ZINC001092206175 840999493 /nfs/dbraw/zinc/99/94/93/840999493.db2.gz PRKJOYRLEXDQJT-XNWIYYODSA-N 0 1 299.338 0.819 20 30 CCEDMN C=CCCNC(=S)NCCN1CCC[C@@H](O)C1 ZINC001247677575 841178798 /nfs/dbraw/zinc/17/87/98/841178798.db2.gz FUSCXYIPDGLCGK-LLVKDONJSA-N 0 1 257.403 0.483 20 30 CCEDMN CCNCc1cn(C2CN(C(=O)c3c[nH]c(C#N)c3)C2)nn1 ZINC001093317387 841220989 /nfs/dbraw/zinc/22/09/89/841220989.db2.gz HPQNDNRDOQYCDS-UHFFFAOYSA-N 0 1 299.338 0.284 20 30 CCEDMN CCOC(=O)[C@@H]1c2[nH]cnc2CCN1C(=O)[C@@H]1C[C@@H]1C#N ZINC001186977053 844631682 /nfs/dbraw/zinc/63/16/82/844631682.db2.gz IXWQRAUNIHKOLT-LNLATYFQSA-N 0 1 288.307 0.558 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)c2[nH]nc3c2CCC3)nn1 ZINC001094242422 841566258 /nfs/dbraw/zinc/56/62/58/841566258.db2.gz PDYHGAUYBGFTJT-UHFFFAOYSA-N 0 1 297.322 0.402 20 30 CCEDMN N#C[C@H]1CN([C@@H]2CCN(C3CC3)C(=O)C2)CCC1=O ZINC001172018780 841795844 /nfs/dbraw/zinc/79/58/44/841795844.db2.gz WSAGELHCSBSFHH-CMPLNLGQSA-N 0 1 261.325 0.554 20 30 CCEDMN N#CCN[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)Cc1ccn[nH]1)C2 ZINC001094883477 841881759 /nfs/dbraw/zinc/88/17/59/841881759.db2.gz HTARDDRFRYSFJU-DRZSPHRISA-N 0 1 273.340 0.445 20 30 CCEDMN COc1cccc2c1C[C@@H](N[C@@H]1C(=O)N(O)C[C@@H]1C)CO2 ZINC001173164773 842004340 /nfs/dbraw/zinc/00/43/40/842004340.db2.gz PYDNTHQAYXJRBA-RBZYPMLTSA-N 0 1 292.335 0.824 20 30 CCEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC(=O)N1)C2 ZINC001095239177 842123117 /nfs/dbraw/zinc/12/31/17/842123117.db2.gz ZBEOVKLAZTUJRG-NDBYEHHHSA-N 0 1 277.368 0.563 20 30 CCEDMN C[C@@H](CCCCNCC#N)NC(=O)C1=NC(=O)N(C)C1 ZINC001175064991 842226351 /nfs/dbraw/zinc/22/63/51/842226351.db2.gz LDAAXONEEFQGQA-JTQLQIEISA-N 0 1 279.344 0.527 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)N1CCC[C@@H](C#N)C1 ZINC001176356356 842363863 /nfs/dbraw/zinc/36/38/63/842363863.db2.gz ZWBQFWCARKMXBB-WCQYABFASA-N 0 1 289.339 0.219 20 30 CCEDMN N#Cc1cnn2c1ncc(C#N)c2NC(=O)Cc1cnc[nH]1 ZINC001176828119 842414621 /nfs/dbraw/zinc/41/46/21/842414621.db2.gz YURIGDHGXIWHSV-UHFFFAOYSA-N 0 1 292.262 0.377 20 30 CCEDMN C=C[C@@H]1C[C@]1(NC(=O)Cc1cnc[nH]1)C(=O)OCC ZINC001176836999 842423929 /nfs/dbraw/zinc/42/39/29/842423929.db2.gz VTQWDMFPJCXBIP-NOZJJQNGSA-N 0 1 263.297 0.576 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)C1CCN(c2ncccn2)CC1 ZINC001177123569 842504344 /nfs/dbraw/zinc/50/43/44/842504344.db2.gz LOYWOAXXRJBCAK-UHFFFAOYSA-N 0 1 297.322 0.926 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1nonc1C ZINC001177264330 842539350 /nfs/dbraw/zinc/53/93/50/842539350.db2.gz BRZNYKKOZZOYKG-UHFFFAOYSA-N 0 1 282.344 0.567 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCN[C@@H](C)c1nnc(C)[nH]1 ZINC001177273598 842542490 /nfs/dbraw/zinc/54/24/90/842542490.db2.gz TVDBPQFTSIKYCU-WDEREUQCSA-N 0 1 295.387 0.861 20 30 CCEDMN CON=C(C(=O)Nc1nc[nH]c1C#N)c1ccco1 ZINC001177348954 842560392 /nfs/dbraw/zinc/56/03/92/842560392.db2.gz ZJAXZYQYBNVFLI-SXGWCWSVSA-N 0 1 259.225 0.864 20 30 CCEDMN CCOC(=O)c1nc[nH]c1NC(=O)C#Cc1ccccn1 ZINC001177799070 842678892 /nfs/dbraw/zinc/67/88/92/842678892.db2.gz SRNLAOQSMSPHAS-UHFFFAOYSA-N 0 1 284.275 0.972 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@H]1CCC(=O)N1 ZINC001177915805 842707790 /nfs/dbraw/zinc/70/77/90/842707790.db2.gz CCRABOVSZPOCNR-NXEZZACHSA-N 0 1 277.349 0.825 20 30 CCEDMN N#C[C@H](Cc1cccs1)C(=O)n1cc(N)c(=O)nc1N ZINC001177917235 842707884 /nfs/dbraw/zinc/70/78/84/842707884.db2.gz FQCPGXBLOZCBKQ-ZETCQYMHSA-N 0 1 289.320 0.735 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCCCCNCc1ncnn1C ZINC001178053339 842738670 /nfs/dbraw/zinc/73/86/70/842738670.db2.gz QGPSBMWJGFLYAO-LBPRGKRZSA-N 0 1 292.387 0.741 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)[C@@H]1CCCCN1C ZINC001178697094 842903587 /nfs/dbraw/zinc/90/35/87/842903587.db2.gz BOUMICWPDCBIEU-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1CC[C@H](NCC#N)[C@@H]1C ZINC001178818837 842931843 /nfs/dbraw/zinc/93/18/43/842931843.db2.gz ZBMQVOOSOKBUAF-XQQFMLRXSA-N 0 1 284.379 0.769 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)[C@H](C)C#N)CC1 ZINC001179893745 843069008 /nfs/dbraw/zinc/06/90/08/843069008.db2.gz CHZXKGXNMHYJMS-NXEZZACHSA-N 0 1 266.297 0.517 20 30 CCEDMN CC(C)N1CCN(CC(=O)NCC(C)(C)S)CC1 ZINC001180350860 843150408 /nfs/dbraw/zinc/15/04/08/843150408.db2.gz IZYHKYJXNOMGDL-UHFFFAOYSA-N 0 1 273.446 0.837 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2CCC(=O)OCC)C1=O ZINC001273467014 843287932 /nfs/dbraw/zinc/28/79/32/843287932.db2.gz MSCQCLFTHLAZAG-OAHLLOKOSA-N 0 1 278.352 0.640 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2cnc(C)o2)C1 ZINC001181618747 843556015 /nfs/dbraw/zinc/55/60/15/843556015.db2.gz YHBUOLBXETZBBL-GFCCVEGCSA-N 0 1 279.340 0.876 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@H](NCc2cnnn2C)C1 ZINC001182108333 843717427 /nfs/dbraw/zinc/71/74/27/843717427.db2.gz LROFVAIDVVPTMQ-CYBMUJFWSA-N 0 1 289.383 0.699 20 30 CCEDMN C=C[C@@H]1C[C@]1(NC(=O)C1(N(C)C)CC1)C(=O)OCC ZINC001182602297 843872391 /nfs/dbraw/zinc/87/23/91/843872391.db2.gz ADHCLJLAXYNPQC-QMTHXVAHSA-N 0 1 266.341 0.705 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@@H](C)C(=O)N(C)C)C[C@H]1C ZINC001206537304 843905275 /nfs/dbraw/zinc/90/52/75/843905275.db2.gz QGLWVWKLECCCNX-FRRDWIJNSA-N 0 1 281.400 0.866 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)Cc1ccc2nc[nH]c2c1 ZINC001182844837 843920584 /nfs/dbraw/zinc/92/05/84/843920584.db2.gz FYFHJLXBKKLOJK-LBPRGKRZSA-N 0 1 286.291 0.677 20 30 CCEDMN COC(=O)n1ncc(C#N)c1NC(=O)[C@@H](C(C)C)N(C)C ZINC001183365370 844008152 /nfs/dbraw/zinc/00/81/52/844008152.db2.gz INJKZMUVVVOXBF-SNVBAGLBSA-N 0 1 293.327 0.894 20 30 CCEDMN N#Cc1nc(N)c(Nc2ccc([N+](=O)[O-])nc2)nc1C#N ZINC001203566024 844008418 /nfs/dbraw/zinc/00/84/18/844008418.db2.gz ATPYDSHAWOYMDX-UHFFFAOYSA-N 0 1 282.223 0.849 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]2CN([C@@H](C)C(=O)NC)C[C@H]21 ZINC001187240337 844675515 /nfs/dbraw/zinc/67/55/15/844675515.db2.gz QEDMRUMCOZXZDO-RWMBFGLXSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C(F)F)C1 ZINC001188566619 844907042 /nfs/dbraw/zinc/90/70/42/844907042.db2.gz SPYPVDXGBBYYEQ-ZJUUUORDSA-N 0 1 289.326 0.475 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)COCC(F)F)[C@@H]2C1 ZINC001188659477 844933835 /nfs/dbraw/zinc/93/38/35/844933835.db2.gz VNCQQSATPTWUHY-NWDGAFQWSA-N 0 1 286.322 0.824 20 30 CCEDMN CCCC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001188625678 844936582 /nfs/dbraw/zinc/93/65/82/844936582.db2.gz FWVNYLRNRLOAPU-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)CC(C)C)C1 ZINC001188677035 844946244 /nfs/dbraw/zinc/94/62/44/844946244.db2.gz WCPAHSQYDTWCIK-KBPBESRZSA-N 0 1 293.411 0.703 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCO2)C1 ZINC001188738657 844964725 /nfs/dbraw/zinc/96/47/25/844964725.db2.gz UBVBVNRKQCFFAK-ZIAGYGMSSA-N 0 1 280.368 0.348 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCO2)C1 ZINC001188739811 844966030 /nfs/dbraw/zinc/96/60/30/844966030.db2.gz ZWMUWKLSASGHMF-CHWSQXEVSA-N 0 1 250.342 0.721 20 30 CCEDMN C=C(Br)CN[C@@H](C)CNC(=O)CNC(C)=O ZINC001398853174 914885973 /nfs/dbraw/zinc/88/59/73/914885973.db2.gz IVORIGCUFMGCDM-QMMMGPOBSA-N 0 1 292.177 0.125 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cn2cccn2)C1 ZINC001188864092 844986039 /nfs/dbraw/zinc/98/60/39/844986039.db2.gz XZTTYYCTQKOGHQ-CYBMUJFWSA-N 0 1 260.341 0.439 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)COCC2CC2)C1 ZINC001189060280 845031541 /nfs/dbraw/zinc/03/15/41/845031541.db2.gz YXMTVEGHPFAISV-OAHLLOKOSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](N(C)[C@H](C)C(=O)N(C)C)C1 ZINC001189363371 845127900 /nfs/dbraw/zinc/12/79/00/845127900.db2.gz MSNZFHFWMVMYBP-OLZOCXBDSA-N 0 1 297.399 0.198 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCCO2)C1 ZINC001189650791 845188713 /nfs/dbraw/zinc/18/87/13/845188713.db2.gz FCWGBZBODLZLKF-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@H](C)C(=O)N(C)C)C1 ZINC001189925460 845271186 /nfs/dbraw/zinc/27/11/86/845271186.db2.gz BROYJMUSUZBSLT-KGLIPLIRSA-N 0 1 293.411 0.799 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@@H](CC)C(N)=O)C1 ZINC001189925936 845272175 /nfs/dbraw/zinc/27/21/75/845272175.db2.gz IGTZZDCTLGXAJW-STQMWFEESA-N 0 1 279.384 0.587 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)CC2(O)CCC2)C1 ZINC001190016095 845304467 /nfs/dbraw/zinc/30/44/67/845304467.db2.gz VOYUEXRWVZCWGT-CQSZACIVSA-N 0 1 294.395 0.474 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001190053497 845316343 /nfs/dbraw/zinc/31/63/43/845316343.db2.gz JJGFISOESIZOGV-NEPJUHHUSA-N 0 1 265.357 0.052 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](N(C)[C@H](C)C(=O)N(C)C)C1 ZINC001190053025 845317515 /nfs/dbraw/zinc/31/75/15/845317515.db2.gz CNLHAXLPYAECLL-KGLIPLIRSA-N 0 1 293.411 0.655 20 30 CCEDMN Cc1nc(CN(C)[C@@H]2CCN(C(=O)C#CC(C)C)C2)n[nH]1 ZINC001190053932 845319693 /nfs/dbraw/zinc/31/96/93/845319693.db2.gz MVWIQFIIBSKYNU-CYBMUJFWSA-N 0 1 289.383 0.805 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)NC(=O)CC)C1 ZINC001190327131 845387800 /nfs/dbraw/zinc/38/78/00/845387800.db2.gz BGVBZLJDXFGZQH-OLZOCXBDSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001190452186 845405147 /nfs/dbraw/zinc/40/51/47/845405147.db2.gz CSOVQWFBMVFFFG-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2(CCOC)CC2)C1 ZINC001190576533 845437303 /nfs/dbraw/zinc/43/73/03/845437303.db2.gz SMLVHRVGILHTED-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2CCCCC2)C1 ZINC001190701331 845457506 /nfs/dbraw/zinc/45/75/06/845457506.db2.gz RLCLJSMBDPKWTG-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCC1(S(=O)(=O)N2CC(N3CCN(C)CC3)C2)CC1 ZINC001190804465 845506868 /nfs/dbraw/zinc/50/68/68/845506868.db2.gz JOWOSSNAOJSSHB-UHFFFAOYSA-N 0 1 299.440 0.357 20 30 CCEDMN COCC#CC[N@@H+](C)C[C@@H](O)COCCOC(C)C ZINC001190883707 845533525 /nfs/dbraw/zinc/53/35/25/845533525.db2.gz KWFOHOGTXMALJD-CQSZACIVSA-N 0 1 273.373 0.371 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)COCCOC(C)C ZINC001190883707 845533530 /nfs/dbraw/zinc/53/35/30/845533530.db2.gz KWFOHOGTXMALJD-CQSZACIVSA-N 0 1 273.373 0.371 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CCC(C)C)C1 ZINC001190944543 845544140 /nfs/dbraw/zinc/54/41/40/845544140.db2.gz XKWBEOSSSPUCFH-ZIAGYGMSSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2ccco2)C1 ZINC001191004828 845563977 /nfs/dbraw/zinc/56/39/77/845563977.db2.gz LDLYWVGTNFBIAZ-ZIAGYGMSSA-N 0 1 278.352 0.950 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(OC)n(C)n2)C1 ZINC001191265940 845621173 /nfs/dbraw/zinc/62/11/73/845621173.db2.gz HPDVGADFOCDPBI-LBPRGKRZSA-N 0 1 290.367 0.598 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCSCC)C1 ZINC001191339410 845628841 /nfs/dbraw/zinc/62/88/41/845628841.db2.gz SLFGPLBIOCESGE-VXGBXAGGSA-N 0 1 272.414 0.867 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cc(F)c[nH]2)C1 ZINC001191398495 845638982 /nfs/dbraw/zinc/63/89/82/845638982.db2.gz AOKKADUKGXPQLG-ZDUSSCGKSA-N 0 1 293.342 0.950 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)CNC(=O)CCC)C1 ZINC001191457192 845663863 /nfs/dbraw/zinc/66/38/63/845663863.db2.gz RACFYPAFHILHDY-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC001191551413 845687776 /nfs/dbraw/zinc/68/77/76/845687776.db2.gz LOMJHDHVCBABFY-ZDUSSCGKSA-N 0 1 290.371 0.574 20 30 CCEDMN CN(C1CN(C(=O)c2ccnc(C#N)c2)C1)[C@H]1CCOC1 ZINC001191549556 845688948 /nfs/dbraw/zinc/68/89/48/845688948.db2.gz MWDXJINQRWWPSS-ZDUSSCGKSA-N 0 1 286.335 0.498 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cnco2)C1 ZINC001191609597 845703016 /nfs/dbraw/zinc/70/30/16/845703016.db2.gz ZRPIGKPASVHZGE-GFCCVEGCSA-N 0 1 277.324 0.471 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2c[nH]nc2C)C1 ZINC001191641180 845704847 /nfs/dbraw/zinc/70/48/47/845704847.db2.gz OVADYQBZDKWWGV-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@H]1O ZINC001191724734 845716744 /nfs/dbraw/zinc/71/67/44/845716744.db2.gz OQNFGCAKHIYVMN-JHJVBQTASA-N 0 1 270.373 0.275 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOC2CCC2)C[C@H]1O ZINC001191724299 845717702 /nfs/dbraw/zinc/71/77/02/845717702.db2.gz AKVWLRRJXTVVEX-ZIAGYGMSSA-N 0 1 282.384 0.683 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)CCCF)C1 ZINC001191781848 845735427 /nfs/dbraw/zinc/73/54/27/845735427.db2.gz WIMOXHQBVVJGAY-CHWSQXEVSA-N 0 1 299.390 0.960 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)/C=C\C(C)(C)C)C1 ZINC001191833226 845746851 /nfs/dbraw/zinc/74/68/51/845746851.db2.gz RRSADUCFVWHWCT-OTHLQRGRSA-N 0 1 264.369 0.773 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(F)CCOC2)C1 ZINC001191959555 845766763 /nfs/dbraw/zinc/76/67/63/845766763.db2.gz SVJTWWHWLHQAEK-TZMCWYRMSA-N 0 1 268.332 0.671 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCC2CC2)C1 ZINC001191960012 845767378 /nfs/dbraw/zinc/76/73/78/845767378.db2.gz LQFLCOHJGFCCJG-CHWSQXEVSA-N 0 1 250.342 0.361 20 30 CCEDMN C=CCCCC(=O)N1CC[C@H](N(C)[C@H]2CCNC2=O)C1 ZINC001192073788 845781622 /nfs/dbraw/zinc/78/16/22/845781622.db2.gz XCPNADSJXKSBQA-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cccc(=O)n2C)C1 ZINC001192284099 845815146 /nfs/dbraw/zinc/81/51/46/845815146.db2.gz PKYNTBBEDCXQFN-ZDUSSCGKSA-N 0 1 287.363 0.555 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCc2cnn(C)n2)C1 ZINC001192377228 845832531 /nfs/dbraw/zinc/83/25/31/845832531.db2.gz JJOXXYHIGOCLQT-AWEZNQCLSA-N 0 1 289.383 0.304 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)Cc2ccoc2)C1 ZINC001192420206 845839801 /nfs/dbraw/zinc/83/98/01/845839801.db2.gz NMNDCYBJLAPAFU-ZIAGYGMSSA-N 0 1 278.352 0.950 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2nccn3ccnc23)C1 ZINC001192406721 845850152 /nfs/dbraw/zinc/85/01/52/845850152.db2.gz NCDYWNBZKLWWSB-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC(C)(C)C2CC2)C1 ZINC001192779577 845908084 /nfs/dbraw/zinc/90/80/84/845908084.db2.gz VRMKNXJYYFPTNZ-ZIAGYGMSSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(C)(C)CNC(C)=O)C1 ZINC001192802825 845912846 /nfs/dbraw/zinc/91/28/46/845912846.db2.gz ZBTWGTSINPUXOX-CYBMUJFWSA-N 0 1 279.384 0.315 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C2(C(=O)NC)CC2)C1 ZINC001192858211 845923562 /nfs/dbraw/zinc/92/35/62/845923562.db2.gz OPKFWCOOEOJBIY-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc2c(cc[nH]c2=O)c1 ZINC001192978751 845961242 /nfs/dbraw/zinc/96/12/42/845961242.db2.gz DITRXXZDTQOBFP-UHFFFAOYSA-N 0 1 263.278 0.793 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)[N-]c1ccn2cc[nH+]c2c1 ZINC001193112437 845981178 /nfs/dbraw/zinc/98/11/78/845981178.db2.gz TVRJQPOUUCNTJJ-QMMMGPOBSA-N 0 1 250.283 0.988 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2nocc2C)C1 ZINC001193302302 846049767 /nfs/dbraw/zinc/04/97/67/846049767.db2.gz TUSVVOWNYGOESD-CYBMUJFWSA-N 0 1 291.351 0.779 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@](C)(NC(C)=O)C2CC2)C1 ZINC001193423654 846077948 /nfs/dbraw/zinc/07/79/48/846077948.db2.gz CSINJXSAJXGIJZ-HOCLYGCPSA-N 0 1 291.395 0.457 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1cccc(C#N)c1O ZINC001193658006 846140298 /nfs/dbraw/zinc/14/02/98/846140298.db2.gz PMZVDUUZAPQINH-VIFPVBQESA-N 0 1 280.305 0.465 20 30 CCEDMN C[C@@H]1COCCN1CCNC(=O)c1cccc(C#N)c1O ZINC001193660543 846140668 /nfs/dbraw/zinc/14/06/68/846140668.db2.gz ZMSUIKUYHJARPN-LLVKDONJSA-N 0 1 289.335 0.714 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001194048918 846205591 /nfs/dbraw/zinc/20/55/91/846205591.db2.gz QRCMERUCMHVLHH-GFCCVEGCSA-N 0 1 288.351 0.283 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](N(C)[C@@H](CC)C(N)=O)C1 ZINC001194396508 846285383 /nfs/dbraw/zinc/28/53/83/846285383.db2.gz DWMXCMBZHNWDJH-OLZOCXBDSA-N 0 1 297.399 0.376 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001194397045 846285785 /nfs/dbraw/zinc/28/57/85/846285785.db2.gz NNPPGZWIXKQFIL-HUUCEWRRSA-N 0 1 294.395 0.760 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](N(C)[C@H](CC)C(N)=O)C1 ZINC001194396507 846286527 /nfs/dbraw/zinc/28/65/27/846286527.db2.gz DWMXCMBZHNWDJH-CHWSQXEVSA-N 0 1 297.399 0.376 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H](N(C)[C@H](C)C(=O)NC)C1 ZINC001194397804 846286777 /nfs/dbraw/zinc/28/67/77/846286777.db2.gz ZUZNNOKACLYAFS-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCOCCOC)C1 ZINC001194420986 846289815 /nfs/dbraw/zinc/28/98/15/846289815.db2.gz XGNFMPWDMBLKOB-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN COCCn1cnc(C(=O)Nc2nc[nH]c2C#N)c1 ZINC001194662940 846351259 /nfs/dbraw/zinc/35/12/59/846351259.db2.gz PRURBPDTSXUTIJ-UHFFFAOYSA-N 0 1 260.257 0.377 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2cccnc2OC)cc[nH]1 ZINC001194672649 846354763 /nfs/dbraw/zinc/35/47/63/846354763.db2.gz WFLRYOBICXWMHV-UHFFFAOYSA-N 0 1 289.316 0.689 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2coc(OC)n2)C1 ZINC001195030557 846441453 /nfs/dbraw/zinc/44/14/53/846441453.db2.gz RWIDMMCYUBVNPA-ZYHUDNBSSA-N 0 1 295.339 0.424 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1O ZINC001195020581 846448123 /nfs/dbraw/zinc/44/81/23/846448123.db2.gz MXGDILLLGPEMID-IIAWOOMASA-N 0 1 296.411 0.953 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)Cc2ccon2)CC1 ZINC001195374507 846515703 /nfs/dbraw/zinc/51/57/03/846515703.db2.gz WGVKNQAUHNADJG-UHFFFAOYSA-N 0 1 293.367 0.954 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2[C@@H](CC)C(N)=O)C1=O ZINC001273703391 846594526 /nfs/dbraw/zinc/59/45/26/846594526.db2.gz GSDJNRCTMWFMDT-FZMZJTMJSA-N 0 1 265.357 0.503 20 30 CCEDMN C[C@]1(CO)CCN(C(=O)c2ccc(C#N)cc2O)C[C@@H]1O ZINC001195741164 846619275 /nfs/dbraw/zinc/61/92/75/846619275.db2.gz PXWZQUNLUSLMFZ-DZGCQCFKSA-N 0 1 290.319 0.469 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](CC(C)C)OC)C1 ZINC001196037278 846679131 /nfs/dbraw/zinc/67/91/31/846679131.db2.gz HLBGPJNIBMXXKJ-MCIONIFRSA-N 0 1 282.384 0.232 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@H](C)n2ccnc2)C1 ZINC001196498095 846764589 /nfs/dbraw/zinc/76/45/89/846764589.db2.gz APENVKUOVYPTGJ-BFHYXJOUSA-N 0 1 292.383 0.572 20 30 CCEDMN Cn1ncc(NS(=O)(=O)Cc2cccnc2)c1C#N ZINC001197430407 846909964 /nfs/dbraw/zinc/90/99/64/846909964.db2.gz MHYZYBMYORQQOF-UHFFFAOYSA-N 0 1 277.309 0.629 20 30 CCEDMN Cc1cc(C(=O)N2CCNC[C@H]2C#N)nn1-c1ccncc1 ZINC001197534140 846927690 /nfs/dbraw/zinc/92/76/90/846927690.db2.gz KMYPCQXDOMZOMA-CYBMUJFWSA-N 0 1 296.334 0.513 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](OC)C2CCCC2)C1 ZINC001198233907 847071981 /nfs/dbraw/zinc/07/19/81/847071981.db2.gz QAUSLBVNVBXDHL-RBSFLKMASA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1O ZINC001199318177 847298685 /nfs/dbraw/zinc/29/86/85/847298685.db2.gz NXUHYFAVJBKYOR-MGPQQGTHSA-N 0 1 282.384 0.705 20 30 CCEDMN CCOC(=O)c1nc[nH]c1NC(=O)c1cnc(C#N)cn1 ZINC001199661737 847387683 /nfs/dbraw/zinc/38/76/83/847387683.db2.gz JSWUDSONOTXVFM-UHFFFAOYSA-N 0 1 286.251 0.500 20 30 CCEDMN N#Cc1cnc(C(=O)Nc2cccnc2CN)cn1 ZINC001199668831 847391572 /nfs/dbraw/zinc/39/15/72/847391572.db2.gz CCJIQTOIJHZVHI-UHFFFAOYSA-N 0 1 254.253 0.454 20 30 CCEDMN COCC#CC[N@H+]1CC[C@@]2(C1)CCCN(C(=O)COC)C2 ZINC001273824242 847604145 /nfs/dbraw/zinc/60/41/45/847604145.db2.gz GZCOUHJTILPGOA-MRXNPFEDSA-N 0 1 294.395 0.597 20 30 CCEDMN C#CCCCC(=O)N1CCC(NCc2nnc(C)[nH]2)CC1 ZINC001200325580 847606679 /nfs/dbraw/zinc/60/66/79/847606679.db2.gz ZNFMWCYAZSRUGN-UHFFFAOYSA-N 0 1 289.383 0.997 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)CCCCN2C(C)(C)C(=O)NC ZINC001273839436 847649459 /nfs/dbraw/zinc/64/94/59/847649459.db2.gz OALRKPCQHRMRCZ-MRXNPFEDSA-N 0 1 291.395 0.601 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1CCC(NCC#N)CC1 ZINC001200850943 847697645 /nfs/dbraw/zinc/69/76/45/847697645.db2.gz PRUNIYJPTLNEHF-ZDUSSCGKSA-N 0 1 284.379 0.770 20 30 CCEDMN C=C[C@@](C)(O)CN1CCOC[C@@](O)(C(F)(F)F)C1 ZINC001252547455 848000297 /nfs/dbraw/zinc/00/02/97/848000297.db2.gz IJGDJWJEDCQODQ-NXEZZACHSA-N 0 1 269.263 0.549 20 30 CCEDMN C=C[C@](C)(O)CN1CC[C@@H](N2CCOCC2)[C@@H](F)C1 ZINC001252562833 848006260 /nfs/dbraw/zinc/00/62/60/848006260.db2.gz YQXNFGRUNNQNDP-MJBXVCDLSA-N 0 1 272.364 0.668 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nccnc1Cl ZINC000717573064 848275563 /nfs/dbraw/zinc/27/55/63/848275563.db2.gz DIAQLHDKRWJKSZ-UHFFFAOYSA-N 0 1 252.705 0.425 20 30 CCEDMN C=CCN1c2ccccc2[C@]2(CCN(CC(=O)NC)C2)C1=O ZINC001274071491 848339878 /nfs/dbraw/zinc/33/98/78/848339878.db2.gz INKHRRNVAPBPCD-QGZVFWFLSA-N 0 1 299.374 0.909 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)nn1)C2 ZINC001095380777 848381561 /nfs/dbraw/zinc/38/15/61/848381561.db2.gz XPFWNNULDLIOAE-MDZLAQPJSA-N 0 1 273.340 0.174 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)C1(C)CC=CC1 ZINC001274331830 848451751 /nfs/dbraw/zinc/45/17/51/848451751.db2.gz OMKLPIMVGYIZNC-VOTSOKGWSA-N 0 1 289.379 0.354 20 30 CCEDMN C#Cc1cncc(C(=O)NC/C=C/CNCCF)c1 ZINC001274348114 848455732 /nfs/dbraw/zinc/45/57/32/848455732.db2.gz KFRUBMRKMCXRAT-ONEGZZNKSA-N 0 1 261.300 0.908 20 30 CCEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1nnc[nH]1 ZINC001274354156 848455818 /nfs/dbraw/zinc/45/58/18/848455818.db2.gz ATFXTARSUQHSFA-CQSZACIVSA-N 0 1 275.356 0.948 20 30 CCEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2nnc[nH]2)C1=O ZINC001274355200 848457599 /nfs/dbraw/zinc/45/75/99/848457599.db2.gz CPTKBPUUUZECOO-CQSZACIVSA-N 0 1 275.356 0.948 20 30 CCEDMN C#CCN1C[C@@]2(F)CN(Cc3cnc(C)[nH]3)C[C@@]2(F)C1=O ZINC001274576659 848509896 /nfs/dbraw/zinc/50/98/96/848509896.db2.gz PEHMQCKZYNSMFG-UONOGXRCSA-N 0 1 294.305 0.426 20 30 CCEDMN CN1CC2(CN(Cc3cc(C#N)ccc3O)C2)OCC1=O ZINC001274666793 848536732 /nfs/dbraw/zinc/53/67/32/848536732.db2.gz IFMPEWLCFPHIRX-UHFFFAOYSA-N 0 1 287.319 0.307 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cnnn2CC)CC1 ZINC001274903183 848585458 /nfs/dbraw/zinc/58/54/58/848585458.db2.gz BJFDFQDMBKPOFX-UHFFFAOYSA-N 0 1 293.371 0.041 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)C2=COCCC2)CC1 ZINC001274895810 848586546 /nfs/dbraw/zinc/58/65/46/848586546.db2.gz AFLQHAILJIKPLJ-UHFFFAOYSA-N 0 1 292.379 0.647 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cnn2ncccc12 ZINC001275114079 848640767 /nfs/dbraw/zinc/64/07/67/848640767.db2.gz FTQDBMLKZPOOPW-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cncn1C ZINC001275121423 848644091 /nfs/dbraw/zinc/64/40/91/848644091.db2.gz MRNOOFDHXJLWPU-GFCCVEGCSA-N 0 1 260.341 0.590 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](C)CNCc1cc(OC)no1 ZINC001275135233 848645943 /nfs/dbraw/zinc/64/59/43/848645943.db2.gz FYNFGLBIXLZYPE-HZMBPMFUSA-N 0 1 297.355 0.605 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CC[C@@H]2C[C@@]21C(=O)NCc1cnc[nH]1 ZINC001275344182 848694294 /nfs/dbraw/zinc/69/42/94/848694294.db2.gz AQVBPSRPLBOOBJ-NOBRSGAKSA-N 0 1 299.334 0.177 20 30 CCEDMN C[C@@H](NCc1ccc(C#N)cc1F)[C@@H](C)NC(=O)C(N)=O ZINC001275483133 848734184 /nfs/dbraw/zinc/73/41/84/848734184.db2.gz YNJBOHSOFAJDIV-RKDXNWHRSA-N 0 1 292.314 0.165 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H](C)NC(=O)c1ccoc1 ZINC001275834733 848840343 /nfs/dbraw/zinc/84/03/43/848840343.db2.gz IWQXRGILSJYJHD-NEPJUHHUSA-N 0 1 291.351 0.468 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1c[nH]nc1C ZINC001275897622 848859211 /nfs/dbraw/zinc/85/92/11/848859211.db2.gz RBEAVJCRDJRUHL-LLVKDONJSA-N 0 1 278.356 0.418 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCNC1=O)C2 ZINC001095526799 848975215 /nfs/dbraw/zinc/97/52/15/848975215.db2.gz PKUQXCFUJIIFKH-NDBYEHHHSA-N 0 1 277.368 0.420 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCC(=O)NC1)C2 ZINC001095663745 849004754 /nfs/dbraw/zinc/00/47/54/849004754.db2.gz OLZAETWCGXEZFW-NDBYEHHHSA-N 0 1 277.368 0.420 20 30 CCEDMN CN(CCCNC(=O)Cc1cnc[nH]1)c1ccncc1C#N ZINC001095746718 849133320 /nfs/dbraw/zinc/13/33/20/849133320.db2.gz YTSPJVOABBZPNH-UHFFFAOYSA-N 0 1 298.350 0.862 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](CCC)NC(N)=O)C2 ZINC001111321035 849166882 /nfs/dbraw/zinc/16/68/82/849166882.db2.gz VEYSAYQUELMKEW-LPWJVIDDSA-N 0 1 294.399 0.731 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCNC1=O)C2 ZINC001095866526 849204788 /nfs/dbraw/zinc/20/47/88/849204788.db2.gz FEOJNOZRXQZKJC-WYUUTHIRSA-N 0 1 263.341 0.030 20 30 CCEDMN C#C[C@@H](CO)NC(=O)c1ccc(CN(CC)CC)o1 ZINC000718201087 849242772 /nfs/dbraw/zinc/24/27/72/849242772.db2.gz JOPNIYRJGIEIAB-NSHDSACASA-N 0 1 264.325 0.845 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@]12C[C@H]1CCC2 ZINC001114597155 849284333 /nfs/dbraw/zinc/28/43/33/849284333.db2.gz KWVRTELIUKNLDQ-LFYQSXPISA-N 0 1 288.391 0.873 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCN(C)C1=O)C2 ZINC001095885477 849337217 /nfs/dbraw/zinc/33/72/17/849337217.db2.gz IFOULWSGCOCTGW-MQYQWHSLSA-N 0 1 289.379 0.210 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@@H](CC)C(N)=O)C[C@H]21 ZINC001114681066 849360605 /nfs/dbraw/zinc/36/06/05/849360605.db2.gz PVRVABMJYZHUNK-QNWHQSFQSA-N 0 1 293.411 0.899 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)Cn2cc(C)cn2)C1 ZINC001276350435 849441850 /nfs/dbraw/zinc/44/18/50/849441850.db2.gz KNQDSPGFVVEYCV-CYBMUJFWSA-N 0 1 274.368 0.651 20 30 CCEDMN C=C[C@H](O)CN1Cc2c(cnn2C)[C@H](COCC)C1 ZINC001253577764 849528891 /nfs/dbraw/zinc/52/88/91/849528891.db2.gz YSJCFKIJWXFXOY-RYUDHWBXSA-N 0 1 265.357 0.903 20 30 CCEDMN CC[C@@H]1CN(C(=O)CN2CCCC2)CC[C@H]1NCC#N ZINC001037978672 849616468 /nfs/dbraw/zinc/61/64/68/849616468.db2.gz MYMWCZZGVCIBGN-ZIAGYGMSSA-N 0 1 278.400 0.822 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1Nc1nccnc1C#N ZINC001067029039 849713987 /nfs/dbraw/zinc/71/39/87/849713987.db2.gz HTOWBBAOEFAWIR-CABZTGNLSA-N 0 1 297.322 0.644 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001038637783 849765205 /nfs/dbraw/zinc/76/52/05/849765205.db2.gz DSUNRIOACXFQFP-NWDGAFQWSA-N 0 1 289.383 0.991 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)NC[C@H]1CCN1CC#N ZINC001038641382 849789154 /nfs/dbraw/zinc/78/91/54/849789154.db2.gz ARXWLVLNZFFSBV-GFCCVEGCSA-N 0 1 276.340 0.669 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)C1CN(CCn2cccn2)C1 ZINC001276376067 849796492 /nfs/dbraw/zinc/79/64/92/849796492.db2.gz KKTDGVAOKFHGRW-AWEZNQCLSA-N 0 1 288.395 0.979 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001038201057 849816103 /nfs/dbraw/zinc/81/61/03/849816103.db2.gz JRFAKWLBVOXGQA-CYBMUJFWSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(OC)ncn1 ZINC001038254394 849832961 /nfs/dbraw/zinc/83/29/61/849832961.db2.gz VOLKNDKOJZGGFZ-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnc2n1CCOC2 ZINC001038368052 849867985 /nfs/dbraw/zinc/86/79/85/849867985.db2.gz RJQUKTYPUNBUBX-LBPRGKRZSA-N 0 1 288.351 0.241 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001038420694 849890347 /nfs/dbraw/zinc/89/03/47/849890347.db2.gz ZUCGNBRFQAGLTO-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@H]2CCN2CCC)nn1 ZINC001038782511 850013379 /nfs/dbraw/zinc/01/33/79/850013379.db2.gz LLWXCFSUGVPEAO-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001038823693 850027886 /nfs/dbraw/zinc/02/78/86/850027886.db2.gz ROQMYENKENFHRS-LBPRGKRZSA-N 0 1 288.351 0.091 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001038898959 850073944 /nfs/dbraw/zinc/07/39/44/850073944.db2.gz NTNRODLJIZYDFY-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001038915005 850080250 /nfs/dbraw/zinc/08/02/50/850080250.db2.gz SCTZHXRONXUBHF-TZMCWYRMSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1c2c(nn1C)CCC2 ZINC001038923109 850088867 /nfs/dbraw/zinc/08/88/67/850088867.db2.gz ZDSAMQVWUOZDST-GFCCVEGCSA-N 0 1 286.379 0.736 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1c(OC)cc[nH]c1=O ZINC001038935743 850094260 /nfs/dbraw/zinc/09/42/60/850094260.db2.gz PODMRCHRDMRXJJ-LLVKDONJSA-N 0 1 289.335 0.623 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1cnn2ccc(C)nc12 ZINC001038943792 850098078 /nfs/dbraw/zinc/09/80/78/850098078.db2.gz VZYJYILPTZUEDK-ZDUSSCGKSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnn2ccc(C)nc12 ZINC001038943792 850098088 /nfs/dbraw/zinc/09/80/88/850098088.db2.gz VZYJYILPTZUEDK-ZDUSSCGKSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cccc2nnn(C)c21 ZINC001039089573 850155591 /nfs/dbraw/zinc/15/55/91/850155591.db2.gz PWNJEWSMEVBLCM-LBPRGKRZSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CO[C@H]1CCOC1 ZINC001039373105 850182886 /nfs/dbraw/zinc/18/28/86/850182886.db2.gz FCKYAQNZAUNQCG-ILXRZTDVSA-N 0 1 292.379 0.490 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C(N)=O ZINC001039389546 850183950 /nfs/dbraw/zinc/18/39/50/850183950.db2.gz YIQKXGWKRLBSAJ-NEPJUHHUSA-N 0 1 279.384 0.749 20 30 CCEDMN C=C1CCC(CNS(=O)(=O)C[C@@H]2CNCCO2)CC1 ZINC000724464189 850200513 /nfs/dbraw/zinc/20/05/13/850200513.db2.gz HTUVIBDIAGSTBT-ZDUSSCGKSA-N 0 1 288.413 0.641 20 30 CCEDMN N#CCN1CCC[C@]2(CCN(C(=O)Cc3ncn[nH]3)C2)C1 ZINC001040488231 850297176 /nfs/dbraw/zinc/29/71/76/850297176.db2.gz JDNKIQKOZULSHX-AWEZNQCLSA-N 0 1 288.355 0.185 20 30 CCEDMN C[N@@H+](CC(=O)N1CC[C@@]2(CCN(CC#N)C2)C1)C1CCC1 ZINC001041352946 850434635 /nfs/dbraw/zinc/43/46/35/850434635.db2.gz DGRJZGOCTVOHOM-MRXNPFEDSA-N 0 1 290.411 0.919 20 30 CCEDMN CC#CC[N@@H+]1CC[C@]2(CCN(C(=O)Cc3nnc[n-]3)C2)C1 ZINC001041778887 850500009 /nfs/dbraw/zinc/50/00/09/850500009.db2.gz HSEJFBHAWDULJR-HNNXBMFYSA-N 0 1 287.367 0.295 20 30 CCEDMN CC#CC[N@H+]1CC[C@]2(CCN(C(=O)Cc3nnc[n-]3)C2)C1 ZINC001041778887 850500024 /nfs/dbraw/zinc/50/00/24/850500024.db2.gz HSEJFBHAWDULJR-HNNXBMFYSA-N 0 1 287.367 0.295 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(=O)[nH]n3)C[C@H]21 ZINC001041919730 850531037 /nfs/dbraw/zinc/53/10/37/850531037.db2.gz KHXZOHBRLRHDBX-WCQYABFASA-N 0 1 286.335 0.352 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccon3)C[C@H]21 ZINC001041951378 850537101 /nfs/dbraw/zinc/53/71/01/850537101.db2.gz CAMBJXZMNXPLRG-DGCLKSJQSA-N 0 1 259.309 0.844 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cc3ccon3)C[C@H]21 ZINC001041975272 850547004 /nfs/dbraw/zinc/54/70/04/850547004.db2.gz MCXOYNJJZPRVGU-GXTWGEPZSA-N 0 1 273.336 0.773 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)CCc3nc[nH]n3)C[C@@H]21 ZINC001042005198 850554649 /nfs/dbraw/zinc/55/46/49/850554649.db2.gz CYQXYOXMEOBTGQ-RYUDHWBXSA-N 0 1 288.355 0.184 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C[C@H]21 ZINC001042015068 850557094 /nfs/dbraw/zinc/55/70/94/850557094.db2.gz BOKAJHVJHCWLOE-NWDGAFQWSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cc3nnc(C)o3)C[C@@H]21 ZINC001042046919 850566181 /nfs/dbraw/zinc/56/61/81/850566181.db2.gz RCUNUIDVWDGPCK-OLZOCXBDSA-N 0 1 288.351 0.477 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3nn(C)cc3C)C[C@@H]21 ZINC001042060394 850571869 /nfs/dbraw/zinc/57/18/69/850571869.db2.gz JVAUFACWPNJIRW-KBPBESRZSA-N 0 1 286.379 0.898 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3n[nH]nc3C)C[C@@H]21 ZINC001042184247 850591488 /nfs/dbraw/zinc/59/14/88/850591488.db2.gz VBJFMQMBCIQCPP-OLZOCXBDSA-N 0 1 287.367 0.673 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cn3nccc3C)C[C@H]21 ZINC001042249887 850602011 /nfs/dbraw/zinc/60/20/11/850602011.db2.gz RAWHJKCLNNMCGS-HUUCEWRRSA-N 0 1 286.379 0.748 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)C2CN(C(C)=O)C2)C1 ZINC001042657708 850726890 /nfs/dbraw/zinc/72/68/90/850726890.db2.gz MIMUXAVMVDYCHT-UHFFFAOYSA-N 0 1 279.384 0.574 20 30 CCEDMN CC(C)Cc1ncc(C(=O)N(C)C2CN(CC#N)C2)[nH]1 ZINC001042720469 850742488 /nfs/dbraw/zinc/74/24/88/850742488.db2.gz HOBJQKWCAHUSGY-UHFFFAOYSA-N 0 1 275.356 0.888 20 30 CCEDMN C=CCN1CC(N(C)C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001042752942 850751628 /nfs/dbraw/zinc/75/16/28/850751628.db2.gz BZVASRJWCUHUCL-UHFFFAOYSA-N 0 1 271.324 0.900 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001042838032 850767398 /nfs/dbraw/zinc/76/73/98/850767398.db2.gz NJGODQVIICIVNK-LBPRGKRZSA-N 0 1 279.384 0.574 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@H]2CCNC2=O)C1 ZINC001042903531 850777095 /nfs/dbraw/zinc/77/70/95/850777095.db2.gz BMDLJBLPEZHWIM-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001043511557 850888267 /nfs/dbraw/zinc/88/82/67/850888267.db2.gz VHJOTZHDTQMWHA-UHFFFAOYSA-N 0 1 295.346 0.861 20 30 CCEDMN CN(C(=O)c1ccc2[nH]nnc2c1)C1CN(CC#N)C1 ZINC001044283724 851059655 /nfs/dbraw/zinc/05/96/55/851059655.db2.gz HEYURVSDGCJTSP-UHFFFAOYSA-N 0 1 270.296 0.238 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)CCC(=O)N1)C2 ZINC001095975414 851087549 /nfs/dbraw/zinc/08/75/49/851087549.db2.gz IFLHHUGLLUBVIN-DVZHBHJUSA-N 0 1 291.395 0.953 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CC#N)C1 ZINC001096015256 851222383 /nfs/dbraw/zinc/22/23/83/851222383.db2.gz ZMZASZTYKVJJQT-MQYQWHSLSA-N 0 1 276.384 0.573 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccc(=O)n(C)c2)CC1 ZINC001045490277 851266873 /nfs/dbraw/zinc/26/68/73/851266873.db2.gz DRLOTJSGWBDPKR-UHFFFAOYSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC001045524229 851270653 /nfs/dbraw/zinc/27/06/53/851270653.db2.gz FJVAMXLBZGWULH-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccn3nnnc3c2)CC1 ZINC001045524634 851273669 /nfs/dbraw/zinc/27/36/69/851273669.db2.gz PPATVNOENVXWOX-UHFFFAOYSA-N 0 1 298.350 0.342 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cn(CC=C)nn2)CC1 ZINC001045580736 851284730 /nfs/dbraw/zinc/28/47/30/851284730.db2.gz OQORKZYLDSHFIR-UHFFFAOYSA-N 0 1 287.367 0.682 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN([C@@H]2CCN(CCF)C2)CC1 ZINC001046012059 851353277 /nfs/dbraw/zinc/35/32/77/851353277.db2.gz KJGCLDWHQCKWNE-CHWSQXEVSA-N 0 1 282.363 0.334 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccnn2C)C1 ZINC001046181190 851411134 /nfs/dbraw/zinc/41/11/34/851411134.db2.gz QDCYWGIKZGLKSP-CQSZACIVSA-N 0 1 260.341 0.638 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@]1(C)CCN(CC#N)C1 ZINC001046189550 851414892 /nfs/dbraw/zinc/41/48/92/851414892.db2.gz MSPWVQQPBSFJLN-DGCLKSJQSA-N 0 1 250.346 0.185 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cn(C)cn2)C1 ZINC001046242745 851437155 /nfs/dbraw/zinc/43/71/55/851437155.db2.gz AIFGZIGFLOIBDE-AWEZNQCLSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1nccc1C)C2 ZINC001096085082 851443139 /nfs/dbraw/zinc/44/31/39/851443139.db2.gz HSSWLMJXOMOLOY-ILXRZTDVSA-N 0 1 286.379 0.936 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cccc3n[nH]nc32)C1 ZINC001046340709 851469573 /nfs/dbraw/zinc/46/95/73/851469573.db2.gz GQPORSQUIQLLSF-HNNXBMFYSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001046563149 851549613 /nfs/dbraw/zinc/54/96/13/851549613.db2.gz IMIDERHIVNUBGV-AWEZNQCLSA-N 0 1 274.324 0.318 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC001046569124 851552391 /nfs/dbraw/zinc/55/23/91/851552391.db2.gz GFYKFENJJXLKJO-BBRMVZONSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001046610282 851564048 /nfs/dbraw/zinc/56/40/48/851564048.db2.gz LYUFIIQLRYNLBX-OAHLLOKOSA-N 0 1 273.336 0.923 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@]2(C)CCN(CCO)C2)nn1 ZINC001046689330 851583656 /nfs/dbraw/zinc/58/36/56/851583656.db2.gz JJHJNKQBJLFUNH-CQSZACIVSA-N 0 1 293.371 0.041 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(OC)nn2)C1 ZINC001046758670 851607489 /nfs/dbraw/zinc/60/74/89/851607489.db2.gz SOFULMRDNYWKFT-AWEZNQCLSA-N 0 1 276.340 0.865 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@]2(C)CC[N@@H+](CCO)C2)cn1 ZINC001046779641 851612369 /nfs/dbraw/zinc/61/23/69/851612369.db2.gz WSZFXMWKJWTPKQ-OAHLLOKOSA-N 0 1 273.336 0.249 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cscn2)C1 ZINC001047322486 851716195 /nfs/dbraw/zinc/71/61/95/851716195.db2.gz CVJLVUXQWXIRMU-RYUDHWBXSA-N 0 1 279.365 0.284 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(F)nc2)C1 ZINC001047327221 851719957 /nfs/dbraw/zinc/71/99/57/851719957.db2.gz HXNVVRXSAYHMSW-STQMWFEESA-N 0 1 293.342 0.914 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2n[nH]c(C)c2C)C1 ZINC001047329141 851720261 /nfs/dbraw/zinc/72/02/61/851720261.db2.gz FGRPFXQFSOGUMM-STQMWFEESA-N 0 1 290.367 0.167 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C[C@H]2CCOC2)C1 ZINC001047329756 851721744 /nfs/dbraw/zinc/72/17/44/851721744.db2.gz BELOPTUEIGTTNS-RDBSUJKOSA-N 0 1 282.384 0.493 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2=CCCC2)C1 ZINC001047347153 851730554 /nfs/dbraw/zinc/73/05/54/851730554.db2.gz MEADCCMSHYTMEA-KBPBESRZSA-N 0 1 262.353 0.624 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C2CC(OC)C2)C1 ZINC001047421062 851758541 /nfs/dbraw/zinc/75/85/41/851758541.db2.gz WKUNZQPSPLMKFC-HOAMVYINSA-N 0 1 282.384 0.491 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CCC2(C)C)C1 ZINC001047494309 851787567 /nfs/dbraw/zinc/78/75/67/851787567.db2.gz MUPRYECXBJPHOH-RDBSUJKOSA-N 0 1 278.396 0.949 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2nc(C)oc2C)C1 ZINC001047577584 851824091 /nfs/dbraw/zinc/82/40/91/851824091.db2.gz PYXRTVPFQHOORY-STQMWFEESA-N 0 1 291.351 0.432 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccc[nH]2)C1 ZINC001047634726 851841036 /nfs/dbraw/zinc/84/10/36/851841036.db2.gz NLWNQRFVKIORNC-KBPBESRZSA-N 0 1 277.368 0.637 20 30 CCEDMN CC#CC[N@H+]1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001048780303 852056293 /nfs/dbraw/zinc/05/62/93/852056293.db2.gz GWJWGPGQFDTMOR-BETUJISGSA-N 0 1 285.347 0.814 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001048780303 852056302 /nfs/dbraw/zinc/05/63/02/852056302.db2.gz GWJWGPGQFDTMOR-BETUJISGSA-N 0 1 285.347 0.814 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)C[C@@H]2C1 ZINC001049078644 852157414 /nfs/dbraw/zinc/15/74/14/852157414.db2.gz ZUIDRVIUYLWGPE-TXEJJXNPSA-N 0 1 285.351 0.814 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)[C@H]3CCc4[nH]cnc4C3)C[C@@H]2C1 ZINC001049162299 852180404 /nfs/dbraw/zinc/18/04/04/852180404.db2.gz UFEHSHGUNDJLRA-RWMBFGLXSA-N 0 1 299.378 0.428 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccc(=O)[nH]n1 ZINC001049288374 852219613 /nfs/dbraw/zinc/21/96/13/852219613.db2.gz BLFVDHQXEOOMTL-OLZOCXBDSA-N 0 1 286.335 0.494 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cncnc1 ZINC001049438074 852275791 /nfs/dbraw/zinc/27/57/91/852275791.db2.gz VVRLCZBVXRQKNN-KBPBESRZSA-N 0 1 270.336 0.789 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049455712 852284718 /nfs/dbraw/zinc/28/47/18/852284718.db2.gz FTGUBXYDMWFLHG-NWDGAFQWSA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)CO[C@H]1CCOC1 ZINC001049476020 852291910 /nfs/dbraw/zinc/29/19/10/852291910.db2.gz QVRPQKSAYQVEHU-SOUVJXGZSA-N 0 1 292.379 0.490 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@]1(C)CCNC1=O ZINC001049719709 852359574 /nfs/dbraw/zinc/35/95/74/852359574.db2.gz SJPDGOPPCYYWPR-ZENOOKHLSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccncn1 ZINC001049792929 852373030 /nfs/dbraw/zinc/37/30/30/852373030.db2.gz LVSUVZCRWBVAQZ-UONOGXRCSA-N 0 1 270.336 0.789 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049844894 852382808 /nfs/dbraw/zinc/38/28/08/852382808.db2.gz VNYTYXWJSLIPMS-CHWSQXEVSA-N 0 1 287.367 0.436 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049844894 852382814 /nfs/dbraw/zinc/38/28/14/852382814.db2.gz VNYTYXWJSLIPMS-CHWSQXEVSA-N 0 1 287.367 0.436 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnn2cc[nH]c12 ZINC001049865049 852388044 /nfs/dbraw/zinc/38/80/44/852388044.db2.gz VHQKBQKNTJOSIR-UONOGXRCSA-N 0 1 297.362 0.975 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cnccn3)[C@@H]2C1 ZINC001049961445 852409028 /nfs/dbraw/zinc/40/90/28/852409028.db2.gz QXAAKGNCSGYZJT-GXTWGEPZSA-N 0 1 270.336 0.646 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N1CCC[C@H]2CN(CC#N)C[C@H]21 ZINC001049994507 852416914 /nfs/dbraw/zinc/41/69/14/852416914.db2.gz OZEBXQCUWCZZHZ-BFHYXJOUSA-N 0 1 276.384 0.527 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCC[C@H]2CN(CC#N)C[C@H]21 ZINC001049994507 852416916 /nfs/dbraw/zinc/41/69/16/852416916.db2.gz OZEBXQCUWCZZHZ-BFHYXJOUSA-N 0 1 276.384 0.527 20 30 CCEDMN N#Cc1cncc(N[C@@H](CNC(=O)c2ncn[nH]2)C2CC2)n1 ZINC001096705202 852427753 /nfs/dbraw/zinc/42/77/53/852427753.db2.gz KSPQQIIWNMDJDT-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cncc(N[C@@H](CNC(=O)c2nc[nH]n2)C2CC2)n1 ZINC001096705202 852427759 /nfs/dbraw/zinc/42/77/59/852427759.db2.gz KSPQQIIWNMDJDT-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN COCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001096971075 852473440 /nfs/dbraw/zinc/47/34/40/852473440.db2.gz ASBZUGIUPREYSS-BNOWGMLFSA-N 0 1 288.351 0.868 20 30 CCEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2[C@@H]1CCS(=O)(=O)C1 ZINC001254341460 852532954 /nfs/dbraw/zinc/53/29/54/852532954.db2.gz NOHMETZYJGIVHR-WISYIIOYSA-N 0 1 254.355 0.940 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H]1CCCN1C)Nc1nccnc1C#N ZINC001097729401 852593010 /nfs/dbraw/zinc/59/30/10/852593010.db2.gz JOLYRBQSLHITOQ-JQWIXIFHSA-N 0 1 288.355 0.359 20 30 CCEDMN Cc1cc(CC(=O)NC[C@@H](C)Nc2nccnc2C#N)[nH]n1 ZINC001097738720 852596745 /nfs/dbraw/zinc/59/67/45/852596745.db2.gz OBPMGGZNWIKKKQ-SNVBAGLBSA-N 0 1 299.338 0.539 20 30 CCEDMN C[C@H]1CCCN1CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001097847352 852631727 /nfs/dbraw/zinc/63/17/27/852631727.db2.gz YXBPAYGSLDFWBC-DGAVXFQQSA-N 0 1 276.384 0.716 20 30 CCEDMN C[C@@H](CNC(=O)CN1CCCC1)Nc1cncc(C#N)n1 ZINC001097862475 852633231 /nfs/dbraw/zinc/63/32/31/852633231.db2.gz BZMBOFIMACQURE-NSHDSACASA-N 0 1 288.355 0.361 20 30 CCEDMN CN1CC(C(=O)N[C@H]2CCCN(CC#N)CC2)=NC1=O ZINC001052680141 852640868 /nfs/dbraw/zinc/64/08/68/852640868.db2.gz GGNWCPFSVPZBPX-JTQLQIEISA-N 0 1 277.328 0.234 20 30 CCEDMN C#CCN1CC2(C1)C[C@H](NC(=O)c1[nH]nc(C)c1C)CO2 ZINC001053760922 852810720 /nfs/dbraw/zinc/81/07/20/852810720.db2.gz PCUMOGGZTHJXPE-LBPRGKRZSA-N 0 1 288.351 0.233 20 30 CCEDMN CCc1cc(C(=O)N2C[C@H](NCC#N)[C@@H](C)C2)n[nH]1 ZINC001054407079 852945498 /nfs/dbraw/zinc/94/54/98/852945498.db2.gz ABNIMDBJYAZLND-CABZTGNLSA-N 0 1 261.329 0.546 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(N)=O)C[C@@H]1C ZINC001054502663 852960529 /nfs/dbraw/zinc/96/05/29/852960529.db2.gz XCLPLBIITJBABA-IONNQARKSA-N 0 1 259.737 0.051 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cn(C)nn2)C[C@H]1C ZINC001054638691 852986285 /nfs/dbraw/zinc/98/62/85/852986285.db2.gz XDPZUSZIYKRMEZ-SCZZXKLOSA-N 0 1 283.763 0.618 20 30 CCEDMN CC[C@H](CC#N)NCCOCCOCCOCCO ZINC001255156366 853125590 /nfs/dbraw/zinc/12/55/90/853125590.db2.gz NLAUXZYWPHEZBD-CYBMUJFWSA-N 0 1 274.361 0.310 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](N(C)c2ncnc3[nH]cnc32)C1 ZINC001056872237 853239038 /nfs/dbraw/zinc/23/90/38/853239038.db2.gz XZJJDWOUIUFTGS-UWVGGRQHSA-N 0 1 299.338 0.550 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CO[C@@H]3CCOC3)[C@@H]2C1 ZINC001050047412 853303803 /nfs/dbraw/zinc/30/38/03/853303803.db2.gz YXFZPVXAPKHKSF-RRFJBIMHSA-N 0 1 292.379 0.348 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@]3(F)CCOC3)[C@@H]2C1 ZINC001050123401 853319269 /nfs/dbraw/zinc/31/92/69/853319269.db2.gz CSOOXLQLPODYSA-GUTXKFCHSA-N 0 1 280.343 0.671 20 30 CCEDMN CC#CC[N@@H+]1C[C@@H]2CCCN(C(=O)Cc3nnc[n-]3)[C@@H]2C1 ZINC001050181224 853330677 /nfs/dbraw/zinc/33/06/77/853330677.db2.gz GNVVIEFJFZJKCJ-QWHCGFSZSA-N 0 1 287.367 0.293 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CCCN(C(=O)Cc3nnc[n-]3)[C@@H]2C1 ZINC001050181224 853330681 /nfs/dbraw/zinc/33/06/81/853330681.db2.gz GNVVIEFJFZJKCJ-QWHCGFSZSA-N 0 1 287.367 0.293 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(C(N)=O)CC1 ZINC001265815712 853351064 /nfs/dbraw/zinc/35/10/64/853351064.db2.gz FVGHANRHKVIZKR-QMMMGPOBSA-N 0 1 259.737 0.099 20 30 CCEDMN C=C(Cl)CN1CCC2(CN(C(=O)C(N)=O)C2)CC1 ZINC001050642143 853406086 /nfs/dbraw/zinc/40/60/86/853406086.db2.gz ZVRRWECNYGJOSS-UHFFFAOYSA-N 0 1 271.748 0.149 20 30 CCEDMN C=CCN1CCOC[C@@H]1CNC(=O)[C@H]1CCCN1C ZINC001050838089 853453675 /nfs/dbraw/zinc/45/36/75/853453675.db2.gz IOWXNNRHTJPQJJ-QWHCGFSZSA-N 0 1 267.373 0.084 20 30 CCEDMN C=C(C)CN1CCOC[C@@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001050983726 853500930 /nfs/dbraw/zinc/50/09/30/853500930.db2.gz VKAFXYKINPUXDK-GJZGRUSLSA-N 0 1 295.427 0.864 20 30 CCEDMN C#CCN1CCOC[C@H]1CNC(=O)c1ccn2cncc2c1 ZINC001051003906 853504798 /nfs/dbraw/zinc/50/47/98/853504798.db2.gz SGASKHRHLZWXRU-OAHLLOKOSA-N 0 1 298.346 0.398 20 30 CCEDMN C#CCN1CCOC[C@@H]1CNC(=O)c1cccc2nc[nH]c21 ZINC001051183320 853542752 /nfs/dbraw/zinc/54/27/52/853542752.db2.gz JDJVASXESTUNOF-LBPRGKRZSA-N 0 1 298.346 0.627 20 30 CCEDMN Cc1oncc1CNC[C@@H]1CN(C(=O)[C@@H](C)C#N)CCO1 ZINC001051494304 853596488 /nfs/dbraw/zinc/59/64/88/853596488.db2.gz HGHYVYQRTAPCNR-GXFFZTMASA-N 0 1 292.339 0.460 20 30 CCEDMN C[C@@H]1C[C@H](Nc2ccnc(C#N)n2)CN1C(=O)c1ccn[nH]1 ZINC001069018552 853939074 /nfs/dbraw/zinc/93/90/74/853939074.db2.gz PHTBIRAULJHLKT-ZJUUUORDSA-N 0 1 297.322 0.208 20 30 CCEDMN C[C@H]1C[C@H](Nc2ccnc(C#N)n2)CN1C(=O)c1ccn[nH]1 ZINC001069018548 853939817 /nfs/dbraw/zinc/93/98/17/853939817.db2.gz PHTBIRAULJHLKT-UWVGGRQHSA-N 0 1 297.322 0.208 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)C2CC2)C1 ZINC001070388320 854053280 /nfs/dbraw/zinc/05/32/80/854053280.db2.gz DBUYDAQLVFBZRI-GFCCVEGCSA-N 0 1 272.352 0.858 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001070460227 854061378 /nfs/dbraw/zinc/06/13/78/854061378.db2.gz FEICIPKWFVLGFI-GFCCVEGCSA-N 0 1 288.351 0.790 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@H]1C ZINC001071326099 854157640 /nfs/dbraw/zinc/15/76/40/854157640.db2.gz BUTJXIGNJCHGQH-MNOVXSKESA-N 0 1 276.340 0.951 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)CC[C@@H]1C ZINC001071589263 854243799 /nfs/dbraw/zinc/24/37/99/854243799.db2.gz RVCDHOJQCZFAIS-GXSJLCMTSA-N 0 1 276.340 0.640 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2cn(CC)nn2)CC[C@@H]1C ZINC001071697606 854267618 /nfs/dbraw/zinc/26/76/18/854267618.db2.gz BYOLVLXKKYIWMO-STQMWFEESA-N 0 1 291.399 0.996 20 30 CCEDMN C=CCC[N@@H+]1C[C@H](NC(=O)c2nnn(C)n2)CC[C@H]1C ZINC001071748455 854279925 /nfs/dbraw/zinc/27/99/25/854279925.db2.gz OIBWUTRABOPILB-GHMZBOCLSA-N 0 1 278.360 0.369 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cnoc2)CC[C@@H]1C ZINC001071759375 854283205 /nfs/dbraw/zinc/28/32/05/854283205.db2.gz GYNGRMPZEATKDA-AAEUAGOBSA-N 0 1 261.325 0.819 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnon2)CC[C@H]1C ZINC001071914716 854320055 /nfs/dbraw/zinc/32/00/55/854320055.db2.gz RYMLCTRMWYASSR-MNOVXSKESA-N 0 1 262.313 0.676 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)CC[C@@H]1C ZINC001071940916 854322650 /nfs/dbraw/zinc/32/26/50/854322650.db2.gz AQDNQHFXGWKBSK-JQWIXIFHSA-N 0 1 290.367 0.882 20 30 CCEDMN C[C@H]1CC[C@H](NC(=O)CN2CCCC2)CN1CC#N ZINC001072082350 854346522 /nfs/dbraw/zinc/34/65/22/854346522.db2.gz YOXPUMAJHVDNTL-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C1)CCN(Cc1ccnn1C)C2 ZINC001072551831 854414062 /nfs/dbraw/zinc/41/40/62/854414062.db2.gz JRDRKWRAYCJKNG-GFCCVEGCSA-N 0 1 287.367 0.614 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001072721515 854452611 /nfs/dbraw/zinc/45/26/11/854452611.db2.gz VAROSAKVBCXEAV-ZDUSSCGKSA-N 0 1 289.379 0.198 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CC[C@H](OC)C3)C2)C1 ZINC001072868021 854483740 /nfs/dbraw/zinc/48/37/40/854483740.db2.gz XJAVYUPHHNQQSD-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cncc(C#C)c3)C2)C1 ZINC001072968474 854503392 /nfs/dbraw/zinc/50/33/92/854503392.db2.gz NBSLPSHPJNSVNI-UHFFFAOYSA-N 0 1 279.343 0.844 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(C)nn3CC)C2)C1 ZINC001073007383 854514126 /nfs/dbraw/zinc/51/41/26/854514126.db2.gz FOPQSTLHSCOOHQ-UHFFFAOYSA-N 0 1 286.379 0.993 20 30 CCEDMN C=C(C)[C@H](CC(=O)N[C@H](C)C1CN(CCO)C1)OCC ZINC001276388450 854565172 /nfs/dbraw/zinc/56/51/72/854565172.db2.gz HQSPQZYQPXMORU-OCCSQVGLSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cc(C)no2)C1 ZINC001073528176 854579785 /nfs/dbraw/zinc/57/97/85/854579785.db2.gz FKDKLAGQYKWHQD-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H]2CCCCO2)C1 ZINC001073569945 854599445 /nfs/dbraw/zinc/59/94/45/854599445.db2.gz HODNKECSXJSJSR-UONOGXRCSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cc(C)ncn2)C1 ZINC001073575770 854601775 /nfs/dbraw/zinc/60/17/75/854601775.db2.gz OLOYYBYLJOGJJC-CYBMUJFWSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2nccc(C)n2)C1 ZINC001073582079 854602624 /nfs/dbraw/zinc/60/26/24/854602624.db2.gz BRYOJHXPBQMQTA-ZDUSSCGKSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnn(C)c2N)C1 ZINC001073581206 854602704 /nfs/dbraw/zinc/60/27/04/854602704.db2.gz WMDACCOJGIESMU-NSHDSACASA-N 0 1 293.371 0.009 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001073736306 854638215 /nfs/dbraw/zinc/63/82/15/854638215.db2.gz PAFVEMNNQYUHIY-CHWSQXEVSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2ccnnc2)C1 ZINC001073791824 854646224 /nfs/dbraw/zinc/64/62/24/854646224.db2.gz RJXRLIYTLAFFQF-CQSZACIVSA-N 0 1 288.351 0.321 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccc(=O)[nH]c2)C1 ZINC001073878583 854656881 /nfs/dbraw/zinc/65/68/81/854656881.db2.gz PEIKOUSKEYUGBE-ZDUSSCGKSA-N 0 1 291.351 0.794 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCNC2=O)[C@H]1C ZINC001074790757 854784085 /nfs/dbraw/zinc/78/40/85/854784085.db2.gz NTSWFDDCLMDKJI-AXFHLTTASA-N 0 1 285.775 0.454 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnn(C)n2)C1 ZINC001099078592 854911774 /nfs/dbraw/zinc/91/17/74/854911774.db2.gz NJWUZZQEJGJDSY-WFASDCNBSA-N 0 1 287.367 0.423 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cccnn2)C[C@H]1C(F)(F)F ZINC001099326784 854928320 /nfs/dbraw/zinc/92/83/20/854928320.db2.gz SAPODHBBNZJRNW-MWLCHTKSSA-N 0 1 298.268 0.702 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(CC)CC)[C@@H](O)C1 ZINC001099695413 854971070 /nfs/dbraw/zinc/97/10/70/854971070.db2.gz HOZFTTYIFRNOKL-KBPBESRZSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCCCC)[C@H](O)C1 ZINC001099754572 854985257 /nfs/dbraw/zinc/98/52/57/854985257.db2.gz HKCDZOUUNAVVCG-CHWSQXEVSA-N 0 1 270.373 0.541 20 30 CCEDMN C[C@H](CCNC(=O)c1cnn[nH]1)Nc1ccc(C#N)nn1 ZINC001099776980 854992236 /nfs/dbraw/zinc/99/22/36/854992236.db2.gz AZZTZZTWGGOLRV-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN CCOCCN1CC[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001099820475 855001908 /nfs/dbraw/zinc/00/19/08/855001908.db2.gz GCTXUZGLFLOMIC-KGLIPLIRSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOCC(F)F)[C@H](O)C1 ZINC001099838375 855007903 /nfs/dbraw/zinc/00/79/03/855007903.db2.gz MRFKMHQUYDGRQN-WDEREUQCSA-N 0 1 292.326 0.396 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccn(C)n2)[C@@H](O)C1 ZINC001099855744 855011516 /nfs/dbraw/zinc/01/15/16/855011516.db2.gz MLYVNISAAKTUMJ-KBPBESRZSA-N 0 1 292.383 0.090 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C(C)(C)C=C)[C@@H](O)C1 ZINC001099944286 855041258 /nfs/dbraw/zinc/04/12/58/855041258.db2.gz LLYLNBPFGVCCTN-NEPJUHHUSA-N 0 1 252.358 0.936 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(CCO)C[C@@H]1O ZINC001099942003 855041466 /nfs/dbraw/zinc/04/14/66/855041466.db2.gz KYPBHUKVDWYKSJ-NEPJUHHUSA-N 0 1 270.373 0.132 20 30 CCEDMN CN(CCNC(=O)CCc1nc[nH]n1)c1ncccc1C#N ZINC001100017621 855074669 /nfs/dbraw/zinc/07/46/69/855074669.db2.gz AZFHAQUNLROSDV-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CC)CCOCC2)[C@H](O)C1 ZINC001100056107 855086416 /nfs/dbraw/zinc/08/64/16/855086416.db2.gz OUIQABFYZBYPAA-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CCN(CC#CC)C[C@@H]1O ZINC001100138903 855106450 /nfs/dbraw/zinc/10/64/50/855106450.db2.gz MJMLXWAPOOJDFF-KGLIPLIRSA-N 0 1 280.368 0.154 20 30 CCEDMN CCn1ncnc1CNC1CC(CNC(=O)[C@@H](C)C#N)C1 ZINC001100191758 855116206 /nfs/dbraw/zinc/11/62/06/855116206.db2.gz WJUSKTKSNDUMIG-UNXYVOJBSA-N 0 1 290.371 0.442 20 30 CCEDMN CCN(CCNC(=O)c1ncn[nH]1)c1ccc(C#N)c(C)n1 ZINC001100584965 855193334 /nfs/dbraw/zinc/19/33/34/855193334.db2.gz SBBRUKTWNSWAAW-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN CCN(CCNC(=O)c1nc[nH]n1)c1ccc(C#N)c(C)n1 ZINC001100584965 855193338 /nfs/dbraw/zinc/19/33/38/855193338.db2.gz SBBRUKTWNSWAAW-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN CCN(CCNC(=O)Cc1cnc[nH]1)c1cnc(C#N)cn1 ZINC001100734502 855215732 /nfs/dbraw/zinc/21/57/32/855215732.db2.gz GQOIMUXVYOWMAR-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CC1CCN(CC(=O)NCC2CC(NCC#N)C2)CC1 ZINC001100785700 855223759 /nfs/dbraw/zinc/22/37/59/855223759.db2.gz XNUXATAGRCHXGQ-UHFFFAOYSA-N 0 1 278.400 0.726 20 30 CCEDMN C=CCOCCCC(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001101852663 855378924 /nfs/dbraw/zinc/37/89/24/855378924.db2.gz GNQFRMJVOOLADL-CHWSQXEVSA-N 0 1 297.399 0.139 20 30 CCEDMN CN(CCNc1ccc(C#N)nc1)C(=O)Cc1c[nH]cn1 ZINC001101975676 855402455 /nfs/dbraw/zinc/40/24/55/855402455.db2.gz NBIYLVDCLVKNLD-UHFFFAOYSA-N 0 1 284.323 0.789 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)[C@H]2C[C@@H]2C)[C@H](C)C1 ZINC001102227231 855419839 /nfs/dbraw/zinc/41/98/39/855419839.db2.gz CBBPHIHMRCKPQY-DGAVXFQQSA-N 0 1 291.395 0.076 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H]2CN(CC(N)=O)C[C@H]2C)C1 ZINC001102348229 855430093 /nfs/dbraw/zinc/43/00/93/855430093.db2.gz WHRUZWRPOHGLEX-VXGBXAGGSA-N 0 1 279.384 0.512 20 30 CCEDMN CC[C@H](CNC(=O)Cc1cnc[nH]1)Nc1cncc(C#N)n1 ZINC001103335096 855512863 /nfs/dbraw/zinc/51/28/63/855512863.db2.gz UTEBGFFJPZEDNH-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)CO[C@H]2CCOC2)C1 ZINC001111745965 855592253 /nfs/dbraw/zinc/59/22/53/855592253.db2.gz CSNAFYYTOWOEAH-DZKIICNBSA-N 0 1 292.379 0.396 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001111877876 855602148 /nfs/dbraw/zinc/60/21/48/855602148.db2.gz HJANVQFBNSLMBM-DZKIICNBSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)C(=O)NCC2CC2)C1 ZINC001111919350 855605135 /nfs/dbraw/zinc/60/51/35/855605135.db2.gz VJLSNOXQGUXGRC-BBRMVZONSA-N 0 1 289.379 0.117 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)Oc1ccccn1 ZINC001115135601 855644645 /nfs/dbraw/zinc/64/46/45/855644645.db2.gz DDYKZSFRPGUYAJ-CXTNEJHOSA-N 0 1 285.347 0.529 20 30 CCEDMN N#CCNC1(CNC(=O)c2nc[nH]n2)CCCCC1 ZINC001115778832 855696522 /nfs/dbraw/zinc/69/65/22/855696522.db2.gz UOYGXTPCCNLJOV-UHFFFAOYSA-N 0 1 262.317 0.351 20 30 CCEDMN N#CCNC1(CNC(=O)c2ncn[nH]2)CCCCC1 ZINC001115778832 855696525 /nfs/dbraw/zinc/69/65/25/855696525.db2.gz UOYGXTPCCNLJOV-UHFFFAOYSA-N 0 1 262.317 0.351 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CSc2nncs2)CC1 ZINC001116017021 855711760 /nfs/dbraw/zinc/71/17/60/855711760.db2.gz OTVNREDJXLBABD-UHFFFAOYSA-N 0 1 297.409 0.734 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)COCC)C1 ZINC001149074263 855728912 /nfs/dbraw/zinc/72/89/12/855728912.db2.gz INLODGYCXHJWBX-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)COCC)C1 ZINC001149074263 855728915 /nfs/dbraw/zinc/72/89/15/855728915.db2.gz INLODGYCXHJWBX-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC001116705240 855815955 /nfs/dbraw/zinc/81/59/55/855815955.db2.gz AIGIPUDTUMEIMI-CHWSQXEVSA-N 0 1 299.415 0.674 20 30 CCEDMN Cc1cnn(C)c1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001117127337 855919423 /nfs/dbraw/zinc/91/94/23/855919423.db2.gz GQGMBWNUUCRFBV-UHFFFAOYSA-N 0 1 264.314 0.160 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC001117808226 856082287 /nfs/dbraw/zinc/08/22/87/856082287.db2.gz BGFACAJEOUPKTM-FRRDWIJNSA-N 0 1 285.388 0.284 20 30 CCEDMN CN(C[C@H]1CCC[N@H+]1C)C(=O)C(=O)N1CC[C@@](F)(C#N)C1 ZINC001118063853 856151040 /nfs/dbraw/zinc/15/10/40/856151040.db2.gz RTCHVPMPQNLFJR-BXUZGUMPSA-N 0 1 296.346 0.003 20 30 CCEDMN Cc1cc(CNC[C@@H](O)CN(C)CCC#N)ncn1 ZINC001118149384 856176369 /nfs/dbraw/zinc/17/63/69/856176369.db2.gz FJJRZXDTUXNFHO-CYBMUJFWSA-N 0 1 263.345 0.081 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001118261653 856229099 /nfs/dbraw/zinc/22/90/99/856229099.db2.gz ALPHCTLBUUDVDD-NSHDSACASA-N 0 1 280.328 0.816 20 30 CCEDMN C=CC[C@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)C(=O)OCC ZINC001118694818 856396875 /nfs/dbraw/zinc/39/68/75/856396875.db2.gz XGLKYIPHFHHREL-KOLCDFICSA-N 0 1 292.339 0.849 20 30 CCEDMN C=CC[C@H](NC(=O)C1=NC(=O)N(C)C1)C(=O)OCC ZINC001118754991 856425634 /nfs/dbraw/zinc/42/56/34/856425634.db2.gz QEVOHSPBNDLXDM-QMMMGPOBSA-N 0 1 267.285 0.363 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3)C1 ZINC001118901870 856483461 /nfs/dbraw/zinc/48/34/61/856483461.db2.gz DWQVSDWXGQMWIW-MELADBBJSA-N 0 1 291.395 0.764 20 30 CCEDMN CCC#C[C@H](C)N1C[C@@H](C(=O)OC)[C@H](C(=O)OC)C1 ZINC001120255983 857019563 /nfs/dbraw/zinc/01/95/63/857019563.db2.gz GJUHVKVWJXTBPS-QJPTWQEYSA-N 0 1 267.325 0.682 20 30 CCEDMN C=C(C)CCN1CC[C@H]1CNC(=O)C(=O)NCC1CC1 ZINC001323325777 912267045 /nfs/dbraw/zinc/26/70/45/912267045.db2.gz WGHKHSRBQWCBID-ZDUSSCGKSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCc1cn(C)nn1 ZINC001323372211 912300647 /nfs/dbraw/zinc/30/06/47/912300647.db2.gz SKZXDNGQHNZMIT-CYBMUJFWSA-N 0 1 277.372 0.514 20 30 CCEDMN Cc1nn(C)cc1CCC(=O)NC1(C#N)CCN(C)CC1 ZINC001323376792 912305779 /nfs/dbraw/zinc/30/57/79/912305779.db2.gz SIYZGEGDGVSNEB-UHFFFAOYSA-N 0 1 289.383 0.765 20 30 CCEDMN C=CCC1(C(=O)NCC2(NCC(N)=O)CC2)CCC1 ZINC001323541157 912402939 /nfs/dbraw/zinc/40/29/39/912402939.db2.gz RHVGSGSBGSBHIY-UHFFFAOYSA-N 0 1 265.357 0.457 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@H](C)C(C)C)CC1 ZINC001323556138 912413155 /nfs/dbraw/zinc/41/31/55/912413155.db2.gz UFFMIXFHCLBHFG-CHWSQXEVSA-N 0 1 293.411 0.655 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)C[C@H](C)NC(N)=O)C1 ZINC001323921537 912583928 /nfs/dbraw/zinc/58/39/28/912583928.db2.gz PYCFXLWYVDXCLJ-NSHDSACASA-N 0 1 282.388 0.542 20 30 CCEDMN CN(C)c1ncccc1CN=Nc1ccc(C(N)=O)nn1 ZINC001324051138 912646029 /nfs/dbraw/zinc/64/60/29/912646029.db2.gz OCWSCTCYRHQEMJ-UHFFFAOYSA-N 0 1 285.311 0.483 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)C[C@H](C)OC)CCO1 ZINC001393581820 912659919 /nfs/dbraw/zinc/65/99/19/912659919.db2.gz OIKKPLYNJKLTCK-RYUDHWBXSA-N 0 1 290.791 0.981 20 30 CCEDMN C[C@H](C(N)=O)N(C)CCCN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001393614340 912680832 /nfs/dbraw/zinc/68/08/32/912680832.db2.gz MNZOJPCIUAFXGZ-SNVBAGLBSA-N 0 1 291.355 0.154 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@](C)(NC(C)=O)C1 ZINC001324572658 912916186 /nfs/dbraw/zinc/91/61/86/912916186.db2.gz SGZYJTABRVFXFA-HNNXBMFYSA-N 0 1 279.384 0.788 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)/C=C\c2ccco2)C1 ZINC001325052861 913173761 /nfs/dbraw/zinc/17/37/61/913173761.db2.gz BHKBSRKSAAGQNH-WLMCBFPDSA-N 0 1 288.347 0.869 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@@H]2CC2(C)C)C1 ZINC001325088066 913203606 /nfs/dbraw/zinc/20/36/06/913203606.db2.gz YRZFPGSUQXVLLY-SWLSCSKDSA-N 0 1 264.369 0.609 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C2(COCC)CC2)C1 ZINC001325109877 913220464 /nfs/dbraw/zinc/22/04/64/913220464.db2.gz ILNQXNVMGVIPMP-INIZCTEOSA-N 0 1 294.395 0.379 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001325121914 913227540 /nfs/dbraw/zinc/22/75/40/913227540.db2.gz ARBKUBUMARVPGC-WMZOPIPTSA-N 0 1 298.386 0.903 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@](C)(C=C)CC)C1 ZINC001325126144 913234155 /nfs/dbraw/zinc/23/41/55/913234155.db2.gz MASBUHNOIMPPKR-LSDHHAIUSA-N 0 1 264.369 0.775 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H](OC)C2CCCC2)C1 ZINC001325153441 913246445 /nfs/dbraw/zinc/24/64/45/913246445.db2.gz JUYRCDWLVHQWCX-GDBMZVCRSA-N 0 1 294.395 0.378 20 30 CCEDMN Cn1nnc2cc(C(=O)NC3(C#N)CCN(C)CC3)cnc21 ZINC001325316689 913340755 /nfs/dbraw/zinc/34/07/55/913340755.db2.gz MCVJZKLMESKJTF-UHFFFAOYSA-N 0 1 299.338 0.081 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H](C)OCC ZINC001394725127 913386860 /nfs/dbraw/zinc/38/68/60/913386860.db2.gz FDJTUAZGDDLXHH-WDEREUQCSA-N 0 1 278.780 0.573 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C1(COC)CCCC1 ZINC001266280871 891415469 /nfs/dbraw/zinc/41/54/69/891415469.db2.gz WZNBHIIWVSRHCW-UHFFFAOYSA-N 0 1 296.411 0.891 20 30 CCEDMN CCN1CC[C@H](N(C)[C@H](C)CNC(=O)C#CC2CC2)C1=O ZINC001282384400 891417633 /nfs/dbraw/zinc/41/76/33/891417633.db2.gz KOQBBNLIUGLAIJ-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN COCC1(C(=O)NCCN2CCC(NCC#N)CC2)CC1 ZINC001325795253 913577749 /nfs/dbraw/zinc/57/77/49/913577749.db2.gz ZPBWJFYTNXBUDQ-UHFFFAOYSA-N 0 1 294.399 0.107 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001480893366 891699094 /nfs/dbraw/zinc/69/90/94/891699094.db2.gz YTAWJOAXKLZEGT-RWMBFGLXSA-N 0 1 250.342 0.483 20 30 CCEDMN CCCN(CCNC(=O)C#CC1CC1)[C@H]1CCNC1=O ZINC001493289198 891809085 /nfs/dbraw/zinc/80/90/85/891809085.db2.gz NXLVJPOZSSBZQY-ZDUSSCGKSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CCn1ccnc1 ZINC001480991589 892027670 /nfs/dbraw/zinc/02/76/70/892027670.db2.gz ZAMRBQAOVZJNPS-UHFFFAOYSA-N 0 1 262.357 0.735 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)COCc1nccn1C ZINC001481045566 892111906 /nfs/dbraw/zinc/11/19/06/892111906.db2.gz NJTACUIATOCGRX-CYBMUJFWSA-N 0 1 290.367 0.151 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)[C@H](C)CC)C1 ZINC001481077056 892134907 /nfs/dbraw/zinc/13/49/07/892134907.db2.gz OKZHUYDTQUYQGF-CHWSQXEVSA-N 0 1 281.400 0.773 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001007660421 892194639 /nfs/dbraw/zinc/19/46/39/892194639.db2.gz FQKXTTXQIRIDLJ-CQSZACIVSA-N 0 1 287.363 0.603 20 30 CCEDMN C=C(C)CN(CCC)CCNC(=O)CS(C)(=O)=O ZINC001481131887 892208077 /nfs/dbraw/zinc/20/80/77/892208077.db2.gz VGJFWJRPJXZQDR-UHFFFAOYSA-N 0 1 276.402 0.435 20 30 CCEDMN CC#CC[N@@H+](CCC)CCNC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001481145060 892227576 /nfs/dbraw/zinc/22/75/76/892227576.db2.gz YVJXKHRCNYYFKR-INIZCTEOSA-N 0 1 293.411 0.754 20 30 CCEDMN C#CCN(CCNC(=O)c1ccn(CCOC)n1)C1CC1 ZINC001481177126 892273392 /nfs/dbraw/zinc/27/33/92/892273392.db2.gz BVALHTKIBXWUPF-UHFFFAOYSA-N 0 1 290.367 0.357 20 30 CCEDMN C=CCCCC(=O)NC[C@H](CO)N[C@H]1CCCN(C)C1=O ZINC001283795050 892417337 /nfs/dbraw/zinc/41/73/37/892417337.db2.gz CDVGZGXAIUKAGJ-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN CC#CCN(CCNC(=O)[C@@H]1CCNC(=O)C1)C1CC1 ZINC001493362014 892422890 /nfs/dbraw/zinc/42/28/90/892422890.db2.gz SZPFNWLTIUUQCM-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CN(C)CC(=O)NC2CC2)C1 ZINC001481480767 892727642 /nfs/dbraw/zinc/72/76/42/892727642.db2.gz YIZJAGMIJZSNCU-VXGBXAGGSA-N 0 1 292.383 0.205 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H](OCC)[C@H]2CCOC2)C1 ZINC001481637055 892945555 /nfs/dbraw/zinc/94/55/55/892945555.db2.gz UDAUNKKOROUHKX-UONOGXRCSA-N 0 1 282.384 0.662 20 30 CCEDMN C#CCCCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@@H](O)COC ZINC001481670981 892990169 /nfs/dbraw/zinc/99/01/69/892990169.db2.gz FCGPRYJNJTUCMT-MELADBBJSA-N 0 1 280.368 0.082 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001481688981 893016213 /nfs/dbraw/zinc/01/62/13/893016213.db2.gz IJAWCBFZWMAGMN-AWEZNQCLSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CCCC(=O)NC)C1 ZINC001481723880 893099302 /nfs/dbraw/zinc/09/93/02/893099302.db2.gz ZTMIPCGXRQUOCX-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCc2nccn2C)C1 ZINC001481736711 893105738 /nfs/dbraw/zinc/10/57/38/893105738.db2.gz UUOKLHFQITXSIZ-CYBMUJFWSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@H]2CCOC2)C1 ZINC001481761417 893124636 /nfs/dbraw/zinc/12/46/36/893124636.db2.gz KUJBTHDUBQLSLO-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2nn[nH]c2C(N)=O)cc1Cl ZINC001361822765 893431567 /nfs/dbraw/zinc/43/15/67/893431567.db2.gz LMMVCDYKWRXOIH-UHFFFAOYSA-N 0 1 290.670 0.681 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C(C)(C)C1CC1 ZINC001284510212 893593331 /nfs/dbraw/zinc/59/33/31/893593331.db2.gz VOSXHCZRMKHBHG-ZDUSSCGKSA-N 0 1 266.385 0.807 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2C[C@@H]2C(=O)OC)C1 ZINC001499643757 893622992 /nfs/dbraw/zinc/62/29/92/893622992.db2.gz XAFCJJGDHZQQJI-OLZOCXBDSA-N 0 1 280.368 0.904 20 30 CCEDMN C#CC[C@H](NC(=O)c1ccc2[nH]nnc2c1)C(=O)OC ZINC001299178118 894083128 /nfs/dbraw/zinc/08/31/28/894083128.db2.gz CWZFAMXRYXVJHM-JTQLQIEISA-N 0 1 272.264 0.253 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@]1(C)CCC(=O)NC1 ZINC001482621339 894421008 /nfs/dbraw/zinc/42/10/08/894421008.db2.gz MXMCLKQXIPZJAE-HNNXBMFYSA-N 0 1 279.384 0.316 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cc2ncccn2n1 ZINC001482637460 894437338 /nfs/dbraw/zinc/43/73/38/894437338.db2.gz UOUQLBXXBSCWRC-UHFFFAOYSA-N 0 1 285.351 0.756 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](CCC)NC(N)=O ZINC001482645265 894442156 /nfs/dbraw/zinc/44/21/56/894442156.db2.gz NEYKGQQBCSNIAW-GFCCVEGCSA-N 0 1 282.388 0.237 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H]2CN(C(=O)C3CC3)CC[C@@H]21 ZINC001482654933 894455043 /nfs/dbraw/zinc/45/50/43/894455043.db2.gz FYPQQVUZCHAGRP-KBPBESRZSA-N 0 1 289.379 0.069 20 30 CCEDMN Cc1nn(C)c(Cl)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC001326307350 913879707 /nfs/dbraw/zinc/87/97/07/913879707.db2.gz YLCFKGHKLXSRBO-SFYZADRCSA-N 0 1 267.720 0.223 20 30 CCEDMN C=CCCCC(=O)NC[C@@H](C)N(C)[C@@H]1CCNC1=O ZINC001505991618 894707895 /nfs/dbraw/zinc/70/78/95/894707895.db2.gz WWIVRMRTGKCHGH-VXGBXAGGSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)C1CCCC1 ZINC001482974788 894744232 /nfs/dbraw/zinc/74/42/32/894744232.db2.gz JDZAQABTUHDWOC-AATRIKPKSA-N 0 1 279.384 0.741 20 30 CCEDMN N#CCNC(=O)CNC/C=C/CNC(=O)C1CCCC1 ZINC001482976700 894746936 /nfs/dbraw/zinc/74/69/36/894746936.db2.gz STUXBZGFPKSEFD-ONEGZZNKSA-N 0 1 278.356 0.078 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)[C@H](C)CC ZINC001482982466 894756262 /nfs/dbraw/zinc/75/62/62/894756262.db2.gz YLWHXRUIYPCETH-NNNHXZLVSA-N 0 1 265.357 0.044 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)C1(C)CCCC1 ZINC001483040594 894824793 /nfs/dbraw/zinc/82/47/93/894824793.db2.gz GMGGVPWQPWCSPB-SREVYHEPSA-N 0 1 291.395 0.578 20 30 CCEDMN C[C@H](CNCC#N)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001483129014 894979191 /nfs/dbraw/zinc/97/91/91/894979191.db2.gz OLFPHVFTUVGIEE-ZJUUUORDSA-N 0 1 261.329 0.447 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H](C)CNCc1cc(C)no1 ZINC001483226618 895141363 /nfs/dbraw/zinc/14/13/63/895141363.db2.gz CCHLMNPECAYPID-RYUDHWBXSA-N 0 1 279.340 0.616 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1ccnc(F)c1 ZINC001483317211 895442979 /nfs/dbraw/zinc/44/29/79/895442979.db2.gz IGCHEWYNUSPLDT-GFCCVEGCSA-N 0 1 293.342 0.921 20 30 CCEDMN CCCC[C@H](C(N)=O)N(C)C[C@@H](C)NC(=O)C#CC1CC1 ZINC001483336364 895474550 /nfs/dbraw/zinc/47/45/50/895474550.db2.gz MJNSDNLZIHKEOP-TZMCWYRMSA-N 0 1 293.411 0.880 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)Cc1[nH]nc2c1CCCC2 ZINC001483339867 895478823 /nfs/dbraw/zinc/47/88/23/895478823.db2.gz UUJXPAWYDFPYFC-GFCCVEGCSA-N 0 1 288.395 0.901 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCOC[C@@H]1CCCO1 ZINC001483355779 895494982 /nfs/dbraw/zinc/49/49/82/895494982.db2.gz HDQUGYMAGKBABQ-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccnc2n[nH]nc21 ZINC001483364388 895501725 /nfs/dbraw/zinc/50/17/25/895501725.db2.gz ICVRZVXYIVTDIG-JTQLQIEISA-N 0 1 286.339 0.426 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)CCCNCc1cnn(C)n1 ZINC001483462869 895587108 /nfs/dbraw/zinc/58/71/08/895587108.db2.gz MMJJKRRHUHZJPE-CQSZACIVSA-N 0 1 295.387 0.080 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@@H](F)CC ZINC001507497642 895620371 /nfs/dbraw/zinc/62/03/71/895620371.db2.gz OUOMORXBXQKKJC-ZJUUUORDSA-N 0 1 266.744 0.896 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@H]1CCN1C[C@@H](O)COC ZINC001483652208 895802752 /nfs/dbraw/zinc/80/27/52/895802752.db2.gz BXNZRGKPDAIMBX-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)Cn1nccc1C ZINC001483683462 895849902 /nfs/dbraw/zinc/84/99/02/895849902.db2.gz IXPYRIHDGJPCBN-AWEZNQCLSA-N 0 1 292.383 0.585 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H]2CCC(=O)N2)C1 ZINC001483856991 896080737 /nfs/dbraw/zinc/08/07/37/896080737.db2.gz LYFWDDVUWCHVEI-DGCLKSJQSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)CN(C)C(C)=O)CC1 ZINC001483961296 896135547 /nfs/dbraw/zinc/13/55/47/896135547.db2.gz HVYJZFUKSFDTTL-UHFFFAOYSA-N 0 1 265.357 0.069 20 30 CCEDMN C[C@]1(CNCC#N)CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001484097698 896194012 /nfs/dbraw/zinc/19/40/12/896194012.db2.gz DWORJEFGIWROMU-LALPHHSUSA-N 0 1 287.367 0.865 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)/C=C(\C)CC)C1 ZINC001484217660 896243157 /nfs/dbraw/zinc/24/31/57/896243157.db2.gz DXCRJYTXMRQKRV-VOMSXAGXSA-N 0 1 264.369 0.919 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccnc(F)c2)C1 ZINC001484208624 896245354 /nfs/dbraw/zinc/24/53/54/896245354.db2.gz LUQVDFDSQIKLMX-CQSZACIVSA-N 0 1 277.299 0.021 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)CC2(COC)CC2)C1 ZINC001484216118 896247185 /nfs/dbraw/zinc/24/71/85/896247185.db2.gz JHBPLKVZBIQIOD-MRXNPFEDSA-N 0 1 294.395 0.379 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001484234354 896268245 /nfs/dbraw/zinc/26/82/45/896268245.db2.gz UCZPFOQMSLUOKW-ZYHUDNBSSA-N 0 1 292.301 0.761 20 30 CCEDMN Cc1nnc([C@H](C)N[C@H]2C[C@H](CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001397112934 914050120 /nfs/dbraw/zinc/05/01/20/914050120.db2.gz SLGVFBRBHUGXOR-RBLKWDMZSA-N 0 1 290.371 0.818 20 30 CCEDMN CC[S@](=O)CCNCc1cnc2c(C#N)cnn2c1 ZINC001326615057 914050972 /nfs/dbraw/zinc/05/09/72/914050972.db2.gz TYQNGGVHXRMQHC-IBGZPJMESA-N 0 1 277.353 0.459 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N1CC[C@@H]([C@H](C)NCC#N)C1 ZINC001484359431 896353224 /nfs/dbraw/zinc/35/32/24/896353224.db2.gz WDUCHGLBHKZAMF-QWHCGFSZSA-N 0 1 266.389 0.677 20 30 CCEDMN C#CCCCCC(=O)N[C@@]1(CO)CCCN(CCOC)C1 ZINC001484434725 896406207 /nfs/dbraw/zinc/40/62/07/896406207.db2.gz RHBWOCQPHCCLCR-INIZCTEOSA-N 0 1 296.411 0.770 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)c2cnc(C3CC3)[nH]2)C1 ZINC001326662329 914083318 /nfs/dbraw/zinc/08/33/18/914083318.db2.gz SCLNNCIMFWZMHV-ZDUSSCGKSA-N 0 1 260.297 0.778 20 30 CCEDMN C=C(Cl)CN[C@]1(CO)CCCN(C(=O)c2ccon2)C1 ZINC001484839098 896621028 /nfs/dbraw/zinc/62/10/28/896621028.db2.gz YZYVZSSLEIZBTA-CYBMUJFWSA-N 0 1 299.758 0.984 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1CCN(CC#C)CC1(C)C ZINC001484934920 896671130 /nfs/dbraw/zinc/67/11/30/896671130.db2.gz NEPPTSFMWILGPO-KGLIPLIRSA-N 0 1 276.380 0.875 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CC1OCCCO1 ZINC001485052670 896735950 /nfs/dbraw/zinc/73/59/50/896735950.db2.gz GTKGNNBDEIFXOA-ZDUSSCGKSA-N 0 1 280.368 0.696 20 30 CCEDMN COCC#CCN(C)C[C@H]1CCCN1C(=O)[C@H](C)COC ZINC001485050540 896737069 /nfs/dbraw/zinc/73/70/69/896737069.db2.gz NYYWFNCBTDQHFP-HUUCEWRRSA-N 0 1 296.411 0.842 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCN1C(=O)[C@@H]1CCCCN1C ZINC001485065529 896743905 /nfs/dbraw/zinc/74/39/05/896743905.db2.gz LUSIJRKFODJODH-KBPBESRZSA-N 0 1 278.400 0.917 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cccn(C)c1=O ZINC001485077145 896756260 /nfs/dbraw/zinc/75/62/60/896756260.db2.gz NHGUVWPJLVJCSK-ZDUSSCGKSA-N 0 1 287.363 0.555 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCS(C)(=O)=O ZINC001485163303 896830378 /nfs/dbraw/zinc/83/03/78/896830378.db2.gz OUQDKQAYCYRWDM-UWVGGRQHSA-N 0 1 296.820 0.656 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCS(C)(=O)=O ZINC001485163304 896832008 /nfs/dbraw/zinc/83/20/08/896832008.db2.gz OUQDKQAYCYRWDM-VHSXEESVSA-N 0 1 296.820 0.656 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C(=O)NCC1CC1 ZINC001485208597 896866346 /nfs/dbraw/zinc/86/63/46/896866346.db2.gz GSXDSUKVVRPUBY-ZJUUUORDSA-N 0 1 287.791 0.748 20 30 CCEDMN C=CC[N@H+](C)[C@H](C)CNC(=O)c1cnc2[nH]c(=O)[nH]c2c1 ZINC001485323721 896962255 /nfs/dbraw/zinc/96/22/55/896962255.db2.gz VOEOEBRHSFBQEG-SECBINFHSA-N 0 1 289.339 0.900 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cc(Cl)n(C)n1 ZINC001485326193 896964089 /nfs/dbraw/zinc/96/40/89/896964089.db2.gz DRIKTHVZHSCKSY-SECBINFHSA-N 0 1 268.748 0.757 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccnc2c1nnn2C ZINC001485343540 896970302 /nfs/dbraw/zinc/97/03/02/896970302.db2.gz GJMPDTZCRUKZMQ-SNVBAGLBSA-N 0 1 286.339 0.047 20 30 CCEDMN C[C@H](NC(=O)CC#Cc1ccccc1)C1CN(CCO)C1 ZINC001485538765 897106742 /nfs/dbraw/zinc/10/67/42/897106742.db2.gz FIBCAMLTDRSYGF-AWEZNQCLSA-N 0 1 286.375 0.857 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@H](C)C1CN(CCO)C1 ZINC001485534233 897113636 /nfs/dbraw/zinc/11/36/36/897113636.db2.gz XTIHARLZYFQBOK-CYBMUJFWSA-N 0 1 286.375 0.639 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H]1[C@H]2CN(CC(=O)NC)C[C@H]21 ZINC001485613200 897148798 /nfs/dbraw/zinc/14/87/98/897148798.db2.gz XZXQJMLWOIXMMT-ZSOGYDGISA-N 0 1 291.395 0.220 20 30 CCEDMN C=CCC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2cc[nH]n2)C1 ZINC001107835279 897331464 /nfs/dbraw/zinc/33/14/64/897331464.db2.gz HTVXMCYLCIXFHM-HNNXBMFYSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)Cc2cc[nH]n2)C1 ZINC001107835279 897331477 /nfs/dbraw/zinc/33/14/77/897331477.db2.gz HTVXMCYLCIXFHM-HNNXBMFYSA-N 0 1 292.383 0.735 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccncc2Cl)C1 ZINC001077727083 897350591 /nfs/dbraw/zinc/35/05/91/897350591.db2.gz JVWGSJDWXLWIEZ-CHWSQXEVSA-N 0 1 293.754 0.533 20 30 CCEDMN COC[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)C#CC1CC1 ZINC001032392947 897422169 /nfs/dbraw/zinc/42/21/69/897422169.db2.gz YLRBRNBTKZUOOX-XBFCOCLRSA-N 0 1 262.353 0.720 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cn1nccn1 ZINC001032424755 897542917 /nfs/dbraw/zinc/54/29/17/897542917.db2.gz RDSCOKDROAUYLO-RYUDHWBXSA-N 0 1 261.329 0.139 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCNC1=O ZINC001032458439 897591358 /nfs/dbraw/zinc/59/13/58/897591358.db2.gz GUPMPRPQWUMEAN-RWMBFGLXSA-N 0 1 277.368 0.374 20 30 CCEDMN C=CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001032455986 897594476 /nfs/dbraw/zinc/59/44/76/897594476.db2.gz HDDVSHQGBNYDKR-WUHRBBMRSA-N 0 1 272.352 0.984 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CN(CCC)C[C@H]2O)nn1 ZINC001077814223 897729876 /nfs/dbraw/zinc/72/98/76/897729876.db2.gz YYMUWAOSMHTJQG-DGCLKSJQSA-N 0 1 293.371 0.039 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCO[C@H]2C(=C)C)C1 ZINC001077821718 897860379 /nfs/dbraw/zinc/86/03/79/897860379.db2.gz CMRDWHAINHVZPO-YJNKXOJESA-N 0 1 294.395 0.705 20 30 CCEDMN C=CC[N@@H+](CC(=O)[O-])C[C@@H](O)COC[C@H]1CCCO1 ZINC001326818357 914201762 /nfs/dbraw/zinc/20/17/62/914201762.db2.gz KNQMCRZLFZICJO-VXGBXAGGSA-N 0 1 273.329 0.116 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccn3ccnc23)C1 ZINC001077830058 897879757 /nfs/dbraw/zinc/87/97/57/897879757.db2.gz HPJNWBHXUDKPTE-ZIAGYGMSSA-N 0 1 298.346 0.133 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cnc[nH]1 ZINC001032652161 897898097 /nfs/dbraw/zinc/89/80/97/897898097.db2.gz MKALCITYDOAQII-STQMWFEESA-N 0 1 260.341 0.813 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cnc(C)nc2C)C1 ZINC001077858091 897993527 /nfs/dbraw/zinc/99/35/27/897993527.db2.gz RTGYAFMNYFJNBN-ZIAGYGMSSA-N 0 1 290.367 0.444 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c2c(nn1C)CCC2 ZINC001032728794 898026646 /nfs/dbraw/zinc/02/66/46/898026646.db2.gz MJLGVXYOZOVYOW-STQMWFEESA-N 0 1 298.390 0.831 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3C[C@H](C)O)cn1 ZINC001032739933 898044313 /nfs/dbraw/zinc/04/43/13/898044313.db2.gz QONCYDXNQYRNNM-CQDKDKBSSA-N 0 1 285.347 0.342 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@@]1(C)CN(CC#N)CCO1 ZINC001108030361 898232455 /nfs/dbraw/zinc/23/24/55/898232455.db2.gz FCHRKFOTNPBHJO-ZFWWWQNUSA-N 0 1 294.399 0.201 20 30 CCEDMN C=CCN1CCO[C@@](C)(CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001108031038 898245995 /nfs/dbraw/zinc/24/59/95/898245995.db2.gz WRFWKDLGXJBXCQ-HOCLYGCPSA-N 0 1 295.427 0.864 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)c1ccc(C)s1 ZINC001485968945 898540836 /nfs/dbraw/zinc/54/08/36/898540836.db2.gz JKBZIYSEMBVOFJ-LBPRGKRZSA-N 0 1 296.392 0.387 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CC[C@H](C)CC ZINC001486046703 898612377 /nfs/dbraw/zinc/61/23/77/898612377.db2.gz WOFZESGQQJFXHN-CHWSQXEVSA-N 0 1 254.374 0.903 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)CO[C@@H]3CCOC3)CCC[C@H]12 ZINC001486143579 898683549 /nfs/dbraw/zinc/68/35/49/898683549.db2.gz CQGLAKDCRYUSID-IJEWVQPXSA-N 0 1 292.379 0.538 20 30 CCEDMN C=CCNC(=O)CN1CC[C@]2(NC(C)=O)CCC[C@H]12 ZINC001486139076 898685004 /nfs/dbraw/zinc/68/50/04/898685004.db2.gz XFFCAJXUPWRHIJ-GXTWGEPZSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CC2(O)CCC2)[C@H]1C ZINC001486172963 898696346 /nfs/dbraw/zinc/69/63/46/898696346.db2.gz BFUVHGDZVCXOMB-OLZOCXBDSA-N 0 1 264.369 0.894 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)/C=C\c1ccco1 ZINC001486323436 898778070 /nfs/dbraw/zinc/77/80/70/898778070.db2.gz KGABHPYFZRPJLY-MEJMFZKBSA-N 0 1 276.336 0.677 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1(C)CCOCC1 ZINC001486353201 898809967 /nfs/dbraw/zinc/80/99/67/898809967.db2.gz AVQJZLCWWNDKNL-ZDUSSCGKSA-N 0 1 282.384 0.187 20 30 CCEDMN CCC(C)(C)C(=O)N(C)C[C@@H](O)CN(C)CC#CCOC ZINC001486346352 898826607 /nfs/dbraw/zinc/82/66/07/898826607.db2.gz CUYHIWLZTDYIHR-AWEZNQCLSA-N 0 1 298.427 0.824 20 30 CCEDMN CC#CCN(C)CCN(C(=O)[C@H]1COCCO1)C(C)C ZINC001486363006 898830992 /nfs/dbraw/zinc/83/09/92/898830992.db2.gz MYTFATQDTXWCFK-CQSZACIVSA-N 0 1 282.384 0.594 20 30 CCEDMN COCC#CCN(C)CCN(C(=O)c1ncc[nH]1)C(C)C ZINC001486368074 898836572 /nfs/dbraw/zinc/83/65/72/898836572.db2.gz JDMSSLBENJUBOK-UHFFFAOYSA-N 0 1 292.383 0.842 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H]1CN(C(=O)c2ncc[nH]2)CCO1 ZINC001410162578 899250751 /nfs/dbraw/zinc/25/07/51/899250751.db2.gz USNPAVXPABMVTQ-WDEREUQCSA-N 0 1 298.774 0.981 20 30 CCEDMN Cc1cc(CN[C@H](CO)CNC(=O)[C@@H](C)C#N)sn1 ZINC001410597703 899489876 /nfs/dbraw/zinc/48/98/76/899489876.db2.gz WGYDAGWCUWIDFI-WPRPVWTQSA-N 0 1 282.369 0.178 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)c1ccnc(C#N)c1 ZINC001191559431 900020043 /nfs/dbraw/zinc/02/00/43/900020043.db2.gz KNXRKVDODBFKSG-UHFFFAOYSA-N 0 1 299.290 0.783 20 30 CCEDMN CC(C)=CCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001191873082 900022619 /nfs/dbraw/zinc/02/26/19/900022619.db2.gz SFGWQXJXPFINRJ-IJLUTSLNSA-N 0 1 251.330 0.274 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)[C@H]2CCCO2)C1 ZINC001325817099 900024846 /nfs/dbraw/zinc/02/48/46/900024846.db2.gz FTMSQHGOIDWNIY-HIFRSBDPSA-N 0 1 280.368 0.132 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCN(C)C(C)=O)C1 ZINC001193756309 900040857 /nfs/dbraw/zinc/04/08/57/900040857.db2.gz OTAZQYCMESAANI-AWEZNQCLSA-N 0 1 279.384 0.411 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)N1CCCN(CCOCCO)CC1 ZINC001196830589 900057451 /nfs/dbraw/zinc/05/74/51/900057451.db2.gz LMNRLKHNSLLKIE-HUUCEWRRSA-N 0 1 298.427 0.988 20 30 CCEDMN N#CC1(CC(=O)OCc2nnc[nH]2)CCOCC1 ZINC001327195168 914414156 /nfs/dbraw/zinc/41/41/56/914414156.db2.gz VVCYIOCWDOCJPJ-UHFFFAOYSA-N 0 1 250.258 0.558 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001495046436 900365960 /nfs/dbraw/zinc/36/59/60/900365960.db2.gz ZJRGIQWANNVNQV-SECBINFHSA-N 0 1 277.328 0.396 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001495046436 900365966 /nfs/dbraw/zinc/36/59/66/900365966.db2.gz ZJRGIQWANNVNQV-SECBINFHSA-N 0 1 277.328 0.396 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C[C@@](C)(O)C=C)C1 ZINC001489158052 900446008 /nfs/dbraw/zinc/44/60/08/900446008.db2.gz QIJUTTAPNYIJED-HOCLYGCPSA-N 0 1 294.395 0.232 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](CC(=C)C)NC(C)=O)[C@H]1C ZINC001489293358 900459815 /nfs/dbraw/zinc/45/98/15/900459815.db2.gz KONBDCFELHUMPC-SNPRPXQTSA-N 0 1 291.395 0.670 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1ncn[nH]1 ZINC001489684718 900500515 /nfs/dbraw/zinc/50/05/15/900500515.db2.gz HIMRFZVHHFCTGG-GHMZBOCLSA-N 0 1 291.355 0.928 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1nc[nH]n1 ZINC001489684718 900500525 /nfs/dbraw/zinc/50/05/25/900500525.db2.gz HIMRFZVHHFCTGG-GHMZBOCLSA-N 0 1 291.355 0.928 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC001490529528 900647934 /nfs/dbraw/zinc/64/79/34/900647934.db2.gz HTBCBRSMLQTBGS-JQWIXIFHSA-N 0 1 293.371 0.567 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001490537179 900652703 /nfs/dbraw/zinc/65/27/03/900652703.db2.gz HZQLNCUOXFJVJO-CYBMUJFWSA-N 0 1 289.383 0.997 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)c1noc2c1COCC2 ZINC001327292233 914465150 /nfs/dbraw/zinc/46/51/50/914465150.db2.gz ZPVZFHRKZMAXCK-UHFFFAOYSA-N 0 1 291.351 0.774 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)C2CC3(CC3)C2)CC1 ZINC001328542281 915264598 /nfs/dbraw/zinc/26/45/98/915264598.db2.gz MKKHFBIGRISOAU-UHFFFAOYSA-N 0 1 276.380 0.753 20 30 CCEDMN N#Cc1ccncc1C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC001412056685 901430240 /nfs/dbraw/zinc/43/02/40/901430240.db2.gz JLYAMIJBCAMTSX-JTQLQIEISA-N 0 1 283.295 0.486 20 30 CCEDMN C[C@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)S(C)(=O)=O ZINC001412247430 901593976 /nfs/dbraw/zinc/59/39/76/901593976.db2.gz YUHJQWQECCJRCA-SSDOTTSWSA-N 0 1 292.320 0.806 20 30 CCEDMN N#CCc1ccc(C(=O)N2CCOC[C@@H]2c2nn[nH]n2)cc1 ZINC001412435187 901719034 /nfs/dbraw/zinc/71/90/34/901719034.db2.gz ZCAAYWPHBGHDRJ-GFCCVEGCSA-N 0 1 298.306 0.479 20 30 CCEDMN COc1cc(CNC(=O)c2cnncc2O)ccc1C#N ZINC001412928556 902368030 /nfs/dbraw/zinc/36/80/30/902368030.db2.gz HSVWSYAJDJRNKE-UHFFFAOYSA-N 0 1 284.275 0.992 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1C[C@@H](O)c1ccc(C#N)cc1 ZINC001412994120 902447089 /nfs/dbraw/zinc/44/70/89/902447089.db2.gz JMHOBRJEYTXBNN-CZUORRHYSA-N 0 1 289.379 0.590 20 30 CCEDMN Cc1cc(C(=O)N2CCC(c3nn[nH]n3)CC2)ncc1C#N ZINC001413425320 902942079 /nfs/dbraw/zinc/94/20/79/902942079.db2.gz IJHWEVWDESJKQU-UHFFFAOYSA-N 0 1 297.322 0.795 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC[C@H](Cc3nn[nH]n3)C2)co1 ZINC001413563024 903054768 /nfs/dbraw/zinc/05/47/68/903054768.db2.gz RXMZQHAFKLPTEO-SECBINFHSA-N 0 1 286.295 0.759 20 30 CCEDMN CN1CCN(CCNC(=O)Nc2ccc(C#N)cn2)CC1 ZINC001413613020 903118194 /nfs/dbraw/zinc/11/81/94/903118194.db2.gz ZYXMUTORWGFXET-UHFFFAOYSA-N 0 1 288.355 0.322 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCCN([C@@H]2CCNC2=O)C1 ZINC001491103387 903365166 /nfs/dbraw/zinc/36/51/66/903365166.db2.gz SYTDMQBCYDGTCB-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCNC(=O)C#CC(C)(C)C)c1C ZINC001491193278 903431405 /nfs/dbraw/zinc/43/14/05/903431405.db2.gz ZLTNIQWGWHPDOF-UHFFFAOYSA-N 0 1 290.367 0.922 20 30 CCEDMN C=C(C)CCC(=O)NCCNC(=O)[C@H]1CCCN1C ZINC001491342984 903500386 /nfs/dbraw/zinc/50/03/86/903500386.db2.gz MNPAAFKQANNDRI-GFCCVEGCSA-N 0 1 267.373 0.669 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001150435534 903759768 /nfs/dbraw/zinc/75/97/68/903759768.db2.gz KCIHOTMJNHTUTG-QWHCGFSZSA-N 0 1 295.383 0.048 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2cn(C)cn2)CC1 ZINC001281799277 903980437 /nfs/dbraw/zinc/98/04/37/903980437.db2.gz CZPJPYHQSMZKBL-UHFFFAOYSA-N 0 1 290.367 0.000 20 30 CCEDMN CN(C)C(=O)CCCN1CCN(CCC#N)CC1 ZINC001331773864 904015810 /nfs/dbraw/zinc/01/58/10/904015810.db2.gz CBYJJCOVIRTOAV-UHFFFAOYSA-N 0 1 252.362 0.386 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CN(C)C(=O)c1[nH]nnc1C ZINC001281032412 904203499 /nfs/dbraw/zinc/20/34/99/904203499.db2.gz NUHYLNTWRZTANW-JTQLQIEISA-N 0 1 291.355 0.493 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@](CO)(NCC(N)=O)C1 ZINC001281145036 904232488 /nfs/dbraw/zinc/23/24/88/904232488.db2.gz HAXMMLBJBQFGOU-HNNXBMFYSA-N 0 1 297.399 0.017 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN(CC(=O)N(C)C)[C@@H](C)C1 ZINC001281657677 904323785 /nfs/dbraw/zinc/32/37/85/904323785.db2.gz DWJVXUXGZMUUCU-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CNC(=O)CC ZINC001281928332 904372368 /nfs/dbraw/zinc/37/23/68/904372368.db2.gz KEDLFXQZBYUJTH-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C[C@@H](NCc1cnnn1C)[C@H](C)NC(=O)C#CC(C)(C)C ZINC001282129308 904421234 /nfs/dbraw/zinc/42/12/34/904421234.db2.gz QWNHPIQPRAIGAA-NEPJUHHUSA-N 0 1 291.399 0.847 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnc(OC)nc1 ZINC001282478195 904485074 /nfs/dbraw/zinc/48/50/74/904485074.db2.gz NGPSHDHYXCGDKK-SNVBAGLBSA-N 0 1 262.313 0.169 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)Cc2cnn(CC)c2)C1 ZINC001282737723 904532666 /nfs/dbraw/zinc/53/26/66/904532666.db2.gz CLIOZKNATNAOHK-ZDUSSCGKSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCN(C=O)CC2)CC1 ZINC001327866513 914822185 /nfs/dbraw/zinc/82/21/85/914822185.db2.gz MNNVPZIINKVXTH-UHFFFAOYSA-N 0 1 277.368 0.022 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCNC(=O)[C@@H]1CCCN1C ZINC001282948099 904628901 /nfs/dbraw/zinc/62/89/01/904628901.db2.gz JIISBVPRUWLTSZ-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1c(C)cnn1C ZINC001283406318 904839798 /nfs/dbraw/zinc/83/97/98/904839798.db2.gz MAFIRYJXRZLDTQ-UHFFFAOYSA-N 0 1 292.383 0.430 20 30 CCEDMN CCn1ncc(CN[C@@H](C)CCNC(=O)[C@@H](C)C#N)n1 ZINC001377414573 904860804 /nfs/dbraw/zinc/86/08/04/904860804.db2.gz AKEKPFZQSQMQFQ-QWRGUYRKSA-N 0 1 278.360 0.442 20 30 CCEDMN C#CC[NH2+][C@H](C)CN(C)C(=O)c1cccc2nn[n-]c21 ZINC001283619350 904934353 /nfs/dbraw/zinc/93/43/53/904934353.db2.gz ORVHKNINJOSURV-SNVBAGLBSA-N 0 1 271.324 0.641 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](CO)NCc1cccnc1 ZINC001283758184 904997193 /nfs/dbraw/zinc/99/71/93/904997193.db2.gz ZTHMIQQKGVZJBI-CQSZACIVSA-N 0 1 275.352 0.308 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccnn1[C@H](C)CC ZINC001283765430 905002594 /nfs/dbraw/zinc/00/25/94/905002594.db2.gz UPDMOLUPSUSARZ-CHWSQXEVSA-N 0 1 292.383 0.558 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001283796629 905019668 /nfs/dbraw/zinc/01/96/68/905019668.db2.gz JWONTRBKJXWCHN-GXTWGEPZSA-N 0 1 276.311 0.165 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001283796651 905020910 /nfs/dbraw/zinc/02/09/10/905020910.db2.gz JWONTRBKJXWCHN-OCCSQVGLSA-N 0 1 276.311 0.165 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1nc(C)c(C)s1 ZINC001283827893 905047176 /nfs/dbraw/zinc/04/71/76/905047176.db2.gz URAFPCHJDXSUSJ-NSHDSACASA-N 0 1 281.381 0.464 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001284006967 905114144 /nfs/dbraw/zinc/11/41/44/905114144.db2.gz XWKHCJUNGRSVEF-LBPRGKRZSA-N 0 1 276.340 0.636 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CN1CCOCC1 ZINC001377793488 905160569 /nfs/dbraw/zinc/16/05/69/905160569.db2.gz XVTHZXHACBPEKR-NWDGAFQWSA-N 0 1 289.807 0.554 20 30 CCEDMN C[C@@H](CNC(=O)CCc1cnc[nH]1)NC(=O)C#CC1CC1 ZINC001284265035 905225187 /nfs/dbraw/zinc/22/51/87/905225187.db2.gz LFTRIPZPKPFOGS-NSHDSACASA-N 0 1 288.351 0.377 20 30 CCEDMN C#CCNC(=O)CN1CCC([C@H](C)NC(=O)C(C)C)CC1 ZINC001284704964 905421223 /nfs/dbraw/zinc/42/12/23/905421223.db2.gz BSKRWVUOYIDVMP-ZDUSSCGKSA-N 0 1 293.411 0.609 20 30 CCEDMN C=CCCC(=O)NCC1CN(C(=O)c2cc(C)[nH]n2)C1 ZINC001284954092 905509927 /nfs/dbraw/zinc/50/99/27/905509927.db2.gz LFLRINWSFWFJCX-UHFFFAOYSA-N 0 1 276.340 0.873 20 30 CCEDMN Cc1cnc(CNC[C@H](C)CNC(=O)[C@@H](C)C#N)nc1 ZINC001378551294 905677544 /nfs/dbraw/zinc/67/75/44/905677544.db2.gz JIJIHOUQKTYQHN-JQWIXIFHSA-N 0 1 275.356 0.787 20 30 CCEDMN C=CCC1(C(=O)N[C@@H](C)CNC(=O)c2ncn[nH]2)CCC1 ZINC001285775024 905761630 /nfs/dbraw/zinc/76/16/30/905761630.db2.gz DVLXMUWVSJJNKW-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCC1(C(=O)N[C@@H](C)CNC(=O)c2nc[nH]n2)CCC1 ZINC001285775024 905761639 /nfs/dbraw/zinc/76/16/39/905761639.db2.gz DVLXMUWVSJJNKW-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H](CNCc1nccn1C)C1CC1 ZINC001378983375 905910526 /nfs/dbraw/zinc/91/05/26/905910526.db2.gz BIYAOOSHFHBBPO-YPMHNXCESA-N 0 1 289.383 0.906 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCN(C)CCN1CCCC1=O ZINC001379033878 905921423 /nfs/dbraw/zinc/92/14/23/905921423.db2.gz QAYXYTHZSVCBBW-LBPRGKRZSA-N 0 1 280.372 0.159 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1coc(C2CC2)n1 ZINC001379251186 906092467 /nfs/dbraw/zinc/09/24/67/906092467.db2.gz BLNOJTJCQUGFFG-JTQLQIEISA-N 0 1 299.758 0.985 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)NCCCCN1CCOCC1 ZINC001291549239 906170670 /nfs/dbraw/zinc/17/06/70/906170670.db2.gz MYUFJTMCQQXTLZ-UHFFFAOYSA-N 0 1 298.383 0.724 20 30 CCEDMN CSc1n[nH]c(NC(=O)c2ccc(C#N)n2C)n1 ZINC001292381885 906207583 /nfs/dbraw/zinc/20/75/83/906207583.db2.gz JRWMSSFOUHTEMA-UHFFFAOYSA-N 0 1 262.298 0.989 20 30 CCEDMN C#CCCCC(=O)NCCNC(=O)[C@H]1CCCN1C ZINC001292583194 906289305 /nfs/dbraw/zinc/28/93/05/906289305.db2.gz AQEACNHCRWUCRF-GFCCVEGCSA-N 0 1 265.357 0.117 20 30 CCEDMN C#Cc1cnc(N[C@H](C)[C@@H]2CN(C)CCN2C)nc1 ZINC001337266333 921227209 /nfs/dbraw/zinc/22/72/09/921227209.db2.gz BWAUXICEXADZEN-YPMHNXCESA-N 0 1 259.357 0.504 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cccc2[nH]cnc21 ZINC001293395825 906470693 /nfs/dbraw/zinc/47/06/93/906470693.db2.gz FSCSXSZIGDPVEW-SECBINFHSA-N 0 1 256.265 0.927 20 30 CCEDMN Cc1nccnc1CNC[C@H](NC(=O)[C@H](C)C#N)C1CC1 ZINC001379847305 906504516 /nfs/dbraw/zinc/50/45/16/906504516.db2.gz FOFYNDOCWJSNSE-YGRLFVJLSA-N 0 1 287.367 0.929 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CC(NCc2nncn2C)C1 ZINC001380152676 906656644 /nfs/dbraw/zinc/65/66/44/906656644.db2.gz ZEIPQTPRVSPIOA-KPPDAEKUSA-N 0 1 276.344 0.054 20 30 CCEDMN CCNC(=O)CN1CC[C@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001380204837 906670875 /nfs/dbraw/zinc/67/08/75/906670875.db2.gz JLMIKJJZPNVKQJ-WFASDCNBSA-N 0 1 292.383 0.207 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(C)CCN([C@@H]2CCNC2=O)CC1 ZINC001380300918 906722239 /nfs/dbraw/zinc/72/22/39/906722239.db2.gz WEXHLGIHSNXCPT-WDEREUQCSA-N 0 1 278.356 0.005 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)CNC(=O)[C@@H]1CCCN1C ZINC001295450671 906734022 /nfs/dbraw/zinc/73/40/22/906734022.db2.gz VVXGEECKWGWHAX-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001295614921 906771608 /nfs/dbraw/zinc/77/16/08/906771608.db2.gz HDGNQMVFJLFZMF-ZJUUUORDSA-N 0 1 279.344 0.642 20 30 CCEDMN C=CC(C)(C)CC(=O)NC1CN(C(=O)Cc2ncn[nH]2)C1 ZINC001297061046 907014534 /nfs/dbraw/zinc/01/45/34/907014534.db2.gz ZSTXEJUMWQZIFV-UHFFFAOYSA-N 0 1 291.355 0.277 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1ncn[nH]1)NC(=O)C#CC1CC1 ZINC001298003691 907141105 /nfs/dbraw/zinc/14/11/05/907141105.db2.gz NZZCPRCFOACUIQ-NSHDSACASA-N 0 1 289.339 0.089 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1nc[nH]n1)NC(=O)C#CC1CC1 ZINC001298003691 907141116 /nfs/dbraw/zinc/14/11/16/907141116.db2.gz NZZCPRCFOACUIQ-NSHDSACASA-N 0 1 289.339 0.089 20 30 CCEDMN C[C@H](C#N)C(=O)NC/C=C/CNC(=O)[C@@H]1CCCCN1C ZINC001298466977 907248801 /nfs/dbraw/zinc/24/88/01/907248801.db2.gz XLKCLNUGUFGONL-DRHCNIFCSA-N 0 1 292.383 0.419 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@H]1CCCN1C ZINC001298558277 907274396 /nfs/dbraw/zinc/27/43/96/907274396.db2.gz ZKGWHYSBWSDZBF-VXGBXAGGSA-N 0 1 281.400 0.914 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)NC(=O)CN1CCCC1 ZINC001298598507 907286028 /nfs/dbraw/zinc/28/60/28/907286028.db2.gz RWAIEHQUDFNFBJ-DOMZBBRYSA-N 0 1 297.399 0.030 20 30 CCEDMN C#CCCCCCC(=O)NC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001298678026 907299335 /nfs/dbraw/zinc/29/93/35/907299335.db2.gz MKCLTKWQHWNQPO-LLVKDONJSA-N 0 1 291.355 0.623 20 30 CCEDMN C#CCCCCCC(=O)NC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001298678026 907299346 /nfs/dbraw/zinc/29/93/46/907299346.db2.gz MKCLTKWQHWNQPO-LLVKDONJSA-N 0 1 291.355 0.623 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001299060548 907376774 /nfs/dbraw/zinc/37/67/74/907376774.db2.gz NFJJDFJAOOSOHL-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)[C@H]1C ZINC001337941422 921320769 /nfs/dbraw/zinc/32/07/69/921320769.db2.gz YADXOMXNNXUUIR-GHMZBOCLSA-N 0 1 289.339 0.183 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@@H](C)NCC#N ZINC001491681697 907496533 /nfs/dbraw/zinc/49/65/33/907496533.db2.gz GOQCUDNDTPYZGK-NEPJUHHUSA-N 0 1 252.362 0.479 20 30 CCEDMN C#CCCCC(=O)NCCCN(C)C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001492332992 907855174 /nfs/dbraw/zinc/85/51/74/907855174.db2.gz BFPLQFYUABZUGN-VXGBXAGGSA-N 0 1 295.339 0.420 20 30 CCEDMN C#CCN(C)CCNC(=O)c1noc2c1CCCC2 ZINC001492432594 907921696 /nfs/dbraw/zinc/92/16/96/907921696.db2.gz OEECUYSZHFWNET-UHFFFAOYSA-N 0 1 261.325 0.848 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@H](O)C[C@H]1c1n[nH]c(CC)n1 ZINC001305722235 908212682 /nfs/dbraw/zinc/21/26/82/908212682.db2.gz FJNAENLCYKRFTK-BFVZDQMLSA-N 0 1 294.355 0.329 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ncc2n1CCCC2 ZINC001317471613 908314471 /nfs/dbraw/zinc/31/44/71/908314471.db2.gz KYSLZCXQLICNLF-UHFFFAOYSA-N 0 1 274.368 0.904 20 30 CCEDMN C[C@@H](NCCCC1(C#N)CCOCC1)c1nnnn1C ZINC001308135148 908383050 /nfs/dbraw/zinc/38/30/50/908383050.db2.gz MOXKVNBXYOBMHJ-LLVKDONJSA-N 0 1 278.360 0.961 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](NC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001385608366 908464905 /nfs/dbraw/zinc/46/49/05/908464905.db2.gz BBSUOPIXBUEKEP-WCBMZHEXSA-N 0 1 275.312 0.209 20 30 CCEDMN C#CCNCC(=O)NCC[C@H]1CNc2ccccc21 ZINC001340889381 908559014 /nfs/dbraw/zinc/55/90/14/908559014.db2.gz YPMNIMJRTYOZFL-LBPRGKRZSA-N 0 1 257.337 0.925 20 30 CCEDMN CO[C@@H]1C[C@@H](NC(=O)NCC#CCN(C)C)C1(C)C ZINC001312171688 908588745 /nfs/dbraw/zinc/58/87/45/908588745.db2.gz AAXCDHCLZNDWSA-VXGBXAGGSA-N 0 1 267.373 0.664 20 30 CCEDMN CC(=O)N1CC(C(=O)Nc2nc3ccc(C#N)cc3[nH]2)C1 ZINC001417570180 921423674 /nfs/dbraw/zinc/42/36/74/921423674.db2.gz SNUGXMMGQWCWRK-UHFFFAOYSA-N 0 1 283.291 0.851 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)C(C)(C)C)C1 ZINC001317532826 908605570 /nfs/dbraw/zinc/60/55/70/908605570.db2.gz OXGPWFZGXBFEBH-GFCCVEGCSA-N 0 1 279.384 0.220 20 30 CCEDMN COC(=O)[C@@H]1CCN(C(=O)NCC#CCN(C)C)C[C@@H]1C ZINC001313439026 908667979 /nfs/dbraw/zinc/66/79/79/908667979.db2.gz DGIASWQLSFNCSR-QWHCGFSZSA-N 0 1 295.383 0.392 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001313444116 908670777 /nfs/dbraw/zinc/67/07/77/908670777.db2.gz PWSDAKBYIRIXCI-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnn(C)c1 ZINC001314464997 908700433 /nfs/dbraw/zinc/70/04/33/908700433.db2.gz ZFJGNHSZQOROPY-CABCVRRESA-N 0 1 286.379 0.661 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(=O)[C@@H](C)C#N)C1=O ZINC001316815123 908782043 /nfs/dbraw/zinc/78/20/43/908782043.db2.gz FYOULUMMVVYBCZ-STQMWFEESA-N 0 1 292.383 0.371 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)/C(C)=C/C ZINC001316820012 908786739 /nfs/dbraw/zinc/78/67/39/908786739.db2.gz ZEOPJZMBZRJTON-WUXMJOGZSA-N 0 1 267.373 0.693 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)[C@H](C)NC(C)=O)C1 ZINC001316936963 908852172 /nfs/dbraw/zinc/85/21/72/908852172.db2.gz BNLJZCPIJXEIEU-GXTWGEPZSA-N 0 1 297.399 0.294 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H](NCC(=C)Cl)C1 ZINC001317058133 908947825 /nfs/dbraw/zinc/94/78/25/908947825.db2.gz SSSFMDZDEAFOME-NEPJUHHUSA-N 0 1 270.760 0.968 20 30 CCEDMN C[C@@H](CNC(=O)[C@H]1C[C@H]1C(N)=O)NCC#Cc1ccccc1 ZINC001317262655 909096380 /nfs/dbraw/zinc/09/63/80/909096380.db2.gz DSZYEIZBCWKQLB-CFVMTHIKSA-N 0 1 299.374 0.254 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@H]2CNC(=O)[C@H](C)C#N)[nH]1 ZINC001387394933 909123854 /nfs/dbraw/zinc/12/38/54/909123854.db2.gz ZVGDEUOBVFBAMQ-KOLCDFICSA-N 0 1 289.339 0.599 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1c(F)cncc1F ZINC001317447087 909215962 /nfs/dbraw/zinc/21/59/62/909215962.db2.gz RZXMSHIULNHFFI-UHFFFAOYSA-N 0 1 297.305 0.671 20 30 CCEDMN CCO[C@@H](C(=O)NCCN(C)CC#CCOC)C(C)C ZINC001317448046 909221459 /nfs/dbraw/zinc/22/14/59/909221459.db2.gz MLQNNJQTKNUVDU-CQSZACIVSA-N 0 1 284.400 0.745 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)Cn1cc(C2CC2)nn1 ZINC001317501359 909272881 /nfs/dbraw/zinc/27/28/81/909272881.db2.gz HQSFZFVWLRUWCO-ZDUSSCGKSA-N 0 1 287.367 0.369 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)/C(C)=C/C)C1 ZINC001317525806 909292005 /nfs/dbraw/zinc/29/20/05/909292005.db2.gz ZHYMWEMNXOUNTQ-DCOFTTSXSA-N 0 1 291.395 0.529 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN([C@H](C)C(N)=O)C1 ZINC001317521387 909294051 /nfs/dbraw/zinc/29/40/51/909294051.db2.gz GDKQNTOCFZVHFQ-MNOVXSKESA-N 0 1 253.346 0.265 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN([C@H](C)C(N)=O)C1 ZINC001317530401 909296365 /nfs/dbraw/zinc/29/63/65/909296365.db2.gz WXVFQACGELCOQL-NEPJUHHUSA-N 0 1 281.400 0.901 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@@]1(C)CCOC1)C1CC1 ZINC001317557722 909329877 /nfs/dbraw/zinc/32/98/77/909329877.db2.gz ISCAQDQCRNBTIO-INIZCTEOSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)[C@@H](COC)OC)C1 ZINC001317945150 909551465 /nfs/dbraw/zinc/55/14/65/909551465.db2.gz YSLJALYISOAWFB-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN COCCOCCN1CCC[C@H](NC(=O)C#CC2CC2)C1 ZINC001317969447 909554561 /nfs/dbraw/zinc/55/45/61/909554561.db2.gz YGAXTRXEDRAQJK-HNNXBMFYSA-N 0 1 294.395 0.643 20 30 CCEDMN CC(C)OCCN1CC(CNC(=O)[C@@H](C)C#N)C1 ZINC001318110626 909625322 /nfs/dbraw/zinc/62/53/22/909625322.db2.gz UWHLPNBXJMZODF-NSHDSACASA-N 0 1 253.346 0.619 20 30 CCEDMN C=CCN1CC(CNC(=O)Cc2cn(C)nc2C)C1 ZINC001318128166 909634862 /nfs/dbraw/zinc/63/48/62/909634862.db2.gz JEDNBADIWKKKSQ-UHFFFAOYSA-N 0 1 262.357 0.505 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001318162273 909650650 /nfs/dbraw/zinc/65/06/50/909650650.db2.gz BENCZXUVFUMXIL-VXGBXAGGSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)COC ZINC001318191231 909657055 /nfs/dbraw/zinc/65/70/55/909657055.db2.gz YYFYFTQPFBEQMZ-AGIUHOORSA-N 0 1 250.342 0.577 20 30 CCEDMN Cc1nc(CN2CC[C@@H](NC(=O)CSCC#N)C2)n[nH]1 ZINC001318312418 909701396 /nfs/dbraw/zinc/70/13/96/909701396.db2.gz WNMVKYLUIBUPKK-SNVBAGLBSA-N 0 1 294.384 0.060 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H](C)NC(C)=O)C1 ZINC001318452823 909764996 /nfs/dbraw/zinc/76/49/96/909764996.db2.gz IEIAWXMCINQBSK-GXTWGEPZSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCOCCC(=O)N(CC)[C@@H]1CCN(CC#C)C1 ZINC001318469548 909769053 /nfs/dbraw/zinc/76/90/53/909769053.db2.gz FMVMEOSXZTVWAC-CQSZACIVSA-N 0 1 262.353 0.582 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CCNC1=O ZINC001318553626 909811424 /nfs/dbraw/zinc/81/14/24/909811424.db2.gz BBFZCYSVLQNVMU-UWVGGRQHSA-N 0 1 273.764 0.359 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@H]([C@H](C)NCC#N)C1 ZINC001319820059 910310621 /nfs/dbraw/zinc/31/06/21/910310621.db2.gz VLUFLFNLUPVCMO-ONGXEEELSA-N 0 1 276.344 0.467 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H]1CCCC(=O)N1 ZINC001390445147 910422263 /nfs/dbraw/zinc/42/22/63/910422263.db2.gz WQHPUYWZOZWECC-QWRGUYRKSA-N 0 1 287.791 0.892 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)CCn1ccnc1 ZINC001320029240 910422873 /nfs/dbraw/zinc/42/28/73/910422873.db2.gz UYAOYSWZNYWLER-UHFFFAOYSA-N 0 1 292.383 0.313 20 30 CCEDMN C=CCO[C@H]1CCN([C@H](C)C(=O)NC(=O)NC(C)C)C1 ZINC001320037824 910428446 /nfs/dbraw/zinc/42/84/46/910428446.db2.gz JFLONHVGGXMNJA-NEPJUHHUSA-N 0 1 283.372 0.886 20 30 CCEDMN C=CCO[C@H]1CCN(CC(=O)N2CCOCC2)C1 ZINC001320039987 910429002 /nfs/dbraw/zinc/42/90/02/910429002.db2.gz SYSHXMWCQGDMKJ-LBPRGKRZSA-N 0 1 254.330 0.122 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H]1CCC(=O)N1C ZINC001390456283 910430848 /nfs/dbraw/zinc/43/08/48/910430848.db2.gz XORLPFIGFVWSGX-NXEZZACHSA-N 0 1 273.764 0.454 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(COC)on1 ZINC001320057704 910437646 /nfs/dbraw/zinc/43/76/46/910437646.db2.gz HVSUKUBUKKKQCM-UHFFFAOYSA-N 0 1 265.313 0.458 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](O)CNCc1cnc(C)cn1 ZINC001320226268 910535196 /nfs/dbraw/zinc/53/51/96/910535196.db2.gz CABRVWMZLWNGRI-CQSZACIVSA-N 0 1 292.383 0.708 20 30 CCEDMN C=C[C@H](COC)NC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC001320305790 910596019 /nfs/dbraw/zinc/59/60/19/910596019.db2.gz GGMFLMKWNLGALY-KGLIPLIRSA-N 0 1 299.415 0.843 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cc(C)on1 ZINC001320946218 910950103 /nfs/dbraw/zinc/95/01/03/910950103.db2.gz CEKYCBZYHOUAJN-UHFFFAOYSA-N 0 1 284.297 0.440 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)[C@H]1CC1(C)C ZINC001321031576 911027767 /nfs/dbraw/zinc/02/77/67/911027767.db2.gz NFVILUCMUXIVRV-BTDICHCPSA-N 0 1 279.384 0.597 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)c2nnn(C)n2)C1 ZINC001391310206 911034650 /nfs/dbraw/zinc/03/46/50/911034650.db2.gz FVBPJBHFQMODKT-JTQLQIEISA-N 0 1 298.778 0.404 20 30 CCEDMN N#Cc1cccc(CN2C[C@H](CO)[C@@H](CCO)C2)n1 ZINC001474753738 911092416 /nfs/dbraw/zinc/09/24/16/911092416.db2.gz BTAAOJQTTDURIN-NWDGAFQWSA-N 0 1 261.325 0.376 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C/CNCC(=O)N(C)C ZINC001321187178 911147084 /nfs/dbraw/zinc/14/70/84/911147084.db2.gz RZOZEDURPWUHEE-BQYQJAHWSA-N 0 1 267.373 0.549 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCC=CC[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001321229911 911177260 /nfs/dbraw/zinc/17/72/60/911177260.db2.gz APAXRKISCDYUJP-LMVHVUTASA-N 0 1 293.371 0.875 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1nccc(C)n1 ZINC001321738262 911469859 /nfs/dbraw/zinc/46/98/59/911469859.db2.gz YSPMNQDGTSDZAO-UHFFFAOYSA-N 0 1 295.324 0.242 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCS(C)(=O)=O ZINC001392079409 911566398 /nfs/dbraw/zinc/56/63/98/911566398.db2.gz RYAYIGSPTDPXDI-SNVBAGLBSA-N 0 1 296.820 0.610 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)Cc1cc(C)on1 ZINC001322129485 911673007 /nfs/dbraw/zinc/67/30/07/911673007.db2.gz IFGMIIHVMBIERD-LBPRGKRZSA-N 0 1 293.367 0.612 20 30 CCEDMN CCO[C@@H](C(=O)N[C@H](C)CN(C)CC#CCOC)C1CC1 ZINC001322161866 911689453 /nfs/dbraw/zinc/68/94/53/911689453.db2.gz WPPRGQKAQFLVPL-UKRRQHHQSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cc(OCC)n[nH]1 ZINC001322184244 911699835 /nfs/dbraw/zinc/69/98/35/911699835.db2.gz ZFPSPNNCLCZNHN-JTQLQIEISA-N 0 1 264.329 0.492 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1[nH]c(=O)[nH]c1C ZINC001322184079 911699897 /nfs/dbraw/zinc/69/98/97/911699897.db2.gz XQYDCDVBWUCKSD-QMMMGPOBSA-N 0 1 250.302 0.107 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnc2[nH]c(=O)[nH]c2c1 ZINC001322193626 911700927 /nfs/dbraw/zinc/70/09/27/911700927.db2.gz MDKSXBFZSRELPI-SECBINFHSA-N 0 1 287.323 0.347 20 30 CCEDMN C[C@@H](CN(C)CC#N)NC(=O)c1ccn(-c2ccncc2)n1 ZINC001322206072 911706543 /nfs/dbraw/zinc/70/65/43/911706543.db2.gz NSYYSVYAJWSGBD-LBPRGKRZSA-N 0 1 298.350 0.841 20 30 CCEDMN CCC[C@H](OC)C(=O)N[C@H](C)CN(C)CC#CCOC ZINC001322219456 911711988 /nfs/dbraw/zinc/71/19/88/911711988.db2.gz VSPCPGPDCYGEFI-KGLIPLIRSA-N 0 1 284.400 0.888 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001322232060 911717681 /nfs/dbraw/zinc/71/76/81/911717681.db2.gz ZERVRSFBYLDEGW-NWDGAFQWSA-N 0 1 289.383 0.684 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN1C[C@H](O)Cn1cccn1 ZINC001323190288 912167639 /nfs/dbraw/zinc/16/76/39/912167639.db2.gz CVRFUMWFBYJWKP-KGLIPLIRSA-N 0 1 292.383 0.401 20 30 CCEDMN C=CCC[N@H+]1CC[C@@H]1CNC(=O)CNC(=O)C1CC1 ZINC001323195604 912173567 /nfs/dbraw/zinc/17/35/67/912173567.db2.gz VGDWPBIDTRAQEW-GFCCVEGCSA-N 0 1 265.357 0.279 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(C)n1 ZINC001323231615 912204865 /nfs/dbraw/zinc/20/48/65/912204865.db2.gz LJCUEJCTOBLUIQ-FZMZJTMJSA-N 0 1 293.371 0.131 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](NC(=O)CCNC(=O)NC)C[C@@H]1C ZINC001328239315 915050884 /nfs/dbraw/zinc/05/08/84/915050884.db2.gz JOYAGTNSZRYAOA-QWHCGFSZSA-N 0 1 294.399 0.298 20 30 CCEDMN C[C@@H](CNC(=O)Cn1cncn1)NCc1ccccc1C#N ZINC001399116862 915052898 /nfs/dbraw/zinc/05/28/98/915052898.db2.gz RPVVQXWAGYPXKM-LBPRGKRZSA-N 0 1 298.350 0.444 20 30 CCEDMN C=CCCC(=O)N1CCC2(CC1)C[N@@H+](CCO)CCO2 ZINC001328486318 915225401 /nfs/dbraw/zinc/22/54/01/915225401.db2.gz YNJHMZZOZRKQFN-UHFFFAOYSA-N 0 1 282.384 0.638 20 30 CCEDMN C=CCCC(=O)N1CCC2(CC1)CN(CCO)CCO2 ZINC001328486318 915225414 /nfs/dbraw/zinc/22/54/14/915225414.db2.gz YNJHMZZOZRKQFN-UHFFFAOYSA-N 0 1 282.384 0.638 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)CSCC#N)CC1 ZINC001328515618 915243751 /nfs/dbraw/zinc/24/37/51/915243751.db2.gz BQGSHRSULHQUQO-UHFFFAOYSA-N 0 1 295.408 0.210 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)Cc2nnc(C)o2)CC1 ZINC001328530073 915256296 /nfs/dbraw/zinc/25/62/96/915256296.db2.gz LNLGYVKEGGHBAY-UHFFFAOYSA-N 0 1 294.355 0.050 20 30 CCEDMN CC#CC[N@@H+](C)C[C@H]1CCCN1C(=O)c1c[nH]c(=O)n1C ZINC001328751909 915408492 /nfs/dbraw/zinc/40/84/92/915408492.db2.gz CKYITJRUWWTVMW-GFCCVEGCSA-N 0 1 290.367 0.685 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1c[nH]c(=O)n1C ZINC001328751909 915408506 /nfs/dbraw/zinc/40/85/06/915408506.db2.gz CKYITJRUWWTVMW-GFCCVEGCSA-N 0 1 290.367 0.685 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C)C[C@H](C)C#N ZINC001339640357 921782190 /nfs/dbraw/zinc/78/21/90/921782190.db2.gz XDSXEEYDUDINCM-SECBINFHSA-N 0 1 272.316 0.845 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](C(C)C)N1CCCC1=O ZINC001492889135 915593356 /nfs/dbraw/zinc/59/33/56/915593356.db2.gz JSYIWQAHFYVMCJ-HNNXBMFYSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCOCC[NH+]1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001329208932 915745872 /nfs/dbraw/zinc/74/58/72/915745872.db2.gz XKLFGBQLTCQVBH-AWEZNQCLSA-N 0 1 284.356 0.050 20 30 CCEDMN COCC#CC[N@@H+](C)[C@@H](C)CNC(=O)Cc1nnc(C)o1 ZINC001329431118 915908195 /nfs/dbraw/zinc/90/81/95/915908195.db2.gz IZDZHYVRJQVEHH-NSHDSACASA-N 0 1 294.355 0.007 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)Cc1nnc(C)o1 ZINC001329431118 915908208 /nfs/dbraw/zinc/90/82/08/915908208.db2.gz IZDZHYVRJQVEHH-NSHDSACASA-N 0 1 294.355 0.007 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC001329456354 915929876 /nfs/dbraw/zinc/92/98/76/915929876.db2.gz YNROWQDGKSVYKD-SKDRFNHKSA-N 0 1 250.302 0.448 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@](C)(CNCc1nccn1C)C1CC1 ZINC001400988817 915999954 /nfs/dbraw/zinc/99/99/54/915999954.db2.gz DNRDTFKMOAYXBJ-IAQYHMDHSA-N 0 1 289.383 0.954 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H](NC(N)=O)C(C)(C)C ZINC001329592742 916046910 /nfs/dbraw/zinc/04/69/10/916046910.db2.gz SZJQFFRIWAAXSF-MNOVXSKESA-N 0 1 282.388 0.139 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C[C@H](C)NC(N)=O)C1 ZINC001329996976 916345967 /nfs/dbraw/zinc/34/59/67/916345967.db2.gz IAUHNORWQCQAKN-UWVGGRQHSA-N 0 1 268.361 0.056 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001330001204 916349448 /nfs/dbraw/zinc/34/94/48/916349448.db2.gz UZAOPTCXJQCMOC-MNOVXSKESA-N 0 1 267.373 0.524 20 30 CCEDMN COC(=O)[C@@H]1OCC[C@@H]1CNC[C@@H](C#N)CCC#N ZINC001330083601 916403320 /nfs/dbraw/zinc/40/33/20/916403320.db2.gz YNHYCTKSMZLNCI-IJLUTSLNSA-N 0 1 265.313 0.598 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)CS(C)(=O)=O)C1CC1 ZINC001401840253 916628986 /nfs/dbraw/zinc/62/89/86/916628986.db2.gz XDJSHJYVWYDQQE-SNVBAGLBSA-N 0 1 294.804 0.268 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC2(C1)CCCN(CC#CC)C2 ZINC001330487291 916663789 /nfs/dbraw/zinc/66/37/89/916663789.db2.gz VSOLUMYEXHHFQC-HNNXBMFYSA-N 0 1 288.391 0.972 20 30 CCEDMN Cc1nc(CC(=O)N[C@H](C)CCCNCC#N)n[nH]1 ZINC001330813402 916891456 /nfs/dbraw/zinc/89/14/56/916891456.db2.gz RQDHXNIFHJUJIM-SECBINFHSA-N 0 1 264.333 0.054 20 30 CCEDMN COCC1(C(=O)N[C@@H]2CNC[C@H]2C#N)CCCCC1 ZINC001331343236 917272806 /nfs/dbraw/zinc/27/28/06/917272806.db2.gz ZMQXLBZAUKNODT-VXGBXAGGSA-N 0 1 265.357 0.811 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CS(C)(=O)=O ZINC001402958771 917380907 /nfs/dbraw/zinc/38/09/07/917380907.db2.gz JVPHKJSVPRKIQE-BDAKNGLRSA-N 0 1 282.793 0.266 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](NC(C)=O)C(C)C ZINC001403094425 917476014 /nfs/dbraw/zinc/47/60/14/917476014.db2.gz SJRWXJXWOBORLL-ZYHUDNBSSA-N 0 1 289.807 0.994 20 30 CCEDMN Cc1cc(C)n(CCN(C)CCNC(=O)[C@@H](C)C#N)n1 ZINC001403505776 917752294 /nfs/dbraw/zinc/75/22/94/917752294.db2.gz JRILFDNFXGDXIM-NSHDSACASA-N 0 1 277.372 0.708 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)NCc1cc(CC)[nH]n1 ZINC001332090205 917856064 /nfs/dbraw/zinc/85/60/64/917856064.db2.gz PJPPIZWEWPQDDY-NSHDSACASA-N 0 1 264.329 0.546 20 30 CCEDMN C=CC[C@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)[O-] ZINC001332135663 917893285 /nfs/dbraw/zinc/89/32/85/917893285.db2.gz KNGODGZFQNZZJZ-ZJUUUORDSA-N 0 1 272.370 0.569 20 30 CCEDMN C=CC[C@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)[O-] ZINC001332135655 917893511 /nfs/dbraw/zinc/89/35/11/917893511.db2.gz KNGODGZFQNZZJZ-UWVGGRQHSA-N 0 1 272.370 0.569 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](O)CN(C)C/C=C\Cl ZINC001403899963 918101062 /nfs/dbraw/zinc/10/10/62/918101062.db2.gz DBZDBUNUJNSPPF-WQMVXFAESA-N 0 1 273.764 0.650 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(CCn1cncn1)C1CC1 ZINC001404213980 918243006 /nfs/dbraw/zinc/24/30/06/918243006.db2.gz WZSVHNQEHTXFNR-LLVKDONJSA-N 0 1 276.344 0.018 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@@H]1C[N@@H+](C2CC2)C[C@H]1C)C(=O)[O-] ZINC001332605899 918304544 /nfs/dbraw/zinc/30/45/44/918304544.db2.gz GHDUXYDZOUTUBW-ADEWGFFLSA-N 0 1 281.356 0.798 20 30 CCEDMN C=CCn1nnnc1N1CCC[C@H](N2CCOCC2)CC1 ZINC001332938353 918546580 /nfs/dbraw/zinc/54/65/80/918546580.db2.gz CDOQWDUDVHSIRW-ZDUSSCGKSA-N 0 1 292.387 0.550 20 30 CCEDMN Cc1c(C(=O)NCc2cnc[nH]2)cnn1CCC#N ZINC001333101390 918654730 /nfs/dbraw/zinc/65/47/30/918654730.db2.gz UFKYSJCRZTUTTF-UHFFFAOYSA-N 0 1 258.285 0.758 20 30 CCEDMN C#CCN1CC=C(CNC(=O)C[C@@H]2CCC(=O)NC2)CC1 ZINC001333348907 918827600 /nfs/dbraw/zinc/82/76/00/918827600.db2.gz BMBROHZHHASGKX-AWEZNQCLSA-N 0 1 289.379 0.284 20 30 CCEDMN C#CCNCc1ccc(CNC(=O)CNC(C)=O)c(F)c1 ZINC001333463224 918925529 /nfs/dbraw/zinc/92/55/29/918925529.db2.gz XMNJENIDUFKXCB-UHFFFAOYSA-N 0 1 291.326 0.301 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)NCC(=O)N1CCNCC1 ZINC001333602900 919038380 /nfs/dbraw/zinc/03/83/80/919038380.db2.gz SJEOMOGALPFVTB-UHFFFAOYSA-N 0 1 296.415 0.710 20 30 CCEDMN C=CCCC(=O)NCC1CC(NC(=O)c2cnn[nH]2)C1 ZINC001333725649 919121341 /nfs/dbraw/zinc/12/13/41/919121341.db2.gz SJRAEYBTXADTFE-UHFFFAOYSA-N 0 1 277.328 0.396 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1cncs1 ZINC001406250894 919136260 /nfs/dbraw/zinc/13/62/60/919136260.db2.gz QIJQEDRWXLUVMK-VIFPVBQESA-N 0 1 289.788 0.505 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cc[nH]c1 ZINC001492959015 919611291 /nfs/dbraw/zinc/61/12/91/919611291.db2.gz NPQDTGPXFVMALU-UHFFFAOYSA-N 0 1 263.341 0.716 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@]1(C)CCC(=O)N1 ZINC001407117855 919624101 /nfs/dbraw/zinc/62/41/01/919624101.db2.gz KXOKFOFJOHCWKT-RISCZKNCSA-N 0 1 299.802 0.988 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCC(C)(NC(=O)[C@H](C)C#N)CC1 ZINC001407120171 919624129 /nfs/dbraw/zinc/62/41/29/919624129.db2.gz SUCYUPZYAAYRCX-GHMZBOCLSA-N 0 1 280.372 0.251 20 30 CCEDMN C#CC[N@H+](Cc1c(CO)[nH]cc(OC)c1=O)CC1CC1 ZINC001334744241 919740440 /nfs/dbraw/zinc/74/04/40/919740440.db2.gz ZRMSJVQYQIDTPN-UHFFFAOYSA-N 0 1 276.336 0.721 20 30 CCEDMN C#CCN(Cc1c(CO)[nH]cc(OC)c1=O)CC1CC1 ZINC001334744241 919740446 /nfs/dbraw/zinc/74/04/46/919740446.db2.gz ZRMSJVQYQIDTPN-UHFFFAOYSA-N 0 1 276.336 0.721 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](C)[C@H](C)COC ZINC001492966359 919855612 /nfs/dbraw/zinc/85/56/12/919855612.db2.gz SZSATALTLIFWDG-OLZOCXBDSA-N 0 1 254.374 0.976 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001407941501 919962808 /nfs/dbraw/zinc/96/28/08/919962808.db2.gz MEGZKEDNNPVXCX-WDEREUQCSA-N 0 1 289.339 0.538 20 30 CCEDMN C[C@@H](NC[C@H](O)CN(C)CCC#N)c1cnccn1 ZINC001335353985 920141572 /nfs/dbraw/zinc/14/15/72/920141572.db2.gz WBPNTCLDIDVHDN-NEPJUHHUSA-N 0 1 263.345 0.334 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](CNC(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001335626035 920333881 /nfs/dbraw/zinc/33/38/81/920333881.db2.gz JXEQHDRBENMQDP-DDHJBXDOSA-N 0 1 295.339 0.629 20 30 CCEDMN C=C(C)CCn1nnnc1N1CCN(CCO)C(C)(C)C1 ZINC001335744802 920410218 /nfs/dbraw/zinc/41/02/18/920410218.db2.gz SGOXIKNNWBNNAL-UHFFFAOYSA-N 0 1 294.403 0.532 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC001414721055 920483772 /nfs/dbraw/zinc/48/37/72/920483772.db2.gz GANUAOYWMZEUDB-JQWIXIFHSA-N 0 1 289.339 0.219 20 30 CCEDMN C=CCC[C@@H]1CCCN1C(=O)NCC(=O)N1CCNCC1 ZINC001336118232 920615551 /nfs/dbraw/zinc/61/55/51/920615551.db2.gz WSHWVADTJCSCJY-CYBMUJFWSA-N 0 1 294.399 0.558 20 30 CCEDMN CCN1CCOC[C@@H]1C(=O)Nc1cc(C#N)ccc1O ZINC001336372064 920744539 /nfs/dbraw/zinc/74/45/39/920744539.db2.gz ZVSCTTBZCNGVPT-GFCCVEGCSA-N 0 1 275.308 0.923 20 30 CCEDMN CCCNC(=O)CN1CCC[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001418119758 921838242 /nfs/dbraw/zinc/83/82/42/921838242.db2.gz DBYLAPMPIUNXSW-CHWSQXEVSA-N 0 1 294.399 0.500 20 30 CCEDMN C#CCNCC(=O)N1CCN(Cc2c(C)noc2C)CC1 ZINC001339878545 921861682 /nfs/dbraw/zinc/86/16/82/921861682.db2.gz AZKMSDATDBDOJF-UHFFFAOYSA-N 0 1 290.367 0.158 20 30 CCEDMN C=CCn1c(C[N+](=O)[O-])nnc1N1CCN(C)C(C)(C)C1 ZINC001340533827 922113292 /nfs/dbraw/zinc/11/32/92/922113292.db2.gz CVFNYZKXNGAXBW-UHFFFAOYSA-N 0 1 294.359 0.771 20 30 CCEDMN C#CCNCC(=O)N[C@H](CC(N)=O)C1CCCCC1 ZINC001340769950 922212127 /nfs/dbraw/zinc/21/21/27/922212127.db2.gz WONAHTMPJKWOEG-GFCCVEGCSA-N 0 1 265.357 0.150 20 30 CCEDMN N#Cc1cccc(CC(=O)N2CCOC[C@@H]2c2nn[nH]n2)c1 ZINC001418862517 922457966 /nfs/dbraw/zinc/45/79/66/922457966.db2.gz GIBZYNMOEMQSCT-GFCCVEGCSA-N 0 1 298.306 0.214 20 30 CCEDMN N#C[C@]1(C(=O)N2CCC(c3nn[nH]n3)CC2)CCCOC1 ZINC001418996518 922555540 /nfs/dbraw/zinc/55/55/40/922555540.db2.gz CDQGXBWKWIIIPN-CYBMUJFWSA-N 0 1 290.327 0.226 20 30 CCEDMN C#CC1CCN(c2nnc(C3=NO[C@H](CO)C3)n2C)CC1 ZINC001341578941 922565867 /nfs/dbraw/zinc/56/58/67/922565867.db2.gz DYRNNISGAUSGNM-NSHDSACASA-N 0 1 289.339 0.150 20 30 CCEDMN N#Cc1cccc(OCC(=O)N2CC[C@H](c3nn[nH]n3)C2)c1 ZINC001419045333 922597474 /nfs/dbraw/zinc/59/74/74/922597474.db2.gz ZHWRRUYZJLJQNF-NSHDSACASA-N 0 1 298.306 0.466 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)[C@H](O)Cc1ccccc1 ZINC001341791201 922675334 /nfs/dbraw/zinc/67/53/34/922675334.db2.gz GCDKPHTZIMQLDO-GXTWGEPZSA-N 0 1 260.337 0.318 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2C[C@@H]3CC[C@H]2O3)CC1 ZINC001341939970 922730126 /nfs/dbraw/zinc/73/01/26/922730126.db2.gz ACUJJCWIFCTWIR-BFHYXJOUSA-N 0 1 277.368 0.703 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](C(=O)OC)[C@H]2CCCC[C@@H]21 ZINC001342221784 922865816 /nfs/dbraw/zinc/86/58/16/922865816.db2.gz XUCXSTJICAFGKA-RDBSUJKOSA-N 0 1 292.379 0.790 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1CCC12CCOCC2 ZINC001342299716 922902667 /nfs/dbraw/zinc/90/26/67/922902667.db2.gz GTXAKSFWFQRMGL-LBPRGKRZSA-N 0 1 250.342 0.532 20 30 CCEDMN CCn1nncc1CNC[C@@H](C)N(C)C(=O)[C@H](C)C#N ZINC001419649059 922969202 /nfs/dbraw/zinc/96/92/02/922969202.db2.gz LZCPSMXFUDWTCR-GHMZBOCLSA-N 0 1 278.360 0.394 20 30 CCEDMN N#CC(C(=O)C[C@@H]1CCNC1=O)c1cccc(F)n1 ZINC001342653242 923042990 /nfs/dbraw/zinc/04/29/90/923042990.db2.gz RUGMXGRHOYEAAD-DTWKUNHWSA-N 0 1 261.256 0.923 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CC1(C#N)CCOCC1 ZINC001343492642 923350175 /nfs/dbraw/zinc/35/01/75/923350175.db2.gz RODRWQFPWRPWQU-LLVKDONJSA-N 0 1 277.324 0.930 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1nc(C)c[nH]1 ZINC001420184132 923380183 /nfs/dbraw/zinc/38/01/83/923380183.db2.gz RSZLYGVKLPZDOY-VIFPVBQESA-N 0 1 272.736 0.151 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc2c([nH]1)CCC2 ZINC001420189745 923391991 /nfs/dbraw/zinc/39/19/91/923391991.db2.gz ISFBXSFKFZPPDE-LLVKDONJSA-N 0 1 297.786 0.936 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1CCCN1CC(F)F ZINC001344128228 923555245 /nfs/dbraw/zinc/55/52/45/923555245.db2.gz MXXNSUUNFZSEDR-SNVBAGLBSA-N 0 1 259.300 0.055 20 30 CCEDMN Cc1nc(CN2CCC[C@H]2CNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001420468505 923690409 /nfs/dbraw/zinc/69/04/09/923690409.db2.gz CZQGCPDDEGRESP-ONGXEEELSA-N 0 1 276.344 0.353 20 30 CCEDMN CC#CCCNC(=O)N[C@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC001345858180 923994564 /nfs/dbraw/zinc/99/45/64/923994564.db2.gz SAAQQTRUYVPRLP-CHWSQXEVSA-N 0 1 266.389 0.333 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCc2nnc([C@H]3CCOC3)[nH]2)c1 ZINC001420987942 924072960 /nfs/dbraw/zinc/07/29/60/924072960.db2.gz OYZYSSPVRYWUJV-VIFPVBQESA-N 0 1 286.295 0.438 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCc2n[nH]c([C@H]3CCOC3)n2)c1 ZINC001420987942 924072974 /nfs/dbraw/zinc/07/29/74/924072974.db2.gz OYZYSSPVRYWUJV-VIFPVBQESA-N 0 1 286.295 0.438 20 30 CCEDMN N#Cc1cc(C(=O)NCc2nnc([C@H]3CCOC3)[nH]2)c[nH]1 ZINC001420988682 924080251 /nfs/dbraw/zinc/08/02/51/924080251.db2.gz WARLDLNWYMUMRD-QMMMGPOBSA-N 0 1 286.295 0.438 20 30 CCEDMN N#Cc1cc(C(=O)NCc2n[nH]c([C@H]3CCOC3)n2)c[nH]1 ZINC001420988682 924080262 /nfs/dbraw/zinc/08/02/62/924080262.db2.gz WARLDLNWYMUMRD-QMMMGPOBSA-N 0 1 286.295 0.438 20 30 CCEDMN C#CCN1CCC(OC(=O)c2ccn(CCOC)n2)CC1 ZINC001346150411 924086296 /nfs/dbraw/zinc/08/62/96/924086296.db2.gz JRYLPARTPCOAPR-UHFFFAOYSA-N 0 1 291.351 0.784 20 30 CCEDMN Cc1nonc1CC(=O)NC1(C#N)CCN(C)CC1 ZINC001346179901 924098924 /nfs/dbraw/zinc/09/89/24/924098924.db2.gz BCBZOXGEDPDTIU-UHFFFAOYSA-N 0 1 263.301 0.025 20 30 CCEDMN Cc1nc(C(=O)N[C@H]2CNC[C@H]2C#N)ccc1C#N ZINC001346319464 924159105 /nfs/dbraw/zinc/15/91/05/924159105.db2.gz KPEZKNITUOGJQC-PWSUYJOCSA-N 0 1 255.281 0.103 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N[C@@H]1CCCN(C)C1 ZINC001346402702 924190912 /nfs/dbraw/zinc/19/09/12/924190912.db2.gz GKTAFTAKSAAJPF-VXGBXAGGSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCCN(C)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001346766912 924307386 /nfs/dbraw/zinc/30/73/86/924307386.db2.gz MXXYNAWHGQUPPD-QWHCGFSZSA-N 0 1 268.405 0.838 20 30 CCEDMN C#C[C@H](NC(=O)[C@H]1CN2CCN1C[C@H]2C)C(C)(C)C ZINC001347067338 924363224 /nfs/dbraw/zinc/36/32/24/924363224.db2.gz UISYLTKERVURFL-UPJWGTAASA-N 0 1 263.385 0.539 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC001347400841 924439176 /nfs/dbraw/zinc/43/91/76/924439176.db2.gz OTYLFLBLJFTXFI-GFCCVEGCSA-N 0 1 278.352 0.496 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)NCc2n[nH]c(CC)n2)C1 ZINC001348071766 924590165 /nfs/dbraw/zinc/59/01/65/924590165.db2.gz ZWTZMKWEUJOVQZ-JTQLQIEISA-N 0 1 279.344 0.854 20 30 CCEDMN C=CC[C@H](CO)NCc1cn(C[C@H]2CCOC2)nn1 ZINC001348538553 924719050 /nfs/dbraw/zinc/71/90/50/924719050.db2.gz XRHWUXNOUHYUMB-VXGBXAGGSA-N 0 1 266.345 0.341 20 30 CCEDMN C#CCCCNC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001348703273 924781230 /nfs/dbraw/zinc/78/12/30/924781230.db2.gz YNNFAFNNUQKXCO-STQMWFEESA-N 0 1 266.389 0.333 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001349468796 924967198 /nfs/dbraw/zinc/96/71/98/924967198.db2.gz WPUQACIZPBZNPW-YNEHKIRRSA-N 0 1 266.389 0.284 20 30 CCEDMN C#CCN(C(=O)N[C@H](C)[C@H]1CN(C)CCN1C)C1CC1 ZINC001349469149 924968480 /nfs/dbraw/zinc/96/84/80/924968480.db2.gz ZSXMNFYPSIRNAK-TZMCWYRMSA-N 0 1 278.400 0.428 20 30 CCEDMN C#CCN(C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C)C1CC1 ZINC001349469147 924968939 /nfs/dbraw/zinc/96/89/39/924968939.db2.gz ZSXMNFYPSIRNAK-JSGCOSHPSA-N 0 1 278.400 0.428 20 30 CCEDMN C=CCOCCCC(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001350418901 925194698 /nfs/dbraw/zinc/19/46/98/925194698.db2.gz OOQDBTBTJMFDEK-LLVKDONJSA-N 0 1 253.302 0.542 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001352773176 925638507 /nfs/dbraw/zinc/63/85/07/925638507.db2.gz GIYDGPHEAVMJGW-KGLIPLIRSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@H](CNC(=O)c1cnnn1C)NCC#Cc1ccccc1 ZINC001480453108 925752990 /nfs/dbraw/zinc/75/29/90/925752990.db2.gz JUMQULOEHFRBIL-CYBMUJFWSA-N 0 1 297.362 0.575 20 30 CCEDMN C#CCNCC(=O)NCCCc1nc(C)cs1 ZINC001353536688 925865610 /nfs/dbraw/zinc/86/56/10/925865610.db2.gz ZZOHDAFSRTUTEV-UHFFFAOYSA-N 0 1 251.355 0.723 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](c1c(F)cccc1F)N(C)C ZINC001353559726 925870172 /nfs/dbraw/zinc/87/01/72/925870172.db2.gz MHBLJDNCBOKVQH-ZDUSSCGKSA-N 0 1 295.333 0.907 20 30 CCEDMN C#CCNCC(=O)N1CCN(C(=O)OCC(C)C)CC1 ZINC001353690139 925903891 /nfs/dbraw/zinc/90/38/91/925903891.db2.gz XJDJGIXOBTUAOF-UHFFFAOYSA-N 0 1 281.356 0.146 20 30 CCEDMN C=CCCCC(=O)N[C@H]1C[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001353814798 925936839 /nfs/dbraw/zinc/93/68/39/925936839.db2.gz YKCFHACKQJHUIY-AOOOYVTPSA-N 0 1 277.328 0.538 20 30 CCEDMN C=CCCCC(=O)N[C@H]1C[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001353814798 925936849 /nfs/dbraw/zinc/93/68/49/925936849.db2.gz YKCFHACKQJHUIY-AOOOYVTPSA-N 0 1 277.328 0.538 20 30 CCEDMN CC#CCCCC(=O)N(C)CCNC(=O)c1cnn[nH]1 ZINC001355737816 926308875 /nfs/dbraw/zinc/30/88/75/926308875.db2.gz YQYKDTKENSVFAZ-UHFFFAOYSA-N 0 1 277.328 0.187 20 30 CCEDMN C=CCCC(=O)NCCN(CC)C(=O)Cc1ccn[nH]1 ZINC001356000778 926332772 /nfs/dbraw/zinc/33/27/72/926332772.db2.gz PDUNSYJKRCGDLU-UHFFFAOYSA-N 0 1 278.356 0.883 20 30 CCEDMN C#CCCCCC(=O)NC1CN(C(=O)c2cc(C)[nH]n2)C1 ZINC001356754414 926430776 /nfs/dbraw/zinc/43/07/76/926430776.db2.gz RRTGXTRWEDLJQV-UHFFFAOYSA-N 0 1 288.351 0.852 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001357012053 926471222 /nfs/dbraw/zinc/47/12/22/926471222.db2.gz WAWFAZRNXDXYSW-SECBINFHSA-N 0 1 265.317 0.253 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001357012053 926471230 /nfs/dbraw/zinc/47/12/30/926471230.db2.gz WAWFAZRNXDXYSW-SECBINFHSA-N 0 1 265.317 0.253 20 30 CCEDMN C#CCNCC(=O)NCCC(=O)NC1CCCCC1 ZINC001357205223 926495156 /nfs/dbraw/zinc/49/51/56/926495156.db2.gz UKBRKWKEXXAYFY-UHFFFAOYSA-N 0 1 265.357 0.164 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](C(=O)N[C@H](C)CCC)C1 ZINC001357226305 926498967 /nfs/dbraw/zinc/49/89/67/926498967.db2.gz FIPLOPGMKWBDST-KGLIPLIRSA-N 0 1 293.411 0.753 20 30 CCEDMN Cc1ccc(CNC(=O)N2CCNCC2)cc1C#N ZINC001357589851 926564682 /nfs/dbraw/zinc/56/46/82/926564682.db2.gz GRCHIHWZBJHTLL-UHFFFAOYSA-N 0 1 258.325 0.982 20 30 CCEDMN CC[C@H](CO)[N@@H+](C)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001414003883 926809013 /nfs/dbraw/zinc/80/90/13/926809013.db2.gz XQYVUEZPSJWHAC-LLVKDONJSA-N 0 1 275.312 0.509 20 30 CCEDMN CN(C)C(=O)NCCN(C)C[C@H](O)c1cccc(C#N)c1 ZINC001421610376 926814260 /nfs/dbraw/zinc/81/42/60/926814260.db2.gz HPFIZOUPSLOXEN-AWEZNQCLSA-N 0 1 290.367 0.795 20 30 CCEDMN C[C@@H](NC[C@H](O)CC#N)c1cccc(S(N)(=O)=O)c1 ZINC001421656480 926833207 /nfs/dbraw/zinc/83/32/07/926833207.db2.gz UVWBXIOBNAKIQA-MWLCHTKSSA-N 0 1 283.353 0.259 20 30 CCEDMN C[C@@H](CNCc1cnon1)CNC(=O)c1c[nH]c(C#N)c1 ZINC001421690264 926860636 /nfs/dbraw/zinc/86/06/36/926860636.db2.gz NMCDLQCQWCJVED-VIFPVBQESA-N 0 1 288.311 0.425 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)Cn2ncnn2)CCC1 ZINC001421747676 926888874 /nfs/dbraw/zinc/88/88/74/926888874.db2.gz LVVVRLUYZHYGNC-UHFFFAOYSA-N 0 1 284.751 0.054 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN([C@@H]3CCOC3)CC2)CC1 ZINC001422008727 927033907 /nfs/dbraw/zinc/03/39/07/927033907.db2.gz DASFLZXFYWILOM-KGLIPLIRSA-N 0 1 279.384 0.448 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1c[nH]c(=O)cn1 ZINC001422374142 927248840 /nfs/dbraw/zinc/24/88/40/927248840.db2.gz FSMXUMMUCSXCBD-IUCAKERBSA-N 0 1 284.747 0.619 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1[nH]cnc1C ZINC001422391764 927259482 /nfs/dbraw/zinc/25/94/82/927259482.db2.gz MBXMAGSKTKNEEF-SNVBAGLBSA-N 0 1 286.763 0.080 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CCn2cccn2)CC1(C)C ZINC001422799907 927518755 /nfs/dbraw/zinc/51/87/55/927518755.db2.gz MYSHFFBIIUOPJA-QWHCGFSZSA-N 0 1 289.383 0.869 20 30 CCEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)Cn1cnnn1 ZINC001422973670 927614728 /nfs/dbraw/zinc/61/47/28/927614728.db2.gz YIPYNXCJNODJTG-LLVKDONJSA-N 0 1 298.778 0.252 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC001423225703 927751119 /nfs/dbraw/zinc/75/11/19/927751119.db2.gz GMZVQUOYHDNSQM-SNVBAGLBSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)Cc2cncn2C)CC1 ZINC001423298494 927797558 /nfs/dbraw/zinc/79/75/58/927797558.db2.gz ASMHCAHKEQYPTB-UHFFFAOYSA-N 0 1 282.775 0.954 20 30 CCEDMN CC[C@H](C)CN1CC(O)(CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001423834142 928001115 /nfs/dbraw/zinc/00/11/15/928001115.db2.gz JLNLUAVJSCPUAY-NSHDSACASA-N 0 1 290.367 0.709 20 30 CCEDMN N#CC1CN(C(=O)c2nc[nH]c(=O)c2Br)C1 ZINC001362496184 928099637 /nfs/dbraw/zinc/09/96/37/928099637.db2.gz MQXDKVQAXAJIEC-UHFFFAOYSA-N 0 1 283.085 0.540 20 30 CCEDMN CC(C)(NC(=O)[C@H](O)c1ccc(C#N)cc1)c1c[nH]nn1 ZINC001362531661 928142814 /nfs/dbraw/zinc/14/28/14/928142814.db2.gz FVHLNGCORGNIIW-GFCCVEGCSA-N 0 1 285.307 0.761 20 30 CCEDMN N#Cc1cc(CNC(=O)C2CN([C@H]3CCOC3)C2)ccn1 ZINC001362619093 928258052 /nfs/dbraw/zinc/25/80/52/928258052.db2.gz INAADRZMLRZCKD-AWEZNQCLSA-N 0 1 286.335 0.290 20 30 CCEDMN N#Cc1ccc(CCC(=O)NCc2n[nH]c(CO)n2)cc1 ZINC001362683709 928352942 /nfs/dbraw/zinc/35/29/42/928352942.db2.gz UQPCPDGWXMOQPA-UHFFFAOYSA-N 0 1 285.307 0.418 20 30 CCEDMN N#Cc1ccc(CCC(=O)NCc2nnc(CO)[nH]2)cc1 ZINC001362683709 928352956 /nfs/dbraw/zinc/35/29/56/928352956.db2.gz UQPCPDGWXMOQPA-UHFFFAOYSA-N 0 1 285.307 0.418 20 30 CCEDMN CC(C)(C#N)CNC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001362889424 928616533 /nfs/dbraw/zinc/61/65/33/928616533.db2.gz LTJFBMKRQDWOLY-UHFFFAOYSA-N 0 1 291.355 0.907 20 30 CCEDMN CN(C)CC(C)(C)[NH2+]Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001363249379 929025028 /nfs/dbraw/zinc/02/50/28/929025028.db2.gz NGRBUVLOBPRKTE-UHFFFAOYSA-N 0 1 288.355 0.736 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@@H](NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001427800853 929121257 /nfs/dbraw/zinc/12/12/57/929121257.db2.gz DAFIESFSZQCQEN-GXSJLCMTSA-N 0 1 289.339 0.462 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCc2n[nH]c(=O)n2C2CC2)c1 ZINC001363462834 929264936 /nfs/dbraw/zinc/26/49/36/929264936.db2.gz DIOGJSYALKXJRY-UHFFFAOYSA-N 0 1 272.268 0.448 20 30 CCEDMN N#C[C@H](CCc1ccccc1)C(=O)NCc1n[nH]c(CO)n1 ZINC001363485318 929291268 /nfs/dbraw/zinc/29/12/68/929291268.db2.gz YJNXBVOZFJNHLL-LBPRGKRZSA-N 0 1 299.334 0.686 20 30 CCEDMN N#CCc1ccc(C(=O)N[C@H](CO)Cc2cnc[nH]2)cc1 ZINC001363520099 929323949 /nfs/dbraw/zinc/32/39/49/929323949.db2.gz WXAGXELWKUPLRC-AWEZNQCLSA-N 0 1 284.319 0.809 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H]2CN(C)C(=O)[C@@H](C)C#N)[nH]1 ZINC001429037216 929367341 /nfs/dbraw/zinc/36/73/41/929367341.db2.gz ONSMVQYCGAYKJK-GXSJLCMTSA-N 0 1 289.339 0.551 20 30 CCEDMN N#CCc1ccccc1C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001363586477 929390318 /nfs/dbraw/zinc/39/03/18/929390318.db2.gz NEQGNXRZHNRDKF-CYBMUJFWSA-N 0 1 270.292 0.939 20 30 CCEDMN C[C@H](CNCc1cnon1)CNC(=O)c1ccc(C#N)[nH]1 ZINC001444299905 929835156 /nfs/dbraw/zinc/83/51/56/929835156.db2.gz RYAFQIWMUWNXFV-SECBINFHSA-N 0 1 288.311 0.425 20 30 CCEDMN N#CCN1CCN(C(=O)c2c3[nH]cnc3ccc2F)CC1 ZINC001444354310 929849781 /nfs/dbraw/zinc/84/97/81/929849781.db2.gz LGVBWRLRNHHNLN-UHFFFAOYSA-N 0 1 287.298 0.983 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001372566394 929886318 /nfs/dbraw/zinc/88/63/18/929886318.db2.gz BTFSRJJHBCXOIU-FIQHERPVSA-N 0 1 278.356 0.004 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](O)CNC/C(Cl)=C/Cl ZINC001445164579 930057004 /nfs/dbraw/zinc/05/70/04/930057004.db2.gz WBNBKTMAPMUWPM-GAFWVPIUSA-N 0 1 280.155 0.532 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CC(=O)N(CC)C2)CC1 ZINC001446175259 930361289 /nfs/dbraw/zinc/36/12/89/930361289.db2.gz MTELWVKISLFVPB-LLVKDONJSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1nccc(C)n1 ZINC001374596779 930583231 /nfs/dbraw/zinc/58/32/31/930583231.db2.gz BKTLQOKUTHWPKG-SNVBAGLBSA-N 0 1 284.747 0.218 20 30 CCEDMN CC[C@@H](C)NC(=O)CNC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001375401037 930876417 /nfs/dbraw/zinc/87/64/17/930876417.db2.gz AQYHYTQQRBLFAI-GHMZBOCLSA-N 0 1 280.372 0.299 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NCC(=O)N2CCCCC2)CC1 ZINC001375404046 930880112 /nfs/dbraw/zinc/88/01/12/930880112.db2.gz PJSNIEGYZZFCQW-GFCCVEGCSA-N 0 1 292.383 0.397 20 30 CCEDMN Cc1nc(CN2C[C@@H]3[C@@H](CNC(=O)[C@H](C)C#N)[C@@H]3C2)n[nH]1 ZINC001448428198 930887673 /nfs/dbraw/zinc/88/76/73/930887673.db2.gz XRCAIAGFHIWHJW-HKWIRBFKSA-N 0 1 288.355 0.067 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H](CC)OC ZINC001449109576 931074185 /nfs/dbraw/zinc/07/41/85/931074185.db2.gz JCGNEMOHSIQMHI-MNOVXSKESA-N 0 1 278.780 0.573 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)Cc2cnoc2)CCO1 ZINC001376877093 931312040 /nfs/dbraw/zinc/31/20/40/931312040.db2.gz VHJVZOVZMWZWNV-LBPRGKRZSA-N 0 1 299.758 0.787 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)C1(C(=O)[O-])CCC1 ZINC001602702097 971329873 /nfs/dbraw/zinc/32/98/73/971329873.db2.gz IOOSTBVAYBAPNN-LLVKDONJSA-N 0 1 265.309 0.882 20 30 CCEDMN C#CC[N@H+](CC1CC1)CN1C[C@H](C(=O)[O-])CC1=O ZINC001602701113 971326206 /nfs/dbraw/zinc/32/62/06/971326206.db2.gz WSSPOTIFQWTKRE-LLVKDONJSA-N 0 1 250.298 0.222 20 30 CCEDMN C#CC[N@@H+](CC1CC1)CN1C[C@H](C(=O)[O-])CC1=O ZINC001602701113 971326213 /nfs/dbraw/zinc/32/62/13/971326213.db2.gz WSSPOTIFQWTKRE-LLVKDONJSA-N 0 1 250.298 0.222 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CC)[C@H](C)C(=O)[O-] ZINC001589719347 950402226 /nfs/dbraw/zinc/40/22/26/950402226.db2.gz DCRQRXHKXXYBAX-WDEREUQCSA-N 0 1 269.345 0.790 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CC)[C@H](C)C(=O)[O-] ZINC001589719347 950402236 /nfs/dbraw/zinc/40/22/36/950402236.db2.gz DCRQRXHKXXYBAX-WDEREUQCSA-N 0 1 269.345 0.790 20 30 CCEDMN N#CC1(NC(=O)C[N@@H+]2C[C@@H](C(=O)[O-])[C@H](C3CC3)C2)CCC1 ZINC001594601358 956134303 /nfs/dbraw/zinc/13/43/03/956134303.db2.gz BEBWBISKNDWZRR-NWDGAFQWSA-N 0 1 291.351 0.591 20 30 CCEDMN N#CC1(C[C@H](O)C[N@H+]2CC[C@H](C(=O)[O-])C2)CCC1 ZINC001594603603 956156526 /nfs/dbraw/zinc/15/65/26/956156526.db2.gz GHVCQYMSQOFWHN-QWRGUYRKSA-N 0 1 252.314 0.838 20 30 CCEDMN N#C[C@H]1CC[C@H]([NH2+]C2CCN(CC(=O)[O-])CC2)C1 ZINC001594606080 956177266 /nfs/dbraw/zinc/17/72/66/956177266.db2.gz QAMFJLVLHYOMNP-JQWIXIFHSA-N 0 1 251.330 0.817 20 30 CCEDMN N#Cc1ccc(C(=O)OCC[N@@H+]2CCC[C@@H]2C(=O)[O-])cn1 ZINC001594617520 956249526 /nfs/dbraw/zinc/24/95/26/956249526.db2.gz CXFSCIKKGFAHLI-GFCCVEGCSA-N 0 1 289.291 0.659 20 30 CCEDMN N#Cc1cnn2cc(C[N@@H+](CC(=O)[O-])CC3CC3)cnc12 ZINC001594634464 956363105 /nfs/dbraw/zinc/36/31/05/956363105.db2.gz KPXPNKXTUMHBQX-UHFFFAOYSA-N 0 1 285.307 0.898 20 30 CCEDMN C[N@@H+](CCCc1[nH]nc(N)c1C#N)[C@@H](C(=O)[O-])C1CC1 ZINC001574013378 961929687 /nfs/dbraw/zinc/92/96/87/961929687.db2.gz YIIZCQHJXAOLDF-LLVKDONJSA-N 0 1 277.328 0.591 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)C(=C)CCC(=O)[O-] ZINC001573302600 962656835 /nfs/dbraw/zinc/65/68/35/962656835.db2.gz YKMBQVZRLRCRAI-UHFFFAOYSA-N 0 1 252.314 0.479 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC001573302588 962657447 /nfs/dbraw/zinc/65/74/47/962657447.db2.gz YHBFHUXNUSVMHC-CHWSQXEVSA-N 0 1 295.383 0.694 20 30 CCEDMN C=C(Cl)C[N@@H+]1CC[C@H](CCNC(=O)CNC(=O)[O-])C1 ZINC001573338464 962875019 /nfs/dbraw/zinc/87/50/19/962875019.db2.gz BMRRHMVKAAVXQM-JTQLQIEISA-N 0 1 289.763 0.835 20 30 CCEDMN C=CC[N@@H+](C)CCN(CC)S(=O)(=O)C[C@H](C)C(=O)[O-] ZINC001573360838 963043996 /nfs/dbraw/zinc/04/39/96/963043996.db2.gz YTBAQVVJBQYYHU-NSHDSACASA-N 0 1 292.401 0.477 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)S(=O)(=O)C[C@H](C)C(=O)[O-] ZINC001573360838 963044001 /nfs/dbraw/zinc/04/40/01/963044001.db2.gz YTBAQVVJBQYYHU-NSHDSACASA-N 0 1 292.401 0.477 20 30 CCEDMN C[C@H]1C[N@@H+](C[C@@H](O)CC2(C#N)CC2)C[C@@H](C(=O)[O-])O1 ZINC001570989043 963132094 /nfs/dbraw/zinc/13/20/94/963132094.db2.gz FGVWOIIYHMUYPH-DCAQKATOSA-N 0 1 268.313 0.215 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000312793759 963594470 /nfs/dbraw/zinc/59/44/70/963594470.db2.gz GEUFRCBWYHVBSY-VHSXEESVSA-N 0 1 283.328 0.041 20 30 CCEDMN C#CCCCCC(=O)O[C@@H]1C[C@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588440771 964044958 /nfs/dbraw/zinc/04/49/58/964044958.db2.gz QALAIYAHZGTTFE-GHMZBOCLSA-N 0 1 253.298 0.881 20 30 CCEDMN C#CCCCCC(=O)O[C@@H]1C[C@H](C(=O)[O-])[N@H+](C)C1 ZINC001588440771 964044944 /nfs/dbraw/zinc/04/49/44/964044944.db2.gz QALAIYAHZGTTFE-GHMZBOCLSA-N 0 1 253.298 0.881 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@@H](CCC(=O)[O-])C1 ZINC000043585220 967525428 /nfs/dbraw/zinc/52/54/28/967525428.db2.gz NDRBVRZLBNLOTI-NSHDSACASA-N 0 1 297.355 0.575 20 30 CCEDMN N#CC1(C[NH2+]CCS(=O)(=O)CC(=O)[O-])CCCC1 ZINC001605582902 973869151 /nfs/dbraw/zinc/86/91/51/973869151.db2.gz JAJBIYKNGVBXDH-UHFFFAOYSA-N 0 1 274.342 0.159 20 30 CCEDMN C[C@H](CC#N)C(=O)O[C@@H]1C[N@@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001592221526 977400455 /nfs/dbraw/zinc/40/04/55/977400455.db2.gz JKGDJIKEOCTOAK-VDDIYKPWSA-N 0 1 254.286 0.627 20 30 CCEDMN C[C@H](CC#N)C(=O)O[C@H]1C[N@@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001592221524 977400940 /nfs/dbraw/zinc/40/09/40/977400940.db2.gz JKGDJIKEOCTOAK-LNLATYFQSA-N 0 1 254.286 0.627 20 30 CCEDMN CCC[N@H+]1CCC[C@H]1C(=O)N(CC#N)CCC(=O)[O-] ZINC001595907823 982984538 /nfs/dbraw/zinc/98/45/38/982984538.db2.gz FZMMAORQOUEZSG-NSHDSACASA-N 0 1 267.329 0.688 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CC[N@@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588369583 983315534 /nfs/dbraw/zinc/31/55/34/983315534.db2.gz QFXLWDWGUIICTE-MNOVXSKESA-N 0 1 267.329 0.056 20 30 CCEDMN C#CC[C@@H]1CCC[N@H+](CC(=O)NCCC(=O)[O-])C1 ZINC001588435001 983473695 /nfs/dbraw/zinc/47/36/95/983473695.db2.gz ZIOJXDZDSNJETE-LLVKDONJSA-N 0 1 252.314 0.313 20 30 CCEDMN C#CCC[N@H+]1C[C@H]2CN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])C[C@H]2C1 ZINC001588453757 983477486 /nfs/dbraw/zinc/47/74/86/983477486.db2.gz RMYJNQVXHYKJNH-XQHKEYJVSA-N 0 1 276.336 0.121 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)Cn1cc(C(=O)[O-])cn1 ZINC001588477672 983517518 /nfs/dbraw/zinc/51/75/18/983517518.db2.gz YPQUNYFQQHUZLO-GFCCVEGCSA-N 0 1 291.307 0.222 20 30 CCEDMN C#CCOCC[N@@H+](C)CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001588489565 983528012 /nfs/dbraw/zinc/52/80/12/983528012.db2.gz DLKYMYZGYCULTM-UHFFFAOYSA-N 0 1 290.319 0.905 20 30 CCEDMN C#CCOCC[N@H+](C)CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001588489565 983528015 /nfs/dbraw/zinc/52/80/15/983528015.db2.gz DLKYMYZGYCULTM-UHFFFAOYSA-N 0 1 290.319 0.905 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588535490 983589526 /nfs/dbraw/zinc/58/95/26/983589526.db2.gz OYJGEYOPQHYADD-CMPLNLGQSA-N 0 1 280.328 0.550 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001588536640 983595230 /nfs/dbraw/zinc/59/52/30/983595230.db2.gz ZUMISDGQIJTELP-ZYHUDNBSSA-N 0 1 254.286 0.229 20 30 CCEDMN C=C[C@H](C(=O)[O-])n1cc(C[N@H+]2CCC[C@@H]2CO)nn1 ZINC001588672489 983825388 /nfs/dbraw/zinc/82/53/88/983825388.db2.gz SXPLBYNAHSHKRJ-GHMZBOCLSA-N 0 1 266.301 0.047 20 30 CCEDMN C=C[C@H](C(=O)[O-])n1cc(C[N@@H+]2CCC[C@@H]2CO)nn1 ZINC001588672489 983825392 /nfs/dbraw/zinc/82/53/92/983825392.db2.gz SXPLBYNAHSHKRJ-GHMZBOCLSA-N 0 1 266.301 0.047 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@@H](N2CCN(C)CC2)[C@@H](C)C1 ZINC001588730206 983997035 /nfs/dbraw/zinc/99/70/35/983997035.db2.gz XIVDKNQMPPNGQE-RRFJBIMHSA-N 0 1 295.427 0.974 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CC[C@@H](N2CCN(C)CC2)[C@@H](C)C1 ZINC001588730206 983997038 /nfs/dbraw/zinc/99/70/38/983997038.db2.gz XIVDKNQMPPNGQE-RRFJBIMHSA-N 0 1 295.427 0.974 20 30 CCEDMN C=CCC[N@@H+]1CCCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588807694 984260699 /nfs/dbraw/zinc/26/06/99/984260699.db2.gz SILVCYRJZVEPBO-NWDGAFQWSA-N 0 1 266.341 0.818 20 30 CCEDMN C=CCCOCCNC(=O)N1CC[N@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588813340 984269746 /nfs/dbraw/zinc/26/97/46/984269746.db2.gz NZZCXRSIOXWIQY-LBPRGKRZSA-N 0 1 299.371 0.237 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[C@](OC)(C(=O)[O-])C1 ZINC001588825185 984298609 /nfs/dbraw/zinc/29/86/09/984298609.db2.gz FTQYEDIJIUFRLF-IUODEOHRSA-N 0 1 296.367 0.751 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2CC[C@H](C(=O)[O-])[C@H]2C)C1=O ZINC001588838396 984337595 /nfs/dbraw/zinc/33/75/95/984337595.db2.gz FCDOWELGEGYYPI-MNOVXSKESA-N 0 1 267.329 0.663 20 30 CCEDMN C=CCN1CC[C@H]([N@H+](CC(=O)[O-])[C@@H](C)CC)C1=O ZINC001588838472 984338574 /nfs/dbraw/zinc/33/85/74/984338574.db2.gz GAQMBXMORHDDBZ-QWRGUYRKSA-N 0 1 254.330 0.958 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+](C)Cc2c[nH]c(C(=O)[O-])c2)C1=O ZINC001588838645 984340073 /nfs/dbraw/zinc/34/00/73/984340073.db2.gz HVFHXEYQCPYFIY-GFCCVEGCSA-N 0 1 277.324 0.932 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+](C)Cc2c[nH]c(C(=O)[O-])c2)C1=O ZINC001588838645 984340078 /nfs/dbraw/zinc/34/00/78/984340078.db2.gz HVFHXEYQCPYFIY-GFCCVEGCSA-N 0 1 277.324 0.932 20 30 CCEDMN C=CC[NH+]1CCC(NS(=O)(=O)C[C@H](C)C(=O)[O-])CC1 ZINC001588839396 984346221 /nfs/dbraw/zinc/34/62/21/984346221.db2.gz QLTSANIDNILXHQ-JTQLQIEISA-N 0 1 290.385 0.277 20 30 CCEDMN CC#CCC[N@@H+]1CC[C@@H](C(=O)OC)C[C@H]1C(=O)[O-] ZINC001588927247 984597961 /nfs/dbraw/zinc/59/79/61/984597961.db2.gz QVEZDTKJBSPQBE-MNOVXSKESA-N 0 1 253.298 0.738 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1c1cc(C#N)cnn1 ZINC001594527360 986072025 /nfs/dbraw/zinc/07/20/25/986072025.db2.gz DQKJWIRAQFYDQX-SNVBAGLBSA-N 0 1 275.312 0.333 20 30 CCEDMN C[C@H](C#N)C[N@H+](CCC(=O)NCC(=O)[O-])C1CC1 ZINC001589330569 986174696 /nfs/dbraw/zinc/17/46/96/986174696.db2.gz JVPAOVKTJVGBLV-SECBINFHSA-N 0 1 253.302 0.201 20 30 CCEDMN C[C@H](C#N)C[N@@H+](CCC(=O)NCC(=O)[O-])C1CC1 ZINC001589330569 986174701 /nfs/dbraw/zinc/17/47/01/986174701.db2.gz JVPAOVKTJVGBLV-SECBINFHSA-N 0 1 253.302 0.201 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCSC[C@@H](C(=O)[O-])C1 ZINC001589339567 986237031 /nfs/dbraw/zinc/23/70/31/986237031.db2.gz DKWIAJBOHPEONJ-QWRGUYRKSA-N 0 1 299.396 0.497 20 30 CCEDMN C[C@]1(C(=O)[O-])CC[N@@H+](C[C@H](O)CC2(C#N)CCOCC2)C1 ZINC001593795833 996512611 /nfs/dbraw/zinc/51/26/11/996512611.db2.gz REHBXKRGJOFBOF-OCCSQVGLSA-N 0 1 296.367 0.854 20 30 CCEDMN N#Cc1ccccc1N1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000092618511 349500215 /nfs/dbraw/zinc/50/02/15/349500215.db2.gz CKMQYXMVUHGEDO-UHFFFAOYSA-N 0 1 298.350 0.682 20 30 CCEDMN N#Cc1ccccc1N1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC000092618511 349500216 /nfs/dbraw/zinc/50/02/16/349500216.db2.gz CKMQYXMVUHGEDO-UHFFFAOYSA-N 0 1 298.350 0.682 20 30 CCEDMN CCC[C@@H](NC(=O)Cc1ccc(C#N)nc1)c1nn[nH]n1 ZINC000599652279 361773708 /nfs/dbraw/zinc/77/37/08/361773708.db2.gz LGHQRIZRDDPFSZ-LLVKDONJSA-N 0 1 285.311 0.666 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)C[C@H]1C[C@@H](O)C1 ZINC000599669203 361780654 /nfs/dbraw/zinc/78/06/54/361780654.db2.gz CBWHZFOTHADBKO-BETUJISGSA-N 0 1 278.356 0.345 20 30 CCEDMN N#Cc1ccsc1C(=O)N1CC[C@@H](N2CC[C@@H](O)C2)C1 ZINC000599938037 361835161 /nfs/dbraw/zinc/83/51/61/361835161.db2.gz KSTMVZNUYXWNJO-VXGBXAGGSA-N 0 1 291.376 0.901 20 30 CCEDMN N#CC1(C[C@@H](O)CNCc2nnc(C3CC3)[nH]2)CC1 ZINC000600738583 362049544 /nfs/dbraw/zinc/04/95/44/362049544.db2.gz JPIOPORFWKDLDR-SNVBAGLBSA-N 0 1 261.329 0.826 20 30 CCEDMN N#Cc1ccccc1OCC(=O)NCCN1CC[C@H](O)C1 ZINC000600809574 362067329 /nfs/dbraw/zinc/06/73/29/362067329.db2.gz UZUHVYJOUYPEFB-ZDUSSCGKSA-N 0 1 289.335 0.120 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC000601028624 362133590 /nfs/dbraw/zinc/13/35/90/362133590.db2.gz RKKRQUUVQNTZIK-NSHDSACASA-N 0 1 276.344 0.040 20 30 CCEDMN CNS(=O)(=O)CCCNCc1ccc(C#N)o1 ZINC000601151197 362159676 /nfs/dbraw/zinc/15/96/76/362159676.db2.gz RLBSGPXELUPLIH-UHFFFAOYSA-N 0 1 257.315 0.180 20 30 CCEDMN CN1CC[C@@H](N2CCC(Nc3ccc(C#N)cn3)CC2)C1=O ZINC000601176136 362167521 /nfs/dbraw/zinc/16/75/21/362167521.db2.gz GNEJVOSNEUXBNC-CQSZACIVSA-N 0 1 299.378 0.482 20 30 CCEDMN CCc1nc(CNC(=O)c2cc(C#N)cn2C)n[nH]1 ZINC000452182272 529739439 /nfs/dbraw/zinc/73/94/39/529739439.db2.gz OQVPWIGGIPSMGY-UHFFFAOYSA-N 0 1 258.285 0.507 20 30 CCEDMN CN1CCOC[C@H]1C(=O)NC1(c2nnc[nH]2)CCC1 ZINC000329170686 529780936 /nfs/dbraw/zinc/78/09/36/529780936.db2.gz JRIHCUFVUNCESY-VIFPVBQESA-N 0 1 265.317 0.471 20 30 CCEDMN CN1CCC(NS(=O)(=O)c2ccc(C#N)nc2)CC1 ZINC000114735598 349838634 /nfs/dbraw/zinc/83/86/34/349838634.db2.gz ALJYNSSFCDCNGY-UHFFFAOYSA-N 0 1 280.353 0.326 20 30 CCEDMN Cc1cc(C[C@@H](C)NS(=O)(=O)CC2(C#N)CC2)n[nH]1 ZINC000601426212 362268688 /nfs/dbraw/zinc/26/86/88/362268688.db2.gz UJNMEVKOYUVBFQ-SNVBAGLBSA-N 0 1 282.369 0.872 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CCc1c[nH]nn1)C(=O)OC ZINC000601785631 362392771 /nfs/dbraw/zinc/39/27/71/362392771.db2.gz TVQRRTSOKBRPCB-JTQLQIEISA-N 0 1 266.301 0.361 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CCc1cnn[nH]1)C(=O)OC ZINC000601785631 362392774 /nfs/dbraw/zinc/39/27/74/362392774.db2.gz TVQRRTSOKBRPCB-JTQLQIEISA-N 0 1 266.301 0.361 20 30 CCEDMN COC(=O)C1(C)CN(C[C@H](O)CC2(C#N)CC2)C1 ZINC000601957058 362438020 /nfs/dbraw/zinc/43/80/20/362438020.db2.gz DECZEPOAHSJWSP-SNVBAGLBSA-N 0 1 252.314 0.536 20 30 CCEDMN C=CCNC(=O)CN1CCC2(CC(C(=O)OC)=NO2)CC1 ZINC000273201372 192073885 /nfs/dbraw/zinc/07/38/85/192073885.db2.gz RSYDBRBTBUUQJH-UHFFFAOYSA-N 0 1 295.339 0.073 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H](CO)[C@H]1CCOC1 ZINC000330071077 530055467 /nfs/dbraw/zinc/05/54/67/530055467.db2.gz INPARERCZSKOJV-QJPTWQEYSA-N 0 1 256.346 0.682 20 30 CCEDMN C[C@H](C(=O)N1CCN(c2cnccc2C#N)CC1)N(C)C ZINC000602160150 362534451 /nfs/dbraw/zinc/53/44/51/362534451.db2.gz MREAGTRECSYYPQ-GFCCVEGCSA-N 0 1 287.367 0.552 20 30 CCEDMN Cn1cc(CN2CCC(OCCO)CC2)cc1C#N ZINC000361579053 232160329 /nfs/dbraw/zinc/16/03/29/232160329.db2.gz QQVMDBKHMSEGSX-UHFFFAOYSA-N 0 1 263.341 0.870 20 30 CCEDMN CCC[C@@H](NC(=O)c1ccc(C#N)[nH]1)c1nn[nH]n1 ZINC000119623740 349944957 /nfs/dbraw/zinc/94/49/57/349944957.db2.gz CEWZHIZSQIHGGP-MRVPVSSYSA-N 0 1 259.273 0.671 20 30 CCEDMN C=C(C)C[C@H](NC(=O)c1ccc(CN)o1)C(=O)OC ZINC000602603302 362707909 /nfs/dbraw/zinc/70/79/09/362707909.db2.gz XOLLMBMUYVYPQU-JTQLQIEISA-N 0 1 266.297 0.976 20 30 CCEDMN C=CCC[C@H](CO)NCc1cc(C(=O)OC)no1 ZINC000602682242 362745719 /nfs/dbraw/zinc/74/57/19/362745719.db2.gz PCJVALLGOINTBW-SECBINFHSA-N 0 1 254.286 0.878 20 30 CCEDMN C[C@@H]1CN(C[C@H]2COCCO2)C[C@H](C)N1CC#N ZINC000602848236 362835525 /nfs/dbraw/zinc/83/55/25/362835525.db2.gz FYFRXUJMXGUZDL-XQQFMLRXSA-N 0 1 253.346 0.320 20 30 CCEDMN COC(=O)CCCN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602854448 362840785 /nfs/dbraw/zinc/84/07/85/362840785.db2.gz PHFKULCIAPYCPE-VXGBXAGGSA-N 0 1 253.346 0.858 20 30 CCEDMN Cc1ncc(S(=O)(=O)N[C@@H](C)c2nnc[nH]2)cc1C#N ZINC000312707760 137077223 /nfs/dbraw/zinc/07/72/23/137077223.db2.gz GWCWJJLXSDPEMG-QMMMGPOBSA-N 0 1 292.324 0.419 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)CNc2ccc(C#N)cn2)n[nH]1 ZINC000343355987 137130700 /nfs/dbraw/zinc/13/07/00/137130700.db2.gz XMMWCOFUKNJAMF-QMMMGPOBSA-N 0 1 285.311 0.091 20 30 CCEDMN C[C@@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)o2)CCN1C ZINC000344537879 137200102 /nfs/dbraw/zinc/20/01/02/137200102.db2.gz KPRRIKIWCCDNKE-ZJUUUORDSA-N 0 1 283.353 0.912 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)c1cc(C#N)c[nH]1 ZINC000344998300 137226989 /nfs/dbraw/zinc/22/69/89/137226989.db2.gz CYSIZFZAMOHATD-LLVKDONJSA-N 0 1 287.279 0.124 20 30 CCEDMN N#Cc1ccc(NC(=O)NCC(=O)N2CCNCC2)cc1 ZINC000040508024 348236828 /nfs/dbraw/zinc/23/68/28/348236828.db2.gz CEABTZHVVKOIBU-UHFFFAOYSA-N 0 1 287.323 0.112 20 30 CCEDMN C=CCNC(=O)CN1CCC(C(=O)NCCC)CC1 ZINC000042685547 348289081 /nfs/dbraw/zinc/28/90/81/348289081.db2.gz LHXBCBOATMRFPJ-UHFFFAOYSA-N 0 1 267.373 0.527 20 30 CCEDMN C[C@]12CN(Cc3ccncc3C#N)C[C@]1(C)C(=O)NC2=O ZINC000602934456 362895530 /nfs/dbraw/zinc/89/55/30/362895530.db2.gz HPDOKDGVIHERAU-HUUCEWRRSA-N 0 1 284.319 0.438 20 30 CCEDMN CCNC(=O)CN(C)CCC(=O)Nc1ccccc1C#N ZINC000049726672 348457382 /nfs/dbraw/zinc/45/73/82/348457382.db2.gz LNWKYFQJBJVEEJ-UHFFFAOYSA-N 0 1 288.351 0.955 20 30 CCEDMN C#CCCN1CCN(c2cc(C)nc3ncnn32)CC1 ZINC000065945075 348728951 /nfs/dbraw/zinc/72/89/51/348728951.db2.gz IDAAHSVORRCADN-UHFFFAOYSA-N 0 1 270.340 0.578 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@@H]1[C@H](O)CC ZINC000074287435 348982718 /nfs/dbraw/zinc/98/27/18/348982718.db2.gz JPIBCTLXPAGDPT-VXGBXAGGSA-N 0 1 283.372 0.624 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN([C@H](CC)CO)CC1 ZINC000075961380 349060276 /nfs/dbraw/zinc/06/02/76/349060276.db2.gz FRYHRBSOEZLWIA-QWHCGFSZSA-N 0 1 269.389 0.066 20 30 CCEDMN CN(C)CC(=O)N1CCN(C(=O)C#Cc2ccccc2)CC1 ZINC000081547058 349274180 /nfs/dbraw/zinc/27/41/80/349274180.db2.gz RNEOLGYSGIJARB-UHFFFAOYSA-N 0 1 299.374 0.271 20 30 CCEDMN COC[C@](C)(CCO)NCC(=O)Nc1sccc1C#N ZINC000132539810 350310341 /nfs/dbraw/zinc/31/03/41/350310341.db2.gz WOZVSIQHMOUWJB-ZDUSSCGKSA-N 0 1 297.380 0.935 20 30 CCEDMN CC(C)CNC(=O)CN(C)CCCc1[nH]nc(N)c1C#N ZINC000153257710 350555173 /nfs/dbraw/zinc/55/51/73/350555173.db2.gz YAYJCMVCFJWEPI-UHFFFAOYSA-N 0 1 292.387 0.500 20 30 CCEDMN N#CCNC(=O)CN1CCC(C2CCOCC2)CC1 ZINC000153633191 350561337 /nfs/dbraw/zinc/56/13/37/350561337.db2.gz BGBFVTDIVJTKPT-UHFFFAOYSA-N 0 1 265.357 0.765 20 30 CCEDMN CNCc1cn(CC(=O)Nc2sccc2C#N)nn1 ZINC000603173024 362983545 /nfs/dbraw/zinc/98/35/45/362983545.db2.gz LRBXCTMLYSONOV-UHFFFAOYSA-N 0 1 276.325 0.569 20 30 CCEDMN CNCc1cn(CC(=O)N(C)C2(C#N)CCCCC2)nn1 ZINC000603176853 362986207 /nfs/dbraw/zinc/98/62/07/362986207.db2.gz BYCBMYNGTWFHFJ-UHFFFAOYSA-N 0 1 290.371 0.682 20 30 CCEDMN CNC(C)(C)c1cn([C@@H](C)C(=O)N(C)CCC#N)nn1 ZINC000603189801 362990804 /nfs/dbraw/zinc/99/08/04/362990804.db2.gz SKWFTPUMQJXGGR-JTQLQIEISA-N 0 1 278.360 0.666 20 30 CCEDMN C[C@H](CNC(=O)c1cc(C#N)c[nH]1)N1CCN(C)CC1 ZINC000175705605 351139516 /nfs/dbraw/zinc/13/95/16/351139516.db2.gz IVUHLYGRYIFYFY-LLVKDONJSA-N 0 1 275.356 0.252 20 30 CCEDMN CCNCc1cn([C@H](C)C(=O)NC2(C#N)CCC2)nn1 ZINC000603239375 363018369 /nfs/dbraw/zinc/01/83/69/363018369.db2.gz GYGTUQYOQGOMJS-SNVBAGLBSA-N 0 1 276.344 0.511 20 30 CCEDMN CCNCc1cn(C[C@@H](O)CC(C)(C)C#N)nn1 ZINC000603268562 363036557 /nfs/dbraw/zinc/03/65/57/363036557.db2.gz XCLVQKQHYCZROE-NSHDSACASA-N 0 1 251.334 0.688 20 30 CCEDMN C=CCNC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000186937159 351522375 /nfs/dbraw/zinc/52/23/75/351522375.db2.gz ZZGDQDWFHNFBPU-LLVKDONJSA-N 0 1 255.362 0.659 20 30 CCEDMN C=CCOCCCNC(=O)[C@H](C)CN1CCOCC1 ZINC000618526442 366038153 /nfs/dbraw/zinc/03/81/53/366038153.db2.gz OYVYXGCDIKDDJO-CYBMUJFWSA-N 0 1 270.373 0.664 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)c1ccc(C#N)nc1 ZINC000122085095 187014696 /nfs/dbraw/zinc/01/46/96/187014696.db2.gz DYNKJHUXYKUAPE-SECBINFHSA-N 0 1 268.342 0.182 20 30 CCEDMN Cc1nc(NC[C@H]2CN(C)CCN2C)ccc1C#N ZINC000291775183 198088015 /nfs/dbraw/zinc/08/80/15/198088015.db2.gz CCCYUUGUUSERNH-ZDUSSCGKSA-N 0 1 259.357 0.919 20 30 CCEDMN Cc1[nH]c(=O)c(C#N)c(C)c1CCC(=O)N1CCNCC1 ZINC000212552845 351929910 /nfs/dbraw/zinc/92/99/10/351929910.db2.gz SNHDWZZZQOJWPE-UHFFFAOYSA-N 0 1 288.351 0.228 20 30 CCEDMN C[C@@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)nc2)CCN1C ZINC000229274956 352089829 /nfs/dbraw/zinc/08/98/29/352089829.db2.gz KTWCTBNOUJHMIQ-GHMZBOCLSA-N 0 1 294.380 0.714 20 30 CCEDMN CC[N@@H+](CCNS(=O)(=O)c1cccnc1C#N)C1CC1 ZINC000233436855 352150253 /nfs/dbraw/zinc/15/02/53/352150253.db2.gz HRDILMVAQJLCOO-UHFFFAOYSA-N 0 1 294.380 0.716 20 30 CCEDMN CN1CCC[C@H](NC(=O)C(=O)Nc2cccc(C#N)c2)C1 ZINC000604227461 363183478 /nfs/dbraw/zinc/18/34/78/363183478.db2.gz FFBDTCSHMLOKMU-ZDUSSCGKSA-N 0 1 286.335 0.707 20 30 CCEDMN N#Cc1nccnc1N1CCN(CC2CCOCC2)CC1 ZINC000265476661 352641558 /nfs/dbraw/zinc/64/15/58/352641558.db2.gz FTASNPWNWJZYGJ-UHFFFAOYSA-N 0 1 287.367 0.897 20 30 CCEDMN C[C@@H]1CN(c2nccnc2C#N)CCN1C(=O)c1ccn[nH]1 ZINC000268315004 352824483 /nfs/dbraw/zinc/82/44/83/352824483.db2.gz AHKDSVMTPVWFCR-SNVBAGLBSA-N 0 1 297.322 0.422 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1ccc(C#N)cn1 ZINC000418997293 234282246 /nfs/dbraw/zinc/28/22/46/234282246.db2.gz LDHJRKABHNDXGV-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H]1CN(c2nccc(C#N)n2)[C@H](C)CN1CCO ZINC000419006573 234285643 /nfs/dbraw/zinc/28/56/43/234285643.db2.gz SQHUIBQCOFSGMM-GHMZBOCLSA-N 0 1 261.329 0.240 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@@H](OC)[C@@H](OC)C1 ZINC000276953328 353213102 /nfs/dbraw/zinc/21/31/02/353213102.db2.gz WCHOKARGIYYUAV-MELADBBJSA-N 0 1 282.384 0.921 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1C[C@@H](OC)[C@@H](OC)C1 ZINC000276953325 353213222 /nfs/dbraw/zinc/21/32/22/353213222.db2.gz WCHOKARGIYYUAV-MCIONIFRSA-N 0 1 282.384 0.921 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(Cn2ccnn2)CC1 ZINC000156087284 188242425 /nfs/dbraw/zinc/24/24/25/188242425.db2.gz AMNIMLNIPYDBPF-GFCCVEGCSA-N 0 1 275.356 0.128 20 30 CCEDMN N#Cc1cc(C(=O)NCCN2CC=CCC2)ccn1 ZINC000279773098 353315145 /nfs/dbraw/zinc/31/51/45/353315145.db2.gz BTRBVIQQUNCCRL-UHFFFAOYSA-N 0 1 256.309 0.945 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2cc(C#N)oc2C)C1 ZINC000280574985 353370346 /nfs/dbraw/zinc/37/03/46/353370346.db2.gz GZHDPNINOPKPDB-LLVKDONJSA-N 0 1 277.324 0.910 20 30 CCEDMN CN1CCC(NS(=O)(=O)c2ccc(C#N)o2)CC1 ZINC000286580845 353597191 /nfs/dbraw/zinc/59/71/91/353597191.db2.gz RIWSKGIIOILXQP-UHFFFAOYSA-N 0 1 269.326 0.524 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(CC(C)(C)O)[C@@H](C)C2)n1 ZINC000286735581 353607354 /nfs/dbraw/zinc/60/73/54/353607354.db2.gz QIYNIKZMLAQIHC-LBPRGKRZSA-N 0 1 289.383 0.938 20 30 CCEDMN C[C@@H](CCC#N)CN1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000618888258 366113943 /nfs/dbraw/zinc/11/39/43/366113943.db2.gz UYTOVFNGLMEJJN-VHSXEESVSA-N 0 1 250.302 0.162 20 30 CCEDMN C#CCN(C)CCCNC(=O)C(=O)c1cnn(CC)c1 ZINC000294013365 353860060 /nfs/dbraw/zinc/86/00/60/353860060.db2.gz PWKDAVWMAFKRON-UHFFFAOYSA-N 0 1 276.340 0.157 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CC[C@H](n2cccn2)C1 ZINC000296721290 353957115 /nfs/dbraw/zinc/95/71/15/353957115.db2.gz CDLXFDFIDSLCQR-AWEZNQCLSA-N 0 1 289.383 0.795 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CC1)[C@H](O)C[C@H]2OCC ZINC000304389909 354116746 /nfs/dbraw/zinc/11/67/46/354116746.db2.gz UDLZKSKERKDBAL-BFHYXJOUSA-N 0 1 296.411 0.929 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2ccc(C#N)cc2)CC[C@H]1O ZINC000331128043 354458742 /nfs/dbraw/zinc/45/87/42/354458742.db2.gz FIGLEZMJUHFCHX-IUODEOHRSA-N 0 1 287.363 0.877 20 30 CCEDMN N#CCN1CCC[C@@H](CNC(=O)[C@@H]2CCCN2C2CC2)C1 ZINC000333028590 354746750 /nfs/dbraw/zinc/74/67/50/354746750.db2.gz LHAJVKDUBRAGFS-ZFWWWQNUSA-N 0 1 290.411 0.965 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N[C@@H]1CCCN(C)[C@@H]1C ZINC000336884737 355219447 /nfs/dbraw/zinc/21/94/47/355219447.db2.gz FCJWKCPRHOAQNJ-IJLUTSLNSA-N 0 1 288.417 0.395 20 30 CCEDMN C[C@H](C#N)CN(C[C@H]1CCN(S(C)(=O)=O)C1)C1CC1 ZINC000338289822 355312744 /nfs/dbraw/zinc/31/27/44/355312744.db2.gz VGEBIGLDAZWUSN-VXGBXAGGSA-N 0 1 285.413 0.892 20 30 CCEDMN C[C@@H](CO)N(C)CC(=O)NCc1cccc(C#N)c1 ZINC000338598903 355375592 /nfs/dbraw/zinc/37/55/92/355375592.db2.gz MYNMZJJTBQYDRA-NSHDSACASA-N 0 1 261.325 0.487 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CC[C@](F)(CO)C1 ZINC000338629206 355385380 /nfs/dbraw/zinc/38/53/80/355385380.db2.gz XZFCHAROQWHERO-GFCCVEGCSA-N 0 1 257.309 0.155 20 30 CCEDMN CC[C@H]1CN(CC(=O)N(CC)CCC#N)C[C@@H]1O ZINC000341045321 355593871 /nfs/dbraw/zinc/59/38/71/355593871.db2.gz LDUCUWPFKNLYSX-RYUDHWBXSA-N 0 1 253.346 0.451 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)CC1(C#N)CCCC1 ZINC000344891138 355795417 /nfs/dbraw/zinc/79/54/17/355795417.db2.gz UQFNCNHLIYWMBL-LLVKDONJSA-N 0 1 273.402 0.940 20 30 CCEDMN N#Cc1ccc(C(=O)NC2(c3nn[nH]n3)CC2)cc1 ZINC000348282717 355904052 /nfs/dbraw/zinc/90/40/52/355904052.db2.gz XDLNYFXNAPNMQN-UHFFFAOYSA-N 0 1 254.253 0.490 20 30 CCEDMN C[C@@H]1[C@H](NCc2cc(C#N)n(C)c2)CCS1(=O)=O ZINC000353864049 356306671 /nfs/dbraw/zinc/30/66/71/356306671.db2.gz IHXOITYIPAVKMH-BXKDBHETSA-N 0 1 267.354 0.562 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)N1CCc2[nH]nnc2C1 ZINC000362213307 138050917 /nfs/dbraw/zinc/05/09/17/138050917.db2.gz PCBBVRAHJBFUNQ-UHFFFAOYSA-N 0 1 256.269 0.213 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1ccccc1CC#N ZINC000619571614 366284619 /nfs/dbraw/zinc/28/46/19/366284619.db2.gz BKQLQWSIIUCVTP-UHFFFAOYSA-N 0 1 267.354 0.593 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)c2ccc(C#N)nc2)C1 ZINC000609662830 363513233 /nfs/dbraw/zinc/51/32/33/363513233.db2.gz VZZCSVWGOJOPKV-CQSZACIVSA-N 0 1 288.351 0.794 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CSC2(C)C)[nH]1 ZINC000619795471 366355858 /nfs/dbraw/zinc/35/58/58/366355858.db2.gz NTCOPAUPHDNLGQ-MRVPVSSYSA-N 0 1 265.342 0.729 20 30 CCEDMN COCCN(CCC#N)C(=O)CSc1nnc(C)[nH]1 ZINC000610505630 363570421 /nfs/dbraw/zinc/57/04/21/363570421.db2.gz RQZKGNOUVBLXPR-UHFFFAOYSA-N 0 1 283.357 0.594 20 30 CCEDMN COCCN(CCC#N)C(=O)CSc1nc(C)n[nH]1 ZINC000610505630 363570428 /nfs/dbraw/zinc/57/04/28/363570428.db2.gz RQZKGNOUVBLXPR-UHFFFAOYSA-N 0 1 283.357 0.594 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](OC)C1 ZINC000361363362 357046710 /nfs/dbraw/zinc/04/67/10/357046710.db2.gz YFLCCSLLJSANDE-VHSXEESVSA-N 0 1 255.318 0.107 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](c2ccnn2C)C1 ZINC000363096138 357058955 /nfs/dbraw/zinc/05/89/55/357058955.db2.gz YUQVYRLBWXUVHS-GFCCVEGCSA-N 0 1 262.357 0.902 20 30 CCEDMN C[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)c1cccnc1 ZINC000610561424 363576576 /nfs/dbraw/zinc/57/65/76/363576576.db2.gz VQZSLHBOLHMADL-VIFPVBQESA-N 0 1 270.296 0.991 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H](O)CC(C)(C)C)[nH]1 ZINC000610562687 363576720 /nfs/dbraw/zinc/57/67/20/363576720.db2.gz BPPVDRZKCVGGAX-JTQLQIEISA-N 0 1 279.344 0.632 20 30 CCEDMN COC[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)c1ccco1 ZINC000610561444 363576895 /nfs/dbraw/zinc/57/68/95/363576895.db2.gz WIQUVWQNVDIHML-SNVBAGLBSA-N 0 1 289.295 0.816 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@H](C)C2)CC1 ZINC000374341727 357398452 /nfs/dbraw/zinc/39/84/52/357398452.db2.gz PTXVOGZNOWTHFW-CYBMUJFWSA-N 0 1 264.369 0.827 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)C(C)(C)C#N)C1 ZINC000398938516 357779554 /nfs/dbraw/zinc/77/95/54/357779554.db2.gz JREOEUGMZSSVMW-LLVKDONJSA-N 0 1 253.346 0.763 20 30 CCEDMN CC(C)CO[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610730797 363604498 /nfs/dbraw/zinc/60/44/98/363604498.db2.gz FZDQFJPCIIZBDI-LBPRGKRZSA-N 0 1 291.355 0.992 20 30 CCEDMN CC1(C)[C@@H](O)CCN1Cc1cnc2c(C#N)cnn2c1 ZINC000571209420 358922977 /nfs/dbraw/zinc/92/29/77/358922977.db2.gz WYJIFNHEIIXZOG-LBPRGKRZSA-N 0 1 271.324 0.946 20 30 CCEDMN CN1CC[C@@H]2CN(S(=O)(=O)CC3(C#N)CCC3)C[C@@H]21 ZINC000573072741 358935562 /nfs/dbraw/zinc/93/55/62/358935562.db2.gz VENIUJFZHFIYKV-NEPJUHHUSA-N 0 1 283.397 0.646 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)CCC(C)(C)C#N ZINC000582387579 359142541 /nfs/dbraw/zinc/14/25/41/359142541.db2.gz CHQJMFXTWSPWBK-UHFFFAOYSA-N 0 1 261.391 0.749 20 30 CCEDMN CCC[C@H](O)CNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000584839604 359215437 /nfs/dbraw/zinc/21/54/37/359215437.db2.gz RIHVPCOGZHQGBI-LBPRGKRZSA-N 0 1 297.380 0.478 20 30 CCEDMN C=CCSCCNC(=O)C(=O)NCc1cc(C)[nH]n1 ZINC000586812781 359294036 /nfs/dbraw/zinc/29/40/36/359294036.db2.gz MELCFXRMBCXEKG-UHFFFAOYSA-N 0 1 282.369 0.370 20 30 CCEDMN N#Cc1csc(C(=O)N2CC[C@H](N3CC[C@H](O)C3)C2)c1 ZINC000588861881 359343317 /nfs/dbraw/zinc/34/33/17/359343317.db2.gz VVVYQJJHQJDLJC-RYUDHWBXSA-N 0 1 291.376 0.901 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3ccccc3C#N)[C@H]2C1 ZINC000589929870 359410506 /nfs/dbraw/zinc/41/05/06/359410506.db2.gz NCTGDRMLJFQDAL-OLZOCXBDSA-N 0 1 291.376 0.883 20 30 CCEDMN CCN1CCN(C(=O)N=c2ccn(CCC#N)[nH]2)C[C@H]1C ZINC000611095652 363659609 /nfs/dbraw/zinc/65/96/09/363659609.db2.gz ZFXZPAGIIGEDOH-GFCCVEGCSA-N 0 1 290.371 0.777 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC(F)(F)[C@H](CO)C2)[nH]1 ZINC000589761857 359400900 /nfs/dbraw/zinc/40/09/00/359400900.db2.gz PQWKWSUVAXNHHN-VIFPVBQESA-N 0 1 299.281 0.195 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)CC1(C#N)CC1 ZINC000590335313 359441478 /nfs/dbraw/zinc/44/14/78/359441478.db2.gz GZLKYFGFCPMTFY-LBPRGKRZSA-N 0 1 279.384 0.984 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)CC1(C#N)CC1 ZINC000590335311 359441510 /nfs/dbraw/zinc/44/15/10/359441510.db2.gz GZLKYFGFCPMTFY-GFCCVEGCSA-N 0 1 279.384 0.984 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)c2ccc(C#N)n2C)C1 ZINC000590633460 359502891 /nfs/dbraw/zinc/50/28/91/359502891.db2.gz SASGQARRURDHAJ-LBPRGKRZSA-N 0 1 290.367 0.737 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1cccc(CC#N)c1)N(C)C ZINC000590763314 359519840 /nfs/dbraw/zinc/51/98/40/359519840.db2.gz SDTXSHHDCABHTM-NSHDSACASA-N 0 1 281.381 0.981 20 30 CCEDMN COC[C@@H]1CCCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000590822530 359527517 /nfs/dbraw/zinc/52/75/17/359527517.db2.gz WDWOMQKHEMYVFB-NSHDSACASA-N 0 1 277.328 0.746 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1cc(C#N)ncn1 ZINC000591370633 359584919 /nfs/dbraw/zinc/58/49/19/359584919.db2.gz NRPUKYWYFCQIKV-WDEREUQCSA-N 0 1 261.329 0.240 20 30 CCEDMN CCn1ccnc1[C@@H](C)NC[C@@H](O)CN(C)CCC#N ZINC000591769946 359655849 /nfs/dbraw/zinc/65/58/49/359655849.db2.gz YDVGHLTVBKTPDQ-CHWSQXEVSA-N 0 1 279.388 0.760 20 30 CCEDMN CCOC(=O)CCCCn1c(=O)[nH]cc(C#N)c1=O ZINC000563127776 291196369 /nfs/dbraw/zinc/19/63/69/291196369.db2.gz SLXGLHBLWCHNPX-UHFFFAOYSA-N 0 1 265.269 0.142 20 30 CCEDMN CC1(C)NC(=O)N(CCCN2CC[C@@](O)(CC#N)C2)C1=O ZINC000592146419 359765366 /nfs/dbraw/zinc/76/53/66/359765366.db2.gz HQGFRYVVWRMNGZ-AWEZNQCLSA-N 0 1 294.355 0.057 20 30 CCEDMN CC(C)(C)c1nc(CN2CC[C@@](O)(CC#N)C2)n[nH]1 ZINC000592150163 359768954 /nfs/dbraw/zinc/76/89/54/359768954.db2.gz BIIYJAXPGYGLKD-ZDUSSCGKSA-N 0 1 263.345 0.953 20 30 CCEDMN COCC[N@H+]1CC[C@H](NC(=O)Nc2cccc(C#N)n2)C1 ZINC000592268711 359807864 /nfs/dbraw/zinc/80/78/64/359807864.db2.gz SYAONMRNUKSYAF-LBPRGKRZSA-N 0 1 289.339 0.795 20 30 CCEDMN COCCN1CC[C@H](NC(=O)Nc2cccc(C#N)n2)C1 ZINC000592268711 359807873 /nfs/dbraw/zinc/80/78/73/359807873.db2.gz SYAONMRNUKSYAF-LBPRGKRZSA-N 0 1 289.339 0.795 20 30 CCEDMN C[C@H](CO)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000611235163 363689917 /nfs/dbraw/zinc/68/99/17/363689917.db2.gz GBLWCXBXFDYAPR-LBPRGKRZSA-N 0 1 260.341 0.704 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2ccc([N+](=O)[O-])n2C)[nH]n1C ZINC000592646030 359908470 /nfs/dbraw/zinc/90/84/70/359908470.db2.gz FTHNOZFWDHLGTM-UHFFFAOYSA-N 0 1 288.267 0.521 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CC)[C@@H]1CCCOC1 ZINC000593066815 360025952 /nfs/dbraw/zinc/02/59/52/360025952.db2.gz FTBZSSGWLGIEEY-LLVKDONJSA-N 0 1 269.345 0.499 20 30 CCEDMN N#CC1(CN2C(=O)N[C@@H](Cc3cnc[nH]3)C2=O)CCCC1 ZINC000593231785 360053820 /nfs/dbraw/zinc/05/38/20/360053820.db2.gz JCWNGVZPLUANIW-NSHDSACASA-N 0 1 287.323 0.957 20 30 CCEDMN C[C@@H](CCO)N(C)Cc1cnc2c(C#N)cnn2c1 ZINC000593694220 360135745 /nfs/dbraw/zinc/13/57/45/360135745.db2.gz KMBWFBHVXZTAKX-JTQLQIEISA-N 0 1 259.313 0.804 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N1CCCNC[C@H]1C(=O)OC ZINC000593906953 360204683 /nfs/dbraw/zinc/20/46/83/360204683.db2.gz GSXDGNFIAGQXFP-NEPJUHHUSA-N 0 1 268.357 0.952 20 30 CCEDMN N#Cc1csc(CN2CCN3C[C@H](O)C[C@H]3C2)n1 ZINC000594025362 360238467 /nfs/dbraw/zinc/23/84/67/360238467.db2.gz HBNGSYJCFAVSDI-WDEREUQCSA-N 0 1 264.354 0.266 20 30 CCEDMN C[C@H](O)CN(CC(=O)N(CCC#N)CCC#N)C1CC1 ZINC000594055671 360243001 /nfs/dbraw/zinc/24/30/01/360243001.db2.gz NQJWHOJXXFLGAR-LBPRGKRZSA-N 0 1 278.356 0.488 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C[C@@H](C)O)C1CC1 ZINC000594064200 360244521 /nfs/dbraw/zinc/24/45/21/360244521.db2.gz LHRPKMNANHSUJC-LLVKDONJSA-N 0 1 253.346 0.594 20 30 CCEDMN N#CCCCCC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000594372110 360286113 /nfs/dbraw/zinc/28/61/13/360286113.db2.gz HQZIKQFKWZRJQT-UHFFFAOYSA-N 0 1 276.344 0.533 20 30 CCEDMN C[C@@H](C#N)CNC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000611365536 363711255 /nfs/dbraw/zinc/71/12/55/363711255.db2.gz MEWWDUXGKBOYCF-JTQLQIEISA-N 0 1 298.306 0.482 20 30 CCEDMN COC(=O)c1ccc(NCCN2CCC2)c(C#N)n1 ZINC000594566563 360332106 /nfs/dbraw/zinc/33/21/06/360332106.db2.gz RGXPFQNTSWJXHT-UHFFFAOYSA-N 0 1 260.297 0.857 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@](C)(C(=O)OC)C2)CC1 ZINC000177204450 189035929 /nfs/dbraw/zinc/03/59/29/189035929.db2.gz ZJFNWXHUIZCUKB-INIZCTEOSA-N 0 1 292.379 0.743 20 30 CCEDMN COC(=O)[C@H]1CNCCCN1C(=O)CCCCC#N ZINC000594443280 360311891 /nfs/dbraw/zinc/31/18/91/360311891.db2.gz CEMKDJAGLMXJSL-LLVKDONJSA-N 0 1 267.329 0.434 20 30 CCEDMN COC[C@@H](CCN[C@H](C(N)=O)c1ccc(C#N)cc1)OC ZINC000594710379 360364286 /nfs/dbraw/zinc/36/42/86/360364286.db2.gz KECRPGWVTMZPTH-KGLIPLIRSA-N 0 1 291.351 0.726 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594779024 360381775 /nfs/dbraw/zinc/38/17/75/360381775.db2.gz JIZKHDACAITDPN-SCZZXKLOSA-N 0 1 299.308 0.612 20 30 CCEDMN COC1(CNC(=O)N=c2[nH]n(C)cc2C#N)CCC1 ZINC000611557512 363737644 /nfs/dbraw/zinc/73/76/44/363737644.db2.gz PMFACCPJSJJPMO-UHFFFAOYSA-N 0 1 263.301 0.404 20 30 CCEDMN C[C@@H]1CN(CCN2CCN(C(=O)CC#N)CC2)C[C@@H](C)O1 ZINC000595513654 360557470 /nfs/dbraw/zinc/55/74/70/360557470.db2.gz YJYPTTMPYASAGN-ZIAGYGMSSA-N 0 1 294.399 0.153 20 30 CCEDMN CCOC(=O)[C@](C)(O)C[N@H+]1CCC[C@@H](CC#N)C1 ZINC000595619996 360600996 /nfs/dbraw/zinc/60/09/96/360600996.db2.gz IYYOGWMRXAHQOD-WCQYABFASA-N 0 1 254.330 0.926 20 30 CCEDMN C[C@@H]1NC(=O)N(CCN2CCC[C@@H](CC#N)C2)C1=O ZINC000595623543 360601524 /nfs/dbraw/zinc/60/15/24/360601524.db2.gz SWUGBNXQSFXELV-QWRGUYRKSA-N 0 1 264.329 0.552 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1C[C@@H]2CC[C@H](O)C[C@@H]2C1)C1CC1 ZINC000595633074 360601636 /nfs/dbraw/zinc/60/16/36/360601636.db2.gz LBWCXBOWFBFWJH-LMWOUKEDSA-N 0 1 291.395 0.888 20 30 CCEDMN N#Cc1ccc(CNC2(C(N)=O)CCOCC2)cc1F ZINC000595728386 360631533 /nfs/dbraw/zinc/63/15/33/360631533.db2.gz NATNZIXBDDMPTE-UHFFFAOYSA-N 0 1 277.299 0.821 20 30 CCEDMN COc1ccc(CN2CCC(CO)(CO)C2)cc1C#N ZINC000595805076 360660730 /nfs/dbraw/zinc/66/07/30/360660730.db2.gz DFWGBAIGIXAAAK-UHFFFAOYSA-N 0 1 276.336 0.744 20 30 CCEDMN CC[C@H](CO)N1CCN(c2cc(C#N)cnn2)CC1 ZINC000596141846 360809630 /nfs/dbraw/zinc/80/96/30/360809630.db2.gz LYIMEIMAIZDEGP-GFCCVEGCSA-N 0 1 261.329 0.241 20 30 CCEDMN CCc1nc(C#N)cc(N2CCN([C@H](CC)CO)CC2)n1 ZINC000596142602 360809820 /nfs/dbraw/zinc/80/98/20/360809820.db2.gz RSFAAVCPCHUVKM-CYBMUJFWSA-N 0 1 289.383 0.804 20 30 CCEDMN C[C@@H](CC#N)C(=O)NCCCCN1CCOCC1 ZINC000596812711 360960087 /nfs/dbraw/zinc/96/00/87/360960087.db2.gz JGKILTOWNGLELJ-LBPRGKRZSA-N 0 1 253.346 0.765 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cnc3n2CCC3)CC1 ZINC000597164918 361020741 /nfs/dbraw/zinc/02/07/41/361020741.db2.gz DZDGXAWZIYFWBW-UHFFFAOYSA-N 0 1 273.340 0.547 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000597541484 361133019 /nfs/dbraw/zinc/13/30/19/361133019.db2.gz KBBODISGXGOPLQ-RYUDHWBXSA-N 0 1 267.373 0.840 20 30 CCEDMN COCCN(CC#N)C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000597755425 361236690 /nfs/dbraw/zinc/23/66/90/361236690.db2.gz UERMXRKZUPDDLX-JTQLQIEISA-N 0 1 262.313 0.513 20 30 CCEDMN Cc1nc(CC(=O)NCCc2ccc(C#N)cc2)n[nH]1 ZINC000597894612 361277647 /nfs/dbraw/zinc/27/76/47/361277647.db2.gz QGCOPJFJTPGHSZ-UHFFFAOYSA-N 0 1 269.308 0.886 20 30 CCEDMN CC(C#N)C(=O)N1CCOC[C@H]1C(=O)OC(C)(C)C ZINC000597834950 361262975 /nfs/dbraw/zinc/26/29/75/361262975.db2.gz RZMFXKRWWPXMIU-UWVGGRQHSA-N 0 1 268.313 0.715 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](C)[C@@H](CO)C1 ZINC000598647143 361472455 /nfs/dbraw/zinc/47/24/55/361472455.db2.gz CAJVKTNAZXXFKB-CHWSQXEVSA-N 0 1 267.373 0.699 20 30 CCEDMN CCOC(=O)C[C@H](O)CN1CCC[C@H](CC#N)C1 ZINC000599468380 361712314 /nfs/dbraw/zinc/71/23/14/361712314.db2.gz FLYHWGABFKAOOA-NEPJUHHUSA-N 0 1 254.330 0.926 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000614431548 364604446 /nfs/dbraw/zinc/60/44/46/364604446.db2.gz FGTWDJZLOGCJJF-UKRRQHHQSA-N 0 1 282.384 0.637 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC000191534036 189599006 /nfs/dbraw/zinc/59/90/06/189599006.db2.gz GINUABDKPPIAIE-LBPRGKRZSA-N 0 1 262.357 0.395 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000614504666 364634477 /nfs/dbraw/zinc/63/44/77/364634477.db2.gz XURMNZBIZDXKIZ-HOCLYGCPSA-N 0 1 295.427 0.721 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC000623600693 367601179 /nfs/dbraw/zinc/60/11/79/367601179.db2.gz YCTHTCOTPQIOGS-KBPBESRZSA-N 0 1 280.416 0.840 20 30 CCEDMN C=CC[C@H]1CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)C1 ZINC000625703706 368298755 /nfs/dbraw/zinc/29/87/55/368298755.db2.gz ONXLBCWHZDGATJ-RYUDHWBXSA-N 0 1 267.373 0.477 20 30 CCEDMN C=CCN1CC[C@@H](N2CCCC[C@H]2CNC(C)=O)C1=O ZINC000626158931 368464214 /nfs/dbraw/zinc/46/42/14/368464214.db2.gz DEGYGEXTULKCRS-UONOGXRCSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCC(C)(C)CNC(=O)NC[C@@H]1COCCN1 ZINC000627043755 368752426 /nfs/dbraw/zinc/75/24/26/368752426.db2.gz RENGNCFXRJIGQS-LLVKDONJSA-N 0 1 255.362 0.876 20 30 CCEDMN C=C1CC(C)(C(=O)NCCN2CCN(C)CC2)C1 ZINC000630623118 370215830 /nfs/dbraw/zinc/21/58/30/370215830.db2.gz YEFBQBWKKYKJEY-UHFFFAOYSA-N 0 1 251.374 0.706 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)C(C)(C)CN1CCOCC1 ZINC000635993067 373221358 /nfs/dbraw/zinc/22/13/58/373221358.db2.gz ZYVHTFQGQUIDBV-OLZOCXBDSA-N 0 1 282.384 0.805 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCCN1CC[C@@H](O)C1 ZINC000637117665 373938876 /nfs/dbraw/zinc/93/88/76/373938876.db2.gz ZTWGPFXKJPTYDO-BFHYXJOUSA-N 0 1 297.399 0.333 20 30 CCEDMN C=CC[C@H](CC)NC(=O)NCCN1CC[C@H](O)C1 ZINC000637118224 373939769 /nfs/dbraw/zinc/93/97/69/373939769.db2.gz ITWQUELFTRTFAP-RYUDHWBXSA-N 0 1 255.362 0.707 20 30 CCEDMN C=CCN1CC[C@@H](n2cc(CNC3CC3)nn2)C1=O ZINC000641107556 376787804 /nfs/dbraw/zinc/78/78/04/376787804.db2.gz CCIYNTZWXIZSKY-GFCCVEGCSA-N 0 1 261.329 0.490 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@@H]1CCN(C)C1=O ZINC000641464346 377145882 /nfs/dbraw/zinc/14/58/82/377145882.db2.gz DTWNSLXAXNUVMK-QMMMGPOBSA-N 0 1 268.342 0.740 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000515479956 249135943 /nfs/dbraw/zinc/13/59/43/249135943.db2.gz QTJDXVHITAJSRY-SECBINFHSA-N 0 1 286.295 0.993 20 30 CCEDMN Cc1cc(C(=O)NCCc2nc[nH]n2)ncc1C#N ZINC000515867661 249146788 /nfs/dbraw/zinc/14/67/88/249146788.db2.gz OXWGNYGJCRDHSZ-UHFFFAOYSA-N 0 1 256.269 0.352 20 30 CCEDMN C=CCCCN(C)CC(=O)N(C)CC(=O)NCCC ZINC000265640286 190196047 /nfs/dbraw/zinc/19/60/47/190196047.db2.gz RRPWKJDSFYRRAP-UHFFFAOYSA-N 0 1 269.389 0.869 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)[C@@H]2CCCN2C)n1 ZINC000279103016 194285202 /nfs/dbraw/zinc/28/52/02/194285202.db2.gz JSPJDICLQWIKBJ-AWEZNQCLSA-N 0 1 274.368 0.959 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2ccnc(C#N)c2)C1 ZINC000279636152 194506643 /nfs/dbraw/zinc/50/66/43/194506643.db2.gz ADTGZJAPWWGVJL-GFCCVEGCSA-N 0 1 274.324 0.404 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@H]1C1(O)CC1 ZINC000574856028 335052571 /nfs/dbraw/zinc/05/25/71/335052571.db2.gz CAPHLBVLKCKUKT-NSHDSACASA-N 0 1 281.356 0.378 20 30 CCEDMN COCCOC[C@@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347052984 533114489 /nfs/dbraw/zinc/11/44/89/533114489.db2.gz LTRPBKKVITVPSM-CYBMUJFWSA-N 0 1 295.383 0.534 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@H](c3nc(=O)[nH][nH]3)C2)C1=O ZINC000288563686 388863295 /nfs/dbraw/zinc/86/32/95/388863295.db2.gz AGGQUEWPNQAKLR-MNOVXSKESA-N 0 1 291.355 0.064 20 30 CCEDMN C#C[C@@H](C)NC(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000287209941 388849915 /nfs/dbraw/zinc/84/99/15/388849915.db2.gz QZINIQREJQKTOQ-SNVBAGLBSA-N 0 1 288.351 0.990 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1CCCN(CC)CC1 ZINC000289839398 388896392 /nfs/dbraw/zinc/89/63/92/388896392.db2.gz TYIKCGSYNBCLTO-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C#CCONC(=O)[C@@H]1CC(=O)N(C)[C@@H]1c1cccnc1 ZINC000291010469 388915420 /nfs/dbraw/zinc/91/54/20/388915420.db2.gz AVJMIPAJXBVHNB-DGCLKSJQSA-N 0 1 273.292 0.282 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@H]1CCO ZINC000049801331 388923847 /nfs/dbraw/zinc/92/38/47/388923847.db2.gz SPYWNCURFXMJES-NSHDSACASA-N 0 1 269.345 0.235 20 30 CCEDMN C=CCC(F)(F)C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000293570561 388984374 /nfs/dbraw/zinc/98/43/74/388984374.db2.gz TUCFUVQDTDJZQV-SSDOTTSWSA-N 0 1 259.260 0.973 20 30 CCEDMN C#CCNC(=O)CCN1CCC[C@@]1(C)C(=O)OC ZINC000294524025 388992465 /nfs/dbraw/zinc/99/24/65/388992465.db2.gz JHOYAMPAUQZRGK-ZDUSSCGKSA-N 0 1 252.314 0.153 20 30 CCEDMN C#CCN(C)C[C@H](O)COc1cccc([N+](=O)[O-])c1 ZINC000192234702 389028987 /nfs/dbraw/zinc/02/89/87/389028987.db2.gz VANZEXRQPACLII-LBPRGKRZSA-N 0 1 264.281 0.900 20 30 CCEDMN C#CCN(C)C(=O)c1n[nH]c(CCC)c1[N+](=O)[O-] ZINC000191098486 389005711 /nfs/dbraw/zinc/00/57/11/389005711.db2.gz VDEQKWGVBLXYKY-UHFFFAOYSA-N 0 1 250.258 0.976 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(C(=O)N(C)C)CC2)C1=O ZINC000337136658 389046986 /nfs/dbraw/zinc/04/69/86/389046986.db2.gz ORFGKXZFCBNSID-CYBMUJFWSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(N(C)C(C)=O)CC2)C1=O ZINC000337142804 389047152 /nfs/dbraw/zinc/04/71/52/389047152.db2.gz UNAMUNAZEHSXPF-AWEZNQCLSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C)[C@H](C)[C@@H]2C)C1=O ZINC000337184999 389052392 /nfs/dbraw/zinc/05/23/92/389052392.db2.gz OPFLGWPUBHGDLC-AGIUHOORSA-N 0 1 251.374 0.798 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C3CCOCC3)CC2)C1=O ZINC000337198263 389053757 /nfs/dbraw/zinc/05/37/57/389053757.db2.gz YAPVXUIHCYNTQX-HNNXBMFYSA-N 0 1 293.411 0.570 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(CC(C)(C)O)C[C@H]2C)C1=O ZINC000337217036 389056017 /nfs/dbraw/zinc/05/60/17/389056017.db2.gz ZVTWEVGZYLTPQP-KGLIPLIRSA-N 0 1 295.427 0.550 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCN2CCOC[C@H]2C)C1=O ZINC000337224687 389057468 /nfs/dbraw/zinc/05/74/68/389057468.db2.gz NREOFEHPQCNLHG-KGLIPLIRSA-N 0 1 281.400 0.426 20 30 CCEDMN CCN(CCOCCO)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000337438157 389061229 /nfs/dbraw/zinc/06/12/29/389061229.db2.gz KRYDKNRURUUUEX-HIFRSBDPSA-N 0 1 299.415 0.760 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccc(C#N)nn2)[C@@H](C)C1 ZINC000302393145 389070251 /nfs/dbraw/zinc/07/02/51/389070251.db2.gz CJTAFNYWSIHKAO-WDEREUQCSA-N 0 1 261.329 0.240 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](C)[C@@H](O)C1 ZINC000305766577 389088954 /nfs/dbraw/zinc/08/89/54/389088954.db2.gz SCJLZRZFKTVCFD-NEPJUHHUSA-N 0 1 253.346 0.451 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)NC[C@@H]1CCCN1C ZINC000336927243 389033296 /nfs/dbraw/zinc/03/32/96/389033296.db2.gz WKGTUQCAVACJDE-RYUDHWBXSA-N 0 1 288.417 0.397 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N(C)CCN(C)C1CC1 ZINC000336935437 389033956 /nfs/dbraw/zinc/03/39/56/389033956.db2.gz FIEQQDUKQLALSN-UHFFFAOYSA-N 0 1 288.417 0.493 20 30 CCEDMN CC[C@H]1CN(C)CCCN1S(=O)(=O)[C@H](C)C#N ZINC000300531961 389045376 /nfs/dbraw/zinc/04/53/76/389045376.db2.gz DOUCYMJNCGMKPN-MNOVXSKESA-N 0 1 259.375 0.644 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@]2(CCCOC2)C1 ZINC000355785771 389116643 /nfs/dbraw/zinc/11/66/43/389116643.db2.gz LGJRMZGONDMGSJ-OAHLLOKOSA-N 0 1 295.383 0.891 20 30 CCEDMN COC(=O)C[C@H]1CSCCN1CCOCCC#N ZINC000339022016 389122415 /nfs/dbraw/zinc/12/24/15/389122415.db2.gz QTSZZYGZUOQQRD-NSHDSACASA-N 0 1 272.370 0.897 20 30 CCEDMN C[C@@H]1[C@@H](C)N(CC(=O)N(CCC#N)CCC#N)CCN1C ZINC000357041202 389130162 /nfs/dbraw/zinc/13/01/62/389130162.db2.gz QINWGUQNFKQSDY-ZIAGYGMSSA-N 0 1 291.399 0.667 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@H](C)N(C)[C@@H](C)C1 ZINC000339620656 389136433 /nfs/dbraw/zinc/13/64/33/389136433.db2.gz CVLSQKBSEDLGQH-RWMBFGLXSA-N 0 1 278.400 0.962 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)NC[C@H]2CCCN2C)c1 ZINC000118107008 389136774 /nfs/dbraw/zinc/13/67/74/389136774.db2.gz AVGGRTANHSPDGE-CQSZACIVSA-N 0 1 285.347 0.817 20 30 CCEDMN O[C@H]1CCCN(CC#CC[N@@H+]2CCC[C@H](O)CC2)CC1 ZINC000339782858 389139746 /nfs/dbraw/zinc/13/97/46/389139746.db2.gz ZRYWDIGFXOTBDE-HOTGVXAUSA-N 0 1 280.412 0.683 20 30 CCEDMN CCCN(CC#N)C(=O)CN1CCN(CC)CC1 ZINC000359967200 389187272 /nfs/dbraw/zinc/18/72/72/389187272.db2.gz FOEQPYALLVXAEL-UHFFFAOYSA-N 0 1 252.362 0.386 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCN1CCNC(=O)C1 ZINC000329716916 389191934 /nfs/dbraw/zinc/19/19/34/389191934.db2.gz XBBYNPLSKZXVTB-JTQLQIEISA-N 0 1 254.334 0.309 20 30 CCEDMN Cc1cnn(CC[NH+]=C([O-])N2C[C@@H]3CC[C@H](O)[C@H]3C2)c1 ZINC000329730694 389193580 /nfs/dbraw/zinc/19/35/80/389193580.db2.gz GHXQEGOERVCQFY-AVGNSLFASA-N 0 1 278.356 0.808 20 30 CCEDMN N#C[C@]1(NC(=O)c2csc(=NC3CC3)[nH]2)CCOC1 ZINC000358445176 389150675 /nfs/dbraw/zinc/15/06/75/389150675.db2.gz KQDZFMQSUFNNJS-GFCCVEGCSA-N 0 1 278.337 0.552 20 30 CCEDMN CC(C)(C#N)CNC(=O)NCCN1CCCOCC1 ZINC000358623060 389156372 /nfs/dbraw/zinc/15/63/72/389156372.db2.gz BHRPUBNGHAUHHN-UHFFFAOYSA-N 0 1 268.361 0.558 20 30 CCEDMN C[C@@H](NCC(=O)N(CCC#N)CCC#N)c1cn[nH]c1 ZINC000358831765 389161541 /nfs/dbraw/zinc/16/15/41/389161541.db2.gz VCOUKGVHNHFCAR-LLVKDONJSA-N 0 1 274.328 0.716 20 30 CCEDMN C[C@H](NC(=O)NC[C@@H]1CN(C)CCN1C)[C@H]1CCCOC1 ZINC000329475142 389165696 /nfs/dbraw/zinc/16/56/96/389165696.db2.gz BBXNIGIGBJBHFF-MELADBBJSA-N 0 1 298.431 0.551 20 30 CCEDMN Cc1ncc(C(=O)NC[C@@H]2CN(C)CCN2C)c(C)n1 ZINC000329512922 389168187 /nfs/dbraw/zinc/16/81/87/389168187.db2.gz XXSIIZGVGAVTRY-GFCCVEGCSA-N 0 1 277.372 0.644 20 30 CCEDMN CC(C)(C#N)C(=O)N[C@@H](CO)CN1CCCCC1 ZINC000330597382 389245108 /nfs/dbraw/zinc/24/51/08/389245108.db2.gz CUKBLSUGBQMSPO-LLVKDONJSA-N 0 1 253.346 0.499 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H](CO)C1 ZINC000248368109 389245706 /nfs/dbraw/zinc/24/57/06/389245706.db2.gz UUYAZULFCQDOBW-CHWSQXEVSA-N 0 1 267.373 0.699 20 30 CCEDMN CCN1C(=O)[C@H]2CN(CCC(C)(C)C#N)CCN2C1=O ZINC000363480500 389248782 /nfs/dbraw/zinc/24/87/82/389248782.db2.gz NHROMYDSAYCDJP-LLVKDONJSA-N 0 1 278.356 0.895 20 30 CCEDMN C=CC[C@@H](C)NC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000342750855 389252193 /nfs/dbraw/zinc/25/21/93/389252193.db2.gz MDWUXFIHYUDPNX-LLVKDONJSA-N 0 1 299.334 0.709 20 30 CCEDMN CCc1nc([C@H](C)NS(=O)(=O)N(C)CCC#N)n[nH]1 ZINC000342770196 389253123 /nfs/dbraw/zinc/25/31/23/389253123.db2.gz SLUWVWIQHPQQOQ-QMMMGPOBSA-N 0 1 286.361 0.108 20 30 CCEDMN CN(C(=O)C(=O)NCCCCC#N)[C@H]1CCN(C2CC2)C1 ZINC000343146165 389258747 /nfs/dbraw/zinc/25/87/47/389258747.db2.gz FCTSCBXCKAAQBS-ZDUSSCGKSA-N 0 1 292.383 0.492 20 30 CCEDMN CC#CCNC(=O)N1CCN(C2CCOCC2)CC1 ZINC000135972043 389263320 /nfs/dbraw/zinc/26/33/20/389263320.db2.gz JUTURWZYJWSQOT-UHFFFAOYSA-N 0 1 265.357 0.516 20 30 CCEDMN CC#CCNC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000135976522 389263687 /nfs/dbraw/zinc/26/36/87/389263687.db2.gz RHVGHTMVSMFUDZ-CQSZACIVSA-N 0 1 279.384 0.764 20 30 CCEDMN CO[C@]1(C(=O)NC[C@H](C)N2CCN(C)CC2)CCOC1 ZINC000329929326 389212332 /nfs/dbraw/zinc/21/23/32/389212332.db2.gz NIKSDPNFEJNHIJ-GXTWGEPZSA-N 0 1 285.388 0.384 20 30 CCEDMN N#CCNC(=O)CN(C[C@@H]1CCCO1)[C@H]1CCSC1 ZINC000245804687 389218713 /nfs/dbraw/zinc/21/87/13/389218713.db2.gz BICYFHGARZKWFG-RYUDHWBXSA-N 0 1 283.397 0.613 20 30 CCEDMN C[C@]1([NH+]=C([O-])N[C@@H]2CCn3ccnc3C2)CCOC1 ZINC000330280316 389219595 /nfs/dbraw/zinc/21/95/95/389219595.db2.gz SFTPEWUPTYXBIL-MFKMUULPSA-N 0 1 264.329 0.881 20 30 CCEDMN C[C@]1(NC([O-])=[NH+][C@@H]2CCn3ccnc3C2)CCOC1 ZINC000330280316 389219598 /nfs/dbraw/zinc/21/95/98/389219598.db2.gz SFTPEWUPTYXBIL-MFKMUULPSA-N 0 1 264.329 0.881 20 30 CCEDMN CCN(CCC#N)C(=O)CN(CC)[C@@H]1CCOC1 ZINC000361597277 389221080 /nfs/dbraw/zinc/22/10/80/389221080.db2.gz XTYPUAROSWPAFE-GFCCVEGCSA-N 0 1 253.346 0.859 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)C[C@H](C)C(=O)OC ZINC000246333623 389227613 /nfs/dbraw/zinc/22/76/13/389227613.db2.gz IIODURLNLHCHHZ-RYUDHWBXSA-N 0 1 283.372 0.735 20 30 CCEDMN C[C@H]1CN(CC(=O)NC2(C#N)CCCCC2)C[C@@H]1CO ZINC000362083937 389229363 /nfs/dbraw/zinc/22/93/63/389229363.db2.gz BYPXCGGVLXLCCX-QWHCGFSZSA-N 0 1 279.384 0.889 20 30 CCEDMN C=C[C@H](C)NC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000362378526 389234636 /nfs/dbraw/zinc/23/46/36/389234636.db2.gz GIYSHFCIWVLXNG-QWRGUYRKSA-N 0 1 268.361 0.200 20 30 CCEDMN COC(=O)[C@H]1CN(C(=O)NCCCn2ccnc2)CCO1 ZINC000330519628 389237658 /nfs/dbraw/zinc/23/76/58/389237658.db2.gz HPJQTQKGTOWZCS-LLVKDONJSA-N 0 1 296.327 0.061 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCC[C@H](CO)C1 ZINC000345816481 389315991 /nfs/dbraw/zinc/31/59/91/389315991.db2.gz VZEQPMXZIGQPOQ-RYUDHWBXSA-N 0 1 265.357 0.642 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)CCCC(=O)NC ZINC000155251681 389318477 /nfs/dbraw/zinc/31/84/77/389318477.db2.gz JOGCQEBKUXELKK-GFCCVEGCSA-N 0 1 282.388 0.453 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000346025776 389320415 /nfs/dbraw/zinc/32/04/15/389320415.db2.gz CFKXGRMAOLSSPH-GUTXKFCHSA-N 0 1 284.400 0.929 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCCN1CCOCC1 ZINC000346133942 389322597 /nfs/dbraw/zinc/32/25/97/389322597.db2.gz CDZNUSRBKQCKDV-AWEZNQCLSA-N 0 1 270.373 0.542 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1cccc(C#N)c1 ZINC000264357871 389323758 /nfs/dbraw/zinc/32/37/58/389323758.db2.gz BULHVXLOHOBRQW-CYBMUJFWSA-N 0 1 286.379 0.924 20 30 CCEDMN COC(=O)[C@@]1(C)CCN([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346408401 389328654 /nfs/dbraw/zinc/32/86/54/389328654.db2.gz GZJBGKNGLHDQPZ-FZMZJTMJSA-N 0 1 293.367 0.822 20 30 CCEDMN CC#CCC[N@@H+]1CCN(CCC(=O)OC)C[C@@H]1C ZINC000157720565 389332751 /nfs/dbraw/zinc/33/27/51/389332751.db2.gz LDHQNECPWOOYRB-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN(C)C[C@H]1C ZINC000343782681 389272274 /nfs/dbraw/zinc/27/22/74/389272274.db2.gz DVBJUSLGNGQGEG-CYBMUJFWSA-N 0 1 251.374 0.823 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000343915301 389275688 /nfs/dbraw/zinc/27/56/88/389275688.db2.gz NUZFKFTVQYVWSL-GOEBONIOSA-N 0 1 297.443 0.967 20 30 CCEDMN CCCNC(=O)NC(=O)CN1CCN(C)C[C@H]1C(C)C ZINC000330999641 389278076 /nfs/dbraw/zinc/27/80/76/389278076.db2.gz PVPFFRNEUQZDLL-LBPRGKRZSA-N 0 1 284.404 0.699 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1CC[C@H](CO)C1 ZINC000331014982 389283950 /nfs/dbraw/zinc/28/39/50/389283950.db2.gz XOJSLIRNKGFADM-MBNYWOFBSA-N 0 1 267.373 0.744 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)c3ccc(C#N)[nH]3)CC2)n[nH]1 ZINC000152310496 389287737 /nfs/dbraw/zinc/28/77/37/389287737.db2.gz QTVFFAIAHPBINE-UHFFFAOYSA-N 0 1 299.338 0.271 20 30 CCEDMN N#CCCCCN1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000263787535 389301874 /nfs/dbraw/zinc/30/18/74/389301874.db2.gz GVWREJCANCGEOG-UHFFFAOYSA-N 0 1 287.367 0.996 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N([C@H]2CCc3nc[nH]c3C2)C1=O ZINC000348474174 389379130 /nfs/dbraw/zinc/37/91/30/389379130.db2.gz OMPLNEQZGURVRU-JOYOIKCWSA-N 0 1 290.323 0.390 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCC2(C#N)CCC2)C[C@H]1C ZINC000332439660 389351894 /nfs/dbraw/zinc/35/18/94/389351894.db2.gz DKDKSNXOBBSNCX-CHWSQXEVSA-N 0 1 294.399 0.777 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](O)C(C)(C)C2)C1=O ZINC000334250386 389463517 /nfs/dbraw/zinc/46/35/17/389463517.db2.gz HTFJEDCOFMKAGI-VXGBXAGGSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCNC(=O)CN1CCC2(CCN(C)C2=O)CC1 ZINC000373160723 389465459 /nfs/dbraw/zinc/46/54/59/389465459.db2.gz WTPYIBOYHPSCPQ-UHFFFAOYSA-N 0 1 265.357 0.233 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@@](O)(C2CC2)C1 ZINC000373239513 389468026 /nfs/dbraw/zinc/46/80/26/389468026.db2.gz ATRPUZTXHALLDL-WFASDCNBSA-N 0 1 279.384 0.841 20 30 CCEDMN C=CCn1nnnc1SCCN1CCCOCC1 ZINC000412997230 389482595 /nfs/dbraw/zinc/48/25/95/389482595.db2.gz WPDGQQMVBYWOEQ-UHFFFAOYSA-N 0 1 269.374 0.674 20 30 CCEDMN CN1CCN(CCNC(=O)c2ccc(CC#N)cc2)CC1 ZINC000270217485 389429869 /nfs/dbraw/zinc/42/98/69/389429869.db2.gz HFGRXQMSWNPQIY-UHFFFAOYSA-N 0 1 286.379 0.730 20 30 CCEDMN CN1CC[N@@H+](C)C[C@H]1CNC(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000333689939 389440103 /nfs/dbraw/zinc/44/01/03/389440103.db2.gz FYLDUHOQTMFKNA-YNEHKIRRSA-N 0 1 279.388 0.224 20 30 CCEDMN COC(=O)[C@@H]1C[N@H+]([C@H](C)C(=O)N(C)CCC#N)C[C@H]1C ZINC000373808395 389517032 /nfs/dbraw/zinc/51/70/32/389517032.db2.gz YWNIUCYISLRSSQ-IJLUTSLNSA-N 0 1 281.356 0.488 20 30 CCEDMN COC(=O)[C@@H]1CN([C@H](C)C(=O)N(C)CCC#N)C[C@H]1C ZINC000373808395 389517034 /nfs/dbraw/zinc/51/70/34/389517034.db2.gz YWNIUCYISLRSSQ-IJLUTSLNSA-N 0 1 281.356 0.488 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2CCNC(C)(C)C2)CC1 ZINC000335197628 389542776 /nfs/dbraw/zinc/54/27/76/389542776.db2.gz SVWKKXUGHIBJRQ-UHFFFAOYSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C1CCN(C(=O)CN2CCN(CC)CC2)CC1 ZINC000335825899 389611652 /nfs/dbraw/zinc/61/16/52/389611652.db2.gz DNEXQIFAFFZELL-UHFFFAOYSA-N 0 1 251.374 0.803 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(Cc2nc(C#N)cs2)C1 ZINC000414492203 389620598 /nfs/dbraw/zinc/62/05/98/389620598.db2.gz RGBQWWAWZUXAMK-SNVBAGLBSA-N 0 1 294.380 0.551 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H]1CC(=O)OC ZINC000179142197 389640661 /nfs/dbraw/zinc/64/06/61/389640661.db2.gz VHKQFTWLKMDKNI-GFCCVEGCSA-N 0 1 281.356 0.776 20 30 CCEDMN CC#CCCCC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000413900937 389577856 /nfs/dbraw/zinc/57/78/56/389577856.db2.gz LMYMGVJWYAEINU-ZDUSSCGKSA-N 0 1 280.368 0.743 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(=O)N(C)[C@H](C)C2)CC1 ZINC000282373126 389661385 /nfs/dbraw/zinc/66/13/85/389661385.db2.gz NCKZELQNDFJOJT-GFCCVEGCSA-N 0 1 277.368 0.021 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C)CCCn1ccnc1 ZINC000181059374 389680597 /nfs/dbraw/zinc/68/05/97/389680597.db2.gz QJZQVONDKSQVFO-UHFFFAOYSA-N 0 1 277.372 0.967 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC2(CCOCC2)C1 ZINC000375905373 389723602 /nfs/dbraw/zinc/72/36/02/389723602.db2.gz SIWZTJGANQDPJO-UHFFFAOYSA-N 0 1 295.383 0.891 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2cccnc2C#N)[C@@H]1C ZINC000379452518 389761239 /nfs/dbraw/zinc/76/12/39/389761239.db2.gz KCEZAUCYNXGTPV-NXEZZACHSA-N 0 1 280.353 0.324 20 30 CCEDMN COC(=O)CN1CCN(CCCSCC#N)CC1 ZINC000429676575 389764288 /nfs/dbraw/zinc/76/42/88/389764288.db2.gz RPOTXUBHQYHSAJ-UHFFFAOYSA-N 0 1 271.386 0.424 20 30 CCEDMN CO[C@@H]1CN(CCOc2ccc(C#N)cc2)C[C@H]1O ZINC000395341351 389777242 /nfs/dbraw/zinc/77/72/42/389777242.db2.gz YDSMOKWXOBRDTJ-ZIAGYGMSSA-N 0 1 262.309 0.629 20 30 CCEDMN CC(C)N1CCO[C@@H](C(=O)NCC2(C#N)CCC2)C1 ZINC000416344374 389735289 /nfs/dbraw/zinc/73/52/89/389735289.db2.gz CBQKHQBCGVXKKX-GFCCVEGCSA-N 0 1 265.357 0.906 20 30 CCEDMN C[C@H](CNS(=O)(=O)[C@@H](C)C#N)N1CCC(C)CC1 ZINC000416395864 389738680 /nfs/dbraw/zinc/73/86/80/389738680.db2.gz YAITZDBHGZSWNU-NEPJUHHUSA-N 0 1 273.402 0.938 20 30 CCEDMN C[NH+]1CCC(O)(CNC(=O)c2ccc(C#N)c([O-])c2)CC1 ZINC000442648287 389852516 /nfs/dbraw/zinc/85/25/16/389852516.db2.gz KORSLSMPCUYFDH-UHFFFAOYSA-N 0 1 289.335 0.450 20 30 CCEDMN Cc1nn(C)c(N2CCN(C[C@@H](O)C(C)C)CC2)c1C#N ZINC000432801781 389888931 /nfs/dbraw/zinc/88/89/31/389888931.db2.gz BVAIFHGIZARUCS-CQSZACIVSA-N 0 1 291.399 0.739 20 30 CCEDMN C[C@H]1CN(C(=O)NCCCC#N)[C@@H](C)CN1CCO ZINC000419475842 389892470 /nfs/dbraw/zinc/89/24/70/389892470.db2.gz IJBYDBZNUZRZJI-RYUDHWBXSA-N 0 1 268.361 0.387 20 30 CCEDMN C=CCCOCCNC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000419482269 389892923 /nfs/dbraw/zinc/89/29/23/389892923.db2.gz XSAMLMNJXGPFHR-UONOGXRCSA-N 0 1 299.415 0.676 20 30 CCEDMN CN(CCC(=O)N1CCOCC1)CC(C)(C)C#N ZINC000433319901 389908736 /nfs/dbraw/zinc/90/87/36/389908736.db2.gz SJSRMAQYOGSYBK-UHFFFAOYSA-N 0 1 253.346 0.717 20 30 CCEDMN C=C(C)CS(=O)(=O)N1C[C@@H](N(C)C)[C@@H](SC)C1 ZINC000435427083 389957177 /nfs/dbraw/zinc/95/71/77/389957177.db2.gz LCZQLACZUWVWLN-MNOVXSKESA-N 0 1 278.443 0.870 20 30 CCEDMN C=CCCCNC(=O)NC[C@H]1CN(C)CCN1C ZINC000447947756 389974751 /nfs/dbraw/zinc/97/47/51/389974751.db2.gz HWBYWEDKCIFXKD-LBPRGKRZSA-N 0 1 254.378 0.498 20 30 CCEDMN CN1CCC[C@@H]1CNS(=O)(=O)CC1(CC#N)CC1 ZINC000451118330 389999930 /nfs/dbraw/zinc/99/99/30/389999930.db2.gz XJXLNWFAIVEPAO-LLVKDONJSA-N 0 1 271.386 0.694 20 30 CCEDMN C=CCNC(=O)CN[C@@H](CO)c1sccc1C ZINC000494652363 390189760 /nfs/dbraw/zinc/18/97/60/390189760.db2.gz WZAXRARWRXUIBV-JTQLQIEISA-N 0 1 254.355 0.982 20 30 CCEDMN C[C@H](CNCc1cnc2ccc(C#N)cn12)S(C)(=O)=O ZINC000537327998 390176858 /nfs/dbraw/zinc/17/68/58/390176858.db2.gz UGAACCSKOJDZAB-SNVBAGLBSA-N 0 1 292.364 0.729 20 30 CCEDMN C[C@H]1CN(C(=O)NCC2(C#N)CC2)[C@@H](C)CN1CCO ZINC000583802592 390311914 /nfs/dbraw/zinc/31/19/14/390311914.db2.gz IVAQNUIVXSPBAZ-RYUDHWBXSA-N 0 1 280.372 0.387 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@H]2CCCCN2C)nn1 ZINC000644785859 390313366 /nfs/dbraw/zinc/31/33/66/390313366.db2.gz PWKABLNDEUHQKI-GFCCVEGCSA-N 0 1 263.345 0.565 20 30 CCEDMN C=CC[NH+]1CCC(Nc2nc(C(=O)[O-])co2)CC1 ZINC000583874745 390319805 /nfs/dbraw/zinc/31/98/05/390319805.db2.gz HNNFJYOXSXAKRN-UHFFFAOYSA-N 0 1 251.286 0.857 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CNC(=O)OC(C)(C)C ZINC000496601032 390356133 /nfs/dbraw/zinc/35/61/33/390356133.db2.gz UKDFEFIHGZFHBG-NSHDSACASA-N 0 1 256.346 0.827 20 30 CCEDMN CC#CC[C@@H](CO)Nc1cc(-n2cccn2)nc(N)n1 ZINC000645406299 390421245 /nfs/dbraw/zinc/42/12/45/390421245.db2.gz WKNDIGGNWTZPGQ-JTQLQIEISA-N 0 1 272.312 0.261 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000648894472 390437348 /nfs/dbraw/zinc/43/73/48/390437348.db2.gz MKHVUUZNZSSAOC-FRRDWIJNSA-N 0 1 299.334 0.996 20 30 CCEDMN CC1CC(C#N)(C(=O)N[C@@H]2CCCN(CCCO)C2)C1 ZINC000562555337 390489842 /nfs/dbraw/zinc/48/98/42/390489842.db2.gz FJLXKBZYQXSZKF-JVWICGRDSA-N 0 1 279.384 0.889 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)NCCCc1nc[nH]n1 ZINC000565374154 390508200 /nfs/dbraw/zinc/50/82/00/390508200.db2.gz LVKMFPFEQUSOEO-UHFFFAOYSA-N 0 1 258.285 0.377 20 30 CCEDMN CO[C@H]1C[C@@H](N(C)CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000510129013 390464854 /nfs/dbraw/zinc/46/48/54/390464854.db2.gz BRZOKOZSYAIMLO-SCRDCRAPSA-N 0 1 265.357 0.904 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cc(F)c[nH]2)CC1 ZINC000555938406 390469452 /nfs/dbraw/zinc/46/94/52/390469452.db2.gz NUSDGKFTMFCZLE-UHFFFAOYSA-N 0 1 250.277 0.872 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCN(C)C(C)(C)C1 ZINC000556084429 390472780 /nfs/dbraw/zinc/47/27/80/390472780.db2.gz JSPOXCYXCXHXBL-LBPRGKRZSA-N 0 1 266.389 0.773 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)[C@H]1CCCCN1CC ZINC000649188385 390516476 /nfs/dbraw/zinc/51/64/76/390516476.db2.gz JMKWJPAZKVZRCK-QWHCGFSZSA-N 0 1 252.358 0.751 20 30 CCEDMN CC(C)(CC[NH3+])[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000519642671 390522357 /nfs/dbraw/zinc/52/23/57/390522357.db2.gz HCVSEWVBAHCUHA-UHFFFAOYSA-N 0 1 257.315 0.557 20 30 CCEDMN C=CCn1cc(C(=O)NCCCc2cn[nH]c2C)nn1 ZINC000649210866 390524677 /nfs/dbraw/zinc/52/46/77/390524677.db2.gz PQMIQZPVROCFQY-UHFFFAOYSA-N 0 1 274.328 0.858 20 30 CCEDMN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1cc(CC#N)ccn1 ZINC000520851107 390590457 /nfs/dbraw/zinc/59/04/57/390590457.db2.gz UVMCBKZKUBOMDE-VIFPVBQESA-N 0 1 296.352 0.366 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)NCCCc1nc[nH]n1 ZINC000521262511 390601373 /nfs/dbraw/zinc/60/13/73/390601373.db2.gz PPQVHCXXDXDPRZ-UHFFFAOYSA-N 0 1 259.269 0.940 20 30 CCEDMN Cc1onc(CC(=O)N2CC[N@H+](C)C(C)(C)C2)c1C#N ZINC000566459190 390613714 /nfs/dbraw/zinc/61/37/14/390613714.db2.gz GXIUTBMWMSUQFR-UHFFFAOYSA-N 0 1 276.340 0.950 20 30 CCEDMN Cc1onc(CC(=O)N2CCN(C)C(C)(C)C2)c1C#N ZINC000566459190 390613717 /nfs/dbraw/zinc/61/37/17/390613717.db2.gz GXIUTBMWMSUQFR-UHFFFAOYSA-N 0 1 276.340 0.950 20 30 CCEDMN O[C@@H](CNCC#Cc1ccccc1)CN1CCOCC1 ZINC000570274051 390718339 /nfs/dbraw/zinc/71/83/39/390718339.db2.gz VBNCKNIFCHKHIY-INIZCTEOSA-N 0 1 274.364 0.321 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@@H](O)CC2(O)CCC2)C1 ZINC000528536847 390755542 /nfs/dbraw/zinc/75/55/42/390755542.db2.gz IGSRRHBREOMGHR-WCQYABFASA-N 0 1 254.330 0.003 20 30 CCEDMN CN(C[C@@H](O)COc1ccccc1C#N)[C@H]1CCNC1=O ZINC000528423247 390742842 /nfs/dbraw/zinc/74/28/42/390742842.db2.gz HLBNNXJVJBHPHS-OLZOCXBDSA-N 0 1 289.335 0.118 20 30 CCEDMN CN(CC#CCN1C(=O)c2ccccc2C1=O)C1CC(O)C1 ZINC000528446856 390744852 /nfs/dbraw/zinc/74/48/52/390744852.db2.gz CQDRBLVHWFMUAW-UHFFFAOYSA-N 0 1 298.342 0.741 20 30 CCEDMN CC1(N2CCOCC2)CCN(C(=O)CC#N)CC1 ZINC000529911532 390873360 /nfs/dbraw/zinc/87/33/60/390873360.db2.gz QXAAPVWWADGQQZ-UHFFFAOYSA-N 0 1 251.330 0.613 20 30 CCEDMN C[C@@H](C#N)CNC(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000530596902 390876989 /nfs/dbraw/zinc/87/69/89/390876989.db2.gz APEWKODJQDQEEG-UWVGGRQHSA-N 0 1 299.334 0.957 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(S(=O)(=O)CCCC#N)C1 ZINC000332392462 533545851 /nfs/dbraw/zinc/54/58/51/533545851.db2.gz ROMBJIUGYZWIAP-ZJUUUORDSA-N 0 1 299.356 0.200 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2ccc(C#N)cc2)C[C@H]1O ZINC000351776682 397461967 /nfs/dbraw/zinc/46/19/67/397461967.db2.gz CSNXIISVCRKCJG-BXUZGUMPSA-N 0 1 273.336 0.487 20 30 CCEDMN C=CCNc1ncc(C(=O)N2CC[C@H]3CN(C)C[C@@H]32)s1 ZINC000650321893 397712937 /nfs/dbraw/zinc/71/29/37/397712937.db2.gz MWJZTYZLYOMSIF-QWRGUYRKSA-N 0 1 292.408 0.939 20 30 CCEDMN CN1CCC[C@@H](NC(=O)C2(C#N)CCOCC2)C1 ZINC000044766106 411633422 /nfs/dbraw/zinc/63/34/22/411633422.db2.gz ZDDRBNDDYRYSSX-LLVKDONJSA-N 0 1 251.330 0.517 20 30 CCEDMN N#Cc1cccc(NC(=O)CCN2CCC[C@H]2C(N)=O)c1 ZINC000020294695 171134890 /nfs/dbraw/zinc/13/48/90/171134890.db2.gz CHLZEZUOHDTVPU-ZDUSSCGKSA-N 0 1 286.335 0.837 20 30 CCEDMN C=CCCn1cc(CN[C@@H](CO)[C@@H]2CCCOC2)nn1 ZINC000653761817 412343481 /nfs/dbraw/zinc/34/34/81/412343481.db2.gz INSCCGDKEYFDRI-OCCSQVGLSA-N 0 1 280.372 0.731 20 30 CCEDMN C=CCOCCCNC(=O)NCCN1CCCOCC1 ZINC000661238605 414582699 /nfs/dbraw/zinc/58/26/99/414582699.db2.gz WSGYCSLFAUIFRL-UHFFFAOYSA-N 0 1 285.388 0.601 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@H]2NC(=O)CC[C@H]2C1 ZINC000661601680 414649137 /nfs/dbraw/zinc/64/91/37/414649137.db2.gz DKNHVCZEVHXNAM-UONOGXRCSA-N 0 1 291.395 0.788 20 30 CCEDMN C=CCC[C@@H](O)CN1C[C@H]2[C@@H](C1)[C@H](OC)CS2(=O)=O ZINC000661884678 414682475 /nfs/dbraw/zinc/68/24/75/414682475.db2.gz PCFSKTFJLOFTIJ-XQHKEYJVSA-N 0 1 289.397 0.057 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)NCc1cccc(OC)c1 ZINC000662165565 414694974 /nfs/dbraw/zinc/69/49/74/414694974.db2.gz JJCIWVYEQXKXLT-DGCLKSJQSA-N 0 1 278.352 0.836 20 30 CCEDMN C=CCC1(NC(=O)[C@H]2CNCCO2)CCOCC1 ZINC000662876129 414863328 /nfs/dbraw/zinc/86/33/28/414863328.db2.gz ZZIISJGGOJAXSZ-LLVKDONJSA-N 0 1 254.330 0.216 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)C(=O)N2CCNC[C@@H]2C)C1 ZINC000662948237 414911015 /nfs/dbraw/zinc/91/10/15/414911015.db2.gz UUISVWIMEUYOAS-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(C)COCCNC(=O)NCc1n[nH]c(COC)n1 ZINC000664444157 415387017 /nfs/dbraw/zinc/38/70/17/415387017.db2.gz SIKIGKRIGIPLFO-UHFFFAOYSA-N 0 1 283.332 0.343 20 30 CCEDMN C=C(C)COCCNC(=O)NCc1nnc(COC)[nH]1 ZINC000664444157 415387022 /nfs/dbraw/zinc/38/70/22/415387022.db2.gz SIKIGKRIGIPLFO-UHFFFAOYSA-N 0 1 283.332 0.343 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCCCC#N)[C@@H](C)C1 ZINC000355087136 227385766 /nfs/dbraw/zinc/38/57/66/227385766.db2.gz SBXJDKSLLBSWNP-NWDGAFQWSA-N 0 1 268.361 0.387 20 30 CCEDMN C[C@H](C#N)C(=O)NCc1cccnc1N1CCN(C)CC1 ZINC000347036488 226022730 /nfs/dbraw/zinc/02/27/30/226022730.db2.gz MCXLSUVWFSZFGM-GFCCVEGCSA-N 0 1 287.367 0.609 20 30 CCEDMN O=C(NCc1nnc[nH]1)[C@H]1COCCN1CC(F)(F)F ZINC000329258038 227389109 /nfs/dbraw/zinc/38/91/09/227389109.db2.gz YGGDTFGJVSXAFC-SSDOTTSWSA-N 0 1 293.249 0.524 20 30 CCEDMN COCc1nc2n(n1)C[C@H](NC(=O)N1CC(F)C1)CC2 ZINC000329762530 227941315 /nfs/dbraw/zinc/94/13/15/227941315.db2.gz STOPEWLBUFTRRD-SECBINFHSA-N 0 1 283.307 0.307 20 30 CCEDMN [O-]C(NC[C@@H]1CCc2nccn2C1)=[NH+][C@H]1[C@@H]2COC[C@@H]21 ZINC000329999428 228012036 /nfs/dbraw/zinc/01/20/36/228012036.db2.gz UTGYXDCJZUBDFJ-MEWQQHAOSA-N 0 1 276.340 0.594 20 30 CCEDMN Cc1nnc2n1C[C@H](CNC([O-])=[NH+]c1ccnn1C)CC2 ZINC000330136848 228047857 /nfs/dbraw/zinc/04/78/57/228047857.db2.gz HSLVTYFTABJGMK-JTQLQIEISA-N 0 1 289.343 0.909 20 30 CCEDMN N#CCCCN1CCC([C@H](O)C(=O)NC2CC2)CC1 ZINC000332154302 228150563 /nfs/dbraw/zinc/15/05/63/228150563.db2.gz PKGGZIFENVLFNF-ZDUSSCGKSA-N 0 1 265.357 0.642 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)N1CCN(CC2CC2)CC1 ZINC000328797590 545019105 /nfs/dbraw/zinc/01/91/05/545019105.db2.gz FFRKGKJWSJZSNU-CYBMUJFWSA-N 0 1 283.372 0.343 20 30 CCEDMN CNC(=O)C1CCN([C@H]2CC(=O)N(C3CC3)C2=O)CC1 ZINC000328913976 545020205 /nfs/dbraw/zinc/02/02/05/545020205.db2.gz IOZAKLIMKVEJCF-NSHDSACASA-N 0 1 279.340 0.575 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)CN2CCCCCC2=O)C1 ZINC000329397746 545022183 /nfs/dbraw/zinc/02/21/83/545022183.db2.gz XYJISXVFRQKHJL-CYBMUJFWSA-N 0 1 296.415 0.591 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)Nc1nn(C)cc1C#N ZINC000602885507 420493213 /nfs/dbraw/zinc/49/32/13/420493213.db2.gz FREOMYVEYVWKIG-UHFFFAOYSA-N 0 1 262.317 0.317 20 30 CCEDMN N#Cc1cccc(OCCN2CCOC[C@H](O)C2)c1 ZINC000399902939 269768531 /nfs/dbraw/zinc/76/85/31/269768531.db2.gz FZWTUYXGUOECSJ-CYBMUJFWSA-N 0 1 262.309 0.630 20 30 CCEDMN CC[C@@H]1CN(CCC(=O)N(C)CCC#N)CCO1 ZINC000036769796 260118561 /nfs/dbraw/zinc/11/85/61/260118561.db2.gz DJHWFJYBECYAAY-GFCCVEGCSA-N 0 1 253.346 0.859 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)C2(C#N)CCCC2)C1 ZINC000068808185 260147311 /nfs/dbraw/zinc/14/73/11/260147311.db2.gz CYMYIWGAXKLGTQ-GFCCVEGCSA-N 0 1 264.373 0.432 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCCCC2)C[C@H]1C(N)=O ZINC000408048565 260193877 /nfs/dbraw/zinc/19/38/77/260193877.db2.gz NVBJFSZTBCHZMR-VXGBXAGGSA-N 0 1 292.383 0.382 20 30 CCEDMN N#CCCCNC(=O)N1CCN(C2CCOCC2)CC1 ZINC000408181163 260555563 /nfs/dbraw/zinc/55/55/63/260555563.db2.gz AAGLTTACOUYCKX-UHFFFAOYSA-N 0 1 280.372 0.796 20 30 CCEDMN C#CCN1CCC(C(=O)OC/C=C(/C)C(=O)OC)CC1 ZINC000490866730 261231400 /nfs/dbraw/zinc/23/14/00/261231400.db2.gz SQEQZYAUQHTSRS-GHXNOFRVSA-N 0 1 279.336 0.994 20 30 CCEDMN C#C[C@@H](NC(=O)NC[C@@H]1CN(C)CCN1C)C(C)C ZINC000490996980 261290725 /nfs/dbraw/zinc/29/07/25/261290725.db2.gz QWDYQOJVWVPACN-CHWSQXEVSA-N 0 1 266.389 0.189 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)C1(C#N)CCCC1 ZINC000106817970 261373239 /nfs/dbraw/zinc/37/32/39/261373239.db2.gz ZHCHJWYBFNYFSV-CYBMUJFWSA-N 0 1 278.400 0.822 20 30 CCEDMN CC(C)(C#N)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000178103523 261375976 /nfs/dbraw/zinc/37/59/76/261375976.db2.gz ZLDFFFQDLCLRIN-GFCCVEGCSA-N 0 1 265.357 0.717 20 30 CCEDMN C[C@@H](N[C@@H](C)C(=O)N(C)CCC#N)c1cnn(C)c1 ZINC000273371801 263016315 /nfs/dbraw/zinc/01/63/15/263016315.db2.gz LBCVVWQCZFAQAM-MNOVXSKESA-N 0 1 263.345 0.831 20 30 CCEDMN N#Cc1ccc(CC(C#N)C(=O)N2CCO[C@H](C#N)C2)cc1 ZINC000280837584 263064604 /nfs/dbraw/zinc/06/46/04/263064604.db2.gz JFGCCIWTVIHWMC-LSDHHAIUSA-N 0 1 294.314 0.992 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NC1(c2nnc[nH]2)CCC1 ZINC000285485380 263093177 /nfs/dbraw/zinc/09/31/77/263093177.db2.gz CZGUKNJCROALJI-VIFPVBQESA-N 0 1 298.372 0.252 20 30 CCEDMN C=CCN(C)[C@@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000121166838 263292862 /nfs/dbraw/zinc/29/28/62/263292862.db2.gz ASVUOCXSNYLGRT-ZETCQYMHSA-N 0 1 267.251 0.881 20 30 CCEDMN N#Cc1ccc(CC(=O)NCc2n[nH]c(C3CC3)n2)cn1 ZINC000529441763 269960658 /nfs/dbraw/zinc/96/06/58/269960658.db2.gz IDOJRNJTAAJKEP-UHFFFAOYSA-N 0 1 282.307 0.808 20 30 CCEDMN CC(C)OC(=O)CC[NH+]=C([O-])N[C@H]1CCc2ncnn2C1 ZINC000330715871 264022425 /nfs/dbraw/zinc/02/24/25/264022425.db2.gz TXLLUWNWPFHIEA-JTQLQIEISA-N 0 1 295.343 0.438 20 30 CCEDMN CC(C)OC(=O)CCNC(=O)N[C@H]1CCc2ncnn2C1 ZINC000330715871 264022427 /nfs/dbraw/zinc/02/24/27/264022427.db2.gz TXLLUWNWPFHIEA-JTQLQIEISA-N 0 1 295.343 0.438 20 30 CCEDMN CN1CCC[C@H]1C(=O)N(CCC#N)CCN1CCOCC1 ZINC000330773302 264034192 /nfs/dbraw/zinc/03/41/92/264034192.db2.gz FIOWEGBENMQEKB-AWEZNQCLSA-N 0 1 294.399 0.155 20 30 CCEDMN CC(C)NC(=O)N1CCC[C@H]1C(=O)NCc1nnc[nH]1 ZINC000330735320 264037148 /nfs/dbraw/zinc/03/71/48/264037148.db2.gz MTIVKGWBOTZUTA-VIFPVBQESA-N 0 1 280.332 0.208 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](C(=O)N2CCCC2)C1 ZINC000330732757 264037443 /nfs/dbraw/zinc/03/74/43/264037443.db2.gz LFEYTLLLUCSLGS-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN CCc1nc2n(n1)C[C@H](NC(=O)N(C)CCOC)CC2 ZINC000330807374 264043799 /nfs/dbraw/zinc/04/37/99/264043799.db2.gz KQWDVOCMNIPFGW-SNVBAGLBSA-N 0 1 281.360 0.648 20 30 CCEDMN CC(C)[C@H]1CN(C)CCN1C(=O)N[C@H](C)Cn1cncn1 ZINC000330887351 264063511 /nfs/dbraw/zinc/06/35/11/264063511.db2.gz MZCVACSTJNGFHD-CHWSQXEVSA-N 0 1 294.403 0.853 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCN(CC2CC2)CC1 ZINC000052165444 264065983 /nfs/dbraw/zinc/06/59/83/264065983.db2.gz MFLLDGBKECNBEN-GFCCVEGCSA-N 0 1 294.399 0.414 20 30 CCEDMN COCc1nc2n(n1)C[C@H]([NH+]=C([O-])NCC[C@@H](C)O)CC2 ZINC000330957885 264088175 /nfs/dbraw/zinc/08/81/75/264088175.db2.gz WHUNOSANYBGFBA-NXEZZACHSA-N 0 1 297.359 0.014 20 30 CCEDMN COCc1nc2n(n1)C[C@H](NC(=O)NCC[C@@H](C)O)CC2 ZINC000330957885 264088176 /nfs/dbraw/zinc/08/81/76/264088176.db2.gz WHUNOSANYBGFBA-NXEZZACHSA-N 0 1 297.359 0.014 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnc(C)[nH]2)C1=O ZINC000281567324 264484511 /nfs/dbraw/zinc/48/45/11/264484511.db2.gz AIZVEUMMJQDPAH-LLVKDONJSA-N 0 1 262.313 0.577 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N[C@@H](C)c1cnn(C)c1C ZINC000183403626 264556378 /nfs/dbraw/zinc/55/63/78/264556378.db2.gz GHUIMIQWSPDUSI-UWVGGRQHSA-N 0 1 293.371 0.779 20 30 CCEDMN C=C[C@H]1CCCC[N@@H+]1CC(=O)N1CCN(C)CC1 ZINC000335852578 265222889 /nfs/dbraw/zinc/22/28/89/265222889.db2.gz TUGLMIASQHFFIM-ZDUSSCGKSA-N 0 1 251.374 0.801 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cccc3n[nH]cc32)C1 ZINC000356881389 266203703 /nfs/dbraw/zinc/20/37/03/266203703.db2.gz MMTUTXYEACZQGP-UHFFFAOYSA-N 0 1 256.265 0.663 20 30 CCEDMN COC(=O)[C@@H]1CCN(C(=O)C(C)C#N)[C@@H](C(=O)OC)C1 ZINC000357446420 266297341 /nfs/dbraw/zinc/29/73/41/266297341.db2.gz BJBWHQVOGBTFIL-IVZWLZJFSA-N 0 1 282.296 0.099 20 30 CCEDMN OCC#CCN=c1[nH]n2cc(-c3cccnc3)nc2s1 ZINC000357545308 266318867 /nfs/dbraw/zinc/31/88/67/266318867.db2.gz JZKDYRDJIUEUHK-UHFFFAOYSA-N 0 1 285.332 0.682 20 30 CCEDMN C=CCNC(=O)CNC(=O)N1CCN(C)[C@H](C(C)C)C1 ZINC000362850258 267053916 /nfs/dbraw/zinc/05/39/16/267053916.db2.gz ADKKJDIQXRUETA-LBPRGKRZSA-N 0 1 282.388 0.270 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@](O)(C2CC2)C1)C1CC1 ZINC000370085399 267289836 /nfs/dbraw/zinc/28/98/36/267289836.db2.gz VKYVWGLFOUCOJB-HUUCEWRRSA-N 0 1 277.368 0.642 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CCN[C@@H](C)C1 ZINC000418128444 267358254 /nfs/dbraw/zinc/35/82/54/267358254.db2.gz BMAPZGMJLJIFBT-XQQFMLRXSA-N 0 1 267.373 0.971 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H]2CCCO2)CC1 ZINC000371174814 267361227 /nfs/dbraw/zinc/36/12/27/267361227.db2.gz DUQGNORQUDAWRH-CYBMUJFWSA-N 0 1 250.342 0.723 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](O)C2(CC2)C1 ZINC000372294246 267542216 /nfs/dbraw/zinc/54/22/16/267542216.db2.gz IQLCEBWXBDCZJW-GFCCVEGCSA-N 0 1 250.342 0.644 20 30 CCEDMN N#Cc1ccc([C@H](NCC23CC(C2)CO3)C(N)=O)cc1 ZINC000530133808 268263392 /nfs/dbraw/zinc/26/33/92/268263392.db2.gz GFHGASJSSTVWPJ-QRJNDHJOSA-N 0 1 271.320 0.853 20 30 CCEDMN CC(=O)NC[C@H]1CCCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000126241264 277036949 /nfs/dbraw/zinc/03/69/49/277036949.db2.gz GIEKVZRLJRFTPV-GXTWGEPZSA-N 0 1 294.399 0.595 20 30 CCEDMN CC(C)[C@@](C)(NCC(=O)N[C@](C)(C#N)C1CC1)C(N)=O ZINC000347709842 280111888 /nfs/dbraw/zinc/11/18/88/280111888.db2.gz VCRMXQGMKPEAGX-ZIAGYGMSSA-N 0 1 280.372 0.284 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@]2(CCSC2)C1 ZINC000575284944 335090733 /nfs/dbraw/zinc/09/07/33/335090733.db2.gz XGYANXCQMSZZJN-CQSZACIVSA-N 0 1 297.424 0.956 20 30 CCEDMN O=C(N[C@H]1CCCc2cn[nH]c21)N1CCn2ncnc2C1 ZINC000329972223 295386814 /nfs/dbraw/zinc/38/68/14/295386814.db2.gz WBESCYBJLZKOLI-JTQLQIEISA-N 0 1 287.327 0.808 20 30 CCEDMN C=CC[C@@H](CO)NS(=O)(=O)CCN(CC)CC ZINC000352177236 328744861 /nfs/dbraw/zinc/74/48/61/328744861.db2.gz ZPNKQHGCCFCSDJ-NSHDSACASA-N 0 1 264.391 0.185 20 30 CCEDMN C[C@H](NCC(=O)NCC#N)c1ccc2c(c1)OCO2 ZINC000182294632 327813151 /nfs/dbraw/zinc/81/31/51/327813151.db2.gz DCJGISLENVWNDD-VIFPVBQESA-N 0 1 261.281 0.706 20 30 CCEDMN CC1(C)CCN(CC(=O)N[C@@]2(C#N)CCOC2)C1 ZINC000563390930 328060334 /nfs/dbraw/zinc/06/03/34/328060334.db2.gz HOGSJMZIOJIBOS-CYBMUJFWSA-N 0 1 251.330 0.517 20 30 CCEDMN C=CCCS(=O)(=O)N[C@H]1CCCN(CCCO)C1 ZINC000451667338 328163126 /nfs/dbraw/zinc/16/31/26/328163126.db2.gz JEUQEJSTGDMSHK-LBPRGKRZSA-N 0 1 276.402 0.329 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000285953143 328248325 /nfs/dbraw/zinc/24/83/25/328248325.db2.gz COGILFMFSHSAFY-KCJUWKMLSA-N 0 1 250.306 0.701 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)C(F)(F)F)CCCN1C(=O)CC#N ZINC000293596399 328276958 /nfs/dbraw/zinc/27/69/58/328276958.db2.gz BOBDPVOHRJVFQB-HTQZYQBOSA-N 0 1 277.246 0.958 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](Cn2ccnn2)C1 ZINC000119660360 328307600 /nfs/dbraw/zinc/30/76/00/328307600.db2.gz SUUCGLCDEULWFV-GFCCVEGCSA-N 0 1 263.345 0.292 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CC[C@@H](N2CCCCC2)C1 ZINC000227897140 329333955 /nfs/dbraw/zinc/33/39/55/329333955.db2.gz DZYDRXGNHGUCDD-VXGBXAGGSA-N 0 1 271.386 0.788 20 30 CCEDMN Cc1nnsc1C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000331002412 328472889 /nfs/dbraw/zinc/47/28/89/328472889.db2.gz XPQOLEXONXMQEG-VIFPVBQESA-N 0 1 283.401 0.787 20 30 CCEDMN C#CCONC(=O)[C@H]1CC(=O)N(C)[C@H]1c1cccnc1 ZINC000291010466 328532950 /nfs/dbraw/zinc/53/29/50/328532950.db2.gz AVJMIPAJXBVHNB-AAEUAGOBSA-N 0 1 273.292 0.282 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H](CO)CC1 ZINC000339748110 327014464 /nfs/dbraw/zinc/01/44/64/327014464.db2.gz MZFQTFXKTZGINA-LLVKDONJSA-N 0 1 269.345 0.093 20 30 CCEDMN Cn1ncc2cc(C(=O)NC3(C#N)CCN(C)CC3)cnc21 ZINC000531100736 327246656 /nfs/dbraw/zinc/24/66/56/327246656.db2.gz FRIFBLINQGGSAF-UHFFFAOYSA-N 0 1 298.350 0.686 20 30 CCEDMN C=CCCCN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000117871238 328943784 /nfs/dbraw/zinc/94/37/84/328943784.db2.gz VULGRLLTLZWALF-UHFFFAOYSA-N 0 1 281.400 0.429 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CCN1C1CC1 ZINC000377683107 329024411 /nfs/dbraw/zinc/02/44/11/329024411.db2.gz ZKWGQIWZUPJJHI-GFCCVEGCSA-N 0 1 296.334 0.770 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)CN1CCC(C)(C)C1 ZINC000584359420 329104076 /nfs/dbraw/zinc/10/40/76/329104076.db2.gz BPTREOUPNVCTOH-CYBMUJFWSA-N 0 1 253.346 0.763 20 30 CCEDMN CNC(=O)[C@H](C)C[N@@H+](C)CC(=O)N[C@](C)(C#N)C(C)C ZINC000176370991 329175027 /nfs/dbraw/zinc/17/50/27/329175027.db2.gz SQJKMOKKDAIAMD-BXUZGUMPSA-N 0 1 282.388 0.355 20 30 CCEDMN CNC(=O)[C@H](C)CN(C)CC(=O)N[C@](C)(C#N)C(C)C ZINC000176370991 329175029 /nfs/dbraw/zinc/17/50/29/329175029.db2.gz SQJKMOKKDAIAMD-BXUZGUMPSA-N 0 1 282.388 0.355 20 30 CCEDMN C[C@@](C#N)(NC(=O)CNC1(C(N)=O)CCCCC1)C1CC1 ZINC000177124901 329181184 /nfs/dbraw/zinc/18/11/84/329181184.db2.gz FHCZZALUQIPUCR-AWEZNQCLSA-N 0 1 292.383 0.573 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)CCCCc1cn[nH]n1 ZINC000189843533 329388924 /nfs/dbraw/zinc/38/89/24/329388924.db2.gz CTLGACLAELGNPJ-NSHDSACASA-N 0 1 252.318 0.571 20 30 CCEDMN CC(C)N1C[C@H](NCc2cnc3c(C#N)cnn3c2)CC1=O ZINC000583813021 329481868 /nfs/dbraw/zinc/48/18/68/329481868.db2.gz KVVFHDMQRBGVHG-CYBMUJFWSA-N 0 1 298.350 0.700 20 30 CCEDMN N#CCCCN1CCCN(CC(=O)N2CCCC2)CC1 ZINC000079864373 330062968 /nfs/dbraw/zinc/06/29/68/330062968.db2.gz SFLKQJXENIQUJK-UHFFFAOYSA-N 0 1 278.400 0.920 20 30 CCEDMN CC(C)(C#N)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000081035507 330160228 /nfs/dbraw/zinc/16/02/28/330160228.db2.gz PAYQOVBUFKVEAP-UHFFFAOYSA-N 0 1 265.357 0.859 20 30 CCEDMN CC(C)[C@H]1CNCCN1S(=O)(=O)N(C)CCC#N ZINC000528048789 330306056 /nfs/dbraw/zinc/30/60/56/330306056.db2.gz WEFUKMOEHQCWBF-LLVKDONJSA-N 0 1 274.390 0.006 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](OC)[C@@H](C)C1 ZINC000451551248 331033905 /nfs/dbraw/zinc/03/39/05/331033905.db2.gz VZMGWXCJFSVUGI-SDDRHHMPSA-N 0 1 283.372 0.744 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H]2C[C@H](O)CC[C@H]2C1 ZINC000451720699 331040225 /nfs/dbraw/zinc/04/02/25/331040225.db2.gz YDKSWMVQYFMSBN-FRRDWIJNSA-N 0 1 295.383 0.481 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@@H]1C[C@H](OC)C12CCC2 ZINC000451843149 331044426 /nfs/dbraw/zinc/04/44/26/331044426.db2.gz UXEONDODEUVXIA-NEPJUHHUSA-N 0 1 295.383 0.888 20 30 CCEDMN CCO[C@@H]1COC[C@H]1N[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000451944838 331047919 /nfs/dbraw/zinc/04/79/19/331047919.db2.gz QYHBMYJPSCQDSM-RGCMKSIDSA-N 0 1 297.399 0.823 20 30 CCEDMN C=CCCCS(=O)(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000489497354 332056565 /nfs/dbraw/zinc/05/65/65/332056565.db2.gz HFXXXKSTOMQKQM-CYBMUJFWSA-N 0 1 289.445 0.508 20 30 CCEDMN C#CCN(C(=O)c1cc(CC)[nH]n1)[C@@H]1CCS(=O)(=O)C1 ZINC000490616367 332095823 /nfs/dbraw/zinc/09/58/23/332095823.db2.gz KOKHMCSMJGGMHZ-LLVKDONJSA-N 0 1 295.364 0.235 20 30 CCEDMN C#CCN1CCC(C(=O)NCCC[S@@](C)=O)CC1 ZINC000490650281 332099745 /nfs/dbraw/zinc/09/97/45/332099745.db2.gz MBHLARLUSBMPPG-GOSISDBHSA-N 0 1 270.398 0.216 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC(O)(CC)CC2)CC1 ZINC000490840436 332119411 /nfs/dbraw/zinc/11/94/11/332119411.db2.gz OHUXPPNDHSAUFJ-UHFFFAOYSA-N 0 1 293.411 0.001 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCNC(=O)OC ZINC000490875230 332123985 /nfs/dbraw/zinc/12/39/85/332123985.db2.gz OXNKNRIPFVZQMZ-NSHDSACASA-N 0 1 268.313 0.373 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CCN(C(C)=O)C1 ZINC000490891369 332125078 /nfs/dbraw/zinc/12/50/78/332125078.db2.gz DVDUBOFYKFMOBO-KBPBESRZSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCN(CC#N)C(=O)CN(C)[C@H]1CCSC1 ZINC000490960182 332133145 /nfs/dbraw/zinc/13/31/45/332133145.db2.gz AGFPETWBMPTNHD-NSHDSACASA-N 0 1 251.355 0.409 20 30 CCEDMN C#CCN(C(=O)C(=O)NCc1cn[nH]c1C)C1CCCC1 ZINC000491072033 332146599 /nfs/dbraw/zinc/14/65/99/332146599.db2.gz PFKGAKPKTMDROI-UHFFFAOYSA-N 0 1 288.351 0.739 20 30 CCEDMN C#CCNC(=O)CCNCc1cc(C(=O)OC)c(C)o1 ZINC000491092088 332147677 /nfs/dbraw/zinc/14/76/77/332147677.db2.gz AXUKZFGSZVOLQO-UHFFFAOYSA-N 0 1 278.308 0.604 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCCO[C@@H](C(C)C)C1 ZINC000491167567 332160508 /nfs/dbraw/zinc/16/05/08/332160508.db2.gz YVAANRJNSJVVFJ-CHWSQXEVSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1OC[C@H]2COCC[C@@H]21 ZINC000491231206 332170788 /nfs/dbraw/zinc/17/07/88/332170788.db2.gz REGXRUMNISLAJD-APIJFGDWSA-N 0 1 293.363 0.679 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000491297212 332184378 /nfs/dbraw/zinc/18/43/78/332184378.db2.gz PHFIIPBFPFWAJP-VIFPVBQESA-N 0 1 292.295 0.916 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@@H](OC)C[C@@H]2C)CC1 ZINC000491325942 332189959 /nfs/dbraw/zinc/18/99/59/332189959.db2.gz IIYMJBPVJPGCFA-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2cccc(C#N)n2)CC1 ZINC000491340189 332193269 /nfs/dbraw/zinc/19/32/69/332193269.db2.gz WCBPEEXMYMMCLI-UHFFFAOYSA-N 0 1 297.362 0.215 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1cc(F)cc2nn[nH]c21 ZINC000491374412 332199909 /nfs/dbraw/zinc/19/99/09/332199909.db2.gz RIPSAVVMZNBFGK-SECBINFHSA-N 0 1 274.255 0.571 20 30 CCEDMN C#C[C@@H](CC)NCc1cnn([C@]2(C)CCS(=O)(=O)C2)c1 ZINC000491416412 332207670 /nfs/dbraw/zinc/20/76/70/332207670.db2.gz KKQVWDXTBNQBTA-UONOGXRCSA-N 0 1 295.408 0.918 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000491699309 332294069 /nfs/dbraw/zinc/29/40/69/332294069.db2.gz UQHOFLBMFBYHCA-SNVBAGLBSA-N 0 1 276.248 0.775 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2ccnc(OC)n2)CC1 ZINC000491712911 332304259 /nfs/dbraw/zinc/30/42/59/332304259.db2.gz ZMKAOAOGNNMADU-UHFFFAOYSA-N 0 1 289.335 0.874 20 30 CCEDMN C#CCN(C)C(=O)[C@@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000491714906 332305290 /nfs/dbraw/zinc/30/52/90/332305290.db2.gz OEJROPLCJVDHAL-CYBMUJFWSA-N 0 1 290.367 0.575 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCS[C@@H](C)CC2)CC1 ZINC000491741874 332320367 /nfs/dbraw/zinc/32/03/67/332320367.db2.gz VYBZQDZBSOFNHJ-AWEZNQCLSA-N 0 1 295.452 0.591 20 30 CCEDMN C#CCN1CCC(C(=O)NCC2([S@](C)=O)CC2)CC1 ZINC000491779167 332343960 /nfs/dbraw/zinc/34/39/60/332343960.db2.gz ZJWMHTPJZGQCED-IBGZPJMESA-N 0 1 282.409 0.359 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC2=CCCCC2)CC1 ZINC000491784300 332347670 /nfs/dbraw/zinc/34/76/70/332347670.db2.gz PINBBCJJZNZRHI-UHFFFAOYSA-N 0 1 275.396 0.854 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@H](CC(N)=O)C1 ZINC000175259829 333018651 /nfs/dbraw/zinc/01/86/51/333018651.db2.gz DLCJTSZGAMBUKL-CHWSQXEVSA-N 0 1 294.399 0.582 20 30 CCEDMN N#CCCOCCN1CC[C@](O)(C(F)(F)F)C1 ZINC000339014257 335141087 /nfs/dbraw/zinc/14/10/87/335141087.db2.gz RPEYKTIGELGBQG-SECBINFHSA-N 0 1 252.236 0.916 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C(C)C)C[C@@H]1O ZINC000341023891 335165569 /nfs/dbraw/zinc/16/55/69/335165569.db2.gz XSDZVCJFFXFTKM-DYEKYZERSA-N 0 1 267.373 0.744 20 30 CCEDMN C[C@H](O)[C@H]1CCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000252763654 334390940 /nfs/dbraw/zinc/39/09/40/334390940.db2.gz HPEAKBSFUMEYSE-COPLHBTASA-N 0 1 265.357 0.498 20 30 CCEDMN N#Cc1ccc(NCc2n[nH]c(C3CC3)n2)nc1N ZINC000576410795 335200605 /nfs/dbraw/zinc/20/06/05/335200605.db2.gz APYBRZFMUWIYRJ-UHFFFAOYSA-N 0 1 255.285 0.565 20 30 CCEDMN C=C(C)CN(CC)C(=O)CNC(C)(C)C(=O)NCC ZINC000576445256 335204056 /nfs/dbraw/zinc/20/40/56/335204056.db2.gz LMGHMWOHPUJPJG-UHFFFAOYSA-N 0 1 269.389 0.915 20 30 CCEDMN C=CCNC(=O)CN[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000192844222 335310997 /nfs/dbraw/zinc/31/09/97/335310997.db2.gz MFTXTLKZZDMCFV-SNVBAGLBSA-N 0 1 297.380 0.287 20 30 CCEDMN C=CCN1C(=O)N=NC1SCC[C@H]1CCNC1=O ZINC000580692863 335332683 /nfs/dbraw/zinc/33/26/83/335332683.db2.gz ALYVDIFCYKWEKN-MRVPVSSYSA-N 0 1 268.342 0.788 20 30 CCEDMN N#CCC(=O)N1CCN(CC[C@H]2CCOC2)CC1 ZINC000577290387 335336099 /nfs/dbraw/zinc/33/60/99/335336099.db2.gz MEMCMHXKBXOCBJ-LBPRGKRZSA-N 0 1 251.330 0.471 20 30 CCEDMN CC[C@@H](CO)N(C)CC(=O)N[C@](C)(C#N)C(C)C ZINC000345826595 335337086 /nfs/dbraw/zinc/33/70/86/335337086.db2.gz GKAWGQFHWIGMAM-WCQYABFASA-N 0 1 255.362 0.744 20 30 CCEDMN C[C@@H](NC[C@@H](O)CN(C)CCC#N)c1ccn(C)n1 ZINC000577722645 335392361 /nfs/dbraw/zinc/39/23/61/335392361.db2.gz VOOMOICPXYEPNS-VXGBXAGGSA-N 0 1 265.361 0.277 20 30 CCEDMN O=C(NC[C@H]1COCCO1)c1csc(=NC2CC2)[nH]1 ZINC000330273819 534755933 /nfs/dbraw/zinc/75/59/33/534755933.db2.gz ZTIFFMDPBKOIQX-VIFPVBQESA-N 0 1 283.353 0.859 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)NCc1cccc(C#N)c1 ZINC000340151374 534854897 /nfs/dbraw/zinc/85/48/97/534854897.db2.gz KJFZGUSAVWADFE-UHFFFAOYSA-N 0 1 297.318 0.522 20 30 CCEDMN C=C[C@](C)(O)C(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000665816589 545108097 /nfs/dbraw/zinc/10/80/97/545108097.db2.gz BYNQLZVUTKIMSL-OBJOEFQTSA-N 0 1 270.373 0.539 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1C[C@H](C)NC[C@H]1C ZINC000335055693 526474969 /nfs/dbraw/zinc/47/49/69/526474969.db2.gz UTTCNXHQNYYZAL-WDEREUQCSA-N 0 1 253.346 0.230 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCc1cn[nH]c1C ZINC000666033378 545111682 /nfs/dbraw/zinc/11/16/82/545111682.db2.gz LLKAHQAJWAKRJX-LBPRGKRZSA-N 0 1 264.329 0.805 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC[C@H]2CCCCO2)CC1 ZINC000347396060 526537388 /nfs/dbraw/zinc/53/73/88/526537388.db2.gz DSYVYQQKTNJDII-OAHLLOKOSA-N 0 1 295.427 0.865 20 30 CCEDMN CC(=O)[C@](C)(O)CN1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000451059987 527154899 /nfs/dbraw/zinc/15/48/99/527154899.db2.gz VSOMRIRSCDONQM-DZGCQCFKSA-N 0 1 289.335 0.746 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000336606037 527449664 /nfs/dbraw/zinc/44/96/64/527449664.db2.gz HNLIWJIPPQSBQU-ZIAGYGMSSA-N 0 1 280.416 0.982 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN([C@H](CC)C(=O)OC)CC1 ZINC000352661632 527458047 /nfs/dbraw/zinc/45/80/47/527458047.db2.gz XWJQZFNUUKHSDV-ZIAGYGMSSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000669216477 545202691 /nfs/dbraw/zinc/20/26/91/545202691.db2.gz LTJRFFKEZJNGCP-GXTWGEPZSA-N 0 1 268.357 0.104 20 30 CCEDMN CC(C)N1C[C@H](NC(=O)CCc2nc[nH]n2)CC1=O ZINC000330263260 529097598 /nfs/dbraw/zinc/09/75/98/529097598.db2.gz WHHFPGYDXVGCSB-SECBINFHSA-N 0 1 265.317 0.703 20 30 CCEDMN CC[C@@H](C)N1CCN(C(=O)[C@@H](C)n2cnc(C#N)n2)CC1 ZINC000456528038 529353689 /nfs/dbraw/zinc/35/36/89/529353689.db2.gz KFLJUNJYIAFENT-VXGBXAGGSA-N 0 1 290.371 0.653 20 30 CCEDMN CNC(=O)CCOC1CCN(CC#CCOC)CC1 ZINC000677820763 545373866 /nfs/dbraw/zinc/37/38/66/545373866.db2.gz VZGMLAUHIKRNMI-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN C=CCOCCNC(=O)NCCCCN1CCOCC1 ZINC000679483600 545410356 /nfs/dbraw/zinc/41/03/56/545410356.db2.gz WFHBQXNGMBIYIF-UHFFFAOYSA-N 0 1 285.388 0.601 20 30 CCEDMN C=CCOCCNC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000679503001 545410645 /nfs/dbraw/zinc/41/06/45/545410645.db2.gz FMMZVZULWHFMCJ-CQSZACIVSA-N 0 1 299.415 0.845 20 30 CCEDMN C=C(C)[C@H](CO)N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000680637835 545434414 /nfs/dbraw/zinc/43/44/14/545434414.db2.gz TVRRXWJVALAAIS-NEPJUHHUSA-N 0 1 253.346 0.459 20 30 CCEDMN C=C(CO)C(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000791309465 581213907 /nfs/dbraw/zinc/21/39/07/581213907.db2.gz PKVNAUGNKLDGQV-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN NC(=O)c1ccccc1C=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000788722608 581123430 /nfs/dbraw/zinc/12/34/30/581123430.db2.gz XLEDGRUKBKCYRF-BETUJISGSA-N 0 1 285.351 0.979 20 30 CCEDMN CN([C@@H]1CCCN(C)C1)S(=O)(=O)CC(C)(C)C#N ZINC000777819842 581135575 /nfs/dbraw/zinc/13/55/75/581135575.db2.gz TWNTUIRULAINEQ-LLVKDONJSA-N 0 1 273.402 0.892 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc2c(c1)OCO2 ZINC000779324355 581180648 /nfs/dbraw/zinc/18/06/48/581180648.db2.gz DFGVYDLYFSYGAK-UHFFFAOYSA-N 0 1 260.293 0.297 20 30 CCEDMN N#CC(C(=O)CN1CCOCC1=O)c1nc2ccccc2[nH]1 ZINC000790767688 581197687 /nfs/dbraw/zinc/19/76/87/581197687.db2.gz OASORQPAMLWGEX-SNVBAGLBSA-N 0 1 298.302 0.598 20 30 CCEDMN COc1cc(C=NNC2=NC[C@H](C)N2)cc(OC)c1 ZINC000779805574 581207744 /nfs/dbraw/zinc/20/77/44/581207744.db2.gz PMLFLPNHYMBGKD-VIFPVBQESA-N 0 1 262.313 0.975 20 30 CCEDMN COC1(CC(=O)C(C#N)C(=O)NC2CC2)CCC1 ZINC000791772151 581233397 /nfs/dbraw/zinc/23/33/97/581233397.db2.gz XIRLKARBCCNNRY-SNVBAGLBSA-N 0 1 250.298 0.933 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000780602810 581235896 /nfs/dbraw/zinc/23/58/96/581235896.db2.gz YLQUVLIMNYGNLF-ZDUSSCGKSA-N 0 1 297.399 0.123 20 30 CCEDMN N#CCOc1ccc(Cn2cnc(-c3nn[nH]n3)n2)cc1 ZINC000737697172 581238614 /nfs/dbraw/zinc/23/86/14/581238614.db2.gz CZYUNMAFHQMBDZ-UHFFFAOYSA-N 0 1 282.267 0.409 20 30 CCEDMN C#C[C@@H](NC(=O)c1cn[nH]c1-c1cnn(C)c1)[C@@H]1CCCO1 ZINC000781031839 581256778 /nfs/dbraw/zinc/25/67/78/581256778.db2.gz LTJCNTNGASYDJT-OLZOCXBDSA-N 0 1 299.334 0.721 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCn3cc(C)nc3C2)CC1 ZINC000782822954 581321412 /nfs/dbraw/zinc/32/14/12/581321412.db2.gz RGBWQRDRGJXZDF-UHFFFAOYSA-N 0 1 286.379 0.879 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H]2CSCN2C(=O)[O-])CC1 ZINC000738457284 581331607 /nfs/dbraw/zinc/33/16/07/581331607.db2.gz LRIDKFDQAJDREP-NSHDSACASA-N 0 1 297.380 0.207 20 30 CCEDMN CCS(=O)(=O)Nc1c(C#N)cnn1C1CCOCC1 ZINC000794051029 581335474 /nfs/dbraw/zinc/33/54/74/581335474.db2.gz MGBUCPMMHNRFOF-UHFFFAOYSA-N 0 1 284.341 0.868 20 30 CCEDMN C[C@H]1CN(CC(=O)NC2(C#N)CCC2)CCN1C ZINC000784346873 581380798 /nfs/dbraw/zinc/38/07/98/581380798.db2.gz NJLUOKMBJUEXRT-NSHDSACASA-N 0 1 250.346 0.185 20 30 CCEDMN C[C@H]1COC(=O)C1N=Nc1ccc([N+](=O)[O-])cn1 ZINC000794894059 581390430 /nfs/dbraw/zinc/39/04/30/581390430.db2.gz CCCUZOOVLKOXCZ-LURJTMIESA-N 0 1 250.214 0.951 20 30 CCEDMN C[C@H]1COC(=O)C1=NN=c1ccc([N+](=O)[O-])c[nH]1 ZINC000794894059 581390431 /nfs/dbraw/zinc/39/04/31/581390431.db2.gz CCCUZOOVLKOXCZ-LURJTMIESA-N 0 1 250.214 0.951 20 30 CCEDMN C#CCNC(=O)CCNCc1c(OC)cccc1OC ZINC000796281680 581435996 /nfs/dbraw/zinc/43/59/96/581435996.db2.gz ALUYBONBWNUYBH-UHFFFAOYSA-N 0 1 276.336 0.933 20 30 CCEDMN C#CCNC(=O)CN[C@@H](CC(=O)NC)c1ccccc1 ZINC000796613646 581446334 /nfs/dbraw/zinc/44/63/34/581446334.db2.gz TUHZURSSGQUWPJ-ZDUSSCGKSA-N 0 1 273.336 0.203 20 30 CCEDMN C#CCNC(=O)CN1CCC2(C[C@@H]2C(=O)OC)CC1 ZINC000733795392 581525585 /nfs/dbraw/zinc/52/55/85/581525585.db2.gz DSNDTYHRHQENJT-LLVKDONJSA-N 0 1 264.325 0.011 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H](C)N2CCN(C)CC2)cn1 ZINC000728985183 581526974 /nfs/dbraw/zinc/52/69/74/581526974.db2.gz JFOAAJFMLXWLFC-CYBMUJFWSA-N 0 1 286.379 0.429 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H](C)N2CCN(C)CC2)nc1 ZINC000728985870 581527037 /nfs/dbraw/zinc/52/70/37/581527037.db2.gz YYWMYWALKFAXME-ZDUSSCGKSA-N 0 1 286.379 0.429 20 30 CCEDMN O=C1N=C(N=NC2CCCC[C@@H]2[NH+]2CCCCC2)[N-]C1=O ZINC000765594093 581528305 /nfs/dbraw/zinc/52/83/05/581528305.db2.gz RKOLXPWNOFREDT-NSHDSACASA-N 0 1 291.355 0.373 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](NC(=O)[O-])[C@@H](C)C1 ZINC000739413766 581548584 /nfs/dbraw/zinc/54/85/84/581548584.db2.gz BZEQYXXNOFVPRS-NWDGAFQWSA-N 0 1 296.371 0.727 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N[C@H](CCO)c1cccs1 ZINC000754386616 581589626 /nfs/dbraw/zinc/58/96/26/581589626.db2.gz LAQYSHXEAZJMJW-GHMZBOCLSA-N 0 1 266.366 0.899 20 30 CCEDMN N#CC(C(=O)COC1CCOCC1)C(=O)NC1CCCC1 ZINC000735398679 581643643 /nfs/dbraw/zinc/64/36/43/581643643.db2.gz IODPQXPIBGSHMD-ZDUSSCGKSA-N 0 1 294.351 0.950 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C[C@@H](C)COC ZINC000756224199 581660042 /nfs/dbraw/zinc/66/00/42/581660042.db2.gz MCLZODXGKHQUTK-GHMZBOCLSA-N 0 1 270.329 0.521 20 30 CCEDMN N#CCNC(=O)C[N@H+]1CCCC[C@@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000740305327 581662190 /nfs/dbraw/zinc/66/21/90/581662190.db2.gz LUSJVLZMTYFOBI-NEPJUHHUSA-N 0 1 294.355 0.623 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1CCCC[C@@H]1[C@@H]1CCCN1C(=O)[O-] ZINC000740305327 581662194 /nfs/dbraw/zinc/66/21/94/581662194.db2.gz LUSJVLZMTYFOBI-NEPJUHHUSA-N 0 1 294.355 0.623 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)CN2CCN(C)CC2)nc1 ZINC000730228875 581684572 /nfs/dbraw/zinc/68/45/72/581684572.db2.gz ZPZDCLSIBYILTK-ZDUSSCGKSA-N 0 1 286.379 0.429 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](C(=O)[O-])C1 ZINC000736078673 581687388 /nfs/dbraw/zinc/68/73/88/581687388.db2.gz DTDNSDFAGWIZFL-QWRGUYRKSA-N 0 1 250.298 0.017 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(CCC)CC1 ZINC000730281098 581691261 /nfs/dbraw/zinc/69/12/61/581691261.db2.gz OTAXXNAJAPGLHG-AWEZNQCLSA-N 0 1 263.385 0.638 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](C)C[C@H](C)C(=O)[O-] ZINC000736105942 581696955 /nfs/dbraw/zinc/69/69/55/581696955.db2.gz PCNRSRJFCQNTTO-DTWKUNHWSA-N 0 1 271.317 0.039 20 30 CCEDMN C#CCNC(=S)N1CCN(C2CCOCC2)CC1 ZINC000758241116 581697090 /nfs/dbraw/zinc/69/70/90/581697090.db2.gz SIEXSWRVFVWURG-UHFFFAOYSA-N 0 1 267.398 0.291 20 30 CCEDMN Cc1oc(-n2cccc2)c(C#N)c1C(=O)NCc1nn[nH]n1 ZINC000732158432 581807463 /nfs/dbraw/zinc/80/74/63/581807463.db2.gz DTGCDWWVLBDUAD-UHFFFAOYSA-N 0 1 297.278 0.694 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000736673557 581815245 /nfs/dbraw/zinc/81/52/45/581815245.db2.gz QTONJNJZAHLPLS-SCZZXKLOSA-N 0 1 256.269 0.565 20 30 CCEDMN CCCCC(=O)C(C#N)C(=O)N1CCS(=O)(=O)CC1 ZINC000732910834 581854002 /nfs/dbraw/zinc/85/40/02/581854002.db2.gz VMNSAIUOJOFXQH-SNVBAGLBSA-N 0 1 286.353 0.142 20 30 CCEDMN C#CCN1CCN(C(=O)c2cccc3n[nH]cc32)CC1 ZINC000733162138 581869507 /nfs/dbraw/zinc/86/95/07/581869507.db2.gz IROXXNLFNKOZRV-UHFFFAOYSA-N 0 1 268.320 0.954 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000737078819 581897753 /nfs/dbraw/zinc/89/77/53/581897753.db2.gz DDHBQBDYWVHNHI-VXGBXAGGSA-N 0 1 281.356 0.791 20 30 CCEDMN NS(=O)(=O)c1ccccc1N=NCc1ccc(CO)o1 ZINC000773114211 581909814 /nfs/dbraw/zinc/90/98/14/581909814.db2.gz SKZZNTUZGKVMIK-UHFFFAOYSA-N 0 1 295.320 0.865 20 30 CCEDMN C[C@@H]1COCC[C@@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC000774053907 581955628 /nfs/dbraw/zinc/95/56/28/581955628.db2.gz PXIQCCPNAXGVBH-MIMYLULJSA-N 0 1 250.298 0.646 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)c1n[nH]c(C)c1[N+](=O)[O-] ZINC000763231724 581991315 /nfs/dbraw/zinc/99/13/15/581991315.db2.gz SNKVVKZTBBDTQL-UHFFFAOYSA-N 0 1 296.283 0.818 20 30 CCEDMN C[C@H]1CC(NC(O)=CS(=O)(=O)C2CCCC2)=NO1 ZINC000763259533 581992973 /nfs/dbraw/zinc/99/29/73/581992973.db2.gz LOYVXIBFKHVKCU-QMMMGPOBSA-N 0 1 274.342 0.582 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)OC(=O)CCc1nc[nH]n1 ZINC000763991424 582024689 /nfs/dbraw/zinc/02/46/89/582024689.db2.gz RKVPCTGWARTCRK-LLVKDONJSA-N 0 1 292.339 0.870 20 30 CCEDMN C#CCNC(=O)CN[C@@H](c1cnn(C)c1)C(C)(C)C ZINC000775550194 582028927 /nfs/dbraw/zinc/02/89/27/582028927.db2.gz ZKJIRVAFYOPDNQ-ZDUSSCGKSA-N 0 1 262.357 0.846 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCC(=C)C)CC1 ZINC000775643311 582032714 /nfs/dbraw/zinc/03/27/14/582032714.db2.gz UMGDRMIHWLGPNV-UHFFFAOYSA-N 0 1 271.386 0.038 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)[O-])[C@@H](C)C1 ZINC000738461457 582053255 /nfs/dbraw/zinc/05/32/55/582053255.db2.gz SMDHJTBTVGGOQO-DCAQKATOSA-N 0 1 267.329 0.102 20 30 CCEDMN C#CCNC(=O)C[N@H+]1CCCC[C@@H]1[C@H](C)NC(=O)[O-] ZINC000738463510 582053947 /nfs/dbraw/zinc/05/39/47/582053947.db2.gz SPNUADRSPNFAMU-WDEREUQCSA-N 0 1 267.329 0.246 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCCC[C@@H]1[C@H](C)NC(=O)[O-] ZINC000738463510 582053949 /nfs/dbraw/zinc/05/39/49/582053949.db2.gz SPNUADRSPNFAMU-WDEREUQCSA-N 0 1 267.329 0.246 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN(C(C)C)CC1)[C@@H]1CCCO1 ZINC000776224737 582061309 /nfs/dbraw/zinc/06/13/09/582061309.db2.gz MVAGQYLXJHKODU-KGLIPLIRSA-N 0 1 279.384 0.903 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CCC1)[C@H]1CCCO1 ZINC000776226079 582061443 /nfs/dbraw/zinc/06/14/43/582061443.db2.gz DTWUKDBVBBBAOK-NWDGAFQWSA-N 0 1 251.330 0.172 20 30 CCEDMN N#Cc1csc(CN2CCC(C(=O)NCCO)CC2)c1 ZINC000768316151 582105629 /nfs/dbraw/zinc/10/56/29/582105629.db2.gz DNKQVTRZHQPJQQ-UHFFFAOYSA-N 0 1 293.392 0.940 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000227106750 597085690 /nfs/dbraw/zinc/08/56/90/597085690.db2.gz NNWZSCQFGVSHGV-QWRGUYRKSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000867335280 612985315 /nfs/dbraw/zinc/98/53/15/612985315.db2.gz IIJSWWYPZYBCIQ-LLVKDONJSA-N 0 1 269.370 0.982 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N1CC[N@H+]2CCC[C@H]2C1 ZINC000819823370 597639023 /nfs/dbraw/zinc/63/90/23/597639023.db2.gz SXQHZQBLJPRRSO-GXFFZTMASA-N 0 1 265.313 0.298 20 30 CCEDMN C#CCCN(CCOC)C(=O)NC[C@H]1CCN1C ZINC000925434463 612987727 /nfs/dbraw/zinc/98/77/27/612987727.db2.gz LBEDDFGZJXTHDP-GFCCVEGCSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCONC(=O)C1CN(C(=O)C2CCCCC2)C1 ZINC000839775467 612991792 /nfs/dbraw/zinc/99/17/92/612991792.db2.gz URDREWLDKTWEJJ-UHFFFAOYSA-N 0 1 264.325 0.706 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)nc(N)n1CC1CCCC1 ZINC000822814492 607378002 /nfs/dbraw/zinc/37/80/02/607378002.db2.gz FZNOXVSLXNKHMZ-UHFFFAOYSA-N 0 1 258.289 0.707 20 30 CCEDMN C#CC(=O)NC[C@@H](O)CN1CCc2ccccc2C1 ZINC000818767471 612945645 /nfs/dbraw/zinc/94/56/45/612945645.db2.gz JOTZPRDXJFGQPD-CQSZACIVSA-N 0 1 258.321 0.155 20 30 CCEDMN C#CCCN1CCN(C[C@H](O)c2ccccn2)CC1 ZINC000851872352 613011591 /nfs/dbraw/zinc/01/15/91/613011591.db2.gz CTXHZGMVPHQCOU-HNNXBMFYSA-N 0 1 259.353 0.756 20 30 CCEDMN C#CCSCC(=O)NC[C@@H]1CCCCN1CCO ZINC000897878971 613012286 /nfs/dbraw/zinc/01/22/86/613012286.db2.gz CYHPXWJCXMSRFR-LBPRGKRZSA-N 0 1 270.398 0.316 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000223484260 612947741 /nfs/dbraw/zinc/94/77/41/612947741.db2.gz CRYIHPVVLWRJRW-VXGBXAGGSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CCSCC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000896708606 613012329 /nfs/dbraw/zinc/01/23/29/613012329.db2.gz UYVRJRIREXHKAG-AWEZNQCLSA-N 0 1 297.468 0.741 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@H]2CC[N@H+](C3CC3)C2)C1 ZINC000883416180 612948219 /nfs/dbraw/zinc/94/82/19/612948219.db2.gz OBWUDMQGEXKTAR-JTQLQIEISA-N 0 1 251.305 0.590 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@H]2C[N@@H+](C3CC3)C[C@H]2C)C1 ZINC000883514519 612948224 /nfs/dbraw/zinc/94/82/24/612948224.db2.gz KZFWBCAFJWSPCQ-PWSUYJOCSA-N 0 1 265.332 0.836 20 30 CCEDMN N#CCNC(=O)C[N@H+]1C[C@@H](C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000833066613 601434248 /nfs/dbraw/zinc/43/42/48/601434248.db2.gz CUILAGVRNSHIBH-QWHCGFSZSA-N 0 1 293.367 0.982 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1C[C@@H](C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000833066613 601434250 /nfs/dbraw/zinc/43/42/50/601434250.db2.gz CUILAGVRNSHIBH-QWHCGFSZSA-N 0 1 293.367 0.982 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@@H+]1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828412763 601502488 /nfs/dbraw/zinc/50/24/88/601502488.db2.gz OGBPNCFXBPRVKY-MXWKQRLJSA-N 0 1 267.329 0.399 20 30 CCEDMN C#CCSCCNC(=O)NCc1nc(CSC)n[nH]1 ZINC000884167536 613017108 /nfs/dbraw/zinc/01/71/08/613017108.db2.gz HIWHZWPTOVSMHR-UHFFFAOYSA-N 0 1 299.425 0.833 20 30 CCEDMN C#CCSCCNC(=O)NCc1nnc(CSC)[nH]1 ZINC000884167536 613017109 /nfs/dbraw/zinc/01/71/09/613017109.db2.gz HIWHZWPTOVSMHR-UHFFFAOYSA-N 0 1 299.425 0.833 20 30 CCEDMN C#CCSCCNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000828458583 613017901 /nfs/dbraw/zinc/01/79/01/613017901.db2.gz AEPXDMGYPNVIOH-VIFPVBQESA-N 0 1 264.354 0.707 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC([C@@]2(C)COC(=O)N2)CC1 ZINC000932088602 612949797 /nfs/dbraw/zinc/94/97/97/612949797.db2.gz FSSXCNGIFJGHMM-XHDPSFHLSA-N 0 1 293.367 0.335 20 30 CCEDMN C#CC1(O)CC[NH+](CCC[N-]C(=O)C(F)(F)F)CC1 ZINC000931151268 612952235 /nfs/dbraw/zinc/95/22/35/612952235.db2.gz QDFAJJSHXOURHV-UHFFFAOYSA-N 0 1 278.274 0.515 20 30 CCEDMN C[C@@H]1CCN(CCO)C[C@@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000965910672 649940267 /nfs/dbraw/zinc/94/02/67/649940267.db2.gz OWVGBMLDNGQVGH-MFKMUULPSA-N 0 1 276.340 0.319 20 30 CCEDMN C#CCNC(=O)c1ccc(NC[C@@H]2CCN2C)nc1 ZINC000895771252 612952397 /nfs/dbraw/zinc/95/23/97/612952397.db2.gz JLACBVXCRRSFNV-LBPRGKRZSA-N 0 1 258.325 0.561 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)NCC(C)(C)C#N ZINC000833627114 605121747 /nfs/dbraw/zinc/12/17/47/605121747.db2.gz UDMKUXNPRDXETE-NSHDSACASA-N 0 1 296.371 0.727 20 30 CCEDMN C[C@@]1(C#N)CCC[N@@H+](CCC(=O)NCC(=O)[O-])C1 ZINC000833551857 605419554 /nfs/dbraw/zinc/41/95/54/605419554.db2.gz SOKZNMHLZGXVLB-LBPRGKRZSA-N 0 1 253.302 0.203 20 30 CCEDMN N#Cc1ccc([C@@H](O)C[N@H+]2CC[C@H](NC(=O)[O-])C2)cc1 ZINC000831123043 605916898 /nfs/dbraw/zinc/91/68/98/605916898.db2.gz ZJQZGPBOMQYCTF-STQMWFEESA-N 0 1 275.308 0.934 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@@H]1NC(=O)c1cncc2nc[nH]c21 ZINC000966234519 649963498 /nfs/dbraw/zinc/96/34/98/649963498.db2.gz NGBHOAPSQRLBHW-MFKMUULPSA-N 0 1 298.350 0.922 20 30 CCEDMN Cc1nc(-c2nnn[n-]2)c(N=NC2C[C@@H](C)[N@H+](C)C2)o1 ZINC000822423086 606777266 /nfs/dbraw/zinc/77/72/66/606777266.db2.gz HNHYQGSVQFGAFG-ZCFIWIBFSA-N 0 1 276.304 0.655 20 30 CCEDMN Cc1nc(-c2nn[n-]n2)c(N=NC2C[C@@H](C)[N@@H+](C)C2)o1 ZINC000822423086 606777268 /nfs/dbraw/zinc/77/72/68/606777268.db2.gz HNHYQGSVQFGAFG-ZCFIWIBFSA-N 0 1 276.304 0.655 20 30 CCEDMN Cc1nc(-c2nn[n-]n2)c(N=NC2C[C@@H](C)[N@H+](C)C2)o1 ZINC000822423086 606777270 /nfs/dbraw/zinc/77/72/70/606777270.db2.gz HNHYQGSVQFGAFG-ZCFIWIBFSA-N 0 1 276.304 0.655 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)nc(N)n1CCc1cccs1 ZINC000822814519 607237845 /nfs/dbraw/zinc/23/78/45/607237845.db2.gz HLFOBSXDSUWQCH-UHFFFAOYSA-N 0 1 286.324 0.821 20 30 CCEDMN C#CC[C@H](NC(=O)Cn1cc[nH+]c1C(C)C)C(=O)[O-] ZINC000910050382 613068275 /nfs/dbraw/zinc/06/82/75/613068275.db2.gz JEPLXMOERMOWCY-JTQLQIEISA-N 0 1 263.297 0.599 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](c2nc(C)no2)C1 ZINC000911642218 612956347 /nfs/dbraw/zinc/95/63/47/612956347.db2.gz KCKFFKTVKBXDHS-LLVKDONJSA-N 0 1 262.313 0.307 20 30 CCEDMN C#CCNCC(=O)NCCc1cccc(OC)c1OC ZINC000912407270 612956368 /nfs/dbraw/zinc/95/63/68/612956368.db2.gz SVDLFZXQONNVJC-UHFFFAOYSA-N 0 1 276.336 0.585 20 30 CCEDMN C#CCN(C)CCCNC(=O)c1cncc(C=O)c1 ZINC000820688609 613078537 /nfs/dbraw/zinc/07/85/37/613078537.db2.gz UXPTYQBKCBMFKA-UHFFFAOYSA-N 0 1 259.309 0.579 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1COc2ccccc2C1 ZINC000912116532 612956820 /nfs/dbraw/zinc/95/68/20/612956820.db2.gz MEAYYQBVSGPNMD-GFCCVEGCSA-N 0 1 258.321 0.577 20 30 CCEDMN C#CCNCC(=O)N[C@H](Cc1ccccc1)C(=O)OCC ZINC000912237265 612956847 /nfs/dbraw/zinc/95/68/47/612956847.db2.gz BZCRPFWLLCNYIC-CQSZACIVSA-N 0 1 288.347 0.500 20 30 CCEDMN CS(C)(=O)=NS(=O)(=O)CCN[C@H]1CC[C@H](C#N)C1 ZINC000903227171 617196690 /nfs/dbraw/zinc/19/66/90/617196690.db2.gz RPKZFAWYMONNIJ-UWVGGRQHSA-N 0 1 293.414 0.326 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@](C)(O)C2CC2)C1 ZINC000971370024 613081231 /nfs/dbraw/zinc/08/12/31/613081231.db2.gz OTPUIJJTXWSCCL-UKRRQHHQSA-N 0 1 264.369 0.703 20 30 CCEDMN CS(C)(=O)=NS(=O)(=O)CCN[C@@H]1CC[C@H](C#N)C1 ZINC000903227172 617196734 /nfs/dbraw/zinc/19/67/34/617196734.db2.gz RPKZFAWYMONNIJ-VHSXEESVSA-N 0 1 293.414 0.326 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897553516 613084926 /nfs/dbraw/zinc/08/49/26/613084926.db2.gz QAJZRQFUKLZBKX-STQMWFEESA-N 0 1 250.342 0.625 20 30 CCEDMN C#C[C@@H](CO)NC(=O)[C@@]1(F)CC[N@H+](C(C)(C)C)C1 ZINC000854776708 613088627 /nfs/dbraw/zinc/08/86/27/613088627.db2.gz MQQHZLXMNSDEDB-GXFFZTMASA-N 0 1 256.321 0.309 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC000972762964 613091991 /nfs/dbraw/zinc/09/19/91/613091991.db2.gz BQZUBPAECBLPLE-KBPBESRZSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC000980504365 613093180 /nfs/dbraw/zinc/09/31/80/613093180.db2.gz SBLYCPWDCRVSQP-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(CCCNS(=O)(=O)CC)C1CSC1 ZINC000878911127 613107488 /nfs/dbraw/zinc/10/74/88/613107488.db2.gz DHTWBGYSEHEREO-UHFFFAOYSA-N 0 1 276.427 0.366 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@@H]1CCS(=O)(=O)[C@@H]1C ZINC000877042325 613390309 /nfs/dbraw/zinc/39/03/09/613390309.db2.gz PGGNNKKGVGGRRV-HRDYMLBCSA-N 0 1 280.777 0.148 20 30 CCEDMN CC(C)CN1C[C@@H](C(=O)NCC#CCN(C)C)CC1=O ZINC000913516299 614117610 /nfs/dbraw/zinc/11/76/10/614117610.db2.gz KECZUSXKHVRTMD-ZDUSSCGKSA-N 0 1 279.384 0.172 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C(C)(C)COC)CC1 ZINC000819635878 612978347 /nfs/dbraw/zinc/97/83/47/612978347.db2.gz KQDPLZLPVUZCOC-UHFFFAOYSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCCCNC(=O)C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC000828391013 612980075 /nfs/dbraw/zinc/98/00/75/612980075.db2.gz FHRLMXSGUOUZLA-LBPRGKRZSA-N 0 1 279.384 0.505 20 30 CCEDMN COc1ccc(CCC(=O)N[C@H]2CNC[C@H]2C#N)cc1F ZINC000834516447 617245122 /nfs/dbraw/zinc/24/51/22/617245122.db2.gz IQJNMKMJOFCANF-YPMHNXCESA-N 0 1 291.326 0.995 20 30 CCEDMN CSc1nsc(NC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000841144164 617287026 /nfs/dbraw/zinc/28/70/26/617287026.db2.gz YBAJCFNQIMSONJ-RITPCOANSA-N 0 1 284.370 0.493 20 30 CCEDMN COc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1OC ZINC000834511130 617292844 /nfs/dbraw/zinc/29/28/44/617292844.db2.gz INBHXFMHXZLTCN-MWLCHTKSSA-N 0 1 275.308 0.545 20 30 CCEDMN C#CC(=O)N1CCC[C@@H](NC(=O)C(F)(F)F)[C@H]1C ZINC000848342688 612945422 /nfs/dbraw/zinc/94/54/22/612945422.db2.gz ZWZOUKYRFVCWQH-HTQZYQBOSA-N 0 1 262.231 0.678 20 30 CCEDMN C#CC1CCN([C@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000830321711 612964953 /nfs/dbraw/zinc/96/49/53/612964953.db2.gz YKOLJBYKFMKVRA-AWEZNQCLSA-N 0 1 272.352 0.871 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000840503210 612965493 /nfs/dbraw/zinc/96/54/93/612965493.db2.gz KTIVVUHKPGDHIE-LBPRGKRZSA-N 0 1 280.368 0.599 20 30 CCEDMN C#CCC1(NC(=O)[C@@H]2CCCc3n[nH]nc32)CCOCC1 ZINC000925422155 612970056 /nfs/dbraw/zinc/97/00/56/612970056.db2.gz UKYRKDUIOCGUJS-LLVKDONJSA-N 0 1 288.351 0.913 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1cnon1 ZINC000960320946 612971348 /nfs/dbraw/zinc/97/13/48/612971348.db2.gz LOUSEOUZEKAOHQ-TZMCWYRMSA-N 0 1 282.303 0.688 20 30 CCEDMN C#CCC1(O)CCN(C(=O)C2(N(C)C)CC2)CC1 ZINC000882529124 612971380 /nfs/dbraw/zinc/97/13/80/612971380.db2.gz UUSYQNHRAFYUKS-UHFFFAOYSA-N 0 1 250.342 0.457 20 30 CCEDMN C#CCC1(O)CCN(C(=O)[C@@H]2C[C@H](OC)CN2C)CC1 ZINC000922190991 612972532 /nfs/dbraw/zinc/97/25/32/612972532.db2.gz RDMWYUAJWBOUOB-STQMWFEESA-N 0 1 280.368 0.082 20 30 CCEDMN C#CCC1(O)CCN(C/C(C)=C/C(=O)OC)CC1 ZINC000931700963 612973205 /nfs/dbraw/zinc/97/32/05/612973205.db2.gz UHJFNPMTWKFMKY-ZRDIBKRKSA-N 0 1 251.326 0.956 20 30 CCEDMN C#CCC1(O)CCN(c2ncnc3[nH]cnc32)CC1 ZINC000882971721 612974360 /nfs/dbraw/zinc/97/43/60/612974360.db2.gz RHJNKSUTUICJTA-UHFFFAOYSA-N 0 1 257.297 0.708 20 30 CCEDMN C#CCCCCNC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000806959427 612977510 /nfs/dbraw/zinc/97/75/10/612977510.db2.gz NEPHDAPVJSIPMG-UONOGXRCSA-N 0 1 281.400 0.886 20 30 CCEDMN C#CCCCCNC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000842181566 612977634 /nfs/dbraw/zinc/97/76/34/612977634.db2.gz YGQOUMZEDAQNOA-KGLIPLIRSA-N 0 1 281.400 0.886 20 30 CCEDMN C#CCCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC000833362413 612978426 /nfs/dbraw/zinc/97/84/26/612978426.db2.gz QMCNEHHFUJBSSZ-WDEREUQCSA-N 0 1 253.298 0.738 20 30 CCEDMN C#CCCCc1nnc(N2CCN(CC)CC2)n1C ZINC000908534208 612985881 /nfs/dbraw/zinc/98/58/81/612985881.db2.gz GTUAKUDNQWDVHM-UHFFFAOYSA-N 0 1 261.373 0.913 20 30 CCEDMN C#CCCN(CCOC)Cc1cccc(S(N)(=O)=O)c1 ZINC000877641168 612989539 /nfs/dbraw/zinc/98/95/39/612989539.db2.gz OOCNYHSGVONRIG-UHFFFAOYSA-N 0 1 296.392 0.806 20 30 CCEDMN C#CCONC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000812786874 612993471 /nfs/dbraw/zinc/99/34/71/612993471.db2.gz YHLDVWWYLKDORC-UHFFFAOYSA-N 0 1 275.289 0.919 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC(C)(C)CN1CCN(CC)CC1 ZINC000837133012 612995811 /nfs/dbraw/zinc/99/58/11/612995811.db2.gz MAHJLGPMCAWCJZ-AWEZNQCLSA-N 0 1 295.427 0.557 20 30 CCEDMN C#CCCN1CCC(NC(=O)[C@@H]2[C@@H]3CCC(=O)[C@@H]32)CC1 ZINC000906773943 612999210 /nfs/dbraw/zinc/99/92/10/612999210.db2.gz QNWFROREIMJOEW-BPLDGKMQSA-N 0 1 274.364 0.815 20 30 CCEDMN C#CCO[C@H](C)C(=O)OCCCN1CCO[C@@H](C)C1 ZINC000893386148 613004257 /nfs/dbraw/zinc/00/42/57/613004257.db2.gz RCIGLBCVVVMTRD-QWHCGFSZSA-N 0 1 269.341 0.679 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC000965973701 613016284 /nfs/dbraw/zinc/01/62/84/613016284.db2.gz FCJYOVHHMCUZNJ-TZMCWYRMSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2cnccn2)C1 ZINC000964774745 613023412 /nfs/dbraw/zinc/02/34/12/613023412.db2.gz QWZIQFCYRCSOMR-JSGCOSHPSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)CCCCc1cn[nH]n1 ZINC000863803465 613032538 /nfs/dbraw/zinc/03/25/38/613032538.db2.gz WADKTMDDPASPIP-LBPRGKRZSA-N 0 1 264.329 0.672 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000863806350 613036988 /nfs/dbraw/zinc/03/69/88/613036988.db2.gz HUAAPRNEJKAMJL-MNOVXSKESA-N 0 1 261.325 0.669 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H](c1ccccc1)[NH+](C)C)C(=O)[O-] ZINC000910051798 613041186 /nfs/dbraw/zinc/04/11/86/613041186.db2.gz HSDAJBAGRTXUEN-OLZOCXBDSA-N 0 1 274.320 0.882 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)N[C@H](C)CN2CCN(C)CC2)C1 ZINC000888191282 613046029 /nfs/dbraw/zinc/04/60/29/613046029.db2.gz ICTDBZMNFUGKER-HUUCEWRRSA-N 0 1 292.427 0.677 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@@H]1CCN1C1CCCC1 ZINC000859347504 613054356 /nfs/dbraw/zinc/05/43/56/613054356.db2.gz QRXGUOZWBKEKAV-ZDUSSCGKSA-N 0 1 270.398 0.946 20 30 CCEDMN C#CC[C@H](CO)NC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000925168851 613055562 /nfs/dbraw/zinc/05/55/62/613055562.db2.gz ILVCZBJAMIAXOQ-JHJVBQTASA-N 0 1 297.424 0.250 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000799073702 613058736 /nfs/dbraw/zinc/05/87/36/613058736.db2.gz PVDCYRRUIAKKSF-CYBMUJFWSA-N 0 1 284.319 0.976 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)CN(C)[C@@H]1CCSC1 ZINC000799071252 613060409 /nfs/dbraw/zinc/06/04/09/613060409.db2.gz FYIKZHFVGAISPU-NEPJUHHUSA-N 0 1 270.398 0.314 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCc1cn[nH]c1C ZINC000875094865 613063830 /nfs/dbraw/zinc/06/38/30/613063830.db2.gz ACFXLTAGWDTKDC-LLVKDONJSA-N 0 1 250.302 0.556 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000875660766 613063851 /nfs/dbraw/zinc/06/38/51/613063851.db2.gz GJCWIZFGDBHZTI-SNVBAGLBSA-N 0 1 293.371 0.940 20 30 CCEDMN C#CCN(C(=O)c1n[nH]c(C)c1[N+](=O)[O-])C1CSC1 ZINC000912369607 613070474 /nfs/dbraw/zinc/07/04/74/613070474.db2.gz OAMACYKFVWFSDZ-UHFFFAOYSA-N 0 1 280.309 0.817 20 30 CCEDMN C#CCN(C)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000811998770 613071415 /nfs/dbraw/zinc/07/14/15/613071415.db2.gz YJIVZKGOITXGPC-UHFFFAOYSA-N 0 1 266.389 0.287 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)[C@H](C(=O)[O-])C(C)C ZINC000911405356 613077301 /nfs/dbraw/zinc/07/73/01/613077301.db2.gz WPVBRCRTWFUJAG-LLVKDONJSA-N 0 1 254.330 0.415 20 30 CCEDMN C#CCn1cc(CNC(=O)c2cnccc2N(C)C)cn1 ZINC000807939592 613077760 /nfs/dbraw/zinc/07/77/60/613077760.db2.gz AWOYNQXBGPUNMX-UHFFFAOYSA-N 0 1 283.335 0.907 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@H](C)N2CCCC2=O)C1 ZINC000972714436 613081429 /nfs/dbraw/zinc/08/14/29/613081429.db2.gz UDJHNUYTEZADJJ-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cn2cc(Cl)cn2)C1 ZINC000972439616 613081741 /nfs/dbraw/zinc/08/17/41/613081741.db2.gz FDRSWPRMNMIZET-GFCCVEGCSA-N 0 1 280.759 0.702 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cn2ncc3cccnc32)C1 ZINC000971964716 613082034 /nfs/dbraw/zinc/08/20/34/613082034.db2.gz ROFKDCBPHULLGF-CQSZACIVSA-N 0 1 297.362 0.597 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(C(N)=O)cs2)C1 ZINC000980502283 613084785 /nfs/dbraw/zinc/08/47/85/613084785.db2.gz FTXMHGVZJGXCKX-LLVKDONJSA-N 0 1 291.376 0.627 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)nn2CC)C1 ZINC000972407669 613085257 /nfs/dbraw/zinc/08/52/57/613085257.db2.gz CNHODSADFFIXRF-CYBMUJFWSA-N 0 1 274.368 0.991 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(N(C)C)cn2)C1 ZINC000980506473 613086051 /nfs/dbraw/zinc/08/60/51/613086051.db2.gz DMXJAOPPZTZANZ-CQSZACIVSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccn(CCOC)n2)C1 ZINC000971922153 613087122 /nfs/dbraw/zinc/08/71/22/613087122.db2.gz KFGRDVAUPLIUFU-CYBMUJFWSA-N 0 1 290.367 0.309 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nc3ncc(C)cn3n2)C1 ZINC000971759218 613088404 /nfs/dbraw/zinc/08/84/04/613088404.db2.gz PFKJJDZQLQUQLX-GFCCVEGCSA-N 0 1 298.350 0.212 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2(F)CCOCC2)C1 ZINC000971659269 613088985 /nfs/dbraw/zinc/08/89/85/613088985.db2.gz WSXNGYPQLAZRNS-LBPRGKRZSA-N 0 1 268.332 0.671 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCCO2)C1 ZINC000971111092 613090472 /nfs/dbraw/zinc/09/04/72/613090472.db2.gz XYKABXJNAJFACL-QWHCGFSZSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2nc(CC)c[nH]2)C1 ZINC000971713731 613091087 /nfs/dbraw/zinc/09/10/87/613091087.db2.gz OZANAHMLIDGZDF-ZDUSSCGKSA-N 0 1 274.368 0.681 20 30 CCEDMN C#C[C@@H](NC(=O)CN1CC[C@H](C)C1)C1CCOCC1 ZINC000856099349 613092329 /nfs/dbraw/zinc/09/23/29/613092329.db2.gz ARYCLWXJNUJGJT-GXTWGEPZSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc3nccnc3c2)C1 ZINC000971692809 613096435 /nfs/dbraw/zinc/09/64/35/613096435.db2.gz IQAZDIPUCCRFRQ-ZDUSSCGKSA-N 0 1 295.346 0.804 20 30 CCEDMN C#C[C@@H](NC(=O)N[C@@H](C)c1n[nH]c(C)n1)C1CCOCC1 ZINC000823164715 613097185 /nfs/dbraw/zinc/09/71/85/613097185.db2.gz YRPHHDYCEFFISZ-JOYOIKCWSA-N 0 1 291.355 0.902 20 30 CCEDMN C#CCN(CC#C)C[C@H](O)CC1(C#N)CCOCC1 ZINC000859153409 613098972 /nfs/dbraw/zinc/09/89/72/613098972.db2.gz SJYWIFHDFUWVKQ-CQSZACIVSA-N 0 1 260.337 0.626 20 30 CCEDMN C#CCN(CC#CC)c1nnc(Cc2cc(C)n[nH]2)n1C ZINC000871024715 613100882 /nfs/dbraw/zinc/10/08/82/613100882.db2.gz XRFWMLLEVMAKJX-UHFFFAOYSA-N 0 1 282.351 0.900 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@H](C)N(C)C ZINC000855494192 613104241 /nfs/dbraw/zinc/10/42/41/613104241.db2.gz AWKCLOFWMKDGQI-NSHDSACASA-N 0 1 268.357 0.740 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)C(C)C#N ZINC000855493794 613104244 /nfs/dbraw/zinc/10/42/44/613104244.db2.gz JYUUWQAAUHZNBO-JTQLQIEISA-N 0 1 250.298 0.950 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)NC[C@@H]2C[N@H+](C)CCN2C)CC1 ZINC000872889143 613107960 /nfs/dbraw/zinc/10/79/60/613107960.db2.gz WKLUMDDIJAOEDP-RBSFLKMASA-N 0 1 292.427 0.723 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)NC[C@@H]2CN(C)CCN2C)CC1 ZINC000872889143 613107961 /nfs/dbraw/zinc/10/79/61/613107961.db2.gz WKLUMDDIJAOEDP-RBSFLKMASA-N 0 1 292.427 0.723 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC(CSC)CC2)C1=O ZINC000899245328 613109396 /nfs/dbraw/zinc/10/93/96/613109396.db2.gz OJXOOGGLXXMDCA-LBPRGKRZSA-N 0 1 280.393 0.822 20 30 CCEDMN C#C[C@H](C)N(C)CCn1cnc(Br)n1 ZINC000851990426 613114063 /nfs/dbraw/zinc/11/40/63/613114063.db2.gz SCMOVVXDUBDKAK-QMMMGPOBSA-N 0 1 257.135 0.994 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000820412392 613115958 /nfs/dbraw/zinc/11/59/58/613115958.db2.gz MKLQSANMCMRHOZ-NWDGAFQWSA-N 0 1 267.373 0.495 20 30 CCEDMN C#C[C@H](C)NC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000820394359 613116654 /nfs/dbraw/zinc/11/66/54/613116654.db2.gz JLPWGZZYDJWWQM-TUAOUCFPSA-N 0 1 253.346 0.105 20 30 CCEDMN C#CCN1CC([C@@H](C)NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC000970709938 613118073 /nfs/dbraw/zinc/11/80/73/613118073.db2.gz FLDGHCCGXJABMJ-NEPJUHHUSA-N 0 1 286.379 0.584 20 30 CCEDMN C#C[C@H](CC)NC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC000875594820 613121150 /nfs/dbraw/zinc/12/11/50/613121150.db2.gz SEGOBDSCDBFFDY-LLVKDONJSA-N 0 1 291.355 0.910 20 30 CCEDMN C#C[C@H](CCC)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000911610859 613122476 /nfs/dbraw/zinc/12/24/76/613122476.db2.gz DJXCDFFSPODJLG-VXGBXAGGSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)C2CCN(CC#C)CC2)C1 ZINC000957415940 613128695 /nfs/dbraw/zinc/12/86/95/613128695.db2.gz DLKXODUGMNEHGA-UHFFFAOYSA-N 0 1 287.407 0.498 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCOC[C@H]2OC)CC1 ZINC000882356351 613128734 /nfs/dbraw/zinc/12/87/34/613128734.db2.gz DZOMWDKCIADRFS-HUUCEWRRSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC3(C2)CCCO3)CC1 ZINC000862821097 613128785 /nfs/dbraw/zinc/12/87/85/613128785.db2.gz PZBZWRNBMYDKSD-UHFFFAOYSA-N 0 1 262.353 0.723 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H]3COC[C@@]3(C)C2)CC1 ZINC000855837018 613129439 /nfs/dbraw/zinc/12/94/39/613129439.db2.gz FSXMJEGBGCTBGN-GDBMZVCRSA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](C3OCCO3)C2)CC1 ZINC000928003014 613129702 /nfs/dbraw/zinc/12/97/02/613129702.db2.gz KSEFPFZOVPSUGH-AWEZNQCLSA-N 0 1 292.379 0.553 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2nnnn2C2CC2)CC1 ZINC000905840039 613132165 /nfs/dbraw/zinc/13/21/65/613132165.db2.gz RNNVUTPHVLFRNI-UHFFFAOYSA-N 0 1 274.328 0.292 20 30 CCEDMN C#CCN1CCC(Nc2nnnn2-c2ccccc2)CC1 ZINC000895803294 613132317 /nfs/dbraw/zinc/13/23/17/613132317.db2.gz GBKHAKDBLCMZBX-UHFFFAOYSA-N 0 1 282.351 0.594 20 30 CCEDMN C#CCN1CCC(NC(=O)C2CC(OC)C2)CC1 ZINC000928648034 613132500 /nfs/dbraw/zinc/13/25/00/613132500.db2.gz DCAMDLLWKVZTFS-UHFFFAOYSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)COC(F)(F)F)CC1 ZINC000830168057 613132748 /nfs/dbraw/zinc/13/27/48/613132748.db2.gz DPWZBSDBKSNAQU-UHFFFAOYSA-N 0 1 264.247 0.737 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCN(C)C(=O)OC)CC1 ZINC000928658070 613132970 /nfs/dbraw/zinc/13/29/70/613132970.db2.gz KQXWDSJEUPDEFX-UHFFFAOYSA-N 0 1 295.383 0.679 20 30 CCEDMN C#CCN1CCC(NC(=O)CN2CCCCCC2=O)CC1 ZINC000928658836 613133056 /nfs/dbraw/zinc/13/30/56/613133056.db2.gz ULOPUUUUJPGQQE-UHFFFAOYSA-N 0 1 291.395 0.603 20 30 CCEDMN C#C[C@H](NC(=O)CN(C)C1CCC1)[C@@H]1CCCO1 ZINC000863906748 613133105 /nfs/dbraw/zinc/13/31/05/613133105.db2.gz VWDBYDHTIQHYBF-STQMWFEESA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ccn(CC)n2)CC1 ZINC000928649426 613133240 /nfs/dbraw/zinc/13/32/40/613133240.db2.gz KTNVKHCPHSWCRO-UHFFFAOYSA-N 0 1 274.368 0.659 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cnn(C)c2)CC1 ZINC000928657967 613133545 /nfs/dbraw/zinc/13/35/45/613133545.db2.gz HFUUIRKHRGZIJH-UHFFFAOYSA-N 0 1 260.341 0.176 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2nccc2C)CC1 ZINC000928647133 613133599 /nfs/dbraw/zinc/13/35/99/613133599.db2.gz FGPVJMHTUZABSC-UHFFFAOYSA-N 0 1 260.341 0.405 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCC[C@@H](C)CO)CC1 ZINC000921677090 613133743 /nfs/dbraw/zinc/13/37/43/613133743.db2.gz NAJWMJCEWZTQTC-CYBMUJFWSA-N 0 1 281.400 0.792 20 30 CCEDMN C#CCN1CCC(NC(=O)NC2COC(C)(C)OC2)CC1 ZINC000921953615 613133786 /nfs/dbraw/zinc/13/37/86/613133786.db2.gz XDRZCJDEYCOLNQ-UHFFFAOYSA-N 0 1 295.383 0.535 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCCOCC)CC1 ZINC000913853104 613133969 /nfs/dbraw/zinc/13/39/69/613133969.db2.gz ORVKVYZWAZYAJM-UHFFFAOYSA-N 0 1 267.373 0.810 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCNC(=O)C(C)C)CC1 ZINC000913899940 613133998 /nfs/dbraw/zinc/13/39/98/613133998.db2.gz KJVGQKFPXPBPDF-UHFFFAOYSA-N 0 1 294.399 0.155 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H](C)OC)CC1 ZINC000923255654 613134387 /nfs/dbraw/zinc/13/43/87/613134387.db2.gz OYJYYKNPEDZYSA-NSHDSACASA-N 0 1 253.346 0.418 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ccncn2)CC1 ZINC000922824913 613134489 /nfs/dbraw/zinc/13/44/89/613134489.db2.gz JSTUSKIKGXHORJ-UHFFFAOYSA-N 0 1 273.340 0.373 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cnn(C)c2)CC1 ZINC000914338241 613134618 /nfs/dbraw/zinc/13/46/18/613134618.db2.gz SUADCJSPPGLHPR-UHFFFAOYSA-N 0 1 275.356 0.317 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2C[C@@H](C)O[C@H]2C)CC1 ZINC000923615579 613134988 /nfs/dbraw/zinc/13/49/88/613134988.db2.gz HAQWFFBPPVEORJ-MBNYWOFBSA-N 0 1 279.384 0.949 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2C[C@H](OCC)C2)CC1 ZINC000923247481 613135012 /nfs/dbraw/zinc/13/50/12/613135012.db2.gz GISLPHWROXTMLY-HDJSIYSDSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCOC2(C)C)CC1 ZINC000922679460 613135283 /nfs/dbraw/zinc/13/52/83/613135283.db2.gz WUTYMDWCILFJJU-ZDUSSCGKSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2COC[C@@H]2C)CC1 ZINC000926028836 613135296 /nfs/dbraw/zinc/13/52/96/613135296.db2.gz FUOFYVHNDJYIAP-AAEUAGOBSA-N 0 1 265.357 0.418 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cc(OC)n(C)n2)CC1 ZINC000930898852 613135470 /nfs/dbraw/zinc/13/54/70/613135470.db2.gz KYMMYNXTCMZSTP-UHFFFAOYSA-N 0 1 291.355 0.648 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)CC1 ZINC000928650141 613136282 /nfs/dbraw/zinc/13/62/82/613136282.db2.gz ZKVGNUKLPSSKIK-MCIONIFRSA-N 0 1 262.353 0.768 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCCC(=O)N2C)CC1 ZINC000928660967 613136788 /nfs/dbraw/zinc/13/67/88/613136788.db2.gz VUZYDWKBGOKQAD-CYBMUJFWSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C#N)o2)CC1 ZINC000928651126 613137279 /nfs/dbraw/zinc/13/72/79/613137279.db2.gz NPICJCMINSTQOS-UHFFFAOYSA-N 0 1 257.293 0.979 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc3n2CCOC3)CC1 ZINC000928648106 613137560 /nfs/dbraw/zinc/13/75/60/613137560.db2.gz GJIVGHSVNGAAKT-UHFFFAOYSA-N 0 1 288.351 0.241 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ncc(OC)cn2)CC1 ZINC000928650338 613137965 /nfs/dbraw/zinc/13/79/65/613137965.db2.gz ARUJQFOROTUYLX-UHFFFAOYSA-N 0 1 274.324 0.313 20 30 CCEDMN C#C[C@H](NC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1)[C@@H]1CCCO1 ZINC000836411097 613138159 /nfs/dbraw/zinc/13/81/59/613138159.db2.gz ZPMGIQMKQDRVNV-CRWXNKLISA-N 0 1 279.384 0.901 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@@H]1CC1(F)F)CCO2 ZINC000949090167 613139572 /nfs/dbraw/zinc/13/95/72/613139572.db2.gz YNAOPASXMQRNRG-LBPRGKRZSA-N 0 1 298.333 0.968 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CCNC(=O)C3)C2)C1 ZINC000981710257 613141911 /nfs/dbraw/zinc/14/19/11/613141911.db2.gz TVLXMZRKWBKGOP-CYBMUJFWSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ncc[nH]3)C2)C1 ZINC000981755505 613145555 /nfs/dbraw/zinc/14/55/55/613145555.db2.gz KKZUUSVADNXXBV-UHFFFAOYSA-N 0 1 258.325 0.581 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000890657352 613153775 /nfs/dbraw/zinc/15/37/75/613153775.db2.gz OVUJAUBBUBXURZ-UONOGXRCSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN1CCCN(C(=O)[C@H](C)Cc2cnc[nH]2)CC1 ZINC000982143032 613155395 /nfs/dbraw/zinc/15/53/95/613155395.db2.gz MXLVCUNBPVYDBZ-CYBMUJFWSA-N 0 1 274.368 0.756 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(C3CN(CC)C3)CC2)nc1 ZINC000941642021 613170066 /nfs/dbraw/zinc/17/00/66/613170066.db2.gz KFYKNUUCUCRBOV-UHFFFAOYSA-N 0 1 298.390 0.525 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C\CN2CCOCC2)C1 ZINC000923560163 613180961 /nfs/dbraw/zinc/18/09/61/613180961.db2.gz OKIWBUBBHNHXIO-VOKGJARPSA-N 0 1 291.395 0.089 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CN3CCN2CCC3)cc1 ZINC000908027971 613181858 /nfs/dbraw/zinc/18/18/58/613181858.db2.gz JMLRIXHBZGZNPI-INIZCTEOSA-N 0 1 283.375 0.788 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2[C@H]3CN(CC(N)=O)C[C@H]32)cc1 ZINC000978582504 613182165 /nfs/dbraw/zinc/18/21/65/613182165.db2.gz MKFZSKFVHCLFIU-QKDCVEJESA-N 0 1 297.358 0.061 20 30 CCEDMN C#CCN1CCC[C@H](NCc2nn(CC)nc2C)C1 ZINC000886209810 613192762 /nfs/dbraw/zinc/19/27/62/613192762.db2.gz VOPGAAFPNOGEBE-ZDUSSCGKSA-N 0 1 261.373 0.794 20 30 CCEDMN C#Cc1ccc(CN2CCC[C@@H]2CS(N)(=O)=O)cc1 ZINC000809576577 613193092 /nfs/dbraw/zinc/19/30/92/613193092.db2.gz NSQCNIOEHDNTBQ-CQSZACIVSA-N 0 1 278.377 0.921 20 30 CCEDMN C#CCN1CCC[C@H](N[C@H](c2nnc[nH]2)c2cccnc2)C1 ZINC000886662692 613193793 /nfs/dbraw/zinc/19/37/93/613193793.db2.gz KEOABYVRGXYVTI-GJZGRUSLSA-N 0 1 296.378 0.976 20 30 CCEDMN C#CCN1CCC[C@H](Oc2ccnc(C(=O)NC)c2)C1 ZINC000878783804 613193861 /nfs/dbraw/zinc/19/38/61/613193861.db2.gz AUYHKNITXPRKGE-ZDUSSCGKSA-N 0 1 273.336 0.918 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@](C)(C(=O)[O-])C1 ZINC000825618990 613197073 /nfs/dbraw/zinc/19/70/73/613197073.db2.gz IUKXPMJQTKBUIM-SMDDNHRTSA-N 0 1 264.325 0.407 20 30 CCEDMN C#Cc1cccc(CNC(=O)[C@@]2(C)C[C@H](O)CN2C)c1 ZINC000909389859 613201103 /nfs/dbraw/zinc/20/11/03/613201103.db2.gz BJUCQTGFPUJKPR-GOEBONIOSA-N 0 1 272.348 0.739 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H](CC)NC(C)=O ZINC000870195290 613203500 /nfs/dbraw/zinc/20/35/00/613203500.db2.gz QVCWUMXESKUGGR-STQMWFEESA-N 0 1 266.341 0.542 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@@H]2CC=C)CC1 ZINC000934463997 613205239 /nfs/dbraw/zinc/20/52/39/613205239.db2.gz AFAWHYMWIZJCGV-AWEZNQCLSA-N 0 1 261.369 0.414 20 30 CCEDMN C#Cc1cccnc1N1CCN(Cc2nnc[nH]2)CC1 ZINC000853714734 613208373 /nfs/dbraw/zinc/20/83/73/613208373.db2.gz FYWMTXAWTGQPIV-UHFFFAOYSA-N 0 1 268.324 0.503 20 30 CCEDMN C#CCN1CCO[C@]2(CCN(C(=O)CN3CCCC3)C2)C1 ZINC000972674384 613212454 /nfs/dbraw/zinc/21/24/54/613212454.db2.gz OPQZNMDJVJGNMS-MRXNPFEDSA-N 0 1 291.395 0.019 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2ccnc2)C1 ZINC000968699709 613214025 /nfs/dbraw/zinc/21/40/25/613214025.db2.gz YYYGDGPGWCIAIN-OLZOCXBDSA-N 0 1 260.341 0.343 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@H]2CN(C)CCN2C)c1 ZINC000806835669 613218523 /nfs/dbraw/zinc/21/85/23/613218523.db2.gz OPIZHKGQHRBASC-AWEZNQCLSA-N 0 1 272.352 0.039 20 30 CCEDMN COc1ccnc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)n1 ZINC000842529415 617325005 /nfs/dbraw/zinc/32/50/05/617325005.db2.gz YOGKRIITNDULJL-NXEZZACHSA-N 0 1 274.328 0.679 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C)n(C)n2)[C@H](C)C1 ZINC000941870866 613232836 /nfs/dbraw/zinc/23/28/36/613232836.db2.gz XVOLZEDLAUYQRM-DGCLKSJQSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc3n(n2)CCO3)C[C@@H]1C ZINC000947394648 613234321 /nfs/dbraw/zinc/23/43/21/613234321.db2.gz HSTCIZLVXGXGSG-NWDGAFQWSA-N 0 1 288.351 0.491 20 30 CCEDMN C=C(Br)CNC(=O)CCCc1nn[nH]n1 ZINC000874214178 613236030 /nfs/dbraw/zinc/23/60/30/613236030.db2.gz SWHRZGVXJUMGSN-UHFFFAOYSA-N 0 1 274.122 0.547 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3nnnn3c2)[C@@H](C)C1 ZINC000942468749 613236407 /nfs/dbraw/zinc/23/64/07/613236407.db2.gz ZYRAFUOFTUCAHS-WCQYABFASA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncc(OC)cn2)[C@H](C)C1 ZINC000942433076 613244088 /nfs/dbraw/zinc/24/40/88/613244088.db2.gz UBGTVQGKVYFTEV-DGCLKSJQSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nccnc2N)C[C@H]1C ZINC000947144583 613244334 /nfs/dbraw/zinc/24/43/34/613244334.db2.gz RUHWZXAVELEUNF-GHMZBOCLSA-N 0 1 273.340 0.275 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncn(C)n2)C[C@H]1C ZINC000947277587 613244796 /nfs/dbraw/zinc/24/47/96/613244796.db2.gz QNKKEKFKANRWEA-GHMZBOCLSA-N 0 1 261.329 0.031 20 30 CCEDMN C#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC000966557239 613254247 /nfs/dbraw/zinc/25/42/47/613254247.db2.gz CAXMFAGZKPYHDE-GXFFZTMASA-N 0 1 298.350 0.426 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC000966557239 613254249 /nfs/dbraw/zinc/25/42/49/613254249.db2.gz CAXMFAGZKPYHDE-GXFFZTMASA-N 0 1 298.350 0.426 20 30 CCEDMN C/C(=C\C(=O)NCC#CCN(C)C)c1cnccn1 ZINC000913523273 613255142 /nfs/dbraw/zinc/25/51/42/613255142.db2.gz SIEYPSVELLSJDK-ZRDIBKRKSA-N 0 1 258.325 0.561 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(F)CCOC2)C(C)(C)C1 ZINC000940980117 613263287 /nfs/dbraw/zinc/26/32/87/613263287.db2.gz NSKLGGOVCLJOCA-SWLSCSKDSA-N 0 1 282.359 0.965 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]nc2C)[C@H](C)C1 ZINC000942546202 613265117 /nfs/dbraw/zinc/26/51/17/613265117.db2.gz RHLQGLSJDULLMZ-MFKMUULPSA-N 0 1 260.341 0.792 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnnn2CC)C[C@@H]1C ZINC000947260992 613275661 /nfs/dbraw/zinc/27/56/61/613275661.db2.gz BRJOROVEBZXWSF-RYUDHWBXSA-N 0 1 275.356 0.514 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnnn2C)C[C@H]1C ZINC000947266198 613275861 /nfs/dbraw/zinc/27/58/61/613275861.db2.gz QSHWYGQCVPJAEY-MNOVXSKESA-N 0 1 261.329 0.031 20 30 CCEDMN C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1ncn[n-]1 ZINC000948970156 613280022 /nfs/dbraw/zinc/28/00/22/613280022.db2.gz SZAURRNXJIRFDD-AWEZNQCLSA-N 0 1 295.346 0.767 20 30 CCEDMN C#CCN1CCc2ccccc2[C@@H]1CNC(=O)c1nnc[nH]1 ZINC000948970156 613280025 /nfs/dbraw/zinc/28/00/25/613280025.db2.gz SZAURRNXJIRFDD-AWEZNQCLSA-N 0 1 295.346 0.767 20 30 CCEDMN C#CCN1CCc2ccccc2[C@@H]1CNC(=O)c1ncn[nH]1 ZINC000948970156 613280026 /nfs/dbraw/zinc/28/00/26/613280026.db2.gz SZAURRNXJIRFDD-AWEZNQCLSA-N 0 1 295.346 0.767 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2[nH]cnc2C)C(C)(C)C1 ZINC000977534670 613280608 /nfs/dbraw/zinc/28/06/08/613280608.db2.gz MDMBYHGOQMRLOE-CYBMUJFWSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2c[nH]cn2)C(C)(C)C1 ZINC000975092117 613281307 /nfs/dbraw/zinc/28/13/07/613281307.db2.gz IPGJYNIAVRRHDD-GFCCVEGCSA-N 0 1 260.341 0.412 20 30 CCEDMN C=C(C)C[N@@H+](C)Cn1nc(C(=O)OC)cc1C(=O)[O-] ZINC000833368590 613282526 /nfs/dbraw/zinc/28/25/26/613282526.db2.gz MNZURZBGFAMZIM-UHFFFAOYSA-N 0 1 267.285 0.833 20 30 CCEDMN C=C(C)C[N@H+](C)Cn1nc(C(=O)OC)cc1C(=O)[O-] ZINC000833368590 613282527 /nfs/dbraw/zinc/28/25/27/613282527.db2.gz MNZURZBGFAMZIM-UHFFFAOYSA-N 0 1 267.285 0.833 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC000958179520 613285967 /nfs/dbraw/zinc/28/59/67/613285967.db2.gz OGBPMKMXZBYSMZ-SWLSCSKDSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)co2)C1 ZINC000958324223 613286305 /nfs/dbraw/zinc/28/63/05/613286305.db2.gz HQVZBPKIHSRIPD-NWDGAFQWSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2csc(Cl)n2)C1 ZINC000958565845 613288097 /nfs/dbraw/zinc/28/80/97/613288097.db2.gz ATRNBBMNOSXXBJ-WCBMZHEXSA-N 0 1 299.783 0.452 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2coc(C(F)F)c2)C1 ZINC000958356672 613288115 /nfs/dbraw/zinc/28/81/15/613288115.db2.gz QQSRRBRIIBZVFR-WDEREUQCSA-N 0 1 298.289 0.873 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc(F)c2F)[C@H](O)C1 ZINC000958345041 613289462 /nfs/dbraw/zinc/28/94/62/613289462.db2.gz KZKNXCIEEYCADP-ZWNOBZJWSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccoc2C2CC2)[C@H](O)C1 ZINC000958349172 613290305 /nfs/dbraw/zinc/29/03/05/613290305.db2.gz FTMRSXJYMAOXRB-TZMCWYRMSA-N 0 1 288.347 0.813 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cncc(C)c2)[C@H](O)C1 ZINC000957957014 613290352 /nfs/dbraw/zinc/29/03/52/613290352.db2.gz IVLLHYDYCVTKFD-ZIAGYGMSSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3ncccn3)C[C@]2(C)C1 ZINC000982668252 613294307 /nfs/dbraw/zinc/29/43/07/613294307.db2.gz SAEMNOSEXMHFBJ-IYBDPMFKSA-N 0 1 284.363 0.894 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C(C)C)no2)C1 ZINC000958457136 613294544 /nfs/dbraw/zinc/29/45/44/613294544.db2.gz AYPYQIVJRXBOBO-AAEUAGOBSA-N 0 1 291.351 0.454 20 30 CCEDMN C=C(C)CN1CC(N(CC)C(=O)c2[nH]nnc2C)C1 ZINC000951107753 613296060 /nfs/dbraw/zinc/29/60/60/613296060.db2.gz JJLDBFHSDMWAAA-UHFFFAOYSA-N 0 1 263.345 0.836 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2=CCOCC2)C(C)(C)C1 ZINC000974487541 613296736 /nfs/dbraw/zinc/29/67/36/613296736.db2.gz AGQLXLMKSCIUFT-ZDUSSCGKSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C23CCC(CC2)CC3)C1 ZINC000958717793 613301547 /nfs/dbraw/zinc/30/15/47/613301547.db2.gz RHDJSDXQWMIPCZ-DGNOSDGRSA-N 0 1 290.407 0.999 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccccc2Cl)C1 ZINC000958598291 613303503 /nfs/dbraw/zinc/30/35/03/613303503.db2.gz JAIHQOIVKRKKFE-RISCZKNCSA-N 0 1 292.766 0.996 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccoc2Cl)C1 ZINC000958011457 613303765 /nfs/dbraw/zinc/30/37/65/613303765.db2.gz ZNXDVSZROZCGKL-KOLCDFICSA-N 0 1 282.727 0.589 20 30 CCEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cnn(C)n2)C1 ZINC000970583626 613303973 /nfs/dbraw/zinc/30/39/73/613303973.db2.gz PFQZNLYUDVLNKE-JTQLQIEISA-N 0 1 263.345 0.441 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2csnc2C)C1 ZINC000957983812 613304442 /nfs/dbraw/zinc/30/44/42/613304442.db2.gz WDGCBJAIXQVZKL-PWSUYJOCSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2scnc2C)C1 ZINC000957881765 613304724 /nfs/dbraw/zinc/30/47/24/613304724.db2.gz FJPMGGZUIAWBRD-MNOVXSKESA-N 0 1 279.365 0.107 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2n[nH]nc2C)CC1 ZINC000981730445 613311418 /nfs/dbraw/zinc/31/14/18/613311418.db2.gz HUDCOBLBMWRVFE-UHFFFAOYSA-N 0 1 263.345 0.837 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(c2cccnc2)CC1 ZINC000961566134 613313271 /nfs/dbraw/zinc/31/32/71/613313271.db2.gz MOKYUBJHFYLMFB-FICVDOATSA-N 0 1 281.359 0.793 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc2c[nH]nc2c1 ZINC000962433395 613314522 /nfs/dbraw/zinc/31/45/22/613314522.db2.gz WWACOKHNLMEZBP-HALDLXJZSA-N 0 1 294.358 0.785 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1Cc2cccnc2C1 ZINC000962399574 613316385 /nfs/dbraw/zinc/31/63/85/613316385.db2.gz WTVOBLAZSVANFL-NYTXWWLZSA-N 0 1 281.359 0.476 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C)n1C ZINC000958178065 613319236 /nfs/dbraw/zinc/31/92/36/613319236.db2.gz WQGAAEZXKIOLPR-IMRBUKKESA-N 0 1 257.337 0.627 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccn1CC=C ZINC000960277139 613320021 /nfs/dbraw/zinc/32/00/21/613320021.db2.gz COTPMOQQQKFHNC-NHAGDIPZSA-N 0 1 269.348 0.967 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc2[nH]cnc21 ZINC000960122157 613320245 /nfs/dbraw/zinc/32/02/45/613320245.db2.gz DTWZVNISTZJDEZ-GDNZZTSVSA-N 0 1 281.319 0.251 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2c(cccc2C)n1 ZINC000962501985 613320590 /nfs/dbraw/zinc/32/05/90/613320590.db2.gz FCCCJPZNEQKYDA-VIKVFOODSA-N 0 1 294.358 0.936 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc(C)c1 ZINC000958469120 613321611 /nfs/dbraw/zinc/32/16/11/613321611.db2.gz BATTUZVFEPOBLC-WDNDVIMCSA-N 0 1 255.321 0.683 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1OCC=C ZINC000962262215 613321975 /nfs/dbraw/zinc/32/19/75/613321975.db2.gz MNKYAQGEFWWHPO-NHAGDIPZSA-N 0 1 297.358 0.940 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1onc2c1CCCC2 ZINC000961097012 613322435 /nfs/dbraw/zinc/32/24/35/613322435.db2.gz WPPIPEPZTGSGSE-IMRBUKKESA-N 0 1 285.347 0.847 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cnc(C)n2C)CC1 ZINC000824610447 613323616 /nfs/dbraw/zinc/32/36/16/613323616.db2.gz MUTWTFISKFZFSS-UHFFFAOYSA-N 0 1 274.368 0.690 20 30 CCEDMN C#CCNC(=O)CCN1CCO[C@@H](C(F)(F)F)CC1 ZINC000880049981 613325575 /nfs/dbraw/zinc/32/55/75/613325575.db2.gz DUCJNEXTYDNCFQ-SNVBAGLBSA-N 0 1 278.274 0.779 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000135039057 613329295 /nfs/dbraw/zinc/32/92/95/613329295.db2.gz AKMBSBIQKRUVDE-NSHDSACASA-N 0 1 266.341 0.559 20 30 CCEDMN C=C(C)COCCNC(=O)NCCN1CCC(O)CC1 ZINC000893693720 613352011 /nfs/dbraw/zinc/35/20/11/613352011.db2.gz GMIKJDCEGMEHKG-UHFFFAOYSA-N 0 1 285.388 0.335 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1CC[C@@H](N2CCC2)C1 ZINC000912902621 613359246 /nfs/dbraw/zinc/35/92/46/613359246.db2.gz MTSBYYWGPMQBSP-KGLIPLIRSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)[O-])OCC ZINC000833366753 613360176 /nfs/dbraw/zinc/36/01/76/613360176.db2.gz CQYMZTBGSSMFND-NWDGAFQWSA-N 0 1 295.339 0.893 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCc1n[nH]c(C)n1 ZINC000889696773 613361522 /nfs/dbraw/zinc/36/15/22/613361522.db2.gz MRZAWPLTOBAWBL-ONGXEEELSA-N 0 1 250.302 0.711 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)[C@]1(C)C[C@H](O)CN1C ZINC000907949739 613362076 /nfs/dbraw/zinc/36/20/76/613362076.db2.gz ZFYJRAYEPHCWFS-OSFYFWSMSA-N 0 1 282.384 0.539 20 30 CCEDMN C=C(C)[C@H](CO)N1CC[C@]2(CC(C(=O)OC)=NO2)C1 ZINC000879483910 613362726 /nfs/dbraw/zinc/36/27/26/613362726.db2.gz NJGKINFZMOFCSF-AAEUAGOBSA-N 0 1 268.313 0.317 20 30 CCEDMN C=C(Cl)CN1CC([C@@H](C)NC(=O)c2cnn[nH]2)C1 ZINC000970024057 613372007 /nfs/dbraw/zinc/37/20/07/613372007.db2.gz MFEABSOALYXGDG-MRVPVSSYSA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CN2CCCC2=O)C1 ZINC000966886366 613384547 /nfs/dbraw/zinc/38/45/47/613384547.db2.gz XCBUUDSDWIVQER-LLVKDONJSA-N 0 1 285.775 0.552 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N[C@@H](C)CCS(C)(=O)=O ZINC000876693036 613388109 /nfs/dbraw/zinc/38/81/09/613388109.db2.gz NTJVZVAWNTUYKY-DTWKUNHWSA-N 0 1 282.793 0.396 20 30 CCEDMN C=C(Cl)Cn1cc(CN2CCN(C)CC2)nn1 ZINC000881427000 613391106 /nfs/dbraw/zinc/39/11/06/613391106.db2.gz AHYCNADHXBWPJT-UHFFFAOYSA-N 0 1 255.753 0.778 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H](N(C)[C@@H]3CCNC3=O)C2)C1 ZINC000972275340 613394160 /nfs/dbraw/zinc/39/41/60/613394160.db2.gz NBRFDEFBHSLDTR-QWHCGFSZSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N(C)CC1(O)CCOCC1 ZINC000878477455 613396836 /nfs/dbraw/zinc/39/68/36/613396836.db2.gz KVVAWMXDXQMKAE-NSHDSACASA-N 0 1 257.330 0.577 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCC[C@H](CC(N)=O)C1 ZINC000878158566 613397375 /nfs/dbraw/zinc/39/73/75/613397375.db2.gz JHJMWDVHIPQPDH-MNOVXSKESA-N 0 1 254.330 0.692 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@]2(CNC(=O)C2)C1 ZINC000878527890 613412156 /nfs/dbraw/zinc/41/21/56/613412156.db2.gz HXKUZHCWHCYPBD-MFKMUULPSA-N 0 1 252.314 0.316 20 30 CCEDMN C=C1CN(C(=O)c2cc(S(=O)(=O)N(C)C)ccc2O)C1 ZINC000898700779 613417150 /nfs/dbraw/zinc/41/71/50/613417150.db2.gz LBHDKKXEDNKFJS-UHFFFAOYSA-N 0 1 296.348 0.655 20 30 CCEDMN C=CCN1C(=O)N=NC1S[C@H]1CCN(OC)C1=O ZINC000917209219 613424491 /nfs/dbraw/zinc/42/44/91/613424491.db2.gz DGXPKOIJFASKGY-ZETCQYMHSA-N 0 1 270.314 0.424 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC000947708566 613429845 /nfs/dbraw/zinc/42/98/45/613429845.db2.gz DPXGEHIOAZSHQZ-UHFFFAOYSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC000939051214 613442116 /nfs/dbraw/zinc/44/21/16/613442116.db2.gz XSDGUNQPIXGASE-NXEZZACHSA-N 0 1 291.355 0.594 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC000939051214 613442118 /nfs/dbraw/zinc/44/21/18/613442118.db2.gz XSDGUNQPIXGASE-NXEZZACHSA-N 0 1 291.355 0.594 20 30 CCEDMN C=CC1(S(=O)(=O)[N-]C(=O)C[C@H]([NH3+])C(F)F)CC1 ZINC000901060003 613450786 /nfs/dbraw/zinc/45/07/86/613450786.db2.gz WDUSGCBLDROBIU-LURJTMIESA-N 0 1 268.285 0.134 20 30 CCEDMN C=CC1(S(=O)(=O)[N-]C(=O)[C@@H]([NH3+])C[C@@H](C)CC)CC1 ZINC000901058492 613450805 /nfs/dbraw/zinc/45/08/05/613450805.db2.gz BKRZPRXUZDCDBQ-UWVGGRQHSA-N 0 1 274.386 0.915 20 30 CCEDMN C=CCCC(=O)[C@@H]1CCCN1C(=O)CCc1nn[nH]n1 ZINC000908669475 613463083 /nfs/dbraw/zinc/46/30/83/613463083.db2.gz QMDDSKVXJSHUPX-JTQLQIEISA-N 0 1 277.328 0.659 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000845629565 613485573 /nfs/dbraw/zinc/48/55/73/613485573.db2.gz KCFGRBCSXXMCFZ-QWHCGFSZSA-N 0 1 283.372 0.856 20 30 CCEDMN C=C[C@H](C)ONC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000875699992 613486675 /nfs/dbraw/zinc/48/66/75/613486675.db2.gz YNVBGMPHZBAJAK-QWRGUYRKSA-N 0 1 284.360 0.132 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@]2(C)CNC(=O)C2)CC1 ZINC000949443282 613507088 /nfs/dbraw/zinc/50/70/88/613507088.db2.gz XOKKWDZMYVGKCX-CQSZACIVSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCCN(C(C)=O)C2)CC1 ZINC000949173597 613508583 /nfs/dbraw/zinc/50/85/83/613508583.db2.gz QVXVATUZXNVERO-HNNXBMFYSA-N 0 1 293.411 0.965 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907479110 613508842 /nfs/dbraw/zinc/50/88/42/613508842.db2.gz JJRGBSAUUQHSTN-KWQFWETISA-N 0 1 283.357 0.143 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C)CCN(C)CC1 ZINC000888985167 613509111 /nfs/dbraw/zinc/50/91/11/613509111.db2.gz NJXLTXINCSOMIA-AWEZNQCLSA-N 0 1 269.389 0.019 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)[C@H](O)C(C)C)C2)C1 ZINC000972371244 613514641 /nfs/dbraw/zinc/51/46/41/613514641.db2.gz RWGDKVSYDCOAED-GDBMZVCRSA-N 0 1 296.411 0.883 20 30 CCEDMN CC#CCN(C)CCn1cnc(Br)n1 ZINC000852095373 613524304 /nfs/dbraw/zinc/52/43/04/613524304.db2.gz WINHYAKKUQOFNO-UHFFFAOYSA-N 0 1 257.135 0.996 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccnc3n[nH]nc32)C1 ZINC000970124796 613539231 /nfs/dbraw/zinc/53/92/31/613539231.db2.gz NDVLIOXNBKXTGH-JTQLQIEISA-N 0 1 298.350 0.426 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000970273014 613539552 /nfs/dbraw/zinc/53/95/52/613539552.db2.gz DUNNZHRDBYYPRM-JTQLQIEISA-N 0 1 276.340 0.199 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)Cn3cccn3)C2)C1 ZINC000981622975 613542339 /nfs/dbraw/zinc/54/23/39/613542339.db2.gz SADWKIKWBGMGJW-UHFFFAOYSA-N 0 1 286.379 0.831 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3cnn(C)n3)C2)C1 ZINC000982069398 613543801 /nfs/dbraw/zinc/54/38/01/613543801.db2.gz YWWWXTGKDLXZEM-UHFFFAOYSA-N 0 1 287.367 0.376 20 30 CCEDMN C=CCC[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992094 613553537 /nfs/dbraw/zinc/55/35/37/613553537.db2.gz VJFWGCSAFUFDTI-AAEUAGOBSA-N 0 1 291.351 0.386 20 30 CCEDMN C=CCC[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992094 613553538 /nfs/dbraw/zinc/55/35/38/613553538.db2.gz VJFWGCSAFUFDTI-AAEUAGOBSA-N 0 1 291.351 0.386 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966888303 613573664 /nfs/dbraw/zinc/57/36/64/613573664.db2.gz PZLLAUKIDCVMDL-YPMHNXCESA-N 0 1 288.351 0.646 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CC[N@@H+](CCC(=O)[O-])[C@@H](C)C1 ZINC000833373806 613578110 /nfs/dbraw/zinc/57/81/10/613578110.db2.gz DJCSDJCWCANRNW-STQMWFEESA-N 0 1 298.383 0.975 20 30 CCEDMN C=CCN(C(=O)NCc1n[nH]c(=O)n1C)C(C)C ZINC000889589322 613606635 /nfs/dbraw/zinc/60/66/35/613606635.db2.gz AIIPISWHYKQIMS-UHFFFAOYSA-N 0 1 253.306 0.627 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(OC)o2)C1 ZINC000958514581 613613356 /nfs/dbraw/zinc/61/33/56/613613356.db2.gz BGRNEKCLOCZGKF-NWDGAFQWSA-N 0 1 292.335 0.334 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)on2)C1 ZINC000957847765 613618897 /nfs/dbraw/zinc/61/88/97/613618897.db2.gz UDWQUOUGUKOCOH-AAEUAGOBSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CN2CCOCC2)C(C)(C)C1 ZINC000973056200 613620220 /nfs/dbraw/zinc/62/02/20/613620220.db2.gz GOPIDWCOFFEBMM-AWEZNQCLSA-N 0 1 293.411 0.169 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CCOCC1 ZINC000958061608 613632899 /nfs/dbraw/zinc/63/28/99/613632899.db2.gz HNBWJXODBPNTMP-WDNDVIMCSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@]1(F)CCOC1 ZINC000961598657 613638658 /nfs/dbraw/zinc/63/86/58/613638658.db2.gz OTABPQWCLABURN-SFTQSGBHSA-N 0 1 266.316 0.185 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C(N)=O)o1 ZINC000960935491 613639770 /nfs/dbraw/zinc/63/97/70/613639770.db2.gz GAGGEDKRCIBHDD-IWIIMEHWSA-N 0 1 287.319 0.062 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccncc1F ZINC000958460643 613641611 /nfs/dbraw/zinc/64/16/11/613641611.db2.gz JOTXNAACNBAEEI-IMRBUKKESA-N 0 1 273.311 0.904 20 30 CCEDMN C=CCN(CC(=O)N1CCN(C(C)=O)CC1)C(C)C ZINC000917071368 613641863 /nfs/dbraw/zinc/64/18/63/613641863.db2.gz RVVXXSMEYQTARS-UHFFFAOYSA-N 0 1 267.373 0.574 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2ncccc12 ZINC000960304143 613642346 /nfs/dbraw/zinc/64/23/46/613642346.db2.gz MUOIEHLYUZHZKC-NHAGDIPZSA-N 0 1 295.346 0.413 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(CC)CCC(=O)NC ZINC000933868971 613646571 /nfs/dbraw/zinc/64/65/71/613646571.db2.gz IESHXMWCSLMRCI-UHFFFAOYSA-N 0 1 267.373 0.645 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000844112739 613648612 /nfs/dbraw/zinc/64/86/12/613648612.db2.gz OCWNTSYQRNQQMV-NSHDSACASA-N 0 1 275.352 0.722 20 30 CCEDMN CC#CC[C@@H](CO)NCc1cnc2c(C#N)cnn2c1 ZINC000895094152 613650080 /nfs/dbraw/zinc/65/00/80/613650080.db2.gz AISDKORVNRJQFQ-ZDUSSCGKSA-N 0 1 269.308 0.465 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000880442145 613652139 /nfs/dbraw/zinc/65/21/39/613652139.db2.gz UGRCNIAPCJMVFP-GYSYKLTISA-N 0 1 275.352 0.966 20 30 CCEDMN C=CCN1CC(=O)N(CCN2CCSCC2)C1=O ZINC000925282284 613652746 /nfs/dbraw/zinc/65/27/46/613652746.db2.gz HQWFBTDWJQKNIR-UHFFFAOYSA-N 0 1 269.370 0.485 20 30 CCEDMN CC(=NNC(=S)NCC(F)(F)F)c1c[nH]c(N)n1 ZINC000901179381 613653429 /nfs/dbraw/zinc/65/34/29/613653429.db2.gz HYECEQRMCNZWTM-UHFFFAOYSA-N 0 1 280.279 0.742 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cn(CC3CC3)nn2)C1 ZINC000970652185 613666532 /nfs/dbraw/zinc/66/65/32/613666532.db2.gz QVFSMDOICINTHG-LLVKDONJSA-N 0 1 289.383 0.924 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC000970090672 613669517 /nfs/dbraw/zinc/66/95/17/613669517.db2.gz TZOHCGXGYGRWQU-JSGCOSHPSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(N(C)C)nn2)C1 ZINC000970743381 613671560 /nfs/dbraw/zinc/67/15/60/613671560.db2.gz FDCICJUYQIKSLA-NSHDSACASA-N 0 1 289.383 0.779 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cn(C)nc2OC)C1 ZINC000970266389 613672769 /nfs/dbraw/zinc/67/27/69/613672769.db2.gz WVYCXRROPKMFDI-JTQLQIEISA-N 0 1 278.356 0.665 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@@](CC)(COC)C2=O)CC1 ZINC000842238871 613674199 /nfs/dbraw/zinc/67/41/99/613674199.db2.gz AKBYCOFGTSSJAT-HNNXBMFYSA-N 0 1 295.383 0.984 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2nnn(C(C)(C)C)n2)C1 ZINC000969716662 613674350 /nfs/dbraw/zinc/67/43/50/613674350.db2.gz JPWOJDLDCKMKSA-JTQLQIEISA-N 0 1 292.387 0.664 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2cc(C)nn2C)CC1 ZINC000981609323 613689908 /nfs/dbraw/zinc/68/99/08/613689908.db2.gz ZWWMGALMHNFBDW-UHFFFAOYSA-N 0 1 276.384 0.991 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2cncnc2)CC1 ZINC000981059944 613690771 /nfs/dbraw/zinc/69/07/71/613690771.db2.gz NFKHBYQYSZZFMH-UHFFFAOYSA-N 0 1 260.341 0.739 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC000981744583 613691411 /nfs/dbraw/zinc/69/14/11/613691411.db2.gz DFBRDSKCSVRLIM-NWDGAFQWSA-N 0 1 265.357 0.218 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CN(C)CCO2)CC1 ZINC000980893207 613694591 /nfs/dbraw/zinc/69/45/91/613694591.db2.gz IXCCPPUKPSNAPU-CYBMUJFWSA-N 0 1 267.373 0.037 20 30 CCEDMN C=CCN1CCN(CN2CC[C@H](Cn3ccnn3)C2)C1=O ZINC000853524943 613720890 /nfs/dbraw/zinc/72/08/90/613720890.db2.gz PABJUPVGJXMXPM-ZDUSSCGKSA-N 0 1 290.371 0.481 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000968336798 613734750 /nfs/dbraw/zinc/73/47/50/613734750.db2.gz XCDYNJMGRSEORT-ZWNOBZJWSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC000965831209 613736694 /nfs/dbraw/zinc/73/66/94/613736694.db2.gz SUPNSCPVQCEALB-ZYHUDNBSSA-N 0 1 277.372 0.750 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC([C@H]3COC(=O)N3)CC2)C1=O ZINC000932773930 613739231 /nfs/dbraw/zinc/73/92/31/613739231.db2.gz SGGHQHQWDQPICA-CHWSQXEVSA-N 0 1 293.367 0.594 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC([C@@H](F)C(N)=O)CC2)C1=O ZINC000879045297 613739426 /nfs/dbraw/zinc/73/94/26/613739426.db2.gz XTLJBSUFGOYZFG-VXGBXAGGSA-N 0 1 283.347 0.309 20 30 CCEDMN CC(=O)NCC[C@@H]1CCCN(CC(=O)NCCC#N)C1 ZINC000805822452 613744602 /nfs/dbraw/zinc/74/46/02/613744602.db2.gz NHKGYFVQIHOESA-ZDUSSCGKSA-N 0 1 280.372 0.254 20 30 CCEDMN C=CCN1CC[C@@H](OC(=O)c2[nH]nc3c2CCC3)C1=O ZINC000798598332 613765887 /nfs/dbraw/zinc/76/58/87/613765887.db2.gz YKQNHYUJISOFDR-LLVKDONJSA-N 0 1 275.308 0.842 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H]2C[C@H]3COC[C@@H]3O2)C1=O ZINC000930310521 613777276 /nfs/dbraw/zinc/77/72/76/613777276.db2.gz HGQALZWRGLXCTG-XUXIUFHCSA-N 0 1 280.368 0.509 20 30 CCEDMN C=CCN1CC[C@H](OC(=O)c2cc(=O)[nH]c(OC)n2)C1=O ZINC000798652328 613803932 /nfs/dbraw/zinc/80/39/32/613803932.db2.gz BECCVPHHQSXLCD-VIFPVBQESA-N 0 1 293.279 0.135 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(C(C)(C)O)CC1 ZINC000879625889 613851853 /nfs/dbraw/zinc/85/18/53/613851853.db2.gz MNMTZGXJQPKMGP-NSHDSACASA-N 0 1 297.399 0.870 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN(CCCCCO)CC1 ZINC000931500275 613855110 /nfs/dbraw/zinc/85/51/10/613855110.db2.gz MJURKRGFGKIHQP-AWEZNQCLSA-N 0 1 283.416 0.457 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCO[C@H]1c1n[nH]c(C)n1 ZINC000926662049 613879399 /nfs/dbraw/zinc/87/93/99/613879399.db2.gz YESGVOMJCGEPQS-ZYHUDNBSSA-N 0 1 280.328 0.510 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N(C[C@@H]2CCN2CC)C1=O ZINC000925095172 613882000 /nfs/dbraw/zinc/88/20/00/613882000.db2.gz GZFAKFNMUDDOLQ-QWRGUYRKSA-N 0 1 267.329 0.204 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CC[C@H]2CCCC[N@H+]21)C(=O)[O-] ZINC000909771832 613882628 /nfs/dbraw/zinc/88/26/28/613882628.db2.gz QYOUDLFNUXTMDZ-FRRDWIJNSA-N 0 1 296.367 0.775 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@@H](O)c1ccccn1 ZINC000853103990 613885652 /nfs/dbraw/zinc/88/56/52/613885652.db2.gz FHZMTRQVYWTTSH-GFCCVEGCSA-N 0 1 270.354 0.305 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CO[C@H](C)c1nccn1C ZINC000805855316 613930591 /nfs/dbraw/zinc/93/05/91/613930591.db2.gz GQMLUKNPXZHXBR-MNOVXSKESA-N 0 1 292.339 0.731 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H]1CC(=O)[O-] ZINC000833466193 614180184 /nfs/dbraw/zinc/18/01/84/614180184.db2.gz VBPVKVQYYUENQV-SMDDNHRTSA-N 0 1 281.356 0.980 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H]1CC(=O)[O-] ZINC000833466190 614180281 /nfs/dbraw/zinc/18/02/81/614180281.db2.gz VBPVKVQYYUENQV-BXUZGUMPSA-N 0 1 281.356 0.980 20 30 CCEDMN CC(C)Cn1nccc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000843459536 614244921 /nfs/dbraw/zinc/24/49/21/614244921.db2.gz FUEKTLDAVBUKQA-MNOVXSKESA-N 0 1 261.329 0.380 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H]1CC(=O)[O-] ZINC000833466191 614285948 /nfs/dbraw/zinc/28/59/48/614285948.db2.gz VBPVKVQYYUENQV-FZMZJTMJSA-N 0 1 281.356 0.980 20 30 CCEDMN CC(C)(C)C#CC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000862649128 614314620 /nfs/dbraw/zinc/31/46/20/614314620.db2.gz RCQJZCSGIATGCX-LBPRGKRZSA-N 0 1 279.384 0.492 20 30 CCEDMN CC(C)(C)C(=O)Cn1cnc(-c2nn[nH]n2)c1C#N ZINC000824064798 614320540 /nfs/dbraw/zinc/32/05/40/614320540.db2.gz MXAVUGSPBOFUJO-UHFFFAOYSA-N 0 1 259.273 0.550 20 30 CCEDMN CC(C)c1nc(Cn2cnc(-c3nn[nH]n3)c2C#N)no1 ZINC000824359340 614322002 /nfs/dbraw/zinc/32/20/02/614322002.db2.gz VZNFXTAQMJQPNG-UHFFFAOYSA-N 0 1 285.271 0.490 20 30 CCEDMN CC(C)[N@H+]1CCC[C@H]1C(=O)N1CCOC[C@H]1C#N ZINC000933560946 614351220 /nfs/dbraw/zinc/35/12/20/614351220.db2.gz ZKFSWJVJNRDDIB-NEPJUHHUSA-N 0 1 251.330 0.610 20 30 CCEDMN CCCc1n[nH]c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1[N+](=O)[O-] ZINC000834484539 614461650 /nfs/dbraw/zinc/46/16/50/614461650.db2.gz KCDXJTFOYIYFBM-CBAPKCEASA-N 0 1 292.299 0.112 20 30 CCEDMN CCCc1n[nH]c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1[N+](=O)[O-] ZINC000834484541 614461683 /nfs/dbraw/zinc/46/16/83/614461683.db2.gz KCDXJTFOYIYFBM-VXNVDRBHSA-N 0 1 292.299 0.112 20 30 CCEDMN CCCN1CC(N2CCN(C(=O)C#CC3CC3)CC2)C1 ZINC000941400760 614603236 /nfs/dbraw/zinc/60/32/36/614603236.db2.gz CRYYLBIVOTVBDS-UHFFFAOYSA-N 0 1 275.396 0.638 20 30 CCEDMN CC1(C)CN(CC#N)C[C@@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000974780402 614608070 /nfs/dbraw/zinc/60/80/70/614608070.db2.gz ZLFREFQVNKBEOO-LBPRGKRZSA-N 0 1 287.367 0.862 20 30 CCEDMN CC1(C)CN(c2ccc(C#N)cc2CO)CCN1CCO ZINC000893367839 614683768 /nfs/dbraw/zinc/68/37/68/614683768.db2.gz COJDSDLRHZBSKN-UHFFFAOYSA-N 0 1 289.379 0.943 20 30 CCEDMN CCC1(CC)CNC(=O)[C@H]1N[C@@H](C)C(=O)N(C)CCC#N ZINC000933293238 614689661 /nfs/dbraw/zinc/68/96/61/614689661.db2.gz MASSCZLYNDAXNO-NWDGAFQWSA-N 0 1 294.399 0.641 20 30 CCEDMN CCN(C(=O)c1cnccc1N(C)C)C1CN(CC#N)C1 ZINC000957286414 614769352 /nfs/dbraw/zinc/76/93/52/614769352.db2.gz YDYFDKCIRCNQLH-UHFFFAOYSA-N 0 1 287.367 0.817 20 30 CCEDMN CCCC(=O)N1CCC[C@H]1C(=O)NCC#CCN(C)C ZINC000913517724 614772776 /nfs/dbraw/zinc/77/27/76/614772776.db2.gz NFWYMQRPVIIACZ-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN CCCNC(=O)CON=C(N)CN1CCCC[C@@H]1C ZINC000111179278 614857353 /nfs/dbraw/zinc/85/73/53/614857353.db2.gz PJKGRYASXGQBHM-NSHDSACASA-N 0 1 270.377 0.676 20 30 CCEDMN CCCCCNC(=O)[C@H](C#N)C(=O)CS(C)(=O)=O ZINC000920107139 614923864 /nfs/dbraw/zinc/92/38/64/614923864.db2.gz QANNKFXFSKVSDT-SECBINFHSA-N 0 1 274.342 0.046 20 30 CCEDMN CCNC(=O)CN(CC)C[C@@H](O)CC1(C#N)CCC1 ZINC000885940517 615046532 /nfs/dbraw/zinc/04/65/32/615046532.db2.gz LIFUZVCGBWDFDA-LBPRGKRZSA-N 0 1 267.373 0.889 20 30 CCEDMN CC[N@H+]1CCC[C@H]1CN(C)C(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820348545 615143961 /nfs/dbraw/zinc/14/39/61/615143961.db2.gz YDYQQBTXSRHUNE-FZMZJTMJSA-N 0 1 281.356 0.934 20 30 CCEDMN CCOC1(C(=O)NCC#CCN(C)C)CCOCC1 ZINC000913524122 615205467 /nfs/dbraw/zinc/20/54/67/615205467.db2.gz AIZRVZAYRIFSDN-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)CC1(C#N)CC1 ZINC000815904735 615244406 /nfs/dbraw/zinc/24/44/06/615244406.db2.gz OILHPQNCMVWNMP-NSHDSACASA-N 0 1 277.324 0.932 20 30 CCEDMN CCOC(=O)[C@@H](C)C1CN(C[C@H](O)CN(C)CCC#N)C1 ZINC000871992520 615261311 /nfs/dbraw/zinc/26/13/11/615261311.db2.gz HLIJERKKVVJYPM-GXTWGEPZSA-N 0 1 297.399 0.324 20 30 CCEDMN CN(C)CC#CCNC(=O)C1(n2cnnn2)CCCCC1 ZINC000913523554 615857741 /nfs/dbraw/zinc/85/77/41/615857741.db2.gz DZGQIZQXDWQXBJ-UHFFFAOYSA-N 0 1 290.371 0.014 20 30 CCEDMN CN(C)CC#CCNC(=O)C1(NC(=O)OC(C)(C)C)CC1 ZINC000913519665 615857953 /nfs/dbraw/zinc/85/79/53/615857953.db2.gz JGNRFVLHIZYVDC-UHFFFAOYSA-N 0 1 295.383 0.725 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)CC1CCCCC1 ZINC000913517994 615858480 /nfs/dbraw/zinc/85/84/80/615858480.db2.gz YWWYEKIMKKVVLC-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN CN(C)CC#CCNC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000913517831 615858509 /nfs/dbraw/zinc/85/85/09/615858509.db2.gz RCUQMVHRQKKRGM-UHFFFAOYSA-N 0 1 290.323 0.688 20 30 CCEDMN CN(C)CC#CCNC(=O)CSC[C@H]1CCCCO1 ZINC000913518169 615858544 /nfs/dbraw/zinc/85/85/44/615858544.db2.gz HAGCJTMDPVQJHB-CYBMUJFWSA-N 0 1 284.425 0.970 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccnn1CC1CC1 ZINC000930930466 615858888 /nfs/dbraw/zinc/85/88/88/615858888.db2.gz JMVJNFAPBNBVTF-UHFFFAOYSA-N 0 1 275.356 0.980 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cnc2c(c1)CNC2=O ZINC000934964132 615859080 /nfs/dbraw/zinc/85/90/80/615859080.db2.gz DJXYDQSWOIHOLC-UHFFFAOYSA-N 0 1 287.323 0.012 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1Cc2ccccc2C(=O)O1 ZINC000913515604 615859653 /nfs/dbraw/zinc/85/96/53/615859653.db2.gz FEHPOCZDFGYERV-AWEZNQCLSA-N 0 1 286.331 0.449 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1Cc2ccccc2C(=O)O1 ZINC000913515605 615860349 /nfs/dbraw/zinc/86/03/49/615860349.db2.gz FEHPOCZDFGYERV-CQSZACIVSA-N 0 1 286.331 0.449 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(C(N)=O)cc1 ZINC000913515192 615860689 /nfs/dbraw/zinc/86/06/89/615860689.db2.gz MXLHCTYYKYENON-UHFFFAOYSA-N 0 1 259.309 0.080 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2cccnc2n1 ZINC000913523241 615861106 /nfs/dbraw/zinc/86/11/06/615861106.db2.gz RGNZMBUJMXUFFA-UHFFFAOYSA-N 0 1 268.320 0.925 20 30 CCEDMN C[NH+](C)CC#CCNC(=O)c1[n-]cnc1C(F)(F)F ZINC000913523193 615862054 /nfs/dbraw/zinc/86/20/54/615862054.db2.gz PRDYUPPOAPGZOP-UHFFFAOYSA-N 0 1 274.246 0.723 20 30 CCEDMN CC[C@H]1CN(CC)CCN1C(=O)NCC#CCO ZINC000923768662 615912470 /nfs/dbraw/zinc/91/24/70/615912470.db2.gz OPNPTYIPGMVWET-LBPRGKRZSA-N 0 1 253.346 0.108 20 30 CCEDMN CCn1c2cc(C(=O)N[C@H]3CNC[C@H]3C#N)ccc2[nH]c1=O ZINC000848417797 615924345 /nfs/dbraw/zinc/92/43/45/615924345.db2.gz FOVKDPZZZUFCSA-PWSUYJOCSA-N 0 1 299.334 0.603 20 30 CCEDMN CN(C)c1ccc(CCC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834512113 616013861 /nfs/dbraw/zinc/01/38/61/616013861.db2.gz RXGWDQRGUGNRLL-DZGCQCFKSA-N 0 1 286.379 0.913 20 30 CCEDMN CN(CC(=O)N[C@@H]1CNC[C@@H]1C#N)C(=O)c1cccs1 ZINC000834515647 616048842 /nfs/dbraw/zinc/04/88/42/616048842.db2.gz AMOGRITXONFIKM-VHSXEESVSA-N 0 1 292.364 0.048 20 30 CCEDMN CN(Cc1cnc2c(C#N)cnn2c1)CC1(CO)CC1 ZINC000843407095 616093018 /nfs/dbraw/zinc/09/30/18/616093018.db2.gz YWZCFFGZMPPLFH-UHFFFAOYSA-N 0 1 271.324 0.805 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N1CCC12CCN(C)CC2 ZINC000907853213 616176966 /nfs/dbraw/zinc/17/69/66/616176966.db2.gz GTOJBRUAXTXCNL-UHFFFAOYSA-N 0 1 286.401 0.247 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC000960578720 616184758 /nfs/dbraw/zinc/18/47/58/616184758.db2.gz MGWHPQHXZZIGTO-QNWHQSFQSA-N 0 1 262.357 0.041 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1CCN(CC#N)CC1(C)C ZINC000940621786 616194559 /nfs/dbraw/zinc/19/45/59/616194559.db2.gz VLRWCMWNYLAHJP-OLZOCXBDSA-N 0 1 278.400 0.821 20 30 CCEDMN CN(C[C@@H]1CCCN1C)S(=O)(=O)c1ccc(C#N)cn1 ZINC000907254461 616213589 /nfs/dbraw/zinc/21/35/89/616213589.db2.gz URXUHZJNNXELIV-LBPRGKRZSA-N 0 1 294.380 0.668 20 30 CCEDMN CN1CCN(C(=O)[C@@H]2NCCc3cc(C#N)ccc32)CC1 ZINC000876789848 616227957 /nfs/dbraw/zinc/22/79/57/616227957.db2.gz LBXAMKOAHJGSJW-OAHLLOKOSA-N 0 1 284.363 0.519 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)CNc1ccc(C#N)cc1 ZINC000928567684 616287955 /nfs/dbraw/zinc/28/79/55/616287955.db2.gz YGHIKKAYJAFGKU-CYBMUJFWSA-N 0 1 258.325 0.790 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC000826662746 616351139 /nfs/dbraw/zinc/35/11/39/616351139.db2.gz OBICWYVBZWOCOL-ZDUSSCGKSA-N 0 1 252.358 0.873 20 30 CCEDMN COCCN1CCN(C[C@@H](O)CC2(C#N)CCC2)CC1 ZINC000885948693 616371315 /nfs/dbraw/zinc/37/13/15/616371315.db2.gz CCASMFBUUSUQFY-AWEZNQCLSA-N 0 1 281.400 0.695 20 30 CCEDMN COC(=O)C1=C(C)O[C@@H](CN2CC[C@](O)(CC#N)C2)C1 ZINC000829992187 616404938 /nfs/dbraw/zinc/40/49/38/616404938.db2.gz TVVMYWIYGPYIJJ-BXUZGUMPSA-N 0 1 280.324 0.573 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CCC[C@@H](C#N)C2)C1 ZINC000919634238 616417053 /nfs/dbraw/zinc/41/70/53/616417053.db2.gz GYVXGXMUMIAKDT-STQMWFEESA-N 0 1 280.372 0.652 20 30 CCEDMN COCCOc1ccc(C=NNC2=NCCN2)o1 ZINC000901252636 616590848 /nfs/dbraw/zinc/59/08/48/616590848.db2.gz KLJQDDPFTXGMCY-UHFFFAOYSA-N 0 1 252.274 0.188 20 30 CCEDMN COC[C@H](C)Oc1ccc(C=NNC2=NCCN2)cc1 ZINC000853357673 616627404 /nfs/dbraw/zinc/62/74/04/616627404.db2.gz JFBSXRZHTSZOGR-NSHDSACASA-N 0 1 276.340 0.983 20 30 CCEDMN CO[C@@H]1CC[C@@H]1N(C)[C@H](C)C(=O)N(C)CCC#N ZINC000934126082 616696580 /nfs/dbraw/zinc/69/65/80/616696580.db2.gz INUGWPHKRHBTJP-GRYCIOLGSA-N 0 1 253.346 0.856 20 30 CCEDMN COCC(COC)NN=Cc1ccnn1-c1ccncc1 ZINC000863192808 616736753 /nfs/dbraw/zinc/73/67/53/616736753.db2.gz CVAUDDXQAGUGMX-UHFFFAOYSA-N 0 1 289.339 0.852 20 30 CCEDMN CO[C@@H]1CN(C)[C@@](C)(COC(=O)CSCC#N)C1 ZINC000861340522 616769078 /nfs/dbraw/zinc/76/90/78/616769078.db2.gz JMTVIBQTNKBDNP-CMPLNLGQSA-N 0 1 272.370 0.896 20 30 CCEDMN CO[C@@H]1CN(Cc2cncc(C#N)c2)[C@@](C)(CO)C1 ZINC000931469771 616777250 /nfs/dbraw/zinc/77/72/50/616777250.db2.gz GHWWVKQEFZKVRX-UONOGXRCSA-N 0 1 261.325 0.925 20 30 CCEDMN CO[C@@H]1COCC[C@H]1N(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000880424765 616811698 /nfs/dbraw/zinc/81/16/98/616811698.db2.gz LHBZGYCGOWDXBD-NFAWXSAZSA-N 0 1 295.383 0.531 20 30 CCEDMN COC[C@@H](C)OC[C@@H](O)CNCc1ccc(C#N)cn1 ZINC000819310521 616835520 /nfs/dbraw/zinc/83/55/20/616835520.db2.gz BSVTVASMFJMPNA-RISCZKNCSA-N 0 1 279.340 0.455 20 30 CCEDMN COCc1ccccc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834517232 616906149 /nfs/dbraw/zinc/90/61/49/616906149.db2.gz SJUWVZJAYZAEDL-YPMHNXCESA-N 0 1 259.309 0.674 20 30 CCEDMN CNC(=O)c1ccc(CN[C@@H]2CCCN(O)C2=O)cc1 ZINC000895165664 616908786 /nfs/dbraw/zinc/90/87/86/616908786.db2.gz LUPFRLFEXMSAEM-GFCCVEGCSA-N 0 1 277.324 0.516 20 30 CCEDMN COCCCONC(=O)c1ccc(C#N)c(O)c1 ZINC000805041461 616925495 /nfs/dbraw/zinc/92/54/95/616925495.db2.gz CFNNWMFQFNEFCL-UHFFFAOYSA-N 0 1 250.254 0.962 20 30 CCEDMN COC(=O)c1cccc(NC(=O)NCC#CCN(C)C)n1 ZINC000931825263 616929917 /nfs/dbraw/zinc/92/99/17/616929917.db2.gz YREXUMAFTUWLAP-UHFFFAOYSA-N 0 1 290.323 0.555 20 30 CCEDMN CON=CC(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000862958466 617103457 /nfs/dbraw/zinc/10/34/57/617103457.db2.gz GYWIZOQOMQRCEW-UHFFFAOYSA-N 0 1 269.345 0.332 20 30 CCEDMN CON=CC(=O)N1CCc2nc[nH]c2C12CCOCC2 ZINC000869037301 617104509 /nfs/dbraw/zinc/10/45/09/617104509.db2.gz PDYDXABCSLZKFQ-UHFFFAOYSA-N 0 1 278.312 0.432 20 30 CCEDMN COc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)ccc1C#N ZINC000852827448 617167277 /nfs/dbraw/zinc/16/72/77/617167277.db2.gz JFLAEJZBVKTTFC-NEPJUHHUSA-N 0 1 270.292 0.408 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCOC[C@@H]1C ZINC001026021519 625322242 /nfs/dbraw/zinc/32/22/42/625322242.db2.gz UGRITIDSOIJABL-MEBFFEOJSA-N 0 1 262.353 0.339 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)CCc3cnc[nH]3)C[C@@H]21 ZINC001026282626 625387811 /nfs/dbraw/zinc/38/78/11/625387811.db2.gz VQFVWRFNDXEWFS-FPMFFAJLSA-N 0 1 287.367 0.692 20 30 CCEDMN C[C@@H](NCC(=O)NCC#N)c1cnn(CC2CCC2)c1 ZINC000934554099 622748086 /nfs/dbraw/zinc/74/80/86/622748086.db2.gz MXTDCGMZMSPPDU-LLVKDONJSA-N 0 1 275.356 0.974 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)[C@H]2CCCOC2)C1 ZINC001023269520 622974474 /nfs/dbraw/zinc/97/44/74/622974474.db2.gz RMCIUVVGFDALPH-KBPBESRZSA-N 0 1 264.369 0.874 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2nccc(C)n2)C1 ZINC001023335043 623003084 /nfs/dbraw/zinc/00/30/84/623003084.db2.gz STBOIMMPOLZDGY-CYBMUJFWSA-N 0 1 272.352 0.860 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CN(CC#N)CC2(C)C)n[nH]1 ZINC000974592681 625631913 /nfs/dbraw/zinc/63/19/13/625631913.db2.gz AEJZDYBIVFNCHR-JTQLQIEISA-N 0 1 276.344 0.006 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001024319189 623285959 /nfs/dbraw/zinc/28/59/59/623285959.db2.gz WTCYRMUJHRJBFZ-LBPRGKRZSA-N 0 1 288.351 0.790 20 30 CCEDMN COc1ccc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)C2)nn1 ZINC001018631364 623699312 /nfs/dbraw/zinc/69/93/12/623699312.db2.gz CZZAYHVIJFZRSE-PWSUYJOCSA-N 0 1 289.339 0.335 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001019273305 623806117 /nfs/dbraw/zinc/80/61/17/623806117.db2.gz AQDHYHIERSHZJJ-SMDDNHRTSA-N 0 1 299.802 0.846 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@@H]1CCC[C@H](C(=O)[O-])C1 ZINC000328231539 623870626 /nfs/dbraw/zinc/87/06/26/623870626.db2.gz DGWXGUXMPLPFCI-OLZOCXBDSA-N 0 1 280.368 0.949 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)[nH]1 ZINC001020091405 623978508 /nfs/dbraw/zinc/97/85/08/623978508.db2.gz TWGULIPEEMHROC-XYPYZODXSA-N 0 1 299.338 0.364 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2cccc3[nH]cnc32)C1 ZINC000970317653 624674018 /nfs/dbraw/zinc/67/40/18/624674018.db2.gz FAWQLRCSIONSQA-SNVBAGLBSA-N 0 1 269.308 0.891 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC000970428434 624686171 /nfs/dbraw/zinc/68/61/71/624686171.db2.gz GZQMURZDWIJAIZ-WDEREUQCSA-N 0 1 273.340 0.229 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H](C)c1n[nH]c(C(C)C)n1 ZINC000289290718 624722594 /nfs/dbraw/zinc/72/25/94/624722594.db2.gz YHXVHIAVKAVLLQ-VIFPVBQESA-N 0 1 270.358 0.932 20 30 CCEDMN N#CCN1CC[C@@H]2[C@@H](CCCN2C(=O)c2ccn[nH]2)C1 ZINC001021530339 624761060 /nfs/dbraw/zinc/76/10/60/624761060.db2.gz UZINZEHREAKOOI-WCQYABFASA-N 0 1 273.340 0.860 20 30 CCEDMN N#CCN1CC[C@@H]2[C@@H](CCCN2C(=O)CN2CCCC2)C1 ZINC001021738008 624817233 /nfs/dbraw/zinc/81/72/33/624817233.db2.gz YJKLBEZTGCDGHT-LSDHHAIUSA-N 0 1 290.411 0.919 20 30 CCEDMN N#CCc1ccc(OC[C@H](O)CN2CC[C@@H]2CO)cc1 ZINC000934019046 625004605 /nfs/dbraw/zinc/00/46/05/625004605.db2.gz LQZONXNSJPQTTP-ZIAGYGMSSA-N 0 1 276.336 0.559 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001022531452 625014114 /nfs/dbraw/zinc/01/41/14/625014114.db2.gz MZHOBCLRBNJWOE-BETUJISGSA-N 0 1 296.334 0.846 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001027335754 625836621 /nfs/dbraw/zinc/83/66/21/625836621.db2.gz JQTRNDABVFFUAF-UWVGGRQHSA-N 0 1 292.343 0.093 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001027335754 625836624 /nfs/dbraw/zinc/83/66/24/625836624.db2.gz JQTRNDABVFFUAF-UWVGGRQHSA-N 0 1 292.343 0.093 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)CCc2cnn(C)c2)C1 ZINC001027692441 630856210 /nfs/dbraw/zinc/85/62/10/630856210.db2.gz JFDDBZMMTCEHST-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)C1CCOCC1 ZINC001027720452 630875475 /nfs/dbraw/zinc/87/54/75/630875475.db2.gz VPPDKIKHPPWSOD-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@H]2COCCO2)C1 ZINC001010256876 631077582 /nfs/dbraw/zinc/07/75/82/631077582.db2.gz RDFRZBAHRTWATB-VXGBXAGGSA-N 0 1 254.330 0.168 20 30 CCEDMN Cn1ccc(CN[C@@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC000968447525 650015547 /nfs/dbraw/zinc/01/55/47/650015547.db2.gz ISEJDKCPWQSRSL-CYBMUJFWSA-N 0 1 298.350 0.624 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@@H]1NC(=O)CN1CCCC1 ZINC000968651429 650025114 /nfs/dbraw/zinc/02/51/14/650025114.db2.gz IQULHQFFXPHTGB-STQMWFEESA-N 0 1 264.373 0.432 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC000968676433 650025836 /nfs/dbraw/zinc/02/58/36/650025836.db2.gz JQWIYMOJPJHKRB-VXGBXAGGSA-N 0 1 273.340 0.544 20 30 CCEDMN N#Cc1nccnc1N1CCN(CCCCCO)CC1 ZINC000894964123 631887160 /nfs/dbraw/zinc/88/71/60/631887160.db2.gz JXRFEEFSAUHUOA-UHFFFAOYSA-N 0 1 275.356 0.633 20 30 CCEDMN C[C@H](NC(=O)c1cscc1C#N)[C@@H]1CN(C)CCN1C ZINC000896538513 632271686 /nfs/dbraw/zinc/27/16/86/632271686.db2.gz FFWBALLJYNESSR-GWCFXTLKSA-N 0 1 292.408 0.984 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N[C@@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC000896540499 632274542 /nfs/dbraw/zinc/27/45/42/632274542.db2.gz XFOGOQZKUIIRPT-SMDDNHRTSA-N 0 1 289.383 0.559 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2CN(C)CCO2)C1 ZINC001007004649 650061946 /nfs/dbraw/zinc/06/19/46/650061946.db2.gz WCQZZXBHNQESGG-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN Cc1cc(C#N)nc(N2CC[C@@H]3[C@@H]2CCC[N@H+]3CCO)n1 ZINC000897222667 632425934 /nfs/dbraw/zinc/42/59/34/632425934.db2.gz WRJVQITVEWFFTL-KGLIPLIRSA-N 0 1 287.367 0.692 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C2=CCOCC2)C1 ZINC001007181687 650069833 /nfs/dbraw/zinc/06/98/33/650069833.db2.gz GNARWZQZXMKXEM-CQSZACIVSA-N 0 1 262.353 0.937 20 30 CCEDMN Cc1[nH]ncc1CN1C[C@@H]2CS(=O)(=O)C[C@@]2(C#N)C1 ZINC000897295831 632469823 /nfs/dbraw/zinc/46/98/23/632469823.db2.gz MVRHTFCWVZAGBT-VXGBXAGGSA-N 0 1 280.353 0.088 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC001007227292 650071198 /nfs/dbraw/zinc/07/11/98/650071198.db2.gz ONSQHFRUTWOUFP-GFCCVEGCSA-N 0 1 262.357 0.729 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001007340188 650075171 /nfs/dbraw/zinc/07/51/71/650075171.db2.gz UMCKBEBKRHRBHF-NEPJUHHUSA-N 0 1 287.367 0.934 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H]2CCNC2=O)C1 ZINC001007489627 650080597 /nfs/dbraw/zinc/08/05/97/650080597.db2.gz ULQVJKDNMQGCRE-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001007521633 650081553 /nfs/dbraw/zinc/08/15/53/650081553.db2.gz NNYWFETUOCUWLP-IHRRRGAJSA-N 0 1 282.384 0.947 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001007603922 650086129 /nfs/dbraw/zinc/08/61/29/650086129.db2.gz LWIVLSSDGQGBFN-LBPRGKRZSA-N 0 1 290.367 0.767 20 30 CCEDMN C[C@H]1[C@@H](NCc2nccn2C)CCN1C(=O)C#CC1CC1 ZINC000986283690 632738814 /nfs/dbraw/zinc/73/88/14/632738814.db2.gz YDHRXBCRFKQCIM-JSGCOSHPSA-N 0 1 286.379 0.913 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001008037410 650100394 /nfs/dbraw/zinc/10/03/94/650100394.db2.gz UVYRPCKNDLICCZ-WFASDCNBSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2c[nH]nc2C)C1 ZINC001008122935 650102581 /nfs/dbraw/zinc/10/25/81/650102581.db2.gz NVMARUSGLNPDRH-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2[nH]nnc2C)C1 ZINC001008141891 650103237 /nfs/dbraw/zinc/10/32/37/650103237.db2.gz OBTGTBQCQWANIX-LLVKDONJSA-N 0 1 263.345 0.883 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001008250379 650107312 /nfs/dbraw/zinc/10/73/12/650107312.db2.gz JQPVPNRKIWZQKL-GFCCVEGCSA-N 0 1 288.351 0.708 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cnnn2C)C1 ZINC000988762472 633104452 /nfs/dbraw/zinc/10/44/52/633104452.db2.gz FZQKHXZIHHBCTL-VHSXEESVSA-N 0 1 283.763 0.760 20 30 CCEDMN C[C@@H]1C[C@H](NCc2nccn2C)CN1C(=O)C#CC1CC1 ZINC000988824950 633116489 /nfs/dbraw/zinc/11/64/89/633116489.db2.gz JQVLURHPHDQXJR-OCCSQVGLSA-N 0 1 286.379 0.913 20 30 CCEDMN C[C@@H]1C[C@@H](NCc2cnnn2C)CN1C(=O)C#CC1CC1 ZINC000988823513 633117878 /nfs/dbraw/zinc/11/78/78/633117878.db2.gz CCKKHLANQRTOJY-DGCLKSJQSA-N 0 1 287.367 0.308 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@@H](C)N(C(=O)c2cccc3nn[n-]c32)C1 ZINC000988873734 633124211 /nfs/dbraw/zinc/12/42/11/633124211.db2.gz CKTGOFARJCNRPM-MNOVXSKESA-N 0 1 283.335 0.784 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCn2cnnn2)C1 ZINC000989600024 633334426 /nfs/dbraw/zinc/33/44/26/633334426.db2.gz DQXKFIAVWQWTCC-WDEREUQCSA-N 0 1 298.778 0.395 20 30 CCEDMN CC#CCN1CC[C@]2(NC(=O)c3nccnc3N)CCC[C@@H]12 ZINC000990031676 633428985 /nfs/dbraw/zinc/42/89/85/633428985.db2.gz ZJYKHQVZTKYBNU-MLGOLLRUSA-N 0 1 299.378 0.809 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(NCc2nncs2)CC1 ZINC000990313725 633509944 /nfs/dbraw/zinc/50/99/44/633509944.db2.gz IFFDMDRENHCNTR-VIFPVBQESA-N 0 1 279.369 0.778 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnn(CC)n3)CCC[C@H]12 ZINC000990565417 633554360 /nfs/dbraw/zinc/55/43/60/633554360.db2.gz DBHVOIVFVIPETQ-ZFWWWQNUSA-N 0 1 287.367 0.658 20 30 CCEDMN COc1cc(C(=O)NCC[N@@H+](C)CCO)ccc1C#N ZINC000899740203 633883329 /nfs/dbraw/zinc/88/33/29/633883329.db2.gz GJRBFYUMRHTIEE-UHFFFAOYSA-N 0 1 277.324 0.221 20 30 CCEDMN Cc1c(C(=O)N2CCCN(C)CC2)cnn1CCC#N ZINC000899936285 633925832 /nfs/dbraw/zinc/92/58/32/633925832.db2.gz YOWNIAZSFBSQDM-UHFFFAOYSA-N 0 1 275.356 0.883 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001008858610 650129649 /nfs/dbraw/zinc/12/96/49/650129649.db2.gz ZHQHXFGIHLTNPT-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN CN(C)c1ccncc1C(=O)N[C@@H]1CCCN(CC#N)C1 ZINC001009231391 650140812 /nfs/dbraw/zinc/14/08/12/650140812.db2.gz KUQNMFHCFUBETA-GFCCVEGCSA-N 0 1 287.367 0.865 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001009358165 650143102 /nfs/dbraw/zinc/14/31/02/650143102.db2.gz CVEPRDIJGPZVNO-UONOGXRCSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001009541758 650147888 /nfs/dbraw/zinc/14/78/88/650147888.db2.gz ONYSVRPMFUKASN-LLVKDONJSA-N 0 1 276.340 0.494 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001009650465 650153064 /nfs/dbraw/zinc/15/30/64/650153064.db2.gz NRUGPAWHNJLJNH-ZIAGYGMSSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001009645546 650153252 /nfs/dbraw/zinc/15/32/52/650153252.db2.gz XBUMXMJURSGSJJ-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN Cc1nn(C)c(C=Nn2c(=O)c(C)n[nH]c2=S)c1Cl ZINC000905421428 634861831 /nfs/dbraw/zinc/86/18/31/634861831.db2.gz BUYUKHWPHINJRG-UHFFFAOYSA-N 0 1 298.759 0.813 20 30 CCEDMN N#Cc1ccc(F)c(CNC[C@@H](O)C[C@]2(O)CCOC2)c1 ZINC000905519811 634874654 /nfs/dbraw/zinc/87/46/54/634874654.db2.gz XIDUHBCZOYLCCO-DZGCQCFKSA-N 0 1 294.326 0.689 20 30 CCEDMN CCc1cc(C(=O)N2CCN(C(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001010405722 650219504 /nfs/dbraw/zinc/21/95/04/650219504.db2.gz PXFKJLABMVDWTA-JTQLQIEISA-N 0 1 289.339 0.416 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC001010774101 650263225 /nfs/dbraw/zinc/26/32/25/650263225.db2.gz PYWRHTPOZONHRQ-SNVBAGLBSA-N 0 1 265.313 0.359 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC001010796654 650265026 /nfs/dbraw/zinc/26/50/26/650265026.db2.gz VIAIXXKITUYIBT-GFCCVEGCSA-N 0 1 260.341 0.176 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)c3cnn[nH]3)CCC[C@@H]12 ZINC000991565049 636903543 /nfs/dbraw/zinc/90/35/43/636903543.db2.gz HHPHOQBLRSNKIM-ZYHUDNBSSA-N 0 1 260.301 0.055 20 30 CCEDMN CC#CC[N@H+]1CC[C@@]2(NC(=O)c3cnn(C)n3)CCC[C@H]12 ZINC000992306490 637362928 /nfs/dbraw/zinc/36/29/28/637362928.db2.gz GHKBYWUBIHJLMT-ZFWWWQNUSA-N 0 1 287.367 0.565 20 30 CCEDMN CC#CCN1CC[C@@]2(NC(=O)c3cnn(C)n3)CCC[C@H]12 ZINC000992306490 637362931 /nfs/dbraw/zinc/36/29/31/637362931.db2.gz GHKBYWUBIHJLMT-ZFWWWQNUSA-N 0 1 287.367 0.565 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H]2CN(C(=O)CN3CCCC3)C[C@@H]21 ZINC000992909516 637636144 /nfs/dbraw/zinc/63/61/44/637636144.db2.gz RJVNIBGJDWCJIJ-SOUVJXGZSA-N 0 1 290.411 0.822 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001015446844 637658561 /nfs/dbraw/zinc/65/85/61/637658561.db2.gz NURRFCOFSZBCSI-AWEZNQCLSA-N 0 1 288.395 0.793 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1C ZINC000993006331 637661724 /nfs/dbraw/zinc/66/17/24/637661724.db2.gz UCEBUKRFIVFQJW-GHMZBOCLSA-N 0 1 276.340 0.951 20 30 CCEDMN N=C(N)NN=Cc1c(Cl)nc(N)nc1N1CCCC1 ZINC000915048371 637723340 /nfs/dbraw/zinc/72/33/40/637723340.db2.gz DXUJNSCEBLXPIN-UHFFFAOYSA-N 0 1 282.739 0.129 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCCN(CC#N)[C@@H]2C)[nH]n1 ZINC000993207031 637740954 /nfs/dbraw/zinc/74/09/54/637740954.db2.gz UQRFZVQDABSGMO-DGCLKSJQSA-N 0 1 275.356 0.753 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2ccn(C)c(=O)c2)[C@H]1C ZINC000993499289 637812146 /nfs/dbraw/zinc/81/21/46/637812146.db2.gz OKFIEWOUCNFSNQ-OCCSQVGLSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cn(CC)nn2)[C@H]1C ZINC000993619582 637822651 /nfs/dbraw/zinc/82/26/51/637822651.db2.gz GWHOTGWMFOFMQZ-OCCSQVGLSA-N 0 1 289.383 0.443 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C2CC(=O)NC(=O)C2)[C@@H]1C ZINC000993972277 637859134 /nfs/dbraw/zinc/85/91/34/637859134.db2.gz LXZAWDWXPFTASL-JQWIXIFHSA-N 0 1 293.367 0.194 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@H]1C ZINC000994116407 637868674 /nfs/dbraw/zinc/86/86/74/637868674.db2.gz SDAQDRPAUUSMFD-PWSUYJOCSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@@H]1C ZINC000994116401 637869204 /nfs/dbraw/zinc/86/92/04/637869204.db2.gz SDAQDRPAUUSMFD-JQWIXIFHSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@H]1C ZINC000994116415 637869268 /nfs/dbraw/zinc/86/92/68/637869268.db2.gz SDAQDRPAUUSMFD-ZYHUDNBSSA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000180069650 638254219 /nfs/dbraw/zinc/25/42/19/638254219.db2.gz NACGQVQBOIAPQB-CQSZACIVSA-N 0 1 265.401 0.932 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)[nH]n1 ZINC000939967818 638425270 /nfs/dbraw/zinc/42/52/70/638425270.db2.gz SGSUKVZCZGHVJY-GXSJLCMTSA-N 0 1 289.339 0.137 20 30 CCEDMN Cc1ncc(C(=O)N2CCCN(C(=O)[C@H](C)C#N)CC2)[nH]1 ZINC000940503386 638467894 /nfs/dbraw/zinc/46/78/94/638467894.db2.gz IJOXRZNSXRGRRI-SNVBAGLBSA-N 0 1 289.339 0.552 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000942220177 638631703 /nfs/dbraw/zinc/63/17/03/638631703.db2.gz QJJAPVGERJDHSP-JQWIXIFHSA-N 0 1 287.367 0.862 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H](NCc2cnnn2C)C(C)(C)C1 ZINC000995587700 638779489 /nfs/dbraw/zinc/77/94/89/638779489.db2.gz ZTPLWIXGFHYSAU-PWSUYJOCSA-N 0 1 290.371 0.301 20 30 CCEDMN CC1(C)CN(C(=O)CN2CCCC2)C[C@@H]1NCC#N ZINC000996609574 638824359 /nfs/dbraw/zinc/82/43/59/638824359.db2.gz IADZGCTUSNPTSO-LBPRGKRZSA-N 0 1 264.373 0.432 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001014446837 650452107 /nfs/dbraw/zinc/45/21/07/650452107.db2.gz JFYISZXVVQSXQP-GHMZBOCLSA-N 0 1 273.340 0.544 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC001015988267 638862282 /nfs/dbraw/zinc/86/22/82/638862282.db2.gz VUHCIIQGAWWUND-LLVKDONJSA-N 0 1 299.334 0.262 20 30 CCEDMN C[C@H](NC(=O)c1nnc[nH]1)C1CCN(CC#N)CC1 ZINC000997800016 638883139 /nfs/dbraw/zinc/88/31/39/638883139.db2.gz XBIIILLGWPPIEA-VIFPVBQESA-N 0 1 262.317 0.159 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cnnn2C)C1 ZINC000999065297 638960991 /nfs/dbraw/zinc/96/09/91/638960991.db2.gz LDRUINYXLBVFGN-SNVBAGLBSA-N 0 1 283.763 0.762 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC(NC(=O)c3[nH]ncc3F)C2)C1 ZINC000999179331 638965712 /nfs/dbraw/zinc/96/57/12/638965712.db2.gz JGQZGZQLYVFONF-UHFFFAOYSA-N 0 1 292.314 0.846 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC001000027521 639035796 /nfs/dbraw/zinc/03/57/96/639035796.db2.gz QMSNERDTYJSYMZ-JTQLQIEISA-N 0 1 296.758 0.717 20 30 CCEDMN N#CCN1CCC(C2(NC(=O)c3nnc[nH]3)CC2)CC1 ZINC001000285465 639065917 /nfs/dbraw/zinc/06/59/17/639065917.db2.gz CPWGVCREUNYFLG-UHFFFAOYSA-N 0 1 274.328 0.303 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnccn2)CC1 ZINC001000394061 639082737 /nfs/dbraw/zinc/08/27/37/639082737.db2.gz FOJUAWWXVZEVSF-UHFFFAOYSA-N 0 1 256.309 0.472 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CCC[C@@H](NCC#N)C1 ZINC001000431550 639085712 /nfs/dbraw/zinc/08/57/12/639085712.db2.gz ZPFFINKGRKQTRB-NWDGAFQWSA-N 0 1 275.356 0.692 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2snnc2C)CC1 ZINC001000429933 639087900 /nfs/dbraw/zinc/08/79/00/639087900.db2.gz QXTHYWWZCJARLG-UHFFFAOYSA-N 0 1 276.365 0.842 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC001000498498 639104903 /nfs/dbraw/zinc/10/49/03/639104903.db2.gz ZMLFAPQWXQRVQU-KBPBESRZSA-N 0 1 290.363 0.567 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccnc3[nH]cnc32)CC1 ZINC001000739116 639163100 /nfs/dbraw/zinc/16/31/00/639163100.db2.gz XQKWMGKJZMFZDL-UHFFFAOYSA-N 0 1 295.346 0.953 20 30 CCEDMN C#CCN1CC=C(CNC(=O)C2CC(OC)C2)CC1 ZINC001000815466 639175304 /nfs/dbraw/zinc/17/53/04/639175304.db2.gz DHPKVPUHRSBYQG-UHFFFAOYSA-N 0 1 262.353 0.793 20 30 CCEDMN C=CC[N@H+]1CC=C(CNC(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)CC1 ZINC001000843837 639182156 /nfs/dbraw/zinc/18/21/56/639182156.db2.gz INGDUFIXHYHXOQ-UKRRQHHQSA-N 0 1 293.411 0.640 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnn(CCF)c2)CC1 ZINC001000877166 639191520 /nfs/dbraw/zinc/19/15/20/639191520.db2.gz YQTOAGRVPQJYKQ-UHFFFAOYSA-N 0 1 290.342 0.848 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001014700413 650479982 /nfs/dbraw/zinc/47/99/82/650479982.db2.gz GUPHGLDFCYNSAW-NEPJUHHUSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2C[C@H]2c2cncn2C)CC1 ZINC001001169067 639245411 /nfs/dbraw/zinc/24/54/11/639245411.db2.gz NMDXAKSCNZOHMZ-HUUCEWRRSA-N 0 1 298.390 0.905 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001014742383 650484635 /nfs/dbraw/zinc/48/46/35/650484635.db2.gz SOFMESCBVYFMLT-SNVBAGLBSA-N 0 1 262.313 0.266 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccnc(C)n2)C1 ZINC001016283591 639349999 /nfs/dbraw/zinc/34/99/99/639349999.db2.gz MLRYQHUAVFYYDT-LBPRGKRZSA-N 0 1 258.325 0.612 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001014796666 650490033 /nfs/dbraw/zinc/49/00/33/650490033.db2.gz PGOVFIXVTVHDAD-SRVKXCTJSA-N 0 1 254.330 0.167 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc3n2CCOC3)C1 ZINC001014808756 650490049 /nfs/dbraw/zinc/49/00/49/650490049.db2.gz XZONIMVFHFGIGP-LBPRGKRZSA-N 0 1 288.351 0.241 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)[C@H]2COCCN2C(C)=O)C1 ZINC001016293421 639381381 /nfs/dbraw/zinc/38/13/81/639381381.db2.gz AEHAGDMDWJPQHL-UONOGXRCSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](C)N2CCCCC2=O)C1 ZINC001016322711 639437234 /nfs/dbraw/zinc/43/72/34/639437234.db2.gz KMCQDQWYHHRPHJ-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)Cc2c[nH]c3ncccc23)C1 ZINC001016360036 639497669 /nfs/dbraw/zinc/49/76/69/639497669.db2.gz NJECGGVYKMPHJI-CYBMUJFWSA-N 0 1 282.347 0.929 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2c[nH]c3ncccc23)C1 ZINC001016360036 639497685 /nfs/dbraw/zinc/49/76/85/639497685.db2.gz NJECGGVYKMPHJI-CYBMUJFWSA-N 0 1 282.347 0.929 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc3n[nH]cc3c2)CC1 ZINC001003120837 639509860 /nfs/dbraw/zinc/50/98/60/639509860.db2.gz GDTKPKFDMOKWHT-UHFFFAOYSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnc(OC)c2)CC1 ZINC001003228813 639518896 /nfs/dbraw/zinc/51/88/96/639518896.db2.gz DPKCOXMONUFRSQ-UHFFFAOYSA-N 0 1 273.336 0.918 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cnccc2OC)C1 ZINC001014916312 650502707 /nfs/dbraw/zinc/50/27/07/650502707.db2.gz PYSQUJGJKQHEGF-CQSZACIVSA-N 0 1 287.363 0.847 20 30 CCEDMN N#CCCNC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000922228989 639588410 /nfs/dbraw/zinc/58/84/10/639588410.db2.gz PHRLDTDFYZVFLR-MNOVXSKESA-N 0 1 268.386 0.779 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC001003671402 639591216 /nfs/dbraw/zinc/59/12/16/639591216.db2.gz IFLXGOZVYSYLJB-OCCSQVGLSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnc3cccnn32)CC1 ZINC001003750757 639603569 /nfs/dbraw/zinc/60/35/69/639603569.db2.gz CQEKPSVAJVQVRG-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN N#C[C@@H]1CCCN(C(=O)NCCN2CCCOCC2)C1 ZINC000922607784 639747334 /nfs/dbraw/zinc/74/73/34/639747334.db2.gz LLHBGEASPMVEAQ-ZDUSSCGKSA-N 0 1 280.372 0.654 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001015129718 650530658 /nfs/dbraw/zinc/53/06/58/650530658.db2.gz XZHBVYGXVFEPCD-GFCCVEGCSA-N 0 1 290.367 0.504 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnc(C)cn2)CC1 ZINC001005075568 639871754 /nfs/dbraw/zinc/87/17/54/639871754.db2.gz MIUIBNZOGLDULC-UHFFFAOYSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(Cl)n(C)n2)C1 ZINC001015204939 650538165 /nfs/dbraw/zinc/53/81/65/650538165.db2.gz JBPVGEIZPXORIP-SNVBAGLBSA-N 0 1 280.759 0.901 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2COC(=O)N2)CC1 ZINC001005341479 639903520 /nfs/dbraw/zinc/90/35/20/639903520.db2.gz YSRSYYCJVWIZNO-LBPRGKRZSA-N 0 1 279.340 0.041 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC001005468204 639911067 /nfs/dbraw/zinc/91/10/67/639911067.db2.gz BFJSMDXRDXEWEV-LBPRGKRZSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC001005818746 639975129 /nfs/dbraw/zinc/97/51/29/639975129.db2.gz TWWQLVIWUSVFSQ-OLZOCXBDSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2ncc[nH]2)CC1 ZINC001006183315 640197814 /nfs/dbraw/zinc/19/78/14/640197814.db2.gz JNWPIVABNUYCDA-UHFFFAOYSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C(=O)N2CC[C@@H](C)C2)CC1 ZINC001006274729 640216423 /nfs/dbraw/zinc/21/64/23/640216423.db2.gz KFBPLDIXYAZEEZ-CYBMUJFWSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001015595929 650587147 /nfs/dbraw/zinc/58/71/47/650587147.db2.gz VLRGCLDVWBAMBU-JTQLQIEISA-N 0 1 262.313 0.481 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NCCN1CCC[C@H]1C ZINC000800936238 640625750 /nfs/dbraw/zinc/62/57/50/640625750.db2.gz HYLRZYZNHMDUHE-VXGBXAGGSA-N 0 1 288.417 0.539 20 30 CCEDMN C[C@@H](C#N)OCCN1CCN(CCO[C@@H](C)C#N)[C@H](C)C1 ZINC000801933606 640676202 /nfs/dbraw/zinc/67/62/02/640676202.db2.gz AZFWAUUNGAIBGS-ILXRZTDVSA-N 0 1 294.399 0.850 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000812556027 641006446 /nfs/dbraw/zinc/00/64/46/641006446.db2.gz PEMKATMBMIIFIE-QWRGUYRKSA-N 0 1 276.340 0.341 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc3n[nH]c(=O)n3c2)C1 ZINC001015836598 650638100 /nfs/dbraw/zinc/63/81/00/650638100.db2.gz PBGJDWDRMKAEML-LBPRGKRZSA-N 0 1 299.334 0.262 20 30 CCEDMN O=C(N[C@@H]1CCN(O)C1=O)c1ccc(O)c(Cl)c1 ZINC000820155903 641475392 /nfs/dbraw/zinc/47/53/92/641475392.db2.gz BHKJBIHFKCCSIV-MRVPVSSYSA-N 0 1 270.672 0.766 20 30 CCEDMN O=C(Cc1[nH]nc2ccccc21)N[C@@H]1CCCN(O)C1=O ZINC000820470580 641508880 /nfs/dbraw/zinc/50/88/80/641508880.db2.gz CEVRZDDTZFRMII-LLVKDONJSA-N 0 1 288.307 0.602 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)c1cccc(F)c1O ZINC000820544539 641514809 /nfs/dbraw/zinc/51/48/09/641514809.db2.gz MYDCUWFJBCOJHB-SECBINFHSA-N 0 1 268.244 0.641 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1CC(=O)c1ccc(F)cc1 ZINC000826383172 641929840 /nfs/dbraw/zinc/92/98/40/641929840.db2.gz NVLAPYROBBUATQ-UHFFFAOYSA-N 0 1 297.253 0.957 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1ccc([N+](=O)[O-])cc1 ZINC000826382951 641930823 /nfs/dbraw/zinc/93/08/23/641930823.db2.gz CAOKXTPZFSKYQA-UHFFFAOYSA-N 0 1 296.250 0.891 20 30 CCEDMN C[C@H](CN(C)C(=O)C#Cc1cccs1)c1nn[nH]n1 ZINC000829165974 642147700 /nfs/dbraw/zinc/14/77/00/642147700.db2.gz LGEAGKLQVNJMOJ-SECBINFHSA-N 0 1 275.337 0.875 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001016402335 650726097 /nfs/dbraw/zinc/72/60/97/650726097.db2.gz LSFHXVXPJOTDCB-LBPRGKRZSA-N 0 1 286.335 0.008 20 30 CCEDMN C#CCN1CCC2(C[C@H]2NC(=O)c2cn(C)ccc2=O)CC1 ZINC001016726260 650756406 /nfs/dbraw/zinc/75/64/06/650756406.db2.gz MDVZZFGHUQNNMP-OAHLLOKOSA-N 0 1 299.374 0.603 20 30 CCEDMN N#CCN1CCC2(C[C@H]2NC(=O)Cc2cnc[nH]2)CC1 ZINC001016852928 650766191 /nfs/dbraw/zinc/76/61/91/650766191.db2.gz CUHCAAICLCYVID-GFCCVEGCSA-N 0 1 273.340 0.446 20 30 CCEDMN Cc1nc2ccccc2n1CC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834480280 642573331 /nfs/dbraw/zinc/57/33/31/642573331.db2.gz NNAGFIVDFZSVJV-WCQYABFASA-N 0 1 283.335 0.573 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1occc1Br ZINC000834480141 642573516 /nfs/dbraw/zinc/57/35/16/642573516.db2.gz LOCDNWBPMDSGCU-POYBYMJQSA-N 0 1 284.113 0.883 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@H]2C#N)cnn1CC(C)C ZINC000834484894 642576380 /nfs/dbraw/zinc/57/63/80/642576380.db2.gz OWZYREVRDZRCNM-DGCLKSJQSA-N 0 1 275.356 0.689 20 30 CCEDMN Cc1c(Cl)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)nn1C ZINC000834484918 642576953 /nfs/dbraw/zinc/57/69/53/642576953.db2.gz PAWJTKPNLCVOLE-JGVFFNPUSA-N 0 1 267.720 0.223 20 30 CCEDMN Cc1cccc(C)c1NCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834488285 642577526 /nfs/dbraw/zinc/57/75/26/642577526.db2.gz BGFWRHLRHGCKJZ-CHWSQXEVSA-N 0 1 272.352 0.943 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCCc1nc(C2CC2)no1 ZINC000834489084 642579329 /nfs/dbraw/zinc/57/93/29/642579329.db2.gz JGDDYBOPBIIQGQ-MNOVXSKESA-N 0 1 289.339 0.497 20 30 CCEDMN Cc1cc2c(cc1C)O[C@H](C(=O)N[C@@H]1CNC[C@H]1C#N)C2 ZINC000834489798 642579476 /nfs/dbraw/zinc/57/94/76/642579476.db2.gz POOULHSFCQQRAS-NFAWXSAZSA-N 0 1 285.347 0.835 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc(Cl)n1 ZINC000834495417 642584892 /nfs/dbraw/zinc/58/48/92/642584892.db2.gz VLDSIELHQHQXNJ-CBAPKCEASA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc2c1OCCO2 ZINC000834494913 642586032 /nfs/dbraw/zinc/58/60/32/642586032.db2.gz QWNWCMKJHAQPLM-MWLCHTKSSA-N 0 1 273.292 0.299 20 30 CCEDMN Cc1noc(Cl)c1CCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834495717 642586777 /nfs/dbraw/zinc/58/67/77/642586777.db2.gz YLFTYCWLTXBFHQ-PSASIEDQSA-N 0 1 282.731 0.797 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc2ccc(=O)[nH]c21 ZINC000834499442 642588385 /nfs/dbraw/zinc/58/83/85/642588385.db2.gz IMQWFIQEYQPVPB-ZYHUDNBSSA-N 0 1 282.303 0.782 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccnc(C2CC2)c1 ZINC000834500315 642589181 /nfs/dbraw/zinc/58/91/81/642589181.db2.gz RWQKGOANHZSWIC-YPMHNXCESA-N 0 1 256.309 0.800 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ncccc1Br ZINC000834500949 642590989 /nfs/dbraw/zinc/59/09/89/642590989.db2.gz YJWKADHOBJTHDY-VXNVDRBHSA-N 0 1 295.140 0.685 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc(NC(=O)C2CC2)c1 ZINC000834505760 642591354 /nfs/dbraw/zinc/59/13/54/642591354.db2.gz NQHYADJJLWADLH-TZMCWYRMSA-N 0 1 298.346 0.876 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000834505352 642592570 /nfs/dbraw/zinc/59/25/70/642592570.db2.gz JXVXNRJEDFNATJ-CABZTGNLSA-N 0 1 290.279 0.201 20 30 CCEDMN Cn1cnc(-c2ccc(C(=O)N[C@@H]3CNC[C@H]3C#N)cc2)n1 ZINC000834506853 642594200 /nfs/dbraw/zinc/59/42/00/642594200.db2.gz WQEZCFMFSKDHHD-CHWSQXEVSA-N 0 1 296.334 0.323 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)CCC(=O)N2 ZINC000834511279 642595793 /nfs/dbraw/zinc/59/57/93/642595793.db2.gz KAWPGPCYJSOJKL-YPMHNXCESA-N 0 1 284.319 0.413 20 30 CCEDMN C[C@H](Oc1cccc(F)c1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834515835 642599338 /nfs/dbraw/zinc/59/93/38/642599338.db2.gz CDJYBXRFUASMGA-OPQQBVKSSA-N 0 1 277.299 0.821 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(-n2ccnc2)cc1 ZINC000834516460 642599841 /nfs/dbraw/zinc/59/98/41/642599841.db2.gz IQSDMMCWMGDOSL-TZMCWYRMSA-N 0 1 281.319 0.714 20 30 CCEDMN Cc1noc(C)c1CCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834516493 642600068 /nfs/dbraw/zinc/60/00/68/642600068.db2.gz IXYWSIOPSKQTNA-ZYHUDNBSSA-N 0 1 262.313 0.452 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000834517825 642601485 /nfs/dbraw/zinc/60/14/85/642601485.db2.gz ZOUCRRDTZLYEOS-SCRDCRAPSA-N 0 1 298.346 0.267 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC)C[C@@H]1CC ZINC000836950427 642716234 /nfs/dbraw/zinc/71/62/34/642716234.db2.gz YQKGFEPQKPQNNP-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1cc(Cl)ccn1 ZINC000841233918 642807608 /nfs/dbraw/zinc/80/76/08/642807608.db2.gz KWZQOMJFSDPCBV-IONNQARKSA-N 0 1 265.704 0.968 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CNC(=O)Nc1ccccc1 ZINC000843461153 643064157 /nfs/dbraw/zinc/06/41/57/643064157.db2.gz KBNQSHLGZUGQLA-JQWIXIFHSA-N 0 1 287.323 0.036 20 30 CCEDMN Cc1noc(-c2ccccc2C(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000843461528 643066129 /nfs/dbraw/zinc/06/61/29/643066129.db2.gz VGYZVJGJFYCCFG-GWCFXTLKSA-N 0 1 297.318 0.886 20 30 CCEDMN Cc1noc(-c2ccccc2C(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000843461532 643066469 /nfs/dbraw/zinc/06/64/69/643066469.db2.gz VGYZVJGJFYCCFG-MFKMUULPSA-N 0 1 297.318 0.886 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(F)c(F)c1F ZINC000843463785 643067825 /nfs/dbraw/zinc/06/78/25/643067825.db2.gz XYJPIWMYCHBKCT-HZGVNTEJSA-N 0 1 269.226 0.945 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCNC1=O ZINC001017637849 650814920 /nfs/dbraw/zinc/81/49/20/650814920.db2.gz KEROVDLCGHJAQB-HZSPNIEDSA-N 0 1 289.379 0.211 20 30 CCEDMN C[C@@H]1[C@H](C(=O)Nc2nc(C#N)c(C#N)[n-]2)CC[N@H+]1C ZINC000844131621 643158154 /nfs/dbraw/zinc/15/81/54/643158154.db2.gz SMQVYCLOAKUJAZ-HTQZYQBOSA-N 0 1 258.285 0.432 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccccc1Cn1cccn1 ZINC000844195081 643179271 /nfs/dbraw/zinc/17/92/71/643179271.db2.gz PWLQAESOAVPNFH-ZFWWWQNUSA-N 0 1 295.346 0.773 20 30 CCEDMN C=C(C)CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(C)n1 ZINC001017818005 650824817 /nfs/dbraw/zinc/82/48/17/650824817.db2.gz LVACAHNHSJHLKT-TXEJJXNPSA-N 0 1 290.371 0.465 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]nc1C ZINC001017784923 650822476 /nfs/dbraw/zinc/82/24/76/650822476.db2.gz NRSRGYDOCSDNJQ-TXEJJXNPSA-N 0 1 275.356 0.978 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CCNC1=O ZINC001017936268 650835118 /nfs/dbraw/zinc/83/51/18/650835118.db2.gz FOXCRKSNDJXETA-ZENOOKHLSA-N 0 1 289.379 0.211 20 30 CCEDMN NC(CN1CCCCCC1)=NOCC(=O)NC1CC1 ZINC000106437638 643431534 /nfs/dbraw/zinc/43/15/34/643431534.db2.gz LPNRCTMTNJTHLG-UHFFFAOYSA-N 0 1 268.361 0.430 20 30 CCEDMN N#CCc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000846676302 643441247 /nfs/dbraw/zinc/44/12/47/643441247.db2.gz CKIMQPSEARPENG-CHWSQXEVSA-N 0 1 254.293 0.594 20 30 CCEDMN N#CCc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000846676303 643441871 /nfs/dbraw/zinc/44/18/71/643441871.db2.gz CKIMQPSEARPENG-OLZOCXBDSA-N 0 1 254.293 0.594 20 30 CCEDMN C[C@H](Oc1ccc(C#N)cc1)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000846676629 643441972 /nfs/dbraw/zinc/44/19/72/643441972.db2.gz GCZYCBAXERXOBT-JKOKRWQUSA-N 0 1 284.319 0.553 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)[C@@H]1CCO[C@H]1c1ccncc1 ZINC000846677605 643442070 /nfs/dbraw/zinc/44/20/70/643442070.db2.gz NXMWDAHZFJBHRA-DGAVXFQQSA-N 0 1 286.335 0.387 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1cc(F)c(F)cc1F ZINC000846678456 643442105 /nfs/dbraw/zinc/44/21/05/643442105.db2.gz UQSXQBXMMMZLQA-PRHODGIISA-N 0 1 283.253 0.874 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CCN(C2CC2)[C@H](C#N)C1 ZINC000847483936 643523810 /nfs/dbraw/zinc/52/38/10/643523810.db2.gz JCHPISYIMVEFTR-SMDDNHRTSA-N 0 1 287.367 0.787 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccc2c(c1)CCOC2 ZINC000850764232 643812294 /nfs/dbraw/zinc/81/22/94/643812294.db2.gz PDBZWUWGHWKXLX-JSGCOSHPSA-N 0 1 286.335 0.992 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccccc1Cn1ccnn1 ZINC000852874639 644036396 /nfs/dbraw/zinc/03/63/96/644036396.db2.gz BQFBRSXWOIWCES-TZMCWYRMSA-N 0 1 296.334 0.168 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ncnc2ccsc21 ZINC000852875145 644036441 /nfs/dbraw/zinc/03/64/41/644036441.db2.gz FMSVPUUOEIBNSP-IONNQARKSA-N 0 1 273.321 0.533 20 30 CCEDMN O=c1c(C=NNC2=NCCN2)cccn1C(F)F ZINC000853357589 644101752 /nfs/dbraw/zinc/10/17/52/644101752.db2.gz IMMUYFQMTYSCOZ-UHFFFAOYSA-N 0 1 255.228 0.126 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001018717525 650901394 /nfs/dbraw/zinc/90/13/94/650901394.db2.gz WQDJULABUHIOHU-QWRGUYRKSA-N 0 1 286.759 0.883 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)n2cncn2)C1 ZINC001018737591 650902766 /nfs/dbraw/zinc/90/27/66/650902766.db2.gz CZQAGIUOWCNHEE-QWRGUYRKSA-N 0 1 283.763 0.782 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)COc1cccc(C#N)c1 ZINC000855640394 644339857 /nfs/dbraw/zinc/33/98/57/644339857.db2.gz WOQAXRCGSXUBFD-UHFFFAOYSA-N 0 1 273.336 0.957 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001018933203 650922093 /nfs/dbraw/zinc/92/20/93/650922093.db2.gz VHBBGSCKAFXSPN-MNOVXSKESA-N 0 1 285.775 0.408 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000858369121 644611459 /nfs/dbraw/zinc/61/14/59/644611459.db2.gz QZEINYJZOXWTBP-CYBMUJFWSA-N 0 1 279.384 0.904 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cncn2C)C1 ZINC001019099631 650938148 /nfs/dbraw/zinc/93/81/48/650938148.db2.gz BQTOACJPNKGONB-JTQLQIEISA-N 0 1 268.748 0.977 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2CCOC(=O)N2)c1 ZINC000860445291 644802589 /nfs/dbraw/zinc/80/25/89/644802589.db2.gz PQFCAVDRJIKLJP-QMMMGPOBSA-N 0 1 261.237 0.701 20 30 CCEDMN CC(C)c1cc(C(=O)N2CC[C@H](NCC#N)C2)n[nH]1 ZINC001019411258 650970484 /nfs/dbraw/zinc/97/04/84/650970484.db2.gz ABFHVTSVSDOCEC-JTQLQIEISA-N 0 1 261.329 0.861 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N2CC[C@H](NCC#N)C2)cn1 ZINC001019631075 650994031 /nfs/dbraw/zinc/99/40/31/650994031.db2.gz VDMHTNVKEGJOBO-NSHDSACASA-N 0 1 299.338 0.138 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn(C)c(=O)n2C)C1 ZINC001019837173 651009702 /nfs/dbraw/zinc/00/97/02/651009702.db2.gz GEVHMWUCCFZYLF-JTQLQIEISA-N 0 1 298.774 0.280 20 30 CCEDMN N#Cc1c[nH]c2cc(C(=O)NCCN3CC[C@H](O)C3)ccc12 ZINC000869505111 645895838 /nfs/dbraw/zinc/89/58/38/645895838.db2.gz USINRXIJCMISIW-ZDUSSCGKSA-N 0 1 298.346 0.836 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001020344272 651062201 /nfs/dbraw/zinc/06/22/01/651062201.db2.gz JUPZGIBOJMMVOI-KYZUINATSA-N 0 1 259.313 0.272 20 30 CCEDMN Cn1cc(-c2ccc(C(=O)N[C@@H]3CNC[C@H]3C#N)cc2)cn1 ZINC000870941512 646055842 /nfs/dbraw/zinc/05/58/42/646055842.db2.gz WNXGYSMQNHQXBD-UKRRQHHQSA-N 0 1 295.346 0.928 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)/C=C\c1ccc(Cl)nc1 ZINC000876801372 646668855 /nfs/dbraw/zinc/66/88/55/646668855.db2.gz BREZMCQAWHXRQN-ADAMHKFESA-N 0 1 276.727 0.976 20 30 CCEDMN Cc1ccc2c(c1)C=C(C(=O)N[C@H]1CNC[C@@H]1C#N)CO2 ZINC000876803196 646670413 /nfs/dbraw/zinc/67/04/13/646670413.db2.gz VEZUUFGVIKOKBO-KBPBESRZSA-N 0 1 283.331 0.999 20 30 CCEDMN COc1cncc(C(=O)N[C@H]2CNC[C@H]2C#N)c1C ZINC000876802209 646670576 /nfs/dbraw/zinc/67/05/76/646670576.db2.gz NAKGTIJAVKHXTA-KOLCDFICSA-N 0 1 260.297 0.240 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cncc(N2CCCC2=O)c1 ZINC000876802946 646670666 /nfs/dbraw/zinc/67/06/66/646670666.db2.gz SVPVKYQTFXCHMR-DGCLKSJQSA-N 0 1 299.334 0.050 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ncc2ccccn21 ZINC000876802269 646670808 /nfs/dbraw/zinc/67/08/08/646670808.db2.gz NPHYMRVAEIPUTJ-KOLCDFICSA-N 0 1 255.281 0.176 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ncc2c(F)cccn21 ZINC000876803851 646671362 /nfs/dbraw/zinc/67/13/62/646671362.db2.gz YDOVDZQZKVOESS-SCZZXKLOSA-N 0 1 273.271 0.315 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)N1CCn2nccc21 ZINC000877293203 646781599 /nfs/dbraw/zinc/78/15/99/646781599.db2.gz KFIMWOLUXCPVGE-HNNXBMFYSA-N 0 1 293.330 0.988 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H](O)C[C@H](O)c2ccccc2)C1 ZINC000878761577 646974572 /nfs/dbraw/zinc/97/45/72/646974572.db2.gz NXXNXUJVUBFPFQ-JYJNAYRXSA-N 0 1 290.363 0.821 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CCCN2C)C[C@H](C)N1CC#N ZINC000880459405 647203375 /nfs/dbraw/zinc/20/33/75/647203375.db2.gz SRAVXYYANBBREL-XQQFMLRXSA-N 0 1 264.373 0.525 20 30 CCEDMN Cc1nc(C#N)cc(N2C[C@@H](C)N(CCO)[C@@H](C)C2)n1 ZINC000882422942 647407111 /nfs/dbraw/zinc/40/71/11/647407111.db2.gz GWHHYYZZEPKWPD-PHIMTYICSA-N 0 1 275.356 0.548 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cn2cncn2)CC1 ZINC001006377370 647577995 /nfs/dbraw/zinc/57/79/95/647577995.db2.gz MWVGDTBQNRCBOB-UHFFFAOYSA-N 0 1 275.356 0.224 20 30 CCEDMN Cc1cn(C(C)(C)C(=O)N[C@H]2CNC[C@H]2C#N)cn1 ZINC000884250714 647680568 /nfs/dbraw/zinc/68/05/68/647680568.db2.gz MARYERVJYBXLOU-MNOVXSKESA-N 0 1 261.329 0.154 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@@H]1NC(=O)c2ccccc21 ZINC000884250909 647682159 /nfs/dbraw/zinc/68/21/59/647682159.db2.gz RIXUYFXGYOVFPY-ICCXJUOJSA-N 0 1 284.319 0.089 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnc(CC2CC2)s1 ZINC000884251015 647682610 /nfs/dbraw/zinc/68/26/10/647682610.db2.gz UMSJOZWSXZSWQG-UWVGGRQHSA-N 0 1 276.365 0.937 20 30 CCEDMN C#CC[C@@H]1CCN([C@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000886358617 647970034 /nfs/dbraw/zinc/97/00/34/647970034.db2.gz YMSIMGMLMWMFDH-OCCSQVGLSA-N 0 1 272.352 0.871 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CCO[C@](C)(C#N)C1 ZINC000887680403 648139605 /nfs/dbraw/zinc/13/96/05/648139605.db2.gz FBJZEJINUOZYLQ-TZMCWYRMSA-N 0 1 281.356 0.096 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CCO1 ZINC000887688083 648142714 /nfs/dbraw/zinc/14/27/14/648142714.db2.gz BQSIHCPHGCNKPR-QMTHXVAHSA-N 0 1 274.324 0.656 20 30 CCEDMN CN1C(=O)CC[C@@H](NCC#Cc2ccccc2)C1=O ZINC000090029340 648401765 /nfs/dbraw/zinc/40/17/65/648401765.db2.gz MILOECIKMZYZTF-CYBMUJFWSA-N 0 1 256.305 0.775 20 30 CCEDMN N#C[C@H]1CCCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000928212335 648622625 /nfs/dbraw/zinc/62/26/25/648622625.db2.gz YWBRYXUERFCHIG-DGCLKSJQSA-N 0 1 263.341 0.469 20 30 CCEDMN N#CC[C@@H](O)CN(Cc1ccccc1)[C@]1(CO)CCOC1 ZINC000930320576 648849016 /nfs/dbraw/zinc/84/90/16/648849016.db2.gz ZIXBXOVISNODRC-CVEARBPZSA-N 0 1 290.363 0.915 20 30 CCEDMN N#CC[C@@H](O)CN(Cc1ccccc1)[C@@]1(CO)CCOC1 ZINC000930320580 648849543 /nfs/dbraw/zinc/84/95/43/648849543.db2.gz ZIXBXOVISNODRC-HZPDHXFCSA-N 0 1 290.363 0.915 20 30 CCEDMN N#CC[C@@H](O)CN(CC1CC1)[C@@H](C(N)=O)C1CC1 ZINC000931531605 649001903 /nfs/dbraw/zinc/00/19/03/649001903.db2.gz GXWKQRYAABZKFT-VXGBXAGGSA-N 0 1 251.330 0.237 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC001006455755 649471924 /nfs/dbraw/zinc/47/19/24/649471924.db2.gz RHGADMIOFSYIGO-CYBMUJFWSA-N 0 1 291.395 0.411 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](NC(=O)CN2CCCC2)C1 ZINC000951872978 649568666 /nfs/dbraw/zinc/56/86/66/649568666.db2.gz RLUSIZXEVGVYDW-CHWSQXEVSA-N 0 1 292.383 0.349 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnnn2C)C1 ZINC001007251251 649699336 /nfs/dbraw/zinc/69/93/36/649699336.db2.gz RIBGAYUPHAOWSS-NSHDSACASA-N 0 1 261.329 0.033 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cn(C)nn2)C1 ZINC001007256408 649719197 /nfs/dbraw/zinc/71/91/97/649719197.db2.gz QRMIEZZNNPULRT-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncc(Cl)nc3C#N)C[C@H]21 ZINC001164669917 719378782 /nfs/dbraw/zinc/37/87/82/719378782.db2.gz SEAWKHBOIKWAPH-ZJUUUORDSA-N 0 1 279.731 0.521 20 30 CCEDMN CN1CCO[C@H]2CN(c3nc(Cl)ncc3C#N)C[C@H]21 ZINC001164672074 719380368 /nfs/dbraw/zinc/38/03/68/719380368.db2.gz YDJBUOFZZNTCNM-ZJUUUORDSA-N 0 1 279.731 0.521 20 30 CCEDMN Cc1ccc(N2C[C@@H]3OCCN(C)[C@H]3C2)nc1C#N ZINC000302625477 719390446 /nfs/dbraw/zinc/39/04/46/719390446.db2.gz IBAFDEOMCWEUMX-STQMWFEESA-N 0 1 258.325 0.781 20 30 CCEDMN Cc1nc(N2C[C@H]3OCCN(C)[C@@H]3C2)cnc1C#N ZINC001164668773 719422775 /nfs/dbraw/zinc/42/27/75/719422775.db2.gz KTPLKLJNXRNVQC-VXGBXAGGSA-N 0 1 259.313 0.176 20 30 CCEDMN C[C@@]1(C(=O)[O-])CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000062876799 957623057 /nfs/dbraw/zinc/62/30/57/957623057.db2.gz BUOYFHRDJKAQED-GFCCVEGCSA-N 0 1 253.302 0.203 20 30 CCEDMN C[N@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)[n-]c(=O)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001230239891 805588089 /nfs/dbraw/zinc/58/80/89/805588089.db2.gz DGSWRINUEOQXMT-RZVDLVGDSA-N 0 1 284.703 0.222 20 30 CCEDMN C[N@@H+]1[C@@H]2C[C@@H](Oc3nc(Cl)[n-]c(=O)n3)C[C@H]1[C@@H]1O[C@@H]12 ZINC001230239891 805588092 /nfs/dbraw/zinc/58/80/92/805588092.db2.gz DGSWRINUEOQXMT-RZVDLVGDSA-N 0 1 284.703 0.222 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H](C)CCOC)CC1 ZINC001230306784 805593876 /nfs/dbraw/zinc/59/38/76/805593876.db2.gz CGTWXKIFCOOGDJ-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1nc[nH]c(=O)c1CC(=O)OC ZINC001230501793 805605509 /nfs/dbraw/zinc/60/55/09/805605509.db2.gz KBJPJDLFQQMDTB-ZCFIWIBFSA-N 0 1 294.263 0.496 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)COCCC)CC1 ZINC001266257499 790340626 /nfs/dbraw/zinc/34/06/26/790340626.db2.gz JSFHOSGYBNQYEI-UHFFFAOYSA-N 0 1 281.400 0.170 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H]1C[C@@]12CCOC2 ZINC001266428561 790686454 /nfs/dbraw/zinc/68/64/54/790686454.db2.gz RPOUUHDRJMYWKZ-TZMCWYRMSA-N 0 1 250.342 0.484 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H]1CCCN1C(C)=O ZINC001266440485 790711659 /nfs/dbraw/zinc/71/16/59/790711659.db2.gz VGUOEOLRBHODTR-CYBMUJFWSA-N 0 1 265.357 0.069 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2O ZINC000693065844 790797012 /nfs/dbraw/zinc/79/70/12/790797012.db2.gz OIQVZAKBOQNDFZ-UHTWSYAYSA-N 0 1 250.342 0.217 20 30 CCEDMN C=CCCCC(=O)NC[C@@H]1CCN([C@H](C)C(=O)NC)C1 ZINC001266528557 790875393 /nfs/dbraw/zinc/87/53/93/790875393.db2.gz RWOWDLBUSUYKJE-OLZOCXBDSA-N 0 1 281.400 0.915 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NC[C@H]1CCN(CC(=O)NC)C1 ZINC001266533987 790887192 /nfs/dbraw/zinc/88/71/92/790887192.db2.gz UXFLZJSYZWFJBC-DOMZBBRYSA-N 0 1 281.400 0.773 20 30 CCEDMN CC#CCN(CCNC(=O)[C@H](NC(C)=O)C(C)C)C1CC1 ZINC001266617334 791040304 /nfs/dbraw/zinc/04/03/04/791040304.db2.gz FKRDCBGBIQGKOZ-OAHLLOKOSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCCCCC(=O)N[C@H]1C[C@@H](NCc2ncnn2C)C1 ZINC001266678741 791128189 /nfs/dbraw/zinc/12/81/89/791128189.db2.gz NNYUJHDNQHUOLR-BETUJISGSA-N 0 1 289.383 0.746 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N[C@@H](CCSC)c1nn[nH]n1 ZINC001167448937 791129017 /nfs/dbraw/zinc/12/90/17/791129017.db2.gz PMWKBUOXQHHQDZ-OIBJUYFYSA-N 0 1 271.346 0.047 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CN(C)C(C)=O ZINC001230828773 805674167 /nfs/dbraw/zinc/67/41/67/805674167.db2.gz BSTDLXQLIXLSDH-CYBMUJFWSA-N 0 1 265.357 0.021 20 30 CCEDMN C=CCCC(=O)N(C)C[C@H]1CCN1CCOCCO ZINC001231170141 805742643 /nfs/dbraw/zinc/74/26/43/805742643.db2.gz NIXPVPOSQIYHIA-CYBMUJFWSA-N 0 1 270.373 0.494 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@H]1CCN1CCc1ccnn1C ZINC001231293125 805770874 /nfs/dbraw/zinc/77/08/74/805770874.db2.gz JUPIFUMWQGVANZ-GXTWGEPZSA-N 0 1 289.383 0.655 20 30 CCEDMN COc1cc(C#N)ccc1CN1CC[C@@H](O)[C@@H](CO)C1 ZINC001231616482 805819795 /nfs/dbraw/zinc/81/97/95/805819795.db2.gz BKXGXRJTVGPIGW-ZIAGYGMSSA-N 0 1 276.336 0.742 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H]2COCCO2)C1 ZINC001277555291 805842949 /nfs/dbraw/zinc/84/29/49/805842949.db2.gz NIYUMBQMFPKSSP-OCCSQVGLSA-N 0 1 282.384 0.899 20 30 CCEDMN CN(C(=O)C#CC1CC1)C1CN(C[C@@H]2CCOC2)C1 ZINC001277593185 805875919 /nfs/dbraw/zinc/87/59/19/805875919.db2.gz CZFLJQRYADUATP-ZDUSSCGKSA-N 0 1 262.353 0.579 20 30 CCEDMN CN(C(=O)[C@@H]1CCCCN1C)[C@@H](CNCC#N)C1CC1 ZINC001277684696 805961058 /nfs/dbraw/zinc/96/10/58/805961058.db2.gz NUCGPOVIPNMIMW-KBPBESRZSA-N 0 1 278.400 0.821 20 30 CCEDMN CN1CCC[N@@H+](Cc2cc(C#N)ccc2[O-])CC1=O ZINC001232679735 805970123 /nfs/dbraw/zinc/97/01/23/805970123.db2.gz RFWLBRIOSZBAGX-UHFFFAOYSA-N 0 1 259.309 0.928 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CN(C)C(=O)N1 ZINC001039417280 791239484 /nfs/dbraw/zinc/23/94/84/791239484.db2.gz XNSYKLGSSOHMCM-FRRDWIJNSA-N 0 1 292.383 0.261 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(=O)N1C ZINC001039423092 791256664 /nfs/dbraw/zinc/25/66/64/791256664.db2.gz ALASRPYZNNEAQK-HZSPNIEDSA-N 0 1 289.379 0.306 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)NCc1cnc(C)o1 ZINC001266931960 791366594 /nfs/dbraw/zinc/36/65/94/791366594.db2.gz DTZNCVFEWPUJEF-YGRLFVJLSA-N 0 1 281.356 0.904 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3ncccn3)[C@H]2C1 ZINC001083230626 791417538 /nfs/dbraw/zinc/41/75/38/791417538.db2.gz IVOPUECLYKTGPB-QWHCGFSZSA-N 0 1 288.351 0.578 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2cc(C)no2)C1 ZINC001267006944 791438668 /nfs/dbraw/zinc/43/86/68/791438668.db2.gz XSZCUQFTANCXGV-LBPRGKRZSA-N 0 1 279.340 0.876 20 30 CCEDMN CCO[C@@H](C)C(=O)N1CCC[C@@H](N(C)CC#CCOC)C1 ZINC001267102265 791540000 /nfs/dbraw/zinc/54/00/00/791540000.db2.gz ZHHQOIOILHHJEL-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN COC(=O)[C@H](CS)Nc1cc2[nH]cnc2cn1 ZINC001167618285 791636808 /nfs/dbraw/zinc/63/68/08/791636808.db2.gz FSVKSMDOLDTEOC-QMMMGPOBSA-N 0 1 252.299 0.841 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCCc2nn(C)cc21 ZINC001267213877 791683788 /nfs/dbraw/zinc/68/37/88/791683788.db2.gz WZWHNZUFMDJGLN-LBPRGKRZSA-N 0 1 274.368 0.521 20 30 CCEDMN Cn1ncc(C#N)c1NS(=O)(=O)C1CCC(=O)CC1 ZINC000708221223 791707228 /nfs/dbraw/zinc/70/72/28/791707228.db2.gz ZDUOPLCTPDMENB-UHFFFAOYSA-N 0 1 282.325 0.545 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H]1CC=CCC1 ZINC001233835087 806128759 /nfs/dbraw/zinc/12/87/59/806128759.db2.gz IKOSFLRWGPARHP-CQSZACIVSA-N 0 1 291.395 0.530 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1Cc1n[nH]c(C)n1 ZINC001233947377 806154354 /nfs/dbraw/zinc/15/43/54/806154354.db2.gz LGMXHGXGNNDTFO-ZDUSSCGKSA-N 0 1 289.383 0.949 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1ccnn1C ZINC001233967140 806158611 /nfs/dbraw/zinc/15/86/11/806158611.db2.gz VTKSYMILEPHRLF-CYBMUJFWSA-N 0 1 262.357 0.681 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)Cc1ccnn1C ZINC001234013953 806168525 /nfs/dbraw/zinc/16/85/25/806168525.db2.gz YTDVJAURKMJJMY-CQSZACIVSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cc1ccnn1C ZINC001234013953 806168531 /nfs/dbraw/zinc/16/85/31/806168531.db2.gz YTDVJAURKMJJMY-CQSZACIVSA-N 0 1 274.368 0.519 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cnnn3C)C[C@H]21 ZINC001042039686 793219784 /nfs/dbraw/zinc/21/97/84/793219784.db2.gz GTQPEUAPSDBWEN-TZMCWYRMSA-N 0 1 287.367 0.375 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N(C)C[C@H]1CCN1CC#N ZINC001234642458 806290823 /nfs/dbraw/zinc/29/08/23/806290823.db2.gz JOZYCVZOFDXITI-OLZOCXBDSA-N 0 1 284.379 0.723 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)nc1 ZINC001059880694 814930070 /nfs/dbraw/zinc/93/00/70/814930070.db2.gz YPEGGUYKNTVWSJ-AOOOYVTPSA-N 0 1 283.295 0.444 20 30 CCEDMN N#Cc1ccc(CN2CCN[C@]3(CC[NH2+]C3)C2)c([O-])c1 ZINC001235064378 806366718 /nfs/dbraw/zinc/36/67/18/806366718.db2.gz XLDDAOWLTXEOHV-HNNXBMFYSA-N 0 1 272.352 0.401 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCN(C)[C@@H](C)C(=O)NC ZINC001235229880 806392396 /nfs/dbraw/zinc/39/23/96/806392396.db2.gz QCAVMDVEILTVKC-QWHCGFSZSA-N 0 1 299.415 0.540 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3OCCO[C@H]3C)[C@@H]2C1 ZINC001075902267 814931246 /nfs/dbraw/zinc/93/12/46/814931246.db2.gz PWIGKPVOPNGKNP-XQLPTFJDSA-N 0 1 292.379 0.346 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235701092 806494282 /nfs/dbraw/zinc/49/42/82/806494282.db2.gz MZLGJXNBKBFYJH-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235701092 806494286 /nfs/dbraw/zinc/49/42/86/806494286.db2.gz MZLGJXNBKBFYJH-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)/C=C/C(C)(C)C ZINC001278053161 806639044 /nfs/dbraw/zinc/63/90/44/806639044.db2.gz CBZYRCGAZRHXBG-GWJCSSMESA-N 0 1 282.384 0.305 20 30 CCEDMN N#Cc1cc(CN2CCc3nncn3CC2)ccn1 ZINC001237462393 806671252 /nfs/dbraw/zinc/67/12/52/806671252.db2.gz JIKVWBITGQORGM-UHFFFAOYSA-N 0 1 254.297 0.603 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(Cc2nnc[nH]2)C1 ZINC001237602416 806695988 /nfs/dbraw/zinc/69/59/88/806695988.db2.gz AJNOAEFISRKIAF-SNVBAGLBSA-N 0 1 265.317 0.681 20 30 CCEDMN C#CCNCC(=O)NCCC(=O)N1CCCCCC1 ZINC001121862776 799079261 /nfs/dbraw/zinc/07/92/61/799079261.db2.gz QFZJVTQSJPUHEZ-UHFFFAOYSA-N 0 1 265.357 0.118 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)C[C@@H](O)c1ccc(F)cc1 ZINC001121895575 799085444 /nfs/dbraw/zinc/08/54/44/799085444.db2.gz FFLCIURJHOBAIF-SMDDNHRTSA-N 0 1 278.327 0.977 20 30 CCEDMN Cn1nc(C2CC2)cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC001122011981 799121487 /nfs/dbraw/zinc/12/14/87/799121487.db2.gz YEBQIVUOYFCIFE-ONGXEEELSA-N 0 1 259.313 0.139 20 30 CCEDMN C#CCNCC(=O)N1CCc2nc(C3CC3)ncc2C1 ZINC001122089508 799141040 /nfs/dbraw/zinc/14/10/40/799141040.db2.gz KQHBRPDTIBWCRC-UHFFFAOYSA-N 0 1 270.336 0.462 20 30 CCEDMN C#CCNCC(=O)N1CCn2nc(C3CC3)cc2C1 ZINC001122284878 799187497 /nfs/dbraw/zinc/18/74/97/799187497.db2.gz QIGUVOHIOOKRBY-UHFFFAOYSA-N 0 1 258.325 0.326 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001122541309 799259366 /nfs/dbraw/zinc/25/93/66/799259366.db2.gz OUDURWDOGYUBOT-FQIARQCESA-N 0 1 276.336 0.750 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1cnccn1 ZINC001122826936 799299503 /nfs/dbraw/zinc/29/95/03/799299503.db2.gz OHVQYDUGWRJMJM-UHFFFAOYSA-N 0 1 280.331 0.848 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@@H](C)NC(C)=O ZINC001123063478 799346421 /nfs/dbraw/zinc/34/64/21/799346421.db2.gz SSRXEVMNLZMCSM-MRVPVSSYSA-N 0 1 292.177 0.125 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@@H]1CCCO1 ZINC001123143663 799362894 /nfs/dbraw/zinc/36/28/94/799362894.db2.gz KGUXQSMJMDWPGF-VIFPVBQESA-N 0 1 277.162 0.780 20 30 CCEDMN C#Cc1cccc(NC(=O)CNCCNC(=O)C(C)C)c1 ZINC001123694790 799457069 /nfs/dbraw/zinc/45/70/69/799457069.db2.gz QPERWEDZLLUZPZ-UHFFFAOYSA-N 0 1 287.363 0.968 20 30 CCEDMN C#CCN(CC1CC1)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001123795996 799476106 /nfs/dbraw/zinc/47/61/06/799476106.db2.gz UNPKRYKZYUXNIN-DZGCQCFKSA-N 0 1 292.427 0.676 20 30 CCEDMN C[C@@H]1CN2CC[N@H+]1C[C@H]2C(=O)NCC1(C#N)CCC1 ZINC001123901194 799498448 /nfs/dbraw/zinc/49/84/48/799498448.db2.gz CFGUWBLJHUPWFK-NEPJUHHUSA-N 0 1 262.357 0.185 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)NCC1(C#N)CCC1 ZINC001123901194 799498452 /nfs/dbraw/zinc/49/84/52/799498452.db2.gz CFGUWBLJHUPWFK-NEPJUHHUSA-N 0 1 262.357 0.185 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(C)c(CC)s1 ZINC001278195880 806757543 /nfs/dbraw/zinc/75/75/43/806757543.db2.gz VCLZOYXKXVTTNT-LLVKDONJSA-N 0 1 280.393 0.932 20 30 CCEDMN C=CCN1CC[C@]2(CCC[N@H+](Cc3cnnn3C)C2)C1=O ZINC001238156628 806760417 /nfs/dbraw/zinc/76/04/17/806760417.db2.gz IGRBUXWGDGPWSY-HNNXBMFYSA-N 0 1 289.383 0.816 20 30 CCEDMN C=CCN1CC[C@]2(CCCN(Cc3cnnn3C)C2)C1=O ZINC001238156628 806760421 /nfs/dbraw/zinc/76/04/21/806760421.db2.gz IGRBUXWGDGPWSY-HNNXBMFYSA-N 0 1 289.383 0.816 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCc1ccccc1C#N ZINC001124890038 799644806 /nfs/dbraw/zinc/64/48/06/799644806.db2.gz VPZYLGOWDQPPOT-LLVKDONJSA-N 0 1 256.309 0.924 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCc1ccc(F)cn1 ZINC001124890473 799644811 /nfs/dbraw/zinc/64/48/11/799644811.db2.gz XZONWMXKKPMLLL-SECBINFHSA-N 0 1 250.277 0.586 20 30 CCEDMN COCCOCC(=O)NCCNCc1ccccc1C#N ZINC001124920954 799647089 /nfs/dbraw/zinc/64/70/89/799647089.db2.gz MYKUAECKRKDYNV-UHFFFAOYSA-N 0 1 291.351 0.427 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](OC)C1CCCCC1 ZINC001278210897 806775646 /nfs/dbraw/zinc/77/56/46/806775646.db2.gz CGSSRRDXFJBRNA-UONOGXRCSA-N 0 1 282.384 0.282 20 30 CCEDMN C=CCn1cc(CN2CC[C@@H](CO)[C@H](O)C2)cn1 ZINC001139768730 799839895 /nfs/dbraw/zinc/83/98/95/799839895.db2.gz SXPHTUHPZMGBLS-QWHCGFSZSA-N 0 1 251.330 0.244 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)CCc2cnc[nH]2)C12CCC2 ZINC001203012677 799887384 /nfs/dbraw/zinc/88/73/84/799887384.db2.gz GAVHJOLYLXGLRV-QWHCGFSZSA-N 0 1 287.367 0.883 20 30 CCEDMN COC(=O)C1(C#N)CCN(Cc2cc(C)[nH]n2)CC1 ZINC001203084258 799967164 /nfs/dbraw/zinc/96/71/64/799967164.db2.gz UULARDNXIYWVJO-UHFFFAOYSA-N 0 1 262.313 0.997 20 30 CCEDMN COc1cc(C(=O)Nc2n[nH]c3ncnn23)ccc1C#N ZINC001141672748 800027442 /nfs/dbraw/zinc/02/74/42/800027442.db2.gz OTUFNICBFZIKMU-UHFFFAOYSA-N 0 1 283.251 0.585 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C[C@H]2CCCO2)C1 ZINC001149227755 800237861 /nfs/dbraw/zinc/23/78/61/800237861.db2.gz NJKAYZYLYDHMKZ-CABCVRRESA-N 0 1 294.395 0.786 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)CCOCC)C1 ZINC001149383801 800254463 /nfs/dbraw/zinc/25/44/63/800254463.db2.gz MBQHSRGQFWXZQH-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@@H](C)OC)C1 ZINC001149416189 800258536 /nfs/dbraw/zinc/25/85/36/800258536.db2.gz RLXYFNALEXUSRS-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@H]2CCOC2)C1 ZINC001149448531 800264821 /nfs/dbraw/zinc/26/48/21/800264821.db2.gz RPXZNCJSXHQKFY-ZIAGYGMSSA-N 0 1 282.384 0.806 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H](C)CCOC)C1 ZINC001150738086 800364133 /nfs/dbraw/zinc/36/41/33/800364133.db2.gz NQWMLLIBUJCYBS-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1[nH]nc2ccncc21 ZINC001152106755 800492890 /nfs/dbraw/zinc/49/28/90/800492890.db2.gz LTEXSJPSXOWVCX-SECBINFHSA-N 0 1 273.252 0.143 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)c1nccc(C)n1 ZINC001153052088 800588739 /nfs/dbraw/zinc/58/87/39/800588739.db2.gz HUNNJNBKHWKRLV-GFCCVEGCSA-N 0 1 260.341 0.858 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1cnc(C)o1 ZINC001153086247 800594883 /nfs/dbraw/zinc/59/48/83/800594883.db2.gz SXWUDWDQIPJYFF-UHFFFAOYSA-N 0 1 267.329 0.782 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001153135275 800600516 /nfs/dbraw/zinc/60/05/16/800600516.db2.gz OUIUQYSILISVSB-JTQLQIEISA-N 0 1 293.371 0.479 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COC[C@@H]1CCCO1 ZINC001153673608 800650172 /nfs/dbraw/zinc/65/01/72/800650172.db2.gz NIJGNGSGZXMJEN-NSHDSACASA-N 0 1 276.764 0.640 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@@H]1CCCCN1C ZINC001154033673 800708234 /nfs/dbraw/zinc/70/82/34/800708234.db2.gz IUBWMLDZTFZSLK-OLZOCXBDSA-N 0 1 266.389 0.821 20 30 CCEDMN CC#CCN(CC)[C@H](C)CNC(=O)c1cn(C)ccc1=O ZINC001154263037 800749501 /nfs/dbraw/zinc/74/95/01/800749501.db2.gz YFAVIPOJQZIUQE-CYBMUJFWSA-N 0 1 289.379 0.849 20 30 CCEDMN Cn1ncc(NC(=O)c2cc(=O)c3cc(C#N)ccc3[nH]2)n1 ZINC001154552067 800798516 /nfs/dbraw/zinc/79/85/16/800798516.db2.gz GLVYADPPJNDLEM-UHFFFAOYSA-N 0 1 294.274 0.781 20 30 CCEDMN COc1nc(NCc2noc3c2CNCC3)ncc1C#N ZINC001155496852 800991050 /nfs/dbraw/zinc/99/10/50/800991050.db2.gz BTDPFLUGKUZWBK-UHFFFAOYSA-N 0 1 286.295 0.603 20 30 CCEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)COC[C@H]1CCOC1 ZINC001155588820 801018095 /nfs/dbraw/zinc/01/80/95/801018095.db2.gz DQDLATOONODCBL-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN[C@H](C)c1nnnn1C1CC1 ZINC001155842658 801064330 /nfs/dbraw/zinc/06/43/30/801064330.db2.gz PTBDSZPPMSAEFJ-NXEZZACHSA-N 0 1 291.359 0.325 20 30 CCEDMN COc1nscc1CNCCCNC(=O)[C@H](C)C#N ZINC001155847563 801065529 /nfs/dbraw/zinc/06/55/29/801065529.db2.gz ACGZLMSEFAUPBD-SECBINFHSA-N 0 1 282.369 0.907 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1[nH]ccc2ncc(C#N)c1-2 ZINC001156830350 801245383 /nfs/dbraw/zinc/24/53/83/801245383.db2.gz FKSSEOHTTHUGLQ-UHFFFAOYSA-N 0 1 279.307 0.867 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)c1ccc2[nH]nnc2c1 ZINC001156858308 801251038 /nfs/dbraw/zinc/25/10/38/801251038.db2.gz QPULISMOMPXXPO-SNVBAGLBSA-N 0 1 286.339 0.922 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CC[C@@H](O)[C@H](F)C1 ZINC001156879065 801253191 /nfs/dbraw/zinc/25/31/91/801253191.db2.gz VQLZOBQNBWNVGN-ZYHUDNBSSA-N 0 1 288.282 0.979 20 30 CCEDMN O=C(C#CC1CC1)NCCCNCc1ncccn1 ZINC001157705257 801459913 /nfs/dbraw/zinc/45/99/13/801459913.db2.gz UAZDASIHOGKBFY-UHFFFAOYSA-N 0 1 258.325 0.486 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN1c1[nH]ccc2ncc(C#N)c1-2 ZINC001157773894 801481490 /nfs/dbraw/zinc/48/14/90/801481490.db2.gz UDROSCLMLOBURG-GFCCVEGCSA-N 0 1 299.334 0.728 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN1c1nccc2[nH]cc(C#N)c21 ZINC001157773894 801481496 /nfs/dbraw/zinc/48/14/96/801481496.db2.gz UDROSCLMLOBURG-GFCCVEGCSA-N 0 1 299.334 0.728 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)n1cncn1 ZINC001157822928 801496599 /nfs/dbraw/zinc/49/65/99/801496599.db2.gz WSMWZHWHDXLEDP-UONOGXRCSA-N 0 1 289.383 0.785 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1ccon1 ZINC001157868960 801506423 /nfs/dbraw/zinc/50/64/23/801506423.db2.gz BSFVWQHCWJPFOP-NSHDSACASA-N 0 1 265.313 0.309 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1nccs1 ZINC001157869173 801506668 /nfs/dbraw/zinc/50/66/68/801506668.db2.gz IAVVGPVZHPFDCQ-NSHDSACASA-N 0 1 281.381 0.777 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)C(=O)NCC1CC1 ZINC001158673006 801681870 /nfs/dbraw/zinc/68/18/70/801681870.db2.gz BPOXGVNPMPPTFR-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C(=O)NCC1CC1 ZINC001158673006 801681874 /nfs/dbraw/zinc/68/18/74/801681874.db2.gz BPOXGVNPMPPTFR-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN Cc1cnc(N2CC(N(C)[C@H]3CCOC3)C2)c(C#N)n1 ZINC001158735415 801697050 /nfs/dbraw/zinc/69/70/50/801697050.db2.gz CMVOMSCUVAVCQE-NSHDSACASA-N 0 1 273.340 0.566 20 30 CCEDMN Cc1nc(N2CC(N(C)[C@H]3CCOC3)C2)cnc1C#N ZINC001158737115 801697079 /nfs/dbraw/zinc/69/70/79/801697079.db2.gz SSOBUTLXJIBCJJ-NSHDSACASA-N 0 1 273.340 0.566 20 30 CCEDMN C=C[C@@H](CO)Nc1ncnc2[nH]cc(S(C)(=O)=O)c21 ZINC001158813639 801709239 /nfs/dbraw/zinc/70/92/39/801709239.db2.gz YUIUKXJEKBUQPR-ZETCQYMHSA-N 0 1 282.325 0.320 20 30 CCEDMN CSc1nc(Cl)c(C#N)c(NC[C@H]2COCCN2)n1 ZINC001159035311 801744732 /nfs/dbraw/zinc/74/47/32/801744732.db2.gz FOFDRLXWASEDPN-ZETCQYMHSA-N 0 1 299.787 0.546 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC=C(CCNC(=O)CC)CC1 ZINC001159068570 801754841 /nfs/dbraw/zinc/75/48/41/801754841.db2.gz IUWOUZFQZVKORC-ZDUSSCGKSA-N 0 1 291.395 0.673 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)NCCCNCC#N ZINC001159155644 801766391 /nfs/dbraw/zinc/76/63/91/801766391.db2.gz SAQLUINSDOUGMC-NSHDSACASA-N 0 1 258.341 0.286 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCC1=CCN(CC(=O)N(C)C)CC1 ZINC001159587143 801834961 /nfs/dbraw/zinc/83/49/61/801834961.db2.gz IZUIEQVMUJFZQQ-LBPRGKRZSA-N 0 1 292.383 0.373 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@@H]1Cc1ccccn1 ZINC001160545813 801966876 /nfs/dbraw/zinc/96/68/76/801966876.db2.gz UWASSYUGAPQWCW-HIFRSBDPSA-N 0 1 257.337 0.966 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1CCCC12COC2 ZINC001160542476 801967171 /nfs/dbraw/zinc/96/71/71/801967171.db2.gz XZXBYFVYVFDIPP-AWEZNQCLSA-N 0 1 285.347 0.819 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCc2ccc(=O)[nH]c2CC1 ZINC001160756125 802015795 /nfs/dbraw/zinc/01/57/95/802015795.db2.gz GYQPUNUCDGQNLW-AWEZNQCLSA-N 0 1 259.309 0.065 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC001161140287 802100097 /nfs/dbraw/zinc/10/00/97/802100097.db2.gz HFYXSKWMXDZZGR-INIZCTEOSA-N 0 1 289.379 0.427 20 30 CCEDMN CO[C@H](C)C1CN(C(=O)[C@@H](N)Cc2ccccc2C#N)C1 ZINC001161145718 802100796 /nfs/dbraw/zinc/10/07/96/802100796.db2.gz HTEJIPROVXTLOW-ABAIWWIYSA-N 0 1 287.363 0.921 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@@H]2CC[C@H]([S@@](C)=O)C2)CC1 ZINC001161877731 802236736 /nfs/dbraw/zinc/23/67/36/802236736.db2.gz MTOVSZGEOIAEOL-LAPQFRIASA-N 0 1 296.436 0.747 20 30 CCEDMN N#Cc1nccnc1-n1c2ccncc2nc1CN ZINC001162215401 802289640 /nfs/dbraw/zinc/28/96/40/802289640.db2.gz YFJNBNMUCPWYBK-UHFFFAOYSA-N 0 1 251.253 0.541 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cncc(CC#N)c1 ZINC001162536973 802363123 /nfs/dbraw/zinc/36/31/23/802363123.db2.gz CEZYYRLEJCOTHG-UHFFFAOYSA-N 0 1 285.307 0.969 20 30 CCEDMN N#Cc1nc(-n2cc(F)c(=O)nc2N)cc2[nH]cnc21 ZINC001162998999 802449778 /nfs/dbraw/zinc/44/97/78/802449778.db2.gz KNJQCBOEQBQHIO-UHFFFAOYSA-N 0 1 271.215 0.339 20 30 CCEDMN N#CC1CN(c2ncccc2C(=O)N2CCNCC2)C1 ZINC001163692836 802594986 /nfs/dbraw/zinc/59/49/86/802594986.db2.gz VGWBOOXACAHHIZ-UHFFFAOYSA-N 0 1 271.324 0.087 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](CC)NC(C)=O ZINC001279577441 809987451 /nfs/dbraw/zinc/98/74/51/809987451.db2.gz SUMWUVMDOPPEGS-QLFBSQMISA-N 0 1 291.395 0.600 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1[nH]ccc2ncc(C#N)c1-2 ZINC001165442418 802845024 /nfs/dbraw/zinc/84/50/24/802845024.db2.gz NPFZWZDNRHQTLS-NSHDSACASA-N 0 1 285.307 0.386 20 30 CCEDMN COCc1nn(-c2nnc(Cl)cc2C#N)c2c1CNC2 ZINC001165632514 802857593 /nfs/dbraw/zinc/85/75/93/802857593.db2.gz PTWSJBBCJRFCFC-UHFFFAOYSA-N 0 1 290.714 0.937 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1nc(C)c[nH]1 ZINC001028191853 812342956 /nfs/dbraw/zinc/34/29/56/812342956.db2.gz ZIPUBODOROIWES-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1ccnn1C ZINC001268232947 812392855 /nfs/dbraw/zinc/39/28/55/812392855.db2.gz NYNNEIIRIWRLAD-UHFFFAOYSA-N 0 1 278.356 0.074 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ccnc(C)n1 ZINC001268257805 812426357 /nfs/dbraw/zinc/42/63/57/812426357.db2.gz WUXCKWPBVGUZBU-UHFFFAOYSA-N 0 1 260.341 0.812 20 30 CCEDMN C#CCCCCC(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001101203229 812817811 /nfs/dbraw/zinc/81/78/11/812817811.db2.gz MHWXAEHJCMJBBQ-CJNGLKHVSA-N 0 1 291.395 0.446 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)C2CC2)C1 ZINC001205701154 803160157 /nfs/dbraw/zinc/16/01/57/803160157.db2.gz NCUJXYQLEDPXCG-DGCLKSJQSA-N 0 1 250.342 0.483 20 30 CCEDMN N#CCNCC1CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC001206319751 803229212 /nfs/dbraw/zinc/22/92/12/803229212.db2.gz MATWJPUMAWJQPG-UHFFFAOYSA-N 0 1 276.344 0.089 20 30 CCEDMN N#CCNCC1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC001206319751 803229215 /nfs/dbraw/zinc/22/92/15/803229215.db2.gz MATWJPUMAWJQPG-UHFFFAOYSA-N 0 1 276.344 0.089 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CN(CC#CCOC)C[C@H]1C ZINC001206916053 803307138 /nfs/dbraw/zinc/30/71/38/803307138.db2.gz TZLVMTWGOBXJDY-IIAWOOMASA-N 0 1 294.395 0.400 20 30 CCEDMN C#CCN1CC(O)(CNC(=O)c2ccc3cncn3c2C)C1 ZINC001271312057 814375979 /nfs/dbraw/zinc/37/59/79/814375979.db2.gz LWRQGDJLQVITKX-UHFFFAOYSA-N 0 1 298.346 0.052 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001207190373 803366826 /nfs/dbraw/zinc/36/68/26/803366826.db2.gz OFEYFVQOHHIXLG-TZMCWYRMSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(COC)CCOC2)C1 ZINC001208190305 803497023 /nfs/dbraw/zinc/49/70/23/803497023.db2.gz XODYHRCQOUHTKS-UMVBOHGHSA-N 0 1 280.368 0.109 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)CC(N)=O)C1 ZINC001208975771 803560194 /nfs/dbraw/zinc/56/01/94/803560194.db2.gz DLKTUYWMYNYDPU-KOLCDFICSA-N 0 1 287.791 0.831 20 30 CCEDMN N#Cc1ccccc1CNC[C@H](NC(=O)C(N)=O)C1CC1 ZINC001276648256 803608997 /nfs/dbraw/zinc/60/89/97/803608997.db2.gz JDGPBKZWBFTARJ-ZDUSSCGKSA-N 0 1 286.335 0.028 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nnn(C(C)(C)C)n2)[C@H]1C ZINC001088582598 814952490 /nfs/dbraw/zinc/95/24/90/814952490.db2.gz YHWUFJFZACADGU-MNOVXSKESA-N 0 1 292.387 0.807 20 30 CCEDMN CC[C@@H]1OC(C)=C(OCC(=O)N2CCOCC2)C1=O ZINC001210286242 803645576 /nfs/dbraw/zinc/64/55/76/803645576.db2.gz PIKUZTQWYDKZEB-JTQLQIEISA-N 0 1 269.297 0.471 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@](C)(NC(C)=O)C(C)C)C1 ZINC001211406841 803679017 /nfs/dbraw/zinc/67/90/17/803679017.db2.gz UXVIKJFFDPPMBN-XPKDYRNWSA-N 0 1 293.411 0.607 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1OC ZINC001213310559 803690143 /nfs/dbraw/zinc/69/01/43/803690143.db2.gz RGYRNVFMBGBMPE-MGPQQGTHSA-N 0 1 282.384 0.376 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(CCOC)C[C@H]1OC ZINC001213309428 803690500 /nfs/dbraw/zinc/69/05/00/803690500.db2.gz VOCANBNVAZQTAD-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCOC)C[C@H]1OC ZINC001213348848 803691668 /nfs/dbraw/zinc/69/16/68/803691668.db2.gz XBSFJKZQCNJUKZ-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C=CCC[N@@H+]1C[C@H]2OCCN(C(=O)COCCOC)[C@H]2C1 ZINC001217579654 803898290 /nfs/dbraw/zinc/89/82/90/803898290.db2.gz ODMVNJLIRGFODQ-UONOGXRCSA-N 0 1 298.383 0.137 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)COC)[C@H](OC)C1 ZINC001212028678 814978776 /nfs/dbraw/zinc/97/87/76/814978776.db2.gz YWRAKINMRLGKGP-YNEHKIRRSA-N 0 1 268.357 0.108 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CCn1cccn1 ZINC001276792236 804075188 /nfs/dbraw/zinc/07/51/88/804075188.db2.gz FQVJKCKPVWQZSN-CYBMUJFWSA-N 0 1 260.341 0.487 20 30 CCEDMN C#C[C@](C)(N)C(=O)Nc1ncnc2[nH]cc(C(=O)OC)c21 ZINC001218775213 804117385 /nfs/dbraw/zinc/11/73/85/804117385.db2.gz ARQFLNTYXKNAAB-ZDUSSCGKSA-N 0 1 287.279 0.034 20 30 CCEDMN N#Cc1ccc(NC(=O)[C@@H]2C[C@H]3C[NH2+]C[C@H]3N2)c([O-])c1 ZINC001218988063 804174873 /nfs/dbraw/zinc/17/48/73/804174873.db2.gz DQOPWDXFADGJLY-ZMLRMANQSA-N 0 1 272.308 0.152 20 30 CCEDMN C[S@](=O)CC[C@H]([NH3+])C(=O)Nc1ccc(C#N)cc1[O-] ZINC001218993417 804178307 /nfs/dbraw/zinc/17/83/07/804178307.db2.gz YWQLZERDGYIBIV-UGZDLDLSSA-N 0 1 281.337 0.298 20 30 CCEDMN C=CCCOCC(=O)N1CCO[C@@H]2CN(C(C)C)C[C@@H]21 ZINC001219064379 804189991 /nfs/dbraw/zinc/18/99/91/804189991.db2.gz VWPMPFSYBPFZHK-UONOGXRCSA-N 0 1 282.384 0.899 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCc3ncncc3C2)[C@H]1C ZINC001088615473 815000301 /nfs/dbraw/zinc/00/03/01/815000301.db2.gz QKRLZNJFIGJBST-IPYPFGDCSA-N 0 1 298.390 0.794 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)OCC(C)C)[C@@H](O)C1 ZINC001219289132 804258030 /nfs/dbraw/zinc/25/80/30/804258030.db2.gz INWOIRMYOPMLFJ-MJBXVCDLSA-N 0 1 282.384 0.232 20 30 CCEDMN C[S@](=O)CC[C@H](N)C(=O)Nc1ccc(F)c(CC#N)c1 ZINC001219518589 804335947 /nfs/dbraw/zinc/33/59/47/804335947.db2.gz QRRWXCVANGEVQF-YUNKPMOVSA-N 0 1 297.355 0.926 20 30 CCEDMN COCCCN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219589965 804363509 /nfs/dbraw/zinc/36/35/09/804363509.db2.gz QGDNSRROWVBUBS-OLZOCXBDSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@@H]1O ZINC001219690025 804404720 /nfs/dbraw/zinc/40/47/20/804404720.db2.gz UIAWEUJZIVMUPH-CFVMTHIKSA-N 0 1 296.411 0.951 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(C)(C)C=C)[C@@H](O)C1 ZINC001219706986 804411451 /nfs/dbraw/zinc/41/14/51/804411451.db2.gz YDLXUCDYXMRLSN-OLZOCXBDSA-N 0 1 264.369 0.773 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NC[C@H]1CCOC1 ZINC001220020706 804475122 /nfs/dbraw/zinc/47/51/22/804475122.db2.gz RWKOIKCYCXSANV-RISCZKNCSA-N 0 1 273.336 0.581 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)[C@H](N)[C@@H](C)OC(C)(C)C ZINC001220043355 804480885 /nfs/dbraw/zinc/48/08/85/804480885.db2.gz ONXLDSOODUIMII-VXGBXAGGSA-N 0 1 299.415 0.716 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CC2(C)CC2)[C@@H](O)C1 ZINC001220038898 804481146 /nfs/dbraw/zinc/48/11/46/804481146.db2.gz GZXQDKPYXKDNIK-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)[C@@H](N)c1ccccc1 ZINC001220043542 804482585 /nfs/dbraw/zinc/48/25/85/804482585.db2.gz VURVKUOVKGQPRV-ZDUSSCGKSA-N 0 1 275.352 0.885 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C(C)(C)C=C)[C@@H](O)C1 ZINC001220142920 804511689 /nfs/dbraw/zinc/51/16/89/804511689.db2.gz NAKFAXJDKISTHA-OLZOCXBDSA-N 0 1 282.384 0.563 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)[C@H](C)OC ZINC001272125209 815022228 /nfs/dbraw/zinc/02/22/28/815022228.db2.gz VZEHWCKKBICDRP-JTQLQIEISA-N 0 1 264.753 0.184 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1C[N@@H+](CCCOC)C[C@@H]1O ZINC001220239518 804545393 /nfs/dbraw/zinc/54/53/93/804545393.db2.gz IKRBDBUMPZYNBO-OLZOCXBDSA-N 0 1 270.373 0.541 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@@H]1O ZINC001220247775 804548692 /nfs/dbraw/zinc/54/86/92/804548692.db2.gz SWSPHGRRXKLOIP-MJBXVCDLSA-N 0 1 284.400 0.665 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@@H](CO)Cc1ccccc1 ZINC001220406732 804597487 /nfs/dbraw/zinc/59/74/87/804597487.db2.gz WFIWZACUUGXDKR-ZFWWWQNUSA-N 0 1 260.337 0.304 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C(C)(C)CC=C)[C@@H](O)C1 ZINC001220436070 804603230 /nfs/dbraw/zinc/60/32/30/804603230.db2.gz QCNOFUBTAKZBNP-KGLIPLIRSA-N 0 1 296.411 0.953 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H](Cc1ccccn1)C(=O)OCC ZINC001220567784 804625234 /nfs/dbraw/zinc/62/52/34/804625234.db2.gz MJKACGCQBAANEA-DOMZBBRYSA-N 0 1 289.335 0.023 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@H]2CCCOC2)[C@@H](O)C1 ZINC001220651481 804641935 /nfs/dbraw/zinc/64/19/35/804641935.db2.gz DYJAFZIOQSKRJC-KFWWJZLASA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CCOC)CCC2)[C@@H](O)C1 ZINC001220859074 804682696 /nfs/dbraw/zinc/68/26/96/804682696.db2.gz QHMJNXNAVPDOEG-KGLIPLIRSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC000316551670 804708746 /nfs/dbraw/zinc/70/87/46/804708746.db2.gz YKTZJWOBFYKPJJ-QWRGUYRKSA-N 0 1 269.345 0.799 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](C)NC(C)=O)[C@@H]2C1 ZINC001221243929 804755050 /nfs/dbraw/zinc/75/50/50/804755050.db2.gz VSDXGBPLHWFJPL-RAIGVLPGSA-N 0 1 265.357 0.230 20 30 CCEDMN C=CCCOCC(=O)N1C[C@H]2CCN(CCOC)C[C@H]21 ZINC001222072618 804860266 /nfs/dbraw/zinc/86/02/66/804860266.db2.gz UIGJRCRMYAFIHR-ZIAGYGMSSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H]2CCN(C(=O)C(C)C)C[C@@H]21 ZINC001222271377 804887685 /nfs/dbraw/zinc/88/76/85/804887685.db2.gz PIWLOICTDJDUNT-KGLIPLIRSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCCCC(=O)NCC1CCN(CC(N)=O)CC1 ZINC001222994266 804944671 /nfs/dbraw/zinc/94/46/71/804944671.db2.gz RSUMKFDXPXCJSG-UHFFFAOYSA-N 0 1 265.357 0.103 20 30 CCEDMN C#CCCCC(=O)NCC1CCN([C@@H]2CCNC2=O)CC1 ZINC001222994226 804944737 /nfs/dbraw/zinc/94/47/37/804944737.db2.gz QDZDBWIJPYXUEG-CQSZACIVSA-N 0 1 291.395 0.507 20 30 CCEDMN CC(C)(C)OC(=O)N1C[C@H](C#N)[C@@H](OCc2nn[nH]n2)C1 ZINC001223028052 804948067 /nfs/dbraw/zinc/94/80/67/804948067.db2.gz VYOBELAQWSUBGR-IUCAKERBSA-N 0 1 294.315 0.475 20 30 CCEDMN N#Cc1ccc(C(=O)N2C[C@H]3C[C@@]3(C(N)=O)C2)c(O)c1 ZINC001276865366 804955476 /nfs/dbraw/zinc/95/54/76/804955476.db2.gz XQWLPSHHLLBEQO-YMTOWFKASA-N 0 1 271.276 0.211 20 30 CCEDMN C=C1O[C@H](CC)C(=O)C1OCCN1C(=O)CCC1=O ZINC001224559631 805055487 /nfs/dbraw/zinc/05/54/87/805055487.db2.gz LWPBWMBWDVHPBB-SECBINFHSA-N 0 1 267.281 0.761 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)c2cnon2)CC1 ZINC001224895704 805090427 /nfs/dbraw/zinc/09/04/27/805090427.db2.gz YYEGLXHUMOUUQK-UHFFFAOYSA-N 0 1 292.339 0.161 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(=O)CSC)CC1 ZINC001225953228 805179847 /nfs/dbraw/zinc/17/98/47/805179847.db2.gz ABBKRFNDZPWPTP-NSHDSACASA-N 0 1 299.440 0.621 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCn3cncc3C2)[C@H]1C ZINC001088674225 815054936 /nfs/dbraw/zinc/05/49/36/815054936.db2.gz DAECHPKKBBRYBN-IPYPFGDCSA-N 0 1 286.379 0.658 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCC1([NH2+]Cc2n[nH]c(=O)[n-]2)CC1 ZINC001277132596 805239739 /nfs/dbraw/zinc/23/97/39/805239739.db2.gz FQJXWZNRKVGKGB-UHFFFAOYSA-N 0 1 293.371 0.851 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NCc1n[nH]c(C2CC2)n1 ZINC001226866480 805288666 /nfs/dbraw/zinc/28/86/66/805288666.db2.gz JHHFPFAJPUDWPI-VIFPVBQESA-N 0 1 265.317 0.682 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H]2CCCCO2)CC1 ZINC001226883274 805290143 /nfs/dbraw/zinc/29/01/43/805290143.db2.gz CZJFPJKLCUOIDF-HNNXBMFYSA-N 0 1 294.395 0.786 20 30 CCEDMN C=C[C@H](Oc1[nH]c(=O)nc2cnccc21)C(=O)OC ZINC001226975891 805300878 /nfs/dbraw/zinc/30/08/78/805300878.db2.gz DIBPNSBDHOOSLB-VIFPVBQESA-N 0 1 261.237 0.425 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)[C@@H]2C[C@H]2C2CC2)CC1 ZINC001277195601 805340805 /nfs/dbraw/zinc/34/08/05/805340805.db2.gz SBXJTUISTVZBCO-QWHCGFSZSA-N 0 1 289.379 0.020 20 30 CCEDMN C=CCCN1CCC(NC(=O)[C@@H](C)S(C)(=O)=O)CC1 ZINC001228023646 805408173 /nfs/dbraw/zinc/40/81/73/805408173.db2.gz OAZAYRBISCIWIB-LLVKDONJSA-N 0 1 288.413 0.576 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](COC)OC)CC1 ZINC001229103334 805503823 /nfs/dbraw/zinc/50/38/23/805503823.db2.gz ZGVCTWXMBNDODP-CYBMUJFWSA-N 0 1 268.357 0.252 20 30 CCEDMN C=CCOC[C@H](O)C[N@@H+]1CC[C@@](N)(C(F)(F)F)C1 ZINC001246032050 807127078 /nfs/dbraw/zinc/12/70/78/807127078.db2.gz RPYNOBXQFVFWPV-ZJUUUORDSA-N 0 1 268.279 0.515 20 30 CCEDMN C=CCOC[C@H](O)CN1CC[C@@](N)(C(F)(F)F)C1 ZINC001246032050 807127082 /nfs/dbraw/zinc/12/70/82/807127082.db2.gz RPYNOBXQFVFWPV-ZJUUUORDSA-N 0 1 268.279 0.515 20 30 CCEDMN CN(C(=O)[C@H]1CCCc2c[nH]nc21)C1CN(CC#N)C1 ZINC001042723439 815092040 /nfs/dbraw/zinc/09/20/40/815092040.db2.gz IRFQTFQQRSQTKW-LBPRGKRZSA-N 0 1 273.340 0.496 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cc(C)nn2C)[C@H]1C ZINC001088744172 815124032 /nfs/dbraw/zinc/12/40/32/815124032.db2.gz JSWPAQNDUUDZTP-OCCSQVGLSA-N 0 1 274.368 0.483 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)C(N)=O)[C@H]1C ZINC001278511459 807535807 /nfs/dbraw/zinc/53/58/07/807535807.db2.gz VFZIDECAVFARKL-GHMZBOCLSA-N 0 1 267.373 0.653 20 30 CCEDMN CN(Cc1cc2ccc(C#N)cc2[nH]1)C[C@H](O)CO ZINC001249428032 807555696 /nfs/dbraw/zinc/55/56/96/807555696.db2.gz ZRKZEBDBVYZBSM-ZDUSSCGKSA-N 0 1 259.309 0.825 20 30 CCEDMN CC(C)(C)C#CC(=O)NCC1(C)CCN(CC(N)=O)CC1 ZINC001278608057 807620427 /nfs/dbraw/zinc/62/04/27/807620427.db2.gz UYASFQNTQWTAJH-UHFFFAOYSA-N 0 1 293.411 0.740 20 30 CCEDMN C=CCn1cnc2c1ncnc2NS(=O)(=O)N(C)C ZINC001251055696 807657801 /nfs/dbraw/zinc/65/78/01/807657801.db2.gz HALMWCPVCDLHNY-UHFFFAOYSA-N 0 1 282.329 0.231 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCc1n[nH]c(CC)n1 ZINC001251164755 807670853 /nfs/dbraw/zinc/67/08/53/807670853.db2.gz IZHWELLXPRLCAF-BDAKNGLRSA-N 0 1 265.317 0.510 20 30 CCEDMN CC(C)(C)OC(=O)N1CC[C@@](O)(CNCCC#N)C1 ZINC001251365934 807680230 /nfs/dbraw/zinc/68/02/30/807680230.db2.gz SQNSCVBGESJVLU-CYBMUJFWSA-N 0 1 269.345 0.862 20 30 CCEDMN C=C1CN(C(=O)N[C@H](C)[C@@H]2CN(C)CCN2C)C1 ZINC001251640182 807692039 /nfs/dbraw/zinc/69/20/39/807692039.db2.gz NEOLOVXDPXUNSY-NEPJUHHUSA-N 0 1 252.362 0.202 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCn2cccc2C1 ZINC001251707632 807695497 /nfs/dbraw/zinc/69/54/97/807695497.db2.gz BADRFAGOGCBBKP-UHFFFAOYSA-N 0 1 260.341 0.578 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCCC[C@H]1CCO ZINC001251707814 807696364 /nfs/dbraw/zinc/69/63/64/807696364.db2.gz GVXWXAOPACCDDO-ZDUSSCGKSA-N 0 1 267.373 0.498 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(c2ncccc2C)CC1 ZINC001251821467 807709092 /nfs/dbraw/zinc/70/90/92/807709092.db2.gz PPGQCNSGCXSDDC-HNNXBMFYSA-N 0 1 289.379 0.523 20 30 CCEDMN C#CCOC[C@H](O)CNc1nc2cc(OC)ccc2[nH]1 ZINC001251822874 807710109 /nfs/dbraw/zinc/71/01/09/807710109.db2.gz BGCLEUVCDYWIKP-SNVBAGLBSA-N 0 1 275.308 0.994 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(F)cnc1Cl ZINC001251896128 807739946 /nfs/dbraw/zinc/73/99/46/807739946.db2.gz BBLZDXSLAAPHJB-LLVKDONJSA-N 0 1 272.707 0.974 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(OCC(C)C)ncn1 ZINC001251899757 807741146 /nfs/dbraw/zinc/74/11/46/807741146.db2.gz BAQKMZJHQUHRQE-AWEZNQCLSA-N 0 1 293.367 0.612 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ccnc(OC(C)C)n1 ZINC001251900240 807742793 /nfs/dbraw/zinc/74/27/93/807742793.db2.gz MCQGNXPAGZAIFA-ZDUSSCGKSA-N 0 1 279.340 0.364 20 30 CCEDMN N#C[C@@H]1CN(C[C@H](O)COc2ccc(F)cc2)CCC1=O ZINC001251925065 807746018 /nfs/dbraw/zinc/74/60/18/807746018.db2.gz HOPUZCKVACEDFP-YPMHNXCESA-N 0 1 292.310 0.980 20 30 CCEDMN C=C[C@](C)(O)CN1CCCn2cnc(COCCO)c2C1 ZINC001252550741 807907445 /nfs/dbraw/zinc/90/74/45/807907445.db2.gz PZRUYOLFSLSBEF-HNNXBMFYSA-N 0 1 295.383 0.535 20 30 CCEDMN C=C[C@@](C)(O)CN[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC001252571631 807912922 /nfs/dbraw/zinc/91/29/22/807912922.db2.gz IUIZCYDQFBGFQC-CMPLNLGQSA-N 0 1 253.302 0.020 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001278673434 807914773 /nfs/dbraw/zinc/91/47/73/807914773.db2.gz SGMIFNRRVHXISZ-XJKSGUPXSA-N 0 1 291.395 0.316 20 30 CCEDMN C=CCC[C@H](O)CN1CC(N(C)[C@H]2CCOC2)C1 ZINC001252603002 807918732 /nfs/dbraw/zinc/91/87/32/807918732.db2.gz JGPHWFCRKOKFAO-JSGCOSHPSA-N 0 1 254.374 0.718 20 30 CCEDMN C=CCC[C@@H](O)CN1CC2(C1)CCOC[C@@H]2C(=O)OC ZINC001252603467 807918783 /nfs/dbraw/zinc/91/87/83/807918783.db2.gz XEWXCAKEIIDFLK-CHWSQXEVSA-N 0 1 283.368 0.825 20 30 CCEDMN C=CCCCCCC[C@H](O)CN[C@@H](CO)C(N)=O ZINC001253250878 808041180 /nfs/dbraw/zinc/04/11/80/808041180.db2.gz DWGVFVNZYPIGDG-RYUDHWBXSA-N 0 1 258.362 0.310 20 30 CCEDMN C=C[C@@H](O)CN1C[C@@H](NC(=O)C2CCC2)[C@H]2COC[C@H]21 ZINC001253577225 808085275 /nfs/dbraw/zinc/08/52/75/808085275.db2.gz LFAJJGORDWQADR-AAVRWANBSA-N 0 1 280.368 0.149 20 30 CCEDMN C=C[C@H](O)CN1Cc2cn(C)nc2[C@@H](COC)C1 ZINC001253577750 808086378 /nfs/dbraw/zinc/08/63/78/808086378.db2.gz YQNBXFCCCOQTQB-NEPJUHHUSA-N 0 1 251.330 0.513 20 30 CCEDMN C=C[C@@H](O)CN1Cc2ccnn2C[C@@H](COC)C1 ZINC001253580962 808087323 /nfs/dbraw/zinc/08/73/23/808087323.db2.gz FQQMVFUJIMZJDI-WCQYABFASA-N 0 1 251.330 0.508 20 30 CCEDMN C=C[C@@H](O)CN1C[C@@H](C(=O)OCC)Cn2nccc2[C@@H]1C ZINC001253588232 808092275 /nfs/dbraw/zinc/09/22/75/808092275.db2.gz ULCBZICNAOKUKC-YNEHKIRRSA-N 0 1 293.367 0.986 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)CC[C@H]2CCCO2)C1 ZINC001278760254 808170763 /nfs/dbraw/zinc/17/07/63/808170763.db2.gz KAZXWLHQBXVJTH-GDBMZVCRSA-N 0 1 294.395 0.522 20 30 CCEDMN COC(=O)C1(N[C@H]2CC[C@@H](C#N)C2)CCN(C)CC1 ZINC001254644659 808268860 /nfs/dbraw/zinc/26/88/60/808268860.db2.gz UNKLDCRIZUPNNM-NEPJUHHUSA-N 0 1 265.357 0.906 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C(C)(F)F ZINC001278844993 808321434 /nfs/dbraw/zinc/32/14/34/808321434.db2.gz QEOJNUKMZNECPH-JTQLQIEISA-N 0 1 262.300 0.416 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)C1=CCCC1 ZINC001278855135 808337499 /nfs/dbraw/zinc/33/74/99/808337499.db2.gz NBAWJKRPOKUBFS-HNNXBMFYSA-N 0 1 294.395 0.498 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)C[C@@H](O)CN(C)CC#CC ZINC001278855738 808337659 /nfs/dbraw/zinc/33/76/59/808337659.db2.gz UHEQVXIHAHHDHF-ZFWWWQNUSA-N 0 1 282.384 0.088 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@H](C)CC ZINC001278855481 808339211 /nfs/dbraw/zinc/33/92/11/808339211.db2.gz QSPIUGRDSBEAQZ-CHWSQXEVSA-N 0 1 254.374 0.807 20 30 CCEDMN COc1ccc(C(=NO)N[C@@H]2CCCN(C)C2)nc1 ZINC001255471670 808379564 /nfs/dbraw/zinc/37/95/64/808379564.db2.gz COUCOLLJMKUIML-SNVBAGLBSA-N 0 1 264.329 0.910 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1conc1C1CC1 ZINC001278874107 808412358 /nfs/dbraw/zinc/41/23/58/808412358.db2.gz TWNYIWKTQNMAPA-LBPRGKRZSA-N 0 1 291.351 0.550 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CCn1cccc1 ZINC001278878909 808420632 /nfs/dbraw/zinc/42/06/32/808420632.db2.gz WRMFOUFJECBWCX-AWEZNQCLSA-N 0 1 277.368 0.263 20 30 CCEDMN CC#CC[N@@H+](C)CCN(C(=O)[C@H](C)NC(N)=O)C(C)C ZINC001278904034 808445808 /nfs/dbraw/zinc/44/58/08/808445808.db2.gz FUHFHVJOMSFYTM-LBPRGKRZSA-N 0 1 282.388 0.235 20 30 CCEDMN CC#CCN(C)CCN(C(=O)[C@H](C)NC(N)=O)C(C)C ZINC001278904034 808445812 /nfs/dbraw/zinc/44/58/12/808445812.db2.gz FUHFHVJOMSFYTM-LBPRGKRZSA-N 0 1 282.388 0.235 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccoc2CC(N)=O)[C@H]1C ZINC001088821272 815209454 /nfs/dbraw/zinc/20/94/54/815209454.db2.gz LFIQQSNYQOFYHA-PWSUYJOCSA-N 0 1 291.351 0.686 20 30 CCEDMN C[C@@H](CC(=O)N1CCOCC1)N1CC(CC#N)C1 ZINC001256335540 808501137 /nfs/dbraw/zinc/50/11/37/808501137.db2.gz PSHDYKHHMCHAAX-NSHDSACASA-N 0 1 251.330 0.469 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC[C@](C)(O)CC1 ZINC001256585982 808537596 /nfs/dbraw/zinc/53/75/96/808537596.db2.gz ZGBXGFNEKZNWSA-AWEZNQCLSA-N 0 1 267.373 0.498 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC3(CN(C(=O)CC)C3)C2)C1=O ZINC001258425633 808684097 /nfs/dbraw/zinc/68/40/97/808684097.db2.gz LXJGXWYOEWIMCT-CYBMUJFWSA-N 0 1 291.395 0.718 20 30 CCEDMN COC(=O)CS(=O)(=O)NC1=CC(=O)CC(C)(C)C1 ZINC001259029708 808726408 /nfs/dbraw/zinc/72/64/08/808726408.db2.gz CJGQKMPHZMHAFC-UHFFFAOYSA-N 0 1 275.326 0.352 20 30 CCEDMN C=CCS(=O)(=O)Nc1nc[nH]c(=O)c1Br ZINC001259923201 808805370 /nfs/dbraw/zinc/80/53/70/808805370.db2.gz XXWRRLZSNSFTGI-UHFFFAOYSA-N 0 1 294.130 0.872 20 30 CCEDMN C[C@@H](O)c1ncc(CNCCn2cnc(C#N)n2)s1 ZINC001261182800 808921568 /nfs/dbraw/zinc/92/15/68/808921568.db2.gz YTKOUKBIPOORPA-MRVPVSSYSA-N 0 1 278.341 0.449 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3n[nH]cc3F)[C@@H]2C1 ZINC001076215128 815259964 /nfs/dbraw/zinc/25/99/64/815259964.db2.gz QYFXPYTUKMAUKZ-GXSJLCMTSA-N 0 1 264.304 0.881 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)N[C@H]2CCN(C3CC3)C2)C1 ZINC001261943802 809136255 /nfs/dbraw/zinc/13/62/55/809136255.db2.gz GOIMLKIFVVZNEI-STQMWFEESA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ccc(=O)[nH]n2)CC1 ZINC001262194256 809235204 /nfs/dbraw/zinc/23/52/04/809235204.db2.gz LTZSACUFCJKVGR-UHFFFAOYSA-N 0 1 289.339 0.079 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001076304122 815273975 /nfs/dbraw/zinc/27/39/75/815273975.db2.gz XWHGAYAJQNWKIC-JTQLQIEISA-N 0 1 277.328 0.233 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc4nncn4c3)[C@@H]2C1 ZINC001076358625 815283152 /nfs/dbraw/zinc/28/31/52/815283152.db2.gz LIDGMSYUQBKWQG-GXTWGEPZSA-N 0 1 295.346 0.509 20 30 CCEDMN C#CCNCC(=O)NCCOc1cncc(Cl)c1 ZINC001263171075 809469114 /nfs/dbraw/zinc/46/91/14/809469114.db2.gz GOTONNYTQXAYGR-UHFFFAOYSA-N 0 1 267.716 0.453 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CCCCC(N)=O ZINC001263294259 809504582 /nfs/dbraw/zinc/50/45/82/809504582.db2.gz QFYSIPKMAZHNAZ-VIFPVBQESA-N 0 1 253.302 0.266 20 30 CCEDMN COC(=O)[C@H]1C[C@@H](C(=O)C(C#N)C(=O)NC(C)C)C1 ZINC001263308119 809506432 /nfs/dbraw/zinc/50/64/32/809506432.db2.gz VPXYMPKXKGUTLT-KXUCPTDWSA-N 0 1 266.297 0.419 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)Cc3cncn3C)[C@@H]2C1 ZINC001076462084 815301077 /nfs/dbraw/zinc/30/10/77/815301077.db2.gz HNBIALOEXNQNMH-GXTWGEPZSA-N 0 1 272.352 0.129 20 30 CCEDMN C#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CC(N)=O)C1 ZINC001263883586 809597902 /nfs/dbraw/zinc/59/79/02/809597902.db2.gz SASFIXSSWYENJO-UONOGXRCSA-N 0 1 285.347 0.079 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)NC(=O)CC)[C@H]1CC ZINC001264053487 809625094 /nfs/dbraw/zinc/62/50/94/809625094.db2.gz YOUMRPCZJCRXSF-HZSPNIEDSA-N 0 1 293.411 0.894 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C[C@H]2CCCO2)C1 ZINC001264072725 809627048 /nfs/dbraw/zinc/62/70/48/809627048.db2.gz CZJNLZMNNYZWCO-LSDHHAIUSA-N 0 1 294.395 0.474 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001264078067 809628410 /nfs/dbraw/zinc/62/84/10/809628410.db2.gz BRFCFFPXCHBBDD-FXUDXRNXSA-N 0 1 290.407 0.951 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](NC(C)=O)C(C)(C)C)[C@H]1C ZINC001264178067 809636696 /nfs/dbraw/zinc/63/66/96/809636696.db2.gz QNTYARAVSFHBAM-KWCYVHTRSA-N 0 1 293.411 0.749 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)COCC1CC1 ZINC001264360500 809643580 /nfs/dbraw/zinc/64/35/80/809643580.db2.gz FWEWXTLQGBVBAX-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN Cn1cnnc1CN[C@H]1C[C@@H](CNC(=O)C#CC2CC2)C1 ZINC001264391006 809649895 /nfs/dbraw/zinc/64/98/95/809649895.db2.gz LCNYHXRPXUWHPQ-BETUJISGSA-N 0 1 287.367 0.213 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCCN1C(=O)CCc1c[nH]nn1 ZINC001264742828 809676665 /nfs/dbraw/zinc/67/66/65/809676665.db2.gz JBEDPFVLJQLGGO-ZDUSSCGKSA-N 0 1 290.371 0.574 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCCN1C(=O)CCc1cnn[nH]1 ZINC001264742828 809676669 /nfs/dbraw/zinc/67/66/69/809676669.db2.gz JBEDPFVLJQLGGO-ZDUSSCGKSA-N 0 1 290.371 0.574 20 30 CCEDMN CCCNC(=O)[C@@H](C)N(C)CCCNC(=O)C#CC1CC1 ZINC001264984829 809687336 /nfs/dbraw/zinc/68/73/36/809687336.db2.gz BVWJYSNOVMAJHN-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN CN(CC#N)CCCNC(=O)c1nnc2ccccc2c1O ZINC001265041480 809698345 /nfs/dbraw/zinc/69/83/45/809698345.db2.gz ANUPIMLBNXIYHV-UHFFFAOYSA-N 0 1 299.334 0.911 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)C2=COCCO2)C1 ZINC001265200845 809722668 /nfs/dbraw/zinc/72/26/68/809722668.db2.gz AJVMITCQIOPBMW-CYBMUJFWSA-N 0 1 296.367 0.658 20 30 CCEDMN CCC(=O)N1CCC[C@H](CN(C)CC(=O)NCC#N)C1 ZINC001265382577 809768688 /nfs/dbraw/zinc/76/86/88/809768688.db2.gz QRKJMEDGHVFFOZ-GFCCVEGCSA-N 0 1 280.372 0.207 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C1(F)CCCC1 ZINC001265879954 809882709 /nfs/dbraw/zinc/88/27/09/809882709.db2.gz BFANDSZKCSOSHT-UHFFFAOYSA-N 0 1 297.374 0.456 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C1(C)CCC1 ZINC001265904401 809891127 /nfs/dbraw/zinc/89/11/27/809891127.db2.gz DZDPJKSGJMSQGY-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN1CC=C(CNC(=O)Cn2nc(C)cc2C)CC1 ZINC001279600005 809988816 /nfs/dbraw/zinc/98/88/16/809988816.db2.gz IWELVASWHZSCRL-UHFFFAOYSA-N 0 1 286.379 0.881 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2CCOCC2)C1 ZINC001076675388 815340982 /nfs/dbraw/zinc/34/09/82/815340982.db2.gz YXCKNDDSMGLDCQ-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@H]2CCOC2)C1 ZINC001076823625 815384460 /nfs/dbraw/zinc/38/44/60/815384460.db2.gz HUEMPYJGCVSMHI-JHJVBQTASA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2=CCOCC2)C1 ZINC001076917896 815410860 /nfs/dbraw/zinc/41/08/60/815410860.db2.gz BGGBLKKKCPFAIN-CHWSQXEVSA-N 0 1 266.341 0.071 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2coc(C)n2)C1 ZINC001076922651 815414457 /nfs/dbraw/zinc/41/44/57/815414457.db2.gz HNFDQBMOAMZREG-ZYHUDNBSSA-N 0 1 265.313 0.334 20 30 CCEDMN CC(C)(O)CN1CCN(Cc2cncc(C#N)c2)CC1 ZINC000721556243 811099343 /nfs/dbraw/zinc/09/93/43/811099343.db2.gz JXZZBSUFIBIRSB-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN(CC(=O)NC)C1 ZINC001267288255 811102288 /nfs/dbraw/zinc/10/22/88/811102288.db2.gz PHHOHZJQJBSPCQ-LLVKDONJSA-N 0 1 253.346 0.137 20 30 CCEDMN C[C@H](CNc1ccncc1C#N)N(C)C(=O)c1ccn[nH]1 ZINC001104479626 811117620 /nfs/dbraw/zinc/11/76/20/811117620.db2.gz ONWMJXKOOXRBRZ-SNVBAGLBSA-N 0 1 284.323 0.671 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN(CCC)[C@H]1CCNC1=O ZINC001267312594 811138541 /nfs/dbraw/zinc/13/85/41/811138541.db2.gz HCSOIEMEJRSBTD-WFASDCNBSA-N 0 1 297.399 0.030 20 30 CCEDMN CC#CCN(CCNC(=O)c1nc(C)c[nH]1)C1CC1 ZINC001267333802 811169668 /nfs/dbraw/zinc/16/96/68/811169668.db2.gz NDWOTOLUVCYSPV-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN CC#CCN[C@@H](CNC(=O)C1(C(N)=O)CC1)c1ccccc1 ZINC001267369959 811227280 /nfs/dbraw/zinc/22/72/80/811227280.db2.gz KKWIJMQFODBIAV-AWEZNQCLSA-N 0 1 299.374 0.722 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](CNCc2cnon2)C1 ZINC001023895257 811278850 /nfs/dbraw/zinc/27/88/50/811278850.db2.gz MMBQXJRLIONXHI-WDEREUQCSA-N 0 1 277.328 0.557 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)nc(C)c2)C1 ZINC001077063357 815440456 /nfs/dbraw/zinc/44/04/56/815440456.db2.gz JGPQAZTXHPDKKU-HUUCEWRRSA-N 0 1 287.363 0.497 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)C[C@@H]2CCOC2)CC1 ZINC001267573771 811465762 /nfs/dbraw/zinc/46/57/62/811465762.db2.gz LMNSKQSOVFGISQ-HNNXBMFYSA-N 0 1 295.427 0.675 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)C2=COCCO2)CC1 ZINC001267574104 811465986 /nfs/dbraw/zinc/46/59/86/811465986.db2.gz VRXFMGHDYLQRQA-UHFFFAOYSA-N 0 1 295.383 0.137 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@H](C)COC)CC1 ZINC001267573935 811466426 /nfs/dbraw/zinc/46/64/26/811466426.db2.gz POLXKVOYMKUUIW-CQSZACIVSA-N 0 1 283.416 0.531 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2c[nH]c(C)n2)CC1 ZINC001267606256 811546408 /nfs/dbraw/zinc/54/64/08/811546408.db2.gz RRPPVRHNSUWZJC-UHFFFAOYSA-N 0 1 291.399 0.594 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](NCc2cnnn2C)C1 ZINC001019238026 811563515 /nfs/dbraw/zinc/56/35/15/811563515.db2.gz JBZLYIICWITUHX-NSHDSACASA-N 0 1 277.372 0.718 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)Cn2cc(C)cn2)C1 ZINC001267676533 811641329 /nfs/dbraw/zinc/64/13/29/811641329.db2.gz MFWPIJHSVGWRTN-UHFFFAOYSA-N 0 1 262.357 0.816 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)COCC)C[C@H]1C(F)(F)F ZINC001112038815 811646088 /nfs/dbraw/zinc/64/60/88/811646088.db2.gz RZZGODXTSOLVQQ-NXEZZACHSA-N 0 1 278.274 0.635 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001267689403 811655297 /nfs/dbraw/zinc/65/52/97/811655297.db2.gz JRVZKZIBAGBAEI-OAHLLOKOSA-N 0 1 279.384 0.527 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCOCCOC ZINC001267716051 811677773 /nfs/dbraw/zinc/67/77/73/811677773.db2.gz FUTUXBWWWZFVTQ-KBPBESRZSA-N 0 1 280.368 0.348 20 30 CCEDMN CC(C)C#CC(=O)N(C)[C@@H]1CCN(CCn2cncn2)C1 ZINC001267763427 811760333 /nfs/dbraw/zinc/76/03/33/811760333.db2.gz XDBVVGUDRNUQSW-CQSZACIVSA-N 0 1 289.383 0.470 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC[C@@H]1CC[N@@H+]1CC(=C)C ZINC001038369933 811838994 /nfs/dbraw/zinc/83/89/94/811838994.db2.gz YAWDNNSPWOFYBY-GJZGRUSLSA-N 0 1 275.396 0.851 20 30 CCEDMN C#CCCCC(=O)N1CC(n2cc(CNC(C)C)nn2)C1 ZINC001105227221 811868037 /nfs/dbraw/zinc/86/80/37/811868037.db2.gz BJYGEYNCXPZTJN-UHFFFAOYSA-N 0 1 289.383 0.963 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCC[C@@H]1CNCC#N ZINC001267958396 811894350 /nfs/dbraw/zinc/89/43/50/811894350.db2.gz KWLSLTRHPMXIEM-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN COc1cccc(CNCCNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001125987842 811968902 /nfs/dbraw/zinc/96/89/02/811968902.db2.gz FLDGOWYRMHRXNV-UHFFFAOYSA-N 0 1 299.334 0.810 20 30 CCEDMN N#Cc1cc(C(=O)NCCNCc2ccccn2)c[nH]1 ZINC001125987912 811969082 /nfs/dbraw/zinc/96/90/82/811969082.db2.gz HRVIHGGVNKLNTJ-UHFFFAOYSA-N 0 1 269.308 0.801 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)c2ccn(C)n2)C1 ZINC001268028722 812018990 /nfs/dbraw/zinc/01/89/90/812018990.db2.gz LZESZJXQADGPAI-ZDUSSCGKSA-N 0 1 292.383 0.817 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cncnc3C)[C@@H]2C1 ZINC001075582631 812094491 /nfs/dbraw/zinc/09/44/91/812094491.db2.gz FEPMAQLIEYWBPQ-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1nn(C)cc1C ZINC001027878108 812113409 /nfs/dbraw/zinc/11/34/09/812113409.db2.gz SQMZBOGJAGSKQJ-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)c1cncc2nc[nH]c21 ZINC001027899308 812128166 /nfs/dbraw/zinc/12/81/66/812128166.db2.gz GLFLJUSYWODCQY-JTQLQIEISA-N 0 1 284.323 0.676 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cccn2nnnc12 ZINC001027905361 812131109 /nfs/dbraw/zinc/13/11/09/812131109.db2.gz XFJGEWUFWOCICN-NSHDSACASA-N 0 1 286.339 0.505 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccnn1CCOC ZINC001027957099 812167279 /nfs/dbraw/zinc/16/72/79/812167279.db2.gz QQVKQHMZRJJYBI-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](Nc3ccncc3C#N)C2)n[nH]1 ZINC001058851237 812195225 /nfs/dbraw/zinc/19/52/25/812195225.db2.gz VGHLLHZXKRWYIO-LBPRGKRZSA-N 0 1 296.334 0.733 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cc(C)n(C)n3)[C@@H]2C1 ZINC001075593289 812195778 /nfs/dbraw/zinc/19/57/78/812195778.db2.gz BYFLWGMLBXYAIE-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN N#CCCNS(=O)(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000336786673 812199097 /nfs/dbraw/zinc/19/90/97/812199097.db2.gz CLPLZYYUWLTVIE-UHFFFAOYSA-N 0 1 273.245 0.926 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)o1 ZINC001028014503 812216492 /nfs/dbraw/zinc/21/64/92/812216492.db2.gz HBAMBZDFXCQITB-NSHDSACASA-N 0 1 289.335 0.596 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1csnn1 ZINC001028052680 812235233 /nfs/dbraw/zinc/23/52/33/812235233.db2.gz BYULTYYPGUWFBM-SECBINFHSA-N 0 1 252.343 0.918 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001028074564 812250545 /nfs/dbraw/zinc/25/05/45/812250545.db2.gz JCLKXPLMWYTHMV-NSHDSACASA-N 0 1 276.340 0.343 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1c(C)cnn1C ZINC001028077485 812256910 /nfs/dbraw/zinc/25/69/10/812256910.db2.gz RIWDIJNHQGXTLR-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnc(C)n1C ZINC001028098005 812275393 /nfs/dbraw/zinc/27/53/93/812275393.db2.gz JKUZGRCLGKJEIR-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCCCN(C)C1=O ZINC001038917251 812340450 /nfs/dbraw/zinc/34/04/50/812340450.db2.gz BOBVLYHFZYNPSL-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](CC)OC)[C@@H]2C1 ZINC001075607472 812341528 /nfs/dbraw/zinc/34/15/28/812341528.db2.gz BWPUZODSSZUCFO-BFHYXJOUSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cn[nH]c(=O)c1 ZINC001268241184 812406321 /nfs/dbraw/zinc/40/63/21/812406321.db2.gz KUSNXQIPQDTUKG-UHFFFAOYSA-N 0 1 262.313 0.209 20 30 CCEDMN CC#CC[N@@H+](C)CCN(C)C(=O)[C@H]1CCC[NH+]1C ZINC001268246273 812411819 /nfs/dbraw/zinc/41/18/19/812411819.db2.gz XMXPPMWBONLBSS-CYBMUJFWSA-N 0 1 251.374 0.494 20 30 CCEDMN CCc1nc[nH]c1C(=O)N(C)CCN(C)CC#CCOC ZINC001268256917 812424215 /nfs/dbraw/zinc/42/42/15/812424215.db2.gz YQXASKSEOJZTQN-UHFFFAOYSA-N 0 1 292.383 0.626 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@H]1CC1(C)C ZINC001268306044 812469429 /nfs/dbraw/zinc/46/94/29/812469429.db2.gz YPCFFHAUJSSPDE-VHSXEESVSA-N 0 1 260.765 0.852 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c2c(nn1C)CCC2 ZINC001028256251 812514219 /nfs/dbraw/zinc/51/42/19/812514219.db2.gz YSDFZCMWYGPBKA-LBPRGKRZSA-N 0 1 286.379 0.736 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001028255937 812514686 /nfs/dbraw/zinc/51/46/86/812514686.db2.gz SWMKIKXHRCMOPR-TZMCWYRMSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCCN(C)C1=O ZINC001028257604 812517606 /nfs/dbraw/zinc/51/76/06/812517606.db2.gz DSRUQVLCFUZOCQ-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H](CCNCC#N)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001268365925 812523498 /nfs/dbraw/zinc/52/34/98/812523498.db2.gz LPWJLFGUWDJYDL-QWRGUYRKSA-N 0 1 275.356 0.838 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cn(CC)cn1 ZINC001028267429 812532788 /nfs/dbraw/zinc/53/27/88/812532788.db2.gz JRIDKXWCTXYDRL-LBPRGKRZSA-N 0 1 260.341 0.730 20 30 CCEDMN C=CCOCCN1CC(NC(=O)[C@@H]2CCCCN2C)C1 ZINC001268438078 812567907 /nfs/dbraw/zinc/56/79/07/812567907.db2.gz PNOVYXDBQQQDHH-AWEZNQCLSA-N 0 1 281.400 0.474 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnn(CCOC)c1 ZINC001028291990 812591121 /nfs/dbraw/zinc/59/11/21/812591121.db2.gz KXTUQDJXFNUXLS-AWEZNQCLSA-N 0 1 290.367 0.357 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)[C@H]1CN(C(C)=O)CCO1 ZINC001028294532 812593759 /nfs/dbraw/zinc/59/37/59/812593759.db2.gz DZLVZIYNFYHJRB-ZIAGYGMSSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cccnc1C(N)=O ZINC001028330305 812624938 /nfs/dbraw/zinc/62/49/38/812624938.db2.gz FDHPIJRGHXRBFT-LLVKDONJSA-N 0 1 288.351 0.561 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cccc(OC)n1 ZINC001126360350 812632675 /nfs/dbraw/zinc/63/26/75/812632675.db2.gz LSSVQPYQXLBQKM-HNNXBMFYSA-N 0 1 293.367 0.623 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)c1cccs1 ZINC001268507155 812730927 /nfs/dbraw/zinc/73/09/27/812730927.db2.gz NRPORNBUNJGMKB-ARJAWSKDSA-N 0 1 291.376 0.373 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cnnn1CC ZINC001268533788 812778645 /nfs/dbraw/zinc/77/86/45/812778645.db2.gz SCULTKDWSLSULN-PLNGDYQASA-N 0 1 283.763 0.926 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001268550389 812792167 /nfs/dbraw/zinc/79/21/67/812792167.db2.gz XUPUPKVXDNHQGM-QMAVJUDZSA-N 0 1 299.802 0.869 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN[C@@H](C)c1n[nH]c(CC)n1 ZINC001126377788 812808440 /nfs/dbraw/zinc/80/84/40/812808440.db2.gz FULAHXKIWJTSBY-IINYFYTJSA-N 0 1 295.387 0.461 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001028556308 812835338 /nfs/dbraw/zinc/83/53/38/812835338.db2.gz UVQYDKYAKNEBKK-JTQLQIEISA-N 0 1 284.323 0.533 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)[C@H]1CCC(=O)N1 ZINC001268592619 812882211 /nfs/dbraw/zinc/88/22/11/812882211.db2.gz IKCJTKHMYJUPHQ-OPVGQWETSA-N 0 1 299.802 0.916 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2cc(C)nn2C)[C@@H](O)C1 ZINC001083358955 812909202 /nfs/dbraw/zinc/90/92/02/812909202.db2.gz IVEIWEQSMGXRKZ-YPMHNXCESA-N 0 1 278.356 0.080 20 30 CCEDMN C#CC[NH2+]C[C@@H](C)NC(=O)c1[n-]cnc1C(F)(F)F ZINC001268649940 812976353 /nfs/dbraw/zinc/97/63/53/812976353.db2.gz VXELTZSSSYHCAZ-SSDOTTSWSA-N 0 1 274.246 0.770 20 30 CCEDMN N#CCc1cc(N[C@@H]2CCCN(C3COC3)C2)ccn1 ZINC001168373448 815571680 /nfs/dbraw/zinc/57/16/80/815571680.db2.gz BDWDDWIBUFRXIR-CQSZACIVSA-N 0 1 272.352 0.845 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)C[C@@H](C)OC ZINC001268725891 813043100 /nfs/dbraw/zinc/04/31/00/813043100.db2.gz VTXZWGWYFWKPLH-QWHCGFSZSA-N 0 1 270.373 0.498 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)CC[C@H](C)OC ZINC001268727875 813045280 /nfs/dbraw/zinc/04/52/80/813045280.db2.gz SUPOKYREEHOKPH-KBPBESRZSA-N 0 1 284.400 0.888 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cnn(CCF)c1 ZINC001268735098 813048531 /nfs/dbraw/zinc/04/85/31/813048531.db2.gz DVJAAJUJVXIRQR-LBPRGKRZSA-N 0 1 280.347 0.926 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1c(C)ncn1C ZINC001268735429 813055963 /nfs/dbraw/zinc/05/59/63/813055963.db2.gz LLLZLHBRNJAFAU-LLVKDONJSA-N 0 1 262.357 0.802 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnn2cc[nH]c12 ZINC001268755137 813064833 /nfs/dbraw/zinc/06/48/33/813064833.db2.gz QWPQMYULWKYMPG-SNVBAGLBSA-N 0 1 259.313 0.346 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H](NC(C)=O)[C@@H](C)CC ZINC001268757276 813070403 /nfs/dbraw/zinc/07/04/03/813070403.db2.gz RBYVLAQITFGITA-OBJOEFQTSA-N 0 1 281.400 0.607 20 30 CCEDMN CC#CC[N@@H+](C)C[C@@H](C)NC(=O)c1cc(C(N)=O)cs1 ZINC001268760930 813073034 /nfs/dbraw/zinc/07/30/34/813073034.db2.gz YDECSGONFORNFC-SNVBAGLBSA-N 0 1 293.392 0.920 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc(C(N)=O)cs1 ZINC001268760930 813073044 /nfs/dbraw/zinc/07/30/44/813073044.db2.gz YDECSGONFORNFC-SNVBAGLBSA-N 0 1 293.392 0.920 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@@H]2C[C@H]2C)C1 ZINC001268859001 813118833 /nfs/dbraw/zinc/11/88/33/813118833.db2.gz VKDROMPSLBTSFN-DGCLKSJQSA-N 0 1 252.358 0.893 20 30 CCEDMN N#CC1(C(=O)N2CC3(C[C@@H]3C(=O)NCc3cnc[nH]3)C2)CC1 ZINC001269020900 813180773 /nfs/dbraw/zinc/18/07/73/813180773.db2.gz VAERQSMLJZMRIA-LLVKDONJSA-N 0 1 299.334 0.178 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2C(=O)CN(C)CC)C1=O ZINC001269093489 813213184 /nfs/dbraw/zinc/21/31/84/813213184.db2.gz ZNTVTQQMJBPUIL-HNNXBMFYSA-N 0 1 277.368 0.165 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1[nH]nnc1C ZINC001269351449 813334982 /nfs/dbraw/zinc/33/49/82/813334982.db2.gz OHMQINVCBJZRNN-GHMZBOCLSA-N 0 1 289.339 0.505 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](CC)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001269448473 813375553 /nfs/dbraw/zinc/37/55/53/813375553.db2.gz LFNVUVIGMLSAGU-JTQLQIEISA-N 0 1 295.387 0.305 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CNC(=O)c2c[nH]c(=O)cn2)C1 ZINC001028767379 813468101 /nfs/dbraw/zinc/46/81/01/813468101.db2.gz FHKHCWKCFNHEEM-SNVBAGLBSA-N 0 1 296.758 0.574 20 30 CCEDMN C=CC(C)(C)C(=O)NCCC1CCN(CC(N)=O)CC1 ZINC001270130551 813645710 /nfs/dbraw/zinc/64/57/10/813645710.db2.gz AJPXENUMKDXKLD-UHFFFAOYSA-N 0 1 281.400 0.902 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H](C)n2cncn2)CC1 ZINC001270225046 813686709 /nfs/dbraw/zinc/68/67/09/813686709.db2.gz BEOHHKFNIXLSQO-GFCCVEGCSA-N 0 1 275.356 0.443 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](CNC(=O)[C@H]2C[NH+](CC)CCO2)C1 ZINC001028996330 813735820 /nfs/dbraw/zinc/73/58/20/813735820.db2.gz DWQIJTPHOJOLQE-HUUCEWRRSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCCN1CC[C@H](CNC(=O)[C@@H]2CCCS2(=O)=O)C1 ZINC001028996836 813737790 /nfs/dbraw/zinc/73/77/90/813737790.db2.gz KDVULBJBYONHMS-OLZOCXBDSA-N 0 1 298.408 0.025 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCc2nccn2C)C1 ZINC001270606268 813834930 /nfs/dbraw/zinc/83/49/30/813834930.db2.gz ATOFDKLBLBEYDW-HNNXBMFYSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CC(=O)N1C[C@H]2CN(C3CCCC3)C[C@@H](C1)O2 ZINC001270716403 813903080 /nfs/dbraw/zinc/90/30/80/813903080.db2.gz FMGQIADIRCIANS-OKILXGFUSA-N 0 1 262.353 0.864 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)[C@H]1CCc2[nH]c(C)nc2C1 ZINC001038430692 813939675 /nfs/dbraw/zinc/93/96/75/813939675.db2.gz NIIBZGXOLLVLGD-QWHCGFSZSA-N 0 1 286.379 0.647 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2[C@H]1CCCNC1=O ZINC001029245321 814013778 /nfs/dbraw/zinc/01/37/78/814013778.db2.gz UIGRIHWEIJKXIT-NDBYEHHHSA-N 0 1 290.367 0.100 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCO)c1 ZINC001029259418 814022829 /nfs/dbraw/zinc/02/28/29/814022829.db2.gz DWRUIZLKCJYFJR-TXEJJXNPSA-N 0 1 274.324 0.167 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](C)c3ccnn3C)[C@@H]2C1 ZINC001075804849 814080425 /nfs/dbraw/zinc/08/04/25/814080425.db2.gz CRUSJCOCCXHPPK-VNHYZAJKSA-N 0 1 286.379 0.690 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001270974512 814105063 /nfs/dbraw/zinc/10/50/63/814105063.db2.gz QLWGIYADTBAOJV-CZUORRHYSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)/C=C\c2ccco2)C1 ZINC001271108354 814153647 /nfs/dbraw/zinc/15/36/47/814153647.db2.gz BKNPMQNDMFXQFA-IYKSTZQJSA-N 0 1 274.320 0.479 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cn(C)nc2C)[C@H]1CC ZINC001087604891 814173974 /nfs/dbraw/zinc/17/39/74/814173974.db2.gz UXPIXPHTYXGVAF-LSDHHAIUSA-N 0 1 288.395 0.873 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC2(C[C@H]2C(N)=O)C1 ZINC001271157651 814233760 /nfs/dbraw/zinc/23/37/60/814233760.db2.gz ONASIXWTCMATKF-ONGXEEELSA-N 0 1 289.360 0.764 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1C[C@H]2CCN(CC#N)C[C@H]2C1 ZINC001088014133 814311411 /nfs/dbraw/zinc/31/14/11/814311411.db2.gz HFDWKWRPPZLILY-QLFBSQMISA-N 0 1 290.411 0.775 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CC(=O)N(C)C3)[C@@H]2C1 ZINC001075854478 814543110 /nfs/dbraw/zinc/54/31/10/814543110.db2.gz YTJFVRSPHOJRNE-MELADBBJSA-N 0 1 289.379 0.021 20 30 CCEDMN CN1CC(C(=O)N2CCCCC[C@H](NCC#N)C2)=NC1=O ZINC001088374392 814648510 /nfs/dbraw/zinc/64/85/10/814648510.db2.gz GIFYURZZCNUIBM-NSHDSACASA-N 0 1 291.355 0.624 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C1CCC(O)CC1 ZINC001272004236 814698201 /nfs/dbraw/zinc/69/82/01/814698201.db2.gz CRWPYIKESLPUTB-UHFFFAOYSA-N 0 1 266.385 0.951 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC1CN(Cc2ccc(C#N)cc2)C1 ZINC001030156119 815845526 /nfs/dbraw/zinc/84/55/26/815845526.db2.gz UOBUTCOWEQELSX-INIZCTEOSA-N 0 1 298.390 0.953 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@H]2C[N@H+]3CCC[C@H]3CO2)C1 ZINC001030345178 815986549 /nfs/dbraw/zinc/98/65/49/815986549.db2.gz ADRFXTIFKKAEKJ-UONOGXRCSA-N 0 1 279.384 0.226 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@]2(C)CCNC2=O)[C@H]1C ZINC001088854544 816078920 /nfs/dbraw/zinc/07/89/20/816078920.db2.gz TYLIYJXDSSGLHT-XUJVJEKNSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2nccc2C)[C@H]1C ZINC001088891247 816162292 /nfs/dbraw/zinc/16/22/92/816162292.db2.gz LSCIIWKGNFEXGR-KGLIPLIRSA-N 0 1 274.368 0.794 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N[C@H]1CCN(CC#N)[C@@H]1C ZINC001089059122 816228716 /nfs/dbraw/zinc/22/87/16/816228716.db2.gz AGSYLIXVNAKCDO-RDBSUJKOSA-N 0 1 278.400 0.963 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](OC)c1ccc(F)cc1 ZINC001121973244 816514559 /nfs/dbraw/zinc/51/45/59/816514559.db2.gz LIUNAZCNYQYSKS-CYBMUJFWSA-N 0 1 264.300 0.852 20 30 CCEDMN C#CCCN1CC(NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001031185296 816759441 /nfs/dbraw/zinc/75/94/41/816759441.db2.gz MEPDFFJTLRQOHG-NSHDSACASA-N 0 1 286.379 0.972 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)COCC)C[C@@H](C)O2 ZINC001111535135 816792997 /nfs/dbraw/zinc/79/29/97/816792997.db2.gz JFICWGCFVUEROS-CQSZACIVSA-N 0 1 294.395 0.738 20 30 CCEDMN CCN(CCNc1ccc(C#N)nn1)C(=O)Cc1ccn[nH]1 ZINC001106713133 816862665 /nfs/dbraw/zinc/86/26/65/816862665.db2.gz BOGMXASPTWLIKK-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)Cc1cc(C)ncn1 ZINC001235683698 816900445 /nfs/dbraw/zinc/90/04/45/816900445.db2.gz NRGMZOKVBIOIGB-UHFFFAOYSA-N 0 1 292.383 0.926 20 30 CCEDMN C[C@@H](CCNCc1cn(C)nn1)NC(=O)CSCC#N ZINC001272657430 816961941 /nfs/dbraw/zinc/96/19/41/816961941.db2.gz NGPYVINIHREXSH-JTQLQIEISA-N 0 1 296.400 0.056 20 30 CCEDMN C#C[C@H](CC)NC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001123560018 816978545 /nfs/dbraw/zinc/97/85/45/816978545.db2.gz XUVCNISCCYHOMK-XQQFMLRXSA-N 0 1 266.389 0.332 20 30 CCEDMN Cc1cc(CNCCNC(=O)CSCC#N)ncn1 ZINC001123792206 817067149 /nfs/dbraw/zinc/06/71/49/817067149.db2.gz MVFZTTYSBLQUTR-UHFFFAOYSA-N 0 1 279.369 0.248 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)C(N)=O)CC(C)(C)C1 ZINC001089477522 817138309 /nfs/dbraw/zinc/13/83/09/817138309.db2.gz YMPXUAGBOJQZQI-JTQLQIEISA-N 0 1 287.791 0.831 20 30 CCEDMN CO[C@H](C)CN1C[C@@H](NC(=O)C#CC2CC2)[C@H](OC)C1 ZINC001212245710 817198271 /nfs/dbraw/zinc/19/82/71/817198271.db2.gz AIFPFTJUJVNGEA-MRVWCRGKSA-N 0 1 280.368 0.250 20 30 CCEDMN CCOCCCN1C[C@@H](NC(=O)C#CC2CC2)[C@H](OC)C1 ZINC001212251374 817239045 /nfs/dbraw/zinc/23/90/45/817239045.db2.gz ZJGBGZWQEFJBBO-HUUCEWRRSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001031724212 817289014 /nfs/dbraw/zinc/28/90/14/817289014.db2.gz GITBGRBENSQYAQ-UHFFFAOYSA-N 0 1 275.352 0.935 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001031725456 817290975 /nfs/dbraw/zinc/29/09/75/817290975.db2.gz JGCCTRZAIUBHFC-CYBMUJFWSA-N 0 1 286.379 0.816 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCc3nccn32)C1 ZINC001031730739 817296137 /nfs/dbraw/zinc/29/61/37/817296137.db2.gz XAHNGGYMYFOGNG-ZDUSSCGKSA-N 0 1 274.368 0.995 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc3nc[nH]c3n2)C1 ZINC001031757743 817342145 /nfs/dbraw/zinc/34/21/45/817342145.db2.gz BDPKRNCSDSBPIT-UHFFFAOYSA-N 0 1 271.324 0.806 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2c[nH]c(=O)cc2C)[C@@H](O)C1 ZINC001083767410 817379773 /nfs/dbraw/zinc/37/97/73/817379773.db2.gz CQMCRRZSLKADCZ-OLZOCXBDSA-N 0 1 291.351 0.447 20 30 CCEDMN N#CCN1CC(CNC(=O)c2ccn3cncc3c2)C1 ZINC001031817252 817391106 /nfs/dbraw/zinc/39/11/06/817391106.db2.gz WJSWJRUUZYQBPW-UHFFFAOYSA-N 0 1 269.308 0.519 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccc3ncn(C)c3n2)C1 ZINC001031974399 817512029 /nfs/dbraw/zinc/51/20/29/817512029.db2.gz WHWGQWXARGKTKI-UHFFFAOYSA-N 0 1 297.362 0.653 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cnc(OC)nc1C ZINC001124765157 817566446 /nfs/dbraw/zinc/56/64/46/817566446.db2.gz NFCGGYVBUFYYPF-UHFFFAOYSA-N 0 1 294.355 0.202 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCC(=O)N(C)c1ccccc1 ZINC001124888349 817615551 /nfs/dbraw/zinc/61/55/51/817615551.db2.gz HJRMVNLGDZDMAL-LBPRGKRZSA-N 0 1 288.351 0.515 20 30 CCEDMN Cc1cc(CNCCNC(=O)[C@H](C)C#N)sn1 ZINC001124901669 817627658 /nfs/dbraw/zinc/62/76/58/817627658.db2.gz BNCKFISHBQIVET-MRVPVSSYSA-N 0 1 252.343 0.817 20 30 CCEDMN CCc1nc([C@@H](C)NCCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001124904046 817629196 /nfs/dbraw/zinc/62/91/96/817629196.db2.gz VSDQPERJTOZONP-DTWKUNHWSA-N 0 1 264.333 0.294 20 30 CCEDMN C=CCN1CC(CNC(=O)c2conc2COC)C1 ZINC001032122507 817650162 /nfs/dbraw/zinc/65/01/62/817650162.db2.gz SEDAVXFWKAJXQN-UHFFFAOYSA-N 0 1 265.313 0.669 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2c(C)nn(C)c2F)C1 ZINC001032158451 817671146 /nfs/dbraw/zinc/67/11/46/817671146.db2.gz RWDRREPRXZJIRF-UHFFFAOYSA-N 0 1 278.331 0.553 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)n1cccn1 ZINC001032289644 817785846 /nfs/dbraw/zinc/78/58/46/817785846.db2.gz GHQJRJWLJFUVFI-RDBSUJKOSA-N 0 1 272.352 0.753 20 30 CCEDMN Cc1cc(C#N)nc(NCC=CCNC(=O)c2ncn[nH]2)n1 ZINC001107288670 817826084 /nfs/dbraw/zinc/82/60/84/817826084.db2.gz LXIFBVKYEKLFQC-IHWYPQMZSA-N 0 1 298.310 0.173 20 30 CCEDMN Cc1cc(C#N)nc(NCC=CCNC(=O)c2nc[nH]n2)n1 ZINC001107288670 817826093 /nfs/dbraw/zinc/82/60/93/817826093.db2.gz LXIFBVKYEKLFQC-IHWYPQMZSA-N 0 1 298.310 0.173 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)Cc2cnc[nH]2)nn1 ZINC001107539115 817876980 /nfs/dbraw/zinc/87/69/80/817876980.db2.gz GGESGGPJXGDBOZ-OWOJBTEDSA-N 0 1 297.322 0.398 20 30 CCEDMN N#Cc1cccnc1NCC=CCNC(=O)c1cnn[nH]1 ZINC001107585194 817889821 /nfs/dbraw/zinc/88/98/21/817889821.db2.gz TXHSWKFWXQTODW-UPHRSURJSA-N 0 1 283.295 0.469 20 30 CCEDMN C[C@H](CNc1ccncc1C#N)NC(=O)CCc1nc[nH]n1 ZINC001107671233 817928877 /nfs/dbraw/zinc/92/88/77/817928877.db2.gz NJMCBEJEPUKGOF-SNVBAGLBSA-N 0 1 299.338 0.043 20 30 CCEDMN Cc1cc(CC(=O)N[C@H](C)CNc2nccnc2C#N)[nH]n1 ZINC001107671237 817929183 /nfs/dbraw/zinc/92/91/83/817929183.db2.gz NWOHKAGQFBGTDV-SNVBAGLBSA-N 0 1 299.338 0.539 20 30 CCEDMN N#CCN[C@@H]1C[C@H](NC(=O)c2[nH]ncc2F)C12CCC2 ZINC001078718560 818041711 /nfs/dbraw/zinc/04/17/11/818041711.db2.gz ROJLLIVVKFHKCB-ZJUUUORDSA-N 0 1 277.303 0.703 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2COCCN2CC)C1 ZINC001043175417 818052335 /nfs/dbraw/zinc/05/23/35/818052335.db2.gz BMFGVKZZROEBEI-OAHLLOKOSA-N 0 1 295.427 0.816 20 30 CCEDMN CC(=O)N[C@H]1CCN(Cc2cccc(C#N)c2)C[C@@H]1O ZINC001089974489 818092271 /nfs/dbraw/zinc/09/22/71/818092271.db2.gz AUTLQOPQVANTLE-GJZGRUSLSA-N 0 1 273.336 0.630 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnc(C)cn2)[C@H](O)C1 ZINC001090039740 818326887 /nfs/dbraw/zinc/32/68/87/818326887.db2.gz XUAOLMYCAIGCQS-GXTWGEPZSA-N 0 1 290.367 0.526 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCO2)C1 ZINC001032887715 818337616 /nfs/dbraw/zinc/33/76/16/818337616.db2.gz FVSZCNYZMWNDCQ-QWHCGFSZSA-N 0 1 250.342 0.721 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cnn(C)c2)C1 ZINC001032897476 818345334 /nfs/dbraw/zinc/34/53/34/818345334.db2.gz XZMFFTBOYKFWMT-CYBMUJFWSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cnn(C)c2)C1 ZINC001032897476 818345342 /nfs/dbraw/zinc/34/53/42/818345342.db2.gz XZMFFTBOYKFWMT-CYBMUJFWSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2c[nH]c(C)n2)C1 ZINC001032956992 818384667 /nfs/dbraw/zinc/38/46/67/818384667.db2.gz MGZKJQTVSSJXRS-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2c[nH]c(C)n2)C1 ZINC001032956992 818384677 /nfs/dbraw/zinc/38/46/77/818384677.db2.gz MGZKJQTVSSJXRS-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCOC2)C1 ZINC001032967755 818396304 /nfs/dbraw/zinc/39/63/04/818396304.db2.gz OASPTWHXGZFAQR-CHWSQXEVSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2COCCN2C)C1 ZINC001033017581 818428135 /nfs/dbraw/zinc/42/81/35/818428135.db2.gz WAAXFOLQGFUFTN-QWHCGFSZSA-N 0 1 267.373 0.036 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2snnc2C)[C@@H](O)C1 ZINC001090062576 818435278 /nfs/dbraw/zinc/43/52/78/818435278.db2.gz AIPVBAFUQKLTFN-QWRGUYRKSA-N 0 1 294.380 0.035 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccco2)[C@H](O)C1 ZINC001099653269 818451367 /nfs/dbraw/zinc/45/13/67/818451367.db2.gz DAFTUBVCPIYQOC-UONOGXRCSA-N 0 1 278.352 0.950 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cc2cncnc2)C1 ZINC001033042246 818454479 /nfs/dbraw/zinc/45/44/79/818454479.db2.gz RYJIQGYSAGQNLO-ZDUSSCGKSA-N 0 1 260.341 0.738 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(C3CC3)no2)[C@@H](O)C1 ZINC001083826195 818496120 /nfs/dbraw/zinc/49/61/20/818496120.db2.gz FXIAEYKGOXGWMA-OLZOCXBDSA-N 0 1 291.351 0.903 20 30 CCEDMN CN(C(=O)[C@@H]1CCCc2[nH]ncc21)[C@@H]1CCN(CC#N)C1 ZINC001033085141 818502194 /nfs/dbraw/zinc/50/21/94/818502194.db2.gz QHNHCAPAWGLWFS-VXGBXAGGSA-N 0 1 287.367 0.886 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033094018 818507656 /nfs/dbraw/zinc/50/76/56/818507656.db2.gz WFNYZJMBJNURRX-FRRDWIJNSA-N 0 1 279.384 0.620 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cnn3c2CCC3)C1 ZINC001033133486 818557925 /nfs/dbraw/zinc/55/79/25/818557925.db2.gz GOIYJANJGGWGNJ-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cn2ccc(C(F)F)n2)C1 ZINC001033136154 818559513 /nfs/dbraw/zinc/55/95/13/818559513.db2.gz MWVHMZZWSFASKG-NSHDSACASA-N 0 1 296.321 0.987 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)n[nH]2)[C@H](O)C1 ZINC001090106226 818590020 /nfs/dbraw/zinc/59/00/20/818590020.db2.gz ZVYXCMCHCNKZNO-CMPLNLGQSA-N 0 1 264.329 0.069 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cn[nH]c(=O)c2)C1 ZINC001033178370 818602306 /nfs/dbraw/zinc/60/23/06/818602306.db2.gz SFXODLWJTWURKD-GFCCVEGCSA-N 0 1 274.324 0.352 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCn3nccc32)C1 ZINC001033201843 818650159 /nfs/dbraw/zinc/65/01/59/818650159.db2.gz IANWLQAEMMXQLY-KBPBESRZSA-N 0 1 286.379 0.926 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)nn2C)[C@H](O)C1 ZINC001090121543 818658813 /nfs/dbraw/zinc/65/88/13/818658813.db2.gz ZGTNWGNYINDCNS-WCQYABFASA-N 0 1 278.356 0.080 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001033244406 818672204 /nfs/dbraw/zinc/67/22/04/818672204.db2.gz REJHJHVFWHVNRN-CABCVRRESA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001033244410 818672838 /nfs/dbraw/zinc/67/28/38/818672838.db2.gz REJHJHVFWHVNRN-HUUCEWRRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cc2cn(CC)nn2)C1 ZINC001033293111 818694737 /nfs/dbraw/zinc/69/47/37/818694737.db2.gz WWLYWXBTQOHQBP-ZDUSSCGKSA-N 0 1 277.372 0.559 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001033322886 818708645 /nfs/dbraw/zinc/70/86/45/818708645.db2.gz ORWQHMZHIRIUPF-GFCCVEGCSA-N 0 1 288.351 0.579 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cnc(C)n2C)C1 ZINC001033359165 818738461 /nfs/dbraw/zinc/73/84/61/818738461.db2.gz VOQLHRRDOKVMIR-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2n[nH]cc2F)C1 ZINC001033396023 818753162 /nfs/dbraw/zinc/75/31/62/818753162.db2.gz LUSIPYNBDDPIGH-VIFPVBQESA-N 0 1 252.293 0.881 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC)[C@@H](n2ccnn2)C1 ZINC001128662656 818788599 /nfs/dbraw/zinc/78/85/99/818788599.db2.gz VDYAQRFVXWHWMB-OLZOCXBDSA-N 0 1 275.356 0.443 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cncc(OC)n2)C1 ZINC001033475456 818797712 /nfs/dbraw/zinc/79/77/12/818797712.db2.gz PLDIBOKGEAOYSJ-NSHDSACASA-N 0 1 276.340 0.818 20 30 CCEDMN CN(C(=O)C(C)(C)c1c[nH]cn1)[C@@H]1CCN(CC#N)C1 ZINC001033595865 818843501 /nfs/dbraw/zinc/84/35/01/818843501.db2.gz VTVHCQGQHZZTNA-LLVKDONJSA-N 0 1 275.356 0.744 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001033603147 818848627 /nfs/dbraw/zinc/84/86/27/818848627.db2.gz JJZWOEBAJNRIIH-KBPBESRZSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(C)C)[C@@H](n2ccnn2)C1 ZINC001128700890 818859375 /nfs/dbraw/zinc/85/93/75/818859375.db2.gz MBOLTRVOXIUJQI-KGLIPLIRSA-N 0 1 289.383 0.689 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001033677106 818883478 /nfs/dbraw/zinc/88/34/78/818883478.db2.gz ZJTGLOMALCICLJ-JSGCOSHPSA-N 0 1 286.379 0.681 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cnnn2C)C1 ZINC001033815814 818956980 /nfs/dbraw/zinc/95/69/80/818956980.db2.gz KLCPCLJROFIBHZ-GFCCVEGCSA-N 0 1 277.372 0.928 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033849157 818966827 /nfs/dbraw/zinc/96/68/27/818966827.db2.gz RTFUBHBDKLZKEA-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033867290 818973772 /nfs/dbraw/zinc/97/37/72/818973772.db2.gz QLANFHOJHRNJIJ-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033881992 818979181 /nfs/dbraw/zinc/97/91/81/818979181.db2.gz NFGSTEPXNJLRJF-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033923368 818998913 /nfs/dbraw/zinc/99/89/13/818998913.db2.gz QWVLUDQTQNSEOJ-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncccc2C)[C@@H](O)C1 ZINC001090166515 819005958 /nfs/dbraw/zinc/00/59/58/819005958.db2.gz QOIDSSBAQZCJTL-STQMWFEESA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033951959 819011168 /nfs/dbraw/zinc/01/11/68/819011168.db2.gz MZGKWWALMSHPEQ-LLVKDONJSA-N 0 1 290.367 0.836 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001033954195 819011906 /nfs/dbraw/zinc/01/19/06/819011906.db2.gz MBYZRCVFROJUSK-MCIONIFRSA-N 0 1 293.411 0.820 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001034031204 819034516 /nfs/dbraw/zinc/03/45/16/819034516.db2.gz FOKBXIOFVUXIIR-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CC(F)(F)C2)[C@H](O)C1 ZINC001090182682 819045571 /nfs/dbraw/zinc/04/55/71/819045571.db2.gz NSDXDSJOFADERK-GHMZBOCLSA-N 0 1 274.311 0.769 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CCCF)C[C@@H]1n1ccnn1 ZINC001128830796 819055183 /nfs/dbraw/zinc/05/51/83/819055183.db2.gz YNNVPUODLKXPGT-TUAOUCFPSA-N 0 1 294.334 0.139 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C2=COCCO2)C1 ZINC001034079959 819057733 /nfs/dbraw/zinc/05/77/33/819057733.db2.gz ISNUDVVEPPVHDO-LBPRGKRZSA-N 0 1 264.325 0.479 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)Cn2cccn2)C1 ZINC001034102834 819069197 /nfs/dbraw/zinc/06/91/97/819069197.db2.gz ZSDSZUXQQYUWGJ-AWEZNQCLSA-N 0 1 274.368 0.877 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC001034121312 819082838 /nfs/dbraw/zinc/08/28/38/819082838.db2.gz WITDAMLAOFBDJX-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC001034121312 819082845 /nfs/dbraw/zinc/08/28/45/819082845.db2.gz WITDAMLAOFBDJX-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC001034193323 819117085 /nfs/dbraw/zinc/11/70/85/819117085.db2.gz YJOHUSMXDDXUML-LBPRGKRZSA-N 0 1 276.340 0.524 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001034232419 819131897 /nfs/dbraw/zinc/13/18/97/819131897.db2.gz UETQFIIENAGSGT-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)[C@H]2CCCNC2=O)C1 ZINC001034255059 819143294 /nfs/dbraw/zinc/14/32/94/819143294.db2.gz YDBUBDUGWGFJRJ-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCCNC2=O)C1 ZINC001034255059 819143296 /nfs/dbraw/zinc/14/32/96/819143296.db2.gz YDBUBDUGWGFJRJ-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001034269085 819147995 /nfs/dbraw/zinc/14/79/95/819147995.db2.gz TWURQYZIQPJCOD-LLVKDONJSA-N 0 1 276.340 0.641 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cn3cccnc3n2)C1 ZINC001034295094 819159349 /nfs/dbraw/zinc/15/93/49/819159349.db2.gz ZWQRMHXCVMOKTB-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001034310341 819163051 /nfs/dbraw/zinc/16/30/51/819163051.db2.gz UGPLQMYHDAIXOZ-CQSZACIVSA-N 0 1 288.395 0.875 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnn3cccnc23)C1 ZINC001034336413 819171377 /nfs/dbraw/zinc/17/13/77/819171377.db2.gz MSCICIRTGJTHLA-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001034379049 819187322 /nfs/dbraw/zinc/18/73/22/819187322.db2.gz AHYHBMMMYLUWDN-LBPRGKRZSA-N 0 1 290.367 0.733 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC001034463049 819207450 /nfs/dbraw/zinc/20/74/50/819207450.db2.gz PJSZUIMFBATDSK-ZDUSSCGKSA-N 0 1 289.383 0.906 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001034505030 819223969 /nfs/dbraw/zinc/22/39/69/819223969.db2.gz IBNOYLUDBDQTQM-HUUCEWRRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001034542275 819238698 /nfs/dbraw/zinc/23/86/98/819238698.db2.gz WONXUGPSCBHVCL-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CC[C@H](NCC#N)CC2)[nH]n1 ZINC001034624887 819263985 /nfs/dbraw/zinc/26/39/85/819263985.db2.gz WNQVWZGLMBGAEG-HAQNSBGRSA-N 0 1 275.356 0.801 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CC[C@H](NCC#N)CC2)n[nH]1 ZINC001034640912 819272136 /nfs/dbraw/zinc/27/21/36/819272136.db2.gz JZLJCOBRDWKUHW-XYPYZODXSA-N 0 1 276.344 0.196 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)Cc2cncnc2)[C@H](O)C1 ZINC001090232032 819279197 /nfs/dbraw/zinc/27/91/97/819279197.db2.gz RGOVDVUQQWVYTN-UONOGXRCSA-N 0 1 290.367 0.147 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)c2nccc(C)n2)[C@H](O)C1 ZINC001090232028 819279921 /nfs/dbraw/zinc/27/99/21/819279921.db2.gz RFUUDVVMHWGWGX-QWHCGFSZSA-N 0 1 290.367 0.526 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nccc(C)n2)[C@H](O)C1 ZINC001090232028 819279925 /nfs/dbraw/zinc/27/99/25/819279925.db2.gz RFUUDVVMHWGWGX-QWHCGFSZSA-N 0 1 290.367 0.526 20 30 CCEDMN CC(C)N1C[C@@H](NC(=O)C#CC2CC2)[C@@H](n2ccnn2)C1 ZINC001128989738 819300278 /nfs/dbraw/zinc/30/02/78/819300278.db2.gz TXZKUKNPEZBCSH-KGLIPLIRSA-N 0 1 287.367 0.441 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc[nH]c2CC)[C@@H](O)C1 ZINC001090247366 819304619 /nfs/dbraw/zinc/30/46/19/819304619.db2.gz WDBAAPPFKULQBV-KBPBESRZSA-N 0 1 277.368 0.928 20 30 CCEDMN CC#CCN1CCO[C@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001035502263 819541016 /nfs/dbraw/zinc/54/10/16/819541016.db2.gz DYJGEOWPDVJRJJ-SNVBAGLBSA-N 0 1 280.303 0.003 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCNC(=O)C1)CC2 ZINC001035688372 819605152 /nfs/dbraw/zinc/60/51/52/819605152.db2.gz PFPPHCXAEVUCIO-CYBMUJFWSA-N 0 1 291.395 0.623 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@H]1CCNC1=O)CC2 ZINC001035720273 819614230 /nfs/dbraw/zinc/61/42/30/819614230.db2.gz LDLZJDMLPMZGFA-CYBMUJFWSA-N 0 1 291.395 0.623 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCc1ccncn1)CC2 ZINC001035716883 819615022 /nfs/dbraw/zinc/61/50/22/819615022.db2.gz RAUPYARBYZTBPA-UHFFFAOYSA-N 0 1 298.390 0.967 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@]1(C)CNC(=O)C1)CC2 ZINC001035758302 819620192 /nfs/dbraw/zinc/62/01/92/819620192.db2.gz SFQYMGIUNSZOGK-OAHLLOKOSA-N 0 1 291.395 0.623 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1[C@@H]3COC[C@@H]31)CC2 ZINC001035773434 819627078 /nfs/dbraw/zinc/62/70/78/819627078.db2.gz WCHZBGVSPCZXQL-WDNDVIMCSA-N 0 1 276.380 0.989 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1ncc[nH]1)CC2 ZINC001035824305 819630921 /nfs/dbraw/zinc/63/09/21/819630921.db2.gz YOBNPSFNJMZNAJ-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@H](NCC#N)[C@H](C)C2)n[nH]1 ZINC001035923385 819653453 /nfs/dbraw/zinc/65/34/53/819653453.db2.gz CTVVYFNJTLJBSN-PWSUYJOCSA-N 0 1 275.356 0.936 20 30 CCEDMN C[C@@H]1CN(C(=O)c2cccc3nn[nH]c32)CC[C@@H]1NCC#N ZINC001036048634 819677421 /nfs/dbraw/zinc/67/74/21/819677421.db2.gz NDTYIQLYWWJXSF-PWSUYJOCSA-N 0 1 298.350 0.922 20 30 CCEDMN C[C@H]1CN(C(=O)CN2CCCC2)CC[C@@H]1NCC#N ZINC001036294262 819721530 /nfs/dbraw/zinc/72/15/30/819721530.db2.gz PKPSZCYFBRVCBF-STQMWFEESA-N 0 1 264.373 0.432 20 30 CCEDMN C#CCCS(=O)(=O)N1CCCC[C@H]1CN(C)C ZINC000710998454 819871569 /nfs/dbraw/zinc/87/15/69/819871569.db2.gz WFFAJLYGSSEQBS-LBPRGKRZSA-N 0 1 258.387 0.756 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(Cl)cnn2C)[C@H](O)C1 ZINC001090270440 820025736 /nfs/dbraw/zinc/02/57/36/820025736.db2.gz XRKHKDBUDYRICH-GHMZBOCLSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(OC)ns2)[C@@H](O)C1 ZINC001090272505 820034812 /nfs/dbraw/zinc/03/48/12/820034812.db2.gz PKUXSXHRHPYFOG-ZJUUUORDSA-N 0 1 297.380 0.503 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccn(CC)n2)[C@H](O)C1 ZINC001090272956 820038282 /nfs/dbraw/zinc/03/82/82/820038282.db2.gz AOYFNNHXDKPKIW-ZIAGYGMSSA-N 0 1 292.383 0.183 20 30 CCEDMN CC(C)C#CC(=O)NC1(CNC(=O)c2cnn[nH]2)CCC1 ZINC001063584062 820158434 /nfs/dbraw/zinc/15/84/34/820158434.db2.gz KELQTUDJYTVZSG-UHFFFAOYSA-N 0 1 289.339 0.233 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccns2)[C@H](O)C1 ZINC001090305700 820234403 /nfs/dbraw/zinc/23/44/03/820234403.db2.gz YWQVCTOUCVUSDX-GHMZBOCLSA-N 0 1 281.381 0.884 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)[nH]nc2Cl)[C@H](O)C1 ZINC001090313137 820247706 /nfs/dbraw/zinc/24/77/06/820247706.db2.gz PPRMLUHDWNHMOM-VHSXEESVSA-N 0 1 298.774 0.723 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cnc(C)o2)[C@@H](O)C1 ZINC001090321144 820256979 /nfs/dbraw/zinc/25/69/79/820256979.db2.gz CMBNOKJMYQMBLY-OLZOCXBDSA-N 0 1 279.340 0.263 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)Cc2cnc(C)o2)[C@@H](O)C1 ZINC001090323328 820261193 /nfs/dbraw/zinc/26/11/93/820261193.db2.gz QXNRINGZIBMLIF-KGLIPLIRSA-N 0 1 293.367 0.653 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(CC)n2)[C@@H](O)C1 ZINC001090327440 820266791 /nfs/dbraw/zinc/26/67/91/820266791.db2.gz ROCJYXUOROBJNZ-ZFWWWQNUSA-N 0 1 289.379 0.995 20 30 CCEDMN CC(=O)CC(C)=Nc1ccnc(S(C)(=O)=O)n1 ZINC001163733683 820290658 /nfs/dbraw/zinc/29/06/58/820290658.db2.gz XDRDGBHWBVLUPJ-SREVYHEPSA-N 0 1 255.299 0.785 20 30 CCEDMN N#Cc1ncc(N2CCCc3[nH]ncc3C2)nc1C#N ZINC001163823347 820378965 /nfs/dbraw/zinc/37/89/65/820378965.db2.gz CINMKFKABLOTJX-UHFFFAOYSA-N 0 1 265.280 0.896 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccccc2)[C@H](O)C1 ZINC001090365500 820406638 /nfs/dbraw/zinc/40/66/38/820406638.db2.gz SAISNVQUVWBBGE-JKSUJKDBSA-N 0 1 286.375 0.804 20 30 CCEDMN C=CCOCC(=O)N(CC)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001079490577 820412796 /nfs/dbraw/zinc/41/27/96/820412796.db2.gz VVRMTHJHKOJEHD-UHFFFAOYSA-N 0 1 292.339 0.285 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC001079550447 820425132 /nfs/dbraw/zinc/42/51/32/820425132.db2.gz MWITYRHZNUZHHR-DGCLKSJQSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC001080154674 820522202 /nfs/dbraw/zinc/52/22/02/820522202.db2.gz DOEUKSAFMUWOPQ-GZBLMMOJSA-N 0 1 276.380 0.871 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cn(C)nc2OC)C1 ZINC001080252527 820539054 /nfs/dbraw/zinc/53/90/54/820539054.db2.gz UTOFFOUAZACLFZ-DGCLKSJQSA-N 0 1 290.367 0.502 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ncccc2OC)C1 ZINC001080332173 820549509 /nfs/dbraw/zinc/54/95/09/820549509.db2.gz LRTLHKOBGULFOR-TZMCWYRMSA-N 0 1 287.363 0.702 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnc3c2nnn3C)C1 ZINC001080339534 820554350 /nfs/dbraw/zinc/55/43/50/820554350.db2.gz OVZJWAITKMKEPU-ZYHUDNBSSA-N 0 1 298.350 0.047 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCNC2=O)C1 ZINC001080433697 820576598 /nfs/dbraw/zinc/57/65/98/820576598.db2.gz NBUTXHOECNZEIH-JTNHKYCSSA-N 0 1 265.357 0.135 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(CCC)c2)C1 ZINC001080535684 820588931 /nfs/dbraw/zinc/58/89/31/820588931.db2.gz YOALYCNUMRIOCU-TZMCWYRMSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001080536491 820592218 /nfs/dbraw/zinc/59/22/18/820592218.db2.gz VSTIIPFTLSQZIE-ZIAGYGMSSA-N 0 1 287.363 0.226 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(=O)N2CCC[C@H](C)C2)C1 ZINC001080566722 820593739 /nfs/dbraw/zinc/59/37/39/820593739.db2.gz JIHAZMGSCOJHMM-BFHYXJOUSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC001080739234 820627539 /nfs/dbraw/zinc/62/75/39/820627539.db2.gz XYUIOSIAEVZRSJ-LALPHHSUSA-N 0 1 286.379 0.584 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001080738516 820628280 /nfs/dbraw/zinc/62/82/80/820628280.db2.gz JVIAOJDDOGSLLR-UHIISALHSA-N 0 1 287.367 0.475 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001080927648 820654979 /nfs/dbraw/zinc/65/49/79/820654979.db2.gz KBBKGEZOLSFKDK-IUODEOHRSA-N 0 1 299.374 0.859 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCNCc1ncnn1C ZINC001164221493 820672189 /nfs/dbraw/zinc/67/21/89/820672189.db2.gz BOVPDYIBFSELQE-VXGBXAGGSA-N 0 1 279.388 0.869 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C)n2C)[C@H](OC)C1 ZINC001081361543 820747293 /nfs/dbraw/zinc/74/72/93/820747293.db2.gz PVKYSCPXJYKNAF-UKRRQHHQSA-N 0 1 289.379 0.786 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H]2CCOC2)[C@H](OC)C1 ZINC001081467688 820768264 /nfs/dbraw/zinc/76/82/64/820768264.db2.gz YTCOFQVQBYVSNY-MGPQQGTHSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(CCOCC2CC2)C[C@H]1O ZINC001099725847 820781441 /nfs/dbraw/zinc/78/14/41/820781441.db2.gz ZQJGROOAORZFBQ-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(CCn2cccn2)C[C@@H]1O ZINC001099726682 820801055 /nfs/dbraw/zinc/80/10/55/820801055.db2.gz IZFCZLBQXONDKV-KGLIPLIRSA-N 0 1 292.383 0.401 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c(C)ncn2C)[C@H](OC)C1 ZINC001081821226 820850227 /nfs/dbraw/zinc/85/02/27/820850227.db2.gz SWPOEUJXCCSDLV-CHWSQXEVSA-N 0 1 290.367 0.181 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnco2)[C@H](OC)C1 ZINC001081998918 820874607 /nfs/dbraw/zinc/87/46/07/820874607.db2.gz PAQSPWWRZXTDQY-ZYHUDNBSSA-N 0 1 265.313 0.680 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[N@@H+]2CC[C@@H](C)C2)[C@H](OC)C1 ZINC001082135053 820906269 /nfs/dbraw/zinc/90/62/69/820906269.db2.gz NBZQBILVXCAIFS-RBSFLKMASA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)C(=O)C(C)(C)C)[C@@H](O)C1 ZINC001099747180 820993997 /nfs/dbraw/zinc/99/39/97/820993997.db2.gz UUGUTRQXMGXINP-RYUDHWBXSA-N 0 1 280.368 0.176 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CC(=O)N(C)C2)C[C@H]1C ZINC001082541741 820995719 /nfs/dbraw/zinc/99/57/19/820995719.db2.gz KGQDAAJOQCNWFP-YUSALJHKSA-N 0 1 299.802 0.654 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccncc2CC)[C@H](O)C1 ZINC001090376687 821012381 /nfs/dbraw/zinc/01/23/81/821012381.db2.gz ALHMSAZSVZUJDR-LSDHHAIUSA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]nc2CCC)[C@@H](O)C1 ZINC001090380701 821036347 /nfs/dbraw/zinc/03/63/47/821036347.db2.gz GCXVQPUIZMHYDF-KGLIPLIRSA-N 0 1 292.383 0.713 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H]1CCN(CC=C)C[C@H]1O ZINC001099764365 821039859 /nfs/dbraw/zinc/03/98/59/821039859.db2.gz UYRWSSGRZVNRDU-ZIAGYGMSSA-N 0 1 282.384 0.707 20 30 CCEDMN C=CCN1C[C@H]2OCCN(C(=O)c3cc(C)[nH]n3)[C@H]2C1 ZINC001083014463 821107416 /nfs/dbraw/zinc/10/74/16/821107416.db2.gz HAIVUPIUMVGFON-QWHCGFSZSA-N 0 1 276.340 0.429 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3c[nH]c(C)n3)[C@H]2C1 ZINC001083025414 821114596 /nfs/dbraw/zinc/11/45/96/821114596.db2.gz IOCUAJKIHHKQBN-UONOGXRCSA-N 0 1 288.351 0.267 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2c(C)noc2C)[C@@H](O)C1 ZINC001084049466 821187933 /nfs/dbraw/zinc/18/79/33/821187933.db2.gz KFBMJDHZCSRJNH-KGLIPLIRSA-N 0 1 293.367 0.571 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cncnc3)[C@@H]2C1 ZINC001084296580 821253659 /nfs/dbraw/zinc/25/36/59/821253659.db2.gz QTENYWUQDGRPGO-DGCLKSJQSA-N 0 1 258.325 0.809 20 30 CCEDMN O=C(C#CC1CC1)N1C[C@H]2CCN(CCn3cncn3)C[C@H]21 ZINC001084335020 821273321 /nfs/dbraw/zinc/27/33/21/821273321.db2.gz VOXMOPCKCWYUDJ-HUUCEWRRSA-N 0 1 299.378 0.224 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCN(C)C3=O)[C@@H]2C1 ZINC001084589907 821319093 /nfs/dbraw/zinc/31/90/93/821319093.db2.gz UDWSXSGBSMIKIB-FRRDWIJNSA-N 0 1 277.368 0.183 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3c[nH]c(=O)cn3)[C@@H]2C1 ZINC001084615694 821327664 /nfs/dbraw/zinc/32/76/64/821327664.db2.gz IKMULELXYRIMAN-ZYHUDNBSSA-N 0 1 274.324 0.102 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1C[C@H]2CCN(CC#N)C[C@H]21 ZINC001084779251 821390638 /nfs/dbraw/zinc/39/06/38/821390638.db2.gz AZHLHMSQGFPANV-IUODEOHRSA-N 0 1 299.378 0.817 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2(COC)CC2)[C@H](O)C1 ZINC001099832535 821398112 /nfs/dbraw/zinc/39/81/12/821398112.db2.gz IRMXDDFNFJOGJA-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H]3CCCOC3)C[C@@H]21 ZINC001084903545 821426370 /nfs/dbraw/zinc/42/63/70/821426370.db2.gz ICBJBSQWWUUNNU-KFWWJZLASA-N 0 1 276.380 0.969 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2ccn3cncc3c2)[C@H](O)C1 ZINC001090399979 821449919 /nfs/dbraw/zinc/44/99/19/821449919.db2.gz QNHRDQOTKLSFIF-ZIAGYGMSSA-N 0 1 299.334 0.023 20 30 CCEDMN CC(=O)N1CCCC[C@H]1C(=O)NCC#CCN(C)C ZINC000823675010 821530530 /nfs/dbraw/zinc/53/05/30/821530530.db2.gz RUBIXHIJUNZIEV-ZDUSSCGKSA-N 0 1 265.357 0.069 20 30 CCEDMN Cc1cc(N[C@@H](C)CNC(=O)Cc2cnc[nH]2)c(C#N)cn1 ZINC001098307116 821670098 /nfs/dbraw/zinc/67/00/98/821670098.db2.gz UINPCSWFWZFYBF-NSHDSACASA-N 0 1 298.350 0.566 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000827916141 821708788 /nfs/dbraw/zinc/70/87/88/821708788.db2.gz DILXILJFQKHXST-UHFFFAOYSA-N 0 1 261.329 0.955 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCNC(=O)C1 ZINC001085544466 821780127 /nfs/dbraw/zinc/78/01/27/821780127.db2.gz QYOFDRDUNDGYNW-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C[C@@H](O)CN1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556568 821789136 /nfs/dbraw/zinc/78/91/36/821789136.db2.gz UOQPEOBHVLKLTJ-MFKMUULPSA-N 0 1 276.340 0.413 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(=O)n(C)o1 ZINC001085569318 821801323 /nfs/dbraw/zinc/80/13/23/821801323.db2.gz GCQOJCKMSIIFRW-LLVKDONJSA-N 0 1 277.324 0.148 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001085602178 821841568 /nfs/dbraw/zinc/84/15/68/821841568.db2.gz RIQXDUQSXAVDQC-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(=O)n(C)cn1 ZINC001085648002 821880011 /nfs/dbraw/zinc/88/00/11/821880011.db2.gz ACPYPVYFDRQVBC-LBPRGKRZSA-N 0 1 290.367 0.503 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC(N3CCCC3)C2)nc1 ZINC000829309530 821886158 /nfs/dbraw/zinc/88/61/58/821886158.db2.gz HVYSKNXGKGBSQS-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCCN1C ZINC001085674445 821889569 /nfs/dbraw/zinc/88/95/69/821889569.db2.gz WHBIWGVHESQZMX-KGLIPLIRSA-N 0 1 263.385 0.637 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccn(C)c(=O)c1 ZINC001085678018 821896791 /nfs/dbraw/zinc/89/67/91/821896791.db2.gz ZEJRGYAJDMBGDV-CQSZACIVSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccn(C)c(=O)c1 ZINC001085678018 821896801 /nfs/dbraw/zinc/89/68/01/821896801.db2.gz ZEJRGYAJDMBGDV-CQSZACIVSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCNC(=O)CC1 ZINC001085744653 821931143 /nfs/dbraw/zinc/93/11/43/821931143.db2.gz PIAUMEDMELHDTJ-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCN(C)C1=O ZINC001085770201 821940979 /nfs/dbraw/zinc/94/09/79/821940979.db2.gz APNVTLIQLVHRPO-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2cc(C#N)nc(C)n2)CC1 ZINC001155171985 822076268 /nfs/dbraw/zinc/07/62/68/822076268.db2.gz ZWYOYPDIENMTSI-ZDUSSCGKSA-N 0 1 289.339 0.373 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)Cc2c[nH]cn2)C1 ZINC001108244064 822127291 /nfs/dbraw/zinc/12/72/91/822127291.db2.gz FIHPQUQIKVRWPY-HNNXBMFYSA-N 0 1 292.383 0.735 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CCCN([C@H]2CCNC2=O)CC1 ZINC001273418040 822191129 /nfs/dbraw/zinc/19/11/29/822191129.db2.gz MGURZZYDDFPEGP-KGLIPLIRSA-N 0 1 289.379 0.259 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1ncnn1C(C)(C)C ZINC001155837420 822259466 /nfs/dbraw/zinc/25/94/66/822259466.db2.gz ZLTZRRWTISFADH-NSHDSACASA-N 0 1 292.387 0.789 20 30 CCEDMN CC(C)N1CCO[C@@H](C(=O)NC[C@H]2C[C@H](NCC#N)C2)C1 ZINC001086456859 822265696 /nfs/dbraw/zinc/26/56/96/822265696.db2.gz YWVNSMPJHYFDCX-MGPQQGTHSA-N 0 1 294.399 0.104 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CC)OCC ZINC001113976528 837392641 /nfs/dbraw/zinc/39/26/41/837392641.db2.gz HZHYISOWMMQYDL-RFQIPJPRSA-N 0 1 264.369 0.871 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](O)C(C)C ZINC001114228444 837480619 /nfs/dbraw/zinc/48/06/19/837480619.db2.gz CALFAJFPMHTFIJ-MROQNXINSA-N 0 1 250.342 0.073 20 30 CCEDMN C=CCn1cccc1C(=O)NCCNCc1cnnn1C ZINC001129513336 837525633 /nfs/dbraw/zinc/52/56/33/837525633.db2.gz BWLWGBZVBUDQKE-UHFFFAOYSA-N 0 1 288.355 0.322 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCCC#CC)C[C@@H]1n1ccnn1 ZINC001129972732 837601083 /nfs/dbraw/zinc/60/10/83/837601083.db2.gz KUSJUIGVNYQZBA-CABCVRRESA-N 0 1 299.378 0.446 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(OCC)n[nH]1 ZINC001130107586 837693460 /nfs/dbraw/zinc/69/34/60/837693460.db2.gz YUPBMAFDSWHEBQ-UHFFFAOYSA-N 0 1 272.736 0.880 20 30 CCEDMN CC#CCN1CC[C@H](c2n[nH]cc2CNC(=O)[C@@H](C)C#N)C1 ZINC001130364913 837780854 /nfs/dbraw/zinc/78/08/54/837780854.db2.gz KSCOZAZCTMBTDQ-STQMWFEESA-N 0 1 299.378 0.998 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cc(Cl)n(C)n2)[C@H](O)C1 ZINC001090418393 835979323 /nfs/dbraw/zinc/97/93/23/835979323.db2.gz XXCVCIBMTSCVGJ-GXSJLCMTSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(Cl)n(C)n2)[C@H](O)C1 ZINC001090418393 835979332 /nfs/dbraw/zinc/97/93/32/835979332.db2.gz XXCVCIBMTSCVGJ-GXSJLCMTSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CCO[C@](C)(CNC(=O)CN2CCCC2)C1 ZINC001108398964 835999663 /nfs/dbraw/zinc/99/96/63/835999663.db2.gz LFQIQJNMKDDHPJ-OAHLLOKOSA-N 0 1 281.400 0.475 20 30 CCEDMN N#Cc1c[nH]c2nc(Cl)cc(-n3nnnc3CN)c12 ZINC001168920433 836054565 /nfs/dbraw/zinc/05/45/65/836054565.db2.gz FTVZHAYTCWGYRC-UHFFFAOYSA-N 0 1 274.675 0.522 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+][C@H]1CC[C@@H](C[N+](=O)[O-])C1 ZINC001168988947 836102684 /nfs/dbraw/zinc/10/26/84/836102684.db2.gz VGQNEOGBBUGBKX-JXUBOQSCSA-N 0 1 257.290 0.258 20 30 CCEDMN N#C[C@@H]1CN([C@H]2CC[C@H](C[N+](=O)[O-])C2)CCC1=O ZINC001169034593 836109880 /nfs/dbraw/zinc/10/98/80/836109880.db2.gz IENNGUSYUAJHNR-AXFHLTTASA-N 0 1 251.286 0.846 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CCC(=O)N3)[nH]c2c1 ZINC001169190194 836157285 /nfs/dbraw/zinc/15/72/85/836157285.db2.gz UZOVBLGVFBOSFI-VIFPVBQESA-N 0 1 269.264 0.652 20 30 CCEDMN C=CCCCN1CC(N2C[C@@H](NC(=O)C3CC3)CC2=O)C1 ZINC001108493415 836308284 /nfs/dbraw/zinc/30/82/84/836308284.db2.gz ORMSHECHGWHGJW-ZDUSSCGKSA-N 0 1 291.395 0.764 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nc1)NC(=O)Cc1nnc[nH]1 ZINC001108515958 836391191 /nfs/dbraw/zinc/39/11/91/836391191.db2.gz DGHJDPRDVZQXJY-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN C[C@@H](CN(C)c1ccc(C#N)nc1)NC(=O)Cc1nnc[nH]1 ZINC001109081743 836612191 /nfs/dbraw/zinc/61/21/91/836612191.db2.gz ZDCWQGYEVMWNGN-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN C[C@@H](CN(C)c1ccncc1C#N)NC(=O)Cc1nnc[nH]1 ZINC001109080763 836613484 /nfs/dbraw/zinc/61/34/84/836613484.db2.gz DRJSEHXJCWMNGF-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN C[C@H](CN(C)c1cncc(C#N)n1)NC(=O)Cc1cnc[nH]1 ZINC001109265729 836649437 /nfs/dbraw/zinc/64/94/37/836649437.db2.gz VWFWUUPJHLHBGC-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H](C)NC(N)=O)C2 ZINC001109278120 836653918 /nfs/dbraw/zinc/65/39/18/836653918.db2.gz PGKLKVHZWWSOLP-WYUUTHIRSA-N 0 1 280.372 0.341 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)NC(N)=O)C2 ZINC001109278119 836654851 /nfs/dbraw/zinc/65/48/51/836654851.db2.gz PGKLKVHZWWSOLP-USZNOCQGSA-N 0 1 280.372 0.341 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCCNc1ncccc1C#N ZINC001109574725 836697839 /nfs/dbraw/zinc/69/78/39/836697839.db2.gz QMGBSSSYMPZUCI-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CC(N)=O)C2 ZINC001109599314 836704751 /nfs/dbraw/zinc/70/47/51/836704751.db2.gz FNJOXSNROWZYND-RNJOBUHISA-N 0 1 277.368 0.243 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CCC)NC(N)=O)C2 ZINC001110037826 836765821 /nfs/dbraw/zinc/76/58/21/836765821.db2.gz VEYSAYQUELMKEW-NDBYEHHHSA-N 0 1 294.399 0.731 20 30 CCEDMN N#Cc1cc(NC[C@H]2COCCN2)nc(C2CC2)n1 ZINC001170044554 836781464 /nfs/dbraw/zinc/78/14/64/836781464.db2.gz MTSYKIGSKJLAAV-NSHDSACASA-N 0 1 259.313 0.626 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cnnn2C)CC1 ZINC001112815343 836926909 /nfs/dbraw/zinc/92/69/09/836926909.db2.gz NJPNSVAQKCZKKS-LBPRGKRZSA-N 0 1 277.372 0.928 20 30 CCEDMN C=CCCCN1CCN(C(=O)C[C@H](C)NC(N)=O)CC1 ZINC001112853546 836942807 /nfs/dbraw/zinc/94/28/07/836942807.db2.gz CQOZAUHISGVBGO-LBPRGKRZSA-N 0 1 282.388 0.544 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2COCCN2CC)CC1 ZINC001113050623 837012374 /nfs/dbraw/zinc/01/23/74/837012374.db2.gz QBYKTGOMYDBOKX-OAHLLOKOSA-N 0 1 295.427 0.818 20 30 CCEDMN Cc1cc(N[C@H](C)[C@@H](C)NC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001113116975 837024852 /nfs/dbraw/zinc/02/48/52/837024852.db2.gz QBMWFFZAZQFCAO-NXEZZACHSA-N 0 1 299.338 0.421 20 30 CCEDMN Cc1cc(N[C@H](C)[C@@H](C)NC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001113116975 837024859 /nfs/dbraw/zinc/02/48/59/837024859.db2.gz QBMWFFZAZQFCAO-NXEZZACHSA-N 0 1 299.338 0.421 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1cncc(C#N)n1 ZINC001113116468 837025714 /nfs/dbraw/zinc/02/57/14/837025714.db2.gz IUUCNNXYSGQOJB-JGVFFNPUSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1cncc(C#N)n1 ZINC001113116468 837025726 /nfs/dbraw/zinc/02/57/26/837025726.db2.gz IUUCNNXYSGQOJB-JGVFFNPUSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](COC)OC)CC1 ZINC001113331192 837090130 /nfs/dbraw/zinc/09/01/30/837090130.db2.gz MEFMMYMFTNHLRG-GFCCVEGCSA-N 0 1 256.346 0.368 20 30 CCEDMN C=CCCCN1CCN(C(=O)CC(=O)N(C)C)CC1 ZINC001113414373 837111701 /nfs/dbraw/zinc/11/17/01/837111701.db2.gz UTOPFZZGQUFOKH-UHFFFAOYSA-N 0 1 267.373 0.575 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)N(C)c1ncnc2[nH]cnc21 ZINC001113585567 837167915 /nfs/dbraw/zinc/16/79/15/837167915.db2.gz HIOKBKSLCKVXGK-JTQLQIEISA-N 0 1 298.350 0.707 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2csnn2)[C@@H](O)C1 ZINC001090454292 837170233 /nfs/dbraw/zinc/17/02/33/837170233.db2.gz ZDENVCTUECCCSC-KOLCDFICSA-N 0 1 282.369 0.279 20 30 CCEDMN CC(C)C#CC(=O)NCCCNCc1ncccn1 ZINC001157633288 837189438 /nfs/dbraw/zinc/18/94/38/837189438.db2.gz UNUDYRXZEHLMSI-UHFFFAOYSA-N 0 1 260.341 0.732 20 30 CCEDMN COCC(=O)N[C@@H]1[C@H]2CN(CC#Cc3ccccc3)C[C@H]21 ZINC001113764853 837223601 /nfs/dbraw/zinc/22/36/01/837223601.db2.gz OVYICAKBIVMKOY-QLPKVWCKSA-N 0 1 284.359 0.731 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)on1 ZINC001113820728 837281624 /nfs/dbraw/zinc/28/16/24/837281624.db2.gz ZGFBSLWWZCHQIJ-IMRBUKKESA-N 0 1 289.335 0.293 20 30 CCEDMN CCOC(=O)C(C#N)Nc1nc(Cl)cc(C#N)n1 ZINC001170366335 837311524 /nfs/dbraw/zinc/31/15/24/837311524.db2.gz MCLYFGVCWOOIAW-SSDOTTSWSA-N 0 1 265.660 0.869 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccn1C ZINC001113859279 837341401 /nfs/dbraw/zinc/34/14/01/837341401.db2.gz CCEGXFKWSZPLQA-NHAGDIPZSA-N 0 1 287.363 0.335 20 30 CCEDMN CC[C@H](C)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001113888466 837355189 /nfs/dbraw/zinc/35/51/89/837355189.db2.gz KASMUURDMWSIME-IGQOVBAYSA-N 0 1 264.369 0.729 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(C)CCC2)[C@@H](O)C1 ZINC001090494377 837921553 /nfs/dbraw/zinc/92/15/53/837921553.db2.gz YGCRINDIOUPUPR-NEPJUHHUSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCN1CCOC[C@@H]1c1nc(CNC(=O)C(C)C)n[nH]1 ZINC001130829312 837937239 /nfs/dbraw/zinc/93/72/39/837937239.db2.gz VWKYUMOFRHJSLA-LLVKDONJSA-N 0 1 293.371 0.636 20 30 CCEDMN C=CCN1CCOC[C@@H]1c1nnc(CNC(=O)C(C)C)[nH]1 ZINC001130829312 837937243 /nfs/dbraw/zinc/93/72/43/837937243.db2.gz VWKYUMOFRHJSLA-LLVKDONJSA-N 0 1 293.371 0.636 20 30 CCEDMN C=CCCC(=O)NCc1n[nH]c([C@H]2COCCN2CC)n1 ZINC001130831710 837937543 /nfs/dbraw/zinc/93/75/43/837937543.db2.gz NBIVRPFDQCWCSA-LLVKDONJSA-N 0 1 293.371 0.780 20 30 CCEDMN C=CCCC(=O)NCc1nnc([C@H]2COCCN2CC)[nH]1 ZINC001130831710 837937544 /nfs/dbraw/zinc/93/75/44/837937544.db2.gz NBIVRPFDQCWCSA-LLVKDONJSA-N 0 1 293.371 0.780 20 30 CCEDMN CCN1C[C@@H](F)C[C@@H]1c1nc(CNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001131151671 838008850 /nfs/dbraw/zinc/00/88/50/838008850.db2.gz LLLPBZPKKNVJLH-LPEHRKFASA-N 0 1 294.334 0.685 20 30 CCEDMN CCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(=O)[C@@H](C)C#N)[nH]1 ZINC001131151671 838008861 /nfs/dbraw/zinc/00/88/61/838008861.db2.gz LLLPBZPKKNVJLH-LPEHRKFASA-N 0 1 294.334 0.685 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)CC(N)=O)C1 ZINC001184840903 844296163 /nfs/dbraw/zinc/29/61/63/844296163.db2.gz GTQXBJVIEMPBOX-PSASIEDQSA-N 0 1 273.764 0.441 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)COCCC)C[C@@H](C)O2 ZINC001131641449 838162876 /nfs/dbraw/zinc/16/28/76/838162876.db2.gz FKEQBIPFRLXQFD-ZBFHGGJFSA-N 0 1 294.395 0.738 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CC(=O)N[C@@H](CC)C1 ZINC001131681324 838173364 /nfs/dbraw/zinc/17/33/64/838173364.db2.gz ZQJMDTMICCLGSY-MNOVXSKESA-N 0 1 287.791 0.750 20 30 CCEDMN C[C@]1(C(=O)NCCNCC#Cc2ccccc2)CNC(=O)C1 ZINC001131684588 838175007 /nfs/dbraw/zinc/17/50/07/838175007.db2.gz IRLUIHOUDYCHBX-QGZVFWFLSA-N 0 1 299.374 0.270 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](OCC)[C@H]1CCOC1 ZINC001131884374 838256160 /nfs/dbraw/zinc/25/61/60/838256160.db2.gz LLODRAGGTBXODK-RYUDHWBXSA-N 0 1 290.791 0.886 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCn2ccnc2)CC[C@@H]1C ZINC001132221351 838340907 /nfs/dbraw/zinc/34/09/07/838340907.db2.gz GGLAIKRTWSJQLO-UONOGXRCSA-N 0 1 274.368 0.876 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](NC(=O)C2(C(N)=O)CC2)CC[C@@H]1C ZINC001132253150 838343275 /nfs/dbraw/zinc/34/32/75/838343275.db2.gz OUYPWNXUBIRGEW-QWRGUYRKSA-N 0 1 265.357 0.407 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C2(C(N)=O)CC2)CC[C@@H]1C ZINC001132253150 838343279 /nfs/dbraw/zinc/34/32/79/838343279.db2.gz OUYPWNXUBIRGEW-QWRGUYRKSA-N 0 1 265.357 0.407 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COc2cnn(C)c2)CC[C@H]1C ZINC001132246138 838343376 /nfs/dbraw/zinc/34/33/76/838343376.db2.gz QHRKJYCZCFCIFA-CHWSQXEVSA-N 0 1 290.367 0.401 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](NC(=O)COc2cnn(C)c2)CC[C@@H]1C ZINC001132244489 838345684 /nfs/dbraw/zinc/34/56/84/838345684.db2.gz ISASJHWMXSLUPX-STQMWFEESA-N 0 1 292.383 0.954 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)COc2cnn(C)c2)CC[C@@H]1C ZINC001132244489 838345693 /nfs/dbraw/zinc/34/56/93/838345693.db2.gz ISASJHWMXSLUPX-STQMWFEESA-N 0 1 292.383 0.954 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@H](NC(=O)C2(C(N)=O)CC2)CC[C@H]1C ZINC001132261349 838346980 /nfs/dbraw/zinc/34/69/80/838346980.db2.gz MASGPDGUURPNGD-VXGBXAGGSA-N 0 1 279.384 0.797 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2csc(=O)[nH]2)[C@@H](O)C1 ZINC001090504323 838351627 /nfs/dbraw/zinc/35/16/27/838351627.db2.gz OKFGURLNQFWSDS-ONGXEEELSA-N 0 1 295.364 0.037 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001132304282 838358784 /nfs/dbraw/zinc/35/87/84/838358784.db2.gz LSRBUCOMDRIOQF-UHFFFAOYSA-N 0 1 265.361 0.921 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@H](C)CC(N)=O ZINC001132350888 838371711 /nfs/dbraw/zinc/37/17/11/838371711.db2.gz YQSIPJNAJMKUEV-SSDOTTSWSA-N 0 1 292.177 0.112 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCn2cnnn2)CC[C@@H]1C ZINC001132380749 838381145 /nfs/dbraw/zinc/38/11/45/838381145.db2.gz OSONTOOCMOZXEL-STQMWFEESA-N 0 1 290.371 0.056 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C(=O)NCC2CC2)CC[C@H]1C ZINC001132383985 838382758 /nfs/dbraw/zinc/38/27/58/838382758.db2.gz HIVZHGFVGMRSSU-DGCLKSJQSA-N 0 1 279.384 0.668 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(=O)NCC2CC2)CC[C@@H]1C ZINC001132402393 838384697 /nfs/dbraw/zinc/38/46/97/838384697.db2.gz JTASRBBTNXLMEJ-JSGCOSHPSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001132573803 838440427 /nfs/dbraw/zinc/44/04/27/838440427.db2.gz QZRCWTXKNRDRBX-UWVGGRQHSA-N 0 1 265.361 0.777 20 30 CCEDMN C=CCCCC(=O)NCCNCC(=O)Nc1cc(C)on1 ZINC001133154789 838563127 /nfs/dbraw/zinc/56/31/27/838563127.db2.gz SVBBLRHBEYZMPP-UHFFFAOYSA-N 0 1 294.355 0.984 20 30 CCEDMN C=CC[C@@H](Nc1ncnc2c1CCNC2)C(=O)OC ZINC001158857733 838622580 /nfs/dbraw/zinc/62/25/80/838622580.db2.gz FVAZDZTXBTWRKA-SNVBAGLBSA-N 0 1 262.313 0.652 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2cccc(C)c2)CC1 ZINC000105996983 838652432 /nfs/dbraw/zinc/65/24/32/838652432.db2.gz UKRRJMLDEVVKRZ-UHFFFAOYSA-N 0 1 271.364 0.866 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@@H](C)NCc1nonc1C ZINC001133909726 838773736 /nfs/dbraw/zinc/77/37/36/838773736.db2.gz QAMWTWDDPHPIKC-GHMZBOCLSA-N 0 1 296.371 0.954 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1cc(C)no1 ZINC001134058415 838848608 /nfs/dbraw/zinc/84/86/08/838848608.db2.gz MUJORXCBEWZSON-OLZOCXBDSA-N 0 1 279.340 0.780 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(C)c(C(N)=O)cn1 ZINC001134091130 838863890 /nfs/dbraw/zinc/86/38/90/838863890.db2.gz ZYZSBMMVVOSWTC-UHFFFAOYSA-N 0 1 296.758 0.561 20 30 CCEDMN Cc1nnc(CN[C@H](C)C[C@H](C)NC(=O)C#CC2CC2)[nH]1 ZINC001134322593 838946828 /nfs/dbraw/zinc/94/68/28/838946828.db2.gz UAKUGBYDVSLCLL-MNOVXSKESA-N 0 1 289.383 0.899 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000720509151 849476151 /nfs/dbraw/zinc/47/61/51/849476151.db2.gz GPYITKHFUZNEBZ-OUAUKWLOSA-N 0 1 253.371 0.842 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1ccncn1 ZINC001135542904 839284259 /nfs/dbraw/zinc/28/42/59/839284259.db2.gz ZJSPGKLNZRXSHZ-UHFFFAOYSA-N 0 1 280.331 0.848 20 30 CCEDMN CC[C@H](C(N)=O)[N@@H+](C)CCCN(C)C(=O)C#CC(C)C ZINC001273507500 844451289 /nfs/dbraw/zinc/45/12/89/844451289.db2.gz COAIGZXKZODPLB-CYBMUJFWSA-N 0 1 281.400 0.690 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ocnc2C2CC2)[C@H](O)C1 ZINC001090571619 839651043 /nfs/dbraw/zinc/65/10/43/839651043.db2.gz YOIDTRJPSXXOLN-NWDGAFQWSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(OC)o2)[C@@H](O)C1 ZINC001090672865 839728304 /nfs/dbraw/zinc/72/83/04/839728304.db2.gz ZMJNHTKUEYBAAB-MNOVXSKESA-N 0 1 280.324 0.639 20 30 CCEDMN COc1cc(C#N)nc(Nc2nccnc2CN)c1 ZINC001160245980 839735888 /nfs/dbraw/zinc/73/58/88/839735888.db2.gz QKQUUWMKWCSSKI-UHFFFAOYSA-N 0 1 256.269 0.954 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]nc2C2CC2)[C@@H](O)C1 ZINC001090696351 839743845 /nfs/dbraw/zinc/74/38/45/839743845.db2.gz RGRPPPINUDYLKC-STQMWFEESA-N 0 1 290.367 0.638 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(C(C)C)c2)[C@@H](O)C1 ZINC001090721023 839753028 /nfs/dbraw/zinc/75/30/28/839753028.db2.gz DKEQLNRCIOMFAC-KBPBESRZSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2conc2COC)[C@H](O)C1 ZINC001090720094 839754429 /nfs/dbraw/zinc/75/44/29/839754429.db2.gz UBEJATZVNQABEM-DGCLKSJQSA-N 0 1 295.339 0.172 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(CC=C)C[C@H]2O)cn1 ZINC001090738002 839765499 /nfs/dbraw/zinc/76/54/99/839765499.db2.gz VMDMUQCCDIUFPW-LSDHHAIUSA-N 0 1 285.347 0.414 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)noc2CC)[C@@H](O)C1 ZINC001090802550 839824059 /nfs/dbraw/zinc/82/40/59/839824059.db2.gz PGRLNABCMLYBCQ-NEPJUHHUSA-N 0 1 293.367 0.896 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccc(C)nc2)[C@@H](O)C1 ZINC001090812127 839829335 /nfs/dbraw/zinc/82/93/35/839829335.db2.gz CFIRBFGJIWGPLS-GJZGRUSLSA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccc[nH]2)[C@H](O)C1 ZINC001090823188 839840501 /nfs/dbraw/zinc/84/05/01/839840501.db2.gz HZXQKJRTVVEMMQ-CHWSQXEVSA-N 0 1 263.341 0.295 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nonc2C)[C@@H](O)C1 ZINC001090844789 839848403 /nfs/dbraw/zinc/84/84/03/839848403.db2.gz UASPFQRINJRMMN-MNOVXSKESA-N 0 1 280.328 0.119 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H](C)COC)C1 ZINC001149384714 840128095 /nfs/dbraw/zinc/12/80/95/840128095.db2.gz WKVSJGITWKZTID-QWHCGFSZSA-N 0 1 270.373 0.662 20 30 CCEDMN COc1cccc(C[C@H](N)C(=O)N2CCOC[C@H]2C#N)c1 ZINC001144936204 840197301 /nfs/dbraw/zinc/19/73/01/840197301.db2.gz OLVVBXNKYCOGJE-OCCSQVGLSA-N 0 1 289.335 0.316 20 30 CCEDMN COc1nccc(NC(=N)c2ccc(C(N)=O)cc2)c1N ZINC001171257070 840220003 /nfs/dbraw/zinc/22/00/03/840220003.db2.gz WRBHTVLPQSRITC-UHFFFAOYSA-N 0 1 285.307 0.808 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@H]3CN(CC#N)C[C@@]3(C)C2)[nH]1 ZINC001091541491 840257855 /nfs/dbraw/zinc/25/78/55/840257855.db2.gz RFJGLVDFNSMPBB-RISCZKNCSA-N 0 1 273.340 0.636 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)CCOC)C2)C1 ZINC001146867788 840389555 /nfs/dbraw/zinc/38/95/55/840389555.db2.gz UTOVNYSFPXFODS-UHFFFAOYSA-N 0 1 280.368 0.207 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn([C@H]2CCOC2)nn1 ZINC001147015087 840464002 /nfs/dbraw/zinc/46/40/02/840464002.db2.gz LRZGZKKBVMGUER-JTQLQIEISA-N 0 1 299.762 0.311 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CS(=O)(=O)C(C)(C)C ZINC001147762070 840675611 /nfs/dbraw/zinc/67/56/11/840675611.db2.gz PELLJXXAZKGXBI-UHFFFAOYSA-N 0 1 296.820 0.658 20 30 CCEDMN O=C(C=Cc1ccc(F)cc1)C(=O)Nc1nn[nH]n1 ZINC001147751588 840683031 /nfs/dbraw/zinc/68/30/31/840683031.db2.gz CFVVRHAHXOGSGD-UTCJRWHESA-N 0 1 261.216 0.560 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cnc(C)nc2)nc1 ZINC001148370312 840794893 /nfs/dbraw/zinc/79/48/93/840794893.db2.gz RVHQORQERMPRQV-UHFFFAOYSA-N 0 1 295.346 0.681 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2n[nH]c(C)n2)cn1 ZINC001148383200 840798746 /nfs/dbraw/zinc/79/87/46/840798746.db2.gz XLYJIWURGSTIAG-UHFFFAOYSA-N 0 1 284.323 0.009 20 30 CCEDMN C[C@@]12CN(CC#N)C[C@@H]1CN(C(=O)CCc1cnc[nH]1)C2 ZINC001091724893 840825978 /nfs/dbraw/zinc/82/59/78/840825978.db2.gz UNKLITDCSIZKHU-DOMZBBRYSA-N 0 1 287.367 0.646 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)CCSC)[C@@H]2C1 ZINC001186770973 844600888 /nfs/dbraw/zinc/60/08/88/844600888.db2.gz INIMQFJICSWYDD-UONOGXRCSA-N 0 1 296.436 0.922 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)COC)[C@@H](O)C1 ZINC001099921251 841087607 /nfs/dbraw/zinc/08/76/07/841087607.db2.gz MFEHHTCTOOFFNP-RYUDHWBXSA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c[nH]c(C)cc2=O)[C@H](C)C1 ZINC001093102309 841140301 /nfs/dbraw/zinc/14/03/01/841140301.db2.gz CFNWIHFEFDSFKM-DGCLKSJQSA-N 0 1 287.363 0.614 20 30 CCEDMN CCOC(=O)C1(NC(=O)[C@@H]2C[C@@H]2C#N)CCN(C)CC1 ZINC001186986249 844622936 /nfs/dbraw/zinc/62/29/36/844622936.db2.gz XODCYILHXFPVCN-GHMZBOCLSA-N 0 1 279.340 0.290 20 30 CCEDMN Cc1nc(CC(=O)NCCNc2ccc(C#N)c(C)n2)n[nH]1 ZINC001093608727 841379985 /nfs/dbraw/zinc/37/99/85/841379985.db2.gz WESLDEZVOMZODQ-UHFFFAOYSA-N 0 1 299.338 0.459 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCCNc1cnc(C#N)cn1 ZINC001094248936 841568401 /nfs/dbraw/zinc/56/84/01/841568401.db2.gz YJMUPKBNNJESQA-GFCCVEGCSA-N 0 1 288.355 0.361 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCCNc1ccncc1C#N ZINC001094339564 841608936 /nfs/dbraw/zinc/60/89/36/841608936.db2.gz ADUXQNVRBKIOFQ-CQSZACIVSA-N 0 1 287.367 0.388 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2nonc2C)nc1 ZINC001171585316 841733375 /nfs/dbraw/zinc/73/33/75/841733375.db2.gz PUUIMXPJRRZYKF-UHFFFAOYSA-N 0 1 299.334 0.664 20 30 CCEDMN N#Cc1cnc(NCCCNC(=O)CCc2cnc[nH]2)cn1 ZINC001094855711 841779389 /nfs/dbraw/zinc/77/93/89/841779389.db2.gz FEWXRRBWSQQYPJ-UHFFFAOYSA-N 0 1 299.338 0.622 20 30 CCEDMN COC(=O)N1CCC([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)CC1 ZINC001172733131 841958185 /nfs/dbraw/zinc/95/81/85/841958185.db2.gz BJAATRXGXCQIAD-WPRPVWTQSA-N 0 1 271.317 0.043 20 30 CCEDMN CC(C)(C)OC(=O)N1CC[C@@H](NCC(N)=O)C[C@H]1C#N ZINC001172968737 841990890 /nfs/dbraw/zinc/99/08/90/841990890.db2.gz SNODUCLVISCBCK-ZJUUUORDSA-N 0 1 282.344 0.353 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)[nH]n1)C2 ZINC001095139979 842058042 /nfs/dbraw/zinc/05/80/42/842058042.db2.gz QBHIBXVPFZYFDJ-USWWRNFRSA-N 0 1 274.324 0.703 20 30 CCEDMN C[N@H+]1CCC[C@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001095240831 842123975 /nfs/dbraw/zinc/12/39/75/842123975.db2.gz GMUAQXSTAVWMFX-VOAKCMCISA-N 0 1 262.357 0.326 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001095240831 842123980 /nfs/dbraw/zinc/12/39/80/842123980.db2.gz GMUAQXSTAVWMFX-VOAKCMCISA-N 0 1 262.357 0.326 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCNC(=O)C1)C2 ZINC001095289829 842171547 /nfs/dbraw/zinc/17/15/47/842171547.db2.gz NJPZJUNSCOVIBT-RNJOBUHISA-N 0 1 277.368 0.420 20 30 CCEDMN N#Cc1cccc([C@@H](O)CN2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001175410237 842247541 /nfs/dbraw/zinc/24/75/41/842247541.db2.gz HSFDWRXWBXSKCB-RDBSUJKOSA-N 0 1 262.309 0.019 20 30 CCEDMN CCC#C[C@@H](C)N1CCCN(CC(=O)N(C)C)CC1 ZINC001176167212 842334442 /nfs/dbraw/zinc/33/44/42/842334442.db2.gz PCNULTDOXVVVSX-CQSZACIVSA-N 0 1 265.401 0.884 20 30 CCEDMN N=C(c1nonc1N)N(O)C(=O)C(F)(F)c1ccccn1 ZINC001177296517 842536301 /nfs/dbraw/zinc/53/63/01/842536301.db2.gz ITTIOFNTMNIHMO-UHFFFAOYSA-N 0 1 298.209 0.382 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1ccon1 ZINC001177269426 842540988 /nfs/dbraw/zinc/54/09/88/842540988.db2.gz MBGKDFBAXGBQHM-LLVKDONJSA-N 0 1 267.329 0.862 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)C1=Cc2cccn2C1=O ZINC001177817840 842668980 /nfs/dbraw/zinc/66/89/80/842668980.db2.gz STJMOOOZIQOIIT-UHFFFAOYSA-N 0 1 253.221 0.759 20 30 CCEDMN N#CN=C(NC(O)=Cc1ccc[nH]1)c1ccncc1 ZINC001177872525 842686822 /nfs/dbraw/zinc/68/68/22/842686822.db2.gz FDRAXQQHYDSJNV-UHFFFAOYSA-N 0 1 253.265 0.996 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC(CO)C1 ZINC001177908928 842706241 /nfs/dbraw/zinc/70/62/41/842706241.db2.gz GJWFCAMXIAXAMD-JTQLQIEISA-N 0 1 250.323 0.881 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NCCn1ccnn1 ZINC001177905783 842706370 /nfs/dbraw/zinc/70/63/70/842706370.db2.gz ZMVSMVGDGFWNGN-SNVBAGLBSA-N 0 1 275.337 0.838 20 30 CCEDMN CC#CC(=O)Nc1ccc(N2CCN(CCO)CC2)cc1 ZINC001179751291 843046349 /nfs/dbraw/zinc/04/63/49/843046349.db2.gz DIUMSSXOQUIBKI-UHFFFAOYSA-N 0 1 287.363 0.763 20 30 CCEDMN CC(C)N1CCN(CC(=O)N2CC(C)(C#N)C2)CC1 ZINC001180342756 843146683 /nfs/dbraw/zinc/14/66/83/843146683.db2.gz YKOVBYHELOHNEU-UHFFFAOYSA-N 0 1 264.373 0.384 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@]1(C)CNCC#N ZINC001180668098 843256919 /nfs/dbraw/zinc/25/69/19/843256919.db2.gz DGVSYOLGCQPQCS-ZWNOBZJWSA-N 0 1 261.329 0.621 20 30 CCEDMN N#Cc1cc(CC(=O)Nc2cn[nH]c2C(N)=O)ccc1F ZINC001181169383 843419659 /nfs/dbraw/zinc/41/96/59/843419659.db2.gz DXMJSAZYYPEMIF-UHFFFAOYSA-N 0 1 287.254 0.701 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(=O)CCC)C1 ZINC001181369242 843468498 /nfs/dbraw/zinc/46/84/98/843468498.db2.gz ATDFHOYBOLKUKS-LLVKDONJSA-N 0 1 287.791 0.846 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2ocnc2C)C1 ZINC001181618536 843556377 /nfs/dbraw/zinc/55/63/77/843556377.db2.gz UGQPNJJKNXGEHB-GFCCVEGCSA-N 0 1 279.340 0.876 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N[C@@H](C)c2n[nH]c(C)n2)C1 ZINC001181618780 843556720 /nfs/dbraw/zinc/55/67/20/843556720.db2.gz ZLKFQYXAUGVVLR-CMPLNLGQSA-N 0 1 293.371 0.567 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N[C@@H](CC(C)C)C(=O)NO ZINC001182002514 843670887 /nfs/dbraw/zinc/67/08/87/843670887.db2.gz UICBDMOEHACQPB-NWDGAFQWSA-N 0 1 285.388 0.897 20 30 CCEDMN CN1CCC(O)(C(=O)NC2=CC(=O)CCC2)CC1 ZINC001182031598 843682454 /nfs/dbraw/zinc/68/24/54/843682454.db2.gz BWXVVWGGWHSVPG-UHFFFAOYSA-N 0 1 252.314 0.196 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCN(C)C(=O)C(F)F ZINC001273474239 843756600 /nfs/dbraw/zinc/75/66/00/843756600.db2.gz FWJSBXDFTUJSSJ-SNVBAGLBSA-N 0 1 291.342 0.723 20 30 CCEDMN N#CCSCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCCO ZINC001110302320 843825408 /nfs/dbraw/zinc/82/54/08/843825408.db2.gz YGLVYZIGZIWPAE-UTUOFQBUSA-N 0 1 283.397 0.347 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@H](NCc2cc(C)no2)C1 ZINC001182496762 843846227 /nfs/dbraw/zinc/84/62/27/843846227.db2.gz QEYFALPFYSRCQM-CHWSQXEVSA-N 0 1 291.351 0.712 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)[C@@H]1CCCN1CC1CC1 ZINC001182559393 843860372 /nfs/dbraw/zinc/86/03/72/843860372.db2.gz JYMDTVAMQDGGMC-RYUDHWBXSA-N 0 1 279.340 0.432 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)NC(=O)CC)C1 ZINC001182764152 843905464 /nfs/dbraw/zinc/90/54/64/843905464.db2.gz JUSJMOBIIBKEAA-GHMZBOCLSA-N 0 1 287.791 0.844 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1CC[C@@H](NCC#N)C1 ZINC001182974252 843952191 /nfs/dbraw/zinc/95/21/91/843952191.db2.gz YUYROMGWQLDNRK-VXGBXAGGSA-N 0 1 270.352 0.380 20 30 CCEDMN C#CCCCN1CC2(C1)COCC(=O)N2CCCOC ZINC001273541423 844730138 /nfs/dbraw/zinc/73/01/38/844730138.db2.gz ARRBROGJYPJMDG-UHFFFAOYSA-N 0 1 280.368 0.350 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@](C)(O)C=C)[C@@H]2C1 ZINC001187755491 844765129 /nfs/dbraw/zinc/76/51/29/844765129.db2.gz MWKZYAUEDHVRBY-GUTXKFCHSA-N 0 1 262.353 0.479 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C(F)F)C1 ZINC001188566618 844907993 /nfs/dbraw/zinc/90/79/93/844907993.db2.gz SPYPVDXGBBYYEQ-VHSXEESVSA-N 0 1 289.326 0.475 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)CCOC)C1 ZINC001188600905 844917749 /nfs/dbraw/zinc/91/77/49/844917749.db2.gz SZWVRKGVIAUACN-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)CC2CC2)C1 ZINC001188617266 844920343 /nfs/dbraw/zinc/92/03/43/844920343.db2.gz GZDAAAFLWRVTHD-JSGCOSHPSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)NC(C)=O)C1 ZINC001188766754 844973145 /nfs/dbraw/zinc/97/31/45/844973145.db2.gz ZDPUJOHISRLKOA-DGCLKSJQSA-N 0 1 265.357 0.067 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnn(C)c2C)C1 ZINC001188870439 844987556 /nfs/dbraw/zinc/98/75/56/844987556.db2.gz RLYNHBPDZPNGNR-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCn2cncn2)C1 ZINC001188898255 844993762 /nfs/dbraw/zinc/99/37/62/844993762.db2.gz SHKNWNGZTOFYMM-ZDUSSCGKSA-N 0 1 275.356 0.224 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)OCC)C1 ZINC001189023298 845025990 /nfs/dbraw/zinc/02/59/90/845025990.db2.gz UCXKHCNJORRCEK-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN CC[C@@H](OC)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189214203 845088752 /nfs/dbraw/zinc/08/87/52/845088752.db2.gz FDRHDHCVMYARDV-UONOGXRCSA-N 0 1 282.384 0.594 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@@H]2CCCNC2=O)C1 ZINC001189279099 845091139 /nfs/dbraw/zinc/09/11/39/845091139.db2.gz FVRPMXANERBHDK-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)OCCOC)[C@@H]2C1 ZINC001189316873 845108233 /nfs/dbraw/zinc/10/82/33/845108233.db2.gz FSNKCPRPEHRBRP-QLFBSQMISA-N 0 1 294.395 0.594 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cocn2)C1 ZINC001189338224 845111567 /nfs/dbraw/zinc/11/15/67/845111567.db2.gz OXCUYFBWLVKVIB-GFCCVEGCSA-N 0 1 277.324 0.471 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2nnn(C)c2C)C1 ZINC001189500632 845149919 /nfs/dbraw/zinc/14/99/19/845149919.db2.gz WYVMVOSAMOVOGH-GFCCVEGCSA-N 0 1 275.356 0.293 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001189743897 845199714 /nfs/dbraw/zinc/19/97/14/845199714.db2.gz KEMPYJMFGKPBJJ-STQMWFEESA-N 0 1 279.384 0.587 20 30 CCEDMN CC[C@@H](C)OCC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189727297 845199889 /nfs/dbraw/zinc/19/98/89/845199889.db2.gz KWSXLCJZJSYFPW-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN CC(=O)Nc1ccc(C(=O)Nc2nc[nH]c2C#N)cn1 ZINC001189900521 845285989 /nfs/dbraw/zinc/28/59/89/845285989.db2.gz KEHOUVXSVOQOSJ-UHFFFAOYSA-N 0 1 270.252 0.887 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2nccc(C)n2)C1 ZINC001189902982 845287883 /nfs/dbraw/zinc/28/78/83/845287883.db2.gz RHPGUTKZWMLOAX-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2nccc(C)n2)C1 ZINC001189902982 845287893 /nfs/dbraw/zinc/28/78/93/845287893.db2.gz RHPGUTKZWMLOAX-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](O)C(C)C)C1 ZINC001189956515 845298074 /nfs/dbraw/zinc/29/80/74/845298074.db2.gz RPQSXMMVFUPYQK-QWHCGFSZSA-N 0 1 252.358 0.559 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC2(O)CCC2)C1 ZINC001190015738 845302337 /nfs/dbraw/zinc/30/23/37/845302337.db2.gz RMULUISCXPMSRU-CYBMUJFWSA-N 0 1 264.369 0.848 20 30 CCEDMN C[C@@H](C(=O)N(C)C)N(C)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001190035305 845313745 /nfs/dbraw/zinc/31/37/45/845313745.db2.gz CMDYLDNXMRGCNE-GXTWGEPZSA-N 0 1 291.395 0.409 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@H](N(C)CC#CC)C1 ZINC001190105617 845323890 /nfs/dbraw/zinc/32/38/90/845323890.db2.gz PHDDWVPBDFQQFW-UONOGXRCSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)NC(=O)CC)C1 ZINC001190307797 845384174 /nfs/dbraw/zinc/38/41/74/845384174.db2.gz MBOBBNDPPBBSOT-NEPJUHHUSA-N 0 1 265.357 0.067 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2cn[nH]c2C(N)=O)nc1 ZINC001190581892 845438624 /nfs/dbraw/zinc/43/86/24/845438624.db2.gz ZTRXKKSZVMWYBJ-UHFFFAOYSA-N 0 1 256.225 0.028 20 30 CCEDMN N#C[C@@H]1CCCN(S(=O)(=O)c2ncc[nH]2)CC1 ZINC001190707933 845459260 /nfs/dbraw/zinc/45/92/60/845459260.db2.gz SITRLIKAIRGXOE-SECBINFHSA-N 0 1 254.315 0.724 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnn3ncccc23)C1 ZINC001190696035 845472391 /nfs/dbraw/zinc/47/23/91/845472391.db2.gz ISRJGAOMXSBNJY-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CC2CCCC2)C1 ZINC001190750652 845489471 /nfs/dbraw/zinc/48/94/71/845489471.db2.gz MPWANTGOGBRVNI-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN CC#CC[N@H+](C)[C@@H]1CCN(C(=O)C2CC(OC)C2)C1 ZINC001190819280 845510057 /nfs/dbraw/zinc/51/00/57/845510057.db2.gz WOCHMRKYWUTSTH-ROKHWSDSSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C2CC(OC)C2)C1 ZINC001190819280 845510060 /nfs/dbraw/zinc/51/00/60/845510060.db2.gz WOCHMRKYWUTSTH-ROKHWSDSSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001190897986 845537856 /nfs/dbraw/zinc/53/78/56/845537856.db2.gz AJFQWSQJBFIRNE-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2(COCC)CC2)C1 ZINC001191012068 845567043 /nfs/dbraw/zinc/56/70/43/845567043.db2.gz WVNDKHDSAJTQPF-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)CSC(C)C)C1 ZINC001191149068 845596335 /nfs/dbraw/zinc/59/63/35/845596335.db2.gz BXOCAORIJMRAHF-VXGBXAGGSA-N 0 1 272.414 0.865 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cncn2C)C1 ZINC001191177815 845610808 /nfs/dbraw/zinc/61/08/08/845610808.db2.gz MQBMUGDLWWFIFC-GFCCVEGCSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001191248703 845618706 /nfs/dbraw/zinc/61/87/06/845618706.db2.gz VTQQTBGPKNWLFD-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001191248705 845620369 /nfs/dbraw/zinc/62/03/69/845620369.db2.gz VTQQTBGPKNWLFD-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2cn(CC)nn2)C1 ZINC001191468568 845667674 /nfs/dbraw/zinc/66/76/74/845667674.db2.gz JIUZABXDAKCKTG-CQSZACIVSA-N 0 1 289.383 0.397 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCc2ccnn2C)C[C@H]1O ZINC001191724387 845716903 /nfs/dbraw/zinc/71/69/03/845716903.db2.gz CXZYLIPQMZZUFS-ZIAGYGMSSA-N 0 1 292.383 0.090 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)CCC)C1 ZINC001191686939 845719906 /nfs/dbraw/zinc/71/99/06/845719906.db2.gz LOMVLEJGDQNUEN-BFHYXJOUSA-N 0 1 266.385 0.997 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCC(=O)NCC)C1 ZINC001191776980 845724989 /nfs/dbraw/zinc/72/49/89/845724989.db2.gz BHUBVTRWAOFWRQ-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC001191770483 845725510 /nfs/dbraw/zinc/72/55/10/845725510.db2.gz GHYAMECOTQTWBJ-IHRRRGAJSA-N 0 1 264.369 0.825 20 30 CCEDMN Cc1cccc(CN2C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C2)c1 ZINC001191853172 845741873 /nfs/dbraw/zinc/74/18/73/845741873.db2.gz CAQMZPHEXLNUDG-BPLDGKMQSA-N 0 1 287.363 0.816 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001191839337 845747762 /nfs/dbraw/zinc/74/77/62/845747762.db2.gz QEWUEOQCGSPIAH-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C=C(CC)CC)C1 ZINC001192205312 845800761 /nfs/dbraw/zinc/80/07/61/845800761.db2.gz FFIGWRRWSWVEFP-ZIAGYGMSSA-N 0 1 264.369 0.917 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1O ZINC001192320525 845820922 /nfs/dbraw/zinc/82/09/22/845820922.db2.gz ICHAKNCNUVEQMO-MGPQQGTHSA-N 0 1 282.384 0.376 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1O ZINC001192509945 845859686 /nfs/dbraw/zinc/85/96/86/845859686.db2.gz GDIIGKMDVVTBBY-ZIAGYGMSSA-N 0 1 264.369 0.917 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[N@@H+](CCCCOC)C[C@H]1O ZINC001192518151 845863267 /nfs/dbraw/zinc/86/32/67/845863267.db2.gz ONOQTJWDESDQGR-ZIAGYGMSSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COC[C@H]2CCOC2)C1 ZINC001192631838 845888334 /nfs/dbraw/zinc/88/83/34/845888334.db2.gz JEQNCLBYIMQCLR-KBPBESRZSA-N 0 1 280.368 0.205 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C(C)(C)NC(C)=O)C1 ZINC001192906693 845927079 /nfs/dbraw/zinc/92/70/79/845927079.db2.gz PNMNOHUAOARQPD-ZDUSSCGKSA-N 0 1 279.384 0.457 20 30 CCEDMN COCCOc1cc(NS(=O)(=O)CC#N)ccn1 ZINC001192951739 845934582 /nfs/dbraw/zinc/93/45/82/845934582.db2.gz PLLGKEQVVJESCY-UHFFFAOYSA-N 0 1 271.298 0.372 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc2nc[nH]c(=O)c2c1 ZINC001192972370 845957157 /nfs/dbraw/zinc/95/71/57/845957157.db2.gz UWGUYWFKQVAFHZ-UHFFFAOYSA-N 0 1 264.266 0.601 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CCOC2)C1 ZINC001193048258 845963155 /nfs/dbraw/zinc/96/31/55/845963155.db2.gz MVDYJKLGMMDBQU-GDBMZVCRSA-N 0 1 294.395 0.596 20 30 CCEDMN COc1ncnc(Cl)c1NS(=O)(=O)[C@H](C)C#N ZINC001193104282 845979070 /nfs/dbraw/zinc/97/90/70/845979070.db2.gz GZYFEDHKBXAZOI-RXMQYKEDSA-N 0 1 276.705 0.792 20 30 CCEDMN COc1cnc(Cl)c(NS(=O)(=O)[C@@H](C)C#N)n1 ZINC001193111739 845981508 /nfs/dbraw/zinc/98/15/08/845981508.db2.gz KTLJYRDSPAFEIU-YFKPBYRVSA-N 0 1 276.705 0.792 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2nonc2C)C1 ZINC001193171160 845995003 /nfs/dbraw/zinc/99/50/03/845995003.db2.gz RLHSSCDZVJEXBG-GFCCVEGCSA-N 0 1 276.340 0.477 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1O ZINC001193240912 846031925 /nfs/dbraw/zinc/03/19/25/846031925.db2.gz MOIQAOATPKAWFR-NWANDNLSSA-N 0 1 296.411 0.951 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCn2cncn2)C1 ZINC001193278584 846039789 /nfs/dbraw/zinc/03/97/89/846039789.db2.gz HVJMEUSGNOESPD-ZDUSSCGKSA-N 0 1 275.356 0.224 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC(C)(C)C=C)C1 ZINC001193337679 846055263 /nfs/dbraw/zinc/05/52/63/846055263.db2.gz YDLXUCDYXMRLSN-CHWSQXEVSA-N 0 1 264.369 0.773 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCOCCCOC)C1 ZINC001193359110 846061446 /nfs/dbraw/zinc/06/14/46/846061446.db2.gz VWHXISPOCCSDDB-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN Cc1noc(NC(=O)c2ccc(O)c(C#N)c2)c1C(N)=O ZINC001193499638 846093720 /nfs/dbraw/zinc/09/37/20/846093720.db2.gz SYKHSSJGRQRZDU-UHFFFAOYSA-N 0 1 286.247 0.912 20 30 CCEDMN N#Cc1cc(C(=O)Nc2c(N)[nH]c(=O)[nH]c2=O)ccc1O ZINC001193498869 846094282 /nfs/dbraw/zinc/09/42/82/846094282.db2.gz MMWJUEKUODPUGJ-UHFFFAOYSA-N 0 1 287.235 0.300 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCC(=O)NCCC)C1 ZINC001193532323 846094804 /nfs/dbraw/zinc/09/48/04/846094804.db2.gz MVQDRQPEOUMQCG-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN N#Cc1cc(C(=O)N2CCOC[C@@H]2C#N)ccc1O ZINC001193504466 846101171 /nfs/dbraw/zinc/10/11/71/846101171.db2.gz RYSZJKQPMIPYPH-NSHDSACASA-N 0 1 257.249 0.628 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H]2CCCNC(=O)C2)ccc1O ZINC001193519430 846102672 /nfs/dbraw/zinc/10/26/72/846102672.db2.gz ZHRAVROXAOPQON-NSHDSACASA-N 0 1 273.292 0.662 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@H](O)[C@@H](CO)C2)c1O ZINC001193642770 846134897 /nfs/dbraw/zinc/13/48/97/846134897.db2.gz PFZGJKQREGAUED-PWSUYJOCSA-N 0 1 276.292 0.079 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)CCOC)C1 ZINC001194135116 846220465 /nfs/dbraw/zinc/22/04/65/846220465.db2.gz OVOICKOSAWCUPX-CABCVRRESA-N 0 1 296.411 0.842 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)OCC=C)C1 ZINC001194396863 846286490 /nfs/dbraw/zinc/28/64/90/846286490.db2.gz KQDTYCLDNMOYTP-CHWSQXEVSA-N 0 1 250.342 0.743 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COC[C@@H]2CCCO2)C1 ZINC001194501487 846313776 /nfs/dbraw/zinc/31/37/76/846313776.db2.gz AKUNBVSMKIJICG-KGLIPLIRSA-N 0 1 280.368 0.348 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)Cn2cccn2)CC1 ZINC001194831008 846400934 /nfs/dbraw/zinc/40/09/34/846400934.db2.gz OMWDFPCCFRWLIJ-UHFFFAOYSA-N 0 1 292.383 0.620 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2cc[nH]n2)CC1 ZINC001194905305 846414527 /nfs/dbraw/zinc/41/45/27/846414527.db2.gz PPYPAKFWJJRFPV-UHFFFAOYSA-N 0 1 278.356 0.760 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2ccn[nH]2)CC1 ZINC001194905305 846414531 /nfs/dbraw/zinc/41/45/31/846414531.db2.gz PPYPAKFWJJRFPV-UHFFFAOYSA-N 0 1 278.356 0.760 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCn2ccnc2)C1 ZINC001195127884 846465813 /nfs/dbraw/zinc/46/58/13/846465813.db2.gz BJJVEAOFSDZJHU-CHWSQXEVSA-N 0 1 278.356 0.011 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1O ZINC001195255219 846484896 /nfs/dbraw/zinc/48/48/96/846484896.db2.gz OVUMMRPKIBNNOE-YNEHKIRRSA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCOCC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCCC#CC)C1 ZINC001195472862 846545870 /nfs/dbraw/zinc/54/58/70/846545870.db2.gz HEQHLYZXQURNQV-HUUCEWRRSA-N 0 1 294.395 0.544 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CCCC#CC)C1 ZINC001195472862 846545878 /nfs/dbraw/zinc/54/58/78/846545878.db2.gz HEQHLYZXQURNQV-HUUCEWRRSA-N 0 1 294.395 0.544 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1O ZINC001195732784 846604655 /nfs/dbraw/zinc/60/46/55/846604655.db2.gz IWVSGJCZEXURJR-JHJVBQTASA-N 0 1 270.373 0.539 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2ncnc3n[nH]nc32)c(O)c1 ZINC001195733550 846604664 /nfs/dbraw/zinc/60/46/64/846604664.db2.gz PZMPKPSADFWANC-UHFFFAOYSA-N 0 1 281.235 0.577 20 30 CCEDMN N#Cc1ccc(C(=O)n2c(=N)c(N)cnc2Cl)c(O)c1 ZINC001195733893 846604916 /nfs/dbraw/zinc/60/49/16/846604916.db2.gz YEHBJSAZMMVDIO-UHFFFAOYSA-N 0 1 289.682 0.864 20 30 CCEDMN CC[C@@H](C(N)=O)N1CC[C@@H]2[C@H]1CCC(=O)N2CCCC#N ZINC001273704690 846608714 /nfs/dbraw/zinc/60/87/14/846608714.db2.gz SHYFUCHNPDDWDQ-YNEHKIRRSA-N 0 1 292.383 0.619 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1ccc(C#N)cc1O ZINC001195764710 846613541 /nfs/dbraw/zinc/61/35/41/846613541.db2.gz OJIUHYCUDAUWQW-JTQLQIEISA-N 0 1 273.248 0.449 20 30 CCEDMN COC(=O)[C@@]1(F)CCN(C(=O)c2ccc(C#N)cc2O)C1 ZINC001195741798 846619377 /nfs/dbraw/zinc/61/93/77/846619377.db2.gz ZSKNYNZQDXOAFG-CQSZACIVSA-N 0 1 292.266 0.991 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C(C)(F)F)C1 ZINC001196260535 846715072 /nfs/dbraw/zinc/71/50/72/846715072.db2.gz RMSCXZSKMJRQDT-GMTAPVOTSA-N 0 1 274.311 0.462 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2cccnn2)CC1 ZINC001197527368 846929328 /nfs/dbraw/zinc/92/93/28/846929328.db2.gz AHBSRWDIKDRPDR-UHFFFAOYSA-N 0 1 290.367 0.827 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCc2ccccc2)C1 ZINC001198096521 847042179 /nfs/dbraw/zinc/04/21/79/847042179.db2.gz OLEVGVVBKFVUON-HZPDHXFCSA-N 0 1 286.375 0.804 20 30 CCEDMN C#CCOC[C@@H](O)CN1CC(CNC(=O)OC(C)(C)C)C1 ZINC001251835600 847183301 /nfs/dbraw/zinc/18/33/01/847183301.db2.gz NLOFWZLGFMFWNK-ZDUSSCGKSA-N 0 1 298.383 0.454 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CN(CCC)C[C@H]1O ZINC001199331815 847304608 /nfs/dbraw/zinc/30/46/08/847304608.db2.gz SWTMDHCNRFDQAV-QJPTWQEYSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CCCC(=O)N1CCC(NCc2cn(C)nn2)CC1 ZINC001199725805 847410018 /nfs/dbraw/zinc/41/00/18/847410018.db2.gz BEOZVIGVDLLZBI-UHFFFAOYSA-N 0 1 277.372 0.862 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)COCC)CCCO1 ZINC001199753801 847418757 /nfs/dbraw/zinc/41/87/57/847418757.db2.gz ROXLVVFPOJXIED-LBPRGKRZSA-N 0 1 290.791 0.983 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001110546122 847518735 /nfs/dbraw/zinc/51/87/35/847518735.db2.gz NCJWJRCVGJXVAA-SYQHCUMBSA-N 0 1 262.353 0.932 20 30 CCEDMN C=CCNC(=S)N[C@H]1CC[C@H](NC(=O)CN(C)C)CC1 ZINC001200302224 847602890 /nfs/dbraw/zinc/60/28/90/847602890.db2.gz GXFAMPYEIFLAME-HAQNSBGRSA-N 0 1 298.456 0.626 20 30 CCEDMN CC[N@@H+](C)C(C)(C)C(=O)N1CCCO[C@H](CNCC#N)C1 ZINC001200860341 847700689 /nfs/dbraw/zinc/70/06/89/847700689.db2.gz XBSZRPXZHASHCI-CYBMUJFWSA-N 0 1 296.415 0.447 20 30 CCEDMN C=CCOC[C@H]1CCC[C@@]12CN(C[C@H](O)CO)CCO2 ZINC001252059670 847737699 /nfs/dbraw/zinc/73/76/99/847737699.db2.gz HDXJNOQCGMNCRN-QLFBSQMISA-N 0 1 285.384 0.413 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ccc(OC)nc1OC ZINC001252490240 847987998 /nfs/dbraw/zinc/98/79/98/847987998.db2.gz FZICYGUNIIUSIM-LBPRGKRZSA-N 0 1 282.340 0.752 20 30 CCEDMN C=C[C@](C)(O)CN1CCO[C@]2(CCCN(C(C)=O)C2)C1 ZINC001252549445 848001448 /nfs/dbraw/zinc/00/14/48/848001448.db2.gz FCQGQENNYUPCKZ-LSDHHAIUSA-N 0 1 282.384 0.637 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1COCCN1C)C2 ZINC001095335754 848246165 /nfs/dbraw/zinc/24/61/65/848246165.db2.gz BUNFLGHMVNPJMO-CBBWQLFWSA-N 0 1 291.395 0.062 20 30 CCEDMN O=[N+]([O-])c1ccc([C@H](O)CNCC#CCO)cc1 ZINC000717533608 848276081 /nfs/dbraw/zinc/27/60/81/848276081.db2.gz XAOBOTDKAAVFOC-GFCCVEGCSA-N 0 1 250.254 0.214 20 30 CCEDMN C[NH+](C)CC#CCNC(=O)c1c([O-])cccc1F ZINC000717679673 848282621 /nfs/dbraw/zinc/28/26/21/848282621.db2.gz NNKVVHBORNUHFY-UHFFFAOYSA-N 0 1 250.273 0.826 20 30 CCEDMN CC(C)(C)C#CC(=O)NC/C=C/CNCC(=O)N1CCC1 ZINC001274014657 848301878 /nfs/dbraw/zinc/30/18/78/848301878.db2.gz BHVIRVLYCFAIJL-SNAWJCMRSA-N 0 1 291.395 0.530 20 30 CCEDMN N#CCCN1CC[C@]2(CCN(CCn3ccnc3)C2=O)C1 ZINC001274031789 848311259 /nfs/dbraw/zinc/31/12/59/848311259.db2.gz AGRZVDDKCQUGSY-HNNXBMFYSA-N 0 1 287.367 0.721 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN(CC(N)=O)CC2)C1=O ZINC001274053679 848326485 /nfs/dbraw/zinc/32/64/85/848326485.db2.gz DAXWLOXSZQTTFE-AWEZNQCLSA-N 0 1 265.357 0.362 20 30 CCEDMN CC#CC[C@H](CO)NCc1cc(C(=O)OC)co1 ZINC000717789100 848392554 /nfs/dbraw/zinc/39/25/54/848392554.db2.gz XXWFGAUFMRDALK-LLVKDONJSA-N 0 1 251.282 0.930 20 30 CCEDMN N#CCNC(=O)CNC/C=C\CNC(=O)[C@@H]1CC[C@H](F)C1 ZINC001274319109 848448884 /nfs/dbraw/zinc/44/88/84/848448884.db2.gz XVCIWPBYYRMMEE-VHYYUZIWSA-N 0 1 296.346 0.026 20 30 CCEDMN C=CCOCC(=O)N1CCN(C2CN(CCCF)C2)CC1 ZINC001274467961 848484417 /nfs/dbraw/zinc/48/44/17/848484417.db2.gz NMLPAKGDBNHQAF-UHFFFAOYSA-N 0 1 299.390 0.377 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2c1ncnc2[nH]cnc21 ZINC001274673112 848538724 /nfs/dbraw/zinc/53/87/24/848538724.db2.gz HIZWZFXVDRLAIZ-GHMZBOCLSA-N 0 1 296.334 0.556 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3[nH]ccc3C#N)C2)C1=O ZINC001274855190 848579120 /nfs/dbraw/zinc/57/91/20/848579120.db2.gz OFSBWAUHKLTMFP-MRXNPFEDSA-N 0 1 282.347 0.944 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CCNC(=O)C1 ZINC001275100417 848636893 /nfs/dbraw/zinc/63/68/93/848636893.db2.gz KPLKYJMQURXLJU-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)Cc1ccn(C)n1 ZINC001275108987 848640590 /nfs/dbraw/zinc/64/05/90/848640590.db2.gz SFMMVRKJOBRGTJ-HNNXBMFYSA-N 0 1 288.395 0.909 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CCC(=O)N1C ZINC001275135052 848646259 /nfs/dbraw/zinc/64/62/59/848646259.db2.gz FOURGIXKRPWFGB-OLZOCXBDSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](CC)NC(C)=O ZINC001275143795 848650714 /nfs/dbraw/zinc/65/07/14/848650714.db2.gz QRJQTUDPZOHCEJ-KBPBESRZSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnc2ccccn2c1=O ZINC001275592974 848771000 /nfs/dbraw/zinc/77/10/00/848771000.db2.gz WDYIYPKMZWNRHU-LBPRGKRZSA-N 0 1 298.346 0.378 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC001275720362 848800434 /nfs/dbraw/zinc/80/04/34/848800434.db2.gz DLSGGUVXIUWLIC-NSHDSACASA-N 0 1 287.363 0.959 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cccc(=O)[nH]1 ZINC001275799955 848827061 /nfs/dbraw/zinc/82/70/61/848827061.db2.gz HLLUXLMYCYTXSR-LLVKDONJSA-N 0 1 261.325 0.861 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)Cc1nnc(C)o1 ZINC001275819547 848835179 /nfs/dbraw/zinc/83/51/79/848835179.db2.gz SSSBMXYOFAAWDW-SNVBAGLBSA-N 0 1 264.329 0.380 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1coc(C(N)=O)c1 ZINC001275888136 848858210 /nfs/dbraw/zinc/85/82/10/848858210.db2.gz UYODYNLUHMUSFF-SECBINFHSA-N 0 1 263.297 0.062 20 30 CCEDMN C=CCC[C@H](C)N1CC(CCO)(NC(=O)[C@H](O)C(C)C)C1 ZINC001276043438 848908660 /nfs/dbraw/zinc/90/86/60/848908660.db2.gz CXMSCLKDVXYMBA-UONOGXRCSA-N 0 1 298.427 0.911 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnccc1OC)C2 ZINC001095609771 848997830 /nfs/dbraw/zinc/99/78/30/848997830.db2.gz PEUCXPKTFBEWRH-ILXRZTDVSA-N 0 1 299.374 0.987 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)CC(N)=O)C2 ZINC001110893295 849014734 /nfs/dbraw/zinc/01/47/34/849014734.db2.gz FFQVAOKHFLAYFA-KKOKHZNYSA-N 0 1 265.357 0.405 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)OCCOC)C2 ZINC001111091979 849068428 /nfs/dbraw/zinc/06/84/28/849068428.db2.gz FDFYSNNTLJKRJD-SYQHCUMBSA-N 0 1 282.384 0.945 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001038649051 849243707 /nfs/dbraw/zinc/24/37/07/849243707.db2.gz XVXWMDNDSBVVQK-QWRGUYRKSA-N 0 1 273.340 0.048 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CCF)CC1 ZINC001114605565 849306889 /nfs/dbraw/zinc/30/68/89/849306889.db2.gz PTWQZIMYGPRHAG-GDNZZTSVSA-N 0 1 250.317 0.806 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NC)C[C@H]21 ZINC001114718464 849379377 /nfs/dbraw/zinc/37/93/77/849379377.db2.gz OLIOIXUMRSFKLD-RMRHIDDWSA-N 0 1 291.395 0.361 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114755431 849389404 /nfs/dbraw/zinc/38/94/04/849389404.db2.gz NTDRRLZUFQJWPL-JYAVWHMHSA-N 0 1 279.384 0.477 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CC(C)C)OC ZINC001114803919 849398112 /nfs/dbraw/zinc/39/81/12/849398112.db2.gz PVXNYOJXMIELMU-RQJABVFESA-N 0 1 264.369 0.727 20 30 CCEDMN C=C[C@H](O)CN1CCN(c2ccnc(OC)c2)CC1 ZINC001253585221 849566414 /nfs/dbraw/zinc/56/64/14/849566414.db2.gz AUFJBZIKSIOWMO-ZDUSSCGKSA-N 0 1 263.341 0.759 20 30 CCEDMN CC[C@@H]1CN(C(=O)CCc2nc[nH]n2)CC[C@H]1NCC#N ZINC001037812614 849590639 /nfs/dbraw/zinc/59/06/39/849590639.db2.gz UWRVXVBNSKHFSB-VXGBXAGGSA-N 0 1 290.371 0.478 20 30 CCEDMN CC[C@H]1CN(C(=O)CCc2c[nH]nn2)CC[C@H]1NCC#N ZINC001037924037 849607158 /nfs/dbraw/zinc/60/71/58/849607158.db2.gz WCGDHDRYPSQEHW-WCQYABFASA-N 0 1 290.371 0.478 20 30 CCEDMN CC[C@H]1CN(C(=O)CCc2cnn[nH]2)CC[C@H]1NCC#N ZINC001037924037 849607162 /nfs/dbraw/zinc/60/71/62/849607162.db2.gz WCGDHDRYPSQEHW-WCQYABFASA-N 0 1 290.371 0.478 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cc[nH]c(=O)c1 ZINC001038152311 849800172 /nfs/dbraw/zinc/80/01/72/849800172.db2.gz LBTJWGMUQHGDLF-LBPRGKRZSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1nc(C)c(C)[nH]c1=O ZINC001038404385 849879380 /nfs/dbraw/zinc/87/93/80/849879380.db2.gz DRCXTWSZQSMUFK-LBPRGKRZSA-N 0 1 288.351 0.626 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@@H]1CCN1CC#N ZINC001038417212 849885502 /nfs/dbraw/zinc/88/55/02/849885502.db2.gz NPVRHNIQBLHNEP-NWDGAFQWSA-N 0 1 250.346 0.185 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCc2cncn2C1 ZINC001038423879 849889069 /nfs/dbraw/zinc/88/90/69/849889069.db2.gz GWQWGHKSQFDPBZ-ZFWWWQNUSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCc2nccn2C1 ZINC001038424416 849890503 /nfs/dbraw/zinc/89/05/03/849890503.db2.gz PIZKGGXNNANPEZ-KBPBESRZSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001038560913 849941732 /nfs/dbraw/zinc/94/17/32/849941732.db2.gz DTZIDUTTXWEYMI-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001038560913 849941739 /nfs/dbraw/zinc/94/17/39/849941739.db2.gz DTZIDUTTXWEYMI-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CCN1CCCO ZINC001038714631 849994986 /nfs/dbraw/zinc/99/49/86/849994986.db2.gz REYOZFXZBBXATK-XQQFMLRXSA-N 0 1 268.357 0.150 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2C[C@@H](C)OC)cn1 ZINC001038932702 850091221 /nfs/dbraw/zinc/09/12/21/850091221.db2.gz QQHCQHCEPLNQMZ-DOMZBBRYSA-N 0 1 287.363 0.902 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001038975362 850108667 /nfs/dbraw/zinc/10/86/67/850108667.db2.gz HCNNELDQXVJOSL-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@@H]1CCN1CC#N ZINC001039047200 850135305 /nfs/dbraw/zinc/13/53/05/850135305.db2.gz CEBNMHGTJNERLZ-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001039060704 850141988 /nfs/dbraw/zinc/14/19/88/850141988.db2.gz KZNDMKHXRAXDLW-ZIAGYGMSSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1nonc1C ZINC001039082792 850151175 /nfs/dbraw/zinc/15/11/75/850151175.db2.gz RBBZSBGVYLOHRE-JTQLQIEISA-N 0 1 250.302 0.758 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1=COCCO1 ZINC001039329979 850173344 /nfs/dbraw/zinc/17/33/44/850173344.db2.gz NXCNWVXNHAZJKI-OLZOCXBDSA-N 0 1 276.336 0.573 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1=COCCO1 ZINC001039330156 850173890 /nfs/dbraw/zinc/17/38/90/850173890.db2.gz SAHGAZKOZQVHEH-KGLIPLIRSA-N 0 1 290.363 0.963 20 30 CCEDMN N#CCN1CCC[C@]2(CCN(C(=O)c3ccn[nH]3)C2)C1 ZINC001040088095 850264502 /nfs/dbraw/zinc/26/45/02/850264502.db2.gz LZGXHLFNMFLAJI-AWEZNQCLSA-N 0 1 273.340 0.861 20 30 CCEDMN C#CCN1CCC[C@]2(CCN(C(=O)c3cn(C)cn3)C2)C1 ZINC001040162174 850273598 /nfs/dbraw/zinc/27/35/98/850273598.db2.gz SUGGTYCXDVZZJV-INIZCTEOSA-N 0 1 286.379 0.981 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)c3cnns3)C2)C1 ZINC001041109865 850372759 /nfs/dbraw/zinc/37/27/59/850372759.db2.gz WHLCQXAYKPIJKN-CYBMUJFWSA-N 0 1 276.365 0.709 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)[C@@H](C)n3cncn3)C2)C1 ZINC001041225341 850405103 /nfs/dbraw/zinc/40/51/03/850405103.db2.gz VOJRJXOCSLKZDB-UKRRQHHQSA-N 0 1 287.367 0.397 20 30 CCEDMN N#CCN1CC[C@@]2(CCN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)C2)C1 ZINC001041375345 850438894 /nfs/dbraw/zinc/43/88/94/850438894.db2.gz MXPWGTVDAIGTBS-XJKCOSOUSA-N 0 1 299.378 0.961 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@]2(CCN(CC#N)C2)C1 ZINC001041528529 850460820 /nfs/dbraw/zinc/46/08/20/850460820.db2.gz VFTJRZSMFSELNI-CYBMUJFWSA-N 0 1 274.328 0.175 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnc(C)cn3)C[C@H]21 ZINC001041912262 850526946 /nfs/dbraw/zinc/52/69/46/850526946.db2.gz ITLABJJQLFKJER-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(=O)[nH]n3)C[C@@H]21 ZINC001041919731 850529683 /nfs/dbraw/zinc/52/96/83/850529683.db2.gz KHXZOHBRLRHDBX-YPMHNXCESA-N 0 1 286.335 0.352 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnn(C)c3C)C[C@H]21 ZINC001041938089 850532603 /nfs/dbraw/zinc/53/26/03/850532603.db2.gz AKRSKMKCDIFNPR-UKRRQHHQSA-N 0 1 286.379 0.898 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC001041959485 850542132 /nfs/dbraw/zinc/54/21/32/850542132.db2.gz ZWUMGKZMDZRUQB-PWSUYJOCSA-N 0 1 259.313 0.470 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccnn3C)C[C@@H]21 ZINC001041971852 850543892 /nfs/dbraw/zinc/54/38/92/850543892.db2.gz HIDNRJIKMCKJPP-JSGCOSHPSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc(C)ncn3)C[C@@H]21 ZINC001042016971 850557121 /nfs/dbraw/zinc/55/71/21/850557121.db2.gz YAWXZWGSPWLMOX-ZFWWWQNUSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cn(C)cn3)C[C@@H]21 ZINC001042013433 850557433 /nfs/dbraw/zinc/55/74/33/850557433.db2.gz NFPFOXNDLBXAJY-JSGCOSHPSA-N 0 1 272.352 0.590 20 30 CCEDMN CN(C(=O)c1cc(-c2ccn(C)c2)[nH]n1)C1CN(CC#N)C1 ZINC001042886968 850775216 /nfs/dbraw/zinc/77/52/16/850775216.db2.gz FNJVMTPRWSBVCI-UHFFFAOYSA-N 0 1 298.350 0.695 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CC[C@H]2C(N)=O)C1 ZINC001043536605 850894250 /nfs/dbraw/zinc/89/42/50/850894250.db2.gz KZLYCUOFWZWCSD-OLZOCXBDSA-N 0 1 279.384 0.607 20 30 CCEDMN C[C@@H]1CCCN1CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001096012783 851221228 /nfs/dbraw/zinc/22/12/28/851221228.db2.gz YXBPAYGSLDFWBC-MQYQWHSLSA-N 0 1 276.384 0.716 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2n[nH]cc2C)CC1 ZINC001045434462 851258187 /nfs/dbraw/zinc/25/81/87/851258187.db2.gz RWFDZDOKHHOSKB-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCCN1CCC(C)(NC(=O)C2CS(=O)(=O)C2)CC1 ZINC001045449672 851261317 /nfs/dbraw/zinc/26/13/17/851261317.db2.gz JYPMCYZWNUCIGZ-UHFFFAOYSA-N 0 1 298.408 0.025 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2n[nH]cc2F)CC1 ZINC001045545480 851278240 /nfs/dbraw/zinc/27/82/40/851278240.db2.gz ZCNIVXUTIBBBEQ-UHFFFAOYSA-N 0 1 264.304 0.766 20 30 CCEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCCN(C(=O)C(N)=O)C2 ZINC001045961868 851336750 /nfs/dbraw/zinc/33/67/50/851336750.db2.gz CCBBHOHOPROBTN-CQSZACIVSA-N 0 1 299.802 0.929 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N2CCN(C(=O)[C@@H](CC)OC)CC2)C1 ZINC001046009869 851348291 /nfs/dbraw/zinc/34/82/91/851348291.db2.gz FBSULMWXDCYNSV-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cc(C)nn2C)C1 ZINC001046179233 851410200 /nfs/dbraw/zinc/41/02/00/851410200.db2.gz YDQMTIXTPKCMHD-AWEZNQCLSA-N 0 1 260.341 0.556 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2nnn(C)c2C)C1 ZINC001046209351 851423034 /nfs/dbraw/zinc/42/30/34/851423034.db2.gz BEURCMDIWCGSMD-CQSZACIVSA-N 0 1 275.356 0.341 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1ccc(C)cc1=O)C2 ZINC001096089481 851460798 /nfs/dbraw/zinc/46/07/98/851460798.db2.gz ACKFLWNVZDJLSR-ILXRZTDVSA-N 0 1 299.374 0.511 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001046363957 851476063 /nfs/dbraw/zinc/47/60/63/851476063.db2.gz HBRQWGHCHFNFPV-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cnn3c2CCC3)C1 ZINC001046366568 851477492 /nfs/dbraw/zinc/47/74/92/851477492.db2.gz SZKBWERTOWOJEN-HNNXBMFYSA-N 0 1 272.352 0.657 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCc3nccn32)C1 ZINC001046372943 851479086 /nfs/dbraw/zinc/47/90/86/851479086.db2.gz RQZVMJPSROOVTK-BBRMVZONSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cnc3n2CCC3)C1 ZINC001046386248 851484069 /nfs/dbraw/zinc/48/40/69/851484069.db2.gz ZPONBVMRRLSPDP-HNNXBMFYSA-N 0 1 272.352 0.657 20 30 CCEDMN N#Cc1cncc(N[C@H]2C[C@@H](CNC(=O)c3ncn[nH]3)C2)n1 ZINC001046432059 851499913 /nfs/dbraw/zinc/49/99/13/851499913.db2.gz SIXPAWXVERGRRR-DTORHVGOSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cncc(N[C@H]2C[C@@H](CNC(=O)c3nc[nH]n3)C2)n1 ZINC001046432059 851499916 /nfs/dbraw/zinc/49/99/16/851499916.db2.gz SIXPAWXVERGRRR-DTORHVGOSA-N 0 1 298.310 0.087 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001046434566 851501621 /nfs/dbraw/zinc/50/16/21/851501621.db2.gz NWGKSELYAVMOOL-CJNGLKHVSA-N 0 1 286.379 0.659 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2csnn2)C1 ZINC001046512316 851530425 /nfs/dbraw/zinc/53/04/25/851530425.db2.gz DAGKXTZXRIJWMR-NSHDSACASA-N 0 1 252.343 0.918 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnn3cccnc23)C1 ZINC001046532687 851534339 /nfs/dbraw/zinc/53/43/39/851534339.db2.gz ZWFDHEGKJDAPKU-MRXNPFEDSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccnc3c2ncn3C)C1 ZINC001046609519 851565115 /nfs/dbraw/zinc/56/51/15/851565115.db2.gz UMQLVEATXVJILI-MRXNPFEDSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001046630250 851571433 /nfs/dbraw/zinc/57/14/33/851571433.db2.gz PFZRGXUNGYXBKW-BZPMIXESSA-N 0 1 250.342 0.768 20 30 CCEDMN N#Cc1cnccc1N[C@H]1C[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001046655175 851575034 /nfs/dbraw/zinc/57/50/34/851575034.db2.gz RXGOUAPHPBJGOY-JGZJWPJOSA-N 0 1 297.322 0.114 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001046663929 851578239 /nfs/dbraw/zinc/57/82/39/851578239.db2.gz XQIINUXEJVSWLK-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001046663929 851578245 /nfs/dbraw/zinc/57/82/45/851578245.db2.gz XQIINUXEJVSWLK-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2nccn3cc(C)nc23)C1 ZINC001046756982 851607028 /nfs/dbraw/zinc/60/70/28/851607028.db2.gz CDSQAYHOJVRGHO-MRXNPFEDSA-N 0 1 297.362 0.865 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001046836568 851624516 /nfs/dbraw/zinc/62/45/16/851624516.db2.gz UOQIFSCVIHCRCI-HNNXBMFYSA-N 0 1 288.351 0.331 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001046852844 851633152 /nfs/dbraw/zinc/63/31/52/851633152.db2.gz QGEFVUYJAZUGDW-WFASDCNBSA-N 0 1 287.367 0.054 20 30 CCEDMN C=CCS(=O)(=O)Nc1cc(NC(C)=O)ncn1 ZINC001259922915 851650688 /nfs/dbraw/zinc/65/06/88/851650688.db2.gz LFVKNYZBNYXGID-UHFFFAOYSA-N 0 1 256.287 0.363 20 30 CCEDMN N#Cc1cnccc1N[C@H]1C[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001047087237 851668519 /nfs/dbraw/zinc/66/85/19/851668519.db2.gz RXGOUAPHPBJGOY-HOMQSWHASA-N 0 1 297.322 0.114 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)C(C)(F)F)C1 ZINC001047275241 851689878 /nfs/dbraw/zinc/68/98/78/851689878.db2.gz BCVZCWOJRHHOKD-UWVGGRQHSA-N 0 1 262.300 0.721 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)no2)C1 ZINC001047301181 851702646 /nfs/dbraw/zinc/70/26/46/851702646.db2.gz AGHYIXRSLSNICY-RYUDHWBXSA-N 0 1 279.340 0.676 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccoc2)C1 ZINC001047304314 851704646 /nfs/dbraw/zinc/70/46/46/851704646.db2.gz DCPXEPVWXZWDDI-STQMWFEESA-N 0 1 262.309 0.420 20 30 CCEDMN C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)C2=COCCC2)C1 ZINC001047318603 851715178 /nfs/dbraw/zinc/71/51/78/851715178.db2.gz WRXYJHFINJBRGE-STQMWFEESA-N 0 1 266.341 0.370 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C2=COCCC2)C1 ZINC001047318603 851715183 /nfs/dbraw/zinc/71/51/83/851715183.db2.gz WRXYJHFINJBRGE-STQMWFEESA-N 0 1 266.341 0.370 20 30 CCEDMN C=CCC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccn[nH]2)C1 ZINC001047333166 851721513 /nfs/dbraw/zinc/72/15/13/851721513.db2.gz RWIUNYOMMBPXII-STQMWFEESA-N 0 1 278.356 0.032 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)CC2CCC2)C1 ZINC001047334096 851723757 /nfs/dbraw/zinc/72/37/57/851723757.db2.gz XUNGPVMEXFZYBL-KBPBESRZSA-N 0 1 264.369 0.703 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncccn1)C2 ZINC001096216853 851816318 /nfs/dbraw/zinc/81/63/18/851816318.db2.gz BAHXNMPZAIXGGY-WOPDTQHZSA-N 0 1 258.325 0.998 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CC)on2)C1 ZINC001047601706 851832453 /nfs/dbraw/zinc/83/24/53/851832453.db2.gz HXMZJQATSADFRU-KBPBESRZSA-N 0 1 291.351 0.377 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(C(N)=O)c[nH]1)C2 ZINC001096247655 851867183 /nfs/dbraw/zinc/86/71/83/851867183.db2.gz GKSPKJCDBQNFGH-MDZLAQPJSA-N 0 1 288.351 0.635 20 30 CCEDMN Cc1ncc(C#N)cc1NS(=O)(=O)[C@H](C)C#N ZINC001193107707 900032531 /nfs/dbraw/zinc/03/25/31/900032531.db2.gz ZXWXQNNETGCTQG-SSDOTTSWSA-N 0 1 250.283 0.915 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3COC(=O)N3)C[C@@H]2C1 ZINC001048796058 852064915 /nfs/dbraw/zinc/06/49/15/852064915.db2.gz BEUWULWYSIKXMN-OUAUKWLOSA-N 0 1 299.758 0.238 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@@H]3[C@@H]2CCN3CC#N)[nH]1 ZINC001049381450 852253820 /nfs/dbraw/zinc/25/38/20/852253820.db2.gz KXWAMKWLTXCGOC-OLZOCXBDSA-N 0 1 273.340 0.921 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCC[C@H]3[C@H]2CC[N@@H+]3CCO)c1 ZINC001049401594 852262511 /nfs/dbraw/zinc/26/25/11/852262511.db2.gz NWZUAZONMXHWCS-UONOGXRCSA-N 0 1 288.351 0.558 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cn[nH]n1 ZINC001049570570 852318131 /nfs/dbraw/zinc/31/81/31/852318131.db2.gz NVNUHEWKQONWTQ-NEPJUHHUSA-N 0 1 259.313 0.117 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1coc(OC)n1 ZINC001049622591 852321884 /nfs/dbraw/zinc/32/18/84/852321884.db2.gz WAMUCTJACZGJHB-CHWSQXEVSA-N 0 1 289.335 0.995 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnco1 ZINC001049622689 852321972 /nfs/dbraw/zinc/32/19/72/852321972.db2.gz YZLYWUCXZGAGPG-RYUDHWBXSA-N 0 1 259.309 0.987 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049628196 852323818 /nfs/dbraw/zinc/32/38/18/852323818.db2.gz BATCFDOWEDHYIR-NWDGAFQWSA-N 0 1 273.340 0.425 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cccc(=O)n1C ZINC001049707367 852356144 /nfs/dbraw/zinc/35/61/44/852356144.db2.gz CVPAXUJWEWAAQJ-KGLIPLIRSA-N 0 1 299.374 0.697 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ncccn1 ZINC001049799415 852374751 /nfs/dbraw/zinc/37/47/51/852374751.db2.gz VPYKUMUPMKHQPI-CHWSQXEVSA-N 0 1 270.336 0.789 20 30 CCEDMN N#CCN1CC[C@H]2[C@H]1CCCN2C(=O)CN1CCCC1 ZINC001049893163 852390060 /nfs/dbraw/zinc/39/00/60/852390060.db2.gz BXXAXXWJCOSESL-KGLIPLIRSA-N 0 1 276.384 0.671 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)Cn1ccccc1=O ZINC001049896057 852394438 /nfs/dbraw/zinc/39/44/38/852394438.db2.gz WRMYHYYCPTUPPH-GJZGRUSLSA-N 0 1 299.374 0.547 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nccnc1N)C2 ZINC001096896701 852461860 /nfs/dbraw/zinc/46/18/60/852461860.db2.gz ISLBUCBWISORGI-MXWKQRLJSA-N 0 1 273.340 0.580 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]c(=O)[nH]c1C)C2 ZINC001097273240 852522341 /nfs/dbraw/zinc/52/23/41/852522341.db2.gz IGVCMRYFVOMAIU-MXWKQRLJSA-N 0 1 276.340 0.945 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCN(C)C(=O)C1)C2 ZINC001097356236 852528869 /nfs/dbraw/zinc/52/88/69/852528869.db2.gz TXEGVINWAAJFKR-SYQHCUMBSA-N 0 1 291.395 0.762 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1COCCN1CC)C2 ZINC001097386647 852533342 /nfs/dbraw/zinc/53/33/42/852533342.db2.gz LIULAQYUTAZQOR-APIJFGDWSA-N 0 1 293.411 0.615 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN1CCCCC1=O)C2 ZINC001097419294 852538233 /nfs/dbraw/zinc/53/82/33/852538233.db2.gz VGVGWYUKTBSXCK-MCIONIFRSA-N 0 1 289.379 0.354 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)Nc1ncnc2[nH]cnc21 ZINC001097822186 852629596 /nfs/dbraw/zinc/62/95/96/852629596.db2.gz BFDMYGRNOHMIMD-SNVBAGLBSA-N 0 1 286.339 0.929 20 30 CCEDMN C[C@H](CNC(=O)CN1CCCC1)Nc1ncccc1C#N ZINC001097863191 852635369 /nfs/dbraw/zinc/63/53/69/852635369.db2.gz IFGJXVFKKZTGOO-GFCCVEGCSA-N 0 1 287.367 0.966 20 30 CCEDMN NC(=O)C(=O)N[C@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052671693 852636151 /nfs/dbraw/zinc/63/61/51/852636151.db2.gz GSZZSMRJTUMQHT-HNNXBMFYSA-N 0 1 299.374 0.494 20 30 CCEDMN C=CCCC(=O)NCCN1CCC(NC(=O)COC)CC1 ZINC001055540208 853086685 /nfs/dbraw/zinc/08/66/85/853086685.db2.gz JLQBXYHDHMHWLM-UHFFFAOYSA-N 0 1 297.399 0.296 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](Nc3cncc(C#N)n3)C2)n[nH]1 ZINC001056587926 853175596 /nfs/dbraw/zinc/17/55/96/853175596.db2.gz ZNYKQNHKOFGHCK-SNVBAGLBSA-N 0 1 297.322 0.706 20 30 CCEDMN N#Cc1cnc(N[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C2)cn1 ZINC001056595685 853176829 /nfs/dbraw/zinc/17/68/29/853176829.db2.gz OMRBNBJYEKAYKC-LLVKDONJSA-N 0 1 297.322 0.327 20 30 CCEDMN N#Cc1nccnc1N[C@@H]1CCN(C(=O)Cc2c[nH]cn2)C1 ZINC001056790400 853215030 /nfs/dbraw/zinc/21/50/30/853215030.db2.gz BCZJYXZDNCEYSK-SNVBAGLBSA-N 0 1 297.322 0.327 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](N(C)c2ncnc3[nH]cnc32)C1 ZINC001056872236 853238399 /nfs/dbraw/zinc/23/83/99/853238399.db2.gz XZJJDWOUIUFTGS-NXEZZACHSA-N 0 1 299.338 0.550 20 30 CCEDMN C=C(C)CCC(=O)NCCN(CCO)C(=O)c1ccn[nH]1 ZINC001057046540 853262909 /nfs/dbraw/zinc/26/29/09/853262909.db2.gz USKRSHZIZUTKDV-UHFFFAOYSA-N 0 1 294.355 0.317 20 30 CCEDMN C=CCCC(=O)N(CCO)CCNC(=O)c1[nH]ncc1F ZINC001057170811 853282080 /nfs/dbraw/zinc/28/20/80/853282080.db2.gz CDWINZYBASWTIF-UHFFFAOYSA-N 0 1 298.318 0.066 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)[C@H]3COC(=O)N3)[C@@H]2C1 ZINC001050037805 853300076 /nfs/dbraw/zinc/30/00/76/853300076.db2.gz ALHXKYIVFLEXSM-YNEHKIRRSA-N 0 1 291.351 0.041 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cnnn3CC)[C@@H]2C1 ZINC001050042531 853302194 /nfs/dbraw/zinc/30/21/94/853302194.db2.gz XAYVJXZCNUSYIX-GXTWGEPZSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3csnn3)[C@@H]2C1 ZINC001050104081 853313911 /nfs/dbraw/zinc/31/39/11/853313911.db2.gz HPAIAKQORVAEOK-CMPLNLGQSA-N 0 1 276.365 0.708 20 30 CCEDMN N#Cc1nccnc1NC1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001057277772 853324505 /nfs/dbraw/zinc/32/45/05/853324505.db2.gz ILCVXPBBZSXSNK-UHFFFAOYSA-N 0 1 297.322 0.788 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cnn4cc[nH]c34)[C@@H]2C1 ZINC001050190852 853333311 /nfs/dbraw/zinc/33/33/11/853333311.db2.gz UHFOZORIEPRWAI-GXTWGEPZSA-N 0 1 297.362 0.832 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)[C@@H]3CC3[N+](=O)[O-])C2)CC1 ZINC001050517611 853389349 /nfs/dbraw/zinc/38/93/49/853389349.db2.gz WQJBSFORQPEEIG-GHMZBOCLSA-N 0 1 278.312 0.100 20 30 CCEDMN C=CCN1CCOC[C@H]1CNC(=O)[C@H]1CCCN1C ZINC001050838087 853455611 /nfs/dbraw/zinc/45/56/11/853455611.db2.gz IOWXNNRHTJPQJJ-CHWSQXEVSA-N 0 1 267.373 0.084 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(C)n1)C2 ZINC001098034677 853494251 /nfs/dbraw/zinc/49/42/51/853494251.db2.gz YBFIQHAMSWFHBH-WZRBSPASSA-N 0 1 275.356 0.726 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CCN1c1ccc(C#N)cn1 ZINC001051226914 853548765 /nfs/dbraw/zinc/54/87/65/853548765.db2.gz LTCIUUBOOKERFZ-KOLCDFICSA-N 0 1 297.322 0.469 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052025288 853676902 /nfs/dbraw/zinc/67/69/02/853676902.db2.gz ATKPJZHAQYHZDO-KRWDZBQOSA-N 0 1 299.418 0.642 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N[C@H]1CCN(c2ccncc2C#N)C1 ZINC001058314099 853823337 /nfs/dbraw/zinc/82/33/37/853823337.db2.gz BQCNQRFPTOZCSC-DZGCQCFKSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1CCN(c2ccncc2C#N)C1 ZINC001058314099 853823339 /nfs/dbraw/zinc/82/33/39/853823339.db2.gz BQCNQRFPTOZCSC-DZGCQCFKSA-N 0 1 299.378 0.742 20 30 CCEDMN N#Cc1cnccc1NCC1CC(NC(=O)c2ncn[nH]2)C1 ZINC001067860020 853862527 /nfs/dbraw/zinc/86/25/27/853862527.db2.gz HAEWWQBMYNFVDQ-UHFFFAOYSA-N 0 1 297.322 0.114 20 30 CCEDMN N#Cc1cnccc1NCC1CC(NC(=O)c2nc[nH]n2)C1 ZINC001067860020 853862533 /nfs/dbraw/zinc/86/25/33/853862533.db2.gz HAEWWQBMYNFVDQ-UHFFFAOYSA-N 0 1 297.322 0.114 20 30 CCEDMN N#Cc1cncc(NCC2CC(NC(=O)c3ncn[nH]3)C2)n1 ZINC001067860329 853862767 /nfs/dbraw/zinc/86/27/67/853862767.db2.gz XNVZSQNWWKGYQZ-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cncc(NCC2CC(NC(=O)c3nc[nH]n3)C2)n1 ZINC001067860329 853862772 /nfs/dbraw/zinc/86/27/72/853862772.db2.gz XNVZSQNWWKGYQZ-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)c2cnco2)C1 ZINC001070418174 854057058 /nfs/dbraw/zinc/05/70/58/854057058.db2.gz HSPKRJHMEMIMGL-NSHDSACASA-N 0 1 299.334 0.750 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C=C/C2CC2)C[C@H]1c1cn(C)cn1 ZINC001070476414 854064022 /nfs/dbraw/zinc/06/40/22/854064022.db2.gz LKCFSNPMYVDXQH-WWCKUISVSA-N 0 1 298.390 0.904 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C(C)(C)C)C[C@H]1c1cn(C)cn1 ZINC001070555984 854075328 /nfs/dbraw/zinc/07/53/28/854075328.db2.gz FMZGVDAPIVYUGM-GXTWGEPZSA-N 0 1 288.395 0.983 20 30 CCEDMN CC#CCN1CC[C@]2(C1)CN(C(C)=O)C[C@H](C)O2 ZINC001071114080 854123477 /nfs/dbraw/zinc/12/34/77/854123477.db2.gz NGLXNPQTUAULPH-JSGCOSHPSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN2CCCC2=O)CC[C@H]1C ZINC001071340902 854161089 /nfs/dbraw/zinc/16/10/89/854161089.db2.gz VPBSQTPGWHUHOC-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cn2nccn2)CC[C@H]1C ZINC001071534328 854231879 /nfs/dbraw/zinc/23/18/79/854231879.db2.gz PVPKRLMNPVXUSL-OLZOCXBDSA-N 0 1 275.356 0.271 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cnc[nH]c2=O)CC[C@@H]1C ZINC001071600348 854246673 /nfs/dbraw/zinc/24/66/73/854246673.db2.gz KGWCZIBAQCVUGB-NWDGAFQWSA-N 0 1 288.351 0.788 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cnoc2)CC[C@H]1C ZINC001071759376 854283136 /nfs/dbraw/zinc/28/31/36/854283136.db2.gz GYNGRMPZEATKDA-DGCLKSJQSA-N 0 1 261.325 0.819 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@H]1C ZINC001071803466 854297354 /nfs/dbraw/zinc/29/73/54/854297354.db2.gz MONNXZRISFBKKD-VXGBXAGGSA-N 0 1 290.367 0.732 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2ccc(C)n2)CC[C@@H]1C ZINC001071831351 854302696 /nfs/dbraw/zinc/30/26/96/854302696.db2.gz FEWABJWLIYMQHM-KBPBESRZSA-N 0 1 274.368 0.794 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnn(C)n2)CC[C@@H]1C ZINC001071890613 854312990 /nfs/dbraw/zinc/31/29/90/854312990.db2.gz OYIZPPUSCVBPGQ-RYUDHWBXSA-N 0 1 277.372 0.974 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnn(C)n2)CC[C@H]1C ZINC001071890611 854313118 /nfs/dbraw/zinc/31/31/18/854313118.db2.gz OYIZPPUSCVBPGQ-NEPJUHHUSA-N 0 1 277.372 0.974 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2nonc2C)CC[C@H]1C ZINC001071953994 854326598 /nfs/dbraw/zinc/32/65/98/854326598.db2.gz IGTLPJVTOHAIDG-YPMHNXCESA-N 0 1 290.367 0.913 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCCOC3)C2)C1 ZINC001072539427 854411133 /nfs/dbraw/zinc/41/11/33/854411133.db2.gz RQHDEYLOOKQNKC-CYBMUJFWSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cncs3)C2)C1 ZINC001072832989 854475173 /nfs/dbraw/zinc/47/51/73/854475173.db2.gz WEPPXEQLPJGMFU-UHFFFAOYSA-N 0 1 275.377 0.853 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@]3(F)CCOC3)C2)C1 ZINC001072916667 854494747 /nfs/dbraw/zinc/49/47/47/854494747.db2.gz RCWUBNLJANOSRN-AWEZNQCLSA-N 0 1 266.316 0.283 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(CCC)nn3)C2)C1 ZINC001073087085 854529306 /nfs/dbraw/zinc/52/93/06/854529306.db2.gz IBOGSSUAEGDWLV-UHFFFAOYSA-N 0 1 287.367 0.469 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cc[n+]([O-])cc2)C1 ZINC001073526886 854579827 /nfs/dbraw/zinc/57/98/27/854579827.db2.gz NGUXNQMQEDOGJY-CQSZACIVSA-N 0 1 291.351 0.327 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cncnc2C)C1 ZINC001073540861 854582904 /nfs/dbraw/zinc/58/29/04/854582904.db2.gz ATLJRNMVPQVBEY-ZDUSSCGKSA-N 0 1 290.367 0.792 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H]2CCCCO2)C1 ZINC001073575509 854599931 /nfs/dbraw/zinc/59/99/31/854599931.db2.gz HFNYPWADSDGAOU-GJZGRUSLSA-N 0 1 294.395 0.786 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H]2OCC[C@H]2C)C1 ZINC001073777041 854644520 /nfs/dbraw/zinc/64/45/20/854644520.db2.gz OMAAGCYXKIQARF-KFWWJZLASA-N 0 1 294.395 0.642 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCC(=O)N2C)[C@H]1C ZINC001074821703 854788961 /nfs/dbraw/zinc/78/89/61/854788961.db2.gz BNTAYCOMGPFZEC-SDDRHHMPSA-N 0 1 299.802 0.939 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnn(CC)c1)C2 ZINC001098192886 854851460 /nfs/dbraw/zinc/85/14/60/854851460.db2.gz LDZYYHOMBWAZLL-KFWWJZLASA-N 0 1 286.379 0.800 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001098890959 854896291 /nfs/dbraw/zinc/89/62/91/854896291.db2.gz MJOGEHZTXMNEPY-ZENOOKHLSA-N 0 1 289.379 0.259 20 30 CCEDMN C[C@@H](CCNC(=O)c1ncn[nH]1)Nc1ncccc1C#N ZINC001099382842 854930125 /nfs/dbraw/zinc/93/01/25/854930125.db2.gz VJGHPVYTARKKFB-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@@H](CCNC(=O)c1nc[nH]n1)Nc1ncccc1C#N ZINC001099382842 854930131 /nfs/dbraw/zinc/93/01/31/854930131.db2.gz VJGHPVYTARKKFB-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(CC)CC)[C@@H](O)C1 ZINC001099695414 854969879 /nfs/dbraw/zinc/96/98/79/854969879.db2.gz HOZFTTYIFRNOKL-KGLIPLIRSA-N 0 1 266.385 0.997 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCC2CC2)[C@@H](O)C1 ZINC001099752468 854985164 /nfs/dbraw/zinc/98/51/64/854985164.db2.gz IXABSCKEKRJFRZ-KBPBESRZSA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(F)=C(C)C)[C@@H](O)C1 ZINC001099781556 854994557 /nfs/dbraw/zinc/99/45/57/854994557.db2.gz YAONUJBLVXFVJP-RYUDHWBXSA-N 0 1 268.332 0.825 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(COC)CCCC2)[C@@H](O)C1 ZINC001099865663 855012171 /nfs/dbraw/zinc/01/21/71/855012171.db2.gz BJBJWHGCBXQZCL-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COc2cc(C)on2)[C@@H](O)C1 ZINC001099881622 855019155 /nfs/dbraw/zinc/01/91/55/855019155.db2.gz FPKISOJTNRZYJM-NEPJUHHUSA-N 0 1 295.339 0.099 20 30 CCEDMN C=CCCCC(=O)N[C@H]1CCN(CC#CC)C[C@@H]1O ZINC001099974334 855057531 /nfs/dbraw/zinc/05/75/31/855057531.db2.gz QGKIEUAIPIDNDC-KBPBESRZSA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001099989701 855063882 /nfs/dbraw/zinc/06/38/82/855063882.db2.gz UEKHBXWQDSBDOT-RYUDHWBXSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001099997495 855064702 /nfs/dbraw/zinc/06/47/02/855064702.db2.gz YAMBWNCHZPDZGY-KBPBESRZSA-N 0 1 296.411 0.931 20 30 CCEDMN Cc1cc(CC(=O)NCCN(C)c2cnc(C#N)cn2)[nH]n1 ZINC001100023448 855074981 /nfs/dbraw/zinc/07/49/81/855074981.db2.gz IKXRPJJKWYEDAR-UHFFFAOYSA-N 0 1 299.338 0.175 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COc2ccccc2)[C@@H](O)C1 ZINC001100088011 855096295 /nfs/dbraw/zinc/09/62/95/855096295.db2.gz UTTRSQAXPYUZKY-GJZGRUSLSA-N 0 1 290.363 0.803 20 30 CCEDMN C=CCCC(=O)NCC1CC(NCc2cnn(C)n2)C1 ZINC001100171638 855110217 /nfs/dbraw/zinc/11/02/17/855110217.db2.gz GTCQSNZQHXCSLX-UHFFFAOYSA-N 0 1 277.372 0.766 20 30 CCEDMN CNC(=O)CN1C[C@@H]2CN(C(=O)C#CC(C)C)C[C@]2(C)C1 ZINC001101228933 855275237 /nfs/dbraw/zinc/27/52/37/855275237.db2.gz BFJNCDLKNQKYRX-CJNGLKHVSA-N 0 1 291.395 0.172 20 30 CCEDMN CN(CCNc1cnc(C#N)cn1)C(=O)c1ccn[nH]1 ZINC001101510067 855302171 /nfs/dbraw/zinc/30/21/71/855302171.db2.gz SCRREHHXNYYHCI-UHFFFAOYSA-N 0 1 271.284 0.255 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCNc1ccc(C#N)nc1 ZINC001101885630 855385557 /nfs/dbraw/zinc/38/55/57/855385557.db2.gz IQYCDLFNNIXUAQ-UHFFFAOYSA-N 0 1 285.311 0.564 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102328633 855429596 /nfs/dbraw/zinc/42/95/96/855429596.db2.gz REJOXRCCNPCODI-JMSVASOKSA-N 0 1 281.400 0.758 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)CN1CCCC1 ZINC001103722381 855527276 /nfs/dbraw/zinc/52/72/76/855527276.db2.gz KWTKCONMNDAPRG-ZIAGYGMSSA-N 0 1 278.400 0.680 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2nc[nH]n2)C[C@H]1CCNCC#N ZINC001104048213 855563428 /nfs/dbraw/zinc/56/34/28/855563428.db2.gz JWYNEEIJTJNVRN-VXGBXAGGSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@]12CCC[C@H]1CN(CC(=O)N(C)C)C2 ZINC001111666551 855585029 /nfs/dbraw/zinc/58/50/29/855585029.db2.gz FORVLDOCLZJLFA-HUBLWGQQSA-N 0 1 292.383 0.205 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](O)C(C)C)C1 ZINC001111734596 855590417 /nfs/dbraw/zinc/59/04/17/855590417.db2.gz JIXXMJFCFMQONF-LZWOXQAQSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001111757450 855591953 /nfs/dbraw/zinc/59/19/53/855591953.db2.gz BEFJELOVRNMALR-WWGRRREGSA-N 0 1 291.395 0.505 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1ccn[nH]1)Nc1ccnc(C#N)n1 ZINC001115656507 855685969 /nfs/dbraw/zinc/68/59/69/855685969.db2.gz LEEWSUHVRWEKPD-VIFPVBQESA-N 0 1 285.311 0.066 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@](C)(CO)C2)C1=O ZINC001116916627 855867411 /nfs/dbraw/zinc/86/74/11/855867411.db2.gz CKFITTPNBSYSCV-GXTWGEPZSA-N 0 1 252.358 0.868 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1ncc(CC)o1 ZINC001117066543 855904344 /nfs/dbraw/zinc/90/43/44/855904344.db2.gz NLELEZIQVYNBLZ-UHFFFAOYSA-N 0 1 298.324 0.694 20 30 CCEDMN N#CC[C@@H](O)CN1CCC(N2CCCC2=O)CC1 ZINC001117617561 856026475 /nfs/dbraw/zinc/02/64/75/856026475.db2.gz QKACLIHPWBYAII-GFCCVEGCSA-N 0 1 251.330 0.348 20 30 CCEDMN N#CCOc1cccc(CNC(=O)[C@@H]2CC2[N+](=O)[O-])c1 ZINC001118001889 856133491 /nfs/dbraw/zinc/13/34/91/856133491.db2.gz RHGLMFZLZHNAQW-VXGBXAGGSA-N 0 1 275.264 0.870 20 30 CCEDMN C=CCN1CC(=O)N(Cc2n[nH]c([C@@H]3CCCO3)n2)C1=O ZINC001118368188 856276642 /nfs/dbraw/zinc/27/66/42/856276642.db2.gz IQRWSOUBLPRRGJ-VIFPVBQESA-N 0 1 291.311 0.606 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001118382612 856284151 /nfs/dbraw/zinc/28/41/51/856284151.db2.gz KDZVONPBGAOHDK-VHSXEESVSA-N 0 1 278.312 0.311 20 30 CCEDMN C=CCOCCNC(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC001118562932 856343630 /nfs/dbraw/zinc/34/36/30/856343630.db2.gz LNNDDFINDFNOCP-VXGBXAGGSA-N 0 1 295.383 0.047 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1ccc2cncn2c1C ZINC001118706031 856404085 /nfs/dbraw/zinc/40/40/85/856404085.db2.gz CCWADGRXQFBTJE-LLVKDONJSA-N 0 1 257.293 0.757 20 30 CCEDMN N#CC1(C[C@H](O)CNCc2cc[nH]n2)CCOCC1 ZINC001118896930 856482230 /nfs/dbraw/zinc/48/22/30/856482230.db2.gz HJXZAPWUIOAJGZ-LBPRGKRZSA-N 0 1 264.329 0.571 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)C(=O)N(C)CC(C)(C)C#N ZINC001118963775 856510328 /nfs/dbraw/zinc/51/03/28/856510328.db2.gz XFANNQTYSZXAMI-GFCCVEGCSA-N 0 1 294.399 0.451 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCC[N@@H+](CC(=O)[O-])CC1 ZINC001119586621 856757991 /nfs/dbraw/zinc/75/79/91/856757991.db2.gz MIQZKOHYQUDBQJ-LBPRGKRZSA-N 0 1 284.356 0.587 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1)C(C)C ZINC001119865113 856899200 /nfs/dbraw/zinc/89/92/00/856899200.db2.gz KCZJQXHDQLJUFE-OLZOCXBDSA-N 0 1 291.395 0.598 20 30 CCEDMN C#CCN1CCC(NC(=O)CC2(O)CCOCC2)CC1 ZINC001120078721 856978603 /nfs/dbraw/zinc/97/86/03/856978603.db2.gz HARLGAXTXFBUJB-UHFFFAOYSA-N 0 1 280.368 0.132 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)COCc1ccnn1C ZINC001323262959 912231524 /nfs/dbraw/zinc/23/15/24/912231524.db2.gz PKEOJHGAIOKZHF-ZDUSSCGKSA-N 0 1 292.383 0.703 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)[C@@H]2CC2(C)C)CC1 ZINC001323424212 912336669 /nfs/dbraw/zinc/33/66/69/912336669.db2.gz RAFHQEFWFNFQPX-RYUDHWBXSA-N 0 1 293.411 0.962 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001393050211 912359216 /nfs/dbraw/zinc/35/92/16/912359216.db2.gz WVIFPIAMWGYNJO-VXGBXAGGSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCC1(NCC(N)=O)CC1 ZINC001323507736 912380209 /nfs/dbraw/zinc/38/02/09/912380209.db2.gz BIIBWJWNNORLGV-UHFFFAOYSA-N 0 1 253.346 0.312 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCC1(NCC(=O)NC)CC1 ZINC001323603565 912443912 /nfs/dbraw/zinc/44/39/12/912443912.db2.gz VYJYDGBKCWFHNV-UHFFFAOYSA-N 0 1 299.374 0.195 20 30 CCEDMN CO[C@@H](C(=O)NC1(C#N)CCN(C)CC1)[C@H]1CCOC1 ZINC001328222824 915032651 /nfs/dbraw/zinc/03/26/51/915032651.db2.gz SSXDYGIOQXVMHN-NWDGAFQWSA-N 0 1 281.356 0.142 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(=O)n(C)n1 ZINC001394005403 912912718 /nfs/dbraw/zinc/91/27/18/912912718.db2.gz ZBTJNQPXHBTGLR-NXEZZACHSA-N 0 1 298.774 0.629 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)NC2CCN(CC#N)CC2)n[nH]1 ZINC001324767454 913022753 /nfs/dbraw/zinc/02/27/53/913022753.db2.gz ZDFWLPLWSUMJOX-SECBINFHSA-N 0 1 291.359 0.461 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@]1(C)CCN(C(=O)CN2CCCC2)C1 ZINC001394324781 913129750 /nfs/dbraw/zinc/12/97/50/913129750.db2.gz OWSFUGDSWBGLGL-WFASDCNBSA-N 0 1 292.383 0.349 20 30 CCEDMN CCN1CCCN(C(=O)C(=O)N(C)CC(C)(C)C#N)CC1 ZINC001325101745 913214373 /nfs/dbraw/zinc/21/43/73/913214373.db2.gz HSWOCSJOQAIRAG-UHFFFAOYSA-N 0 1 294.399 0.549 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+]([C@H](C)C(=O)NC(=O)NCC)C1 ZINC001325223633 913286994 /nfs/dbraw/zinc/28/69/94/913286994.db2.gz QECYJOGOEGZCNZ-GHMZBOCLSA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCO[C@@H]1CCN([C@H](C)C(=O)NC(=O)NCC)C1 ZINC001325223633 913287006 /nfs/dbraw/zinc/28/70/06/913287006.db2.gz QECYJOGOEGZCNZ-GHMZBOCLSA-N 0 1 269.345 0.498 20 30 CCEDMN Cc1ncc(CNC[C@@H](O)CN(C)C(=O)[C@H](C)C#N)o1 ZINC001394734768 913401219 /nfs/dbraw/zinc/40/12/19/913401219.db2.gz YRAGQCYRRXXMRV-MWLCHTKSSA-N 0 1 280.328 0.052 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H]1CCCOC1 ZINC001394734320 913400365 /nfs/dbraw/zinc/40/03/65/913400365.db2.gz XIXRLCQUBSVBOE-NWDGAFQWSA-N 0 1 290.791 0.574 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cn(CCOC)nc1C ZINC001480864982 891428884 /nfs/dbraw/zinc/42/88/84/891428884.db2.gz WJWRPOHIKFXEEK-UHFFFAOYSA-N 0 1 292.383 0.523 20 30 CCEDMN C#CCC[N@@H+](C)CCNC(=O)c1cc(C2CC2)n[nH]1 ZINC001266328502 891450753 /nfs/dbraw/zinc/45/07/53/891450753.db2.gz GBVDKUJSMQEJAK-UHFFFAOYSA-N 0 1 260.341 0.972 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)NCCN(C)CC#N ZINC001480870395 891459679 /nfs/dbraw/zinc/45/96/79/891459679.db2.gz MRUTUBJDXKOWMG-UHFFFAOYSA-N 0 1 280.397 0.995 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H]1CCC[C@@H](C(N)=O)C1)C1CC1 ZINC001493422186 891498008 /nfs/dbraw/zinc/49/80/08/891498008.db2.gz ATSNOXXGVNHDBE-CHWSQXEVSA-N 0 1 291.395 0.492 20 30 CCEDMN CCCN(CCNC(=O)c1cc(C#N)c[nH]1)[C@H](C)C(N)=O ZINC001493282281 891777754 /nfs/dbraw/zinc/77/77/54/891777754.db2.gz HFPPUZULJQUXTA-SNVBAGLBSA-N 0 1 291.355 0.202 20 30 CCEDMN C#CCN(C)CCNC(=O)C1(NC(C)=O)CCCC1 ZINC001480924929 891834392 /nfs/dbraw/zinc/83/43/92/891834392.db2.gz XWMQTFRIXMFRIK-UHFFFAOYSA-N 0 1 265.357 0.117 20 30 CCEDMN N#CC1(CNC[C@@H](O)CC2(O)CCOCC2)CCC1 ZINC001352094034 891955916 /nfs/dbraw/zinc/95/59/16/891955916.db2.gz IMMLFBZTQWFRBV-LBPRGKRZSA-N 0 1 268.357 0.562 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCc1cn(C)nc1C ZINC001481003385 892042469 /nfs/dbraw/zinc/04/24/69/892042469.db2.gz GRLKBGIAYZFVCP-UHFFFAOYSA-N 0 1 276.384 0.732 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cc2ncccn2n1 ZINC001481007228 892046094 /nfs/dbraw/zinc/04/60/94/892046094.db2.gz QYKJTAMPCFXYQU-UHFFFAOYSA-N 0 1 271.324 0.414 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cc2ncccn2n1 ZINC001481007122 892047627 /nfs/dbraw/zinc/04/76/27/892047627.db2.gz MJNCZWJPNBUHBL-UHFFFAOYSA-N 0 1 285.351 0.804 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)Cc1cnoc1 ZINC001481052095 892115839 /nfs/dbraw/zinc/11/58/39/892115839.db2.gz JZLURHWNCPSQES-CQSZACIVSA-N 0 1 291.351 0.448 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)[C@H](C)C2CC2)C1 ZINC001481090373 892153463 /nfs/dbraw/zinc/15/34/63/892153463.db2.gz URGRRSDOQSGMIN-CHWSQXEVSA-N 0 1 291.395 0.220 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2c[nH]nc2C)C1 ZINC001481101881 892164697 /nfs/dbraw/zinc/16/46/97/892164697.db2.gz FAWQYHLRONGANS-CYBMUJFWSA-N 0 1 290.367 0.420 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN(CC(=O)NC)C1 ZINC001481113035 892177490 /nfs/dbraw/zinc/17/74/90/892177490.db2.gz PBBOAIOURUKZNY-LBPRGKRZSA-N 0 1 281.400 0.773 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1coc(C)c1 ZINC001283743090 892328330 /nfs/dbraw/zinc/32/83/30/892328330.db2.gz DKNIVQPDOQYJPG-GFCCVEGCSA-N 0 1 250.298 0.292 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CCc1scnc1C ZINC001283815265 892436997 /nfs/dbraw/zinc/43/69/97/892436997.db2.gz CKEJDFHJUVXLPI-GFCCVEGCSA-N 0 1 295.408 0.474 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc2c(c1)COC2 ZINC001283814846 892437232 /nfs/dbraw/zinc/43/72/32/892437232.db2.gz INRBIWJXLJUBDR-CQSZACIVSA-N 0 1 274.320 0.030 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CC1CCC(OC)CC1 ZINC001283839838 892466665 /nfs/dbraw/zinc/46/66/65/892466665.db2.gz CQVQDNWHYZCXPZ-MOKVOYLWSA-N 0 1 282.384 0.282 20 30 CCEDMN C#CCN[C@@H](CNC(=O)CCn1cncn1)c1ccccc1 ZINC001481359519 892600326 /nfs/dbraw/zinc/60/03/26/892600326.db2.gz DNAYLBJVWWGRPJ-HNNXBMFYSA-N 0 1 297.362 0.749 20 30 CCEDMN CC(C)N1CCC[C@@H]1C(=O)[C@@H](C#N)C(=O)NC1CC1 ZINC001342049616 892661176 /nfs/dbraw/zinc/66/11/76/892661176.db2.gz SXTXBYIPBLEIRH-VXGBXAGGSA-N 0 1 263.341 0.847 20 30 CCEDMN Cc1ccnc(CNC[C@H](C)CNC(=O)[C@@H](C)C#N)n1 ZINC001421672720 892706376 /nfs/dbraw/zinc/70/63/76/892706376.db2.gz FLPGPABGEFFTGF-QWRGUYRKSA-N 0 1 275.356 0.787 20 30 CCEDMN C=CCC(C)(C)C(=O)N(C)CCN1CCN(CCO)CC1 ZINC001481473307 892720089 /nfs/dbraw/zinc/72/00/89/892720089.db2.gz UFOVWJTUFYKITB-UHFFFAOYSA-N 0 1 297.443 0.657 20 30 CCEDMN C=CCN(C)C[C@@H]1CCN(C(=O)[C@@H](C)S(C)(=O)=O)C1 ZINC001481491339 892745354 /nfs/dbraw/zinc/74/53/54/892745354.db2.gz NRSKRKWVLGIWSL-NEPJUHHUSA-N 0 1 288.413 0.386 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc(C)nn3C)[C@@H]2C1 ZINC001075589208 892770321 /nfs/dbraw/zinc/77/03/21/892770321.db2.gz XTJDNHRKWKZSKD-GXTWGEPZSA-N 0 1 272.352 0.508 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)CC(N)=O)C1 ZINC001481527411 892773759 /nfs/dbraw/zinc/77/37/59/892773759.db2.gz CQWMPSRCJVNKMJ-GHMZBOCLSA-N 0 1 253.346 0.265 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@H](C)CC(N)=O)C1 ZINC001481536581 892784492 /nfs/dbraw/zinc/78/44/92/892784492.db2.gz HPNBZDOHAUDTAG-OLZOCXBDSA-N 0 1 297.399 0.281 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H](CC)N2CCCC2=O)C1 ZINC001481660875 892977730 /nfs/dbraw/zinc/97/77/30/892977730.db2.gz JTMYNGMCTGGWJY-ZDUSSCGKSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCCN1CC[C@@H](NC(=O)C2CS(=O)(=O)C2)C1 ZINC001481701876 893045429 /nfs/dbraw/zinc/04/54/29/893045429.db2.gz ITFPJZHEWFRMGO-GFCCVEGCSA-N 0 1 286.397 0.188 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)C1CCCC1 ZINC001497508892 893191058 /nfs/dbraw/zinc/19/10/58/893191058.db2.gz ACHWHRZIQVVOGC-WAYWQWQTSA-N 0 1 277.368 0.188 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](CCNCc2cnon2)C1 ZINC001481971036 893293847 /nfs/dbraw/zinc/29/38/47/893293847.db2.gz BXRQMFWDECTOJR-VXGBXAGGSA-N 0 1 291.355 0.948 20 30 CCEDMN C=CCCC(=O)N1CCC2(CCN(CC(N)=O)C2)CC1 ZINC001482067157 893350463 /nfs/dbraw/zinc/35/04/63/893350463.db2.gz FTMHBJQEFAXTIO-UHFFFAOYSA-N 0 1 279.384 0.752 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(CC)[nH]c(=O)c1 ZINC001482614333 894413161 /nfs/dbraw/zinc/41/31/61/894413161.db2.gz WHEWZCJVSDGXGY-UHFFFAOYSA-N 0 1 275.352 0.987 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCc1ccnc(C)n1 ZINC001482615977 894415453 /nfs/dbraw/zinc/41/54/53/894415453.db2.gz ISQDSVCOJBEFQE-UHFFFAOYSA-N 0 1 274.368 0.741 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(C)nn1CC ZINC001482630536 894433529 /nfs/dbraw/zinc/43/35/29/894433529.db2.gz RQCGLKZBVPTSBL-UHFFFAOYSA-N 0 1 262.357 0.848 20 30 CCEDMN C[C@H](NC(=O)C(=O)Nc1sccc1C#N)c1nnc[nH]1 ZINC001326282399 913869513 /nfs/dbraw/zinc/86/95/13/913869513.db2.gz GCDWIQVZUOHJTH-LURJTMIESA-N 0 1 290.308 0.554 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)CCc1cn[nH]c1 ZINC001482633446 894435139 /nfs/dbraw/zinc/43/51/39/894435139.db2.gz GAGJDKYRCWVKAU-UHFFFAOYSA-N 0 1 292.383 0.382 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001328430851 915188393 /nfs/dbraw/zinc/18/83/93/915188393.db2.gz RNJPXPZQXGBFCT-PRHODGIISA-N 0 1 266.301 0.209 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1scnc1C ZINC001482710708 894530003 /nfs/dbraw/zinc/53/00/03/894530003.db2.gz DLJJETGZQKGPBP-SECBINFHSA-N 0 1 289.788 0.884 20 30 CCEDMN CCn1cc(CNCC[C@@H](C)NC(=O)C#CC(C)C)nn1 ZINC001482830792 894638294 /nfs/dbraw/zinc/63/82/94/894638294.db2.gz ZPLPMWIONBCLGQ-CYBMUJFWSA-N 0 1 291.399 0.942 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N[C@H](C)CNCC#N ZINC001483133421 895002932 /nfs/dbraw/zinc/00/29/32/895002932.db2.gz CYKLBJWRULHPRC-MNOVXSKESA-N 0 1 258.341 0.284 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccc(OC)o1 ZINC001483399569 895538229 /nfs/dbraw/zinc/53/82/29/895538229.db2.gz PNRNSRQKUZTLSP-JTQLQIEISA-N 0 1 250.298 0.972 20 30 CCEDMN C#CC[N@H+](C)C[C@H](C)NC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001483409400 895545190 /nfs/dbraw/zinc/54/51/90/895545190.db2.gz SPTTVAJEIFTSBM-RYUDHWBXSA-N 0 1 274.368 0.584 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001483409400 895545197 /nfs/dbraw/zinc/54/51/97/895545197.db2.gz SPTTVAJEIFTSBM-RYUDHWBXSA-N 0 1 274.368 0.584 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)COCCCOC)CCC[C@H]12 ZINC001284068199 895689776 /nfs/dbraw/zinc/68/97/76/895689776.db2.gz DPCBJPZDMXUKNX-GOEBONIOSA-N 0 1 294.395 0.786 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)COC[C@@H]1CCCO1 ZINC001483705838 895884804 /nfs/dbraw/zinc/88/48/04/895884804.db2.gz JYBKWRUIKKPDIB-KGLIPLIRSA-N 0 1 282.384 0.949 20 30 CCEDMN CCc1nc([C@H](C)NC2(CNC(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001483723698 895917893 /nfs/dbraw/zinc/91/78/93/895917893.db2.gz VKNUPBDMQNJPQF-ZJUUUORDSA-N 0 1 290.371 0.826 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)[C@@H]2CC[C@H](F)C2)CC1 ZINC001483762444 896024789 /nfs/dbraw/zinc/02/47/89/896024789.db2.gz JDULHOTVMBBUCC-MNOVXSKESA-N 0 1 296.346 0.003 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C(C)C)C[C@@H]2O)C1 ZINC001083758441 896031998 /nfs/dbraw/zinc/03/19/98/896031998.db2.gz CBYVUJBFHVJMJO-NEPJUHHUSA-N 0 1 252.358 0.912 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@]2(CCN(C(C)=O)C2)C1 ZINC001483828379 896064781 /nfs/dbraw/zinc/06/47/81/896064781.db2.gz QOUWQMOJTJULBP-OAHLLOKOSA-N 0 1 277.368 0.070 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)CCOCCOC)C1 ZINC001484173749 896218473 /nfs/dbraw/zinc/21/84/73/896218473.db2.gz HGZUONQMEHWNFD-MRXNPFEDSA-N 0 1 296.411 0.891 20 30 CCEDMN CCO[C@@H](C)C(=O)N(C)CCC[N@@H+](C)CC#CCOC ZINC001484733717 896577661 /nfs/dbraw/zinc/57/76/61/896577661.db2.gz NLBGFMJHIZCXSH-AWEZNQCLSA-N 0 1 284.400 0.842 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCC(C)(NCC#N)CC1 ZINC001484922794 896670856 /nfs/dbraw/zinc/67/08/56/896670856.db2.gz WJPIOSDPNUXALL-ZDUSSCGKSA-N 0 1 278.400 0.965 20 30 CCEDMN CC[C@H](F)CN1CCC(CO)(NC(=O)[C@@H](C)C#N)CC1 ZINC001485015305 896718913 /nfs/dbraw/zinc/71/89/13/896718913.db2.gz ANRBMDVEOOLDFW-RYUDHWBXSA-N 0 1 285.363 0.837 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H](C)n2cccc2)CC1 ZINC001485016288 896720653 /nfs/dbraw/zinc/72/06/53/896720653.db2.gz APEBVRATYNXUCJ-CQSZACIVSA-N 0 1 289.379 0.625 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H](C)OCC ZINC001485047223 896734115 /nfs/dbraw/zinc/73/41/15/896734115.db2.gz JEQGEDWDXFFWAY-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@]1(C)CCNC1=O ZINC001485069281 896747186 /nfs/dbraw/zinc/74/71/86/896747186.db2.gz NHUOWEPENGCDLO-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H]1CCc2cncn2C1 ZINC001485321639 896946592 /nfs/dbraw/zinc/94/65/92/896946592.db2.gz ZPNQJRZKPPLMNX-OLZOCXBDSA-N 0 1 274.368 0.515 20 30 CCEDMN C#CC[N@H+](C)[C@H](C)CNC(=O)c1cccc(=O)n1C ZINC001485350339 896982823 /nfs/dbraw/zinc/98/28/23/896982823.db2.gz NJLMMZHNNIROBV-LLVKDONJSA-N 0 1 261.325 0.069 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cccc(=O)n1C ZINC001485350339 896982831 /nfs/dbraw/zinc/98/28/31/896982831.db2.gz NJLMMZHNNIROBV-LLVKDONJSA-N 0 1 261.325 0.069 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc(COC)on1 ZINC001485359811 896985273 /nfs/dbraw/zinc/98/52/73/896985273.db2.gz BHXHIPROGZKWRP-LLVKDONJSA-N 0 1 279.340 0.894 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001485500471 897086339 /nfs/dbraw/zinc/08/63/39/897086339.db2.gz ISYZKSALJQBEJJ-QWRGUYRKSA-N 0 1 266.341 0.562 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCc2ncccn2)C1 ZINC001485530814 897100277 /nfs/dbraw/zinc/10/02/77/897100277.db2.gz SUGYJSVVHNOHLS-CYBMUJFWSA-N 0 1 286.379 0.869 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)Cc2[nH]cnc2C)C1 ZINC001485533209 897112788 /nfs/dbraw/zinc/11/27/88/897112788.db2.gz OAFOVJHGYMWEAY-LLVKDONJSA-N 0 1 274.368 0.720 20 30 CCEDMN C[C@H](CCCNCC#N)NC(=O)[C@@H]1CCCCN1C ZINC001485727529 897212611 /nfs/dbraw/zinc/21/26/11/897212611.db2.gz MESKFDNFFSFKPF-OLZOCXBDSA-N 0 1 266.389 0.869 20 30 CCEDMN C#CCCCCCC(=O)N1CCC([N@@H+](C)CC(N)=O)CC1 ZINC001485761143 897226773 /nfs/dbraw/zinc/22/67/73/897226773.db2.gz INKAPNPJAUVYBC-UHFFFAOYSA-N 0 1 293.411 0.978 20 30 CCEDMN C#CCCCCCC(=O)N1CCC(N(C)CC(N)=O)CC1 ZINC001485761143 897226786 /nfs/dbraw/zinc/22/67/86/897226786.db2.gz INKAPNPJAUVYBC-UHFFFAOYSA-N 0 1 293.411 0.978 20 30 CCEDMN CC#CC[N@@H+](C)CCOCCNC(=O)[C@H](C)n1cccn1 ZINC001485770692 897233040 /nfs/dbraw/zinc/23/30/40/897233040.db2.gz OEROPFDCPCXFQD-AWEZNQCLSA-N 0 1 292.383 0.532 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@H](C)n1cccn1 ZINC001485770692 897233050 /nfs/dbraw/zinc/23/30/50/897233050.db2.gz OEROPFDCPCXFQD-AWEZNQCLSA-N 0 1 292.383 0.532 20 30 CCEDMN Cc1cc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)n[nH]1 ZINC001397351845 914142416 /nfs/dbraw/zinc/14/24/16/914142416.db2.gz LFKFESVGTLFPIX-QAHWYCSRSA-N 0 1 287.323 0.064 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)c1ccoc1 ZINC001127962007 897571826 /nfs/dbraw/zinc/57/18/26/897571826.db2.gz KOOLDSPBVHACHX-UHFFFAOYSA-N 0 1 285.731 0.468 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001107958001 897608220 /nfs/dbraw/zinc/60/82/20/897608220.db2.gz RTQMMJYMUVAVCK-IMJJTQAJSA-N 0 1 294.395 0.806 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)NC(=O)CC ZINC001127979526 897653200 /nfs/dbraw/zinc/65/32/00/897653200.db2.gz YAASJGAERNVPJE-VIFPVBQESA-N 0 1 261.753 0.359 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCC(=O)N1C ZINC001032560198 897745317 /nfs/dbraw/zinc/74/53/17/897745317.db2.gz ROTFYTVIAJGTLA-RDBSUJKOSA-N 0 1 289.379 0.306 20 30 CCEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1nnn(C)n1 ZINC001032572357 897763058 /nfs/dbraw/zinc/76/30/58/897763058.db2.gz OOEYEIBDXAVHLI-QWRGUYRKSA-N 0 1 276.344 0.075 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cn[nH]c1 ZINC001032674276 897922995 /nfs/dbraw/zinc/92/29/95/897922995.db2.gz PWEWFKVRXLOBGI-KBPBESRZSA-N 0 1 272.352 0.651 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C)n1 ZINC001032680284 897925760 /nfs/dbraw/zinc/92/57/60/897925760.db2.gz IDUQRMYVGDMSAG-QWRGUYRKSA-N 0 1 261.329 0.290 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(N)=O)c[nH]1 ZINC001032704618 897942283 /nfs/dbraw/zinc/94/22/83/897942283.db2.gz WTUDVDVXXFUUOF-RYUDHWBXSA-N 0 1 288.351 0.588 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001032719338 897968483 /nfs/dbraw/zinc/96/84/83/897968483.db2.gz DDFJPFFWBOQYIQ-SRVKXCTJSA-N 0 1 285.351 0.323 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCN(C(C)=O)C1 ZINC001032756161 898104735 /nfs/dbraw/zinc/10/47/35/898104735.db2.gz CLDKYSFXBIQHKD-KKUMJFAQSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(OC)cc2)C1 ZINC001078061287 898214312 /nfs/dbraw/zinc/21/43/12/898214312.db2.gz LXYCMPBANDFKTH-HUUCEWRRSA-N 0 1 288.347 0.493 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCn1cc(C)cn1 ZINC001032800933 898373938 /nfs/dbraw/zinc/37/39/38/898373938.db2.gz DXTGTRXOPWKSRZ-GJZGRUSLSA-N 0 1 286.379 0.890 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCN1C(C)=O ZINC001032807595 898393215 /nfs/dbraw/zinc/39/32/15/898393215.db2.gz PBVMRQZXKGODRI-SOUVJXGZSA-N 0 1 289.379 0.306 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C[C@H]1C=CCC1 ZINC001485973038 898548573 /nfs/dbraw/zinc/54/85/73/898548573.db2.gz QVUZOBGWNRZOEP-STQMWFEESA-N 0 1 250.342 0.433 20 30 CCEDMN C=CCC[NH2+][C@@H](CO)CNC(=O)c1ncccc1[O-] ZINC001485990260 898580877 /nfs/dbraw/zinc/58/08/77/898580877.db2.gz SGYYYWKGTDLMJI-SNVBAGLBSA-N 0 1 265.313 0.044 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C(C)(C)C1CCCC1 ZINC001486003617 898598887 /nfs/dbraw/zinc/59/88/87/898598887.db2.gz GKTTWKSKULRLLM-CYBMUJFWSA-N 0 1 266.385 0.903 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1c(F)cc(OC)cc1F ZINC001486035516 898623068 /nfs/dbraw/zinc/62/30/68/898623068.db2.gz AUKQRNJOUCUDMO-VIFPVBQESA-N 0 1 298.289 0.287 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cnc(C2CC2)s1 ZINC001486055080 898641004 /nfs/dbraw/zinc/64/10/04/898641004.db2.gz IUGJWBSAMMADAW-NSHDSACASA-N 0 1 293.392 0.724 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H]1CC1(C)C ZINC001486330615 898796115 /nfs/dbraw/zinc/79/61/15/898796115.db2.gz HYWGEQKXZYZTEE-NEPJUHHUSA-N 0 1 252.358 0.417 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@H](C)C(F)(F)F ZINC001486344965 898820983 /nfs/dbraw/zinc/82/09/83/898820983.db2.gz MOJQFBZNVSRNBM-UWVGGRQHSA-N 0 1 280.290 0.569 20 30 CCEDMN C=CCN(CCNC(=O)[C@@H]1CCNC(=O)CC1)CCOC ZINC001486429874 898870940 /nfs/dbraw/zinc/87/09/40/898870940.db2.gz DTZXYLIZAWFXHG-ZDUSSCGKSA-N 0 1 297.399 0.153 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC1=CCN(CC#C)CC1 ZINC001486507844 898907224 /nfs/dbraw/zinc/90/72/24/898907224.db2.gz YXTLRFHOMAEBTD-CYBMUJFWSA-N 0 1 260.337 0.406 20 30 CCEDMN C#CCN1CC=C(CNC(=O)COc2cc(C)on2)CC1 ZINC001486510347 898915858 /nfs/dbraw/zinc/91/58/58/898915858.db2.gz LHOVKAHZMBULAT-UHFFFAOYSA-N 0 1 289.335 0.743 20 30 CCEDMN C#CCN1CC=C(CNC(=O)C2(COC)CC2)CC1 ZINC001486510728 898917663 /nfs/dbraw/zinc/91/76/63/898917663.db2.gz INJLQMYXQJKKFO-UHFFFAOYSA-N 0 1 262.353 0.795 20 30 CCEDMN COC(=O)c1cc(C#N)c(=O)n(Cc2[nH]ncc2C)c1 ZINC001364609346 899005912 /nfs/dbraw/zinc/00/59/12/899005912.db2.gz DEPSOYIOHJFHCE-UHFFFAOYSA-N 0 1 272.264 0.586 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CO[C@H]1CCOC1 ZINC001410374834 899375955 /nfs/dbraw/zinc/37/59/55/899375955.db2.gz BYPUTKNHYCVRCN-NEPJUHHUSA-N 0 1 290.791 0.981 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CO[C@@H]1CCOC1 ZINC001410374838 899378113 /nfs/dbraw/zinc/37/81/13/899378113.db2.gz BYPUTKNHYCVRCN-NWDGAFQWSA-N 0 1 290.791 0.981 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)C1CCOCC1 ZINC001410981972 899648946 /nfs/dbraw/zinc/64/89/46/899648946.db2.gz YIRLPLQJQSYKFI-LBPRGKRZSA-N 0 1 290.791 0.574 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001324647054 899812756 /nfs/dbraw/zinc/81/27/56/899812756.db2.gz KWYAKXYVSXGJOG-DZGCQCFKSA-N 0 1 279.384 0.505 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](COC)OC)C1 ZINC001150268314 899937331 /nfs/dbraw/zinc/93/73/31/899937331.db2.gz UFFRJPAJXFIOJR-STQMWFEESA-N 0 1 286.372 0.041 20 30 CCEDMN Cc1ccc2c(c1NS(=O)(=O)[C@H](C)C#N)CNC2=O ZINC001193140196 900034270 /nfs/dbraw/zinc/03/42/70/900034270.db2.gz UUQIVLZJKQOOOL-MRVPVSSYSA-N 0 1 279.321 0.892 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccnc(C)n2)C1 ZINC001194072553 900043336 /nfs/dbraw/zinc/04/33/36/900043336.db2.gz RGWSOZKYKTWCFK-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(=O)NCC(F)F)[C@H]1CC ZINC001489123547 900435725 /nfs/dbraw/zinc/43/57/25/900435725.db2.gz CREPQOJBRJSCTE-VHSXEESVSA-N 0 1 289.326 0.523 20 30 CCEDMN C=C1CCC(C(=O)N(C)CCCN(C)CC(N)=O)CC1 ZINC001327259066 914447413 /nfs/dbraw/zinc/44/74/13/914447413.db2.gz XQQBRECTPDGPHR-UHFFFAOYSA-N 0 1 281.400 0.998 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C1CC(C)C1 ZINC001490174104 900555844 /nfs/dbraw/zinc/55/58/44/900555844.db2.gz FJFMUAVNDCBMKV-UHFFFAOYSA-N 0 1 281.400 0.773 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C=C1CCC1 ZINC001490177122 900556699 /nfs/dbraw/zinc/55/66/99/900556699.db2.gz UBBLRXOMAMBPMT-ZDUSSCGKSA-N 0 1 291.395 0.673 20 30 CCEDMN C=C(C)CCC(=O)NCCCN1CCN(CCO)CC1 ZINC001490469332 900631069 /nfs/dbraw/zinc/63/10/69/900631069.db2.gz CIIVMDCYLQOFEL-UHFFFAOYSA-N 0 1 283.416 0.459 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cn(C)nc2C)CC1 ZINC001490485376 900636983 /nfs/dbraw/zinc/63/69/83/900636983.db2.gz FCWFFNVWNMGMFQ-UHFFFAOYSA-N 0 1 291.399 0.262 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)C2=CCOCC2)CC1 ZINC001490487960 900639285 /nfs/dbraw/zinc/63/92/85/900639285.db2.gz RREGLNNVHOZPOY-UHFFFAOYSA-N 0 1 293.411 0.643 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)c2ccncc2)CC1 ZINC001490462917 900644374 /nfs/dbraw/zinc/64/43/74/900644374.db2.gz WNELHHYBVFPLOX-UHFFFAOYSA-N 0 1 286.379 0.452 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCN1CCN(C[C@@H](C)O)CC1 ZINC001490501445 900644870 /nfs/dbraw/zinc/64/48/70/900644870.db2.gz VGYBTIDWFKJWNA-GDBMZVCRSA-N 0 1 297.443 0.703 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCN1CCN(C[C@H](C)O)CC1 ZINC001490501447 900644902 /nfs/dbraw/zinc/64/49/02/900644902.db2.gz VGYBTIDWFKJWNA-HOCLYGCPSA-N 0 1 297.443 0.703 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001490552816 900664039 /nfs/dbraw/zinc/66/40/39/900664039.db2.gz HDVRUSPECSYESA-ZIAGYGMSSA-N 0 1 292.383 0.874 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001295614965 900911116 /nfs/dbraw/zinc/91/11/16/900911116.db2.gz HPDTZYUOBISBLJ-ZJUUUORDSA-N 0 1 279.344 0.642 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001295614965 900911125 /nfs/dbraw/zinc/91/11/25/900911125.db2.gz HPDTZYUOBISBLJ-ZJUUUORDSA-N 0 1 279.344 0.642 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](O)CNCc1snnc1C ZINC001320226216 900918349 /nfs/dbraw/zinc/91/83/49/900918349.db2.gz BNHHMHUTLGOFFK-NSHDSACASA-N 0 1 298.412 0.770 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCNC(=O)[C@@H]1CCCCN1C ZINC001415539491 901270704 /nfs/dbraw/zinc/27/07/04/901270704.db2.gz OEXGECHMORHMPC-NEPJUHHUSA-N 0 1 280.372 0.205 20 30 CCEDMN Cc1cc(NC(=O)CCc2nn[nH]n2)nn1CCC#N ZINC001412784559 902122156 /nfs/dbraw/zinc/12/21/56/902122156.db2.gz QHYOINADXXZGCS-UHFFFAOYSA-N 0 1 274.288 0.190 20 30 CCEDMN C[N@H+]1C[C@@H]2CCN(Cc3cc(=O)n4[n-]cc(C#N)c4n3)[C@@H]2C1 ZINC001412992608 902443950 /nfs/dbraw/zinc/44/39/50/902443950.db2.gz KSJYCEMMAWRULZ-GXFFZTMASA-N 0 1 298.350 0.442 20 30 CCEDMN CN(C[C@H](O)CN1CC[C@](O)(CC#N)C1)CC(F)(F)F ZINC001413010800 902468571 /nfs/dbraw/zinc/46/85/71/902468571.db2.gz LKEMFAMNYUEGSE-WDEREUQCSA-N 0 1 295.305 0.192 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001046711126 902821394 /nfs/dbraw/zinc/82/13/94/902821394.db2.gz XUPXXVMBWDYPRP-OAHLLOKOSA-N 0 1 288.351 0.091 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H](CO)Cc2cnc[nH]2)c(F)c1 ZINC001413438662 902950699 /nfs/dbraw/zinc/95/06/99/902950699.db2.gz RYVZKIMQMYNOQP-NSHDSACASA-N 0 1 288.282 0.754 20 30 CCEDMN COC[C@H](NC(=O)c1cccc(CC#N)c1)c1nn[nH]n1 ZINC001413550897 903040175 /nfs/dbraw/zinc/04/01/75/903040175.db2.gz GBOBEAPITLZUNH-NSHDSACASA-N 0 1 286.295 0.383 20 30 CCEDMN COCC#CCN1CCC[C@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001491098530 903360958 /nfs/dbraw/zinc/36/09/58/903360958.db2.gz OYCVQTIWWHGQCE-CABCVRRESA-N 0 1 294.395 0.501 20 30 CCEDMN C#Cc1cccc(NC(=O)CN[C@@H](C)CNC(C)=O)c1 ZINC001491375300 903514527 /nfs/dbraw/zinc/51/45/27/903514527.db2.gz IYBMJIRWAGWDEF-NSHDSACASA-N 0 1 273.336 0.721 20 30 CCEDMN C#CCCCC(=O)N1CC[C@](C)(NC(=O)c2ncn[nH]2)C1 ZINC001299566200 904053822 /nfs/dbraw/zinc/05/38/22/904053822.db2.gz YOEJFOXBJNVCCE-AWEZNQCLSA-N 0 1 289.339 0.329 20 30 CCEDMN C#CCCCC(=O)N1CC[C@](C)(NC(=O)c2nc[nH]n2)C1 ZINC001299566200 904053833 /nfs/dbraw/zinc/05/38/33/904053833.db2.gz YOEJFOXBJNVCCE-AWEZNQCLSA-N 0 1 289.339 0.329 20 30 CCEDMN N#CCSCC(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC001299733403 904080359 /nfs/dbraw/zinc/08/03/59/904080359.db2.gz CHSLXOJNEGXVTE-MRVPVSSYSA-N 0 1 251.315 0.377 20 30 CCEDMN C[C@@H](CCCNC(=O)c1cnn[nH]1)NC(=O)C#CC1CC1 ZINC001280820474 904086543 /nfs/dbraw/zinc/08/65/43/904086543.db2.gz VZQMPNZTMFXRAE-JTQLQIEISA-N 0 1 289.339 0.233 20 30 CCEDMN C#CCCCC(=O)N(C)CCC[N@H+](C)CC(=O)NC ZINC001280805816 904156115 /nfs/dbraw/zinc/15/61/15/904156115.db2.gz NCOBHUWXIPIOQQ-UHFFFAOYSA-N 0 1 267.373 0.316 20 30 CCEDMN C#CCCCC(=O)N(C)CCCN(C)CC(=O)NC ZINC001280805816 904156126 /nfs/dbraw/zinc/15/61/26/904156126.db2.gz NCOBHUWXIPIOQQ-UHFFFAOYSA-N 0 1 267.373 0.316 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](CO)NC(=O)CN1CCCC1 ZINC001281088624 904216587 /nfs/dbraw/zinc/21/65/87/904216587.db2.gz IQZBWJLIVJQCNQ-CYBMUJFWSA-N 0 1 297.399 0.032 20 30 CCEDMN CC1(C)CN(C(=O)C(=O)N2CCC[C@@](C)(C#N)C2)CCN1 ZINC001327841406 914801655 /nfs/dbraw/zinc/80/16/55/914801655.db2.gz WKDIVMWPZFWENA-HNNXBMFYSA-N 0 1 292.383 0.349 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](OC)C1CC1 ZINC001281933767 904371860 /nfs/dbraw/zinc/37/18/60/904371860.db2.gz UZBSTLRWTDCUIJ-KBPBESRZSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCC(=O)NCC ZINC001282364279 904455737 /nfs/dbraw/zinc/45/57/37/904455737.db2.gz LCXRNPSNMBIIGD-GFCCVEGCSA-N 0 1 267.373 0.363 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1c(C)cc(=O)oc1C ZINC001282431862 904473090 /nfs/dbraw/zinc/47/30/90/904473090.db2.gz VHSRSDQSFWMTMG-LLVKDONJSA-N 0 1 276.336 0.940 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H](CC)N1CCCC1=O ZINC001282493722 904492202 /nfs/dbraw/zinc/49/22/02/904492202.db2.gz ITWBCRMUKFMROY-STQMWFEESA-N 0 1 279.384 0.457 20 30 CCEDMN COCC#CCN1CCCCC[C@@H]1CNC(=O)COC ZINC001282655979 904516298 /nfs/dbraw/zinc/51/62/98/904516298.db2.gz OWCGCVYDZROARL-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCN2CCOCC2)C1 ZINC001282738492 904533685 /nfs/dbraw/zinc/53/36/85/904533685.db2.gz GRUSEMNWMLTRES-CQSZACIVSA-N 0 1 293.411 0.169 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H]1[C@H]2CN([C@@H](C)C(N)=O)C[C@H]21 ZINC001282876569 904557829 /nfs/dbraw/zinc/55/78/29/904557829.db2.gz NCBRBDOCPAGHLE-XDQVBPFNSA-N 0 1 291.395 0.348 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H](CC)NC(C)=O)C2)C1 ZINC001282974132 904647237 /nfs/dbraw/zinc/64/72/37/904647237.db2.gz YYECPXWDBLAMPE-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN CN(CCNC(=O)Cn1cncn1)Cc1ccc(C#N)cc1 ZINC001377262230 904733139 /nfs/dbraw/zinc/73/31/39/904733139.db2.gz MIFQEPQKXNSODY-UHFFFAOYSA-N 0 1 298.350 0.398 20 30 CCEDMN C[C@H](CCCNCC#N)NC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001283247788 904778447 /nfs/dbraw/zinc/77/84/47/904778447.db2.gz IAQAJSCWAWFDGT-NWDGAFQWSA-N 0 1 277.372 0.986 20 30 CCEDMN C#CCCCC(=O)N(C)CCCNC(=O)c1[nH]ncc1F ZINC001283646825 904945177 /nfs/dbraw/zinc/94/51/77/904945177.db2.gz LHLFMTORZLGRGT-UHFFFAOYSA-N 0 1 294.330 0.931 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CCc1ccc(F)cc1 ZINC001283771106 905008569 /nfs/dbraw/zinc/00/85/69/905008569.db2.gz OAJAFAAXNZOMKS-HNNXBMFYSA-N 0 1 292.354 0.848 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1CC2CCC1CC2 ZINC001283830739 905046258 /nfs/dbraw/zinc/04/62/58/905046258.db2.gz FSSWESKMZFRUBN-NWINJMCUSA-N 0 1 264.369 0.513 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)COc1cccc(C)c1 ZINC001283827673 905047063 /nfs/dbraw/zinc/04/70/63/905047063.db2.gz PVEYORQMNGXZQI-CQSZACIVSA-N 0 1 290.363 0.464 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001283950158 905095145 /nfs/dbraw/zinc/09/51/45/905095145.db2.gz ZAEILXLZNGRUBS-NSHDSACASA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001284124812 905151359 /nfs/dbraw/zinc/15/13/59/905151359.db2.gz XGUCOGQJEMUMEP-NSHDSACASA-N 0 1 291.355 0.739 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001284124812 905151362 /nfs/dbraw/zinc/15/13/62/905151362.db2.gz XGUCOGQJEMUMEP-NSHDSACASA-N 0 1 291.355 0.739 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@@H](C)OC ZINC001284387525 905265576 /nfs/dbraw/zinc/26/55/76/905265576.db2.gz MDTYNLBLOIPMOH-ZJUUUORDSA-N 0 1 264.753 0.183 20 30 CCEDMN C=CCCC(=O)NCC1=CCN([C@H]2CCN(C)C2=O)CC1 ZINC001284890152 905468156 /nfs/dbraw/zinc/46/81/56/905468156.db2.gz QLAOGGCTXGBJJY-AWEZNQCLSA-N 0 1 291.395 0.932 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CN(C)C(=O)[C@@H]1CCCN1C ZINC001337082747 921181377 /nfs/dbraw/zinc/18/13/77/921181377.db2.gz ZLJMGJYTWCAKHR-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CN(C)C(=O)[C@H]1CCCN1C ZINC001337082749 921182215 /nfs/dbraw/zinc/18/22/15/921182215.db2.gz ZLJMGJYTWCAKHR-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN C=CCCC(=O)NC[C@]1(O)CCN(C(=O)c2ccn[nH]2)C1 ZINC001286175266 905859696 /nfs/dbraw/zinc/85/96/96/905859696.db2.gz RMNMLJKRZJRJTG-CQSZACIVSA-N 0 1 292.339 0.069 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@@H](C)C#N)NCc1cn(C)nn1 ZINC001378957553 905901176 /nfs/dbraw/zinc/90/11/76/905901176.db2.gz AZTZSLNVHBNOMS-CMPLNLGQSA-N 0 1 278.360 0.205 20 30 CCEDMN C=CCCCC(=O)N[C@@H](CO)CNC(=O)c1[nH]ncc1F ZINC001287839598 905976615 /nfs/dbraw/zinc/97/66/15/905976615.db2.gz XBDQYCOMAZMDQA-SECBINFHSA-N 0 1 298.318 0.112 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](NC(=O)c2cnn[nH]2)C[C@H]1C ZINC001287931674 905992495 /nfs/dbraw/zinc/99/24/95/905992495.db2.gz YJKJKSMZACVVAW-MNOVXSKESA-N 0 1 289.339 0.183 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CN1CCCCC1=O ZINC001379395429 906208967 /nfs/dbraw/zinc/20/89/67/906208967.db2.gz JDPXWUASWREQOY-LLVKDONJSA-N 0 1 287.791 0.846 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C(C)(C)NC(C)=O ZINC001379562125 906352504 /nfs/dbraw/zinc/35/25/04/906352504.db2.gz SLOAODZEHAACBR-VIFPVBQESA-N 0 1 275.780 0.748 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001337351071 921239494 /nfs/dbraw/zinc/23/94/94/921239494.db2.gz ZVYGZXYQYLRTFV-SNVBAGLBSA-N 0 1 293.371 0.984 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N(C)C[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001337351071 921239499 /nfs/dbraw/zinc/23/94/99/921239499.db2.gz ZVYGZXYQYLRTFV-SNVBAGLBSA-N 0 1 293.371 0.984 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001295614918 906770919 /nfs/dbraw/zinc/77/09/19/906770919.db2.gz HDGNQMVFJLFZMF-VHSXEESVSA-N 0 1 279.344 0.642 20 30 CCEDMN C[C@H](CNC(=O)[C@@H]1CCCCN1C)NC(=O)C#CC1CC1 ZINC001295611276 906771095 /nfs/dbraw/zinc/77/10/95/906771095.db2.gz DPCHSZYQPYYYCS-OCCSQVGLSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1cccc2nc(CO)[nH]c21 ZINC001295643023 906777622 /nfs/dbraw/zinc/77/76/22/906777622.db2.gz BDHPBGXMQDDRQX-UHFFFAOYSA-N 0 1 288.307 0.087 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC001296064412 906857616 /nfs/dbraw/zinc/85/76/16/906857616.db2.gz QVBBFQLDFVKRDB-VWYCJHECSA-N 0 1 279.344 0.743 20 30 CCEDMN N#CC1(C[C@@H](O)CN[C@@H](CO)c2ccccn2)CC1 ZINC001336000165 907205057 /nfs/dbraw/zinc/20/50/57/907205057.db2.gz KOISMGRMQXWUJQ-YPMHNXCESA-N 0 1 261.325 0.759 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)Cc1cc[nH]n1 ZINC001382391637 907719617 /nfs/dbraw/zinc/71/96/17/907719617.db2.gz YFIYUWDUNZWCOO-NSHDSACASA-N 0 1 286.763 0.114 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@@H]1CCOC[C@H]1OCC ZINC001492348752 907868749 /nfs/dbraw/zinc/86/87/49/907868749.db2.gz RBGLAXNRVUFVGX-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN C[C@H](Nc1cnccc1C#N)[C@@H]1CN(C)CCN1C ZINC001338084704 921362655 /nfs/dbraw/zinc/36/26/55/921362655.db2.gz QUSUYGLKMSISRQ-FZMZJTMJSA-N 0 1 259.357 0.999 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CN(C(=O)c2ccn[nH]2)CC1(C)C ZINC001383368384 907984222 /nfs/dbraw/zinc/98/42/22/907984222.db2.gz WTBGQJJNTLRLJA-KOLCDFICSA-N 0 1 289.339 0.536 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)CCC1=NC(=O)C(C)C(=O)N1 ZINC001304051228 908136989 /nfs/dbraw/zinc/13/69/89/908136989.db2.gz YXOXIYFVPIVJQG-AWEZNQCLSA-N 0 1 295.339 0.524 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cnnc(C)c1 ZINC001317474057 908384438 /nfs/dbraw/zinc/38/44/38/908384438.db2.gz FQMQZFGMVRCGRP-UHFFFAOYSA-N 0 1 290.367 0.487 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC(N3CCN(C)CC3)C2)nc1 ZINC001339410978 908458607 /nfs/dbraw/zinc/45/86/07/908458607.db2.gz VMSPMUVLLBPJHL-UHFFFAOYSA-N 0 1 284.363 0.135 20 30 CCEDMN C#Cc1ccc(NC(=O)NCc2n[nH]c(CO)n2)cc1 ZINC001311302665 908546912 /nfs/dbraw/zinc/54/69/12/908546912.db2.gz NKVBGKFBESBDHV-UHFFFAOYSA-N 0 1 271.280 0.600 20 30 CCEDMN C#Cc1ccc(NC(=O)NCc2nnc(CO)[nH]2)cc1 ZINC001311302665 908546919 /nfs/dbraw/zinc/54/69/19/908546919.db2.gz NKVBGKFBESBDHV-UHFFFAOYSA-N 0 1 271.280 0.600 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H]1CCCN1CC#CC ZINC001317499644 908547117 /nfs/dbraw/zinc/54/71/17/908547117.db2.gz PJEAKGVABRVBCX-HIFRSBDPSA-N 0 1 264.369 0.917 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1csc(N(C)C)n1 ZINC001312152782 908585570 /nfs/dbraw/zinc/58/55/70/908585570.db2.gz BROBFNGVJDUCCU-UHFFFAOYSA-N 0 1 295.412 0.573 20 30 CCEDMN C#CCNCC(=O)N1CCCSC[C@H]1c1cnn(C)c1 ZINC001341512112 908607100 /nfs/dbraw/zinc/60/71/00/908607100.db2.gz QIEDKZBHELSFMU-ZDUSSCGKSA-N 0 1 292.408 0.650 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)[C@@H]2C[C@H]2C)n1 ZINC001316714950 908692497 /nfs/dbraw/zinc/69/24/97/908692497.db2.gz DVMOVXOZQGKAKS-MWLCHTKSSA-N 0 1 274.324 0.177 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)NC(=O)CN1CCC(C)CC1 ZINC001386455802 908749239 /nfs/dbraw/zinc/74/92/39/908749239.db2.gz IFZNCASHZTZWHT-CHWSQXEVSA-N 0 1 294.399 0.499 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CCN(CCn2cncn2)[C@@H]1C ZINC001316782172 908774235 /nfs/dbraw/zinc/77/42/35/908774235.db2.gz XURWRASBSKZEGG-KGLIPLIRSA-N 0 1 289.383 0.517 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H](C)C1CC1 ZINC001316821049 908787777 /nfs/dbraw/zinc/78/77/77/908787777.db2.gz TYLGTZCQIOPWMG-GFCCVEGCSA-N 0 1 279.384 0.220 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C[C@@H](C)OC)CC1 ZINC001316961615 908866670 /nfs/dbraw/zinc/86/66/70/908866670.db2.gz CCUADTOWECQLOT-CYBMUJFWSA-N 0 1 269.389 0.331 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H](C)OCC)CC1 ZINC001316962482 908875545 /nfs/dbraw/zinc/87/55/45/908875545.db2.gz LTWNQXQPZHRHIP-CYBMUJFWSA-N 0 1 269.389 0.331 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCC(=O)N(CC)CC)C1 ZINC001316994080 908904991 /nfs/dbraw/zinc/90/49/91/908904991.db2.gz FYKLVGGZVKRKNY-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)Cn1ccnc1 ZINC001317177205 909029355 /nfs/dbraw/zinc/02/93/55/909029355.db2.gz QBYHQUYPMXJLRU-ZDUSSCGKSA-N 0 1 260.341 0.487 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H]1CCN(CC(=O)NCC)C1 ZINC001317521774 909293059 /nfs/dbraw/zinc/29/30/59/909293059.db2.gz ZTQFMKOBZKTWQY-CYBMUJFWSA-N 0 1 281.400 0.917 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN([C@@H](C)C(N)=O)C1 ZINC001317530403 909301037 /nfs/dbraw/zinc/30/10/37/909301037.db2.gz WXVFQACGELCOQL-NWDGAFQWSA-N 0 1 281.400 0.901 20 30 CCEDMN Cc1ncc(C(=O)N2CC(CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001387925392 909310176 /nfs/dbraw/zinc/31/01/76/909310176.db2.gz OFCBNOJAOBNYLP-QMMMGPOBSA-N 0 1 275.312 0.066 20 30 CCEDMN C#CCCCC(=O)N(C)CCNCc1ccnc(OC)n1 ZINC001317581133 909380006 /nfs/dbraw/zinc/38/00/06/909380006.db2.gz JCOXHINVAVCPDJ-UHFFFAOYSA-N 0 1 290.367 0.837 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CCNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001317796634 909499215 /nfs/dbraw/zinc/49/92/15/909499215.db2.gz CGQFRHBQMZOOLV-IHRRRGAJSA-N 0 1 291.395 0.220 20 30 CCEDMN C=CCCCC(=O)N(C)CCN1CCN(C[C@@H](C)O)CC1 ZINC001317820675 909508831 /nfs/dbraw/zinc/50/88/31/909508831.db2.gz VVHXPOHGMKATDY-OAHLLOKOSA-N 0 1 297.443 0.800 20 30 CCEDMN CCON(C)C(=O)CNC[C@H](O)c1ccc(C#N)cc1 ZINC001338569079 921512790 /nfs/dbraw/zinc/51/27/90/921512790.db2.gz ZTPLXNNMNQKXMP-ZDUSSCGKSA-N 0 1 277.324 0.591 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H](C)Cc2c[nH]cn2)C1 ZINC001318437355 909759786 /nfs/dbraw/zinc/75/97/86/909759786.db2.gz OIUSPZMQLUHXEC-TZMCWYRMSA-N 0 1 274.368 0.754 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)COC[C@@H]2CCCO2)C1 ZINC001318490607 909780136 /nfs/dbraw/zinc/78/01/36/909780136.db2.gz DCDVMRCRQNAGEY-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H]1C[C@@H]1C ZINC001319970528 910382201 /nfs/dbraw/zinc/38/22/01/910382201.db2.gz VLORSLXXUXQUIL-QWHCGFSZSA-N 0 1 252.358 0.682 20 30 CCEDMN C=CCO[C@H]1CC[N@@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC001320040172 910429671 /nfs/dbraw/zinc/42/96/71/910429671.db2.gz WCGOBUUNECNLLE-LBPRGKRZSA-N 0 1 274.324 0.800 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cccc(-n2cccn2)n1 ZINC001320042591 910435901 /nfs/dbraw/zinc/43/59/01/910435901.db2.gz PVXFGDUQHPDACE-UHFFFAOYSA-N 0 1 297.362 0.904 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H]2[C@H](CCN2CC(N)=O)C1 ZINC001320104320 910466429 /nfs/dbraw/zinc/46/64/29/910466429.db2.gz QOFXUKBRVZSLGJ-OLZOCXBDSA-N 0 1 279.384 0.751 20 30 CCEDMN CO[C@@H]1CCC[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC001338836615 921586855 /nfs/dbraw/zinc/58/68/55/921586855.db2.gz JBIKVIACHWVHOQ-VXGBXAGGSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CCO[C@H]1CCN(CC(=O)N2CCO[C@H](C)C2)C1 ZINC001320899948 910925405 /nfs/dbraw/zinc/92/54/05/910925405.db2.gz YWKOXVRHBFUWSS-OLZOCXBDSA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCO[C@H]1CCN(C[C@H](C)CS(C)(=O)=O)C1 ZINC001320899920 910926019 /nfs/dbraw/zinc/92/60/19/910926019.db2.gz YPCIFTKKXFHEGT-RYUDHWBXSA-N 0 1 261.387 0.944 20 30 CCEDMN C=C(Br)CNC/C=C\CNC(=O)COC ZINC001320945047 910950460 /nfs/dbraw/zinc/95/04/60/910950460.db2.gz YEWSOGMCNFXQGI-ARJAWSKDSA-N 0 1 277.162 0.803 20 30 CCEDMN CC[C@@H](C)NC(=O)CNC/C=C/CNC(=O)C#CC1CC1 ZINC001321052856 911051801 /nfs/dbraw/zinc/05/18/01/911051801.db2.gz UXCSMGVXZDZVFJ-MUBLQREKSA-N 0 1 291.395 0.577 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@]3(CNC(=O)C3)C2)CC1 ZINC001321425284 911292295 /nfs/dbraw/zinc/29/22/95/911292295.db2.gz BASMSYRGELPHOP-MRXNPFEDSA-N 0 1 289.379 0.070 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CCn1cncn1 ZINC001391661415 911299916 /nfs/dbraw/zinc/29/99/16/911299916.db2.gz ITMIYQJNXXMWOP-LLVKDONJSA-N 0 1 285.779 0.905 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnc(N(C)C)cn1 ZINC001322157078 911682165 /nfs/dbraw/zinc/68/21/65/911682165.db2.gz OJUWLDDGZHNMAI-LLVKDONJSA-N 0 1 275.356 0.226 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cc(C)nnc1C ZINC001322167673 911693737 /nfs/dbraw/zinc/69/37/37/911693737.db2.gz FHESZMNSZQMIJV-LLVKDONJSA-N 0 1 260.341 0.777 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@H](C)n1cccn1 ZINC001392358020 911755862 /nfs/dbraw/zinc/75/58/62/911755862.db2.gz WIQDWQPTFMTGCO-WDEREUQCSA-N 0 1 286.763 0.263 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CCc1cncnc1 ZINC001392392651 911781269 /nfs/dbraw/zinc/78/12/69/911781269.db2.gz RNFRBQDPZWSTOK-GFCCVEGCSA-N 0 1 298.774 0.228 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCCNCc1cnon1 ZINC001322503591 911836259 /nfs/dbraw/zinc/83/62/59/911836259.db2.gz WPTRTDCZLHQBPJ-UHFFFAOYSA-N 0 1 264.329 0.667 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccnc2n[nH]nc21 ZINC001392575870 911952338 /nfs/dbraw/zinc/95/23/38/911952338.db2.gz QCLGWPOCFBINKV-QMMMGPOBSA-N 0 1 294.746 0.813 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H](CNCC#N)C1CC1 ZINC001323079657 912105628 /nfs/dbraw/zinc/10/56/28/912105628.db2.gz BDKITXLWORPVAP-GXFFZTMASA-N 0 1 275.356 0.596 20 30 CCEDMN Cc1nc(CN2CC[C@@H]2CNC(=O)CSCC#N)n[nH]1 ZINC001323180939 912160431 /nfs/dbraw/zinc/16/04/31/912160431.db2.gz HVXYTYWAEOWAQF-SNVBAGLBSA-N 0 1 294.384 0.060 20 30 CCEDMN C#CC1CCN(C(=O)N[C@H](C)CN2CCN(C)CC2)CC1 ZINC001328131900 914987977 /nfs/dbraw/zinc/98/79/77/914987977.db2.gz PHHWEZJTFQSLIC-CQSZACIVSA-N 0 1 292.427 0.677 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H]2CCO[C@H](C)C2)CC1 ZINC001328554832 915272449 /nfs/dbraw/zinc/27/24/49/915272449.db2.gz NJXPIVJAPPMHEJ-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C[C@H](COC)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001328666794 915348799 /nfs/dbraw/zinc/34/87/99/915348799.db2.gz PKJBWCXNWFLCLU-BDAKNGLRSA-N 0 1 250.302 0.542 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCCN1CCNC(=O)C1CC1 ZINC001399830910 915400864 /nfs/dbraw/zinc/40/08/64/915400864.db2.gz MNPNSKFZSZRRSO-AAEUAGOBSA-N 0 1 292.383 0.253 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H]([C@H]2CCN(CC(N)=O)C2)C1 ZINC001328809059 915443789 /nfs/dbraw/zinc/44/37/89/915443789.db2.gz SKYQHUCCMWHQFE-KBPBESRZSA-N 0 1 293.411 0.998 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CNC(=O)CN1CCC(C)(C)C1 ZINC001400292065 915664473 /nfs/dbraw/zinc/66/44/73/915664473.db2.gz BPZBFIJJQYSNQF-RYUDHWBXSA-N 0 1 294.399 0.499 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(CCO)C(C)(C)C1 ZINC001329118164 915685860 /nfs/dbraw/zinc/68/58/60/915685860.db2.gz MOFUBZBBLNVFRH-GFCCVEGCSA-N 0 1 285.388 0.286 20 30 CCEDMN C=CCCCN(C)[C@@H](C)CNC(=O)CS(C)(=O)=O ZINC001329391229 915866984 /nfs/dbraw/zinc/86/69/84/915866984.db2.gz BSJPXEDHSORZQF-NSHDSACASA-N 0 1 276.402 0.434 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)Cc1cncn1C ZINC001329591590 916043987 /nfs/dbraw/zinc/04/39/87/916043987.db2.gz CRMJLSLMMLNITF-LBPRGKRZSA-N 0 1 262.357 0.422 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cnc(OCC)cn1 ZINC001329628811 916077495 /nfs/dbraw/zinc/07/74/95/916077495.db2.gz USHKUWKYJGDNMA-LBPRGKRZSA-N 0 1 290.367 0.949 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2nccn2C)C(C)(C)C1 ZINC001330223589 916491330 /nfs/dbraw/zinc/49/13/30/916491330.db2.gz RYROGJFBVLHHFU-ZDUSSCGKSA-N 0 1 288.395 0.813 20 30 CCEDMN C=C[C@@H](COC)NC(=O)C(=O)NC[C@H]1CCN1C(C)(C)C ZINC001330573058 916708617 /nfs/dbraw/zinc/70/86/17/916708617.db2.gz UMYUAXCLIIJKKJ-NWDGAFQWSA-N 0 1 297.399 0.293 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](COC)OC)C1 ZINC001402453357 917024018 /nfs/dbraw/zinc/02/40/18/917024018.db2.gz SVXXFXRBNKHGQP-WDEREUQCSA-N 0 1 276.764 0.591 20 30 CCEDMN C[C@H](NC[C@H](O)CC(C)(C)C#N)c1nncn1C ZINC001331035104 917038907 /nfs/dbraw/zinc/03/89/07/917038907.db2.gz RJXVFRIDJDHOOI-VHSXEESVSA-N 0 1 251.334 0.766 20 30 CCEDMN CC(C)n1cc(CN[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)nn1 ZINC001402491015 917052125 /nfs/dbraw/zinc/05/21/25/917052125.db2.gz GNBHAZJPRHKZGC-IJLUTSLNSA-N 0 1 290.371 0.755 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CN(C)C(C)=O)CCC1 ZINC001402530347 917080824 /nfs/dbraw/zinc/08/08/24/917080824.db2.gz CFLGLRVCQYXRJL-UHFFFAOYSA-N 0 1 287.791 0.846 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cc(C)ncn1 ZINC001331110711 917096156 /nfs/dbraw/zinc/09/61/56/917096156.db2.gz NLYKZKUAXWMXFD-UHFFFAOYSA-N 0 1 290.367 0.487 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1conc1C ZINC001331150648 917126099 /nfs/dbraw/zinc/12/60/99/917126099.db2.gz XPWOZGWELUHUBP-UHFFFAOYSA-N 0 1 279.340 0.685 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)Cc2cn(C)nn2)CC1 ZINC001331264392 917228780 /nfs/dbraw/zinc/22/87/80/917228780.db2.gz BNRURDGJDUFBBH-UHFFFAOYSA-N 0 1 275.356 0.119 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1CCNC1=O ZINC001331516494 917388474 /nfs/dbraw/zinc/38/84/74/917388474.db2.gz AKCWSUMUVSYPEI-ZJUUUORDSA-N 0 1 273.764 0.312 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C[C@H]1Cc2ccccc2O1 ZINC001331724562 917547898 /nfs/dbraw/zinc/54/78/98/917547898.db2.gz JRHJWDZFJSBFQJ-ZIAGYGMSSA-N 0 1 288.347 0.080 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(C2CC2)on1 ZINC001331758330 917579868 /nfs/dbraw/zinc/57/98/68/917579868.db2.gz OATLJDUXAGSPHA-NSHDSACASA-N 0 1 277.324 0.256 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC[C@H](CO)NCc1cncn1C ZINC001331788358 917610040 /nfs/dbraw/zinc/61/00/40/917610040.db2.gz UJTUDUVLXDSQLZ-IUODEOHRSA-N 0 1 294.399 0.589 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CC1CCC(OC)CC1 ZINC001331843446 917658528 /nfs/dbraw/zinc/65/85/28/917658528.db2.gz VHSYKHBMOKROSX-SLTAFYQDSA-N 0 1 296.411 0.672 20 30 CCEDMN C=CCOC[C@H]([NH2+]Cc1c(C)cnn1C)C(=O)[O-] ZINC001331964194 917760788 /nfs/dbraw/zinc/76/07/88/917760788.db2.gz WATYXJURRKLFIK-JTQLQIEISA-N 0 1 253.302 0.474 20 30 CCEDMN C=C(CO)C(=O)N1CC[C@@H](c2[nH]ncc2C(=O)OCC)C1 ZINC001332212322 917958482 /nfs/dbraw/zinc/95/84/82/917958482.db2.gz FEUNNNJHFAWCBC-SNVBAGLBSA-N 0 1 293.323 0.451 20 30 CCEDMN C=C[C@@H](COC)NC(=O)C(C)(C)CN1CCOCC1 ZINC001332352200 918074839 /nfs/dbraw/zinc/07/48/39/918074839.db2.gz IAPOFWNSZALEJM-LBPRGKRZSA-N 0 1 270.373 0.662 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)c1ccoc1 ZINC001332616617 918313806 /nfs/dbraw/zinc/31/38/06/918313806.db2.gz NPBLLIQHMJFTJH-AWEZNQCLSA-N 0 1 294.351 0.294 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C1(CC)CC1 ZINC001332661974 918355648 /nfs/dbraw/zinc/35/56/48/918355648.db2.gz BMMJGNYLIKJCBP-ZDUSSCGKSA-N 0 1 266.385 0.951 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1C[C@H]2CC[C@@H](C1)S2(=O)=O ZINC001332706517 918394717 /nfs/dbraw/zinc/39/47/17/918394717.db2.gz HKHWXSMNLALJQU-XYYAHUGASA-N 0 1 298.408 0.023 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)NC[C@H]2CCN2C)C1 ZINC001332865872 918494370 /nfs/dbraw/zinc/49/43/70/918494370.db2.gz NFFCSRGSXFESCU-NEPJUHHUSA-N 0 1 253.346 0.677 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001333074411 918635964 /nfs/dbraw/zinc/63/59/64/918635964.db2.gz WLZISGYPJVUNJL-MNOVXSKESA-N 0 1 294.355 0.906 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001333074411 918635977 /nfs/dbraw/zinc/63/59/77/918635977.db2.gz WLZISGYPJVUNJL-MNOVXSKESA-N 0 1 294.355 0.906 20 30 CCEDMN C=CCNC(=O)CN1CCC(C2(NC(C)=O)CC2)CC1 ZINC001333165625 918701657 /nfs/dbraw/zinc/70/16/57/918701657.db2.gz LSAJPBZIVBFWBH-UHFFFAOYSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCOC[C@@H]([NH2+]Cc1cncn1CC)C(=O)[O-] ZINC001334025857 919326916 /nfs/dbraw/zinc/32/69/16/919326916.db2.gz POYMDCNQCQYLSO-LLVKDONJSA-N 0 1 253.302 0.648 20 30 CCEDMN C#CCC1(O)CCN(C(=O)NCc2n[nH]c(C)n2)CC1 ZINC001334344330 919512166 /nfs/dbraw/zinc/51/21/66/919512166.db2.gz DIFLVIGERZOHCR-UHFFFAOYSA-N 0 1 277.328 0.173 20 30 CCEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001334381986 919542901 /nfs/dbraw/zinc/54/29/01/919542901.db2.gz JNENMLOQHOZJGW-JTQLQIEISA-N 0 1 277.328 0.041 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC001406949545 919552391 /nfs/dbraw/zinc/55/23/91/919552391.db2.gz COURSAXQQZZGCG-VHSXEESVSA-N 0 1 285.775 0.489 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@H](C)[C@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC001334447325 919591050 /nfs/dbraw/zinc/59/10/50/919591050.db2.gz FYVKPEUALMPAEY-GRYCIOLGSA-N 0 1 299.371 0.424 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@H](C)[C@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC001334447325 919591056 /nfs/dbraw/zinc/59/10/56/919591056.db2.gz FYVKPEUALMPAEY-GRYCIOLGSA-N 0 1 299.371 0.424 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCN(C)CC1)[C@H]1CCC(=O)N1 ZINC001334971967 919872632 /nfs/dbraw/zinc/87/26/32/919872632.db2.gz LOUICRJRLRCWSK-WDEREUQCSA-N 0 1 278.356 0.005 20 30 CCEDMN N#CCC[C@@H](C#N)CNC[C@]1(OCCO)CCOC1 ZINC001335452935 920205416 /nfs/dbraw/zinc/20/54/16/920205416.db2.gz RBMQRUJUHXWHLT-QWHCGFSZSA-N 0 1 267.329 0.188 20 30 CCEDMN COCC[C@H]1CNCCN1C(=O)NCC1(C#N)CC1 ZINC001335522055 920251651 /nfs/dbraw/zinc/25/16/51/920251651.db2.gz NBQREYMMHDSSCO-NSHDSACASA-N 0 1 266.345 0.310 20 30 CCEDMN C#CCN(C(=O)C(=O)N1CC[C@H](N2CC=CC2)C1)C(C)C ZINC001335688650 920371475 /nfs/dbraw/zinc/37/14/75/920371475.db2.gz HYWPGEUNJXTKIW-AWEZNQCLSA-N 0 1 289.379 0.329 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CC[C@@H](CC(=O)[O-])C1 ZINC001336242438 920681622 /nfs/dbraw/zinc/68/16/22/920681622.db2.gz FJJAQMPMVORZCV-AGIUHOORSA-N 0 1 278.352 0.844 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CC[C@@H](CC(=O)[O-])C1 ZINC001336242438 920681630 /nfs/dbraw/zinc/68/16/30/920681630.db2.gz FJJAQMPMVORZCV-AGIUHOORSA-N 0 1 278.352 0.844 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)C(=O)CCc1cnc[nH]1 ZINC001415596417 920777748 /nfs/dbraw/zinc/77/77/48/920777748.db2.gz CAZIFYNFPUQLJF-JTQLQIEISA-N 0 1 277.328 0.077 20 30 CCEDMN C#Cc1ccc(N2CCN(C[C@H](C)O)C[C@H]2C)nc1 ZINC001336607218 920872321 /nfs/dbraw/zinc/87/23/21/920872321.db2.gz IIRBEBRMRHFMRM-OLZOCXBDSA-N 0 1 259.353 0.954 20 30 CCEDMN C[C@@H](Nc1cc(C#N)ccn1)[C@@H]1CN(C)CCN1C ZINC001336712334 920946305 /nfs/dbraw/zinc/94/63/05/920946305.db2.gz YYMXFGYHPUYVEJ-YPMHNXCESA-N 0 1 259.357 0.999 20 30 CCEDMN C[C@@H]1C[C@H](NC[C@H](O)CC2(C#N)CC2)c2ncnn21 ZINC001336833774 921048229 /nfs/dbraw/zinc/04/82/29/921048229.db2.gz LHUJBEQTXYOOFR-MXWKQRLJSA-N 0 1 261.329 0.928 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H]1CCC(=O)N1 ZINC001418171846 921872191 /nfs/dbraw/zinc/87/21/91/921872191.db2.gz GXXSXAVDLFODTK-NEPJUHHUSA-N 0 1 299.802 0.988 20 30 CCEDMN C#CCNCC(=O)N[C@H](C(=O)OC)c1ccccc1F ZINC001339987196 921905343 /nfs/dbraw/zinc/90/53/43/921905343.db2.gz UUSQOXXJDHFWPP-ZDUSSCGKSA-N 0 1 278.283 0.379 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)c(F)c1 ZINC001340131278 921972373 /nfs/dbraw/zinc/97/23/73/921972373.db2.gz AFBLHVFRWIFRRX-SKDRFNHKSA-N 0 1 258.256 0.539 20 30 CCEDMN C#CCNCC(=O)N1CCC(c2nnc3n2CCC3)CC1 ZINC001340185632 922001287 /nfs/dbraw/zinc/00/12/87/922001287.db2.gz VQDVNSZMEQNEBV-UHFFFAOYSA-N 0 1 287.367 0.153 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnn(C2CCC2)c1 ZINC001340267343 922032431 /nfs/dbraw/zinc/03/24/31/922032431.db2.gz RXCVHDGJNUEIMA-JOYOIKCWSA-N 0 1 259.313 0.449 20 30 CCEDMN Cc1cc(C(=O)NCc2n[nH]c(CO)n2)cc(C)c1C#N ZINC001418528801 922217775 /nfs/dbraw/zinc/21/77/75/922217775.db2.gz IKGWCZSKVNBRPL-UHFFFAOYSA-N 0 1 285.307 0.716 20 30 CCEDMN Cc1cc(C(=O)NCc2nnc(CO)[nH]2)cc(C)c1C#N ZINC001418528801 922217792 /nfs/dbraw/zinc/21/77/92/922217792.db2.gz IKGWCZSKVNBRPL-UHFFFAOYSA-N 0 1 285.307 0.716 20 30 CCEDMN C#CCNCC(=O)NCC(CC)(CC)C(=O)OCC ZINC001340885894 922256663 /nfs/dbraw/zinc/25/66/63/922256663.db2.gz HPPLGFHIYCNGLB-UHFFFAOYSA-N 0 1 268.357 0.695 20 30 CCEDMN C#CCNCC(=O)N[C@H]1Cc2ccc(C#N)cc2C1 ZINC001340914778 922274439 /nfs/dbraw/zinc/27/44/39/922274439.db2.gz BXNDYBDSXSNVRA-AWEZNQCLSA-N 0 1 253.305 0.364 20 30 CCEDMN C#CCNCC(=O)NCC1(SC)CCOCC1 ZINC001341484358 922507238 /nfs/dbraw/zinc/50/72/38/922507238.db2.gz QJISBJCYPLTPGQ-UHFFFAOYSA-N 0 1 256.371 0.238 20 30 CCEDMN C#CCNCC(=O)NCCOc1ccc([N+](=O)[O-])cc1 ZINC001341551443 922548301 /nfs/dbraw/zinc/54/83/01/922548301.db2.gz GUMVWJTUOBFOIK-UHFFFAOYSA-N 0 1 277.280 0.313 20 30 CCEDMN C#CCNCC(=O)N1CCC(OC[C@@H]2CCCO2)CC1 ZINC001342107272 922805366 /nfs/dbraw/zinc/80/53/66/922805366.db2.gz NEYFZRZHIFXNLU-AWEZNQCLSA-N 0 1 280.368 0.396 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H]1COCCO1 ZINC001419657376 922976747 /nfs/dbraw/zinc/97/67/47/922976747.db2.gz SCXFDNQSGQEYMT-GHMZBOCLSA-N 0 1 276.764 0.591 20 30 CCEDMN CNC(=O)C(C#N)C(=O)CCCCCNC(C)=O ZINC001342522878 922990448 /nfs/dbraw/zinc/99/04/48/922990448.db2.gz XMLCBKGHGBTISU-SNVBAGLBSA-N 0 1 253.302 0.138 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1cnn(C)c1 ZINC001419712486 923020826 /nfs/dbraw/zinc/02/08/26/923020826.db2.gz QDENAVNZHICARC-SNVBAGLBSA-N 0 1 270.764 0.809 20 30 CCEDMN Cc1nccnc1CN[C@@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001419715019 923025569 /nfs/dbraw/zinc/02/55/69/923025569.db2.gz QNDJYBYWOCPBHV-JTQLQIEISA-N 0 1 298.350 0.893 20 30 CCEDMN N#CC(C(=O)C[C@H]1CCNC1=O)c1cccc(F)n1 ZINC001342653228 923042202 /nfs/dbraw/zinc/04/22/02/923042202.db2.gz RUGMXGRHOYEAAD-BDAKNGLRSA-N 0 1 261.256 0.923 20 30 CCEDMN C=CC(C)(C)NC(=O)NC[C@H]1CN(C)CCN1C ZINC001342930344 923136268 /nfs/dbraw/zinc/13/62/68/923136268.db2.gz CKVLBRWIVYCOEZ-NSHDSACASA-N 0 1 254.378 0.496 20 30 CCEDMN C=CC(C)(C)NC(=O)NC[C@H]1C[N@H+](C)CCN1C ZINC001342930344 923136256 /nfs/dbraw/zinc/13/62/56/923136256.db2.gz CKVLBRWIVYCOEZ-NSHDSACASA-N 0 1 254.378 0.496 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc(OC)no1 ZINC001420103440 923312227 /nfs/dbraw/zinc/31/22/27/923312227.db2.gz FEAPYWHJZJFGRY-MRVPVSSYSA-N 0 1 289.719 0.116 20 30 CCEDMN N#C[C@@H]1CC[C@@H](N2CCC(S(N)(=O)=O)CC2)C1 ZINC001343895808 923492588 /nfs/dbraw/zinc/49/25/88/923492588.db2.gz PCUQSLJYNJROTE-NXEZZACHSA-N 0 1 257.359 0.432 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCCn1cncn1 ZINC001420361020 923576002 /nfs/dbraw/zinc/57/60/02/923576002.db2.gz WBGGTYRIHUEFCP-LLVKDONJSA-N 0 1 285.779 0.905 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2C(=O)CC(C)(C)C#N)n[nH]1 ZINC001420654275 923856993 /nfs/dbraw/zinc/85/69/93/923856993.db2.gz LVNIAWOHXBLKMZ-ZJUUUORDSA-N 0 1 291.355 0.941 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@@H]1COC)C(C)C ZINC001346442033 924214115 /nfs/dbraw/zinc/21/41/15/924214115.db2.gz WBCCLUKUVPZZBN-NEPJUHHUSA-N 0 1 253.346 0.274 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)N[C@@H](C)[C@@H]2CN(C)CCN2C)C1 ZINC001346767801 924306915 /nfs/dbraw/zinc/30/69/15/924306915.db2.gz UJZOMDCSSKRYQA-ZNMIVQPWSA-N 0 1 292.427 0.676 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N[C@@H](C#N)C(C)(C)C ZINC001346842958 924318796 /nfs/dbraw/zinc/31/87/96/924318796.db2.gz JSWPHPNORWMFGR-UTUOFQBUSA-N 0 1 264.373 0.429 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N[C@H](C#N)C(C)(C)C ZINC001346842836 924319773 /nfs/dbraw/zinc/31/97/73/924319773.db2.gz JSWPHPNORWMFGR-GRYCIOLGSA-N 0 1 264.373 0.429 20 30 CCEDMN N#C[C@H]1CSCCN1C(=O)c1cnncc1O ZINC001346959066 924338706 /nfs/dbraw/zinc/33/87/06/924338706.db2.gz GHXXLJXKNQSSFS-ZETCQYMHSA-N 0 1 250.283 0.263 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)C(=O)N1CCC(C)(C#N)CC1 ZINC001346951438 924338801 /nfs/dbraw/zinc/33/88/01/924338801.db2.gz WBAFJYHEUKUDSC-UHFFFAOYSA-N 0 1 292.383 0.159 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cc(C)nn2C)CC1 ZINC001347399964 924439350 /nfs/dbraw/zinc/43/93/50/924439350.db2.gz AKRMXDZDKDFACB-UHFFFAOYSA-N 0 1 261.325 0.983 20 30 CCEDMN C#CCC(C)(C)C(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001347596998 924490222 /nfs/dbraw/zinc/49/02/22/924490222.db2.gz KDAOXSDOLXXMRM-XJKSGUPXSA-N 0 1 294.395 0.378 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](CC)NC(C)=O)CC1 ZINC001479893762 924572256 /nfs/dbraw/zinc/57/22/56/924572256.db2.gz YGPNKOSPCQZZGY-OAHLLOKOSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@H](O)C(C)C)CC1 ZINC001350039117 925119005 /nfs/dbraw/zinc/11/90/05/925119005.db2.gz CYLGFSTXSRERSZ-ZDUSSCGKSA-N 0 1 253.342 0.892 20 30 CCEDMN CC#CC[C@@H](CO)NCc1cc(C(=O)OCC)n[nH]1 ZINC001350326933 925170635 /nfs/dbraw/zinc/17/06/35/925170635.db2.gz IKXMRXMMEKYWJT-JTQLQIEISA-N 0 1 265.313 0.450 20 30 CCEDMN C=CCCC1(C(=O)NCCCNC(=O)c2ncn[nH]2)CC1 ZINC001350361314 925180547 /nfs/dbraw/zinc/18/05/47/925180547.db2.gz WYEWKTSUQSDQCC-UHFFFAOYSA-N 0 1 291.355 0.787 20 30 CCEDMN C=CCCC1(C(=O)NCCCNC(=O)c2nc[nH]n2)CC1 ZINC001350361314 925180554 /nfs/dbraw/zinc/18/05/54/925180554.db2.gz WYEWKTSUQSDQCC-UHFFFAOYSA-N 0 1 291.355 0.787 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCc2nc[nH]c2[C@@H]1c1ccnn1C ZINC001351999243 925504791 /nfs/dbraw/zinc/50/47/91/925504791.db2.gz KGSBFYRSJKKWFN-YPMHNXCESA-N 0 1 287.323 0.164 20 30 CCEDMN C=CCC1(O)CN(C(=O)CCSc2nnc(C)[nH]2)C1 ZINC001352950518 925682080 /nfs/dbraw/zinc/68/20/80/925682080.db2.gz QWPAMHIJUJYPAG-UHFFFAOYSA-N 0 1 282.369 0.745 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001353167324 925747394 /nfs/dbraw/zinc/74/73/94/925747394.db2.gz HCTZWCJSIXPOSS-JTQLQIEISA-N 0 1 291.355 0.642 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001353167324 925747404 /nfs/dbraw/zinc/74/74/04/925747404.db2.gz HCTZWCJSIXPOSS-JTQLQIEISA-N 0 1 291.355 0.642 20 30 CCEDMN C#CCNCC(=O)N(C)[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC001353380939 925817729 /nfs/dbraw/zinc/81/77/29/925817729.db2.gz FDMSLACCJJVNBE-VXGBXAGGSA-N 0 1 286.397 0.023 20 30 CCEDMN N#C[C@H]1CCCN(C(=O)CN2CCC(CO)CC2)C1 ZINC001353810357 925936700 /nfs/dbraw/zinc/93/67/00/925936700.db2.gz GUSVSVVAFAKAAM-CYBMUJFWSA-N 0 1 265.357 0.453 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC1CC(CC(=O)[O-])C1 ZINC001354073168 926004823 /nfs/dbraw/zinc/00/48/23/926004823.db2.gz YVQYFTBSTTWDKA-MCIGGMRASA-N 0 1 264.325 0.454 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2ncccc2F)CC1 ZINC001354158885 926028532 /nfs/dbraw/zinc/02/85/32/926028532.db2.gz YHYGCRGKNIJKBH-UHFFFAOYSA-N 0 1 276.315 0.092 20 30 CCEDMN C#CCNCC(=O)N1CC2(CCC2)[C@](F)(C(=O)OCC)C1 ZINC001354234540 926054814 /nfs/dbraw/zinc/05/48/14/926054814.db2.gz OGFYZDJLABWRCU-OAHLLOKOSA-N 0 1 296.342 0.493 20 30 CCEDMN CN1CC[C@@H](NC(=O)C(=O)Nc2ccc(C#N)cc2F)C1 ZINC001354434986 926108849 /nfs/dbraw/zinc/10/88/49/926108849.db2.gz KSMPEAVUFIXYGH-SNVBAGLBSA-N 0 1 290.298 0.456 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](C[C@H]2CN=C(c3cnn(C)c3)O2)C1 ZINC001354591466 926136573 /nfs/dbraw/zinc/13/65/73/926136573.db2.gz QTCUJWFPDBKYRJ-ZIAGYGMSSA-N 0 1 290.367 0.842 20 30 CCEDMN C=CCO[C@@H]1CCN(C[C@H]2CN=C(c3cnn(C)c3)O2)C1 ZINC001354591466 926136576 /nfs/dbraw/zinc/13/65/76/926136576.db2.gz QTCUJWFPDBKYRJ-ZIAGYGMSSA-N 0 1 290.367 0.842 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCNC(=O)C#CC(C)C)[nH]1 ZINC001355573070 926285880 /nfs/dbraw/zinc/28/58/80/926285880.db2.gz VAXAQYQJQGQUIX-UHFFFAOYSA-N 0 1 276.340 0.566 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@@H]1[C@H]2CCCO[C@H]21 ZINC001356275480 926365512 /nfs/dbraw/zinc/36/55/12/926365512.db2.gz MUPYJLNYWGYDCC-FYLLDIAZSA-N 0 1 250.298 0.645 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001356358575 926371802 /nfs/dbraw/zinc/37/18/02/926371802.db2.gz UBZLEAWBEXKDNO-NSHDSACASA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)c1nc(C(C)(C)C)no1 ZINC001357316650 926511449 /nfs/dbraw/zinc/51/14/49/926511449.db2.gz ZDPZRLAFYDXHSH-SECBINFHSA-N 0 1 264.329 0.767 20 30 CCEDMN CN(C)C(=O)NCCN(C)C[C@@H](O)c1cccc(C#N)c1 ZINC001421610387 926815331 /nfs/dbraw/zinc/81/53/31/926815331.db2.gz HPFIZOUPSLOXEN-CQSZACIVSA-N 0 1 290.367 0.795 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C1CN(C(C)=O)C1 ZINC001421644605 926827536 /nfs/dbraw/zinc/82/75/36/926827536.db2.gz PJDTWBAGGLEDBT-CYBMUJFWSA-N 0 1 299.802 0.798 20 30 CCEDMN CN(Cc1nnc[nH]1)C[C@H](O)CC1(C#N)CCOCC1 ZINC001421748247 926887889 /nfs/dbraw/zinc/88/78/89/926887889.db2.gz DWTBVSVWHQZXML-LLVKDONJSA-N 0 1 279.344 0.308 20 30 CCEDMN C[C@@H](C#N)OCCN1CCCN(CC(=O)N(C)C)CC1 ZINC001414041745 926891671 /nfs/dbraw/zinc/89/16/71/926891671.db2.gz AIDFNCAVFGHUNR-ZDUSSCGKSA-N 0 1 282.388 0.011 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC001480618160 926956792 /nfs/dbraw/zinc/95/67/92/926956792.db2.gz UEFHUAABABPSPN-LBPRGKRZSA-N 0 1 276.344 0.232 20 30 CCEDMN COC(=O)[C@@H](CO)NC(=O)C(C#N)CCc1ccccc1 ZINC001361893833 927428289 /nfs/dbraw/zinc/42/82/89/927428289.db2.gz ZEWSBNCPEBKOOA-QWHCGFSZSA-N 0 1 290.319 0.409 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCC(=O)NC2)CC1 ZINC001423242165 927760775 /nfs/dbraw/zinc/76/07/75/927760775.db2.gz XKHOKXOKMIROLD-JTQLQIEISA-N 0 1 285.775 0.504 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)COC(C)(C)C ZINC001423446528 927866413 /nfs/dbraw/zinc/86/64/13/927866413.db2.gz PNLOBUNORUASTQ-NSHDSACASA-N 0 1 292.807 0.963 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@@H](OC)C(C)C ZINC001423476231 927879477 /nfs/dbraw/zinc/87/94/77/927879477.db2.gz SCYQLOXTUJTRCR-RYUDHWBXSA-N 0 1 292.807 0.819 20 30 CCEDMN C=C(Cl)CN1CCC[C@@](CO)(NC(=O)c2cnn[nH]2)C1 ZINC001424018090 928060319 /nfs/dbraw/zinc/06/03/19/928060319.db2.gz XBPWWCWOVNFXOJ-GFCCVEGCSA-N 0 1 299.762 0.114 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)ncc1C#N ZINC001362543397 928154213 /nfs/dbraw/zinc/15/42/13/928154213.db2.gz QZTFSUVJZKBTST-JTQLQIEISA-N 0 1 297.322 0.795 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1COC2(CN(CC3CCC3)C2)C1 ZINC001424360493 928170304 /nfs/dbraw/zinc/17/03/04/928170304.db2.gz VIWRYCAUDHCYSX-YPMHNXCESA-N 0 1 277.368 0.906 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CC(CNC(=O)Cc2cnc[nH]2)C1 ZINC001426372701 928825864 /nfs/dbraw/zinc/82/58/64/928825864.db2.gz YDCUNZZCDZGVMB-WHXUTIOJSA-N 0 1 289.339 0.123 20 30 CCEDMN CCc1nc(CNC(=O)[C@H](O)c2ccc(C#N)cc2)n[nH]1 ZINC001363069840 928833768 /nfs/dbraw/zinc/83/37/68/928833768.db2.gz WIEHCTNGCJPYRK-CYBMUJFWSA-N 0 1 285.307 0.589 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)[C@@H](O)c1ccncc1 ZINC001363189090 928958170 /nfs/dbraw/zinc/95/81/70/928958170.db2.gz WVDMVMKCAWCXAC-JLLWLGSASA-N 0 1 291.307 0.323 20 30 CCEDMN N#Cc1c(N)sc2c1CCN(C[C@@H]1COCCO1)C2 ZINC001363323637 929114038 /nfs/dbraw/zinc/11/40/38/929114038.db2.gz CAMHBPXJOXFXOB-SECBINFHSA-N 0 1 279.365 0.975 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001429286165 929427300 /nfs/dbraw/zinc/42/73/00/929427300.db2.gz LRKIFRUFIMOIMU-QWRGUYRKSA-N 0 1 291.355 0.323 20 30 CCEDMN CCc1cc(C(=O)N(CC)CCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001429684987 929505224 /nfs/dbraw/zinc/50/52/24/929505224.db2.gz SGVXCNVSURBDQO-SNVBAGLBSA-N 0 1 291.355 0.710 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](NC(=O)[C@H]2CCCCN2C)C1 ZINC001430239299 929596348 /nfs/dbraw/zinc/59/63/48/929596348.db2.gz NYQPNTACRWFGNS-WUHRBBMRSA-N 0 1 292.383 0.394 20 30 CCEDMN C[N@@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)CC(C)(C)CO ZINC001363809225 929598556 /nfs/dbraw/zinc/59/85/56/929598556.db2.gz WTWZCRLJVIWMIH-UHFFFAOYSA-N 0 1 289.339 0.757 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001372159301 929749012 /nfs/dbraw/zinc/74/90/12/929749012.db2.gz ZUWITZNJHGEPAB-JTQLQIEISA-N 0 1 289.339 0.538 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N1CCc2[nH]nnc2C1 ZINC001444507753 929887009 /nfs/dbraw/zinc/88/70/09/929887009.db2.gz ONWRLVZEDXRNQU-UHFFFAOYSA-N 0 1 256.269 0.213 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1CCNC(=O)C1 ZINC001445354881 930111672 /nfs/dbraw/zinc/11/16/72/930111672.db2.gz YPZXRFOTAGCLJI-NXEZZACHSA-N 0 1 273.764 0.359 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CNCC(=O)Nc1ccccc1 ZINC001445536577 930163786 /nfs/dbraw/zinc/16/37/86/930163786.db2.gz XSUULFOOVSBVAH-RYUDHWBXSA-N 0 1 288.351 0.879 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cnc[nH]c1=O ZINC001445569827 930173181 /nfs/dbraw/zinc/17/31/81/930173181.db2.gz GIXIHWZKROJTQZ-QMMMGPOBSA-N 0 1 270.720 0.643 20 30 CCEDMN CC[C@H](C(N)=O)N(C)C[C@H](C)NC(=O)c1cc(C#N)c[nH]1 ZINC001445689659 930214268 /nfs/dbraw/zinc/21/42/68/930214268.db2.gz OVHOAEVGZYYFBW-JOYOIKCWSA-N 0 1 291.355 0.200 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](C)NC(C)=O ZINC001373726688 930314410 /nfs/dbraw/zinc/31/44/10/930314410.db2.gz KYWWMDAJSOHRDZ-MWLCHTKSSA-N 0 1 275.780 0.748 20 30 CCEDMN C=C(Cl)CN1CC(O)(CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001446825985 930493046 /nfs/dbraw/zinc/49/30/46/930493046.db2.gz CEZCJCCOYJXGJW-UHFFFAOYSA-N 0 1 298.774 0.556 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)Cn2ccnc2)CCO1 ZINC001447296660 930603383 /nfs/dbraw/zinc/60/33/83/930603383.db2.gz CGHIPTTVHWRGHI-GFCCVEGCSA-N 0 1 298.774 0.453 20 30 CCEDMN CC(C)c1cc(C(=O)N2CC(NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001374751228 930647301 /nfs/dbraw/zinc/64/73/01/930647301.db2.gz QPZNOALUCWFFPN-VIFPVBQESA-N 0 1 289.339 0.633 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H]1CCNC1=O ZINC001374773170 930656206 /nfs/dbraw/zinc/65/62/06/930656206.db2.gz VJSXKUCODOBCSE-ZJUUUORDSA-N 0 1 273.764 0.359 20 30 CCEDMN Cc1cnc(CNC[C@H](C)NC(=O)c2cc(C#N)c[nH]2)cn1 ZINC001374872994 930699814 /nfs/dbraw/zinc/69/98/14/930699814.db2.gz CJNHHSQXBXYVQB-NSHDSACASA-N 0 1 298.350 0.893 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CNC(=O)OC ZINC001447826225 930727324 /nfs/dbraw/zinc/72/73/24/930727324.db2.gz BPMKEYAGAIIOFB-DTWKUNHWSA-N 0 1 277.752 0.578 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)Cn1cncn1 ZINC001447937353 930762048 /nfs/dbraw/zinc/76/20/48/930762048.db2.gz OZSWROZTHJYKCE-UWVGGRQHSA-N 0 1 271.752 0.513 20 30 CCEDMN Cc1nc([C@@H](C)N2CC(N(C)C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001375667067 930946123 /nfs/dbraw/zinc/94/61/23/930946123.db2.gz KQUWHBGXJMHHSF-DTWKUNHWSA-N 0 1 276.344 0.476 20 30 CCEDMN CC(=O)N(C)C[C@@H](O)CN(C)Cc1ccc(C#N)s1 ZINC001449175980 931092376 /nfs/dbraw/zinc/09/23/76/931092376.db2.gz JYMDYMBYNLFTMU-NSHDSACASA-N 0 1 281.381 0.891 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)C(=O)[C@H](C)Cc1cnc[nH]1 ZINC001449198000 931099572 /nfs/dbraw/zinc/09/95/72/931099572.db2.gz XHCMJSFKUKAPFO-GHMZBOCLSA-N 0 1 291.355 0.323 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001376750829 931256066 /nfs/dbraw/zinc/25/60/66/931256066.db2.gz MHIGOWIPIUUBDM-ZETCQYMHSA-N 0 1 272.736 0.884 20 30 CCEDMN N#CC1(CC(=O)OC[C@H]2CCC[N@@H+]2CC(=O)[O-])CC1 ZINC001611291291 970977175 /nfs/dbraw/zinc/97/71/75/970977175.db2.gz MUQOVBDIOJYKMX-SNVBAGLBSA-N 0 1 266.297 0.772 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCC[N@@H+]1CCC[C@H](C)C1 ZINC001602685916 971284126 /nfs/dbraw/zinc/28/41/26/971284126.db2.gz LCOZBEDMCGTYCW-LBPRGKRZSA-N 0 1 281.356 0.448 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)C1(C(=O)[O-])CCC1 ZINC001602702098 971329929 /nfs/dbraw/zinc/32/99/29/971329929.db2.gz IOOSTBVAYBAPNN-NSHDSACASA-N 0 1 265.309 0.882 20 30 CCEDMN C#CCNC(=O)CC[N@H+](CCC(=O)[O-])C1CCOCC1 ZINC001602702090 971330198 /nfs/dbraw/zinc/33/01/98/971330198.db2.gz HWSDVNIJWBRQLW-UHFFFAOYSA-N 0 1 282.340 0.082 20 30 CCEDMN C=CCC[N@@H+](C)CCNC(=O)c1ccc(-c2nn[nH]n2)[nH]1 ZINC001573334617 947459180 /nfs/dbraw/zinc/45/91/80/947459180.db2.gz GLGKDFOJGPGOMI-UHFFFAOYSA-N 0 1 289.343 0.433 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C(=O)[O-])CC[C@H]1C ZINC001589730014 950488273 /nfs/dbraw/zinc/48/82/73/950488273.db2.gz HBZJZCITJIXGJH-VXGBXAGGSA-N 0 1 281.356 0.934 20 30 CCEDMN N#C[C@H]1CC[C@@H]([N@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)C1 ZINC001594606556 956184872 /nfs/dbraw/zinc/18/48/72/956184872.db2.gz ZZXNQSPBVJOMRN-SBFPOUOMSA-N 0 1 250.298 0.712 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H]1CCC[N@@H+]1CC(C)C ZINC001588400409 958082923 /nfs/dbraw/zinc/08/29/23/958082923.db2.gz WYNUJRXVWVTZFN-GFCCVEGCSA-N 0 1 266.341 0.653 20 30 CCEDMN C#CC[NH2+]CC(=O)Nc1cc(OC)c(OC)cc1C(=O)[O-] ZINC000092493066 958166237 /nfs/dbraw/zinc/16/62/37/958166237.db2.gz JRCRPLZTWUSHLF-UHFFFAOYSA-N 0 1 292.291 0.563 20 30 CCEDMN C=C[C@H](C(=O)OC)[N@@H+]1CC[C@@](COC)(C(=O)[O-])C1 ZINC001588450393 958391956 /nfs/dbraw/zinc/39/19/56/958391956.db2.gz IMEMDBFXFHNGTN-BXKDBHETSA-N 0 1 257.286 0.137 20 30 CCEDMN C=C[C@H](C(=O)OC)[N@H+]1CC[C@@](COC)(C(=O)[O-])C1 ZINC001588450393 958391971 /nfs/dbraw/zinc/39/19/71/958391971.db2.gz IMEMDBFXFHNGTN-BXKDBHETSA-N 0 1 257.286 0.137 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)NCC[N@@H+]1CC=CCC1 ZINC001588558392 959085468 /nfs/dbraw/zinc/08/54/68/959085468.db2.gz RXWBYTRVHGHWQY-CYBMUJFWSA-N 0 1 265.313 0.369 20 30 CCEDMN N#CC1(C(=O)[O-])CCN(C(=O)[C@H]2CCC[N@H+]2C2CC2)CC1 ZINC001571042991 960608157 /nfs/dbraw/zinc/60/81/57/960608157.db2.gz YLPBZNBFXNTRBU-GFCCVEGCSA-N 0 1 291.351 0.830 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001573301473 962627583 /nfs/dbraw/zinc/62/75/83/962627583.db2.gz CVWBFRQMIAIKKB-QWRGUYRKSA-N 0 1 252.314 0.121 20 30 CCEDMN C#CCC[N@@H+](CC)CCCNC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001588448211 964094104 /nfs/dbraw/zinc/09/41/04/964094104.db2.gz YHQBWDBTQORSJK-NWDGAFQWSA-N 0 1 266.341 0.559 20 30 CCEDMN COC[C@]1(C(=O)[O-])CC[N@@H+](CCOCCC#N)C1 ZINC000385164658 968126718 /nfs/dbraw/zinc/12/67/18/968126718.db2.gz AOICANQNSFELQU-LBPRGKRZSA-N 0 1 256.302 0.340 20 30 CCEDMN N#Cc1cc(C[N@H+]2C[C@H](O)C[C@@H](C(=O)[O-])C2)ccc1F ZINC000401924963 973539275 /nfs/dbraw/zinc/53/92/75/973539275.db2.gz QLTXVSLKMKTWDG-VXGBXAGGSA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1ccc(C[N@H+]2C[C@H](O)C[C@H](C(=O)[O-])C2)c(F)c1 ZINC000401929409 973540290 /nfs/dbraw/zinc/54/02/90/973540290.db2.gz USQXDEKBUHFPRS-NWDGAFQWSA-N 0 1 278.283 0.965 20 30 CCEDMN C#CC[C@H]1CC[N@H+](CCC(=O)N(C)CC(=O)[O-])C1 ZINC001588434638 983471406 /nfs/dbraw/zinc/47/14/06/983471406.db2.gz PGQXSXHABKAXIE-NSHDSACASA-N 0 1 252.314 0.265 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588453706 983477016 /nfs/dbraw/zinc/47/70/16/983477016.db2.gz OCRIQWKJSSSHNQ-RYUDHWBXSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CC[N@@H+](CCC(C)(C)C(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC001588469716 983502782 /nfs/dbraw/zinc/50/27/82/983502782.db2.gz OJNAKKNBMBFELH-NSHDSACASA-N 0 1 287.381 0.610 20 30 CCEDMN C#CC[N@H+](CCC(C)(C)C(=O)[O-])[C@H]1CCS(=O)(=O)C1 ZINC001588469716 983502784 /nfs/dbraw/zinc/50/27/84/983502784.db2.gz OJNAKKNBMBFELH-NSHDSACASA-N 0 1 287.381 0.610 20 30 CCEDMN C#CCNC(=O)C[N@H+]1CC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC001588484279 983523728 /nfs/dbraw/zinc/52/37/28/983523728.db2.gz YRAGILJRWHJSSL-SECBINFHSA-N 0 1 293.348 0.380 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC001588484279 983523730 /nfs/dbraw/zinc/52/37/30/983523730.db2.gz YRAGILJRWHJSSL-SECBINFHSA-N 0 1 293.348 0.380 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[NH+](C[C@@H]2CCCO2)CC1 ZINC001588615047 983709903 /nfs/dbraw/zinc/70/99/03/983709903.db2.gz IIMCWIHUZYJBTP-ZDUSSCGKSA-N 0 1 296.367 0.731 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[NH+](CCOCC)CC1 ZINC001588615458 983712261 /nfs/dbraw/zinc/71/22/61/983712261.db2.gz VBDPSZWDLGFTOM-UHFFFAOYSA-N 0 1 284.356 0.588 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NC[C@@H](C(C)C)[NH+]1CCOCC1 ZINC001588623800 983729997 /nfs/dbraw/zinc/72/99/97/983729997.db2.gz XWOKLCPQECCFTH-ZDUSSCGKSA-N 0 1 298.383 0.880 20 30 CCEDMN C=C[C@H](C(=O)[O-])[NH+]1CCC(CN2CCOCC2)CC1 ZINC001588662743 983791534 /nfs/dbraw/zinc/79/15/34/983791534.db2.gz GTECSXPDGBXZMR-CYBMUJFWSA-N 0 1 268.357 0.670 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@@H+](C)CCN1C(=O)c2ccccc2C1=O ZINC001588664779 983804563 /nfs/dbraw/zinc/80/45/63/983804563.db2.gz VVKFCXKQSAWUAX-LBPRGKRZSA-N 0 1 288.303 0.854 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[N@@H+](CCC(=O)[O-])[C@@H](C)C1 ZINC001588684394 983859105 /nfs/dbraw/zinc/85/91/05/983859105.db2.gz PWAUMBRLWBRMEZ-FZMZJTMJSA-N 0 1 284.356 0.321 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(N)=O ZINC001588728877 983985019 /nfs/dbraw/zinc/98/50/19/983985019.db2.gz MDXURJMLNDYKJJ-ZDCRXTMVSA-N 0 1 252.314 0.602 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC001588729548 983991263 /nfs/dbraw/zinc/99/12/63/983991263.db2.gz KWFDKYZJAALMIL-KOLCDFICSA-N 0 1 294.311 0.341 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1C[C@@H]2CCC[C@]2(C(N)=O)C1 ZINC001588731549 984009036 /nfs/dbraw/zinc/00/90/36/984009036.db2.gz JNYNOHSYZRWHMY-KWBADKCTSA-N 0 1 252.314 0.603 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@@H+]1C[C@@]2(CO)CCCC[C@@]2(CO)C1 ZINC001588732259 984016969 /nfs/dbraw/zinc/01/69/69/984016969.db2.gz XVXGPGCILPQKDU-AEGPPILISA-N 0 1 283.368 0.863 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@H]1C[N@@H+]2CCN1C[C@H]2C)C(=O)[O-] ZINC001588789956 984202987 /nfs/dbraw/zinc/20/29/87/984202987.db2.gz NVZCOBLZMSXCLA-AGIUHOORSA-N 0 1 295.383 0.300 20 30 CCEDMN C=CCN(CC=C)C(=O)C[NH+]1CCC(C#N)(C(=O)[O-])CC1 ZINC001588825137 984297907 /nfs/dbraw/zinc/29/79/07/984297907.db2.gz BFGDZVQZNFVBOS-UHFFFAOYSA-N 0 1 291.351 0.877 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2C[C@@H](C)[C@H](CC(=O)[O-])C2)C1=O ZINC001588838673 984340276 /nfs/dbraw/zinc/34/02/76/984340276.db2.gz IEKKYKCLDBYQCN-UTUOFQBUSA-N 0 1 266.341 0.816 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCC[C@@H]2CC(=O)[O-])C1=O ZINC001588839067 984344299 /nfs/dbraw/zinc/34/42/99/984344299.db2.gz NXTLPHGLCMYTJJ-MNOVXSKESA-N 0 1 252.314 0.712 20 30 CCEDMN CC#CC[N@@H+](C)CCOCCN(C)C(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001588930878 984600126 /nfs/dbraw/zinc/60/01/26/984600126.db2.gz DMLRPHKGBZURNQ-STQMWFEESA-N 0 1 296.367 0.137 20 30 CCEDMN C[C@@H](C(=O)NCC(=O)[O-])[N@H+](C)Cc1ccc(C#N)cc1 ZINC001589398027 986545776 /nfs/dbraw/zinc/54/57/76/986545776.db2.gz ZHYBUPQEKNHJPF-JTQLQIEISA-N 0 1 275.308 0.579 20 30 CCEDMN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+](C)Cc1ccc(C#N)cc1 ZINC001589398027 986545781 /nfs/dbraw/zinc/54/57/81/986545781.db2.gz ZHYBUPQEKNHJPF-JTQLQIEISA-N 0 1 275.308 0.579 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)N(C)CCC#N)C[C@H]1CC(=O)[O-] ZINC001599866914 989280522 /nfs/dbraw/zinc/28/05/22/989280522.db2.gz JOISGPPJRRKGRV-VXGBXAGGSA-N 0 1 281.356 0.791 20 30 CCEDMN C[N@@H+](CC(=O)N(CC#N)CCC(=O)[O-])[C@H]1CCSC1 ZINC001598379060 991571019 /nfs/dbraw/zinc/57/10/19/991571019.db2.gz JKXGMCMDGCSRAJ-JTQLQIEISA-N 0 1 285.369 0.251 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)c2cccc(C#N)n2)C[C@@H]1C(=O)[O-] ZINC001598573095 993231153 /nfs/dbraw/zinc/23/11/53/993231153.db2.gz HHQMCTHRGKKCBH-GXSJLCMTSA-N 0 1 275.264 0.267 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)O[C@@H]1C[N@@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001598575998 993276460 /nfs/dbraw/zinc/27/64/60/993276460.db2.gz WPWGZIURPABBTI-HZMBPMFUSA-N 0 1 291.307 0.601 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2ccc(C#N)s2)C[C@H](C(=O)[O-])C1 ZINC001598610152 993752214 /nfs/dbraw/zinc/75/22/14/993752214.db2.gz IXWQFDWLSODTKW-SECBINFHSA-N 0 1 293.348 0.708 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2cccc(C#N)c2)C[C@@H](C(=O)[O-])C1 ZINC001598613240 993823639 /nfs/dbraw/zinc/82/36/39/993823639.db2.gz NMFGACCFCVKSRA-ZDUSSCGKSA-N 0 1 287.319 0.647 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](Cc2cc(C#N)ccn2)C[C@@H]1C(=O)[O-] ZINC001598824931 996371970 /nfs/dbraw/zinc/37/19/70/996371970.db2.gz BJXIJHWVZOUCBC-RYUDHWBXSA-N 0 1 289.291 0.259 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001599138641 998088897 /nfs/dbraw/zinc/08/88/97/998088897.db2.gz NLHFFTYVZZDTBA-NSHDSACASA-N 0 1 278.312 0.263 20 30 CCEDMN C[C@H]1[C@H](C(=O)[O-])CC[N@@H+]1Cn1cccc(C#N)c1=O ZINC001594166746 998634544 /nfs/dbraw/zinc/63/45/44/998634544.db2.gz BHZGNIUNRGQELQ-GXSJLCMTSA-N 0 1 261.281 0.472 20 30 CCEDMN C[C@H]1[C@H](C(=O)[O-])CC[N@H+]1Cn1cccc(C#N)c1=O ZINC001594166746 998634546 /nfs/dbraw/zinc/63/45/46/998634546.db2.gz BHZGNIUNRGQELQ-GXSJLCMTSA-N 0 1 261.281 0.472 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)CC1(C)COC1 ZINC000599574550 361750284 /nfs/dbraw/zinc/75/02/84/361750284.db2.gz UMRGANKCYQPJEV-AWEZNQCLSA-N 0 1 265.357 0.763 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](C)[C@H](O)C1 ZINC000093332246 349538312 /nfs/dbraw/zinc/53/83/12/349538312.db2.gz SCJLZRZFKTVCFD-NWDGAFQWSA-N 0 1 253.346 0.451 20 30 CCEDMN N#CCCCCC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000600234377 361899246 /nfs/dbraw/zinc/89/92/46/361899246.db2.gz DIDCBGHOOLBQCA-LBPRGKRZSA-N 0 1 280.372 0.526 20 30 CCEDMN C=CCCNC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000617614904 365691744 /nfs/dbraw/zinc/69/17/44/365691744.db2.gz SNPYSBBBMXHRIC-UHFFFAOYSA-N 0 1 260.301 0.481 20 30 CCEDMN N#CCc1cccc(C(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000600531786 362004404 /nfs/dbraw/zinc/00/44/04/362004404.db2.gz LTXKZYIZMVNYRG-CQSZACIVSA-N 0 1 273.336 0.549 20 30 CCEDMN N#Cc1ccc(C2(C(=O)NCCN3CC[C@@H](O)C3)CC2)cc1 ZINC000600532034 362004772 /nfs/dbraw/zinc/00/47/72/362004772.db2.gz FQPLMQIPYVOHGT-OAHLLOKOSA-N 0 1 299.374 0.773 20 30 CCEDMN CC[C@@]1(CO)CCCN1CC(=O)NC1(C#N)CCC1 ZINC000347223216 529561808 /nfs/dbraw/zinc/56/18/08/529561808.db2.gz KMWRBUOXMDSNOZ-AWEZNQCLSA-N 0 1 265.357 0.786 20 30 CCEDMN N#Cc1ccc(C(=O)NCCN2CC[C@@H](O)C2)cc1 ZINC000600553263 362008697 /nfs/dbraw/zinc/00/86/97/362008697.db2.gz UDTQTUPGLDNYRE-CYBMUJFWSA-N 0 1 259.309 0.355 20 30 CCEDMN N#CC1(CNC[C@@H](O)CN2CCOCC2)CCCCC1 ZINC000600764164 362056973 /nfs/dbraw/zinc/05/69/73/362056973.db2.gz YKLRAOFLCACIBO-CQSZACIVSA-N 0 1 281.400 0.743 20 30 CCEDMN N#CCc1ccc(C(=O)NCCN2CC[C@H](O)C2)cc1 ZINC000600808331 362066013 /nfs/dbraw/zinc/06/60/13/362066013.db2.gz LPNMKNXCDQSXQC-AWEZNQCLSA-N 0 1 273.336 0.549 20 30 CCEDMN N#Cc1ccc(NCc2n[nH]c(C3CCOCC3)n2)nc1 ZINC000601221778 362181226 /nfs/dbraw/zinc/18/12/26/362181226.db2.gz RVKCDDJVIDTTEY-UHFFFAOYSA-N 0 1 284.323 0.999 20 30 CCEDMN C=CCCCN1CCN(CC(=O)NCCOC)CC1 ZINC000117871519 349892413 /nfs/dbraw/zinc/89/24/13/349892413.db2.gz QELRHELEQZCCOC-UHFFFAOYSA-N 0 1 269.389 0.333 20 30 CCEDMN N#C[C@H]1CSCCN1C(=O)CCc1c[nH]nn1 ZINC000601407785 362259922 /nfs/dbraw/zinc/25/99/22/362259922.db2.gz FIGMPJVBYLIUIZ-VIFPVBQESA-N 0 1 251.315 0.205 20 30 CCEDMN N#C[C@H]1CSCCN1C(=O)CCc1cnn[nH]1 ZINC000601407785 362259923 /nfs/dbraw/zinc/25/99/23/362259923.db2.gz FIGMPJVBYLIUIZ-VIFPVBQESA-N 0 1 251.315 0.205 20 30 CCEDMN CN([C@H]1CCC[C@H]1C#N)S(=O)(=O)c1ncc[nH]1 ZINC000601510678 362296192 /nfs/dbraw/zinc/29/61/92/362296192.db2.gz ZCJRFTXXMLZUJD-IUCAKERBSA-N 0 1 254.315 0.722 20 30 CCEDMN COC(=O)C1(C)CN(C[C@@H](O)CC2(C#N)CC2)C1 ZINC000601957056 362437870 /nfs/dbraw/zinc/43/78/70/362437870.db2.gz DECZEPOAHSJWSP-JTQLQIEISA-N 0 1 252.314 0.536 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CCO[C@H](C#N)C1 ZINC000602095891 362485555 /nfs/dbraw/zinc/48/55/55/362485555.db2.gz GXUMKVLEEQHGPV-GFCCVEGCSA-N 0 1 281.356 0.096 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@@H]2CCN2C2CCCC2)CCO1 ZINC000602099724 362487044 /nfs/dbraw/zinc/48/70/44/362487044.db2.gz YFSHFYJPZQPGRZ-STQMWFEESA-N 0 1 263.341 0.754 20 30 CCEDMN CN(C(=O)CCCc1nn[nH]n1)[C@@H]1CCC[C@@H]1C#N ZINC000602195360 362551633 /nfs/dbraw/zinc/55/16/33/362551633.db2.gz KGWJGUIWNWSCBO-NXEZZACHSA-N 0 1 262.317 0.673 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CCN(CCC#N)CC1 ZINC000602204607 362556906 /nfs/dbraw/zinc/55/69/06/362556906.db2.gz RUFVDTIPGLAPNL-UHFFFAOYSA-N 0 1 287.367 0.819 20 30 CCEDMN C=CCCSCCNC(=O)c1cnc(CN)nc1 ZINC000602524893 362657193 /nfs/dbraw/zinc/65/71/93/362657193.db2.gz GWUZUUPRVFJFQF-UHFFFAOYSA-N 0 1 266.370 0.974 20 30 CCEDMN N#Cc1ccc(CN2CCC[C@](O)(C(N)=O)C2)s1 ZINC000367494040 232361807 /nfs/dbraw/zinc/36/18/07/232361807.db2.gz YCWFTTYCBRFFDF-GFCCVEGCSA-N 0 1 265.338 0.432 20 30 CCEDMN C[C@H](NCc1cccc(C#N)n1)[C@@H]1CN(C)CCO1 ZINC000602576349 362688923 /nfs/dbraw/zinc/68/89/23/362688923.db2.gz MEXGWVZRDWCLQO-FZMZJTMJSA-N 0 1 260.341 0.762 20 30 CCEDMN CS(=O)(=O)N1CCC[C@@H]1CNCc1cccc(C#N)n1 ZINC000602577448 362689475 /nfs/dbraw/zinc/68/94/75/362689475.db2.gz UODXSWGFFSDICP-CYBMUJFWSA-N 0 1 294.380 0.467 20 30 CCEDMN CC(C)(N)c1cc(C(=O)N2CCN(CCC#N)CC2)on1 ZINC000602605601 362710303 /nfs/dbraw/zinc/71/03/03/362710303.db2.gz XPDTZSZEWWBAPH-UHFFFAOYSA-N 0 1 291.355 0.540 20 30 CCEDMN CN1C(=O)NCC12CCN(Cc1cccc(C#N)n1)CC2 ZINC000602692912 362753259 /nfs/dbraw/zinc/75/32/59/362753259.db2.gz OIIBQEQBFKLXGS-UHFFFAOYSA-N 0 1 285.351 0.943 20 30 CCEDMN C=CCOc1ccc(CNCC(=O)NCC(=O)OC)cc1 ZINC000602746604 362779056 /nfs/dbraw/zinc/77/90/56/362779056.db2.gz GYAMIRGVOSIIPW-UHFFFAOYSA-N 0 1 292.335 0.630 20 30 CCEDMN CN(C)S(=O)(=O)CCCN1CCC(C)(C#N)CC1 ZINC000408158953 260317954 /nfs/dbraw/zinc/31/79/54/260317954.db2.gz NIOFLOYACJLIBQ-UHFFFAOYSA-N 0 1 273.402 0.894 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N(C)CC1CN(C)C1 ZINC000618188787 365919786 /nfs/dbraw/zinc/91/97/86/365919786.db2.gz LCTZMEWONYAXDB-CYBMUJFWSA-N 0 1 267.373 0.477 20 30 CCEDMN N#Cc1cccc(CN[C@@H]2COC[C@@H]2n2ccnn2)c1 ZINC000344456084 137195358 /nfs/dbraw/zinc/19/53/58/137195358.db2.gz NXQPDJHUYLFANO-KGLIPLIRSA-N 0 1 269.308 0.879 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](C(=O)OCC)C1 ZINC000029326012 348111763 /nfs/dbraw/zinc/11/17/63/348111763.db2.gz FRMPLQUQIVJIMC-RYUDHWBXSA-N 0 1 266.341 0.399 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(C(=O)N2CCCC2)CC1 ZINC000029809713 348115535 /nfs/dbraw/zinc/11/55/35/348115535.db2.gz UHYGEOLXFJPQBT-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1cccc(C#N)c1)N(C)C ZINC000026857532 348095557 /nfs/dbraw/zinc/09/55/57/348095557.db2.gz LDEXEJWENHCPQQ-JTQLQIEISA-N 0 1 267.354 0.787 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@](C)(C#N)C2)[nH]1 ZINC000602884215 362862780 /nfs/dbraw/zinc/86/27/80/362862780.db2.gz ALSQBGYKAGYPON-GFCCVEGCSA-N 0 1 258.285 0.481 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2CSC2)[nH]1 ZINC000602889711 362867157 /nfs/dbraw/zinc/86/71/57/362867157.db2.gz ZTGVAVMZWXPMDF-UHFFFAOYSA-N 0 1 251.315 0.198 20 30 CCEDMN CC[C@H]1[C@H](CO)CCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000602880236 362860506 /nfs/dbraw/zinc/86/05/06/362860506.db2.gz JSHROVSOKFMRPH-ONGXEEELSA-N 0 1 277.328 0.338 20 30 CCEDMN CCO[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H]1C ZINC000602879973 362860552 /nfs/dbraw/zinc/86/05/52/362860552.db2.gz IGPRBUWGKVBYET-CMPLNLGQSA-N 0 1 291.355 0.992 20 30 CCEDMN Cc1cc(C#N)ccc1CN1CCN(C)C[C@H]1CO ZINC000602904669 362878471 /nfs/dbraw/zinc/87/84/71/362878471.db2.gz JLWGJPBIMWAIGH-HNNXBMFYSA-N 0 1 259.353 0.975 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2cnc3cnccc3c2)[nH]1 ZINC000346210504 137273397 /nfs/dbraw/zinc/27/33/97/137273397.db2.gz QNMKZFAGNDWSQH-UHFFFAOYSA-N 0 1 278.275 0.909 20 30 CCEDMN CNC(=O)NC(=O)CCN(C)[C@H]1CCC[C@@H]1C#N ZINC000602976532 362918145 /nfs/dbraw/zinc/91/81/45/362918145.db2.gz QSXWWZSQKQHHEI-ZJUUUORDSA-N 0 1 252.318 0.456 20 30 CCEDMN CN(CC(=O)N1CCN(C)CC1)[C@H]1CC[C@H](C#N)C1 ZINC000602989496 362924611 /nfs/dbraw/zinc/92/46/11/362924611.db2.gz QPTQSMZOXDKWNS-STQMWFEESA-N 0 1 264.373 0.384 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N[C@@H](C)c1cnn(C)c1 ZINC000273392350 192136742 /nfs/dbraw/zinc/13/67/42/192136742.db2.gz PCPQLOXPCCEUSL-UWVGGRQHSA-N 0 1 279.344 0.471 20 30 CCEDMN C#CCNC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000068510871 348813939 /nfs/dbraw/zinc/81/39/39/348813939.db2.gz CKJYVWNLICHJDQ-UHFFFAOYSA-N 0 1 258.325 0.196 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(N(C)C(C)=O)CC1 ZINC000068576940 348817082 /nfs/dbraw/zinc/81/70/82/348817082.db2.gz PPUFLWGOTOOUKK-LLVKDONJSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@@H]1[C@@H](O)CC ZINC000074287433 348982696 /nfs/dbraw/zinc/98/26/96/348982696.db2.gz JPIBCTLXPAGDPT-NEPJUHHUSA-N 0 1 283.372 0.624 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCCSCC#N)CC1 ZINC000074980437 349016473 /nfs/dbraw/zinc/01/64/73/349016473.db2.gz KQFPMWCBFNMMLY-UHFFFAOYSA-N 0 1 296.440 0.553 20 30 CCEDMN CCCCNC(=O)[C@H]1CC[C@@H](C)N(CC(=O)NCC#N)C1 ZINC000076669277 349089317 /nfs/dbraw/zinc/08/93/17/349089317.db2.gz XDDBSBKQDIXFOE-OLZOCXBDSA-N 0 1 294.399 0.643 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H]1CCCn2nccc21 ZINC000603028229 362943490 /nfs/dbraw/zinc/94/34/90/362943490.db2.gz RPTHMYYMLYJHCN-SNVBAGLBSA-N 0 1 277.328 0.319 20 30 CCEDMN C[C@H](O)[C@@H]1CCCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000089927317 349413011 /nfs/dbraw/zinc/41/30/11/349413011.db2.gz MUSCXROKACJAKJ-UONOGXRCSA-N 0 1 292.383 0.735 20 30 CCEDMN CN(C)CC(=O)N1CC(Oc2ccc(C#N)cc2)C1 ZINC000132228698 350300438 /nfs/dbraw/zinc/30/04/38/350300438.db2.gz KZEXACDBAYMXPG-UHFFFAOYSA-N 0 1 259.309 0.709 20 30 CCEDMN C[C@H]1C[C@@H](C(=O)NC2(C#N)CCN(C)CC2)CO1 ZINC000132464156 350308820 /nfs/dbraw/zinc/30/88/20/350308820.db2.gz HUQHYONLKHQPLJ-WDEREUQCSA-N 0 1 251.330 0.516 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1ccc(C#N)cc1 ZINC000107062672 186157493 /nfs/dbraw/zinc/15/74/93/186157493.db2.gz LDGXBEUMZCROHG-UHFFFAOYSA-N 0 1 267.354 0.740 20 30 CCEDMN CCOCC[C@@H](O)CN1CCN(CCC#N)CC1 ZINC000618289709 365974941 /nfs/dbraw/zinc/97/49/41/365974941.db2.gz LAMMGUDXSNWTSV-CYBMUJFWSA-N 0 1 255.362 0.305 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1cccc([N+](=O)[O-])c1C#N ZINC000156016284 350625193 /nfs/dbraw/zinc/62/51/93/350625193.db2.gz KRKHTZDCXIPELJ-UHFFFAOYSA-N 0 1 298.324 0.306 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC[C@@H](OCCO)C1 ZINC000172294792 350973144 /nfs/dbraw/zinc/97/31/44/350973144.db2.gz YJMMFXUIUGGIDU-CQSZACIVSA-N 0 1 282.384 0.660 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(CC(=O)NC)CC1 ZINC000175038430 351115612 /nfs/dbraw/zinc/11/56/12/351115612.db2.gz YCFOGFCVFXINRV-NSHDSACASA-N 0 1 267.373 0.525 20 30 CCEDMN Cn1cc(CN2CCC[C@@H](OCCO)C2)cc1C#N ZINC000191347871 351754892 /nfs/dbraw/zinc/75/48/92/351754892.db2.gz LWHOOGDKIXZOHL-CQSZACIVSA-N 0 1 263.341 0.870 20 30 CCEDMN C[C@@H]1CN(Cc2cc(C#N)n(C)c2)CCN1CCO ZINC000191535805 351763028 /nfs/dbraw/zinc/76/30/28/351763028.db2.gz IUKLPMMOUOPAHX-GFCCVEGCSA-N 0 1 262.357 0.395 20 30 CCEDMN C[N@H+](CC(=O)[O-])C[C@H]1CN(c2ccc(C#N)cc2)CCO1 ZINC000262140253 352581727 /nfs/dbraw/zinc/58/17/27/352581727.db2.gz POMSXMFHONIONU-AWEZNQCLSA-N 0 1 289.335 0.780 20 30 CCEDMN C#CCCCN1CCN(CC(=O)Nc2cc(C)on2)CC1 ZINC000271087101 353020944 /nfs/dbraw/zinc/02/09/44/353020944.db2.gz ZPPYCSRJTAKFID-UHFFFAOYSA-N 0 1 290.367 0.953 20 30 CCEDMN Cc1nnc2ccc(C(=O)NC3(C#N)CCN(C)CC3)cn12 ZINC000604546091 363202774 /nfs/dbraw/zinc/20/27/74/363202774.db2.gz SEUMESNYVNAPJE-UHFFFAOYSA-N 0 1 298.350 0.756 20 30 CCEDMN C=CCN(C)[C@@H](C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000275128674 353187221 /nfs/dbraw/zinc/18/72/21/353187221.db2.gz BKUZQKBRZVSCQT-WDEREUQCSA-N 0 1 253.346 0.217 20 30 CCEDMN Cc1nnc(N2CCC[C@H](c3nc(=O)[nH][nH]3)C2)c(C#N)c1C ZINC000285503356 353559770 /nfs/dbraw/zinc/55/97/70/353559770.db2.gz FJTIELCMPPFSMO-JTQLQIEISA-N 0 1 299.338 0.761 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NC2(c3nnc[nH]3)CCC2)o1 ZINC000287442090 353647108 /nfs/dbraw/zinc/64/71/08/353647108.db2.gz QOIJLBGSIDKZLC-UHFFFAOYSA-N 0 1 293.308 0.627 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NC[C@@H](O)C(F)(F)F)o1 ZINC000287659606 353660220 /nfs/dbraw/zinc/66/02/20/353660220.db2.gz CPYPNHWQCWHMPH-ZCFIWIBFSA-N 0 1 284.215 0.353 20 30 CCEDMN CN1CC2(C1)CCN(C(=O)c1cc(C#N)cn1C)C2 ZINC000618921261 366122213 /nfs/dbraw/zinc/12/22/13/366122213.db2.gz RJWDKCBDGWWBSG-UHFFFAOYSA-N 0 1 258.325 0.674 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N[C@@H](C)c1cnn(CC)c1 ZINC000296107393 353931822 /nfs/dbraw/zinc/93/18/22/353931822.db2.gz QHIOYAZWDFHRQV-QWRGUYRKSA-N 0 1 293.371 0.954 20 30 CCEDMN CCCN(CCO)CC(=O)NCc1ccc(C#N)cc1 ZINC000298735655 353966929 /nfs/dbraw/zinc/96/69/29/353966929.db2.gz IEQBCFDSRYFEON-UHFFFAOYSA-N 0 1 275.352 0.879 20 30 CCEDMN C#CCN(CC#C)C[C@@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000304337534 354113509 /nfs/dbraw/zinc/11/35/09/354113509.db2.gz XKUREBSFYVQMCS-QLFBSQMISA-N 0 1 264.369 0.025 20 30 CCEDMN N#Cc1ccc(CN2CCC(O)(C(N)=O)CC2)cc1 ZINC000311599594 354201828 /nfs/dbraw/zinc/20/18/28/354201828.db2.gz GHZFXNOQJVOWCD-UHFFFAOYSA-N 0 1 259.309 0.370 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000329727692 354259745 /nfs/dbraw/zinc/25/97/45/354259745.db2.gz FHUKKAFPGJOVGG-VOAKCMCISA-N 0 1 278.356 0.888 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2cccc(C#N)c2)CC[C@H]1O ZINC000331151770 354468338 /nfs/dbraw/zinc/46/83/38/354468338.db2.gz OFBJOWOBBTUACX-IUODEOHRSA-N 0 1 287.363 0.877 20 30 CCEDMN C[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H](C2CC2)O1 ZINC000619320233 366235598 /nfs/dbraw/zinc/23/55/98/366235598.db2.gz VDYJNPLXLQKXSJ-JOYOIKCWSA-N 0 1 289.339 0.745 20 30 CCEDMN CCN(C)CCNS(=O)(=O)c1cnc(C)c(C#N)c1 ZINC000336815085 355189242 /nfs/dbraw/zinc/18/92/42/355189242.db2.gz GZPXOEDGFZQSOG-UHFFFAOYSA-N 0 1 282.369 0.492 20 30 CCEDMN CC#CCN(C)C(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000344177062 355788447 /nfs/dbraw/zinc/78/84/47/355788447.db2.gz USVKNNJQEHQRHU-UHFFFAOYSA-N 0 1 297.318 0.109 20 30 CCEDMN C[C@H]1CCN(C)CCN1S(=O)(=O)N(C)CCC#N ZINC000354492556 356425197 /nfs/dbraw/zinc/42/51/97/356425197.db2.gz LPGIJPNOAAVVPQ-NSHDSACASA-N 0 1 274.390 0.103 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](N2CCN(CC)CC2)C1 ZINC000609310835 363487349 /nfs/dbraw/zinc/48/73/49/363487349.db2.gz PFCSPFKWFKOUML-OAHLLOKOSA-N 0 1 295.427 0.818 20 30 CCEDMN N#CCn1c(C(=O)Nc2nn[nH]n2)cc2ccccc21 ZINC000609201199 363478178 /nfs/dbraw/zinc/47/81/78/363478178.db2.gz RPQNLBCDOPBALY-UHFFFAOYSA-N 0 1 267.252 0.930 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(CCCC(=O)NC(N)=O)CC1 ZINC000609539236 363503860 /nfs/dbraw/zinc/50/38/60/363503860.db2.gz WDCPRRPTMBGBKJ-GFCCVEGCSA-N 0 1 295.387 0.127 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N[C@@H]1CCCN(C)C1 ZINC000619708216 366330557 /nfs/dbraw/zinc/33/05/57/366330557.db2.gz JVRUJCQMFALDOS-GHMZBOCLSA-N 0 1 274.390 0.006 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H](C)O1 ZINC000610528243 363574241 /nfs/dbraw/zinc/57/42/41/363574241.db2.gz YJPXJBGOZPOKHR-DTORHVGOSA-N 0 1 263.301 0.355 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2[C@H]3CCO[C@@H]3C2(C)C)[nH]1 ZINC000610562234 363576067 /nfs/dbraw/zinc/57/60/67/363576067.db2.gz LKGYSCGDDGEDKL-MXWKQRLJSA-N 0 1 289.339 0.649 20 30 CCEDMN Cc1noc([C@H](C)NC(=O)N=c2[nH]n(C)cc2C#N)n1 ZINC000610561063 363576337 /nfs/dbraw/zinc/57/63/37/363576337.db2.gz CXTADSJUBZAPRE-LURJTMIESA-N 0 1 275.272 0.288 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2(CCO)CCCC2)[nH]1 ZINC000610561000 363576375 /nfs/dbraw/zinc/57/63/75/363576375.db2.gz CDSOYEBNPVBDQK-UHFFFAOYSA-N 0 1 291.355 0.778 20 30 CCEDMN C[C@@H](O)C[C@H](C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610562325 363576963 /nfs/dbraw/zinc/57/69/63/363576963.db2.gz OTMZISGIYHRRLH-DTWKUNHWSA-N 0 1 265.317 0.242 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N(C[C@H]2CCOC2)C2CC2)[nH]1 ZINC000610565033 363578839 /nfs/dbraw/zinc/57/88/39/363578839.db2.gz YOTXKPDBNWGCTB-SNVBAGLBSA-N 0 1 289.339 0.746 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CC[N@H+]3CCCC[C@H]23)[n-]1 ZINC000610564239 363579853 /nfs/dbraw/zinc/57/98/53/363579853.db2.gz MKEVUMDFUPSWCV-VXGBXAGGSA-N 0 1 288.355 0.462 20 30 CCEDMN COC[C@H]1CCCN1CC(=O)N(CCC#N)CCC#N ZINC000610615574 363585478 /nfs/dbraw/zinc/58/54/78/363585478.db2.gz RIAHLPPVZHHAEY-CYBMUJFWSA-N 0 1 278.356 0.753 20 30 CCEDMN N#Cc1csc(CNC[C@H]2CCCCN2CCO)n1 ZINC000449393425 236032037 /nfs/dbraw/zinc/03/20/37/236032037.db2.gz BXZMQYSDHOMUGC-GFCCVEGCSA-N 0 1 280.397 0.951 20 30 CCEDMN CC#CCN(C)C(=O)c1n[nH]c(CC)c1[N+](=O)[O-] ZINC000294580060 199094795 /nfs/dbraw/zinc/09/47/95/199094795.db2.gz ORWBXKQRHKDEFD-UHFFFAOYSA-N 0 1 250.258 0.976 20 30 CCEDMN C[C@@H](C(=O)NCC1CCN(CC#N)CC1)N(C)C ZINC000610684596 363599062 /nfs/dbraw/zinc/59/90/62/363599062.db2.gz UQHDUPQRHJEVAQ-NSHDSACASA-N 0 1 252.362 0.288 20 30 CCEDMN C#CCN(C)CCCNC(=O)NCC1([S@](C)=O)CCC1 ZINC000491661156 358296069 /nfs/dbraw/zinc/29/60/69/358296069.db2.gz AREHVGBCZXCMFP-FQEVSTJZSA-N 0 1 299.440 0.542 20 30 CCEDMN C[C@@H]1OCC[C@@H]1[N@@H+](CCO)Cc1ccc(C#N)cn1 ZINC000573263728 358952836 /nfs/dbraw/zinc/95/28/36/358952836.db2.gz HMXNLQDNZSCBJA-FZMZJTMJSA-N 0 1 261.325 0.925 20 30 CCEDMN C[C@@H]1OCC[C@@H]1N(CCO)Cc1ccc(C#N)cn1 ZINC000573263728 358952838 /nfs/dbraw/zinc/95/28/38/358952838.db2.gz HMXNLQDNZSCBJA-FZMZJTMJSA-N 0 1 261.325 0.925 20 30 CCEDMN CCc1nn(CCc2c[nH]nn2)c(=O)c(C#N)c1CC ZINC000573762779 358997181 /nfs/dbraw/zinc/99/71/81/358997181.db2.gz BYQFGHGOXVVDOH-UHFFFAOYSA-N 0 1 272.312 0.601 20 30 CCEDMN CCc1nn(CCc2cnn[nH]2)c(=O)c(C#N)c1CC ZINC000573762779 358997186 /nfs/dbraw/zinc/99/71/86/358997186.db2.gz BYQFGHGOXVVDOH-UHFFFAOYSA-N 0 1 272.312 0.601 20 30 CCEDMN CN(C(=O)N=c1[nH]n(C)cc1C#N)[C@@H]1CCSC1 ZINC000611127467 363662028 /nfs/dbraw/zinc/66/20/28/363662028.db2.gz MVACWKDJPVBJCX-SECBINFHSA-N 0 1 265.342 0.683 20 30 CCEDMN CCn1cc(-c2[nH]ncc2C(=O)N2CC(C#N)C2)cn1 ZINC000590489306 359476335 /nfs/dbraw/zinc/47/63/35/359476335.db2.gz XFBGDXCBMPEQJZ-UHFFFAOYSA-N 0 1 270.296 0.889 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)CC1(C#N)CC1 ZINC000591321583 359577820 /nfs/dbraw/zinc/57/78/20/359577820.db2.gz CFCORDLCWLPZAA-NWDGAFQWSA-N 0 1 265.357 0.594 20 30 CCEDMN CO[C@H](CNC(=O)N=c1[nH]n(C)cc1C#N)CC(C)C ZINC000591338123 359579344 /nfs/dbraw/zinc/57/93/44/359579344.db2.gz JTMWHWRZGMSZHT-NSHDSACASA-N 0 1 279.344 0.896 20 30 CCEDMN CC1(C)CN(c2cncc(C#N)n2)CCN1CCO ZINC000591461720 359595823 /nfs/dbraw/zinc/59/58/23/359595823.db2.gz TYFVEXHTOWOBIL-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN N#Cc1ccc(CNC[C@@H](O)CN2CCOCC2)c(F)c1 ZINC000591734044 359649778 /nfs/dbraw/zinc/64/97/78/359649778.db2.gz YDIYQNACXRTBCN-CQSZACIVSA-N 0 1 293.342 0.480 20 30 CCEDMN CC(C)(C#N)CNC[C@H](O)Cn1cc([N+](=O)[O-])cn1 ZINC000591759388 359654941 /nfs/dbraw/zinc/65/49/41/359654941.db2.gz LCAPGRUILPBGIW-JTQLQIEISA-N 0 1 267.289 0.292 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CNCC2(C#N)CC2)C[C@H](C)O1 ZINC000591764632 359655025 /nfs/dbraw/zinc/65/50/25/359655025.db2.gz IVEPXZZNFADDKB-FRRDWIJNSA-N 0 1 267.373 0.350 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCCN1CCC(O)CC1 ZINC000297133166 200093677 /nfs/dbraw/zinc/09/36/77/200093677.db2.gz ZOSIAWXXGNZFIM-UHFFFAOYSA-N 0 1 286.375 0.783 20 30 CCEDMN N#CC[C@]1(O)CCN(CCO[C@H]2CCCCO2)C1 ZINC000592150147 359769810 /nfs/dbraw/zinc/76/98/10/359769810.db2.gz AFDPFORGNRJRQS-STQMWFEESA-N 0 1 254.330 0.880 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](C(F)F)C1 ZINC000611180009 363674262 /nfs/dbraw/zinc/67/42/62/363674262.db2.gz PORBBCRRQZXMRS-IUCAKERBSA-N 0 1 275.299 0.974 20 30 CCEDMN C[C@@H](NCc1cnc2c(C#N)cnn2c1)C(=O)N(C)C ZINC000592508443 359865304 /nfs/dbraw/zinc/86/53/04/359865304.db2.gz ZLAUKIZOBDWQNR-SECBINFHSA-N 0 1 272.312 0.167 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cc(C3CC3)n(C)n2)[nH]n1C ZINC000592646794 359908140 /nfs/dbraw/zinc/90/81/40/359908140.db2.gz RCMWSIIIHLYIJU-UHFFFAOYSA-N 0 1 284.323 0.885 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC(C)(C)CCCO)[nH]1 ZINC000611322917 363707058 /nfs/dbraw/zinc/70/70/58/363707058.db2.gz NCELXPNOGZYUTG-UHFFFAOYSA-N 0 1 279.344 0.634 20 30 CCEDMN CC#CCCCC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297786333 200280668 /nfs/dbraw/zinc/28/06/68/200280668.db2.gz RAJHBWOOTIXCSU-UHFFFAOYSA-N 0 1 275.312 0.829 20 30 CCEDMN N#Cc1ncn(CC(=O)N2CCNC[C@@H]2c2ccccc2)n1 ZINC000563339773 291214531 /nfs/dbraw/zinc/21/45/31/291214531.db2.gz SDXVXWRCSGWREF-CYBMUJFWSA-N 0 1 296.334 0.323 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000593855655 360176886 /nfs/dbraw/zinc/17/68/86/360176886.db2.gz MSUIBOQJWXTUIR-CYBMUJFWSA-N 0 1 276.344 0.389 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C[C@@H](C)O)C1CC1 ZINC000594064286 360244901 /nfs/dbraw/zinc/24/49/01/360244901.db2.gz MJQRCOPQHIWKOR-VXGBXAGGSA-N 0 1 267.373 0.840 20 30 CCEDMN N#CCN1CCN(S(=O)(=O)c2ccccc2O)CC1 ZINC000594751999 360376084 /nfs/dbraw/zinc/37/60/84/360376084.db2.gz FOBDIBYIQOYRQX-UHFFFAOYSA-N 0 1 281.337 0.222 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@H]3CC[N@@H+](C)C[C@H]32)[n-]1 ZINC000611519439 363732637 /nfs/dbraw/zinc/73/26/37/363732637.db2.gz JRIVBRJTQBWHAF-ZYHUDNBSSA-N 0 1 288.355 0.272 20 30 CCEDMN C[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@@H]1CCCOC1 ZINC000611557545 363738321 /nfs/dbraw/zinc/73/83/21/363738321.db2.gz XAVIVPYIKMWUER-NXEZZACHSA-N 0 1 277.328 0.650 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000595284608 360480015 /nfs/dbraw/zinc/48/00/15/360480015.db2.gz VMKBSMFHOHYPBV-NSHDSACASA-N 0 1 285.413 0.232 20 30 CCEDMN N#Cc1ccc(CN2CCC3(CC2)NC(=O)NC3=O)s1 ZINC000611563904 363739422 /nfs/dbraw/zinc/73/94/22/363739422.db2.gz LHKTXZBQJXIVPV-UHFFFAOYSA-N 0 1 290.348 0.794 20 30 CCEDMN CC(C)OC[C@H](N)C(=O)NCCc1ccc(C#N)cc1 ZINC000595256544 360460900 /nfs/dbraw/zinc/46/09/00/360460900.db2.gz NBZBZOXYTNFRIJ-AWEZNQCLSA-N 0 1 275.352 0.969 20 30 CCEDMN COC(=O)CN1CCN(CCc2ccc(C#N)cc2)CC1 ZINC000595447276 360534945 /nfs/dbraw/zinc/53/49/45/360534945.db2.gz GSYMJUPHGBJZMT-UHFFFAOYSA-N 0 1 287.363 0.891 20 30 CCEDMN COCC(COC)N1CCN(CCCC#N)CC1 ZINC000595535834 360571533 /nfs/dbraw/zinc/57/15/33/360571533.db2.gz RBLSEZIYYVFGNX-UHFFFAOYSA-N 0 1 255.362 0.569 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)Cc1cnc[nH]1 ZINC000595595417 360592178 /nfs/dbraw/zinc/59/21/78/360592178.db2.gz HJAYCXCFWGOQLK-LLVKDONJSA-N 0 1 263.345 0.850 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@H]2NC(=O)CC[C@@H]2C1 ZINC000595737162 360634317 /nfs/dbraw/zinc/63/43/17/360634317.db2.gz GOZCMYXCVBKDEX-JHJVBQTASA-N 0 1 292.383 0.347 20 30 CCEDMN C=C[C@H](CO)N[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000595864079 360684847 /nfs/dbraw/zinc/68/48/47/360684847.db2.gz PDQYDASWTLWCMW-OCCSQVGLSA-N 0 1 271.320 0.800 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@@]1(C)CO ZINC000595873761 360686811 /nfs/dbraw/zinc/68/68/11/360686811.db2.gz NLXUILLMKQZGQO-YPMHNXCESA-N 0 1 265.357 0.499 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)N1CC[C@](C)(C#N)C1 ZINC000595984017 360758709 /nfs/dbraw/zinc/75/87/09/360758709.db2.gz TVHZJLSQSMHLAW-IUODEOHRSA-N 0 1 292.383 0.349 20 30 CCEDMN CCc1nc(C#N)cc(N2CCN([C@@H](CC)CO)CC2)n1 ZINC000596142603 360810295 /nfs/dbraw/zinc/81/02/95/360810295.db2.gz RSFAAVCPCHUVKM-ZDUSSCGKSA-N 0 1 289.383 0.804 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+](CC(=O)[O-])C2CCC2)C1=O ZINC000655933512 412707772 /nfs/dbraw/zinc/70/77/72/412707772.db2.gz MZZQSAWGQMPQHD-LLVKDONJSA-N 0 1 252.314 0.712 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+](CC(=O)[O-])C2CCC2)C1=O ZINC000655933512 412707773 /nfs/dbraw/zinc/70/77/73/412707773.db2.gz MZZQSAWGQMPQHD-LLVKDONJSA-N 0 1 252.314 0.712 20 30 CCEDMN C[N@H+]1CC[C@](O)(CN=c2[n-]c(C#N)c(Cl)s2)C1 ZINC000596196093 360828983 /nfs/dbraw/zinc/82/89/83/360828983.db2.gz ISCVCPDUXWURCY-JTQLQIEISA-N 0 1 272.761 0.569 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cc(C#N)cnn2)[C@H](C)C1 ZINC000596200592 360832095 /nfs/dbraw/zinc/83/20/95/360832095.db2.gz QDBCOOMHUYTVIU-GHMZBOCLSA-N 0 1 261.329 0.240 20 30 CCEDMN CO[C@@H]1CC[C@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000597165402 361020662 /nfs/dbraw/zinc/02/06/62/361020662.db2.gz IGHMJZVTHIIDNG-NWDGAFQWSA-N 0 1 265.357 0.906 20 30 CCEDMN Cc1nc(CC(=O)NCCOc2ccc(C#N)cc2)n[nH]1 ZINC000597299377 361055954 /nfs/dbraw/zinc/05/59/54/361055954.db2.gz BWENKRHSOHVGHK-UHFFFAOYSA-N 0 1 285.307 0.723 20 30 CCEDMN N#C[C@H]1CSCCN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000597476451 361107673 /nfs/dbraw/zinc/10/76/73/361107673.db2.gz LKMYFMLFQHWPGL-UWVGGRQHSA-N 0 1 276.365 0.982 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)C1(C#N)CCOCC1 ZINC000598161956 361346222 /nfs/dbraw/zinc/34/62/22/361346222.db2.gz DVRHEKDZRHOWIA-UHFFFAOYSA-N 0 1 251.330 0.327 20 30 CCEDMN COc1ccc(CN2CC[C@@H](CO)[C@H](O)C2)cc1C#N ZINC000564151816 291267821 /nfs/dbraw/zinc/26/78/21/291267821.db2.gz JSKIPQBNTINIDK-GXTWGEPZSA-N 0 1 276.336 0.742 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCOC[C@H]1c1nnc[nH]1 ZINC000598615696 361460276 /nfs/dbraw/zinc/46/02/76/361460276.db2.gz RNCHGWCAOGEAQG-QWRGUYRKSA-N 0 1 279.344 0.479 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@@H]1CO ZINC000598646750 361471471 /nfs/dbraw/zinc/47/14/71/361471471.db2.gz YCIRSKFBFNVBPG-JMSVASOKSA-N 0 1 279.384 0.745 20 30 CCEDMN N#Cc1ccc(NCCN2CC[C@@H](O)C2)c([N+](=O)[O-])c1 ZINC000599176750 361599581 /nfs/dbraw/zinc/59/95/81/361599581.db2.gz WOAJIFLIFCHQCG-LLVKDONJSA-N 0 1 276.296 0.945 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCC(N2CCOCC2)CC1 ZINC000599471869 361713247 /nfs/dbraw/zinc/71/32/47/361713247.db2.gz FHIDAENJCMRQQJ-CYBMUJFWSA-N 0 1 268.357 0.511 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NC1CCN(CC#N)CC1 ZINC000611992976 363825948 /nfs/dbraw/zinc/82/59/48/363825948.db2.gz PMGCVTIHAFOWJF-CYBMUJFWSA-N 0 1 294.399 0.059 20 30 CCEDMN COC(=O)CN(C)C(=O)CCN1CCC[C@@](C)(C#N)C1 ZINC000612166167 363859199 /nfs/dbraw/zinc/85/91/99/363859199.db2.gz PZASKCRBCKJJAY-AWEZNQCLSA-N 0 1 281.356 0.634 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@]2(C)CCO[C@H]2C2CC2)[nH]1 ZINC000612313734 363883239 /nfs/dbraw/zinc/88/32/39/363883239.db2.gz XVUUOAQBBSWDNH-SMDDNHRTSA-N 0 1 289.339 0.793 20 30 CCEDMN C[C@@H](C(=O)N1CCN(c2cccc(C#N)n2)CC1)N(C)C ZINC000612846116 364022090 /nfs/dbraw/zinc/02/20/90/364022090.db2.gz XAXZVWQXANZZEO-LBPRGKRZSA-N 0 1 287.367 0.552 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCO[C@@H](C3CC3)C2)[nH]1 ZINC000613205038 364157381 /nfs/dbraw/zinc/15/73/81/364157381.db2.gz LPFDTMKFHOMXAW-VXGBXAGGSA-N 0 1 289.339 0.793 20 30 CCEDMN C/C=C/C[C@H](CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000613772726 364366705 /nfs/dbraw/zinc/36/67/05/364366705.db2.gz HVESFCPDLHXPIO-HMDXOVGESA-N 0 1 263.301 0.162 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H](CO)c2ccsc2)[nH]1 ZINC000613772632 364367202 /nfs/dbraw/zinc/36/72/02/364367202.db2.gz FKMOVCYASLLVTI-JTQLQIEISA-N 0 1 291.336 0.630 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000613790537 364374921 /nfs/dbraw/zinc/37/49/21/364374921.db2.gz POSBSNQTIWWSSX-RISCZKNCSA-N 0 1 270.373 0.227 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)Cc1n[nH]c(C)n1 ZINC000614372321 364588029 /nfs/dbraw/zinc/58/80/29/364588029.db2.gz HWUCGNXGOMQAAI-GWCFXTLKSA-N 0 1 264.329 0.753 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000614504280 364633738 /nfs/dbraw/zinc/63/37/38/364633738.db2.gz SQTTVGILMHEJIN-MCIONIFRSA-N 0 1 279.384 0.084 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000614491216 364627869 /nfs/dbraw/zinc/62/78/69/364627869.db2.gz QSPJVLAMRSLFHT-QWHCGFSZSA-N 0 1 268.357 0.104 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@H](CCO)C2)CCC1 ZINC000615224408 364888572 /nfs/dbraw/zinc/88/85/72/364888572.db2.gz XPZQFRJBDJOJEJ-GFCCVEGCSA-N 0 1 265.357 0.643 20 30 CCEDMN N#C[C@]1(F)CCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC000615823607 365095875 /nfs/dbraw/zinc/09/58/75/365095875.db2.gz QWRBOTOKDKPGGB-GFCCVEGCSA-N 0 1 265.292 0.982 20 30 CCEDMN CCOC[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)C(C)C ZINC000616096210 365176382 /nfs/dbraw/zinc/17/63/82/365176382.db2.gz PQFORSCIRGIQOL-NSHDSACASA-N 0 1 279.344 0.896 20 30 CCEDMN C=CCNC(=O)CN[C@H]1CCO[C@@H]1c1c(C)nn(C)c1C ZINC000275342118 193006064 /nfs/dbraw/zinc/00/60/64/193006064.db2.gz SSEMSNKSDDKUAF-WFASDCNBSA-N 0 1 292.383 0.759 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@@H]2C[C@H]2C2CC[NH2+]CC2)o1 ZINC000565245378 291366476 /nfs/dbraw/zinc/36/64/76/291366476.db2.gz LVNWNSLLRAGIAC-NWDGAFQWSA-N 0 1 295.364 0.818 20 30 CCEDMN C[C@]1(C(N)=O)CCN([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000361566226 291376678 /nfs/dbraw/zinc/37/66/78/291376678.db2.gz RGYCCKGPRULSBC-WFASDCNBSA-N 0 1 286.335 0.282 20 30 CCEDMN C[C@H](CO)N(C)CC#CCN1C(=O)c2ccccc2C1=O ZINC000625263444 368123111 /nfs/dbraw/zinc/12/31/11/368123111.db2.gz DYFYFCCUCZQXMQ-GFCCVEGCSA-N 0 1 286.331 0.599 20 30 CCEDMN COCC#CCN[C@@H]1CCO[C@@H]1c1nc(C)no1 ZINC000626143686 368456066 /nfs/dbraw/zinc/45/60/66/368456066.db2.gz BLEZDQFSJOXUAT-MNOVXSKESA-N 0 1 251.286 0.447 20 30 CCEDMN C=CCN1CC[C@@H](N[C@H]2CCO[C@H]2c2nc(C)no2)C1=O ZINC000626144065 368456360 /nfs/dbraw/zinc/45/63/60/368456360.db2.gz QQHRMIGFYJEGOB-QJPTWQEYSA-N 0 1 292.339 0.585 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000628585196 369328445 /nfs/dbraw/zinc/32/84/45/369328445.db2.gz ZVDZGIHUKPRLCF-AGIUHOORSA-N 0 1 295.383 0.034 20 30 CCEDMN C=CCC(CC=C)C(=O)NCCN1CC[C@H](O)C1 ZINC000629913741 369822263 /nfs/dbraw/zinc/82/22/63/369822263.db2.gz JOMNCRMJCPDEMY-ZDUSSCGKSA-N 0 1 252.358 0.938 20 30 CCEDMN Cc1cc(NC[C@@H]2CN(C)CCN2C)c(C#N)cn1 ZINC000333251658 205153122 /nfs/dbraw/zinc/15/31/22/205153122.db2.gz GXEMVMRVWYWTGH-CYBMUJFWSA-N 0 1 259.357 0.341 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1oc(CN)cc1C ZINC000638281771 374887715 /nfs/dbraw/zinc/88/77/15/374887715.db2.gz OTJGAYUVFCNKBV-UHFFFAOYSA-N 0 1 251.286 0.079 20 30 CCEDMN C=CCn1cc(C(=O)N2CCNC[C@@H]2C(C)C)nn1 ZINC000638491750 375083969 /nfs/dbraw/zinc/08/39/69/375083969.db2.gz IZHAJHNOVDMEAS-GFCCVEGCSA-N 0 1 263.345 0.534 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@@](C)(CO)COC ZINC000639952289 376150570 /nfs/dbraw/zinc/15/05/70/376150570.db2.gz OTSBQQRRZGHKEC-ZDUSSCGKSA-N 0 1 258.362 0.398 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H](OC)C[C@@]1(C)CO ZINC000639998407 376173941 /nfs/dbraw/zinc/17/39/41/376173941.db2.gz SNWMZSQYTLEVMJ-HIFRSBDPSA-N 0 1 282.384 0.659 20 30 CCEDMN CC#CCNCc1cn([C@H](C)c2nnc(C)[nH]2)nn1 ZINC000641178748 376851480 /nfs/dbraw/zinc/85/14/80/376851480.db2.gz AZVWDLAEKFMGJW-SECBINFHSA-N 0 1 259.317 0.427 20 30 CCEDMN CC#CC[C@@H](CO)NCc1ccnn1CCCOC ZINC000641768037 377452929 /nfs/dbraw/zinc/45/29/29/377452929.db2.gz UVEMKPUSKXKPKU-ZDUSSCGKSA-N 0 1 265.357 0.784 20 30 CCEDMN C=C(Cl)CNC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000265503327 190186850 /nfs/dbraw/zinc/18/68/50/190186850.db2.gz IURGIVYNAJSINI-UHFFFAOYSA-N 0 1 280.719 0.658 20 30 CCEDMN COc1ccnc(N2CCN(C(=O)[C@@H](C)C#N)CC2)c1 ZINC000347141314 533065964 /nfs/dbraw/zinc/06/59/64/533065964.db2.gz VDWIUYNHMFFDGM-NSHDSACASA-N 0 1 274.324 0.898 20 30 CCEDMN C[C@H]1CN(C)CCN1C[C@H](O)COc1ccccc1C#N ZINC000300548675 285166582 /nfs/dbraw/zinc/16/65/82/285166582.db2.gz NQLZZDGDOQUHQF-ZFWWWQNUSA-N 0 1 289.379 0.934 20 30 CCEDMN C=CCCn1cc(CNc2n[nH]c(N(C)C)n2)nn1 ZINC000657464083 412998593 /nfs/dbraw/zinc/99/85/93/412998593.db2.gz SXMNVVRBYSMUFT-UHFFFAOYSA-N 0 1 262.321 0.650 20 30 CCEDMN C=CCCn1cc(CNC[C@]2(C)CCC(=O)N2)nn1 ZINC000657487633 413006533 /nfs/dbraw/zinc/00/65/33/413006533.db2.gz LYCBFACTYHXVQI-ZDUSSCGKSA-N 0 1 263.345 0.613 20 30 CCEDMN C[C@H]1CN(CCOc2cccc(C#N)c2)CCN1CCO ZINC000187690888 388891952 /nfs/dbraw/zinc/89/19/52/388891952.db2.gz QUYBUTNQZKQKIJ-AWEZNQCLSA-N 0 1 289.379 0.935 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](OCCOC)C2)CC1 ZINC000187991658 388907934 /nfs/dbraw/zinc/90/79/34/388907934.db2.gz JCRYVXNJCMKIFQ-OAHLLOKOSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@@H](n3cccn3)C2)C1=O ZINC000291678472 388933176 /nfs/dbraw/zinc/93/31/76/388933176.db2.gz GUDRWDVSQHCQFA-OLZOCXBDSA-N 0 1 260.341 0.917 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](n3cccn3)C2)C1=O ZINC000291678472 388933181 /nfs/dbraw/zinc/93/31/81/388933181.db2.gz GUDRWDVSQHCQFA-OLZOCXBDSA-N 0 1 260.341 0.917 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CC[C@H](n3cccn3)C2)C1=O ZINC000291678475 388933414 /nfs/dbraw/zinc/93/34/14/388933414.db2.gz GUDRWDVSQHCQFA-QWHCGFSZSA-N 0 1 260.341 0.917 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](n3cccn3)C2)C1=O ZINC000291678475 388933418 /nfs/dbraw/zinc/93/34/18/388933418.db2.gz GUDRWDVSQHCQFA-QWHCGFSZSA-N 0 1 260.341 0.917 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](n2cccn2)C1 ZINC000291710496 388934925 /nfs/dbraw/zinc/93/49/25/388934925.db2.gz IOKMRCVFXHQLQS-RYUDHWBXSA-N 0 1 291.355 0.530 20 30 CCEDMN C#CCNC(=O)c1ccc(N2C[C@@H](C)N(C)[C@@H](C)C2)nc1 ZINC000292768159 388956101 /nfs/dbraw/zinc/95/61/01/388956101.db2.gz KUSUMZQYOXKSQX-BETUJISGSA-N 0 1 286.379 0.973 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1[n-]nc(C)c1[N+](=O)[O-] ZINC000293861379 388988899 /nfs/dbraw/zinc/98/88/99/388988899.db2.gz BEHHZOGMZBYBQF-UHFFFAOYSA-N 0 1 279.300 0.311 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1[n-]nc(C)c1[N+](=O)[O-] ZINC000293861379 388988901 /nfs/dbraw/zinc/98/89/01/388988901.db2.gz BEHHZOGMZBYBQF-UHFFFAOYSA-N 0 1 279.300 0.311 20 30 CCEDMN C=CCNC(=O)CNC1(C(=O)N(C)C)CCCCC1 ZINC000295201875 388994509 /nfs/dbraw/zinc/99/45/09/388994509.db2.gz VLRKOTKFPFNKCP-UHFFFAOYSA-N 0 1 267.373 0.669 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)[C@H](CO)C1 ZINC000190865763 389000704 /nfs/dbraw/zinc/00/07/04/389000704.db2.gz GQEVCJJGBAEEBK-DYEKYZERSA-N 0 1 267.373 0.601 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@@H](C)[C@H](CO)C1 ZINC000190865763 389000707 /nfs/dbraw/zinc/00/07/07/389000707.db2.gz GQEVCJJGBAEEBK-DYEKYZERSA-N 0 1 267.373 0.601 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)NCCN1CCCC1 ZINC000336888461 389027647 /nfs/dbraw/zinc/02/76/47/389027647.db2.gz HUKHPYRPOSTUBA-LBPRGKRZSA-N 0 1 288.417 0.398 20 30 CCEDMN C=CCN1CC[C@@H](N(CCCOC)CCOC)C1=O ZINC000337148082 389048052 /nfs/dbraw/zinc/04/80/52/389048052.db2.gz ZTHKTZNEXKSJTL-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](O)CCOC(C)C)C1=O ZINC000337200281 389052855 /nfs/dbraw/zinc/05/28/55/389052855.db2.gz BOENUHCXALHJMN-ZIAGYGMSSA-N 0 1 284.400 0.881 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCN2C[C@H]3CC[C@@H](C2)O3)C1=O ZINC000337200956 389052877 /nfs/dbraw/zinc/05/28/77/389052877.db2.gz CJCZMRKNISGUPC-ZNMIVQPWSA-N 0 1 293.411 0.568 20 30 CCEDMN C=CCCN1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000337267776 389058156 /nfs/dbraw/zinc/05/81/56/389058156.db2.gz JKKLTMLZVGORDI-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN CCN(CCOCCO)[C@@H](C)C(=O)N(C)CCC#N ZINC000337431998 389061221 /nfs/dbraw/zinc/06/12/21/389061221.db2.gz GAVXURHBERGBBL-LBPRGKRZSA-N 0 1 271.361 0.078 20 30 CCEDMN N#Cc1ccc([N+](=O)[O-])c(NCCCc2nc(=O)[nH][nH]2)c1 ZINC000302231449 389066341 /nfs/dbraw/zinc/06/63/41/389066341.db2.gz YQJVYKZFZZWXSW-UHFFFAOYSA-N 0 1 288.267 0.923 20 30 CCEDMN CCN1CCN(CC(=O)N(CC#N)C2CC2)CC1 ZINC000355440640 389078073 /nfs/dbraw/zinc/07/80/73/389078073.db2.gz GCZIGYBPGYPWQK-UHFFFAOYSA-N 0 1 250.346 0.138 20 30 CCEDMN C=CCC[C@H](O)CN1CC[C@@H](S(=O)(=O)NCC)C1 ZINC000305769920 389089352 /nfs/dbraw/zinc/08/93/52/389089352.db2.gz WWEFBSNZDUMCRP-NWDGAFQWSA-N 0 1 276.402 0.327 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000355123681 389042684 /nfs/dbraw/zinc/04/26/84/389042684.db2.gz BMDJMDHBUCMDAL-NSHDSACASA-N 0 1 265.357 0.422 20 30 CCEDMN N#CCNC(=O)CSc1nc(-c2ccccc2O)n[nH]1 ZINC000194589802 389099078 /nfs/dbraw/zinc/09/90/78/389099078.db2.gz IMFSDNGZTXGISM-UHFFFAOYSA-N 0 1 289.320 0.909 20 30 CCEDMN N#CCCOCCN1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000338958931 389119590 /nfs/dbraw/zinc/11/95/90/389119590.db2.gz WKVSAQAXFGAGLN-CQSZACIVSA-N 0 1 295.383 0.630 20 30 CCEDMN C[C@@H]1CN(CCOCCC#N)C[C@@]2(CCOC2)O1 ZINC000339144804 389126647 /nfs/dbraw/zinc/12/66/47/389126647.db2.gz MTDXRBNOCMOJDX-CHWSQXEVSA-N 0 1 254.330 0.796 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(CC(=O)NCC=C)CC1 ZINC000121477043 389148929 /nfs/dbraw/zinc/14/89/29/389148929.db2.gz SGWMVHHVEUVHPJ-CQSZACIVSA-N 0 1 281.400 0.233 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000339335247 389130560 /nfs/dbraw/zinc/13/05/60/389130560.db2.gz HXVHQEBJDYMEEG-KGLIPLIRSA-N 0 1 283.416 0.720 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000339620658 389136542 /nfs/dbraw/zinc/13/65/42/389136542.db2.gz CVLSQKBSEDLGQH-XQQFMLRXSA-N 0 1 278.400 0.962 20 30 CCEDMN O=C(N[C@H]1CCc2c[nH]nc2C1)N1C[C@@H]2COC[C@H](C1)O2 ZINC000328758395 389136585 /nfs/dbraw/zinc/13/65/85/389136585.db2.gz VSAWPGYHIPBGID-SDDRHHMPSA-N 0 1 292.339 0.281 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC000357803790 389138496 /nfs/dbraw/zinc/13/84/96/389138496.db2.gz QLGQBUNOTTXPDX-NWDGAFQWSA-N 0 1 253.346 0.619 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](O)CC1 ZINC000339781557 389139878 /nfs/dbraw/zinc/13/98/78/389139878.db2.gz UVRNYPSTZBNGEX-GFCCVEGCSA-N 0 1 253.346 0.595 20 30 CCEDMN C[C@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NCC#N ZINC000339923452 389140991 /nfs/dbraw/zinc/14/09/91/389140991.db2.gz NNVRRPXERCTMJA-QMMMGPOBSA-N 0 1 271.280 0.321 20 30 CCEDMN Cc1nc2n(n1)CCN(C(=O)NCC[C@H]1CCOC1)C2 ZINC000329694357 389188892 /nfs/dbraw/zinc/18/88/92/389188892.db2.gz BDYWKJLEFRGIIK-NSHDSACASA-N 0 1 279.344 0.743 20 30 CCEDMN Cn1cc([NH+]=C([O-])N[C@H]2CCc3[nH]cnc3C2)ccc1=O ZINC000329722544 389192281 /nfs/dbraw/zinc/19/22/81/389192281.db2.gz BANCLHRLAPQAAT-VIFPVBQESA-N 0 1 287.323 0.992 20 30 CCEDMN CN(C(=O)N[C@@H]1CCCc2cn[nH]c21)[C@@H]1COC[C@H]1O ZINC000329299051 389155356 /nfs/dbraw/zinc/15/53/56/389155356.db2.gz UXNAZMLMGAIZIH-GMTAPVOTSA-N 0 1 280.328 0.393 20 30 CCEDMN COCC[N@H+]1CC[C@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC000329338407 389158478 /nfs/dbraw/zinc/15/84/78/389158478.db2.gz YRKBOTCKBWISTR-MNOVXSKESA-N 0 1 269.345 0.142 20 30 CCEDMN Cn1nnc2cc(C(=O)N[C@@H]3CCN(C4CC4)C3)cnc21 ZINC000329346920 389158826 /nfs/dbraw/zinc/15/88/26/389158826.db2.gz ROHWQBFKDLGBGK-SNVBAGLBSA-N 0 1 286.339 0.905 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(C#N)s1)N(C)C ZINC000230338824 389158911 /nfs/dbraw/zinc/15/89/11/389158911.db2.gz KQKSWOJRBIJARH-QMMMGPOBSA-N 0 1 273.383 0.848 20 30 CCEDMN CN(CC(=O)N[C@]1(CO)CCOC1)[C@H]1CCSC1 ZINC000329816878 389204257 /nfs/dbraw/zinc/20/42/57/389204257.db2.gz FOYNXQDRHXVTDF-JQWIXIFHSA-N 0 1 274.386 0.532 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)N[C@H]2CCCOC2)n[nH]1 ZINC000329436135 389164211 /nfs/dbraw/zinc/16/42/11/389164211.db2.gz LTWICUCDWIRBHH-CBAPKCEASA-N 0 1 253.306 0.857 20 30 CCEDMN Cc1ocnc1CNC(=O)N1CCN(C[C@H](C)O)[C@@H](C)C1 ZINC000329822659 389204617 /nfs/dbraw/zinc/20/46/17/389204617.db2.gz HLCFYVXAQRRAKQ-QWRGUYRKSA-N 0 1 296.371 0.784 20 30 CCEDMN CN(Cc1cccnc1)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000329494548 389167154 /nfs/dbraw/zinc/16/71/54/389167154.db2.gz WVVLCDZEIHZQSQ-CQSZACIVSA-N 0 1 291.399 0.673 20 30 CCEDMN Cc1cnn([C@@H]2CCN(C(=O)N[C@H]3[C@@H]4COC[C@@H]43)C2)c1 ZINC000329547055 389170306 /nfs/dbraw/zinc/17/03/06/389170306.db2.gz HJBGKNDYOPLPJV-NDBYEHHHSA-N 0 1 276.340 0.997 20 30 CCEDMN Cc1nnc2n1C[C@@H](C[NH+]=C([O-])N(C)C1CC(O)C1)CC2 ZINC000329562931 389170929 /nfs/dbraw/zinc/17/09/29/389170929.db2.gz JQWKTGQNFVTGGX-VOMCLLRMSA-N 0 1 293.371 0.518 20 30 CCEDMN O=C(NCCn1cncn1)N1CCOCC2(CCC2)C1 ZINC000329602233 389174658 /nfs/dbraw/zinc/17/46/58/389174658.db2.gz XXCDAYKETAWFGP-UHFFFAOYSA-N 0 1 279.344 0.695 20 30 CCEDMN C[N@@H+](CC(=O)Nc1nc(C#N)c(C#N)[n-]1)[C@H]1CCSC1 ZINC000135560541 389259194 /nfs/dbraw/zinc/25/91/94/389259194.db2.gz GMVAZHCENVQZAX-QMMMGPOBSA-N 0 1 290.352 0.529 20 30 CCEDMN Cn1cc(N2CC[C@H](N[C@@H]3CC[C@H](C#N)C3)C2=O)cn1 ZINC000329899604 389209824 /nfs/dbraw/zinc/20/98/24/389209824.db2.gz FPMGRYVTHBFVFL-LOWVWBTDSA-N 0 1 273.340 0.807 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C[C@@H]1CCCC[C@H]1O ZINC000245533667 389212156 /nfs/dbraw/zinc/21/21/56/389212156.db2.gz DMYPALXZDMESLW-NWDGAFQWSA-N 0 1 283.372 0.481 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CCO[C@@]2(CCOC2)C1 ZINC000329933742 389212277 /nfs/dbraw/zinc/21/22/77/389212277.db2.gz BQXTXHNJGYZPSY-ZDUSSCGKSA-N 0 1 280.328 0.623 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CC)C[C@@H](C)OC ZINC000361559896 389219356 /nfs/dbraw/zinc/21/93/56/389219356.db2.gz YGFZQLMDGNIINS-SNVBAGLBSA-N 0 1 257.334 0.355 20 30 CCEDMN O=C(NCCn1cncn1)N1CC[C@H](O)C12CCCC2 ZINC000330355277 389221713 /nfs/dbraw/zinc/22/17/13/389221713.db2.gz QLXJZGPVBSNTAZ-NSHDSACASA-N 0 1 279.344 0.572 20 30 CCEDMN COC(=O)[C@H]1CCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000330414810 389226551 /nfs/dbraw/zinc/22/65/51/389226551.db2.gz CLARJBAHMBNMDU-QWRGUYRKSA-N 0 1 267.329 0.242 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000330414808 389226770 /nfs/dbraw/zinc/22/67/70/389226770.db2.gz CLARJBAHMBNMDU-GHMZBOCLSA-N 0 1 267.329 0.242 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H]2OCCC[C@H]2C1 ZINC000246669872 389230663 /nfs/dbraw/zinc/23/06/63/389230663.db2.gz MBARXEVLHAMSGC-RYUDHWBXSA-N 0 1 281.356 0.499 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](C[NH+]2CCOCC2)C1 ZINC000246736189 389231851 /nfs/dbraw/zinc/23/18/51/389231851.db2.gz MMDUXUNGJASHCZ-KBPBESRZSA-N 0 1 281.400 0.331 20 30 CCEDMN [O-]C(=[NH+]CCn1ccnc1)N1CCC[C@@H](CO)C1 ZINC000330462817 389232252 /nfs/dbraw/zinc/23/22/52/389232252.db2.gz TXPWGXWLHBYJKS-LLVKDONJSA-N 0 1 252.318 0.501 20 30 CCEDMN C[C@H](C(=O)Nc1nc(-c2ccco2)n[nH]1)n1cnc(C#N)n1 ZINC000342675232 389239724 /nfs/dbraw/zinc/23/97/24/389239724.db2.gz PJVIKXCIUHMWJN-SSDOTTSWSA-N 0 1 298.266 0.728 20 30 CCEDMN CN1CCN(CC(=O)N(CCC#N)CCC#N)C(C)(C)C1 ZINC000330552871 389240083 /nfs/dbraw/zinc/24/00/83/389240083.db2.gz CNLSBNIBDRYHCU-UHFFFAOYSA-N 0 1 291.399 0.668 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000330547534 389240303 /nfs/dbraw/zinc/24/03/03/389240303.db2.gz CPDWRWCGDPYYLS-UWVGGRQHSA-N 0 1 264.329 0.210 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000330547534 389240306 /nfs/dbraw/zinc/24/03/06/389240306.db2.gz CPDWRWCGDPYYLS-UWVGGRQHSA-N 0 1 264.329 0.210 20 30 CCEDMN C=CCN(C)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000155329757 389318503 /nfs/dbraw/zinc/31/85/03/389318503.db2.gz YBJFFEGNASIXOI-GFCCVEGCSA-N 0 1 254.378 0.450 20 30 CCEDMN CC(C)(C#N)CCN1CCC[C@H](S(N)(=O)=O)C1 ZINC000347006192 389343472 /nfs/dbraw/zinc/34/34/72/389343472.db2.gz FZGONCORNFTFTN-JTQLQIEISA-N 0 1 259.375 0.679 20 30 CCEDMN CC[C@@H]1CN([C@H](C)C(=O)NC2(C#N)CCC2)C[C@@H]1O ZINC000346509538 389330679 /nfs/dbraw/zinc/33/06/79/389330679.db2.gz USVPZUZLSWZJJA-UTUOFQBUSA-N 0 1 265.357 0.640 20 30 CCEDMN C[C@@H](O)C[C@@H]1COCCN1CCC(=O)N(C)CCC#N ZINC000157513903 389331489 /nfs/dbraw/zinc/33/14/89/389331489.db2.gz BYVIMEWBAACNQD-CHWSQXEVSA-N 0 1 283.372 0.220 20 30 CCEDMN C[C@@H](O)C[C@H]1COCCN1CCC(=O)N(C)CCC#N ZINC000157514212 389331544 /nfs/dbraw/zinc/33/15/44/389331544.db2.gz BYVIMEWBAACNQD-OLZOCXBDSA-N 0 1 283.372 0.220 20 30 CCEDMN C=CCCS(=O)(=O)N1C[C@@H](N(C)C)[C@@H](SC)C1 ZINC000348344408 389373882 /nfs/dbraw/zinc/37/38/82/389373882.db2.gz WRQJIINAASADNU-MNOVXSKESA-N 0 1 278.443 0.870 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000410496701 389383283 /nfs/dbraw/zinc/38/32/83/389383283.db2.gz GSQVRKJPSITIKQ-CYBMUJFWSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCCOCC)CC1 ZINC000158712290 389349958 /nfs/dbraw/zinc/34/99/58/389349958.db2.gz VHFUMSPWSWBRSE-UHFFFAOYSA-N 0 1 269.389 0.333 20 30 CCEDMN CC(C)N(Cc1cnc2ccc(C#N)cn12)C[C@H](O)CO ZINC000421410570 389363370 /nfs/dbraw/zinc/36/33/70/389363370.db2.gz PPLCFCNRGIEZJE-AWEZNQCLSA-N 0 1 288.351 0.770 20 30 CCEDMN Cn1cc([C@@H](CO)NCCOc2ccccc2C#N)cn1 ZINC000274152766 389491260 /nfs/dbraw/zinc/49/12/60/389491260.db2.gz YLTRWVUFCCFPSS-CQSZACIVSA-N 0 1 286.335 0.994 20 30 CCEDMN C#CCOCCC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000372302124 389447261 /nfs/dbraw/zinc/44/72/61/389447261.db2.gz NBRFFHXQJFSNGS-ZIAGYGMSSA-N 0 1 280.368 0.084 20 30 CCEDMN C[C@H](O)[C@H]1CCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000168386733 389449217 /nfs/dbraw/zinc/44/92/17/389449217.db2.gz AITLBXKMBUNLTF-STQMWFEESA-N 0 1 278.356 0.345 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000334070084 389453392 /nfs/dbraw/zinc/45/33/92/389453392.db2.gz GPYDDEWTYDTDLU-DGAVXFQQSA-N 0 1 297.399 0.426 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000335018649 389528095 /nfs/dbraw/zinc/52/80/95/389528095.db2.gz IKBROUAQDLMIPF-PHIMTYICSA-N 0 1 253.346 0.230 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H]2CCN(CCOC)C2)nn1 ZINC000424658886 389628410 /nfs/dbraw/zinc/62/84/10/389628410.db2.gz HJRYTNCJJQDRSL-LBPRGKRZSA-N 0 1 293.371 0.305 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(Cc2cnn(C)c2)CC1 ZINC000351600785 389628409 /nfs/dbraw/zinc/62/84/09/389628409.db2.gz TWAIKLPJWYHNEG-HNNXBMFYSA-N 0 1 278.400 0.865 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000351613938 389634491 /nfs/dbraw/zinc/63/44/91/389634491.db2.gz CCVSEIWCVWNAJT-SECBINFHSA-N 0 1 264.329 0.410 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[C@H]2CCN(C)C[C@H]21 ZINC000335743292 389602779 /nfs/dbraw/zinc/60/27/79/389602779.db2.gz REHFWOAVOUTIDZ-VXGBXAGGSA-N 0 1 258.387 0.918 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](OCc2ccncc2)C1 ZINC000280949843 389605874 /nfs/dbraw/zinc/60/58/74/389605874.db2.gz IOGSUSHZFMYFBF-HIFRSBDPSA-N 0 1 287.363 0.810 20 30 CCEDMN CC(C)[C@H](CNC(=O)NC[C@@H](C)C#N)N1CCN(C)CC1 ZINC000182415467 389708152 /nfs/dbraw/zinc/70/81/52/389708152.db2.gz APRGJUVRJCRAPI-KBPBESRZSA-N 0 1 295.431 0.717 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1C[C@@H](C)[C@H](O)C1 ZINC000351957671 389724924 /nfs/dbraw/zinc/72/49/24/389724924.db2.gz HOZNFJQRYIYLJY-BYNQJWBRSA-N 0 1 267.373 0.742 20 30 CCEDMN C=CCN1CC[C@H](N[C@@H](C(N)=O)C(C)(C)C)C1=O ZINC000282092883 389657174 /nfs/dbraw/zinc/65/71/74/389657174.db2.gz VKDHWGNYRGPIGQ-UWVGGRQHSA-N 0 1 253.346 0.263 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000179586097 389660310 /nfs/dbraw/zinc/66/03/10/389660310.db2.gz LPAHJYHTWULOCY-GFCCVEGCSA-N 0 1 283.291 0.836 20 30 CCEDMN N#CC1(CS(=O)(=O)NC2CCN(C3CC3)CC2)CC1 ZINC000180397389 389670064 /nfs/dbraw/zinc/67/00/64/389670064.db2.gz NTZCLTOGHIPUMT-UHFFFAOYSA-N 0 1 283.397 0.836 20 30 CCEDMN CN([C@@H]1CCCN(C)C1)S(=O)(=O)CC1(C#N)CC1 ZINC000180416366 389670327 /nfs/dbraw/zinc/67/03/27/389670327.db2.gz QGDFKIDXZVYDKG-LLVKDONJSA-N 0 1 271.386 0.646 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CCc1ccncc1 ZINC000181477743 389687165 /nfs/dbraw/zinc/68/71/65/389687165.db2.gz ZJOXBJUAOWVTCR-GFCCVEGCSA-N 0 1 290.367 0.956 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2cccc(C#N)c2)C[C@@H]1O ZINC000351818010 389689905 /nfs/dbraw/zinc/68/99/05/389689905.db2.gz XNESHDJHOGFDNS-RISCZKNCSA-N 0 1 273.336 0.487 20 30 CCEDMN CC1(C)CN(C(=O)C(=O)Nc2ccc(C#N)cc2)CCN1 ZINC000415823754 389705302 /nfs/dbraw/zinc/70/53/02/389705302.db2.gz RVDMUZODOAPVGP-UHFFFAOYSA-N 0 1 286.335 0.707 20 30 CCEDMN Cc1ncc(S(=O)(=O)N2CCN[C@@H](C)[C@@H]2C)cc1C#N ZINC000379448748 389761250 /nfs/dbraw/zinc/76/12/50/389761250.db2.gz ZYXUOKNOXDXDCS-ONGXEEELSA-N 0 1 294.380 0.633 20 30 CCEDMN CN(C)S(=O)(=O)CCN1CCC(CCC#N)CC1 ZINC000399318000 389790908 /nfs/dbraw/zinc/79/09/08/389790908.db2.gz HPXYDQZNKQGCRR-UHFFFAOYSA-N 0 1 273.402 0.894 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C1(CO)CCC1 ZINC000429268524 389747504 /nfs/dbraw/zinc/74/75/04/389747504.db2.gz CJOXUMRXLYDWJS-UHFFFAOYSA-N 0 1 253.346 0.595 20 30 CCEDMN C[C@@H](C(=O)N=c1[nH]n(C)cc1C#N)C1CCOCC1 ZINC000408000633 389818461 /nfs/dbraw/zinc/81/84/61/389818461.db2.gz FLTBOKIJMDIQJO-SECBINFHSA-N 0 1 262.313 0.715 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@H](N3CCOCC3)C[C@H]2C)C1=O ZINC000352890930 389840291 /nfs/dbraw/zinc/84/02/91/389840291.db2.gz ZXFRLCVPIXEWHU-KFWWJZLASA-N 0 1 293.411 0.568 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NCc2cccc(C#N)c2)[C@H]1CO ZINC000442890372 389865341 /nfs/dbraw/zinc/86/53/41/389865341.db2.gz HRSFAAPXCINGKR-DOMZBBRYSA-N 0 1 287.363 0.877 20 30 CCEDMN C=CCCS(=O)(=O)N[C@H]1CCN(CCOC)C1 ZINC000444171022 389894121 /nfs/dbraw/zinc/89/41/21/389894121.db2.gz WKRPZTLAELFUCZ-NSHDSACASA-N 0 1 262.375 0.203 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000444545188 389908399 /nfs/dbraw/zinc/90/83/99/389908399.db2.gz IBYUACIECGLVAL-QWRGUYRKSA-N 0 1 253.346 0.450 20 30 CCEDMN N#Cc1ccsc1NC(=O)CN[C@H](CO)[C@H]1CCCO1 ZINC000447916802 389971449 /nfs/dbraw/zinc/97/14/49/389971449.db2.gz PPQNTEMXPRDUGH-GHMZBOCLSA-N 0 1 295.364 0.688 20 30 CCEDMN N#Cc1ccsc1NC(=O)CN[C@@H](CO)[C@@H]1CCCO1 ZINC000447916804 389971502 /nfs/dbraw/zinc/97/15/02/389971502.db2.gz PPQNTEMXPRDUGH-QWRGUYRKSA-N 0 1 295.364 0.688 20 30 CCEDMN Cc1[nH]ncc1CNS(=O)(=O)CCCCC#N ZINC000439198933 390016559 /nfs/dbraw/zinc/01/65/59/390016559.db2.gz LHSQQNUSKZLJCP-UHFFFAOYSA-N 0 1 256.331 0.831 20 30 CCEDMN C[C@H]1[C@H](CO)CCN1CC(=O)NCc1ccc(C#N)cc1 ZINC000488770245 390096325 /nfs/dbraw/zinc/09/63/25/390096325.db2.gz UPIKAFWEXMJVNF-WFASDCNBSA-N 0 1 287.363 0.877 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@]1(COC)CCOC1 ZINC000491374758 390112580 /nfs/dbraw/zinc/11/25/80/390112580.db2.gz SPKRCYZYKGVBLK-HIFRSBDPSA-N 0 1 281.352 0.680 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)C(=O)N=c1[nH]n(C(C)C)cc1C#N ZINC000491749227 390116400 /nfs/dbraw/zinc/11/64/00/390116400.db2.gz PSJRSWIDUGTIEJ-SNVBAGLBSA-N 0 1 287.323 0.176 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)/C=C\c2ccc(C#N)cc2)C1 ZINC000491798316 390116892 /nfs/dbraw/zinc/11/68/92/390116892.db2.gz RLKUXYJYVYGDLW-PTZVLDCSSA-N 0 1 298.390 0.933 20 30 CCEDMN CC[C@@H]1CN(Cc2cnc3c(C#N)cnn3c2)C[C@H]1O ZINC000579110472 390142262 /nfs/dbraw/zinc/14/22/62/390142262.db2.gz VBQMGDSGZIQCSJ-DGCLKSJQSA-N 0 1 271.324 0.804 20 30 CCEDMN COCC[N@@H+](C)CCNC(=O)N1CCC(CC#N)CC1 ZINC000543773469 390294168 /nfs/dbraw/zinc/29/41/68/390294168.db2.gz AASBERBJWDMTCW-UHFFFAOYSA-N 0 1 282.388 0.900 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CC[C@H]2CCC[NH2+]2)o1 ZINC000584421759 390343162 /nfs/dbraw/zinc/34/31/62/390343162.db2.gz CFWFFXDPCNWKFT-SECBINFHSA-N 0 1 269.326 0.572 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCC(=O)c3cccn32)[nH]n1C ZINC000648434308 390372556 /nfs/dbraw/zinc/37/25/56/390372556.db2.gz FYDDEWCEFPFGDF-LBPRGKRZSA-N 0 1 297.318 0.980 20 30 CCEDMN N#CCNC(=O)CN1CC2(CCC2)[C@H]1C1CCOCC1 ZINC000564881768 390497930 /nfs/dbraw/zinc/49/79/30/390497930.db2.gz FXZUIJOJTDHJOS-CQSZACIVSA-N 0 1 277.368 0.907 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000649186458 390515432 /nfs/dbraw/zinc/51/54/32/390515432.db2.gz ZNUUQAJYVKWETQ-MNOVXSKESA-N 0 1 261.325 0.720 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+](CC(=O)[O-])C1CCOCC1 ZINC000516297604 390481299 /nfs/dbraw/zinc/48/12/99/390481299.db2.gz HHTTVIXFBZECGQ-UHFFFAOYSA-N 0 1 296.367 0.753 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+](CC(=O)[O-])C1CCOCC1 ZINC000516297604 390481301 /nfs/dbraw/zinc/48/13/01/390481301.db2.gz HHTTVIXFBZECGQ-UHFFFAOYSA-N 0 1 296.367 0.753 20 30 CCEDMN C[C@@H]1CCN(CCNS(=O)(=O)c2ccc(C#N)nc2)C1 ZINC000524790932 390655619 /nfs/dbraw/zinc/65/56/19/390655619.db2.gz SZTIMZDJKAUGRE-LLVKDONJSA-N 0 1 294.380 0.573 20 30 CCEDMN CC[C@H](CC#N)NCCC(=O)N1CCN(C)CC1 ZINC000567657120 390708861 /nfs/dbraw/zinc/70/88/61/390708861.db2.gz SPEFNFGLMBIGLB-GFCCVEGCSA-N 0 1 252.362 0.432 20 30 CCEDMN CSc1ccc(OC[C@@H](O)CNCC#CCO)cc1 ZINC000569222090 390715955 /nfs/dbraw/zinc/71/59/55/390715955.db2.gz MIHHZQITSVTEQD-LBPRGKRZSA-N 0 1 281.377 0.734 20 30 CCEDMN CC[C@@H](C(=O)NC1(C#N)CCN(C)CC1)N1CCCC1=O ZINC000570661709 390718914 /nfs/dbraw/zinc/71/89/14/390718914.db2.gz FFYOHAOVCQWAPD-LBPRGKRZSA-N 0 1 292.383 0.492 20 30 CCEDMN COc1cc(=NC(=O)c2ccc(C#N)c(O)c2)[nH]n1C ZINC000527194426 390695568 /nfs/dbraw/zinc/69/55/68/390695568.db2.gz INJGMXMQMYJVEZ-UHFFFAOYSA-N 0 1 272.264 0.680 20 30 CCEDMN O=C1c2ccccc2C(=O)N1CC#CCN1CC[C@H](O)C1 ZINC000528373985 390738686 /nfs/dbraw/zinc/73/86/86/390738686.db2.gz SHCYDZDNBCONRT-LBPRGKRZSA-N 0 1 284.315 0.353 20 30 CCEDMN Cc1noc(C)c1CNC[C@H](O)CN(C)CCC#N ZINC000578232016 390871816 /nfs/dbraw/zinc/87/18/16/390871816.db2.gz DFJXDAAKDDWDOP-LBPRGKRZSA-N 0 1 266.345 0.587 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CCC[C@]2(C)C(=O)[O-])C1=O ZINC000530205083 390876007 /nfs/dbraw/zinc/87/60/07/390876007.db2.gz CVDQAWICVIEQPB-ZWNOBZJWSA-N 0 1 252.314 0.712 20 30 CCEDMN C[C@H](NCc1cnc2c(C#N)cnn2c1)C(=O)N1CCCC1 ZINC000578769924 390901814 /nfs/dbraw/zinc/90/18/14/390901814.db2.gz DJBANNSKKFRIJK-NSHDSACASA-N 0 1 298.350 0.701 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)NC1(c2nn[nH]n2)CCCC1 ZINC000449922579 533601549 /nfs/dbraw/zinc/60/15/49/533601549.db2.gz BTGYWVCOVJFTRC-UHFFFAOYSA-N 0 1 285.311 0.907 20 30 CCEDMN Cc1cc(C(=O)N=c2[nH]n(C)cc2C#N)nn1C(F)F ZINC000341818044 130054928 /nfs/dbraw/zinc/05/49/28/130054928.db2.gz PQJVMGGHLBORGR-UHFFFAOYSA-N 0 1 280.238 0.866 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCCC[C@H]1CC(N)=O ZINC000339139158 397455636 /nfs/dbraw/zinc/45/56/36/397455636.db2.gz BMLIOQWEUSYPBO-LBPRGKRZSA-N 0 1 280.372 0.478 20 30 CCEDMN C[C@@H](O)[C@H]1CCN(CC(=O)NCc2cccc(C#N)c2)C1 ZINC000356445954 144003519 /nfs/dbraw/zinc/00/35/19/144003519.db2.gz DZHGBXHFCDQMMV-DOMZBBRYSA-N 0 1 287.363 0.877 20 30 CCEDMN N#Cc1ccccc1OCCN1CCn2c(=O)[nH]nc2C1 ZINC000358836515 144182462 /nfs/dbraw/zinc/18/24/62/144182462.db2.gz VWFWHFUZIANUST-UHFFFAOYSA-N 0 1 285.307 0.750 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)N(CC)[C@@H]1CC[N@@H+](C)C1 ZINC000658801445 413209406 /nfs/dbraw/zinc/20/94/06/413209406.db2.gz CJQFZSFTDGRIDO-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC000658801445 413209416 /nfs/dbraw/zinc/20/94/16/413209416.db2.gz CJQFZSFTDGRIDO-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCNC(=O)CNS(=O)(=O)c1c(F)cccc1F ZINC000008316654 170330774 /nfs/dbraw/zinc/33/07/74/170330774.db2.gz LAKFPXDZALIRAP-UHFFFAOYSA-N 0 1 290.291 0.545 20 30 CCEDMN CN(CC(=O)N1CCNCC1)c1cccc(F)c1C#N ZINC000038031661 174026829 /nfs/dbraw/zinc/02/68/29/174026829.db2.gz LZOWFPLFMGNTOP-UHFFFAOYSA-N 0 1 276.315 0.565 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N(CC(F)(F)F)C(C)C ZINC000662166938 414695030 /nfs/dbraw/zinc/69/50/30/414695030.db2.gz MGKMKHARXAEOKB-SECBINFHSA-N 0 1 268.279 0.922 20 30 CCEDMN O=C(NCCn1cccn1)N[C@@H]1CCO[C@@]2(CCOC2)C1 ZINC000329136630 227320555 /nfs/dbraw/zinc/32/05/55/227320555.db2.gz HCQBKKJEMQCABC-OCCSQVGLSA-N 0 1 294.355 0.725 20 30 CCEDMN [O-]C(N[C@@H]1CCO[C@@]2(CCOC2)C1)=[NH+]CCn1cccn1 ZINC000329136630 227320566 /nfs/dbraw/zinc/32/05/66/227320566.db2.gz HCQBKKJEMQCABC-OCCSQVGLSA-N 0 1 294.355 0.725 20 30 CCEDMN C[C@H](NC(=O)N1CCn2ncnc2C1)[C@@H]1CCCOC1 ZINC000329967137 228001349 /nfs/dbraw/zinc/00/13/49/228001349.db2.gz SIEHCNCSKGAVQY-WDEREUQCSA-N 0 1 279.344 0.823 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N1CCCOCC1)CC2 ZINC000328802925 545018935 /nfs/dbraw/zinc/01/89/35/545018935.db2.gz JTXZQSGNXCLBDS-LLVKDONJSA-N 0 1 279.344 0.538 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N(C)[C@H]1CCCN(C)C1 ZINC000407955341 260040377 /nfs/dbraw/zinc/04/03/77/260040377.db2.gz JGHADNFRHIPLEO-RYUDHWBXSA-N 0 1 288.417 0.349 20 30 CCEDMN CN(CC(=O)N1CCOC[C@H]1C#N)[C@H]1CCSC1 ZINC000408030339 260185436 /nfs/dbraw/zinc/18/54/36/260185436.db2.gz GDGIECGKUNKTGI-WDEREUQCSA-N 0 1 269.370 0.175 20 30 CCEDMN CNS(=O)(=O)CCCN1CCC(C)(C#N)CC1 ZINC000408157300 260312138 /nfs/dbraw/zinc/31/21/38/260312138.db2.gz BLXMXOQPTWYHOJ-UHFFFAOYSA-N 0 1 259.375 0.551 20 30 CCEDMN OCC#CCNC[C@H](O)COc1ccc(Cl)cc1 ZINC000518185177 260351974 /nfs/dbraw/zinc/35/19/74/260351974.db2.gz MRDFHEWMBIIPAM-LBPRGKRZSA-N 0 1 269.728 0.665 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000363686192 260604361 /nfs/dbraw/zinc/60/43/61/260604361.db2.gz JWNVBQPPFCDFRB-GWCFXTLKSA-N 0 1 295.343 0.328 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCCN(CCOC)CC1 ZINC000448797349 261147131 /nfs/dbraw/zinc/14/71/31/261147131.db2.gz SSJGPYIPZLGDLI-KGLIPLIRSA-N 0 1 282.384 0.758 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H]2CCC[C@@H]2O)CC1 ZINC000490899324 261241377 /nfs/dbraw/zinc/24/13/77/261241377.db2.gz OHJDSQBQZMWJLG-CABCVRRESA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)[C@H](CO)CC(C)C)CC1 ZINC000491021309 261300102 /nfs/dbraw/zinc/30/01/02/261300102.db2.gz LOMZUHRKFGSBGT-HNNXBMFYSA-N 0 1 295.427 0.103 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N[C@H](CO)c1sccc1C ZINC000491023451 261300902 /nfs/dbraw/zinc/30/09/02/261300902.db2.gz XJKDGVYVFBEIBW-GHMZBOCLSA-N 0 1 266.366 0.817 20 30 CCEDMN C[C@@]1(O)CCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000178008245 261374765 /nfs/dbraw/zinc/37/47/65/261374765.db2.gz JJGKUIYWBLCERA-CYBMUJFWSA-N 0 1 264.329 0.099 20 30 CCEDMN C#C[C@H](NC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C)C(C)C ZINC000491772042 262024711 /nfs/dbraw/zinc/02/47/11/262024711.db2.gz SSWVZCQRVKOHFN-IHRRRGAJSA-N 0 1 281.400 0.741 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC=C(C)C2)CC1 ZINC000491820398 262099092 /nfs/dbraw/zinc/09/90/92/262099092.db2.gz RIDSZIDMOUAMRH-UHFFFAOYSA-N 0 1 261.369 0.416 20 30 CCEDMN CN(C)c1ccc(C(N)=NOCC2OCCO2)cc1 ZINC000191991320 262197315 /nfs/dbraw/zinc/19/73/15/262197315.db2.gz VKPBDBBECUYFOE-UHFFFAOYSA-N 0 1 265.313 0.762 20 30 CCEDMN C#CCCN1CCC[C@H](S(=O)(=O)N(C)CCOC)C1 ZINC000365176104 262282616 /nfs/dbraw/zinc/28/26/16/262282616.db2.gz GETNXOUXVJCEAR-ZDUSSCGKSA-N 0 1 288.413 0.382 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCNC(=O)C[C@H]2C)CC1 ZINC000370998188 262375949 /nfs/dbraw/zinc/37/59/49/262375949.db2.gz IWWMQWWNTRNEJQ-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](O)COCC)C1=O ZINC000337203395 262433758 /nfs/dbraw/zinc/43/37/58/262433758.db2.gz GJFAXFSKWQYHAT-NWDGAFQWSA-N 0 1 256.346 0.103 20 30 CCEDMN CN(CC(=O)NCCCC#N)c1ncnc2[nH]cnc21 ZINC000272984863 263010493 /nfs/dbraw/zinc/01/04/93/263010493.db2.gz DPAFPLDMHJRYIF-UHFFFAOYSA-N 0 1 273.300 0.209 20 30 CCEDMN N#Cc1ccc(CC(C#N)C(=O)N2CCO[C@H](C#N)C2)cc1 ZINC000280837582 263064572 /nfs/dbraw/zinc/06/45/72/263064572.db2.gz JFGCCIWTVIHWMC-HUUCEWRRSA-N 0 1 294.314 0.992 20 30 CCEDMN CO/N=C/C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000283497305 263082925 /nfs/dbraw/zinc/08/29/25/263082925.db2.gz QYGCBGJGOVLEAP-VIZOYTHASA-N 0 1 264.260 0.993 20 30 CCEDMN CC(C)(NC(=O)c1ccc(CC#N)cc1)c1nn[nH]n1 ZINC000340212306 263095296 /nfs/dbraw/zinc/09/52/96/263095296.db2.gz NDJUBPXFNQBPHX-UHFFFAOYSA-N 0 1 270.296 0.931 20 30 CCEDMN CC1(C)C[C@]1(C#N)NC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000292612732 263133254 /nfs/dbraw/zinc/13/32/54/263133254.db2.gz XNECMHVRIIRTJG-ISVAXAHUSA-N 0 1 259.313 0.718 20 30 CCEDMN CC1(C)C[C@]1(C#N)NC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000292612732 263133255 /nfs/dbraw/zinc/13/32/55/263133255.db2.gz XNECMHVRIIRTJG-ISVAXAHUSA-N 0 1 259.313 0.718 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](Cn2ccnn2)C1 ZINC000119660191 263276367 /nfs/dbraw/zinc/27/63/67/263276367.db2.gz SUUCGLCDEULWFV-LBPRGKRZSA-N 0 1 263.345 0.292 20 30 CCEDMN CN(CC(=O)N[C@H](C#N)C1CC1)[C@@H]1CCSC1 ZINC000119781051 263279259 /nfs/dbraw/zinc/27/92/59/263279259.db2.gz FJNASWZFWGDQLI-GHMZBOCLSA-N 0 1 253.371 0.842 20 30 CCEDMN N#CCCCCNC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000341124384 263322929 /nfs/dbraw/zinc/32/29/29/263322929.db2.gz VRERQLQJSGSZQS-LBPRGKRZSA-N 0 1 295.387 0.319 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NC[C@H](C)N1CCC(C)CC1 ZINC000416395865 263707372 /nfs/dbraw/zinc/70/73/72/263707372.db2.gz YAITZDBHGZSWNU-NWDGAFQWSA-N 0 1 273.402 0.938 20 30 CCEDMN CC(C)C[C@H](CO)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000330724158 264025994 /nfs/dbraw/zinc/02/59/94/264025994.db2.gz IYGFZJMSXHAMCZ-CHWSQXEVSA-N 0 1 286.420 0.143 20 30 CCEDMN CC(=O)N(C)C1CCN(C([O-])=[NH+]CCn2cccn2)CC1 ZINC000330803379 264042344 /nfs/dbraw/zinc/04/23/44/264042344.db2.gz IOCADQAFZZFSMA-UHFFFAOYSA-N 0 1 293.371 0.740 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N(C)C[C@H](C)C#N ZINC000330843009 264065638 /nfs/dbraw/zinc/06/56/38/264065638.db2.gz YPSPCMDWUDPSOS-VXGBXAGGSA-N 0 1 253.346 0.573 20 30 CCEDMN N#C[C@@H]1CCC[C@H]1NC[C@@H](O)COC1CCOCC1 ZINC000330853189 264068899 /nfs/dbraw/zinc/06/88/99/264068899.db2.gz RCBHTDBAMHEQDM-OUCADQQQSA-N 0 1 268.357 0.825 20 30 CCEDMN [O-]C(N[C@H]1CC[C@H](CO)CC1)=[NH+]CCn1cncn1 ZINC000330969717 264091972 /nfs/dbraw/zinc/09/19/72/264091972.db2.gz STDSVKHIHIQFTA-XYPYZODXSA-N 0 1 267.333 0.333 20 30 CCEDMN O=C(NCCn1cncn1)N[C@H]1CC[C@H](CO)CC1 ZINC000330969717 264091975 /nfs/dbraw/zinc/09/19/75/264091975.db2.gz STDSVKHIHIQFTA-XYPYZODXSA-N 0 1 267.333 0.333 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)CC(C)(C)C#N ZINC000330983832 264102750 /nfs/dbraw/zinc/10/27/50/264102750.db2.gz VZYGOTFOXQJTAQ-GFCCVEGCSA-N 0 1 266.389 0.678 20 30 CCEDMN N#CCCNC(=O)N1CCC[C@H](Cn2ccnn2)C1 ZINC000331120968 264130381 /nfs/dbraw/zinc/13/03/81/264130381.db2.gz AYWCUYPFUPZMOJ-NSHDSACASA-N 0 1 262.317 0.818 20 30 CCEDMN CCc1nc2n(n1)C[C@@H]([NH+]=C([O-])N[C@H](CO)C(C)C)CC2 ZINC000331159425 264142325 /nfs/dbraw/zinc/14/23/25/264142325.db2.gz DUWQKNAHJYMWCM-WDEREUQCSA-N 0 1 295.387 0.676 20 30 CCEDMN CCc1nc2n(n1)C[C@@H](NC(=O)N[C@H](CO)C(C)C)CC2 ZINC000331159425 264142326 /nfs/dbraw/zinc/14/23/26/264142326.db2.gz DUWQKNAHJYMWCM-WDEREUQCSA-N 0 1 295.387 0.676 20 30 CCEDMN COCCCCNC(=O)N1CCO[C@@H](c2n[nH]c(C)n2)C1 ZINC000331178076 264153950 /nfs/dbraw/zinc/15/39/50/264153950.db2.gz GENZYZNCMJNATB-LLVKDONJSA-N 0 1 297.359 0.827 20 30 CCEDMN C[C@H](CCCO)[NH+]=C([O-])N[C@@H]1CCc2ncnn2C1 ZINC000331323249 264189718 /nfs/dbraw/zinc/18/97/18/264189718.db2.gz DAQTVVJDNNHMPZ-NXEZZACHSA-N 0 1 267.333 0.258 20 30 CCEDMN C[C@H](CCCO)NC(=O)N[C@@H]1CCc2ncnn2C1 ZINC000331323249 264189721 /nfs/dbraw/zinc/18/97/21/264189721.db2.gz DAQTVVJDNNHMPZ-NXEZZACHSA-N 0 1 267.333 0.258 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2cccnn2)CC1 ZINC000126245806 264211590 /nfs/dbraw/zinc/21/15/90/264211590.db2.gz RVQMVVWOOOXHOX-CYBMUJFWSA-N 0 1 262.357 0.926 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCCN1CCN(C)CC1 ZINC000189674004 264318103 /nfs/dbraw/zinc/31/81/03/264318103.db2.gz FIVUWZHGROSZKW-HNNXBMFYSA-N 0 1 283.416 0.579 20 30 CCEDMN CC(C)C[C@@H]1C(=O)NCCN1CCC(=O)N(C)CCC#N ZINC000189849278 264334588 /nfs/dbraw/zinc/33/45/88/264334588.db2.gz DVFWCJLMXYDFQV-CYBMUJFWSA-N 0 1 294.399 0.595 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)CC1(CO)CC1 ZINC000190006360 264345644 /nfs/dbraw/zinc/34/56/44/264345644.db2.gz OTUUVCIXAJHDNG-CYBMUJFWSA-N 0 1 265.357 0.499 20 30 CCEDMN C=CCNC(=O)CN[C@@H]1CCCC[C@H]1S(C)(=O)=O ZINC000180031319 264390322 /nfs/dbraw/zinc/39/03/22/264390322.db2.gz FPLBBHVMPYDHIG-GHMZBOCLSA-N 0 1 274.386 0.234 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000281551324 264483465 /nfs/dbraw/zinc/48/34/65/264483465.db2.gz ZYBQVKIVYBXWJI-OLZOCXBDSA-N 0 1 296.415 0.980 20 30 CCEDMN C#CCN(C)C[C@@H](O)COc1ccccc1[N+](=O)[O-] ZINC000192231957 264556744 /nfs/dbraw/zinc/55/67/44/264556744.db2.gz OIIYUHJPQYLGTQ-LLVKDONJSA-N 0 1 264.281 0.900 20 30 CCEDMN C=CCCC1(C(=O)NC[C@]2(O)CC[N@H+](C)C2)CC1 ZINC000356776026 266173651 /nfs/dbraw/zinc/17/36/51/266173651.db2.gz QVZYHMBUVBGFKA-CQSZACIVSA-N 0 1 252.358 0.916 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000367290674 266386840 /nfs/dbraw/zinc/38/68/40/266386840.db2.gz LOCVQRGLZMCTMQ-LBPRGKRZSA-N 0 1 290.348 0.837 20 30 CCEDMN CC#CCCN1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000369310803 267235751 /nfs/dbraw/zinc/23/57/51/267235751.db2.gz BNENHDIEFQYSRO-ZDUSSCGKSA-N 0 1 270.398 0.900 20 30 CCEDMN N#CC1CCC(CNC(=O)N2CCNCC2)CC1 ZINC000418164937 267379508 /nfs/dbraw/zinc/37/95/08/267379508.db2.gz HUHYMXGVKVOGJF-UHFFFAOYSA-N 0 1 250.346 0.931 20 30 CCEDMN CN1CC[C@@H]2CCN(C(=O)N[C@@H]3CC[S@](=O)C3)[C@H]2C1 ZINC000329304547 290147892 /nfs/dbraw/zinc/14/78/92/290147892.db2.gz XXWAAOHURMFETM-QSKJLUFESA-N 0 1 285.413 0.447 20 30 CCEDMN C[C@H]1CN(CCCNc2ccc(C#N)c(N)n2)C[C@H](C)O1 ZINC000580094551 327696912 /nfs/dbraw/zinc/69/69/12/327696912.db2.gz OQQQJKPNWSMEHP-RYUDHWBXSA-N 0 1 289.383 0.869 20 30 CCEDMN OCC#CCNC[C@@H](O)COc1cccc2[nH]ccc21 ZINC000567877177 327929276 /nfs/dbraw/zinc/92/92/76/327929276.db2.gz IYIDWJCVGDIVMZ-GFCCVEGCSA-N 0 1 274.320 0.493 20 30 CCEDMN N#CCCOCC[N@@H+]1CCC[C@@H](N2CCNC2=O)C1 ZINC000518799617 328009624 /nfs/dbraw/zinc/00/96/24/328009624.db2.gz LPUQFSLUYKJQNN-GFCCVEGCSA-N 0 1 266.345 0.406 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CCN(C(=O)CN(C)C)CC2)C1 ZINC000531558840 328017507 /nfs/dbraw/zinc/01/75/07/328017507.db2.gz NPHWBXYCDZQTSA-UHFFFAOYSA-N 0 1 292.383 0.159 20 30 CCEDMN C=CCN1C(=O)N=NC1SCc1cn(C)nn1 ZINC000534199611 328038305 /nfs/dbraw/zinc/03/83/05/328038305.db2.gz NMOPGRZMAGAUPZ-UHFFFAOYSA-N 0 1 252.303 0.591 20 30 CCEDMN C=CCOC[C@@H](NC(=O)C[N@H+]1CC[C@@H](C)C1)C(=O)OC ZINC000563391935 328060621 /nfs/dbraw/zinc/06/06/21/328060621.db2.gz CSPKCDPDLAMBQP-VXGBXAGGSA-N 0 1 284.356 0.189 20 30 CCEDMN C=CCOC[C@@H](NC(=O)CN1CC[C@@H](C)C1)C(=O)OC ZINC000563391935 328060622 /nfs/dbraw/zinc/06/06/22/328060622.db2.gz CSPKCDPDLAMBQP-VXGBXAGGSA-N 0 1 284.356 0.189 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000563639278 328080211 /nfs/dbraw/zinc/08/02/11/328080211.db2.gz CHEBYYKXUWBDMT-DGAVXFQQSA-N 0 1 297.399 0.426 20 30 CCEDMN CCOC(=O)CON=C(C(=O)NC(C)(C)C)c1ccn[nH]1 ZINC000276767977 328219263 /nfs/dbraw/zinc/21/92/63/328219263.db2.gz XXCMLSGNTUQSBF-GZTJUZNOSA-N 0 1 296.327 0.608 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CN(CCC#N)CCC#N)C[C@H](C)O1 ZINC000340728803 328399304 /nfs/dbraw/zinc/39/93/04/328399304.db2.gz QSYHGACMONULRK-ZNMIVQPWSA-N 0 1 294.399 0.586 20 30 CCEDMN C[C@H](CNc1cncc(C#N)n1)N1CCN(C)CC1 ZINC000584288514 327219848 /nfs/dbraw/zinc/21/98/48/327219848.db2.gz ROXKDSSIOCLILW-LLVKDONJSA-N 0 1 260.345 0.396 20 30 CCEDMN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1cccc(C#N)n1 ZINC000574084636 327288704 /nfs/dbraw/zinc/28/87/04/327288704.db2.gz PMASKFJPTZGDDB-QMMMGPOBSA-N 0 1 282.325 0.172 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)Cc1nnc[nH]1 ZINC000174825519 329163694 /nfs/dbraw/zinc/16/36/94/329163694.db2.gz UDSXHJKVFISWEZ-GFCCVEGCSA-N 0 1 264.333 0.291 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@H]1COCC ZINC000459711617 329366378 /nfs/dbraw/zinc/36/63/78/329366378.db2.gz VNTCKMLZIGEGRC-LBPRGKRZSA-N 0 1 283.372 0.889 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC(C)(C)CC#N)[C@@H](C)CN1 ZINC000418969550 329702617 /nfs/dbraw/zinc/70/26/17/329702617.db2.gz FZACNSNHPHRESO-MNOVXSKESA-N 0 1 280.372 0.251 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)NCC#Cc2ccccc2)[C@@H](C)CN1 ZINC000419124088 329708777 /nfs/dbraw/zinc/70/87/77/329708777.db2.gz ZBZNFAQVUJDYQU-KBPBESRZSA-N 0 1 299.374 0.363 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC#Cc2ccccc2)[C@@H](C)CN1 ZINC000419124089 329708853 /nfs/dbraw/zinc/70/88/53/329708853.db2.gz ZBZNFAQVUJDYQU-KGLIPLIRSA-N 0 1 299.374 0.363 20 30 CCEDMN C=CCn1cc(CN[C@@H]2CCN(CCOC)C2)nn1 ZINC000424175284 330315402 /nfs/dbraw/zinc/31/54/02/330315402.db2.gz UGLJEKGVCWWERN-GFCCVEGCSA-N 0 1 265.361 0.274 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)C[C@H](C)N1 ZINC000424551364 330374345 /nfs/dbraw/zinc/37/43/45/330374345.db2.gz VKQYZJASFKEOKU-WHOHXGKFSA-N 0 1 278.356 0.004 20 30 CCEDMN C=CCC[C@@H](CO)Nc1cc(-n2cccn2)nc(N)n1 ZINC000450892261 331005272 /nfs/dbraw/zinc/00/52/72/331005272.db2.gz CXIIGBJLLJPHHU-JTQLQIEISA-N 0 1 274.328 0.814 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H](C(=O)OCC)C2(COC2)C1 ZINC000451139602 331017148 /nfs/dbraw/zinc/01/71/48/331017148.db2.gz SFSCDBGLPNHOFB-NWDGAFQWSA-N 0 1 296.367 0.189 20 30 CCEDMN CC[C@H](CO)N(C)CC(=O)N(CC)C[C@H](C)C#N ZINC000451155637 331018009 /nfs/dbraw/zinc/01/80/09/331018009.db2.gz PKEHRPPNEQBMMW-VXGBXAGGSA-N 0 1 255.362 0.697 20 30 CCEDMN CO[C@H]1C[C@@H](N(C)CC(=O)NCC#N)C12CCC2 ZINC000451863626 331045279 /nfs/dbraw/zinc/04/52/79/331045279.db2.gz ZBAIBPKRMAJOIR-MNOVXSKESA-N 0 1 251.330 0.516 20 30 CCEDMN CC#CCN(C)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000457630274 331229622 /nfs/dbraw/zinc/22/96/22/331229622.db2.gz VMLWIVMUPIXPRQ-HNNXBMFYSA-N 0 1 294.443 0.923 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@@H]1COCC ZINC000459711615 331295333 /nfs/dbraw/zinc/29/53/33/331295333.db2.gz VNTCKMLZIGEGRC-GFCCVEGCSA-N 0 1 283.372 0.889 20 30 CCEDMN CO[C@@H]1COC[C@@H]1N[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000459829303 331302270 /nfs/dbraw/zinc/30/22/70/331302270.db2.gz PBHNXGLRSNVHJT-FMSGJZPZSA-N 0 1 283.372 0.433 20 30 CCEDMN CO[C@@H]1COC[C@@H]1N[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000459829299 331302337 /nfs/dbraw/zinc/30/23/37/331302337.db2.gz PBHNXGLRSNVHJT-CIQGVGRVSA-N 0 1 283.372 0.433 20 30 CCEDMN C=CCCCS(=O)(=O)NCCN(CC)CCO ZINC000489624984 332061971 /nfs/dbraw/zinc/06/19/71/332061971.db2.gz PBOMDJUVXSGXHI-UHFFFAOYSA-N 0 1 264.391 0.186 20 30 CCEDMN C#CCN(CC#CC)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000490687571 332103985 /nfs/dbraw/zinc/10/39/85/332103985.db2.gz CLEONTXZPCMXOL-UHFFFAOYSA-N 0 1 296.334 0.274 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)C[C@H]1COC(=O)C1 ZINC000490893881 332126443 /nfs/dbraw/zinc/12/64/43/332126443.db2.gz XUAOUGRXCBZZRS-NWDGAFQWSA-N 0 1 265.309 0.580 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cnc(C)nc2)CC1 ZINC000491105472 332150397 /nfs/dbraw/zinc/15/03/97/332150397.db2.gz WCRGOWFPSBARLB-UHFFFAOYSA-N 0 1 272.352 0.746 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2cc(C)ccn2)CC1 ZINC000491118355 332151414 /nfs/dbraw/zinc/15/14/14/332151414.db2.gz CUEPJHBRUHETCP-UHFFFAOYSA-N 0 1 286.379 0.652 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@H](OC)C[C@@H]2C)CC1 ZINC000491325940 332190205 /nfs/dbraw/zinc/19/02/05/332190205.db2.gz IIYMJBPVJPGCFA-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000491615062 332242644 /nfs/dbraw/zinc/24/26/44/332242644.db2.gz ANVATZYSSQWNDV-STQMWFEESA-N 0 1 266.389 0.333 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC(CC#N)CC2)C1=O ZINC000491698035 332293077 /nfs/dbraw/zinc/29/30/77/332293077.db2.gz LMWDQQLCRAWLNF-GFCCVEGCSA-N 0 1 259.309 0.373 20 30 CCEDMN C#CCN(Cc1ccccc1)C[C@@H](O)CN1CCOCC1 ZINC000491700480 332294840 /nfs/dbraw/zinc/29/48/40/332294840.db2.gz VLJVXTZTAVWUHD-KRWDZBQOSA-N 0 1 288.391 0.815 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(C)C[C@@H](C)OC ZINC000491724073 332308492 /nfs/dbraw/zinc/30/84/92/332308492.db2.gz FBSXZDFUJZXCPS-GFCCVEGCSA-N 0 1 255.362 0.618 20 30 CCEDMN C#CCN(C)C[C@H](O)COc1ccc2c(c1)CCC(=O)N2 ZINC000491733560 332314697 /nfs/dbraw/zinc/31/46/97/332314697.db2.gz NBTMYSVGAQDFAN-ZDUSSCGKSA-N 0 1 288.347 0.876 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000491810991 332363497 /nfs/dbraw/zinc/36/34/97/332363497.db2.gz AVISWWHBNNUPSU-CABCVRRESA-N 0 1 294.443 0.922 20 30 CCEDMN CN1CCC(C#N)(NC(=O)/C=C\c2cncc(O)c2)CC1 ZINC000492442397 332397622 /nfs/dbraw/zinc/39/76/22/332397622.db2.gz OQUANEDIVBMGSU-IHWYPQMZSA-N 0 1 286.335 0.905 20 30 CCEDMN C=CCc1ccccc1OC[C@H](O)CNCC#CCO ZINC000566232721 334637575 /nfs/dbraw/zinc/63/75/75/334637575.db2.gz SSHUBHOETIQJBM-OAHLLOKOSA-N 0 1 275.348 0.740 20 30 CCEDMN Cn1cc(N2CCN(C[C@@H](O)CC3(C#N)CC3)CC2)cn1 ZINC000528395154 333433741 /nfs/dbraw/zinc/43/37/41/333433741.db2.gz MFUWYFLZDKSKRR-AWEZNQCLSA-N 0 1 289.383 0.597 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2[C@H]3CCCO[C@H]32)CC1 ZINC000543728531 333892462 /nfs/dbraw/zinc/89/24/62/333892462.db2.gz IWOALDXKBGDKAN-IJLUTSLNSA-N 0 1 263.341 0.516 20 30 CCEDMN C[C@H](CN(C)C(=O)C1(C#N)CC(C)C1)c1nn[n-]n1 ZINC000547811506 334120906 /nfs/dbraw/zinc/12/09/06/334120906.db2.gz BLIJOWOIISMDOV-NBBOBHDQSA-N 0 1 262.317 0.701 20 30 CCEDMN C[C@H](CN(C)C(=O)C1(C#N)CC(C)C1)c1nn[nH]n1 ZINC000547811506 334120907 /nfs/dbraw/zinc/12/09/07/334120907.db2.gz BLIJOWOIISMDOV-NBBOBHDQSA-N 0 1 262.317 0.701 20 30 CCEDMN C[C@H](CO)N(C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000135509010 334132065 /nfs/dbraw/zinc/13/20/65/334132065.db2.gz OANIPBGMEKBEAI-GFCCVEGCSA-N 0 1 267.373 0.984 20 30 CCEDMN C[C@@H]1CCN(CCNS(=O)(=O)c2ccc(C#N)o2)C1 ZINC000553826926 334399831 /nfs/dbraw/zinc/39/98/31/334399831.db2.gz YFXOUCGLFNQEFW-SNVBAGLBSA-N 0 1 283.353 0.771 20 30 CCEDMN N#CCCCS(=O)(=O)N[C@H]1CCCN(CCCO)C1 ZINC000576598345 335224974 /nfs/dbraw/zinc/22/49/74/335224974.db2.gz JFTYBAZWBFLEAH-LBPRGKRZSA-N 0 1 289.401 0.056 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CCCOCC(F)(F)F)c1=O ZINC000576636672 335228860 /nfs/dbraw/zinc/22/88/60/335228860.db2.gz MECJQIHROZPYCR-UHFFFAOYSA-N 0 1 277.202 0.377 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN[C@H](c1nccn1C)C1CC1 ZINC000577743064 335395558 /nfs/dbraw/zinc/39/55/58/335395558.db2.gz DKLSWUBXQWPESP-KBPBESRZSA-N 0 1 291.399 0.667 20 30 CCEDMN Cc1cnc(CNC[C@H](O)CN(C)CCC#N)s1 ZINC000578981026 335694719 /nfs/dbraw/zinc/69/47/19/335694719.db2.gz FARXIMBDLQEPNL-NSHDSACASA-N 0 1 268.386 0.748 20 30 CCEDMN COc1cc(CN2C[C@H](O)C[C@H]2CO)ccc1C#N ZINC000369114241 522406018 /nfs/dbraw/zinc/40/60/18/522406018.db2.gz AIDYCUFHAURWIH-QWHCGFSZSA-N 0 1 262.309 0.494 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)o1 ZINC000340027731 524620313 /nfs/dbraw/zinc/62/03/13/524620313.db2.gz CXGOPIAQQHVOHR-NSHDSACASA-N 0 1 276.340 0.517 20 30 CCEDMN C=CCCNC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000665946822 545110525 /nfs/dbraw/zinc/11/05/25/545110525.db2.gz XVMSGDDICSVANO-CYBMUJFWSA-N 0 1 267.373 0.926 20 30 CCEDMN C=CCOCCCN1CCN(CC(=O)NCC)CC1 ZINC000347967507 526755256 /nfs/dbraw/zinc/75/52/56/526755256.db2.gz IPNIPRCEAOMNNN-UHFFFAOYSA-N 0 1 269.389 0.333 20 30 CCEDMN CC(C)(NC(=O)c1cccc(CC#N)c1)c1nn[nH]n1 ZINC000354773403 527284683 /nfs/dbraw/zinc/28/46/83/527284683.db2.gz NADQOAKRTVHLBB-UHFFFAOYSA-N 0 1 270.296 0.931 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000667607104 545150986 /nfs/dbraw/zinc/15/09/86/545150986.db2.gz WFTVXFOBHUIZON-INIZCTEOSA-N 0 1 296.411 0.884 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000347889788 527380815 /nfs/dbraw/zinc/38/08/15/527380815.db2.gz SAUUKNHPHCKYME-STQMWFEESA-N 0 1 269.389 0.330 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCCN([C@@H](C)CO)CC1 ZINC000347126878 527390103 /nfs/dbraw/zinc/39/01/03/527390103.db2.gz GEHNRLRDUNYVFE-UONOGXRCSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCCN([C@H](C)CO)CC1 ZINC000347126880 527390385 /nfs/dbraw/zinc/39/03/85/527390385.db2.gz GEHNRLRDUNYVFE-ZIAGYGMSSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000347889785 527398148 /nfs/dbraw/zinc/39/81/48/527398148.db2.gz SAUUKNHPHCKYME-OLZOCXBDSA-N 0 1 269.389 0.330 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000670407573 545233102 /nfs/dbraw/zinc/23/31/02/545233102.db2.gz VEVWNBJMGHLYOO-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)N[C@H]2CC23CC3)[nH]1 ZINC000425795624 528546986 /nfs/dbraw/zinc/54/69/86/528546986.db2.gz GFGZGNCAGLLQJC-JTQLQIEISA-N 0 1 287.323 0.365 20 30 CCEDMN C=C(C)[C@@H](CO)N1CC[C@H]2[C@@H](C1)NC(=O)N2CCOC ZINC000671522968 545252347 /nfs/dbraw/zinc/25/23/47/545252347.db2.gz SPRNQUQOYLASBD-FRRDWIJNSA-N 0 1 283.372 0.038 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)CC1(O)CCC1 ZINC000353475489 528847048 /nfs/dbraw/zinc/84/70/48/528847048.db2.gz XTNVWJIHADRAKH-CYBMUJFWSA-N 0 1 267.373 0.888 20 30 CCEDMN CCN1CCCC[C@@H]1CNC(=O)N[C@@H]1CC[S@](=O)C1 ZINC000330026903 528994643 /nfs/dbraw/zinc/99/46/43/528994643.db2.gz METBIAITOKNUCY-CLUVUEOHSA-N 0 1 287.429 0.885 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(CC(=O)N(C)C)CC1 ZINC000675485968 545326864 /nfs/dbraw/zinc/32/68/64/545326864.db2.gz HWZYSTUPDYPCFV-CYBMUJFWSA-N 0 1 269.389 0.019 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC(N(CC)S(C)(=O)=O)CC1 ZINC000677479024 545366195 /nfs/dbraw/zinc/36/61/95/545366195.db2.gz IAVOHKFIIQMZDK-ZDUSSCGKSA-N 0 1 290.429 0.669 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cn(C(C)(C)C)nn2)=NO1 ZINC000790827660 581199780 /nfs/dbraw/zinc/19/97/80/581199780.db2.gz WDHPLHICLYGPPP-SSDOTTSWSA-N 0 1 251.290 0.885 20 30 CCEDMN Cc1cc([C@@H](C#N)C(=O)CN2CCOCC2)nc(C)n1 ZINC000778603363 581160610 /nfs/dbraw/zinc/16/06/10/581160610.db2.gz DXBUJCUZAVOTSK-GFCCVEGCSA-N 0 1 274.324 0.602 20 30 CCEDMN CCN(C)c1ccc(C=NNC2=NC[C@H](C)N2)cn1 ZINC000790174618 581179134 /nfs/dbraw/zinc/17/91/34/581179134.db2.gz USNKHJFKKGILTM-JTQLQIEISA-N 0 1 260.345 0.809 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2ccc3c(c2)CCN3C)N1 ZINC000779798720 581206329 /nfs/dbraw/zinc/20/63/29/581206329.db2.gz FKLRGPCNAXJEKV-SNVBAGLBSA-N 0 1 257.341 0.950 20 30 CCEDMN C=C(CO)C(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000791302260 581213266 /nfs/dbraw/zinc/21/32/66/581213266.db2.gz USRUVRPOBYVKHY-CQSZACIVSA-N 0 1 278.352 0.963 20 30 CCEDMN C=C(CO)C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000791309464 581213668 /nfs/dbraw/zinc/21/36/68/581213668.db2.gz PKVNAUGNKLDGQV-TXEJJXNPSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C(CO)C(=O)NCCc1nc2ccc(F)cc2[nH]1 ZINC000791374057 581218570 /nfs/dbraw/zinc/21/85/70/581218570.db2.gz LTQAZIWBJKCHIG-UHFFFAOYSA-N 0 1 263.272 0.909 20 30 CCEDMN COC(=O)[C@@H](C)CN(CC(=O)NCCC#N)C1CC1 ZINC000726844011 581254904 /nfs/dbraw/zinc/25/49/04/581254904.db2.gz LCPUVKIGOFJYMT-JTQLQIEISA-N 0 1 267.329 0.290 20 30 CCEDMN CC[C@@H](N[NH+]=Cc1cnc(N(C)C)s1)C(=O)[O-] ZINC000793082368 581283706 /nfs/dbraw/zinc/28/37/06/581283706.db2.gz WVQWTJZCEWSWRD-MRVPVSSYSA-N 0 1 256.331 0.996 20 30 CCEDMN N#Cc1cc(F)ccc1Cn1cnc(-c2nn[nH]n2)n1 ZINC000737703528 581316603 /nfs/dbraw/zinc/31/66/03/581316603.db2.gz CBZVTWFFHPSKHX-UHFFFAOYSA-N 0 1 270.231 0.517 20 30 CCEDMN CSCCONC(=O)Cc1noc(C)c1C#N ZINC000794182314 581349984 /nfs/dbraw/zinc/34/99/84/581349984.db2.gz BJVOPXNLCSPWKA-UHFFFAOYSA-N 0 1 255.299 0.808 20 30 CCEDMN C#CCOCCNC(=O)N1C[C@H](C)N(CC)C[C@H]1C ZINC000784541779 581387786 /nfs/dbraw/zinc/38/77/86/581387786.db2.gz YXSSLKHCULWCGI-QWHCGFSZSA-N 0 1 267.373 0.760 20 30 CCEDMN C#C[C@@H](C)NC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000784849920 581395862 /nfs/dbraw/zinc/39/58/62/581395862.db2.gz ZGHFVROPSPMTIB-SNVBAGLBSA-N 0 1 283.291 0.344 20 30 CCEDMN CCOC1CC2(C1)CCN(CC(=O)NCCC#N)C2 ZINC000796527742 581442820 /nfs/dbraw/zinc/44/28/20/581442820.db2.gz KNABFQDJNQRCFM-UHFFFAOYSA-N 0 1 265.357 0.907 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@](F)(C#N)C2)CC1 ZINC000786669472 581447335 /nfs/dbraw/zinc/44/73/35/581447335.db2.gz ONULPSXSKRWNGK-CQSZACIVSA-N 0 1 263.316 0.796 20 30 CCEDMN C#CCNC(=O)COC(=O)[C@@H]1CCCN1C1CCCC1 ZINC000786894237 581452122 /nfs/dbraw/zinc/45/21/22/581452122.db2.gz ZTSSRONGKIGTRE-ZDUSSCGKSA-N 0 1 278.352 0.686 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@H]1CCC[C@@H](C(N)=O)C1 ZINC000786931637 581453543 /nfs/dbraw/zinc/45/35/43/581453543.db2.gz YHUQNRWMBJJKEN-NGZCFLSTSA-N 0 1 277.324 0.266 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2ccc(-n3ccnc3)nn2)NO1 ZINC000765384274 581510687 /nfs/dbraw/zinc/51/06/87/581510687.db2.gz OAGCJFFIAGVMLU-MRVPVSSYSA-N 0 1 272.268 0.514 20 30 CCEDMN N#Cc1ccccc1OCC[N@@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000739146570 581511395 /nfs/dbraw/zinc/51/13/95/581511395.db2.gz HQSZQHLYRXNVKZ-NEPJUHHUSA-N 0 1 276.292 0.457 20 30 CCEDMN N#Cc1ccccc1OCC[N@H+]1C[C@H](O)C[C@H]1C(=O)[O-] ZINC000739146570 581511399 /nfs/dbraw/zinc/51/13/99/581511399.db2.gz HQSZQHLYRXNVKZ-NEPJUHHUSA-N 0 1 276.292 0.457 20 30 CCEDMN N#CCCNC(=O)CN(CCO)[C@@H]1CCc2ccccc21 ZINC000733995108 581538399 /nfs/dbraw/zinc/53/83/99/581538399.db2.gz APRKKTNGXPKJJQ-OAHLLOKOSA-N 0 1 287.363 0.998 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000739416056 581549370 /nfs/dbraw/zinc/54/93/70/581549370.db2.gz WQJNDXDCWLTDBH-LBPRGKRZSA-N 0 1 296.371 0.680 20 30 CCEDMN C#C[C@@H](CC)NC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000753890452 581563659 /nfs/dbraw/zinc/56/36/59/581563659.db2.gz RLQHSJZXVNKIJC-NSHDSACASA-N 0 1 297.318 0.734 20 30 CCEDMN COCCn1cc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)cn1 ZINC000753938959 581565582 /nfs/dbraw/zinc/56/55/82/581565582.db2.gz NHKHQNPLECYTRP-BETUJISGSA-N 0 1 290.371 0.723 20 30 CCEDMN C#CC(=O)Nc1ccc(OCCN2CCN(C)CC2)cc1 ZINC000754058555 581573168 /nfs/dbraw/zinc/57/31/68/581573168.db2.gz XSWWXVILJWXUKB-UHFFFAOYSA-N 0 1 287.363 0.885 20 30 CCEDMN C#CCNC(=S)N1CCN(CCOC)[C@H](CCC)C1 ZINC000766700609 581577570 /nfs/dbraw/zinc/57/75/70/581577570.db2.gz RDHIMKHDHLQHMB-CYBMUJFWSA-N 0 1 283.441 0.927 20 30 CCEDMN CC(C)(C)[C@H]1CCN(CC(=O)NCCC#N)C[C@@H]1O ZINC000754239293 581582670 /nfs/dbraw/zinc/58/26/70/581582670.db2.gz YITWQFNJOSCMAG-RYUDHWBXSA-N 0 1 267.373 0.745 20 30 CCEDMN CC(=NNc1ncnc2nc[nH]c21)c1cnccn1 ZINC000734745135 581584944 /nfs/dbraw/zinc/58/49/44/581584944.db2.gz DHBRDALSKMOWCU-UHFFFAOYSA-N 0 1 254.257 0.979 20 30 CCEDMN N#Cc1ccc(N2CCN(CCCCO)CC2)nn1 ZINC000767236202 581601988 /nfs/dbraw/zinc/60/19/88/581601988.db2.gz BZVFZTACEAPTLZ-UHFFFAOYSA-N 0 1 261.329 0.243 20 30 CCEDMN CC(CC[NH+](C)C)=NNc1nc(=O)c2cnn(C)c2[n-]1 ZINC000767379402 581609892 /nfs/dbraw/zinc/60/98/92/581609892.db2.gz LFOCBPFBJCFFBE-UHFFFAOYSA-N 0 1 277.332 0.808 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H](C)NC(=O)CC ZINC000729808888 581615587 /nfs/dbraw/zinc/61/55/87/581615587.db2.gz GKMCCUFGADPERR-NEPJUHHUSA-N 0 1 266.341 0.542 20 30 CCEDMN COC(=O)N(C)CCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000729888496 581618158 /nfs/dbraw/zinc/61/81/58/581618158.db2.gz GNJGPFUTXDPORQ-JTQLQIEISA-N 0 1 281.312 0.452 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN3CCC2CC3)cn1 ZINC000787505518 581637349 /nfs/dbraw/zinc/63/73/49/581637349.db2.gz LGVAGNSRIGWURR-UHFFFAOYSA-N 0 1 255.321 0.983 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](C)C(=O)NCCC)CC1 ZINC000735937990 581673586 /nfs/dbraw/zinc/67/35/86/581673586.db2.gz QUTBDOVITZODQA-GFCCVEGCSA-N 0 1 280.368 0.790 20 30 CCEDMN C=CCN(CC=C)C(=O)COC(=O)CCc1nc[nH]n1 ZINC000756768390 581677813 /nfs/dbraw/zinc/67/78/13/581677813.db2.gz ZVOQGSQGNBCHOQ-UHFFFAOYSA-N 0 1 278.312 0.481 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC23CCOCC3)CC1 ZINC000769894562 581712142 /nfs/dbraw/zinc/71/21/42/581712142.db2.gz VGGWHNUJUYLUCZ-UHFFFAOYSA-N 0 1 291.395 0.019 20 30 CCEDMN COC(=O)CSCCC(=O)C(C#N)C(=O)NC(C)C ZINC000730919302 581746489 /nfs/dbraw/zinc/74/64/89/581746489.db2.gz BFYSDCCHCJDVCB-VIFPVBQESA-N 0 1 286.353 0.516 20 30 CCEDMN N#CCCNC(=O)CN1CCSC2(CCOCC2)C1 ZINC000770685087 581761765 /nfs/dbraw/zinc/76/17/65/581761765.db2.gz JDMLNOBUTAJSTL-UHFFFAOYSA-N 0 1 283.397 0.614 20 30 CCEDMN CC(C)CC(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000731861125 581784313 /nfs/dbraw/zinc/78/43/13/581784313.db2.gz LRGJAJUTHVDJML-OUKQBFOZSA-N 0 1 265.313 0.675 20 30 CCEDMN C[C@H](C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C1CC1)C(=O)[O-] ZINC000736578205 581790886 /nfs/dbraw/zinc/79/08/86/581790886.db2.gz JQYJYFCYPFZKCL-BMIGLBTASA-N 0 1 293.367 0.980 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCCNc1ccc(C#N)cn1 ZINC000732269461 581811938 /nfs/dbraw/zinc/81/19/38/581811938.db2.gz RZLWCIMAWNCDNU-CYBMUJFWSA-N 0 1 287.367 0.966 20 30 CCEDMN CCSCCn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000736668395 581813806 /nfs/dbraw/zinc/81/38/06/581813806.db2.gz YPCSTSAEADLOMJ-UHFFFAOYSA-N 0 1 264.318 0.270 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)CCN1C ZINC000761074683 581886138 /nfs/dbraw/zinc/88/61/38/581886138.db2.gz IVCUMJQUDLMDPL-RISCZKNCSA-N 0 1 264.373 0.431 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@@H](C(F)F)C2)CC1 ZINC000761111620 581888928 /nfs/dbraw/zinc/88/89/28/581888928.db2.gz ZDVMWBYQWWUKJR-GFCCVEGCSA-N 0 1 285.338 0.351 20 30 CCEDMN C#C[C@H](NC(=O)NCc1cc(CC)[nH]n1)[C@@H]1CCCO1 ZINC000773312468 581921220 /nfs/dbraw/zinc/92/12/20/581921220.db2.gz TXMORFSSMHKHMI-STQMWFEESA-N 0 1 276.340 0.952 20 30 CCEDMN CN(CC(=O)C(C#N)C(=O)NC1CC1)[C@H]1CCSC1 ZINC000773979684 581951243 /nfs/dbraw/zinc/95/12/43/581951243.db2.gz JGWYWYCLIUGZCR-WDEREUQCSA-N 0 1 281.381 0.411 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCNc1ncccc1C#N ZINC000748550648 581979608 /nfs/dbraw/zinc/97/96/08/581979608.db2.gz KUJCADGVQPENLJ-GFCCVEGCSA-N 0 1 273.340 0.576 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])C[C@@H](O)COc1ccc(C#N)cc1 ZINC000737992855 582011302 /nfs/dbraw/zinc/01/13/02/582011302.db2.gz XOGBOGICJBTMQW-GFCCVEGCSA-N 0 1 278.308 0.704 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1CCCCN1C)[C@H]1CCCO1 ZINC000775328184 582018438 /nfs/dbraw/zinc/01/84/38/582018438.db2.gz ZKBZTGKPQQOOQE-RWMBFGLXSA-N 0 1 250.342 0.768 20 30 CCEDMN C#C[C@H](NC(=O)CCCCc1cn[nH]n1)[C@@H]1CCCO1 ZINC000775341592 582019336 /nfs/dbraw/zinc/01/93/36/582019336.db2.gz RFHPZDOVQWQQLH-STQMWFEESA-N 0 1 276.340 0.815 20 30 CCEDMN C#C[C@@H](NC(=O)CCCCc1cn[nH]n1)[C@@H]1CCCO1 ZINC000775341590 582019409 /nfs/dbraw/zinc/01/94/09/582019409.db2.gz RFHPZDOVQWQQLH-OLZOCXBDSA-N 0 1 276.340 0.815 20 30 CCEDMN C#C[C@H](NC(=O)CN(C)[C@@H]1CCSC1)[C@@H]1CCCO1 ZINC000775342868 582020026 /nfs/dbraw/zinc/02/00/26/582020026.db2.gz WUVJVNKPDVRDAB-AGIUHOORSA-N 0 1 282.409 0.721 20 30 CCEDMN C#C[C@@H](NCc1ccc(OCC(N)=O)cc1)[C@H]1CCCO1 ZINC000775351231 582020776 /nfs/dbraw/zinc/02/07/76/582020776.db2.gz SNPNMVKJHXGXME-HUUCEWRRSA-N 0 1 288.347 0.821 20 30 CCEDMN C[C@H](CO)NN=Cc1ccc(N2CCOCC2)s1 ZINC000749821124 582023782 /nfs/dbraw/zinc/02/37/82/582023782.db2.gz NMYKONWEVFLKBM-SNVBAGLBSA-N 0 1 269.370 0.889 20 30 CCEDMN C#CCNC(=O)CN[C@@H](CCCO)c1ccccc1 ZINC000775459654 582025062 /nfs/dbraw/zinc/02/50/62/582025062.db2.gz FINTVAJXAUJWBW-AWEZNQCLSA-N 0 1 260.337 0.839 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)c1nnc[nH]1)[C@H]1CCCO1 ZINC000776224642 582061133 /nfs/dbraw/zinc/06/11/33/582061133.db2.gz MKBQKMKBZVKOTH-LPEHRKFASA-N 0 1 263.301 0.346 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CCC1)[C@H]1CCCO1 ZINC000776226188 582061610 /nfs/dbraw/zinc/06/16/10/582061610.db2.gz DTWUKDBVBBBAOK-VXGBXAGGSA-N 0 1 251.330 0.172 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1CC[C@H](C)C1 ZINC000776518181 582075376 /nfs/dbraw/zinc/07/53/76/582075376.db2.gz VDTGRJBGMBSRQF-GARJFASQSA-N 0 1 252.314 0.894 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](OC(C)=O)C2)CC1 ZINC000765082568 582087911 /nfs/dbraw/zinc/08/79/11/582087911.db2.gz YGNDIRJBUPBTJT-OAHLLOKOSA-N 0 1 292.379 0.886 20 30 CCEDMN CCOC(=O)[C@H](C(=O)NCC#CCN(C)C)C(C)C ZINC000913525290 615333484 /nfs/dbraw/zinc/33/34/84/615333484.db2.gz QOPZHFWAFDFABE-LBPRGKRZSA-N 0 1 268.357 0.503 20 30 CCEDMN C#CCCCS(=O)(=O)N(CC#C)C1CCN(C)CC1 ZINC000922024008 612983286 /nfs/dbraw/zinc/98/32/86/612983286.db2.gz ZDSFHCWTYWBUCP-UHFFFAOYSA-N 0 1 282.409 0.759 20 30 CCEDMN C#CCOCCN1CCSC[C@@H]1CC(=O)OC ZINC000851814321 612984139 /nfs/dbraw/zinc/98/41/39/612984139.db2.gz MMQSDWQUCZZTDB-NSHDSACASA-N 0 1 257.355 0.617 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@@H](CC(=O)[O-])C1)C1CC1 ZINC000817727979 597081232 /nfs/dbraw/zinc/08/12/32/597081232.db2.gz PDDVZBAEYOGBOK-XHDPSFHLSA-N 0 1 293.367 0.982 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N1CC[NH+](CC2CC2)CC1 ZINC000819822039 597636333 /nfs/dbraw/zinc/63/63/33/597636333.db2.gz LPPGVLANRQIOMA-CQSZACIVSA-N 0 1 279.340 0.545 20 30 CCEDMN Cc1[nH+]ccn1CCCNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000821197173 597636984 /nfs/dbraw/zinc/63/69/84/597636984.db2.gz ZQOKODOLRDGBEE-CYBMUJFWSA-N 0 1 278.312 0.702 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC000820226966 597650174 /nfs/dbraw/zinc/65/01/74/597650174.db2.gz BCVJBRHUSLSIPW-NRUUGDAUSA-N 0 1 267.329 0.590 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@]2(F)CCOC2)CC1 ZINC000981821392 613005635 /nfs/dbraw/zinc/00/56/35/613005635.db2.gz JCCUCBCRXKVZLI-AWEZNQCLSA-N 0 1 268.332 0.673 20 30 CCEDMN C#CCSCC(=O)NC1CCN(CC#C)CC1 ZINC000928659876 613011714 /nfs/dbraw/zinc/01/17/14/613011714.db2.gz CETIEFOMWQJCAS-UHFFFAOYSA-N 0 1 250.367 0.567 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000819095841 602016770 /nfs/dbraw/zinc/01/67/70/602016770.db2.gz MUEMAEKSRDSEJK-CHWSQXEVSA-N 0 1 276.292 0.377 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000819095841 602016772 /nfs/dbraw/zinc/01/67/72/602016772.db2.gz MUEMAEKSRDSEJK-CHWSQXEVSA-N 0 1 276.292 0.377 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](c2ccn(C)n2)C1 ZINC000932140377 612949780 /nfs/dbraw/zinc/94/97/80/612949780.db2.gz YUTIKHSKITWDFR-QWHCGFSZSA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CC1)C[C@@H](O)CCO2 ZINC000933066170 612949800 /nfs/dbraw/zinc/94/98/00/612949800.db2.gz MFWFGQDBUCIWRK-STQMWFEESA-N 0 1 280.368 0.130 20 30 CCEDMN COc1cc(C=NNc2nnc(C)n2C)cc(O)c1O ZINC000853433711 617179530 /nfs/dbraw/zinc/17/95/30/617179530.db2.gz PWKWKCKWIIFTNJ-UHFFFAOYSA-N 0 1 277.284 0.989 20 30 CCEDMN C#CCNC(=O)c1ccc(N(C)CC2CN(C)C2)nc1 ZINC000853825936 612952027 /nfs/dbraw/zinc/95/20/27/612952027.db2.gz XAOSZQVBKAKEEO-UHFFFAOYSA-N 0 1 272.352 0.442 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CN(CC#N)CC[C@@H]2C)[nH]n1 ZINC000965890820 649939631 /nfs/dbraw/zinc/93/96/31/649939631.db2.gz MRRBZVQMEWAQRI-GWCFXTLKSA-N 0 1 275.356 0.611 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CN(CC#N)CC[C@H]2C)n[nH]1 ZINC000966000778 649946373 /nfs/dbraw/zinc/94/63/73/649946373.db2.gz RTRMTRQFGSWCPY-KOLCDFICSA-N 0 1 276.344 0.006 20 30 CCEDMN N#Cc1ccc([C@H](C(=O)[O-])[N@H+]2CC[C@H](CO)C2)cc1 ZINC000833071011 604768011 /nfs/dbraw/zinc/76/80/11/604768011.db2.gz CETSZJDBGYDAGD-WCQYABFASA-N 0 1 260.293 0.998 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)[C@]2(C)C[C@H](O)CN2C)C1 ZINC000912985268 613046659 /nfs/dbraw/zinc/04/66/59/613046659.db2.gz CQKFTMGEZRRFKM-DYEKYZERSA-N 0 1 250.342 0.313 20 30 CCEDMN N#CC1(NC(=O)C[NH+]2CCC(NC(=O)[O-])CC2)CCC1 ZINC000831070626 605670881 /nfs/dbraw/zinc/67/08/81/605670881.db2.gz PEYDBCCDWXVEJY-UHFFFAOYSA-N 0 1 280.328 0.281 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@H+]2CC[C@H](NC(=O)[O-])C2)cc1 ZINC000831123041 605917448 /nfs/dbraw/zinc/91/74/48/605917448.db2.gz ZJQZGPBOMQYCTF-QWHCGFSZSA-N 0 1 275.308 0.934 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@H]1NC(=O)c1cncc2nc[nH]c21 ZINC000966234513 649963506 /nfs/dbraw/zinc/96/35/06/649963506.db2.gz NGBHOAPSQRLBHW-GXFFZTMASA-N 0 1 298.350 0.922 20 30 CCEDMN Cc1nc(-c2nnn[n-]2)c(N=NC2C[N@H+](C)C[C@@H]2C)o1 ZINC000822424666 606461910 /nfs/dbraw/zinc/46/19/10/606461910.db2.gz YOWGQZHDQWCEAU-LURJTMIESA-N 0 1 276.304 0.513 20 30 CCEDMN Cc1nc(-c2nn[n-]n2)c(N=NC2C[N@@H+](C)C[C@@H]2C)o1 ZINC000822424666 606461912 /nfs/dbraw/zinc/46/19/12/606461912.db2.gz YOWGQZHDQWCEAU-LURJTMIESA-N 0 1 276.304 0.513 20 30 CCEDMN Cc1nc(-c2nn[n-]n2)c(N=NC2C[N@H+](C)C[C@@H]2C)o1 ZINC000822424666 606461913 /nfs/dbraw/zinc/46/19/13/606461913.db2.gz YOWGQZHDQWCEAU-LURJTMIESA-N 0 1 276.304 0.513 20 30 CCEDMN C#CCCS(=O)(=O)NCCN1CCC(C)(C)C1 ZINC000908082368 613054026 /nfs/dbraw/zinc/05/40/26/613054026.db2.gz CAACINDTYPISOP-UHFFFAOYSA-N 0 1 258.387 0.661 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)NC[C@H]1CC[N@@H+]1CC ZINC000890062595 613061469 /nfs/dbraw/zinc/06/14/69/613061469.db2.gz XWHJAVRNTYTBMD-NEPJUHHUSA-N 0 1 253.346 0.154 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)NC[C@H]1CCN1CC ZINC000890062595 613061470 /nfs/dbraw/zinc/06/14/70/613061470.db2.gz XWHJAVRNTYTBMD-NEPJUHHUSA-N 0 1 253.346 0.154 20 30 CCEDMN C#CCN(C(=O)NC[C@H]1COCCN1)C1CCCC1 ZINC000861683406 613067529 /nfs/dbraw/zinc/06/75/29/613067529.db2.gz NHVQWSAEKDAVIV-LBPRGKRZSA-N 0 1 265.357 0.562 20 30 CCEDMN C#CCNCC(=O)NCCc1cc(OC)ccc1OC ZINC000912239115 612956256 /nfs/dbraw/zinc/95/62/56/612956256.db2.gz JXKCIOLOKCDNGN-UHFFFAOYSA-N 0 1 276.336 0.585 20 30 CCEDMN CCn1ccnc1CN=Nc1oc(C)nc1-c1nn[nH]n1 ZINC000825804955 607886790 /nfs/dbraw/zinc/88/67/90/607886790.db2.gz LTFXKRLUFJGDTN-UHFFFAOYSA-N 0 1 287.287 0.826 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCC2CCOCC2)o1 ZINC000826322990 607886984 /nfs/dbraw/zinc/88/69/84/607886984.db2.gz KZPIKXJAUCWPES-UHFFFAOYSA-N 0 1 277.288 0.987 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000820412396 613080807 /nfs/dbraw/zinc/08/08/07/613080807.db2.gz MKLQSANMCMRHOZ-VXGBXAGGSA-N 0 1 267.373 0.495 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2nc(CC)c[nH]2)C1 ZINC000971713730 613081786 /nfs/dbraw/zinc/08/17/86/613081786.db2.gz OZANAHMLIDGZDF-CYBMUJFWSA-N 0 1 274.368 0.681 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2nnc(C)o2)C1 ZINC000971486837 613090753 /nfs/dbraw/zinc/09/07/53/613090753.db2.gz OFFCQRPSTIXBQO-NSHDSACASA-N 0 1 262.313 0.086 20 30 CCEDMN C#CC1CCN(C(=O)N[C@@H]2CCN(CCOC)C2)CC1 ZINC000826046291 612963765 /nfs/dbraw/zinc/96/37/65/612963765.db2.gz NMSIYHLXVGHOSQ-CQSZACIVSA-N 0 1 279.384 0.762 20 30 CCEDMN C=CC[C@@H](C)N1CCN(C(=O)C(OC)OC)CC1 ZINC000930954435 613399775 /nfs/dbraw/zinc/39/97/75/613399775.db2.gz LEOLSDPNXKVULS-LLVKDONJSA-N 0 1 256.346 0.714 20 30 CCEDMN COc1cc(NC(=O)NCC#CCN(C)C)ncn1 ZINC000930535599 617202834 /nfs/dbraw/zinc/20/28/34/617202834.db2.gz IHQYJDSTQSMHIP-UHFFFAOYSA-N 0 1 263.301 0.172 20 30 CCEDMN C#CCCCCNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000126114198 612977961 /nfs/dbraw/zinc/97/79/61/612977961.db2.gz WTRHAGVYJHSWAA-AWEZNQCLSA-N 0 1 280.416 0.725 20 30 CCEDMN COc1ccc(O[C@H](C)C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834517328 617277052 /nfs/dbraw/zinc/27/70/52/617277052.db2.gz UNIWYKCCQAEUKE-UHIISALHSA-N 0 1 289.335 0.690 20 30 CCEDMN COc1cccc(CC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834512148 617295592 /nfs/dbraw/zinc/29/55/92/617295592.db2.gz SESUJVNBWZNJMK-YPMHNXCESA-N 0 1 259.309 0.465 20 30 CCEDMN COc1cccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c1OC ZINC000886976596 617304122 /nfs/dbraw/zinc/30/41/22/617304122.db2.gz GIXDXBXCBBDJFK-ONGXEEELSA-N 0 1 290.323 0.937 20 30 CCEDMN C#CC1(O)CN(C(=O)NCCN2CCc3ccccc3C2)C1 ZINC000891882974 612954668 /nfs/dbraw/zinc/95/46/68/612954668.db2.gz WLBMCRUIROSWGZ-UHFFFAOYSA-N 0 1 299.374 0.434 20 30 CCEDMN C#CC1CCN([C@H](C)C(=O)N(C)CC(=O)NC2CC2)CC1 ZINC000830326371 612965216 /nfs/dbraw/zinc/96/52/16/612965216.db2.gz MKJOCEWOJLOERP-GFCCVEGCSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CCN(C)CCN(C)CC1 ZINC000888986507 612965326 /nfs/dbraw/zinc/96/53/26/612965326.db2.gz JMUJGPBXDWSDCO-UHFFFAOYSA-N 0 1 265.401 0.742 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1C[C@H]1C(N)=O ZINC000960305299 612971372 /nfs/dbraw/zinc/97/13/72/612971372.db2.gz XGTPUMWMSIHFHA-LXTVHRRPSA-N 0 1 297.358 0.113 20 30 CCEDMN C#CCC1(O)CCN(C(=O)C2CN([C@H]3CCOC3)C2)CC1 ZINC000899225365 612971448 /nfs/dbraw/zinc/97/14/48/612971448.db2.gz ZOVPEANKZBTCJZ-AWEZNQCLSA-N 0 1 292.379 0.084 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1cnn(C)n1 ZINC000960317243 612971529 /nfs/dbraw/zinc/97/15/29/612971529.db2.gz RKMJAVQVCRCBQE-UKRRQHHQSA-N 0 1 295.346 0.434 20 30 CCEDMN C#CCC1(O)CCN(C(=O)NCc2n[nH]c(CC)n2)CC1 ZINC000883715338 612971920 /nfs/dbraw/zinc/97/19/20/612971920.db2.gz IETUSGAVCRSVRC-UHFFFAOYSA-N 0 1 291.355 0.427 20 30 CCEDMN C#CCC1(O)CCN(C(=O)[C@H](C)CN2CCOCC2)CC1 ZINC000882526885 612972859 /nfs/dbraw/zinc/97/28/59/612972859.db2.gz SJRVGEKOYFXBHT-CQSZACIVSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCCCC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000841457466 612975071 /nfs/dbraw/zinc/97/50/71/612975071.db2.gz RKZXYOQNOSUUOL-CHWSQXEVSA-N 0 1 252.358 0.703 20 30 CCEDMN C#CCCC[N@@H+]1CC[C@H](C(=O)OC)C[C@H]1C(=O)[O-] ZINC000833362372 612978585 /nfs/dbraw/zinc/97/85/85/612978585.db2.gz OIKOROZAPGNMAP-QWRGUYRKSA-N 0 1 253.298 0.738 20 30 CCEDMN C#CCCCNC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000842178881 612981766 /nfs/dbraw/zinc/98/17/66/612981766.db2.gz VWSNDOSPRWEOJA-CHWSQXEVSA-N 0 1 267.373 0.496 20 30 CCEDMN C#CCCN(CCOC)C(=O)CSc1nnc(C)[nH]1 ZINC000856388800 612987180 /nfs/dbraw/zinc/98/71/80/612987180.db2.gz OXXYNCXWTOPDBK-UHFFFAOYSA-N 0 1 282.369 0.704 20 30 CCEDMN C#CCCN(CCOC)C(=O)CSc1nc(C)n[nH]1 ZINC000856388800 612987182 /nfs/dbraw/zinc/98/71/82/612987182.db2.gz OXXYNCXWTOPDBK-UHFFFAOYSA-N 0 1 282.369 0.704 20 30 CCEDMN C#CCCN(CCOC)C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000854080827 612987803 /nfs/dbraw/zinc/98/78/03/612987803.db2.gz WSSFGUQRJCBQBN-GFCCVEGCSA-N 0 1 290.367 0.948 20 30 CCEDMN C#CCCN(CCOC)C[C@H]1CN(CC)C(=O)O1 ZINC000852750349 612989588 /nfs/dbraw/zinc/98/95/88/612989588.db2.gz REZKEUWKOMKFMM-LBPRGKRZSA-N 0 1 254.330 0.799 20 30 CCEDMN C#CCOCCN[C@]1(CO)CCOc2ccccc21 ZINC000852011415 612989875 /nfs/dbraw/zinc/98/98/75/612989875.db2.gz KPGPXBIESAHGRL-HNNXBMFYSA-N 0 1 261.321 0.896 20 30 CCEDMN C#CCCN1CC(N(CC)C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC000950055544 612990550 /nfs/dbraw/zinc/99/05/50/612990550.db2.gz BNUZJINWHWGEJA-VXGBXAGGSA-N 0 1 265.313 0.208 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H]1CC[N@H+]1Cc1ccc(OC)cc1 ZINC000824483814 612992653 /nfs/dbraw/zinc/99/26/53/612992653.db2.gz KZSFOTZNEGPREW-AWEZNQCLSA-N 0 1 274.320 0.951 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H]1CC[N@@H+]1Cc1ccc(OC)cc1 ZINC000824483814 612992655 /nfs/dbraw/zinc/99/26/55/612992655.db2.gz KZSFOTZNEGPREW-AWEZNQCLSA-N 0 1 274.320 0.951 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000837928419 612996748 /nfs/dbraw/zinc/99/67/48/612996748.db2.gz VLWXYQKWBPQOFW-VIFPVBQESA-N 0 1 264.329 0.757 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@H](OC)[C@@H]2CCOC2)CC1 ZINC000981692709 613003373 /nfs/dbraw/zinc/00/33/73/613003373.db2.gz GIWUQJNAVCLZPB-CABCVRRESA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCCN1CCCN(C(=O)Cc2nonc2C)CC1 ZINC000983453242 613003711 /nfs/dbraw/zinc/00/37/11/613003711.db2.gz GTNUVYZFLYNYQQ-UHFFFAOYSA-N 0 1 276.340 0.478 20 30 CCEDMN C#CCO[C@H](C)C(=O)Nc1n[nH]c2cc(C)n(C)c(=O)c21 ZINC000910649269 613003821 /nfs/dbraw/zinc/00/38/21/613003821.db2.gz FDYHEIQSAJMRIK-SECBINFHSA-N 0 1 288.307 0.547 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@]2(C)CNC(=O)C2)CC1 ZINC000981676833 613004421 /nfs/dbraw/zinc/00/44/21/613004421.db2.gz RSDCNWQUAZZICX-OAHLLOKOSA-N 0 1 277.368 0.070 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2nc(C)c[nH]2)CC1 ZINC000982139290 613006762 /nfs/dbraw/zinc/00/67/62/613006762.db2.gz OLPJFEJPISDIIY-UHFFFAOYSA-N 0 1 260.341 0.889 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C23CC(C(=O)[O-])(C2)C3)CC1 ZINC000911251589 613008997 /nfs/dbraw/zinc/00/89/97/613008997.db2.gz BGYZAWDSVBJWEQ-UHFFFAOYSA-N 0 1 276.336 0.409 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2cnn(C)n2)C1 ZINC000968418725 613014653 /nfs/dbraw/zinc/01/46/53/613014653.db2.gz NBHHBGVHCZEKEG-YPMHNXCESA-N 0 1 275.356 0.279 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1CCc2c[nH+]c(C)n2C1)C(=O)[O-] ZINC000910049575 613040767 /nfs/dbraw/zinc/04/07/67/613040767.db2.gz XYFRKZJMEWNOTG-ZYHUDNBSSA-N 0 1 275.308 0.347 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC000825979451 613044542 /nfs/dbraw/zinc/04/45/42/613044542.db2.gz SJWFJIDIQBHKML-HUUCEWRRSA-N 0 1 292.427 0.677 20 30 CCEDMN C#CC[C@@H]1CCN(CC(=O)N(C)CC(=O)NCCC)C1 ZINC000886355928 613046847 /nfs/dbraw/zinc/04/68/47/613046847.db2.gz QFTKTEONIRVBSW-CYBMUJFWSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCCOC(=O)N1CCN(C)CCN(C)CC1 ZINC000907790072 613048980 /nfs/dbraw/zinc/04/89/80/613048980.db2.gz LEKBXWFUCBCVPZ-UHFFFAOYSA-N 0 1 253.346 0.326 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NCCN1CCC1 ZINC000875918537 613050708 /nfs/dbraw/zinc/05/07/08/613050708.db2.gz SNAYYIXNPURPPW-GFCCVEGCSA-N 0 1 253.346 0.420 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NCc1n[nH]c(C2CC2)n1 ZINC000875629961 613051242 /nfs/dbraw/zinc/05/12/42/613051242.db2.gz ABAXDMHGPREWNS-LLVKDONJSA-N 0 1 291.355 0.910 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)N[C@H](C)c1nnc[nH]1 ZINC000875918087 613051585 /nfs/dbraw/zinc/05/15/85/613051585.db2.gz LJPDNOBORQEZFW-NXEZZACHSA-N 0 1 265.317 0.593 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@H]1CCCCN1C1CC1 ZINC000808310362 613054400 /nfs/dbraw/zinc/05/44/00/613054400.db2.gz XATWCUSFIRCGAR-CYBMUJFWSA-N 0 1 270.398 0.946 20 30 CCEDMN C#CC[C@H](CO)NC(=O)[C@H]1CCN1C1CCCC1 ZINC000823053696 613057085 /nfs/dbraw/zinc/05/70/85/613057085.db2.gz SXEOEOIICHPXKU-DGCLKSJQSA-N 0 1 250.342 0.504 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1CCN(C)C[C@@H]1CC ZINC000875926636 613061746 /nfs/dbraw/zinc/06/17/46/613061746.db2.gz HVZKXDMTMKNGBA-OLZOCXBDSA-N 0 1 267.373 0.760 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1nnc2ccccc2c1O ZINC000799080782 613063889 /nfs/dbraw/zinc/06/38/89/613063889.db2.gz AIPTWXHKCGMPKB-JTQLQIEISA-N 0 1 285.303 0.840 20 30 CCEDMN C#CCN(C(=O)C1CN([C@H]2CCOC2)C1)C(C)C ZINC000928624019 613065297 /nfs/dbraw/zinc/06/52/97/613065297.db2.gz UULCPBDCHPDBCG-ZDUSSCGKSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCN(C)C(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000925164534 613071821 /nfs/dbraw/zinc/07/18/21/613071821.db2.gz OJRJGUOVNUIWJV-NWDGAFQWSA-N 0 1 267.398 0.841 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(=O)N2CCC[C@@H](C)C2)C1 ZINC000972494778 613079939 /nfs/dbraw/zinc/07/99/39/613079939.db2.gz DYXMCYDFLFJGDX-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cn2ccccc2=O)C1 ZINC000980362520 613081856 /nfs/dbraw/zinc/08/18/56/613081856.db2.gz NJLUYNZJCUNGFM-CYBMUJFWSA-N 0 1 273.336 0.014 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)n2cccn2)C1 ZINC000971122259 613082370 /nfs/dbraw/zinc/08/23/70/613082370.db2.gz SEQLYBVLSPYNQW-CHWSQXEVSA-N 0 1 260.341 0.610 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C)[nH]c2=O)C1 ZINC000972426218 613086135 /nfs/dbraw/zinc/08/61/35/613086135.db2.gz KQNLTOKGPNRSTH-GFCCVEGCSA-N 0 1 273.336 0.875 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccoc2CC(N)=O)C1 ZINC000972187378 613086785 /nfs/dbraw/zinc/08/67/85/613086785.db2.gz UONUNVIOGSPMHV-LLVKDONJSA-N 0 1 289.335 0.087 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc(Cl)n2C)C1 ZINC000971939165 613087529 /nfs/dbraw/zinc/08/75/29/613087529.db2.gz FLGZRFJCMHYUAM-SNVBAGLBSA-N 0 1 280.759 0.853 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2cnn(C)c2C)C1 ZINC000971613213 613089849 /nfs/dbraw/zinc/08/98/49/613089849.db2.gz KJARJQQVEKOLME-HNNXBMFYSA-N 0 1 288.395 0.827 20 30 CCEDMN C#C[C@@H](NC(=O)C1CN([C@H]2CCOC2)C1)C(C)C ZINC000897653427 613091608 /nfs/dbraw/zinc/09/16/08/613091608.db2.gz RMNCJGDESLEXAQ-QWHCGFSZSA-N 0 1 250.342 0.481 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)c2ccnn2C)C1 ZINC000971555434 613092178 /nfs/dbraw/zinc/09/21/78/613092178.db2.gz FSEYSOHCMTWWQI-OLZOCXBDSA-N 0 1 274.368 0.690 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](OC)C2CCC2)C1 ZINC000972027617 613092599 /nfs/dbraw/zinc/09/25/99/613092599.db2.gz UHUWOSNFQHTHRX-UONOGXRCSA-N 0 1 264.369 0.967 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1cc(N)ccn1)[C@H]1CCCO1 ZINC000891813208 613096546 /nfs/dbraw/zinc/09/65/46/613096546.db2.gz IRYWJENVLXWZMM-CHWSQXEVSA-N 0 1 274.324 0.644 20 30 CCEDMN C#CCN(C)c1nnc(Cc2cc(C)n[nH]2)n1CC ZINC000871067379 613097490 /nfs/dbraw/zinc/09/74/90/613097490.db2.gz JXRUVCAWXOTTNX-UHFFFAOYSA-N 0 1 258.329 0.990 20 30 CCEDMN C#CCN(CC#C)Cc1n[nH]c(C)c1C(=O)OCC ZINC000821379069 613099125 /nfs/dbraw/zinc/09/91/25/613099125.db2.gz AQEHTJKCMCBQHS-UHFFFAOYSA-N 0 1 259.309 0.963 20 30 CCEDMN C#CC[N@H+](CC(=O)N1CCO[C@H](C)C1)C(C)(C)C ZINC000932465486 613102412 /nfs/dbraw/zinc/10/24/12/613102412.db2.gz HXMWRCKOZHVBJR-GFCCVEGCSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN(CC(=O)N1CCO[C@H](C)C1)C(C)(C)C ZINC000932465486 613102415 /nfs/dbraw/zinc/10/24/15/613102415.db2.gz HXMWRCKOZHVBJR-GFCCVEGCSA-N 0 1 252.358 0.967 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2CCOC[C@H]2C2CC2)C1 ZINC000847021778 613106058 /nfs/dbraw/zinc/10/60/58/613106058.db2.gz PBWASCLKIRZUQP-HIFRSBDPSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC000957129365 613111268 /nfs/dbraw/zinc/11/12/68/613111268.db2.gz BJNLAVPLKPOEQU-NSHDSACASA-N 0 1 287.367 0.076 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC000957129365 613111269 /nfs/dbraw/zinc/11/12/69/613111269.db2.gz BJNLAVPLKPOEQU-NSHDSACASA-N 0 1 287.367 0.076 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000820411130 613115919 /nfs/dbraw/zinc/11/59/19/613115919.db2.gz CZZJBLNXGYVHRA-QJPTWQEYSA-N 0 1 253.346 0.105 20 30 CCEDMN C#C[C@H](CC)NC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000847401274 613121252 /nfs/dbraw/zinc/12/12/52/613121252.db2.gz FDQPHGBFZYHUSA-NXEZZACHSA-N 0 1 277.328 0.867 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCN(C(C)=O)CC2)CC1 ZINC000939941257 613128752 /nfs/dbraw/zinc/12/87/52/613128752.db2.gz BBHUJXLJALVBLG-UHFFFAOYSA-N 0 1 291.395 0.412 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@H](COCC)C2)CC1 ZINC000862958964 613129109 /nfs/dbraw/zinc/12/91/09/613129109.db2.gz MCVOJDZBRDAEPW-HNNXBMFYSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](N(C)CC#N)C2)CC1 ZINC000980478272 613129213 /nfs/dbraw/zinc/12/92/13/613129213.db2.gz NTQLPYAXIUFGSJ-OAHLLOKOSA-N 0 1 288.395 0.388 20 30 CCEDMN C#CCN1CCC(C(=O)N2[C@H](C)C(=O)N[C@H](C)[C@H]2C)CC1 ZINC000911785814 613130133 /nfs/dbraw/zinc/13/01/33/613130133.db2.gz JCHFNYRIXWMFAK-JHJVBQTASA-N 0 1 291.395 0.456 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2c[nH]nc2C#N)CC1 ZINC000875845711 613131908 /nfs/dbraw/zinc/13/19/08/613131908.db2.gz HTPPGTNPRDKCOY-UHFFFAOYSA-N 0 1 257.297 0.565 20 30 CCEDMN C#CCN1CCC(NC(=O)CCNC(=O)OCC)CC1 ZINC000928656633 613132927 /nfs/dbraw/zinc/13/29/27/613132927.db2.gz CNFOORULBGMIFY-UHFFFAOYSA-N 0 1 281.356 0.336 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCn2ccnc2)CC1 ZINC000928655131 613132966 /nfs/dbraw/zinc/13/29/66/613132966.db2.gz LGMVFYUTUZROSX-UHFFFAOYSA-N 0 1 274.368 0.877 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ccncc2)CC1 ZINC000902938901 613133421 /nfs/dbraw/zinc/13/34/21/613133421.db2.gz DDEPUBHKVUXFOO-UHFFFAOYSA-N 0 1 258.325 0.723 20 30 CCEDMN C#C[C@H](NC(=O)CN1CC[C@@H](C)C1)C1CCOCC1 ZINC000856099351 613133465 /nfs/dbraw/zinc/13/34/65/613133465.db2.gz ARYCLWXJNUJGJT-OCCSQVGLSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC2(COC)CC2)CC1 ZINC000923120645 613134051 /nfs/dbraw/zinc/13/40/51/613134051.db2.gz QVPGFQYBFPQKGS-UHFFFAOYSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cc(C)on2)CC1 ZINC000914375704 613134268 /nfs/dbraw/zinc/13/42/68/613134268.db2.gz KAJWQXWUEDETDL-UHFFFAOYSA-N 0 1 276.340 0.880 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)CCOC)CC1 ZINC000915845356 613134362 /nfs/dbraw/zinc/13/43/62/613134362.db2.gz GSBHWKDIAVVUML-LBPRGKRZSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCO[C@@H](C)C2)CC1 ZINC000921754185 613134838 /nfs/dbraw/zinc/13/48/38/613134838.db2.gz KGJFHHOEUHNXAX-GXTWGEPZSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)c2cn(C)nn2)CC1 ZINC000925955263 613134994 /nfs/dbraw/zinc/13/49/94/613134994.db2.gz DHRCBGZJYTURHB-NSHDSACASA-N 0 1 290.371 0.273 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](CCOC)COC)CC1 ZINC000922538473 613135064 /nfs/dbraw/zinc/13/50/64/613135064.db2.gz NOKCQJUCBUNABI-AWEZNQCLSA-N 0 1 297.399 0.435 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2nncs2)CC1 ZINC000902940496 613135224 /nfs/dbraw/zinc/13/52/24/613135224.db2.gz RIZDSJGWSLAOLA-UHFFFAOYSA-N 0 1 265.342 0.757 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)n2cncn2)CC1 ZINC000928654450 613135717 /nfs/dbraw/zinc/13/57/17/613135717.db2.gz CBSWWLINQCBPLW-LLVKDONJSA-N 0 1 261.329 0.053 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000928659508 613136652 /nfs/dbraw/zinc/13/66/52/613136652.db2.gz OWGZNSZHXDJDPR-LBPRGKRZSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCOC[C@@H]2C)CC1 ZINC000928652735 613136894 /nfs/dbraw/zinc/13/68/94/613136894.db2.gz KKWNCBRNCHNJEQ-JSGCOSHPSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C=O)cn2)CC1 ZINC000830162789 613137096 /nfs/dbraw/zinc/13/70/96/613137096.db2.gz PIFKMTGFWNBZMO-UHFFFAOYSA-N 0 1 271.320 0.722 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C)nc(C)n2)CC1 ZINC000928654278 613137153 /nfs/dbraw/zinc/13/71/53/613137153.db2.gz VGCKPRMOBWGNCN-UHFFFAOYSA-N 0 1 272.352 0.921 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C)nn2C)CC1 ZINC000928657814 613137229 /nfs/dbraw/zinc/13/72/29/613137229.db2.gz ZXDAFRNORAPKHA-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cncnc2CC)CC1 ZINC000928657666 613137476 /nfs/dbraw/zinc/13/74/76/613137476.db2.gz SCZARCNIHHTTGF-UHFFFAOYSA-N 0 1 272.352 0.866 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccc(C(=O)NC)c2)CC1 ZINC000928655622 613137482 /nfs/dbraw/zinc/13/74/82/613137482.db2.gz URGIYELFAMQZSY-UHFFFAOYSA-N 0 1 299.374 0.874 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnc(OC)n2)CC1 ZINC000830169214 613137590 /nfs/dbraw/zinc/13/75/90/613137590.db2.gz UIXUXUVPNBOKAL-UHFFFAOYSA-N 0 1 274.324 0.313 20 30 CCEDMN C#C[C@H](NC(=O)NCc1n[nH]c(C(C)C)n1)[C@@H]1CCCO1 ZINC000838559414 613141743 /nfs/dbraw/zinc/14/17/43/613141743.db2.gz MDGGWRNKQCRROQ-QWRGUYRKSA-N 0 1 291.355 0.908 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CCCNC3=O)C2)C1 ZINC000981825273 613142081 /nfs/dbraw/zinc/14/20/81/613142081.db2.gz AZPUFKWLPJHHSL-CYBMUJFWSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC000968923568 613148482 /nfs/dbraw/zinc/14/84/82/613148482.db2.gz XKONCHLXKCYEEH-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)NCCC(=O)OC)C1 ZINC000847026551 613158716 /nfs/dbraw/zinc/15/87/16/613158716.db2.gz FSGLFLACIPYQGZ-NSHDSACASA-N 0 1 252.314 0.011 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2ncnc3c2ncn3C)C1 ZINC000884612866 613176554 /nfs/dbraw/zinc/17/65/54/613176554.db2.gz WIBVYOWZWHCYIE-LLVKDONJSA-N 0 1 270.340 0.873 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2cc3nncn3c(C)n2)C1 ZINC000884612319 613176661 /nfs/dbraw/zinc/17/66/61/613176661.db2.gz JISUIBOBHOMFLZ-GFCCVEGCSA-N 0 1 270.340 0.942 20 30 CCEDMN C#Cc1ccc(C(=O)NC2(c3nnc[nH]3)CCC2)nc1 ZINC000797759111 613177243 /nfs/dbraw/zinc/17/72/43/613177243.db2.gz UYQUXDIFVGNYAW-UHFFFAOYSA-N 0 1 267.292 0.990 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C/Cn2cncn2)C1 ZINC000923555256 613180842 /nfs/dbraw/zinc/18/08/42/613180842.db2.gz SCRZPIKJSQFMJE-BPJJOFIESA-N 0 1 273.340 0.048 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C\c2ccncn2)C1 ZINC000923564510 613181077 /nfs/dbraw/zinc/18/10/77/613181077.db2.gz ZKNPPQDPJVDWLL-GXMNPTEKSA-N 0 1 270.336 0.704 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC000819721132 613197597 /nfs/dbraw/zinc/19/75/97/613197597.db2.gz XKMXSDAGVKJMPI-RYUDHWBXSA-N 0 1 273.340 0.218 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NCC1(C(=O)[O-])CCCC1 ZINC000825618947 613198642 /nfs/dbraw/zinc/19/86/42/613198642.db2.gz IICVYBZFMKOIKC-LBPRGKRZSA-N 0 1 278.352 0.845 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCC1(C(=O)[O-])CCCC1 ZINC000825618947 613198643 /nfs/dbraw/zinc/19/86/43/613198643.db2.gz IICVYBZFMKOIKC-LBPRGKRZSA-N 0 1 278.352 0.845 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCCC(C)(C)C(=O)[O-] ZINC000909748089 613198754 /nfs/dbraw/zinc/19/87/54/613198754.db2.gz JJCREZMEXSDZNH-NSHDSACASA-N 0 1 266.341 0.701 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@H](CC(=O)[O-])C1CC1 ZINC000909966768 613199203 /nfs/dbraw/zinc/19/92/03/613199203.db2.gz WFMRKCOTAXTRAT-STQMWFEESA-N 0 1 278.352 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@H](CC(=O)[O-])C1CC1 ZINC000909966768 613199205 /nfs/dbraw/zinc/19/92/05/613199205.db2.gz WFMRKCOTAXTRAT-STQMWFEESA-N 0 1 278.352 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@H](CCC)C(=O)[O-] ZINC000909812128 613200188 /nfs/dbraw/zinc/20/01/88/613200188.db2.gz QJYJJYNTFJOGLT-RYUDHWBXSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000909833438 613202045 /nfs/dbraw/zinc/20/20/45/613202045.db2.gz ZNYORWQMGDMZNL-AXFHLTTASA-N 0 1 250.298 0.063 20 30 CCEDMN COc1cccnc1NC(=O)NCC#CCN(C)C ZINC000930781971 617323388 /nfs/dbraw/zinc/32/33/88/617323388.db2.gz OTHCIXLFRBUDRO-UHFFFAOYSA-N 0 1 262.313 0.777 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000965940187 613222486 /nfs/dbraw/zinc/22/24/86/613222486.db2.gz CRQRNSYJXKVTNN-VXGBXAGGSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC000965940187 613222488 /nfs/dbraw/zinc/22/24/88/613222488.db2.gz CRQRNSYJXKVTNN-VXGBXAGGSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2COCCO2)C(C)(C)C1 ZINC000940589705 613229032 /nfs/dbraw/zinc/22/90/32/613229032.db2.gz XMPDVKPPDXGHGF-QWHCGFSZSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2c[nH]nc2C)C[C@H]1C ZINC000947592722 613231149 /nfs/dbraw/zinc/23/11/49/613231149.db2.gz CXPDDWJQELTYKO-ZYHUDNBSSA-N 0 1 260.341 0.934 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C(N)=O)o2)C[C@H]1C ZINC000947529730 613235216 /nfs/dbraw/zinc/23/52/16/613235216.db2.gz UOBBRZCBNNBKEM-GHMZBOCLSA-N 0 1 289.335 0.594 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnccn2)[C@@H](C)C1 ZINC000941762323 613241577 /nfs/dbraw/zinc/24/15/77/613241577.db2.gz AQNWGVGUXREMAM-NWDGAFQWSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnccn2)C[C@H]1C ZINC000947005188 613241618 /nfs/dbraw/zinc/24/16/18/613241618.db2.gz LQGTVVHEAAHHKU-VXGBXAGGSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2OC)C[C@H]1C ZINC000947971038 613242164 /nfs/dbraw/zinc/24/21/64/613242164.db2.gz GWCVMTSJULUCCN-VXGBXAGGSA-N 0 1 290.367 0.645 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2coc(OC)n2)C[C@@H]1C ZINC000947576061 613243004 /nfs/dbraw/zinc/24/30/04/613243004.db2.gz GYYZEBRSBLGRFJ-WDEREUQCSA-N 0 1 277.324 0.899 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2csnn2)[C@H](C)C1 ZINC000942538098 613243125 /nfs/dbraw/zinc/24/31/25/613243125.db2.gz KQLHSODRGFGIPZ-NXEZZACHSA-N 0 1 264.354 0.612 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2n[nH]cc2F)C[C@@H]1C ZINC000947699519 613243647 /nfs/dbraw/zinc/24/36/47/613243647.db2.gz YUBJNUTVNZXROY-VHSXEESVSA-N 0 1 264.304 0.765 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966046240 613247262 /nfs/dbraw/zinc/24/72/62/613247262.db2.gz KDHKMBFOCQJWPH-JSGCOSHPSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966046239 613251554 /nfs/dbraw/zinc/25/15/54/613251554.db2.gz KDHKMBFOCQJWPH-GXTWGEPZSA-N 0 1 274.368 0.422 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC000952433575 613261045 /nfs/dbraw/zinc/26/10/45/613261045.db2.gz VFBJCXKIBCNHSV-UHFFFAOYSA-N 0 1 290.367 0.838 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)n(C)n2)C[C@H]1C ZINC000947533986 613267162 /nfs/dbraw/zinc/26/71/62/613267162.db2.gz WZWVYVQQGMOQCE-NEPJUHHUSA-N 0 1 290.367 0.645 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn(C)n2)C[C@H]1C ZINC000948036728 613272420 /nfs/dbraw/zinc/27/24/20/613272420.db2.gz XIVADROPAUFFGU-NEPJUHHUSA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnccn2)C[C@H]1C ZINC000947005185 613275037 /nfs/dbraw/zinc/27/50/37/613275037.db2.gz LQGTVVHEAAHHKU-NEPJUHHUSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2n[nH]cc2C)C[C@H]1C ZINC000947290580 613277211 /nfs/dbraw/zinc/27/72/11/613277211.db2.gz HTRZIDNNEDJFDM-NEPJUHHUSA-N 0 1 260.341 0.934 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2n[nH]cc2F)C[C@@H]1C ZINC000947699518 613277492 /nfs/dbraw/zinc/27/74/92/613277492.db2.gz YUBJNUTVNZXROY-UWVGGRQHSA-N 0 1 264.304 0.765 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974821749 613280742 /nfs/dbraw/zinc/28/07/42/613280742.db2.gz NTTPZUYGQUUSOA-QWHCGFSZSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2Cc3ccccc3C2)C1 ZINC000958430741 613285785 /nfs/dbraw/zinc/28/57/85/613285785.db2.gz XJUJGEXYWWCFDM-DLBZAZTESA-N 0 1 298.386 0.444 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccccc2CC)C1 ZINC000958349194 613286891 /nfs/dbraw/zinc/28/68/91/613286891.db2.gz GGTRFZLKYCPBLM-GOEBONIOSA-N 0 1 286.375 0.905 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2C)C1 ZINC000957943632 613287816 /nfs/dbraw/zinc/28/78/16/613287816.db2.gz KDGJLXQBFWIQHL-QWHCGFSZSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2occc2CC)C1 ZINC000958375190 613287829 /nfs/dbraw/zinc/28/78/29/613287829.db2.gz RCBTWBYBJJPLMV-QWHCGFSZSA-N 0 1 276.336 0.498 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ocnc2C(F)F)C1 ZINC000958395479 613287840 /nfs/dbraw/zinc/28/78/40/613287840.db2.gz VIBUSYMPNSEXSB-DTWKUNHWSA-N 0 1 299.277 0.268 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccn(C(C)C)n2)[C@H](O)C1 ZINC000958447423 613289777 /nfs/dbraw/zinc/28/97/77/613289777.db2.gz DIEPAEXZXVXHPP-TZMCWYRMSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc(C)c2F)C1 ZINC000958076786 613295240 /nfs/dbraw/zinc/29/52/40/613295240.db2.gz KXOLMHGNQSPHEF-JSGCOSHPSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccoc2C2CC2)C1 ZINC000958349170 613295723 /nfs/dbraw/zinc/29/57/23/613295723.db2.gz FTMRSXJYMAOXRB-JSGCOSHPSA-N 0 1 288.347 0.813 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC000958220500 613295726 /nfs/dbraw/zinc/29/57/26/613295726.db2.gz ZFSNPOCINXPESL-NHYWBVRUSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2c(C)nc[nH]c2=O)C(C)(C)C1 ZINC000974940447 613299709 /nfs/dbraw/zinc/29/97/09/613299709.db2.gz GPMKXTOMZHTFJK-NSHDSACASA-N 0 1 288.351 0.564 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2nccc3occc32)C1 ZINC000958281217 613304682 /nfs/dbraw/zinc/30/46/82/613304682.db2.gz IRCXPZFCYDJUSY-YPMHNXCESA-N 0 1 299.330 0.484 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC000980819967 613311465 /nfs/dbraw/zinc/31/14/65/613311465.db2.gz AXXARRCLAHIUNV-STQMWFEESA-N 0 1 280.368 0.906 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1=CCOCC1 ZINC000961668955 613313618 /nfs/dbraw/zinc/31/36/18/613313618.db2.gz QKKDOXIGSGZGIT-NHAGDIPZSA-N 0 1 260.337 0.403 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccccc1 ZINC000960613600 613314404 /nfs/dbraw/zinc/31/44/04/613314404.db2.gz ZIUODWRIYQLCBP-FOLVSLTJSA-N 0 1 254.333 0.909 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1c[nH]c2cnccc12 ZINC000961599684 613314428 /nfs/dbraw/zinc/31/44/28/613314428.db2.gz RGZVQHOWKQGQGP-HALDLXJZSA-N 0 1 294.358 0.785 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCn2nccc21 ZINC000960651856 613315377 /nfs/dbraw/zinc/31/53/77/613315377.db2.gz GSSQKSWTULMGMH-CXTNEJHOSA-N 0 1 284.363 0.440 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C(N)=O)cc1 ZINC000962515749 613318329 /nfs/dbraw/zinc/31/83/29/613318329.db2.gz DMSVHUHLWYZSID-WDNDVIMCSA-N 0 1 283.331 0.079 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(N(C)C)ccn1 ZINC000962977125 613318470 /nfs/dbraw/zinc/31/84/70/613318470.db2.gz RTRSYMIFDCPIAE-NHAGDIPZSA-N 0 1 284.363 0.441 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2cccn2cn1 ZINC000960503870 613318695 /nfs/dbraw/zinc/31/86/95/613318695.db2.gz CJJFYKCWNREDMR-NHAGDIPZSA-N 0 1 280.331 0.628 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(CC(C)C)n1 ZINC000960119651 613320206 /nfs/dbraw/zinc/32/02/06/613320206.db2.gz WDRHGIXCKWSRPK-NHAGDIPZSA-N 0 1 286.379 0.832 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C2CC2)nc1 ZINC000961956367 613320660 /nfs/dbraw/zinc/32/06/60/613320660.db2.gz DKCZUQJIDNFKDJ-WDNDVIMCSA-N 0 1 282.347 0.647 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2[nH]c(C)nc2c1 ZINC000960232904 613321436 /nfs/dbraw/zinc/32/14/36/613321436.db2.gz HEJYFAOOOVQQPZ-IMRBUKKESA-N 0 1 295.346 0.560 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ocnc1C1CC1 ZINC000961754665 613322383 /nfs/dbraw/zinc/32/23/83/613322383.db2.gz DCIFVMRWQYJPHI-PJXYFTJBSA-N 0 1 271.320 0.845 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1scnc1C ZINC000958308215 613322493 /nfs/dbraw/zinc/32/24/93/613322493.db2.gz XOIJHCBVTVYKKP-URLYPYJESA-N 0 1 261.350 0.745 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1snnc1C ZINC000958193244 613322703 /nfs/dbraw/zinc/32/27/03/613322703.db2.gz QNCLWIHGSMLAPX-MYJAWHEDSA-N 0 1 262.338 0.140 20 30 CCEDMN C#CCNC(=O)CCN(C)C1CN(C(=O)OC(C)(C)C)C1 ZINC000815117865 613324132 /nfs/dbraw/zinc/32/41/32/613324132.db2.gz CUWNWOUBBRWDEF-UHFFFAOYSA-N 0 1 295.383 0.677 20 30 CCEDMN C#CCNC(=O)CNC1(C(=O)N(C)C)CCCCC1 ZINC000831115895 613330781 /nfs/dbraw/zinc/33/07/81/613330781.db2.gz PDXQXHSLROOKIT-UHFFFAOYSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCNC(=O)CNC1(c2ccc3c(c2)OCCO3)CC1 ZINC000934004758 613330787 /nfs/dbraw/zinc/33/07/87/613330787.db2.gz SWRLKQKOWZGDMA-UHFFFAOYSA-N 0 1 286.331 0.786 20 30 CCEDMN C#CCNC(=O)CN[C@]1(CO)CCCc2ccccc21 ZINC000799335911 613331279 /nfs/dbraw/zinc/33/12/79/613331279.db2.gz FQOXHBZUQSDKFO-INIZCTEOSA-N 0 1 272.348 0.550 20 30 CCEDMN C=C(C)CON1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000843313169 613352314 /nfs/dbraw/zinc/35/23/14/613352314.db2.gz MNMSRKZEYIKHCW-VIFPVBQESA-N 0 1 250.258 0.380 20 30 CCEDMN C=C(C)CO[N-]C(=O)C[N@@H+]1CCC[C@H](C(=O)OCC)C1 ZINC000911901650 613352546 /nfs/dbraw/zinc/35/25/46/613352546.db2.gz KZGQAHBBXRLBJE-LBPRGKRZSA-N 0 1 284.356 0.885 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)NCCn1cc[nH+]c1C)C(=O)[O-] ZINC000908914689 613355931 /nfs/dbraw/zinc/35/59/31/613355931.db2.gz XNFKKGYXTWREMQ-LLVKDONJSA-N 0 1 280.328 0.910 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(CC[N@H+]2CCCOCC2)C1=O ZINC000925346932 613356935 /nfs/dbraw/zinc/35/69/35/613356935.db2.gz DNORLDWPDPRGJN-LBPRGKRZSA-N 0 1 281.356 0.595 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(CCN2CCCOCC2)C1=O ZINC000925346932 613356936 /nfs/dbraw/zinc/35/69/36/613356936.db2.gz DNORLDWPDPRGJN-LBPRGKRZSA-N 0 1 281.356 0.595 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(C[C@H]2CN3CCC[C@H]3CO2)C1=O ZINC000865301445 613357105 /nfs/dbraw/zinc/35/71/05/613357105.db2.gz MCQXAUYNOFRKAP-XQQFMLRXSA-N 0 1 293.367 0.736 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000882897807 613357287 /nfs/dbraw/zinc/35/72/87/613357287.db2.gz TZGXQQMICFUPSI-VXGBXAGGSA-N 0 1 272.414 0.867 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3CCC(=O)N3)[C@@H]2C1 ZINC000979017348 613381621 /nfs/dbraw/zinc/38/16/21/613381621.db2.gz MEANYULVZFRDDQ-YFKTTZPYSA-N 0 1 297.786 0.312 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)c3cnon3)[C@@H]2C1 ZINC000978890412 613382012 /nfs/dbraw/zinc/38/20/12/613382012.db2.gz QBZOISCWIHOJJP-OWUUHHOZSA-N 0 1 282.731 0.730 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC000969117853 613384954 /nfs/dbraw/zinc/38/49/54/613384954.db2.gz WAWNTWRHJXQFKN-VXGBXAGGSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cn2cncn2)C[C@H]1C ZINC000939684998 613386304 /nfs/dbraw/zinc/38/63/04/613386304.db2.gz ABTLMBLGWPVUMP-MWLCHTKSSA-N 0 1 283.763 0.467 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N[C@@H](C)CS(=O)(=O)CC ZINC000876664192 613388252 /nfs/dbraw/zinc/38/82/52/613388252.db2.gz PMBYYYQQNIEQHC-DTWKUNHWSA-N 0 1 282.793 0.396 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@@H]1CCCS(=O)(=O)C1 ZINC000876659570 613390357 /nfs/dbraw/zinc/39/03/57/613390357.db2.gz BBDIBVSQBRSDBK-BDAKNGLRSA-N 0 1 280.777 0.150 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)[C@@H]1CNCCO1)C(=O)OCC ZINC000877144562 613390745 /nfs/dbraw/zinc/39/07/45/613390745.db2.gz PRWLOIRMDIOYQF-UWVGGRQHSA-N 0 1 290.747 0.165 20 30 CCEDMN C=CC[C@@H](CO)NS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914367211 613405073 /nfs/dbraw/zinc/40/50/73/613405073.db2.gz IUXGUOPSPPTWSA-STQMWFEESA-N 0 1 288.413 0.329 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@@H](C2OCCO2)C1 ZINC000931999407 613412557 /nfs/dbraw/zinc/41/25/57/613412557.db2.gz QPNJEHGYFHWTQD-GHMZBOCLSA-N 0 1 255.314 0.799 20 30 CCEDMN C=CC[C@H](C)NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000909412043 613413723 /nfs/dbraw/zinc/41/37/23/613413723.db2.gz XLAWUKJDAVACEF-QWRGUYRKSA-N 0 1 254.330 0.864 20 30 CCEDMN C=CCn1c(-c2ncon2)nnc1N1CCN(CC)CC1 ZINC000908732283 613423455 /nfs/dbraw/zinc/42/34/55/613423455.db2.gz LQKBZXWRSVZBLM-UHFFFAOYSA-N 0 1 289.343 0.656 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC(NC(=O)c2ncn[nH]2)CC1 ZINC000947718646 613429934 /nfs/dbraw/zinc/42/99/34/613429934.db2.gz YPCJYUSZDSHIKJ-UHFFFAOYSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC(NC(=O)c2nc[nH]n2)CC1 ZINC000947718646 613429936 /nfs/dbraw/zinc/42/99/36/613429936.db2.gz YPCJYUSZDSHIKJ-UHFFFAOYSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000944182274 613432069 /nfs/dbraw/zinc/43/20/69/613432069.db2.gz NAQKGCCMSYGLIO-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)[C@@H]2CCNC2=O)C1 ZINC000972083356 613434418 /nfs/dbraw/zinc/43/44/18/613434418.db2.gz XUSWSXGPCPFZBW-VXGBXAGGSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCn1cc(CNC(=O)NCc2cc(CC)[nH]n2)nn1 ZINC000823099214 613434708 /nfs/dbraw/zinc/43/47/08/613434708.db2.gz LTMFFCPXOLGDAL-UHFFFAOYSA-N 0 1 289.343 0.749 20 30 CCEDMN C=CCn1cc(CNC[C@@H](O)CC2(CO)CCCC2)nn1 ZINC000905290353 613435660 /nfs/dbraw/zinc/43/56/60/613435660.db2.gz LKFAQBHGPNQPBR-AWEZNQCLSA-N 0 1 294.399 0.857 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CN(C)CCN1C ZINC000932526990 613448698 /nfs/dbraw/zinc/44/86/98/613448698.db2.gz NSUYCWSKAOQEBE-QWHCGFSZSA-N 0 1 253.390 0.951 20 30 CCEDMN C=C[C@@H](O)C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000814549873 613453964 /nfs/dbraw/zinc/45/39/64/613453964.db2.gz NVBAXJGDYYKIFZ-DTWKUNHWSA-N 0 1 280.305 0.718 20 30 CCEDMN C=C[C@@H](O)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000814549873 613453965 /nfs/dbraw/zinc/45/39/65/613453965.db2.gz NVBAXJGDYYKIFZ-DTWKUNHWSA-N 0 1 280.305 0.718 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000913499572 613454009 /nfs/dbraw/zinc/45/40/09/613454009.db2.gz WHBYOAKMMOJGJH-ZETCQYMHSA-N 0 1 273.243 0.311 20 30 CCEDMN C=CCC1(O)CCN(c2nc3[nH]ncc3c(=O)[nH]2)CC1 ZINC000884723594 613460715 /nfs/dbraw/zinc/46/07/15/613460715.db2.gz RFLIJLRQKHEHOJ-UHFFFAOYSA-N 0 1 275.312 0.966 20 30 CCEDMN C=CCCCNC(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000910255017 613467824 /nfs/dbraw/zinc/46/78/24/613467824.db2.gz LIRUAGXXPOGILH-NSHDSACASA-N 0 1 254.330 0.865 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NCc1n[nH]c(C)n1 ZINC000895443785 613469314 /nfs/dbraw/zinc/46/93/14/613469314.db2.gz QPSIRMQJFXKQQU-UWVGGRQHSA-N 0 1 265.317 0.503 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897903391 613470304 /nfs/dbraw/zinc/47/03/04/613470304.db2.gz UCMBMIXCKIJCTO-XQQFMLRXSA-N 0 1 266.341 0.167 20 30 CCEDMN C=C[C@H](C)ONC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000862132691 613488741 /nfs/dbraw/zinc/48/87/41/613488741.db2.gz JQXGEUNOQBQGLH-QMMMGPOBSA-N 0 1 285.325 0.689 20 30 CCEDMN C=C[C@H](CO)NC[C@@H](O)c1cc(Br)no1 ZINC000878995398 613492393 /nfs/dbraw/zinc/49/23/93/613492393.db2.gz QEYQPSYIDCGJED-RNFRBKRXSA-N 0 1 277.118 0.607 20 30 CCEDMN C=CCCN1CCN(C(=O)Cn2nccc2C)CC1 ZINC000950975851 613504982 /nfs/dbraw/zinc/50/49/82/613504982.db2.gz LADAGFOBBGZTLR-UHFFFAOYSA-N 0 1 262.357 0.912 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCC(=O)N2C)CC1 ZINC000949817157 613507532 /nfs/dbraw/zinc/50/75/32/613507532.db2.gz RVEQWXRXUZOJFL-GFCCVEGCSA-N 0 1 265.357 0.328 20 30 CCEDMN C=CCCN1CCN(C(=O)c2cccn(C)c2=O)CC1 ZINC000957375194 613511042 /nfs/dbraw/zinc/51/10/42/613511042.db2.gz GMFFJDMDBQPUIS-UHFFFAOYSA-N 0 1 275.352 0.719 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000896548112 613520970 /nfs/dbraw/zinc/52/09/70/613520970.db2.gz JSKSZAGIVGRIKZ-KGLIPLIRSA-N 0 1 265.401 0.931 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC000970431096 613531290 /nfs/dbraw/zinc/53/12/90/613531290.db2.gz KHFUDNNFCMPQSQ-UPJWGTAASA-N 0 1 291.395 0.348 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2c(C)nnn2CC)C1 ZINC000969830330 613532059 /nfs/dbraw/zinc/53/20/59/613532059.db2.gz RDBCXINSIOCHRO-LLVKDONJSA-N 0 1 289.383 0.680 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@H]1CC[N@H+](CCOC)C1)C(=O)[O-] ZINC000908946265 613590232 /nfs/dbraw/zinc/59/02/32/613590232.db2.gz KDSBJCAQODIZBQ-RYUDHWBXSA-N 0 1 299.371 0.426 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC000968076649 613591551 /nfs/dbraw/zinc/59/15/51/613591551.db2.gz DOOOYVXTYCQGAQ-AAEUAGOBSA-N 0 1 288.351 0.233 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966888300 613592372 /nfs/dbraw/zinc/59/23/72/613592372.db2.gz PZLLAUKIDCVMDL-AAEUAGOBSA-N 0 1 288.351 0.646 20 30 CCEDMN C=CCCn1cc(CN[C@@H]2C[C@H](O)C23CCOCC3)nn1 ZINC000923020174 613599063 /nfs/dbraw/zinc/59/90/63/613599063.db2.gz QQEKIKXDJSOEQI-KGLIPLIRSA-N 0 1 292.383 0.874 20 30 CCEDMN C=CCN(C(=O)[C@H](O)c1c[nH]cn1)[C@@H](C)COC ZINC000869237370 613607238 /nfs/dbraw/zinc/60/72/38/613607238.db2.gz GBUWPFFKWBAXND-GXSJLCMTSA-N 0 1 253.302 0.493 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974862829 613610533 /nfs/dbraw/zinc/61/05/33/613610533.db2.gz JHLRJUWNMHOTPM-MLGOLLRUSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)C(C)(C)C1 ZINC000974702526 613611898 /nfs/dbraw/zinc/61/18/98/613611898.db2.gz ZJEWNMQXXSGAFY-GFCCVEGCSA-N 0 1 288.351 0.646 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)C23CCC(CC2)C3)C1 ZINC000958187258 613612108 /nfs/dbraw/zinc/61/21/08/613612108.db2.gz NVSPELAWZUMIKB-KRIMKBQASA-N 0 1 290.407 0.999 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc[nH]c2)C1 ZINC000958080456 613613019 /nfs/dbraw/zinc/61/30/19/613613019.db2.gz BNCNYMDHGGRXLR-QWHCGFSZSA-N 0 1 261.325 0.061 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccc(C)nc2)[C@H](O)C1 ZINC000957885630 613614380 /nfs/dbraw/zinc/61/43/80/613614380.db2.gz GCERVDJSGDCCKY-HUUCEWRRSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc[nH]2)C1 ZINC000957869387 613618346 /nfs/dbraw/zinc/61/83/46/613618346.db2.gz OCWCPFONCQUTGJ-AAEUAGOBSA-N 0 1 261.325 0.061 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)C2CC(F)(F)C2)C1 ZINC000957948579 613618555 /nfs/dbraw/zinc/61/85/55/613618555.db2.gz RCNRNEMAFYODSW-RYUDHWBXSA-N 0 1 286.322 0.464 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975032185 613622515 /nfs/dbraw/zinc/62/25/15/613622515.db2.gz VTALOEMFRQTVNU-LRDDRELGSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2c(C)noc2C)C1 ZINC000957864259 613625148 /nfs/dbraw/zinc/62/51/48/613625148.db2.gz PVVAPFBNPSJMRR-OLZOCXBDSA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cnoc1 ZINC000961561425 613635226 /nfs/dbraw/zinc/63/52/26/613635226.db2.gz WNLFDSDWDDKJOU-IMRBUKKESA-N 0 1 259.309 0.287 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C)nn1 ZINC000959879916 613639571 /nfs/dbraw/zinc/63/95/71/613639571.db2.gz PAEBOVRIDDUGOX-IMRBUKKESA-N 0 1 270.336 0.468 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccccn1 ZINC000958083640 613640366 /nfs/dbraw/zinc/64/03/66/613640366.db2.gz IHHDGPQWNQDRJK-IMRBUKKESA-N 0 1 255.321 0.765 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2[nH]cnc2c1 ZINC000960123514 613642267 /nfs/dbraw/zinc/64/22/67/613642267.db2.gz MUNIFAOHKLNYTB-IMRBUKKESA-N 0 1 295.346 0.641 20 30 CCEDMN C=CC[N@H+](CCc1cn(CC(=O)[O-])nn1)C(C)C ZINC000833374484 613650706 /nfs/dbraw/zinc/65/07/06/613650706.db2.gz LNBWQGUMSJQWQK-UHFFFAOYSA-N 0 1 252.318 0.802 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000969247940 613665184 /nfs/dbraw/zinc/66/51/84/613665184.db2.gz ZUTVXPFHWMEZJM-SECBINFHSA-N 0 1 262.313 0.418 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cccnc2C(N)=O)C1 ZINC000970910083 613665931 /nfs/dbraw/zinc/66/59/31/613665931.db2.gz CKKQWUDHDWFUJA-SNVBAGLBSA-N 0 1 288.351 0.417 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC000970976663 613669000 /nfs/dbraw/zinc/66/90/00/613669000.db2.gz WHQVKZSKSQSCGP-JQWIXIFHSA-N 0 1 280.372 0.152 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC000969686428 613670237 /nfs/dbraw/zinc/67/02/37/613670237.db2.gz CIYPIIFKHMNRGE-NTZNESFSSA-N 0 1 279.384 0.524 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cccn3nnnc23)C1 ZINC000969745569 613672252 /nfs/dbraw/zinc/67/22/52/613672252.db2.gz VAVRYHRCQOSZHK-JTQLQIEISA-N 0 1 286.339 0.360 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccnc3n[nH]nc32)C1 ZINC000970121657 613672735 /nfs/dbraw/zinc/67/27/35/613672735.db2.gz WDKCEBZFNOMXKI-VIFPVBQESA-N 0 1 286.339 0.589 20 30 CCEDMN C=CCN1CCCN(C(=O)C(C)(C)N2CCOCC2)CC1 ZINC000982215188 613687810 /nfs/dbraw/zinc/68/78/10/613687810.db2.gz SBUFHMIKNSLOPJ-UHFFFAOYSA-N 0 1 295.427 0.818 20 30 CCEDMN C=CCN1CCCN(C(=O)C2=COCCO2)CC1 ZINC000980661115 613688378 /nfs/dbraw/zinc/68/83/78/613688378.db2.gz GZYNZPIPWSSUQF-UHFFFAOYSA-N 0 1 252.314 0.595 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC000982003666 613690879 /nfs/dbraw/zinc/69/08/79/613690879.db2.gz ABOFNFKSQCVJGN-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCC[C@@H](C(N)=O)C2)CC1 ZINC000982062306 613691497 /nfs/dbraw/zinc/69/14/97/613691497.db2.gz JNUVDGQFZFMGTL-ZIAGYGMSSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCC(=O)N2C)CC1 ZINC000981811197 613693632 /nfs/dbraw/zinc/69/36/32/613693632.db2.gz GCYUEVHYZUYJTD-GFCCVEGCSA-N 0 1 265.357 0.328 20 30 CCEDMN C=CCN1CCN(CN2CC[C@]3(CCOC3)C2)C1=O ZINC000840026073 613720656 /nfs/dbraw/zinc/72/06/56/613720656.db2.gz UZUGRTVRNCRNRY-AWEZNQCLSA-N 0 1 265.357 0.980 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC000968481067 613736125 /nfs/dbraw/zinc/73/61/25/613736125.db2.gz XLVOYVFXSXGNRU-VXGBXAGGSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC000965963542 613767385 /nfs/dbraw/zinc/76/73/85/613767385.db2.gz JECRJKOJUIMTDO-JSGCOSHPSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cc2ccn(C)n2)C(C)(C)C1 ZINC000974572485 613826704 /nfs/dbraw/zinc/82/67/04/613826704.db2.gz AWRXNKIJAGAPQP-ZDUSSCGKSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977515307 613827430 /nfs/dbraw/zinc/82/74/30/613827430.db2.gz QQAQKKKFNVUMIC-QWRGUYRKSA-N 0 1 265.357 0.278 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2COCCN2C)C(C)(C)C1 ZINC000974482756 613828161 /nfs/dbraw/zinc/82/81/61/613828161.db2.gz GXDZGCRXBBPBTL-OLZOCXBDSA-N 0 1 281.400 0.330 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cnnn2C)C(C)(C)C1 ZINC000974536780 613829507 /nfs/dbraw/zinc/82/95/07/613829507.db2.gz KBOFBZFRVNAAHJ-NSHDSACASA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCC[C@H]([C@]2(C)COC(=O)N2)C1 ZINC000932028819 613845420 /nfs/dbraw/zinc/84/54/20/613845420.db2.gz HZSSMWZDKNJJGB-FZMZJTMJSA-N 0 1 281.356 0.499 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H]([C@]2(C)COC(=O)N2)C1 ZINC000932028819 613845421 /nfs/dbraw/zinc/84/54/21/613845421.db2.gz HZSSMWZDKNJJGB-FZMZJTMJSA-N 0 1 281.356 0.499 20 30 CCEDMN C=CCNC(=O)COC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC000885879592 613848806 /nfs/dbraw/zinc/84/88/06/613848806.db2.gz ZUSCVPPUKZTSQT-VIFPVBQESA-N 0 1 277.324 0.994 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H](CF)C1 ZINC000880230842 613849772 /nfs/dbraw/zinc/84/97/72/613849772.db2.gz PPUARJONSRWLKZ-JTQLQIEISA-N 0 1 257.309 0.680 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC([C@@]2(C)COC(=O)N2)CC1 ZINC000932089110 613855053 /nfs/dbraw/zinc/85/50/53/613855053.db2.gz AOTNNAHFMFVNJN-XHDPSFHLSA-N 0 1 295.383 0.888 20 30 CCEDMN C=CCOC(=O)[C@@H](N)CC(=O)N1CCN(C)C[C@H]1C(C)C ZINC000884313545 613877536 /nfs/dbraw/zinc/87/75/36/613877536.db2.gz IQLHOEDHEMHGFZ-STQMWFEESA-N 0 1 297.399 0.232 20 30 CCEDMN C=CCOCC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833376183 613879049 /nfs/dbraw/zinc/87/90/49/613879049.db2.gz VZPOEJJTNDHPGU-LLVKDONJSA-N 0 1 270.329 0.196 20 30 CCEDMN C=CCONC(=O)NC(C)(C)CN1CCN(CC)CC1 ZINC000838714163 613883796 /nfs/dbraw/zinc/88/37/96/613883796.db2.gz DDUKICIFXXLYMR-UHFFFAOYSA-N 0 1 284.404 0.819 20 30 CCEDMN C=CCONC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000838702034 613884048 /nfs/dbraw/zinc/88/40/48/613884048.db2.gz LXVAHRVIBIMMTR-CYBMUJFWSA-N 0 1 284.404 0.675 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)C[C@H]1CCN(C)C1 ZINC000821839221 613885084 /nfs/dbraw/zinc/88/50/84/613885084.db2.gz XHWBWDUTRSQLRO-LLVKDONJSA-N 0 1 274.386 0.045 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)Nc1cnn(CC#N)c1 ZINC000874252890 614090632 /nfs/dbraw/zinc/09/06/32/614090632.db2.gz GQBOSXJVDKYOPW-UHFFFAOYSA-N 0 1 291.355 0.704 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC000826677798 614180167 /nfs/dbraw/zinc/18/01/67/614180167.db2.gz ZIDYJANFTVMMAD-ZIAGYGMSSA-N 0 1 281.356 0.837 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)[N@@H+](C)CCC(=O)[O-] ZINC000817733730 614180675 /nfs/dbraw/zinc/18/06/75/614180675.db2.gz XCACKRIQXUAJDS-ZWNOBZJWSA-N 0 1 269.345 0.836 20 30 CCEDMN CC(C)[C@H](C(=O)NCC#CCN(C)C)N1CCCC1=O ZINC000913517771 614190130 /nfs/dbraw/zinc/19/01/30/614190130.db2.gz OTOUKEIIIBKSCV-CQSZACIVSA-N 0 1 279.384 0.315 20 30 CCEDMN CC(C)Cn1nccc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000843459538 614244846 /nfs/dbraw/zinc/24/48/46/614244846.db2.gz FUEKTLDAVBUKQA-QWRGUYRKSA-N 0 1 261.329 0.380 20 30 CCEDMN CC(C)[C@H](NCC(F)(F)F)C(=O)NCC#CCN(C)C ZINC000913523776 614249507 /nfs/dbraw/zinc/24/95/07/614249507.db2.gz LTJVFPSEBCLMAS-NSHDSACASA-N 0 1 293.333 0.844 20 30 CCEDMN CC(=O)c1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000834512392 614252234 /nfs/dbraw/zinc/25/22/34/614252234.db2.gz VWEXPXXLUFOMRH-DTWKUNHWSA-N 0 1 263.322 0.792 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828431668 614285939 /nfs/dbraw/zinc/28/59/39/614285939.db2.gz JXALURMUTFNZLW-GYSYKLTISA-N 0 1 281.356 0.693 20 30 CCEDMN CC(C)(C#N)CS(=O)(=O)NCCN1CCCC1 ZINC000913866071 614287546 /nfs/dbraw/zinc/28/75/46/614287546.db2.gz ICURBSHINKIJPF-UHFFFAOYSA-N 0 1 259.375 0.551 20 30 CCEDMN CC(C)C#CC(=O)N1CC(CN2CC[NH+](C)CC2)C1 ZINC000854644394 614325156 /nfs/dbraw/zinc/32/51/56/614325156.db2.gz NSWGNQMKTLQAFP-UHFFFAOYSA-N 0 1 263.385 0.352 20 30 CCEDMN CC(Cn1cncn1)=NNCCN1CCCCC1 ZINC000853291981 614383285 /nfs/dbraw/zinc/38/32/85/614383285.db2.gz SJXTVCJNQQHZAB-UHFFFAOYSA-N 0 1 250.350 0.730 20 30 CCEDMN CCC(=O)Nc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834489384 614465888 /nfs/dbraw/zinc/46/58/88/614465888.db2.gz MBMHDQFXOPSVTC-AAEUAGOBSA-N 0 1 286.335 0.876 20 30 CCEDMN CCCN(CCO[C@H](C)C#N)[C@H]1CC(=O)N(C)C1=O ZINC000801955930 614582853 /nfs/dbraw/zinc/58/28/53/614582853.db2.gz XZFPGNXUKRXXKN-MNOVXSKESA-N 0 1 267.329 0.384 20 30 CCEDMN CC1(C)CN(C(=O)NCc2ccc(C#N)cn2)CCN1 ZINC000841907181 614591677 /nfs/dbraw/zinc/59/16/77/614591677.db2.gz WXYDPHQDARHLOO-UHFFFAOYSA-N 0 1 273.340 0.847 20 30 CCEDMN CC1(C)CO[C@H](CC(=O)N[C@@H]2CNC[C@@H]2C#N)C1 ZINC000884249448 614732650 /nfs/dbraw/zinc/73/26/50/614732650.db2.gz LZYAKMFWHHCGAT-HBNTYKKESA-N 0 1 251.330 0.419 20 30 CCEDMN CCCCCCCCNC(=O)C(=O)N1CCNCC1 ZINC000147269720 614900392 /nfs/dbraw/zinc/90/03/92/614900392.db2.gz DWIAXNAPUJOKTF-UHFFFAOYSA-N 0 1 269.389 0.895 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000818193822 615064398 /nfs/dbraw/zinc/06/43/98/615064398.db2.gz XZQDCFWWHQQBNB-GHMZBOCLSA-N 0 1 267.329 0.401 20 30 CCEDMN CCOC(=O)C(C)(C)CC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834498793 615150303 /nfs/dbraw/zinc/15/03/03/615150303.db2.gz BPKPLVBXSWJFEO-ZJUUUORDSA-N 0 1 267.329 0.194 20 30 CCEDMN CCN1CC[C@@H](NS(=O)(=O)CC(C)(C)C#N)C1 ZINC000914202631 615235756 /nfs/dbraw/zinc/23/57/56/615235756.db2.gz PAEKNSGCQNLISN-SNVBAGLBSA-N 0 1 259.375 0.550 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)CC1(C#N)CC1 ZINC000815904734 615245344 /nfs/dbraw/zinc/24/53/44/615245344.db2.gz OILHPQNCMVWNMP-LLVKDONJSA-N 0 1 277.324 0.932 20 30 CCEDMN CCOCCO[C@@H](C)C(=O)NCC#CCN(C)C ZINC000913517682 615292208 /nfs/dbraw/zinc/29/22/08/615292208.db2.gz LMJLMNJBOYBLCP-LBPRGKRZSA-N 0 1 256.346 0.109 20 30 CCEDMN CCN1CC[C@H](NS(=O)(=O)CC(C)(C)C#N)C1 ZINC000914202630 615304201 /nfs/dbraw/zinc/30/42/01/615304201.db2.gz PAEKNSGCQNLISN-JTQLQIEISA-N 0 1 259.375 0.550 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907479956 615365522 /nfs/dbraw/zinc/36/55/22/615365522.db2.gz JVYDTWIHJKTCJI-YUMQZZPRSA-N 0 1 266.330 0.366 20 30 CCEDMN CCOc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834512665 615509155 /nfs/dbraw/zinc/50/91/55/615509155.db2.gz ZVZISVQGZJMFQC-DGCLKSJQSA-N 0 1 259.309 0.927 20 30 CCEDMN CC[C@@H]1OCCC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913521466 615580088 /nfs/dbraw/zinc/58/00/88/615580088.db2.gz AJYRLMSQYRIGCP-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN CCc1ccc(C#CC(=O)NC[C@@H]2C[C@@H](O)CN2C)cc1 ZINC000924980525 615660416 /nfs/dbraw/zinc/66/04/16/615660416.db2.gz SNIOATPZALEWTI-JKSUJKDBSA-N 0 1 286.375 0.782 20 30 CCEDMN CCc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1[N+](=O)[O-] ZINC000834480781 615667739 /nfs/dbraw/zinc/66/77/39/615667739.db2.gz WBWILAKBOUNMEV-NWDGAFQWSA-N 0 1 288.307 0.999 20 30 CCEDMN CCn1ncc2cc(C(=O)N[C@H]3CNC[C@@H]3C#N)c(C)nc21 ZINC000834506828 615718105 /nfs/dbraw/zinc/71/81/05/615718105.db2.gz WHNJUUNSNDZTTK-AAEUAGOBSA-N 0 1 298.350 0.601 20 30 CCEDMN CCn1nncc1C=NNc1ccc(C(=O)OC)cn1 ZINC000841633521 615752388 /nfs/dbraw/zinc/75/23/88/615752388.db2.gz UJRWFBCBDWJLMC-UHFFFAOYSA-N 0 1 274.284 0.926 20 30 CCEDMN CCn1nncc1CN[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000967942779 615757406 /nfs/dbraw/zinc/75/74/06/615757406.db2.gz GNSPRGFNSVMCNF-GHMZBOCLSA-N 0 1 276.344 0.148 20 30 CCEDMN CCc1nn(C)c(CC)c1CC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834500388 615792141 /nfs/dbraw/zinc/79/21/41/615792141.db2.gz SNDWUJAOTBHMRA-ZWNOBZJWSA-N 0 1 289.383 0.315 20 30 CCEDMN CCc1nn(C)c(Cl)c1C(=O)NCC#CCN(C)C ZINC000913520554 615792968 /nfs/dbraw/zinc/79/29/68/615792968.db2.gz SBGATJOCNCJSRM-UHFFFAOYSA-N 0 1 282.775 0.931 20 30 CCEDMN CCc1nn(C)c(Cl)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834485291 615793558 /nfs/dbraw/zinc/79/35/58/615793558.db2.gz UTYIMPLWHRDQSL-APPZFPTMSA-N 0 1 281.747 0.477 20 30 CCEDMN CC[C@H](NC(=O)OC(C)(C)C)C(=O)NCC#CCN(C)C ZINC000913518056 615805958 /nfs/dbraw/zinc/80/59/58/615805958.db2.gz BZXIRBUWINHDLU-LBPRGKRZSA-N 0 1 297.399 0.971 20 30 CCEDMN CC[C@H](O)CNN=Cc1ccc(N(C)CCOC)nc1 ZINC000811652654 615830144 /nfs/dbraw/zinc/83/01/44/615830144.db2.gz SMRQQDHRNONMFR-ZDUSSCGKSA-N 0 1 280.372 0.859 20 30 CCEDMN CN(C)CC#CCNC(=O)CN(C)C(=O)c1cccs1 ZINC000913516738 615857480 /nfs/dbraw/zinc/85/74/80/615857480.db2.gz CTGLZFKHPCJEPF-UHFFFAOYSA-N 0 1 293.392 0.501 20 30 CCEDMN CN(C)CC#CCNC(=O)CCOC[C@@H]1CCCO1 ZINC000913517221 615857860 /nfs/dbraw/zinc/85/78/60/615857860.db2.gz XTGUJRPPXNCEMC-ZDUSSCGKSA-N 0 1 268.357 0.253 20 30 CCEDMN CN(C)CC#CCNC(=O)CCNC(=O)c1ccsc1 ZINC000913515368 615857897 /nfs/dbraw/zinc/85/78/97/615857897.db2.gz URHKZUBVEAUDTC-UHFFFAOYSA-N 0 1 293.392 0.549 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@@H]1C(=O)Nc2ccccc21 ZINC000913517997 615858399 /nfs/dbraw/zinc/85/83/99/615858399.db2.gz ZBLZPUBKDZPEOH-ZDUSSCGKSA-N 0 1 285.347 0.794 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc(-n2cnnc2)cc1 ZINC000913523280 615858520 /nfs/dbraw/zinc/85/85/20/615858520.db2.gz SSQZMLKUUWJEBO-UHFFFAOYSA-N 0 1 297.362 0.491 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1cnn(-c2ccccc2)n1 ZINC000913517161 615859104 /nfs/dbraw/zinc/85/91/04/615859104.db2.gz VIOZYKQZPFVHGV-UHFFFAOYSA-N 0 1 297.362 0.491 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1csc(C(N)=O)c1 ZINC000931628180 615859191 /nfs/dbraw/zinc/85/91/91/615859191.db2.gz ZPYHLYZUDGOCOV-UHFFFAOYSA-N 0 1 280.353 0.534 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1C[C@H]1c1cccnc1 ZINC000913522839 615859458 /nfs/dbraw/zinc/85/94/58/615859458.db2.gz BCSPCLJROLOZSY-UONOGXRCSA-N 0 1 257.337 0.866 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1C[C@@]12C(=O)Nc1ccccc12 ZINC000827972222 615860126 /nfs/dbraw/zinc/86/01/26/615860126.db2.gz WKBGTAXISVAJGI-DYVFJYSZSA-N 0 1 297.358 0.578 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c(C(F)(F)F)cnn1C ZINC000913524085 615860130 /nfs/dbraw/zinc/86/01/30/615860130.db2.gz ZKCAKGDZVMRCOG-UHFFFAOYSA-N 0 1 288.273 0.734 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CSCCS1 ZINC000913519511 615860148 /nfs/dbraw/zinc/86/01/48/615860148.db2.gz CRHPJTDAPDLKAM-SNVBAGLBSA-N 0 1 258.412 0.516 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(N2CCCC2)nn1 ZINC000913518284 615860609 /nfs/dbraw/zinc/86/06/09/615860609.db2.gz LBWYEQBSHPSKHI-UHFFFAOYSA-N 0 1 287.367 0.372 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn2c1CCCC2 ZINC000913519465 615861724 /nfs/dbraw/zinc/86/17/24/615861724.db2.gz BDZFMXQQJXDQSI-UHFFFAOYSA-N 0 1 260.341 0.514 20 30 CCEDMN CN(C)CC#CCNC(=O)c1csc(-c2ncc[nH]2)n1 ZINC000913525296 615861810 /nfs/dbraw/zinc/86/18/10/615861810.db2.gz QVDGAYPTVKXNSW-UHFFFAOYSA-N 0 1 289.364 0.828 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(C)c1C(F)(F)F ZINC000913516811 615862009 /nfs/dbraw/zinc/86/20/09/615862009.db2.gz HMSNTMRESNVYNP-UHFFFAOYSA-N 0 1 288.273 0.734 20 30 CCEDMN CC[C@H]1C[C@@H](C(=O)NCC#CCN(C)C)CCO1 ZINC000913520199 615931785 /nfs/dbraw/zinc/93/17/85/615931785.db2.gz HYHYJGMXFIOZIE-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN1CCn2c(n[nH]c2=O)C1 ZINC000877350893 616011934 /nfs/dbraw/zinc/01/19/34/616011934.db2.gz PRTVQLKLHCHWEW-UHFFFAOYSA-N 0 1 298.350 0.937 20 30 CCEDMN CN(C)c1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(F)c1 ZINC000834480393 616012834 /nfs/dbraw/zinc/01/28/34/616012834.db2.gz PLXNNDQRAIOBDL-TVQRCGJNSA-N 0 1 276.315 0.733 20 30 CCEDMN CN(C)c1ccnc(CNS(=O)(=O)N(C)CCC#N)c1 ZINC000921032641 616028053 /nfs/dbraw/zinc/02/80/53/616028053.db2.gz KFFIDBYWVJVGOJ-UHFFFAOYSA-N 0 1 297.384 0.327 20 30 CCEDMN CCc1[nH]nc(Cl)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000876801938 616033379 /nfs/dbraw/zinc/03/33/79/616033379.db2.gz KNYVOOXKHJATFB-XPUUQOCRSA-N 0 1 267.720 0.467 20 30 CCEDMN C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828102867 616049393 /nfs/dbraw/zinc/04/93/93/616049393.db2.gz QNLQKUBMKKAEHO-BXUZGUMPSA-N 0 1 294.355 0.479 20 30 CCEDMN C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)[C@@H]1CCN(C(=O)[O-])C1 ZINC000828102867 616049394 /nfs/dbraw/zinc/04/93/94/616049394.db2.gz QNLQKUBMKKAEHO-BXUZGUMPSA-N 0 1 294.355 0.479 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1cccnc1F ZINC000819276779 616067842 /nfs/dbraw/zinc/06/78/42/616067842.db2.gz SGLOORQRSVFXGA-GFCCVEGCSA-N 0 1 266.320 0.517 20 30 CCEDMN CN(CCCCC(C)(C)C#N)CCS(N)(=O)=O ZINC000880083508 616072199 /nfs/dbraw/zinc/07/21/99/616072199.db2.gz CEGKZJPBJSQUCW-UHFFFAOYSA-N 0 1 261.391 0.927 20 30 CCEDMN C[N@@H+](CCNc1cccnc1C#N)CCOCCO ZINC000882951657 616097784 /nfs/dbraw/zinc/09/77/84/616097784.db2.gz PBCWPNBMSRHZGU-UHFFFAOYSA-N 0 1 264.329 0.306 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cn2ncc3cccnc32)CC1 ZINC000874439085 616165886 /nfs/dbraw/zinc/16/58/86/616165886.db2.gz UCWBCWMWSVRSJJ-UHFFFAOYSA-N 0 1 298.350 0.535 20 30 CCEDMN CN1CCN(C)CCN(C(=O)c2cccc(C#N)c2)CC1 ZINC000888998377 616236182 /nfs/dbraw/zinc/23/61/82/616236182.db2.gz XBMAOVXSZCIVKJ-UHFFFAOYSA-N 0 1 286.379 0.878 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2sccc2CC#N)C1 ZINC000865705562 616237910 /nfs/dbraw/zinc/23/79/10/616237910.db2.gz AZGSPXIPNGQSNM-LBPRGKRZSA-N 0 1 292.408 0.790 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2cc(C#N)ccc2F)C1 ZINC000827237915 616237981 /nfs/dbraw/zinc/23/79/81/616237981.db2.gz YWUOPKDSCUAUHE-LBPRGKRZSA-N 0 1 290.342 0.673 20 30 CCEDMN CN1CCOc2ccc(C(=O)N[C@@H]3CNC[C@H]3C#N)cc21 ZINC000876802641 616263663 /nfs/dbraw/zinc/26/36/63/616263663.db2.gz RHYDXGMMAULMMF-VXGBXAGGSA-N 0 1 286.335 0.357 20 30 CCEDMN COC(=O)c1sccc1NC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000841157397 616283719 /nfs/dbraw/zinc/28/37/19/616283719.db2.gz UNWKGRIOLXGMLC-IONNQARKSA-N 0 1 294.336 0.768 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)CNC(=O)c1ccc(C#N)cc1 ZINC000928558295 616287703 /nfs/dbraw/zinc/28/77/03/616287703.db2.gz KEYUFIQVJJIMML-CYBMUJFWSA-N 0 1 286.335 0.108 20 30 CCEDMN COC(CN1CCC2(CC1)CC(=O)C=CO2)OC ZINC000933647774 616317977 /nfs/dbraw/zinc/31/79/77/616317977.db2.gz HDIYBZJMEJEIIA-UHFFFAOYSA-N 0 1 255.314 0.943 20 30 CCEDMN COC(=O)C(C)(C)CC=Nn1c(=O)c(C)n[nH]c1=S ZINC000814724091 616334555 /nfs/dbraw/zinc/33/45/55/616334555.db2.gz HPDGMZBDURAPQS-UHFFFAOYSA-N 0 1 284.341 0.659 20 30 CCEDMN COCCN1CCCN(C(=O)[C@@]2(C#N)CCCOC2)CC1 ZINC000865009903 616338558 /nfs/dbraw/zinc/33/85/58/616338558.db2.gz JDYFAHKLISNFBW-OAHLLOKOSA-N 0 1 295.383 0.487 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)N1Cc2ccc(C#N)cc2C1 ZINC000912058215 616343781 /nfs/dbraw/zinc/34/37/81/616343781.db2.gz HPEZVCYLEUBVIJ-GOEBONIOSA-N 0 1 285.347 0.856 20 30 CCEDMN CN(C[C@H]1CC[N@@H+](C)C1)C(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820620211 616395609 /nfs/dbraw/zinc/39/56/09/616395609.db2.gz JAKWFGDSCHRAJX-GXFFZTMASA-N 0 1 267.329 0.401 20 30 CCEDMN COC(=O)C1=C(C)O[C@H](CN2CC[C@](O)(CC#N)C2)C1 ZINC000829992194 616406802 /nfs/dbraw/zinc/40/68/02/616406802.db2.gz TVVMYWIYGPYIJJ-SMDDNHRTSA-N 0 1 280.324 0.573 20 30 CCEDMN CN1CC[C@H]1CNC(=O)CNc1ccc(C#N)cc1 ZINC000928567698 616422760 /nfs/dbraw/zinc/42/27/60/616422760.db2.gz YGHIKKAYJAFGKU-ZDUSSCGKSA-N 0 1 258.325 0.790 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCc1ccc(C#N)cc1F ZINC000900589272 616476124 /nfs/dbraw/zinc/47/61/24/616476124.db2.gz BNWIZMMCXUMOGJ-DOMZBBRYSA-N 0 1 291.326 0.769 20 30 CCEDMN COCCNC(=O)[C@@H](C#N)C(=O)C(C)=Cc1ccncc1 ZINC000847624979 616491191 /nfs/dbraw/zinc/49/11/91/616491191.db2.gz ZIJXFLRAYQWHHB-FUWURINLSA-N 0 1 287.319 0.956 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850756718 616495974 /nfs/dbraw/zinc/49/59/74/616495974.db2.gz DJEVNMCPVXCKPO-VPOLOUISSA-N 0 1 250.298 0.504 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1CCC[C@@H]2C[C@@H]21 ZINC000850756942 616496073 /nfs/dbraw/zinc/49/60/73/616496073.db2.gz FCGLUSFBLWVTSO-WISYIIOYSA-N 0 1 264.325 0.894 20 30 CCEDMN COC1CCC(C(=O)NCC#CCN(C)C)CC1 ZINC000913521035 616517445 /nfs/dbraw/zinc/51/74/45/616517445.db2.gz IWIJWNBKCBRXQF-UHFFFAOYSA-N 0 1 252.358 0.873 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)c1cc(C)[nH]n1 ZINC000871341610 616546554 /nfs/dbraw/zinc/54/65/54/616546554.db2.gz RLDTWKRIMHHZKC-UHFFFAOYSA-N 0 1 250.258 0.247 20 30 CCEDMN COC(=O)[C@@]1(O)CCN(Cc2ccc(C#N)cc2)C1 ZINC000880558361 616560837 /nfs/dbraw/zinc/56/08/37/616560837.db2.gz QGDZQMZONDBAMZ-CQSZACIVSA-N 0 1 260.293 0.668 20 30 CCEDMN COC(=O)[C@@]1(O)CCN(Cc2cccc(C#N)c2)C1 ZINC000880558043 616562169 /nfs/dbraw/zinc/56/21/69/616562169.db2.gz IIVJLGHKQYDUOO-CQSZACIVSA-N 0 1 260.293 0.668 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1N[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000934038536 616675272 /nfs/dbraw/zinc/67/52/72/616675272.db2.gz CERJKYYAQAAYSL-IJLUTSLNSA-N 0 1 281.356 0.331 20 30 CCEDMN CNC(=O)[C@@H](C)C[N@@H+](C)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830975951 616780791 /nfs/dbraw/zinc/78/07/91/616780791.db2.gz YWAPGDUWVBJYAD-GXFFZTMASA-N 0 1 289.335 0.998 20 30 CCEDMN COC(=O)c1cc(CN[C@@H]2CCCN(O)C2=O)c[nH]1 ZINC000895165972 616856385 /nfs/dbraw/zinc/85/63/85/616856385.db2.gz OEOMHRBBWJMQCN-SECBINFHSA-N 0 1 267.285 0.271 20 30 CCEDMN COCCCNC(=O)CN(C)C[C@@H](O)CC1(C#N)CCC1 ZINC000885949180 616888075 /nfs/dbraw/zinc/88/80/75/616888075.db2.gz QBQNOZXJBXDMHH-ZDUSSCGKSA-N 0 1 297.399 0.516 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CCCCC#N ZINC000847621058 616897370 /nfs/dbraw/zinc/89/73/70/616897370.db2.gz HFZUPUGKLWFKSY-NSHDSACASA-N 0 1 265.313 0.932 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@@H](C)CO1 ZINC000892462138 616901888 /nfs/dbraw/zinc/90/18/88/616901888.db2.gz OLNUHKARHIVZQE-GMTAPVOTSA-N 0 1 268.313 0.273 20 30 CCEDMN COCc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834511976 616902334 /nfs/dbraw/zinc/90/23/34/616902334.db2.gz QJHRWPZDGYJVRG-CHWSQXEVSA-N 0 1 259.309 0.674 20 30 CCEDMN COCc1ccnc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000846309277 616909383 /nfs/dbraw/zinc/90/93/83/616909383.db2.gz FJRPQHIUPVVYIM-WDEREUQCSA-N 0 1 275.312 0.461 20 30 CCEDMN COC(=O)c1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834490617 616926035 /nfs/dbraw/zinc/92/60/35/616926035.db2.gz WHRYGAYEEHFGCK-NEPJUHHUSA-N 0 1 273.292 0.315 20 30 CCEDMN COC(=O)c1coc(CN=Nc2nnc(C)n2C)c1 ZINC000853432517 617010715 /nfs/dbraw/zinc/01/07/15/617010715.db2.gz WZUSDIWEOBLZIV-UHFFFAOYSA-N 0 1 263.257 0.949 20 30 CCEDMN CO[C@H](CC(=O)N[C@@H]1CNC[C@@H]1C#N)C(F)(F)F ZINC000846677478 617081113 /nfs/dbraw/zinc/08/11/13/617081113.db2.gz MZJJYZJBELRBFI-XLPZGREQSA-N 0 1 265.235 0.182 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001008251661 625425778 /nfs/dbraw/zinc/42/57/78/625425778.db2.gz NQZJKGBXEUZYKC-WFASDCNBSA-N 0 1 279.384 0.669 20 30 CCEDMN Cc1ccnc(CNC[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001026733507 625581058 /nfs/dbraw/zinc/58/10/58/625581058.db2.gz VZHMPIJBWNVWSP-AAEUAGOBSA-N 0 1 287.367 0.883 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(C(=O)CCc2cnc[nH]2)CC1 ZINC001011280086 623071101 /nfs/dbraw/zinc/07/11/01/623071101.db2.gz SKJCGYUALJDUER-LLVKDONJSA-N 0 1 289.339 0.173 20 30 CCEDMN Cc1csc([C@H](C#N)C(=O)c2cn(C)c(=O)n2C)n1 ZINC000892418050 623197093 /nfs/dbraw/zinc/19/70/93/623197093.db2.gz OTFUBNVLVDKYQN-MRVPVSSYSA-N 0 1 276.321 0.979 20 30 CCEDMN Cc1csc(C(C#N)C(=O)c2cn(C)c(=O)n2C)n1 ZINC000892418050 623197099 /nfs/dbraw/zinc/19/70/99/623197099.db2.gz OTFUBNVLVDKYQN-MRVPVSSYSA-N 0 1 276.321 0.979 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001024313915 623285088 /nfs/dbraw/zinc/28/50/88/623285088.db2.gz CXDXMAURJZCWRK-OAHLLOKOSA-N 0 1 287.363 0.928 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001024319188 623287200 /nfs/dbraw/zinc/28/72/00/623287200.db2.gz WTCYRMUJHRJBFZ-GFCCVEGCSA-N 0 1 288.351 0.790 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1COC(=O)N1 ZINC001024414774 623315470 /nfs/dbraw/zinc/31/54/70/623315470.db2.gz JUHHSFLKMILGAT-RYUDHWBXSA-N 0 1 279.340 0.089 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001024416406 623316328 /nfs/dbraw/zinc/31/63/28/623316328.db2.gz JUOLZTASHWCNGS-HNNXBMFYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001024468628 623330013 /nfs/dbraw/zinc/33/00/13/623330013.db2.gz LTLQOLJHKLUOCO-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cnn2ncccc12 ZINC001024483736 623333809 /nfs/dbraw/zinc/33/38/09/623333809.db2.gz AXKVFKRPAUGZFI-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024520837 623350277 /nfs/dbraw/zinc/35/02/77/623350277.db2.gz OCRCKEJIQHICBX-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024520837 623350280 /nfs/dbraw/zinc/35/02/80/623350280.db2.gz OCRCKEJIQHICBX-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001024817729 623452444 /nfs/dbraw/zinc/45/24/44/623452444.db2.gz FQDWSNISOQAGRQ-HUUCEWRRSA-N 0 1 291.395 0.601 20 30 CCEDMN COc1nccc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001018631465 623699426 /nfs/dbraw/zinc/69/94/26/623699426.db2.gz FXNKATVCBUEUIL-PWSUYJOCSA-N 0 1 289.339 0.335 20 30 CCEDMN C#CCNC(=O)CN(C)[C@H]1CC[N@@H+](Cc2ccccc2)C1 ZINC000309822699 623899335 /nfs/dbraw/zinc/89/93/35/623899335.db2.gz CWSSZABSGHMHOP-INIZCTEOSA-N 0 1 285.391 0.942 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001006797187 624509981 /nfs/dbraw/zinc/50/99/81/624509981.db2.gz MBVBTJUEOFHSNP-NEPJUHHUSA-N 0 1 254.330 0.168 20 30 CCEDMN C[C@@H](NC(=O)c1[nH]nc2c1CCCC2)C1CN(CC#N)C1 ZINC000970042277 624577814 /nfs/dbraw/zinc/57/78/14/624577814.db2.gz QXQFDLHWDJCFIX-SNVBAGLBSA-N 0 1 287.367 0.862 20 30 CCEDMN C[C@@H](NC(=O)c1ccc2cncn2c1)C1CN(CC#N)C1 ZINC000970157105 624628326 /nfs/dbraw/zinc/62/83/26/624628326.db2.gz JGIBSIYEFXJHAP-LLVKDONJSA-N 0 1 283.335 0.908 20 30 CCEDMN CN1CC(C(=O)NCC#C[C@H]2CCCCO2)=NC1=O ZINC000891116339 624729483 /nfs/dbraw/zinc/72/94/83/624729483.db2.gz LODWIFDMXXTRTE-SNVBAGLBSA-N 0 1 263.297 0.428 20 30 CCEDMN CN1CC(C(=O)NCC#C[C@@H]2CCCCO2)=NC1=O ZINC000891116338 624729569 /nfs/dbraw/zinc/72/95/69/624729569.db2.gz LODWIFDMXXTRTE-JTQLQIEISA-N 0 1 263.297 0.428 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC000246534417 624735191 /nfs/dbraw/zinc/73/51/91/624735191.db2.gz PRDZYPHOBRWMKF-WHOHXGKFSA-N 0 1 264.325 0.894 20 30 CCEDMN N#CCN1CC[C@@H]2[C@H](CCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001021708585 624813994 /nfs/dbraw/zinc/81/39/94/624813994.db2.gz FOPGCXQSUPSGRF-VXGBXAGGSA-N 0 1 288.355 0.184 20 30 CCEDMN Cc1nn(C)cc1CN(C)[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000971221667 624828615 /nfs/dbraw/zinc/82/86/15/624828615.db2.gz QCQYGDKXPGEVPD-FZMZJTMJSA-N 0 1 289.383 0.921 20 30 CCEDMN N#Cc1ccc(Br)c(CNC[C@@H](O)CO)c1 ZINC000902726814 634445747 /nfs/dbraw/zinc/44/57/47/634445747.db2.gz CGGFIXAACWHEBU-SNVBAGLBSA-N 0 1 285.141 0.764 20 30 CCEDMN CN(CC#N)[C@@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001027449243 625888192 /nfs/dbraw/zinc/88/81/92/625888192.db2.gz VNFWNYJRKZSDDX-JHJVBQTASA-N 0 1 287.367 0.960 20 30 CCEDMN N#Cc1ccc(CN2CCCN(C(=O)CCO)CC2)cc1 ZINC000902788270 634462920 /nfs/dbraw/zinc/46/29/20/634462920.db2.gz QSILVGRYTXLHEL-UHFFFAOYSA-N 0 1 287.363 0.975 20 30 CCEDMN C#CC[N@@H+](C)[C@H]1CCCN(C(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)C1 ZINC001027464286 625897910 /nfs/dbraw/zinc/89/79/10/625897910.db2.gz KTHLOTVGYUVNNK-QLFBSQMISA-N 0 1 293.411 0.262 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)[C@H]3CCc4[nH]cnc4C3)[C@@H]2C1 ZINC000978962023 630861677 /nfs/dbraw/zinc/86/16/77/630861677.db2.gz DEZOTWBEOWKFCD-ZDEQEGDKSA-N 0 1 299.378 0.332 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCOC1 ZINC001027784424 630914630 /nfs/dbraw/zinc/91/46/30/630914630.db2.gz JVLJPBQIFMIDRM-CHWSQXEVSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cn(C)nc1C ZINC001027796773 630925765 /nfs/dbraw/zinc/92/57/65/630925765.db2.gz KUAAYAHNNPZYIS-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001027827665 630954036 /nfs/dbraw/zinc/95/40/36/630954036.db2.gz XLKMKCBWLWSZGQ-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCCN1CCC[C@H]1CNC(=O)c1cc(OC)no1 ZINC001027837727 630962419 /nfs/dbraw/zinc/96/24/19/630962419.db2.gz FEDRCLPIVRFFEC-NSHDSACASA-N 0 1 277.324 0.901 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2CCC[C@@H](NCC#N)C2)=NC1=O ZINC000981318743 631267638 /nfs/dbraw/zinc/26/76/38/631267638.db2.gz ISWARRIKCHOVKN-NXEZZACHSA-N 0 1 277.328 0.280 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000968673039 650025713 /nfs/dbraw/zinc/02/57/13/650025713.db2.gz PGKYDEKUNGMERE-MNOVXSKESA-N 0 1 273.340 0.544 20 30 CCEDMN O=C1[C@H](NCc2c[nH]nc2-c2cccnc2)CCCN1O ZINC000895164220 631998551 /nfs/dbraw/zinc/99/85/51/631998551.db2.gz AEWWKCPAMGZNHA-GFCCVEGCSA-N 0 1 287.323 0.942 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C2=COCCO2)C1 ZINC001006652712 650047018 /nfs/dbraw/zinc/04/70/18/650047018.db2.gz OJFTTZYUDRGQJQ-LLVKDONJSA-N 0 1 252.314 0.641 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)[C@H]2CCCO2)C1 ZINC001006661361 650047106 /nfs/dbraw/zinc/04/71/06/650047106.db2.gz WIPKOJMHZLYQER-CHWSQXEVSA-N 0 1 250.342 0.769 20 30 CCEDMN C[C@@H](Nc1cncc(C#N)n1)[C@H]1C[N@@H+](C)CCN1C ZINC000895249976 632033215 /nfs/dbraw/zinc/03/32/15/632033215.db2.gz YPIDREBKZVWTSG-ZYHUDNBSSA-N 0 1 260.345 0.394 20 30 CCEDMN O=C(NCC#Cc1ccccc1)C1CN([C@H]2CCOC2)C1 ZINC000895563836 632100999 /nfs/dbraw/zinc/10/09/99/632100999.db2.gz NMEVZYAMEVRDBP-INIZCTEOSA-N 0 1 284.359 0.875 20 30 CCEDMN C[C@@H](NC(=O)c1cc(C#N)cs1)[C@@H]1CN(C)CCN1C ZINC000896554453 632283012 /nfs/dbraw/zinc/28/30/12/632283012.db2.gz GCNRSHWNFTXJNF-PWSUYJOCSA-N 0 1 292.408 0.984 20 30 CCEDMN C[C@@H](NC(=O)c1sccc1C#N)[C@H]1CN(C)CCN1C ZINC000896563285 632288412 /nfs/dbraw/zinc/28/84/12/632288412.db2.gz JQTLDHNOUGERPL-ZYHUDNBSSA-N 0 1 292.408 0.984 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)cc1)[C@@H]1CN(C)CCN1C ZINC000896578789 632294022 /nfs/dbraw/zinc/29/40/22/632294022.db2.gz AOSCNQXKZULZEI-DOMZBBRYSA-N 0 1 286.379 0.922 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001007099919 650066350 /nfs/dbraw/zinc/06/63/50/650066350.db2.gz MFCNZFOXZINMND-NWDGAFQWSA-N 0 1 266.341 0.706 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC001007230773 650071178 /nfs/dbraw/zinc/07/11/78/650071178.db2.gz AVRPMSYPNXJYBA-CYBMUJFWSA-N 0 1 274.368 0.567 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N[C@H](CC#N)C(F)(F)F ZINC000928812134 632615775 /nfs/dbraw/zinc/61/57/75/632615775.db2.gz NFVDCCQVGZXPJE-VHSXEESVSA-N 0 1 293.289 0.915 20 30 CCEDMN C[C@]1(C#N)CCCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000897373349 632727875 /nfs/dbraw/zinc/72/78/75/632727875.db2.gz BYCUXIJTEJXUCP-DZGCQCFKSA-N 0 1 277.368 0.859 20 30 CCEDMN C[C@H]1[C@H](NCC#N)CCN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000986297401 632740948 /nfs/dbraw/zinc/74/09/48/632740948.db2.gz BLFCMPZBYFQJMS-GXSJLCMTSA-N 0 1 284.323 0.674 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cn2nccn2)[C@H]1C ZINC000986338981 632747513 /nfs/dbraw/zinc/74/75/13/632747513.db2.gz RXZKPRFHXOBADP-WDEREUQCSA-N 0 1 283.763 0.610 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001007771167 650093910 /nfs/dbraw/zinc/09/39/10/650093910.db2.gz RFNKPFADACBXTG-AWEZNQCLSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2[nH]nnc2C)C1 ZINC001008141164 650103132 /nfs/dbraw/zinc/10/31/32/650103132.db2.gz KCSMPKQWGDVLDM-NSHDSACASA-N 0 1 261.329 0.331 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CS(C)(=O)=O)C1 ZINC000988200266 632960569 /nfs/dbraw/zinc/96/05/69/632960569.db2.gz LJXCECOHBFACAN-UWVGGRQHSA-N 0 1 294.804 0.363 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC001008330834 650108771 /nfs/dbraw/zinc/10/87/71/650108771.db2.gz CJCBNPQCIZJTET-SNVBAGLBSA-N 0 1 262.313 0.150 20 30 CCEDMN N#CC[C@@H]1CC[C@H](NC(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000897889840 633251499 /nfs/dbraw/zinc/25/14/99/633251499.db2.gz YAIPGQNYWBLJSP-UBHSHLNASA-N 0 1 277.368 0.906 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)Cc2nnc[nH]2)CC1 ZINC000989452013 633275525 /nfs/dbraw/zinc/27/55/25/633275525.db2.gz RNIQNHJLXGKMMF-UHFFFAOYSA-N 0 1 263.345 0.458 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cn(C)nn3)CCC[C@H]12 ZINC000990517020 633548910 /nfs/dbraw/zinc/54/89/10/633548910.db2.gz SBVKZAQDMXWPKZ-GXTWGEPZSA-N 0 1 273.340 0.175 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnnn3CC)CCC[C@H]12 ZINC000990585392 633558021 /nfs/dbraw/zinc/55/80/21/633558021.db2.gz CFLRPGUFPDXXPU-ZFWWWQNUSA-N 0 1 287.367 0.658 20 30 CCEDMN N#Cc1c2ccccc2[nH]c1C(=O)NCCN1CC[C@H](O)C1 ZINC000899154719 633746242 /nfs/dbraw/zinc/74/62/42/633746242.db2.gz PSZOOCLQKSVELQ-NSHDSACASA-N 0 1 298.346 0.836 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001009074110 650137257 /nfs/dbraw/zinc/13/72/57/650137257.db2.gz QIDRBPKSQFDWTI-CYBMUJFWSA-N 0 1 287.363 0.901 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001009074110 650137258 /nfs/dbraw/zinc/13/72/58/650137258.db2.gz QIDRBPKSQFDWTI-CYBMUJFWSA-N 0 1 287.363 0.901 20 30 CCEDMN N#CC1(CNC[C@H](O)C[C@@]2(O)CCOC2)CCC1 ZINC000905290963 634841346 /nfs/dbraw/zinc/84/13/46/634841346.db2.gz WJVYJBXVHQBNTC-YPMHNXCESA-N 0 1 254.330 0.172 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001010005884 650177324 /nfs/dbraw/zinc/17/73/24/650177324.db2.gz RWFCPWZNLVUQGW-ZDUSSCGKSA-N 0 1 261.325 0.700 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCOC2)C1 ZINC001010413374 650220991 /nfs/dbraw/zinc/22/09/91/650220991.db2.gz SQMFIVYRZYABMG-OLZOCXBDSA-N 0 1 250.342 0.627 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010631955 650247994 /nfs/dbraw/zinc/24/79/94/650247994.db2.gz VCHKIYPHBDMOCC-JTQLQIEISA-N 0 1 264.329 0.804 20 30 CCEDMN C[C@H](Cc1cnn(C)c1)C(=O)NCC#CCN(C)C ZINC000913523172 636832486 /nfs/dbraw/zinc/83/24/86/636832486.db2.gz OTGISOKFQRHYDI-GFCCVEGCSA-N 0 1 262.357 0.280 20 30 CCEDMN C[C@@H](OC[C@@H]1CCCCO1)C(=O)NCC#CCN(C)C ZINC000913517612 636835116 /nfs/dbraw/zinc/83/51/16/636835116.db2.gz IUGCITMEDUZTON-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001015203808 637441125 /nfs/dbraw/zinc/44/11/25/637441125.db2.gz ZKNQQAWEXSNYNM-SNVBAGLBSA-N 0 1 275.308 0.206 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001015611850 637743286 /nfs/dbraw/zinc/74/32/86/637743286.db2.gz MANBJONWTCZIFI-DGCLKSJQSA-N 0 1 256.321 0.882 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[C@H]1C ZINC000993695350 637837053 /nfs/dbraw/zinc/83/70/53/637837053.db2.gz ZJEKBSNUPZUVSJ-RGDJUOJXSA-N 0 1 262.353 0.481 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C2CC(=O)NC(=O)C2)[C@H]1C ZINC000993972279 637859235 /nfs/dbraw/zinc/85/92/35/637859235.db2.gz LXZAWDWXPFTASL-PWSUYJOCSA-N 0 1 293.367 0.194 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2ncc[nH]2)[C@@H]1C ZINC000994103705 637870407 /nfs/dbraw/zinc/87/04/07/637870407.db2.gz UBZTWBIVPOSLHX-NWDGAFQWSA-N 0 1 260.341 0.555 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC000994430889 637937463 /nfs/dbraw/zinc/93/74/63/637937463.db2.gz FFCXKIOBVIPTES-PWSUYJOCSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC000994430889 637937467 /nfs/dbraw/zinc/93/74/67/637937467.db2.gz FFCXKIOBVIPTES-PWSUYJOCSA-N 0 1 290.367 0.882 20 30 CCEDMN CS(=O)(=O)CC(=O)[C@H](C#N)C(=O)NC1CCCCC1 ZINC000920110079 638124823 /nfs/dbraw/zinc/12/48/23/638124823.db2.gz YGTXLNDJIYJALD-JTQLQIEISA-N 0 1 286.353 0.189 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)C[C@@H]1NCC#N ZINC000939726906 638398294 /nfs/dbraw/zinc/39/82/94/638398294.db2.gz DXIKYXGPXWAEST-RNCFNFMXSA-N 0 1 284.323 0.532 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)c1C ZINC000939761715 638402341 /nfs/dbraw/zinc/40/23/41/638402341.db2.gz BRSMESMBGXPGCQ-GZMMTYOYSA-N 0 1 289.339 0.517 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC1CN(C(=O)C#CC2CC2)C1 ZINC000995080648 638473562 /nfs/dbraw/zinc/47/35/62/638473562.db2.gz GTUZNOIZLLFATM-AWEZNQCLSA-N 0 1 289.379 0.211 20 30 CCEDMN O=C(C#CC1CC1)N1CCN(C2CN(CCCF)C2)CC1 ZINC000941407282 638531439 /nfs/dbraw/zinc/53/14/39/638531439.db2.gz ONHJJLWIVPJCJW-UHFFFAOYSA-N 0 1 293.386 0.588 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2CCN(CC#N)C[C@H]2C)c1C ZINC000941894437 638580247 /nfs/dbraw/zinc/58/02/47/638580247.db2.gz FHGBKOPBLYALFR-SKDRFNHKSA-N 0 1 275.356 0.990 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001014421868 650449728 /nfs/dbraw/zinc/44/97/28/650449728.db2.gz XYSCEVWAECNNPB-LBPRGKRZSA-N 0 1 289.383 0.923 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@H](NCC#N)C(C)(C)C1 ZINC000996120155 638809962 /nfs/dbraw/zinc/80/99/62/638809962.db2.gz MFFRZSLMRKHFGW-SECBINFHSA-N 0 1 262.317 0.077 20 30 CCEDMN Cc1nnc(CNC2CCN(C(=O)C#CC3CC3)CC2)[nH]1 ZINC000996394246 638817311 /nfs/dbraw/zinc/81/73/11/638817311.db2.gz LKEDPJGMDPQPFP-UHFFFAOYSA-N 0 1 287.367 0.607 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cc2nnc[nH]2)CC1(C)C ZINC000996504666 638820809 /nfs/dbraw/zinc/82/08/09/638820809.db2.gz DTGLCJMPVWJTON-JTQLQIEISA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cn2cncn2)CC1(C)C ZINC000996580351 638823155 /nfs/dbraw/zinc/82/31/55/638823155.db2.gz CSTICWWHVSWMBF-NSHDSACASA-N 0 1 297.790 0.857 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2nn(C)cc2C)C1 ZINC001014404779 650448684 /nfs/dbraw/zinc/44/86/84/650448684.db2.gz HGWMUQSVDTZPTF-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(C)nnc2C)C1 ZINC001014550100 650461629 /nfs/dbraw/zinc/46/16/29/650461629.db2.gz CCMOHLDFGBUYEZ-CYBMUJFWSA-N 0 1 272.352 0.921 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001014592190 650465728 /nfs/dbraw/zinc/46/57/28/650465728.db2.gz ZGPTXPNJSAVGFA-NSHDSACASA-N 0 1 283.335 0.785 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cncnc2)CC1 ZINC001000607603 639140987 /nfs/dbraw/zinc/14/09/87/639140987.db2.gz WUWAORYUTNFNGY-UHFFFAOYSA-N 0 1 270.336 0.862 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccnn2CC)CC1 ZINC001000616563 639142658 /nfs/dbraw/zinc/14/26/58/639142658.db2.gz VSWAOPLPLIUQQN-UHFFFAOYSA-N 0 1 272.352 0.898 20 30 CCEDMN C#CCN1CC=C(CNC(=O)C2CCC(O)CC2)CC1 ZINC001000620005 639143680 /nfs/dbraw/zinc/14/36/80/639143680.db2.gz CNMJGTNLXVENIW-UHFFFAOYSA-N 0 1 276.380 0.919 20 30 CCEDMN C#CC[N@@H+]1CC=C(CNC(=O)c2cc(OC)ncn2)CC1 ZINC001000708063 639159378 /nfs/dbraw/zinc/15/93/78/639159378.db2.gz JXKZYLJWUNLNJQ-UHFFFAOYSA-N 0 1 286.335 0.480 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(OC)ncn2)CC1 ZINC001000708063 639159382 /nfs/dbraw/zinc/15/93/82/639159382.db2.gz JXKZYLJWUNLNJQ-UHFFFAOYSA-N 0 1 286.335 0.480 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2[nH]c(=O)[nH]c2C)CC1 ZINC001000813897 639174484 /nfs/dbraw/zinc/17/44/84/639174484.db2.gz OTGQPZIYWSZDPE-UHFFFAOYSA-N 0 1 288.351 0.809 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC001001036938 639220440 /nfs/dbraw/zinc/22/04/40/639220440.db2.gz OBLMIXMZYQPJCZ-CHWSQXEVSA-N 0 1 277.368 0.432 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001014695525 650478993 /nfs/dbraw/zinc/47/89/93/650478993.db2.gz SEBDJRZDMZGRCJ-LBPRGKRZSA-N 0 1 275.356 0.434 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H](NC(=O)[C@@H]2CCCc3[nH+]ccn32)C1 ZINC001014728068 650482552 /nfs/dbraw/zinc/48/25/52/650482552.db2.gz KPGFMKIJOLUIFZ-KGLIPLIRSA-N 0 1 286.379 0.974 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2cnc3[n-]nnc3c2)C1 ZINC001014751108 650485946 /nfs/dbraw/zinc/48/59/46/650485946.db2.gz DPBBFFBDVWMNGI-NSHDSACASA-N 0 1 284.323 0.180 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001014751108 650485950 /nfs/dbraw/zinc/48/59/50/650485950.db2.gz DPBBFFBDVWMNGI-NSHDSACASA-N 0 1 284.323 0.180 20 30 CCEDMN N#CCCCNC(=O)NC[C@@H]1CC[N@H+]1C1CCOCC1 ZINC000921906844 639405361 /nfs/dbraw/zinc/40/53/61/639405361.db2.gz BILXQMMVGDFWKR-ZDUSSCGKSA-N 0 1 280.372 0.843 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ccnn2C)CC1 ZINC001002708854 639446018 /nfs/dbraw/zinc/44/60/18/639446018.db2.gz FJUZTNMXYLCOGO-UHFFFAOYSA-N 0 1 260.341 0.176 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnn(CC)n2)CC1 ZINC001002733199 639448414 /nfs/dbraw/zinc/44/84/14/639448414.db2.gz FMMDWWFTWQJPFH-UHFFFAOYSA-N 0 1 275.356 0.516 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3nc[nH]c3n2)C1 ZINC001014883789 650498734 /nfs/dbraw/zinc/49/87/34/650498734.db2.gz HJZWMBJRUWCTHX-JTQLQIEISA-N 0 1 271.324 0.948 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC001002956336 639483152 /nfs/dbraw/zinc/48/31/52/639483152.db2.gz XFYIMCWQFVCLRM-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CC(=O)N[C@H](C)C2)CC1 ZINC001003221366 639517760 /nfs/dbraw/zinc/51/77/60/639517760.db2.gz IBGRUWDXUXWBCT-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CCN1CCC(NC(=O)c2cc(=O)c(OC)c[nH]2)CC1 ZINC001003313120 639527698 /nfs/dbraw/zinc/52/76/98/639527698.db2.gz XDCVKIQMSRZYDW-UHFFFAOYSA-N 0 1 291.351 0.764 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCC(=O)N2C)CC1 ZINC001003483847 639554271 /nfs/dbraw/zinc/55/42/71/639554271.db2.gz UTYIEKRVZANOMR-CYBMUJFWSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001014982570 650510918 /nfs/dbraw/zinc/51/09/18/650510918.db2.gz FVGYCKJMZVUTSH-OLZOCXBDSA-N 0 1 274.368 0.822 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@@H]2CCc3[nH]cnc3C2)CC1 ZINC001003925846 639650371 /nfs/dbraw/zinc/65/03/71/639650371.db2.gz UMZYNCAQVVDCKU-LLVKDONJSA-N 0 1 287.367 0.619 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)N2CC[C@H](C)C2)CC1 ZINC001003931073 639652470 /nfs/dbraw/zinc/65/24/70/639652470.db2.gz WQCLRRIQNGXZSH-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc(C)ncn2)CC1 ZINC001005267497 639894955 /nfs/dbraw/zinc/89/49/55/639894955.db2.gz WHXPLRJMDARJDQ-UHFFFAOYSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H](C)n2cncn2)CC1 ZINC001005276592 639895803 /nfs/dbraw/zinc/89/58/03/639895803.db2.gz WYINPVWGHDEDHW-CYBMUJFWSA-N 0 1 289.383 0.785 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2cncnc2)CC1 ZINC001005334853 639901612 /nfs/dbraw/zinc/90/16/12/639901612.db2.gz UAAXADNPSXJCTI-UHFFFAOYSA-N 0 1 272.352 0.575 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2COCCN2CC)CC1 ZINC001005632757 639945056 /nfs/dbraw/zinc/94/50/56/639945056.db2.gz QYDIDAMYMWHVCV-HNNXBMFYSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2c[nH]c(=O)cn2)CC1 ZINC001005898371 640013839 /nfs/dbraw/zinc/01/38/39/640013839.db2.gz VEYFCNQKCGETRI-UHFFFAOYSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2coc(OC)n2)C1 ZINC001015412989 650564414 /nfs/dbraw/zinc/56/44/14/650564414.db2.gz ROPGOJCATJCVOF-SNVBAGLBSA-N 0 1 263.297 0.511 20 30 CCEDMN N#CC(C(=O)COC[C@H]1CCCO1)C(=O)NC1CCCC1 ZINC000113654066 650566456 /nfs/dbraw/zinc/56/64/56/650566456.db2.gz HDDLLHPBSHIJRY-CHWSQXEVSA-N 0 1 294.351 0.950 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001015564626 650581875 /nfs/dbraw/zinc/58/18/75/650581875.db2.gz VARARIRUGXXSSD-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccoc2CC(N)=O)C1 ZINC001015618908 650593958 /nfs/dbraw/zinc/59/39/58/650593958.db2.gz CEALWRCDGFWUCN-JTQLQIEISA-N 0 1 277.324 0.298 20 30 CCEDMN NC(=O)c1ccc(NN=Cc2cc(F)ncc2F)nn1 ZINC000807977626 640843837 /nfs/dbraw/zinc/84/38/37/640843837.db2.gz UXXGCEXMKUUETK-UHFFFAOYSA-N 0 1 278.222 0.695 20 30 CCEDMN NS(=O)(=O)c1cc(C=NNc2cnccn2)ccc1F ZINC000807963018 640843910 /nfs/dbraw/zinc/84/39/10/640843910.db2.gz TZDSYLBPCFUIPY-UHFFFAOYSA-N 0 1 295.299 0.709 20 30 CCEDMN Cc1noc([C@H]2CCCN(C[C@H](O)CC#N)C2)n1 ZINC000809572195 640899919 /nfs/dbraw/zinc/89/99/19/640899919.db2.gz IWHISGSNBCRDTK-WDEREUQCSA-N 0 1 250.302 0.832 20 30 CCEDMN C#CCC[C@H](O)CNS(=O)(=O)CCN(CC)CC ZINC000810076511 640923472 /nfs/dbraw/zinc/92/34/72/640923472.db2.gz FLHKEXPOAAXKAD-LBPRGKRZSA-N 0 1 276.402 0.022 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001015763446 650624721 /nfs/dbraw/zinc/62/47/21/650624721.db2.gz YAWYWAKRFDIJTG-JTQLQIEISA-N 0 1 268.748 0.895 20 30 CCEDMN Cn1cc(C=NNc2ccccc2S(N)(=O)=O)c(=O)[nH]1 ZINC000814227458 641061142 /nfs/dbraw/zinc/06/11/42/641061142.db2.gz HECYVPJRZUJZBS-UHFFFAOYSA-N 0 1 295.324 0.219 20 30 CCEDMN Cn1ncc(CN=Nc2nccn(C)c2=O)c1Cl ZINC000814863314 641078588 /nfs/dbraw/zinc/07/85/88/641078588.db2.gz JEFYJFLGUDQBNM-UHFFFAOYSA-N 0 1 266.692 0.613 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccn(CC)n2)C1 ZINC001015794192 650630603 /nfs/dbraw/zinc/63/06/03/650630603.db2.gz GLBJGJMSJQBVRL-GFCCVEGCSA-N 0 1 260.341 0.730 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CCn2cnccc2=O)c1 ZINC000815878172 641127285 /nfs/dbraw/zinc/12/72/85/641127285.db2.gz WKNDRKSLXNGRMU-UHFFFAOYSA-N 0 1 284.275 0.849 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N[C@H]1CCN(CCO)C1 ZINC001015819657 650634440 /nfs/dbraw/zinc/63/44/40/650634440.db2.gz NIVGLQCBHOVEQA-IHRRRGAJSA-N 0 1 282.384 0.541 20 30 CCEDMN N#CCNC(=O)CNC[C@H](O)c1ccc(Cl)cc1 ZINC000819311050 641400204 /nfs/dbraw/zinc/40/02/04/641400204.db2.gz KOERYCJGPZWEBL-NSHDSACASA-N 0 1 267.716 0.603 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001015957563 650662468 /nfs/dbraw/zinc/66/24/68/650662468.db2.gz XQQFELTVRPDOKF-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@H]2CN(C(C)=O)CCO2)C1 ZINC001016143208 650689692 /nfs/dbraw/zinc/68/96/92/650689692.db2.gz AEFPMZRHYVVLIW-UONOGXRCSA-N 0 1 295.383 0.000 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)C#Cc2cccs2)n1 ZINC000825751455 641907757 /nfs/dbraw/zinc/90/77/57/641907757.db2.gz WNLCRDQTKSBWDB-UHFFFAOYSA-N 0 1 260.322 0.885 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001016344850 650719473 /nfs/dbraw/zinc/71/94/73/650719473.db2.gz VDLMKVBPQANXAW-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001016376629 650722903 /nfs/dbraw/zinc/72/29/03/650722903.db2.gz YYQFYJZZYZQPAV-CQSZACIVSA-N 0 1 287.363 0.705 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc(-n3cncn3)c2)C1 ZINC001016400603 650726521 /nfs/dbraw/zinc/72/65/21/650726521.db2.gz ZGCOGDNWVKIZON-CYBMUJFWSA-N 0 1 298.350 0.652 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc(-n3cncn3)c2)C1 ZINC001016400604 650726559 /nfs/dbraw/zinc/72/65/59/650726559.db2.gz ZGCOGDNWVKIZON-ZDUSSCGKSA-N 0 1 298.350 0.652 20 30 CCEDMN C#CC[C@@H]1CCCN(CC(=O)NC(=O)N[C@@H](C)COC)C1 ZINC000829769068 642190315 /nfs/dbraw/zinc/19/03/15/642190315.db2.gz OBGNPMYYHJQXAH-QWHCGFSZSA-N 0 1 295.383 0.582 20 30 CCEDMN Cc1ccc(CNC(=O)[C@@]2(C)C[C@@H](O)CN2C)cc1C#N ZINC000831781113 642394925 /nfs/dbraw/zinc/39/49/25/642394925.db2.gz HXZIJLJKZVWUPI-GDBMZVCRSA-N 0 1 287.363 0.938 20 30 CCEDMN Cc1ccc(F)c(OCC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834479240 642570730 /nfs/dbraw/zinc/57/07/30/642570730.db2.gz BJAKYHWPPFOZKF-ZYHUDNBSSA-N 0 1 277.299 0.741 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1cccc(F)c1F ZINC000834479312 642571106 /nfs/dbraw/zinc/57/11/06/642571106.db2.gz CCVBRUKTTKDPTR-ONGXEEELSA-N 0 1 265.263 0.735 20 30 CCEDMN C[C@@H]1CCN(c2cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)ccn2)C1 ZINC000834480662 642573618 /nfs/dbraw/zinc/57/36/18/642573618.db2.gz ULVRLMBPSXOYQP-KWCYVHTRSA-N 0 1 299.378 0.769 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnc([C@@H]2CCCO2)s1 ZINC000834484192 642574388 /nfs/dbraw/zinc/57/43/88/642574388.db2.gz FNLRKBJKVPKOBF-UTLUCORTSA-N 0 1 292.364 0.836 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCCc1ccccn1 ZINC000834484544 642575997 /nfs/dbraw/zinc/57/59/97/642575997.db2.gz KEIAXYSLCPVWAJ-WCQYABFASA-N 0 1 258.325 0.632 20 30 CCEDMN Cn1cc(-c2cncc(C(=O)N[C@H]3CNC[C@@H]3C#N)c2)cn1 ZINC000834484690 642577011 /nfs/dbraw/zinc/57/70/11/642577011.db2.gz LVSISHBRVQEBLW-JSGCOSHPSA-N 0 1 296.334 0.323 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(N)cc1Cl ZINC000834485602 642577828 /nfs/dbraw/zinc/57/78/28/642577828.db2.gz ZBLZCAQJFAPWKS-WRWORJQWSA-N 0 1 264.716 0.764 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000834488639 642578255 /nfs/dbraw/zinc/57/82/55/642578255.db2.gz FPCMFGFLJZWRCX-JGVFFNPUSA-N 0 1 266.282 0.498 20 30 CCEDMN Cc1cc(-n2cncn2)ccc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834489533 642579233 /nfs/dbraw/zinc/57/92/33/642579233.db2.gz NPUZYBFHZRJHKJ-SMDDNHRTSA-N 0 1 296.334 0.417 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)ccc1-n1cncn1 ZINC000834489157 642580191 /nfs/dbraw/zinc/58/01/91/642580191.db2.gz KCCPFKJYONODRO-QWHCGFSZSA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1csc2cncn21 ZINC000834490987 642582288 /nfs/dbraw/zinc/58/22/88/642582288.db2.gz ZCEVYYHCQUXDCM-YUMQZZPRSA-N 0 1 261.310 0.237 20 30 CCEDMN Cc1cc2c[nH]nc2c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834493623 642583777 /nfs/dbraw/zinc/58/37/77/642583777.db2.gz FZEGBXWSDLUFMX-CMPLNLGQSA-N 0 1 269.308 0.713 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccn(-c2cccc(F)c2)n1 ZINC000834494613 642585020 /nfs/dbraw/zinc/58/50/20/642585020.db2.gz ORDADKDKWADGEK-YGRLFVJLSA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cncnc1-c1ccccc1 ZINC000834499793 642588491 /nfs/dbraw/zinc/58/84/91/642588491.db2.gz MTBZJFWZDJUZGA-GXTWGEPZSA-N 0 1 293.330 0.985 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1CCC(=O)c2ccccc21 ZINC000834499589 642588648 /nfs/dbraw/zinc/58/86/48/642588648.db2.gz KALDFOUKGACCPU-DDTOSNHZSA-N 0 1 283.331 0.974 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccnc1C(F)(F)F ZINC000834499214 642589062 /nfs/dbraw/zinc/58/90/62/642589062.db2.gz GDGDYCNTWVRFTE-APPZFPTMSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C1(c2ccccc2)CC1 ZINC000834504351 642590119 /nfs/dbraw/zinc/59/01/19/642590119.db2.gz AHDULTFDAAIZLD-AAEUAGOBSA-N 0 1 255.321 0.946 20 30 CCEDMN Cc1cccc2c(O)c(C(=O)N[C@@H]3CNC[C@H]3C#N)cnc12 ZINC000834500885 642590492 /nfs/dbraw/zinc/59/04/92/642590492.db2.gz XPSMICBMBGGNAT-ZWNOBZJWSA-N 0 1 296.330 0.678 20 30 CCEDMN Cc1nc(C(=O)N[C@H]2CNC[C@@H]2C#N)c2ccccn12 ZINC000834500590 642590579 /nfs/dbraw/zinc/59/05/79/642590579.db2.gz UVPFNZCFCWTMGO-QWRGUYRKSA-N 0 1 269.308 0.484 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C1CCN(CC(F)F)CC1 ZINC000834505706 642592486 /nfs/dbraw/zinc/59/24/86/642592486.db2.gz NBQKZCFLMKKVPX-WDEREUQCSA-N 0 1 286.326 0.191 20 30 CCEDMN Cc1nc(C)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000834506801 642593938 /nfs/dbraw/zinc/59/39/38/642593938.db2.gz WCCAXQXLKYBWOQ-DTWKUNHWSA-N 0 1 250.327 0.601 20 30 CCEDMN C[C@H](NC(=O)c1ccccc1)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834505937 642594080 /nfs/dbraw/zinc/59/40/80/642594080.db2.gz PBVWCWYMCUZPGA-UHTWSYAYSA-N 0 1 286.335 0.033 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(F)ccc1F ZINC000834510863 642595364 /nfs/dbraw/zinc/59/53/64/642595364.db2.gz GDZZBKZJFZTSPW-CPCISQLKSA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cncn1-c1ccccc1 ZINC000834512525 642596461 /nfs/dbraw/zinc/59/64/61/642596461.db2.gz YIKQBPRJQFUEIF-YPMHNXCESA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1CC(=O)N(C2CCCC2)C1 ZINC000834511941 642597350 /nfs/dbraw/zinc/59/73/50/642597350.db2.gz QBSWQWHWMDQZOS-LOWVWBTDSA-N 0 1 290.367 0.005 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(Cn2cccn2)cc1 ZINC000834516146 642599289 /nfs/dbraw/zinc/59/92/89/642599289.db2.gz FPSYQSTZXLHGAI-LSDHHAIUSA-N 0 1 295.346 0.773 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COCCOc1ccccc1 ZINC000834521882 642603586 /nfs/dbraw/zinc/60/35/86/642603586.db2.gz YBBALEILKLDGJL-JSGCOSHPSA-N 0 1 289.335 0.310 20 30 CCEDMN COC(=O)Cn1cc(CN=Nc2ccc(OC)cn2)nn1 ZINC000834972904 642644341 /nfs/dbraw/zinc/64/43/41/642644341.db2.gz PTBYWAVNACVHCL-UHFFFAOYSA-N 0 1 290.283 0.301 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)C#CC(C)(C)C)CC2)n[nH]1 ZINC000837425499 642743679 /nfs/dbraw/zinc/74/36/79/642743679.db2.gz QFFBRGYNHYVVRV-UHFFFAOYSA-N 0 1 289.383 0.807 20 30 CCEDMN Cc1ccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c(C)n1 ZINC000841141657 642804762 /nfs/dbraw/zinc/80/47/62/642804762.db2.gz OGPXBFQESZCMQN-CMPLNLGQSA-N 0 1 259.313 0.932 20 30 CCEDMN COc1cccnc1NC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000841182625 642807171 /nfs/dbraw/zinc/80/71/71/642807171.db2.gz JYOMLYUEHKGOIY-RKDXNWHRSA-N 0 1 261.285 0.323 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1cc2c(cn1)CCC2 ZINC000841249183 642809031 /nfs/dbraw/zinc/80/90/31/642809031.db2.gz GIMCZBNINIZDNB-NEPJUHHUSA-N 0 1 271.324 0.803 20 30 CCEDMN N#Cc1ccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1F ZINC000841246211 642809172 /nfs/dbraw/zinc/80/91/72/642809172.db2.gz VQKWZMNWRIHSSE-JOYOIKCWSA-N 0 1 273.271 0.930 20 30 CCEDMN N#Cc1ccc(NC(=O)N[C@H]2CNC[C@H]2C#N)cc1F ZINC000841246213 642809338 /nfs/dbraw/zinc/80/93/38/642809338.db2.gz VQKWZMNWRIHSSE-SKDRFNHKSA-N 0 1 273.271 0.930 20 30 CCEDMN Cc1cc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)nn1C ZINC000841633560 642827710 /nfs/dbraw/zinc/82/77/10/642827710.db2.gz POIXEJQLQJSDQC-VXGBXAGGSA-N 0 1 260.345 0.922 20 30 CCEDMN C#CC[C@@H]1CCCN(CN2C[C@H](OC)CC2=O)C1 ZINC000842630028 642920019 /nfs/dbraw/zinc/92/00/19/642920019.db2.gz VULCTIPRRNYOEJ-CHWSQXEVSA-N 0 1 250.342 0.927 20 30 CCEDMN C[C@@H]1CCn2ncc(C(=O)N[C@H]3CNC[C@@H]3C#N)c2C1 ZINC000843460057 643064671 /nfs/dbraw/zinc/06/46/71/643064671.db2.gz LEOLIYCXVLEGKS-SCVCMEIPSA-N 0 1 273.340 0.307 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1c(F)cc(F)cc1F ZINC000843463997 643067521 /nfs/dbraw/zinc/06/75/21/643067521.db2.gz YSFXLPBKWCEFDJ-QUBYGPBYSA-N 0 1 269.226 0.945 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000843463819 643067730 /nfs/dbraw/zinc/06/77/30/643067730.db2.gz YECOARSUMPQMHZ-KOLCDFICSA-N 0 1 289.295 0.234 20 30 CCEDMN Cc1cc(C)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c(=O)[nH]1 ZINC000843463520 643067872 /nfs/dbraw/zinc/06/78/72/643067872.db2.gz XAPXTDZTERIYLV-UWVGGRQHSA-N 0 1 260.297 0.245 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@@H]1CCOC1 ZINC001017549999 650810966 /nfs/dbraw/zinc/81/09/66/650810966.db2.gz QMENUEOMOFNJNX-QLFBSQMISA-N 0 1 292.379 0.490 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1c[nH]c2ccccc12 ZINC000846678421 643442114 /nfs/dbraw/zinc/44/21/14/643442114.db2.gz TXZSGXKGZDSGQN-BXUZGUMPSA-N 0 1 268.320 0.938 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc2c1OCCCO2 ZINC000846679281 643442420 /nfs/dbraw/zinc/44/24/20/643442420.db2.gz ZTTVAVXXFUQWSZ-JQWIXIFHSA-N 0 1 287.319 0.689 20 30 CCEDMN O=C(C#Cc1cccs1)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000848306586 643619715 /nfs/dbraw/zinc/61/97/15/643619715.db2.gz HYSXFDMLQPBMHY-NWDGAFQWSA-N 0 1 276.361 0.377 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccnn2C)C1 ZINC001018603208 650883395 /nfs/dbraw/zinc/88/33/95/650883395.db2.gz PKGVLTGZUPRRFP-JTQLQIEISA-N 0 1 268.748 0.977 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)CCOC2 ZINC000852827503 644013336 /nfs/dbraw/zinc/01/33/36/644013336.db2.gz JVUXYHVHYGTEAP-KGLIPLIRSA-N 0 1 271.320 0.601 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCc1cccnc1Cl ZINC000852827635 644013665 /nfs/dbraw/zinc/01/36/65/644013665.db2.gz MEDQBXINKKJAGY-GHMZBOCLSA-N 0 1 278.743 0.895 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C1CC2(CC2(F)F)C1 ZINC000852828450 644013785 /nfs/dbraw/zinc/01/37/85/644013785.db2.gz XWYFCVDUIYSDLV-QMFGVRBNSA-N 0 1 255.268 0.650 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1coc(-c2cccnc2)n1 ZINC000852828166 644014263 /nfs/dbraw/zinc/01/42/63/644014263.db2.gz URSBKGIGWCNYGS-GHMZBOCLSA-N 0 1 283.291 0.578 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1cc(C2CC2)no1 ZINC000852875874 644037502 /nfs/dbraw/zinc/03/75/02/644037502.db2.gz SAIQHDQWPZCIQK-BXKDBHETSA-N 0 1 260.297 0.322 20 30 CCEDMN NC(CN1CCCCCC1)=NOCc1nnnn1C1CC1 ZINC000111178601 644092184 /nfs/dbraw/zinc/09/21/84/644092184.db2.gz DUXVYSKMGGPDMF-UHFFFAOYSA-N 0 1 293.375 0.673 20 30 CCEDMN Cn1ccc(CN[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001018826439 650913271 /nfs/dbraw/zinc/91/32/71/650913271.db2.gz FAIBGBJNXXYELC-AWEZNQCLSA-N 0 1 272.352 0.524 20 30 CCEDMN N#CCC(=O)N1CCN(C[C@@H]2CCSC2)CC1 ZINC000857760754 644556785 /nfs/dbraw/zinc/55/67/85/644556785.db2.gz GIGDTYQRZGNXRA-NSHDSACASA-N 0 1 253.371 0.797 20 30 CCEDMN Cc1c(C(=O)N2CC[C@H](NCC#N)C2)ccc2cncn21 ZINC001019070827 650935716 /nfs/dbraw/zinc/93/57/16/650935716.db2.gz HIDPBKQQJOCYKK-LBPRGKRZSA-N 0 1 283.335 0.970 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccc(=O)n(C)c2)C1 ZINC001019084015 650937678 /nfs/dbraw/zinc/93/76/78/650937678.db2.gz XSEDWEPJLBWIPM-LBPRGKRZSA-N 0 1 295.770 0.942 20 30 CCEDMN C#CCCCNS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000859035836 644661222 /nfs/dbraw/zinc/66/12/22/644661222.db2.gz KUIAGEPRUYQYTE-UHFFFAOYSA-N 0 1 272.286 0.020 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001019248077 650952150 /nfs/dbraw/zinc/95/21/50/650952150.db2.gz RIEMPOHZHRGSBF-QWRGUYRKSA-N 0 1 285.775 0.550 20 30 CCEDMN C[C@H](C(=O)NOc1cccc(C#N)c1)[C@H]1CCC(=O)N1 ZINC000870689966 646017376 /nfs/dbraw/zinc/01/73/76/646017376.db2.gz FXCVTXMQGCWDIT-JOYOIKCWSA-N 0 1 273.292 0.883 20 30 CCEDMN N#C[C@@H]1CN(C(=O)CN2CCCC2)CCN1C1CC1 ZINC000874460819 646467551 /nfs/dbraw/zinc/46/75/51/646467551.db2.gz MHZVPACKYVEGHO-CYBMUJFWSA-N 0 1 262.357 0.281 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1([C@H]2CCCCO2)CCC1 ZINC000876801301 646667640 /nfs/dbraw/zinc/66/76/40/646667640.db2.gz ASYKMHPLFFRNAY-FRRDWIJNSA-N 0 1 277.368 0.954 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1COc2cc(F)ccc2C1 ZINC000876801808 646668645 /nfs/dbraw/zinc/66/86/45/646668645.db2.gz JAUFNOFNSNPXFM-DMDPSCGWSA-N 0 1 289.310 0.605 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1csc(C2CC2)n1 ZINC000876801835 646669067 /nfs/dbraw/zinc/66/90/67/646669067.db2.gz JNHLELBHGISZJZ-MWLCHTKSSA-N 0 1 276.365 0.791 20 30 CCEDMN C[C@H](C#N)Oc1ccc(CN2CC[C@@H](O)[C@@H](O)C2)cc1 ZINC000877748918 646837826 /nfs/dbraw/zinc/83/78/26/646837826.db2.gz MNEPLCDLDZDBNE-DFBGVHRSSA-N 0 1 276.336 0.905 20 30 CCEDMN N#Cc1csc(CN2C[C@H](CO)[C@H](CO)C2)c1 ZINC000877764960 646841093 /nfs/dbraw/zinc/84/10/93/646841093.db2.gz UUYLSZLGZJBMND-PHIMTYICSA-N 0 1 252.339 0.652 20 30 CCEDMN Cn1ncnc1CN[C@H]1Cc2ccc(C#N)cc2C1 ZINC000877766751 646841455 /nfs/dbraw/zinc/84/14/55/646841455.db2.gz KQMPPPIJVXZZHN-ZDUSSCGKSA-N 0 1 253.309 0.944 20 30 CCEDMN N#CCCCCN1CCC[C@@H]2OCCNC(=O)[C@H]21 ZINC000879720472 647100426 /nfs/dbraw/zinc/10/04/26/647100426.db2.gz YUXOOBCLYCDQCI-RYUDHWBXSA-N 0 1 251.330 0.660 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN([C@H]3CCC[C@H]3O)CC2)n1 ZINC000881914743 647351859 /nfs/dbraw/zinc/35/18/59/647351859.db2.gz BIQFLSKYBYGOTN-UONOGXRCSA-N 0 1 287.367 0.692 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN(C[C@H](C)O)C[C@H]2C)n1 ZINC000882208550 647382640 /nfs/dbraw/zinc/38/26/40/647382640.db2.gz WGVYCNMLFWQWKA-MNOVXSKESA-N 0 1 275.356 0.548 20 30 CCEDMN N#CC(C(=O)C[C@H]1COC(=O)C1)C(=O)NC1CCCC1 ZINC000133477661 647610076 /nfs/dbraw/zinc/61/00/76/647610076.db2.gz MSJBYAVAXPLFJA-KOLCDFICSA-N 0 1 278.308 0.707 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(C(F)(F)F)ccn1 ZINC000884250390 647681105 /nfs/dbraw/zinc/68/11/05/647681105.db2.gz CYRFNIAXDNOBRU-XVKPBYJWSA-N 0 1 284.241 0.942 20 30 CCEDMN N#CC1(C[C@H](O)CN2C[C@@H]3C[C@H]2C[S@]3=O)CCC1 ZINC000886268943 647948488 /nfs/dbraw/zinc/94/84/88/647948488.db2.gz GDJNUVOBBMWOLI-KSWSHJMHSA-N 0 1 268.382 0.636 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CCO[C@@](C)(C#N)C1 ZINC000887688579 648143632 /nfs/dbraw/zinc/14/36/32/648143632.db2.gz MVRRSVSYRCSSQE-MFKMUULPSA-N 0 1 262.313 0.729 20 30 CCEDMN C#CCSCC(=O)Nc1nc(C(=O)OCC)c[nH]1 ZINC000890437840 648299143 /nfs/dbraw/zinc/29/91/43/648299143.db2.gz QITQLSLWUPPXNQ-UHFFFAOYSA-N 0 1 267.310 0.891 20 30 CCEDMN N#C[C@H]1CCCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000928212337 648622865 /nfs/dbraw/zinc/62/28/65/648622865.db2.gz YWBRYXUERFCHIG-YPMHNXCESA-N 0 1 263.341 0.469 20 30 CCEDMN Cc1ccc(NC(=O)NCC#CCN(C)C)cc1C(N)=O ZINC000930146563 648831375 /nfs/dbraw/zinc/83/13/75/648831375.db2.gz GLOHKJOBOWBOPI-UHFFFAOYSA-N 0 1 288.351 0.780 20 30 CCEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@H](O)[C@@H](C)O ZINC000930456182 648863524 /nfs/dbraw/zinc/86/35/24/648863524.db2.gz QYWWBXFQXNWWHK-RISCZKNCSA-N 0 1 269.341 0.892 20 30 CCEDMN C[C@H](O)[C@H](O)CN1CCN(c2ccc(C#N)cc2)CC1 ZINC000931547893 649003787 /nfs/dbraw/zinc/00/37/87/649003787.db2.gz UZHOHQPVIKQNCB-SWLSCSKDSA-N 0 1 275.352 0.422 20 30 CCEDMN C[C@@H](O)[C@@H](O)CN1CCN(c2ccc(C#N)cc2)CC1 ZINC000931547891 649004086 /nfs/dbraw/zinc/00/40/86/649004086.db2.gz UZHOHQPVIKQNCB-DOMZBBRYSA-N 0 1 275.352 0.422 20 30 CCEDMN N#Cc1ccc(CN2CC[C@H](CS(N)(=O)=O)C2)cc1F ZINC000932947270 649103388 /nfs/dbraw/zinc/10/33/88/649103388.db2.gz AVOHQKFUVYLQHN-NSHDSACASA-N 0 1 297.355 0.808 20 30 CCEDMN N#CCN1CCN(C(=O)c2cc(F)cc(F)c2O)CC1 ZINC000933236158 649114595 /nfs/dbraw/zinc/11/45/95/649114595.db2.gz FPCDHDYQFCMBRA-UHFFFAOYSA-N 0 1 281.262 0.952 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000959897060 649846910 /nfs/dbraw/zinc/84/69/10/649846910.db2.gz UVKBSRLWQIKLMG-WUWHUORYSA-N 0 1 285.351 0.400 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000959897057 649846998 /nfs/dbraw/zinc/84/69/98/649846998.db2.gz UVKBSRLWQIKLMG-PQFRYHKHSA-N 0 1 285.351 0.400 20 30 CCEDMN COc1nc(N2C[C@H](C)N(C)C[C@@H]2C)ncc1C#N ZINC001164631315 719172808 /nfs/dbraw/zinc/17/28/08/719172808.db2.gz BFTUPCIETNXUJZ-UWVGGRQHSA-N 0 1 261.329 0.886 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nc(Cl)ncc3C#N)C[C@H]21 ZINC001164672058 719380309 /nfs/dbraw/zinc/38/03/09/719380309.db2.gz YDJBUOFZZNTCNM-NXEZZACHSA-N 0 1 279.731 0.521 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H]1CCc2c[nH]nc2C1 ZINC001230405884 805600515 /nfs/dbraw/zinc/60/05/15/805600515.db2.gz YFECBTAJSKIFSN-LBPRGKRZSA-N 0 1 275.356 0.131 20 30 CCEDMN CC#CCN(C)CCNC(=O)Cc1cn2ccccc2n1 ZINC001266288967 790422631 /nfs/dbraw/zinc/42/26/31/790422631.db2.gz WLZZLAWHUZJFEA-UHFFFAOYSA-N 0 1 284.363 0.948 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)CNc1cncc(C#N)n1 ZINC001104225955 790451327 /nfs/dbraw/zinc/45/13/27/790451327.db2.gz GTCZIAOHEPMFMB-JTQLQIEISA-N 0 1 299.338 0.478 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccc2nonc2c1 ZINC001266316254 790491988 /nfs/dbraw/zinc/49/19/88/790491988.db2.gz YQGMEQQWSOZLDD-UHFFFAOYSA-N 0 1 272.308 0.908 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1ccnc(OC)n1 ZINC001266403524 790645649 /nfs/dbraw/zinc/64/56/49/790645649.db2.gz WETVQWZHUTXNNL-UHFFFAOYSA-N 0 1 276.340 0.560 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H](C)[C@H]1CCCO1 ZINC001266416843 790662521 /nfs/dbraw/zinc/66/25/21/790662521.db2.gz OIQRAUKKCDCYRX-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)NC(C)=O ZINC001266476501 790769057 /nfs/dbraw/zinc/76/90/57/790769057.db2.gz ZLWGQCJATICFJH-FPMFFAJLSA-N 0 1 279.384 0.762 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H](NC(C)=O)C(C)C ZINC001266488950 790781127 /nfs/dbraw/zinc/78/11/27/790781127.db2.gz SSNQSWNMRLLWRN-HUUCEWRRSA-N 0 1 293.411 0.751 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2O ZINC000693092692 790819423 /nfs/dbraw/zinc/81/94/23/790819423.db2.gz SDSDYTIZVNHOQY-UHTWSYAYSA-N 0 1 252.358 0.770 20 30 CCEDMN Cc1cc(CNCCNC(=O)c2cc(C#N)c[nH]2)on1 ZINC001125385425 790861257 /nfs/dbraw/zinc/86/12/57/790861257.db2.gz NZWLQCXXAIKTQR-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN Cc1ncc(C(=O)NCCN2CCC(NCC#N)CC2)[nH]1 ZINC001279424777 790880075 /nfs/dbraw/zinc/88/00/75/790880075.db2.gz PWWYBTHGYAKZPY-UHFFFAOYSA-N 0 1 290.371 0.026 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001167363435 790971111 /nfs/dbraw/zinc/97/11/11/790971111.db2.gz XWGCYSXQACDQRV-UHFFFAOYSA-N 0 1 295.387 0.307 20 30 CCEDMN CC#CCN(CCNC(=O)Cc1cc[nH]n1)C1CC1 ZINC001266588705 790990397 /nfs/dbraw/zinc/99/03/97/790990397.db2.gz XESBDSQEYZAWMM-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001111488278 791013662 /nfs/dbraw/zinc/01/36/62/791013662.db2.gz FGYOSYRLBHEVKU-LPWJVIDDSA-N 0 1 268.357 0.291 20 30 CCEDMN C#CCN(CCNC(=O)c1cn(CC)nn1)C1CC1 ZINC001266615657 791036723 /nfs/dbraw/zinc/03/67/23/791036723.db2.gz PHSWZCBALUJAOT-UHFFFAOYSA-N 0 1 261.329 0.125 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H]2CN(CC(N)=O)CC[C@H]21 ZINC001266659047 791092461 /nfs/dbraw/zinc/09/24/61/791092461.db2.gz LVCYYVPAQAEVSP-CHWSQXEVSA-N 0 1 279.384 0.751 20 30 CCEDMN C=CCN(CCCNC(=O)CC(N)=O)Cc1cccnc1 ZINC001230716562 805655325 /nfs/dbraw/zinc/65/53/25/805655325.db2.gz KGHXBQOXYMWOIG-UHFFFAOYSA-N 0 1 290.367 0.451 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@@H](O)[C@@H](CO)C2)c1 ZINC001232674074 805967489 /nfs/dbraw/zinc/96/74/89/805967489.db2.gz RJADCJYXQKKYAH-TZMCWYRMSA-N 0 1 262.309 0.439 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)n1 ZINC001059880352 814927589 /nfs/dbraw/zinc/92/75/89/814927589.db2.gz DVXSMBKSYVDVHN-PHIMTYICSA-N 0 1 297.322 0.753 20 30 CCEDMN C=CCCCN1CC[C@H]2C[C@]21C(=O)NCC(=O)N(C)C ZINC001277758778 806019541 /nfs/dbraw/zinc/01/95/41/806019541.db2.gz XGODEZSOMHPLCX-SWLSCSKDSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H](COC)OC ZINC001266856083 791294647 /nfs/dbraw/zinc/29/46/47/791294647.db2.gz JRFYRBNVVLMGFH-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN N#CCNC[C@@H]1CCCCN1C(=O)CCc1c[nH]nn1 ZINC001266879360 791317029 /nfs/dbraw/zinc/31/70/29/791317029.db2.gz UMXIWXRMNDTECJ-LBPRGKRZSA-N 0 1 276.344 0.232 20 30 CCEDMN N#CCNC[C@@H]1CCCCN1C(=O)CCc1cnn[nH]1 ZINC001266879360 791317031 /nfs/dbraw/zinc/31/70/31/791317031.db2.gz UMXIWXRMNDTECJ-LBPRGKRZSA-N 0 1 276.344 0.232 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)CC ZINC001266899120 791327549 /nfs/dbraw/zinc/32/75/49/791327549.db2.gz XYUHTJXIPMAKKG-MEBFFEOJSA-N 0 1 291.395 0.217 20 30 CCEDMN Cc1nnc(CN[C@@H](C)CCNC(=O)CSCC#N)[nH]1 ZINC001267119755 791563095 /nfs/dbraw/zinc/56/30/95/791563095.db2.gz KLXRBXOYYKDUGO-VIFPVBQESA-N 0 1 296.400 0.354 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1csc(=O)[nH]1 ZINC001267210787 791677704 /nfs/dbraw/zinc/67/77/04/791677704.db2.gz KYEKANRYJSBVMO-UHFFFAOYSA-N 0 1 283.353 0.160 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H](C)NC(=O)c1ccccc1 ZINC001267229660 791707905 /nfs/dbraw/zinc/70/79/05/791707905.db2.gz RTMZTHLFVGTYGJ-ZDUSSCGKSA-N 0 1 287.363 0.486 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1COC(=O)C1 ZINC001233653799 806101919 /nfs/dbraw/zinc/10/19/19/806101919.db2.gz NDAZWKISPRQMIK-QWHCGFSZSA-N 0 1 278.352 0.496 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)n1cncn1 ZINC001233751731 806113999 /nfs/dbraw/zinc/11/39/99/806113999.db2.gz PQAQBOLJUKHJJV-STQMWFEESA-N 0 1 277.372 0.948 20 30 CCEDMN Cc1nnc([C@H](C)NC(=O)NCC#CCN(C)C)s1 ZINC001233834058 806128666 /nfs/dbraw/zinc/12/86/66/806128666.db2.gz WQUOISOEUJPGGU-VIFPVBQESA-N 0 1 281.385 0.772 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C[C@H](C)NC(N)=O ZINC001234276551 806214828 /nfs/dbraw/zinc/21/48/28/806214828.db2.gz PLPHDTTZDKTNAS-RYUDHWBXSA-N 0 1 282.388 0.542 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)COCC1CC1 ZINC001234333013 806224979 /nfs/dbraw/zinc/22/49/79/806224979.db2.gz IHQZYXYKZKIFPZ-UHFFFAOYSA-N 0 1 297.399 0.153 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)Cc1[nH]cnc1C ZINC001267254853 793252766 /nfs/dbraw/zinc/25/27/66/793252766.db2.gz HRVJGJDNKPZJNN-UHFFFAOYSA-N 0 1 292.383 0.349 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3n[nH]cc3C)C[C@@H]21 ZINC001042054076 793254330 /nfs/dbraw/zinc/25/43/30/793254330.db2.gz GLNNWANGABKKIU-STQMWFEESA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H](C)c1cnn(C)c1 ZINC001267259077 793257294 /nfs/dbraw/zinc/25/72/94/793257294.db2.gz NSSOJBBWYRTEAM-GFCCVEGCSA-N 0 1 262.357 0.595 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)c1cn[nH]c1 ZINC001234382282 806236158 /nfs/dbraw/zinc/23/61/58/806236158.db2.gz TWUCDCNEQBJFHV-NSHDSACASA-N 0 1 293.371 0.152 20 30 CCEDMN CC[C@H](O)C(=O)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234529781 806267058 /nfs/dbraw/zinc/26/70/58/806267058.db2.gz FSFBCSXARMQGAA-JTQLQIEISA-N 0 1 273.248 0.883 20 30 CCEDMN N#Cc1ccc2nc(=O)[nH]c(OC[C@@H]3COCO3)c2c1 ZINC001234529812 806268094 /nfs/dbraw/zinc/26/80/94/806268094.db2.gz GCYGWANFDKSDLL-VIFPVBQESA-N 0 1 273.248 0.959 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCN(C)[C@H](C)C(N)=O ZINC001235212350 806387549 /nfs/dbraw/zinc/38/75/49/806387549.db2.gz FSTOCWVIQGAOBC-NEPJUHHUSA-N 0 1 285.388 0.280 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCN(C)[C@@H]1CCNC1=O ZINC001235247693 806394176 /nfs/dbraw/zinc/39/41/76/806394176.db2.gz ZHZVOCWSTHOOKQ-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@]1(CC)CCNC1=O ZINC001235572113 806459414 /nfs/dbraw/zinc/45/94/14/806459414.db2.gz WOQCJLACPCIZIB-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)[C@@H]1CCN(CC)C1=O ZINC001235683241 806490505 /nfs/dbraw/zinc/49/05/05/806490505.db2.gz HGBDITGLKYKSPK-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1(COC)CCCC1 ZINC001278092806 806657776 /nfs/dbraw/zinc/65/77/76/806657776.db2.gz CMBRPEBXYIZXEE-CYBMUJFWSA-N 0 1 282.384 0.283 20 30 CCEDMN N#Cc1ccc2nc(CN3CCC[C@@H](C(N)=O)C3)cn2c1 ZINC001237605758 806699414 /nfs/dbraw/zinc/69/94/14/806699414.db2.gz ZWQRIUNFXIJCIY-GFCCVEGCSA-N 0 1 283.335 0.903 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1occ2c1CCC2 ZINC001278120961 806700833 /nfs/dbraw/zinc/70/08/33/806700833.db2.gz ZSHCXWXVLUOLIC-LLVKDONJSA-N 0 1 262.309 0.082 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1c(C)cc(C)[nH]c1=O ZINC001278120868 806701180 /nfs/dbraw/zinc/70/11/80/806701180.db2.gz YZBJNMFMIJROCI-GFCCVEGCSA-N 0 1 291.351 0.108 20 30 CCEDMN C=CCN(CC(=O)OCC)c1nccnc1CN ZINC001120829040 798944773 /nfs/dbraw/zinc/94/47/73/798944773.db2.gz YRROIVNHFJJMPE-UHFFFAOYSA-N 0 1 250.302 0.491 20 30 CCEDMN CCn1c(-c2c[nH]nn2)nnc1N(C)C[C@@H](C)C#N ZINC001121772055 799069256 /nfs/dbraw/zinc/06/92/56/799069256.db2.gz XENBAKKIKBFPOG-QMMMGPOBSA-N 0 1 260.305 0.679 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(C(=O)OCC)cc1 ZINC001121853264 799077494 /nfs/dbraw/zinc/07/74/94/799077494.db2.gz ACWDCSQSHARSCZ-UHFFFAOYSA-N 0 1 274.320 0.702 20 30 CCEDMN C#CCNCC(=O)N(C)[C@H]1C[C@H](OCC)C1(C)C ZINC001121883128 799083880 /nfs/dbraw/zinc/08/38/80/799083880.db2.gz NOJOEURVMDRBEK-RYUDHWBXSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)C1CCN(C(=O)OC)CC1 ZINC001122042331 799130256 /nfs/dbraw/zinc/13/02/56/799130256.db2.gz WKRMXUHLIOUUCH-NSHDSACASA-N 0 1 281.356 0.192 20 30 CCEDMN COCCNC(=O)[C@H](C#N)C(=O)c1cc(C)[nH]c(=O)c1 ZINC001122414945 799228001 /nfs/dbraw/zinc/22/80/01/799228001.db2.gz MJXKNETZDAJCQT-SNVBAGLBSA-N 0 1 277.280 0.181 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H]1CCc2ccccc2C1 ZINC001278165280 806736934 /nfs/dbraw/zinc/73/69/34/806736934.db2.gz UZJPDMUYDMOZOK-JKSUJKDBSA-N 0 1 286.375 0.491 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1oc(CC)nc1C ZINC001278179663 806742295 /nfs/dbraw/zinc/74/22/95/806742295.db2.gz SVQSIXNZOBNFRM-LLVKDONJSA-N 0 1 279.340 0.249 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cnn(CCCC)c1C ZINC001278179726 806742533 /nfs/dbraw/zinc/74/25/33/806742533.db2.gz UOPHJCJBHJLTEJ-CYBMUJFWSA-N 0 1 292.383 0.305 20 30 CCEDMN CC(C)c1nc(CNCCNC(=O)CSCC#N)n[nH]1 ZINC001123792290 799475913 /nfs/dbraw/zinc/47/59/13/799475913.db2.gz REBPSPDXUNPUNQ-UHFFFAOYSA-N 0 1 296.400 0.391 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)n1cccn1 ZINC001123910763 799501869 /nfs/dbraw/zinc/50/18/69/799501869.db2.gz FCZIXYORZOSYGW-SNVBAGLBSA-N 0 1 256.737 0.902 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cnsn1 ZINC001124154915 799568479 /nfs/dbraw/zinc/56/84/79/799568479.db2.gz SAAZBYAYRKTLGC-UHFFFAOYSA-N 0 1 291.174 0.766 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc2cnccc21 ZINC001278195101 806757279 /nfs/dbraw/zinc/75/72/79/806757279.db2.gz PMZDPQSVHWVTDJ-CYBMUJFWSA-N 0 1 283.331 0.548 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cnns1 ZINC001124172716 799571597 /nfs/dbraw/zinc/57/15/97/799571597.db2.gz PFESUXVTGJLKQN-UHFFFAOYSA-N 0 1 291.174 0.766 20 30 CCEDMN CO[C@@H](C)C(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001124272472 799585945 /nfs/dbraw/zinc/58/59/45/799585945.db2.gz FUDQAKUJZCDRQK-LBPRGKRZSA-N 0 1 278.327 0.918 20 30 CCEDMN O=C(NCCNCC#Cc1ccc(F)cc1)[C@@H]1CCOC1 ZINC001124496267 799609455 /nfs/dbraw/zinc/60/94/55/799609455.db2.gz ZSGCODLNIAXZFO-CQSZACIVSA-N 0 1 290.338 0.920 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCc1cccc2c1OCCO2 ZINC001124902962 799646045 /nfs/dbraw/zinc/64/60/45/799646045.db2.gz OHJDHVWFYCCZCM-LLVKDONJSA-N 0 1 289.335 0.823 20 30 CCEDMN CCC1(CN2CCN(c3nccnc3C#N)CC2)COC1 ZINC001202962627 799835064 /nfs/dbraw/zinc/83/50/64/799835064.db2.gz KWTPECKNNOXPEE-UHFFFAOYSA-N 0 1 287.367 0.897 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)C(=O)c1cc(=O)n(C)[n-]1 ZINC001139873818 799851084 /nfs/dbraw/zinc/85/10/84/799851084.db2.gz FLRZIUKXNRQNOG-UHFFFAOYSA-N 0 1 266.345 0.706 20 30 CCEDMN C=CCOCCN1CC([C@@H](C)NC(=O)c2csnn2)C1 ZINC001276404984 800189020 /nfs/dbraw/zinc/18/90/20/800189020.db2.gz HSAJGKCOXDACRQ-SNVBAGLBSA-N 0 1 296.396 0.791 20 30 CCEDMN CN1CCN(CCC(=O)N/C=C/C(=O)C(F)(F)F)CC1 ZINC001143236748 800213246 /nfs/dbraw/zinc/21/32/46/800213246.db2.gz SULCKRDZRBJEKH-DUXPYHPUSA-N 0 1 293.289 0.385 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001149405721 800256299 /nfs/dbraw/zinc/25/62/99/800256299.db2.gz DIIYHHQZHAVEKY-CHWSQXEVSA-N 0 1 263.341 0.376 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001149405727 800256736 /nfs/dbraw/zinc/25/67/36/800256736.db2.gz DIIYHHQZHAVEKY-QWHCGFSZSA-N 0 1 263.341 0.376 20 30 CCEDMN C#CCN1CCCO[C@H](CNC(=O)Cc2cc(C)n[nH]2)C1 ZINC001149528387 800274481 /nfs/dbraw/zinc/27/44/81/800274481.db2.gz GQBFCBVCKDKEQK-CQSZACIVSA-N 0 1 290.367 0.101 20 30 CCEDMN CCOCCN1CCCO[C@@H](CNC(=O)C#CC2CC2)C1 ZINC001149702226 800293016 /nfs/dbraw/zinc/29/30/16/800293016.db2.gz ZXSFFUICNZDXKT-HNNXBMFYSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H](C)NC(=O)CC)C1 ZINC001149763599 800297216 /nfs/dbraw/zinc/29/72/16/800297216.db2.gz LBIVDOZJUVUCOB-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](C)NC(=O)CC)C1 ZINC001149763600 800297416 /nfs/dbraw/zinc/29/74/16/800297416.db2.gz LBIVDOZJUVUCOB-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCCOCC(=O)NC[C@H]1CN(CC=C)CCCO1 ZINC001150803833 800367980 /nfs/dbraw/zinc/36/79/80/800367980.db2.gz SIPFJAFZECIWFH-AWEZNQCLSA-N 0 1 282.384 0.972 20 30 CCEDMN N#CCNCCNC(=O)Cc1[nH]nc2ccccc21 ZINC001152260393 800506039 /nfs/dbraw/zinc/50/60/39/800506039.db2.gz DPGNAJOUYOJNNZ-UHFFFAOYSA-N 0 1 257.297 0.335 20 30 CCEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1cncnc1 ZINC001152906932 800569975 /nfs/dbraw/zinc/56/99/75/800569975.db2.gz BHGKKZWUYNSQJE-GFCCVEGCSA-N 0 1 260.341 0.940 20 30 CCEDMN CCN(Cc1n[nH]c(C)n1)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153232961 800612511 /nfs/dbraw/zinc/61/25/11/800612511.db2.gz RQMCKYIWOKGJLJ-LLVKDONJSA-N 0 1 289.383 0.853 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cnc2[nH]cnc2c1 ZINC001153724389 800656723 /nfs/dbraw/zinc/65/67/23/800656723.db2.gz WYZXLEQKCAGUOI-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cc(C)nc(C#N)n1 ZINC001155084957 800907143 /nfs/dbraw/zinc/90/71/43/800907143.db2.gz XSRFINIIMWYENV-UHFFFAOYSA-N 0 1 286.295 0.478 20 30 CCEDMN N#Cc1cccnc1NCc1nnc2n1CCCNC2 ZINC001155424960 800973369 /nfs/dbraw/zinc/97/33/69/800973369.db2.gz MVSVVFMFTJKVQO-UHFFFAOYSA-N 0 1 269.312 0.650 20 30 CCEDMN C=CCOCC(=O)NCCCN[C@@H](C)c1ncccn1 ZINC001155711848 801041713 /nfs/dbraw/zinc/04/17/13/801041713.db2.gz CARPNRYPEHMRHP-LBPRGKRZSA-N 0 1 278.356 0.836 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1cc(OC)no1 ZINC001155712578 801041961 /nfs/dbraw/zinc/04/19/61/801041961.db2.gz PDBRBDZWAAYZQP-UHFFFAOYSA-N 0 1 283.328 0.482 20 30 CCEDMN CCn1c(C)nnc1CNCCCNC(=O)[C@H](C)C#N ZINC001155827497 801059654 /nfs/dbraw/zinc/05/96/54/801059654.db2.gz CAYMRNHRDJQSFE-SNVBAGLBSA-N 0 1 278.360 0.362 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cccc2nncn21 ZINC001156057379 801103698 /nfs/dbraw/zinc/10/36/98/801103698.db2.gz MSMHWYMQBGANAI-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1nnc2c(F)cccn12 ZINC001156327025 801151135 /nfs/dbraw/zinc/15/11/35/801151135.db2.gz OIWIPUHOGWNQIM-UHFFFAOYSA-N 0 1 271.215 0.715 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)Cn1ccccc1=O ZINC001156813899 801239598 /nfs/dbraw/zinc/23/95/98/801239598.db2.gz DTJXQCDDRQHSIG-CYBMUJFWSA-N 0 1 275.352 0.308 20 30 CCEDMN Cc1nc(C#N)cnc1-n1c(C)ncc(CN)c1=N ZINC001156830166 801245721 /nfs/dbraw/zinc/24/57/21/801245721.db2.gz ARXALPYYIGWDDR-UHFFFAOYSA-N 0 1 255.285 0.089 20 30 CCEDMN N#Cc1cc(C(=O)NCCCNCc2cnon2)c[nH]1 ZINC001156848024 801248052 /nfs/dbraw/zinc/24/80/52/801248052.db2.gz OTBBXRDDLPKKLZ-UHFFFAOYSA-N 0 1 274.284 0.179 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCN[C@@H](C)c1nncn1C ZINC001157201783 801324201 /nfs/dbraw/zinc/32/42/01/801324201.db2.gz LLFCZSMVHZFVPJ-FZMZJTMJSA-N 0 1 295.387 0.299 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1coc(C)n1 ZINC001157202587 801324851 /nfs/dbraw/zinc/32/48/51/801324851.db2.gz UWQKDGWSAHQEPZ-CQSZACIVSA-N 0 1 281.356 0.906 20 30 CCEDMN CCOC(=O)C1CO[C@H](C)C1=Nc1nccnc1C#N ZINC001157380371 801364326 /nfs/dbraw/zinc/36/43/26/801364326.db2.gz DVZFCIFYJFFKLA-MRVPVSSYSA-N 0 1 274.280 0.996 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)NC(C)=O ZINC001157493285 801399962 /nfs/dbraw/zinc/39/99/62/801399962.db2.gz COKMOAGIJPGFJH-GXTWGEPZSA-N 0 1 279.384 0.457 20 30 CCEDMN O=C(C#CC1CC1)NCCCNCc1nncs1 ZINC001157682731 801454108 /nfs/dbraw/zinc/45/41/08/801454108.db2.gz NZONFRKEHRJFQL-UHFFFAOYSA-N 0 1 264.354 0.547 20 30 CCEDMN O=C(C#CC1CC1)NCCCNCc1nnc(C2CC2)[nH]1 ZINC001157705041 801458957 /nfs/dbraw/zinc/45/89/57/801458957.db2.gz JCOABERDAZTEGF-UHFFFAOYSA-N 0 1 287.367 0.691 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN1c1[nH]ccc2ncc(C#N)c1-2 ZINC001157773895 801480770 /nfs/dbraw/zinc/48/07/70/801480770.db2.gz UDROSCLMLOBURG-LBPRGKRZSA-N 0 1 299.334 0.728 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN1c1nccc2[nH]cc(C#N)c21 ZINC001157773895 801480776 /nfs/dbraw/zinc/48/07/76/801480776.db2.gz UDROSCLMLOBURG-LBPRGKRZSA-N 0 1 299.334 0.728 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1nc(C)c(C)o1 ZINC001157853066 801501688 /nfs/dbraw/zinc/50/16/88/801501688.db2.gz SCQQCSISYJUDQT-UHFFFAOYSA-N 0 1 293.367 0.927 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)[C@H]1Cc2ccccc2CN1 ZINC001157982014 801545568 /nfs/dbraw/zinc/54/55/68/801545568.db2.gz HILYVFICYVFAGZ-ZIAGYGMSSA-N 0 1 270.336 0.025 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H](C)OC)CC1 ZINC001159451940 801809271 /nfs/dbraw/zinc/80/92/71/801809271.db2.gz BJPINRLHVQZEBM-LBPRGKRZSA-N 0 1 250.342 0.793 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCC1=CCN([C@@H]2CCNC2=O)CC1 ZINC001159587014 801835100 /nfs/dbraw/zinc/83/51/00/801835100.db2.gz FVVXIBNRPANCQB-WCQYABFASA-N 0 1 290.367 0.173 20 30 CCEDMN CNC(=O)[C@H](C)N1CC=C(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001159587062 801835505 /nfs/dbraw/zinc/83/55/05/801835505.db2.gz GVNXVBQLZKNOBO-RYUDHWBXSA-N 0 1 292.383 0.419 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)COCCOC)CC1 ZINC001159594330 801837756 /nfs/dbraw/zinc/83/77/56/801837756.db2.gz OUWJXPHTBKSDHW-UHFFFAOYSA-N 0 1 280.368 0.421 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@H]2OCCC[C@]2(COC)C1 ZINC001159635090 801839950 /nfs/dbraw/zinc/83/99/50/801839950.db2.gz GUCBLEZUJNYWLC-VHDGCEQUSA-N 0 1 280.368 0.381 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@H]2OCCC[C@@]2(COC)C1 ZINC001159635088 801840094 /nfs/dbraw/zinc/84/00/94/801840094.db2.gz GUCBLEZUJNYWLC-SNPRPXQTSA-N 0 1 280.368 0.381 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC2(CCOC[C@@H]2COC)CC1 ZINC001160059005 801902256 /nfs/dbraw/zinc/90/22/56/801902256.db2.gz NYPWGTZUVLRBBE-ZFWWWQNUSA-N 0 1 294.395 0.629 20 30 CCEDMN Cc1nc(CC(=O)NCCC2=CCN(CC#N)CC2)n[nH]1 ZINC001160084169 801906783 /nfs/dbraw/zinc/90/67/83/801906783.db2.gz HILBQDZEJHJGHF-UHFFFAOYSA-N 0 1 288.355 0.318 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1C[C@@H]2COC[C@H](C1)C2(F)F ZINC001160760786 802016805 /nfs/dbraw/zinc/01/68/05/802016805.db2.gz RXZFOZFUODHONT-NGZCFLSTSA-N 0 1 258.268 0.077 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1CCn2nncc2C1 ZINC001160832598 802025478 /nfs/dbraw/zinc/02/54/78/802025478.db2.gz LIZWGDXEMQPRGR-AWEZNQCLSA-N 0 1 296.334 0.062 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H](C#N)Cc2ccc(O)cc2)CCN1 ZINC001161016979 802061738 /nfs/dbraw/zinc/06/17/38/802061738.db2.gz DWQLECGITHMKLF-DGCLKSJQSA-N 0 1 273.336 0.895 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@H](C(=O)OCCCC)C1 ZINC001161461484 802157785 /nfs/dbraw/zinc/15/77/85/802157785.db2.gz SQIAFFNOOXVXEE-RISCZKNCSA-N 0 1 266.341 0.529 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1ccnc(C#N)n1 ZINC001162530940 802359938 /nfs/dbraw/zinc/35/99/38/802359938.db2.gz QLUOEYKVSQLNNQ-UHFFFAOYSA-N 0 1 272.268 0.169 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C(C)(C)CCOC ZINC001278879056 808421381 /nfs/dbraw/zinc/42/13/81/808421381.db2.gz ZVCDIWNAXDAFSA-ZDUSSCGKSA-N 0 1 284.400 0.433 20 30 CCEDMN N#Cc1c(F)cncc1NCc1nnc2n1CCCNC2 ZINC001163021940 802462863 /nfs/dbraw/zinc/46/28/63/802462863.db2.gz IJOUQNFIMPFNMV-UHFFFAOYSA-N 0 1 287.302 0.789 20 30 CCEDMN CC(=O)C=C(C)Nc1cc(CN2CCNC(=O)C2)ccn1 ZINC001163737754 802601101 /nfs/dbraw/zinc/60/11/01/802601101.db2.gz IDDWWHVTHPTTPU-XFFZJAGNSA-N 0 1 288.351 0.918 20 30 CCEDMN C[N@@H+]1CCCC[C@H]1C(=O)Nc1nc(C#N)c(C#N)[n-]1 ZINC001163737406 802601337 /nfs/dbraw/zinc/60/13/37/802601337.db2.gz KRVKGVRPHHYFAW-JTQLQIEISA-N 0 1 258.285 0.576 20 30 CCEDMN C[N@H+]1CCCC[C@H]1C(=O)Nc1nc(C#N)c(C#N)[n-]1 ZINC001163737406 802601342 /nfs/dbraw/zinc/60/13/42/802601342.db2.gz KRVKGVRPHHYFAW-JTQLQIEISA-N 0 1 258.285 0.576 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCCN[C@H](C)c1nnnn1C ZINC001163860512 802626468 /nfs/dbraw/zinc/62/64/68/802626468.db2.gz HGLOPOGIPMLIQI-LLVKDONJSA-N 0 1 294.403 0.969 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CCCOCC2)[C@@H](O)C1 ZINC001083432646 814934325 /nfs/dbraw/zinc/93/43/25/814934325.db2.gz XMUNLARJISBATO-MCIONIFRSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCNCc1nnnn1CCC ZINC001163957457 802641309 /nfs/dbraw/zinc/64/13/09/802641309.db2.gz KSDQEPSEIPIBPN-UHFFFAOYSA-N 0 1 294.403 0.891 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN(CCOC2CCC2)C1 ZINC001265275810 809740745 /nfs/dbraw/zinc/74/07/45/809740745.db2.gz TVMGDFHMESPUTP-CYBMUJFWSA-N 0 1 282.384 0.949 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H](NC(C)=O)C(C)C)CC1 ZINC001279676317 809993190 /nfs/dbraw/zinc/99/31/90/809993190.db2.gz ZRWMJGHWAMIRCJ-OAHLLOKOSA-N 0 1 291.395 0.529 20 30 CCEDMN N#CCc1cc(NCCCc2c[nH][nH]c2=O)ccn1 ZINC001164397052 802736526 /nfs/dbraw/zinc/73/65/26/802736526.db2.gz XTEQWBRVRHGRDT-SNVBAGLBSA-N 0 1 257.297 0.493 20 30 CCEDMN Cc1cc(N2CC(N(C)[C@@H]3CCOC3)C2)nc(C#N)n1 ZINC001165205931 802796746 /nfs/dbraw/zinc/79/67/46/802796746.db2.gz YSKRZGRVLGLHFX-LLVKDONJSA-N 0 1 273.340 0.566 20 30 CCEDMN N#CC1(NC(=O)[C@@H]2CC23CN(Cc2cnc[nH]2)C3)CCC1 ZINC001278345473 807001304 /nfs/dbraw/zinc/00/13/04/807001304.db2.gz NNUDNQCEJAUFLN-LBPRGKRZSA-N 0 1 285.351 0.794 20 30 CCEDMN N#Cc1cc2c(nc1N1CCNC(=O)C13CNC3)CCCC2 ZINC001165302387 802819803 /nfs/dbraw/zinc/81/98/03/802819803.db2.gz MSWCOSXPGBQZSV-UHFFFAOYSA-N 0 1 297.362 0.110 20 30 CCEDMN CC#CC[NH2+]CC1(CCNC(=O)c2[n-]nnc2C)CC1 ZINC001166518723 802908715 /nfs/dbraw/zinc/90/87/15/802908715.db2.gz KAHBCFUGPJUFJA-UHFFFAOYSA-N 0 1 275.356 0.626 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)[C@@H](C)[C@H](C)CC)CC1 ZINC001269513531 813398846 /nfs/dbraw/zinc/39/88/46/813398846.db2.gz VKRQFGZZOJVRHG-OLZOCXBDSA-N 0 1 293.411 0.656 20 30 CCEDMN C[C@@H]1CC[N@@H+](CC(=O)N2CCC(CNCC#N)CC2)C1 ZINC001206275237 803221639 /nfs/dbraw/zinc/22/16/39/803221639.db2.gz QGKMNQIGFRFMKR-CYBMUJFWSA-N 0 1 278.400 0.680 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@@H](CC)C(N)=O)C[C@H]1C ZINC001206544716 803265108 /nfs/dbraw/zinc/26/51/08/803265108.db2.gz KMGFGIDZMPGFSD-UTUOFQBUSA-N 0 1 267.373 0.653 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCN2C(N)=O)[C@H]1CC ZINC001087849186 814237043 /nfs/dbraw/zinc/23/70/43/814237043.db2.gz LIRWFXRUNCZDEL-XQQFMLRXSA-N 0 1 292.383 0.132 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CN(CC=C)C[C@H]1C ZINC001206963602 803318953 /nfs/dbraw/zinc/31/89/53/803318953.db2.gz JHNARLWEYMTUGA-FRRDWIJNSA-N 0 1 250.342 0.647 20 30 CCEDMN CC(C)[C@@H](O)C(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001126632102 814701675 /nfs/dbraw/zinc/70/16/75/814701675.db2.gz MNSAZZWIQNXRDN-OAHLLOKOSA-N 0 1 292.354 0.900 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)C2CC(OC)C2)C1 ZINC001207659233 803431294 /nfs/dbraw/zinc/43/12/94/803431294.db2.gz OIOLKMIYKUROQL-XSCHDIRWSA-N 0 1 294.395 0.498 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C(=O)NCC(F)F)C(C)(C)C1 ZINC001276592858 803549224 /nfs/dbraw/zinc/54/92/24/803549224.db2.gz CFRPRIUKFNHREI-VIFPVBQESA-N 0 1 289.326 0.380 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CNC(=O)CCC)[C@H](OC)C1 ZINC001211962114 814946302 /nfs/dbraw/zinc/94/63/02/814946302.db2.gz QEHOCEVXXRNRAT-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H]1[C@H]2CN([C@@H](C)C(N)=O)C[C@H]21 ZINC001276701744 803695839 /nfs/dbraw/zinc/69/58/39/803695839.db2.gz WDMZYQYLTTZZGF-FIQHERPVSA-N 0 1 279.384 0.366 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CNC(C)=O)C[C@H]1C ZINC001214059431 803716263 /nfs/dbraw/zinc/71/62/63/803716263.db2.gz ZFXRLCXENPZBJI-LDYMZIIASA-N 0 1 273.764 0.312 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CN(C)C(C)=O)C[C@H]1C ZINC001214181583 803725282 /nfs/dbraw/zinc/72/52/82/803725282.db2.gz WZBVKNREJGPOAT-BXKDBHETSA-N 0 1 287.791 0.654 20 30 CCEDMN CC(C)N1C[C@H]2OCCN(C(=O)CSCC#N)[C@H]2C1 ZINC001217330977 803885248 /nfs/dbraw/zinc/88/52/48/803885248.db2.gz GUWCMKJCNZRBBP-NWDGAFQWSA-N 0 1 283.397 0.563 20 30 CCEDMN N#CCSCC(=O)N1CCO[C@@H]2CN(CC3CC3)C[C@@H]21 ZINC001217337183 803888128 /nfs/dbraw/zinc/88/81/28/803888128.db2.gz KEDFLVPCRVDPPB-QWHCGFSZSA-N 0 1 295.408 0.565 20 30 CCEDMN CCOCCCN1C[C@H]2OCCN(C(=O)[C@@H](C)C#N)[C@H]2C1 ZINC001217554759 803894765 /nfs/dbraw/zinc/89/47/65/803894765.db2.gz GEZXCTLXIMRFIY-MELADBBJSA-N 0 1 295.383 0.484 20 30 CCEDMN C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)Nc1nnccc1C#N ZINC001218701792 804088347 /nfs/dbraw/zinc/08/83/47/804088347.db2.gz NARZFYHZFFTMMM-PSASIEDQSA-N 0 1 277.328 0.818 20 30 CCEDMN N#Cc1ccc(NC(=O)[C@@]2(N)CCC[NH2+]C2)c([O-])c1 ZINC001218992176 804178211 /nfs/dbraw/zinc/17/82/11/804178211.db2.gz IOLFCVXOSCGPDT-CYBMUJFWSA-N 0 1 260.297 0.283 20 30 CCEDMN N#Cc1ccc(-c2noc([C@H]3NCC[C@H]3O)n2)nc1 ZINC001212074149 814997963 /nfs/dbraw/zinc/99/79/63/814997963.db2.gz GSMVOQCQPMZCDR-ZJUUUORDSA-N 0 1 257.253 0.399 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3[nH]c(=O)[nH]c3C)[C@@H]2C1 ZINC001075919558 815002009 /nfs/dbraw/zinc/00/20/09/815002009.db2.gz PWMAAPAYCINVAX-WDEREUQCSA-N 0 1 274.324 0.203 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC(F)(F)F)[C@@H](O)C1 ZINC001219306555 804263919 /nfs/dbraw/zinc/26/39/19/804263919.db2.gz UUCMEEDHCAUYHY-BDAKNGLRSA-N 0 1 266.263 0.676 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCCOC(C)C)C[C@@H]1O ZINC001219344586 804279858 /nfs/dbraw/zinc/27/98/58/804279858.db2.gz QEDOFCQMLLIZFF-KGLIPLIRSA-N 0 1 284.400 0.929 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2=CCCC2)[C@@H](O)C1 ZINC001219450519 804322162 /nfs/dbraw/zinc/32/21/62/804322162.db2.gz QVOYIMZENMMNIA-KGLIPLIRSA-N 0 1 280.368 0.461 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@@H]1O ZINC001219539645 804340758 /nfs/dbraw/zinc/34/07/58/804340758.db2.gz UTTOLJNWBCHQGU-MCIONIFRSA-N 0 1 282.384 0.112 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)C(C)C)[C@@H](O)C1 ZINC001219698355 804407791 /nfs/dbraw/zinc/40/77/91/804407791.db2.gz KXHLMZXVDKODKK-OLZOCXBDSA-N 0 1 266.385 0.853 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2ccncn2)[C@@H](O)C1 ZINC001219717413 804412965 /nfs/dbraw/zinc/41/29/65/804412965.db2.gz XAQZJQSFWHRAJZ-KGLIPLIRSA-N 0 1 290.367 0.147 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)Cn1cc(Cl)cn1 ZINC001272118090 815016800 /nfs/dbraw/zinc/01/68/00/815016800.db2.gz UJMVDHMZEUPCCE-UHFFFAOYSA-N 0 1 268.748 0.560 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)Cn1ccc(C)cc1=O ZINC001272125643 815021829 /nfs/dbraw/zinc/02/18/29/815021829.db2.gz LIEOCTQOEFSGRA-UHFFFAOYSA-N 0 1 275.352 0.180 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CCC[C@H](C(N)=O)C1 ZINC001272125514 815022111 /nfs/dbraw/zinc/02/21/11/815022111.db2.gz HJNBABRTALBIMS-STQMWFEESA-N 0 1 279.384 0.302 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@H](N)Cc1cccc(O)c1 ZINC001220124652 804506188 /nfs/dbraw/zinc/50/61/88/804506188.db2.gz GQUJZYGESCUNLU-CYBMUJFWSA-N 0 1 292.335 0.498 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCOC2CCC2)C[C@@H]1O ZINC001220143163 804512589 /nfs/dbraw/zinc/51/25/89/804512589.db2.gz WNIAWMKVBQXQRU-KGLIPLIRSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@@H]1O ZINC001220149207 804515235 /nfs/dbraw/zinc/51/52/35/804515235.db2.gz CMOWBXOGKDUTSP-KFWWJZLASA-N 0 1 296.411 0.766 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H]1CCC[C@@H](C(N)=O)C1 ZINC001272125712 815022469 /nfs/dbraw/zinc/02/24/69/815022469.db2.gz NEWWRUYHSJKWIA-KGLIPLIRSA-N 0 1 293.411 0.692 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](CCC)OCC)[C@@H](O)C1 ZINC001220190208 804532011 /nfs/dbraw/zinc/53/20/11/804532011.db2.gz FWLNBVYGZPJTBW-HZSPNIEDSA-N 0 1 282.384 0.376 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001220199233 804533772 /nfs/dbraw/zinc/53/37/72/804533772.db2.gz KCEGTOKXFAQDMU-MJBXVCDLSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(CCCOC)C[C@@H]2O)CC1 ZINC001220289730 804563822 /nfs/dbraw/zinc/56/38/22/804563822.db2.gz BWHFOARKZDPWSH-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](CC(C)C)OC)[C@@H](O)C1 ZINC001220308644 804568995 /nfs/dbraw/zinc/56/89/95/804568995.db2.gz HLBGPJNIBMXXKJ-RDBSUJKOSA-N 0 1 282.384 0.232 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H]1Cc2cc(C)c(OC)cc2C1 ZINC001220379523 804587444 /nfs/dbraw/zinc/58/74/44/804587444.db2.gz WPBWNCBVLLQFHO-BBRMVZONSA-N 0 1 272.348 0.938 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)[C@H](N)[C@@H](C)OC(C)(C)C ZINC001220498171 804615242 /nfs/dbraw/zinc/61/52/42/804615242.db2.gz CUAYLDZDDJJVJU-KXUCPTDWSA-N 0 1 285.344 0.089 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCCCS ZINC001220588603 804631739 /nfs/dbraw/zinc/63/17/39/804631739.db2.gz VLZOJZGRQSYALR-LBPRGKRZSA-N 0 1 263.366 0.864 20 30 CCEDMN NCC(=O)N[C@@H](Cc1ccccc1)C(=O)NCCCS ZINC001220587819 804631832 /nfs/dbraw/zinc/63/18/32/804631832.db2.gz KMTNMEKFFUZAFO-LBPRGKRZSA-N 0 1 295.408 0.109 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H]1CCC[C@H]1C(=O)OCC ZINC001220661222 804645831 /nfs/dbraw/zinc/64/58/31/804645831.db2.gz VPDKDOMQDRKAJK-NRUUGDAUSA-N 0 1 252.314 0.185 20 30 CCEDMN CC(C)C#CC(=O)N(CCO)CCNCc1ccns1 ZINC001272162209 815034985 /nfs/dbraw/zinc/03/49/85/815034985.db2.gz HRUQKAROEHGMFE-UHFFFAOYSA-N 0 1 295.408 0.713 20 30 CCEDMN C#CCCC1(CCNC(=O)C[C@@H]2COCCN2)N=N1 ZINC001221408505 804810281 /nfs/dbraw/zinc/81/02/81/804810281.db2.gz JWQNRAKPIHYMOH-LLVKDONJSA-N 0 1 264.329 0.447 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCc2nnc(C)n2C1 ZINC001272178950 815038049 /nfs/dbraw/zinc/03/80/49/815038049.db2.gz UMWHFAMLSJYVFV-CYBMUJFWSA-N 0 1 289.383 0.172 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)COCCOCC)[C@@H]2C1 ZINC001222054494 804861641 /nfs/dbraw/zinc/86/16/41/804861641.db2.gz VANNLIVGGRIPEC-ZIAGYGMSSA-N 0 1 282.384 0.758 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CCN(CC(=O)N2CCC2)CC1 ZINC001222636851 804922240 /nfs/dbraw/zinc/92/22/40/804922240.db2.gz RRGGAUTXHKRWNS-LBPRGKRZSA-N 0 1 292.383 0.207 20 30 CCEDMN C#CCOCCC(=O)NCC1CCN(CCF)CC1 ZINC001223174621 804957743 /nfs/dbraw/zinc/95/77/43/804957743.db2.gz JWVZXXLVJKKEPF-UHFFFAOYSA-N 0 1 270.348 0.824 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CN1CCCCC1=O ZINC001276883808 804966684 /nfs/dbraw/zinc/96/66/84/804966684.db2.gz NFTBSTNWKVJZDP-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H]2CN(C(=O)C(C)C)CC[C@@H]21 ZINC001272192609 815044728 /nfs/dbraw/zinc/04/47/28/815044728.db2.gz DVYVOKNMICFLOE-KGLIPLIRSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCN(C)C2=O)[C@H]1C ZINC001088644830 815046926 /nfs/dbraw/zinc/04/69/26/815046926.db2.gz TWXDAQOEVXLPPR-AGIUHOORSA-N 0 1 277.368 0.067 20 30 CCEDMN C[N+](C)(C)C[C@@H](CC#N)OCC[P@](=O)([O-])O ZINC001224606533 805060254 /nfs/dbraw/zinc/06/02/54/805060254.db2.gz QMMMXKMLILOJME-SECBINFHSA-O 0 1 251.243 0.169 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@@H](C)C2CC2)CC1 ZINC001277028048 805115640 /nfs/dbraw/zinc/11/56/40/805115640.db2.gz MNMRVKQJNOUERN-NWDGAFQWSA-N 0 1 293.411 0.962 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@H]2[C@@H]3CCC[C@@H]32)CC1 ZINC001277028378 805117143 /nfs/dbraw/zinc/11/71/43/805117143.db2.gz RRHNCVIUTUMUCF-IMRBUKKESA-N 0 1 291.395 0.573 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(=O)CCOC)CC1 ZINC001225747172 805157079 /nfs/dbraw/zinc/15/70/79/805157079.db2.gz VGLRBJHHIOVFBK-LBPRGKRZSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCCCN1CCC(NC(=O)CS(C)(=O)=O)CC1 ZINC001226352739 805222662 /nfs/dbraw/zinc/22/26/62/805222662.db2.gz XMCUFRIFIXISAD-UHFFFAOYSA-N 0 1 288.413 0.578 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)CCC)CC1 ZINC001226369212 805224801 /nfs/dbraw/zinc/22/48/01/805224801.db2.gz KLEGQGGWALKITE-UHFFFAOYSA-N 0 1 265.357 0.117 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(C(=O)OC)nn1C ZINC001226425300 805228935 /nfs/dbraw/zinc/22/89/35/805228935.db2.gz NFCPSZRABOTZDZ-LURJTMIESA-N 0 1 266.253 0.805 20 30 CCEDMN CCOCCC(=O)NC1CCN(CC#CCOC)CC1 ZINC001226548427 805244841 /nfs/dbraw/zinc/24/48/41/805244841.db2.gz GLEFBKZFSPVWAR-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN CN1[C@@H]2C[C@@H](Oc3ccccc3C(=O)NO)[C@H]1CC(=O)C2 ZINC001227011158 805309802 /nfs/dbraw/zinc/30/98/02/805309802.db2.gz DCLGABXRLVPUEF-MRCXROJRSA-N 0 1 290.319 0.989 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccncc2C)[C@@H](O)C1 ZINC001083463474 815067075 /nfs/dbraw/zinc/06/70/75/815067075.db2.gz LDGVPDQIWALAFP-CABCVRRESA-N 0 1 287.363 0.117 20 30 CCEDMN COCC#CCN1CCC(NC(=O)CC2(O)CCC2)CC1 ZINC001227257771 805336507 /nfs/dbraw/zinc/33/65/07/805336507.db2.gz DUITYOZUXIKAMU-UHFFFAOYSA-N 0 1 294.395 0.522 20 30 CCEDMN O=C(NCC1(NCC#Cc2ccccc2)CC1)c1cnon1 ZINC001277202868 805343107 /nfs/dbraw/zinc/34/31/07/805343107.db2.gz TXPOFSAGAAYJND-UHFFFAOYSA-N 0 1 296.330 0.973 20 30 CCEDMN N#CC1(NC(=O)[C@@]23C[C@@H]2CN(Cc2ccnnc2)C3)CCC1 ZINC001277212173 805358160 /nfs/dbraw/zinc/35/81/60/805358160.db2.gz XZSWXJUWFJNRCU-CZUORRHYSA-N 0 1 297.362 0.861 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C2CCC(C(N)=O)CC2)[C@H]1C ZINC001088689678 815070598 /nfs/dbraw/zinc/07/05/98/815070598.db2.gz AOBHBDIICREZHF-RYSNWHEDSA-N 0 1 291.395 0.490 20 30 CCEDMN C#CCN1CCC(NC(=O)COCc2cc(C)on2)CC1 ZINC001227946606 805402878 /nfs/dbraw/zinc/40/28/78/805402878.db2.gz FPPDZJYZEMVVNR-UHFFFAOYSA-N 0 1 291.351 0.713 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H]2C[C@@H](C)CO2)CC1 ZINC001228360064 805439401 /nfs/dbraw/zinc/43/94/01/805439401.db2.gz FHTLEENMYNFNSM-HIFRSBDPSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@@H]2CCOC2)[C@H](OC)C1 ZINC001212107212 815080105 /nfs/dbraw/zinc/08/01/05/815080105.db2.gz RHXOCPXNDBNRNF-RBSFLKMASA-N 0 1 294.395 0.642 20 30 CCEDMN N#CC1(NC(=O)[C@@]23C[C@@H]2CN(Cc2c[nH]nn2)C3)CCC1 ZINC001277379199 805524880 /nfs/dbraw/zinc/52/48/80/805524880.db2.gz MCMASJNMGNZWST-QMTHXVAHSA-N 0 1 286.339 0.189 20 30 CCEDMN Cc1nc2[nH]cnc2c(OC2CN(CCC#N)C2)n1 ZINC001229438528 805535169 /nfs/dbraw/zinc/53/51/69/805535169.db2.gz OEBKJEXYTHGNEZ-UHFFFAOYSA-N 0 1 258.285 0.638 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)C(N)=O)[C@@H]1C ZINC001278511462 807535966 /nfs/dbraw/zinc/53/59/66/807535966.db2.gz VFZIDECAVFARKL-WDEREUQCSA-N 0 1 267.373 0.653 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)C(=O)NCC2CC2)[C@@H]1C ZINC001278526698 807564608 /nfs/dbraw/zinc/56/46/08/807564608.db2.gz MBXPWQKGQYJXNF-JSGCOSHPSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCc2cncn2C)[C@@H]1C ZINC001278526810 807564640 /nfs/dbraw/zinc/56/46/40/807564640.db2.gz MYJOJDJAJGQNQA-DZGCQCFKSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCCC(N)=O)[C@H]1C ZINC001278533048 807567527 /nfs/dbraw/zinc/56/75/27/807567527.db2.gz MNXXXDLOJLKFFP-VXGBXAGGSA-N 0 1 265.357 0.244 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCc4cncn4C3)[C@@H]2C1 ZINC001075967937 815130192 /nfs/dbraw/zinc/13/01/92/815130192.db2.gz XOVYXLKQGQJIDB-SQWLQELKSA-N 0 1 298.390 0.611 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H](CO)CC(C)(C)C ZINC001251708658 807697093 /nfs/dbraw/zinc/69/70/93/807697093.db2.gz WFCURQOGJKAVDE-LBPRGKRZSA-N 0 1 269.389 0.648 20 30 CCEDMN CO[C@@H]1CCCN(C(=O)NCC#CCN(C)C)C1 ZINC001251708378 807697112 /nfs/dbraw/zinc/69/71/12/807697112.db2.gz QFNHJWBZTONSNN-GFCCVEGCSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@@H](C)c1nnc2ccccn21 ZINC001251821497 807709149 /nfs/dbraw/zinc/70/91/49/807709149.db2.gz QEGBSWXBEVWBLN-RYUDHWBXSA-N 0 1 274.324 0.391 20 30 CCEDMN C#CCOC[C@H](O)CN1CCc2ccc(C#N)cc2C1 ZINC001251835586 807715936 /nfs/dbraw/zinc/71/59/36/807715936.db2.gz NCCGVBJOKDXJFV-MRXNPFEDSA-N 0 1 270.332 0.927 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCOc2ccccc2C1 ZINC001251848240 807718809 /nfs/dbraw/zinc/71/88/09/807718809.db2.gz WYLYTSJMQOCWOT-AWEZNQCLSA-N 0 1 261.321 0.892 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(c2ccc(C)cn2)CC1 ZINC001251847449 807718843 /nfs/dbraw/zinc/71/88/43/807718843.db2.gz GIQBLWUHMPYXCM-OAHLLOKOSA-N 0 1 289.379 0.523 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(OC(C)C)ccn1 ZINC001251897312 807741530 /nfs/dbraw/zinc/74/15/30/807741530.db2.gz XCXWAANNABSOEH-AWEZNQCLSA-N 0 1 278.352 0.969 20 30 CCEDMN C#CCOC[C@H](O)CN[C@H](CO)c1ccccc1Cl ZINC001251900267 807742205 /nfs/dbraw/zinc/74/22/05/807742205.db2.gz MRDGQHXZGMPKKS-BXUZGUMPSA-N 0 1 283.755 0.974 20 30 CCEDMN N#Cc1cccc(O[C@H]2CCC[N@H+](C[C@H](O)CO)C2)c1 ZINC001252061778 807785417 /nfs/dbraw/zinc/78/54/17/807785417.db2.gz UZKFFHOVLXOJMQ-ZFWWWQNUSA-N 0 1 276.336 0.755 20 30 CCEDMN C=CCOC[C@H](O)CN1CCN(c2cccnc2)CC1 ZINC001252461333 807872783 /nfs/dbraw/zinc/87/27/83/807872783.db2.gz PCZLJKGRIMXAIK-OAHLLOKOSA-N 0 1 277.368 0.767 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCCC2(C1)OCCO2 ZINC001252471431 807881702 /nfs/dbraw/zinc/88/17/02/807881702.db2.gz XNQKOBCYCOWEQE-LBPRGKRZSA-N 0 1 257.330 0.389 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@@H](Cc1cnc[nH]1)C(=O)OCC ZINC001252499281 807895897 /nfs/dbraw/zinc/89/58/97/807895897.db2.gz YYMDCJUIAZFBTB-STQMWFEESA-N 0 1 297.355 0.037 20 30 CCEDMN C=C[C@@](C)(O)CN1CC(N2CCOC(C)(C)C2)C1 ZINC001252560539 807910428 /nfs/dbraw/zinc/91/04/28/807910428.db2.gz TXCSSHDODDGKDF-CQSZACIVSA-N 0 1 254.374 0.718 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2ncc(O)cn2)CC1 ZINC001252602318 807917084 /nfs/dbraw/zinc/91/70/84/807917084.db2.gz PHBVDFRMWBBASH-LBPRGKRZSA-N 0 1 278.356 0.631 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2ncc(O)cn2)CC1 ZINC001252602317 807917243 /nfs/dbraw/zinc/91/72/43/807917243.db2.gz PHBVDFRMWBBASH-GFCCVEGCSA-N 0 1 278.356 0.631 20 30 CCEDMN C=CCC[C@H](O)CN[C@@H](Cc1cnc[nH]1)C(=O)OCC ZINC001252624376 807921838 /nfs/dbraw/zinc/92/18/38/807921838.db2.gz DNGNIWLGCHKGRZ-STQMWFEESA-N 0 1 281.356 0.801 20 30 CCEDMN C=CCCCCCC[C@@H](O)CN[C@@H](CO)C(N)=O ZINC001253250876 808039902 /nfs/dbraw/zinc/03/99/02/808039902.db2.gz DWGVFVNZYPIGDG-NEPJUHHUSA-N 0 1 258.362 0.310 20 30 CCEDMN C=C[C@H](O)CN1CC2(C1)CN(c1ccccc1)C2=O ZINC001253580067 808087114 /nfs/dbraw/zinc/08/71/14/808087114.db2.gz VZDMTZFWUDLLIW-ZDUSSCGKSA-N 0 1 258.321 0.882 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)[C@H]1C ZINC001088808731 815177283 /nfs/dbraw/zinc/17/72/83/815177283.db2.gz QJYOKBHSBZIETM-TYNCELHUSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)CCc2ccco2)C1 ZINC001278759969 808170460 /nfs/dbraw/zinc/17/04/60/808170460.db2.gz IPCUAAQCUCIAJQ-MRXNPFEDSA-N 0 1 290.363 0.789 20 30 CCEDMN COC(=O)C1(O)CCN([C@H]2CC[C@@H](C#N)C2)CC1 ZINC001254676659 808272866 /nfs/dbraw/zinc/27/28/66/808272866.db2.gz MWEGIWGZJIEWCN-MNOVXSKESA-N 0 1 252.314 0.679 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CC(F)(F)C(F)F)C1 ZINC001278805456 808276027 /nfs/dbraw/zinc/27/60/27/808276027.db2.gz MEPNOBUQMDBHKR-NSHDSACASA-N 0 1 296.264 0.463 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)CCCOC)C1 ZINC001278816991 808282708 /nfs/dbraw/zinc/28/27/08/808282708.db2.gz ZSELQHUYUGOURC-DZGCQCFKSA-N 0 1 282.384 0.235 20 30 CCEDMN CCOC(=O)[C@H](C#N)N[C@@H]1CC2CCN(CC2)C1 ZINC001254847174 808286436 /nfs/dbraw/zinc/28/64/36/808286436.db2.gz FBVZENFDERRZEP-NEPJUHHUSA-N 0 1 251.330 0.516 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C(C)(C)F ZINC001278845356 808323098 /nfs/dbraw/zinc/32/30/98/808323098.db2.gz XMWPUKRPPKKVAJ-NSHDSACASA-N 0 1 258.337 0.509 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)CC1CCC1 ZINC001278849458 808330530 /nfs/dbraw/zinc/33/05/30/808330530.db2.gz DVMUQEPWVRWJQT-AWEZNQCLSA-N 0 1 266.385 0.951 20 30 CCEDMN C=CCn1cnc2nc(N[C@@H]3CCN(C)C3)[nH]c(=O)c21 ZINC001255427297 808362296 /nfs/dbraw/zinc/36/22/96/808362296.db2.gz RPGSMRZIIYJRKX-SECBINFHSA-N 0 1 274.328 0.834 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C(C)(C)C(F)F ZINC001278874554 808412149 /nfs/dbraw/zinc/41/21/49/808412149.db2.gz ZUJYBNGKQUXLIS-JTQLQIEISA-N 0 1 276.327 0.662 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccc(C)[nH]c1=O ZINC001278877694 808417576 /nfs/dbraw/zinc/41/75/76/808417576.db2.gz ATLMVBROGVAEPG-GFCCVEGCSA-N 0 1 291.351 0.094 20 30 CCEDMN CC#CCN(C)CCN(C(=O)c1cn(C)c(=O)[nH]1)C(C)C ZINC001278919108 808478004 /nfs/dbraw/zinc/47/80/04/808478004.db2.gz ZQDYNLHHIFVFAG-UHFFFAOYSA-N 0 1 292.383 0.931 20 30 CCEDMN CCOC(=O)C1CCN(C(=O)NCC#CCN(C)C)CC1 ZINC001256579561 808536605 /nfs/dbraw/zinc/53/66/05/808536605.db2.gz MDOHFLOPGJDYDO-UHFFFAOYSA-N 0 1 295.383 0.536 20 30 CCEDMN COC[C@@]1(C)CCN(C(=O)NCC#CCN(C)C)C1 ZINC001256584304 808537611 /nfs/dbraw/zinc/53/76/11/808537611.db2.gz AQGMUIXYFJKKRU-AWEZNQCLSA-N 0 1 267.373 0.619 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H](CO)c1ccccc1 ZINC001256584913 808537990 /nfs/dbraw/zinc/53/79/90/808537990.db2.gz KQZILKSOPDFIFM-AWEZNQCLSA-N 0 1 275.352 0.584 20 30 CCEDMN N#CC1CCC(N2CCN(CC(N)=O)CC2)CC1 ZINC001256971073 808574128 /nfs/dbraw/zinc/57/41/28/808574128.db2.gz AYIMMFYRUVRMKZ-UHFFFAOYSA-N 0 1 250.346 0.172 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)NCCN(C)CCOC ZINC001257604418 808626342 /nfs/dbraw/zinc/62/63/42/808626342.db2.gz PLTFPWRUHNOHPC-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2cnccc2C)[C@@H](O)C1 ZINC001083536245 815231388 /nfs/dbraw/zinc/23/13/88/815231388.db2.gz JKIZTKIHYHTXSK-CABCVRRESA-N 0 1 287.363 0.117 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC001259791252 808769751 /nfs/dbraw/zinc/76/97/51/808769751.db2.gz RNYZBGDNJJUUQP-STQMWFEESA-N 0 1 291.395 0.648 20 30 CCEDMN C=CCS(=O)(=O)Nc1cc2n(n1)CC(F)(F)CO2 ZINC001259923337 808805728 /nfs/dbraw/zinc/80/57/28/808805728.db2.gz DXZCSEQCMKSMAJ-UHFFFAOYSA-N 0 1 279.268 0.839 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cn(CC)nn3)[C@@H]2C1 ZINC001076076577 815240154 /nfs/dbraw/zinc/24/01/54/815240154.db2.gz DVTTVWOAAVSUFY-GXTWGEPZSA-N 0 1 287.367 0.006 20 30 CCEDMN C=C(C)CNS(=O)(=O)c1nc2nc(Cl)ccn2n1 ZINC001260178033 808855145 /nfs/dbraw/zinc/85/51/45/808855145.db2.gz TXFKKLSWIHIARA-UHFFFAOYSA-N 0 1 287.732 0.632 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3c(C)nc[nH]c3=O)[C@@H]2C1 ZINC001076157762 815252144 /nfs/dbraw/zinc/25/21/44/815252144.db2.gz HMRMLBOKBROAFR-NWDGAFQWSA-N 0 1 286.335 0.270 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC(N2CCN(C)CC2)C1 ZINC001262173276 809220619 /nfs/dbraw/zinc/22/06/19/809220619.db2.gz ZQEICYSCYKZGCY-KGLIPLIRSA-N 0 1 279.384 0.036 20 30 CCEDMN C=CCCS(=O)(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001262191142 809233398 /nfs/dbraw/zinc/23/33/98/809233398.db2.gz LNTYCWHRXDAOQV-VIFPVBQESA-N 0 1 286.357 0.304 20 30 CCEDMN C=CCCS(=O)(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001262191142 809233403 /nfs/dbraw/zinc/23/34/03/809233403.db2.gz LNTYCWHRXDAOQV-VIFPVBQESA-N 0 1 286.357 0.304 20 30 CCEDMN C=CCn1c(N2CCN(CC)CC2)nnc1C(C)(C)O ZINC001262985024 809425844 /nfs/dbraw/zinc/42/58/44/809425844.db2.gz JXGZFTFMMYWVPP-UHFFFAOYSA-N 0 1 279.388 0.833 20 30 CCEDMN C=CCN(CC=C)c1nnc(C2=NO[C@@H](CO)C2)n1CC ZINC001263030815 809427560 /nfs/dbraw/zinc/42/75/60/809427560.db2.gz CIGSDSMHVZSBAM-LLVKDONJSA-N 0 1 291.355 0.962 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1onc2c1CCCC2 ZINC001263105530 809446507 /nfs/dbraw/zinc/44/65/07/809446507.db2.gz QRURORBPUIUUNI-LDYMZIIASA-N 0 1 260.297 0.395 20 30 CCEDMN C#CCNCC(=O)N[C@@H](Cn1ccnc1)c1ccccc1 ZINC001263183604 809473646 /nfs/dbraw/zinc/47/36/46/809473646.db2.gz XNCZDJVAAFBKCG-HNNXBMFYSA-N 0 1 282.347 0.963 20 30 CCEDMN C#CCN(C)c1nnc(C2=NO[C@@H](CO)C2)n1CC(C)C ZINC001263400788 809518193 /nfs/dbraw/zinc/51/81/93/809518193.db2.gz QTDMJOJTXWRVTD-LLVKDONJSA-N 0 1 291.355 0.489 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)NC[C@H]1C[C@H](NCC#N)C1 ZINC001263863401 809592181 /nfs/dbraw/zinc/59/21/81/809592181.db2.gz QJJXGPZVNVXVDS-JHJVBQTASA-N 0 1 284.379 0.674 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)CCCOC)C1 ZINC001264073375 809626624 /nfs/dbraw/zinc/62/66/24/809626624.db2.gz QDOOXSNTXIFLHY-CQSZACIVSA-N 0 1 282.384 0.332 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C[C@@H]2CCCO2)C1 ZINC001264072721 809626754 /nfs/dbraw/zinc/62/67/54/809626754.db2.gz CZJNLZMNNYZWCO-CABCVRRESA-N 0 1 294.395 0.474 20 30 CCEDMN C[C@@H]1CCN(C(=O)[C@H]2CCCN2C)[C@H](CNCC#N)C1 ZINC001264545624 809663437 /nfs/dbraw/zinc/66/34/37/809663437.db2.gz UMBAXVYHJIDDAY-HZSPNIEDSA-N 0 1 278.400 0.821 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2cocn2)C1 ZINC001264627810 809666859 /nfs/dbraw/zinc/66/68/59/809666859.db2.gz AJGHNLLYBSOVGO-GFCCVEGCSA-N 0 1 277.324 0.207 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@H]2CN(C(C)=O)C[C@@H]2C1 ZINC001264636471 809668651 /nfs/dbraw/zinc/66/86/51/809668651.db2.gz UNFFUILCMUBBAJ-BNOWGMLFSA-N 0 1 279.384 0.477 20 30 CCEDMN CN(CCCNC(=O)C#CC1CC1)CC(=O)NC1CC1 ZINC001264985139 809687181 /nfs/dbraw/zinc/68/71/81/809687181.db2.gz NVASCHPLNSTHNM-UHFFFAOYSA-N 0 1 277.368 0.117 20 30 CCEDMN CCCNC(=O)[C@H](C)N(C)CCCNC(=O)C#CC1CC1 ZINC001264984830 809688446 /nfs/dbraw/zinc/68/84/46/809688446.db2.gz BVWJYSNOVMAJHN-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCN(C)CC(=O)NC(=O)NC ZINC001265021328 809694452 /nfs/dbraw/zinc/69/44/52/809694452.db2.gz LXULHIRPFSEXAV-UHFFFAOYSA-N 0 1 298.387 0.092 20 30 CCEDMN C[C@@H](NCC#N)[C@@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001265089898 809706163 /nfs/dbraw/zinc/70/61/63/809706163.db2.gz SZTZAWQYWIVJDU-PWSUYJOCSA-N 0 1 291.355 0.622 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H](OC)[C@H]2CCOC2)CC1 ZINC001265194778 809721693 /nfs/dbraw/zinc/72/16/93/809721693.db2.gz MYGPJSOHKVMWCN-ZFWWWQNUSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)C#CC2CC2)CC1 ZINC001265258212 809732997 /nfs/dbraw/zinc/73/29/97/809732997.db2.gz BXRPVOHZDJXWMO-UHFFFAOYSA-N 0 1 273.380 0.157 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H](F)C(C)C)CC1 ZINC001265263051 809734690 /nfs/dbraw/zinc/73/46/90/809734690.db2.gz QWTHBDGHGWNDDA-ZDUSSCGKSA-N 0 1 271.380 0.900 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@H]2CO[C@H](C)C2)CC1 ZINC001265259763 809735093 /nfs/dbraw/zinc/73/50/93/809735093.db2.gz KUUNMRLPSAMHFL-CABCVRRESA-N 0 1 295.427 0.721 20 30 CCEDMN CN(CC(=O)N[C@H]1CCN(CC#N)C1)C1CCC1 ZINC001265288372 809748847 /nfs/dbraw/zinc/74/88/47/809748847.db2.gz SLEOQTRTBYDETA-NSHDSACASA-N 0 1 250.346 0.185 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001265314528 809755007 /nfs/dbraw/zinc/75/50/07/809755007.db2.gz CUOVPLAFAGEHAZ-WDEREUQCSA-N 0 1 268.361 0.200 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C(C)(C)NC(=O)NCCC)C1 ZINC001265319255 809756812 /nfs/dbraw/zinc/75/68/12/809756812.db2.gz KKIANPMTKZDSDH-GFCCVEGCSA-N 0 1 296.415 0.851 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CC12CCN(CC(N)=O)CC2 ZINC001265331507 809758988 /nfs/dbraw/zinc/75/89/88/809758988.db2.gz BNBAYNJNEQGUIQ-GFCCVEGCSA-N 0 1 279.384 0.799 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCNC(=O)CN1CCCC1 ZINC001076594420 815316193 /nfs/dbraw/zinc/31/61/93/815316193.db2.gz OZHCHWYIXUMTAX-RYUDHWBXSA-N 0 1 280.372 0.253 20 30 CCEDMN Cc1cc(CN[C@H]2C[C@@H](NC(=O)[C@@H](C)C#N)C2)on1 ZINC001265532749 809798227 /nfs/dbraw/zinc/79/82/27/809798227.db2.gz FAORRHYJLGICOH-INTQDDNPSA-N 0 1 262.313 0.880 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1C[C@@H](NCc2cnns2)C1 ZINC001265572943 809806039 /nfs/dbraw/zinc/80/60/39/809806039.db2.gz OZVITOGNGOWOJC-PHIMTYICSA-N 0 1 296.396 0.868 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCC[C@H](CNCC#N)C1 ZINC001265620049 809815069 /nfs/dbraw/zinc/81/50/69/809815069.db2.gz YTNRDASSNXPVRV-KGLIPLIRSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@@](C)(O)C=C ZINC001265643026 809818873 /nfs/dbraw/zinc/81/88/73/809818873.db2.gz FCBOITPGXOAEOT-ZFWWWQNUSA-N 0 1 264.369 0.917 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CC1(C)CC1 ZINC001265892390 809886015 /nfs/dbraw/zinc/88/60/15/809886015.db2.gz ZNXXPLOTAJBCTM-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN Cc1nnc(CNC[C@@H]2CCN(C(=O)C#CC(C)C)C2)o1 ZINC001265973466 809909473 /nfs/dbraw/zinc/90/94/73/809909473.db2.gz ODBTYXXTDQZMDJ-ZDUSSCGKSA-N 0 1 290.367 0.976 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@H](C)N[C@@H](C)c1nnnn1C ZINC001266167020 809953026 /nfs/dbraw/zinc/95/30/26/809953026.db2.gz MQOBEBLRLNZGEL-QWRGUYRKSA-N 0 1 294.403 0.968 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)[C@H]2CC2(F)F)C1 ZINC001279478747 809982773 /nfs/dbraw/zinc/98/27/73/809982773.db2.gz CGFJERGVEZBARL-PWSUYJOCSA-N 0 1 274.311 0.771 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CCCN(C(C)=O)[C@@H]2C1 ZINC001279598594 809989002 /nfs/dbraw/zinc/98/90/02/809989002.db2.gz ZOGMIWGMVMEGKF-QWHCGFSZSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN1CC=C(CNC(=O)CCCC(N)=O)CC1 ZINC001279696148 809993958 /nfs/dbraw/zinc/99/39/58/809993958.db2.gz RYEXIDMMWFCRDP-UHFFFAOYSA-N 0 1 263.341 0.024 20 30 CCEDMN C#CCNC(=O)CN[C@H](C)c1ccc(CNC(C)=O)cc1 ZINC001280340968 810011339 /nfs/dbraw/zinc/01/13/39/810011339.db2.gz UJBIQXNMCSEANG-GFCCVEGCSA-N 0 1 287.363 0.723 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccoc2)C1 ZINC001076740866 815359152 /nfs/dbraw/zinc/35/91/52/815359152.db2.gz OKKREXNZUMVCEH-VXGBXAGGSA-N 0 1 250.298 0.631 20 30 CCEDMN C[C@H](F)CCN1C[C@@H](O)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001076855720 815397610 /nfs/dbraw/zinc/39/76/10/815397610.db2.gz JOZYCLYMGGYPOE-ZWKOPEQDSA-N 0 1 294.330 0.409 20 30 CCEDMN C=C(Cl)CN1C[C@@H](O)[C@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC001076869928 815403012 /nfs/dbraw/zinc/40/30/12/815403012.db2.gz BRHQONWMAJMWBM-VXGBXAGGSA-N 0 1 298.774 0.174 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)ncn2)C1 ZINC001076894681 815406378 /nfs/dbraw/zinc/40/63/78/815406378.db2.gz MDSAJIKEGBOGPX-CHWSQXEVSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccoc2)C1 ZINC001076921898 815414503 /nfs/dbraw/zinc/41/45/03/815414503.db2.gz XRSQDDGXKGOYQB-CHWSQXEVSA-N 0 1 262.309 0.007 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@@H](C)NC(N)=O ZINC001267268248 811070328 /nfs/dbraw/zinc/07/03/28/811070328.db2.gz YJXHOBKTESXVPJ-VXGBXAGGSA-N 0 1 280.372 0.037 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@]1(C)CCC(=O)N1 ZINC001267275387 811081901 /nfs/dbraw/zinc/08/19/01/811081901.db2.gz GFYZDRWONMFSGU-WFASDCNBSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CCC2(F)F)[C@@H](O)C1 ZINC001083557310 815420677 /nfs/dbraw/zinc/42/06/77/815420677.db2.gz JAQXDZZZUAGWCX-MXWKQRLJSA-N 0 1 272.295 0.216 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN(CC(=O)NC)C1 ZINC001267288256 811101226 /nfs/dbraw/zinc/10/12/26/811101226.db2.gz PHHOHZJQJBSPCQ-NSHDSACASA-N 0 1 253.346 0.137 20 30 CCEDMN COCC#CC[N@H+]1CC[C@H](CNC(=O)[C@H](C)SC)C1 ZINC001267290519 811106972 /nfs/dbraw/zinc/10/69/72/811106972.db2.gz ZUJBJWOCRACMBQ-QWHCGFSZSA-N 0 1 284.425 0.826 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCN(CC(=O)NCC=C)C1 ZINC001267291790 811108367 /nfs/dbraw/zinc/10/83/67/811108367.db2.gz MHVXIJGEBHUYNN-CQSZACIVSA-N 0 1 291.395 0.530 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ccc(CC#N)cn1 ZINC001167671702 811114716 /nfs/dbraw/zinc/11/47/16/811114716.db2.gz MFVXWMFDWJASAD-UHFFFAOYSA-N 0 1 254.297 0.580 20 30 CCEDMN CCCN(CCNC(=O)C#CC(C)(C)C)[C@@H](C)C(=O)NC ZINC001267312948 811138303 /nfs/dbraw/zinc/13/83/03/811138303.db2.gz TUWCLUVWOXJSHB-ZDUSSCGKSA-N 0 1 295.427 0.999 20 30 CCEDMN C=CCNC(=O)c1ccc(-n2c(C)ncc(CN)c2=N)nc1 ZINC001167672935 811146960 /nfs/dbraw/zinc/14/69/60/811146960.db2.gz BKQOPHYFCWGXJS-UHFFFAOYSA-N 0 1 298.350 0.430 20 30 CCEDMN COCC#CCN(CCNC(=O)c1ccnnc1)C1CC1 ZINC001267333513 811169032 /nfs/dbraw/zinc/16/90/32/811169032.db2.gz CYZDHMHBVSSUGH-UHFFFAOYSA-N 0 1 288.351 0.321 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ccnc(CC#N)c1 ZINC001167674887 811204465 /nfs/dbraw/zinc/20/44/65/811204465.db2.gz FDIXTYYLLJTHAK-UHFFFAOYSA-N 0 1 254.297 0.580 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C3CCOCC3)[C@@H]2C1 ZINC001075480114 811248473 /nfs/dbraw/zinc/24/84/73/811248473.db2.gz UAPJBYMWRZYDKQ-LSDHHAIUSA-N 0 1 276.380 0.969 20 30 CCEDMN C=CC(=NCOC)NCc1c(OC)ncnc1OC ZINC001167682010 811332822 /nfs/dbraw/zinc/33/28/22/811332822.db2.gz PZRXZRVBJWRKGF-UHFFFAOYSA-N 0 1 266.301 0.772 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001077069699 815441414 /nfs/dbraw/zinc/44/14/14/815441414.db2.gz WMSUVHPSRHNBEA-UWVGGRQHSA-N 0 1 295.343 0.020 20 30 CCEDMN CC1(C)[C@H](NC(=O)c2ncn[nH]2)[C@@H]2CCCN(CC#N)[C@@H]21 ZINC001087307533 811400449 /nfs/dbraw/zinc/40/04/49/811400449.db2.gz BEPHKFYZEKSAFC-AXFHLTTASA-N 0 1 288.355 0.547 20 30 CCEDMN CC1(C)[C@H](NC(=O)c2nc[nH]n2)[C@@H]2CCCN(CC#N)[C@@H]21 ZINC001087307533 811400455 /nfs/dbraw/zinc/40/04/55/811400455.db2.gz BEPHKFYZEKSAFC-AXFHLTTASA-N 0 1 288.355 0.547 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCOCCO ZINC001267534512 811414175 /nfs/dbraw/zinc/41/41/75/811414175.db2.gz QEBCFRFEOQOGBQ-OKILXGFUSA-N 0 1 296.411 0.883 20 30 CCEDMN N#Cc1cccnc1N1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001058599709 811422277 /nfs/dbraw/zinc/42/22/77/811422277.db2.gz YADLLDRDXPCXJV-JTQLQIEISA-N 0 1 283.295 0.080 20 30 CCEDMN CC[C@H](F)C(=O)NCC[C@@H]1CCN(CC(=O)NCC#N)C1 ZINC001267563366 811449224 /nfs/dbraw/zinc/44/92/24/811449224.db2.gz XHDFOBXVHCVWKJ-NEPJUHHUSA-N 0 1 298.362 0.202 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(COC)CCC2)[C@H](OC)C1 ZINC001213187674 811472770 /nfs/dbraw/zinc/47/27/70/811472770.db2.gz BBLUNJOHRBTRNK-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001267579518 811476240 /nfs/dbraw/zinc/47/62/40/811476240.db2.gz GKDKWYBRTSEWFD-QWHCGFSZSA-N 0 1 292.383 0.229 20 30 CCEDMN CN(CC#N)C[C@H]1CCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001267582161 811480206 /nfs/dbraw/zinc/48/02/06/811480206.db2.gz HEOXEAASQZDBIJ-LLVKDONJSA-N 0 1 298.350 0.875 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)C(F)F)CC1 ZINC001267605316 811538611 /nfs/dbraw/zinc/53/86/11/811538611.db2.gz QUTXNDTZPPWXDL-UHFFFAOYSA-N 0 1 261.316 0.514 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1CCN(C[C@@H]2CCc3ncnn32)C1 ZINC001267723710 811688658 /nfs/dbraw/zinc/68/86/58/811688658.db2.gz HHAHCGSTYGIBGH-KBPBESRZSA-N 0 1 299.378 0.369 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)cc(C)n2)C1 ZINC001077277435 815471121 /nfs/dbraw/zinc/47/11/21/815471121.db2.gz YFSYULCMSIQPDZ-HUUCEWRRSA-N 0 1 287.363 0.497 20 30 CCEDMN Cc1ccc2[nH]nc(C(=O)N[C@@H]3CN(CC#N)C[C@H]3O)c2c1 ZINC001077297234 815474214 /nfs/dbraw/zinc/47/42/14/815474214.db2.gz ZJSDGEOJLAJCIP-CHWSQXEVSA-N 0 1 299.334 0.170 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1ncn[nH]1)Nc1ncccc1C#N ZINC001105212132 811864023 /nfs/dbraw/zinc/86/40/23/811864023.db2.gz XYBDNZMNCBBEOB-NSHDSACASA-N 0 1 299.338 0.938 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1nc[nH]n1)Nc1ncccc1C#N ZINC001105212132 811864028 /nfs/dbraw/zinc/86/40/28/811864028.db2.gz XYBDNZMNCBBEOB-NSHDSACASA-N 0 1 299.338 0.938 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)C[C@H]1CCOC1 ZINC001279820246 811910248 /nfs/dbraw/zinc/91/02/48/811910248.db2.gz JONULJFBPWRWRD-GFCCVEGCSA-N 0 1 290.791 0.576 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccn3ccccc23)C1 ZINC001077363422 815485993 /nfs/dbraw/zinc/48/59/93/815485993.db2.gz UQVWNTGVZMPUOB-GDBMZVCRSA-N 0 1 297.358 0.738 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C(N)=O)C[C@H]1c1ccccc1 ZINC001211661740 811963854 /nfs/dbraw/zinc/96/38/54/811963854.db2.gz IVONJSXAOPOVNK-UONOGXRCSA-N 0 1 285.347 0.079 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)CC(N)=O)CC2 ZINC001268046397 812092060 /nfs/dbraw/zinc/09/20/60/812092060.db2.gz YSZBPPONBKJUGU-LBPRGKRZSA-N 0 1 279.384 0.608 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cn(C)nn1 ZINC001027864351 812103220 /nfs/dbraw/zinc/10/32/20/812103220.db2.gz AMBPRHWPXCKFRD-NSHDSACASA-N 0 1 261.329 0.033 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001027934407 812150920 /nfs/dbraw/zinc/15/09/20/812150920.db2.gz QIWGTTOOXDVCKI-LLVKDONJSA-N 0 1 276.340 0.656 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001027943849 812156122 /nfs/dbraw/zinc/15/61/22/812156122.db2.gz YUJAIXONVWQGIC-QJPTWQEYSA-N 0 1 273.340 0.617 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccnn1CCOC ZINC001027955650 812164171 /nfs/dbraw/zinc/16/41/71/812164171.db2.gz CXEIMZKYHPHYKT-ZDUSSCGKSA-N 0 1 290.367 0.357 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnn(CCF)c1 ZINC001027985129 812193573 /nfs/dbraw/zinc/19/35/73/812193573.db2.gz CQGDWFNDGVXHFO-CYBMUJFWSA-N 0 1 278.331 0.680 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ncc(OC)cn1 ZINC001028017266 812217675 /nfs/dbraw/zinc/21/76/75/812217675.db2.gz BLNQFZBTKNNFLQ-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001028054998 812238180 /nfs/dbraw/zinc/23/81/80/812238180.db2.gz MPFUFQIFMPTMOL-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001028130744 812300396 /nfs/dbraw/zinc/30/03/96/812300396.db2.gz UXYDGLZZMUYZGX-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001028139305 812307469 /nfs/dbraw/zinc/30/74/69/812307469.db2.gz KJLBIEUCTOITRZ-AGIUHOORSA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1OCC[C@H]1C ZINC001028142172 812308550 /nfs/dbraw/zinc/30/85/50/812308550.db2.gz FELLCPDYVQJSMH-AGIUHOORSA-N 0 1 250.342 0.625 20 30 CCEDMN C[C@@H](NCC#N)[C@@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001268181002 812348216 /nfs/dbraw/zinc/34/82/16/812348216.db2.gz WBJUGTMORNQINY-VXGBXAGGSA-N 0 1 290.371 0.478 20 30 CCEDMN C[C@@H](NCC#N)[C@@H]1CCCN(C(=O)CCc2cnn[nH]2)C1 ZINC001268181002 812348224 /nfs/dbraw/zinc/34/82/24/812348224.db2.gz WBJUGTMORNQINY-VXGBXAGGSA-N 0 1 290.371 0.478 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@H]3CCCOC3)[C@@H]2C1 ZINC001075611033 812366973 /nfs/dbraw/zinc/36/69/73/812366973.db2.gz HGWVXZVTLZFEGZ-MELADBBJSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCOC3)[C@@H]2C1 ZINC001075611033 812366980 /nfs/dbraw/zinc/36/69/80/812366980.db2.gz HGWVXZVTLZFEGZ-MELADBBJSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cn(-c2cccnc2)nn1 ZINC001268238874 812404245 /nfs/dbraw/zinc/40/42/45/812404245.db2.gz MITGAZGGVQQURO-UHFFFAOYSA-N 0 1 298.350 0.299 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ncnc2[nH]ccc21 ZINC001268242895 812408257 /nfs/dbraw/zinc/40/82/57/812408257.db2.gz QNJOCGNSLMHMKJ-UHFFFAOYSA-N 0 1 271.324 0.547 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCOC[C@H]1CCCO1 ZINC001268242112 812408834 /nfs/dbraw/zinc/40/88/34/812408834.db2.gz GVHUPHBYLILOIL-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCCCC(=O)NCCNCc1cnc(C)cn1 ZINC001126344052 812530219 /nfs/dbraw/zinc/53/02/19/812530219.db2.gz MWHSGKXYMJUBNP-UHFFFAOYSA-N 0 1 260.341 0.794 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@H](C)CCNCc1nnnn1C ZINC001268388512 812539032 /nfs/dbraw/zinc/53/90/32/812539032.db2.gz CUERRJPYHMVWBT-LLVKDONJSA-N 0 1 294.403 0.797 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001028284940 812579477 /nfs/dbraw/zinc/57/94/77/812579477.db2.gz OHEVDMPCUXGYNN-GFCCVEGCSA-N 0 1 285.351 0.880 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001028293516 812593269 /nfs/dbraw/zinc/59/32/69/812593269.db2.gz KSQKRPAAHQWVQW-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccn(C)n1 ZINC001028313658 812610937 /nfs/dbraw/zinc/61/09/37/812610937.db2.gz JUDKOBDTCGSQDZ-LBPRGKRZSA-N 0 1 260.341 0.638 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cc(OC)ns1 ZINC001126365378 812676077 /nfs/dbraw/zinc/67/60/77/812676077.db2.gz IYYATODFJKJKSK-CYBMUJFWSA-N 0 1 299.396 0.685 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1ccc(OC)nn1 ZINC001126365159 812678749 /nfs/dbraw/zinc/67/87/49/812678749.db2.gz HASVUJOVYKAFKT-AWEZNQCLSA-N 0 1 294.355 0.018 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cnc(C)cn1 ZINC001126379186 812824383 /nfs/dbraw/zinc/82/43/83/812824383.db2.gz ZFVPSOSXNJFNQJ-AWEZNQCLSA-N 0 1 278.356 0.318 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnn(CC)c1 ZINC001038125181 815559648 /nfs/dbraw/zinc/55/96/48/815559648.db2.gz DAJPKOUROXDOPX-ZDUSSCGKSA-N 0 1 260.341 0.730 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](Nc2ccc(C#N)nn2)C1 ZINC001059025480 812849832 /nfs/dbraw/zinc/84/98/32/812849832.db2.gz PFWJJIAWCAZVFW-JTQLQIEISA-N 0 1 298.310 0.101 20 30 CCEDMN CN(CCN(C)c1ccc(C#N)cn1)C(=O)Cc1ccn[nH]1 ZINC001105373924 812920605 /nfs/dbraw/zinc/92/06/05/812920605.db2.gz VZIUGEYYSJJYNT-UHFFFAOYSA-N 0 1 298.350 0.814 20 30 CCEDMN CN(CCN(C)c1ccnc(C#N)n1)C(=O)Cc1ccn[nH]1 ZINC001105373958 812920713 /nfs/dbraw/zinc/92/07/13/812920713.db2.gz XWGIYVPGYWFKPV-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cncc(F)c1 ZINC001127027136 815564380 /nfs/dbraw/zinc/56/43/80/815564380.db2.gz JTEUGKQMLZDGEI-UHFFFAOYSA-N 0 1 279.315 0.466 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)CNCc1cnc(C)cn1 ZINC001268639864 812963061 /nfs/dbraw/zinc/96/30/61/812963061.db2.gz LMCWMSUDHWVCNT-GFCCVEGCSA-N 0 1 278.356 0.582 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)CNCc1cnns1 ZINC001268646173 812974529 /nfs/dbraw/zinc/97/45/29/812974529.db2.gz QANFHOBACBXVCS-SNVBAGLBSA-N 0 1 282.369 0.172 20 30 CCEDMN C[C@H](CNCC#N)NC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001268668475 812993063 /nfs/dbraw/zinc/99/30/63/812993063.db2.gz ZYKNOWKEMHWKED-NXEZZACHSA-N 0 1 275.356 0.766 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)[C@@H](C)n1cccn1 ZINC001268723742 813036772 /nfs/dbraw/zinc/03/67/72/813036772.db2.gz ZXVUUYWQTAVIEG-ZIAGYGMSSA-N 0 1 292.383 0.530 20 30 CCEDMN C#CCCN(C)C[C@H](C)NC(=O)c1cc(=O)c(OC)co1 ZINC001268739551 813051649 /nfs/dbraw/zinc/05/16/49/813051649.db2.gz NOKPYQUHNJLTMN-NSHDSACASA-N 0 1 292.335 0.722 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H](C)N(C)CC(F)(F)F ZINC001268741886 813054383 /nfs/dbraw/zinc/05/43/83/813054383.db2.gz AJCBHCLLMJTDRD-MNOVXSKESA-N 0 1 293.333 0.939 20 30 CCEDMN C[C@@H](NCCCN(C)C(=O)[C@@H](C)C#N)c1nncn1C ZINC001268782700 813080250 /nfs/dbraw/zinc/08/02/50/813080250.db2.gz AWJBVQBCOSRWLN-WDEREUQCSA-N 0 1 278.360 0.474 20 30 CCEDMN C=CCCC(=O)N(C)CCCNCc1nncn1C ZINC001268784292 813084652 /nfs/dbraw/zinc/08/46/52/813084652.db2.gz XAZAPEJTPQKGLK-UHFFFAOYSA-N 0 1 265.361 0.719 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@](C)(O)C2CC2)[C@H](OC)C1 ZINC001212139150 815578837 /nfs/dbraw/zinc/57/88/37/815578837.db2.gz SDGGNZWJXNSDIR-FMKPAKJESA-N 0 1 294.395 0.376 20 30 CCEDMN O=C(CCS)N1CC[C@H]2C[C@]21C(=O)NCc1cnc[nH]1 ZINC001268891226 813134894 /nfs/dbraw/zinc/13/48/94/813134894.db2.gz CBJYLLGDEJLWDX-TVQRCGJNSA-N 0 1 294.380 0.337 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H](CCCC)NC(N)=O)C1 ZINC001268916840 813146796 /nfs/dbraw/zinc/14/67/96/813146796.db2.gz GEXDADQEKXKSCW-CYBMUJFWSA-N 0 1 296.415 0.838 20 30 CCEDMN C#CC(=O)N1C[C@H]2CC[C@@H](C1)N2CCn1ccnc1 ZINC001268944919 813153877 /nfs/dbraw/zinc/15/38/77/813153877.db2.gz SDGOJKGCIROTKT-BETUJISGSA-N 0 1 258.325 0.192 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCN[C@@H](C)c1cnc(C)cn1 ZINC001127046084 815601866 /nfs/dbraw/zinc/60/18/66/815601866.db2.gz LFDPLIUIQXTRLL-STQMWFEESA-N 0 1 290.367 0.590 20 30 CCEDMN C=CCN1C[C@]2(CCN(C(=O)c3ccn[nH]3)C2)OCC1=O ZINC001269132082 813231416 /nfs/dbraw/zinc/23/14/16/813231416.db2.gz UMJIPHHWNONMCG-AWEZNQCLSA-N 0 1 290.323 0.039 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](C)NC(=O)CC ZINC001269241595 813284169 /nfs/dbraw/zinc/28/41/69/813284169.db2.gz WNBIHODUOQLPCH-RYUDHWBXSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCN1C[C@@H](O)COC ZINC001269273411 813302079 /nfs/dbraw/zinc/30/20/79/813302079.db2.gz XGRPHUQETYOQQH-NWDGAFQWSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCCC(=O)NCC1(NCC(=O)NC(C)C)CC1 ZINC001269383096 813350718 /nfs/dbraw/zinc/35/07/18/813350718.db2.gz BAJXBGMAYZRYOW-UHFFFAOYSA-N 0 1 267.373 0.716 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN(CC(N)=O)C2)cc1 ZINC001028730880 813394179 /nfs/dbraw/zinc/39/41/79/813394179.db2.gz SXIXQHAYISPFPK-ZDUSSCGKSA-N 0 1 285.347 0.205 20 30 CCEDMN C=C(C)CN1CC(N(C)C(=O)CCc2nc[nH]n2)C1 ZINC001269768606 813500602 /nfs/dbraw/zinc/50/06/02/813500602.db2.gz KWHYKHZSGFIJJC-UHFFFAOYSA-N 0 1 263.345 0.456 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2cc(C(N)=O)co2)C1 ZINC001028798817 813525190 /nfs/dbraw/zinc/52/51/90/813525190.db2.gz RBDJHJVVAJIIPD-NSHDSACASA-N 0 1 289.335 0.454 20 30 CCEDMN C#CCN(C(=O)c1cc(C)ncn1)C1CCN(CC#C)CC1 ZINC001270302089 813715243 /nfs/dbraw/zinc/71/52/43/813715243.db2.gz RBRBFLQYBGXTLW-UHFFFAOYSA-N 0 1 296.374 0.958 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)CNCc1nncs1 ZINC001270394193 813746652 /nfs/dbraw/zinc/74/66/52/813746652.db2.gz QKHPRIVARYNWSE-GXFFZTMASA-N 0 1 298.412 0.707 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001280271420 813890341 /nfs/dbraw/zinc/89/03/41/813890341.db2.gz PNHJIMFQPMCTND-WDEREUQCSA-N 0 1 291.355 0.621 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)[C@H]2CCCN2C)CCN1CC#N ZINC001087519274 814050922 /nfs/dbraw/zinc/05/09/22/814050922.db2.gz HWDJBPBRDUKRGN-YNEHKIRRSA-N 0 1 264.373 0.573 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)COCCOC)C1 ZINC001270949481 814095369 /nfs/dbraw/zinc/09/53/69/814095369.db2.gz FVBMXJYDASVCNL-OAHLLOKOSA-N 0 1 282.384 0.501 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1CC ZINC001087555396 814156586 /nfs/dbraw/zinc/15/65/86/814156586.db2.gz GFVHYGFMGHYBJG-WDEREUQCSA-N 0 1 277.324 0.194 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2COCCN2CC)[C@H]1CC ZINC001087633380 814178200 /nfs/dbraw/zinc/17/82/00/814178200.db2.gz YVCBTOMVDQNQJL-ZNMIVQPWSA-N 0 1 293.411 0.309 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc3n[nH]nc32)[C@H]1CC ZINC001087651638 814181524 /nfs/dbraw/zinc/18/15/24/814181524.db2.gz ZWLQBXXSSQTTMF-NWDGAFQWSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cscc2C)C1 ZINC001271130394 814186347 /nfs/dbraw/zinc/18/63/47/814186347.db2.gz CZSQARXTRBTXTP-CQSZACIVSA-N 0 1 278.377 0.856 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CN(C)C(=O)N2)[C@H]1CC ZINC001087686148 814188206 /nfs/dbraw/zinc/18/82/06/814188206.db2.gz QJOYPTGZZUPHKW-RWMBFGLXSA-N 0 1 292.383 0.002 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccc(=O)n2C)[C@H]1CC ZINC001087719781 814197091 /nfs/dbraw/zinc/19/70/91/814197091.db2.gz MWBDDJOXMZDUMG-QWHCGFSZSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)[C@H]1CC ZINC001087727370 814197799 /nfs/dbraw/zinc/19/77/99/814197799.db2.gz UEKJNKXCWVPTFC-RFQIPJPRSA-N 0 1 291.395 0.313 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2nccn3ccnc23)[C@H]1CC ZINC001087730246 814200135 /nfs/dbraw/zinc/20/01/35/814200135.db2.gz VZTPPVZWMLCFEW-QWHCGFSZSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccn3ccnc23)[C@H]1CC ZINC001087730246 814200140 /nfs/dbraw/zinc/20/01/40/814200140.db2.gz VZTPPVZWMLCFEW-QWHCGFSZSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2C=CC=CC=C2)C1 ZINC001271152432 814218380 /nfs/dbraw/zinc/21/83/80/814218380.db2.gz LSXKCYATLPINBQ-MRXNPFEDSA-N 0 1 272.348 0.471 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CCN(CCF)C[C@H]2C1 ZINC001087910835 814267658 /nfs/dbraw/zinc/26/76/58/814267658.db2.gz AQYWFWQNWOVHDD-TUAOUCFPSA-N 0 1 253.321 0.896 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(C[C@@H]2C(=O)NCc2cnc[nH]2)C1 ZINC001271220671 814340010 /nfs/dbraw/zinc/34/00/10/814340010.db2.gz JEUQIKRTGMVPMX-GXSJLCMTSA-N 0 1 287.323 0.034 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)C[C@](C)(O)C=C)C1 ZINC001271276400 814362215 /nfs/dbraw/zinc/36/22/15/814362215.db2.gz QKMKNZLHNDKVQS-UKRRQHHQSA-N 0 1 296.411 0.831 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CCCCC[C@H](NCC#N)C1 ZINC001088278543 814567897 /nfs/dbraw/zinc/56/78/97/814567897.db2.gz XGSWPWILUOTKNW-KBPBESRZSA-N 0 1 278.400 0.965 20 30 CCEDMN C=CC[NH+]1CCC[C@](CO)(NC(=O)C[N@@H+](C)CCC)C1 ZINC001271988633 814665928 /nfs/dbraw/zinc/66/59/28/814665928.db2.gz WKHUFIITJPUXAA-HNNXBMFYSA-N 0 1 283.416 0.457 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CNC(=O)N1CCCC1 ZINC001272013114 814716385 /nfs/dbraw/zinc/71/63/85/814716385.db2.gz FTINMKCDGQVMIS-UHFFFAOYSA-N 0 1 294.399 0.205 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)CC1(COC)CC1 ZINC001272014262 814718103 /nfs/dbraw/zinc/71/81/03/814718103.db2.gz ZGTWOEMAZUKZAS-UHFFFAOYSA-N 0 1 296.411 0.843 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ncc3ccccn32)[C@@H](O)C1 ZINC001083641123 815585727 /nfs/dbraw/zinc/58/57/27/815585727.db2.gz ZCSJFYUAQRZZHH-KGLIPLIRSA-N 0 1 298.346 0.133 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccn(C(F)F)n1 ZINC001272027001 814752488 /nfs/dbraw/zinc/75/24/88/814752488.db2.gz BRZRLAXEXMNXEA-UHFFFAOYSA-N 0 1 270.283 0.915 20 30 CCEDMN C=CCCC(=O)NCC[C@H](C)NC(=O)CCc1c[nH]nn1 ZINC001075884735 814857242 /nfs/dbraw/zinc/85/72/42/814857242.db2.gz MYADJXUWEROWLB-NSHDSACASA-N 0 1 293.371 0.715 20 30 CCEDMN C=CCCC(=O)NCC[C@H](C)NC(=O)CCc1cnn[nH]1 ZINC001075884735 814857250 /nfs/dbraw/zinc/85/72/50/814857250.db2.gz MYADJXUWEROWLB-NSHDSACASA-N 0 1 293.371 0.715 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C1CC(OC)C1 ZINC001272040750 814866673 /nfs/dbraw/zinc/86/66/73/814866673.db2.gz FMODONOIVLPDDZ-UHFFFAOYSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](OC)[C@@H]1CCOC1 ZINC001272074414 814910777 /nfs/dbraw/zinc/91/07/77/814910777.db2.gz AXKYVTCKCCEOGW-CHWSQXEVSA-N 0 1 268.357 0.061 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1ccncc1Cl ZINC001127039162 815589545 /nfs/dbraw/zinc/58/95/45/815589545.db2.gz DZLQQRJMYWYXSX-NSHDSACASA-N 0 1 295.770 0.979 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C1CC(OC)C1 ZINC001038390061 815679376 /nfs/dbraw/zinc/67/93/76/815679376.db2.gz YTUIVAMCIHQBCO-OTTFEQOBSA-N 0 1 250.342 0.625 20 30 CCEDMN CCc1n[nH]c(C(=O)NC2CN(CC#N)C2)c1Cl ZINC001030457757 816046377 /nfs/dbraw/zinc/04/63/77/816046377.db2.gz OFXOWTGTMAVJAP-UHFFFAOYSA-N 0 1 267.720 0.563 20 30 CCEDMN C#CCCN1CC(NC(=O)[C@H]2CCc3nc(C)[nH]c3C2)C1 ZINC001030552449 816102473 /nfs/dbraw/zinc/10/24/73/816102473.db2.gz JFFCJJZIODAVMS-LBPRGKRZSA-N 0 1 286.379 0.647 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)CCN1CC#N ZINC001088964582 816196026 /nfs/dbraw/zinc/19/60/26/816196026.db2.gz HFZMKBHHQNUTJQ-WOPDTQHZSA-N 0 1 287.367 0.617 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001038568284 816356921 /nfs/dbraw/zinc/35/69/21/816356921.db2.gz LZPOWSZFLGKHAF-ZDUSSCGKSA-N 0 1 274.368 0.864 20 30 CCEDMN C#CCN1C(=O)COCC12CN(C[C@@H](C)CC)C2 ZINC001272415664 816405516 /nfs/dbraw/zinc/40/55/16/816405516.db2.gz QBTRSXBRIVUVEN-LBPRGKRZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1C(=O)COCC12CN(C[C@H](C)CCC)C2 ZINC001272433599 816424327 /nfs/dbraw/zinc/42/43/27/816424327.db2.gz WXBGEFKVTAQOMM-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001046370890 816541772 /nfs/dbraw/zinc/54/17/72/816541772.db2.gz HTPXRAXKIOXTQB-MRXNPFEDSA-N 0 1 287.363 0.915 20 30 CCEDMN C=CCN1C(=O)COCC12CN(CC1(CC)COC1)C2 ZINC001272526444 816550467 /nfs/dbraw/zinc/55/04/67/816550467.db2.gz GBFHFBXRJVQUID-UHFFFAOYSA-N 0 1 280.368 0.512 20 30 CCEDMN C=C(Br)CN1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001031017327 816565614 /nfs/dbraw/zinc/56/56/14/816565614.db2.gz XXRLNESPYIKDRB-UHFFFAOYSA-N 0 1 299.172 0.661 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@@H]1CC[N@H+]1CCOCC=C ZINC001234245858 816567571 /nfs/dbraw/zinc/56/75/71/816567571.db2.gz GSEZKKBBBSMKAN-HNNXBMFYSA-N 0 1 294.395 0.762 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@@H]1CCN1CCOCC=C ZINC001234245858 816567577 /nfs/dbraw/zinc/56/75/77/816567577.db2.gz GSEZKKBBBSMKAN-HNNXBMFYSA-N 0 1 294.395 0.762 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001046462047 816597624 /nfs/dbraw/zinc/59/76/24/816597624.db2.gz SUDMJUKSJDFWEI-HOCLYGCPSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(C)co2)[C@@H](O)C1 ZINC001083712907 816607456 /nfs/dbraw/zinc/60/74/56/816607456.db2.gz WPWFCQTVCZYJEM-NEPJUHHUSA-N 0 1 264.325 0.939 20 30 CCEDMN C#Cc1cccc(NC(=O)CNCCNC(=O)CC)c1 ZINC001122349623 816655155 /nfs/dbraw/zinc/65/51/55/816655155.db2.gz MDGVDQUYIZGJRC-UHFFFAOYSA-N 0 1 273.336 0.722 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001098783203 816711102 /nfs/dbraw/zinc/71/11/02/816711102.db2.gz RUQVILQZWPYTKG-WWGRRREGSA-N 0 1 289.379 0.117 20 30 CCEDMN C[C@@H]1CC[N@@H+](CC(=O)N2C[C@@H](CNCC#N)[C@H](C)C2)C1 ZINC001106666078 816843905 /nfs/dbraw/zinc/84/39/05/816843905.db2.gz HEWPELZEDWXWBT-MGPQQGTHSA-N 0 1 278.400 0.536 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001046765696 816883030 /nfs/dbraw/zinc/88/30/30/816883030.db2.gz AVRSPIRKOKDLGI-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H](C[C@H](C)Nc1ccncc1C#N)NC(=O)c1ncn[nH]1 ZINC001089374360 817029082 /nfs/dbraw/zinc/02/90/82/817029082.db2.gz IPBPJOSBSORQFK-UWVGGRQHSA-N 0 1 299.338 0.502 20 30 CCEDMN C[C@@H](C[C@H](C)Nc1ccncc1C#N)NC(=O)c1nc[nH]n1 ZINC001089374360 817029089 /nfs/dbraw/zinc/02/90/89/817029089.db2.gz IPBPJOSBSORQFK-UWVGGRQHSA-N 0 1 299.338 0.502 20 30 CCEDMN CO[C@@H]1CN(CCCO)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212248648 817218946 /nfs/dbraw/zinc/21/89/46/817218946.db2.gz KWNGOWKITPBGIF-CHWSQXEVSA-N 0 1 282.384 0.234 20 30 CCEDMN CN1CC[C@@H]2CCN(S(=O)(=O)CC(C)(C)C#N)[C@@H]2C1 ZINC000921348828 817251810 /nfs/dbraw/zinc/25/18/10/817251810.db2.gz ZNGPWBRFRWFRPO-VXGBXAGGSA-N 0 1 285.413 0.892 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001031717239 817282775 /nfs/dbraw/zinc/28/27/75/817282775.db2.gz IQCGFDCLEVKZJB-UHFFFAOYSA-N 0 1 284.323 0.038 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCOCC2CC2)[C@H](OC)C1 ZINC001212268305 817295187 /nfs/dbraw/zinc/29/51/87/817295187.db2.gz WYNDKBPGHXEBBV-HUUCEWRRSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCn1cccc1C(=O)NCC1CN(CCOC)C1 ZINC001031733099 817297175 /nfs/dbraw/zinc/29/71/75/817297175.db2.gz MCWYMLVRBSACOV-UHFFFAOYSA-N 0 1 277.368 0.982 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ocnc2CC)[C@@H](O)C1 ZINC001083764761 817298701 /nfs/dbraw/zinc/29/87/01/817298701.db2.gz WKFUNZVJBHSDMI-NEPJUHHUSA-N 0 1 279.340 0.588 20 30 CCEDMN CCN(c1ccc(C#N)cn1)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001089682814 817313029 /nfs/dbraw/zinc/31/30/29/817313029.db2.gz OYFRVNRCTZSVBJ-SNVBAGLBSA-N 0 1 299.338 0.716 20 30 CCEDMN CCN(c1ccc(C#N)cn1)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001089682814 817313035 /nfs/dbraw/zinc/31/30/35/817313035.db2.gz OYFRVNRCTZSVBJ-SNVBAGLBSA-N 0 1 299.338 0.716 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1ccn[nH]1 ZINC001089774698 817341123 /nfs/dbraw/zinc/34/11/23/817341123.db2.gz ZYHDYVRCNDDEMB-FMSGJZPZSA-N 0 1 285.351 0.716 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001031777331 817358307 /nfs/dbraw/zinc/35/83/07/817358307.db2.gz AOLHZCABSLCMKA-CYBMUJFWSA-N 0 1 279.384 0.479 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001031778355 817360287 /nfs/dbraw/zinc/36/02/87/817360287.db2.gz WTCTYRSOKFWAGK-LBPRGKRZSA-N 0 1 265.357 0.089 20 30 CCEDMN N#CCN1CC(CNC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001031809275 817385529 /nfs/dbraw/zinc/38/55/29/817385529.db2.gz MCDHKJRSWOHWGZ-UHFFFAOYSA-N 0 1 273.340 0.474 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(C)ccc2=O)C1 ZINC001031834732 817402910 /nfs/dbraw/zinc/40/29/10/817402910.db2.gz BUORBTGQLHBCQU-UHFFFAOYSA-N 0 1 273.336 0.070 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc3n(n2)CCCO3)C1 ZINC001031837755 817407789 /nfs/dbraw/zinc/40/77/89/817407789.db2.gz ZBFJHRVQQCCWOR-UHFFFAOYSA-N 0 1 288.351 0.351 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001031865665 817426611 /nfs/dbraw/zinc/42/66/11/817426611.db2.gz CJQQAWAMCKYUOX-CHWSQXEVSA-N 0 1 274.368 0.758 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c[nH]nc2[C@H]2CCOC2)C1 ZINC001031879204 817435882 /nfs/dbraw/zinc/43/58/82/817435882.db2.gz KJVMAGSFGUWXLU-LBPRGKRZSA-N 0 1 290.367 0.761 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2coc(OC)n2)C1 ZINC001031879768 817438339 /nfs/dbraw/zinc/43/83/39/817438339.db2.gz XLKMEFCFZUQQRT-UHFFFAOYSA-N 0 1 265.313 0.921 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c(C)c(C)nn(C)c2=O)C1 ZINC001031890780 817444958 /nfs/dbraw/zinc/44/49/58/817444958.db2.gz NLKMTGBUBBYJEB-UHFFFAOYSA-N 0 1 290.367 0.245 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn(C)nc2OC)C1 ZINC001031895947 817447673 /nfs/dbraw/zinc/44/76/73/817447673.db2.gz VWRSVMLGGYUWHZ-UHFFFAOYSA-N 0 1 264.329 0.276 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001031908103 817454605 /nfs/dbraw/zinc/45/46/05/817454605.db2.gz QLBLYZIUCSOKER-ITGUQSILSA-N 0 1 250.342 0.503 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)[C@@H]1COCCO1 ZINC001038074785 817530653 /nfs/dbraw/zinc/53/06/53/817530653.db2.gz DLAIDVPDPQVXJY-NEPJUHHUSA-N 0 1 254.330 0.168 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)C[C@H]1CNCC#N ZINC001106971034 817555996 /nfs/dbraw/zinc/55/59/96/817555996.db2.gz GKXCPIQPLKBHQV-ZYHUDNBSSA-N 0 1 298.350 0.779 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn(CCC)nn2)C1 ZINC001032080086 817609036 /nfs/dbraw/zinc/60/90/36/817609036.db2.gz CBHSOLHHPPNBCC-UHFFFAOYSA-N 0 1 263.345 0.536 20 30 CCEDMN Cc1nc([C@H](C)NCCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001124896211 817622083 /nfs/dbraw/zinc/62/20/83/817622083.db2.gz NFYAQMYXBQXTCC-SFYZADRCSA-N 0 1 250.306 0.040 20 30 CCEDMN C=CCOc1cccnc1C(=O)NCC1CN(CCO)C1 ZINC001032114673 817642122 /nfs/dbraw/zinc/64/21/22/817642122.db2.gz IWLLZRDLLPRADI-UHFFFAOYSA-N 0 1 291.351 0.300 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1nnc[n-]1 ZINC001089888038 817667389 /nfs/dbraw/zinc/66/73/89/817667389.db2.gz CDMHVEGMKCLKJO-DGAVXFQQSA-N 0 1 299.378 0.149 20 30 CCEDMN C#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1nnc[n-]1 ZINC001089888038 817667392 /nfs/dbraw/zinc/66/73/92/817667392.db2.gz CDMHVEGMKCLKJO-DGAVXFQQSA-N 0 1 299.378 0.149 20 30 CCEDMN C=CCN1CC(CNC(=O)C2CCN(C(N)=O)CC2)C1 ZINC001032177604 817673805 /nfs/dbraw/zinc/67/38/05/817673805.db2.gz ZFICZSDOPDAOQO-UHFFFAOYSA-N 0 1 280.372 0.011 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(-n3cnnn3)cc2)C1 ZINC001032172924 817675854 /nfs/dbraw/zinc/67/58/54/817675854.db2.gz GVWSZGQOMQUZLX-UHFFFAOYSA-N 0 1 298.350 0.510 20 30 CCEDMN C[C@H](CN(C)C(=O)c1ccn[nH]1)Nc1ccc(C#N)nn1 ZINC001115656400 817689290 /nfs/dbraw/zinc/68/92/90/817689290.db2.gz IKISQFPVYVUKGY-SECBINFHSA-N 0 1 285.311 0.644 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c(C)nn3cccnc23)C1 ZINC001032194760 817691001 /nfs/dbraw/zinc/69/10/01/817691001.db2.gz ZMDZERHXSRTYOO-UHFFFAOYSA-N 0 1 285.351 0.885 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001032208187 817705534 /nfs/dbraw/zinc/70/55/34/817705534.db2.gz OGEZCFJEYCHBJS-LBPRGKRZSA-N 0 1 265.357 0.089 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@]2(C)CCCOC2)C1 ZINC001047581307 817787950 /nfs/dbraw/zinc/78/79/50/817787950.db2.gz TWMJXBABEMFPBF-DZKIICNBSA-N 0 1 294.395 0.330 20 30 CCEDMN CC(C)CN1CC(n2cc(CNC(=O)[C@H](C)C#N)nn2)C1 ZINC001107150019 817794735 /nfs/dbraw/zinc/79/47/35/817794735.db2.gz YCUWPYNJAXLHFB-LLVKDONJSA-N 0 1 290.371 0.567 20 30 CCEDMN CO[C@@H](C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032337946 817902192 /nfs/dbraw/zinc/90/21/92/817902192.db2.gz KOJLLHQZWKZSHS-DRZSPHRISA-N 0 1 288.351 0.820 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@]23C[C@@H]2CCCC3)C1 ZINC001077643934 817911503 /nfs/dbraw/zinc/91/15/03/817911503.db2.gz LOMYGPDUCIPTLI-NHIYQJMISA-N 0 1 276.380 0.751 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1nnc[nH]1 ZINC001032342143 817923320 /nfs/dbraw/zinc/92/33/20/817923320.db2.gz NRFDQVSAELLIPM-RYUDHWBXSA-N 0 1 275.356 0.599 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1COC(=O)C1 ZINC001032356609 817977113 /nfs/dbraw/zinc/97/71/13/817977113.db2.gz UZXROJJTEHKAMY-AVGNSLFASA-N 0 1 276.336 0.248 20 30 CCEDMN C[C@@H](O)CN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032364823 818012211 /nfs/dbraw/zinc/01/22/11/818012211.db2.gz SXKZVARQURSZLD-ICCXJUOJSA-N 0 1 274.324 0.166 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)[NH+](C)C)[C@H](OC)C1 ZINC001212460725 818136009 /nfs/dbraw/zinc/13/60/09/818136009.db2.gz KUUGDDWSUKQNTL-MGPQQGTHSA-N 0 1 283.416 0.718 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)c2cccnc2)[C@@H](O)C1 ZINC001090016540 818277658 /nfs/dbraw/zinc/27/76/58/818277658.db2.gz LEABURIAILPJEU-KBPBESRZSA-N 0 1 275.352 0.823 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C2=COCCO2)C1 ZINC001032875223 818332887 /nfs/dbraw/zinc/33/28/87/818332887.db2.gz BEHYGZCQFQEBGK-NSHDSACASA-N 0 1 252.314 0.593 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCO2)C1 ZINC001032887712 818338008 /nfs/dbraw/zinc/33/80/08/818338008.db2.gz FVSZCNYZMWNDCQ-CHWSQXEVSA-N 0 1 250.342 0.721 20 30 CCEDMN CCc1cc(C(=O)N(C)[C@@H]2CCN(CC#N)C2)n[nH]1 ZINC001032922727 818364412 /nfs/dbraw/zinc/36/44/12/818364412.db2.gz XXSWEHDWHUGPJD-LLVKDONJSA-N 0 1 261.329 0.642 20 30 CCEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2nnn(C)c2C)C1 ZINC001032974578 818399033 /nfs/dbraw/zinc/39/90/33/818399033.db2.gz YRLYOEHFPMAJIL-LBPRGKRZSA-N 0 1 277.372 0.846 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2cc[nH]c(=O)c2)C1 ZINC001032990918 818407040 /nfs/dbraw/zinc/40/70/40/818407040.db2.gz ABKQFUGUPLCSAD-GFCCVEGCSA-N 0 1 259.309 0.567 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)no2)[C@@H](O)C1 ZINC001090058267 818414199 /nfs/dbraw/zinc/41/41/99/818414199.db2.gz PBQGGEOTROYXHU-QWRGUYRKSA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033039100 818450113 /nfs/dbraw/zinc/45/01/13/818450113.db2.gz YPCWSQMUKUPXFW-CYBMUJFWSA-N 0 1 265.357 0.183 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2cncnc2)C1 ZINC001033042207 818454238 /nfs/dbraw/zinc/45/42/38/818454238.db2.gz QYMGJWCHNAQERM-AWEZNQCLSA-N 0 1 272.352 0.575 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCOCC2)C1 ZINC001033043099 818457635 /nfs/dbraw/zinc/45/76/35/818457635.db2.gz FQFLZFXUOKKBLM-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2ccnn2C)C1 ZINC001033048546 818461528 /nfs/dbraw/zinc/46/15/28/818461528.db2.gz UFTLMFPEJSNNKJ-AWEZNQCLSA-N 0 1 274.368 0.519 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cn(CCOC)nn2)C1 ZINC001033069753 818489557 /nfs/dbraw/zinc/48/95/57/818489557.db2.gz KHLZVVIXVWWQMH-GFCCVEGCSA-N 0 1 293.371 0.257 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccn(C(C)C)n2)[C@@H](O)C1 ZINC001083828079 818510311 /nfs/dbraw/zinc/51/03/11/818510311.db2.gz ZHAZCBVFRGBQCX-KGLIPLIRSA-N 0 1 292.383 0.815 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cccn3nnnc23)C1 ZINC001033109773 818529050 /nfs/dbraw/zinc/52/90/50/818529050.db2.gz FEJBOHIFVVZTKX-GFCCVEGCSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2ccc3nn[n-]c3n2)C1 ZINC001033129508 818554340 /nfs/dbraw/zinc/55/43/40/818554340.db2.gz BCNSFLTYBRSGDG-SNVBAGLBSA-N 0 1 284.323 0.132 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001033129508 818554345 /nfs/dbraw/zinc/55/43/45/818554345.db2.gz BCNSFLTYBRSGDG-SNVBAGLBSA-N 0 1 284.323 0.132 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cnc(C)o2)C1 ZINC001033138021 818561897 /nfs/dbraw/zinc/56/18/97/818561897.db2.gz DPYARKXPHONVSW-GFCCVEGCSA-N 0 1 261.325 0.691 20 30 CCEDMN C#CCOc1ccc(C(=O)N[C@@H]2CN(CC)C[C@@H]2O)cc1 ZINC001083842696 818570764 /nfs/dbraw/zinc/57/07/64/818570764.db2.gz YNWHHZYESBMDGM-CABCVRRESA-N 0 1 288.347 0.493 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ncoc2C)[C@@H](O)C1 ZINC001090117480 818643067 /nfs/dbraw/zinc/64/30/67/818643067.db2.gz BYGOXNGDUJWGIQ-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccn(C)c(=O)c2)C1 ZINC001033205695 818649292 /nfs/dbraw/zinc/64/92/92/818649292.db2.gz ZYXMQAPFAZKUEN-AWEZNQCLSA-N 0 1 287.363 0.555 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033217542 818656579 /nfs/dbraw/zinc/65/65/79/818656579.db2.gz LDNQBJAJGFHSPM-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001033230902 818665548 /nfs/dbraw/zinc/66/55/48/818665548.db2.gz WFWRUZNEWRODIA-VIFPVBQESA-N 0 1 293.327 0.005 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001033412565 818761001 /nfs/dbraw/zinc/76/10/01/818761001.db2.gz HRIZDIXOJKBHNJ-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001033436569 818784669 /nfs/dbraw/zinc/78/46/69/818784669.db2.gz ZMYASOQSLKYAEF-LLVKDONJSA-N 0 1 289.335 0.575 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2ccc(=O)n(CC)n2)C1 ZINC001033509749 818809506 /nfs/dbraw/zinc/80/95/06/818809506.db2.gz KQYIMIAWNMQRSW-LBPRGKRZSA-N 0 1 288.351 0.043 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001033577985 818837263 /nfs/dbraw/zinc/83/72/63/818837263.db2.gz SJZIDADRCPLKTE-NWDGAFQWSA-N 0 1 287.367 0.076 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001033615917 818854580 /nfs/dbraw/zinc/85/45/80/818854580.db2.gz DCCLTICPSQSRTG-ZIAGYGMSSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001033681588 818883436 /nfs/dbraw/zinc/88/34/36/818883436.db2.gz UVZICNGWYLMFQC-CHWSQXEVSA-N 0 1 287.367 0.006 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001033699347 818890227 /nfs/dbraw/zinc/89/02/27/818890227.db2.gz NHFASSSIGGOWTQ-OAHLLOKOSA-N 0 1 299.374 0.494 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2nn(CC)nc2C)C1 ZINC001033710338 818895586 /nfs/dbraw/zinc/89/55/86/818895586.db2.gz PGXJTUIIXMELBA-LBPRGKRZSA-N 0 1 277.372 0.939 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2cccc3nnn(C)c32)C1 ZINC001033729888 818908387 /nfs/dbraw/zinc/90/83/87/818908387.db2.gz RHFVNQPWIKJWSP-LBPRGKRZSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCOC2)C1 ZINC001033774288 818927215 /nfs/dbraw/zinc/92/72/15/818927215.db2.gz UWFVCNVWVQIVRF-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2cn(C)nn2)C1 ZINC001033823717 818951113 /nfs/dbraw/zinc/95/11/13/818951113.db2.gz JQFQRHMSMCNXCU-GFCCVEGCSA-N 0 1 277.372 0.928 20 30 CCEDMN CCN(C(=O)[C@@H]1CCCCN1C)[C@H]1CCN(CC#N)C1 ZINC001033927924 818992779 /nfs/dbraw/zinc/99/27/79/818992779.db2.gz RRKFGGFWBMZUKI-KBPBESRZSA-N 0 1 278.400 0.917 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCCF)C[C@@H]1n1ccnn1 ZINC001128807602 819030192 /nfs/dbraw/zinc/03/01/92/819030192.db2.gz WRPZEPMJLSSEPI-OLZOCXBDSA-N 0 1 295.362 0.945 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001034137076 819093006 /nfs/dbraw/zinc/09/30/06/819093006.db2.gz ABUHFOHLHKECNX-AWEZNQCLSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001034159170 819095266 /nfs/dbraw/zinc/09/52/66/819095266.db2.gz KBIDNOPUMBTWDC-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001034168542 819104433 /nfs/dbraw/zinc/10/44/33/819104433.db2.gz IFHOALPUFBFSFT-LLVKDONJSA-N 0 1 276.340 0.641 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC001034189019 819110250 /nfs/dbraw/zinc/11/02/50/819110250.db2.gz YCZMUIMRYWSUQF-LLVKDONJSA-N 0 1 277.324 0.196 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]c(C#N)c2)[C@H](O)C1 ZINC001090194451 819123170 /nfs/dbraw/zinc/12/31/70/819123170.db2.gz JHPSPLMCYQPCHW-QWHCGFSZSA-N 0 1 274.324 0.237 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001034213704 819128242 /nfs/dbraw/zinc/12/82/42/819128242.db2.gz YDMDAVTVAXOYKG-HZSPNIEDSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001034232831 819131192 /nfs/dbraw/zinc/13/11/92/819131192.db2.gz YUSMMRLJUBZTGF-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C=CC[N@H+]1CCCC[C@@H](NC(=O)[C@@H]2CCCNC2=O)C1 ZINC001034253053 819143951 /nfs/dbraw/zinc/14/39/51/819143951.db2.gz DPRPOUZEHSCFJB-CHWSQXEVSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001034264714 819146192 /nfs/dbraw/zinc/14/61/92/819146192.db2.gz MJVRZRRPINHANR-LBPRGKRZSA-N 0 1 274.324 0.400 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C)ncn2)[C@@H](O)C1 ZINC001090202512 819149231 /nfs/dbraw/zinc/14/92/31/819149231.db2.gz YRYNZKFSCFBXRC-OCCSQVGLSA-N 0 1 290.367 0.526 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(CC)n2)[C@@H](O)C1 ZINC001090205273 819168023 /nfs/dbraw/zinc/16/80/23/819168023.db2.gz CAUIOKMJQVLEIR-JQWIXIFHSA-N 0 1 279.340 0.588 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001034403955 819195225 /nfs/dbraw/zinc/19/52/25/819195225.db2.gz FIMMVZKHELVCKS-HZSPNIEDSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001034443076 819206314 /nfs/dbraw/zinc/20/63/14/819206314.db2.gz WDCSWFSIVPPNDQ-KBPBESRZSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2cnn(C)n2)C1 ZINC001034441516 819206792 /nfs/dbraw/zinc/20/67/92/819206792.db2.gz GMXBVZVAHYSOQZ-LBPRGKRZSA-N 0 1 275.356 0.423 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnn2CC)[C@H](O)C1 ZINC001090219640 819230720 /nfs/dbraw/zinc/23/07/20/819230720.db2.gz UJJGTNHSTSQJIT-DGCLKSJQSA-N 0 1 278.356 0.254 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)sn2)[C@@H](O)C1 ZINC001090227653 819277811 /nfs/dbraw/zinc/27/78/11/819277811.db2.gz MXCGJLMYVRKVRW-JQWIXIFHSA-N 0 1 281.381 0.803 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2[nH]ccc2C)[C@@H](O)C1 ZINC001090234524 819283286 /nfs/dbraw/zinc/28/32/86/819283286.db2.gz PETDPTSKSOKORU-NEPJUHHUSA-N 0 1 263.341 0.674 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1cnn(C)c1C ZINC001129047434 819388200 /nfs/dbraw/zinc/38/82/00/819388200.db2.gz AFFWYRFKLHTCBQ-UHFFFAOYSA-N 0 1 270.764 0.729 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001035282952 819447599 /nfs/dbraw/zinc/44/75/99/819447599.db2.gz LPEWKIVEJZYJLN-KBPBESRZSA-N 0 1 282.384 0.949 20 30 CCEDMN C#CCN1CCO[C@H](CNC(=O)c2ccc3cncn3c2)C1 ZINC001035445994 819525909 /nfs/dbraw/zinc/52/59/09/819525909.db2.gz WPYOUSZTJKVYOT-OAHLLOKOSA-N 0 1 298.346 0.398 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCn1cncn1)CC2 ZINC001035653127 819593345 /nfs/dbraw/zinc/59/33/45/819593345.db2.gz LUCXXKTWLSBXFP-UHFFFAOYSA-N 0 1 287.367 0.226 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@@H]1CCOC1)CC2 ZINC001035676832 819600545 /nfs/dbraw/zinc/60/05/45/819600545.db2.gz IYSKOECMYPUEQS-AWEZNQCLSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1cncnc1)CC2 ZINC001035693654 819607472 /nfs/dbraw/zinc/60/74/72/819607472.db2.gz DMAAVHHOXDENBQ-UHFFFAOYSA-N 0 1 284.363 0.577 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1nccc(C)n1)CC2 ZINC001035698717 819610346 /nfs/dbraw/zinc/61/03/46/819610346.db2.gz QJNPVWQNUGPWOC-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCCNC1=O)CC2 ZINC001035726531 819614341 /nfs/dbraw/zinc/61/43/41/819614341.db2.gz BUIWGIBTVUXECS-ZDUSSCGKSA-N 0 1 291.395 0.623 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1ccc(=O)[nH]c1)CC2 ZINC001035750975 819622183 /nfs/dbraw/zinc/62/21/83/819622183.db2.gz JDYYCDBKTBWGMW-UHFFFAOYSA-N 0 1 299.374 0.887 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)C1(C(N)=O)CC1)CC2 ZINC001035781630 819624336 /nfs/dbraw/zinc/62/43/36/819624336.db2.gz BVKJPONCSKMTQW-UHFFFAOYSA-N 0 1 277.368 0.362 20 30 CCEDMN C#CCCCS(=O)(=O)N(C)[C@H]1CCCN(C)C1 ZINC000710285890 819824551 /nfs/dbraw/zinc/82/45/51/819824551.db2.gz RMHLZZBYSPBVPF-LBPRGKRZSA-N 0 1 258.387 0.756 20 30 CCEDMN C#CCCCS(=O)(=O)NC1CCN(C2CC2)CC1 ZINC000710226682 819823304 /nfs/dbraw/zinc/82/33/04/819823304.db2.gz MHEIFFWTNKDDDF-UHFFFAOYSA-N 0 1 270.398 0.946 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)[C@]2(COC)CNCCO2)C1 ZINC000710814054 819844783 /nfs/dbraw/zinc/84/47/83/819844783.db2.gz XHDNMSDMYGNPGK-UKRRQHHQSA-N 0 1 282.384 0.806 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC(N)=O)CC[C@@H]21 ZINC001036671968 819979696 /nfs/dbraw/zinc/97/96/96/819979696.db2.gz SAQBKPXEWOQYET-MNOVXSKESA-N 0 1 285.775 0.537 20 30 CCEDMN CC(C)C#CC(=O)NCC1(NC(=O)c2cnn[nH]2)CCC1 ZINC001062967256 820126464 /nfs/dbraw/zinc/12/64/64/820126464.db2.gz UTSBHIZHIDOZJJ-UHFFFAOYSA-N 0 1 289.339 0.233 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1(NC(=O)c2ncn[nH]2)CCC1 ZINC001063920354 820172638 /nfs/dbraw/zinc/17/26/38/820172638.db2.gz WEUNNQLQXJZXET-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1(NC(=O)c2nc[nH]n2)CCC1 ZINC001063920354 820172644 /nfs/dbraw/zinc/17/26/44/820172644.db2.gz WEUNNQLQXJZXET-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NC(=O)[C@H]2CCCN2C)CCC1 ZINC001064302478 820195319 /nfs/dbraw/zinc/19/53/19/820195319.db2.gz KWBWYZVSDYODRL-VXGBXAGGSA-N 0 1 292.383 0.395 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccc(F)cn2)[C@H](O)C1 ZINC001090358641 820297470 /nfs/dbraw/zinc/29/74/70/820297470.db2.gz NVNDJQGWLUNZSR-ZIAGYGMSSA-N 0 1 293.342 0.501 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccccc2)[C@H](O)C1 ZINC001090364072 820301086 /nfs/dbraw/zinc/30/10/86/820301086.db2.gz GBABDANTLAETOR-LSDHHAIUSA-N 0 1 274.364 0.967 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@@H](C)[C@H](NC(=O)CC)C2)C1=O ZINC001079327398 820352994 /nfs/dbraw/zinc/35/29/94/820352994.db2.gz NLBHESSFFZNBLK-JHJVBQTASA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCC2CC2)[C@@H](O)C1 ZINC001099701728 820405432 /nfs/dbraw/zinc/40/54/32/820405432.db2.gz IRXNGZDECTWBKQ-STQMWFEESA-N 0 1 268.357 0.150 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCOC2)C1 ZINC001079514394 820417788 /nfs/dbraw/zinc/41/77/88/820417788.db2.gz PHZMPWDYPWQNPC-HZSPNIEDSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC001079662367 820451614 /nfs/dbraw/zinc/45/16/14/820451614.db2.gz RNDFFJOJZMZHSZ-ZYHUDNBSSA-N 0 1 263.345 0.534 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001079699373 820456434 /nfs/dbraw/zinc/45/64/34/820456434.db2.gz LJZLDBUVMOKHBD-LALPHHSUSA-N 0 1 286.379 0.899 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnc3n2CCC3)C1 ZINC001079879554 820481420 /nfs/dbraw/zinc/48/14/20/820481420.db2.gz YGXXVHWEDAXLIY-CHWSQXEVSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC001080081210 820513182 /nfs/dbraw/zinc/51/31/82/820513182.db2.gz MFOQKAPWLVKLMR-BXUZGUMPSA-N 0 1 274.368 0.570 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCSCC)[C@@H](O)C1 ZINC001099708395 820520114 /nfs/dbraw/zinc/52/01/14/820520114.db2.gz ZXEJDBWWKOJUGO-NEPJUHHUSA-N 0 1 272.414 0.867 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001080176293 820523898 /nfs/dbraw/zinc/52/38/98/820523898.db2.gz OLZDMALCIVJEJI-TZMCWYRMSA-N 0 1 289.383 0.300 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001080304284 820542082 /nfs/dbraw/zinc/54/20/82/820542082.db2.gz DDLWDTKQRQSMOW-LALPHHSUSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CN(CC=C)C[C@H]2C)nn1 ZINC001080579216 820594410 /nfs/dbraw/zinc/59/44/10/820594410.db2.gz VERNWGBZHAGNJN-VXGBXAGGSA-N 0 1 275.356 0.700 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC001080739335 820628444 /nfs/dbraw/zinc/62/84/44/820628444.db2.gz XYUIOSIAEVZRSJ-TYNCELHUSA-N 0 1 286.379 0.584 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2c[nH]nc2CC)[C@H](OC)C1 ZINC001081885775 820853088 /nfs/dbraw/zinc/85/30/88/820853088.db2.gz DMRWQIKSJWNRRL-ZIAGYGMSSA-N 0 1 292.383 0.977 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2cc(C)nn2C)[C@H](OC)C1 ZINC001081939635 820866959 /nfs/dbraw/zinc/86/69/59/820866959.db2.gz PZTJPDJYHVFQNL-ZIAGYGMSSA-N 0 1 292.383 0.272 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2n[nH]cc2F)[C@H](OC)C1 ZINC001082106162 820902911 /nfs/dbraw/zinc/90/29/11/820902911.db2.gz DKHCJPYNRJGFCI-GHMZBOCLSA-N 0 1 282.319 0.554 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1C[C@@H](C)[C@H](NCC#N)C1 ZINC001082796972 821033172 /nfs/dbraw/zinc/03/31/72/821033172.db2.gz XBDSVDBABFQAIP-DMDPSCGWSA-N 0 1 275.356 0.548 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@@H]2CCC(=O)N2)C[C@H]1C ZINC001082802783 821035259 /nfs/dbraw/zinc/03/52/59/821035259.db2.gz TZIVGCIGILSAOX-ADEWGFFLSA-N 0 1 299.802 0.844 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C[C@@H]3CCCO3)[C@H]2C1 ZINC001083010837 821105984 /nfs/dbraw/zinc/10/59/84/821105984.db2.gz BGABWSNMNWFEST-SOUVJXGZSA-N 0 1 292.379 0.490 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnnc2C)[C@H](O)C1 ZINC001090396947 821156511 /nfs/dbraw/zinc/15/65/11/821156511.db2.gz QHFDUTLGRIZZGH-QWHCGFSZSA-N 0 1 276.340 0.136 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001083998613 821179141 /nfs/dbraw/zinc/17/91/41/821179141.db2.gz FXIXRTKGIWOGKV-CABCVRRESA-N 0 1 272.348 0.392 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccc(C)s2)[C@@H](O)C1 ZINC001084088764 821199245 /nfs/dbraw/zinc/19/92/45/821199245.db2.gz KMHXVRPQNZEVTI-KGLIPLIRSA-N 0 1 292.404 0.784 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCCCN2CC)[C@@H](O)C1 ZINC001084090654 821199297 /nfs/dbraw/zinc/19/92/97/821199297.db2.gz AWTHMOIJNBLUKZ-MCIONIFRSA-N 0 1 281.400 0.208 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C3CN(C(C)=O)C3)[C@@H]2C1 ZINC001084307475 821264881 /nfs/dbraw/zinc/26/48/81/821264881.db2.gz HVWVTHLSLLZGTQ-UKRRQHHQSA-N 0 1 289.379 0.021 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)Cc3ccnn3C)[C@@H]2C1 ZINC001084309779 821265845 /nfs/dbraw/zinc/26/58/45/821265845.db2.gz ZOVWDBFIILULPD-TZMCWYRMSA-N 0 1 272.352 0.129 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3n[nH]nc3C)[C@@H]2C1 ZINC001084568413 821314676 /nfs/dbraw/zinc/31/46/76/821314676.db2.gz IXCHIHLKRHQFSE-VXGBXAGGSA-N 0 1 275.356 0.836 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCN(C)C3=O)[C@@H]2C1 ZINC001084592506 821322600 /nfs/dbraw/zinc/32/26/00/821322600.db2.gz UMZCAAOVSNSPJG-MGPQQGTHSA-N 0 1 289.379 0.021 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2(COC)CC2)[C@@H](O)C1 ZINC001099832532 821397541 /nfs/dbraw/zinc/39/75/41/821397541.db2.gz IRMXDDFNFJOGJA-KBPBESRZSA-N 0 1 294.395 0.378 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCOCC2CC2)[C@H](O)C1 ZINC001099836408 821408853 /nfs/dbraw/zinc/40/88/53/821408853.db2.gz ZKZGSUQMKDYRLW-HUUCEWRRSA-N 0 1 294.395 0.378 20 30 CCEDMN CC(C)(C(=O)NCC(=O)NCC#N)N1CCCCC1 ZINC000826718743 821671182 /nfs/dbraw/zinc/67/11/82/821671182.db2.gz NGZBNSPLWNNSIE-UHFFFAOYSA-N 0 1 266.345 0.007 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(=O)[nH]n1 ZINC001085471929 821725285 /nfs/dbraw/zinc/72/52/85/821725285.db2.gz BQEJUSZRDHQJFT-LLVKDONJSA-N 0 1 274.324 0.352 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1COCCO1 ZINC001085494017 821742679 /nfs/dbraw/zinc/74/26/79/821742679.db2.gz GAHBAQMBIRNOHD-CHWSQXEVSA-N 0 1 268.357 0.511 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cnn(C)c1N ZINC001085558398 821791003 /nfs/dbraw/zinc/79/10/03/821791003.db2.gz QILMUCMJFPNEAO-LLVKDONJSA-N 0 1 277.372 0.725 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001085677028 821897499 /nfs/dbraw/zinc/89/74/99/821897499.db2.gz CRKFMRRGSQZYEU-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CC[N@H+]1CC[C@H]1CN(C)C(=O)c1ccnc2[n-]nnc21 ZINC001085715459 821916836 /nfs/dbraw/zinc/91/68/36/821916836.db2.gz KZNCXIZNOHQIJY-JTQLQIEISA-N 0 1 284.323 0.132 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]1CN(C)C(=O)c1ccnc2[n-]nnc21 ZINC001085715459 821916843 /nfs/dbraw/zinc/91/68/43/821916843.db2.gz KZNCXIZNOHQIJY-JTQLQIEISA-N 0 1 284.323 0.132 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001085769948 821940730 /nfs/dbraw/zinc/94/07/30/821940730.db2.gz VPCFTFDGQKSRGG-IGQOVBAYSA-N 0 1 262.353 0.435 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1c[nH]c(=O)n1C ZINC001085764735 821944432 /nfs/dbraw/zinc/94/44/32/821944432.db2.gz DJGOBOFQRCIXKH-NSHDSACASA-N 0 1 276.340 0.295 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1c[nH]c(=O)n1C ZINC001085764735 821944437 /nfs/dbraw/zinc/94/44/37/821944437.db2.gz DJGOBOFQRCIXKH-NSHDSACASA-N 0 1 276.340 0.295 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1c(C)nc[nH]c1=O ZINC001085778680 821948554 /nfs/dbraw/zinc/94/85/54/821948554.db2.gz DXPPOGHKYMESPP-GFCCVEGCSA-N 0 1 288.351 0.660 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1c[nH]c(=O)cn1 ZINC001085788315 821953702 /nfs/dbraw/zinc/95/37/02/821953702.db2.gz IDZRDFOFVRMITC-NSHDSACASA-N 0 1 276.340 0.492 20 30 CCEDMN C=CCOCCN1CCN([C@@H]2CCN(C(C)=O)C2)CC1 ZINC001273366060 821984364 /nfs/dbraw/zinc/98/43/64/821984364.db2.gz RACAKCBSECLOEF-OAHLLOKOSA-N 0 1 281.400 0.427 20 30 CCEDMN C=CCN1CCO[C@@](C)(CNC(=O)CN2CC[C@@H](C)C2)C1 ZINC001108216348 821988915 /nfs/dbraw/zinc/98/89/15/821988915.db2.gz XEEFEYYRNPLWLC-ZBFHGGJFSA-N 0 1 295.427 0.721 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnc(OC)nc1 ZINC001085906399 822008670 /nfs/dbraw/zinc/00/86/70/822008670.db2.gz HKQPSIDKWKFSGC-CYBMUJFWSA-N 0 1 288.351 0.655 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cnc(C#N)cn1 ZINC001155077416 822015709 /nfs/dbraw/zinc/01/57/09/822015709.db2.gz DQWGEOCYQUBCCZ-UHFFFAOYSA-N 0 1 272.268 0.169 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001086136010 822125005 /nfs/dbraw/zinc/12/50/05/822125005.db2.gz ISUMOEDHAHROIH-AOOOYVTPSA-N 0 1 273.340 0.520 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2snnc2CC)[C@H](O)C1 ZINC001090406231 822139302 /nfs/dbraw/zinc/13/93/02/822139302.db2.gz DJNFSHGCHYEQDA-GHMZBOCLSA-N 0 1 296.396 0.452 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCCN([C@@H]2CCNC2=O)CC1 ZINC001273411881 822162975 /nfs/dbraw/zinc/16/29/75/822162975.db2.gz VVXIZSYZTOOYQT-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN C[C@@H](CNc1nccnc1C#N)NC(=O)[C@@H]1CCCN1C ZINC001108311930 822354272 /nfs/dbraw/zinc/35/42/72/822354272.db2.gz VEWHMNXLLKARDI-JQWIXIFHSA-N 0 1 288.355 0.359 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NC)C[C@H]21 ZINC001114024688 837407665 /nfs/dbraw/zinc/40/76/65/837407665.db2.gz CJGBDQZEOKOBIL-MEWQQHAOSA-N 0 1 265.357 0.134 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1[C@H]2CN(Cc3ocnc3C)C[C@H]21 ZINC001114048293 837415705 /nfs/dbraw/zinc/41/57/05/837415705.db2.gz WBLRXTATXJIKGD-JYAVWHMHSA-N 0 1 291.351 0.732 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccoc1 ZINC001114133255 837451542 /nfs/dbraw/zinc/45/15/42/837451542.db2.gz USJSWRWNXLANTH-NHAGDIPZSA-N 0 1 258.321 0.892 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114199550 837473136 /nfs/dbraw/zinc/47/31/36/837473136.db2.gz WNOYBQHEYDPXPW-FOLVSLTJSA-N 0 1 274.364 0.486 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C=C(/C)CC)C[C@@H]1n1ccnn1 ZINC001129811232 837561924 /nfs/dbraw/zinc/56/19/24/837561924.db2.gz DXKIUHMGXWERDJ-CUSVYIHLSA-N 0 1 287.367 0.609 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C)CCC2)[C@H](O)C1 ZINC001090484630 837798085 /nfs/dbraw/zinc/79/80/85/837798085.db2.gz BSXJSMULBWQLTN-QWHCGFSZSA-N 0 1 264.369 0.751 20 30 CCEDMN N#CC(Cc1ccc(O)cc1)C(=O)NC1=CCOC1=O ZINC001183616067 844058703 /nfs/dbraw/zinc/05/87/03/844058703.db2.gz IHJFDAUKCFXWKH-JTQLQIEISA-N 0 1 272.260 0.631 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cnn(C)c2)[C@@H](O)C1 ZINC001100124905 835993413 /nfs/dbraw/zinc/99/34/13/835993413.db2.gz OTIQRWQRFBCAPX-KGLIPLIRSA-N 0 1 292.383 0.090 20 30 CCEDMN N#C[C@@H]1CN([C@H]2CC[C@@H](C[N+](=O)[O-])C2)CCC1=O ZINC001169034596 836109818 /nfs/dbraw/zinc/10/98/18/836109818.db2.gz IENNGUSYUAJHNR-MXWKQRLJSA-N 0 1 251.286 0.846 20 30 CCEDMN CCOC(=O)[C@H]1CC[C@H](NC2(C#N)CCN(C)CC2)CO1 ZINC001169375926 836248952 /nfs/dbraw/zinc/24/89/52/836248952.db2.gz RDUXUPNURVBYIV-QWHCGFSZSA-N 0 1 295.383 0.675 20 30 CCEDMN C=C[C@@H](CO)Nc1ncc2c(C(=O)OC)c[nH]c2n1 ZINC001169780090 836443395 /nfs/dbraw/zinc/44/33/95/836443395.db2.gz LJZUGEVLRWYISQ-ZETCQYMHSA-N 0 1 262.269 0.655 20 30 CCEDMN C[C@@H](CN(C)c1ccncc1C#N)NC(=O)c1ncn[nH]1 ZINC001109062763 836605718 /nfs/dbraw/zinc/60/57/18/836605718.db2.gz CKPWQAFXWIEMTF-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN C[C@@H](CN(C)c1ccncc1C#N)NC(=O)c1nc[nH]n1 ZINC001109062763 836605724 /nfs/dbraw/zinc/60/57/24/836605724.db2.gz CKPWQAFXWIEMTF-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN Cc1cc(C(=O)N(C)CCCNc2ccnc(C#N)n2)n[nH]1 ZINC001109376763 836666288 /nfs/dbraw/zinc/66/62/88/836666288.db2.gz GQXYPLOZERMQGK-UHFFFAOYSA-N 0 1 299.338 0.376 20 30 CCEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C(=O)NC)CC1)C2 ZINC001109839667 836737455 /nfs/dbraw/zinc/73/74/55/836737455.db2.gz AGFWVUSSKPJRKV-AGIUHOORSA-N 0 1 289.379 0.257 20 30 CCEDMN N#Cc1cnccc1NC[C@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001109884570 836741791 /nfs/dbraw/zinc/74/17/91/836741791.db2.gz BIRHXGOFRNYVBV-LBPRGKRZSA-N 0 1 297.322 0.114 20 30 CCEDMN N#Cc1cnccc1NC[C@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001109884570 836741802 /nfs/dbraw/zinc/74/18/02/836741802.db2.gz BIRHXGOFRNYVBV-LBPRGKRZSA-N 0 1 297.322 0.114 20 30 CCEDMN CCc1nc(C#N)c(NC[C@@H]2COCCN2)nc1Cl ZINC001170034998 836752842 /nfs/dbraw/zinc/75/28/42/836752842.db2.gz HYXVSCRPBINJSB-MRVPVSSYSA-N 0 1 281.747 0.964 20 30 CCEDMN COC(=O)c1cc(C#N)ccc1NC[C@@H]1COCCN1 ZINC001170044943 836780129 /nfs/dbraw/zinc/78/01/29/836780129.db2.gz OQNFRUNUFWOGJT-LLVKDONJSA-N 0 1 275.308 0.745 20 30 CCEDMN N#Cc1cc(NC[C@@H]2COCCN2)nc(C2CC2)n1 ZINC001170044551 836780815 /nfs/dbraw/zinc/78/08/15/836780815.db2.gz MTSYKIGSKJLAAV-LLVKDONJSA-N 0 1 259.313 0.626 20 30 CCEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCNC(N)=O)C2 ZINC001110079565 836797341 /nfs/dbraw/zinc/79/73/41/836797341.db2.gz KCZDXORDJSKYRG-WOPDTQHZSA-N 0 1 280.372 0.342 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC[C@H]2CCCO2)CC1 ZINC001112846111 836938881 /nfs/dbraw/zinc/93/88/81/836938881.db2.gz GBTGQXHZKGUCBB-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC2CCC2)CC1 ZINC001112842099 836940550 /nfs/dbraw/zinc/94/05/50/836940550.db2.gz XRZKMWQSUJSXTR-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)cn1)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001113115987 837024937 /nfs/dbraw/zinc/02/49/37/837024937.db2.gz BFWLFBJRJFMLRG-BDAKNGLRSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)cn1)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001113115987 837024947 /nfs/dbraw/zinc/02/49/47/837024947.db2.gz BFWLFBJRJFMLRG-BDAKNGLRSA-N 0 1 285.311 0.690 20 30 CCEDMN CCCCCCCN1CCN(C(=O)[C@H]2CNC(=O)N2)CC1 ZINC001113275331 837076428 /nfs/dbraw/zinc/07/64/28/837076428.db2.gz JOIAXYBSTQORSV-CYBMUJFWSA-N 0 1 296.415 0.782 20 30 CCEDMN CN(CC#N)C[C@H]1CCCCN1C(=O)c1ccn[nH]1 ZINC001157568301 837133224 /nfs/dbraw/zinc/13/32/24/837133224.db2.gz OCDCJGXKULLBRL-LLVKDONJSA-N 0 1 261.329 0.860 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](C)N(C)c1ncnc2[nH]cnc21 ZINC001113537378 837152325 /nfs/dbraw/zinc/15/23/25/837152325.db2.gz MVCHMPPCOUODSU-IUCAKERBSA-N 0 1 287.327 0.453 20 30 CCEDMN CC(C)C#CC(=O)NCCCNCc1nnc(C2CC2)[nH]1 ZINC001157638962 837192699 /nfs/dbraw/zinc/19/26/99/837192699.db2.gz SHCZSXRWYQOZRG-UHFFFAOYSA-N 0 1 289.383 0.937 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC ZINC001113760004 837218354 /nfs/dbraw/zinc/21/83/54/837218354.db2.gz PNTATDKJZTXCOC-VIKVFOODSA-N 0 1 291.395 0.643 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC ZINC001113759333 837218666 /nfs/dbraw/zinc/21/86/66/837218666.db2.gz BZKOVQMTDNTFJG-MEWQQHAOSA-N 0 1 265.357 0.134 20 30 CCEDMN Cc1nnc([C@H](C)NCCCNC(=O)C#CC2CC2)[nH]1 ZINC001157682757 837235850 /nfs/dbraw/zinc/23/58/50/837235850.db2.gz OXKRZKWADYBYEX-JTQLQIEISA-N 0 1 275.356 0.683 20 30 CCEDMN CC1(C#N)CN(C(=O)[C@@H](N)Cc2ccccc2C#N)C1 ZINC001157694702 837250183 /nfs/dbraw/zinc/25/01/83/837250183.db2.gz WHHOCIWDSOBCDO-ZDUSSCGKSA-N 0 1 268.320 0.800 20 30 CCEDMN CCO[C@@H]1COC[C@H]1N[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC001170300629 837287514 /nfs/dbraw/zinc/28/75/14/837287514.db2.gz UJHYNWCZFGRXFY-QJPTWQEYSA-N 0 1 281.356 0.331 20 30 CCEDMN CCOC(=O)C(C#N)Nc1nc(Cl)cc(C#N)n1 ZINC001170366336 837311347 /nfs/dbraw/zinc/31/13/47/837311347.db2.gz MCLYFGVCWOOIAW-ZETCQYMHSA-N 0 1 265.660 0.869 20 30 CCEDMN C=CCCC(=O)N(C)CCCN(C)CC(=O)N(C)C ZINC001273488526 844268233 /nfs/dbraw/zinc/26/82/33/844268233.db2.gz LUWYXLFWCSTOSW-UHFFFAOYSA-N 0 1 269.389 0.821 20 30 CCEDMN C=CCCCN1CCOC[C@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001130819867 837936386 /nfs/dbraw/zinc/93/63/86/837936386.db2.gz IFRXINHSPZSBBX-LBPRGKRZSA-N 0 1 293.371 0.780 20 30 CCEDMN C=CCCCN1CCOC[C@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001130819867 837936389 /nfs/dbraw/zinc/93/63/89/837936389.db2.gz IFRXINHSPZSBBX-LBPRGKRZSA-N 0 1 293.371 0.780 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN(C)C(C)=O)CC[C@H]1C ZINC001131830930 838242338 /nfs/dbraw/zinc/24/23/38/838242338.db2.gz WSXBMSHZVPRFJF-YPMHNXCESA-N 0 1 265.357 0.067 20 30 CCEDMN C[C@H]1CC[C@H](NC(=O)CSCC#N)CN1CCO ZINC001131830540 838242825 /nfs/dbraw/zinc/24/28/25/838242825.db2.gz SCUYAOVHBLPKIS-QWRGUYRKSA-N 0 1 271.386 0.205 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN(C)C(C)=O)CC[C@H]1C ZINC001131830927 838243350 /nfs/dbraw/zinc/24/33/50/838243350.db2.gz WSXBMSHZVPRFJF-DGCLKSJQSA-N 0 1 265.357 0.067 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCn2ccnn2)CC[C@H]1C ZINC001131988292 838289502 /nfs/dbraw/zinc/28/95/02/838289502.db2.gz LHTQCWZBMIGSKP-KGLIPLIRSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2nccn2C)CC[C@H]1C ZINC001132195378 838331753 /nfs/dbraw/zinc/33/17/53/838331753.db2.gz NYBRTYBQHHLKPH-ZIAGYGMSSA-N 0 1 288.395 0.955 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CC(=O)N(C)C)CC[C@@H]1C ZINC001132352893 838365922 /nfs/dbraw/zinc/36/59/22/838365922.db2.gz BRAWICURHAFWDZ-STQMWFEESA-N 0 1 279.384 0.457 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2cnc(Cl)nc2)cc[nH]1 ZINC001184999872 844325468 /nfs/dbraw/zinc/32/54/68/844325468.db2.gz JTULPCKUBOHPMJ-UHFFFAOYSA-N 0 1 294.723 0.729 20 30 CCEDMN C=CCOCC(=O)NCC1CC(NCc2cnns2)C1 ZINC001100186629 838427004 /nfs/dbraw/zinc/42/70/04/838427004.db2.gz DDSKYAJICUCURW-UHFFFAOYSA-N 0 1 296.396 0.725 20 30 CCEDMN C=CCOCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCOC1)C2 ZINC001110357077 844336601 /nfs/dbraw/zinc/33/66/01/844336601.db2.gz DUIWTKXHAHCVGG-YJNKXOJESA-N 0 1 294.395 0.947 20 30 CCEDMN C[C@@H]1CCN(C(=O)CCc2nc[nH]n2)C[C@H]1CNCC#N ZINC001132754309 838486260 /nfs/dbraw/zinc/48/62/60/838486260.db2.gz DWGJGYFHLRPERB-VXGBXAGGSA-N 0 1 290.371 0.335 20 30 CCEDMN COc1cc(N2CC(N(C)[C@H]3CCOC3)C2)ncc1C#N ZINC001158726939 838506518 /nfs/dbraw/zinc/50/65/18/838506518.db2.gz VCAWOFMRVDXXOV-LBPRGKRZSA-N 0 1 288.351 0.871 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCNCc1cnnn1C ZINC001133378383 838613725 /nfs/dbraw/zinc/61/37/25/838613725.db2.gz DWAQRGVMJBHGAS-ZDUSSCGKSA-N 0 1 265.361 0.623 20 30 CCEDMN C=C1CC(C)(C(=O)NCCNCc2cnnn2C)C1 ZINC001133569993 838669137 /nfs/dbraw/zinc/66/91/37/838669137.db2.gz HTRPCWYFAPYNHW-UHFFFAOYSA-N 0 1 263.345 0.377 20 30 CCEDMN C#Cc1cncc(C(=O)NCCNCc2cnc(C)cn2)c1 ZINC001133597354 838677846 /nfs/dbraw/zinc/67/78/46/838677846.db2.gz OTEVMPHCOJSRHG-UHFFFAOYSA-N 0 1 295.346 0.681 20 30 CCEDMN CN(Cc1ccccc1)[C@@H](CO)C(=O)Nc1nc[nH]c1C#N ZINC001185224120 844364867 /nfs/dbraw/zinc/36/48/67/844364867.db2.gz LYJDROFDAQEPDF-ZDUSSCGKSA-N 0 1 299.334 0.713 20 30 CCEDMN C#CC[NH2+][C@@H](C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001134188812 838901816 /nfs/dbraw/zinc/90/18/16/838901816.db2.gz DZPGFYFOGRLRRX-WDEREUQCSA-N 0 1 261.325 0.907 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C[C@H](C)NCc1cnn(C)n1 ZINC001134317464 838943977 /nfs/dbraw/zinc/94/39/77/838943977.db2.gz JNPRRSQBNXZWAX-QWHCGFSZSA-N 0 1 291.399 0.847 20 30 CCEDMN C[C@H](C[C@H](C)NCC#N)NC(=O)CCCCc1cn[nH]n1 ZINC001135077492 839156189 /nfs/dbraw/zinc/15/61/89/839156189.db2.gz PEOBBVSTZSFQAQ-NWDGAFQWSA-N 0 1 292.387 0.914 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@]1(C)CCCS1(=O)=O ZINC001135158335 839169526 /nfs/dbraw/zinc/16/95/26/839169526.db2.gz DRTOVVZFIHKGQP-LLVKDONJSA-N 0 1 294.804 0.412 20 30 CCEDMN CC#CC[NH2+][C@@H](C)C[C@H](C)NC(=O)c1[n-]nnc1C ZINC001135171816 839172824 /nfs/dbraw/zinc/17/28/24/839172824.db2.gz TWTKOALDWSDSRH-UWVGGRQHSA-N 0 1 263.345 0.623 20 30 CCEDMN C=CCCCC(=O)N[C@@H](C)C[C@H](C)NCc1nnnn1C ZINC001135353468 839223384 /nfs/dbraw/zinc/22/33/84/839223384.db2.gz HWVFKKMPKIWSSJ-RYUDHWBXSA-N 0 1 294.403 0.939 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N[C@@H](C)C[C@@H](C)NCC#N ZINC001135976802 839489648 /nfs/dbraw/zinc/48/96/48/839489648.db2.gz NVIWOTXPIDMTRL-NEPJUHHUSA-N 0 1 254.378 0.725 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(CC#CC)C[C@H]2O)C1 ZINC001090555335 839639843 /nfs/dbraw/zinc/63/98/43/839639843.db2.gz JAANMPNASUIPCC-ZIAGYGMSSA-N 0 1 276.380 0.917 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2cc(Cl)cn2)[C@@H](O)C1 ZINC001090604835 839668796 /nfs/dbraw/zinc/66/87/96/839668796.db2.gz FRXFFZNGJLHWIR-RYUDHWBXSA-N 0 1 298.774 0.274 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccn(CC)n2)[C@H](O)C1 ZINC001090620571 839684614 /nfs/dbraw/zinc/68/46/14/839684614.db2.gz LXWISPORHBUDRA-DGCLKSJQSA-N 0 1 278.356 0.254 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nc(C)c[nH]2)[C@@H](O)C1 ZINC001090631513 839688865 /nfs/dbraw/zinc/68/88/65/839688865.db2.gz STMPGAMEGXTTFG-MNOVXSKESA-N 0 1 264.329 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc(C)c2)[C@@H](O)C1 ZINC001090655645 839708943 /nfs/dbraw/zinc/70/89/43/839708943.db2.gz UBLLABUBXQBHHT-KGLIPLIRSA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncccc2F)[C@H](O)C1 ZINC001090677018 839728898 /nfs/dbraw/zinc/72/88/98/839728898.db2.gz XISOOMWHNDBQRU-VXGBXAGGSA-N 0 1 279.315 0.572 20 30 CCEDMN C#CCCNC(=O)c1nc[nH]c(=O)c1Br ZINC001136769151 839755827 /nfs/dbraw/zinc/75/58/27/839755827.db2.gz BWWXUVKJJNLVPO-UHFFFAOYSA-N 0 1 270.086 0.698 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(CC)on2)[C@H](O)C1 ZINC001090730461 839760256 /nfs/dbraw/zinc/76/02/56/839760256.db2.gz LSUTUGNNTOJEHO-GXTWGEPZSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccc[nH]2)[C@@H](O)C1 ZINC001090823192 839838667 /nfs/dbraw/zinc/83/86/67/839838667.db2.gz HZXQKJRTVVEMMQ-STQMWFEESA-N 0 1 263.341 0.295 20 30 CCEDMN CN1CCC(C#N)(NC(=O)/C=C/[C@H]2COC(C)(C)O2)CC1 ZINC001144003295 839910619 /nfs/dbraw/zinc/91/06/19/839910619.db2.gz ILODMVIVUAHPSQ-ITKZLYELSA-N 0 1 293.367 0.798 20 30 CCEDMN N#CCNC1CC(CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001091039615 839998364 /nfs/dbraw/zinc/99/83/64/839998364.db2.gz DTRHTAHIMOFBHG-UHFFFAOYSA-N 0 1 284.323 0.579 20 30 CCEDMN C#CCOc1cccc(NC(=O)CCc2nn[nH]n2)c1 ZINC001146476960 840313479 /nfs/dbraw/zinc/31/34/79/840313479.db2.gz AOBZOIMEKHRFPY-UHFFFAOYSA-N 0 1 271.280 0.783 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N[C@@H](C)C[C@@H](C)NCC#N ZINC001146590767 840328995 /nfs/dbraw/zinc/32/89/95/840328995.db2.gz AEIYCWPHRVFTFW-NEPJUHHUSA-N 0 1 254.378 0.723 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCCn1cncn1 ZINC001146813249 840372335 /nfs/dbraw/zinc/37/23/35/840372335.db2.gz BRTPDNTZOHZLKC-UHFFFAOYSA-N 0 1 271.752 0.517 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)C(C)(F)F)C2)C1 ZINC001146872014 840410358 /nfs/dbraw/zinc/41/03/58/840410358.db2.gz SEGMFDSTDJZPIJ-UHFFFAOYSA-N 0 1 286.322 0.826 20 30 CCEDMN N#Cc1nc(N)c(NC(=O)c2ccc(O)cc2F)nc1C#N ZINC001186311056 844537729 /nfs/dbraw/zinc/53/77/29/844537729.db2.gz MBOHZZXKRRODPM-UHFFFAOYSA-N 0 1 298.237 0.899 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CO[C@H](C)CC)C2)C1 ZINC001147346552 840559738 /nfs/dbraw/zinc/55/97/38/840559738.db2.gz SNTOGZZCHSTDKC-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C=C(C)CCC(=O)N1CC2(C1)CCN([C@H]1CCNC1=O)C2 ZINC001147640186 840644727 /nfs/dbraw/zinc/64/47/27/840644727.db2.gz PGMFSKAGDSFHBP-ZDUSSCGKSA-N 0 1 291.395 0.766 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCCn3ccnn3)C2)C1 ZINC001147711190 840671709 /nfs/dbraw/zinc/67/17/09/840671709.db2.gz IRTUHQGBJGEEHJ-UHFFFAOYSA-N 0 1 287.367 0.226 20 30 CCEDMN C[C@@H](C(N)=O)N1C[C@@H]2CN(C(=O)C#CC3CC3)C[C@]2(C)C1 ZINC001091655235 840682862 /nfs/dbraw/zinc/68/28/62/840682862.db2.gz HFCUCHNTQXFEKD-GHJWDPDVSA-N 0 1 289.379 0.054 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2ocnc2C)nc1 ZINC001148377566 840796452 /nfs/dbraw/zinc/79/64/52/840796452.db2.gz ZZQZKNYXTNFMNH-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCC(=O)NCCC)C2)C1 ZINC001148628184 840871259 /nfs/dbraw/zinc/87/12/59/840871259.db2.gz GLIRJZGEWVYNMR-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)[C@H]1CCCCN1C ZINC001092735249 841065180 /nfs/dbraw/zinc/06/51/80/841065180.db2.gz RJURAEHKXVENSM-MGPQQGTHSA-N 0 1 278.400 0.678 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCNc2cnc(C#N)cn2)c1C ZINC001093535566 841317956 /nfs/dbraw/zinc/31/79/56/841317956.db2.gz FYZZHNBIENALKA-UHFFFAOYSA-N 0 1 285.311 0.530 20 30 CCEDMN C=C(C)CCN1CC(OC2CCN(C(=O)C(N)=O)CC2)C1 ZINC001093567314 841341990 /nfs/dbraw/zinc/34/19/90/841341990.db2.gz JSABXIYAMGXBQW-UHFFFAOYSA-N 0 1 295.383 0.130 20 30 CCEDMN Cc1nc(CC(=O)NCCNc2ccc(C#N)nc2)n[nH]1 ZINC001093606885 841378834 /nfs/dbraw/zinc/37/88/34/841378834.db2.gz BVCWULJFMOHMPD-UHFFFAOYSA-N 0 1 285.311 0.151 20 30 CCEDMN C#CCN1CC[C@H]2CN(C(=O)c3c[nH]c(C)cc3=O)CC[C@@H]21 ZINC001036861182 841414018 /nfs/dbraw/zinc/41/40/18/841414018.db2.gz USAXGBWICDHCNQ-ZFWWWQNUSA-N 0 1 299.374 0.853 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](CNCc2cnon2)[C@H](C)C1 ZINC001093678094 841425737 /nfs/dbraw/zinc/42/57/37/841425737.db2.gz HMHXSNYXHVOQIL-GMTAPVOTSA-N 0 1 277.328 0.413 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNc1ncnc2[nH]cnc21 ZINC001093692701 841428665 /nfs/dbraw/zinc/42/86/65/841428665.db2.gz GOUGTVLYPZWMTL-SECBINFHSA-N 0 1 290.327 0.424 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCCNc1ccc(C#N)nn1 ZINC001094045070 841517965 /nfs/dbraw/zinc/51/79/65/841517965.db2.gz OJXZTESIMBDUBC-LBPRGKRZSA-N 0 1 288.355 0.361 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)CCc2c[nH]nn2)nc1 ZINC001094129985 841541184 /nfs/dbraw/zinc/54/11/84/841541184.db2.gz PRMGFTHDYOIWLB-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)CCc2cnn[nH]2)nc1 ZINC001094129985 841541194 /nfs/dbraw/zinc/54/11/94/841541194.db2.gz PRMGFTHDYOIWLB-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCCNc2nccnc2C#N)c1C ZINC001094348416 841614510 /nfs/dbraw/zinc/61/45/10/841614510.db2.gz JOEXBNBKSKGLLU-UHFFFAOYSA-N 0 1 299.338 0.920 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CN3CCCC3)CC[C@@H]21 ZINC001036896860 841663790 /nfs/dbraw/zinc/66/37/90/841663790.db2.gz VRYYHIMGLQWMDC-KGLIPLIRSA-N 0 1 276.384 0.529 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2cn(C)nn2)nc1 ZINC001171585057 841732915 /nfs/dbraw/zinc/73/29/15/841732915.db2.gz IZNNEEMSXZXYLP-UHFFFAOYSA-N 0 1 298.350 0.101 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cnco2)[C@H](O)C1 ZINC001099936649 841733637 /nfs/dbraw/zinc/73/36/37/841733637.db2.gz BRHVFFCZQLUKOC-VXGBXAGGSA-N 0 1 295.339 0.042 20 30 CCEDMN CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)[nH]n1)C2 ZINC001095142871 842074713 /nfs/dbraw/zinc/07/47/13/842074713.db2.gz GEFPJBZSSKLFHU-WXHSDQCUSA-N 0 1 286.335 0.541 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)[nH]n1)C2 ZINC001095142871 842074728 /nfs/dbraw/zinc/07/47/28/842074728.db2.gz GEFPJBZSSKLFHU-WXHSDQCUSA-N 0 1 286.335 0.541 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccnn1C)C2 ZINC001095229523 842118454 /nfs/dbraw/zinc/11/84/54/842118454.db2.gz NWSYMIUFRCRRLR-WOPDTQHZSA-N 0 1 260.341 0.941 20 30 CCEDMN CCCCN(CC#N)C(=O)[C@@H](Cc1cnc[nH]1)NC(C)=O ZINC001176354745 842363541 /nfs/dbraw/zinc/36/35/41/842363541.db2.gz OTCXDLQBTYRCEW-CYBMUJFWSA-N 0 1 291.355 0.609 20 30 CCEDMN C=CCCOCC(=O)N(Cc1nn[nH]n1)CC(C)C ZINC001176593212 842385189 /nfs/dbraw/zinc/38/51/89/842385189.db2.gz DYHLPOQVJSKWCD-UHFFFAOYSA-N 0 1 267.333 0.777 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(C(=O)Cc2c[nH]cn2)C1 ZINC001176832137 842419057 /nfs/dbraw/zinc/41/90/57/842419057.db2.gz JYBWYEWIZXHQAT-LLVKDONJSA-N 0 1 292.339 0.855 20 30 CCEDMN Cc1cc(C#N)c(F)cc1NC(=O)Cc1nn[nH]n1 ZINC001176845303 842444566 /nfs/dbraw/zinc/44/45/66/842444566.db2.gz RJAVEVOQFYZYHH-UHFFFAOYSA-N 0 1 260.232 0.700 20 30 CCEDMN N#Cc1c(N)nn(C(=O)[C@@H]2CCc3[nH]cnc3C2)c1N ZINC001177172271 842511168 /nfs/dbraw/zinc/51/11/68/842511168.db2.gz DREGOECMRRWKBV-ZCFIWIBFSA-N 0 1 271.284 0.088 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1ncc(C)cn1 ZINC001177264314 842539392 /nfs/dbraw/zinc/53/93/92/842539392.db2.gz AZQKPWIGWMYVSH-UHFFFAOYSA-N 0 1 292.383 0.974 20 30 CCEDMN C#Cc1cnc(NC(=O)Cc2n[nH]c(C)n2)c(C#C)n1 ZINC001177434762 842601088 /nfs/dbraw/zinc/60/10/88/842601088.db2.gz ZGZGWPOCMSKXBI-UHFFFAOYSA-N 0 1 266.264 0.047 20 30 CCEDMN COCc1[nH]nc2c1CN(C(=O)C#Cc1cccnc1)C2 ZINC001177776856 842660865 /nfs/dbraw/zinc/66/08/65/842660865.db2.gz HCDXIMNRRIKZID-UHFFFAOYSA-N 0 1 282.303 0.845 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1C[C@H](O)C[C@@H]1CO ZINC001177916419 842707240 /nfs/dbraw/zinc/70/72/40/842707240.db2.gz WAYGJCORXLIKET-HBNTYKKESA-N 0 1 280.349 0.384 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@H]1NC(=O)c2ccccc21 ZINC001182416933 843819241 /nfs/dbraw/zinc/81/92/41/843819241.db2.gz MANIVVSFTWHEOD-JTQLQIEISA-N 0 1 267.248 0.705 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COC(C)C)[C@@H]2C1 ZINC001187125003 844654878 /nfs/dbraw/zinc/65/48/78/844654878.db2.gz ZJDVOTBQOPQIDK-QWHCGFSZSA-N 0 1 250.342 0.577 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CNC(=O)CC)[C@@H]2C1 ZINC001187424417 844722429 /nfs/dbraw/zinc/72/24/29/844722429.db2.gz GIMOUHNXDSHCRZ-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCCCN1CC2(C1)COCC(=O)N2CC(=C)C ZINC001273542505 844734939 /nfs/dbraw/zinc/73/49/39/844734939.db2.gz WRYIHEKKFGSADG-UHFFFAOYSA-N 0 1 262.353 0.889 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(C)nn2C)C1 ZINC001189123339 845061086 /nfs/dbraw/zinc/06/10/86/845061086.db2.gz OUPSNIISYQGYHR-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCOC2)C1 ZINC001189302593 845099174 /nfs/dbraw/zinc/09/91/74/845099174.db2.gz YXTADJUUNINYKR-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCOC2)C1 ZINC001189302270 845099727 /nfs/dbraw/zinc/09/97/27/845099727.db2.gz WFSUSQNQRXGQLH-HUUCEWRRSA-N 0 1 294.395 0.596 20 30 CCEDMN CCOCCC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189339694 845114425 /nfs/dbraw/zinc/11/44/25/845114425.db2.gz XWINMDXJDPZASH-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N(C)[C@@H]2CCCNC2=O)C1 ZINC001189381201 845120580 /nfs/dbraw/zinc/12/05/80/845120580.db2.gz VMJYGLYATBQOQB-CHWSQXEVSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CC[NH+]1C[C@@H]2CCN(C(=O)C[N@H+](C)CCC)[C@@H]2C1 ZINC001189898578 845283270 /nfs/dbraw/zinc/28/32/70/845283270.db2.gz NLSYSCKXUOCXPJ-UONOGXRCSA-N 0 1 263.385 0.494 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2nn(C)cc2C)C1 ZINC001190068213 845312759 /nfs/dbraw/zinc/31/27/59/845312759.db2.gz ZIZYMDJFKSKHMM-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN CNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001190037981 845315192 /nfs/dbraw/zinc/31/51/92/845315192.db2.gz VXIUHDLOPPZYNS-DGCLKSJQSA-N 0 1 277.368 0.067 20 30 CCEDMN C[C@@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190075634 845326875 /nfs/dbraw/zinc/32/68/75/845326875.db2.gz AODPTZHNCNVDSB-NWDGAFQWSA-N 0 1 279.384 0.442 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CO[C@H]2CCOC2)C1 ZINC001190121126 845340742 /nfs/dbraw/zinc/34/07/42/845340742.db2.gz OMQXPYGKEJRXIJ-KGLIPLIRSA-N 0 1 280.368 0.348 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1CC[C@@H](N(C)CC#N)C1 ZINC001190443965 845398712 /nfs/dbraw/zinc/39/87/12/845398712.db2.gz MDROHROYERZZQT-CHWSQXEVSA-N 0 1 284.379 0.723 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001190452183 845405666 /nfs/dbraw/zinc/40/56/66/845405666.db2.gz CSOVQWFBMVFFFG-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCCn2ccnn2)C1 ZINC001190621234 845437448 /nfs/dbraw/zinc/43/74/48/845437448.db2.gz HFPOGLBETKZDKN-AWEZNQCLSA-N 0 1 289.383 0.614 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC001190789281 845499509 /nfs/dbraw/zinc/49/95/09/845499509.db2.gz PACLOOBOCPKJPM-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001190829492 845512949 /nfs/dbraw/zinc/51/29/49/845512949.db2.gz NZQCZNHXYSLSMQ-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COCc2cc(C)on2)C1 ZINC001190856554 845525094 /nfs/dbraw/zinc/52/50/94/845525094.db2.gz LKOYCCYTSPEYMO-CQSZACIVSA-N 0 1 291.351 0.666 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC=CCC2)C1 ZINC001190982797 845559141 /nfs/dbraw/zinc/55/91/41/845559141.db2.gz AMXCYUNPPRULBW-RRFJBIMHSA-N 0 1 294.395 0.707 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)CCn2cccn2)C1 ZINC001191097261 845579601 /nfs/dbraw/zinc/57/96/01/845579601.db2.gz SXQTZEBUWJEPIB-CHWSQXEVSA-N 0 1 278.356 0.011 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCn2cccn2)C1 ZINC001191097261 845579609 /nfs/dbraw/zinc/57/96/09/845579609.db2.gz SXQTZEBUWJEPIB-CHWSQXEVSA-N 0 1 278.356 0.011 20 30 CCEDMN COc1ccc(C(=NO)NC(=O)c2[nH]nc(C)c2C)nc1 ZINC001191130448 845604209 /nfs/dbraw/zinc/60/42/09/845604209.db2.gz ZGFHWDNWLOTAKC-UHFFFAOYSA-N 0 1 289.295 0.996 20 30 CCEDMN C=CCC[C@H](C)N1C[C@@H](O)[C@H](NC(=O)c2cnns2)C1 ZINC001191306868 845626572 /nfs/dbraw/zinc/62/65/72/845626572.db2.gz DMKCXRUNLCUOIC-HBNTYKKESA-N 0 1 296.396 0.668 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001191400437 845639075 /nfs/dbraw/zinc/63/90/75/845639075.db2.gz RWVDCPMCTXHGSA-CQSZACIVSA-N 0 1 287.363 0.886 20 30 CCEDMN N#Cc1cc(C(=O)Nc2cn[nH]c2C(N)=O)ccn1 ZINC001191540195 845675900 /nfs/dbraw/zinc/67/59/00/845675900.db2.gz MOICSBKIDXEETE-UHFFFAOYSA-N 0 1 256.225 0.028 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCC(C)C)C[C@H]1O ZINC001191724539 845717778 /nfs/dbraw/zinc/71/77/78/845717778.db2.gz KMTZZWJKPOJZMS-ZIAGYGMSSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)/C(C)=C/C)C1 ZINC001192127659 845790455 /nfs/dbraw/zinc/79/04/55/845790455.db2.gz LDNRHIRFAOKSPU-PUZDAVHHSA-N 0 1 268.357 0.317 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCc2ccoc2)C1 ZINC001192172552 845795538 /nfs/dbraw/zinc/79/55/38/845795538.db2.gz QEIPHJIWDIIKKS-ZIAGYGMSSA-N 0 1 276.336 0.397 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001192306686 845818505 /nfs/dbraw/zinc/81/85/05/845818505.db2.gz NWVIGNDQRIHJNX-IIAWOOMASA-N 0 1 296.411 0.665 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1C[N@@H+](CCCCOC)C[C@H]1O ZINC001192329298 845819949 /nfs/dbraw/zinc/81/99/49/845819949.db2.gz WEFGCDGPLAIEBC-HUUCEWRRSA-N 0 1 296.411 0.768 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC[C@H](C)OC)C1 ZINC001192351049 845824226 /nfs/dbraw/zinc/82/42/26/845824226.db2.gz CNVMOPDJONBVNM-YNEHKIRRSA-N 0 1 270.373 0.539 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)CC[C@H](C)OC)C1 ZINC001192351049 845824221 /nfs/dbraw/zinc/82/42/21/845824221.db2.gz CNVMOPDJONBVNM-YNEHKIRRSA-N 0 1 270.373 0.539 20 30 CCEDMN CCN(CCNCC#N)C(=O)c1nnc2ccccc2c1O ZINC001273638160 845849355 /nfs/dbraw/zinc/84/93/55/845849355.db2.gz ULTXYGRMZBQJKL-UHFFFAOYSA-N 0 1 299.334 0.911 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2cnc[nH]2)C1 ZINC001192561130 845872062 /nfs/dbraw/zinc/87/20/62/845872062.db2.gz XPYUDNFJEVUERJ-ZDUSSCGKSA-N 0 1 260.341 0.508 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COC2CCOCC2)C1 ZINC001192622537 845887743 /nfs/dbraw/zinc/88/77/43/845887743.db2.gz NVKOSJLNJIHICR-CQSZACIVSA-N 0 1 294.395 0.738 20 30 CCEDMN CN1Cc2c(NS(=O)(=O)CC#N)cccc2NC1=O ZINC001192988855 845946533 /nfs/dbraw/zinc/94/65/33/845946533.db2.gz RFEQKNLSOXGKTJ-UHFFFAOYSA-N 0 1 280.309 0.929 20 30 CCEDMN COCCOc1cc(NS(=O)(=O)[C@@H](C)C#N)ccn1 ZINC001193111813 845980671 /nfs/dbraw/zinc/98/06/71/845980671.db2.gz LPEJOUSLJWYHMB-VIFPVBQESA-N 0 1 285.325 0.761 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CCC2(CN(C)C2)CC1 ZINC001193137092 846001101 /nfs/dbraw/zinc/00/11/01/846001101.db2.gz QCHWJRGXNDPZEA-SNVBAGLBSA-N 0 1 257.359 0.256 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1O ZINC001193231626 846028552 /nfs/dbraw/zinc/02/85/52/846028552.db2.gz JDMBSWACSBFIHN-MRVWCRGKSA-N 0 1 282.384 0.297 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(OC)nn2)C1 ZINC001193266810 846038490 /nfs/dbraw/zinc/03/84/90/846038490.db2.gz GXAXODSPODVWKG-LBPRGKRZSA-N 0 1 288.351 0.655 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2cncn2C)C1 ZINC001193460357 846080749 /nfs/dbraw/zinc/08/07/49/846080749.db2.gz BGESCYLOZIVMIB-ZDUSSCGKSA-N 0 1 274.368 0.519 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2cncn2C)C1 ZINC001193460354 846081256 /nfs/dbraw/zinc/08/12/56/846081256.db2.gz BGESCYLOZIVMIB-CYBMUJFWSA-N 0 1 274.368 0.519 20 30 CCEDMN N#Cc1cc(C(=O)NCCCc2c[nH][nH]c2=O)ccc1O ZINC001193511607 846101517 /nfs/dbraw/zinc/10/15/17/846101517.db2.gz DEBATEMUOAPOIU-JTQLQIEISA-N 0 1 286.291 0.506 20 30 CCEDMN Cc1nnc(CNC(=O)c2ccc(O)c(C#N)c2)o1 ZINC001193518864 846103185 /nfs/dbraw/zinc/10/31/85/846103185.db2.gz IXGPPEMTZICRBZ-UHFFFAOYSA-N 0 1 258.237 0.885 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C2(C(=O)NC)CCC2)C1 ZINC001193630626 846129945 /nfs/dbraw/zinc/12/99/45/846129945.db2.gz LUGUAABZDPTRKQ-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](F)CN1C(=O)c1cccc(C#N)c1O ZINC001193642596 846134943 /nfs/dbraw/zinc/13/49/43/846134943.db2.gz JTQBZXWAIBMZBG-ONGXEEELSA-N 0 1 292.266 0.989 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@H]2CCCNC(=O)C2)c1O ZINC001193659103 846139431 /nfs/dbraw/zinc/13/94/31/846139431.db2.gz KGPUCDGUSHZWOW-JTQLQIEISA-N 0 1 273.292 0.662 20 30 CCEDMN CSCC[C@H](NC(=O)c1cccc(C#N)c1O)C(N)=O ZINC001193660524 846140131 /nfs/dbraw/zinc/14/01/31/846140131.db2.gz ZEGNYMMQPVIENQ-JTQLQIEISA-N 0 1 293.348 0.601 20 30 CCEDMN Cn1cc(CCNC(=O)c2cccc(C#N)c2O)cn1 ZINC001193658210 846140243 /nfs/dbraw/zinc/14/02/43/846140243.db2.gz YKANGCSVLJRMRM-UHFFFAOYSA-N 0 1 270.292 0.970 20 30 CCEDMN CC(C)[C@](C)(NC(=O)c1cccc(C#N)c1O)C(N)=O ZINC001193658106 846140538 /nfs/dbraw/zinc/14/05/38/846140538.db2.gz SUGOKICQLWWNJW-AWEZNQCLSA-N 0 1 275.308 0.894 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)[C@H](C)CC)C1 ZINC001194081803 846212390 /nfs/dbraw/zinc/21/23/90/846212390.db2.gz WXHRFPOQYJGAOS-CHWSQXEVSA-N 0 1 279.384 0.315 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001194554504 846327051 /nfs/dbraw/zinc/32/70/51/846327051.db2.gz AQAMUURILOQRJL-KBPBESRZSA-N 0 1 293.411 0.690 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CCCC2CC2)C1 ZINC001195510790 846552932 /nfs/dbraw/zinc/55/29/32/846552932.db2.gz SAQOGJJBBSBVJD-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001195567150 846583839 /nfs/dbraw/zinc/58/38/39/846583839.db2.gz KCEGTOKXFAQDMU-MGPQQGTHSA-N 0 1 284.400 0.787 20 30 CCEDMN CN1C[C@@H](CNC(=O)c2ccc(C#N)cc2O)CC1=O ZINC001195763841 846611727 /nfs/dbraw/zinc/61/17/27/846611727.db2.gz DCMIGGRKOCTHGQ-SNVBAGLBSA-N 0 1 273.292 0.472 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2COCC[C@@H]2O)c(O)c1 ZINC001195764615 846613370 /nfs/dbraw/zinc/61/33/70/846613370.db2.gz NGWFGNNYUINVJB-QWRGUYRKSA-N 0 1 262.265 0.143 20 30 CCEDMN CN1CCC[C@H](NC(=O)c2ccc(C#N)cc2O)C1=O ZINC001195764719 846613533 /nfs/dbraw/zinc/61/35/33/846613533.db2.gz OKNGWIZASVVTGS-NSHDSACASA-N 0 1 273.292 0.614 20 30 CCEDMN N#Cc1ccc(C(=O)NC(=N)c2ncc[nH]2)c(O)c1 ZINC001195764321 846613755 /nfs/dbraw/zinc/61/37/55/846613755.db2.gz JSYJMASMZTZQGZ-UHFFFAOYSA-N 0 1 255.237 0.742 20 30 CCEDMN Cc1cnc(NC(=O)c2ccc(C#N)cc2O)c(=O)[nH]1 ZINC001195767869 846615161 /nfs/dbraw/zinc/61/51/61/846615161.db2.gz AZTHBKPYTYONSU-UHFFFAOYSA-N 0 1 270.248 0.908 20 30 CCEDMN COC(=O)[C@@H]1C[C@H]1CNC(=O)c1ccc(C#N)cc1O ZINC001195768255 846615306 /nfs/dbraw/zinc/61/53/06/846615306.db2.gz KWKSOFFOPMWSFK-GXSJLCMTSA-N 0 1 274.276 0.803 20 30 CCEDMN C[C@@H](O)CN1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785515 846621851 /nfs/dbraw/zinc/62/18/51/846621851.db2.gz NQJZCPZYLDSYLR-CYBMUJFWSA-N 0 1 266.385 0.951 20 30 CCEDMN C=CCN1CCCN(C(=O)CN(C)C(=O)C(C)C)CC1 ZINC001196032598 846676189 /nfs/dbraw/zinc/67/61/89/846676189.db2.gz DPLQMPYWJXNGKR-UHFFFAOYSA-N 0 1 281.400 0.821 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2cncs2)C1 ZINC001196207550 846705831 /nfs/dbraw/zinc/70/58/31/846705831.db2.gz PGQOIEGPYQTLBB-CHWSQXEVSA-N 0 1 295.408 0.813 20 30 CCEDMN CS(=O)(=O)c1cccc(C(=O)Nc2nc[nH]c2C#N)n1 ZINC001196404642 846737145 /nfs/dbraw/zinc/73/71/45/846737145.db2.gz TWEGIIVFXIAZGR-UHFFFAOYSA-N 0 1 291.292 0.332 20 30 CCEDMN N#Cc1cccnc1C(=O)Nc1cn[nH]c1C(N)=O ZINC001196547084 846773190 /nfs/dbraw/zinc/77/31/90/846773190.db2.gz MOZUOZLSBSGTBM-UHFFFAOYSA-N 0 1 256.225 0.028 20 30 CCEDMN N#Cc1cccc(NC(=S)NCCN2CC[C@@H](O)C2)c1 ZINC001197733817 846955722 /nfs/dbraw/zinc/95/57/22/846955722.db2.gz VSHZULAQMVZPMZ-CYBMUJFWSA-N 0 1 290.392 0.911 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCCC(=O)NCC)C1 ZINC001197888428 846997874 /nfs/dbraw/zinc/99/78/74/846997874.db2.gz BTHBGZDYNHBMRC-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](CC(C)C)C(N)=O)CC1 ZINC001198412902 847106133 /nfs/dbraw/zinc/10/61/33/847106133.db2.gz YYDAHEWALKXBAZ-ZDUSSCGKSA-N 0 1 281.400 0.854 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cccnc1Cl ZINC001251885297 847325296 /nfs/dbraw/zinc/32/52/96/847325296.db2.gz SCWZMVXPFINSSK-NSHDSACASA-N 0 1 254.717 0.835 20 30 CCEDMN C=CCOCC(=O)N1CCC(NCc2cn(C)nn2)CC1 ZINC001199788435 847432487 /nfs/dbraw/zinc/43/24/87/847432487.db2.gz QBFBROMUCNYTAR-UHFFFAOYSA-N 0 1 293.371 0.098 20 30 CCEDMN C=CCNC(=S)N1CCC(=O)[C@@H](C(=O)OCC)CC1 ZINC001200287532 847595578 /nfs/dbraw/zinc/59/55/78/847595578.db2.gz BASWTGCMCWPZFH-JTQLQIEISA-N 0 1 284.381 0.891 20 30 CCEDMN C=CCNC(=S)NC1(C(=O)OC)CCN(C)CC1 ZINC001200299800 847601123 /nfs/dbraw/zinc/60/11/23/847601123.db2.gz KXLMAIBRSIAKFC-UHFFFAOYSA-N 0 1 271.386 0.274 20 30 CCEDMN Cn1nccc1CNCCNC(=O)C1N=CC=CC1=O ZINC001200401282 847616735 /nfs/dbraw/zinc/61/67/35/847616735.db2.gz SFFZTZCILBACAN-OUKQBFOZSA-N 0 1 275.312 0.036 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(CC(=O)NC1CC1)CC2 ZINC001273829377 847616884 /nfs/dbraw/zinc/61/68/84/847616884.db2.gz BBYBIUZBJXWKRZ-ZDUSSCGKSA-N 0 1 289.379 0.117 20 30 CCEDMN C[N@H+]1CCCC[C@H]1C(=O)N1CCCO[C@@H](CNCC#N)C1 ZINC001200805922 847693404 /nfs/dbraw/zinc/69/34/04/847693404.db2.gz NKMNRAHIKDJIES-KBPBESRZSA-N 0 1 294.399 0.201 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCCO[C@@H](CNCC#N)C1 ZINC001200805922 847693412 /nfs/dbraw/zinc/69/34/12/847693412.db2.gz NKMNRAHIKDJIES-KBPBESRZSA-N 0 1 294.399 0.201 20 30 CCEDMN N#Cc1csc(CN[C@@H](CO)[C@@H]2CCOC2)n1 ZINC001201761068 847810748 /nfs/dbraw/zinc/81/07/48/847810748.db2.gz LPJJZICFAKNQBI-SCZZXKLOSA-N 0 1 253.327 0.502 20 30 CCEDMN N#Cc1csc(CN[C@H](CO)[C@@H]2CCOC2)n1 ZINC001201761061 847811185 /nfs/dbraw/zinc/81/11/85/847811185.db2.gz LPJJZICFAKNQBI-PSASIEDQSA-N 0 1 253.327 0.502 20 30 CCEDMN Cc1oncc1CNC/C=C/CNC(=O)[C@H](C)C#N ZINC001273911032 847821921 /nfs/dbraw/zinc/82/19/21/847821921.db2.gz RSOQEUBRYZGNAW-HMDXOVGESA-N 0 1 262.313 0.905 20 30 CCEDMN Cc1nc([C@H](C)NCC=CCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001273911496 847823349 /nfs/dbraw/zinc/82/33/49/847823349.db2.gz UBBBIVWXNMGVLQ-CFUOYWMPSA-N 0 1 276.344 0.596 20 30 CCEDMN CCN(C(=O)CNC/C=C\CNC(=O)[C@H](C)C#N)C1CC1 ZINC001273911742 847825928 /nfs/dbraw/zinc/82/59/28/847825928.db2.gz VIYYNVFBYYAEGX-QMAVJUDZSA-N 0 1 292.383 0.419 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1cnc(C)nc1 ZINC001153149082 847935129 /nfs/dbraw/zinc/93/51/29/847935129.db2.gz RWQMNPXUBUTKJG-LLVKDONJSA-N 0 1 278.356 0.582 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cn2cccnc2n1 ZINC001252450620 847970745 /nfs/dbraw/zinc/97/07/45/847970745.db2.gz DVORYUGFLGATTJ-GFCCVEGCSA-N 0 1 262.313 0.382 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1COC(=O)C1)C2 ZINC001095314585 847980337 /nfs/dbraw/zinc/98/03/37/847980337.db2.gz DKOYANYIPUBBBL-CRWXNKLISA-N 0 1 290.363 0.684 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCNC(N)=O)C2 ZINC001110781308 848001006 /nfs/dbraw/zinc/00/10/06/848001006.db2.gz LPOZFSNJCSDPCM-UPJWGTAASA-N 0 1 292.383 0.180 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C(C)(C)F)C1 ZINC001149047600 848016061 /nfs/dbraw/zinc/01/60/61/848016061.db2.gz KSGTTZMAEPZSLK-LBPRGKRZSA-N 0 1 270.348 0.965 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2CN([C@H](CC)C(N)=O)C[C@H]21 ZINC001114341032 848019167 /nfs/dbraw/zinc/01/91/67/848019167.db2.gz RSAYZHXDCSLOKX-CZXHOFHRSA-N 0 1 293.411 0.899 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccncn1 ZINC001114351735 848022557 /nfs/dbraw/zinc/02/25/57/848022557.db2.gz QTFHEHGULMJVKC-FOLVSLTJSA-N 0 1 284.363 0.479 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CCOC)CC1 ZINC001114386298 848031474 /nfs/dbraw/zinc/03/14/74/848031474.db2.gz CFSJZUXFWTWUTH-ITGUQSILSA-N 0 1 262.353 0.483 20 30 CCEDMN COCCOCN1CC[C@@]2(CCN(CCCC#N)C2=O)C1 ZINC001273996174 848238981 /nfs/dbraw/zinc/23/89/81/848238981.db2.gz VASJGXZAKQCAJP-OAHLLOKOSA-N 0 1 295.383 0.835 20 30 CCEDMN COCCCN1CC[C@@]2(CCN(CCC#N)C2)C1=O ZINC001274032386 848313056 /nfs/dbraw/zinc/31/30/56/848313056.db2.gz NJCJURWJTHAMDV-CQSZACIVSA-N 0 1 265.357 0.861 20 30 CCEDMN C=CC(C)(C)CC(=O)NC/C=C/CN[C@@H]1CCNC1=O ZINC001274069480 848338533 /nfs/dbraw/zinc/33/85/33/848338533.db2.gz SECREDRIXXXAQC-BTDICHCPSA-N 0 1 279.384 0.739 20 30 CCEDMN C=CCN1CC2(CN(Cc3cn[nH]c3CC)C2)OCC1=O ZINC001274381907 848465947 /nfs/dbraw/zinc/46/59/47/848465947.db2.gz UXSHKHAJCITMHJ-UHFFFAOYSA-N 0 1 290.367 0.571 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC[C@H](C)NCc1nncn1C ZINC001274707242 848547527 /nfs/dbraw/zinc/54/75/27/848547527.db2.gz ZFBULXAVUNQXIR-SMDDNHRTSA-N 0 1 295.387 0.127 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)CC(N)=O ZINC001274985236 848607883 /nfs/dbraw/zinc/60/78/83/848607883.db2.gz YAOZRMMRCILAJH-JTQLQIEISA-N 0 1 273.764 0.585 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)C[C@H]1CCCN1C(=O)CC ZINC001275080504 848630710 /nfs/dbraw/zinc/63/07/10/848630710.db2.gz HMYYFCSPNGRCLT-QWHCGFSZSA-N 0 1 279.384 0.457 20 30 CCEDMN Cc1cnc(CNC[C@H](C)NC(=O)CSCC#N)cn1 ZINC001275077549 848631388 /nfs/dbraw/zinc/63/13/88/848631388.db2.gz BJISHSURYWHWEU-NSHDSACASA-N 0 1 293.396 0.636 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1snnc1C ZINC001275507320 848743388 /nfs/dbraw/zinc/74/33/88/848743388.db2.gz YRMCCWCWLAZMPG-MRVPVSSYSA-N 0 1 252.343 0.530 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)c1cc(C#N)co1)C2 ZINC001275435105 848721417 /nfs/dbraw/zinc/72/14/17/848721417.db2.gz CWWAUCYUHFAWDS-UHFFFAOYSA-N 0 1 299.290 0.732 20 30 CCEDMN CCO[C@H](CC)C(=O)N[C@@H](C)C[N@@H+](C)CC#CCOC ZINC001275512623 848745847 /nfs/dbraw/zinc/74/58/47/848745847.db2.gz MDPCWGOBQVUTMJ-UONOGXRCSA-N 0 1 284.400 0.888 20 30 CCEDMN CCO[C@H](CC)C(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001275512623 848745852 /nfs/dbraw/zinc/74/58/52/848745852.db2.gz MDPCWGOBQVUTMJ-UONOGXRCSA-N 0 1 284.400 0.888 20 30 CCEDMN CCN1CC[C@@H](N(C)C[C@H](C)NC(=O)C#CC2CC2)C1=O ZINC001275551934 848759700 /nfs/dbraw/zinc/75/97/00/848759700.db2.gz MMCMQKIGEDCMEI-GXTWGEPZSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CCCC(=O)N(C)C ZINC001275698170 848795010 /nfs/dbraw/zinc/79/50/10/848795010.db2.gz PYOJSCGTWPSPLJ-ZDUSSCGKSA-N 0 1 281.400 0.705 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H](C)Cn1ccc(C)n1 ZINC001275845660 848844116 /nfs/dbraw/zinc/84/41/16/848844116.db2.gz QTNWDYVHCNEAOK-GXTWGEPZSA-N 0 1 276.384 0.897 20 30 CCEDMN C#CC[N@H+](C)[C@H](C)CNC(=O)c1n[nH]c2c1CCCC2 ZINC001275865486 848849840 /nfs/dbraw/zinc/84/98/40/848849840.db2.gz KWWWXMWBCVRKDL-LLVKDONJSA-N 0 1 274.368 0.972 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H]1CC(=O)N(CCC)C1 ZINC001275946867 848871936 /nfs/dbraw/zinc/87/19/36/848871936.db2.gz SYBOLFCQKNHSGU-ZIAGYGMSSA-N 0 1 293.411 0.705 20 30 CCEDMN CN(C)C(=O)[C@@]12C[C@@H]1CN(C(=O)c1ccc(O)c(C#N)c1)C2 ZINC001276155202 848941298 /nfs/dbraw/zinc/94/12/98/848941298.db2.gz BMSWDYBAISUMIR-MLGOLLRUSA-N 0 1 299.330 0.814 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)COC[C@@H]1CCOC1)C2 ZINC001111090666 849068265 /nfs/dbraw/zinc/06/82/65/849068265.db2.gz XKZUPFJDYGTPLO-TUVASFSCSA-N 0 1 294.395 0.947 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](O)C(C)C)C1 ZINC001111734001 849069644 /nfs/dbraw/zinc/06/96/44/849069644.db2.gz HPFTVNANDQITCF-GUTXKFCHSA-N 0 1 264.369 0.607 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccnc3n[nH]nc31)C2 ZINC001095735480 849127770 /nfs/dbraw/zinc/12/77/70/849127770.db2.gz KYVWNJKBGARLNV-USWWRNFRSA-N 0 1 298.350 0.874 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCN(C)C(C)=O)C2 ZINC001111326728 849168627 /nfs/dbraw/zinc/16/86/27/849168627.db2.gz HARKIWFQRDYNME-KFWWJZLASA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CCC)OC ZINC001114668938 849355622 /nfs/dbraw/zinc/35/56/22/849355622.db2.gz LXGXJBFVDVLINT-XQHKEYJVSA-N 0 1 250.342 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCn1ccccc1=O ZINC001114678884 849359470 /nfs/dbraw/zinc/35/94/70/849359470.db2.gz RACUVIHLFXVRGO-HALDLXJZSA-N 0 1 299.374 0.308 20 30 CCEDMN CC[C@H]1CN(C(=O)c2ccn[nH]2)CC[C@@H]1NCC#N ZINC001037787350 849585506 /nfs/dbraw/zinc/58/55/06/849585506.db2.gz ZZOMEWZTUUEZQR-QWRGUYRKSA-N 0 1 261.329 0.764 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001038024462 849645742 /nfs/dbraw/zinc/64/57/42/849645742.db2.gz BQKJDUJSDUUTAS-ZDUSSCGKSA-N 0 1 259.309 0.147 20 30 CCEDMN C=C[C@H](O)CNC1(C(=O)OCC)CCN(C)CC1 ZINC001253603532 849652802 /nfs/dbraw/zinc/65/28/02/849652802.db2.gz XRGSZHBKCRUUNL-NSHDSACASA-N 0 1 256.346 0.150 20 30 CCEDMN C[C@@H](O)CN1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038171703 849807134 /nfs/dbraw/zinc/80/71/34/849807134.db2.gz BLURQDKDBFKOLW-KOLCDFICSA-N 0 1 262.313 0.071 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnc2[nH]cnc2c1 ZINC001038304988 849846319 /nfs/dbraw/zinc/84/63/19/849846319.db2.gz FKEMVXUAGGNUMU-GFCCVEGCSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCn2ccnc2C1 ZINC001038425177 849891795 /nfs/dbraw/zinc/89/17/95/849891795.db2.gz YSFFWRGNZPESNZ-KGLIPLIRSA-N 0 1 286.379 0.659 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1ccc2cncn2c1 ZINC001038520891 849930019 /nfs/dbraw/zinc/93/00/19/849930019.db2.gz SBPSWLBQURUDHX-CYBMUJFWSA-N 0 1 269.308 0.662 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001038598228 849956345 /nfs/dbraw/zinc/95/63/45/849956345.db2.gz SRTIRYCCPVRDCW-JTQLQIEISA-N 0 1 264.329 0.506 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCO[C@H]1C=C ZINC001038714213 849991987 /nfs/dbraw/zinc/99/19/87/849991987.db2.gz KZYRHLOARHOFIM-MCIONIFRSA-N 0 1 262.353 0.791 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001038915002 850079956 /nfs/dbraw/zinc/07/99/56/850079956.db2.gz SCTZHXRONXUBHF-JSGCOSHPSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001038965407 850102915 /nfs/dbraw/zinc/10/29/15/850102915.db2.gz ZRGYKANQQAHMSQ-LLVKDONJSA-N 0 1 271.324 0.490 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001038971431 850104132 /nfs/dbraw/zinc/10/41/32/850104132.db2.gz PFDSXTQWSHGTMJ-ZIAGYGMSSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)[C@@H]1CN(C(C)=O)CCO1 ZINC001038974357 850107280 /nfs/dbraw/zinc/10/72/80/850107280.db2.gz XTMWRNBACRWMCS-KGLIPLIRSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)[C@H]1CN(C(C)=O)CCO1 ZINC001038974359 850108246 /nfs/dbraw/zinc/10/82/46/850108246.db2.gz XTMWRNBACRWMCS-UONOGXRCSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1[nH]cnc1C ZINC001039464132 850195429 /nfs/dbraw/zinc/19/54/29/850195429.db2.gz UPOPXBBBTOVTHY-KGLIPLIRSA-N 0 1 286.379 0.959 20 30 CCEDMN O=C(C#CC1CC1)N1C[C@H]2CCC[C@@H](C1)N2CCCO ZINC001039579119 850217775 /nfs/dbraw/zinc/21/77/75/850217775.db2.gz JJMNXVXRJXFNAA-GASCZTMLSA-N 0 1 276.380 0.848 20 30 CCEDMN C#CCN1CCC[C@]2(CCN(C(=O)c3ncn(C)n3)C2)C1 ZINC001040195623 850279345 /nfs/dbraw/zinc/27/93/45/850279345.db2.gz RETZLEDMRCPVDF-HNNXBMFYSA-N 0 1 287.367 0.376 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)[C@H]3CCC(=O)NC3)C2)C1 ZINC001041431224 850445351 /nfs/dbraw/zinc/44/53/51/850445351.db2.gz JCXJMCXTYVUENB-XJKSGUPXSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)c3cn(C)ccc3=O)C2)C1 ZINC001041464033 850451193 /nfs/dbraw/zinc/45/11/93/850451193.db2.gz NXSGDLHCQCHHOB-QGZVFWFLSA-N 0 1 299.374 0.557 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@]2(CCN([C@@H](C)C(N)=O)C2)C1 ZINC001041539186 850462197 /nfs/dbraw/zinc/46/21/97/850462197.db2.gz DVZREHSWUUYURU-BLLLJJGKSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc4n(n3)CCC4)C[C@@H]21 ZINC001042082155 850574401 /nfs/dbraw/zinc/57/44/01/850574401.db2.gz FLCLVWYSXJATNY-BBRMVZONSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnco3)C[C@@H]21 ZINC001042170673 850587099 /nfs/dbraw/zinc/58/70/99/850587099.db2.gz TVJPGPCDKAIDAL-RYUDHWBXSA-N 0 1 259.309 0.844 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccnnc3)C[C@@H]21 ZINC001042243578 850601757 /nfs/dbraw/zinc/60/17/57/850601757.db2.gz FRKKUUBZURYYHP-OCCSQVGLSA-N 0 1 270.336 0.646 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)CN3CCCC3)C[C@@H]21 ZINC001042358290 850620493 /nfs/dbraw/zinc/62/04/93/850620493.db2.gz QAGGXTLCELTDHL-KBPBESRZSA-N 0 1 276.384 0.529 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1Nc1cnc(C#N)cn1 ZINC001043080226 850809064 /nfs/dbraw/zinc/80/90/64/850809064.db2.gz QTSRFZKOWMCHQQ-BXKDBHETSA-N 0 1 297.322 0.644 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N(C)C1CN(CC#N)C1 ZINC001043384452 850865340 /nfs/dbraw/zinc/86/53/40/850865340.db2.gz DUVWXRPZBQWMRE-YGRLFVJLSA-N 0 1 287.367 0.742 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@@H]2C[C@@H](C)Cc3c[nH]nc32)C1 ZINC001043621053 850911544 /nfs/dbraw/zinc/91/15/44/850911544.db2.gz FTZIHWGDEREPHU-SMDDNHRTSA-N 0 1 286.379 0.851 20 30 CCEDMN CC(C)(C)OC(=O)[C@@H](O)CNC(=N)c1ccc(F)cn1 ZINC001253699890 850962942 /nfs/dbraw/zinc/96/29/42/850962942.db2.gz IRAPNOANQDJMLE-JTQLQIEISA-N 0 1 283.303 0.629 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](NCC#N)C[C@H]1C ZINC001044698829 851145271 /nfs/dbraw/zinc/14/52/71/851145271.db2.gz AAWBKBDPQYYECV-SCZZXKLOSA-N 0 1 262.317 0.219 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccn(C)n2)CC1 ZINC001045640091 851289950 /nfs/dbraw/zinc/28/99/50/851289950.db2.gz CEDXAIDVMHOMEB-UHFFFAOYSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)C2=CCOCC2)C1 ZINC001046263067 851443605 /nfs/dbraw/zinc/44/36/05/851443605.db2.gz BGAFDSCRMLVGAC-OAHLLOKOSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2c(C)ncn2C)C1 ZINC001046397310 851484948 /nfs/dbraw/zinc/48/49/48/851484948.db2.gz LATKRXJHYSGAQJ-CQSZACIVSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001046426630 851495698 /nfs/dbraw/zinc/49/56/98/851495698.db2.gz QVICXBQMWBXOGX-MRXNPFEDSA-N 0 1 285.347 0.613 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001046472289 851517950 /nfs/dbraw/zinc/51/79/50/851517950.db2.gz DTNWWLHVRYEMRO-CQSZACIVSA-N 0 1 286.339 0.733 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cn(C)nc2OC)C1 ZINC001046538380 851538053 /nfs/dbraw/zinc/53/80/53/851538053.db2.gz JWHGKIGNUFDSIC-CQSZACIVSA-N 0 1 278.356 0.809 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001046635088 851573140 /nfs/dbraw/zinc/57/31/40/851573140.db2.gz KQGGCCZWMSFELU-XJKCOSOUSA-N 0 1 286.379 0.737 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cccnn2)C1 ZINC001046722006 851597744 /nfs/dbraw/zinc/59/77/44/851597744.db2.gz NBYFTQZRFHMLKH-CQSZACIVSA-N 0 1 258.325 0.694 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@@H+](CCO)C2)cn1 ZINC001046779640 851611506 /nfs/dbraw/zinc/61/15/06/851611506.db2.gz WSZFXMWKJWTPKQ-HNNXBMFYSA-N 0 1 273.336 0.249 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001046784499 851614682 /nfs/dbraw/zinc/61/46/82/851614682.db2.gz ULFICNAXBRLROC-HOCLYGCPSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CN(CC)CCO2)C1 ZINC001046846381 851628140 /nfs/dbraw/zinc/62/81/40/851628140.db2.gz USUGQCMDROLQMI-GDBMZVCRSA-N 0 1 293.411 0.311 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(CC#N)C3)[nH]n1 ZINC001047048962 851661543 /nfs/dbraw/zinc/66/15/43/851661543.db2.gz CVMVBQCSHSCUEZ-OBJOEFQTSA-N 0 1 287.367 0.753 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cccs2)C1 ZINC001047283005 851696586 /nfs/dbraw/zinc/69/65/86/851696586.db2.gz JZVCPGQRHWSNAQ-STQMWFEESA-N 0 1 280.393 0.980 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2CC(F)(F)C2)C1 ZINC001047340737 851727040 /nfs/dbraw/zinc/72/70/40/851727040.db2.gz VNBJOHQRHDVOBJ-RYUDHWBXSA-N 0 1 286.322 0.559 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccc(F)cn2)C1 ZINC001047433214 851765101 /nfs/dbraw/zinc/76/51/01/851765101.db2.gz DNJVXPLEKCPFMR-KBPBESRZSA-N 0 1 293.342 0.453 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)[C@H]2CN(CCCO)C[C@@H]2O)C1 ZINC001047519728 851798930 /nfs/dbraw/zinc/79/89/30/851798930.db2.gz NTCMZUUMDXOSGO-STQMWFEESA-N 0 1 282.384 0.229 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2OCC[C@H]2C)C1 ZINC001047533974 851803775 /nfs/dbraw/zinc/80/37/75/851803775.db2.gz QJJCFYPSKPYPDW-RFGFWPKPSA-N 0 1 282.384 0.491 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cscn2)C1 ZINC001047554624 851815688 /nfs/dbraw/zinc/81/56/88/851815688.db2.gz GLDMJECTXYPPSE-RYUDHWBXSA-N 0 1 281.381 0.375 20 30 CCEDMN C=CCCC(=O)N(C)[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1O ZINC001048505612 851967528 /nfs/dbraw/zinc/96/75/28/851967528.db2.gz AFJDAGNNCQNXGP-RYUDHWBXSA-N 0 1 292.339 0.020 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccn(C)c1=O)C2 ZINC001096376626 851971657 /nfs/dbraw/zinc/97/16/57/851971657.db2.gz YFWBQAHRTRUUBY-SNPRPXQTSA-N 0 1 299.374 0.744 20 30 CCEDMN N#Cc1cc(C(=O)N2C[C@H]3CN(CCF)C[C@H]3C2)c[nH]1 ZINC001048779224 852055690 /nfs/dbraw/zinc/05/56/90/852055690.db2.gz RBORKHMFDJTRGD-TXEJJXNPSA-N 0 1 276.315 0.860 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)Cn3nccn3)C[C@@H]2C1 ZINC001048842138 852081885 /nfs/dbraw/zinc/08/18/85/852081885.db2.gz UKOIAURHPBXOBI-TXEJJXNPSA-N 0 1 295.774 0.421 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(C)c1OC)C2 ZINC001096442147 852109060 /nfs/dbraw/zinc/10/90/60/852109060.db2.gz IDTDUAJRBOGQBA-WXHSDQCUSA-N 0 1 290.367 0.950 20 30 CCEDMN C#CC[N@H+]1C[C@H]2CN(C(=O)[C@@H]3CCO[C@H]3C=C)C[C@H]2C1 ZINC001049069460 852155494 /nfs/dbraw/zinc/15/54/94/852155494.db2.gz HSSMTOLZDDOCPW-BARDWOONSA-N 0 1 274.364 0.601 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)OC ZINC001049362217 852241100 /nfs/dbraw/zinc/24/11/00/852241100.db2.gz USAHICAAMLHSTK-YNEHKIRRSA-N 0 1 250.342 0.720 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CN(C)CCO1 ZINC001049392253 852259911 /nfs/dbraw/zinc/25/99/11/852259911.db2.gz WTQTUDDJEZITMR-ZNMIVQPWSA-N 0 1 291.395 0.016 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)n1cncn1 ZINC001049426820 852267844 /nfs/dbraw/zinc/26/78/44/852267844.db2.gz AHPNTUQFFFITFY-MGPQQGTHSA-N 0 1 287.367 0.538 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)n1cncn1 ZINC001049426820 852267856 /nfs/dbraw/zinc/26/78/56/852267856.db2.gz AHPNTUQFFFITFY-MGPQQGTHSA-N 0 1 287.367 0.538 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)C[C@H]1COC(=O)C1 ZINC001049428758 852270106 /nfs/dbraw/zinc/27/01/06/852270106.db2.gz VUTHMOVHOUJPIS-MGPQQGTHSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1cncnc1 ZINC001049442580 852275299 /nfs/dbraw/zinc/27/52/99/852275299.db2.gz GNYCDGWSGQMTJT-GJZGRUSLSA-N 0 1 284.363 0.718 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnnn1CC ZINC001049465768 852290175 /nfs/dbraw/zinc/29/01/75/852290175.db2.gz VGHQIMXGTRAQEO-CHWSQXEVSA-N 0 1 287.367 0.610 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C(C)(C)C(N)=O ZINC001049534168 852306056 /nfs/dbraw/zinc/30/60/56/852306056.db2.gz ZBSHHPPBKIZENZ-RYUDHWBXSA-N 0 1 277.368 0.196 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@H]1CCCC(=O)N1 ZINC001049539440 852309204 /nfs/dbraw/zinc/30/92/04/852309204.db2.gz CMOYISNCAUURHZ-RDBSUJKOSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049628198 852322848 /nfs/dbraw/zinc/32/28/48/852322848.db2.gz BATCFDOWEDHYIR-RYUDHWBXSA-N 0 1 273.340 0.425 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCN(C)C1=O ZINC001049651499 852333481 /nfs/dbraw/zinc/33/34/81/852333481.db2.gz IFEVXXYOQFWAKA-BFHYXJOUSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@]1(C)CCC(=O)N1 ZINC001049700202 852353237 /nfs/dbraw/zinc/35/32/37/852353237.db2.gz RXYHTLXHERRRHF-ZENOOKHLSA-N 0 1 289.379 0.354 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)C3=COCCO3)[C@@H]2C1 ZINC001049962966 852408842 /nfs/dbraw/zinc/40/88/42/852408842.db2.gz SNAUBOKJHMUHIK-UONOGXRCSA-N 0 1 290.363 0.821 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccon3)[C@@H]2C1 ZINC001049985787 852413348 /nfs/dbraw/zinc/41/33/48/852413348.db2.gz MKSZDKUMXCPCBB-WCQYABFASA-N 0 1 259.309 0.844 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cc3ccon3)[C@@H]2C1 ZINC001049998503 852416932 /nfs/dbraw/zinc/41/69/32/852416932.db2.gz GZBLRVBZPGXMKB-GXTWGEPZSA-N 0 1 273.336 0.773 20 30 CCEDMN N#Cc1ccc(N[C@H](CNC(=O)c2ncn[nH]2)C2CC2)cn1 ZINC001096705017 852428584 /nfs/dbraw/zinc/42/85/84/852428584.db2.gz GSXNJYUIGXDGHC-GFCCVEGCSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(N[C@H](CNC(=O)c2nc[nH]n2)C2CC2)cn1 ZINC001096705017 852428588 /nfs/dbraw/zinc/42/85/88/852428588.db2.gz GSXNJYUIGXDGHC-GFCCVEGCSA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN1CCCC1=O)C2 ZINC001096782248 852438881 /nfs/dbraw/zinc/43/88/81/852438881.db2.gz XWEBKWSWOZOOMF-UPJWGTAASA-N 0 1 277.368 0.516 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnc3n[nH]nc3c1)C2 ZINC001097234706 852515700 /nfs/dbraw/zinc/51/57/00/852515700.db2.gz UOOZTWZURLOFFK-WZRBSPASSA-N 0 1 298.350 0.874 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc3n(n1)CCO3)C2 ZINC001097228307 852516085 /nfs/dbraw/zinc/51/60/85/852516085.db2.gz DMTIRISRCIMIQB-WZRBSPASSA-N 0 1 288.351 0.797 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nc(CC)c[nH]1)C2 ZINC001097252927 852517324 /nfs/dbraw/zinc/51/73/24/852517324.db2.gz JPVGEFVDPOZXBK-MCIONIFRSA-N 0 1 286.379 0.869 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn[nH]c(=O)c1)C2 ZINC001097280048 852524225 /nfs/dbraw/zinc/52/42/25/852524225.db2.gz PILAOVZYUULKNK-UTUOFQBUSA-N 0 1 272.308 0.151 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCCC(=O)N1)C2 ZINC001097495780 852541611 /nfs/dbraw/zinc/54/16/11/852541611.db2.gz FEMXDKQWGOAVRU-SYQHCUMBSA-N 0 1 291.395 0.953 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CCC(=O)NC1)C2 ZINC001097689881 852576853 /nfs/dbraw/zinc/57/68/53/852576853.db2.gz KIMGQLRRIANOJK-NFFDBFGFSA-N 0 1 291.395 0.810 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ncccc1OC)C2 ZINC001097724724 852591416 /nfs/dbraw/zinc/59/14/16/852591416.db2.gz NXJPQLUJJLIOED-NFAWXSAZSA-N 0 1 299.374 0.987 20 30 CCEDMN C[C@@H](CNC(=O)CCc1nc[nH]n1)Nc1ccc(C#N)cn1 ZINC001097779212 852609957 /nfs/dbraw/zinc/60/99/57/852609957.db2.gz GDQZDLIKWRCNMS-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN Cc1nc(CC(=O)NC[C@@H](C)Nc2ccc(C#N)cn2)n[nH]1 ZINC001097806645 852622804 /nfs/dbraw/zinc/62/28/04/852622804.db2.gz QGEKQLWRUCEJKF-SECBINFHSA-N 0 1 299.338 0.539 20 30 CCEDMN C[C@@H]1CCCN1CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001097847353 852631582 /nfs/dbraw/zinc/63/15/82/852631582.db2.gz YXBPAYGSLDFWBC-SYQHCUMBSA-N 0 1 276.384 0.716 20 30 CCEDMN C=C(Cl)CN1CC2(C1)CN(C(=O)c1ccn[nH]1)CCO2 ZINC001053167105 852698714 /nfs/dbraw/zinc/69/87/14/852698714.db2.gz PKSIGFVIAQYRIO-UHFFFAOYSA-N 0 1 296.758 0.689 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)[C@@H]1CCCN1C)CO2 ZINC001053758460 852807917 /nfs/dbraw/zinc/80/79/17/852807917.db2.gz RPHYQFSLNFAZNO-STQMWFEESA-N 0 1 279.384 0.226 20 30 CCEDMN C=C(Cl)CN1CC2(C1)C[C@@H](NC(=O)c1cnn[nH]1)CO2 ZINC001053857010 852834923 /nfs/dbraw/zinc/83/49/23/852834923.db2.gz SVLNMSUKXVCWRM-SECBINFHSA-N 0 1 297.746 0.130 20 30 CCEDMN CC#CCN1CC2(C1)C[C@@H](NC(=O)CN1CCCC1)CO2 ZINC001054009053 852868545 /nfs/dbraw/zinc/86/85/45/852868545.db2.gz NZACIIALBATXIV-CQSZACIVSA-N 0 1 291.395 0.065 20 30 CCEDMN N#CCN1CC[C@]2(C1)CCCN(C(=O)Cc1ncn[nH]1)C2 ZINC001054226724 852896676 /nfs/dbraw/zinc/89/66/76/852896676.db2.gz XMNXJBLNHYPTGN-AWEZNQCLSA-N 0 1 288.355 0.185 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(=O)[nH]n2)C[C@H]1C ZINC001054358294 852934269 /nfs/dbraw/zinc/93/42/69/852934269.db2.gz CBJYUFMUOSUWFW-KCJUWKMLSA-N 0 1 296.758 0.985 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CS(C)(=O)=O)C[C@H]1C ZINC001054461435 852953766 /nfs/dbraw/zinc/95/37/66/852953766.db2.gz PAEDDOIBCRQVRF-SCZZXKLOSA-N 0 1 294.804 0.220 20 30 CCEDMN CCCc1cc(C(=O)N2C[C@H](NCC#N)[C@@H](C)C2)n[nH]1 ZINC001054959171 853044614 /nfs/dbraw/zinc/04/46/14/853044614.db2.gz HUGVCSKFENNBQZ-GWCFXTLKSA-N 0 1 275.356 0.936 20 30 CCEDMN N#Cc1ccc(N[C@@H]2CCN(C(=O)Cc3ncn[nH]3)C2)cn1 ZINC001056698019 853183600 /nfs/dbraw/zinc/18/36/00/853183600.db2.gz LRCSPOOBYPDSLW-GFCCVEGCSA-N 0 1 297.322 0.327 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](Nc2ncccc2C#N)C1 ZINC001056748165 853200593 /nfs/dbraw/zinc/20/05/93/853200593.db2.gz BHNJIFAYUXNJKM-LLVKDONJSA-N 0 1 297.322 0.706 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccn(C)c(=O)c3)[C@@H]2C1 ZINC001050078681 853309482 /nfs/dbraw/zinc/30/94/82/853309482.db2.gz RZBBWFHBBYIJHL-LSDHHAIUSA-N 0 1 299.374 0.555 20 30 CCEDMN C=C(C)CN1CCOC[C@@H]1CNC(=O)[C@H]1CCCCN1C ZINC001050983732 853500472 /nfs/dbraw/zinc/50/04/72/853500472.db2.gz VKAFXYKINPUXDK-LSDHHAIUSA-N 0 1 295.427 0.864 20 30 CCEDMN N#CCN1CCOC[C@@H]1CNC(=O)c1ccn2cncc2c1 ZINC001051003536 853504090 /nfs/dbraw/zinc/50/40/90/853504090.db2.gz OQDKYJHSCUAZDD-AWEZNQCLSA-N 0 1 299.334 0.288 20 30 CCEDMN C#CCN1CCOC[C@@H]1CNC(=O)[C@H]1CCCCN1CC ZINC001051255551 853555594 /nfs/dbraw/zinc/55/55/94/853555594.db2.gz RTISWFGLEVQWOT-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN CCN1CCN([C@H]2CCN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001051997760 853667862 /nfs/dbraw/zinc/66/78/62/853667862.db2.gz HUCHJFVLEMGLDV-OLZOCXBDSA-N 0 1 264.373 0.384 20 30 CCEDMN N#Cc1cnc(NC2CC(CNC(=O)c3cnn[nH]3)C2)cn1 ZINC001052144402 853693904 /nfs/dbraw/zinc/69/39/04/853693904.db2.gz QRTMYYWNCDQPIY-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN Cc1c(C(=O)N=C2C[C@@H](C)ON2)nn2c1OCCC2 ZINC000827496115 853794682 /nfs/dbraw/zinc/79/46/82/853794682.db2.gz DFRVZNDFAHJKIJ-SSDOTTSWSA-N 0 1 264.285 0.826 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C(F)=C(C)C)C[C@@H]1n1ccnn1 ZINC001070174227 854033246 /nfs/dbraw/zinc/03/32/46/854033246.db2.gz DIEVOYJGHKPGOZ-NEPJUHHUSA-N 0 1 291.330 0.516 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H](NCCF)[C@@H](n2ccnn2)C1 ZINC001070250969 854037282 /nfs/dbraw/zinc/03/72/82/854037282.db2.gz ZKMOUMNZGUKPLC-NEPJUHHUSA-N 0 1 295.362 0.801 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)c2ccon2)C1 ZINC001070398665 854056903 /nfs/dbraw/zinc/05/69/03/854056903.db2.gz WHFLTJMKNXCLJL-NSHDSACASA-N 0 1 299.334 0.750 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)c2ncc[nH]2)C1 ZINC001070407241 854057648 /nfs/dbraw/zinc/05/76/48/854057648.db2.gz AMBXHLCBRGTZPH-NSHDSACASA-N 0 1 298.350 0.485 20 30 CCEDMN C=CCCN1CCOC[C@@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070578152 854077320 /nfs/dbraw/zinc/07/73/20/854077320.db2.gz AXVIDRRMJFHHDJ-LLVKDONJSA-N 0 1 279.344 0.390 20 30 CCEDMN C=CCCN1CCOC[C@@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070578152 854077328 /nfs/dbraw/zinc/07/73/28/854077328.db2.gz AXVIDRRMJFHHDJ-LLVKDONJSA-N 0 1 279.344 0.390 20 30 CCEDMN CC#CCN1C[C@H](OC)C[C@H]1Cn1ccc(NC(C)=O)n1 ZINC001070600378 854079606 /nfs/dbraw/zinc/07/96/06/854079606.db2.gz SXQDDUNAIMHOMR-UONOGXRCSA-N 0 1 290.367 0.954 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)c2cccc(C#CCO)c2)n[nH]1 ZINC000392317524 854087050 /nfs/dbraw/zinc/08/70/50/854087050.db2.gz JVGBBMAEABNRMV-JTQLQIEISA-N 0 1 284.319 0.948 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)C(F)F)C[C@@H](C)O2 ZINC001071117236 854123275 /nfs/dbraw/zinc/12/32/75/854123275.db2.gz SDKSJOWKBFYUAB-MFKMUULPSA-N 0 1 272.295 0.577 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)c1ccc[nH]1)C[C@H](C)O2 ZINC001071136792 854127765 /nfs/dbraw/zinc/12/77/65/854127765.db2.gz PSHQXTVMGNTVQD-XJKSGUPXSA-N 0 1 287.363 0.953 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@H](C)O2 ZINC001071139502 854128618 /nfs/dbraw/zinc/12/86/18/854128618.db2.gz WEJHDXWHRNRGIL-SWLSCSKDSA-N 0 1 288.351 0.348 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)c1nc[nH]n1)C[C@H](C)O2 ZINC001071217876 854137224 /nfs/dbraw/zinc/13/72/24/854137224.db2.gz FLNDZPAWKITNKY-SMDDNHRTSA-N 0 1 291.355 0.296 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CN2CCCC2=O)CC[C@@H]1C ZINC001071337228 854159370 /nfs/dbraw/zinc/15/93/70/854159370.db2.gz AVGZKRMHSFAHRJ-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2nnn(C)n2)CC[C@@H]1C ZINC001071743701 854278473 /nfs/dbraw/zinc/27/84/73/854278473.db2.gz CWZOZMPOOUKDAT-QWRGUYRKSA-N 0 1 278.360 0.369 20 30 CCEDMN C[C@@H]1CC[C@H](NC(=O)C2=NC(=O)N(C)C2)CN1CC#N ZINC001071803018 854297426 /nfs/dbraw/zinc/29/74/26/854297426.db2.gz FQKOZBSHVVAPIP-ZJUUUORDSA-N 0 1 277.328 0.232 20 30 CCEDMN C[C@H]1CC[C@H](NC(=O)Cc2cnc[nH]2)CN1CC#N ZINC001071847089 854307827 /nfs/dbraw/zinc/30/78/27/854307827.db2.gz HUWYRBWFHYNCDP-QWRGUYRKSA-N 0 1 261.329 0.445 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)CC[C@@H]1C ZINC001071940915 854323384 /nfs/dbraw/zinc/32/33/84/854323384.db2.gz AQDNQHFXGWKBSK-CMPLNLGQSA-N 0 1 290.367 0.882 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cn2cncn2)CC[C@@H]1C ZINC001072055289 854342625 /nfs/dbraw/zinc/34/26/25/854342625.db2.gz RTNFHWXQJNTUDT-QWHCGFSZSA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(C)nn3C)C2)C1 ZINC001072520000 854403650 /nfs/dbraw/zinc/40/36/50/854403650.db2.gz UFYCARZGIALRQJ-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3ccon3)C2)C1 ZINC001072563101 854418504 /nfs/dbraw/zinc/41/85/04/854418504.db2.gz GKUJALLVRDNTOS-UHFFFAOYSA-N 0 1 259.309 0.385 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(C)nc3CC)C2)C1 ZINC001072837992 854477434 /nfs/dbraw/zinc/47/74/34/854477434.db2.gz SFQDJLIXDNZRDA-UHFFFAOYSA-N 0 1 286.379 0.764 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(OCC)n[nH]3)C2)C1 ZINC001072844898 854478175 /nfs/dbraw/zinc/47/81/75/854478175.db2.gz UVOAENJVTYQYKN-UHFFFAOYSA-N 0 1 288.351 0.590 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CO[C@H](C)C3)C2)C1 ZINC001072871423 854483502 /nfs/dbraw/zinc/48/35/02/854483502.db2.gz IFYHEZALCPMGTJ-CHWSQXEVSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn4cccnc4n3)C2)C1 ZINC001072894706 854489450 /nfs/dbraw/zinc/48/94/50/854489450.db2.gz DLNACYFTCSFDGJ-UHFFFAOYSA-N 0 1 295.346 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cccc4ncnn43)C2)C1 ZINC001072898882 854490655 /nfs/dbraw/zinc/49/06/55/854490655.db2.gz ZFHNCRBIHLFDQK-UHFFFAOYSA-N 0 1 295.346 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(C(N)=O)co3)C2)C1 ZINC001072972399 854504731 /nfs/dbraw/zinc/50/47/31/854504731.db2.gz YLGRROUIALHPRE-UHFFFAOYSA-N 0 1 287.319 0.160 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3c(C)n[nH]c3C)C2)C1 ZINC001073062654 854522663 /nfs/dbraw/zinc/52/26/63/854522663.db2.gz LHZYIUWJCMAZIK-UHFFFAOYSA-N 0 1 272.352 0.808 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3nccs3)C2)C1 ZINC001073072636 854524482 /nfs/dbraw/zinc/52/44/82/854524482.db2.gz YVSHXZUGJGAYCT-UHFFFAOYSA-N 0 1 261.350 0.924 20 30 CCEDMN C=C(C)CN1CCCO[C@H](CNC(=O)c2csnn2)C1 ZINC001073713604 854633023 /nfs/dbraw/zinc/63/30/23/854633023.db2.gz GBVUZHRPPOUAIZ-LLVKDONJSA-N 0 1 296.396 0.935 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001073772287 854641336 /nfs/dbraw/zinc/64/13/36/854641336.db2.gz KCBOFPBUFYWMQT-MGPQQGTHSA-N 0 1 282.384 0.947 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H]2OCC[C@H]2C)C1 ZINC001073777039 854644006 /nfs/dbraw/zinc/64/40/06/854644006.db2.gz OMAAGCYXKIQARF-ILXRZTDVSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H]2CCO[C@H]2C=C)C1 ZINC001073774430 854644053 /nfs/dbraw/zinc/64/40/53/854644053.db2.gz FPGOKGSPQQIYOJ-ZNMIVQPWSA-N 0 1 294.395 0.971 20 30 CCEDMN C=C(C)CN1CCCO[C@H](CNC(=O)c2nonc2C)C1 ZINC001073911082 854661717 /nfs/dbraw/zinc/66/17/17/854661717.db2.gz GLZGMXQNLTWEDU-GFCCVEGCSA-N 0 1 294.355 0.775 20 30 CCEDMN C#CCN1CCO[C@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001074185162 854690551 /nfs/dbraw/zinc/69/05/51/854690551.db2.gz XTXGFIKYHXTDJS-KGLIPLIRSA-N 0 1 288.351 0.267 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2nnn(C)c2C)C1 ZINC001098771662 854884957 /nfs/dbraw/zinc/88/49/57/854884957.db2.gz VHVNUJYHECEQCC-WFASDCNBSA-N 0 1 287.367 0.341 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)C2=NC(=O)N(C)C2)C1 ZINC001099013834 854905631 /nfs/dbraw/zinc/90/56/31/854905631.db2.gz YLVOYRVGJPZZEN-NHYWBVRUSA-N 0 1 290.367 0.896 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cnon2)C[C@H]1C(F)(F)F ZINC001099323589 854927975 /nfs/dbraw/zinc/92/79/75/854927975.db2.gz WJJIAFJDUKTLLV-VXNVDRBHSA-N 0 1 288.229 0.295 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COC)CC2(CCOCC2)C1 ZINC001099557759 854937234 /nfs/dbraw/zinc/93/72/34/854937234.db2.gz LWFRUPNECDGBTP-ZDUSSCGKSA-N 0 1 280.368 0.253 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COC2CCCC2)[C@H](O)C1 ZINC001099710567 854973054 /nfs/dbraw/zinc/97/30/54/854973054.db2.gz ZGDXZOSWNFWFML-UONOGXRCSA-N 0 1 282.384 0.683 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)C#CC2CC2)[C@H](O)C1 ZINC001099826647 855005687 /nfs/dbraw/zinc/00/56/87/855005687.db2.gz OEFAINGMLCHUOG-LSDHHAIUSA-N 0 1 292.379 0.154 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCOCC(F)F)[C@@H](O)C1 ZINC001099838373 855007481 /nfs/dbraw/zinc/00/74/81/855007481.db2.gz MRFKMHQUYDGRQN-MNOVXSKESA-N 0 1 292.326 0.396 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1CCN(CCO)C[C@H]1O ZINC001099849902 855010279 /nfs/dbraw/zinc/01/02/79/855010279.db2.gz KPOBVBNFHSJATC-GHMZBOCLSA-N 0 1 290.791 0.309 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2nc(C)no2)[C@@H](O)C1 ZINC001099940389 855039078 /nfs/dbraw/zinc/03/90/78/855039078.db2.gz UZCYXPFHQZYRJO-NEPJUHHUSA-N 0 1 294.355 0.048 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCn2ccnc2)[C@@H](O)C1 ZINC001099940022 855039715 /nfs/dbraw/zinc/03/97/15/855039715.db2.gz PHVPKXVHAKVXKC-OLZOCXBDSA-N 0 1 278.356 0.011 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](NC(=O)C2(CC)CC2)[C@H](O)C1 ZINC001099996512 855065152 /nfs/dbraw/zinc/06/51/52/855065152.db2.gz MEVGCUSGGQGPIP-CHWSQXEVSA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(CC)CC2)[C@H](O)C1 ZINC001099996512 855065160 /nfs/dbraw/zinc/06/51/60/855065160.db2.gz MEVGCUSGGQGPIP-CHWSQXEVSA-N 0 1 264.369 0.751 20 30 CCEDMN Cc1cc(CC(=O)NCCN(C)c2cncc(C#N)n2)[nH]n1 ZINC001100023650 855074872 /nfs/dbraw/zinc/07/48/72/855074872.db2.gz RDEJQUMRXABTET-UHFFFAOYSA-N 0 1 299.338 0.175 20 30 CCEDMN CN(CCNC(=O)CCc1c[nH]nn1)c1ccc(C#N)nc1 ZINC001100334545 855150382 /nfs/dbraw/zinc/15/03/82/855150382.db2.gz FCPQMTWGJDHCJZ-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CN(CCNC(=O)CCc1cnn[nH]1)c1ccc(C#N)nc1 ZINC001100334545 855150385 /nfs/dbraw/zinc/15/03/85/855150385.db2.gz FCPQMTWGJDHCJZ-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN Cc1ccc(C#N)c(NCCN(C)C(=O)Cc2ncn[nH]2)n1 ZINC001101772005 855364555 /nfs/dbraw/zinc/36/45/55/855364555.db2.gz NQIBNFNZGBJTLK-UHFFFAOYSA-N 0 1 299.338 0.493 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)/C(C)=C\C)[C@H](C)C1 ZINC001101862358 855382703 /nfs/dbraw/zinc/38/27/03/855382703.db2.gz WQDXRQDEJQSYLR-NGPFNDBQSA-N 0 1 291.395 0.386 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102262933 855422630 /nfs/dbraw/zinc/42/26/30/855422630.db2.gz PWLFKWWHSLQVSQ-JHJVBQTASA-N 0 1 281.400 0.758 20 30 CCEDMN Cc1cc(C(=O)N2C[C@@H](C)[C@H](CCNCC#N)C2)n[nH]1 ZINC001103942825 855554369 /nfs/dbraw/zinc/55/43/69/855554369.db2.gz RYXLUTQEMGPTKB-ZYHUDNBSSA-N 0 1 275.356 0.930 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)COCCCOC)C1 ZINC001111931579 855606117 /nfs/dbraw/zinc/60/61/17/855606117.db2.gz MRLVPAFOACLIMW-HOCLYGCPSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCN(C(=O)C(=O)N1CCNC(C)(C)C1)C(C)C ZINC001118059091 856149999 /nfs/dbraw/zinc/14/99/99/856149999.db2.gz NZYKQSZBHXCKJD-UHFFFAOYSA-N 0 1 267.373 0.620 20 30 CCEDMN C#CCOCCC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001118383396 856284046 /nfs/dbraw/zinc/28/40/46/856284046.db2.gz YGDYSAPOKCNGSF-SNVBAGLBSA-N 0 1 278.312 0.312 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N(C)CC1CN(C)C1 ZINC001118800418 856447096 /nfs/dbraw/zinc/44/70/96/856447096.db2.gz ROBQYIYVNMZPRL-UHFFFAOYSA-N 0 1 253.346 0.041 20 30 CCEDMN C=CCn1nnnc1N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC001119642745 856802114 /nfs/dbraw/zinc/80/21/14/856802114.db2.gz DZHSYRIZAJELIJ-LLVKDONJSA-N 0 1 280.376 0.141 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@@H]2CCC3(COC3)O2)C1 ZINC001119795957 856880015 /nfs/dbraw/zinc/88/00/15/856880015.db2.gz GAWXVOPVAPOYKQ-NWDGAFQWSA-N 0 1 252.314 0.285 20 30 CCEDMN C#CC1(O)CN(C(=O)NC[C@@H](c2ccsc2)N(C)C)C1 ZINC001120015431 856963206 /nfs/dbraw/zinc/96/32/06/856963206.db2.gz WEUDZFANJLVKCC-LBPRGKRZSA-N 0 1 293.392 0.740 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1COCCO1 ZINC001393034009 912342643 /nfs/dbraw/zinc/34/26/43/912342643.db2.gz VKMRKPFHOYLIQL-NWDGAFQWSA-N 0 1 288.775 0.735 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1CC(=O)N(C)C1 ZINC001393050208 912355445 /nfs/dbraw/zinc/35/54/45/912355445.db2.gz WVIFPIAMWGYNJO-RYUDHWBXSA-N 0 1 299.802 0.798 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(C)(O)CC2)CC1 ZINC001323840655 912541394 /nfs/dbraw/zinc/54/13/94/912541394.db2.gz LDGRRGSCWYXYOQ-UHFFFAOYSA-N 0 1 264.369 0.705 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)CCn2ccnn2)C1 ZINC001323909342 912578264 /nfs/dbraw/zinc/57/82/64/912578264.db2.gz CNSPJFWHWWVCMK-UHFFFAOYSA-N 0 1 277.372 0.777 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCN(C)CC(=O)NC(C)(C)C ZINC001393612926 912682791 /nfs/dbraw/zinc/68/27/91/912682791.db2.gz LAMLCRNZEXUAKT-LBPRGKRZSA-N 0 1 296.415 0.841 20 30 CCEDMN C#CCNC(=O)CCN1CCC[C@@H](S(C)(=O)=O)CC1 ZINC001324267984 912749422 /nfs/dbraw/zinc/74/94/22/912749422.db2.gz UJCMRWVZZLXXPD-GFCCVEGCSA-N 0 1 286.397 0.025 20 30 CCEDMN C#CCN(C(=O)c1cnn(C)c1N)C1CCN(CC#C)CC1 ZINC001324384354 912814229 /nfs/dbraw/zinc/81/42/29/912814229.db2.gz GSQVJVVIVNHTDL-UHFFFAOYSA-N 0 1 299.378 0.175 20 30 CCEDMN CC1(CNC(=O)c2nnc[nH]2)CCN(CC#N)CC1 ZINC001324892127 913083879 /nfs/dbraw/zinc/08/38/79/913083879.db2.gz IRZYJKSYAIDOFN-UHFFFAOYSA-N 0 1 262.317 0.160 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@]1(C)CCN(C(=O)CN2CCCC2)C1 ZINC001394324779 913129329 /nfs/dbraw/zinc/12/93/29/913129329.db2.gz OWSFUGDSWBGLGL-SWLSCSKDSA-N 0 1 292.383 0.349 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@]2(O)CCN(CC#CC)C2)C1 ZINC001325125330 913234088 /nfs/dbraw/zinc/23/40/88/913234088.db2.gz CWULLBPPNZUEPI-MRXNPFEDSA-N 0 1 276.380 0.919 20 30 CCEDMN CC(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2CC(=O)NCC#N ZINC001325598504 913484819 /nfs/dbraw/zinc/48/48/19/913484819.db2.gz LRFPNWNOBMZSGW-CLLJXQQHSA-N 0 1 278.356 0.005 20 30 CCEDMN C=CC[C@@H]1CCN1C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000890550014 891418048 /nfs/dbraw/zinc/41/80/48/891418048.db2.gz RXQIKTADDUFJAB-CHWSQXEVSA-N 0 1 250.342 0.884 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)N(C)C(=O)CCc1cnc[nH]1 ZINC001395162491 913570591 /nfs/dbraw/zinc/57/05/91/913570591.db2.gz VAEZFQPMOKVXPF-MNOVXSKESA-N 0 1 291.355 0.465 20 30 CCEDMN CCCN(CCNC(=O)C#CC1CC1)[C@@H]1CCNC1=O ZINC001493289194 891809509 /nfs/dbraw/zinc/80/95/09/891809509.db2.gz NXLVJPOZSSBZQY-CYBMUJFWSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@H]1CCCOC1 ZINC001480947768 891925332 /nfs/dbraw/zinc/92/53/32/891925332.db2.gz ILNTYRVNQSBLJJ-CYBMUJFWSA-N 0 1 252.358 0.874 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)CC[C@H]1CCOC1 ZINC001480969530 891996023 /nfs/dbraw/zinc/99/60/23/891996023.db2.gz IONIIKGTRFEYCN-HNNXBMFYSA-N 0 1 296.411 0.891 20 30 CCEDMN C=C1CC(C)(C(=O)NCCN(CC)[C@H]2CCNC2=O)C1 ZINC001480999119 892036326 /nfs/dbraw/zinc/03/63/26/892036326.db2.gz KARUIDSNQTWQSZ-LBPRGKRZSA-N 0 1 279.384 0.669 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H]1CCOC[C@H]1C ZINC001480999409 892037980 /nfs/dbraw/zinc/03/79/80/892037980.db2.gz RPIIAJLXVRILAY-CABCVRRESA-N 0 1 296.411 0.747 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCc1nc(C)no1 ZINC001481049951 892113527 /nfs/dbraw/zinc/11/35/27/892113527.db2.gz IYTFMWCEQBEZNF-LBPRGKRZSA-N 0 1 276.340 0.524 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CNC(=O)c1ccccc1 ZINC001481066521 892126347 /nfs/dbraw/zinc/12/63/47/892126347.db2.gz FZVNZRPLLUOMPF-HNNXBMFYSA-N 0 1 299.374 0.630 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001481073246 892128972 /nfs/dbraw/zinc/12/89/72/892128972.db2.gz ITAKDHFUGYEIAM-CZUORRHYSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)[C@@H](C)CCC)C1 ZINC001481082290 892140963 /nfs/dbraw/zinc/14/09/63/892140963.db2.gz CNYAAQYTRPQFAK-KBPBESRZSA-N 0 1 293.411 0.610 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H]1CCN([C@@H](C)C(=O)NC)C1 ZINC001481107015 892170482 /nfs/dbraw/zinc/17/04/82/892170482.db2.gz VFNDUTDSRSPJPK-KBPBESRZSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCCCC(=O)NC[C@@H]1CCN(CC(=O)NCC)C1 ZINC001481107466 892171226 /nfs/dbraw/zinc/17/12/26/892171226.db2.gz CAXWPHJMDWKZNF-ZDUSSCGKSA-N 0 1 281.400 0.917 20 30 CCEDMN CC#CCN(CCNC(=O)c1nccnc1N)C1CC1 ZINC001481162938 892257383 /nfs/dbraw/zinc/25/73/83/892257383.db2.gz BPUAVXMGVYQABK-UHFFFAOYSA-N 0 1 273.340 0.276 20 30 CCEDMN CC#CCN(CCNC(=O)C[C@@H]1CCC(=O)NC1)C1CC1 ZINC001481172867 892269157 /nfs/dbraw/zinc/26/91/57/892269157.db2.gz KDEJBTGWCOXQFI-ZDUSSCGKSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN(CCNC(=O)c1ccnc2ccnn21)C1CC1 ZINC001481173809 892270861 /nfs/dbraw/zinc/27/08/61/892270861.db2.gz QOGZXBMTGYOQLK-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN CN(CCNCC#Cc1ccccc1)C(=O)c1cnn(C)n1 ZINC001481291104 892532444 /nfs/dbraw/zinc/53/24/44/892532444.db2.gz DHEBJURVKHJBIQ-UHFFFAOYSA-N 0 1 297.362 0.528 20 30 CCEDMN C=CCCCN1CC(NC(=O)CCc2nnc[nH]2)C1 ZINC001481552452 892818627 /nfs/dbraw/zinc/81/86/27/892818627.db2.gz QLKJZQIVFFHVPJ-UHFFFAOYSA-N 0 1 263.345 0.504 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CN(C)C(=O)C1CC1 ZINC001481672061 892991159 /nfs/dbraw/zinc/99/11/59/892991159.db2.gz WJDLWGFGMQXPLG-KBPBESRZSA-N 0 1 291.395 0.716 20 30 CCEDMN C=CCOCC(=O)N(C)[C@H]1CC[N@@H+](CCn2cccn2)C1 ZINC001481723105 893096998 /nfs/dbraw/zinc/09/69/98/893096998.db2.gz ZVNBQMXTIZEWCR-AWEZNQCLSA-N 0 1 292.383 0.618 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H](NC(C)=O)[C@@H](C)CC)C1 ZINC001481752791 893113792 /nfs/dbraw/zinc/11/37/92/893113792.db2.gz JASMIAJZEXMONP-QEJZJMRPSA-N 0 1 293.411 0.703 20 30 CCEDMN C[C@H]1CNCCN1C(=O)C(=O)N1CCc2ccc(C#N)cc21 ZINC001326088015 913743898 /nfs/dbraw/zinc/74/38/98/913743898.db2.gz NRONYTIDGTYXMT-NSHDSACASA-N 0 1 298.346 0.268 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1CC[C@H](CNCc2cnon2)C1 ZINC001284173740 893329590 /nfs/dbraw/zinc/32/95/90/893329590.db2.gz KQOWEDGFFVQXIQ-STQMWFEESA-N 0 1 288.351 0.858 20 30 CCEDMN CC(C)C#CC(=O)N1CCC2(CCN(CC(N)=O)C2)CC1 ZINC001482068834 893352101 /nfs/dbraw/zinc/35/21/01/893352101.db2.gz IDDWNBSECPXTRT-UHFFFAOYSA-N 0 1 291.395 0.446 20 30 CCEDMN COC(=O)C1(C#N)CCN(CCc2c[nH]nn2)CC1 ZINC001328412691 915177947 /nfs/dbraw/zinc/17/79/47/915177947.db2.gz LEHSPBUAFXVIFY-UHFFFAOYSA-N 0 1 263.301 0.126 20 30 CCEDMN C[C@H](C#N)C(=O)NCC=CCNC(=O)c1[nH]ncc1F ZINC001285609943 894006887 /nfs/dbraw/zinc/00/68/87/894006887.db2.gz PCHJMUQTCYHMPZ-UFUPEUMYSA-N 0 1 279.275 0.111 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CCc1cn(C)nn1 ZINC001482653778 894446497 /nfs/dbraw/zinc/44/64/97/894446497.db2.gz SGTHOQJDZRQVJV-UHFFFAOYSA-N 0 1 277.372 0.161 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](C)OC[C@@H]1CCCCO1 ZINC001482652707 894446630 /nfs/dbraw/zinc/44/66/30/894446630.db2.gz BAEVOHJGZYCREF-CABCVRRESA-N 0 1 296.411 0.984 20 30 CCEDMN N#CCNC[C@H]1CCC[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC001482698133 894495161 /nfs/dbraw/zinc/49/51/61/894495161.db2.gz OIWWYWLUABVEIO-NEPJUHHUSA-N 0 1 298.350 0.970 20 30 CCEDMN CS(=O)(=O)CCCNCc1cnc2c(C#N)cnn2c1 ZINC001326311485 913883364 /nfs/dbraw/zinc/88/33/64/913883364.db2.gz ZXLTZPVHYVGXBY-UHFFFAOYSA-N 0 1 293.352 0.125 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)CN(C)C(C)=O ZINC001482984035 894757994 /nfs/dbraw/zinc/75/79/94/894757994.db2.gz AEQOJDAAZKVOIQ-PLNGDYQASA-N 0 1 273.764 0.479 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001496817409 894864321 /nfs/dbraw/zinc/86/43/21/894864321.db2.gz ZGIHEIIRDSYGKD-LBPRGKRZSA-N 0 1 274.368 0.538 20 30 CCEDMN N#CCNCC=CCNC(=O)c1nnc2ccccc2c1O ZINC001483066384 894870716 /nfs/dbraw/zinc/87/07/16/894870716.db2.gz VHXUIKOTWKUVOR-ONEGZZNKSA-N 0 1 297.318 0.735 20 30 CCEDMN CNc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC001326375147 913921874 /nfs/dbraw/zinc/92/18/74/913921874.db2.gz HILQTFUYTLMCEL-PRHODGIISA-N 0 1 289.295 0.478 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ccn[nH]1 ZINC001396698166 913930922 /nfs/dbraw/zinc/93/09/22/913930922.db2.gz OEUVMQIHBNOZBA-IQIPOGNMSA-N 0 1 287.323 0.431 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CNCc1cc2n(n1)CCCC2 ZINC001483215504 895122678 /nfs/dbraw/zinc/12/26/78/895122678.db2.gz QSZNYGKUOYDTHM-RYUDHWBXSA-N 0 1 289.383 0.973 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCCNC(=O)C1CC1 ZINC001483346272 895483909 /nfs/dbraw/zinc/48/39/09/895483909.db2.gz UAXSHIDEQAKVOL-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnc2n[nH]nc2c1 ZINC001483346879 895485526 /nfs/dbraw/zinc/48/55/26/895485526.db2.gz GLRFRZXAJBVPRH-VIFPVBQESA-N 0 1 272.312 0.036 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc(C(N)=O)o1 ZINC001483364438 895501287 /nfs/dbraw/zinc/50/12/87/895501287.db2.gz INJVDLOXVWIQMT-JTQLQIEISA-N 0 1 277.324 0.452 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1cc(F)c[nH]1 ZINC001483363927 895501894 /nfs/dbraw/zinc/50/18/94/895501894.db2.gz NRXDRWIIZFBYBN-NSHDSACASA-N 0 1 281.331 0.854 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)[C@]1(F)CCOC1 ZINC001483375769 895518319 /nfs/dbraw/zinc/51/83/19/895518319.db2.gz AGEWUMKJLCMLRK-JSGCOSHPSA-N 0 1 286.347 0.201 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)COCc1ccncc1 ZINC001483402204 895543598 /nfs/dbraw/zinc/54/35/98/895543598.db2.gz VBWORBZPOXDVJB-CYBMUJFWSA-N 0 1 275.352 0.668 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1c(C)nn(C)c1F ZINC001483416802 895550552 /nfs/dbraw/zinc/55/05/52/895550552.db2.gz XRIRBGGQKMVBPS-SECBINFHSA-N 0 1 266.320 0.551 20 30 CCEDMN COCCCN1CC[C@@H]1CNC(=O)C#CC1CC1 ZINC001483646569 895792465 /nfs/dbraw/zinc/79/24/65/895792465.db2.gz CKOTVYKFTZTCDY-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCCCC(=O)NC[C@H]1CCN1C[C@H](O)COC ZINC001483671647 895828786 /nfs/dbraw/zinc/82/87/86/895828786.db2.gz CBCRGMSIMTUAEQ-KGLIPLIRSA-N 0 1 282.384 0.378 20 30 CCEDMN CCc1nc([C@H](C)NC2(CNC(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001483723696 895918642 /nfs/dbraw/zinc/91/86/42/895918642.db2.gz VKNUPBDMQNJPQF-UWVGGRQHSA-N 0 1 290.371 0.826 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)CNC(C)=O)C1 ZINC001483850647 896074111 /nfs/dbraw/zinc/07/41/11/896074111.db2.gz LOIPDWAJICTRRZ-UHFFFAOYSA-N 0 1 253.346 0.231 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)C2=COCCO2)C1 ZINC001483850378 896071171 /nfs/dbraw/zinc/07/11/71/896071171.db2.gz BAUFZYKMFJVGFD-UHFFFAOYSA-N 0 1 266.341 0.983 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001483888049 896106678 /nfs/dbraw/zinc/10/66/78/896106678.db2.gz BLNQZBYGEXRJGC-CYBMUJFWSA-N 0 1 296.415 0.932 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)Cc2[nH]cnc2C)CC1 ZINC001483974698 896138043 /nfs/dbraw/zinc/13/80/43/896138043.db2.gz OEVRRNOLSJDLPD-UHFFFAOYSA-N 0 1 274.368 0.865 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001484047387 896176094 /nfs/dbraw/zinc/17/60/94/896176094.db2.gz BEMCVTVAVSDYDC-CZUORRHYSA-N 0 1 291.395 0.507 20 30 CCEDMN CCCCCC(=O)NC[C@@]1(O)CC[N@@H+](CC#CCOC)C1 ZINC001484204529 896232220 /nfs/dbraw/zinc/23/22/20/896232220.db2.gz YRPVPTRBDQOKPS-INIZCTEOSA-N 0 1 296.411 0.770 20 30 CCEDMN CCCCCC(=O)NC[C@@]1(O)CCN(CC#CCOC)C1 ZINC001484204529 896232235 /nfs/dbraw/zinc/23/22/35/896232235.db2.gz YRPVPTRBDQOKPS-INIZCTEOSA-N 0 1 296.411 0.770 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)/C=C(/C)CC)C1 ZINC001484217663 896245397 /nfs/dbraw/zinc/24/53/97/896245397.db2.gz DXCRJYTXMRQKRV-WVUXNXBLSA-N 0 1 264.369 0.919 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H](C)CCCC)C1 ZINC001484214709 896247361 /nfs/dbraw/zinc/24/73/61/896247361.db2.gz AKDJLRHIKYBRIV-ZFWWWQNUSA-N 0 1 266.385 0.999 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2(c3ccccn3)CC2)C1 ZINC001484216873 896250520 /nfs/dbraw/zinc/25/05/20/896250520.db2.gz OWUXZFCPODVAAQ-MRXNPFEDSA-N 0 1 299.374 0.299 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C#CC(C)(C)C)C1 ZINC001484219193 896257601 /nfs/dbraw/zinc/25/76/01/896257601.db2.gz VCHUERQIEODWGQ-INIZCTEOSA-N 0 1 276.380 0.612 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001484234352 896268893 /nfs/dbraw/zinc/26/88/93/896268893.db2.gz UCZPFOQMSLUOKW-JQWIXIFHSA-N 0 1 292.301 0.761 20 30 CCEDMN CC(C)C[C@@H](C)CN1CC(O)(CNC(=O)[C@H](C)C#N)C1 ZINC001484264657 896294280 /nfs/dbraw/zinc/29/42/80/896294280.db2.gz QVXNNXNHYHIPDC-CHWSQXEVSA-N 0 1 281.400 0.991 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]([C@@H](C)NCc2nnnn2C)C1 ZINC001484331620 896348669 /nfs/dbraw/zinc/34/86/69/896348669.db2.gz LAQWMIKBZGPKSQ-VXGBXAGGSA-N 0 1 292.387 0.503 20 30 CCEDMN CCO[C@H]1C[C@@H]1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001484416186 896386917 /nfs/dbraw/zinc/38/69/17/896386917.db2.gz FADZOYLFUQWGTN-KBPBESRZSA-N 0 1 294.399 0.105 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)COCC2CC2)C1 ZINC001484427223 896392926 /nfs/dbraw/zinc/39/29/26/896392926.db2.gz BRZVIJJZARTQOS-MRXNPFEDSA-N 0 1 294.395 0.379 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1ccn(C)c1 ZINC001484480584 896433247 /nfs/dbraw/zinc/43/32/47/896433247.db2.gz LNXCXRQQDMQGGB-UHFFFAOYSA-N 0 1 285.775 0.802 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](C)OCC ZINC001485047220 896732928 /nfs/dbraw/zinc/73/29/28/896732928.db2.gz JEQGEDWDXFFWAY-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C(C)(C)NC(C)=O ZINC001485072809 896757202 /nfs/dbraw/zinc/75/72/02/896757202.db2.gz ISFLIEPYUYEOTA-AWEZNQCLSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)[N@@H+](C)[C@H]1CCC(=O)NC1=O ZINC001485296847 896924733 /nfs/dbraw/zinc/92/47/33/896924733.db2.gz FEBCVYYRKMQYQU-RYUDHWBXSA-N 0 1 293.367 0.032 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)N(C)[C@H]1CCC(=O)NC1=O ZINC001485296847 896924740 /nfs/dbraw/zinc/92/47/40/896924740.db2.gz FEBCVYYRKMQYQU-RYUDHWBXSA-N 0 1 293.367 0.032 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001397249995 914113639 /nfs/dbraw/zinc/11/36/39/914113639.db2.gz BTFSRJJHBCXOIU-YFKTTZPYSA-N 0 1 278.356 0.004 20 30 CCEDMN CCCC[C@@H](CNCC#N)NC(=O)CN1CCCC1 ZINC001485471722 897063311 /nfs/dbraw/zinc/06/33/11/897063311.db2.gz MCLFOBOEZKMWMF-ZDUSSCGKSA-N 0 1 266.389 0.870 20 30 CCEDMN CCn1ncc(CNC[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001485679710 897176062 /nfs/dbraw/zinc/17/60/62/897176062.db2.gz YJAXINSVEHZUSG-SRVKXCTJSA-N 0 1 290.371 0.442 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001077741948 897390019 /nfs/dbraw/zinc/39/00/19/897390019.db2.gz FJAPIRQGVBTGHA-JTQLQIEISA-N 0 1 293.371 0.570 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@]1(C)CN(CCC=C)CCO1 ZINC001107939377 897527641 /nfs/dbraw/zinc/52/76/41/897527641.db2.gz AJVVHSZMYLCMSA-HOCLYGCPSA-N 0 1 294.395 0.808 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCC(=O)NC1 ZINC001032441539 897575393 /nfs/dbraw/zinc/57/53/93/897575393.db2.gz RDYNBYRZBRYIMV-RDBSUJKOSA-N 0 1 291.395 0.764 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCC(=O)NC1 ZINC001032441539 897575400 /nfs/dbraw/zinc/57/54/00/897575400.db2.gz RDYNBYRZBRYIMV-RDBSUJKOSA-N 0 1 291.395 0.764 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCNC1=O ZINC001032458438 897590116 /nfs/dbraw/zinc/59/01/16/897590116.db2.gz GUPMPRPQWUMEAN-AVGNSLFASA-N 0 1 277.368 0.374 20 30 CCEDMN CC(C)CC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NCCC#N ZINC000356045775 897748109 /nfs/dbraw/zinc/74/81/09/897748109.db2.gz AZZPIRVXYNTVPX-LBPRGKRZSA-N 0 1 291.355 0.513 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001032592529 897792156 /nfs/dbraw/zinc/79/21/56/897792156.db2.gz OTNTUGOMJUITEN-RWMBFGLXSA-N 0 1 299.378 0.533 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3cnccc3C)C2)OCC1=O ZINC001272815675 897910407 /nfs/dbraw/zinc/91/04/07/897910407.db2.gz PLUMUNLCHXZYDB-KRWDZBQOSA-N 0 1 299.374 0.827 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cncc(Cl)c2)C1 ZINC001077836705 897962774 /nfs/dbraw/zinc/96/27/74/897962774.db2.gz WJBBNLSUIQUOEZ-CHWSQXEVSA-N 0 1 293.754 0.533 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001077986294 898148485 /nfs/dbraw/zinc/14/84/85/898148485.db2.gz WQFNIMIBLOMAPU-HUUCEWRRSA-N 0 1 287.363 0.302 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc3c(c2)CCC3)C1 ZINC001078160080 898276237 /nfs/dbraw/zinc/27/62/37/898276237.db2.gz IOQXHJFBWCSIAP-IAGOWNOFSA-N 0 1 298.386 0.974 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)COCCOC)[C@@H]1C ZINC001486169856 898693989 /nfs/dbraw/zinc/69/39/89/898693989.db2.gz CXOSYJSBNAUSIG-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1occ2c1CCC2 ZINC001486344792 898823241 /nfs/dbraw/zinc/82/32/41/898823241.db2.gz WLVPRATVKKOTGY-CYBMUJFWSA-N 0 1 290.363 0.766 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1cocc1C ZINC001486345561 898827222 /nfs/dbraw/zinc/82/72/22/898827222.db2.gz SVHZPACEYSELLM-ZDUSSCGKSA-N 0 1 278.352 0.976 20 30 CCEDMN C=CCCC(=O)N[C@H](CO)CNC(=O)c1[nH]nc(C)c1C ZINC001287754517 898846431 /nfs/dbraw/zinc/84/64/31/898846431.db2.gz IFSHRXWUIHLGGK-NSHDSACASA-N 0 1 294.355 0.200 20 30 CCEDMN C=CCN(CCNC(=O)c1cncnc1C)CCOC ZINC001486426597 898867091 /nfs/dbraw/zinc/86/70/91/898867091.db2.gz SEICNMLFHCDXQY-UHFFFAOYSA-N 0 1 278.356 0.649 20 30 CCEDMN C=CCN(CCNC(=O)c1nonc1C)CCOC ZINC001486433434 898872735 /nfs/dbraw/zinc/87/27/35/898872735.db2.gz CAIGFRZJJXUSCQ-UHFFFAOYSA-N 0 1 268.317 0.242 20 30 CCEDMN C=C(Cl)CNC1CC(N(C)C(=O)CS(C)(=O)=O)C1 ZINC001486456595 898886790 /nfs/dbraw/zinc/88/67/90/898886790.db2.gz SCJNEUQSQDXMQQ-UHFFFAOYSA-N 0 1 294.804 0.363 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@H](C)NC(=O)C#CC(C)(C)C ZINC001486698763 898963564 /nfs/dbraw/zinc/96/35/64/898963564.db2.gz PFDJXJIFSDCDPT-VHSXEESVSA-N 0 1 291.355 0.477 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@H](C)NC(=O)C#CC(C)(C)C ZINC001486698763 898963571 /nfs/dbraw/zinc/96/35/71/898963571.db2.gz PFDJXJIFSDCDPT-VHSXEESVSA-N 0 1 291.355 0.477 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1c[nH]c(=O)n1C ZINC001493654752 899150470 /nfs/dbraw/zinc/15/04/70/899150470.db2.gz KTBWGWCAQKPAAO-UHFFFAOYSA-N 0 1 272.736 0.540 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001323982001 899560702 /nfs/dbraw/zinc/56/07/02/899560702.db2.gz UWAUROCLYHASIA-HNNXBMFYSA-N 0 1 279.384 0.621 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@@H]1CC(=O)N(CC2CC2)C1 ZINC001182455159 899998925 /nfs/dbraw/zinc/99/89/25/899998925.db2.gz RKWCXRCYSRNCKM-SECBINFHSA-N 0 1 273.296 0.478 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@H](N(C)[C@H](C)C(=O)NC)C1 ZINC001191868753 900021656 /nfs/dbraw/zinc/02/16/56/900021656.db2.gz ZGQIXMKJXYABNY-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CC2CC(F)(F)C2)C[C@H]1O ZINC001191872747 900022357 /nfs/dbraw/zinc/02/23/57/900022357.db2.gz NVVVANDQXAVZGJ-JMJZKYOTSA-N 0 1 287.310 0.353 20 30 CCEDMN Cc1nc2c(C)cc(NS(=O)(=O)[C@H](C)C#N)cn2n1 ZINC001193107654 900034117 /nfs/dbraw/zinc/03/41/17/900034117.db2.gz ZGWQEZIUNALPOE-MRVPVSSYSA-N 0 1 279.325 1.000 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc[nH]c1 ZINC001114311114 900058647 /nfs/dbraw/zinc/05/86/47/900058647.db2.gz HZKMTOKZTHCIJE-WDNDVIMCSA-N 0 1 273.336 0.325 20 30 CCEDMN C=CCCC(=O)NC[C@H]1C[C@@H]([NH2+]Cc2nc(=O)n(C)[n-]2)C1 ZINC001488717600 900350709 /nfs/dbraw/zinc/35/07/09/900350709.db2.gz TXKIVMISXMWJDQ-PHIMTYICSA-N 0 1 293.371 0.059 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)CCCC(N)=O ZINC001488703358 900363283 /nfs/dbraw/zinc/36/32/83/900363283.db2.gz QCWDGJYBICPXJW-LBPRGKRZSA-N 0 1 267.373 0.751 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCCC(N)=O ZINC001488703358 900363288 /nfs/dbraw/zinc/36/32/88/900363288.db2.gz QCWDGJYBICPXJW-LBPRGKRZSA-N 0 1 267.373 0.751 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001489142251 900439152 /nfs/dbraw/zinc/43/91/52/900439152.db2.gz BRQGBZNRHDZGFE-UWVGGRQHSA-N 0 1 292.343 0.369 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001489142251 900439154 /nfs/dbraw/zinc/43/91/54/900439154.db2.gz BRQGBZNRHDZGFE-UWVGGRQHSA-N 0 1 292.343 0.369 20 30 CCEDMN CN(C[C@@H]1CCN1C(=O)c1ccn[nH]1)C(=O)C#CC1CC1 ZINC001489740579 900504107 /nfs/dbraw/zinc/50/41/07/900504107.db2.gz KZLWHWIBFLVKOU-LBPRGKRZSA-N 0 1 286.335 0.496 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2cc(C)on2)CC1 ZINC001490480911 900637300 /nfs/dbraw/zinc/63/73/00/900637300.db2.gz OUHRCEVCUWSGTM-UHFFFAOYSA-N 0 1 292.383 0.907 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCN1CCN(CCO)CC1 ZINC001490506518 900655129 /nfs/dbraw/zinc/65/51/29/900655129.db2.gz OKEGMDWAZZFXQM-UHFFFAOYSA-N 0 1 283.416 0.315 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)c1[nH]nnc1C)C1CC1 ZINC001490692171 900706985 /nfs/dbraw/zinc/70/69/85/900706985.db2.gz AXIHYONPODKYFW-SNVBAGLBSA-N 0 1 283.763 0.964 20 30 CCEDMN CC(C)(C)NC(=O)CCNC(O)=C1N=CC=CC1=O ZINC001318594498 900857826 /nfs/dbraw/zinc/85/78/26/900857826.db2.gz YMPWZEACJSQBIJ-VAWYXSNFSA-N 0 1 265.313 0.818 20 30 CCEDMN C=CCOCC(=O)N(C)[C@H](C)CNCc1nccnc1C ZINC001319431007 900882455 /nfs/dbraw/zinc/88/24/55/900882455.db2.gz REHPTFRODOWMBU-GFCCVEGCSA-N 0 1 292.383 0.924 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](CCC(C)C)NC(C)=O ZINC001320093973 900914611 /nfs/dbraw/zinc/91/46/11/900914611.db2.gz BSTPHYGNXMKMDP-OAHLLOKOSA-N 0 1 295.427 0.951 20 30 CCEDMN C#Cc1ccccc1CC(=O)Nc1nn[nH]c1C(=O)NC ZINC001275431242 901056295 /nfs/dbraw/zinc/05/62/95/901056295.db2.gz GBARTKTWYHCBJS-UHFFFAOYSA-N 0 1 283.291 0.327 20 30 CCEDMN CC(C)c1cc(C(=O)N(C)CCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001398016564 914502642 /nfs/dbraw/zinc/50/26/42/914502642.db2.gz STQXMXHVRHHNBW-SNVBAGLBSA-N 0 1 291.355 0.881 20 30 CCEDMN N#CCc1ccsc1C(=O)Nc1nn[nH]c1C(N)=O ZINC001412080949 901455274 /nfs/dbraw/zinc/45/52/74/901455274.db2.gz DAOKEUMBRKEXQV-UHFFFAOYSA-N 0 1 276.281 0.283 20 30 CCEDMN C[C@H]1CC[N@@H+](CC(=O)N2CCN(C3CC3)[C@@H](C#N)C2)C1 ZINC001412454861 901734203 /nfs/dbraw/zinc/73/42/03/901734203.db2.gz NKPYWCDHALUZAS-JSGCOSHPSA-N 0 1 276.384 0.527 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2nnc([C@@H]3CCOC3)[nH]2)[nH]1 ZINC001412865835 902312816 /nfs/dbraw/zinc/31/28/16/902312816.db2.gz XNAPAKLGCQEMAY-MRVPVSSYSA-N 0 1 286.295 0.438 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2n[nH]c([C@@H]3CCOC3)n2)[nH]1 ZINC001412865835 902312829 /nfs/dbraw/zinc/31/28/29/902312829.db2.gz XNAPAKLGCQEMAY-MRVPVSSYSA-N 0 1 286.295 0.438 20 30 CCEDMN CC(C)[N@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C1COC1 ZINC001412996667 902453112 /nfs/dbraw/zinc/45/31/12/902453112.db2.gz XRYCDZKNFWCPCI-UHFFFAOYSA-N 0 1 287.323 0.916 20 30 CCEDMN CC(C)[N@@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)C1COC1 ZINC001412996667 902453121 /nfs/dbraw/zinc/45/31/21/902453121.db2.gz XRYCDZKNFWCPCI-UHFFFAOYSA-N 0 1 287.323 0.916 20 30 CCEDMN Cc1c[nH]c(CNc2n[nH]c(N3CCOCC3)c2C#N)n1 ZINC001413168270 902753263 /nfs/dbraw/zinc/75/32/63/902753263.db2.gz KWONQUWSNNDSII-UHFFFAOYSA-N 0 1 287.327 0.762 20 30 CCEDMN CN1CCC(CN2C[C@H]3CS(=O)(=O)C[C@@]3(C#N)C2)CC1 ZINC001413262322 902827461 /nfs/dbraw/zinc/82/74/61/902827461.db2.gz NLHLXEOHKKAFPN-UONOGXRCSA-N 0 1 297.424 0.198 20 30 CCEDMN Cc1cccc(C#N)c1S(=O)(=O)NCCc1nc[nH]n1 ZINC001413299712 902855329 /nfs/dbraw/zinc/85/53/29/902855329.db2.gz QNZILROSTRSXBZ-UHFFFAOYSA-N 0 1 291.336 0.506 20 30 CCEDMN CNC(=O)NCCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001413374143 902908949 /nfs/dbraw/zinc/90/89/49/902908949.db2.gz RHRMQUUBKKNHQC-UHFFFAOYSA-N 0 1 286.295 0.692 20 30 CCEDMN COCC#CCN1CCC[C@@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001491098536 903360298 /nfs/dbraw/zinc/36/02/98/903360298.db2.gz OYCVQTIWWHGQCE-LSDHHAIUSA-N 0 1 294.395 0.501 20 30 CCEDMN COCCOCCN1CCCC[C@H]1CNC(=O)[C@H](C)C#N ZINC001491204841 903443701 /nfs/dbraw/zinc/44/37/01/903443701.db2.gz MPXGMJGXGBRPFF-KGLIPLIRSA-N 0 1 297.399 0.780 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCCC(=O)NC ZINC001491208832 903445978 /nfs/dbraw/zinc/44/59/78/903445978.db2.gz UWRKWZQUGSPXAB-CYBMUJFWSA-N 0 1 279.384 0.507 20 30 CCEDMN C[C@@H](NC(=O)Cc1nnc[nH]1)[C@H]1CCCN(CC#N)C1 ZINC001491298592 903489669 /nfs/dbraw/zinc/48/96/69/903489669.db2.gz WGLAHNORMYBPGX-MNOVXSKESA-N 0 1 276.344 0.087 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cc(C)n(C)n1 ZINC001327717542 914725969 /nfs/dbraw/zinc/72/59/69/914725969.db2.gz WPUNHAUTSZZSGC-UHFFFAOYSA-N 0 1 297.340 0.186 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](C)NC(=O)CC ZINC001491460677 903610453 /nfs/dbraw/zinc/61/04/53/903610453.db2.gz JEBOYFNEBOTHNY-ZJUUUORDSA-N 0 1 275.780 0.748 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCOCCCOC)C1 ZINC001210127209 903819420 /nfs/dbraw/zinc/81/94/20/903819420.db2.gz VIPYRSQPZSVEIN-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1c(F)cccc1OC ZINC001331726761 904010936 /nfs/dbraw/zinc/01/09/36/904010936.db2.gz UTOXUDAKMMBYIF-SNVBAGLBSA-N 0 1 280.299 0.148 20 30 CCEDMN Cc1nc(CC(=O)N[C@]2(C#N)CCSC2)n[nH]1 ZINC001327828555 914792014 /nfs/dbraw/zinc/79/20/14/914792014.db2.gz DZPMJTUKNAXLHZ-JTQLQIEISA-N 0 1 251.315 0.171 20 30 CCEDMN CC1(C)CN(C(=O)C(=O)N2CCC[C@](C)(C#N)C2)CCN1 ZINC001327841409 914800995 /nfs/dbraw/zinc/80/09/95/914800995.db2.gz WKDIVMWPZFWENA-OAHLLOKOSA-N 0 1 292.383 0.349 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@]1(F)CCOC1 ZINC001281951119 904375435 /nfs/dbraw/zinc/37/54/35/904375435.db2.gz DWWFSMPWHLDGNK-OCCSQVGLSA-N 0 1 268.332 0.671 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001282431967 904475276 /nfs/dbraw/zinc/47/52/76/904475276.db2.gz CMXKMHPILXNDPV-STQMWFEESA-N 0 1 295.427 0.997 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)Cc1nonc1C ZINC001282479070 904485319 /nfs/dbraw/zinc/48/53/19/904485319.db2.gz SZQOGGVQOSLGCY-SNVBAGLBSA-N 0 1 264.329 0.380 20 30 CCEDMN Cn1cc(CNC[C@H]2C[C@H](NC(=O)C#CC3CC3)C2)nn1 ZINC001283041998 904680764 /nfs/dbraw/zinc/68/07/64/904680764.db2.gz RVBXGGORUOQURP-JOCQHMNTSA-N 0 1 287.367 0.213 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001283459732 904850576 /nfs/dbraw/zinc/85/05/76/904850576.db2.gz KJGIRRBBUPXMRS-JTQLQIEISA-N 0 1 277.328 0.492 20 30 CCEDMN C=C[C@@H](COC)NS(=O)(=O)c1ccccc1O ZINC001327907701 914852058 /nfs/dbraw/zinc/85/20/58/914852058.db2.gz KHVTUZGEHLWTOC-VIFPVBQESA-N 0 1 257.311 0.872 20 30 CCEDMN C=CCCC(=O)N(C)CCCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001283539471 904898833 /nfs/dbraw/zinc/89/88/33/904898833.db2.gz SCNDRANBPDDPDD-GHMZBOCLSA-N 0 1 283.328 0.583 20 30 CCEDMN CN(CCCNC(=O)[C@@H]1CC1[N+](=O)[O-])C(=O)C#CC1CC1 ZINC001283539574 904901256 /nfs/dbraw/zinc/90/12/56/904901256.db2.gz WQAUQPZKBREMBJ-VXGBXAGGSA-N 0 1 293.323 0.030 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N(C)CCCNC(=O)c1cnn[nH]1 ZINC001283590168 904919746 /nfs/dbraw/zinc/91/97/46/904919746.db2.gz QVIIZZHVZSBNPT-NSHDSACASA-N 0 1 293.371 0.985 20 30 CCEDMN CCN(CCCNC(=O)CN1CCCC1)C(=O)[C@H](C)C#N ZINC001283719530 904972343 /nfs/dbraw/zinc/97/23/43/904972343.db2.gz XLXPLCQDHCOOTE-CYBMUJFWSA-N 0 1 294.399 0.597 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1CCCCC1 ZINC001283731742 904977220 /nfs/dbraw/zinc/97/72/20/904977220.db2.gz BKCFUAJBBHBYGP-CYBMUJFWSA-N 0 1 252.358 0.657 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1(C)CCC(F)(F)CC1 ZINC001283770957 905005326 /nfs/dbraw/zinc/00/53/26/905005326.db2.gz MPRBFQMRSAFWBF-NSHDSACASA-N 0 1 288.338 0.902 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccnc1C(F)F ZINC001283782816 905014203 /nfs/dbraw/zinc/01/42/03/905014203.db2.gz VAFKUZXXBICHGM-SECBINFHSA-N 0 1 283.278 0.333 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCN1CCN(CCCF)CC1 ZINC001377739857 905126258 /nfs/dbraw/zinc/12/62/58/905126258.db2.gz PGQWCPOCKFRVCI-ZDUSSCGKSA-N 0 1 284.379 0.582 20 30 CCEDMN CC#CCN(C)CCN(C(=O)c1c[nH]c(=O)cn1)C(C)C ZINC001284544709 905367747 /nfs/dbraw/zinc/36/77/47/905367747.db2.gz JEYPCRWNPZVITE-UHFFFAOYSA-N 0 1 290.367 0.576 20 30 CCEDMN C=CCN(CCNC(=O)c1ccn(C)n1)CCOC ZINC001284669046 905413124 /nfs/dbraw/zinc/41/31/24/905413124.db2.gz SEFKATVKRHJCLW-UHFFFAOYSA-N 0 1 266.345 0.284 20 30 CCEDMN CC#CCCCC(=O)NC1CN(C(=O)Cc2ccn[nH]2)C1 ZINC001284934053 905496297 /nfs/dbraw/zinc/49/62/97/905496297.db2.gz VBYCIUKWMIVCAR-UHFFFAOYSA-N 0 1 288.351 0.473 20 30 CCEDMN C=CCCC(=O)NC[C@H](CC)NC(=O)Cc1cnc[nH]1 ZINC001285039425 905530467 /nfs/dbraw/zinc/53/04/67/905530467.db2.gz PDZVVKSEOXBSMS-NSHDSACASA-N 0 1 278.356 0.929 20 30 CCEDMN CC[C@@H](CNC(=O)C#CC(C)C)NC(=O)[C@@H]1CCCN1C ZINC001285052871 905535560 /nfs/dbraw/zinc/53/55/60/905535560.db2.gz XQFWEBFYFLCVJJ-KBPBESRZSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H](C)CC(N)=O)[C@@H]2C1 ZINC001378720377 905775534 /nfs/dbraw/zinc/77/55/34/905775534.db2.gz YITJMGBFFSQDKB-PDEGPIFNSA-N 0 1 299.802 0.544 20 30 CCEDMN C#CCCCC(=O)NC1(CNC(=O)c2[nH]ncc2F)CC1 ZINC001285963959 905824572 /nfs/dbraw/zinc/82/45/72/905824572.db2.gz YYKYHIHDUFYWFS-UHFFFAOYSA-N 0 1 292.314 0.731 20 30 CCEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)Cn1cncn1 ZINC001379062745 905966739 /nfs/dbraw/zinc/96/67/39/905966739.db2.gz VSLNETJUBPAHCQ-LBPRGKRZSA-N 0 1 297.790 0.857 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](NC(=O)c2cnn[nH]2)C[C@@H]1C ZINC001287931675 905991602 /nfs/dbraw/zinc/99/16/02/905991602.db2.gz YJKJKSMZACVVAW-QWRGUYRKSA-N 0 1 289.339 0.183 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](O)CNCc1cccc(F)c1F ZINC001379190497 906053306 /nfs/dbraw/zinc/05/33/06/906053306.db2.gz QHCWWEYWPKGLKQ-MWLCHTKSSA-N 0 1 297.305 0.691 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H]1CCN(C)C1=O ZINC001379382712 906199773 /nfs/dbraw/zinc/19/97/73/906199773.db2.gz YULVZHQJZMGYCY-GHMZBOCLSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001295614915 906771043 /nfs/dbraw/zinc/77/10/43/906771043.db2.gz HDGNQMVFJLFZMF-NXEZZACHSA-N 0 1 279.344 0.642 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CCNC(=O)[C@H]1CCCN1C ZINC001295835622 906811314 /nfs/dbraw/zinc/81/13/14/906811314.db2.gz NHXJKKHMRFFCAQ-ZIAGYGMSSA-N 0 1 293.411 0.751 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCN(C)C(=O)c1ccn[nH]1 ZINC001298037678 907147313 /nfs/dbraw/zinc/14/73/13/907147313.db2.gz KHXURTCHBRSFJB-VIFPVBQESA-N 0 1 263.301 0.100 20 30 CCEDMN Cc1cc(CCC(=O)N[C@@H]2CCCN(O)C2=O)n[nH]1 ZINC001298543325 907266597 /nfs/dbraw/zinc/26/65/97/907266597.db2.gz PATUJVGWAGNPFE-SNVBAGLBSA-N 0 1 266.301 0.147 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CN(C)C(=O)Cc1ccn[nH]1 ZINC001381911001 907453869 /nfs/dbraw/zinc/45/38/69/907453869.db2.gz IMMFSFSWFBOQRS-ZJUUUORDSA-N 0 1 277.328 0.075 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CN(C)C(C)=O)[C@H]1C ZINC001382286475 907663773 /nfs/dbraw/zinc/66/37/73/907663773.db2.gz ZSUCTUYVBGISCO-CMPLNLGQSA-N 0 1 287.791 0.796 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2n[nH]c(C3CC3)n2)C1 ZINC001303558604 908094089 /nfs/dbraw/zinc/09/40/89/908094089.db2.gz LVEDCMNQFBYDQP-LBPRGKRZSA-N 0 1 287.367 0.438 20 30 CCEDMN N#Cc1nccc(NCc2n[nH]c(-c3ccncc3)n2)n1 ZINC001307143585 908288204 /nfs/dbraw/zinc/28/82/04/908288204.db2.gz BSASJEQYZCZZII-UHFFFAOYSA-N 0 1 278.279 0.562 20 30 CCEDMN N#Cc1c(N)c([N+](=O)[O-])ccc1NCCN1CC[C@H](O)C1 ZINC001307180004 908290382 /nfs/dbraw/zinc/29/03/82/908290382.db2.gz ZKERVBSCFGVSMJ-VIFPVBQESA-N 0 1 291.311 0.527 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](CNC(=O)Cc2cnc[nH]2)C1 ZINC001384986108 908329762 /nfs/dbraw/zinc/32/97/62/908329762.db2.gz YDCUNZZCDZGVMB-GARJFASQSA-N 0 1 289.339 0.123 20 30 CCEDMN C[C@H]1C[C@@H](CO)CN(CC(=O)NC2(C#N)CCC2)C1 ZINC001307856940 908358836 /nfs/dbraw/zinc/35/88/36/908358836.db2.gz LWZHKXCWNNMRAH-NWDGAFQWSA-N 0 1 265.357 0.499 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cc(C)on1 ZINC001317473588 908382401 /nfs/dbraw/zinc/38/24/01/908382401.db2.gz UGHPTXIKIJELRG-UHFFFAOYSA-N 0 1 279.340 0.685 20 30 CCEDMN Cc1nc(CCNC(=O)NCC#CCN(C)C)cs1 ZINC001311532278 908558221 /nfs/dbraw/zinc/55/82/21/908558221.db2.gz IIZRCNXFDZAFLC-UHFFFAOYSA-N 0 1 280.397 0.858 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1nn(C)cc1C(F)F ZINC001313177632 908656510 /nfs/dbraw/zinc/65/65/10/908656510.db2.gz WQJJQSDKCJOEOY-UHFFFAOYSA-N 0 1 299.325 0.722 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)[C@H]2CCCO2)C1 ZINC001316936658 908694541 /nfs/dbraw/zinc/69/45/41/908694541.db2.gz BWHKUWWEKLGUNT-ZIAGYGMSSA-N 0 1 282.384 0.949 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C=C(C)C)C1 ZINC001316745825 908761058 /nfs/dbraw/zinc/76/10/58/908761058.db2.gz ZDDBOSLTXXFSTL-AWEZNQCLSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)COC(C)(C)C)C1 ZINC001316751048 908766711 /nfs/dbraw/zinc/76/67/11/908766711.db2.gz XUZJGQVQFUVONQ-CQSZACIVSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C(C)(C)COC)[C@H]1C ZINC001316785684 908774924 /nfs/dbraw/zinc/77/49/24/908774924.db2.gz MIPBXGPHSKXJOF-NEPJUHHUSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CCN2CCCC2=O)CC1 ZINC001316937190 908853290 /nfs/dbraw/zinc/85/32/90/908853290.db2.gz KRRALUOEARFHPV-UHFFFAOYSA-N 0 1 291.395 0.555 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2ccn(C)c2C)CC1 ZINC001316968012 908882512 /nfs/dbraw/zinc/88/25/12/908882512.db2.gz KSZMIGWNHXVBNG-UHFFFAOYSA-N 0 1 290.411 0.867 20 30 CCEDMN N#CCN[C@@H](CNC(=O)CCc1cnc[nH]1)C1CC1 ZINC001317016735 908922824 /nfs/dbraw/zinc/92/28/24/908922824.db2.gz FPMIBXWNYCMSGR-LBPRGKRZSA-N 0 1 261.329 0.350 20 30 CCEDMN N#Cc1ccc(NCC(=O)N2CCN(CC3CC3)CC2)nc1 ZINC001417615850 921457884 /nfs/dbraw/zinc/45/78/84/921457884.db2.gz HXUJKLZNLYUSSC-UHFFFAOYSA-N 0 1 299.378 0.919 20 30 CCEDMN Cc1ncc(CN[C@H](C)CNC(=O)[C@@H](C)C#N)o1 ZINC001317228799 909065576 /nfs/dbraw/zinc/06/55/76/909065576.db2.gz KMDDKRLPKIJLDD-DTWKUNHWSA-N 0 1 250.302 0.737 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cncnc1C ZINC001317440044 909204266 /nfs/dbraw/zinc/20/42/66/909204266.db2.gz WYBVLJHGQXSPMZ-UHFFFAOYSA-N 0 1 276.340 0.096 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CCc1ccnn1C ZINC001317446255 909213882 /nfs/dbraw/zinc/21/38/82/909213882.db2.gz ARKKVSODOGVLPM-UHFFFAOYSA-N 0 1 292.383 0.051 20 30 CCEDMN C=CCN(C)CCNC(=O)C(=O)N1CCC[C@@H](C)C1 ZINC001317463596 909241964 /nfs/dbraw/zinc/24/19/64/909241964.db2.gz HRDYWYKGNCPUTL-GFCCVEGCSA-N 0 1 267.373 0.479 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCCCN1C(=O)C(C)C ZINC001317472156 909250015 /nfs/dbraw/zinc/25/00/15/909250015.db2.gz VPLXMHNWHUBTRS-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@H]1CNC(=O)c1ccn(C)c1 ZINC001317496371 909270054 /nfs/dbraw/zinc/27/00/54/909270054.db2.gz MZSFXVSIMRFOIX-HNNXBMFYSA-N 0 1 289.379 0.869 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)c1ccn(C)c1 ZINC001317496371 909270071 /nfs/dbraw/zinc/27/00/71/909270071.db2.gz MZSFXVSIMRFOIX-HNNXBMFYSA-N 0 1 289.379 0.869 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1(C(=O)NC)CC1 ZINC001317512244 909281732 /nfs/dbraw/zinc/28/17/32/909281732.db2.gz YWSCRZZZGXULQD-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(C)CN1CC[C@H](CNC(=O)CCS(C)(=O)=O)C1 ZINC001317524926 909286021 /nfs/dbraw/zinc/28/60/21/909286021.db2.gz CLUAQAPCCWMBAA-GFCCVEGCSA-N 0 1 288.413 0.435 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCN(CC(N)=O)C1 ZINC001317529295 909298588 /nfs/dbraw/zinc/29/85/88/909298588.db2.gz WMIPPJADPGSQBR-LLVKDONJSA-N 0 1 267.373 0.512 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cnn(C)c1 ZINC001317564737 909340426 /nfs/dbraw/zinc/34/04/26/909340426.db2.gz JPEJKMHQCMYMPH-UHFFFAOYSA-N 0 1 256.737 0.834 20 30 CCEDMN CC(C)c1nc(CNCCN(C)C(=O)[C@@H](C)C#N)n[nH]1 ZINC001317574596 909364002 /nfs/dbraw/zinc/36/40/02/909364002.db2.gz ZFYXTLSAUPVAHR-JTQLQIEISA-N 0 1 278.360 0.636 20 30 CCEDMN CC[C@@H](CNC(=O)CN1CCCC1)NC(=O)[C@@H](C)C#N ZINC001388080255 909370855 /nfs/dbraw/zinc/37/08/55/909370855.db2.gz OPRRLSGOSMJCSY-RYUDHWBXSA-N 0 1 280.372 0.253 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H]1CCCS1(=O)=O ZINC001317665562 909458940 /nfs/dbraw/zinc/45/89/40/909458940.db2.gz RVGUPAGIKZYAQG-JTQLQIEISA-N 0 1 294.804 0.364 20 30 CCEDMN C=CCCCC(=O)N(C)CCN1CCN(C[C@H](C)O)CC1 ZINC001317820674 909512551 /nfs/dbraw/zinc/51/25/51/909512551.db2.gz VVHXPOHGMKATDY-HNNXBMFYSA-N 0 1 297.443 0.800 20 30 CCEDMN C=CCN(C)CC1CCN(C(=O)CS(C)(=O)=O)CC1 ZINC001317868800 909532372 /nfs/dbraw/zinc/53/23/72/909532372.db2.gz LUZJIKHFPGHDJC-UHFFFAOYSA-N 0 1 288.413 0.387 20 30 CCEDMN C=CCOCC(=O)NC1CN([C@H](C)c2n[nH]c(C)n2)C1 ZINC001318010537 909575656 /nfs/dbraw/zinc/57/56/56/909575656.db2.gz RFBQZXLOKIUUCT-SECBINFHSA-N 0 1 279.344 0.177 20 30 CCEDMN C=CCOCCCC(=O)N1C[C@@H]2C[C@H]1CN2CCCO ZINC001318192699 909662266 /nfs/dbraw/zinc/66/22/66/909662266.db2.gz GPERQUHDJRGSBI-KBPBESRZSA-N 0 1 282.384 0.637 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cocn2)C1 ZINC001318239727 909673552 /nfs/dbraw/zinc/67/35/52/909673552.db2.gz AJXIATRUPOTRGA-NSHDSACASA-N 0 1 265.313 0.681 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@H](O)C(C)C)C1 ZINC001318328917 909709583 /nfs/dbraw/zinc/70/95/83/909709583.db2.gz BQFULHIQVVACKL-CHWSQXEVSA-N 0 1 270.373 0.396 20 30 CCEDMN COCc1ccc(C=Nn2c(=O)c(C)n[nH]c2=S)o1 ZINC001319179485 910019676 /nfs/dbraw/zinc/01/96/76/910019676.db2.gz GDFRUSZNDMQVDL-UHFFFAOYSA-N 0 1 280.309 0.857 20 30 CCEDMN C[C@@H](CNCc1csnn1)CNC(=O)C#CC1CC1 ZINC001319184676 910023571 /nfs/dbraw/zinc/02/35/71/910023571.db2.gz CVJKUIKVWTZJME-JTQLQIEISA-N 0 1 278.381 0.793 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1C[N@@H+](CCC(C)C)CCO1 ZINC001319309483 910084773 /nfs/dbraw/zinc/08/47/73/910084773.db2.gz KUULZAUGBOAOSZ-CABCVRRESA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1CN(CCC(C)C)CCO1 ZINC001319309483 910084787 /nfs/dbraw/zinc/08/47/87/910084787.db2.gz KUULZAUGBOAOSZ-CABCVRRESA-N 0 1 296.411 0.888 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N1CC[C@](C)(NC(=O)[C@H](C)C#N)C1 ZINC001390232975 910282881 /nfs/dbraw/zinc/28/28/81/910282881.db2.gz DCYDETVFHOVIRN-DOMZBBRYSA-N 0 1 294.399 0.595 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N1CC[C@@](C)(NC(=O)[C@@H](C)C#N)C1 ZINC001390232980 910286078 /nfs/dbraw/zinc/28/60/78/910286078.db2.gz DCYDETVFHOVIRN-SWLSCSKDSA-N 0 1 294.399 0.595 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(CC(C)(C)O)CC1 ZINC001320314607 910603522 /nfs/dbraw/zinc/60/35/22/910603522.db2.gz XTDWKPLPROBZQY-GFCCVEGCSA-N 0 1 285.388 0.286 20 30 CCEDMN CCN(CCNCc1ccccc1C#N)C(=O)CC(N)=O ZINC001320703552 910813916 /nfs/dbraw/zinc/81/39/16/910813916.db2.gz XXMLNWIMEJURRM-UHFFFAOYSA-N 0 1 288.351 0.372 20 30 CCEDMN CC(C)N(C)C(=O)CN1CC[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001391085434 910865678 /nfs/dbraw/zinc/86/56/78/910865678.db2.gz JFBHADNEHJWHRY-STQMWFEESA-N 0 1 294.399 0.451 20 30 CCEDMN C=CCCC(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001339007385 921624180 /nfs/dbraw/zinc/62/41/80/921624180.db2.gz XTSUTGCSQAFJBY-SECBINFHSA-N 0 1 250.302 0.891 20 30 CCEDMN C=CCCC(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001339007385 921624189 /nfs/dbraw/zinc/62/41/89/921624189.db2.gz XTSUTGCSQAFJBY-SECBINFHSA-N 0 1 250.302 0.891 20 30 CCEDMN CC#CCCCC(=O)NC/C=C\CNCC(=O)N(C)C ZINC001321199441 911155003 /nfs/dbraw/zinc/15/50/03/911155003.db2.gz HWHWFBVSXFZSEA-HJWRWDBZSA-N 0 1 279.384 0.530 20 30 CCEDMN C=CCNC(=O)CNCc1cccc(C(=O)OC)c1 ZINC001321260009 911195973 /nfs/dbraw/zinc/19/59/73/911195973.db2.gz NIAQDBSSXZRGPR-UHFFFAOYSA-N 0 1 262.309 0.865 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1coc(C)n1 ZINC001322147335 911680703 /nfs/dbraw/zinc/68/07/03/911680703.db2.gz YQCXMOPICWCWJV-LLVKDONJSA-N 0 1 279.340 0.683 20 30 CCEDMN C=CCCN(C)C[C@@H](C)NC(=O)C1CS(=O)(=O)C1 ZINC001322160357 911688431 /nfs/dbraw/zinc/68/84/31/911688431.db2.gz KXOSLOCDOMNHRB-SNVBAGLBSA-N 0 1 274.386 0.044 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccnc2[nH]cnc21 ZINC001322167865 911692325 /nfs/dbraw/zinc/69/23/25/911692325.db2.gz HCPUJFMKWOJGIL-SNVBAGLBSA-N 0 1 271.324 0.641 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cnc[nH]c1=O ZINC001322183662 911698809 /nfs/dbraw/zinc/69/88/09/911698809.db2.gz ULGDIPYAKUPGGG-SNVBAGLBSA-N 0 1 262.313 0.256 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H](C)Oc1ccccn1 ZINC001322282221 911740785 /nfs/dbraw/zinc/74/07/85/911740785.db2.gz WLCPRIMUCNLICN-QWHCGFSZSA-N 0 1 275.352 0.919 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1cnccc1C ZINC001392371165 911764648 /nfs/dbraw/zinc/76/46/48/911764648.db2.gz CQXBGPVZCKJHCR-CYBMUJFWSA-N 0 1 297.786 0.752 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H]1CCN1CCCO ZINC001323213748 912187760 /nfs/dbraw/zinc/18/77/60/912187760.db2.gz FQSBECZAODBPNX-ZDUSSCGKSA-N 0 1 252.358 0.753 20 30 CCEDMN CC(=Cc1cnnn1C)c1nc(C)c(C#N)c(=O)[nH]1 ZINC001339482783 921734634 /nfs/dbraw/zinc/73/46/34/921734634.db2.gz RAIDHOLLQCOJCS-QPJJXVBHSA-N 0 1 256.269 0.639 20 30 CCEDMN Cc1nn[nH]c1C(=O)NCCN(C)Cc1cccc(C#N)c1 ZINC001399548863 915221049 /nfs/dbraw/zinc/22/10/49/915221049.db2.gz SURIKFBPXGFFRG-UHFFFAOYSA-N 0 1 298.350 0.847 20 30 CCEDMN Cc1nc(CN2CCC[C@@H](NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001400275696 915657167 /nfs/dbraw/zinc/65/71/67/915657167.db2.gz FPHDVBVRZUFKSD-GXSJLCMTSA-N 0 1 276.344 0.353 20 30 CCEDMN CC(C)COC[C@H](O)CN1CC(CNC(=O)[C@H](C)C#N)C1 ZINC001400464963 915758515 /nfs/dbraw/zinc/75/85/15/915758515.db2.gz IFEUUGJYDJWMEZ-TZMCWYRMSA-N 0 1 297.399 0.228 20 30 CCEDMN CCO[C@H](CC)C(=O)NC[C@H](C)N(C)CC#CCOC ZINC001329393348 915872464 /nfs/dbraw/zinc/87/24/64/915872464.db2.gz NZFGDPXFJCZBSD-UONOGXRCSA-N 0 1 284.400 0.888 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1c(Cl)cnn1C ZINC001329439978 915917148 /nfs/dbraw/zinc/91/71/48/915917148.db2.gz DZUTVUXYKCBVRN-SECBINFHSA-N 0 1 268.748 0.757 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC001329458425 915931755 /nfs/dbraw/zinc/93/17/55/915931755.db2.gz SNXLWOGTCXQIKD-VXGBXAGGSA-N 0 1 291.355 0.592 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C(=O)N1CCC[C@@H](C)C1 ZINC001329570227 916021160 /nfs/dbraw/zinc/02/11/60/916021160.db2.gz DAWNXOXOCHLUMT-OLZOCXBDSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CCCCC(N)=O ZINC001329592445 916042579 /nfs/dbraw/zinc/04/25/79/916042579.db2.gz PDMNBWCBWXKCQS-LLVKDONJSA-N 0 1 253.346 0.102 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCc2cn(C)nn2)C1 ZINC001330084414 916407379 /nfs/dbraw/zinc/40/73/79/916407379.db2.gz GJZSUWMTVQKRFG-GFCCVEGCSA-N 0 1 289.383 0.208 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2C(CNC(=O)/C(C)=C/C)[C@@H]2C1 ZINC001330276880 916522430 /nfs/dbraw/zinc/52/24/30/916522430.db2.gz AJQGNMBQNDNNFK-DUYMLHJKSA-N 0 1 291.395 0.549 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1COCCO1)C1CC1 ZINC001401831569 916619534 /nfs/dbraw/zinc/61/95/34/916619534.db2.gz OVMIWPULIVUVOP-VXGBXAGGSA-N 0 1 288.775 0.639 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001330561334 916697627 /nfs/dbraw/zinc/69/76/27/916697627.db2.gz SJKDCDUYCLIYGQ-SNVBAGLBSA-N 0 1 291.355 0.862 20 30 CCEDMN C=C(Cl)CN1CCOC[C@H]1CNC(=O)Cc1cnc[nH]1 ZINC001402524312 917073218 /nfs/dbraw/zinc/07/32/18/917073218.db2.gz HLIQYZNJINASQC-GFCCVEGCSA-N 0 1 298.774 0.522 20 30 CCEDMN CC(C)NC(=O)CN1CCC[C@H](NC(=O)[C@H](C)C#N)CC1 ZINC001402613048 917129007 /nfs/dbraw/zinc/12/90/07/917129007.db2.gz XSBFVFVPDGCTHW-OLZOCXBDSA-N 0 1 294.399 0.641 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)Cn1cnnn1 ZINC001402740948 917202724 /nfs/dbraw/zinc/20/27/24/917202724.db2.gz HNGHRHYXYVOIPC-LLVKDONJSA-N 0 1 298.778 0.396 20 30 CCEDMN COc1cncc(CN[C@H](C)CNC(=O)[C@H](C)C#N)n1 ZINC001402847976 917279531 /nfs/dbraw/zinc/27/95/31/917279531.db2.gz FRODWRJYRYNBFP-NXEZZACHSA-N 0 1 277.328 0.239 20 30 CCEDMN C=C(C)CC[NH+]1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001331735766 917558731 /nfs/dbraw/zinc/55/87/31/917558731.db2.gz AOMNXUOJAAFNIJ-CQSZACIVSA-N 0 1 268.357 0.814 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](CO)NCc1cccnc1 ZINC001331770641 917594403 /nfs/dbraw/zinc/59/44/03/917594403.db2.gz HWIWWCVJESUHAT-OAHLLOKOSA-N 0 1 289.379 0.842 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)C1(C)CC=CC1 ZINC001331788284 917610533 /nfs/dbraw/zinc/61/05/33/917610533.db2.gz RFCFUZKLYUQLAN-ZDUSSCGKSA-N 0 1 280.368 0.059 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(C2CC2)nc1C ZINC001331789134 917610980 /nfs/dbraw/zinc/61/09/80/917610980.db2.gz GWWYOBVIMPBADE-ZDUSSCGKSA-N 0 1 287.363 0.581 20 30 CCEDMN CCC1(C(=O)NC[C@H](CO)NCC#CCOC)CCCC1 ZINC001331806975 917625262 /nfs/dbraw/zinc/62/52/62/917625262.db2.gz XEJSSZWMTQECOT-CQSZACIVSA-N 0 1 296.411 0.673 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1ncoc1C ZINC001492921245 917671265 /nfs/dbraw/zinc/67/12/65/917671265.db2.gz ZHDIEUVSTWLVDD-UHFFFAOYSA-N 0 1 279.340 0.685 20 30 CCEDMN C=CC[N@H+]1C[C@H](C(=O)[O-])C2(CC(C(=O)OC)C2)C1 ZINC001331966498 917762201 /nfs/dbraw/zinc/76/22/01/917762201.db2.gz UMADPNPCYZYYQL-RUETXSTFSA-N 0 1 253.298 0.758 20 30 CCEDMN CN(C)CC#CCNC(=O)CCc1nc2cccnc2[nH]1 ZINC001332262977 918004560 /nfs/dbraw/zinc/00/45/60/918004560.db2.gz RFESLTUEAWQTKR-UHFFFAOYSA-N 0 1 285.351 0.572 20 30 CCEDMN COCC#CC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC001332455981 918171858 /nfs/dbraw/zinc/17/18/58/918171858.db2.gz SNDZHNBTPMYKAW-NEPJUHHUSA-N 0 1 268.382 0.332 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cncc(C)c1 ZINC001332618079 918314556 /nfs/dbraw/zinc/31/45/56/918314556.db2.gz JAQZYDCOMCJSLQ-AWEZNQCLSA-N 0 1 275.352 0.388 20 30 CCEDMN CCC(CC)C(=O)N(C)C[C@@H](O)CN(C)CC#CCOC ZINC001332620317 918321024 /nfs/dbraw/zinc/32/10/24/918321024.db2.gz QUSKPHWLMNEZRG-HNNXBMFYSA-N 0 1 298.427 0.824 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1CCCC[N@@H+]1CCC)C(=O)[O-] ZINC001332621868 918323066 /nfs/dbraw/zinc/32/30/66/918323066.db2.gz IRLXSECFLBYQKD-NEPJUHHUSA-N 0 1 266.341 0.844 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(CO)(OC)CC2)CC1 ZINC001332907922 918519536 /nfs/dbraw/zinc/51/95/36/918519536.db2.gz MZLCCNBPVFSPRD-UHFFFAOYSA-N 0 1 294.395 0.332 20 30 CCEDMN CC[C@@H](C(N)=O)N(CC)CCNC(=O)c1ccc(C#N)[nH]1 ZINC001492939312 918603367 /nfs/dbraw/zinc/60/33/67/918603367.db2.gz OCAWYYIYRSIAMI-LBPRGKRZSA-N 0 1 291.355 0.202 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001333074414 918635847 /nfs/dbraw/zinc/63/58/47/918635847.db2.gz WLZISGYPJVUNJL-WDEREUQCSA-N 0 1 294.355 0.906 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001333074414 918635853 /nfs/dbraw/zinc/63/58/53/918635853.db2.gz WLZISGYPJVUNJL-WDEREUQCSA-N 0 1 294.355 0.906 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@](C)(CNCc1nncn1C)C1CC1 ZINC001405440168 918767875 /nfs/dbraw/zinc/76/78/75/918767875.db2.gz JXNIQORCRDEJKR-HZMBPMFUSA-N 0 1 290.371 0.349 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N1CC(N2CCN(C)CC2)C1)OCC ZINC001333282135 918770232 /nfs/dbraw/zinc/77/02/32/918770232.db2.gz LSAQYOPNXXLIJR-OAHLLOKOSA-N 0 1 295.427 0.816 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@@]2(C)CCNC2=O)CC1 ZINC001333398543 918868489 /nfs/dbraw/zinc/86/84/89/918868489.db2.gz ATJUPRHAASZJOO-HNNXBMFYSA-N 0 1 277.368 0.447 20 30 CCEDMN C=CCC1(O)CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC001333460529 918926406 /nfs/dbraw/zinc/92/64/06/918926406.db2.gz LOXJTTLLUPUJCC-UHFFFAOYSA-N 0 1 264.329 0.667 20 30 CCEDMN C=CCC1(O)CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC001333460529 918926419 /nfs/dbraw/zinc/92/64/19/918926419.db2.gz LOXJTTLLUPUJCC-UHFFFAOYSA-N 0 1 264.329 0.667 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C(=O)N(CC)[C@@H]2CCN(C)C2)C1 ZINC001333470638 918933834 /nfs/dbraw/zinc/93/38/34/918933834.db2.gz SHYLNXHPYHLHFU-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C[C@H]1CCNC1=O ZINC001405836633 918938902 /nfs/dbraw/zinc/93/89/02/918938902.db2.gz TWEBSMFFEMGVRA-WDEREUQCSA-N 0 1 287.791 0.702 20 30 CCEDMN CN(C(=O)C1(C#N)CCSCC1)c1nn[nH]n1 ZINC001333525085 918969072 /nfs/dbraw/zinc/96/90/72/918969072.db2.gz HUWIXYSYDGJZEU-UHFFFAOYSA-N 0 1 252.303 0.199 20 30 CCEDMN C=CCn1nnnc1N1CCN(C(C)(C)C)CC1 ZINC001333659130 919077763 /nfs/dbraw/zinc/07/77/63/919077763.db2.gz DFKIZWCKUXOXQD-UHFFFAOYSA-N 0 1 250.350 0.780 20 30 CCEDMN COCCC(=O)NC[C@H](O)CNCc1ccccc1C#N ZINC001406196931 919105830 /nfs/dbraw/zinc/10/58/30/919105830.db2.gz NYVLGSMFQGIZOI-CQSZACIVSA-N 0 1 291.351 0.161 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1ccon1 ZINC001406200316 919109632 /nfs/dbraw/zinc/10/96/32/919109632.db2.gz CHLPTJPSSNKCBW-JTQLQIEISA-N 0 1 273.720 0.036 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC(CN2CC[NH+](C)CC2)C1 ZINC001334420838 919571434 /nfs/dbraw/zinc/57/14/34/919571434.db2.gz JREDDRIQTXBUHH-OAHLLOKOSA-N 0 1 281.400 0.019 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@@H](C)[C@@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC001334447329 919591376 /nfs/dbraw/zinc/59/13/76/919591376.db2.gz FYVKPEUALMPAEY-SRVKXCTJSA-N 0 1 299.371 0.424 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@@H](C)[C@@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC001334447329 919591386 /nfs/dbraw/zinc/59/13/86/919591386.db2.gz FYVKPEUALMPAEY-SRVKXCTJSA-N 0 1 299.371 0.424 20 30 CCEDMN CC#CCN(CC)CCNC(=O)COCc1ccnn1C ZINC001492973854 920055875 /nfs/dbraw/zinc/05/58/75/920055875.db2.gz JKDLHCCXAFEGLL-UHFFFAOYSA-N 0 1 292.383 0.398 20 30 CCEDMN N#CCC[C@H](C#N)CNC[C@]1(OCCO)CCOC1 ZINC001335452932 920204230 /nfs/dbraw/zinc/20/42/30/920204230.db2.gz RBMQRUJUHXWHLT-CHWSQXEVSA-N 0 1 267.329 0.188 20 30 CCEDMN Cn1c(CNC(=O)N2CCC(C)(C#N)CC2)n[nH]c1=O ZINC001413921640 920216763 /nfs/dbraw/zinc/21/67/63/920216763.db2.gz REDJAOWFCUWGBN-UHFFFAOYSA-N 0 1 278.316 0.356 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ccn[nH]1 ZINC001414453115 920328169 /nfs/dbraw/zinc/32/81/69/920328169.db2.gz OEUVMQIHBNOZBA-QCMRWSPLSA-N 0 1 287.323 0.431 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ccnnc2)CC1 ZINC001336199612 920654673 /nfs/dbraw/zinc/65/46/73/920654673.db2.gz OHYRJBNIOCDGJG-UHFFFAOYSA-N 0 1 259.313 0.696 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)C(=O)[C@@H]1CCCCN1C ZINC001415598191 920778393 /nfs/dbraw/zinc/77/83/93/920778393.db2.gz MDFXGMQEQIDPPX-RYUDHWBXSA-N 0 1 280.372 0.205 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)C(=O)Nc1cc(C)ccn1 ZINC001336789259 921013294 /nfs/dbraw/zinc/01/32/94/921013294.db2.gz XTJHKWFQMICHIQ-UHFFFAOYSA-N 0 1 288.351 0.400 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H]2CCOC2)CC1 ZINC001339819842 921838199 /nfs/dbraw/zinc/83/81/99/921838199.db2.gz SOGDTFMFAJLMER-GFCCVEGCSA-N 0 1 265.357 0.420 20 30 CCEDMN C#CCNCC(=O)NCc1cc([N+](=O)[O-])ccc1OC ZINC001339861199 921857435 /nfs/dbraw/zinc/85/74/35/921857435.db2.gz IWZDLYNTGUUUSD-UHFFFAOYSA-N 0 1 277.280 0.442 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H]1CN(C)CCO1 ZINC001418211513 921904602 /nfs/dbraw/zinc/90/46/02/921904602.db2.gz RNKQFZMFCJSCQW-WDEREUQCSA-N 0 1 275.780 0.164 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCCN(C(=O)c2ccccc2)C1 ZINC001340028616 921919794 /nfs/dbraw/zinc/91/97/94/921919794.db2.gz AWNITOVVRJZODV-OAHLLOKOSA-N 0 1 299.374 0.630 20 30 CCEDMN Cc1cc(NC(=O)CN[C@@H](C)CNC(=O)[C@H](C)C#N)on1 ZINC001418217357 921929473 /nfs/dbraw/zinc/92/94/73/921929473.db2.gz WBYYXWDLECCBEI-SCZZXKLOSA-N 0 1 293.327 0.176 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)NCc1n[nH]c(C2CC2)n1 ZINC001418218291 921932724 /nfs/dbraw/zinc/93/27/24/921932724.db2.gz ZOUBUWIZKZGZPB-BDAKNGLRSA-N 0 1 276.344 0.436 20 30 CCEDMN C=CCn1c(C[C@H](C)O)nnc1N1CCN(CC)[C@H](C)C1 ZINC001340317201 922052224 /nfs/dbraw/zinc/05/22/24/922052224.db2.gz MOHGTFYBHQLKNJ-OLZOCXBDSA-N 0 1 293.415 0.918 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C(=O)OC)C1CCCCC1 ZINC001340708400 922179094 /nfs/dbraw/zinc/17/90/94/922179094.db2.gz IZLFZVCRSMKSRT-CYBMUJFWSA-N 0 1 280.368 0.695 20 30 CCEDMN C#CCNCC(=O)N1CCN(CC(F)F)[C@@H](CC)C1 ZINC001340998948 922309585 /nfs/dbraw/zinc/30/95/85/922309585.db2.gz FVLCHYSSNUDVML-NSHDSACASA-N 0 1 273.327 0.397 20 30 CCEDMN C#CCNCC(=O)NCCCNC(=O)c1cccc(F)c1 ZINC001341551974 922548932 /nfs/dbraw/zinc/54/89/32/922548932.db2.gz KHFPVLMBISMYJL-UHFFFAOYSA-N 0 1 291.326 0.285 20 30 CCEDMN Cc1cc(C)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(C)n1 ZINC001341747952 922654395 /nfs/dbraw/zinc/65/43/95/922654395.db2.gz KJWGJPLZCUNUOM-NWDGAFQWSA-N 0 1 258.325 0.848 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](OCC2CC2)C1 ZINC001341847060 922692259 /nfs/dbraw/zinc/69/22/59/922692259.db2.gz REAZFPLYHYNBNJ-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN CC(C)(O)[C@H](N)C(=O)NCC1(C#N)CCCCC1 ZINC001342144496 922832126 /nfs/dbraw/zinc/83/21/26/922832126.db2.gz JXNBAHGXTLUHBJ-SNVBAGLBSA-N 0 1 253.346 0.675 20 30 CCEDMN C#CCNCC(=O)NCC[C@H]1CCC2(CCOCC2)CO1 ZINC001342398481 922948601 /nfs/dbraw/zinc/94/86/01/922948601.db2.gz HDYPRAQRWWXVJZ-CQSZACIVSA-N 0 1 294.395 0.691 20 30 CCEDMN Cc1cc(CNC[C@H](C)N(C)C(=O)[C@@H](C)C#N)nn1C ZINC001419653588 922974687 /nfs/dbraw/zinc/97/46/87/922974687.db2.gz QOTSUCSXPFZEKC-JQWIXIFHSA-N 0 1 277.372 0.825 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@]1(C)CCNC(=O)C1 ZINC001343252760 923248838 /nfs/dbraw/zinc/24/88/38/923248838.db2.gz AUGOCEHFEJYZSL-IUODEOHRSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCNCC(=O)NCCN1CCc2sccc2C1 ZINC001343407706 923302066 /nfs/dbraw/zinc/30/20/66/923302066.db2.gz JVDLOZHMIZCYRT-UHFFFAOYSA-N 0 1 277.393 0.445 20 30 CCEDMN C#CCNCC(=O)NCc1cccc2c1OC(F)(F)O2 ZINC001344067697 923542928 /nfs/dbraw/zinc/54/29/28/923542928.db2.gz HWQMMFUKIJPGOJ-UHFFFAOYSA-N 0 1 282.246 0.847 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CO)c1c(F)cccc1F ZINC001346259444 924137015 /nfs/dbraw/zinc/13/70/15/924137015.db2.gz HUZBTOYZCKCVHJ-NSHDSACASA-N 0 1 268.263 0.337 20 30 CCEDMN C[C@H](CNCC#C[C@@H]1CCCCO1)S(C)(=O)=O ZINC001346377977 924180778 /nfs/dbraw/zinc/18/07/78/924180778.db2.gz NTYFLGQRSDEVKF-NEPJUHHUSA-N 0 1 259.371 0.582 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@H]1COC)C(C)C ZINC001346442035 924214500 /nfs/dbraw/zinc/21/45/00/924214500.db2.gz WBCCLUKUVPZZBN-RYUDHWBXSA-N 0 1 253.346 0.274 20 30 CCEDMN CC(C)C#CC(=O)NCCCN([C@@H](C)C(N)=O)C1CC1 ZINC001479868601 924285066 /nfs/dbraw/zinc/28/50/66/924285066.db2.gz IFXRECFRPOJEKV-LBPRGKRZSA-N 0 1 279.384 0.490 20 30 CCEDMN C=CCN(C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C)C1CC1 ZINC001347183511 924391354 /nfs/dbraw/zinc/39/13/54/924391354.db2.gz ANYPBABLQWRPTD-GXTWGEPZSA-N 0 1 280.416 0.981 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)NC1(C#N)CCCCC1 ZINC001347538001 924474755 /nfs/dbraw/zinc/47/47/55/924474755.db2.gz MWMAZOKKFYMSJM-QWHCGFSZSA-N 0 1 276.384 0.717 20 30 CCEDMN C[C@@H](C#N)CNC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001347553223 924478512 /nfs/dbraw/zinc/47/85/12/924478512.db2.gz FFFKQWASEDTTJI-NWDGAFQWSA-N 0 1 267.377 0.081 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001347597051 924490217 /nfs/dbraw/zinc/49/02/17/924490217.db2.gz LAIKMIYVVOXUTR-XJKSGUPXSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCNC(=O)CCc1c[nH]nn1 ZINC001349453669 924963665 /nfs/dbraw/zinc/96/36/65/924963665.db2.gz ZHIWPCYBCZDZSU-UHFFFAOYSA-N 0 1 293.371 0.572 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCNC(=O)CCc1cnn[nH]1 ZINC001349453669 924963667 /nfs/dbraw/zinc/96/36/67/924963667.db2.gz ZHIWPCYBCZDZSU-UHFFFAOYSA-N 0 1 293.371 0.572 20 30 CCEDMN Cc1[nH]c(=O)[nH]c1C(=O)NC1(C#N)CCN(C)CC1 ZINC001349783886 925054935 /nfs/dbraw/zinc/05/49/35/925054935.db2.gz DMELCCXTGUOVTJ-UHFFFAOYSA-N 0 1 263.301 0.142 20 30 CCEDMN C=CCCC(=O)NCCCNC(=O)CN1CCCC1 ZINC001349803091 925062336 /nfs/dbraw/zinc/06/23/36/925062336.db2.gz UIZPTFAAJRVBDC-UHFFFAOYSA-N 0 1 267.373 0.671 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCCNC(=O)Cc1nnc[nH]1 ZINC001350044901 925121584 /nfs/dbraw/zinc/12/15/84/925121584.db2.gz FPRWMFIPXIOKKC-UHFFFAOYSA-N 0 1 293.371 0.572 20 30 CCEDMN C[C@H](CCC#N)CNCc1nnc2n1CCNC2=O ZINC001350329266 925170980 /nfs/dbraw/zinc/17/09/80/925170980.db2.gz CKZXIEZEHVFDKE-SECBINFHSA-N 0 1 262.317 0.051 20 30 CCEDMN C=C(CC)C[NH+]1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001351665919 925453326 /nfs/dbraw/zinc/45/33/26/925453326.db2.gz ICURHOVFFLWGKX-AWEZNQCLSA-N 0 1 268.357 0.814 20 30 CCEDMN C=C[C@H](C)NC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001351787672 925472606 /nfs/dbraw/zinc/47/26/06/925472606.db2.gz OCYUJQIQMSOBBI-SDDRHHMPSA-N 0 1 254.378 0.494 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)N[C@@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC001351788267 925473023 /nfs/dbraw/zinc/47/30/23/925473023.db2.gz VQCZOQJPYUSUOJ-BFHYXJOUSA-N 0 1 298.431 0.247 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CC[C@](COC)(C(=O)[O-])C2)C1=O ZINC001352178062 925538733 /nfs/dbraw/zinc/53/87/33/925538733.db2.gz JJKFCELDXUWWGQ-RISCZKNCSA-N 0 1 282.340 0.196 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001352769480 925636367 /nfs/dbraw/zinc/63/63/67/925636367.db2.gz DKSDXQOOVDGVFV-SNVBAGLBSA-N 0 1 292.339 0.423 20 30 CCEDMN C=C[C@H](C)ONC(=O)C1=CN(C)S(=O)(=O)N=C1C ZINC001300213251 925743953 /nfs/dbraw/zinc/74/39/53/925743953.db2.gz NMQVGPWWBJEVDB-ZETCQYMHSA-N 0 1 273.314 0.144 20 30 CCEDMN C=CCCCC(=O)N[C@H](CNC(=O)c1ncn[nH]1)C1CC1 ZINC001353178642 925750779 /nfs/dbraw/zinc/75/07/79/925750779.db2.gz PBBMNNHOSWYNCC-LLVKDONJSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCCC(=O)N[C@H](CNC(=O)c1nc[nH]n1)C1CC1 ZINC001353178642 925750787 /nfs/dbraw/zinc/75/07/87/925750787.db2.gz PBBMNNHOSWYNCC-LLVKDONJSA-N 0 1 291.355 0.786 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H](C)NCc1nccnc1C ZINC001480460182 925781161 /nfs/dbraw/zinc/78/11/61/925781161.db2.gz SEAVDZVUGJSWTQ-DGCLKSJQSA-N 0 1 290.367 0.418 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CNC(=O)[C@H]1CCCCN1C ZINC001354531491 926124050 /nfs/dbraw/zinc/12/40/50/926124050.db2.gz PAJUPWZEOKQFDP-UONOGXRCSA-N 0 1 293.411 0.751 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N[C@@H]2CCN(C)[C@H]2C)c1 ZINC001354537737 926126856 /nfs/dbraw/zinc/12/68/56/926126856.db2.gz VAIHKAGFCJISGC-SMDDNHRTSA-N 0 1 285.347 0.815 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)CNC(=O)CCc1c[nH]nn1 ZINC001354745944 926160821 /nfs/dbraw/zinc/16/08/21/926160821.db2.gz KTDUEHKRAQCKJK-NSHDSACASA-N 0 1 293.371 0.715 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)CNC(=O)CCc1cnn[nH]1 ZINC001354745944 926160825 /nfs/dbraw/zinc/16/08/25/926160825.db2.gz KTDUEHKRAQCKJK-NSHDSACASA-N 0 1 293.371 0.715 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001354801778 926168142 /nfs/dbraw/zinc/16/81/42/926168142.db2.gz UNYPZXXTAKCKNQ-LBPRGKRZSA-N 0 1 288.351 0.647 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@H](C)CCNC(=O)c1ncn[nH]1 ZINC001355262585 926231327 /nfs/dbraw/zinc/23/13/27/926231327.db2.gz XSIHKSAOSIUDEK-ZJUUUORDSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@H](C)CCNC(=O)c1nc[nH]n1 ZINC001355262585 926231334 /nfs/dbraw/zinc/23/13/34/926231334.db2.gz XSIHKSAOSIUDEK-ZJUUUORDSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCn1c(C[C@@H](C)O)nnc1N1CCN(C(C)C)CC1 ZINC001355960103 926329267 /nfs/dbraw/zinc/32/92/67/926329267.db2.gz DJDMQOPSNSZSGO-CYBMUJFWSA-N 0 1 293.415 0.918 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1ccc(C(N)=O)o1 ZINC001356044142 926337487 /nfs/dbraw/zinc/33/74/87/926337487.db2.gz YWSIRIGFKSEELA-QMMMGPOBSA-N 0 1 298.258 0.735 20 30 CCEDMN N#C[C@H](C(=O)Nc1ccccn1)C(=O)c1ccc(C(N)=O)o1 ZINC001356044142 926337502 /nfs/dbraw/zinc/33/75/02/926337502.db2.gz YWSIRIGFKSEELA-QMMMGPOBSA-N 0 1 298.258 0.735 20 30 CCEDMN CC[C@@H](CNC(=O)c1cnn[nH]1)NC(=O)C#CC(C)(C)C ZINC001356902899 926461927 /nfs/dbraw/zinc/46/19/27/926461927.db2.gz UXJZOUNAMLYXEF-JTQLQIEISA-N 0 1 291.355 0.479 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)c1nc(C(C)(C)C)no1 ZINC001357316651 926511599 /nfs/dbraw/zinc/51/15/99/926511599.db2.gz ZDPZRLAFYDXHSH-VIFPVBQESA-N 0 1 264.329 0.767 20 30 CCEDMN N#C[C@H](C(=O)CCN1C(=O)CCC1=O)c1ccncn1 ZINC001357625063 926572536 /nfs/dbraw/zinc/57/25/36/926572536.db2.gz YDWKZTNRUDOKAB-VIFPVBQESA-N 0 1 272.264 0.192 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2C[C@]2(C)OCC)CC1 ZINC001357643184 926575941 /nfs/dbraw/zinc/57/59/41/926575941.db2.gz NPOJAEBGBWWVMC-HIFRSBDPSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN(C(=O)CN1CCC(CO)CC1)C1CC1 ZINC001358172393 926649704 /nfs/dbraw/zinc/64/97/04/926649704.db2.gz CCUDVHOGGZNANS-UHFFFAOYSA-N 0 1 250.342 0.315 20 30 CCEDMN COCCN1CCC(F)(F)[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001421328351 926678107 /nfs/dbraw/zinc/67/81/07/926678107.db2.gz CKEZVOWTAPVABQ-MNOVXSKESA-N 0 1 289.326 0.866 20 30 CCEDMN C[C@@H](C#N)N(C(=O)CN1CCC(CO)CC1)C1CC1 ZINC001358397301 926687243 /nfs/dbraw/zinc/68/72/43/926687243.db2.gz FMCABUUOVBGOGI-NSHDSACASA-N 0 1 265.357 0.594 20 30 CCEDMN N#Cc1ccnnc1NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001413891520 926930090 /nfs/dbraw/zinc/93/00/90/926930090.db2.gz JAVWXTIBUUUXCL-VIFPVBQESA-N 0 1 271.284 0.582 20 30 CCEDMN N#Cc1ccnnc1NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001413891520 926930103 /nfs/dbraw/zinc/93/01/03/926930103.db2.gz JAVWXTIBUUUXCL-VIFPVBQESA-N 0 1 271.284 0.582 20 30 CCEDMN C[C@H](CNCc1cc2n(n1)CCC2)N(C)C(=O)[C@@H](C)C#N ZINC001421874595 926964414 /nfs/dbraw/zinc/96/44/14/926964414.db2.gz RIIBKOXFLAXYPN-NWDGAFQWSA-N 0 1 289.383 0.925 20 30 CCEDMN N#Cc1cc(C(=O)Nc2nn[nH]c2C(N)=O)ccc1Cl ZINC001361428382 927048729 /nfs/dbraw/zinc/04/87/29/927048729.db2.gz WPLCPHGZTFNRKN-UHFFFAOYSA-N 0 1 290.670 0.681 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)Cc1cccc(C#N)c1 ZINC001361494179 927102894 /nfs/dbraw/zinc/10/28/94/927102894.db2.gz NITNITVYMALQOI-UHFFFAOYSA-N 0 1 284.279 0.217 20 30 CCEDMN N#Cc1cc(C(=O)Nc2nn[nH]c2C(N)=O)ccc1F ZINC001361641574 927215196 /nfs/dbraw/zinc/21/51/96/927215196.db2.gz TYQNKTRLEWWDKY-UHFFFAOYSA-N 0 1 274.215 0.167 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1(CF)CCC1 ZINC001422361173 927243229 /nfs/dbraw/zinc/24/32/29/927243229.db2.gz MTHBZXHYOXCBQF-SNVBAGLBSA-N 0 1 278.755 0.945 20 30 CCEDMN CN1CCN(CCNC(=O)[C@@]2(C#N)CC23CCCC3)CC1 ZINC001361749876 927300907 /nfs/dbraw/zinc/30/09/07/927300907.db2.gz AIJLOUJQRBSSGI-INIZCTEOSA-N 0 1 290.411 0.824 20 30 CCEDMN CN(CC(=O)Nc1ccn(CCC#N)n1)[C@@H]1CCC[C@H]1O ZINC001362249608 927779001 /nfs/dbraw/zinc/77/90/01/927779001.db2.gz CBFHKCMFMGIOEC-VXGBXAGGSA-N 0 1 291.355 0.580 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2coc(CS(C)(=O)=O)n2)NO1 ZINC001362312749 927862870 /nfs/dbraw/zinc/86/28/70/927862870.db2.gz VIEGTHWBTBIBSF-ZCFIWIBFSA-N 0 1 287.297 0.071 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC001362315305 927866388 /nfs/dbraw/zinc/86/63/88/927866388.db2.gz WPRLMOGAVXYFAX-SECBINFHSA-N 0 1 285.311 0.430 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CN1CCCC1=O ZINC001424755100 928275295 /nfs/dbraw/zinc/27/52/95/928275295.db2.gz YFDLESRTKKUGGW-QWRGUYRKSA-N 0 1 287.791 0.844 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001425673885 928622535 /nfs/dbraw/zinc/62/25/35/928622535.db2.gz APKIMMGKSSNHBC-UWVGGRQHSA-N 0 1 273.764 0.454 20 30 CCEDMN Cc1onc(CC(=O)NC(C)(C)c2c[nH]nn2)c1C#N ZINC001363023336 928773106 /nfs/dbraw/zinc/77/31/06/928773106.db2.gz XOVDIKVLVCQLLQ-UHFFFAOYSA-N 0 1 274.284 0.567 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)N[C@@H](CC#N)C(F)(F)F)n1 ZINC001426316698 928813446 /nfs/dbraw/zinc/81/34/46/928813446.db2.gz BIBJWWPLHMHBNS-ZETCQYMHSA-N 0 1 290.249 0.799 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001426597994 928877894 /nfs/dbraw/zinc/87/78/94/928877894.db2.gz LBJSUPUJJWVLEN-KBVBSXBZSA-N 0 1 289.339 0.455 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)C(=O)C1(C#N)CCSCC1 ZINC001363117760 928891245 /nfs/dbraw/zinc/89/12/45/928891245.db2.gz NXGRDBRIVYXGPG-UHFFFAOYSA-N 0 1 282.325 0.771 20 30 CCEDMN C[C@@]12COC[C@H]1C[N@@H+](Cc1cc(=O)n3[n-]cc(C#N)c3n1)C2 ZINC001363264727 929047548 /nfs/dbraw/zinc/04/75/48/929047548.db2.gz DBBNRVHWQWCGHX-IAQYHMDHSA-N 0 1 299.334 0.775 20 30 CCEDMN CC(C)CN(Cc1nn[nH]n1)C(=O)c1cccc(C#N)n1 ZINC001363277204 929061307 /nfs/dbraw/zinc/06/13/07/929061307.db2.gz QZAUZZATRJTRDA-UHFFFAOYSA-N 0 1 285.311 0.765 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)CN3CCCNC3=O)[nH]c2c1 ZINC001363357479 929145487 /nfs/dbraw/zinc/14/54/87/929145487.db2.gz OQKFRQMZOPRAPI-UHFFFAOYSA-N 0 1 298.306 0.788 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001428700235 929301707 /nfs/dbraw/zinc/30/17/07/929301707.db2.gz ZEWYCBRLVVTMMO-SSDOTTSWSA-N 0 1 299.762 0.818 20 30 CCEDMN N#CCc1ccc(CNC(=O)CCCc2nn[nH]n2)cc1 ZINC001363571400 929374316 /nfs/dbraw/zinc/37/43/16/929374316.db2.gz BXVNAPQNLHZYGW-UHFFFAOYSA-N 0 1 284.323 0.905 20 30 CCEDMN CC(C)CN(Cc1nn[nH]n1)C(=O)[C@@]1(C#N)CCCOC1 ZINC001363803655 929593222 /nfs/dbraw/zinc/59/32/22/929593222.db2.gz OONICUIQZWEKNM-CYBMUJFWSA-N 0 1 292.343 0.505 20 30 CCEDMN N#CCN1CCC(CNC(=O)c2n[nH]cc2[N+](=O)[O-])CC1 ZINC001444005420 929766955 /nfs/dbraw/zinc/76/69/55/929766955.db2.gz WGBKHTZYIBKQIH-UHFFFAOYSA-N 0 1 292.299 0.283 20 30 CCEDMN CCCNC(=O)CN(C)C[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001373284054 930127279 /nfs/dbraw/zinc/12/72/79/930127279.db2.gz YJOQPEHDFTVHMX-STQMWFEESA-N 0 1 294.399 0.453 20 30 CCEDMN N#Cc1cc(CN[C@@H](CO)CNC(=O)C2CC2)ccc1F ZINC001448779960 930980080 /nfs/dbraw/zinc/98/00/80/930980080.db2.gz KKCGPWZSCZTLCA-CYBMUJFWSA-N 0 1 291.326 0.674 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)[C@]2(C#N)CCCOC2)n[nH]1 ZINC001449100365 931068483 /nfs/dbraw/zinc/06/84/83/931068483.db2.gz DHRPUCLVFBZAAQ-UFBFGSQYSA-N 0 1 263.301 0.611 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cccc(=O)[nH]1 ZINC001449102516 931070804 /nfs/dbraw/zinc/07/08/04/931070804.db2.gz NZCILHJXBAAJSY-JTQLQIEISA-N 0 1 299.758 0.562 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cccc(=O)[nH]1 ZINC001449102517 931071393 /nfs/dbraw/zinc/07/13/93/931071393.db2.gz NZCILHJXBAAJSY-SNVBAGLBSA-N 0 1 299.758 0.562 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H](O)C[C@H](O)c1ccccc1 ZINC001602695782 971312945 /nfs/dbraw/zinc/31/29/45/971312945.db2.gz SMRUFQYHABDJFD-KBPBESRZSA-N 0 1 277.320 0.491 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H](O)C[C@H](O)c1ccccc1 ZINC001602695782 971312950 /nfs/dbraw/zinc/31/29/50/971312950.db2.gz SMRUFQYHABDJFD-KBPBESRZSA-N 0 1 277.320 0.491 20 30 CCEDMN C#CC[N@H+](CC1CC1)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001602701114 971326261 /nfs/dbraw/zinc/32/62/61/971326261.db2.gz WSSPOTIFQWTKRE-NSHDSACASA-N 0 1 250.298 0.222 20 30 CCEDMN C#CC[N@@H+](CC1CC1)CN1C[C@@H](C(=O)[O-])CC1=O ZINC001602701114 971326266 /nfs/dbraw/zinc/32/62/66/971326266.db2.gz WSSPOTIFQWTKRE-NSHDSACASA-N 0 1 250.298 0.222 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)C(=O)C1(C(=O)[O-])CCOCC1 ZINC001602722921 971391390 /nfs/dbraw/zinc/39/13/90/971391390.db2.gz ZVJVEESKYRZBSY-UHFFFAOYSA-N 0 1 298.383 0.834 20 30 CCEDMN C[N@@H+](CCCC(=O)[O-])CC(=O)N(CCC#N)CCC#N ZINC000042717698 948883237 /nfs/dbraw/zinc/88/32/37/948883237.db2.gz UNWSUPUEQXCFQU-UHFFFAOYSA-N 0 1 280.328 0.439 20 30 CCEDMN CC(C)(C)[N@H+]1CC[C@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC001602781198 971522869 /nfs/dbraw/zinc/52/28/69/971522869.db2.gz SUQXJFUVFMXMMS-HZMBPMFUSA-N 0 1 281.356 0.980 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H](C)[C@H]1C(=O)[O-] ZINC001589729900 950488605 /nfs/dbraw/zinc/48/86/05/950488605.db2.gz GNVAHOAOJDDLAD-YPMHNXCESA-N 0 1 281.356 0.934 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](C)[C@H]1C(=O)[O-] ZINC001589729900 950488608 /nfs/dbraw/zinc/48/86/08/950488608.db2.gz GNVAHOAOJDDLAD-YPMHNXCESA-N 0 1 281.356 0.934 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1C[C@H](C(=O)[O-])C[C@H](C)C1 ZINC000398941062 951622574 /nfs/dbraw/zinc/62/25/74/951622574.db2.gz VYWPGEJBWDVMCR-VHSXEESVSA-N 0 1 283.328 0.041 20 30 CCEDMN C[C@@H]1CC[N@H+](Cn2cccc(C#N)c2=O)C[C@H]1C(=O)[O-] ZINC001589356063 954145807 /nfs/dbraw/zinc/14/58/07/954145807.db2.gz YUGGJGRBKNMYFO-ZYHUDNBSSA-N 0 1 275.308 0.720 20 30 CCEDMN C[C@@H]1CC[N@@H+](Cn2cccc(C#N)c2=O)C[C@H]1C(=O)[O-] ZINC001589356063 954145813 /nfs/dbraw/zinc/14/58/13/954145813.db2.gz YUGGJGRBKNMYFO-ZYHUDNBSSA-N 0 1 275.308 0.720 20 30 CCEDMN C[N@H+]1CCCC[C@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC001593780515 954647845 /nfs/dbraw/zinc/64/78/45/954647845.db2.gz GHJJYXWSNWLQEB-RISCZKNCSA-N 0 1 281.356 0.839 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)C1(CC(=O)[O-])CCC1 ZINC001588395508 958041386 /nfs/dbraw/zinc/04/13/86/958041386.db2.gz NCNMIPOPORPXPG-UHFFFAOYSA-N 0 1 266.341 0.703 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H]1CCCC[N@@H+]1CC ZINC001588400360 958081138 /nfs/dbraw/zinc/08/11/38/958081138.db2.gz VZQJWLTZAOFXCB-LLVKDONJSA-N 0 1 252.314 0.407 20 30 CCEDMN C#CCN(CC(=O)[O-])Cc1c[nH+]c(N(C)C)n1C ZINC001588425572 958227230 /nfs/dbraw/zinc/22/72/30/958227230.db2.gz YQLZRRWYBPOTOB-UHFFFAOYSA-N 0 1 250.302 0.006 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)NC[C@H](C)C(=O)[O-])C1 ZINC001588431532 958269838 /nfs/dbraw/zinc/26/98/38/958269838.db2.gz ZWEBMBWKVFQOCH-QWRGUYRKSA-N 0 1 267.329 0.104 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)NC[C@H](C)C(=O)[O-])C1 ZINC001588431532 958269853 /nfs/dbraw/zinc/26/98/53/958269853.db2.gz ZWEBMBWKVFQOCH-QWRGUYRKSA-N 0 1 267.329 0.104 20 30 CCEDMN C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@](COC)(C(=O)[O-])C1 ZINC001588450394 958391787 /nfs/dbraw/zinc/39/17/87/958391787.db2.gz IMEMDBFXFHNGTN-CABZTGNLSA-N 0 1 257.286 0.137 20 30 CCEDMN C=C[C@@H](C(=O)OC)[N@H+]1CC[C@](COC)(C(=O)[O-])C1 ZINC001588450394 958391801 /nfs/dbraw/zinc/39/18/01/958391801.db2.gz IMEMDBFXFHNGTN-CABZTGNLSA-N 0 1 257.286 0.137 20 30 CCEDMN CC(=O)NCC[N@H+](C[C@@H](C)CCC#N)[C@@H](C)C(=O)[O-] ZINC001573348489 958553411 /nfs/dbraw/zinc/55/34/11/958553411.db2.gz FKCMFXYJRIJERO-QWRGUYRKSA-N 0 1 269.345 0.837 20 30 CCEDMN CO[C@]1(C(=O)[O-])CC[N@@H+](C[C@@H](O)c2cccc(C#N)c2)C1 ZINC001571038246 960549600 /nfs/dbraw/zinc/54/96/00/960549600.db2.gz JFYNQSGEOHMHAG-UKRRQHHQSA-N 0 1 290.319 0.767 20 30 CCEDMN C=CCC[N@@H+]1CCC[C@H](NC(=O)CNC(=O)[O-])[C@H]1C ZINC001573360733 963043525 /nfs/dbraw/zinc/04/35/25/963043525.db2.gz WOWMXUKPNFAEFA-MNOVXSKESA-N 0 1 269.345 0.799 20 30 CCEDMN C=CC[N@@H+](C)CCN(CC)S(=O)(=O)C[C@@H](C)C(=O)[O-] ZINC001573360837 963044600 /nfs/dbraw/zinc/04/46/00/963044600.db2.gz YTBAQVVJBQYYHU-LLVKDONJSA-N 0 1 292.401 0.477 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)S(=O)(=O)C[C@@H](C)C(=O)[O-] ZINC001573360837 963044617 /nfs/dbraw/zinc/04/46/17/963044617.db2.gz YTBAQVVJBQYYHU-LLVKDONJSA-N 0 1 292.401 0.477 20 30 CCEDMN C[N@H+]1CCC[C@@]2(CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C2)C1 ZINC001604216724 972702650 /nfs/dbraw/zinc/70/26/50/972702650.db2.gz WNLCTMJUUBFZPO-HUUCEWRRSA-N 0 1 293.367 0.935 20 30 CCEDMN COCC[N@H+](CCC(=O)[O-])C[C@H](C#N)CCC#N ZINC001604604829 973715193 /nfs/dbraw/zinc/71/51/93/973715193.db2.gz XTJVXNFMQWJRPE-NSHDSACASA-N 0 1 253.302 0.853 20 30 CCEDMN COCC[N@@H+](CCC(=O)[O-])C[C@H](C#N)CCC#N ZINC001604604829 973715205 /nfs/dbraw/zinc/71/52/05/973715205.db2.gz XTJVXNFMQWJRPE-NSHDSACASA-N 0 1 253.302 0.853 20 30 CCEDMN C#C[C@H]([NH2+][C@@H](C(=O)[O-])C1(OC)CCC1)[C@H]1CCCO1 ZINC001588377271 983356444 /nfs/dbraw/zinc/35/64/44/983356444.db2.gz KHRHKGSGBGHCGM-TUAOUCFPSA-N 0 1 267.325 0.779 20 30 CCEDMN C#CC[C@@H](COC)[NH2+]C1CCN(CCCC(=O)[O-])CC1 ZINC001588393372 983397459 /nfs/dbraw/zinc/39/74/59/983397459.db2.gz ASBSBAVIVZORPT-AWEZNQCLSA-N 0 1 282.384 0.944 20 30 CCEDMN C#CC[C@@H](COC)NC1CC[NH+](CCCC(=O)[O-])CC1 ZINC001588393372 983397463 /nfs/dbraw/zinc/39/74/63/983397463.db2.gz ASBSBAVIVZORPT-AWEZNQCLSA-N 0 1 282.384 0.944 20 30 CCEDMN C#CCC[NH+]1CCN(c2nccnc2C(=O)[O-])CC1 ZINC001588453852 983477816 /nfs/dbraw/zinc/47/78/16/983477816.db2.gz YSHPPGUVXZOJQK-UHFFFAOYSA-N 0 1 260.297 0.320 20 30 CCEDMN C#CCO[C@H](C)C(=O)O[C@H]1C[N@@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001588490516 983532521 /nfs/dbraw/zinc/53/25/21/983532521.db2.gz OJNMOPDDDXDIDW-BREBYQMCSA-N 0 1 269.297 0.115 20 30 CCEDMN C#Cc1cncc(C(=O)N2CC[N@H+](C)C[C@@H](C(=O)[O-])C2)c1 ZINC001588528539 983576729 /nfs/dbraw/zinc/57/67/29/983576729.db2.gz ACQPBGJUSNAQFZ-CYBMUJFWSA-N 0 1 287.319 0.151 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@@H]2CCC[C@]2(C(=O)[O-])C1 ZINC001596299664 983725866 /nfs/dbraw/zinc/72/58/66/983725866.db2.gz TUEJLFORVQTWIJ-WFASDCNBSA-N 0 1 293.367 0.935 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N1CCN([C@H](C)CC(=O)[O-])CC1 ZINC001588636932 983757574 /nfs/dbraw/zinc/75/75/74/983757574.db2.gz ZMVGIFFXQBEBSH-GFCCVEGCSA-N 0 1 283.372 0.112 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCCN(C(=O)C2CC2)CC1 ZINC001588662531 983789669 /nfs/dbraw/zinc/78/96/69/983789669.db2.gz BEFJTFWIKHASFI-LLVKDONJSA-N 0 1 252.314 0.570 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CC[C@](C(N)=O)(c2ccccc2)C1 ZINC001588663607 983796220 /nfs/dbraw/zinc/79/62/20/983796220.db2.gz OMIAYSRIQRZSAL-SWLSCSKDSA-N 0 1 274.320 0.755 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC001588664270 983799416 /nfs/dbraw/zinc/79/94/16/983799416.db2.gz PEXGRSPWFWNHBU-GHMZBOCLSA-N 0 1 255.314 0.896 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1C[C@@H](O)[C@H](Oc2ccccc2)C1 ZINC001588669115 983809950 /nfs/dbraw/zinc/80/99/50/983809950.db2.gz GIKQHPDJUPSCOJ-JHJVBQTASA-N 0 1 263.293 0.750 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1C[C@@H](O)[C@H](Oc2ccccc2)C1 ZINC001588669115 983809966 /nfs/dbraw/zinc/80/99/66/983809966.db2.gz GIKQHPDJUPSCOJ-JHJVBQTASA-N 0 1 263.293 0.750 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC001588729384 983990048 /nfs/dbraw/zinc/99/00/48/983990048.db2.gz JPDNQZNMNAOSRF-NXEZZACHSA-N 0 1 254.286 0.542 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](CCC(N)=O)C1 ZINC001588729617 983991901 /nfs/dbraw/zinc/99/19/01/983991901.db2.gz LTVNSIAOEQCZCK-MNOVXSKESA-N 0 1 254.330 0.993 20 30 CCEDMN C=CC[C@H](C(=O)OC)[N@H+]1CC[C@@](OC)(C(=O)[O-])C1 ZINC001588731867 984012532 /nfs/dbraw/zinc/01/25/32/984012532.db2.gz PCMINNUVAYWZMD-SKDRFNHKSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCCOCC(=O)O[C@@H]1C[C@@H](C(=O)[O-])[N@H+](C)C1 ZINC001588810311 984266250 /nfs/dbraw/zinc/26/62/50/984266250.db2.gz IIMQZRRKSJABFF-ZJUUUORDSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCCOCC(=O)O[C@@H]1C[C@@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588810311 984266254 /nfs/dbraw/zinc/26/62/54/984266254.db2.gz IIMQZRRKSJABFF-ZJUUUORDSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CCCC[C@@]2(COC)C(=O)[O-])C1=O ZINC001588838934 984343005 /nfs/dbraw/zinc/34/30/05/984343005.db2.gz MLDPUMIMXLRQRV-IUODEOHRSA-N 0 1 296.367 0.729 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CCCC[C@@]2(COC)C(=O)[O-])C1=O ZINC001588838934 984343013 /nfs/dbraw/zinc/34/30/13/984343013.db2.gz MLDPUMIMXLRQRV-IUODEOHRSA-N 0 1 296.367 0.729 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)C1=O ZINC001588839034 984343759 /nfs/dbraw/zinc/34/37/59/984343759.db2.gz NGONJKXPVNUJKK-RISCZKNCSA-N 0 1 293.323 0.079 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)C1=O ZINC001588839034 984343765 /nfs/dbraw/zinc/34/37/65/984343765.db2.gz NGONJKXPVNUJKK-RISCZKNCSA-N 0 1 293.323 0.079 20 30 CCEDMN C=CCN1CC[C@H]([NH2+][C@](C)(Cn2cccn2)C(=O)[O-])C1=O ZINC001588839458 984347153 /nfs/dbraw/zinc/34/71/53/984347153.db2.gz RTAVZAMQNWQMSX-SMDDNHRTSA-N 0 1 292.339 0.103 20 30 CCEDMN C=CCOCC(=O)O[C@H]1C[N@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001588850941 984381320 /nfs/dbraw/zinc/38/13/20/984381320.db2.gz LCSPQCGLLCXWGI-SKDRFNHKSA-N 0 1 257.286 0.280 20 30 CCEDMN C[C@H](C(=O)[O-])[N@@H+](C)Cc1cnc2c(C#N)cnn2c1 ZINC001599886819 984646541 /nfs/dbraw/zinc/64/65/41/984646541.db2.gz RHBNIQCJIGZDTG-MRVPVSSYSA-N 0 1 259.269 0.506 20 30 CCEDMN C[C@@H]1C[NH+](CCN(CC#N)CC(=O)[O-])C[C@@H](C)O1 ZINC001594526783 986060550 /nfs/dbraw/zinc/06/05/50/986060550.db2.gz DNXLCGYMNNWSSQ-GHMZBOCLSA-N 0 1 255.318 0.006 20 30 CCEDMN CC(C)(C#N)CCC[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001589554718 987302969 /nfs/dbraw/zinc/30/29/69/987302969.db2.gz LSLNRUHIQDMZBQ-LLVKDONJSA-N 0 1 281.356 0.982 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)O[C@H]1C[N@@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001598577325 993297766 /nfs/dbraw/zinc/29/77/66/993297766.db2.gz ZEIAWDSFLXDWCU-QMTHXVAHSA-N 0 1 291.307 0.601 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)O[C@@H]1C[N@@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001598577323 993297780 /nfs/dbraw/zinc/29/77/80/993297780.db2.gz ZEIAWDSFLXDWCU-HZMBPMFUSA-N 0 1 291.307 0.601 20 30 CCEDMN C[N@H+]1CCN(C(=O)NCC(C)(C)CC#N)C[C@H](C(=O)[O-])C1 ZINC001598606016 993658996 /nfs/dbraw/zinc/65/89/96/993658996.db2.gz GNXAZLUILGWTQF-LLVKDONJSA-N 0 1 296.371 0.584 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2cc(C#N)ccn2)C[C@H](C(=O)[O-])C1 ZINC001598610135 993752563 /nfs/dbraw/zinc/75/25/63/993752563.db2.gz AYSJUCNGODXJRN-LLVKDONJSA-N 0 1 288.307 0.042 20 30 CCEDMN Cn1c(C=NN2CC[NH+](C)CC2)ccc1C(=O)[O-] ZINC001598621762 994017557 /nfs/dbraw/zinc/01/75/57/994017557.db2.gz UQKLHBWKOMTWQZ-UHFFFAOYSA-N 0 1 250.302 0.305 20 30 CCEDMN C[C@@]1(C(=O)[O-])CC[N@@H+](C[C@@H](O)CC2(C#N)CC2)C1 ZINC001593795869 996513450 /nfs/dbraw/zinc/51/34/50/996513450.db2.gz ZTUHUNOUURYXGV-CMPLNLGQSA-N 0 1 252.314 0.838 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN(CCO)C1CCC1)C1CC1 ZINC000221162069 260162489 /nfs/dbraw/zinc/16/24/89/260162489.db2.gz STJIQJMPGTYSSW-AWEZNQCLSA-N 0 1 265.357 0.642 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@@H]3CC[C@@H](O)[C@@H]32)CCC1 ZINC000599685465 361786257 /nfs/dbraw/zinc/78/62/57/361786257.db2.gz XVADYBKNCUNJFB-DMDPSCGWSA-N 0 1 263.341 0.394 20 30 CCEDMN N#Cc1cccc(NC(=O)NCCN2CC[C@H](O)C2)n1 ZINC000599797330 361815907 /nfs/dbraw/zinc/81/59/07/361815907.db2.gz KHVDZJZRVIYTAK-NSHDSACASA-N 0 1 275.312 0.141 20 30 CCEDMN CC(C)N1CCN(CC(=O)N(CCC#N)CCC#N)CC1 ZINC000097259063 349636892 /nfs/dbraw/zinc/63/68/92/349636892.db2.gz OWYDIBUBGKOVEB-UHFFFAOYSA-N 0 1 291.399 0.668 20 30 CCEDMN CN(Cc1cccc(C#N)c1)C(=O)CCc1nn[nH]n1 ZINC000600990848 362120594 /nfs/dbraw/zinc/12/05/94/362120594.db2.gz HIXWDLYRFWRDOV-UHFFFAOYSA-N 0 1 270.296 0.663 20 30 CCEDMN N#CCCN1CCN(c2ncnc3[nH]cnc32)CC1 ZINC000601262541 362194900 /nfs/dbraw/zinc/19/49/00/362194900.db2.gz KAALHGYQZCTUIL-UHFFFAOYSA-N 0 1 257.301 0.389 20 30 CCEDMN CN1CC[C@@H]2CN(S(=O)(=O)CC(C)(C)CC#N)C[C@@H]21 ZINC000331483487 529797920 /nfs/dbraw/zinc/79/79/20/529797920.db2.gz XOQBRYHPJIMBNV-NEPJUHHUSA-N 0 1 285.413 0.892 20 30 CCEDMN N#CC[C@@H]1CC[C@H](NS(=O)(=O)c2ncc[nH]2)C1 ZINC000601426179 362268422 /nfs/dbraw/zinc/26/84/22/362268422.db2.gz SFAOGLNWMHTYLS-IUCAKERBSA-N 0 1 254.315 0.770 20 30 CCEDMN CCN1CCCN(S(=O)(=O)N(C)CCC#N)[C@@H](C)C1 ZINC000601440271 362274384 /nfs/dbraw/zinc/27/43/84/362274384.db2.gz NAAWYXOSUNEJEQ-LBPRGKRZSA-N 0 1 288.417 0.493 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2cccc(F)c2C#N)C1 ZINC000427008662 530070519 /nfs/dbraw/zinc/07/05/19/530070519.db2.gz OSDRXMJKCFTEAS-NSHDSACASA-N 0 1 290.342 0.673 20 30 CCEDMN C[C@H](C(=O)NCC1CCN(CC#N)CC1)N(C)C ZINC000602111850 362495295 /nfs/dbraw/zinc/49/52/95/362495295.db2.gz UQHDUPQRHJEVAQ-LLVKDONJSA-N 0 1 252.362 0.288 20 30 CCEDMN C[C@H](C(=O)N1CC[C@H](Oc2ccc(C#N)cn2)C1)N(C)C ZINC000602152832 362528076 /nfs/dbraw/zinc/52/80/76/362528076.db2.gz IRJQTZILOMXYRZ-YPMHNXCESA-N 0 1 288.351 0.883 20 30 CCEDMN CC[C@@H](NC(C)=O)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000602279712 362574098 /nfs/dbraw/zinc/57/40/98/362574098.db2.gz FLFXPARNCKUSAR-LLVKDONJSA-N 0 1 291.355 0.785 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2cncc(N3CCCC3)n2)[nH]1 ZINC000602282944 362574953 /nfs/dbraw/zinc/57/49/53/362574953.db2.gz QVMCSPQHXYZWIF-UHFFFAOYSA-N 0 1 297.322 0.356 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cnn(C3CC3)c2)[nH]n1C ZINC000602349515 362594138 /nfs/dbraw/zinc/59/41/38/362594138.db2.gz WDFAOLWBJRLWAQ-UHFFFAOYSA-N 0 1 270.296 0.806 20 30 CCEDMN N#CC1(CNC(=O)NCCN2CC[C@H](O)C2)CCCC1 ZINC000602335695 362590403 /nfs/dbraw/zinc/59/04/03/362590403.db2.gz MBEPSNPNOTUFCI-LBPRGKRZSA-N 0 1 280.372 0.436 20 30 CCEDMN CCC(C#N)(CC)C(=O)N(C)CC(=O)N1CCNCC1 ZINC000602424320 362609672 /nfs/dbraw/zinc/60/96/72/362609672.db2.gz JXEQOEOJHGMDMZ-UHFFFAOYSA-N 0 1 280.372 0.207 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCc1n[nH]c(COC)n1 ZINC000617938097 365852023 /nfs/dbraw/zinc/85/20/23/365852023.db2.gz MXIAGABHWREPGG-VIFPVBQESA-N 0 1 268.317 0.549 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCc1nnc(COC)[nH]1 ZINC000617938097 365852027 /nfs/dbraw/zinc/85/20/27/365852027.db2.gz MXIAGABHWREPGG-VIFPVBQESA-N 0 1 268.317 0.549 20 30 CCEDMN Cc1nc(CN2CCN(c3nccnc3C#N)C[C@H]2C)n[nH]1 ZINC000292418739 136677420 /nfs/dbraw/zinc/67/74/20/136677420.db2.gz KSZWXIAYIWZPQU-SNVBAGLBSA-N 0 1 298.354 0.486 20 30 CCEDMN N#Cc1ccc2ncc(CN[C@H]3COC[C@H]3O)n2c1 ZINC000602722711 362772686 /nfs/dbraw/zinc/77/26/86/362772686.db2.gz BRLJRNGRHDTRAU-NWDGAFQWSA-N 0 1 258.281 0.055 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CC2(O)CCC2)C[C@@H](C)N1CC#N ZINC000602849274 362836190 /nfs/dbraw/zinc/83/61/90/362836190.db2.gz KRVPEHBPJLVRAK-MGPQQGTHSA-N 0 1 281.400 0.571 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)C[C@](C)(O)C2CC2)C[C@@H](C)N1CC#N ZINC000602850111 362838190 /nfs/dbraw/zinc/83/81/90/362838190.db2.gz OSDVYFWJOAROOU-XOUADPBQSA-N 0 1 295.427 0.817 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NCc1cn(C)nc1[N+](=O)[O-] ZINC000602839098 362827846 /nfs/dbraw/zinc/82/78/46/362827846.db2.gz HHYGLFLXUWFBPR-ZJUUUORDSA-N 0 1 252.274 0.761 20 30 CCEDMN CC[C@H]1CN(c2nccnc2C#N)CCN1C[C@H](C)O ZINC000264306208 137069055 /nfs/dbraw/zinc/06/90/55/137069055.db2.gz FPSVAECABWEVQM-RYUDHWBXSA-N 0 1 275.356 0.630 20 30 CCEDMN N#Cc1ncn(CCNC(=O)c2cccc3nc[nH]c32)n1 ZINC000342868774 137101871 /nfs/dbraw/zinc/10/18/71/137101871.db2.gz JLRMTHBXJQMPCB-UHFFFAOYSA-N 0 1 281.279 0.456 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1ccc(C#N)nc1 ZINC000344980123 137225660 /nfs/dbraw/zinc/22/56/60/137225660.db2.gz UUVZOIHLBQLQAK-LBPRGKRZSA-N 0 1 299.290 0.190 20 30 CCEDMN CO[C@@H](CNC(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000602883885 362862624 /nfs/dbraw/zinc/86/26/24/362862624.db2.gz YOGCDZVBDXHFJS-JTQLQIEISA-N 0 1 263.301 0.260 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@H](CC#N)C2)[nH]1 ZINC000602884737 362863020 /nfs/dbraw/zinc/86/30/20/362863020.db2.gz CLDRQPDUDUEXAI-JTQLQIEISA-N 0 1 272.312 0.871 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCc2ccoc2)[nH]1 ZINC000602885970 362865173 /nfs/dbraw/zinc/86/51/73/362865173.db2.gz HECFWDBFTUGJRN-UHFFFAOYSA-N 0 1 259.269 0.671 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@]2(C)CC2(F)F)[nH]1 ZINC000602887200 362866191 /nfs/dbraw/zinc/86/61/91/362866191.db2.gz NAABETBWRGICLK-JTQLQIEISA-N 0 1 269.255 0.880 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@](C)(C3CC3)C2)[nH]1 ZINC000602889124 362867199 /nfs/dbraw/zinc/86/71/99/362867199.db2.gz WXHRVJJHFFLJAB-AWEZNQCLSA-N 0 1 289.339 0.746 20 30 CCEDMN C[C@H]1C[C@H](CO)CN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602888394 362867373 /nfs/dbraw/zinc/86/73/73/362867373.db2.gz UKZDEZYRDPPXAC-UWVGGRQHSA-N 0 1 277.328 0.196 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CO[C@@H](C3CC3)C2)[nH]1 ZINC000602881150 362860653 /nfs/dbraw/zinc/86/06/53/362860653.db2.gz IUZZEIQPKIEXKH-WDEREUQCSA-N 0 1 275.312 0.403 20 30 CCEDMN C[C@]12CN(C[C@@H](C#N)CCC#N)C[C@]1(C)C(=O)NC2=O ZINC000602934021 362895652 /nfs/dbraw/zinc/89/56/52/362895652.db2.gz FBWJIUMLUZBUAD-LERXQTSPSA-N 0 1 274.324 0.415 20 30 CCEDMN N#CCNC(=O)CN1CC[C@H](O)[C@@H]2CCCC[C@@H]21 ZINC000602997997 362928590 /nfs/dbraw/zinc/92/85/90/362928590.db2.gz VFZGXVUPJNXLPY-WOPDTQHZSA-N 0 1 251.330 0.252 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(C(=O)N(C)C)CC1 ZINC000052658947 348512778 /nfs/dbraw/zinc/51/27/78/348512778.db2.gz WJDVLARCTSSVDO-LLVKDONJSA-N 0 1 267.373 0.477 20 30 CCEDMN C=CCNC(=O)CN1CCC(C(=O)NCCCOC)CC1 ZINC000056308210 348589863 /nfs/dbraw/zinc/58/98/63/348589863.db2.gz VASIAMACZBNLPX-UHFFFAOYSA-N 0 1 297.399 0.153 20 30 CCEDMN CNC(=O)C1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000068766099 348820461 /nfs/dbraw/zinc/82/04/61/348820461.db2.gz BWUNZGBVJBIVQS-UHFFFAOYSA-N 0 1 258.325 0.911 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC[C@]2(CNC(=O)C2)C1 ZINC000072832295 348935520 /nfs/dbraw/zinc/93/55/20/348935520.db2.gz MVHVHMZLPUAUBA-INIZCTEOSA-N 0 1 291.395 0.789 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@](F)(C(=O)OC)C2)CC1 ZINC000074507558 348993649 /nfs/dbraw/zinc/99/36/49/348993649.db2.gz XECFUQWIDONKGA-OAHLLOKOSA-N 0 1 296.342 0.445 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCN([C@H](CC)CO)CC1 ZINC000075960534 349060301 /nfs/dbraw/zinc/06/03/01/349060301.db2.gz BTKWDQSISRVLMX-OAHLLOKOSA-N 0 1 297.443 0.800 20 30 CCEDMN CCc1nc([C@H]2CN(C(=O)C3(C#N)CCC3)CCO2)n[nH]1 ZINC000078888777 349158289 /nfs/dbraw/zinc/15/82/89/349158289.db2.gz XQZOLTSJPUHIRE-SNVBAGLBSA-N 0 1 289.339 0.961 20 30 CCEDMN CCN1CCN(CC(=O)N(C)C[C@@H](C)C#N)CC1 ZINC000081284107 349254402 /nfs/dbraw/zinc/25/44/02/349254402.db2.gz FLSTWYRDEHGVDC-LBPRGKRZSA-N 0 1 252.362 0.242 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC[C@@](C)(O)C1 ZINC000124203669 350092366 /nfs/dbraw/zinc/09/23/66/350092366.db2.gz USKXGHBDMZQBKP-WCQYABFASA-N 0 1 253.346 0.594 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](CNC(C)=O)C1 ZINC000126182835 350139613 /nfs/dbraw/zinc/13/96/13/350139613.db2.gz JRMYMFLEKKZBGI-CQSZACIVSA-N 0 1 294.399 0.597 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(CCO)CC1CC1 ZINC000143217555 350459015 /nfs/dbraw/zinc/45/90/15/350459015.db2.gz SOAQEOCEXHVECN-CQSZACIVSA-N 0 1 267.373 0.745 20 30 CCEDMN COC[C@@H](C)NCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000147731666 350470115 /nfs/dbraw/zinc/47/01/15/350470115.db2.gz ACGNUDHTEALQHH-LLVKDONJSA-N 0 1 282.365 0.956 20 30 CCEDMN CCN(C)CCNS(=O)(=O)c1ccc(C#N)cc1F ZINC000151109177 350493378 /nfs/dbraw/zinc/49/33/78/350493378.db2.gz VRPBTWSQTQSVBN-UHFFFAOYSA-N 0 1 285.344 0.927 20 30 CCEDMN CC(=O)NCCNCCS(=O)(=O)c1cccc(C#N)c1 ZINC000158816699 350663270 /nfs/dbraw/zinc/66/32/70/350663270.db2.gz WKGIVBFDBPLKPN-UHFFFAOYSA-N 0 1 295.364 0.058 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@H](OC)C1 ZINC000170818718 350864531 /nfs/dbraw/zinc/86/45/31/350864531.db2.gz PNHFDWRBTPMUBW-QWRGUYRKSA-N 0 1 269.345 0.498 20 30 CCEDMN CN1CCN(Cc2cn(Cc3ccc(C#N)cn3)nn2)CC1 ZINC000603221098 363006469 /nfs/dbraw/zinc/00/64/69/363006469.db2.gz CFRHPGBLZCPAQC-UHFFFAOYSA-N 0 1 297.366 0.340 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CC[C@H](CN3CCOCC3)C2)c1 ZINC000175874759 351146266 /nfs/dbraw/zinc/14/62/66/351146266.db2.gz OKGUURYVIRAONA-GFCCVEGCSA-N 0 1 288.351 0.681 20 30 CCEDMN N#Cc1ccc(N2CCN(CCC3OCCO3)CC2)nc1 ZINC000185328807 351444010 /nfs/dbraw/zinc/44/40/10/351444010.db2.gz CAOWURPVZVUXPQ-UHFFFAOYSA-N 0 1 288.351 0.838 20 30 CCEDMN Cc1cc(CNC2(C(N)=O)CCOCC2)ccc1C#N ZINC000618610135 366061365 /nfs/dbraw/zinc/06/13/65/366061365.db2.gz IIYOJPUHDKTNAU-UHFFFAOYSA-N 0 1 273.336 0.991 20 30 CCEDMN Cc1nc(NCCN2CCN(C)CC2)ccc1C#N ZINC000291729218 198073248 /nfs/dbraw/zinc/07/32/48/198073248.db2.gz XQWXLGGNRNVWEW-UHFFFAOYSA-N 0 1 259.357 0.921 20 30 CCEDMN Cc1noc(CNS(=O)(=O)c2ccc(C#N)s2)n1 ZINC000230338719 352112661 /nfs/dbraw/zinc/11/26/61/352112661.db2.gz JYZYQZSJUIFSNE-UHFFFAOYSA-N 0 1 284.322 0.790 20 30 CCEDMN C=CC[C@H](CO)NC(=O)CSc1n[nH]c(=S)s1 ZINC000273494666 192174820 /nfs/dbraw/zinc/17/48/20/192174820.db2.gz OWFRVTXTDZPKNT-ZCFIWIBFSA-N 0 1 291.423 0.972 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2ccc(C#N)nc2)CC1 ZINC000267328489 352759523 /nfs/dbraw/zinc/75/95/23/352759523.db2.gz BLRKRMMLSPDPCD-UHFFFAOYSA-N 0 1 273.340 0.163 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@H](OCC)C1 ZINC000604435822 363196112 /nfs/dbraw/zinc/19/61/12/363196112.db2.gz CHTZREXJWDUDMD-NEPJUHHUSA-N 0 1 283.372 0.888 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)N[C@@H](C)CCN(C)C ZINC000272909608 353105159 /nfs/dbraw/zinc/10/51/59/353105159.db2.gz DEQQXLONJJATQH-NSHDSACASA-N 0 1 291.417 0.227 20 30 CCEDMN CCCCNC(=O)C(=NOCC(=O)OCC)c1ccn[nH]1 ZINC000276457988 353208081 /nfs/dbraw/zinc/20/80/81/353208081.db2.gz BPBHMWQLIBUVIE-SFQUDFHCSA-N 0 1 296.327 0.610 20 30 CCEDMN C#CCN(C)C(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000279671577 353308687 /nfs/dbraw/zinc/30/86/87/353308687.db2.gz GRJKBAUWTOYOTB-QMMMGPOBSA-N 0 1 264.247 0.781 20 30 CCEDMN Cn1cc(CNCC2(S(C)(=O)=O)CC2)cc1C#N ZINC000279754034 353313774 /nfs/dbraw/zinc/31/37/74/353313774.db2.gz ZADNQFQJRHVXEU-UHFFFAOYSA-N 0 1 267.354 0.564 20 30 CCEDMN CC1(C)CN(CC(=O)NCc2ccc(C#N)cc2)C[C@@H]1O ZINC000279952927 353327263 /nfs/dbraw/zinc/32/72/63/353327263.db2.gz XVAQIWBBSRPFOV-AWEZNQCLSA-N 0 1 287.363 0.877 20 30 CCEDMN N#Cc1ccnc(N2CCN(Cc3cnc[nH]3)CC2)c1 ZINC000280545943 353368703 /nfs/dbraw/zinc/36/87/03/353368703.db2.gz TXOQGZTWALUOPD-UHFFFAOYSA-N 0 1 268.324 0.999 20 30 CCEDMN CC(C)[C@H](O)CN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000292671475 353784998 /nfs/dbraw/zinc/78/49/98/353784998.db2.gz QMGUJBPPJMJEPX-GXTWGEPZSA-N 0 1 289.383 0.876 20 30 CCEDMN C[C@H](O)[C@@H]1CCCCN1CC(=O)N(CCC#N)CCC#N ZINC000303973808 354099535 /nfs/dbraw/zinc/09/95/35/354099535.db2.gz AKCYBTVHHSYMRH-KBPBESRZSA-N 0 1 292.383 0.878 20 30 CCEDMN N#CCNC(=O)CN[C@@]1(CO)CCc2ccccc21 ZINC000305262079 354142310 /nfs/dbraw/zinc/14/23/10/354142310.db2.gz XZHPNAQEZYRBAB-CQSZACIVSA-N 0 1 259.309 0.050 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1cncc(C#N)c1)N1CCCC1 ZINC000312598020 354209319 /nfs/dbraw/zinc/20/93/19/354209319.db2.gz DOTBELBOZHGKIW-NSHDSACASA-N 0 1 294.380 0.716 20 30 CCEDMN C[C@@H]1C[C@H](O)CN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329752779 354259859 /nfs/dbraw/zinc/25/98/59/354259859.db2.gz WKGZVGQBWROEFY-BBBLOLIVSA-N 0 1 264.329 0.636 20 30 CCEDMN C[C@@H]1C[C@H](O)CN1C([O-])=[NH+][C@@H]1CCc2nc[nH]c2C1 ZINC000329752779 354259862 /nfs/dbraw/zinc/25/98/62/354259862.db2.gz WKGZVGQBWROEFY-BBBLOLIVSA-N 0 1 264.329 0.636 20 30 CCEDMN C[C@@H]1C[C@H](O)CN1C([O-])=[NH+][C@@H]1CCc2[nH]cnc2C1 ZINC000329752779 354259864 /nfs/dbraw/zinc/25/98/64/354259864.db2.gz WKGZVGQBWROEFY-BBBLOLIVSA-N 0 1 264.329 0.636 20 30 CCEDMN CC1(C)[C@@H](O)CCN1CC(=O)N(CCC#N)CCC#N ZINC000336833809 355207384 /nfs/dbraw/zinc/20/73/84/355207384.db2.gz OZVLEIIFQWBEPL-LBPRGKRZSA-N 0 1 278.356 0.488 20 30 CCEDMN C=CCN1CC[C@H](N(CCOC)[C@H]2CCO[C@H]2C)C1=O ZINC000337214346 355284770 /nfs/dbraw/zinc/28/47/70/355284770.db2.gz XIRWVSKRVDHIBW-IHRRRGAJSA-N 0 1 282.384 0.899 20 30 CCEDMN N#Cc1cc([N+](=O)[O-])cnc1N1CC[C@H](c2nc[nH]n2)C1 ZINC000425288715 235282093 /nfs/dbraw/zinc/28/20/93/235282093.db2.gz UPTGRGGSKLNTOC-QMMMGPOBSA-N 0 1 285.267 0.973 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC(c3n[nH]c(=O)o3)CC2)[nH]1 ZINC000425316514 235284324 /nfs/dbraw/zinc/28/43/24/235284324.db2.gz CRPTZPJBVRCFHQ-UHFFFAOYSA-N 0 1 287.279 0.995 20 30 CCEDMN Cc1nc2cccc(C(=O)NCCn3cnc(C#N)n3)c2[nH]1 ZINC000342890428 355764232 /nfs/dbraw/zinc/76/42/32/355764232.db2.gz IZHCKKNWRZIEJD-UHFFFAOYSA-N 0 1 295.306 0.765 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](CO)C[C@@H]1C ZINC000344185315 355789414 /nfs/dbraw/zinc/78/94/14/355789414.db2.gz VEOJVTVLMNHVEQ-WDEREUQCSA-N 0 1 269.345 0.091 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2nc(COC)ns2)CC1 ZINC000273017908 192020668 /nfs/dbraw/zinc/02/06/68/192020668.db2.gz ADBUCSWMNAMBEO-UHFFFAOYSA-N 0 1 294.380 0.968 20 30 CCEDMN N#Cc1ccc(CN(CCO)CCCO)cc1F ZINC000361838638 138036547 /nfs/dbraw/zinc/03/65/47/138036547.db2.gz CLTSHICYEIFHFW-UHFFFAOYSA-N 0 1 252.289 0.874 20 30 CCEDMN CC(=O)c1ccc(C(=O)N=c2[nH]n(C)cc2C#N)o1 ZINC000362754323 138072821 /nfs/dbraw/zinc/07/28/21/138072821.db2.gz YNGYTCXAVBEMSU-UHFFFAOYSA-N 0 1 258.237 0.762 20 30 CCEDMN CC(C)(C#N)c1ccc(C(=O)NCc2nn[nH]n2)cc1 ZINC000619435969 366252293 /nfs/dbraw/zinc/25/22/93/366252293.db2.gz MRSMZSCMKRZRFB-UHFFFAOYSA-N 0 1 270.296 0.931 20 30 CCEDMN C[C@@H]1OCC[C@H]1N(CCO)Cc1ccnc(C#N)c1 ZINC000619516833 366274890 /nfs/dbraw/zinc/27/48/90/366274890.db2.gz ACTYHOBOUNVZMS-SMDDNHRTSA-N 0 1 261.325 0.925 20 30 CCEDMN C[C@H](C(=O)N[C@H]1CCCc2cn[nH]c21)n1cnc(C#N)n1 ZINC000619614475 366299995 /nfs/dbraw/zinc/29/99/95/366299995.db2.gz HOBONVOBBJNHIY-SCZZXKLOSA-N 0 1 285.311 0.628 20 30 CCEDMN N#CCN1CCC(N[C@H](C(N)=O)c2ccc(F)cc2)CC1 ZINC000609323729 363488816 /nfs/dbraw/zinc/48/88/16/363488816.db2.gz UXNGCMKRVFRYBF-AWEZNQCLSA-N 0 1 290.342 0.930 20 30 CCEDMN N#Cc1ccc(SCC(=O)NCc2nn[nH]n2)cc1 ZINC000609532008 363502497 /nfs/dbraw/zinc/50/24/97/363502497.db2.gz DTUMEMDZQQIDKQ-UHFFFAOYSA-N 0 1 274.309 0.480 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CC[C@H](CN2CCOCC2)C1 ZINC000619718257 366338484 /nfs/dbraw/zinc/33/84/84/366338484.db2.gz DMHAKGBFEIDIOZ-CHWSQXEVSA-N 0 1 268.357 0.368 20 30 CCEDMN COC(=O)[C@@H]1CCN(C(=O)C(C)C#N)[C@@H](C(=O)OC)C1 ZINC000357446422 356771010 /nfs/dbraw/zinc/77/10/10/356771010.db2.gz BJBWHQVOGBTFIL-OPRDCNLKSA-N 0 1 282.296 0.099 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2ccccn2)[nH]1 ZINC000610528008 363572533 /nfs/dbraw/zinc/57/25/33/363572533.db2.gz GHOVHVGRQZYTBN-UHFFFAOYSA-N 0 1 256.269 0.430 20 30 CCEDMN CN1CCN(C(=O)CNCc2ccc(C#N)s2)CC1 ZINC000610540419 363574084 /nfs/dbraw/zinc/57/40/84/363574084.db2.gz XORIMOYMVXHKTM-UHFFFAOYSA-N 0 1 278.381 0.483 20 30 CCEDMN CSCCCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610560542 363575179 /nfs/dbraw/zinc/57/51/79/363575179.db2.gz KEQGSGXXUWCZEK-UHFFFAOYSA-N 0 1 267.358 0.978 20 30 CCEDMN CN(Cc1cscn1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610561760 363576384 /nfs/dbraw/zinc/57/63/84/363576384.db2.gz CTJOEFFYWQRMCZ-UHFFFAOYSA-N 0 1 276.325 0.834 20 30 CCEDMN COCC1CCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000610561672 363576787 /nfs/dbraw/zinc/57/67/87/363576787.db2.gz BAMARXBEUKSALE-UHFFFAOYSA-N 0 1 277.328 0.604 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)C[N@H+]2C[C@@H](O)C3(CC3)C2)cc1 ZINC000366629821 357227494 /nfs/dbraw/zinc/22/74/94/357227494.db2.gz KEBGQEPFCMJHPR-DZGCQCFKSA-N 0 1 288.347 0.755 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)C[C@@H](O)C1CC1 ZINC000610572817 363580353 /nfs/dbraw/zinc/58/03/53/363580353.db2.gz BPFZGVFAYKTFSE-TZMCWYRMSA-N 0 1 267.373 0.744 20 30 CCEDMN CC[C@@H](C#N)C(=O)N[C@H]1CCCN(CCOC)C1 ZINC000398963172 357780746 /nfs/dbraw/zinc/78/07/46/357780746.db2.gz QGXCJUREAIDBEP-RYUDHWBXSA-N 0 1 253.346 0.763 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@]2(C)CCCO2)[nH]1 ZINC000610674748 363595393 /nfs/dbraw/zinc/59/53/93/363595393.db2.gz JEAUPNOTQMXPSI-LBPRGKRZSA-N 0 1 263.301 0.404 20 30 CCEDMN CC[C@H](CCO)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610674756 363595303 /nfs/dbraw/zinc/59/53/03/363595303.db2.gz KMIWOOQWLLBUHY-SECBINFHSA-N 0 1 265.317 0.244 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CC[C@@H](CO)CC2)[nH]1 ZINC000610713598 363601515 /nfs/dbraw/zinc/60/15/15/363601515.db2.gz BRMZUAKKNGLSQK-JGZJWPJOSA-N 0 1 277.328 0.386 20 30 CCEDMN C#CCN(CC#CC)C[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000490778573 358291007 /nfs/dbraw/zinc/29/10/07/358291007.db2.gz HOFFLCDYNWFACT-CQSZACIVSA-N 0 1 282.409 0.617 20 30 CCEDMN Cc1onc(CC(=O)N2CC[C@@]3(CC[N@H+](C)C3)C2)c1C#N ZINC000329247676 202250322 /nfs/dbraw/zinc/25/03/22/202250322.db2.gz WHLKAYCPVHAANI-OAHLLOKOSA-N 0 1 288.351 0.951 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@@H]1COC[C@H]1OC ZINC000563083655 358556023 /nfs/dbraw/zinc/55/60/23/358556023.db2.gz VMQKYOMCAVFKSA-JHJVBQTASA-N 0 1 268.357 0.579 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]C[C@H]2CCC[C@H]([NH3+])C2)o1 ZINC000570058499 358819043 /nfs/dbraw/zinc/81/90/43/358819043.db2.gz WNNKCXYDVSXHJC-UWVGGRQHSA-N 0 1 283.353 0.947 20 30 CCEDMN C=CCN(CC=C)C(=O)CNC1(CC(=O)OC)CC1 ZINC000573851956 359005619 /nfs/dbraw/zinc/00/56/19/359005619.db2.gz BUUTWXMGZSLIQC-UHFFFAOYSA-N 0 1 266.341 0.872 20 30 CCEDMN N#CCCC1CCN(CC(=O)N2CCNC2=O)CC1 ZINC000584717271 359194221 /nfs/dbraw/zinc/19/42/21/359194221.db2.gz PQLMQQSYGOFSBU-UHFFFAOYSA-N 0 1 264.329 0.554 20 30 CCEDMN CCC[C@@H](O)CNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000584839595 359214996 /nfs/dbraw/zinc/21/49/96/359214996.db2.gz RIHVPCOGZHQGBI-GFCCVEGCSA-N 0 1 297.380 0.478 20 30 CCEDMN CN(Cc1ccnc(C#N)c1)C[C@@H](O)CN1CCOCC1 ZINC000610994898 363645261 /nfs/dbraw/zinc/64/52/61/363645261.db2.gz ZUMTVFDMYFCVJC-OAHLLOKOSA-N 0 1 290.367 0.078 20 30 CCEDMN N#Cc1cccc(F)c1CC(=O)NCc1nn[nH]n1 ZINC000586779316 359289039 /nfs/dbraw/zinc/28/90/39/359289039.db2.gz DEMZVNJFZAKWFZ-UHFFFAOYSA-N 0 1 260.232 0.069 20 30 CCEDMN COC(=O)c1cn(CCNC[C@H](C#N)CCC#N)nn1 ZINC000585729077 359267968 /nfs/dbraw/zinc/26/79/68/359267968.db2.gz ZSJIQNTYXHXIJC-JTQLQIEISA-N 0 1 276.300 0.098 20 30 CCEDMN CCCC[N@@H+](C)C[C@H](C)NC(=O)N=c1[n-]n(C)cc1C#N ZINC000589002046 359355685 /nfs/dbraw/zinc/35/56/85/359355685.db2.gz LNZJGRNUYLUXEG-NSHDSACASA-N 0 1 292.387 0.956 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCc3nc[nH]c3C2)[n-]1 ZINC000589332683 359378915 /nfs/dbraw/zinc/37/89/15/359378915.db2.gz OAAUXQYIZUKTHX-SECBINFHSA-N 0 1 285.311 0.116 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCc3nc[nH]c3C2)[nH]1 ZINC000589332683 359378927 /nfs/dbraw/zinc/37/89/27/359378927.db2.gz OAAUXQYIZUKTHX-SECBINFHSA-N 0 1 285.311 0.116 20 30 CCEDMN CCN1C(=O)[C@@H]2CN([C@@H]3CC[C@H](C#N)C3)CCN2C1=O ZINC000590161202 359427688 /nfs/dbraw/zinc/42/76/88/359427688.db2.gz JSZJLQIMPQJWOL-TUAOUCFPSA-N 0 1 276.340 0.647 20 30 CCEDMN Cc1nnc(CN2CCN([C@H]3CC[C@H](C#N)C3)CC2)[nH]1 ZINC000590167174 359428100 /nfs/dbraw/zinc/42/81/00/359428100.db2.gz ZOSVDWSAUASGTE-STQMWFEESA-N 0 1 274.372 0.923 20 30 CCEDMN N#Cc1cccc(C(=O)NC[C@@H]2CCCCN2CCO)n1 ZINC000590767985 359520632 /nfs/dbraw/zinc/52/06/32/359520632.db2.gz CGXUCEBWYBSSQD-ZDUSSCGKSA-N 0 1 288.351 0.530 20 30 CCEDMN CC[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@@H](O)C(C)C ZINC000591338187 359579430 /nfs/dbraw/zinc/57/94/30/359579430.db2.gz UPUZRFYGDHSWLE-MNOVXSKESA-N 0 1 279.344 0.631 20 30 CCEDMN C=CCOCC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000591442154 359593699 /nfs/dbraw/zinc/59/36/99/359593699.db2.gz ZJVMMSMLGOLZRB-GFCCVEGCSA-N 0 1 273.296 0.608 20 30 CCEDMN C=CCOCC(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000591442154 359593703 /nfs/dbraw/zinc/59/37/03/359593703.db2.gz ZJVMMSMLGOLZRB-GFCCVEGCSA-N 0 1 273.296 0.608 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CNCC2(C#N)CC2)C[C@H](C)O1 ZINC000591764644 359655213 /nfs/dbraw/zinc/65/52/13/359655213.db2.gz IVEPXZZNFADDKB-XQQFMLRXSA-N 0 1 267.373 0.350 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000591865016 359666260 /nfs/dbraw/zinc/66/62/60/359666260.db2.gz BXFRNRUFSNPWTJ-ONGXEEELSA-N 0 1 275.312 0.426 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC(CC(F)F)C2)[nH]1 ZINC000591865264 359666271 /nfs/dbraw/zinc/66/62/71/359666271.db2.gz ZRTARIRCEWYPRD-UHFFFAOYSA-N 0 1 269.255 0.833 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)NCc2ccc3cncn3c2)C1 ZINC000592029759 359737360 /nfs/dbraw/zinc/73/73/60/359737360.db2.gz RSTJIVUEFKPFFE-OAHLLOKOSA-N 0 1 299.334 0.894 20 30 CCEDMN COC(=O)[C@H]1C[C@@H](O)CN(Cc2cccc(C#N)c2)C1 ZINC000592196897 359787144 /nfs/dbraw/zinc/78/71/44/359787144.db2.gz ZQDZEWKRNKXDNP-UONOGXRCSA-N 0 1 274.320 0.914 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@@H](O)COc2ccc(F)cc2)C1 ZINC000592144886 359763993 /nfs/dbraw/zinc/76/39/93/359763993.db2.gz KABCHSFSQNQKQH-UKRRQHHQSA-N 0 1 294.326 0.916 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@H](O)COCc2ccco2)C1 ZINC000592147787 359766371 /nfs/dbraw/zinc/76/63/71/359766371.db2.gz OPQCUYQFXJUGDZ-GXTWGEPZSA-N 0 1 280.324 0.508 20 30 CCEDMN C=CCOCC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000592405210 359833669 /nfs/dbraw/zinc/83/36/69/359833669.db2.gz DFRJSXLNBANRNF-OLZOCXBDSA-N 0 1 268.357 0.247 20 30 CCEDMN CC[C@H](C(=O)N=c1[nH]n(C)c(C)c1C#N)N1CCCC1=O ZINC000592645473 359908091 /nfs/dbraw/zinc/90/80/91/359908091.db2.gz HCHAGEQWAFNPRA-LLVKDONJSA-N 0 1 289.339 0.362 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cc(C)nc(C)n2)[nH]n1C ZINC000592655933 359910458 /nfs/dbraw/zinc/91/04/58/359910458.db2.gz ZHIBINSERFZTDM-UHFFFAOYSA-N 0 1 270.296 0.681 20 30 CCEDMN N#CCc1ccc(CNC(=O)[C@H]2CNCCO2)cc1 ZINC000592568775 359894786 /nfs/dbraw/zinc/89/47/86/359894786.db2.gz YVDZWDLHDVSIKH-CYBMUJFWSA-N 0 1 259.309 0.357 20 30 CCEDMN C=C(C)[C@H](CC(=O)Nc1nn[nH]c1C(=O)NC)OCC ZINC000297864317 200294498 /nfs/dbraw/zinc/29/44/98/200294498.db2.gz YXOJLEXLTMYGGZ-QMMMGPOBSA-N 0 1 281.316 0.474 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC000592849024 359954168 /nfs/dbraw/zinc/95/41/68/359954168.db2.gz FRVTUHZNJOWAGV-HNNXBMFYSA-N 0 1 297.318 0.962 20 30 CCEDMN CCN1C(=O)[C@@H]2CN([C@@H](C)CCC#N)CCN2C1=O ZINC000593015120 360015245 /nfs/dbraw/zinc/01/52/45/360015245.db2.gz MXYWABBHZBCABI-QWRGUYRKSA-N 0 1 264.329 0.647 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CC)[C@H]1CCCOC1 ZINC000593066816 360025601 /nfs/dbraw/zinc/02/56/01/360025601.db2.gz FTBZSSGWLGIEEY-NSHDSACASA-N 0 1 269.345 0.499 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2ccc(C#N)cn2)CC1 ZINC000563352604 291216089 /nfs/dbraw/zinc/21/60/89/291216089.db2.gz JOLVQFSCMVCVCQ-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN N#Cc1ccc(CN2CC(N3CC[C@@H](O)C3)C2)cc1 ZINC000593680408 360133778 /nfs/dbraw/zinc/13/37/78/360133778.db2.gz UWQWKNVRNHLJFL-OAHLLOKOSA-N 0 1 257.337 0.809 20 30 CCEDMN N#Cc1cccc(CN2CC(N3CC[C@@H](O)C3)C2)c1 ZINC000593679770 360133912 /nfs/dbraw/zinc/13/39/12/360133912.db2.gz KIUCDQJPXOHKPN-OAHLLOKOSA-N 0 1 257.337 0.809 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2ccn(C(C)(C)C)n2)[nH]1 ZINC000593988321 360228813 /nfs/dbraw/zinc/22/88/13/360228813.db2.gz GNZGQHSGKKYPGG-UHFFFAOYSA-N 0 1 272.312 0.917 20 30 CCEDMN COCCN(CCC#N)C(=O)[C@H](C)Cc1cnc[nH]1 ZINC000594273013 360267355 /nfs/dbraw/zinc/26/73/55/360267355.db2.gz LNUDTEWLGQFWDH-LLVKDONJSA-N 0 1 264.329 0.977 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)CCCCC#N)[C@@H](C)C1 ZINC000594344476 360278312 /nfs/dbraw/zinc/27/83/12/360278312.db2.gz CLHLNWJNJZABEQ-STQMWFEESA-N 0 1 267.373 0.984 20 30 CCEDMN Cc1nc(CN2CCN(C[C@H](C#N)CCC#N)CC2)n[nH]1 ZINC000611431238 363718517 /nfs/dbraw/zinc/71/85/17/363718517.db2.gz DALBXTGZQNSRAQ-ZDUSSCGKSA-N 0 1 287.371 0.674 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@](C)(C(=O)OC)C2)CC1 ZINC000177204464 189036050 /nfs/dbraw/zinc/03/60/50/189036050.db2.gz ZJFNWXHUIZCUKB-MRXNPFEDSA-N 0 1 292.379 0.743 20 30 CCEDMN COC1CCN(CCNC(=O)c2c[nH]c(C#N)c2)CC1 ZINC000611472286 363720396 /nfs/dbraw/zinc/72/03/96/363720396.db2.gz XVJULHYHBKISTB-UHFFFAOYSA-N 0 1 276.340 0.727 20 30 CCEDMN COC[C@@H](CN1CCN(c2cc(C#N)ccn2)CC1)OC ZINC000595291919 360484303 /nfs/dbraw/zinc/48/43/03/360484303.db2.gz XWCOIMRZNVZLLZ-CQSZACIVSA-N 0 1 290.367 0.737 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N(C)Cc1n[nH]c(=O)o1 ZINC000595547776 360576136 /nfs/dbraw/zinc/57/61/36/360576136.db2.gz RWRDXGJGDHANMA-NOZJJQNGSA-N 0 1 295.343 0.650 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@H]1CCO[C@H]1C(=O)OC ZINC000595669958 360616094 /nfs/dbraw/zinc/61/60/94/360616094.db2.gz RJXFLDCLKMEORP-FRRDWIJNSA-N 0 1 296.367 0.496 20 30 CCEDMN C[C@]12COC[C@H]1CN(CC(=O)NC1(C#N)CCC1)C2 ZINC000595756986 360642194 /nfs/dbraw/zinc/64/21/94/360642194.db2.gz IBTUIFHXWUTSFY-YPMHNXCESA-N 0 1 263.341 0.517 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](C)O[C@@H](C)C1 ZINC000595780695 360653418 /nfs/dbraw/zinc/65/34/18/360653418.db2.gz KXISMEOMUVRSQK-WOPDTQHZSA-N 0 1 283.372 0.886 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000595863479 360683536 /nfs/dbraw/zinc/68/35/36/360683536.db2.gz HXUQMMDXHKEILF-NWDGAFQWSA-N 0 1 265.357 0.854 20 30 CCEDMN CCc1nc(C#N)cc(N[C@H](C)CN2CCN(C)CC2)n1 ZINC000596122182 360802758 /nfs/dbraw/zinc/80/27/58/360802758.db2.gz JVCQDNNMWQXAPM-GFCCVEGCSA-N 0 1 288.399 0.380 20 30 CCEDMN Cn1ncc(C#N)c1N1CCN(C2CCOCC2)CC1 ZINC000596149390 360811762 /nfs/dbraw/zinc/81/17/62/360811762.db2.gz VOVJPFLGOYREKO-UHFFFAOYSA-N 0 1 275.356 0.593 20 30 CCEDMN Cc1nc(C(=O)N2CC[C@@H]3OCCN[C@H]3C2)ccc1C#N ZINC000563627578 291243556 /nfs/dbraw/zinc/24/35/56/291243556.db2.gz FUHDUHBNQRAADC-KBPBESRZSA-N 0 1 286.335 0.465 20 30 CCEDMN N#CC1(NC(=O)c2cc(S(N)(=O)=O)ccc2O)CCC1 ZINC000596948185 360982803 /nfs/dbraw/zinc/98/28/03/360982803.db2.gz FSUKBHVHPASECR-UHFFFAOYSA-N 0 1 295.320 0.216 20 30 CCEDMN COCCCOCCC(=O)NC1(C#N)CCN(C)CC1 ZINC000597166133 361020694 /nfs/dbraw/zinc/02/06/94/361020694.db2.gz NRLQJZBTSYTDOZ-UHFFFAOYSA-N 0 1 283.372 0.534 20 30 CCEDMN COCC[N@H+]1CCC[C@H](NC(=O)[C@@H](C)CC#N)C1 ZINC000597167931 361021781 /nfs/dbraw/zinc/02/17/81/361021781.db2.gz BQLHRLNALCSENT-RYUDHWBXSA-N 0 1 253.346 0.763 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)[C@@H](C)CC#N)C1 ZINC000597167931 361021785 /nfs/dbraw/zinc/02/17/85/361021785.db2.gz BQLHRLNALCSENT-RYUDHWBXSA-N 0 1 253.346 0.763 20 30 CCEDMN C[C@@H](CC#N)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000597233888 361038063 /nfs/dbraw/zinc/03/80/63/361038063.db2.gz BYRXPLCCAMAPEN-RYUDHWBXSA-N 0 1 252.362 0.288 20 30 CCEDMN N#C[C@]1(F)CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000597543550 361134278 /nfs/dbraw/zinc/13/42/78/361134278.db2.gz AFOAPIBBZNKLAF-NOZJJQNGSA-N 0 1 262.288 0.979 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2CN3CCN2CCC3)s1 ZINC000597626018 361167301 /nfs/dbraw/zinc/16/73/01/361167301.db2.gz SAQSUTMUNMZZSP-NSHDSACASA-N 0 1 290.392 0.739 20 30 CCEDMN N#Cc1ccncc1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000597623680 361165679 /nfs/dbraw/zinc/16/56/79/361165679.db2.gz CFQZNPCMCVQVCS-CYBMUJFWSA-N 0 1 285.351 0.073 20 30 CCEDMN CCN(C)[C@H](C(=O)N[C@@H](C#N)CO)c1ccccc1 ZINC000597747424 361231638 /nfs/dbraw/zinc/23/16/38/361231638.db2.gz QLGWEAWAASUJII-STQMWFEESA-N 0 1 261.325 0.680 20 30 CCEDMN CC(C#N)C(=O)N[C@@]1(C(=O)OC(C)(C)C)CCOC1 ZINC000598155970 361345225 /nfs/dbraw/zinc/34/52/25/361345225.db2.gz IIRZWYWXZVGUIO-ZANVPECISA-N 0 1 268.313 0.763 20 30 CCEDMN COc1ccc(CN2CC[C@@H](CO)[C@@H](O)C2)cc1C#N ZINC000564151823 291267761 /nfs/dbraw/zinc/26/77/61/291267761.db2.gz JSKIPQBNTINIDK-JSGCOSHPSA-N 0 1 276.336 0.742 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CC[C@@](C)(C#N)C1 ZINC000598418349 361409421 /nfs/dbraw/zinc/40/94/21/361409421.db2.gz UQKOMHCRIJNAKR-JSGCOSHPSA-N 0 1 265.357 0.717 20 30 CCEDMN N#Cc1[n-]c(=NCC[N@@H+]2CC[C@H](O)C2)sc1Cl ZINC000599199879 361608999 /nfs/dbraw/zinc/60/89/99/361608999.db2.gz VVGLUZNOSJEZKR-ZETCQYMHSA-N 0 1 272.761 0.569 20 30 CCEDMN N#Cc1nc(NCCN2CC[C@H](O)C2)sc1Cl ZINC000599199879 361609012 /nfs/dbraw/zinc/60/90/12/361609012.db2.gz VVGLUZNOSJEZKR-ZETCQYMHSA-N 0 1 272.761 0.569 20 30 CCEDMN C=CC[C@H](CC)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599297902 361641444 /nfs/dbraw/zinc/64/14/44/361641444.db2.gz RNWWFUXXNCWAMR-QMMMGPOBSA-N 0 1 288.329 0.961 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)Nc1ccc(C#N)nn1 ZINC000301967338 201281367 /nfs/dbraw/zinc/28/13/67/201281367.db2.gz LHBRQFYPFYKPJM-LLVKDONJSA-N 0 1 260.345 0.396 20 30 CCEDMN COC(=O)CCCCN1CCN(CCC#N)CC1 ZINC000599336893 361657713 /nfs/dbraw/zinc/65/77/13/361657713.db2.gz OKFAAVGBOINETN-UHFFFAOYSA-N 0 1 253.346 0.861 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)NCCCCC#N)cn1 ZINC000599342882 361662523 /nfs/dbraw/zinc/66/25/23/361662523.db2.gz UDVFNFYZGDXHHH-UHFFFAOYSA-N 0 1 296.352 0.877 20 30 CCEDMN Cc1nc(CN2CCN(c3cnccc3C#N)CC2)n[nH]1 ZINC000612092783 363844730 /nfs/dbraw/zinc/84/47/30/363844730.db2.gz WDFISLHENFEWOY-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC(C)(CO)CC2)[nH]1 ZINC000613052473 364101101 /nfs/dbraw/zinc/10/11/01/364101101.db2.gz KFIMNZOJHGEOPD-UHFFFAOYSA-N 0 1 277.328 0.340 20 30 CCEDMN C=CC[C@@H]1CCCN(S(=O)(=O)C[C@H]2CNCCO2)C1 ZINC000631951148 370782719 /nfs/dbraw/zinc/78/27/19/370782719.db2.gz YLQTYZYSZCOONF-CHWSQXEVSA-N 0 1 288.413 0.593 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@H]2CCO)[nH]1 ZINC000614688556 364698491 /nfs/dbraw/zinc/69/84/91/364698491.db2.gz DVJBKAXTCFFSTK-JTQLQIEISA-N 0 1 263.301 0.092 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CC[C@@](F)(C#N)C2)C1 ZINC000615540612 364997929 /nfs/dbraw/zinc/99/79/29/364997929.db2.gz VTSQTTNOQGSHRH-WCQYABFASA-N 0 1 284.335 0.354 20 30 CCEDMN C=CCN1CC[C@H](N[C@H]2CCO[C@H]2c2nc(C)no2)C1=O ZINC000626144066 368456174 /nfs/dbraw/zinc/45/61/74/368456174.db2.gz QQHRMIGFYJEGOB-SDDRHHMPSA-N 0 1 292.339 0.585 20 30 CCEDMN C=C(C)CNS(=O)(=O)CCCN1CCN(C)CC1 ZINC000632240353 370934641 /nfs/dbraw/zinc/93/46/41/370934641.db2.gz HESNAJMIEXVUMR-UHFFFAOYSA-N 0 1 275.418 0.119 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)NC[C@H]2COCCN2)C1 ZINC000627191837 368861864 /nfs/dbraw/zinc/86/18/64/368861864.db2.gz WZICRNIQYROFRF-RYUDHWBXSA-N 0 1 253.346 0.582 20 30 CCEDMN C[C@H]1CCN(CC(=O)NCc2ccc(C#N)cc2)C[C@@H]1O ZINC000330657906 203086522 /nfs/dbraw/zinc/08/65/22/203086522.db2.gz OOCIJHLWRDETSV-WFASDCNBSA-N 0 1 287.363 0.877 20 30 CCEDMN C[C@@H]1CN(C)CCN1CC(=O)NCc1ccc(C#N)cc1 ZINC000330660276 203091009 /nfs/dbraw/zinc/09/10/09/203091009.db2.gz BMQTWJIOCBGFBQ-CYBMUJFWSA-N 0 1 286.379 0.810 20 30 CCEDMN C=CCOc1cccnc1C(=O)NCCN1CC[C@H](O)C1 ZINC000629915732 369827999 /nfs/dbraw/zinc/82/79/99/369827999.db2.gz MAPFWJPQBQVMDY-LBPRGKRZSA-N 0 1 291.351 0.443 20 30 CCEDMN C=CC[C@@H](CO)CNCc1cn(C[C@@H]2CCOC2)nn1 ZINC000631108720 370387143 /nfs/dbraw/zinc/38/71/43/370387143.db2.gz ZTLYROLOHYYGRJ-OLZOCXBDSA-N 0 1 280.372 0.589 20 30 CCEDMN C=CCNC(=O)CNc1nc(C)cc(NCCCC)n1 ZINC000631196806 370416732 /nfs/dbraw/zinc/41/67/32/370416732.db2.gz VJMRKFPKYJXOSR-UHFFFAOYSA-N 0 1 277.372 0.555 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)Cc1nnc[nH]1 ZINC000632840457 371296318 /nfs/dbraw/zinc/29/63/18/371296318.db2.gz CRICMCSHVKTYJC-SKDRFNHKSA-N 0 1 250.302 0.445 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1=O ZINC000635892300 373061793 /nfs/dbraw/zinc/06/17/93/373061793.db2.gz WPFUISCFBYSKIC-NQBHXWOUSA-N 0 1 288.351 0.759 20 30 CCEDMN O=C(CNC(=O)[C@H]1CCCc2[nH]ncc21)N1CCOCC1 ZINC000329224772 227362191 /nfs/dbraw/zinc/36/21/91/227362191.db2.gz FSDBGGOVAHFUGT-JTQLQIEISA-N 0 1 292.339 0.645 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(OCCCO)CC2)C1=O ZINC000685002019 545782039 /nfs/dbraw/zinc/78/20/39/545782039.db2.gz QYPITCZKIJMQHL-CQSZACIVSA-N 0 1 282.384 0.637 20 30 CCEDMN C=CC[N@@H+](CCc1ccccc1)CC(=O)NCC(=O)[O-] ZINC000262269415 190051756 /nfs/dbraw/zinc/05/17/56/190051756.db2.gz ULYSRPOTJOMORO-UHFFFAOYSA-N 0 1 276.336 0.918 20 30 CCEDMN C=CC[N@H+](CCc1ccccc1)CC(=O)NCC(=O)[O-] ZINC000262269415 190051757 /nfs/dbraw/zinc/05/17/57/190051757.db2.gz ULYSRPOTJOMORO-UHFFFAOYSA-N 0 1 276.336 0.918 20 30 CCEDMN C[C@H](CNC(=O)c1cccc(C#N)c1)N1CCN(C)CC1 ZINC000263809700 190079894 /nfs/dbraw/zinc/07/98/94/190079894.db2.gz WKZRWZYBNDFCQE-CYBMUJFWSA-N 0 1 286.379 0.924 20 30 CCEDMN Cn1nccc1[C@@H](CO)NCCOc1ccc(C#N)cc1 ZINC000459755686 249060319 /nfs/dbraw/zinc/06/03/19/249060319.db2.gz SIAZMJQAYJRFNN-CQSZACIVSA-N 0 1 286.335 0.994 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2ncc(C#N)cc2C)n1 ZINC000518574768 249255869 /nfs/dbraw/zinc/25/58/69/249255869.db2.gz DULICLMGXAGRNE-UHFFFAOYSA-N 0 1 270.296 0.661 20 30 CCEDMN C=CCOCCCC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000266292935 190246396 /nfs/dbraw/zinc/24/63/96/190246396.db2.gz ASAHLUNSBZHCSO-ZDUSSCGKSA-N 0 1 297.399 0.425 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)C[C@@H]1CCCCO1 ZINC000266747382 190278130 /nfs/dbraw/zinc/27/81/30/190278130.db2.gz RTGZLTWYPQEGDW-NEPJUHHUSA-N 0 1 283.372 0.888 20 30 CCEDMN N#CC1(C(=O)NC[C@H]2CCCCN2CCO)CCC1 ZINC000332473149 260011596 /nfs/dbraw/zinc/01/15/96/260011596.db2.gz NSNSHRXUUGWWDD-GFCCVEGCSA-N 0 1 265.357 0.643 20 30 CCEDMN C=CCCCNC(=O)C(=O)NCc1cn[nH]c1C ZINC000283988785 388772547 /nfs/dbraw/zinc/77/25/47/388772547.db2.gz JOSMHEREAOHLIZ-UHFFFAOYSA-N 0 1 250.302 0.417 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@](O)(C(N)=O)C1 ZINC000286232992 388838395 /nfs/dbraw/zinc/83/83/95/388838395.db2.gz PQYHDRFYSNYUCY-SWLSCSKDSA-N 0 1 297.399 0.112 20 30 CCEDMN C[C@H]1CN(C(=O)c2cc(C#N)c[nH]2)CCN1CC(C)(C)O ZINC000186944893 388860905 /nfs/dbraw/zinc/86/09/05/388860905.db2.gz WRASUGXYRPKJRX-NSHDSACASA-N 0 1 290.367 0.804 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)Sc1n[nH]c(CC)n1 ZINC000043685766 388870115 /nfs/dbraw/zinc/87/01/15/388870115.db2.gz GEJCGPQMWSZOKS-ZETCQYMHSA-N 0 1 283.357 0.860 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)Sc1nc(CC)n[nH]1 ZINC000043685766 388870117 /nfs/dbraw/zinc/87/01/17/388870117.db2.gz GEJCGPQMWSZOKS-ZETCQYMHSA-N 0 1 283.357 0.860 20 30 CCEDMN COc1cc(CNCCS(=O)(=O)N(C)C)ccc1C#N ZINC000289591136 388875610 /nfs/dbraw/zinc/87/56/10/388875610.db2.gz NDMOZMFUCSTKIS-UHFFFAOYSA-N 0 1 297.380 0.548 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H]1C[N@H+](Cc2ccccc2)CCO1 ZINC000291038794 388916720 /nfs/dbraw/zinc/91/67/20/388916720.db2.gz GCCNZIKIUGRMNK-AWEZNQCLSA-N 0 1 274.320 0.568 20 30 CCEDMN C#CCO[N-]C(=O)[C@@H]1C[N@@H+](Cc2ccccc2)CCO1 ZINC000291038794 388916721 /nfs/dbraw/zinc/91/67/21/388916721.db2.gz GCCNZIKIUGRMNK-AWEZNQCLSA-N 0 1 274.320 0.568 20 30 CCEDMN C=C[C@H](CO)[NH2+]Cc1ccc([N+](=O)[O-])c(OC)c1[O-] ZINC000291651416 388932463 /nfs/dbraw/zinc/93/24/63/388932463.db2.gz UPYLWLSQXXXUTI-SECBINFHSA-N 0 1 268.269 0.946 20 30 CCEDMN C#CCNC(=O)CCN[C@@](C)(C(N)=O)c1ccccc1 ZINC000292679667 388954480 /nfs/dbraw/zinc/95/44/80/388954480.db2.gz BRIMPBLHIHQVCD-OAHLLOKOSA-N 0 1 273.336 0.116 20 30 CCEDMN C[C@@H](O)CCN(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000075778138 388984013 /nfs/dbraw/zinc/98/40/13/388984013.db2.gz NJFKYJMNTUFHEE-MFKMUULPSA-N 0 1 253.346 0.498 20 30 CCEDMN C#CCN(C)CCCNC(=O)c1cc(=O)c(OC)co1 ZINC000293908078 388989341 /nfs/dbraw/zinc/98/93/41/388989341.db2.gz FVPJRFIMXVXMET-UHFFFAOYSA-N 0 1 278.308 0.333 20 30 CCEDMN CN1CCN(CCNC(=O)C#Cc2ccccc2)CC1 ZINC000081122692 389000071 /nfs/dbraw/zinc/00/00/71/389000071.db2.gz FDDUYBKYYZQARR-UHFFFAOYSA-N 0 1 271.364 0.402 20 30 CCEDMN C[C@H]1CC(O)C[C@H](C)N1CC(=O)N(CCC#N)CCC#N ZINC000191278762 389009175 /nfs/dbraw/zinc/00/91/75/389009175.db2.gz SRBNWFOFVPZNQK-STQMWFEESA-N 0 1 292.383 0.876 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCC[C@@H]1CCO ZINC000336810677 389023333 /nfs/dbraw/zinc/02/33/33/389023333.db2.gz IXGMHHNMVFOBQP-OCCSQVGLSA-N 0 1 267.373 0.888 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCC(=O)OCC)C1=O ZINC000337138718 389046833 /nfs/dbraw/zinc/04/68/33/389046833.db2.gz PKKSEVQEOFBIOG-LLVKDONJSA-N 0 1 254.330 0.658 20 30 CCEDMN O=C1NC(=O)[C@@]2(CCCN(CC#Cc3ccccc3)C2)N1 ZINC000192655468 389047674 /nfs/dbraw/zinc/04/76/74/389047674.db2.gz JGYQERLBPJUSDD-INIZCTEOSA-N 0 1 283.331 0.712 20 30 CCEDMN C=CCN1CC[C@H](N[C@H](C(=O)NC)C(C)C)C1=O ZINC000337156143 389048207 /nfs/dbraw/zinc/04/82/07/389048207.db2.gz AAAQVPIVVSUEIN-QWRGUYRKSA-N 0 1 253.346 0.134 20 30 CCEDMN C=CCN1CC[C@H](N[C@@]2(CC(=O)OC)CCOC2)C1=O ZINC000337217094 389056418 /nfs/dbraw/zinc/05/64/18/389056418.db2.gz ZZISXCRMXGSKEP-SMDDNHRTSA-N 0 1 282.340 0.085 20 30 CCEDMN C=CCNC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000096780336 389066323 /nfs/dbraw/zinc/06/63/23/389066323.db2.gz FJHYNCFWXHDHQP-UHFFFAOYSA-N 0 1 260.341 0.749 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)cn1 ZINC000107597889 389097023 /nfs/dbraw/zinc/09/70/23/389097023.db2.gz MECIZZMCFUSHKP-GFCCVEGCSA-N 0 1 287.367 0.319 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(CC(=O)N(CC)CC)CC1 ZINC000121458957 389148276 /nfs/dbraw/zinc/14/82/76/389148276.db2.gz DIKBODAMOVYTET-HNNXBMFYSA-N 0 1 297.443 0.800 20 30 CCEDMN C=CCOCCN1CC[C@H](S(=O)(=O)NC(C)C)C1 ZINC000339042476 389122205 /nfs/dbraw/zinc/12/22/05/389122205.db2.gz TVLFTUFNIUWDSZ-LBPRGKRZSA-N 0 1 276.402 0.591 20 30 CCEDMN CCCCN(CCO)CC(=O)N(CC)CCC#N ZINC000339092789 389124831 /nfs/dbraw/zinc/12/48/31/389124831.db2.gz BMEYWECRKCKZBB-UHFFFAOYSA-N 0 1 255.362 0.843 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CCn2ncnc2C1 ZINC000328605393 389129180 /nfs/dbraw/zinc/12/91/80/389129180.db2.gz JOWKXNLIJULMGK-YPMHNXCESA-N 0 1 291.355 0.989 20 30 CCEDMN CC(C)C(=O)N1CCC(NC(=O)NCCN2CCC2)CC1 ZINC000328661860 389130343 /nfs/dbraw/zinc/13/03/43/389130343.db2.gz WVOOCOJBBAMWMR-UHFFFAOYSA-N 0 1 296.415 0.843 20 30 CCEDMN CC1(C)SC[C@@H]1NC([O-])=[NH+][C@H]1CCc2ncnn2C1 ZINC000328724317 389134009 /nfs/dbraw/zinc/13/40/09/389134009.db2.gz YLQBJKFMYFQDLW-IUCAKERBSA-N 0 1 281.385 0.991 20 30 CCEDMN CC1(C)SC[C@@H]1NC(=O)N[C@H]1CCc2ncnn2C1 ZINC000328724317 389134012 /nfs/dbraw/zinc/13/40/12/389134012.db2.gz YLQBJKFMYFQDLW-IUCAKERBSA-N 0 1 281.385 0.991 20 30 CCEDMN CCN(CC(=O)N[C@@](C)(C#N)C(C)C)[C@H](C)CO ZINC000339627833 389136868 /nfs/dbraw/zinc/13/68/68/389136868.db2.gz LICXRDROUBSQJY-YPMHNXCESA-N 0 1 255.362 0.744 20 30 CCEDMN O=C(CNC(=O)[C@H]1CCc2[nH]nnc2C1)N1CCCCC1 ZINC000328772109 389137248 /nfs/dbraw/zinc/13/72/48/389137248.db2.gz JNXZLHIHYXYILP-JTQLQIEISA-N 0 1 291.355 0.879 20 30 CCEDMN O=C(CNC(=O)[C@H]1CCc2nn[nH]c2C1)N1CCCCC1 ZINC000328772109 389137251 /nfs/dbraw/zinc/13/72/51/389137251.db2.gz JNXZLHIHYXYILP-JTQLQIEISA-N 0 1 291.355 0.879 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000328790536 389137889 /nfs/dbraw/zinc/13/78/89/389137889.db2.gz LLFXQAQPIQJXPZ-WDEREUQCSA-N 0 1 289.401 0.319 20 30 CCEDMN CC(C)[C@]1(CO)CCCN(CC(=O)NCC#N)C1 ZINC000339707603 389137910 /nfs/dbraw/zinc/13/79/10/389137910.db2.gz XWSIIXCHFIATSE-CYBMUJFWSA-N 0 1 253.346 0.357 20 30 CCEDMN CC#CC[N@@H+](C)C[C@H](O)CN1C[C@@H](C)O[C@H](C)C1 ZINC000339764198 389139064 /nfs/dbraw/zinc/13/90/64/389139064.db2.gz SDYOCCOWSUGEGX-MCIONIFRSA-N 0 1 254.374 0.412 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@H](O)CC1 ZINC000339776155 389139469 /nfs/dbraw/zinc/13/94/69/389139469.db2.gz LGJCYAZJCHTYHO-OLZOCXBDSA-N 0 1 267.373 0.841 20 30 CCEDMN Cc1cncc(CNC(=O)NC[C@H]2CN(C)CCN2C)c1 ZINC000328861107 389141437 /nfs/dbraw/zinc/14/14/37/389141437.db2.gz ZEUXCUGGWRRJBN-AWEZNQCLSA-N 0 1 291.399 0.639 20 30 CCEDMN O=C(N[C@H]1CCc2nc[nH]c2C1)N1CCC[C@H](O)C1 ZINC000329632566 389179551 /nfs/dbraw/zinc/17/95/51/389179551.db2.gz RWMKDFNTKWNNAV-UWVGGRQHSA-N 0 1 264.329 0.638 20 30 CCEDMN [O-]C(=[NH+][C@H]1CCc2nc[nH]c2C1)N1CCC[C@H](O)C1 ZINC000329632566 389179554 /nfs/dbraw/zinc/17/95/54/389179554.db2.gz RWMKDFNTKWNNAV-UWVGGRQHSA-N 0 1 264.329 0.638 20 30 CCEDMN [O-]C(=[NH+][C@H]1CCc2[nH]cnc2C1)N1CCC[C@H](O)C1 ZINC000329632566 389179557 /nfs/dbraw/zinc/17/95/57/389179557.db2.gz RWMKDFNTKWNNAV-UWVGGRQHSA-N 0 1 264.329 0.638 20 30 CCEDMN C[C@@H]1C[N@H+](C2CC2)C[C@H]1NC(=O)N=c1[n-]ncs1 ZINC000329828263 389205509 /nfs/dbraw/zinc/20/55/09/389205509.db2.gz JFLHYGWIMIEJJR-VXNVDRBHSA-N 0 1 267.358 0.769 20 30 CCEDMN C=CCn1c(S(=O)(=O)CCCCCOC)n[nH]c1=O ZINC000341371455 389188166 /nfs/dbraw/zinc/18/81/66/389188166.db2.gz LCBQFJOLNUGANO-UHFFFAOYSA-N 0 1 289.357 0.760 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)[C@H]2CCCSC2)[nH]1 ZINC000329774113 389199420 /nfs/dbraw/zinc/19/94/20/389199420.db2.gz LYLCUISSGDTOQO-QMMMGPOBSA-N 0 1 250.327 0.795 20 30 CCEDMN Cc1nnc(SCC(=O)N2CCSC[C@@H]2C#N)[nH]1 ZINC000342228166 389201416 /nfs/dbraw/zinc/20/14/16/389201416.db2.gz WHVHTDGXOPCPTF-QMMMGPOBSA-N 0 1 283.382 0.673 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CCSC[C@@H]2C#N)n1 ZINC000342228166 389201417 /nfs/dbraw/zinc/20/14/17/389201417.db2.gz WHVHTDGXOPCPTF-QMMMGPOBSA-N 0 1 283.382 0.673 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(C(=O)N[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000329198165 389153093 /nfs/dbraw/zinc/15/30/93/389153093.db2.gz UMLRXDLGLDVDQK-UVOCVTCTSA-N 0 1 293.327 0.125 20 30 CCEDMN CCN1CCCN(S(=O)(=O)CC2(C#N)CC2)CC1 ZINC000329277428 389153798 /nfs/dbraw/zinc/15/37/98/389153798.db2.gz CJPUCMRWPBUEAI-UHFFFAOYSA-N 0 1 271.386 0.648 20 30 CCEDMN C=C[C@@H](CO)NC(=O)NCCN1CCc2ccccc2C1 ZINC000358610028 389155797 /nfs/dbraw/zinc/15/57/97/389155797.db2.gz VPWVJHCGTPWNSU-HNNXBMFYSA-N 0 1 289.379 0.891 20 30 CCEDMN O=C(NCCn1cccn1)N1CCC[C@H]2C(=O)NC[C@H]21 ZINC000329307957 389156244 /nfs/dbraw/zinc/15/62/44/389156244.db2.gz PYAJGJQEQNJGLV-GHMZBOCLSA-N 0 1 277.328 0.848 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@H]1CCC(=O)NC1=O ZINC000329338947 389158447 /nfs/dbraw/zinc/15/84/47/389158447.db2.gz QUEYVEDTQBEUFV-ZJUUUORDSA-N 0 1 283.328 0.557 20 30 CCEDMN CCN1CCOC[C@@H]1C(=O)N[C@@H](C)c1nnc[nH]1 ZINC000329387928 389161173 /nfs/dbraw/zinc/16/11/73/389161173.db2.gz QJFVBUFYJNLKKS-DTWKUNHWSA-N 0 1 253.306 0.543 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCOCC(F)F)CC1 ZINC000232333044 389162286 /nfs/dbraw/zinc/16/22/86/389162286.db2.gz DZZFGZOEWIWCNZ-UHFFFAOYSA-N 0 1 275.299 0.762 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000359087034 389166880 /nfs/dbraw/zinc/16/68/80/389166880.db2.gz ILSGYJPNMYAEIU-ZIAGYGMSSA-N 0 1 283.416 0.720 20 30 CCEDMN C=C[C@@H](CO)NC(=O)NCCN1CC=C(C)CC1 ZINC000359190014 389168255 /nfs/dbraw/zinc/16/82/55/389168255.db2.gz GUCAXJCTCAFABZ-LBPRGKRZSA-N 0 1 253.346 0.485 20 30 CCEDMN CN(C([O-])=[NH+][C@H]1CCn2ccnc2C1)[C@@H]1CCC[C@H]1O ZINC000329542865 389170617 /nfs/dbraw/zinc/17/06/17/389170617.db2.gz NVKNOBCUCSBWCM-QJPTWQEYSA-N 0 1 278.356 0.957 20 30 CCEDMN C[C@@H]1CCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)[C@H]1CO ZINC000329603050 389174849 /nfs/dbraw/zinc/17/48/49/389174849.db2.gz GENPCZRTODHJBG-BREBYQMCSA-N 0 1 278.356 0.884 20 30 CCEDMN C#CCOCCC(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000133956528 389241410 /nfs/dbraw/zinc/24/14/10/389241410.db2.gz MZVAGHONGCKTFH-UHFFFAOYSA-N 0 1 273.296 0.789 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)[C@@H]2CCN(C)C(=O)C2)[nH]1 ZINC000330946718 389260381 /nfs/dbraw/zinc/26/03/81/389260381.db2.gz HATBIGDPFQFJFJ-SNVBAGLBSA-N 0 1 289.339 0.564 20 30 CCEDMN Cn1cc(N2CC[C@H](N[C@@H]3CC[C@@H](C#N)C3)C2=O)cn1 ZINC000329899606 389209342 /nfs/dbraw/zinc/20/93/42/389209342.db2.gz FPMGRYVTHBFVFL-WZRBSPASSA-N 0 1 273.340 0.807 20 30 CCEDMN O=C(CN1CSCC1=O)NC1(c2nnc[nH]2)CCC1 ZINC000329911957 389210559 /nfs/dbraw/zinc/21/05/59/389210559.db2.gz ODBOQHKSKKBBSN-UHFFFAOYSA-N 0 1 281.341 0.673 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@H]1CCCN(C)[C@@H]1C ZINC000342499111 389219941 /nfs/dbraw/zinc/21/99/41/389219941.db2.gz CMQSJZSVXCYTPK-NEPJUHHUSA-N 0 1 267.373 0.668 20 30 CCEDMN CC#CCCNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000361636562 389220964 /nfs/dbraw/zinc/22/09/64/389220964.db2.gz IDXVNTCHWPTXJJ-UHFFFAOYSA-N 0 1 267.373 0.498 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@@H](OC)C1 ZINC000246133280 389224099 /nfs/dbraw/zinc/22/40/99/389224099.db2.gz PNHFDWRBTPMUBW-GHMZBOCLSA-N 0 1 269.345 0.498 20 30 CCEDMN Cc1nccn1CCC[NH+]=C([O-])N1CCO[C@H](CO)C1 ZINC000330429733 389228368 /nfs/dbraw/zinc/22/83/68/389228368.db2.gz IKQCXSHIHBFWRS-LBPRGKRZSA-N 0 1 282.344 0.189 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@H](CO)[C@H](C)C1 ZINC000362078729 389229104 /nfs/dbraw/zinc/22/91/04/389229104.db2.gz RMKVOPWGXLRJMM-IJLUTSLNSA-N 0 1 265.357 0.498 20 30 CCEDMN COCC1(O)CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000330486851 389234739 /nfs/dbraw/zinc/23/47/39/389234739.db2.gz FWJLBKPDNBBCCR-LBPRGKRZSA-N 0 1 283.372 0.220 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000330486443 389234799 /nfs/dbraw/zinc/23/47/99/389234799.db2.gz FBIIOYKHABYGHX-SECBINFHSA-N 0 1 266.301 0.257 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@@H]2CCCc3[nH]ncc32)CCO1 ZINC000342658998 389236929 /nfs/dbraw/zinc/23/69/29/389236929.db2.gz LLBABNVIHDVOBH-NXEZZACHSA-N 0 1 260.297 0.581 20 30 CCEDMN CN(CC(=O)NCCCCC#N)c1ncnc2[nH]cnc21 ZINC000264204128 389312659 /nfs/dbraw/zinc/31/26/59/389312659.db2.gz KBWYYOYOQCCJBM-UHFFFAOYSA-N 0 1 287.327 0.599 20 30 CCEDMN C[C@H](O)CCN(C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000346269388 389325268 /nfs/dbraw/zinc/32/52/68/389325268.db2.gz DXHHMPUSQCMESW-QWRGUYRKSA-N 0 1 253.346 0.640 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCC[C@H](CC(N)=O)C1 ZINC000346417851 389328512 /nfs/dbraw/zinc/32/85/12/389328512.db2.gz VYOAREOJQJVRFV-NWDGAFQWSA-N 0 1 292.383 0.525 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)N2C[C@@H]3CC[C@H](O)[C@H]3C2)cc1 ZINC000344030594 389277595 /nfs/dbraw/zinc/27/75/95/389277595.db2.gz BZFDAIBKIZEEOZ-ZQDZILKHSA-N 0 1 285.347 0.787 20 30 CCEDMN CC(C)c1cc(C(=O)N2CCN(CC#N)CC2)n[nH]1 ZINC000152738266 389292873 /nfs/dbraw/zinc/29/28/73/389292873.db2.gz BRMQEIRDUUAOPY-UHFFFAOYSA-N 0 1 261.329 0.814 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000366443334 389301522 /nfs/dbraw/zinc/30/15/22/389301522.db2.gz TVKMXEQXIVEOPO-ITGUQSILSA-N 0 1 252.358 0.581 20 30 CCEDMN N#CCCS(=O)(=O)NC[C@@H]1CCCCN1C1CC1 ZINC000331440143 389305466 /nfs/dbraw/zinc/30/54/66/389305466.db2.gz HSSMKSURAZIINB-LBPRGKRZSA-N 0 1 271.386 0.836 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[N@@H+]2CCC[C@H]2[C@H]1C(=O)[O-] ZINC000368496912 389380370 /nfs/dbraw/zinc/38/03/70/389380370.db2.gz SYHRIBBUFAPQLO-QWRGUYRKSA-N 0 1 288.369 0.126 20 30 CCEDMN Cc1cccc2c1C[C@H](C(=O)N=c1[nH]n(C)cc1C#N)O2 ZINC000410538720 389385433 /nfs/dbraw/zinc/38/54/33/389385433.db2.gz LVAAFFPAWZFTTJ-CYBMUJFWSA-N 0 1 282.303 0.964 20 30 CCEDMN Cc1cccc2c1C[C@@H](C(=O)N=c1[nH]n(C)cc1C#N)O2 ZINC000410538722 389385785 /nfs/dbraw/zinc/38/57/85/389385785.db2.gz LVAAFFPAWZFTTJ-ZDUSSCGKSA-N 0 1 282.303 0.964 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N(CCCc2cn[nH]c2C)C1=O ZINC000348627462 389387091 /nfs/dbraw/zinc/38/70/91/389387091.db2.gz WFIGJEILUHVXQH-GFCCVEGCSA-N 0 1 292.339 0.774 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCC2)[C@H](C)CN1C ZINC000347014927 389344868 /nfs/dbraw/zinc/34/48/68/389344868.db2.gz UUUJRBMZJGAWBU-VXGBXAGGSA-N 0 1 264.373 0.573 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1C[C@@H](O)C[C@H]1COC ZINC000411926251 389463854 /nfs/dbraw/zinc/46/38/54/389463854.db2.gz VACQPBVUGATVRH-RDBSUJKOSA-N 0 1 282.384 0.657 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@H](O)C[C@H](C)C1 ZINC000412022970 389472942 /nfs/dbraw/zinc/47/29/42/389472942.db2.gz BFDPQXRYLHQSQZ-QJPTWQEYSA-N 0 1 265.357 0.640 20 30 CCEDMN C#CCN(CCOc1ccc(S(N)(=O)=O)cc1)C1CC1 ZINC000274027494 389479159 /nfs/dbraw/zinc/47/91/59/389479159.db2.gz CWCZZDKQPMFCSU-UHFFFAOYSA-N 0 1 294.376 0.810 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2ccnc(C#N)c2)C1 ZINC000413494163 389521619 /nfs/dbraw/zinc/52/16/19/389521619.db2.gz GVHOLQTXLVJQCZ-LLVKDONJSA-N 0 1 274.324 0.494 20 30 CCEDMN C=CCC[C@H](O)CN(C)C[C@H]1CCS(=O)(=O)C1 ZINC000351271549 389571988 /nfs/dbraw/zinc/57/19/88/389571988.db2.gz QTLWYHPLPKHSJQ-NEPJUHHUSA-N 0 1 261.387 0.680 20 30 CCEDMN C=CCC[C@H](O)CN1CCc2c(ncn(CC)c2=O)C1 ZINC000281131600 389609134 /nfs/dbraw/zinc/60/91/34/389609134.db2.gz YKVXKMOECMQNFN-LBPRGKRZSA-N 0 1 277.368 0.948 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CC[C@@H]2CCN(C)C[C@H]21 ZINC000336281342 389629459 /nfs/dbraw/zinc/62/94/59/389629459.db2.gz AFWIZGATNSVQBL-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCc2n[nH]nc2C1 ZINC000351623625 389638217 /nfs/dbraw/zinc/63/82/17/389638217.db2.gz ZTPGKQNCEGCEOC-ZDUSSCGKSA-N 0 1 276.344 0.217 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000425312966 389644618 /nfs/dbraw/zinc/64/46/18/389644618.db2.gz ZSVUUMLQEHCLDL-HTQZYQBOSA-N 0 1 250.258 0.641 20 30 CCEDMN CC#CCN1CCC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC000375163088 389644797 /nfs/dbraw/zinc/64/47/97/389644797.db2.gz YCQGEYDVVIDXNU-LLVKDONJSA-N 0 1 262.313 0.725 20 30 CCEDMN N#Cc1ccc(N2CCN([C@@H]3CCC[C@H]3O)CC2)nc1 ZINC000375777570 389711616 /nfs/dbraw/zinc/71/16/16/389711616.db2.gz UCXDAKCOWRYYOS-ZIAGYGMSSA-N 0 1 272.352 0.989 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](C(=O)OC)C2)C1=O ZINC000282132176 389658229 /nfs/dbraw/zinc/65/82/29/389658229.db2.gz YWASHBWEUCRSET-GHMZBOCLSA-N 0 1 252.314 0.268 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2sccc2C#N)[C@@H](C)CN1 ZINC000415573324 389692998 /nfs/dbraw/zinc/69/29/98/389692998.db2.gz VLGQDLWJRKIUJG-BDAKNGLRSA-N 0 1 292.364 0.767 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1C[C@@H](C)[C@@H](O)C1 ZINC000351957674 389724684 /nfs/dbraw/zinc/72/46/84/389724684.db2.gz HOZNFJQRYIYLJY-NRWUCQMLSA-N 0 1 267.373 0.742 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCC1(C#N)CCC1 ZINC000416346564 389735414 /nfs/dbraw/zinc/73/54/14/389735414.db2.gz MACCVFGHHULHMV-GFCCVEGCSA-N 0 1 265.357 0.765 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000416392762 389738637 /nfs/dbraw/zinc/73/86/37/389738637.db2.gz TUGKUSQJOWIABL-KOLCDFICSA-N 0 1 257.359 0.397 20 30 CCEDMN C[C@H](NCC(=O)NCC#N)[C@H]1OCCc2sccc21 ZINC000429166018 389742206 /nfs/dbraw/zinc/74/22/06/389742206.db2.gz GXJXLPHENCRWSQ-TVQRCGJNSA-N 0 1 279.365 0.980 20 30 CCEDMN CC(C)N1CC[C@@H](N(C)S(=O)(=O)[C@@H](C)C#N)C1 ZINC000416497857 389748875 /nfs/dbraw/zinc/74/88/75/389748875.db2.gz UWZSNRMMZWYJOI-WDEREUQCSA-N 0 1 259.375 0.643 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCCCCC#N)[C@@H](C)C1 ZINC000352898506 389839888 /nfs/dbraw/zinc/83/98/88/389839888.db2.gz GCFRTXSHVJAHQG-QWHCGFSZSA-N 0 1 282.388 0.777 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H](N2CCOCC2)C[C@H]1C ZINC000352896249 389840618 /nfs/dbraw/zinc/84/06/18/389840618.db2.gz ZTVXTDLRITXEPG-HZSPNIEDSA-N 0 1 281.400 0.472 20 30 CCEDMN COC[C@H](N[C@H](C)C(=O)NC1(C#N)CCC1)[C@H]1CCCO1 ZINC000432651056 389886381 /nfs/dbraw/zinc/88/63/81/389886381.db2.gz GHRUCSPZFVOHIL-FRRDWIJNSA-N 0 1 295.383 0.721 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N1CCNC[C@H]1C ZINC000419134196 389887460 /nfs/dbraw/zinc/88/74/60/389887460.db2.gz IENYSNPXZRDLOO-GHMZBOCLSA-N 0 1 253.346 0.278 20 30 CCEDMN C=CCC[C@@H](O)CN1CCC[C@H]1C(=O)NCC(=O)OC ZINC000419347150 389890890 /nfs/dbraw/zinc/89/08/90/389890890.db2.gz PIENWZRTVXBYBK-NEPJUHHUSA-N 0 1 284.356 0.067 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC(C)(C)C#N)[C@H](C)CN1CCO ZINC000419481139 389892246 /nfs/dbraw/zinc/89/22/46/389892246.db2.gz QXSMGNDWXGFJMG-VXGBXAGGSA-N 0 1 282.388 0.633 20 30 CCEDMN CCS(=O)(=O)NCCCN(C)CC(C)(C)C#N ZINC000433320180 389908793 /nfs/dbraw/zinc/90/87/93/389908793.db2.gz SZNSWYUQFPSYAU-UHFFFAOYSA-N 0 1 261.391 0.797 20 30 CCEDMN C=CCC(CC=C)C(=O)N1C[C@@H](O)[C@H]([N@@H+](C)CC(=O)[O-])C1 ZINC000435700066 389961345 /nfs/dbraw/zinc/96/13/45/389961345.db2.gz DDPWDFXGGMQBBS-CHWSQXEVSA-N 0 1 296.367 0.343 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000433974647 389922191 /nfs/dbraw/zinc/92/21/91/389922191.db2.gz PMXMXRVCEFAKSH-TVQRCGJNSA-N 0 1 262.313 0.874 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](O)C(C)(C)C1 ZINC000446084807 389931160 /nfs/dbraw/zinc/93/11/60/389931160.db2.gz XPGHSDYEJXCTMY-GFCCVEGCSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](O)C(C)(C)C1 ZINC000446084808 389931346 /nfs/dbraw/zinc/93/13/46/389931346.db2.gz XPGHSDYEJXCTMY-LBPRGKRZSA-N 0 1 267.373 0.841 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCCc1nc[nH]n1 ZINC000437890747 389998584 /nfs/dbraw/zinc/99/85/84/389998584.db2.gz RBZMTYXDSCJWGJ-GFCCVEGCSA-N 0 1 252.318 0.692 20 30 CCEDMN Cc1nc(N2CCN(CCO)[C@H](C)C2)ccc1C#N ZINC000487735054 390089592 /nfs/dbraw/zinc/08/95/92/390089592.db2.gz DLAHDNJYFWJKTG-LLVKDONJSA-N 0 1 260.341 0.765 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN(C)C[C@H](C(=O)OC)C1)C(C)C ZINC000491746895 390116420 /nfs/dbraw/zinc/11/64/20/390116420.db2.gz RGJCAYLOKCUVNC-QWHCGFSZSA-N 0 1 295.383 0.390 20 30 CCEDMN C#C[C@H](NC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C)C(C)C ZINC000491772044 390116664 /nfs/dbraw/zinc/11/66/64/390116664.db2.gz SSWVZCQRVKOHFN-MCIONIFRSA-N 0 1 281.400 0.741 20 30 CCEDMN CC1CCC(O)(CN2CCN(C(=O)CC#N)CC2)CC1 ZINC000579137903 390146389 /nfs/dbraw/zinc/14/63/89/390146389.db2.gz LNFAOYQEYWUDFZ-UHFFFAOYSA-N 0 1 279.384 0.985 20 30 CCEDMN Cc1nc(CN2CCN(c3cc(C#N)ncn3)CC2)n[nH]1 ZINC000580149579 390223583 /nfs/dbraw/zinc/22/35/83/390223583.db2.gz MVVAFQNOUUKZNB-UHFFFAOYSA-N 0 1 284.327 0.097 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@](O)(CC)C1 ZINC000495209170 390225427 /nfs/dbraw/zinc/22/54/27/390225427.db2.gz AMDBWBOJNSYJPS-OCCSQVGLSA-N 0 1 267.373 0.841 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000582231737 390270903 /nfs/dbraw/zinc/27/09/03/390270903.db2.gz UAIRZTURTCODCI-WOPDTQHZSA-N 0 1 268.361 0.243 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H](CO)c1ccccc1C ZINC000542910526 390276047 /nfs/dbraw/zinc/27/60/47/390276047.db2.gz VEKZGOKNKGCQJH-ZDUSSCGKSA-N 0 1 291.351 0.630 20 30 CCEDMN COCC#CCN[C@@H](C(N)=O)[C@@H](C)c1ccccc1 ZINC000644706967 390288394 /nfs/dbraw/zinc/28/83/94/390288394.db2.gz CTOPBYXUNXJARS-GXTWGEPZSA-N 0 1 260.337 0.883 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC2(C#N)CC2)[C@@H](C)CN1CCO ZINC000583802590 390311889 /nfs/dbraw/zinc/31/18/89/390311889.db2.gz IVAQNUIVXSPBAZ-NEPJUHHUSA-N 0 1 280.372 0.387 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2CCC(=O)C[C@@H]2C)[nH]n1C ZINC000648434305 390372505 /nfs/dbraw/zinc/37/25/05/390372505.db2.gz FXDNXTGYZBLZRN-KWQFWETISA-N 0 1 274.324 0.966 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)NCc1cn[nH]c1C ZINC000645175935 390382918 /nfs/dbraw/zinc/38/29/18/390382918.db2.gz DUUZFLYOCVZTTA-LLVKDONJSA-N 0 1 250.302 0.292 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H](C)c2n[nH]c(C)n2)nn1 ZINC000648903060 390440598 /nfs/dbraw/zinc/44/05/98/390440598.db2.gz CSQKITHZUXBQJY-SSDOTTSWSA-N 0 1 261.289 0.382 20 30 CCEDMN CN(C)C(=O)[C@@H]1CC[C@@H](CNC[C@H](C#N)CCC#N)O1 ZINC000563709860 390493431 /nfs/dbraw/zinc/49/34/31/390493431.db2.gz QKXARBKNLAVYPP-AVGNSLFASA-N 0 1 278.356 0.655 20 30 CCEDMN CO[C@H]1C[C@@H](N(C)CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000510129012 390464416 /nfs/dbraw/zinc/46/44/16/390464416.db2.gz BRZOKOZSYAIMLO-MBNYWOFBSA-N 0 1 265.357 0.904 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CC[C@@H]2OCC[C@@H]2C1 ZINC000567796138 390711234 /nfs/dbraw/zinc/71/12/34/390711234.db2.gz CWPILQPENFMPKM-KGLIPLIRSA-N 0 1 290.367 0.753 20 30 CCEDMN N#CC1CCC(CNC(=O)NC[C@H]2COCCN2)CC1 ZINC000529332893 390811025 /nfs/dbraw/zinc/81/10/25/390811025.db2.gz XSMOOWTVGFVXRH-BPCQOVAHSA-N 0 1 280.372 0.604 20 30 CCEDMN N#Cc1ccc(N2CCN(CC3(CO)COC3)CC2)nc1 ZINC000532313051 390879611 /nfs/dbraw/zinc/87/96/11/390879611.db2.gz QJDAKGPKGQGBAQ-UHFFFAOYSA-N 0 1 288.351 0.084 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CC[N@H+]3CC[C@H]2C3)cn1 ZINC000529440960 390832133 /nfs/dbraw/zinc/83/21/33/390832133.db2.gz VLEFCPFUPHPIAV-ZDUSSCGKSA-N 0 1 256.309 0.412 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)N[C@H]2CC[C@H]2C)[nH]1 ZINC000357310011 144081303 /nfs/dbraw/zinc/08/13/03/144081303.db2.gz NLTKMXXBMKYGCV-KOLCDFICSA-N 0 1 289.339 0.611 20 30 CCEDMN C=CCCCS(=O)(=O)Nc1cncc(OC)n1 ZINC000650493210 397725078 /nfs/dbraw/zinc/72/50/78/397725078.db2.gz OFKCRYMMCWGXHQ-UHFFFAOYSA-N 0 1 257.315 0.615 20 30 CCEDMN CCN(CCC(=O)N(C)CCC#N)CC(=O)N(C)C ZINC000049024185 411668775 /nfs/dbraw/zinc/66/87/75/411668775.db2.gz ZRHVXKVQVVYUBR-UHFFFAOYSA-N 0 1 268.361 0.159 20 30 CCEDMN N#Cc1ccccc1NC(=O)CCN1CCC[C@H]1C(N)=O ZINC000020294731 171134912 /nfs/dbraw/zinc/13/49/12/171134912.db2.gz UQEFAMOYWMMXCM-ZDUSSCGKSA-N 0 1 286.335 0.837 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@H](C)Cc1cnc[nH]1 ZINC000654982840 412456889 /nfs/dbraw/zinc/45/68/89/412456889.db2.gz IFMHEJDEWGBIIV-MNOVXSKESA-N 0 1 251.330 0.889 20 30 CCEDMN N#Cc1ncn(CCNCc2cccc3c2OCCO3)n1 ZINC000285619996 196346280 /nfs/dbraw/zinc/34/62/80/196346280.db2.gz WLHVJXPXZVEHSV-UHFFFAOYSA-N 0 1 285.307 0.711 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NCc1coc(S(N)(=O)=O)c1 ZINC000660721948 414461206 /nfs/dbraw/zinc/46/12/06/414461206.db2.gz BEUQLXBFWKMYQJ-ZJUUUORDSA-N 0 1 272.326 0.360 20 30 CCEDMN C=CCN(CC1(O)CCS(=O)(=O)CC1)[C@H](C)COC ZINC000661243841 414583946 /nfs/dbraw/zinc/58/39/46/414583946.db2.gz OKCZFHZWXYZDDW-GFCCVEGCSA-N 0 1 291.413 0.449 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)NCc1cccc(OC)c1 ZINC000662165567 414695006 /nfs/dbraw/zinc/69/50/06/414695006.db2.gz JJCIWVYEQXKXLT-WCQYABFASA-N 0 1 278.352 0.836 20 30 CCEDMN C=CCOc1ccccc1CNC(=O)C1(O)CNC1 ZINC000662592641 414731267 /nfs/dbraw/zinc/73/12/67/414731267.db2.gz CLHJNRGPEMLUQF-UHFFFAOYSA-N 0 1 262.309 0.202 20 30 CCEDMN C=C[C@](C)(O)C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000665538646 416452187 /nfs/dbraw/zinc/45/21/87/416452187.db2.gz PVZZTPZYDCUFGZ-OCCSQVGLSA-N 0 1 270.373 0.396 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)[C@H]2CC(=O)N(C)C2)n1 ZINC000329104277 227299754 /nfs/dbraw/zinc/29/97/54/227299754.db2.gz NXYBAKKIZQMBCD-QMMMGPOBSA-N 0 1 251.290 0.090 20 30 CCEDMN C[C@H](Cn1cccn1)NC([O-])=[NH+][C@@H]1CC[S@@](=O)C1 ZINC000329992075 228009419 /nfs/dbraw/zinc/00/94/19/228009419.db2.gz AKZUJZGJBLBJJB-CLFVBBPSSA-N 0 1 270.358 0.296 20 30 CCEDMN [O-]C(N[C@H]1CCc2ncnn2C1)=[NH+]CC1(CCO)CC1 ZINC000330276198 228089490 /nfs/dbraw/zinc/08/94/90/228089490.db2.gz MNNASAOYHQHPJT-JTQLQIEISA-N 0 1 279.344 0.259 20 30 CCEDMN O=C(NCC1(CCO)CC1)N[C@H]1CCc2ncnn2C1 ZINC000330276198 228089492 /nfs/dbraw/zinc/08/94/92/228089492.db2.gz MNNASAOYHQHPJT-JTQLQIEISA-N 0 1 279.344 0.259 20 30 CCEDMN Cc1cc(NC(=O)NC[C@H]2COCCN2)nn1CCC#N ZINC000528688878 420401435 /nfs/dbraw/zinc/40/14/35/420401435.db2.gz XEEQIJFOWKRBAH-NSHDSACASA-N 0 1 292.343 0.215 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NC[C@]2(C)CCOC2)C1 ZINC000329588621 545023659 /nfs/dbraw/zinc/02/36/59/545023659.db2.gz YZJWGOBLAJRYOM-OCCSQVGLSA-N 0 1 284.404 0.162 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cncc(C)c1 ZINC000357101900 260127223 /nfs/dbraw/zinc/12/72/23/260127223.db2.gz FLVJURQTQNYARB-UHFFFAOYSA-N 0 1 294.336 0.847 20 30 CCEDMN C=CCCCNC(=O)C(=O)N=c1[nH]n(C(C)C)cc1C#N ZINC000448378438 261134178 /nfs/dbraw/zinc/13/41/78/261134178.db2.gz YSCBLZITSFFMAO-UHFFFAOYSA-N 0 1 289.339 0.778 20 30 CCEDMN C#CCN(CC#CC)CCC(=O)Nc1cc(C)on1 ZINC000490699750 261188840 /nfs/dbraw/zinc/18/88/40/261188840.db2.gz QXWRMFNWOBOLQG-UHFFFAOYSA-N 0 1 259.309 0.692 20 30 CCEDMN C#CCN1CCC(C(=O)OCCCC(=O)OC)CC1 ZINC000490867007 261231594 /nfs/dbraw/zinc/23/15/94/261231594.db2.gz XXYVJWFJGBMIMO-UHFFFAOYSA-N 0 1 267.325 0.828 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCN1C(=O)CCC1=O ZINC000490876585 261234731 /nfs/dbraw/zinc/23/47/31/261234731.db2.gz UYTOTQOZGCRBJU-GFCCVEGCSA-N 0 1 292.335 0.166 20 30 CCEDMN N#Cc1ccccc1OC[C@@H](O)CN1CCc2n[nH]nc2C1 ZINC000528478321 269837890 /nfs/dbraw/zinc/83/78/90/269837890.db2.gz YRKRGUZCNFRULR-LBPRGKRZSA-N 0 1 299.334 0.474 20 30 CCEDMN C#CCCCC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000365243494 262354658 /nfs/dbraw/zinc/35/46/58/262354658.db2.gz HWESSGIMUXEFFZ-ZIAGYGMSSA-N 0 1 264.369 0.848 20 30 CCEDMN CCOC(=O)CO/N=C(/C(=O)N1CCCC1)c1cc[nH]n1 ZINC000276710450 263037970 /nfs/dbraw/zinc/03/79/70/263037970.db2.gz RDGYAYSRHOXNTJ-FOWTUZBSSA-N 0 1 294.311 0.316 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cc(F)cc2nn[nH]c21 ZINC000282695445 263078186 /nfs/dbraw/zinc/07/81/86/263078186.db2.gz KQAUVDWSIJDTPW-QMMMGPOBSA-N 0 1 275.243 0.462 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@@H](n2cccn2)C1 ZINC000291735051 263125251 /nfs/dbraw/zinc/12/52/51/263125251.db2.gz JSGPCFRQQZPXLL-QWHCGFSZSA-N 0 1 275.356 0.890 20 30 CCEDMN CN1CCN(c2cc(C(=O)N(CC#N)CC#N)ccn2)CC1 ZINC000340668640 263277629 /nfs/dbraw/zinc/27/76/29/263277629.db2.gz GVGJUVGMZRGPQH-UHFFFAOYSA-N 0 1 298.350 0.323 20 30 CCEDMN CN1CCN(c2cc(C(=O)NCC#N)ccn2)CC1 ZINC000340669796 263281800 /nfs/dbraw/zinc/28/18/00/263281800.db2.gz YMWZFYUKHOMFPR-UHFFFAOYSA-N 0 1 259.313 0.087 20 30 CCEDMN C=CCCC[C@@H](C)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000340917356 263314625 /nfs/dbraw/zinc/31/46/25/263314625.db2.gz VIXCNJOWJOEJGB-NEPJUHHUSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@H](C#N)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC000341092820 263321819 /nfs/dbraw/zinc/32/18/19/263321819.db2.gz NSHYZVWGIPMAMR-ZCFIWIBFSA-N 0 1 257.253 0.760 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N(CCC#N)CCN1CCOCC1 ZINC000330773303 264034410 /nfs/dbraw/zinc/03/44/10/264034410.db2.gz FIOWEGBENMQEKB-CQSZACIVSA-N 0 1 294.399 0.155 20 30 CCEDMN C[C@H](O)[C@H]1CCN(C([O-])=[NH+]CCn2ccnc2)C1 ZINC000330803057 264042203 /nfs/dbraw/zinc/04/22/03/264042203.db2.gz JSUIFBFVHMHSRW-QWRGUYRKSA-N 0 1 252.318 0.500 20 30 CCEDMN COC(=O)[C@@H]1CN(C(=O)NCc2cn[nH]c2C)CCO1 ZINC000330820801 264047255 /nfs/dbraw/zinc/04/72/55/264047255.db2.gz HFGBCYXZTXDSDU-JTQLQIEISA-N 0 1 282.300 0.006 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000330867591 264057551 /nfs/dbraw/zinc/05/75/51/264057551.db2.gz OYPGWGGEENZVFS-AWEZNQCLSA-N 0 1 270.373 0.229 20 30 CCEDMN CC(=O)N1CC[C@@H](NC(=O)NCCN2CC=C(C)CC2)C1 ZINC000330867962 264057897 /nfs/dbraw/zinc/05/78/97/264057897.db2.gz DMJWFFWVFFGABU-CQSZACIVSA-N 0 1 294.399 0.763 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CC[C@H](C)[C@@H](OC)C2)C1 ZINC000330938747 264082200 /nfs/dbraw/zinc/08/22/00/264082200.db2.gz CBRCAQQHBIRIRW-IHRRRGAJSA-N 0 1 299.415 0.978 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000330967352 264091144 /nfs/dbraw/zinc/09/11/44/264091144.db2.gz QPMYEMAFFBKVDC-HIFRSBDPSA-N 0 1 282.384 0.494 20 30 CCEDMN [O-]C(=[NH+]CCn1cccn1)N1CC[C@@H](CCO)C1 ZINC000330933924 264097567 /nfs/dbraw/zinc/09/75/67/264097567.db2.gz GBUREWIKNFIDMO-NSHDSACASA-N 0 1 252.318 0.501 20 30 CCEDMN N#CCCCN1CCC[C@H](n2cnc(C(N)=O)n2)C1 ZINC000331158006 264141891 /nfs/dbraw/zinc/14/18/91/264141891.db2.gz JSSWYIMMKVHODB-JTQLQIEISA-N 0 1 262.317 0.318 20 30 CCEDMN Cc1cnc(C(=O)NC[C@@H]2CCCCN2CCO)cn1 ZINC000331342418 264195109 /nfs/dbraw/zinc/19/51/09/264195109.db2.gz TVQAPMFFOXMTCF-LBPRGKRZSA-N 0 1 278.356 0.936 20 30 CCEDMN CCCNC(=O)NC(=O)CN1CC[C@@H](SC)C1 ZINC000331349746 264206897 /nfs/dbraw/zinc/20/68/97/264206897.db2.gz XYWLOEAYHZEMPB-SECBINFHSA-N 0 1 259.375 0.864 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@H](OC)C2CC2)CC1 ZINC000425385569 264220923 /nfs/dbraw/zinc/22/09/23/264220923.db2.gz NRZFOSDMRHURBZ-CQSZACIVSA-N 0 1 281.400 0.331 20 30 CCEDMN C=CCNc1ncc(C(=O)NCCc2nc[nH]n2)s1 ZINC000129313394 264318927 /nfs/dbraw/zinc/31/89/27/264318927.db2.gz CZVDZPYRQWCFBC-UHFFFAOYSA-N 0 1 278.341 0.832 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N1CC[C@@](O)(c2c[nH]nn2)C1 ZINC000284925469 264535976 /nfs/dbraw/zinc/53/59/76/264535976.db2.gz VTRXBVFEDFDQQV-QKCSRTOESA-N 0 1 292.339 0.206 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](C(=O)OC)C1 ZINC000097989636 264989414 /nfs/dbraw/zinc/98/94/14/264989414.db2.gz VUCULUIVIDWZKU-MNOVXSKESA-N 0 1 252.314 0.009 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000356832312 266183241 /nfs/dbraw/zinc/18/32/41/266183241.db2.gz IXUSVODJMOZKKO-VIFPVBQESA-N 0 1 258.281 0.748 20 30 CCEDMN C=C[C@@H](CO)N=c1ccc(C(=O)NC2CCCC2)n[nH]1 ZINC000357590340 266331659 /nfs/dbraw/zinc/33/16/59/266331659.db2.gz FIMSOGPHTXOAGF-JTQLQIEISA-N 0 1 276.340 0.530 20 30 CCEDMN C[C@H](C(=O)NC(C)(C)C)N(C)CCC(=O)N(C)CCC#N ZINC000362593040 267015887 /nfs/dbraw/zinc/01/58/87/267015887.db2.gz OLWRRPOYOBKEFK-GFCCVEGCSA-N 0 1 296.415 0.984 20 30 CCEDMN CC(C)CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](C)C#N ZINC000362734642 267040443 /nfs/dbraw/zinc/04/04/43/267040443.db2.gz NNWHEGGICVGCSR-CMPLNLGQSA-N 0 1 291.355 0.511 20 30 CCEDMN C#CCOCCC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000372302123 267543313 /nfs/dbraw/zinc/54/33/13/267543313.db2.gz NBRFFHXQJFSNGS-UONOGXRCSA-N 0 1 280.368 0.084 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCO[C@H]2CCN(C)C[C@@H]21 ZINC000332672084 281282836 /nfs/dbraw/zinc/28/28/36/281282836.db2.gz INSSCYRKRLKIPT-SGMGOOAPSA-N 0 1 265.357 0.858 20 30 CCEDMN N#C[C@@]1(C(=O)NCCc2nc[nH]n2)CC12CCCC2 ZINC000571176515 328089685 /nfs/dbraw/zinc/08/96/85/328089685.db2.gz DGGGJSBWVVJQOW-CYBMUJFWSA-N 0 1 259.313 0.937 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2ccccc2OCC#N)n1 ZINC000267175807 328174152 /nfs/dbraw/zinc/17/41/52/328174152.db2.gz MYCNOYWNXOTIDY-UHFFFAOYSA-N 0 1 285.307 0.988 20 30 CCEDMN N#C[C@@H]1CN(C(=O)N[C@H]2CCCc3cn[nH]c32)CCO1 ZINC000269048401 328189296 /nfs/dbraw/zinc/18/92/96/328189296.db2.gz UHEXIJRFUNZKLW-MNOVXSKESA-N 0 1 275.312 0.721 20 30 CCEDMN CS(=O)(=O)N[C@@H]1CCN(CCCSCC#N)C1 ZINC000173895772 329153195 /nfs/dbraw/zinc/15/31/95/329153195.db2.gz ZIQMGECQPDYMTH-SNVBAGLBSA-N 0 1 277.415 0.257 20 30 CCEDMN C#CCN(Cc1cn[nH]c1C)[C@@H]1CCS(=O)(=O)C1 ZINC000092194825 327188071 /nfs/dbraw/zinc/18/80/71/327188071.db2.gz DDHWRGQDSSLUSW-GFCCVEGCSA-N 0 1 267.354 0.340 20 30 CCEDMN CN1CCN(CC(=O)N(CCC#N)CCC#N)CC1(C)C ZINC000559759064 327259928 /nfs/dbraw/zinc/25/99/28/327259928.db2.gz LWXCNWVIVQYAFG-UHFFFAOYSA-N 0 1 291.399 0.668 20 30 CCEDMN Cc1cccc(C)c1OC[C@H](O)CNCC#CCO ZINC000573848295 327264015 /nfs/dbraw/zinc/26/40/15/327264015.db2.gz YHBMKUDQTXGTOG-CQSZACIVSA-N 0 1 263.337 0.628 20 30 CCEDMN C[C@H]1CN(CC(=O)N(C)C2(C#N)CCCCC2)C[C@H]1O ZINC000351957824 327333293 /nfs/dbraw/zinc/33/32/93/327333293.db2.gz IIUJGFOOIKYZIT-QWHCGFSZSA-N 0 1 279.384 0.984 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@H](OC)C[C@@H]1C(=O)[O-] ZINC000579614473 327396628 /nfs/dbraw/zinc/39/66/28/327396628.db2.gz DWILTLYYKXBNQB-SDDRHHMPSA-N 0 1 257.330 0.878 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H]2[C@H](C1)C2(C)C ZINC000172964816 329141338 /nfs/dbraw/zinc/14/13/38/329141338.db2.gz ZXKJTZNDSGKOHX-AOOOYVTPSA-N 0 1 251.330 0.586 20 30 CCEDMN CC1CCN(CCNS(=O)(=O)CC2(C#N)CC2)CC1 ZINC000180406065 329216046 /nfs/dbraw/zinc/21/60/46/329216046.db2.gz WKNIKIZCNNNJEN-UHFFFAOYSA-N 0 1 285.413 0.941 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1C[C@H](C)NC[C@@H]1C ZINC000423623841 330212986 /nfs/dbraw/zinc/21/29/86/330212986.db2.gz AQIGVPPWSHSXEH-QWRGUYRKSA-N 0 1 253.346 0.230 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC(C)(C#N)CC2)C[C@@H](C)N1 ZINC000423389630 330200399 /nfs/dbraw/zinc/20/03/99/330200399.db2.gz VGPLHODIJIYBDT-VXGBXAGGSA-N 0 1 292.383 0.347 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCO[C@@H]([C@@H]3CCCO3)C2)CC1 ZINC000528401379 330262269 /nfs/dbraw/zinc/26/22/69/330262269.db2.gz BFYBDGVUSDPJDP-MELADBBJSA-N 0 1 280.368 0.921 20 30 CCEDMN CCO[C@H]1C[C@H](N(C)CC(=O)NCC#N)C12CCC2 ZINC000120999707 330394326 /nfs/dbraw/zinc/39/43/26/330394326.db2.gz RFWMNXXZOZOKLH-RYUDHWBXSA-N 0 1 265.357 0.906 20 30 CCEDMN N#CCC1(CS(=O)(=O)N[C@H]2CCN(C3CC3)C2)CC1 ZINC000451111880 331015967 /nfs/dbraw/zinc/01/59/67/331015967.db2.gz HRKLGAGWESJDLG-NSHDSACASA-N 0 1 283.397 0.836 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H]2C[C@@H](O)CC[C@H]2C1 ZINC000451720697 331040218 /nfs/dbraw/zinc/04/02/18/331040218.db2.gz YDKSWMVQYFMSBN-AGIUHOORSA-N 0 1 295.383 0.481 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@@H]1C[C@@H](OC)C12CCC2 ZINC000451843159 331044493 /nfs/dbraw/zinc/04/44/93/331044493.db2.gz UXEONDODEUVXIA-VXGBXAGGSA-N 0 1 295.383 0.888 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NC[C@H]1CCC[N@@H+]1CCCO ZINC000459040475 331267882 /nfs/dbraw/zinc/26/78/82/331267882.db2.gz YUAHFLBVBHDKLV-MCIONIFRSA-N 0 1 297.399 0.476 20 30 CCEDMN CCNC(=O)C(C)(C)NCC(=O)NC1(C#N)CCCCC1 ZINC000459566677 331288172 /nfs/dbraw/zinc/28/81/72/331288172.db2.gz WSMYULLABSMYCR-UHFFFAOYSA-N 0 1 294.399 0.833 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(C(C)=O)CC2)CC1 ZINC000490556864 332091769 /nfs/dbraw/zinc/09/17/69/332091769.db2.gz YVAQVBREQOCBLH-UHFFFAOYSA-N 0 1 277.368 0.022 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CC1)OCCCO2 ZINC000491209156 332165906 /nfs/dbraw/zinc/16/59/06/332165906.db2.gz CJZBYLCXFCEYPS-LBPRGKRZSA-N 0 1 266.341 0.353 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](CO)CC2)CC1 ZINC000491308047 332187129 /nfs/dbraw/zinc/18/71/29/332187129.db2.gz SFYQZOCHTXYLNW-AWEZNQCLSA-N 0 1 278.396 0.953 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1cc(F)cc2nn[nH]c21 ZINC000491374416 332199888 /nfs/dbraw/zinc/19/98/88/332199888.db2.gz RIPSAVVMZNBFGK-VIFPVBQESA-N 0 1 274.255 0.571 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1ccc(SC)cc1 ZINC000491452969 332214335 /nfs/dbraw/zinc/21/43/35/332214335.db2.gz OWICNHZTGBDQFB-RYUDHWBXSA-N 0 1 281.377 0.732 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2c(C)cnn2C)CC1 ZINC000491462773 332216139 /nfs/dbraw/zinc/21/61/39/332216139.db2.gz KJQDEUIEYMKEQR-UHFFFAOYSA-N 0 1 274.368 0.690 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)Cc1cncnc1 ZINC000491635854 332257028 /nfs/dbraw/zinc/25/70/28/332257028.db2.gz SEJRDIWGTXPJPU-ZDUSSCGKSA-N 0 1 259.309 0.660 20 30 CCEDMN C#CCNC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000491637869 332258243 /nfs/dbraw/zinc/25/82/43/332258243.db2.gz XEJFHBVBDPFVGJ-GFCCVEGCSA-N 0 1 276.340 0.233 20 30 CCEDMN C#CCNC(=O)CN1CCN([C@H]2C=CCCC2)CC1 ZINC000491637902 332258274 /nfs/dbraw/zinc/25/82/74/332258274.db2.gz YRUXNQZMFBLSEW-AWEZNQCLSA-N 0 1 261.369 0.462 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000491657192 332268528 /nfs/dbraw/zinc/26/85/28/332268528.db2.gz UQMUZGPOBDJTDQ-CYBMUJFWSA-N 0 1 282.303 0.946 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@@H](c2cnn(C)c2)C(C)(C)C1 ZINC000491667988 332276070 /nfs/dbraw/zinc/27/60/70/332276070.db2.gz SFJBIXHCPBUYPG-JSGCOSHPSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCN(CC#CC)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000491754436 332329451 /nfs/dbraw/zinc/32/94/51/332329451.db2.gz STGCORCFIAVZPI-HNNXBMFYSA-N 0 1 290.411 0.290 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC2CC(F)(F)C2)CC1 ZINC000491777453 332342940 /nfs/dbraw/zinc/34/29/40/332342940.db2.gz XGWMIRJXDPUFOT-UHFFFAOYSA-N 0 1 285.338 0.399 20 30 CCEDMN C#C[C@@H](CC)NC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000491819935 332369591 /nfs/dbraw/zinc/36/95/91/332369591.db2.gz PQGDXTPVJBJKSO-ZDUSSCGKSA-N 0 1 286.379 0.975 20 30 CCEDMN N#CCSCC(=O)N1CCN(CC2CCOCC2)CC1 ZINC000172462628 335105787 /nfs/dbraw/zinc/10/57/87/335105787.db2.gz QRNBYKTYDVQVMM-UHFFFAOYSA-N 0 1 297.424 0.814 20 30 CCEDMN C=CCc1ccccc1OC[C@@H](O)CNCC#CCO ZINC000566232720 334637499 /nfs/dbraw/zinc/63/74/99/334637499.db2.gz SSHUBHOETIQJBM-HNNXBMFYSA-N 0 1 275.348 0.740 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](CC(N)=O)C1 ZINC000175201180 333017122 /nfs/dbraw/zinc/01/71/22/333017122.db2.gz LLHIFJNRUNJOAA-GFCCVEGCSA-N 0 1 280.372 0.336 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCOCCOCC ZINC000190255162 333204860 /nfs/dbraw/zinc/20/48/60/333204860.db2.gz YHPLGCMBIQWZTD-LBPRGKRZSA-N 0 1 256.346 0.109 20 30 CCEDMN C=CCn1cc(CNC(=O)N2CCNC[C@@H]2C(C)C)nn1 ZINC000529345294 333482956 /nfs/dbraw/zinc/48/29/56/333482956.db2.gz UXJKJRGMFVNPCW-CYBMUJFWSA-N 0 1 292.387 0.604 20 30 CCEDMN N#C[C@]1(NC(=O)[C@H]2CCCc3[nH]ncc32)CCOC1 ZINC000547488534 334092955 /nfs/dbraw/zinc/09/29/55/334092955.db2.gz HWQHPFWPXNXWDV-TVQRCGJNSA-N 0 1 260.297 0.628 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)C3(C#N)CCC3)CC2)n[nH]1 ZINC000135410604 334129204 /nfs/dbraw/zinc/12/92/04/334129204.db2.gz ZPDMPYKDNPRPHK-UHFFFAOYSA-N 0 1 288.355 0.451 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000249084603 334326828 /nfs/dbraw/zinc/32/68/28/334326828.db2.gz SDNHFRSULQQSJF-NEPJUHHUSA-N 0 1 282.388 0.633 20 30 CCEDMN COC(=O)[C@H](C)CN(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000252417684 334379342 /nfs/dbraw/zinc/37/93/42/334379342.db2.gz SZIHSSLIBACDNA-QMTHXVAHSA-N 0 1 281.356 0.536 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)N1CCN[C@@H](C)C1 ZINC000577645195 335385360 /nfs/dbraw/zinc/38/53/60/335385360.db2.gz ITVVIRHMTRZEND-NSHDSACASA-N 0 1 289.335 0.766 20 30 CCEDMN CN(C)c1cccc(CNC[C@H](O)CN(C)CCC#N)n1 ZINC000578232525 335589341 /nfs/dbraw/zinc/58/93/41/335589341.db2.gz WFHAXPLQQKCFAN-AWEZNQCLSA-N 0 1 291.399 0.444 20 30 CCEDMN C[C@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@H]1CO ZINC000347256693 533909084 /nfs/dbraw/zinc/90/90/84/533909084.db2.gz WQAZIKLEUKEAHF-QWRGUYRKSA-N 0 1 251.330 0.109 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NC2(C#N)CCC2)[C@H]1CO ZINC000347178973 534024963 /nfs/dbraw/zinc/02/49/63/534024963.db2.gz ZAUUQUXGLJUXSE-MNOVXSKESA-N 0 1 251.330 0.252 20 30 CCEDMN Cc1cc(NC[C@@H](C)N2CCN(C)CC2)c(C#N)cn1 ZINC000425224675 534031340 /nfs/dbraw/zinc/03/13/40/534031340.db2.gz LDYSKZBHSJOMPX-CYBMUJFWSA-N 0 1 273.384 0.731 20 30 CCEDMN Cc1nc2cccc(C(=O)NCC(=O)NCC#N)c2[nH]1 ZINC000343518959 534667150 /nfs/dbraw/zinc/66/71/50/534667150.db2.gz MVJHQFRIWLERRG-UHFFFAOYSA-N 0 1 271.280 0.241 20 30 CCEDMN [O-]C(NC[C@]1(O)CCSC1)=[NH+][C@H]1CCn2ccnc2C1 ZINC000330311746 534755276 /nfs/dbraw/zinc/75/52/76/534755276.db2.gz VYXQNWRUZQXWEW-GXFFZTMASA-N 0 1 296.396 0.570 20 30 CCEDMN [O-]C(N[C@H]1CCn2ccnc2C1)=[NH+]C[C@]1(O)CCSC1 ZINC000330311746 534755281 /nfs/dbraw/zinc/75/52/81/534755281.db2.gz VYXQNWRUZQXWEW-GXFFZTMASA-N 0 1 296.396 0.570 20 30 CCEDMN [O-]C(NC[C@]1(O)CCSC1)=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330311747 534756242 /nfs/dbraw/zinc/75/62/42/534756242.db2.gz VYXQNWRUZQXWEW-ZWNOBZJWSA-N 0 1 296.396 0.570 20 30 CCEDMN [O-]C(N[C@@H]1CCn2ccnc2C1)=[NH+]C[C@]1(O)CCSC1 ZINC000330311747 534756247 /nfs/dbraw/zinc/75/62/47/534756247.db2.gz VYXQNWRUZQXWEW-ZWNOBZJWSA-N 0 1 296.396 0.570 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CC[C@H](N2CCCC2=O)C1 ZINC000330061012 534850288 /nfs/dbraw/zinc/85/02/88/534850288.db2.gz NQUBVKYQWCVYRT-LBPRGKRZSA-N 0 1 291.355 0.829 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@@H]2CN(C)CCN2C)n1 ZINC000068806239 525994298 /nfs/dbraw/zinc/99/42/98/525994298.db2.gz FXJRBNFOIYVLGX-CYBMUJFWSA-N 0 1 259.357 0.919 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(C(=O)NC)CC2)C1=O ZINC000330385490 526504494 /nfs/dbraw/zinc/50/44/94/526504494.db2.gz LLXKRLMNKPDKAV-LBPRGKRZSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(C)COCCNS(=O)(=O)CCN(CC)CC ZINC000352068572 526654259 /nfs/dbraw/zinc/65/42/59/526654259.db2.gz QYINAIJATXXCMF-UHFFFAOYSA-N 0 1 278.418 0.840 20 30 CCEDMN CC(=O)[C@@](C)(O)CN1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000451059990 527139555 /nfs/dbraw/zinc/13/95/55/527139555.db2.gz VSOMRIRSCDONQM-ZFWWWQNUSA-N 0 1 289.335 0.746 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000667423153 545146872 /nfs/dbraw/zinc/14/68/72/545146872.db2.gz IMBJEEXLRBPODD-OLZOCXBDSA-N 0 1 268.357 0.247 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN([C@@H](CC)C(=O)OC)CC1 ZINC000352661630 527457722 /nfs/dbraw/zinc/45/77/22/527457722.db2.gz XWJQZFNUUKHSDV-KGLIPLIRSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000336606036 527600145 /nfs/dbraw/zinc/60/01/45/527600145.db2.gz HNLIWJIPPQSBQU-UONOGXRCSA-N 0 1 280.416 0.982 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)[C@H]1CCC[C@@H]1O ZINC000352694374 527925946 /nfs/dbraw/zinc/92/59/46/527925946.db2.gz HSHWGGJHSQUILZ-OBJOEFQTSA-N 0 1 267.373 0.886 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)NCc2ncn(C)n2)C[C@@H]1C ZINC000329001705 527959735 /nfs/dbraw/zinc/95/97/35/527959735.db2.gz LJHFIVACMOSLFP-QWRGUYRKSA-N 0 1 280.376 0.644 20 30 CCEDMN CCCc1[nH]nc(C(=O)N2CC(O)(CC#N)C2)c1[N+](=O)[O-] ZINC000352211226 528543977 /nfs/dbraw/zinc/54/39/77/528543977.db2.gz QSFAVLZVBIUNBC-UHFFFAOYSA-N 0 1 293.283 0.371 20 30 CCEDMN CC(C)N(C)CCNC(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000330432894 528814406 /nfs/dbraw/zinc/81/44/06/528814406.db2.gz KMCMPAYCSLETMN-NSHDSACASA-N 0 1 291.417 0.360 20 30 CCEDMN COc1ccc(C=NNC2=NC[C@@H](C)N2)c(OC)c1 ZINC000779806050 581207659 /nfs/dbraw/zinc/20/76/59/581207659.db2.gz ZGCOVHOCDZHTJD-SECBINFHSA-N 0 1 262.313 0.975 20 30 CCEDMN CC#CC(=O)N1CCN(CCOC)[C@H](CCC)C1 ZINC000788307787 581105216 /nfs/dbraw/zinc/10/52/16/581105216.db2.gz GVLQWPWBEBBDKA-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C[C@H](C(=O)NCc1nnc[nH]1)N1CCSCC1 ZINC000328960209 581112721 /nfs/dbraw/zinc/11/27/21/581112721.db2.gz PJXNPLUKIJAFEO-MRVPVSSYSA-N 0 1 255.347 0.699 20 30 CCEDMN NC(=O)c1ccccc1C=NNc1ncnc2nc[nH]c21 ZINC000788714888 581122808 /nfs/dbraw/zinc/12/28/08/581122808.db2.gz QHVJERYHFBFCMY-UHFFFAOYSA-N 0 1 281.279 0.898 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@]2(C1)C(=O)Nc1ccccc12 ZINC000778206051 581145123 /nfs/dbraw/zinc/14/51/23/581145123.db2.gz JCTGQYMNUIAAJE-MRXNPFEDSA-N 0 1 298.346 0.612 20 30 CCEDMN C#CCCN1CCN(C(=O)[C@H](C)CN2CCOCC2)CC1 ZINC000783645244 581162823 /nfs/dbraw/zinc/16/28/23/581162823.db2.gz FTFNATDEDGEYQW-OAHLLOKOSA-N 0 1 293.411 0.122 20 30 CCEDMN C#CCOCCNC(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000779085869 581173868 /nfs/dbraw/zinc/17/38/68/581173868.db2.gz RVXJKMQEWVRUMQ-UHFFFAOYSA-N 0 1 287.323 0.673 20 30 CCEDMN N#C[C@H](C(=O)CN1CCOCC1=O)c1ccccn1 ZINC000790769575 581197681 /nfs/dbraw/zinc/19/76/81/581197681.db2.gz ZUBVAJOAMHRKAS-JTQLQIEISA-N 0 1 259.265 0.117 20 30 CCEDMN C#CCOc1ccccc1C=NNC1=NC[C@@H](C)N1 ZINC000779792661 581205020 /nfs/dbraw/zinc/20/50/20/581205020.db2.gz OZHAPXKZQDPACS-LLVKDONJSA-N 0 1 256.309 0.970 20 30 CCEDMN COc1cccc(OC)c1C=NNC1=NC[C@@H](C)N1 ZINC000779788782 581205181 /nfs/dbraw/zinc/20/51/81/581205181.db2.gz YLLKPWUDBBXJDI-SECBINFHSA-N 0 1 262.313 0.975 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2ccc([N+](=O)[O-])c([O-])c2)N1 ZINC000779802279 581207027 /nfs/dbraw/zinc/20/70/27/581207027.db2.gz FHMQJYSBRQSOLF-SSDOTTSWSA-N 0 1 263.257 0.572 20 30 CCEDMN C#CCN(CC#C)C(=O)c1cc(Br)[nH]n1 ZINC000791372177 581218066 /nfs/dbraw/zinc/21/80/66/581218066.db2.gz BDAFJBLDENEJFR-UHFFFAOYSA-N 0 1 266.098 0.881 20 30 CCEDMN C#CCCN1CCN([C@@H](C)c2nnnn2C2CC2)CC1 ZINC000792263863 581255269 /nfs/dbraw/zinc/25/52/69/581255269.db2.gz CURALVXZYSHNFC-LBPRGKRZSA-N 0 1 274.372 0.710 20 30 CCEDMN C#CCNC(=O)CN1CCC2(CCOCC2)CC1 ZINC000792933189 581276363 /nfs/dbraw/zinc/27/63/63/581276363.db2.gz FTYDPZLGFHEQPM-UHFFFAOYSA-N 0 1 250.342 0.628 20 30 CCEDMN Cc1nn(-c2ccncc2)cc1C=NNS(C)(=O)=O ZINC000783854544 581366002 /nfs/dbraw/zinc/36/60/02/581366002.db2.gz VKKGNQPLSFRMSK-UHFFFAOYSA-N 0 1 279.325 0.459 20 30 CCEDMN N#CCCNC(=O)CN1CCC([C@H]2CCCO2)CC1 ZINC000784360896 581381670 /nfs/dbraw/zinc/38/16/70/581381670.db2.gz DAQGMNQEUSLSBI-CYBMUJFWSA-N 0 1 265.357 0.907 20 30 CCEDMN Cc1cnn(C)c1C=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000794923320 581391106 /nfs/dbraw/zinc/39/11/06/581391106.db2.gz NPFRTRBCVJYLAO-QWRGUYRKSA-N 0 1 260.345 0.922 20 30 CCEDMN C[C@@H]1COC(=O)C1N=Nc1ccc(-n2ccnc2)nn1 ZINC000794940713 581391516 /nfs/dbraw/zinc/39/15/16/581391516.db2.gz ZIXFHQKXTIHPLL-MRVPVSSYSA-N 0 1 272.268 0.623 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@H]2[C@H](C(=O)OC)[C@H]2C1 ZINC000794982273 581393727 /nfs/dbraw/zinc/39/37/27/581393727.db2.gz HFFRTBRSTPIKON-IGQOVBAYSA-N 0 1 292.379 0.926 20 30 CCEDMN N#CC(C(=O)COC(F)F)C(=O)NC1CCCC1 ZINC000796805476 581451199 /nfs/dbraw/zinc/45/11/99/581451199.db2.gz ZVCPCYARUKMCJQ-MRVPVSSYSA-N 0 1 260.240 0.993 20 30 CCEDMN Cn1nncc1NS(=O)(=O)c1ccc(C#N)cc1 ZINC000788205953 581485293 /nfs/dbraw/zinc/48/52/93/581485293.db2.gz RMKQNLCEAUGONI-UHFFFAOYSA-N 0 1 263.282 0.488 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000739171638 581516602 /nfs/dbraw/zinc/51/66/02/581516602.db2.gz CNXFOACEVQKBJB-UTUOFQBUSA-N 0 1 296.371 0.725 20 30 CCEDMN C#CCNC(=O)CN(CCO)[C@@H]1CCc2ccccc21 ZINC000733996801 581537966 /nfs/dbraw/zinc/53/79/66/581537966.db2.gz XIQPMTVSWZHMMQ-OAHLLOKOSA-N 0 1 272.348 0.718 20 30 CCEDMN COC(=O)CCC1CCN(CC(=O)NCCC#N)CC1 ZINC000753387596 581539284 /nfs/dbraw/zinc/53/92/84/581539284.db2.gz CRXIZIYJYQCQNB-UHFFFAOYSA-N 0 1 281.356 0.681 20 30 CCEDMN C#CCNC(=O)CN[C@@]1(CO)CCc2ccccc21 ZINC000766247333 581558757 /nfs/dbraw/zinc/55/87/57/581558757.db2.gz BBJROJLLKVVDAT-OAHLLOKOSA-N 0 1 258.321 0.159 20 30 CCEDMN COC(=O)c1ccc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)[nH]1 ZINC000753938151 581565386 /nfs/dbraw/zinc/56/53/86/581565386.db2.gz IUHBDJSSSLNXGY-GHMZBOCLSA-N 0 1 289.339 0.995 20 30 CCEDMN C(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1cnc2cnccn12 ZINC000753934349 581565818 /nfs/dbraw/zinc/56/58/18/581565818.db2.gz HMJRKGBIDIZYDU-VXGBXAGGSA-N 0 1 283.339 0.923 20 30 CCEDMN C#CCCN1CCN(Cc2nnnn2C(C)(C)C)CC1 ZINC000766840402 581583136 /nfs/dbraw/zinc/58/31/36/581583136.db2.gz GBDIDNYXATULLA-UHFFFAOYSA-N 0 1 276.388 0.569 20 30 CCEDMN C#CCCCN1CCN(CC(=O)NC(C)C)CC1 ZINC000766894997 581585153 /nfs/dbraw/zinc/58/51/53/581585153.db2.gz HVQAMACNJWUKEX-UHFFFAOYSA-N 0 1 251.374 0.542 20 30 CCEDMN C=C(Br)Cn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000735399033 581643645 /nfs/dbraw/zinc/64/36/45/581643645.db2.gz ODCGMCSBZTWCEB-UHFFFAOYSA-N 0 1 295.104 0.426 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NC(C)[C@H]2CCOC2)o1 ZINC000735450865 581645903 /nfs/dbraw/zinc/64/59/03/581645903.db2.gz HEWANTPQMSNJNM-QMMMGPOBSA-N 0 1 277.288 0.987 20 30 CCEDMN Cc1cc(C)n(-c2nncn2N=Cc2[nH]cnc2C)n1 ZINC000755607041 581648466 /nfs/dbraw/zinc/64/84/66/581648466.db2.gz ZNOXVXNVFUYDNQ-UHFFFAOYSA-N 0 1 270.300 0.994 20 30 CCEDMN N#CCCCC[N@@H+]1CCC[C@H](C(=O)NCCNC(=O)[O-])C1 ZINC000740308459 581663540 /nfs/dbraw/zinc/66/35/40/581663540.db2.gz YVOVGNHMYZENQZ-LBPRGKRZSA-N 0 1 296.371 0.776 20 30 CCEDMN C#CCOCCC(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000756759985 581677359 /nfs/dbraw/zinc/67/73/59/581677359.db2.gz VWGNDJOIVQOWGH-ZDUSSCGKSA-N 0 1 285.307 0.445 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC(CC(=O)[O-])CC1 ZINC000736079834 581687277 /nfs/dbraw/zinc/68/72/77/581687277.db2.gz OCRUQFOWKBPOIP-ZDUSSCGKSA-N 0 1 278.352 0.797 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+](C)C(C)(C)C(=O)[O-] ZINC000736349742 581748671 /nfs/dbraw/zinc/74/86/71/581748671.db2.gz NYALEZDYZXEWCL-CYBMUJFWSA-N 0 1 269.345 0.836 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](C)C(C)(C)C(=O)[O-] ZINC000736349742 581748673 /nfs/dbraw/zinc/74/86/73/581748673.db2.gz NYALEZDYZXEWCL-CYBMUJFWSA-N 0 1 269.345 0.836 20 30 CCEDMN C#CC(=O)Nc1cc(S(=O)(=O)CC)ccc1O ZINC000770810738 581768443 /nfs/dbraw/zinc/76/84/43/581768443.db2.gz VTTFTWLAAPVQMY-UHFFFAOYSA-N 0 1 253.279 0.758 20 30 CCEDMN NC(=O)CNN=C1CCN(CCC(F)(F)F)CC1 ZINC000758889023 581773681 /nfs/dbraw/zinc/77/36/81/581773681.db2.gz LKYMOYLODRZYGP-UHFFFAOYSA-N 0 1 266.267 0.466 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(C)[C@@H](C)[C@@H]1C ZINC000771405676 581801850 /nfs/dbraw/zinc/80/18/50/581801850.db2.gz MFFLGTIOOMAORA-IHRRRGAJSA-N 0 1 263.385 0.635 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(C)[C@H](C)[C@@H]1C ZINC000771405679 581802830 /nfs/dbraw/zinc/80/28/30/581802830.db2.gz MFFLGTIOOMAORA-RDBSUJKOSA-N 0 1 263.385 0.635 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CN(C)CCN2C)cn1 ZINC000732148999 581806611 /nfs/dbraw/zinc/80/66/11/581806611.db2.gz ABKFHAPGJRGAKK-AWEZNQCLSA-N 0 1 272.352 0.039 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](CCO)C2)CC1 ZINC000771813573 581823535 /nfs/dbraw/zinc/82/35/35/581823535.db2.gz IQVRHWXWQBAWTG-CQSZACIVSA-N 0 1 278.396 0.953 20 30 CCEDMN CC(=CS(C)(=O)=O)NNc1[nH+]cnc2[n-]cnc21 ZINC000732528202 581833295 /nfs/dbraw/zinc/83/32/95/581833295.db2.gz FTTRSWZKFIKYRI-UHFFFAOYSA-N 0 1 268.302 0.185 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](C(=O)OC)C2CC2)CC1 ZINC000772112478 581843356 /nfs/dbraw/zinc/84/33/56/581843356.db2.gz CBHHCKBBOFTNOI-CYBMUJFWSA-N 0 1 279.336 0.826 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCC(C)C)CC1 ZINC000761032466 581883675 /nfs/dbraw/zinc/88/36/75/581883675.db2.gz IJKKUCPIYISJHE-UHFFFAOYSA-N 0 1 273.402 0.118 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN(C)[C@H](C)C1 ZINC000761082490 581886631 /nfs/dbraw/zinc/88/66/31/581886631.db2.gz QATONUCONPJXSL-CYBMUJFWSA-N 0 1 251.374 0.823 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)c1c(F)cc(O)cc1F ZINC000746987290 581930102 /nfs/dbraw/zinc/93/01/02/581930102.db2.gz VAOJZQXKFWVMRV-SSDOTTSWSA-N 0 1 283.230 0.965 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000738600948 581938952 /nfs/dbraw/zinc/93/89/52/581938952.db2.gz KAYRIELJVAQIHD-VXGBXAGGSA-N 0 1 296.371 0.679 20 30 CCEDMN COc1ccc(CNC(=O)c2ncn[nH]2)cc1C#N ZINC000747446885 581941392 /nfs/dbraw/zinc/94/13/92/581941392.db2.gz LMAZHVWHLQWRHL-UHFFFAOYSA-N 0 1 257.253 0.615 20 30 CCEDMN COc1ccc(CNC(=O)c2nc[nH]n2)cc1C#N ZINC000747446885 581941394 /nfs/dbraw/zinc/94/13/94/581941394.db2.gz LMAZHVWHLQWRHL-UHFFFAOYSA-N 0 1 257.253 0.615 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCN3CCC2CC3)c1 ZINC000774097972 581958832 /nfs/dbraw/zinc/95/88/32/581958832.db2.gz XHLGTBGAXUWMLZ-UHFFFAOYSA-N 0 1 255.321 0.983 20 30 CCEDMN Cc1nn(C)c(Cl)c1CN=Nc1nccn(C)c1=O ZINC000748079175 581961350 /nfs/dbraw/zinc/96/13/50/581961350.db2.gz BPPUDEMGJBGPFT-UHFFFAOYSA-N 0 1 280.719 0.922 20 30 CCEDMN N#Cc1ccc([C@H](O)CN2C[C@H](O)C[C@H](O)C2)cc1 ZINC000763413234 581998205 /nfs/dbraw/zinc/99/82/05/581998205.db2.gz IHZOTQHGLPQRRT-HZSPNIEDSA-N 0 1 262.309 0.019 20 30 CCEDMN COc1cc(C#N)ccc1OCC[N@@H+](C)CC(=O)[O-] ZINC000737845684 582003284 /nfs/dbraw/zinc/00/32/84/582003284.db2.gz NBBGSESNTBQRSI-UHFFFAOYSA-N 0 1 264.281 0.962 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)s1 ZINC000749386406 582006676 /nfs/dbraw/zinc/00/66/76/582006676.db2.gz SLVIGXCZLYXLMY-QMMMGPOBSA-N 0 1 290.308 0.347 20 30 CCEDMN C#C[C@H](NC(=O)c1ccc2[nH]nnc2c1)[C@H]1CCCO1 ZINC000775342892 582019851 /nfs/dbraw/zinc/01/98/51/582019851.db2.gz WXQRSIYCBLWJKG-GXFFZTMASA-N 0 1 270.292 0.869 20 30 CCEDMN C#CCCN1CCN(C(=O)c2[nH]nc(C)c2[N+](=O)[O-])CC1 ZINC000749929008 582026758 /nfs/dbraw/zinc/02/67/58/582026758.db2.gz GDNAQWWYGIPKGW-UHFFFAOYSA-N 0 1 291.311 0.407 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN(CCCCO)CC1 ZINC000750053093 582031929 /nfs/dbraw/zinc/03/19/29/582031929.db2.gz KRZMKYBZQKCDGT-UHFFFAOYSA-N 0 1 295.427 0.577 20 30 CCEDMN C#C[C@@H](NCCCS(=O)(=O)CCOC)[C@@H]1CCCO1 ZINC000775797579 582039439 /nfs/dbraw/zinc/03/94/39/582039439.db2.gz DTTCQHPKQQBBME-OLZOCXBDSA-N 0 1 289.397 0.208 20 30 CCEDMN C#C[C@H](NC[C@](C)(O)C(=O)OCC)[C@H]1CCCO1 ZINC000775795516 582039579 /nfs/dbraw/zinc/03/95/79/582039579.db2.gz NUJSWLJYWWRTJI-LOWVWBTDSA-N 0 1 255.314 0.071 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NCCCOC)[C@@H]1CCCO1 ZINC000775800023 582040119 /nfs/dbraw/zinc/04/01/19/582040119.db2.gz ODULKYSQVONLKQ-AGIUHOORSA-N 0 1 268.357 0.298 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NCCCOC)[C@H]1CCCO1 ZINC000775800031 582040372 /nfs/dbraw/zinc/04/03/72/582040372.db2.gz ODULKYSQVONLKQ-RWMBFGLXSA-N 0 1 268.357 0.298 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](CNC(=O)[O-])C1 ZINC000738462091 582053203 /nfs/dbraw/zinc/05/32/03/582053203.db2.gz WLONQSDREUFFEP-RYUDHWBXSA-N 0 1 279.340 0.200 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CCCN(C(=O)[O-])CC1 ZINC000738496324 582056755 /nfs/dbraw/zinc/05/67/55/582056755.db2.gz WFJFMPBHHXLAQB-JTQLQIEISA-N 0 1 298.343 0.072 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCCN(C(=O)[O-])CC1 ZINC000738496324 582056758 /nfs/dbraw/zinc/05/67/58/582056758.db2.gz WFJFMPBHHXLAQB-JTQLQIEISA-N 0 1 298.343 0.072 20 30 CCEDMN CC[S@@](C)(=O)=NS(=O)(=O)NCC1(C#N)CC1 ZINC000867221621 616010465 /nfs/dbraw/zinc/01/04/65/616010465.db2.gz BURMCTRHJFPXBL-OAHLLOKOSA-N 0 1 265.360 0.242 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000054300130 597076099 /nfs/dbraw/zinc/07/60/99/597076099.db2.gz STJMPNOJWLPYEE-JTQLQIEISA-N 0 1 253.302 0.203 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)C[N@H+]2CC[C@H](C(=O)[O-])C2)cc1 ZINC000819100622 597530860 /nfs/dbraw/zinc/53/08/60/597530860.db2.gz SWWDWUPGNGEUPK-STQMWFEESA-N 0 1 290.319 0.704 20 30 CCEDMN C#CCO[C@@H](C)C(=O)OC[C@@H]1CN(CC(C)C)CCO1 ZINC000835159988 612998350 /nfs/dbraw/zinc/99/83/50/612998350.db2.gz WKAWEDDSSDCAJW-KBPBESRZSA-N 0 1 283.368 0.925 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(O)(C2CCC2)CC1 ZINC000932823131 612947446 /nfs/dbraw/zinc/94/74/46/612947446.db2.gz LUSSXEDDNPEUKU-GFCCVEGCSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCSCC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000895567349 613012102 /nfs/dbraw/zinc/01/21/02/613012102.db2.gz LVJSQDJBQUVNHD-CHWSQXEVSA-N 0 1 284.425 0.968 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000964573729 649920311 /nfs/dbraw/zinc/92/03/11/649920311.db2.gz CSAFLPFMJKEZGZ-VHSXEESVSA-N 0 1 290.327 0.075 20 30 CCEDMN N#CCNC(=O)C[N@H+]1C[C@H](C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000833066610 601434401 /nfs/dbraw/zinc/43/44/01/601434401.db2.gz CUILAGVRNSHIBH-CHWSQXEVSA-N 0 1 293.367 0.982 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1C[C@H](C2CCCCC2)C[C@@H]1C(=O)[O-] ZINC000833066610 601434402 /nfs/dbraw/zinc/43/44/02/601434402.db2.gz CUILAGVRNSHIBH-CHWSQXEVSA-N 0 1 293.367 0.982 20 30 CCEDMN C#CCSCC(=O)Nc1cc(C(=O)OCC)[nH]n1 ZINC000897311762 613014374 /nfs/dbraw/zinc/01/43/74/613014374.db2.gz MVHMAMZARPPSQU-UHFFFAOYSA-N 0 1 267.310 0.891 20 30 CCEDMN C#CC1(F)CN(C(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC000881941143 612948989 /nfs/dbraw/zinc/94/89/89/612948989.db2.gz ZAFNVHLDCUHYQT-UHFFFAOYSA-N 0 1 273.271 0.608 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](C(=O)NC(C)C)C1 ZINC000852699034 612949775 /nfs/dbraw/zinc/94/97/75/612949775.db2.gz XPIILAIAQWUZKH-STQMWFEESA-N 0 1 279.384 0.361 20 30 CCEDMN C[C@@H]1CCN(CCO)C[C@@H]1NC(=O)c1cc(C#N)c[nH]1 ZINC000965864259 649938273 /nfs/dbraw/zinc/93/82/73/649938273.db2.gz STBACPYOAZOBRE-MFKMUULPSA-N 0 1 276.340 0.319 20 30 CCEDMN C#CC1(O)CCN(Cc2c[nH]c(C(=O)OC)c2)CC1 ZINC000895382790 612952488 /nfs/dbraw/zinc/95/24/88/612952488.db2.gz MPHVXKPPOIWJJD-UHFFFAOYSA-N 0 1 262.309 0.761 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1CC1(C#N)CC1 ZINC000833628505 605078596 /nfs/dbraw/zinc/07/85/96/605078596.db2.gz JQAPZZXUBTVIHP-NSHDSACASA-N 0 1 251.330 0.771 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)NCCCc1cn[nH]c1C ZINC000798352900 613061178 /nfs/dbraw/zinc/06/11/78/613061178.db2.gz PSEKKZWDNKYBEW-ZDUSSCGKSA-N 0 1 278.356 0.724 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@@H]1CCN1C1CCCC1 ZINC000822679099 613062764 /nfs/dbraw/zinc/06/27/64/613062764.db2.gz AAWSXALGXSWNJI-KBPBESRZSA-N 0 1 264.369 0.894 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1CCN(CC2CC2)CC1 ZINC000875921196 613061802 /nfs/dbraw/zinc/06/18/02/613061802.db2.gz GBBJAQDQQANYBJ-CQSZACIVSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCNCC(=O)N(CCC(N)=O)Cc1ccccc1 ZINC000105996976 612956418 /nfs/dbraw/zinc/95/64/18/612956418.db2.gz GEULOZGIDYVNBL-UHFFFAOYSA-N 0 1 273.336 0.113 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(N2CCCC2)nc1 ZINC000911642376 612956690 /nfs/dbraw/zinc/95/66/90/612956690.db2.gz MVGBDQUHFSFITM-UHFFFAOYSA-N 0 1 272.352 0.521 20 30 CCEDMN C#C[C@@H](C)NC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000847401842 613081593 /nfs/dbraw/zinc/08/15/93/613081593.db2.gz LFEUMZNRPCIICG-BDAKNGLRSA-N 0 1 263.301 0.477 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2cn[nH]c2)C1 ZINC000972507803 613090150 /nfs/dbraw/zinc/09/01/50/613090150.db2.gz DDILCRGPINCZNU-ZDUSSCGKSA-N 0 1 260.341 0.508 20 30 CCEDMN C#C[C@@H](NS(=O)(=O)CCN(CC)CC)[C@H]1CCCO1 ZINC000810065300 613099992 /nfs/dbraw/zinc/09/99/92/613099992.db2.gz QZKHCKGYNICHFX-CHWSQXEVSA-N 0 1 288.413 0.428 20 30 CCEDMN C#CCN(CC#N)Cc1n[nH]c(C)c1C(=O)OCC ZINC000852008624 613101710 /nfs/dbraw/zinc/10/17/10/613101710.db2.gz DBNWXSOINRUAJW-UHFFFAOYSA-N 0 1 260.297 0.854 20 30 CCEDMN COc1cc(NC(=O)N[C@H]2CNC[C@@H]2C#N)ccn1 ZINC000841238542 617204931 /nfs/dbraw/zinc/20/49/31/617204931.db2.gz OCNVZAHGVNQHJH-WPRPVWTQSA-N 0 1 261.285 0.323 20 30 CCEDMN COc1cc(NC(=O)NCC#CCN(C)C)nn1C ZINC000930898906 617202780 /nfs/dbraw/zinc/20/27/80/617202780.db2.gz MPFJBCPVBUFUKO-UHFFFAOYSA-N 0 1 265.317 0.115 20 30 CCEDMN CC(C)(CC#N)CNC(=O)NCCN1CCC(O)CC1 ZINC000895302261 614076185 /nfs/dbraw/zinc/07/61/85/614076185.db2.gz UGYMTXVABWLVAT-UHFFFAOYSA-N 0 1 282.388 0.682 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)c(C)c1 ZINC000834489638 617234953 /nfs/dbraw/zinc/23/49/53/617234953.db2.gz ONTSVEWZFLETOW-MFKMUULPSA-N 0 1 259.309 0.845 20 30 CCEDMN COc1ccc(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834507331 617276446 /nfs/dbraw/zinc/27/64/46/617276446.db2.gz ZTUCZEADTPCSPR-GXFFZTMASA-N 0 1 275.308 0.302 20 30 CCEDMN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834494599 617282264 /nfs/dbraw/zinc/28/22/64/617282264.db2.gz ONBKMRRVDLFNMI-KCJUWKMLSA-N 0 1 290.279 0.445 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(NC(=O)CN2CCCC2)CC1 ZINC000948102038 617288291 /nfs/dbraw/zinc/28/82/91/617288291.db2.gz BSYIVPJAMKDQJS-LBPRGKRZSA-N 0 1 292.383 0.349 20 30 CCEDMN CSc1nsc(NC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000841144163 617286764 /nfs/dbraw/zinc/28/67/64/617286764.db2.gz YBAJCFNQIMSONJ-PHDIDXHHSA-N 0 1 284.370 0.493 20 30 CCEDMN COc1cccc(NCC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000846677470 617304626 /nfs/dbraw/zinc/30/46/26/617304626.db2.gz MYJXJEVASOXPMZ-GWCFXTLKSA-N 0 1 274.324 0.335 20 30 CCEDMN C#CC1(O)CN(C(=O)NC[C@H](c2cccs2)N(C)C)C1 ZINC000891510628 612955484 /nfs/dbraw/zinc/95/54/84/612955484.db2.gz ZPQCIEPUUFCYOH-LLVKDONJSA-N 0 1 293.392 0.740 20 30 CCEDMN C#CCC1(O)CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000922192596 612973071 /nfs/dbraw/zinc/97/30/71/612973071.db2.gz YLCQGZRKZUOLBQ-UHFFFAOYSA-N 0 1 284.319 0.948 20 30 CCEDMN C#CCOCCC(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000820067700 612977578 /nfs/dbraw/zinc/97/75/78/612977578.db2.gz HZGZWYSKWZHCPA-UHFFFAOYSA-N 0 1 279.296 0.521 20 30 CCEDMN C#CCOCCC(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000854333815 612977982 /nfs/dbraw/zinc/97/79/82/612977982.db2.gz VJORZQJWVCJXPI-OAHLLOKOSA-N 0 1 294.395 0.740 20 30 CCEDMN C#CCCC[N@@H+]1CC[C@H](C(=O)OC)C[C@@H]1C(=O)[O-] ZINC000833362373 612978481 /nfs/dbraw/zinc/97/84/81/612978481.db2.gz OIKOROZAPGNMAP-WDEREUQCSA-N 0 1 253.298 0.738 20 30 CCEDMN C#CCCCNC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000806876964 612981541 /nfs/dbraw/zinc/98/15/41/612981541.db2.gz JODUKAZHDGWKGV-STQMWFEESA-N 0 1 267.373 0.496 20 30 CCEDMN C#CCOCCN(C)[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000851836736 612981627 /nfs/dbraw/zinc/98/16/27/612981627.db2.gz XTLAIWQXNRANPC-LBPRGKRZSA-N 0 1 296.392 0.977 20 30 CCEDMN C#CCCCS(=O)(=O)NCc1cc(N(C)C)ccn1 ZINC000921026492 612984843 /nfs/dbraw/zinc/98/48/43/612984843.db2.gz ZTIMXTOLDQEYHC-UHFFFAOYSA-N 0 1 281.381 0.980 20 30 CCEDMN C#CCOCCN1C[C@H](C)O[C@@]2(CCO[C@@H]2C)C1 ZINC000851998949 612985065 /nfs/dbraw/zinc/98/50/65/612985065.db2.gz CZXUZMOMVYKZPJ-MJBXVCDLSA-N 0 1 253.342 0.905 20 30 CCEDMN C#CCCN(CCOC)C(=O)NCCN1CCCOCC1 ZINC000854091413 612987340 /nfs/dbraw/zinc/98/73/40/612987340.db2.gz GDXNPMXFWUMNIT-UHFFFAOYSA-N 0 1 297.399 0.390 20 30 CCEDMN C#CCOCCNC(=O)c1cn[nH]c1-c1ccccn1 ZINC000811028141 612988865 /nfs/dbraw/zinc/98/88/65/612988865.db2.gz LMZVIMKJYHAFGC-UHFFFAOYSA-N 0 1 270.292 0.851 20 30 CCEDMN C#CCCN(CCOC)C[C@@H]1CN=C(c2cnn(C)c2)O1 ZINC000931071978 612989236 /nfs/dbraw/zinc/98/92/36/612989236.db2.gz VRNDCKBEYRRIDD-AWEZNQCLSA-N 0 1 290.367 0.537 20 30 CCEDMN C#CCOCCNS(=O)(=O)c1cc2cn[nH]c2cc1C ZINC000867094328 612989795 /nfs/dbraw/zinc/98/97/95/612989795.db2.gz LYHSMPWWKDOEQA-UHFFFAOYSA-N 0 1 293.348 0.799 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000829622510 612995094 /nfs/dbraw/zinc/99/50/94/612995094.db2.gz QDHLAFBFEHSKIC-OLZOCXBDSA-N 0 1 282.384 0.328 20 30 CCEDMN C#CCCN1CCC(NC(=O)C(=O)c2cccn2C)CC1 ZINC000806905535 612998845 /nfs/dbraw/zinc/99/88/45/612998845.db2.gz NZSKLIKGIVPFGC-UHFFFAOYSA-N 0 1 287.363 0.812 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000862958631 612999221 /nfs/dbraw/zinc/99/92/21/612999221.db2.gz JAKMBDPCHQVFMV-CQSZACIVSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H]2C[C@H](C)CO2)CC1 ZINC000981651049 613005021 /nfs/dbraw/zinc/00/50/21/613005021.db2.gz XIDKGRMUSKSBDG-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCN1CCN([C@H](CC=C)C(=O)OC)CC1 ZINC000878312034 613011990 /nfs/dbraw/zinc/01/19/90/613011990.db2.gz FEBRJQFAYUZGDH-CYBMUJFWSA-N 0 1 250.342 0.745 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)C1=NC(=O)N(C)C1 ZINC000863780598 613022344 /nfs/dbraw/zinc/02/23/44/613022344.db2.gz DSPZDZILFXBUMW-VIFPVBQESA-N 0 1 251.286 0.284 20 30 CCEDMN C#CC[C@@H](CCOC)NCc1cn(C[C@H]2CCOC2)nn1 ZINC000865645982 613022822 /nfs/dbraw/zinc/02/28/22/613022822.db2.gz DQXPFPJHVBDHSK-KGLIPLIRSA-N 0 1 292.383 0.833 20 30 CCEDMN C#CCC[N@@H+]1C[C@H](NC(=O)c2[nH]nc(C)c2[O-])C(C)(C)C1 ZINC000973042617 613038992 /nfs/dbraw/zinc/03/89/92/613038992.db2.gz JFZINZCJCKUOBD-NSHDSACASA-N 0 1 290.367 0.887 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@@H]1CCN1C1CCOCC1 ZINC000921911727 613054305 /nfs/dbraw/zinc/05/43/05/613054305.db2.gz SBONESAENFGTRK-ZDUSSCGKSA-N 0 1 286.397 0.182 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)NC[C@H]1CCC[N@@H+]1CCOC ZINC000798408922 613056862 /nfs/dbraw/zinc/05/68/62/613056862.db2.gz FEAXLPLFCNNUKL-ZIAGYGMSSA-N 0 1 297.399 0.171 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000799073489 613058299 /nfs/dbraw/zinc/05/82/99/613058299.db2.gz KJJXVIWXGXBGFL-MNOVXSKESA-N 0 1 261.325 0.720 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@@H]1CCN1C(C)(C)C ZINC000897656966 613062793 /nfs/dbraw/zinc/06/27/93/613062793.db2.gz KQAPUJLFWWVZKN-RYUDHWBXSA-N 0 1 252.358 0.750 20 30 CCEDMN C#CC[C@H](COC)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000863805894 613065669 /nfs/dbraw/zinc/06/56/69/613065669.db2.gz XKCSMHDLFFJBPA-ZJUUUORDSA-N 0 1 262.313 0.379 20 30 CCEDMN C#CC[C@H](COC)N[C@H](Cc1ccccc1)C(N)=O ZINC000863391705 613066872 /nfs/dbraw/zinc/06/68/72/613066872.db2.gz WCAYCULPGNWNFJ-ZIAGYGMSSA-N 0 1 260.337 0.711 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@H]1Cc2cncn2C1 ZINC000875623737 613076654 /nfs/dbraw/zinc/07/66/54/613076654.db2.gz UCRXGEXCIGCEGT-LBPRGKRZSA-N 0 1 275.356 0.062 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@@H]2CCCc3n[nH]nc32)cn1 ZINC000834614675 613076999 /nfs/dbraw/zinc/07/69/99/613076999.db2.gz MVBXNXNXACULHE-LLVKDONJSA-N 0 1 284.323 0.371 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cc(C(=O)[O-])cnc1C ZINC000911404580 613077846 /nfs/dbraw/zinc/07/78/46/613077846.db2.gz AKYAOFDETSCIHY-UHFFFAOYSA-N 0 1 289.335 0.773 20 30 CCEDMN C#C[C@@H](C)NC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000811184510 613082088 /nfs/dbraw/zinc/08/20/88/613082088.db2.gz PNAANFJEIRIFFZ-DGCLKSJQSA-N 0 1 290.367 0.621 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)Cn2ccc(C)n2)C1 ZINC000971600321 613082498 /nfs/dbraw/zinc/08/24/98/613082498.db2.gz SAHQLUBOOUFGJD-DZGCQCFKSA-N 0 1 288.395 0.994 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCO[C@H]2C=C)C1 ZINC000972374294 613082844 /nfs/dbraw/zinc/08/28/44/613082844.db2.gz QQULMUNQCVSGFG-MCIONIFRSA-N 0 1 262.353 0.743 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC000972338830 613083287 /nfs/dbraw/zinc/08/32/87/613083287.db2.gz JTJQNCKPPBJYEP-GDBMZVCRSA-N 0 1 283.375 0.962 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC000971964900 613083557 /nfs/dbraw/zinc/08/35/57/613083557.db2.gz SOWUBSRSQHNJDG-LJISPDSOSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn(C)c2OC)C1 ZINC000980274681 613087755 /nfs/dbraw/zinc/08/77/55/613087755.db2.gz JPDUCIZJYUWEHB-LLVKDONJSA-N 0 1 276.340 0.208 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2n[nH]c3c2CCC3)C1 ZINC000971854942 613087865 /nfs/dbraw/zinc/08/78/65/613087865.db2.gz UKLDFVHPQMNFTD-LLVKDONJSA-N 0 1 272.352 0.678 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@H](C)N2CCCC2=O)C1 ZINC000972714428 613090611 /nfs/dbraw/zinc/09/06/11/613090611.db2.gz UDJHNUYTEZADJJ-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2ccc(F)cn2)C1 ZINC000971811089 613090869 /nfs/dbraw/zinc/09/08/69/613090869.db2.gz OXIPJAFEPJHMAS-AWEZNQCLSA-N 0 1 275.327 0.929 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC000980447361 613092972 /nfs/dbraw/zinc/09/29/72/613092972.db2.gz DTHZOWJOKICJTF-JSGCOSHPSA-N 0 1 286.379 0.681 20 30 CCEDMN C#C[C@@H](NC(=O)N(C)Cc1cnc[nH]1)C1CCOCC1 ZINC000852637942 613093276 /nfs/dbraw/zinc/09/32/76/613093276.db2.gz JNIOOVCRDXZCIZ-CYBMUJFWSA-N 0 1 276.340 0.980 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000971745930 613093330 /nfs/dbraw/zinc/09/33/30/613093330.db2.gz ONEPFXBNCGAVIK-JTQLQIEISA-N 0 1 262.313 0.203 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN(C)CCN(C)CC1)C(C)C ZINC000894934540 613094089 /nfs/dbraw/zinc/09/40/89/613094089.db2.gz QEUCPWULYAQBOZ-CQSZACIVSA-N 0 1 280.416 0.533 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc3n[nH]nc3c2)C1 ZINC000980368879 613095268 /nfs/dbraw/zinc/09/52/68/613095268.db2.gz GBPAABXUFCDMTD-LBPRGKRZSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnc3ccnn32)C1 ZINC000971666905 613095865 /nfs/dbraw/zinc/09/58/65/613095865.db2.gz DZHDULQPGDTJOW-LBPRGKRZSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn(CCOC)c2)C1 ZINC000980285368 613096809 /nfs/dbraw/zinc/09/68/09/613096809.db2.gz KUKLTOYZDKTNIU-AWEZNQCLSA-N 0 1 290.367 0.309 20 30 CCEDMN C#CCN(C1CSC1)S(=O)(=O)CCN(CC)CC ZINC000867722290 613098111 /nfs/dbraw/zinc/09/81/11/613098111.db2.gz UGRIZKCNSLTQKX-UHFFFAOYSA-N 0 1 290.454 0.709 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1c[nH]nc1C ZINC000922023408 613098222 /nfs/dbraw/zinc/09/82/22/613098222.db2.gz JLEOGIOYWTZPKZ-UHFFFAOYSA-N 0 1 296.396 0.436 20 30 CCEDMN C#CCN(CC#C)c1nnc(Cc2cc(C)n[nH]2)n1C ZINC000871024097 613099553 /nfs/dbraw/zinc/09/95/53/613099553.db2.gz MIMMXKBFOGRNBY-UHFFFAOYSA-N 0 1 268.324 0.510 20 30 CCEDMN COc1ccccc1OCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834511893 617316658 /nfs/dbraw/zinc/31/66/58/617316658.db2.gz PKJWJMPFTGWQRZ-QWRGUYRKSA-N 0 1 275.308 0.302 20 30 CCEDMN COc1ccccc1OCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834511891 617316671 /nfs/dbraw/zinc/31/66/71/617316671.db2.gz PKJWJMPFTGWQRZ-GHMZBOCLSA-N 0 1 275.308 0.302 20 30 CCEDMN C#C[C@H](C)NC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC000875719372 613117780 /nfs/dbraw/zinc/11/77/80/613117780.db2.gz JEOZDBQGRGPNKI-VIFPVBQESA-N 0 1 277.328 0.520 20 30 CCEDMN C#C[C@H](C)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000860948277 613118644 /nfs/dbraw/zinc/11/86/44/613118644.db2.gz ZHWMTGKACFCKJN-QMMMGPOBSA-N 0 1 267.310 0.205 20 30 CCEDMN C#C[C@H](C)NCC1(S(=O)(=O)NC(C)(C)C)CC1 ZINC000877514871 613118847 /nfs/dbraw/zinc/11/88/47/613118847.db2.gz WQBFVABZVWVXAC-JTQLQIEISA-N 0 1 258.387 0.848 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000854078141 613120080 /nfs/dbraw/zinc/12/00/80/613120080.db2.gz HZTDYSBSAMKDDE-NWDGAFQWSA-N 0 1 281.356 0.144 20 30 CCEDMN C#C[C@H](CC)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000861309133 613122084 /nfs/dbraw/zinc/12/20/84/613122084.db2.gz KBHPMRKICJBKFA-SNVBAGLBSA-N 0 1 281.337 0.595 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000820661844 613122946 /nfs/dbraw/zinc/12/29/46/613122946.db2.gz XIUOWHAGMUKJMP-MGPQQGTHSA-N 0 1 281.400 0.885 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H]2CCN2C(C)=O)CC1 ZINC000977855893 613128560 /nfs/dbraw/zinc/12/85/60/613128560.db2.gz SRWARNKBGRWDJZ-OAHLLOKOSA-N 0 1 291.395 0.411 20 30 CCEDMN C#C[C@H](NC(=O)C1CN([C@@H]2CCOC2)C1)[C@H]1CCCO1 ZINC000897434521 613132621 /nfs/dbraw/zinc/13/26/21/613132621.db2.gz VMMGFHGOBFSFBW-HZSPNIEDSA-N 0 1 278.352 0.004 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2cnn(C)c2C)CC1 ZINC000928655013 613132850 /nfs/dbraw/zinc/13/28/50/613132850.db2.gz PWSIXJFPGAGSKR-UHFFFAOYSA-N 0 1 288.395 0.875 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2cn[nH]c2)CC1 ZINC000928649793 613132876 /nfs/dbraw/zinc/13/28/76/613132876.db2.gz RCWNTSCTETVAJR-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCCCNC(C)=O)CC1 ZINC000928659351 613132917 /nfs/dbraw/zinc/13/29/17/613132917.db2.gz MMPBKAYICKILBV-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCN1CCC(NC(=O)COCCOCC)CC1 ZINC000928657456 613133589 /nfs/dbraw/zinc/13/35/89/613133589.db2.gz JJDVFHFSNIMBAT-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC2CSC2)CC1 ZINC000923834292 613133829 /nfs/dbraw/zinc/13/38/29/613133829.db2.gz DKYJVVQLXBDZCA-UHFFFAOYSA-N 0 1 267.398 0.746 20 30 CCEDMN C#CCN1CCC(NC(=O)NC/C=C(\C)C(=O)OC)CC1 ZINC000925013084 613133992 /nfs/dbraw/zinc/13/39/92/613133992.db2.gz SXAPWSMRPZKHRR-LFYBBSHMSA-N 0 1 293.367 0.503 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cnn(CC)n2)CC1 ZINC000923388440 613134624 /nfs/dbraw/zinc/13/46/24/613134624.db2.gz ODCWIVUEWZLQCT-UHFFFAOYSA-N 0 1 290.371 0.195 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCN(C(C)=O)C2)CC1 ZINC000923372306 613135046 /nfs/dbraw/zinc/13/50/46/613135046.db2.gz ZRUUYBDBCISZAH-CQSZACIVSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)c2ccnn2C)CC1 ZINC000923937420 613135405 /nfs/dbraw/zinc/13/54/05/613135405.db2.gz CZNBBKHOTNZQOB-GFCCVEGCSA-N 0 1 289.383 0.878 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCC23OCCO3)CC1 ZINC000928653541 613135677 /nfs/dbraw/zinc/13/56/77/613135677.db2.gz PRZVKZUCPQKGEC-AWEZNQCLSA-N 0 1 292.379 0.743 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](O)C2CCC2)CC1 ZINC000928648824 613135912 /nfs/dbraw/zinc/13/59/12/613135912.db2.gz DDTSEICVDZGDDI-ZDUSSCGKSA-N 0 1 250.342 0.361 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN[C@@H](C)C1)[C@H]1CCCO1 ZINC000841879749 613136322 /nfs/dbraw/zinc/13/63/22/613136322.db2.gz RJOFYHGGHXTSHM-SDDRHHMPSA-N 0 1 251.330 0.171 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](O)c2ccccc2)CC1 ZINC000928655595 613136590 /nfs/dbraw/zinc/13/65/90/613136590.db2.gz ZVMWFOIFLUMAKJ-OAHLLOKOSA-N 0 1 272.348 0.934 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](O)c2ccc(C#N)cc2)CC1 ZINC000928654006 613136683 /nfs/dbraw/zinc/13/66/83/613136683.db2.gz JSQIURJICUAGMJ-MRXNPFEDSA-N 0 1 297.358 0.805 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc3nncn3c2)CC1 ZINC000928658228 613137176 /nfs/dbraw/zinc/13/71/76/613137176.db2.gz NHOCDTRZWZTLSE-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(O)cccc2O)CC1 ZINC000900667780 613137233 /nfs/dbraw/zinc/13/72/33/613137233.db2.gz TUQIOKUFMBQZGQ-UHFFFAOYSA-N 0 1 274.320 0.925 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(C3CCC3)nn2)CC1 ZINC000928649529 613137601 /nfs/dbraw/zinc/13/76/01/613137601.db2.gz OXQBUEDAOQXNRS-UHFFFAOYSA-N 0 1 287.367 0.831 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn(C)c2C)CC1 ZINC000928659018 613137760 /nfs/dbraw/zinc/13/77/60/613137760.db2.gz BTTQBZNODFXFER-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCC(Nc2cc(C)nc3ncnn32)CC1 ZINC000895803358 613138396 /nfs/dbraw/zinc/13/83/96/613138396.db2.gz HFIRDHCYZAMTIL-UHFFFAOYSA-N 0 1 270.340 0.942 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@@H]1COCCN1)c1ccc(F)cc1 ZINC000861684113 613140439 /nfs/dbraw/zinc/14/04/39/613140439.db2.gz JITQSJQMZVXWED-KGLIPLIRSA-N 0 1 291.326 0.788 20 30 CCEDMN C#C[C@H](NC(=O)NCc1n[nH]c(C2CC2)n1)[C@@H]1CCCO1 ZINC000838557812 613141717 /nfs/dbraw/zinc/14/17/17/613141717.db2.gz CDXJTXDCWOXMPS-QWRGUYRKSA-N 0 1 289.339 0.662 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)c1n[nH]c(C)n1)C1CCOCC1 ZINC000823164714 613142207 /nfs/dbraw/zinc/14/22/07/613142207.db2.gz YRPHHDYCEFFISZ-CABZTGNLSA-N 0 1 291.355 0.902 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3C[C@@H]3OCC)C2)C1 ZINC000981642084 613142575 /nfs/dbraw/zinc/14/25/75/613142575.db2.gz RJANYBJYMSQFMG-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H]1CCc2nc[nH]c2C1)[C@H]1CCCO1 ZINC000811905625 613143171 /nfs/dbraw/zinc/14/31/71/613143171.db2.gz HROYBJOSBXYLPO-UHIISALHSA-N 0 1 288.351 0.747 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3[nH]cnc3C)C2)C1 ZINC000982175143 613143341 /nfs/dbraw/zinc/14/33/41/613143341.db2.gz VBCYEMBIRXEEPN-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3nn(C)cc3C)C2)C1 ZINC000981763775 613145652 /nfs/dbraw/zinc/14/56/52/613145652.db2.gz KPDXNYNLPJLBDR-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC000968896327 613145913 /nfs/dbraw/zinc/14/59/13/613145913.db2.gz NFBZMEUPAYKEIK-ZNMIVQPWSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cnnn1CC ZINC000968849777 613147534 /nfs/dbraw/zinc/14/75/34/613147534.db2.gz GJPYNCLPANEKNN-CYBMUJFWSA-N 0 1 289.383 0.906 20 30 CCEDMN C#C[C@H](NC[C@H]1CN(CC)C(=O)O1)[C@H]1CCCO1 ZINC000846856464 613151020 /nfs/dbraw/zinc/15/10/20/613151020.db2.gz BUOQFIMCDCRQIH-SDDRHHMPSA-N 0 1 252.314 0.598 20 30 CCEDMN C#C[C@H](N[C@H]1CCN(C2CCC2)C1=O)[C@H]1CCCO1 ZINC000878454456 613152841 /nfs/dbraw/zinc/15/28/41/613152841.db2.gz XTCJFVCRQYJNQR-MELADBBJSA-N 0 1 262.353 0.910 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)CN2CCN(CC)CC2)C1 ZINC000848282131 613156631 /nfs/dbraw/zinc/15/66/31/613156631.db2.gz RILDUEZNOFCANY-AWEZNQCLSA-N 0 1 263.385 0.496 20 30 CCEDMN C#C[C@](C)(CC)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000908530111 613163395 /nfs/dbraw/zinc/16/33/95/613163395.db2.gz UOEGLZGNTVOWFJ-TZMCWYRMSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2cc(NC[C@H](C)O)ncn2)C1 ZINC000884612216 613176390 /nfs/dbraw/zinc/17/63/90/613176390.db2.gz HIHWCWBQRLBIJW-QWHCGFSZSA-N 0 1 289.383 0.779 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C/[C@H]2CCOC2)C1 ZINC000923556025 613180787 /nfs/dbraw/zinc/18/07/87/613180787.db2.gz BGPREOZALNZABR-BEVHLOIGSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C\[C@@H]2CCCO2)C1 ZINC000923554864 613180934 /nfs/dbraw/zinc/18/09/34/613180934.db2.gz LYEXDIHSEKAZSP-XQYKZARXSA-N 0 1 262.353 0.935 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCCCc2cn[nH]n2)C1 ZINC000923566017 613181878 /nfs/dbraw/zinc/18/18/78/613181878.db2.gz MSYRUMANGTZEPO-AWEZNQCLSA-N 0 1 289.383 0.731 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC000923567040 613191822 /nfs/dbraw/zinc/19/18/22/613191822.db2.gz WQHHEJJYUQTABY-JTQLQIEISA-N 0 1 284.323 0.180 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC000923567040 613191823 /nfs/dbraw/zinc/19/18/23/613191823.db2.gz WQHHEJJYUQTABY-JTQLQIEISA-N 0 1 284.323 0.180 20 30 CCEDMN C#Cc1ccc(CN2CCn3c(=O)[nH]nc3C2)cc1 ZINC000809638629 613193012 /nfs/dbraw/zinc/19/30/12/613193012.db2.gz CCJFSVWYUNHLGY-UHFFFAOYSA-N 0 1 254.293 0.981 20 30 CCEDMN C#Cc1ccc(CNC(=O)[C@]2(C)C[C@H](O)CN2C)cc1 ZINC000908530808 613194348 /nfs/dbraw/zinc/19/43/48/613194348.db2.gz OBGBRIONSIRMEC-HOCLYGCPSA-N 0 1 272.348 0.739 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1COC(=O)c1cnc(C(=O)[O-])cn1 ZINC000833364607 613203449 /nfs/dbraw/zinc/20/34/49/613203449.db2.gz QFDWUXOTKVMVDG-JTQLQIEISA-N 0 1 289.291 0.429 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)c1cnc(C(=O)[O-])cn1 ZINC000833364607 613203450 /nfs/dbraw/zinc/20/34/50/613203450.db2.gz QFDWUXOTKVMVDG-JTQLQIEISA-N 0 1 289.291 0.429 20 30 CCEDMN C#CCN1CCN(C(=O)CN2C[C@@H](OC)CC[C@H]2C)CC1 ZINC000879323860 613205379 /nfs/dbraw/zinc/20/53/79/613205379.db2.gz XLUMZUBENMKCHM-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCCc1nc(C)n[nH]1 ZINC000810360531 613206575 /nfs/dbraw/zinc/20/65/75/613206575.db2.gz RLSCPQHNIHKXSP-UHFFFAOYSA-N 0 1 268.320 0.996 20 30 CCEDMN C#CCN1CCO[C@]2(CCN(C(=O)c3cnc(C)[nH]3)C2)C1 ZINC000972300036 613213625 /nfs/dbraw/zinc/21/36/25/613213625.db2.gz FBFIZLBOSUYJGW-OAHLLOKOSA-N 0 1 288.351 0.268 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC000968360678 613214318 /nfs/dbraw/zinc/21/43/18/613214318.db2.gz KTDIOFYWAZMJGT-YPMHNXCESA-N 0 1 260.341 0.412 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CC2OCCCO2)C(C)(C)C1 ZINC000940703784 613225110 /nfs/dbraw/zinc/22/51/10/613225110.db2.gz VJOILKYPFKOHEJ-CYBMUJFWSA-N 0 1 294.395 0.989 20 30 CCEDMN C1CC(N=NC2=N[C@H]3CCCC[C@@H]3N2)[C@@]2(CCOC2)O1 ZINC000901207169 613225398 /nfs/dbraw/zinc/22/53/98/613225398.db2.gz OQQSKEYQHSEHAB-MJVIPROJSA-N 0 1 278.356 0.782 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2c(C)nn(C)c2F)[C@H](C)C1 ZINC000944489957 613230293 /nfs/dbraw/zinc/23/02/93/613230293.db2.gz CBXHJVUPMXZABK-ZYHUDNBSSA-N 0 1 292.358 0.941 20 30 CCEDMN C=C(Br)Cn1cnc(-c2nn[nH]n2)c1C#N ZINC000823872801 613239321 /nfs/dbraw/zinc/23/93/21/613239321.db2.gz DUBPUMYAQXWRKZ-UHFFFAOYSA-N 0 1 280.089 0.843 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnc3n[nH]nc32)[C@@H](C)C1 ZINC000942434442 613239398 /nfs/dbraw/zinc/23/93/98/613239398.db2.gz XXBNJBPASQJRGT-CMPLNLGQSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2n[nH]cc2C)C[C@H]1C ZINC000947290583 613243465 /nfs/dbraw/zinc/24/34/65/613243465.db2.gz HTRZIDNNEDJFDM-VXGBXAGGSA-N 0 1 260.341 0.934 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nonc2C)[C@@H](C)C1 ZINC000945946232 613244436 /nfs/dbraw/zinc/24/44/36/613244436.db2.gz ZSEZXZOFZYNSNJ-GXSJLCMTSA-N 0 1 262.313 0.451 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2COCCN2C)C1 ZINC000949926561 613245736 /nfs/dbraw/zinc/24/57/36/613245736.db2.gz KMNOWSRAMJCLDQ-OAHLLOKOSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000968609181 613248563 /nfs/dbraw/zinc/24/85/63/613248563.db2.gz BXGOXDAAXHGNJH-AAEUAGOBSA-N 0 1 273.336 0.861 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@]2(C)CCNC2=O)CC1 ZINC000950470091 613260854 /nfs/dbraw/zinc/26/08/54/613260854.db2.gz BPFKTIZBNGBBEF-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCNC2=O)C(C)(C)C1 ZINC000940813257 613263327 /nfs/dbraw/zinc/26/33/27/613263327.db2.gz UXPJQWJGNXZLMY-STQMWFEESA-N 0 1 291.395 0.363 20 30 CCEDMN C/C(=C\c1cccnc1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000848419485 613264649 /nfs/dbraw/zinc/26/46/49/613264649.db2.gz VKQLFKJLHAODHK-KOOLHJLFSA-N 0 1 256.309 0.713 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)n(C)n2)[C@@H](C)C1 ZINC000941870865 613265811 /nfs/dbraw/zinc/26/58/11/613265811.db2.gz XVOLZEDLAUYQRM-AAEUAGOBSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc(OC)n2)[C@H](C)C1 ZINC000942444150 613272343 /nfs/dbraw/zinc/27/23/43/613272343.db2.gz LUARNMMWJQECCM-NEPJUHHUSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn3c(n2)CCC3)[C@@H](C)C1 ZINC000942059813 613274640 /nfs/dbraw/zinc/27/46/40/613274640.db2.gz BLIJAZYHWQGCDX-STQMWFEESA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(CC)n2)[C@@H](C)C1 ZINC000942037805 613276081 /nfs/dbraw/zinc/27/60/81/613276081.db2.gz RGPOVYKAEYDTIX-RYUDHWBXSA-N 0 1 275.356 0.371 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nc3ncccn3n2)C[C@@H]1C ZINC000947831849 613277301 /nfs/dbraw/zinc/27/73/01/613277301.db2.gz BHDGDWBEMUYETP-RYUDHWBXSA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nn(C)cc2C)[C@H](C)C1 ZINC000942046069 613278374 /nfs/dbraw/zinc/27/83/74/613278374.db2.gz QCPATSDTPRVQCY-YPMHNXCESA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2nnc(C)[nH]2)C(C)(C)C1 ZINC000974598028 613281178 /nfs/dbraw/zinc/28/11/78/613281178.db2.gz OUNHBUDWAPNPAE-LLVKDONJSA-N 0 1 275.356 0.115 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CCCN2CC#C)C(C)(C)C1 ZINC000974701489 613281642 /nfs/dbraw/zinc/28/16/42/613281642.db2.gz MSYIXWZIUMZICT-LSDHHAIUSA-N 0 1 287.407 0.544 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CCNC(=O)CC2)C(C)(C)C1 ZINC000974861925 613281937 /nfs/dbraw/zinc/28/19/37/613281937.db2.gz GOTXCCMCJNYHJK-QWHCGFSZSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c[nH]nc2CCC)C1 ZINC000958203208 613285427 /nfs/dbraw/zinc/28/54/27/613285427.db2.gz ODSHOAAWFQFFLY-SMDDNHRTSA-N 0 1 290.367 0.018 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc[nH]c2CC)C1 ZINC000958045768 613286227 /nfs/dbraw/zinc/28/62/27/613286227.db2.gz BIFAEJOQVNQFKZ-SMDDNHRTSA-N 0 1 275.352 0.233 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C)nc2C)C1 ZINC000958718438 613286278 /nfs/dbraw/zinc/28/62/78/613286278.db2.gz UTRCLTNXORCQKW-DZGCQCFKSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccn2CC)C1 ZINC000958683932 613286747 /nfs/dbraw/zinc/28/67/47/613286747.db2.gz IEIPGCWCWBJDIO-GXTWGEPZSA-N 0 1 275.352 0.164 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c(C)coc2C)[C@H](O)C1 ZINC000958041229 613288582 /nfs/dbraw/zinc/28/85/82/613288582.db2.gz KGBCIYGZBXZBIE-CHWSQXEVSA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2CC(F)(F)C2)[C@H](O)C1 ZINC000957946772 613288636 /nfs/dbraw/zinc/28/86/36/613288636.db2.gz CGOCORYMVDKHGD-GHMZBOCLSA-N 0 1 272.295 0.074 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ncccc2CC)[C@H](O)C1 ZINC000958186882 613290609 /nfs/dbraw/zinc/29/06/09/613290609.db2.gz HYGIKZDCNJDMIM-ZIAGYGMSSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3cnsn3)C[C@]2(C)C1 ZINC000982222530 613294277 /nfs/dbraw/zinc/29/42/77/613294277.db2.gz ABPQOEFRUVPTFM-OKILXGFUSA-N 0 1 290.392 0.955 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C)c(C)c2)C1 ZINC000958640072 613294426 /nfs/dbraw/zinc/29/44/26/613294426.db2.gz OSCCYAXPTLQIQL-HOTGVXAUSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C#C)cc2)C1 ZINC000958310110 613294507 /nfs/dbraw/zinc/29/45/07/613294507.db2.gz WXJTXMNDUYROAR-HOTGVXAUSA-N 0 1 282.343 0.324 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc(F)c2C)C1 ZINC000958072767 613294973 /nfs/dbraw/zinc/29/49/73/613294973.db2.gz FXTKFWZWUZJYCA-WFASDCNBSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccncc2C)C1 ZINC000958056716 613295806 /nfs/dbraw/zinc/29/58/06/613295806.db2.gz QEAYCINQTSJCCX-JSGCOSHPSA-N 0 1 273.336 0.046 20 30 CCEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cc3n(n2)CCO3)C1 ZINC000969846750 613299984 /nfs/dbraw/zinc/29/99/84/613299984.db2.gz ARLDALDPYQZWQE-LLVKDONJSA-N 0 1 290.367 0.902 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)ccc2F)C1 ZINC000958434026 613302574 /nfs/dbraw/zinc/30/25/74/613302574.db2.gz GJKHMHRNPUWJQY-DOMZBBRYSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C#C)cc2)C1 ZINC000958310109 613302743 /nfs/dbraw/zinc/30/27/43/613302743.db2.gz WXJTXMNDUYROAR-CVEARBPZSA-N 0 1 282.343 0.324 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccnc(C3CC3)c2)C1 ZINC000958222220 613303284 /nfs/dbraw/zinc/30/32/84/613303284.db2.gz BEBINGFDIKZLPS-ZBFHGGJFSA-N 0 1 299.374 0.615 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)C2CN(C(C)=O)C2)CC1 ZINC000981043539 613307983 /nfs/dbraw/zinc/30/79/83/613307983.db2.gz DMWRZXMPLLDOQJ-UHFFFAOYSA-N 0 1 279.384 0.575 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CN2CCOCC2)CC1 ZINC000981001412 613308495 /nfs/dbraw/zinc/30/84/95/613308495.db2.gz HOVBTVYIYPKTMI-UHFFFAOYSA-N 0 1 281.400 0.429 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2COC(=O)N2)CC1 ZINC000981059466 613310192 /nfs/dbraw/zinc/31/01/92/613310192.db2.gz BRMQSXMTIJRWEM-NSHDSACASA-N 0 1 267.329 0.205 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC000980819960 613310262 /nfs/dbraw/zinc/31/02/62/613310262.db2.gz AXXARRCLAHIUNV-OLZOCXBDSA-N 0 1 280.368 0.906 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@]2(C)CCNC2=O)CC1 ZINC000981945247 613311166 /nfs/dbraw/zinc/31/11/66/613311166.db2.gz JGSZCXKYRVDDKL-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccccc1C#C ZINC000962414784 613314087 /nfs/dbraw/zinc/31/40/87/613314087.db2.gz MHZVLDVFPNVLSM-VQFNDLOPSA-N 0 1 278.355 0.890 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)c1cncnc1 ZINC000961560341 613316361 /nfs/dbraw/zinc/31/63/61/613316361.db2.gz RTRCVORHUYQVOM-ZZVYKPCYSA-N 0 1 270.336 0.260 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)noc1CC ZINC000962504295 613317385 /nfs/dbraw/zinc/31/73/85/613317385.db2.gz BRWUHGMWLDDWEU-YABSGUDNSA-N 0 1 273.336 0.839 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn2cc(C)nc2c1 ZINC000961766179 613320049 /nfs/dbraw/zinc/32/00/49/613320049.db2.gz SOKGUNCBUGODBM-FOLVSLTJSA-N 0 1 294.358 0.936 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2nnn(C)c21 ZINC000963166722 613320427 /nfs/dbraw/zinc/32/04/27/613320427.db2.gz MERQJFDCKIGJJX-IMRBUKKESA-N 0 1 295.346 0.262 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2nccc2nc1C ZINC000962170007 613320585 /nfs/dbraw/zinc/32/05/85/613320585.db2.gz LEMSCACJSZJTOT-NHAGDIPZSA-N 0 1 295.346 0.331 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)nc1C(C)C ZINC000962537954 613320641 /nfs/dbraw/zinc/32/06/41/613320641.db2.gz XGBUSHHJCBATIU-JYAVWHMHSA-N 0 1 286.379 0.837 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nn(C)cc1C ZINC000959873628 613322302 /nfs/dbraw/zinc/32/23/02/613322302.db2.gz CBXQUHDMCXKMPT-PJXYFTJBSA-N 0 1 258.325 0.022 20 30 CCEDMN C#CCNC(=O)CN(C)C1CC(NC(=O)OC(C)(C)C)C1 ZINC000831228882 613327663 /nfs/dbraw/zinc/32/76/63/613327663.db2.gz ZCXSRFBTNUACTJ-UHFFFAOYSA-N 0 1 295.383 0.723 20 30 CCEDMN C#CCNC(=O)CN1CCCN(c2ccccc2)CC1 ZINC000917089021 613328826 /nfs/dbraw/zinc/32/88/26/613328826.db2.gz OAOKDSGAOPKAJR-UHFFFAOYSA-N 0 1 271.364 0.948 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C(=O)OC)[C@@H]2CCCC[C@H]21 ZINC000852494957 613330051 /nfs/dbraw/zinc/33/00/51/613330051.db2.gz HTJISZNUGXAYBJ-MELADBBJSA-N 0 1 292.379 0.790 20 30 CCEDMN C=C(C)CONC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000845603794 613352918 /nfs/dbraw/zinc/35/29/18/613352918.db2.gz XOTBWJVOSBXAOE-CYBMUJFWSA-N 0 1 283.372 0.858 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@H]1CCN1C1CCOCC1 ZINC000921909056 613354219 /nfs/dbraw/zinc/35/42/19/613354219.db2.gz TYZIFPZBZPDLCT-CYBMUJFWSA-N 0 1 288.413 0.735 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000883702084 613355009 /nfs/dbraw/zinc/35/50/09/613355009.db2.gz DKASDYMLDMQSDH-QWRGUYRKSA-N 0 1 278.356 0.893 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000882901928 613355317 /nfs/dbraw/zinc/35/53/17/613355317.db2.gz UJHVCRFQSXRYMH-QWRGUYRKSA-N 0 1 263.341 0.958 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)NCCN1CCCOCC1 ZINC000883710183 613357398 /nfs/dbraw/zinc/35/73/98/613357398.db2.gz DCMLGFWHAFVRRA-CYBMUJFWSA-N 0 1 285.388 0.335 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC000908891399 613358846 /nfs/dbraw/zinc/35/88/46/613358846.db2.gz XTEHNOFBLJXIBH-JQWIXIFHSA-N 0 1 281.356 0.942 20 30 CCEDMN C=C(C)[C@H](CO)N1CC[C@@]2(CC(C(=O)OC)=NO2)C1 ZINC000879483912 613362350 /nfs/dbraw/zinc/36/23/50/613362350.db2.gz NJGKINFZMOFCSF-WCQYABFASA-N 0 1 268.313 0.317 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N(C)Cc1n[nH]c(=O)o1 ZINC000899169574 613362945 /nfs/dbraw/zinc/36/29/45/613362945.db2.gz CXJSHHFAMZPLEK-PSASIEDQSA-N 0 1 267.285 0.715 20 30 CCEDMN C=C(Cl)CN1C[C@H](NC(=O)c2ncn[nH]2)C(C)(C)C1 ZINC000977366080 613381124 /nfs/dbraw/zinc/38/11/24/613381124.db2.gz UHQIPHUXZJAJFA-VIFPVBQESA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN1C[C@H](NC(=O)c2nc[nH]n2)C(C)(C)C1 ZINC000977366080 613381125 /nfs/dbraw/zinc/38/11/25/613381125.db2.gz UHQIPHUXZJAJFA-VIFPVBQESA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2nonc2C)C1 ZINC000970373591 613384869 /nfs/dbraw/zinc/38/48/69/613384869.db2.gz GXQDACWLMIDKNR-SNVBAGLBSA-N 0 1 284.747 0.864 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC000969077600 613385286 /nfs/dbraw/zinc/38/52/86/613385286.db2.gz YDTBMSRHVLKRCM-RISCZKNCSA-N 0 1 299.802 0.846 20 30 CCEDMN COc1cncc(C(=O)NCC#CCN(C)C)c1C ZINC000913525147 617333649 /nfs/dbraw/zinc/33/36/49/617333649.db2.gz MBCOTBAQKNMQCV-UHFFFAOYSA-N 0 1 261.325 0.693 20 30 CCEDMN C=C1CN(C(=O)CN(C)c2ncnc3[nH]cnc32)C1 ZINC000898693318 613415464 /nfs/dbraw/zinc/41/54/64/613415464.db2.gz PMYDMLWKCNXFEV-UHFFFAOYSA-N 0 1 258.285 0.188 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@](C)(NC(=O)c2cnn[nH]2)C1 ZINC000957187168 613441572 /nfs/dbraw/zinc/44/15/72/613441572.db2.gz DMGJCWVSCYZKLV-AWEZNQCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCC(c2nc[nH]n2)CC1 ZINC000800349953 613441835 /nfs/dbraw/zinc/44/18/35/613441835.db2.gz YYSPKDMMHCTUQC-JTQLQIEISA-N 0 1 250.302 0.712 20 30 CCEDMN C=CC(C)(C)CCCNC(=O)C(=O)NC[C@H]1COCCN1 ZINC000884815790 613446584 /nfs/dbraw/zinc/44/65/84/613446584.db2.gz SFYCCTDWNXRFAY-LBPRGKRZSA-N 0 1 297.399 0.200 20 30 CCEDMN C=C[C@@H](NCc1nc(CSC)n[nH]1)C(=O)OC ZINC000905836202 613448975 /nfs/dbraw/zinc/44/89/75/613448975.db2.gz GBKFCXOFRDHAHF-SSDOTTSWSA-N 0 1 256.331 0.485 20 30 CCEDMN C=C[C@@H](NCc1nnc(CSC)[nH]1)C(=O)OC ZINC000905836202 613448977 /nfs/dbraw/zinc/44/89/77/613448977.db2.gz GBKFCXOFRDHAHF-SSDOTTSWSA-N 0 1 256.331 0.485 20 30 CCEDMN C=CC(C)(C)NS(=O)(=O)CCCN1CCN(C)CC1 ZINC000908466757 613449211 /nfs/dbraw/zinc/44/92/11/613449211.db2.gz QQYXNNHTNPHSLP-UHFFFAOYSA-N 0 1 289.445 0.508 20 30 CCEDMN C=CCC1(O)CCN([C@H]2CCN(OC)C2=O)CC1 ZINC000931751462 613460652 /nfs/dbraw/zinc/46/06/52/613460652.db2.gz YKLQNOXARNDBEW-NSHDSACASA-N 0 1 254.330 0.552 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907481687 613463570 /nfs/dbraw/zinc/46/35/70/613463570.db2.gz YAVZUSBZWCNQKG-UTLUCORTSA-N 0 1 295.368 0.407 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@H](C)N(C)C)C(=O)OC ZINC000928324808 613474000 /nfs/dbraw/zinc/47/40/00/613474000.db2.gz BEFQFXHSWQKHHF-MNOVXSKESA-N 0 1 256.346 0.951 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)c3cocn3)CC2)C1 ZINC000941343689 613482277 /nfs/dbraw/zinc/48/22/77/613482277.db2.gz PLRWWYZOHHLJCO-UHFFFAOYSA-N 0 1 290.367 0.693 20 30 CCEDMN C=C[C@H](CO)NCC1(S(=O)(=O)NC(C)(C)C)CC1 ZINC000895339854 613492437 /nfs/dbraw/zinc/49/24/37/613492437.db2.gz BDWKRQVPAIACEQ-SNVBAGLBSA-N 0 1 276.402 0.373 20 30 CCEDMN C=C[C@H](O)C(=O)OCC1=Nc2ccccc2S(=O)(=O)N1 ZINC000814549625 613498698 /nfs/dbraw/zinc/49/86/98/613498698.db2.gz GKMPMVJNFNSAAS-VIFPVBQESA-N 0 1 296.304 0.099 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCc3nncn3C2)CC1 ZINC000957754289 613506677 /nfs/dbraw/zinc/50/66/77/613506677.db2.gz HVGAFKVZVKCFEE-CYBMUJFWSA-N 0 1 289.383 0.561 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](OC)[C@H]2CCOC2)CC1 ZINC000949483605 613508014 /nfs/dbraw/zinc/50/80/14/613508014.db2.gz GXXABYCWZVVTEF-UONOGXRCSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CCCN1CCN(C(=O)c2cc(C(N)=O)cn2C)CC1 ZINC000949130205 613509775 /nfs/dbraw/zinc/50/97/75/613509775.db2.gz LTZIGGPQYXIMKV-UHFFFAOYSA-N 0 1 290.367 0.458 20 30 CCEDMN C=CCCN1CCN(C(=O)c2cccc(=O)n2C)CC1 ZINC000950423980 613510625 /nfs/dbraw/zinc/51/06/25/613510625.db2.gz AHWUVPJYJWAJMI-UHFFFAOYSA-N 0 1 275.352 0.719 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000969252395 613538089 /nfs/dbraw/zinc/53/80/89/613538089.db2.gz RQEUCZHTZNOMID-JTQLQIEISA-N 0 1 274.324 0.256 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3CCNC3=O)C2)C1 ZINC000981934878 613542804 /nfs/dbraw/zinc/54/28/04/613542804.db2.gz HLSJGRKPTGOOJX-ZDUSSCGKSA-N 0 1 289.379 0.070 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3cn(C)nn3)C2)C1 ZINC000981749185 613544239 /nfs/dbraw/zinc/54/42/39/613544239.db2.gz WLVOYVIVEMLKHO-UHFFFAOYSA-N 0 1 287.367 0.376 20 30 CCEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1ncn(C)n1 ZINC000968840073 613545512 /nfs/dbraw/zinc/54/55/12/613545512.db2.gz IIDPYPNSNCZIKT-CYBMUJFWSA-N 0 1 289.383 0.813 20 30 CCEDMN CC#CCN1CCCN(C(=O)c2cc(C)[nH]n2)CC1 ZINC000980772018 613554409 /nfs/dbraw/zinc/55/44/09/613554409.db2.gz BGFGMVDPPUGONB-UHFFFAOYSA-N 0 1 260.341 0.889 20 30 CCEDMN CC#CCN1CCCN(C(=O)c2cnc(C)[nH]2)CC1 ZINC000980844704 613555843 /nfs/dbraw/zinc/55/58/43/613555843.db2.gz IZMWNJCZHILHGQ-UHFFFAOYSA-N 0 1 260.341 0.889 20 30 CCEDMN C=CCCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCS(=O)(=O)C1 ZINC000960891763 613564333 /nfs/dbraw/zinc/56/43/33/613564333.db2.gz BNDOIYZHMVUCKM-NDBYEHHHSA-N 0 1 298.408 0.044 20 30 CCEDMN CC#CCN1CCN(C(=O)[C@H](C)Cc2cnc[nH]2)CC1 ZINC000951604093 613565609 /nfs/dbraw/zinc/56/56/09/613565609.db2.gz DIQYTCYNZGXRSY-CYBMUJFWSA-N 0 1 274.368 0.756 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964900936 613572285 /nfs/dbraw/zinc/57/22/85/613572285.db2.gz FTYCDKPEPLXRGC-YPMHNXCESA-N 0 1 288.351 0.646 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC000965806856 613574142 /nfs/dbraw/zinc/57/41/42/613574142.db2.gz YGVLALZLQGZAKU-TZMCWYRMSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2nc[nH]n2)C1 ZINC000968520198 613575169 /nfs/dbraw/zinc/57/51/69/613575169.db2.gz DKOHENDZWGOOKT-VXGBXAGGSA-N 0 1 275.356 0.197 20 30 CCEDMN C=CCCn1cc(CNCCc2cnccn2)nn1 ZINC000850237030 613599117 /nfs/dbraw/zinc/59/91/17/613599117.db2.gz WMQNMBVRYUTLAY-UHFFFAOYSA-N 0 1 258.329 0.977 20 30 CCEDMN C=CCCn1cc(C[NH2+]CC(=O)[N-]OCC)nn1 ZINC000850340701 613599357 /nfs/dbraw/zinc/59/93/57/613599357.db2.gz YVNYDWAYVWPHFU-UHFFFAOYSA-N 0 1 253.306 0.012 20 30 CCEDMN C=CCN(C(=O)C12CCN(CC1)C2)[C@H]1CCS(=O)(=O)C1 ZINC000900310899 613604122 /nfs/dbraw/zinc/60/41/22/613604122.db2.gz UBPIHGUBZLOJNE-LBPRGKRZSA-N 0 1 298.408 0.284 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](C)C1 ZINC000941963121 613606161 /nfs/dbraw/zinc/60/61/61/613606161.db2.gz JQNWDJIDNLBCBN-JQWIXIFHSA-N 0 1 290.367 0.887 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nonc2C)[C@@H](C)C1 ZINC000945943216 613606888 /nfs/dbraw/zinc/60/68/88/613606888.db2.gz NTYYHGQLELJTKV-JQWIXIFHSA-N 0 1 276.340 0.842 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974635261 613609249 /nfs/dbraw/zinc/60/92/49/613609249.db2.gz AVXKOSAWNZZMFZ-CHWSQXEVSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2ncc[nH]2)C(C)(C)C1 ZINC000977376700 613609641 /nfs/dbraw/zinc/60/96/41/613609641.db2.gz IUZGAJPUFNJUNT-GFCCVEGCSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CCCC2)C1 ZINC000957807972 613612751 /nfs/dbraw/zinc/61/27/51/613612751.db2.gz MOOQWISYFVWABP-UONOGXRCSA-N 0 1 264.369 0.609 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccs2)C1 ZINC000957839303 613612850 /nfs/dbraw/zinc/61/28/50/613612850.db2.gz XEGLYPVYRPVEAR-NWDGAFQWSA-N 0 1 278.377 0.794 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cocc2C)C1 ZINC000958323622 613613616 /nfs/dbraw/zinc/61/36/16/613613616.db2.gz CRTSDTSWHQQELE-GXTWGEPZSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2occc2CC)C1 ZINC000958370441 613620322 /nfs/dbraw/zinc/62/03/22/613620322.db2.gz PZOHULYDQSZNDG-KBPBESRZSA-N 0 1 290.363 0.888 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974915787 613620945 /nfs/dbraw/zinc/62/09/45/613620945.db2.gz BVFLJRGNVHIVOZ-STQMWFEESA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)C2CCC2)C1 ZINC000957802104 613624985 /nfs/dbraw/zinc/62/49/85/613624985.db2.gz BEDXCZQAZHKOGT-OLZOCXBDSA-N 0 1 250.342 0.219 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)ncn1C ZINC000960416660 613638464 /nfs/dbraw/zinc/63/84/64/613638464.db2.gz VDKMLLQLOQEVHL-ITGUQSILSA-N 0 1 272.352 0.412 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)nnc1C ZINC000960103635 613638509 /nfs/dbraw/zinc/63/85/09/613638509.db2.gz NWBGOSBIJNPIKX-FICVDOATSA-N 0 1 284.363 0.777 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1cccc2nc(CO)[nH]c21 ZINC000911604890 613652522 /nfs/dbraw/zinc/65/25/22/613652522.db2.gz CIGVEKOOWLFUCG-SNVBAGLBSA-N 0 1 287.319 0.559 20 30 CCEDMN CC(=NNC1=[NH+]CCN1)c1ccc([O-])c([N+](=O)[O-])c1 ZINC000841662436 613653768 /nfs/dbraw/zinc/65/37/68/613653768.db2.gz XMVLJFGBWUWXLH-UHFFFAOYSA-N 0 1 263.257 0.573 20 30 CCEDMN CC(=NNC1=NCCN1)c1cnn(CC2CCC2)c1 ZINC000901252729 613654587 /nfs/dbraw/zinc/65/45/87/613654587.db2.gz NBOYTEWSWNRSHZ-UHFFFAOYSA-N 0 1 260.345 0.956 20 30 CCEDMN C=CCN1CC(N2CCN(C(=O)c3ccn[nH]3)CC2)C1 ZINC000941323993 613661632 /nfs/dbraw/zinc/66/16/32/613661632.db2.gz XMYYIUFAJWRZBP-UHFFFAOYSA-N 0 1 275.356 0.038 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC000970998656 613663872 /nfs/dbraw/zinc/66/38/72/613663872.db2.gz IGPXNHLBQROCBN-SNVBAGLBSA-N 0 1 288.351 0.417 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC000970058323 613670595 /nfs/dbraw/zinc/67/05/95/613670595.db2.gz HRFUVASTWCOMAR-JTQLQIEISA-N 0 1 290.367 0.360 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3n(n2)CCO3)C1 ZINC000969852230 613671096 /nfs/dbraw/zinc/67/10/96/613671096.db2.gz LWINLANNFQWVQC-JTQLQIEISA-N 0 1 276.340 0.512 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cccc(=O)n2C)C1 ZINC000970403588 613672145 /nfs/dbraw/zinc/67/21/45/613672145.db2.gz CTZRBOYMJJHOTL-NSHDSACASA-N 0 1 275.352 0.621 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnn(C)c2OC)C1 ZINC000970807308 613673287 /nfs/dbraw/zinc/67/32/87/613673287.db2.gz USQOCVDGJXFIIP-JTQLQIEISA-N 0 1 278.356 0.665 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnn3cc[nH]c23)C1 ZINC000970791078 613673419 /nfs/dbraw/zinc/67/34/19/613673419.db2.gz JSEPBIUSVXTUJA-JTQLQIEISA-N 0 1 273.340 0.899 20 30 CCEDMN CC(=O)N1c2ccccc2C[C@H]1C(=O)NCC#CCN(C)C ZINC000913518642 613684874 /nfs/dbraw/zinc/68/48/74/613684874.db2.gz VYGPUNUMMWKUIK-INIZCTEOSA-N 0 1 299.374 0.645 20 30 CCEDMN C=CCN1CCCN(C(=O)Cn2cc(C)cn2)CC1 ZINC000980789852 613690755 /nfs/dbraw/zinc/69/07/55/613690755.db2.gz HKKSCBLWZFDQPF-UHFFFAOYSA-N 0 1 262.357 0.912 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@]2(CC)CCNC2=O)CC1 ZINC000981376874 613693334 /nfs/dbraw/zinc/69/33/34/613693334.db2.gz RKYNIWMPIZTIIA-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCN1CCN(CN2CCC[C@H](C3OCCO3)C2)C1=O ZINC000840002310 613720161 /nfs/dbraw/zinc/72/01/61/613720161.db2.gz HNHWLJWKQKDCNN-ZDUSSCGKSA-N 0 1 295.383 0.952 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(C(=O)NOC)CC2)C1=O ZINC000879924323 613739204 /nfs/dbraw/zinc/73/92/04/613739204.db2.gz PDPIMHVLXZMWPT-GFCCVEGCSA-N 0 1 281.356 0.163 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H]2CCN(C(C)=O)C2)C1=O ZINC000970917115 613777923 /nfs/dbraw/zinc/77/79/23/613777923.db2.gz WMMCCOBWLPCLTR-STQMWFEESA-N 0 1 265.357 0.326 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C2CN(C(C)=O)C2)C(C)(C)C1 ZINC000974494868 613806152 /nfs/dbraw/zinc/80/61/52/613806152.db2.gz BIJXDZCIDXBGEQ-CYBMUJFWSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2COC(=O)N2)C(C)(C)C1 ZINC000974538758 613809325 /nfs/dbraw/zinc/80/93/25/613809325.db2.gz OXLFQVZEOLJQSI-NXEZZACHSA-N 0 1 267.329 0.107 20 30 CCEDMN CC(=O)NC[C@H](CC(=O)NCC#CCN(C)C)CC(C)C ZINC000913523322 613819827 /nfs/dbraw/zinc/81/98/27/613819827.db2.gz VMKDCWZIEBLQHB-HNNXBMFYSA-N 0 1 295.427 0.856 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C(C)(C)C1 ZINC000974747602 613828079 /nfs/dbraw/zinc/82/80/79/613828079.db2.gz BKMCPSOOTULFFT-STQMWFEESA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@H]1CCCN1C(C)C ZINC000935268610 613846657 /nfs/dbraw/zinc/84/66/57/613846657.db2.gz XHSSQSCTIZHVQB-LLVKDONJSA-N 0 1 253.346 0.278 20 30 CCEDMN C=CCNC(=O)Cc1noc([C@@H](C)NCCC)n1 ZINC000843214358 613849000 /nfs/dbraw/zinc/84/90/00/613849000.db2.gz DRHKHJVFTHCLEE-SECBINFHSA-N 0 1 252.318 0.975 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823506931 613851787 /nfs/dbraw/zinc/85/17/87/613851787.db2.gz PIZFAUWLUUNHMV-UWVGGRQHSA-N 0 1 298.343 0.071 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823506931 613851789 /nfs/dbraw/zinc/85/17/89/613851789.db2.gz PIZFAUWLUUNHMV-UWVGGRQHSA-N 0 1 298.343 0.071 20 30 CCEDMN C=CCOC(=O)[C@@H](N)CC(=O)N1CCNC[C@@H]1CCC ZINC000884246257 613877558 /nfs/dbraw/zinc/87/75/58/613877558.db2.gz MYHCOMTUDDVKGH-RYUDHWBXSA-N 0 1 283.372 0.034 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](c2[nH]ncc2N)C1 ZINC000907402504 613879137 /nfs/dbraw/zinc/87/91/37/613879137.db2.gz LTNWZTYGXSHDPH-SECBINFHSA-N 0 1 250.302 0.510 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCC[N@H+]2CCSC[C@@H]12 ZINC000928094331 613879528 /nfs/dbraw/zinc/87/95/28/613879528.db2.gz KOHPOYDSBVLLNZ-RYUDHWBXSA-N 0 1 270.398 0.885 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000928094331 613879529 /nfs/dbraw/zinc/87/95/29/613879529.db2.gz KOHPOYDSBVLLNZ-RYUDHWBXSA-N 0 1 270.398 0.885 20 30 CCEDMN C=CCOCCCC(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000913495221 613879625 /nfs/dbraw/zinc/87/96/25/613879625.db2.gz IJSZFEWQWQZNBL-JTQLQIEISA-N 0 1 281.316 0.082 20 30 CCEDMN C=CCOCCN1CC[C@]2(CC(C(=O)OC)=NO2)C1 ZINC000879485796 613880423 /nfs/dbraw/zinc/88/04/23/613880423.db2.gz FXLRLNSPYWQSQK-ZDUSSCGKSA-N 0 1 268.313 0.583 20 30 CCEDMN C=CCOc1cccnc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834485229 613884964 /nfs/dbraw/zinc/88/49/64/613884964.db2.gz TWHWDVLXLYVYKB-WDEREUQCSA-N 0 1 272.308 0.488 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)[C@H](C)Cc1cnc[nH]1 ZINC000847708020 613885470 /nfs/dbraw/zinc/88/54/70/613885470.db2.gz RBFBTXJBJSJXIZ-SNVBAGLBSA-N 0 1 285.369 0.305 20 30 CCEDMN CC(C)OC[C@@H](O)CON=C(N)CN1CCCC[C@@H]1C ZINC000133676946 614030216 /nfs/dbraw/zinc/03/02/16/614030216.db2.gz RCWOEUMQRDQSNW-QWHCGFSZSA-N 0 1 287.404 0.936 20 30 CCEDMN CC(C)[C@H](CO)ONC(=O)c1ccc(C#N)c(O)c1 ZINC000836900121 614214961 /nfs/dbraw/zinc/21/49/61/614214961.db2.gz ROPOHZQMMQGIFJ-LBPRGKRZSA-N 0 1 264.281 0.942 20 30 CCEDMN CC(C)[C@H](NC=O)C(=O)Nc1cc(C#N)ccc1O ZINC000860444484 614246288 /nfs/dbraw/zinc/24/62/88/614246288.db2.gz AJLVWIWTODSZEB-LBPRGKRZSA-N 0 1 261.281 0.973 20 30 CCEDMN CC(C)[C@H]([NH2+][C@H](C(=O)[O-])c1cccc(C#N)c1)C(N)=O ZINC000826715811 614251068 /nfs/dbraw/zinc/25/10/68/614251068.db2.gz GOZVEECMNDQQNH-RYUDHWBXSA-N 0 1 275.308 0.783 20 30 CCEDMN CC(=O)c1cccc(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000843461207 614258153 /nfs/dbraw/zinc/25/81/53/614258153.db2.gz KLUWQLPPTMAIMM-GXTWGEPZSA-N 0 1 287.319 0.496 20 30 CCEDMN CC(C)[N@@H+](CCC(=O)[O-])CCC(=O)N(C)CCC#N ZINC000833496922 614291797 /nfs/dbraw/zinc/29/17/97/614291797.db2.gz NJMLBISHKKJUOA-UHFFFAOYSA-N 0 1 269.345 0.934 20 30 CCEDMN CC(C)c1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)n(C)n1 ZINC000834480288 614303804 /nfs/dbraw/zinc/30/38/04/614303804.db2.gz NPKVVYVBXXALQH-MWLCHTKSSA-N 0 1 261.329 0.385 20 30 CCEDMN CC(C)N1CCO[C@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000972297725 614366846 /nfs/dbraw/zinc/36/68/46/614366846.db2.gz MHBVZNZHCYIFLC-GXTWGEPZSA-N 0 1 265.357 0.858 20 30 CCEDMN CC1(C)CC[C@H](CC(=O)N[C@H]2CNC[C@H]2C#N)OC1 ZINC000884250712 614569997 /nfs/dbraw/zinc/56/99/97/614569997.db2.gz LYXGLMPEVXPNBX-UTUOFQBUSA-N 0 1 265.357 0.809 20 30 CCEDMN CCC/C=C/[C@@H](O)C(=O)NC1(C#N)CCN(C)CC1 ZINC000900607761 614596902 /nfs/dbraw/zinc/59/69/02/614596902.db2.gz HLDQBHUKWGRXMI-BTDICHCPSA-N 0 1 265.357 0.808 20 30 CCEDMN CCC1(C(=O)NCC#CCN(C)C)CCOCC1 ZINC000913520600 614659516 /nfs/dbraw/zinc/65/95/16/614659516.db2.gz URFCNSOBUGIDDL-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN CCC1(C(=O)N[C@H]2CNC[C@H]2C#N)CCOCC1 ZINC000834485405 614664957 /nfs/dbraw/zinc/66/49/57/614664957.db2.gz WFDJAZLHFILAIB-MNOVXSKESA-N 0 1 251.330 0.421 20 30 CCEDMN CCN(CC)c1ncc(C=NNC2=NCCN2)s1 ZINC000841661776 615013351 /nfs/dbraw/zinc/01/33/51/615013351.db2.gz OOEBUXGGQDKEEH-UHFFFAOYSA-N 0 1 266.374 0.872 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCc2nccc(N)c2C1 ZINC000931510010 615021908 /nfs/dbraw/zinc/02/19/08/615021908.db2.gz CMLFUMHREVAGMB-UHFFFAOYSA-N 0 1 287.367 0.784 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000827020509 615064334 /nfs/dbraw/zinc/06/43/34/615064334.db2.gz VYCSTEIZSLHJPD-VXGBXAGGSA-N 0 1 296.371 0.679 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000827020509 615064336 /nfs/dbraw/zinc/06/43/36/615064336.db2.gz VYCSTEIZSLHJPD-VXGBXAGGSA-N 0 1 296.371 0.679 20 30 CCEDMN CCOC1CC2(C[C@H]2C(=O)C(C#N)C(=O)NCCOC)C1 ZINC000870139129 615225805 /nfs/dbraw/zinc/22/58/05/615225805.db2.gz RMQMLHODHCXFST-OOLXCHQQSA-N 0 1 294.351 0.663 20 30 CCEDMN CCOC(=O)N1CSC[C@H]1C(=O)NCC#CCN(C)C ZINC000827972014 615236576 /nfs/dbraw/zinc/23/65/76/615236576.db2.gz OMLOVZPIQMMFEG-NSHDSACASA-N 0 1 299.396 0.199 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1CC[C@@](F)(C#N)C1 ZINC000883898046 615247003 /nfs/dbraw/zinc/24/70/03/615247003.db2.gz RPWGBCOXPCNRKR-ZYHUDNBSSA-N 0 1 254.309 0.728 20 30 CCEDMN CCOCCOCCN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000930826774 615275170 /nfs/dbraw/zinc/27/51/70/615275170.db2.gz AFJDWGCUGZQRBQ-KBPBESRZSA-N 0 1 269.389 0.958 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)N1CCN(C2CC2)[C@@H](C#N)C1 ZINC000883894331 615314372 /nfs/dbraw/zinc/31/43/72/615314372.db2.gz BZJVCWQVFUMYMV-KBPBESRZSA-N 0 1 291.399 0.462 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)N1CCO[C@@H](C#N)C1 ZINC000890071522 615315523 /nfs/dbraw/zinc/31/55/23/615315523.db2.gz ZBUOSCKLKDDOHF-QWRGUYRKSA-N 0 1 252.318 0.015 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)N1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000924023406 615315716 /nfs/dbraw/zinc/31/57/16/615315716.db2.gz XMCAQPSIAZRVJO-MJBXVCDLSA-N 0 1 293.415 0.708 20 30 CCEDMN CCN1CC[C@H]1CNc1cccc(S(N)(=O)=O)c1C#N ZINC000882959580 615328080 /nfs/dbraw/zinc/32/80/80/615328080.db2.gz KASBRCXPELNCTF-JTQLQIEISA-N 0 1 294.380 0.712 20 30 CCEDMN CCO[C@@H](C(=O)C(C#N)C(=O)NC1CC1)C1CCOCC1 ZINC000870143639 615398980 /nfs/dbraw/zinc/39/89/80/615398980.db2.gz WINYMEBQRNPPTA-TZMCWYRMSA-N 0 1 294.351 0.806 20 30 CCEDMN CCOC(=O)c1cc(C(=O)NC2=NO[C@@H](C)C2)on1 ZINC000827496374 615400055 /nfs/dbraw/zinc/40/00/55/615400055.db2.gz IKYIWPBBXLYZMN-LURJTMIESA-N 0 1 267.241 0.703 20 30 CCEDMN CCO[C@@H]1COCC[C@@H]1CC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000870940168 615421064 /nfs/dbraw/zinc/42/10/64/615421064.db2.gz GJADKXIJIYCGGY-YVECIDJPSA-N 0 1 281.356 0.046 20 30 CCEDMN CCO[C@@H]1COCC[C@@H]1CC(=O)N[C@H]1CNC[C@H]1C#N ZINC000870940164 615421505 /nfs/dbraw/zinc/42/15/05/615421505.db2.gz GJADKXIJIYCGGY-FVCCEPFGSA-N 0 1 281.356 0.046 20 30 CCEDMN CC[C@@H](C)N1CCN(C(=O)NCC#CCO)CC1 ZINC000923766150 615456986 /nfs/dbraw/zinc/45/69/86/615456986.db2.gz GCVFWYWMULRBDE-GFCCVEGCSA-N 0 1 253.346 0.108 20 30 CCEDMN CCOc1ccc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834504367 615509747 /nfs/dbraw/zinc/50/97/47/615509747.db2.gz AMSHMMWXLHZNJM-GXTWGEPZSA-N 0 1 273.336 0.856 20 30 CCEDMN CCc1cc(CNC(=O)[C@@H](C)n2cnc(C#N)n2)n[nH]1 ZINC000798964325 615649239 /nfs/dbraw/zinc/64/92/39/615649239.db2.gz LIFJHCPEDCOZCQ-MRVPVSSYSA-N 0 1 273.300 0.313 20 30 CCEDMN CCc1cc(CNS(=O)(=O)N(C)[C@H](C)CC#N)n[nH]1 ZINC000800914217 615650841 /nfs/dbraw/zinc/65/08/41/615650841.db2.gz UADPYQMFUIFOFN-SECBINFHSA-N 0 1 285.373 0.540 20 30 CCEDMN CCc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1[N+](=O)[O-] ZINC000834480783 615667456 /nfs/dbraw/zinc/66/74/56/615667456.db2.gz WBWILAKBOUNMEV-VXGBXAGGSA-N 0 1 288.307 0.999 20 30 CCEDMN CCc1c[nH]c(=O)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000852827181 615710584 /nfs/dbraw/zinc/71/05/84/615710584.db2.gz CLGCWHQJCSQRCN-MWLCHTKSSA-N 0 1 260.297 0.191 20 30 CCEDMN CCc1csc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000843459740 615717364 /nfs/dbraw/zinc/71/73/64/615717364.db2.gz HSRAJPYYMPYPNK-WCBMZHEXSA-N 0 1 264.354 0.476 20 30 CCEDMN CCc1csc(CC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000843459738 615717383 /nfs/dbraw/zinc/71/73/83/615717383.db2.gz HSRAJPYYMPYPNK-PSASIEDQSA-N 0 1 264.354 0.476 20 30 CCEDMN CCc1nn(C)c(Cl)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834485294 615793270 /nfs/dbraw/zinc/79/32/70/615793270.db2.gz UTYIMPLWHRDQSL-VXNVDRBHSA-N 0 1 281.747 0.477 20 30 CCEDMN CCc1noc2ncc(C(=O)N[C@@H]3CNC[C@H]3C#N)cc12 ZINC000834494255 615853707 /nfs/dbraw/zinc/85/37/07/615853707.db2.gz MJLMCLKLXORZND-BXKDBHETSA-N 0 1 285.307 0.627 20 30 CCEDMN CN(C)CC#CCNC(=O)CN1CCc2ccccc21 ZINC000913517608 615858462 /nfs/dbraw/zinc/85/84/62/615858462.db2.gz INWJIEBQSAJSDQ-UHFFFAOYSA-N 0 1 271.364 0.730 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC000913525198 615859462 /nfs/dbraw/zinc/85/94/62/615859462.db2.gz NLWIPEXBZKUONO-UONOGXRCSA-N 0 1 264.369 0.730 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1COc2ccc(O)cc2O1 ZINC000913523812 615860067 /nfs/dbraw/zinc/86/00/67/615860067.db2.gz NMWUOSJANVSULY-CQSZACIVSA-N 0 1 290.319 0.213 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CC(=O)N(C2CCCC2)C1 ZINC000913515913 615860448 /nfs/dbraw/zinc/86/04/48/615860448.db2.gz SICGOPGFFDNTPZ-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2nccnc21 ZINC000913521450 615861160 /nfs/dbraw/zinc/86/11/60/615861160.db2.gz ZTFAYQCOZPDABF-UHFFFAOYSA-N 0 1 268.320 0.925 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccccc1OCC#N ZINC000913517706 615861255 /nfs/dbraw/zinc/86/12/55/615861255.db2.gz MLSVCEKGUQLGKU-UHFFFAOYSA-N 0 1 271.320 0.884 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)OCC(=O)N2 ZINC000913515219 615861310 /nfs/dbraw/zinc/86/13/10/615861310.db2.gz NRLSASWTLDREJA-UHFFFAOYSA-N 0 1 287.319 0.312 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)nc[nH]c2=O ZINC000913524993 615861576 /nfs/dbraw/zinc/86/15/76/615861576.db2.gz GFXXVJMGRDYQGL-UHFFFAOYSA-N 0 1 284.319 0.630 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncnc2[nH]ccc21 ZINC000913523790 615861624 /nfs/dbraw/zinc/86/16/24/615861624.db2.gz MOYHFWPDZKAGTN-UHFFFAOYSA-N 0 1 257.297 0.204 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc(C2CC2)nc1 ZINC000913523020 615862043 /nfs/dbraw/zinc/86/20/43/615862043.db2.gz JJMKYKJFWUZREU-UHFFFAOYSA-N 0 1 258.325 0.649 20 30 CCEDMN CCn1ccc(CC(=O)C(C#N)C(=O)NC(C)C)n1 ZINC000845443334 616029021 /nfs/dbraw/zinc/02/90/21/616029021.db2.gz PREUWXXVGOKITD-LLVKDONJSA-N 0 1 262.313 0.679 20 30 CCEDMN CN(C)c1nccc([N-][NH+]=Cc2c(Cl)nc(N)n2C)n1 ZINC000814855915 616036998 /nfs/dbraw/zinc/03/69/98/616036998.db2.gz YAXNXVSXZBYOJP-UHFFFAOYSA-N 0 1 294.750 0.958 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000971597744 616040685 /nfs/dbraw/zinc/04/06/85/616040685.db2.gz WOBNFXVUMHBQGN-SNVBAGLBSA-N 0 1 284.323 0.628 20 30 CCEDMN CN(CC(=O)NC1CC1)C[C@H](O)CC1(C#N)CCC1 ZINC000885946294 616046710 /nfs/dbraw/zinc/04/67/10/616046710.db2.gz HHGCWFQLUSHHNL-GFCCVEGCSA-N 0 1 265.357 0.642 20 30 CCEDMN CN(CC(=O)N[C@@H]1CNC[C@@H]1C#N)c1ccccc1 ZINC000834493679 616048798 /nfs/dbraw/zinc/04/87/98/616048798.db2.gz GMJDNTCNTZIXEJ-WCQYABFASA-N 0 1 258.325 0.351 20 30 CCEDMN C[N@@H+](CC(C)(CO)CO)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000833692681 616052316 /nfs/dbraw/zinc/05/23/16/616052316.db2.gz VKRZYGAVBBGTIJ-CYBMUJFWSA-N 0 1 292.335 0.607 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1ccc(C#N)cn1 ZINC000819310800 616067806 /nfs/dbraw/zinc/06/78/06/616067806.db2.gz DLBCLUISOGSDPV-CQSZACIVSA-N 0 1 273.340 0.249 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1cc(C#N)ccc1F ZINC000905517339 616067824 /nfs/dbraw/zinc/06/78/24/616067824.db2.gz BJHCMKJRHMDPSW-CQSZACIVSA-N 0 1 290.342 0.993 20 30 CCEDMN CN1CCC(C#N)(NC(=O)/C=C\[C@H]2CCCO2)CC1 ZINC000819250091 616165956 /nfs/dbraw/zinc/16/59/56/616165956.db2.gz AAYFFWKVSTWNEE-QMAVJUDZSA-N 0 1 263.341 0.826 20 30 CCEDMN Cn1cc(CC(=O)NC2(C#N)CCN(C)CC2)c(Cl)n1 ZINC000815486003 616165990 /nfs/dbraw/zinc/16/59/90/616165990.db2.gz SLNQVZJHIIBPBW-UHFFFAOYSA-N 0 1 295.774 0.720 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCCN(CC#N)CC1 ZINC000980834537 616191650 /nfs/dbraw/zinc/19/16/50/616191650.db2.gz SWUVXLFKFKVYHB-GFCCVEGCSA-N 0 1 250.346 0.138 20 30 CCEDMN CN1CC[C@@H](ON=C(N)CN2CCCCCC2)C1=O ZINC000116267985 616282106 /nfs/dbraw/zinc/28/21/06/616282106.db2.gz VSCDGMQVVHNXTM-LLVKDONJSA-N 0 1 268.361 0.382 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)N1CCO[C@](C)(C#N)C1 ZINC000896619657 616291265 /nfs/dbraw/zinc/29/12/65/616291265.db2.gz NRKZSRUCCGLANP-ZYHUDNBSSA-N 0 1 252.318 0.015 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)NCC#C[C@H]1CCCCO1 ZINC000896620332 616293290 /nfs/dbraw/zinc/29/32/90/616293290.db2.gz QYMBKYVKOJSTAQ-CHWSQXEVSA-N 0 1 265.357 0.562 20 30 CCEDMN COC(=O)C1(C#N)CCN(C[C@H](OC)[C@H]2CCOC2)CC1 ZINC000852136970 616345736 /nfs/dbraw/zinc/34/57/36/616345736.db2.gz QWHIHHVJHKPZSK-STQMWFEESA-N 0 1 296.367 0.817 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](C(=O)NCC#CCN(C)C)C1 ZINC000913522951 616353551 /nfs/dbraw/zinc/35/35/51/616353551.db2.gz GNVASZGCNXHBEM-NWDGAFQWSA-N 0 1 266.341 0.257 20 30 CCEDMN COC(=O)[C@@H]1CNCCCN1C(=O)C#CC(C)(C)C ZINC000876848054 616397976 /nfs/dbraw/zinc/39/79/76/616397976.db2.gz NQQXRLVIVUSAHO-NSHDSACASA-N 0 1 266.341 0.399 20 30 CCEDMN COC(=O)C1=NO[C@]2(CCN(CCOCCC#N)C2)C1 ZINC000879490672 616440157 /nfs/dbraw/zinc/44/01/57/616440157.db2.gz UOBMPFCKFDCSAD-CYBMUJFWSA-N 0 1 281.312 0.310 20 30 CCEDMN CN1CCc2ccc(NC(=O)N[C@@H]3CNC[C@H]3C#N)cc21 ZINC000841172776 616461248 /nfs/dbraw/zinc/46/12/48/616461248.db2.gz CYEBOBNKVOURKG-DGCLKSJQSA-N 0 1 285.351 0.912 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCc1ccc(CC#N)cc1 ZINC000911492417 616476358 /nfs/dbraw/zinc/47/63/58/616476358.db2.gz FQMNLJVQVHCLKR-ZBFHGGJFSA-N 0 1 287.363 0.824 20 30 CCEDMN COCCNC(=O)[C@H](C#N)C(=O)C(C)=Cc1ccccn1 ZINC000847624427 616495130 /nfs/dbraw/zinc/49/51/30/616495130.db2.gz VAWSLMBJCJFADQ-YGNAEDSMSA-N 0 1 287.319 0.956 20 30 CCEDMN COCCNC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000876543324 616495288 /nfs/dbraw/zinc/49/52/88/616495288.db2.gz ADBLRDUTZHOOEZ-CYBMUJFWSA-N 0 1 259.309 0.508 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3ccc(C#N)cn3)[C@H]2C1 ZINC000907196237 616507680 /nfs/dbraw/zinc/50/76/80/616507680.db2.gz GHWDSLAFJQHPRD-NEPJUHHUSA-N 0 1 292.364 0.278 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)[C@H]1CCCN1C1CC1 ZINC000871344483 616546046 /nfs/dbraw/zinc/54/60/46/616546046.db2.gz ZUVOZMRZNCAKOW-GFCCVEGCSA-N 0 1 279.340 0.528 20 30 CCEDMN COC(=O)[C@@]1(OC)CCN(CCO[C@@H](C)C#N)C1 ZINC000859557586 616566069 /nfs/dbraw/zinc/56/60/69/616566069.db2.gz VBBPMQKMRFICNU-CMPLNLGQSA-N 0 1 256.302 0.179 20 30 CCEDMN CNC(=O)CCN1CCN(c2ccc(F)cc2C#N)CC1 ZINC000879588424 616580239 /nfs/dbraw/zinc/58/02/39/616580239.db2.gz FRKVTFKSTSNWBT-UHFFFAOYSA-N 0 1 290.342 0.955 20 30 CCEDMN COCCOc1cc(C(=O)NCC#CCN(C)C)ccn1 ZINC000913520596 616590366 /nfs/dbraw/zinc/59/03/66/616590366.db2.gz UPGSHTNVECXHRD-UHFFFAOYSA-N 0 1 291.351 0.402 20 30 CCEDMN COC(=O)COc1ccccc1C=NNC1=NCCN1 ZINC000901252964 616618556 /nfs/dbraw/zinc/61/85/56/616618556.db2.gz SQCMCPLJLNTIHX-UHFFFAOYSA-N 0 1 276.296 0.121 20 30 CCEDMN COC[C@H](C)OC[C@H](O)CNCc1ccc(C#N)cn1 ZINC000819310522 616626533 /nfs/dbraw/zinc/62/65/33/616626533.db2.gz BSVTVASMFJMPNA-SMDDNHRTSA-N 0 1 279.340 0.455 20 30 CCEDMN COCCc1ncc(C=NNC2=NC[C@@H](C)N2)s1 ZINC000872364836 616701948 /nfs/dbraw/zinc/70/19/48/616701948.db2.gz UWJFJUOYIWEGPN-MRVPVSSYSA-N 0 1 267.358 0.603 20 30 CCEDMN CNC(=O)[C@H](C)N1CCCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC000981669514 616846193 /nfs/dbraw/zinc/84/61/93/616846193.db2.gz OCKLPPMHJYTWIW-NEPJUHHUSA-N 0 1 292.383 0.205 20 30 CCEDMN COC(=O)c1ccc(C(=O)NCC#CCN(C)C)s1 ZINC000913516515 616881522 /nfs/dbraw/zinc/88/15/22/616881522.db2.gz SLBRIZDEKVTDIA-UHFFFAOYSA-N 0 1 280.349 0.830 20 30 CCEDMN COCc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1F ZINC000876801606 616895465 /nfs/dbraw/zinc/89/54/65/616895465.db2.gz GGHMHEUDKGJGRW-AAEUAGOBSA-N 0 1 277.299 0.813 20 30 CCEDMN COC(=O)c1cccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000841040914 616930788 /nfs/dbraw/zinc/93/07/88/616930788.db2.gz CNGDDHWXLAQWJB-ZYHUDNBSSA-N 0 1 288.307 0.706 20 30 CCEDMN CO[C@H](C(=O)N[C@@H]1CNC[C@@H]1C#N)c1ccccc1 ZINC000834490517 616985395 /nfs/dbraw/zinc/98/53/95/616985395.db2.gz VONABGNAJSIRFD-XQQFMLRXSA-N 0 1 259.309 0.602 20 30 CCEDMN COCCN(CCO)C[C@H](O)c1ccc(C#N)cc1 ZINC000127289976 617017192 /nfs/dbraw/zinc/01/71/92/617017192.db2.gz ANUYWYAAHOBQAY-AWEZNQCLSA-N 0 1 264.325 0.532 20 30 CCEDMN CNS(=O)(=O)CCNCc1csc(C#N)c1 ZINC000922037031 617021280 /nfs/dbraw/zinc/02/12/80/617021280.db2.gz XCPCOPJATAKKDT-UHFFFAOYSA-N 0 1 259.356 0.259 20 30 CCEDMN COCCN(CCn1cnnn1)Cc1ccc(C#N)cc1 ZINC000878010557 617024425 /nfs/dbraw/zinc/02/44/25/617024425.db2.gz KUPXCXHXQPZHOI-UHFFFAOYSA-N 0 1 286.339 0.693 20 30 CCEDMN CO[C@H]1C[C@H](N(C)CC(=O)NCCC#N)C12CCC2 ZINC000832205034 617123740 /nfs/dbraw/zinc/12/37/40/617123740.db2.gz VRRGVZUDTYKOTG-RYUDHWBXSA-N 0 1 265.357 0.906 20 30 CCEDMN COc1c(F)ccc(F)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834480988 617146968 /nfs/dbraw/zinc/14/69/68/617146968.db2.gz YRYXOMMPOCSDGK-GMSGAONNSA-N 0 1 281.262 0.815 20 30 CCEDMN C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCC[C@@H](C(N)=O)C1 ZINC001026084062 625331276 /nfs/dbraw/zinc/33/12/76/625331276.db2.gz PLZAGPXECQYDKA-POQQGIQPSA-N 0 1 291.395 0.511 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@]12CCOC2 ZINC001026137361 625340985 /nfs/dbraw/zinc/34/09/85/625340985.db2.gz ZEEKMCNCSVKKSQ-ARUSPNSKSA-N 0 1 260.337 0.093 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CNCc2csnn2)C1 ZINC001026732137 625573211 /nfs/dbraw/zinc/57/32/11/625573211.db2.gz GXGAXRSWALZEJA-ZJUUUORDSA-N 0 1 279.369 0.636 20 30 CCEDMN C=CC[C@H]1CCN1CC(=O)NC(=O)N[C@H](C)COC ZINC000934472556 622712530 /nfs/dbraw/zinc/71/25/30/622712530.db2.gz RZKUWLCOPFCZHZ-MNOVXSKESA-N 0 1 269.345 0.498 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CCN(CC#N)[C@H](C)C2)n[nH]1 ZINC000947295263 623055263 /nfs/dbraw/zinc/05/52/63/623055263.db2.gz JGMRYLCNAGHOBK-MWLCHTKSSA-N 0 1 276.344 0.148 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2conc2COC)C1 ZINC001023698383 623106913 /nfs/dbraw/zinc/10/69/13/623106913.db2.gz YSNYSZBMUOMJIF-GFCCVEGCSA-N 0 1 291.351 0.896 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1COCCO1 ZINC001024344729 623293539 /nfs/dbraw/zinc/29/35/39/623293539.db2.gz IROVRARPCFWAPD-ZIAGYGMSSA-N 0 1 280.368 0.396 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@@H]1CCCCN1CC#N ZINC001024365680 623301061 /nfs/dbraw/zinc/30/10/61/623301061.db2.gz FOUBMBMYWBVTQQ-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1cn(C)nn1 ZINC001024420287 623317134 /nfs/dbraw/zinc/31/71/34/623317134.db2.gz LSYWZUMVADOQJM-GFCCVEGCSA-N 0 1 277.372 0.976 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001024515129 623345546 /nfs/dbraw/zinc/34/55/46/623345546.db2.gz MCRQWXRLCNFEQT-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN Cc1ccc2nc(CNC(=O)NCC#CCO)[nH]c2c1 ZINC000923776991 623357350 /nfs/dbraw/zinc/35/73/50/623357350.db2.gz GLSINDOHOXWYMB-UHFFFAOYSA-N 0 1 272.308 0.666 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001024767597 623436461 /nfs/dbraw/zinc/43/64/61/623436461.db2.gz FQDWSNISOQAGRQ-GJZGRUSLSA-N 0 1 291.395 0.601 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1CCCN(CC#N)C1 ZINC001006917637 623468424 /nfs/dbraw/zinc/46/84/24/623468424.db2.gz OWBSEGFCXDLONB-VXGBXAGGSA-N 0 1 250.346 0.185 20 30 CCEDMN CC#CCN1CCC[C@@H]1[C@@H]1CCCCN1C(=O)C(N)=O ZINC001025268028 623681538 /nfs/dbraw/zinc/68/15/38/623681538.db2.gz UVFDAWIRAIOJBO-OLZOCXBDSA-N 0 1 277.368 0.341 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H](CC(C)C)C(=O)[O-] ZINC000328280758 623875644 /nfs/dbraw/zinc/87/56/44/623875644.db2.gz PNMTVYBZOOWQIL-LBPRGKRZSA-N 0 1 283.372 0.740 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001020571673 624532146 /nfs/dbraw/zinc/53/21/46/624532146.db2.gz SKMMFCOVZQOBRC-GMTAPVOTSA-N 0 1 273.340 0.590 20 30 CCEDMN C[C@H]1CCC[N@H+]1CC(=O)N1CC[C@@H](NCC#N)C1 ZINC000969948915 624562313 /nfs/dbraw/zinc/56/23/13/624562313.db2.gz NJCISDWKVYYTCY-NWDGAFQWSA-N 0 1 250.346 0.185 20 30 CCEDMN C[C@H](NC(=O)[C@@H]1CCCCN1C)C1CN(CC#N)C1 ZINC000969975048 624567452 /nfs/dbraw/zinc/56/74/52/624567452.db2.gz HROVDRYVSBEABN-AAEUAGOBSA-N 0 1 264.373 0.431 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CC[C@@H](NCC#N)C1 ZINC000970224602 624660285 /nfs/dbraw/zinc/66/02/85/624660285.db2.gz TYRUEPWUVBZTPA-GHMZBOCLSA-N 0 1 261.329 0.302 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]2[C@@H](CCCN2C(=O)C(N)=O)C1 ZINC001021660783 624804946 /nfs/dbraw/zinc/80/49/46/624804946.db2.gz FZWYGHHUCPXCHF-WDEREUQCSA-N 0 1 285.775 0.537 20 30 CCEDMN Cc1nn(C)cc1CN(C)[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971221666 624828579 /nfs/dbraw/zinc/82/85/79/624828579.db2.gz QCQYGDKXPGEVPD-BXUZGUMPSA-N 0 1 289.383 0.921 20 30 CCEDMN C#CCNCC(=O)N(C)CCOc1ccccc1F ZINC000092492735 624851308 /nfs/dbraw/zinc/85/13/08/624851308.db2.gz DWOFMUNHZDKLMS-UHFFFAOYSA-N 0 1 264.300 0.886 20 30 CCEDMN Cn1cc(CN[C@H]2C[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)nn1 ZINC001021945983 624854122 /nfs/dbraw/zinc/85/41/22/624854122.db2.gz GOZKWSRHHLJMDT-PHIMTYICSA-N 0 1 299.338 0.065 20 30 CCEDMN Cn1ccnc1CN[C@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001021941584 624854343 /nfs/dbraw/zinc/85/43/43/624854343.db2.gz OXDWRBNALNBWDP-TXEJJXNPSA-N 0 1 298.350 0.670 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@@H](NC(=O)c2[n-]ncc2C(F)(F)F)C1 ZINC001022185329 624890571 /nfs/dbraw/zinc/89/05/71/624890571.db2.gz VRYWLFDAMRWSCC-OCAPTIKFSA-N 0 1 286.257 0.912 20 30 CCEDMN CN([C@H]1CCNC1=O)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027405100 625870682 /nfs/dbraw/zinc/87/06/82/625870682.db2.gz BEQIAPCKVMTOOS-KGLIPLIRSA-N 0 1 289.379 0.211 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027407880 625870844 /nfs/dbraw/zinc/87/08/44/625870844.db2.gz DCSHTXPNUCSYAW-KGLIPLIRSA-N 0 1 291.395 0.587 20 30 CCEDMN O[C@@H](CNCC#Cc1ccccc1)C[C@@]1(O)CCOC1 ZINC000905030708 634789568 /nfs/dbraw/zinc/78/95/68/634789568.db2.gz IMQKHOSAKBAAIK-CVEARBPZSA-N 0 1 275.348 0.530 20 30 CCEDMN COc1ncncc1/C=C1\C(=O)c2ncnn2C1C ZINC000905084372 634790356 /nfs/dbraw/zinc/79/03/56/634790356.db2.gz ISNSRBRAYCOVFX-LVWLXAFTSA-N 0 1 257.253 0.918 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)c3[nH]nc4c3CCC4)[C@@H]2C1 ZINC000978425818 630808505 /nfs/dbraw/zinc/80/85/05/630808505.db2.gz JQOZLHUTQFCWBX-CNDDSTCGSA-N 0 1 285.351 0.330 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001027742059 630888964 /nfs/dbraw/zinc/88/89/64/630888964.db2.gz NXPHOVHTQCMMDX-ZDUSSCGKSA-N 0 1 261.325 0.700 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCC[C@@H](NCC#N)C2)[nH]n1 ZINC000981006384 631092458 /nfs/dbraw/zinc/09/24/58/631092458.db2.gz LINSKGMNBYLZTL-VXGBXAGGSA-N 0 1 275.356 0.801 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C1)CCCN(CC(=O)N(C)C)C2 ZINC000981672180 631302962 /nfs/dbraw/zinc/30/29/62/631302962.db2.gz OLKTZZDHRRIYSV-GFCCVEGCSA-N 0 1 292.383 0.159 20 30 CCEDMN N#CCN1CCCC2(CN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC000981683748 631306179 /nfs/dbraw/zinc/30/61/79/631306179.db2.gz ZHLZQNHNUXAQKW-UHFFFAOYSA-N 0 1 273.340 0.400 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H]2CCN2C(=O)[C@@H](C)C#N)c1C ZINC000949158063 631391566 /nfs/dbraw/zinc/39/15/66/631391566.db2.gz JPLCGNDRLBNAHF-GZMMTYOYSA-N 0 1 289.339 0.517 20 30 CCEDMN Cc1nnsc1C=N[N-]c1[nH+]cnc2c1cnn2C ZINC000814219528 631593675 /nfs/dbraw/zinc/59/36/75/631593675.db2.gz ZNIBSCNQRFZHMC-UHFFFAOYSA-N 0 1 274.313 0.969 20 30 CCEDMN Cc1nnsc1C=NNc1ncnc2c1cnn2C ZINC000814219528 631593684 /nfs/dbraw/zinc/59/36/84/631593684.db2.gz ZNIBSCNQRFZHMC-UHFFFAOYSA-N 0 1 274.313 0.969 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC2(CC1)OCCO2 ZINC000045749788 631900514 /nfs/dbraw/zinc/90/05/14/631900514.db2.gz OJVLJBJZPHDUTH-GFCCVEGCSA-N 0 1 281.356 0.586 20 30 CCEDMN Cc1nn(C)c2ncc(CN[C@@H]3CCCN(O)C3=O)cc12 ZINC000895164649 632000119 /nfs/dbraw/zinc/00/01/19/632000119.db2.gz HJFONUMAVRSZTN-GFCCVEGCSA-N 0 1 289.339 0.747 20 30 CCEDMN Cc1cc(C#N)nc(N[C@@H](C)[C@H]2CN(C)CCN2C)n1 ZINC000895248245 632031882 /nfs/dbraw/zinc/03/18/82/632031882.db2.gz ZBTMQFVBHBJJNY-WCQYABFASA-N 0 1 274.372 0.703 20 30 CCEDMN C[C@H](Nc1cccc(C#N)n1)[C@H]1CN(C)CCN1C ZINC000895246707 632036780 /nfs/dbraw/zinc/03/67/80/632036780.db2.gz PSZRJBOAVINXSA-WCQYABFASA-N 0 1 259.357 0.999 20 30 CCEDMN C[C@H](NC(=O)c1coc(C#N)c1)[C@H]1CN(C)CCN1C ZINC000896529396 632262110 /nfs/dbraw/zinc/26/21/10/632262110.db2.gz YWAQXYTZDOHWFA-GXFFZTMASA-N 0 1 276.340 0.515 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N[C@@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC000896540497 632274449 /nfs/dbraw/zinc/27/44/49/632274449.db2.gz XFOGOQZKUIIRPT-FZMZJTMJSA-N 0 1 289.383 0.559 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)cc1)[C@H]1CN(C)CCN1C ZINC000896578805 632293898 /nfs/dbraw/zinc/29/38/98/632293898.db2.gz AOSCNQXKZULZEI-SWLSCSKDSA-N 0 1 286.379 0.922 20 30 CCEDMN C[C@H](CC#N)N(C)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000896885164 632343368 /nfs/dbraw/zinc/34/33/68/632343368.db2.gz XVPUDLMCLAPGBY-ZYHUDNBSSA-N 0 1 251.330 0.468 20 30 CCEDMN N#Cc1csc(CNC(=O)NCCN2CCC2)n1 ZINC000897286179 632463383 /nfs/dbraw/zinc/46/33/83/632463383.db2.gz KECYQEQHDKVJGV-UHFFFAOYSA-N 0 1 265.342 0.520 20 30 CCEDMN C=C(Cl)CN(C)C1CCN(C(=O)Cn2nccn2)CC1 ZINC000985451292 632487532 /nfs/dbraw/zinc/48/75/32/632487532.db2.gz DNBITWMMFRREGT-UHFFFAOYSA-N 0 1 297.790 0.953 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001007464837 650079026 /nfs/dbraw/zinc/07/90/26/650079026.db2.gz QAUDMPJVUCISMC-LLVKDONJSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2nn(C)cc2C)C1 ZINC001007316342 650074677 /nfs/dbraw/zinc/07/46/77/650074677.db2.gz PFKUXVZSTGCWBH-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@@H](NCC#N)[C@@H]2C)n[nH]1 ZINC000986000824 632690925 /nfs/dbraw/zinc/69/09/25/632690925.db2.gz IOVQKEUPOFNFNZ-GXSJLCMTSA-N 0 1 261.329 0.688 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2COCCN2CC)C1 ZINC001007716032 650089197 /nfs/dbraw/zinc/08/91/97/650089197.db2.gz CKZIGPKCMLKNEP-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN C[C@@H]1[C@H](NCc2cnnn2C)CCN1C(=O)C#CC1CC1 ZINC000986277149 632737980 /nfs/dbraw/zinc/73/79/80/632737980.db2.gz ISFPYZCVTUYQBR-BXUZGUMPSA-N 0 1 287.367 0.308 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)CCc1cnc[nH]1 ZINC000986358355 632746742 /nfs/dbraw/zinc/74/67/42/632746742.db2.gz ZJROOXASHPCBQA-JQWIXIFHSA-N 0 1 261.329 0.445 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccc2c(c1)OCO2 ZINC000840998942 632829743 /nfs/dbraw/zinc/82/97/43/632829743.db2.gz FZORWOQTAHTSRM-WCBMZHEXSA-N 0 1 274.280 0.648 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cn2cncn2)[C@H]1C ZINC000987470263 632871890 /nfs/dbraw/zinc/87/18/90/632871890.db2.gz DWRYIWYVYZSYGV-QWRGUYRKSA-N 0 1 283.763 0.610 20 30 CCEDMN CCc1cc(C(=O)N2C[C@@H](NCC#N)C[C@H]2C)n[nH]1 ZINC000988099030 632947880 /nfs/dbraw/zinc/94/78/80/632947880.db2.gz DNISUBYZLWPPMI-KOLCDFICSA-N 0 1 261.329 0.688 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@H]2CCN(C(=O)c3ccn[nH]3)C2)c1 ZINC000937793379 633079467 /nfs/dbraw/zinc/07/94/67/633079467.db2.gz BPLSXMYSKXUFPY-JTQLQIEISA-N 0 1 298.306 0.254 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001008278287 650108301 /nfs/dbraw/zinc/10/83/01/650108301.db2.gz XHYIAWFSMGGWQU-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@@H]1C[C@H](NCC#N)CN1C(=O)CCc1cnc[nH]1 ZINC000988934728 633128628 /nfs/dbraw/zinc/12/86/28/633128628.db2.gz UTACYMQHZGJBCZ-PWSUYJOCSA-N 0 1 261.329 0.445 20 30 CCEDMN Cc1nc(CNC(=O)N2CC[C@@](F)(C#N)C2)n[nH]1 ZINC000898361064 633310872 /nfs/dbraw/zinc/31/08/72/633310872.db2.gz UEEQVDUSAYFHDB-SNVBAGLBSA-N 0 1 252.253 0.260 20 30 CCEDMN N#CCC[C@H](C#N)CN[C@@H](CO)C[C@H]1CCCO1 ZINC000905474069 634864462 /nfs/dbraw/zinc/86/44/62/634864462.db2.gz OFNNACGRFVJKND-JHJVBQTASA-N 0 1 251.330 0.950 20 30 CCEDMN N#CCC[C@H](C#N)CN[C@H](CO)C[C@@H]1CCCO1 ZINC000905474067 634864538 /nfs/dbraw/zinc/86/45/38/634864538.db2.gz OFNNACGRFVJKND-AGIUHOORSA-N 0 1 251.330 0.950 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CCCN(CC#N)CC1 ZINC000989496725 633318503 /nfs/dbraw/zinc/31/85/03/633318503.db2.gz YKRVFFSERJTJRQ-UHFFFAOYSA-N 0 1 287.367 0.819 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cc2nnc[nH]2)C1 ZINC000989658555 633340100 /nfs/dbraw/zinc/34/01/00/633340100.db2.gz ZLXLTAORMCATPN-ZJUUUORDSA-N 0 1 283.763 0.679 20 30 CCEDMN C=CC[N@@H+]1CCCN(C(=O)[C@@H]2CN(CC)CCO2)CC1 ZINC000989709265 633347223 /nfs/dbraw/zinc/34/72/23/633347223.db2.gz FUCOMHGGOWANMO-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN C=C(C)C[N@@H+]1CCCN(C(=O)[C@@H]2C[NH+](CC)CCO2)CC1 ZINC000989708494 633347267 /nfs/dbraw/zinc/34/72/67/633347267.db2.gz WFXGLWKUHNXBFX-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN C=C(C)C[N@@H+]1CCCN(C(=O)[C@H]2C[NH+](CC)CCO2)CC1 ZINC000989708495 633347672 /nfs/dbraw/zinc/34/76/72/633347672.db2.gz WFXGLWKUHNXBFX-OAHLLOKOSA-N 0 1 295.427 0.818 20 30 CCEDMN C=CC[N@H+]1CC[C@]2(NC(=O)[C@H]3COCCO3)CCC[C@@H]12 ZINC000989963576 633392793 /nfs/dbraw/zinc/39/27/93/633392793.db2.gz MROIPIHPABGNJZ-UMVBOHGHSA-N 0 1 280.368 0.701 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnsn3)CCC[C@@H]12 ZINC000989969236 633395909 /nfs/dbraw/zinc/39/59/09/633395909.db2.gz JEVZNZLHTPRDHF-DGCLKSJQSA-N 0 1 276.365 0.898 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001008437855 650114567 /nfs/dbraw/zinc/11/45/67/650114567.db2.gz QSCXJERHMJRVAV-DOMZBBRYSA-N 0 1 279.384 0.812 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3nnn(C)c3C)CCC[C@@H]12 ZINC000990108224 633448094 /nfs/dbraw/zinc/44/80/94/633448094.db2.gz NQYGWXHZUMJXPS-DOMZBBRYSA-N 0 1 287.367 0.484 20 30 CCEDMN Cc1n[nH]c(C(=O)NC2CN(C(=O)[C@H](C)C#N)C2)c1C ZINC000990549476 633552942 /nfs/dbraw/zinc/55/29/42/633552942.db2.gz VRZISABGUGYFPN-SSDOTTSWSA-N 0 1 275.312 0.127 20 30 CCEDMN Cc1nc(CC(=O)N[C@]23CCC[C@@H]2N(CC#N)CC3)n[nH]1 ZINC000990638062 633572806 /nfs/dbraw/zinc/57/28/06/633572806.db2.gz KYFVMZKCKOGNPQ-FZMZJTMJSA-N 0 1 288.355 0.292 20 30 CCEDMN N#Cc1cnn(CCn2cc([C@H]3CCCCN3)nn2)c1 ZINC000899695539 633853662 /nfs/dbraw/zinc/85/36/62/633853662.db2.gz RYDLWDSLMPWMBY-GFCCVEGCSA-N 0 1 271.328 0.861 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnc3cccnn32)C1 ZINC001008788436 650127548 /nfs/dbraw/zinc/12/75/48/650127548.db2.gz HXKOCAUYAHQUTB-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CCCN(CCO)C2)nn1 ZINC001008823587 650128847 /nfs/dbraw/zinc/12/88/47/650128847.db2.gz GUYNNEYPOFCQOM-GFCCVEGCSA-N 0 1 293.371 0.041 20 30 CCEDMN C[C@H](NC(=O)C#CC(C)(C)C)[C@@H]1CN(C)CCN1C ZINC000900812237 634098640 /nfs/dbraw/zinc/09/86/40/634098640.db2.gz TZUCSPKLTPCXAI-STQMWFEESA-N 0 1 265.401 0.786 20 30 CCEDMN CC1(C)CN(C(=O)c2cccc(C#CCO)c2)CCN1 ZINC000900938431 634130783 /nfs/dbraw/zinc/13/07/83/634130783.db2.gz XCHSFMLPKHSEBI-UHFFFAOYSA-N 0 1 272.348 0.854 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(=O)n(C)n2)C1 ZINC001009415900 650144688 /nfs/dbraw/zinc/14/46/88/650144688.db2.gz VLHHSJBMQMVXOI-LLVKDONJSA-N 0 1 276.340 0.161 20 30 CCEDMN COc1cn(C)nc1C(C)N=Nc1nccn(C)c1=O ZINC000901195514 634204762 /nfs/dbraw/zinc/20/47/62/634204762.db2.gz YXIPGZUTMNJXRF-UHFFFAOYSA-N 0 1 276.300 0.359 20 30 CCEDMN N#CC[C@@H](NS(=O)(=O)c1ncc[nH]1)C(F)(F)F ZINC000901770947 634276026 /nfs/dbraw/zinc/27/60/26/634276026.db2.gz FLZZDHRNFOUXFG-RXMQYKEDSA-N 0 1 268.220 0.533 20 30 CCEDMN C[C@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)cn2)CCN1C ZINC000906987385 635059060 /nfs/dbraw/zinc/05/90/60/635059060.db2.gz KERZKRLMRYNCBN-JQWIXIFHSA-N 0 1 294.380 0.714 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907480133 635140731 /nfs/dbraw/zinc/14/07/31/635140731.db2.gz LMSPMTWSATWSFL-JGVFFNPUSA-N 0 1 266.330 0.366 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN(C(=O)Cc3c[nH]cn3)C2)nc1 ZINC000908346264 635357356 /nfs/dbraw/zinc/35/73/56/635357356.db2.gz JUSFVUMUNXABRS-CYBMUJFWSA-N 0 1 297.318 0.899 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCOC2)C1 ZINC001010413379 650221192 /nfs/dbraw/zinc/22/11/92/650221192.db2.gz SQMFIVYRZYABMG-STQMWFEESA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2OCCCO2)C1 ZINC001010734905 650259355 /nfs/dbraw/zinc/25/93/55/650259355.db2.gz JLOIFQMHXYKXFW-NSHDSACASA-N 0 1 254.330 0.516 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)N2CC(N3CCCC3)C2)cc1 ZINC000912325243 636555134 /nfs/dbraw/zinc/55/51/34/636555134.db2.gz UBVLMVKGXJVWPW-OAHLLOKOSA-N 0 1 285.347 0.898 20 30 CCEDMN Cc1onc(CC(=O)N2CC[C@H](N3CCC3)C2)c1C#N ZINC000912912140 636699132 /nfs/dbraw/zinc/69/91/32/636699132.db2.gz XFTFDWXLLJRQGI-NSHDSACASA-N 0 1 274.324 0.704 20 30 CCEDMN Cc1n[nH]c(C)c1CCC(=O)NCC#CCN(C)C ZINC000913514900 636833409 /nfs/dbraw/zinc/83/34/09/636833409.db2.gz AIWQEWUSNGJBFU-UHFFFAOYSA-N 0 1 262.357 0.640 20 30 CCEDMN Cc1oc2nc[nH]c(=O)c2c1C(=O)NCC#CCN(C)C ZINC000913515848 636833471 /nfs/dbraw/zinc/83/34/71/636833471.db2.gz PVQDDTVNMBTMAZ-UHFFFAOYSA-N 0 1 288.307 0.532 20 30 CCEDMN C[C@@H](Oc1cccnc1)C(=O)NCC#CCN(C)C ZINC000913519156 636834806 /nfs/dbraw/zinc/83/48/06/636834806.db2.gz PXMIJIFJVYTQMF-GFCCVEGCSA-N 0 1 261.325 0.530 20 30 CCEDMN C[C@@H](CC(=O)NCC#CCN(C)C)NC(=O)C1CCCC1 ZINC000913518507 636835058 /nfs/dbraw/zinc/83/50/58/636835058.db2.gz ROGGROGPXLPBMS-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C(C)CNC(=S)N1CCN(C(=O)CN(C)C)CC1 ZINC000054942787 636849964 /nfs/dbraw/zinc/84/99/64/636849964.db2.gz KDQIAVSCWYLUJO-UHFFFAOYSA-N 0 1 284.429 0.143 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)c3cnn[nH]3)CCC[C@H]12 ZINC000991565038 636904324 /nfs/dbraw/zinc/90/43/24/636904324.db2.gz HHPHOQBLRSNKIM-JQWIXIFHSA-N 0 1 260.301 0.055 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cn(C)ccc3=O)CCC[C@@H]12 ZINC000991775431 637051721 /nfs/dbraw/zinc/05/17/21/637051721.db2.gz AAXOKTXZLMXOCL-WBVHZDCISA-N 0 1 299.374 0.745 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3csnn3)CCC[C@@H]12 ZINC000992031753 637285387 /nfs/dbraw/zinc/28/53/87/637285387.db2.gz OSUCNLPEWTTXRI-YPMHNXCESA-N 0 1 276.365 0.898 20 30 CCEDMN N#Cc1ccc(NCC(=O)NC23CCN(CC2)C3)cc1 ZINC000913628372 637387897 /nfs/dbraw/zinc/38/78/97/637387897.db2.gz FHKSIZSKTPRBOW-UHFFFAOYSA-N 0 1 270.336 0.935 20 30 CCEDMN Cc1cc(C(=O)NC23CCN(CC2)C3)ncc1C#N ZINC000913635003 637393251 /nfs/dbraw/zinc/39/32/51/637393251.db2.gz MYDSJGUWRDNPJN-UHFFFAOYSA-N 0 1 256.309 0.840 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001015203807 637441285 /nfs/dbraw/zinc/44/12/85/637441285.db2.gz ZKNQQAWEXSNYNM-JTQLQIEISA-N 0 1 275.308 0.206 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001015300934 637560335 /nfs/dbraw/zinc/56/03/35/637560335.db2.gz QYRKRUDLBWUZRD-ZDUSSCGKSA-N 0 1 273.336 0.544 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@H]2CCC[C@H](NCC#N)[C@@H]2C1 ZINC000992775312 637570858 /nfs/dbraw/zinc/57/08/58/637570858.db2.gz HHDAQSJWOARHPS-TUAOUCFPSA-N 0 1 288.355 0.467 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)NCCNc1ncccc1C#N ZINC000914374442 637606805 /nfs/dbraw/zinc/60/68/05/637606805.db2.gz DBKJRHLUNVPUNE-GFCCVEGCSA-N 0 1 288.355 0.368 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnn(C)c2N)[C@H]1C ZINC000993217602 637748104 /nfs/dbraw/zinc/74/81/04/637748104.db2.gz AXNMSMDEHCVYAW-PWSUYJOCSA-N 0 1 277.372 0.771 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ncn(C)n2)[C@H]1C ZINC000993305594 637770080 /nfs/dbraw/zinc/77/00/80/637770080.db2.gz ZRSJZKXXALNBHJ-GHMZBOCLSA-N 0 1 263.345 0.584 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2nnc(C)o2)[C@H]1C ZINC000993337991 637790457 /nfs/dbraw/zinc/79/04/57/637790457.db2.gz XMPOKGPRUYSIAI-ZYHUDNBSSA-N 0 1 276.340 0.523 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cn(CC)nn2)[C@@H]1C ZINC000993619570 637822540 /nfs/dbraw/zinc/82/25/40/637822540.db2.gz GWHOTGWMFOFMQZ-JSGCOSHPSA-N 0 1 289.383 0.443 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2[nH]nnc2C)[C@@H]1C ZINC000993677212 637830189 /nfs/dbraw/zinc/83/01/89/637830189.db2.gz YQUKFTKXBHFLDJ-WDEREUQCSA-N 0 1 263.345 0.882 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2c[nH]c(=O)cn2)[C@@H]1C ZINC000993728874 637843983 /nfs/dbraw/zinc/84/39/83/637843983.db2.gz OLJFPSQKPIFZNS-RYUDHWBXSA-N 0 1 288.351 0.376 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)Cc2nnc[nH]2)[C@@H]1C ZINC000994174457 637874235 /nfs/dbraw/zinc/87/42/35/637874235.db2.gz HKPCTLABVQEQRV-WDEREUQCSA-N 0 1 263.345 0.502 20 30 CCEDMN C=CCn1cccc1C(=O)NC1CN(C(=O)c2ccn[nH]2)C1 ZINC000994195305 637876119 /nfs/dbraw/zinc/87/61/19/637876119.db2.gz UURSSOBCDYTPBI-UHFFFAOYSA-N 0 1 299.334 0.652 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cncn2C)[C@@H]1C ZINC000994233094 637877333 /nfs/dbraw/zinc/87/73/33/637877333.db2.gz RIHJJHPKHMQJSA-DZGCQCFKSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cnn3cc[nH]c23)[C@@H]1C ZINC000994299718 637917720 /nfs/dbraw/zinc/91/77/20/637917720.db2.gz BBESBVFFHNLJGL-WCQYABFASA-N 0 1 285.351 0.878 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2COCCN2C)C1 ZINC001007162108 637952743 /nfs/dbraw/zinc/95/27/43/637952743.db2.gz IFYLKJVKCOJQFQ-QWHCGFSZSA-N 0 1 267.373 0.084 20 30 CCEDMN C[C@H](CNS(=O)(=O)N(C)CCC#N)N1CCCCC1 ZINC000917660876 637982144 /nfs/dbraw/zinc/98/21/44/637982144.db2.gz JCYJIGFGABBXRZ-GFCCVEGCSA-N 0 1 288.417 0.541 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)c1C ZINC000939761716 638402186 /nfs/dbraw/zinc/40/21/86/638402186.db2.gz BRSMESMBGXPGCQ-LDYMZIIASA-N 0 1 289.339 0.517 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CCN(C(=O)c3ccn[nH]3)C2)[nH]1 ZINC000940060451 638435618 /nfs/dbraw/zinc/43/56/18/638435618.db2.gz HCJCNWMGNGNLLJ-SNVBAGLBSA-N 0 1 298.306 0.254 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC000942605900 638678416 /nfs/dbraw/zinc/67/84/16/638678416.db2.gz RDFBHZLCHSQCTN-NXEZZACHSA-N 0 1 277.328 0.089 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC(NC(=O)c2ccc3cncn3c2)C1 ZINC000995638135 638781911 /nfs/dbraw/zinc/78/19/11/638781911.db2.gz MUVTUICRHNATML-SNVBAGLBSA-N 0 1 297.318 0.435 20 30 CCEDMN C#C[C@H](C)NC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000186001903 638793923 /nfs/dbraw/zinc/79/39/23/638793923.db2.gz MQJTUYNGBHTPLC-LBPRGKRZSA-N 0 1 272.352 0.585 20 30 CCEDMN C#CC[NH+]1CCC([C@H](C)NC(=O)c2[n-]nnc2C)CC1 ZINC000997705457 638880838 /nfs/dbraw/zinc/88/08/38/638880838.db2.gz DTWMKJCQTGXJMN-JTQLQIEISA-N 0 1 275.356 0.577 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC000998742262 638938297 /nfs/dbraw/zinc/93/82/97/638938297.db2.gz QLTKFXALVZSIDV-WDEREUQCSA-N 0 1 285.775 0.598 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001014615930 650468450 /nfs/dbraw/zinc/46/84/50/650468450.db2.gz JWJRSDHZLVDWQD-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc(C)nn2)CC1 ZINC001000663421 639150777 /nfs/dbraw/zinc/15/07/77/639150777.db2.gz FYMUDAJATBSCMZ-UHFFFAOYSA-N 0 1 270.336 0.780 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(=O)n(CCC)n2)C1 ZINC001016226960 639181976 /nfs/dbraw/zinc/18/19/76/639181976.db2.gz TYTHIOIBBIVWGQ-GFCCVEGCSA-N 0 1 290.367 0.643 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)C2(F)CCOCC2)C1 ZINC001014658264 650475811 /nfs/dbraw/zinc/47/58/11/650475811.db2.gz DCEJPQVVDUNRHQ-GFCCVEGCSA-N 0 1 268.332 0.719 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001014690292 650479003 /nfs/dbraw/zinc/47/90/03/650479003.db2.gz XIUKKBWRKFEGRT-JSGCOSHPSA-N 0 1 286.379 0.958 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCCc3nn[nH]c32)CC1 ZINC001001097100 639229107 /nfs/dbraw/zinc/22/91/07/639229107.db2.gz RCDLRFCTYZADTF-ZDUSSCGKSA-N 0 1 299.378 0.606 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CNC(=O)c3ccccc32)C1 ZINC001014747665 650484595 /nfs/dbraw/zinc/48/45/95/650484595.db2.gz ULTJICDSCABOFC-WFASDCNBSA-N 0 1 299.374 0.890 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc3c(cn2)OCCO3)C1 ZINC001014758435 650485514 /nfs/dbraw/zinc/48/55/14/650485514.db2.gz AMLAQMQAOVJOMF-LLVKDONJSA-N 0 1 289.335 0.843 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc[n+]([O-])cc2)CC1 ZINC001002273958 639387816 /nfs/dbraw/zinc/38/78/16/639387816.db2.gz VYTXGYZLNGUMNG-UHFFFAOYSA-N 0 1 259.309 0.147 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001002586532 639430428 /nfs/dbraw/zinc/43/04/28/639430428.db2.gz ABHPKUBQEGMMOJ-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cn(C)nn2)CC1 ZINC001002747698 639452520 /nfs/dbraw/zinc/45/25/20/639452520.db2.gz FFJOHZAKFRNOIW-UHFFFAOYSA-N 0 1 261.329 0.033 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001016331440 639453567 /nfs/dbraw/zinc/45/35/67/639453567.db2.gz JENKJBPKWYBFJU-STQMWFEESA-N 0 1 287.367 0.054 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(OCC)n[nH]2)CC1 ZINC001003129124 639511695 /nfs/dbraw/zinc/51/16/95/639511695.db2.gz ZJSWJCMBVJMVDG-UHFFFAOYSA-N 0 1 276.340 0.636 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cc(OC)n(C)n2)CC1 ZINC001003281665 639524527 /nfs/dbraw/zinc/52/45/27/639524527.db2.gz JMGBIKRSUVSVDA-UHFFFAOYSA-N 0 1 290.367 0.646 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnn(C)c2Cl)C1 ZINC001014956556 650507600 /nfs/dbraw/zinc/50/76/00/650507600.db2.gz VVRVCZASJCKYNJ-SNVBAGLBSA-N 0 1 280.759 0.901 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ccnc(OC)c2)CC1 ZINC001003631861 639582076 /nfs/dbraw/zinc/58/20/76/639582076.db2.gz WHFJUYLRVXUQMW-UHFFFAOYSA-N 0 1 287.363 0.847 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2nccn3ccnc23)CC1 ZINC001003653024 639585749 /nfs/dbraw/zinc/58/57/49/639585749.db2.gz YPLRLHZPNRLLIM-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccc3nncn3c2)CC1 ZINC001003786070 639615749 /nfs/dbraw/zinc/61/57/49/639615749.db2.gz FOVHBGQJCJLZOI-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C)nc2COC)C1 ZINC001014996957 650513263 /nfs/dbraw/zinc/51/32/63/650513263.db2.gz RPDQHKXFUGZDKC-NSHDSACASA-N 0 1 278.356 0.557 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2COCCN2CC)C1 ZINC001015023529 650516034 /nfs/dbraw/zinc/51/60/34/650516034.db2.gz JLENMYYTHPNMKL-STQMWFEESA-N 0 1 267.373 0.084 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(CC)n(C)n2)CC1 ZINC001004275795 639744040 /nfs/dbraw/zinc/74/40/40/639744040.db2.gz ZDYOEDCGXLGWJG-UHFFFAOYSA-N 0 1 274.368 0.810 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccnnc2C)C1 ZINC001015120685 650527715 /nfs/dbraw/zinc/52/77/15/650527715.db2.gz QWJGAMQKWRUYBF-LBPRGKRZSA-N 0 1 258.325 0.612 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccnc3c2CC(=O)N3)C1 ZINC001015095925 650528606 /nfs/dbraw/zinc/52/86/06/650528606.db2.gz DCXHNXVIYZLWHW-NSHDSACASA-N 0 1 298.346 0.404 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccnn2C)CC1 ZINC001005167979 639885496 /nfs/dbraw/zinc/88/54/96/639885496.db2.gz XGROIFRIEVAYGE-UHFFFAOYSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2nnn(C)c2C)CC1 ZINC001005205201 639888803 /nfs/dbraw/zinc/88/88/03/639888803.db2.gz GENKVWLYIFJKGC-UHFFFAOYSA-N 0 1 289.383 0.683 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001014737026 639890421 /nfs/dbraw/zinc/89/04/21/639890421.db2.gz NCNBJSVKWOVSGD-LLVKDONJSA-N 0 1 274.324 0.103 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2cn(CC)nn2)CC1 ZINC001005756819 639960626 /nfs/dbraw/zinc/96/06/26/639960626.db2.gz UOARZXHLJPBMFG-UHFFFAOYSA-N 0 1 289.383 0.397 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2C[C@H]2C(=O)N(C)C)CC1 ZINC001006000258 640061908 /nfs/dbraw/zinc/06/19/08/640061908.db2.gz HGDCYMDZLSFENJ-KGLIPLIRSA-N 0 1 291.395 0.267 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC001006005534 640063362 /nfs/dbraw/zinc/06/33/62/640063362.db2.gz VOQHTEKAISSTIT-OLZOCXBDSA-N 0 1 291.395 0.444 20 30 CCEDMN C[C@H](CN(C)CC(=O)NCCC#N)C(=O)OC(C)(C)C ZINC000839200696 640310347 /nfs/dbraw/zinc/31/03/47/640310347.db2.gz YTYCEDDPJODSEA-LLVKDONJSA-N 0 1 283.372 0.926 20 30 CCEDMN Cn1ncc2c1ncnc2NN=Cc1cnn2cccnc12 ZINC000755646219 640331406 /nfs/dbraw/zinc/33/14/06/640331406.db2.gz ZUSHWBBDLJHRHR-UHFFFAOYSA-N 0 1 293.294 0.852 20 30 CCEDMN Cn1ncc2c1nc[nH+]c2[N-]N=Cc1cnn2cccnc12 ZINC000755646219 640331412 /nfs/dbraw/zinc/33/14/12/640331412.db2.gz ZUSHWBBDLJHRHR-UHFFFAOYSA-N 0 1 293.294 0.852 20 30 CCEDMN NC(=O)c1cccc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)c1 ZINC000755813724 640338246 /nfs/dbraw/zinc/33/82/46/640338246.db2.gz HAILXGCVNRPIMS-STQMWFEESA-N 0 1 285.351 0.979 20 30 CCEDMN O=C1C=C(NNc2[nH+]cnc3[n-]cnc32)[C@H]2CCCN12 ZINC000799477721 640574838 /nfs/dbraw/zinc/57/48/38/640574838.db2.gz GVGFUKWLIHHETJ-MRVPVSSYSA-N 0 1 271.284 0.516 20 30 CCEDMN C[C@H](C#N)OCCN1CCC[C@@H]1C(=O)NC1CC1 ZINC000801921069 640674795 /nfs/dbraw/zinc/67/47/95/640674795.db2.gz XAOFUCKMKJUDLM-ZYHUDNBSSA-N 0 1 251.330 0.658 20 30 CCEDMN C[C@H](C#N)OCCN1CC[N@H+](C[C@H](C)O)C[C@@H]1C ZINC000801943622 640677910 /nfs/dbraw/zinc/67/79/10/640677910.db2.gz BLKFYDQBLTYKSE-RWMBFGLXSA-N 0 1 255.362 0.302 20 30 CCEDMN C[C@H](C#N)OCC[N@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000801952766 640680683 /nfs/dbraw/zinc/68/06/83/640680683.db2.gz DKJJYBYRLSXCCF-UPJWGTAASA-N 0 1 254.330 0.795 20 30 CCEDMN C[C@H](C#N)OCCN1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000801952766 640680686 /nfs/dbraw/zinc/68/06/86/640680686.db2.gz DKJJYBYRLSXCCF-UPJWGTAASA-N 0 1 254.330 0.795 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1C=[NH+][N-]c1nnc[nH]1 ZINC000807997296 640844675 /nfs/dbraw/zinc/84/46/75/640844675.db2.gz VMOSPRVMYWGZQB-UHFFFAOYSA-N 0 1 290.331 0.129 20 30 CCEDMN N#CCNC(=O)CNC[C@@H](O)c1c(F)cccc1F ZINC000819311487 641400041 /nfs/dbraw/zinc/40/00/41/641400041.db2.gz NJMMDIWHXXRBHT-SNVBAGLBSA-N 0 1 269.251 0.228 20 30 CCEDMN N#CCNC(=O)CNC[C@@H](O)c1ccc(Cl)c(F)c1 ZINC000819312227 641400052 /nfs/dbraw/zinc/40/00/52/641400052.db2.gz RTUAZXGAMSTWNM-LLVKDONJSA-N 0 1 285.706 0.742 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)N[C@H]3CCN(O)C3=O)c2C1 ZINC000820120432 641458853 /nfs/dbraw/zinc/45/88/53/641458853.db2.gz NXMVAHLCCXWOBY-XVKPBYJWSA-N 0 1 278.312 0.254 20 30 CCEDMN C[C@H]1CCC[N@H+]1CCNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820238728 641486843 /nfs/dbraw/zinc/48/68/43/641486843.db2.gz UNNJEGVMTMBVJJ-GXFFZTMASA-N 0 1 267.329 0.591 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn([C@H]3CCOC3)nn2)C1 ZINC001015959383 650664200 /nfs/dbraw/zinc/66/42/00/650664200.db2.gz FEGFDVMMXXZKLP-RYUDHWBXSA-N 0 1 291.355 0.230 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001016017136 650675763 /nfs/dbraw/zinc/67/57/63/650675763.db2.gz RJTVDJNADCXXGC-LBPRGKRZSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)c2[nH]cnc2CC)C1 ZINC001016136787 650688629 /nfs/dbraw/zinc/68/86/29/650688629.db2.gz OXNOIMBROHKYSA-LLVKDONJSA-N 0 1 260.341 0.800 20 30 CCEDMN C[C@H](CNC(=O)C#Cc1cccs1)N1CCN(C)CC1 ZINC000825897260 641911316 /nfs/dbraw/zinc/91/13/16/641911316.db2.gz XJWFJXAHYSBGSN-CYBMUJFWSA-N 0 1 291.420 0.852 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1noc2c1CCCC2 ZINC000826382998 641929890 /nfs/dbraw/zinc/92/98/90/641929890.db2.gz FKQPSQHOCKLLGS-UHFFFAOYSA-N 0 1 296.294 0.850 20 30 CCEDMN Cc1nn(CC(=O)NCC#CCN(C)C)c2ncccc12 ZINC000827972203 642055916 /nfs/dbraw/zinc/05/59/16/642055916.db2.gz VXJJEYJKCSZCGS-UHFFFAOYSA-N 0 1 285.351 0.421 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccnc2C(N)=O)C1 ZINC001016319641 650717516 /nfs/dbraw/zinc/71/75/16/650717516.db2.gz JOPFVWMWEUWOJR-JTQLQIEISA-N 0 1 274.324 0.171 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001016399262 650726761 /nfs/dbraw/zinc/72/67/61/650726761.db2.gz IORCYWNTWKKKIL-GFCCVEGCSA-N 0 1 288.351 0.561 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2Cc3ccc(C#N)cc3C2)=NC1=O ZINC000829486717 642166876 /nfs/dbraw/zinc/16/68/76/642166876.db2.gz YLYFXENSVAUXIM-GFCCVEGCSA-N 0 1 282.303 0.895 20 30 CCEDMN C[C@]1(NC(=O)C(F)(F)F)CCN(C(=O)CC#N)C1 ZINC000830808036 642294611 /nfs/dbraw/zinc/29/46/11/642294611.db2.gz UVWZNQHMDUFGQP-VIFPVBQESA-N 0 1 263.219 0.570 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)C[C@H]2CCC(=O)N2)c1 ZINC000831271507 642341119 /nfs/dbraw/zinc/34/11/19/642341119.db2.gz HXCOSRMMJDNOTN-SECBINFHSA-N 0 1 259.265 0.871 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1CC12CCN(CC#N)CC2 ZINC001016541859 650743120 /nfs/dbraw/zinc/74/31/20/650743120.db2.gz ZCMAUQHWYRGHDH-OLZOCXBDSA-N 0 1 276.384 0.575 20 30 CCEDMN CC1(C#N)CC[NH+](CC(=O)N2CCC(C(=O)[O-])CC2)CC1 ZINC000833550684 642539162 /nfs/dbraw/zinc/53/91/62/642539162.db2.gz CNFAHXNZGCPWJH-UHFFFAOYSA-N 0 1 293.367 0.935 20 30 CCEDMN C[C@H](CC(=O)N[C@@H]1CNC[C@@H]1C#N)c1ccncc1 ZINC000834479264 642571872 /nfs/dbraw/zinc/57/18/72/642571872.db2.gz BUIBTLRIRHJURZ-KGYLQXTDSA-N 0 1 258.325 0.803 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccn(C2CCCC2)n1 ZINC000834479718 642572699 /nfs/dbraw/zinc/57/26/99/642572699.db2.gz HWQDASVZFKWFDL-ZWNOBZJWSA-N 0 1 273.340 0.840 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnn2c1CCCC2 ZINC000834485300 642576298 /nfs/dbraw/zinc/57/62/98/642576298.db2.gz UXHVLFMSPQXNNX-KOLCDFICSA-N 0 1 259.313 0.061 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ncccc1C(F)(F)F ZINC000834485068 642576678 /nfs/dbraw/zinc/57/66/78/642576678.db2.gz RKZKGDPBFUZRHG-APPZFPTMSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(F)cc2nccnc21 ZINC000834488231 642578209 /nfs/dbraw/zinc/57/82/09/642578209.db2.gz ASJYMNSMEPCLSB-QPUJVOFHSA-N 0 1 285.282 0.610 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(C(=O)NC2CC2)cc1 ZINC000834485463 642578671 /nfs/dbraw/zinc/57/86/71/642578671.db2.gz XDOFZMHWLRZHRL-OCCSQVGLSA-N 0 1 298.346 0.420 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC000834489194 642579548 /nfs/dbraw/zinc/57/95/48/642579548.db2.gz KOBZYTNEHJZPIF-XWIASGKRSA-N 0 1 275.283 0.357 20 30 CCEDMN Cc1ccc(C(=O)C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834493813 642583906 /nfs/dbraw/zinc/58/39/06/642583906.db2.gz HRZSTBHBIHCEAT-NEPJUHHUSA-N 0 1 257.293 0.406 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnn(-c2ccccc2F)c1 ZINC000834495355 642585645 /nfs/dbraw/zinc/58/56/45/642585645.db2.gz ULYRPISDCOXKIT-ZWNOBZJWSA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccnc1C(F)(F)F ZINC000834499216 642588515 /nfs/dbraw/zinc/58/85/15/642588515.db2.gz GDGDYCNTWVRFTE-IONNQARKSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccnc1C(F)(F)F ZINC000834499217 642589340 /nfs/dbraw/zinc/58/93/40/642589340.db2.gz GDGDYCNTWVRFTE-VXNVDRBHSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnn(-c2ccc(F)cc2)c1 ZINC000834505181 642591736 /nfs/dbraw/zinc/59/17/36/642591736.db2.gz IXZSQJIDNJJJGY-QMTHXVAHSA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCC(=O)c1cccs1 ZINC000834505074 642592074 /nfs/dbraw/zinc/59/20/74/642592074.db2.gz HQGLJBWOTVAFIX-ZJUUUORDSA-N 0 1 277.349 0.939 20 30 CCEDMN Cn1cnc(-c2ccc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc2)n1 ZINC000834506856 642592999 /nfs/dbraw/zinc/59/29/99/642592999.db2.gz WQEZCFMFSKDHHD-QWHCGFSZSA-N 0 1 296.334 0.323 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000834506516 642593566 /nfs/dbraw/zinc/59/35/66/642593566.db2.gz TWNLUHXOWANXMK-NXEZZACHSA-N 0 1 290.279 0.201 20 30 CCEDMN Cc1ccc(OCC(=O)N[C@H]2CNC[C@@H]2C#N)cc1C ZINC000834511053 642594738 /nfs/dbraw/zinc/59/47/38/642594738.db2.gz IEEFTPPIQIVGEX-JSGCOSHPSA-N 0 1 273.336 0.910 20 30 CCEDMN Cc1ccn2cc(C(=O)N[C@H]3CNC[C@@H]3C#N)nc2c1 ZINC000834511087 642596059 /nfs/dbraw/zinc/59/60/59/642596059.db2.gz IIEZSUWAIIIBCU-QWRGUYRKSA-N 0 1 269.308 0.484 20 30 CCEDMN Cc1noc2nc(C)cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)c12 ZINC000834517193 642600606 /nfs/dbraw/zinc/60/06/06/642600606.db2.gz ROZDHHYGEZYTMX-GXSJLCMTSA-N 0 1 285.307 0.681 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(-n2ccnc2)cc1 ZINC000834516459 642600619 /nfs/dbraw/zinc/60/06/19/642600619.db2.gz IQSDMMCWMGDOSL-OCCSQVGLSA-N 0 1 281.319 0.714 20 30 CCEDMN COc1cccc(COCC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834521720 642602207 /nfs/dbraw/zinc/60/22/07/642602207.db2.gz QZJUQQAVRXWICT-OCCSQVGLSA-N 0 1 289.335 0.440 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@@H]2C#N)oc2c1C(=O)CCC2 ZINC000834521695 642602368 /nfs/dbraw/zinc/60/23/68/642602368.db2.gz QBKSTPLPSQMKPS-UWVGGRQHSA-N 0 1 287.319 0.948 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1c(Cl)nc2ccccn21 ZINC000834521238 642602419 /nfs/dbraw/zinc/60/24/19/642602419.db2.gz FNPHYFWMSZMJSD-DTWKUNHWSA-N 0 1 289.726 0.829 20 30 CCEDMN C#CCN1CCC2(C[C@H]2NC(=O)c2c[nH]c(C)cc2=O)CC1 ZINC001016911986 650771170 /nfs/dbraw/zinc/77/11/70/650771170.db2.gz HBXMIZLIUPMTNL-OAHLLOKOSA-N 0 1 299.374 0.901 20 30 CCEDMN O=C(C#CC1CC1)NC[C@@H](O)CN1CCc2ccccc2C1 ZINC000837470815 642746728 /nfs/dbraw/zinc/74/67/28/642746728.db2.gz PFUVLDLDFRTRCE-QGZVFWFLSA-N 0 1 298.386 0.935 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1cccc(-n2ccnn2)c1 ZINC000841148871 642804781 /nfs/dbraw/zinc/80/47/81/642804781.db2.gz DOBDEDOQULQFEX-GXFFZTMASA-N 0 1 297.322 0.500 20 30 CCEDMN Cc1cc(C)nc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000841189736 642806588 /nfs/dbraw/zinc/80/65/88/642806588.db2.gz JTLJPDYJJKKDAS-GHMZBOCLSA-N 0 1 259.313 0.932 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccc2c(n1)CCC2 ZINC000841259466 642808905 /nfs/dbraw/zinc/80/89/05/642808905.db2.gz RLQCBLPZECNRHT-CMPLNLGQSA-N 0 1 271.324 0.803 20 30 CCEDMN Cc1cccc(OCCC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000843459450 643062631 /nfs/dbraw/zinc/06/26/31/643062631.db2.gz FGTVNKJDKOMOOW-JSGCOSHPSA-N 0 1 273.336 0.992 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cn1cnc2ccccc21 ZINC000844193265 643177668 /nfs/dbraw/zinc/17/76/68/643177668.db2.gz CQJDIMMWYDEREQ-PWSUYJOCSA-N 0 1 269.308 0.264 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)c(C)s1 ZINC000844195085 643178608 /nfs/dbraw/zinc/17/86/08/643178608.db2.gz PYKPIQKYDSNBMT-GXSJLCMTSA-N 0 1 264.354 0.530 20 30 CCEDMN N#Cc1ccc(CC(=O)COC(=O)CCc2nc[nH]n2)cc1 ZINC000845130266 643275997 /nfs/dbraw/zinc/27/59/97/643275997.db2.gz KUGUGKWWDGQLFX-UHFFFAOYSA-N 0 1 298.302 0.964 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc(=O)n1C ZINC001017931252 650834881 /nfs/dbraw/zinc/83/48/81/650834881.db2.gz DFSJYKLVQSSDHI-OKILXGFUSA-N 0 1 299.374 0.697 20 30 CCEDMN Cc1nn(C(C)C)cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000848419472 643634413 /nfs/dbraw/zinc/63/44/13/643634413.db2.gz VIDAOWJOUTZILN-JQWIXIFHSA-N 0 1 261.329 0.614 20 30 CCEDMN N#Cc1ccc(N2CCN(CCCCO)CC2)nc1N ZINC000848669267 643666755 /nfs/dbraw/zinc/66/67/55/643666755.db2.gz ZMHFNHGKDJMICJ-UHFFFAOYSA-N 0 1 275.356 0.430 20 30 CCEDMN C#CCSCCNC(=O)N(C)Cc1cnc[nH]1 ZINC000852136976 643935141 /nfs/dbraw/zinc/93/51/41/643935141.db2.gz RAOKNHLNCKPHHK-UHFFFAOYSA-N 0 1 252.343 0.918 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccccc1Cn1ccnn1 ZINC000852874636 644036535 /nfs/dbraw/zinc/03/65/35/644036535.db2.gz BQFBRSXWOIWCES-GXTWGEPZSA-N 0 1 296.334 0.168 20 30 CCEDMN Cn1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1Br ZINC000852875580 644037800 /nfs/dbraw/zinc/03/78/00/644037800.db2.gz KHGHWGBPUBCDEB-HTRCEHHLSA-N 0 1 298.144 0.024 20 30 CCEDMN N#Cc1ccc(CCNC(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000855221785 644296269 /nfs/dbraw/zinc/29/62/69/644296269.db2.gz YBVYLDDMFSKHKU-VXGBXAGGSA-N 0 1 259.265 0.882 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001019012113 650930287 /nfs/dbraw/zinc/93/02/87/650930287.db2.gz RJRDKJWGBOEDDD-WDEREUQCSA-N 0 1 285.775 0.598 20 30 CCEDMN C[C@@H](CNC(=O)c1coc(C#N)c1)N1CCN(C)CC1 ZINC000865816552 645423256 /nfs/dbraw/zinc/42/32/56/645423256.db2.gz MWJJEGVKKHCVPQ-NSHDSACASA-N 0 1 276.340 0.517 20 30 CCEDMN N#C[C@@]1(C(=O)NC[C@@H]2CCCC[N@@H+]2CCO)CCCOC1 ZINC000868637550 645798031 /nfs/dbraw/zinc/79/80/31/645798031.db2.gz YPJHAKMBQUQFAX-ZFWWWQNUSA-N 0 1 295.383 0.270 20 30 CCEDMN N#C[C@@]1(C(=O)NC[C@@H]2CCCCN2CCO)CCCOC1 ZINC000868637550 645798034 /nfs/dbraw/zinc/79/80/34/645798034.db2.gz YPJHAKMBQUQFAX-ZFWWWQNUSA-N 0 1 295.383 0.270 20 30 CCEDMN Cc1ccn(C[C@H](C)C(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000870939949 646054445 /nfs/dbraw/zinc/05/44/45/646054445.db2.gz DJDAVKPDXPDMLT-WCQGTBRESA-N 0 1 261.329 0.055 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1cn2cc(F)ccc2n1 ZINC000870940293 646054708 /nfs/dbraw/zinc/05/47/08/646054708.db2.gz HYUGDXLCXUCRFJ-JOYOIKCWSA-N 0 1 287.298 0.244 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2ccc(F)c(F)c2[O-])N1 ZINC000872364741 646252203 /nfs/dbraw/zinc/25/22/03/646252203.db2.gz SNJWPYZPALTJBW-LURJTMIESA-N 0 1 254.240 0.942 20 30 CCEDMN C[C@H](C#N)CNS(=O)(=O)N=[S@](C)(=O)C(C)(C)C ZINC000872456167 646264181 /nfs/dbraw/zinc/26/41/81/646264181.db2.gz JXOJYHGBMGHGTF-VPTHRUTESA-N 0 1 281.403 0.877 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CCN1C1CC1 ZINC000874460822 646467767 /nfs/dbraw/zinc/46/77/67/646467767.db2.gz MIJMMINRNCONGT-YNEHKIRRSA-N 0 1 285.351 0.712 20 30 CCEDMN Cc1cn2cc(CC(=O)N[C@H]3CNC[C@H]3C#N)nc2s1 ZINC000876802763 646670150 /nfs/dbraw/zinc/67/01/50/646670150.db2.gz RSARHQWONBBJNI-KOLCDFICSA-N 0 1 289.364 0.475 20 30 CCEDMN Cc1ccc(-c2nn(C)cc2C(=O)N[C@@H]2CNC[C@@H]2C#N)o1 ZINC000876802788 646670785 /nfs/dbraw/zinc/67/07/85/646670785.db2.gz RXJZIURWNYGEKW-CMPLNLGQSA-N 0 1 299.334 0.830 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CC(C)(C)O)C[C@H](C)N1CC#N ZINC000878771190 646976373 /nfs/dbraw/zinc/97/63/73/646976373.db2.gz FQZKYBGGJPSZKU-FRRDWIJNSA-N 0 1 269.389 0.426 20 30 CCEDMN N#CCCNC(=O)CN[C@@]1(c2ccccc2)C[C@@H](O)C1 ZINC000880225867 647173030 /nfs/dbraw/zinc/17/30/30/647173030.db2.gz ZNISFYSQXJDSFI-OTVXOJSOSA-N 0 1 273.336 0.656 20 30 CCEDMN N#Cc1ccccc1OC[C@H](O)CN1CC=C[C@H]1CO ZINC000880494823 647213416 /nfs/dbraw/zinc/21/34/16/647213416.db2.gz SZHOYQPYIDNXAQ-UONOGXRCSA-N 0 1 274.320 0.531 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN(C(C)(C)CO)CC2)n1 ZINC000881987871 647361261 /nfs/dbraw/zinc/36/12/61/647361261.db2.gz ZVMGTIJJZRECIU-UHFFFAOYSA-N 0 1 275.356 0.550 20 30 CCEDMN Cc1nc(CN2CCN(c3cc(C#N)nc(C)n3)CC2)n[nH]1 ZINC000882160751 647377877 /nfs/dbraw/zinc/37/78/77/647377877.db2.gz VOCYWMWMNUPETQ-UHFFFAOYSA-N 0 1 298.354 0.405 20 30 CCEDMN N#CCc1cccc(NC(=O)NC[C@@H]2COCCN2)n1 ZINC000883587264 647541246 /nfs/dbraw/zinc/54/12/46/647541246.db2.gz DJQMMHIHAAIHNT-LLVKDONJSA-N 0 1 275.312 0.258 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCN(C(C)=O)C2)CC1 ZINC001006340233 647571097 /nfs/dbraw/zinc/57/10/97/647571097.db2.gz NIFRNQHTPHDQMF-CQSZACIVSA-N 0 1 291.395 0.411 20 30 CCEDMN N#CC(C(=O)C[C@H]1COC(=O)C1)C(=O)NC1CCCC1 ZINC000133477892 647610434 /nfs/dbraw/zinc/61/04/34/647610434.db2.gz MSJBYAVAXPLFJA-MWLCHTKSSA-N 0 1 278.308 0.707 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccnc1C(F)F ZINC000884249903 647680744 /nfs/dbraw/zinc/68/07/44/647680744.db2.gz TZDIHDFVJLWDPI-IONNQARKSA-N 0 1 266.251 0.861 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@@H]1CCC2(CCC2)CO1 ZINC000884249460 647681292 /nfs/dbraw/zinc/68/12/92/647681292.db2.gz MFXXNFMTKOYLJC-AGIUHOORSA-N 0 1 277.368 0.954 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H]3[C@H]2CCCN3CCO)o1 ZINC000889749568 648243092 /nfs/dbraw/zinc/24/30/92/648243092.db2.gz IMVBLMKZEDEYBE-CHWSQXEVSA-N 0 1 289.335 0.822 20 30 CCEDMN C[C@@H](CN(C)C(=O)C1(C#N)CCSCC1)c1nn[nH]n1 ZINC000935366729 649229030 /nfs/dbraw/zinc/22/90/30/649229030.db2.gz HGRNUTVDFATGLM-VIFPVBQESA-N 0 1 294.384 0.799 20 30 CCEDMN CNS(=O)(=O)CCNCc1ccc(O[C@H](C)C#N)cc1 ZINC000190303088 649443294 /nfs/dbraw/zinc/44/32/94/649443294.db2.gz CWOVIDDBIVYOPF-LLVKDONJSA-N 0 1 297.380 0.616 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000951872635 649568730 /nfs/dbraw/zinc/56/87/30/649568730.db2.gz NDPDBJBNZCGVGP-WDEREUQCSA-N 0 1 289.339 0.219 20 30 CCEDMN C#CCN1CCN(C(=O)c2cc(C3CC3)[nH]n2)CC1 ZINC000195335168 649597435 /nfs/dbraw/zinc/59/74/35/649597435.db2.gz MKHTWAFNDPPAKP-UHFFFAOYSA-N 0 1 258.325 0.678 20 30 CCEDMN N#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc3nc[nH]c32)C1 ZINC000958514861 649809614 /nfs/dbraw/zinc/80/96/14/649809614.db2.gz DNUWGKDNHCYMQB-GXFFZTMASA-N 0 1 299.334 0.109 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncc([N+](=O)[O-])cc3C#N)C[C@H]21 ZINC000471704399 719387538 /nfs/dbraw/zinc/38/75/38/719387538.db2.gz DJEARYRQQAZAMZ-NEPJUHHUSA-N 0 1 289.295 0.381 20 30 CCEDMN C=CCCN1CCC(NC(=O)CS(=O)(=O)CC)CC1 ZINC001230359802 805596450 /nfs/dbraw/zinc/59/64/50/805596450.db2.gz KLDINZAKWCWLKW-UHFFFAOYSA-N 0 1 288.413 0.578 20 30 CCEDMN CC#CCN1CCC(NC(=O)C(C)(C)NC(=O)NC)CC1 ZINC001230353429 805596659 /nfs/dbraw/zinc/59/66/59/805596659.db2.gz XORLJLROJISVFE-UHFFFAOYSA-N 0 1 294.399 0.298 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)CCOC ZINC001230571794 805625191 /nfs/dbraw/zinc/62/51/91/805625191.db2.gz GNIZNNIJIAHLOD-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001211490361 790366558 /nfs/dbraw/zinc/36/65/58/790366558.db2.gz ZLALQXNULZQSBL-JHJVBQTASA-N 0 1 279.384 0.204 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@@H]1CCOC[C@H]1OC ZINC001266280382 790390190 /nfs/dbraw/zinc/39/01/90/790390190.db2.gz CMPYXNKTBLRRHJ-UONOGXRCSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CC[C@]2(C)CCC(=O)N2)C1 ZINC001211499550 790412869 /nfs/dbraw/zinc/41/28/69/790412869.db2.gz QHDDJJXZFOAQAM-XJKCOSOUSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCCCc1c[nH]nn1 ZINC001266301184 790463643 /nfs/dbraw/zinc/46/36/43/790463643.db2.gz GVTKKKAXSUBRDJ-UHFFFAOYSA-N 0 1 277.372 0.589 20 30 CCEDMN CC#CC[N@H+](C)CCNC(=O)c1cn(C)nc1OC ZINC001266306380 790478257 /nfs/dbraw/zinc/47/82/57/790478257.db2.gz PHKWTDYGGPRQFW-UHFFFAOYSA-N 0 1 264.329 0.114 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cn(C)nc1OC ZINC001266306380 790478263 /nfs/dbraw/zinc/47/82/63/790478263.db2.gz PHKWTDYGGPRQFW-UHFFFAOYSA-N 0 1 264.329 0.114 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H]1CC[N@@H+](CCn2cccn2)C1 ZINC001266317532 790494084 /nfs/dbraw/zinc/49/40/84/790494084.db2.gz RHPUGRQRLAQMJE-ZFWWWQNUSA-N 0 1 292.383 0.401 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H]1CCN(CCn2cccn2)C1 ZINC001266317532 790494096 /nfs/dbraw/zinc/49/40/96/790494096.db2.gz RHPUGRQRLAQMJE-ZFWWWQNUSA-N 0 1 292.383 0.401 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](C2OCCO2)C1 ZINC000076293650 790515568 /nfs/dbraw/zinc/51/55/68/790515568.db2.gz MIKUQLRRJXISHZ-ZDUSSCGKSA-N 0 1 295.383 0.833 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCCC(N)=O)C1 ZINC001266352878 790571057 /nfs/dbraw/zinc/57/10/57/790571057.db2.gz JVFDFDVCQRNPAX-LLVKDONJSA-N 0 1 253.346 0.409 20 30 CCEDMN N#CCN1CCC2(C[C@H]2NC(=O)CCc2nc[nH]n2)CC1 ZINC001266368986 790603447 /nfs/dbraw/zinc/60/34/47/790603447.db2.gz OZPSTSPMFBFUJL-LLVKDONJSA-N 0 1 288.355 0.232 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H](OC)C1CCC1 ZINC001266405853 790647496 /nfs/dbraw/zinc/64/74/96/790647496.db2.gz JHSZXKITFATMSR-HNNXBMFYSA-N 0 1 296.411 0.889 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN([C@@H](C)C(N)=O)C1 ZINC001266526715 790870462 /nfs/dbraw/zinc/87/04/62/790870462.db2.gz YJJJOJNKGZPFKR-RYUDHWBXSA-N 0 1 281.400 0.901 20 30 CCEDMN Cc1oncc1CNCCNC(=O)c1cc(C#N)c[nH]1 ZINC001125391041 790872379 /nfs/dbraw/zinc/87/23/79/790872379.db2.gz PCVDOIRMWAOERB-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCCNCc2n[nH]c(C3CC3)n2)c1 ZINC001125390917 790873062 /nfs/dbraw/zinc/87/30/62/790873062.db2.gz JJVDOLJLKRNSRK-UHFFFAOYSA-N 0 1 299.338 0.401 20 30 CCEDMN COc1ccnc(CNCCNC(=O)c2cc(C#N)c[nH]2)c1 ZINC001125391043 790874241 /nfs/dbraw/zinc/87/42/41/790874241.db2.gz PDPCKRUWVQKRSX-UHFFFAOYSA-N 0 1 299.334 0.810 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H]3CC34CC4)[C@H]2C1 ZINC001083205381 790916421 /nfs/dbraw/zinc/91/64/21/790916421.db2.gz ZTVCHKDYFFWKHW-MELADBBJSA-N 0 1 274.364 0.721 20 30 CCEDMN Cn1cc(CN2CC[C@](C)(CO)[C@H](O)C2)cc1C#N ZINC001207103329 791028537 /nfs/dbraw/zinc/02/85/37/791028537.db2.gz UIMSZEFTIHQEHD-ZIAGYGMSSA-N 0 1 263.341 0.462 20 30 CCEDMN CC#CCN(CCNC(=O)c1cc2ncccn2n1)C1CC1 ZINC001266615966 791038468 /nfs/dbraw/zinc/03/84/68/791038468.db2.gz WTTFWINCMBYWSC-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@H](C)NC(C)=O ZINC001230699447 805652131 /nfs/dbraw/zinc/65/21/31/805652131.db2.gz ZHJKUGOOVPIUMS-JSGCOSHPSA-N 0 1 297.399 0.246 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@H]1COCCO1 ZINC001230824265 805674536 /nfs/dbraw/zinc/67/45/36/805674536.db2.gz WADUJHYSVAMJPI-UONOGXRCSA-N 0 1 298.383 0.137 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CNC(=O)OC ZINC001230914565 805691346 /nfs/dbraw/zinc/69/13/46/805691346.db2.gz AMSNDPMOIPDIGY-SNVBAGLBSA-N 0 1 255.318 0.061 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCOC1 ZINC001231060526 805718938 /nfs/dbraw/zinc/71/89/38/805718938.db2.gz GFPPDDBNEPQRNG-UONOGXRCSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CNC(=O)CC ZINC001231227132 805754928 /nfs/dbraw/zinc/75/49/28/805754928.db2.gz WFDRNGJPSRPFEI-LLVKDONJSA-N 0 1 253.346 0.231 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](C)COC ZINC001231215614 805753407 /nfs/dbraw/zinc/75/34/07/805753407.db2.gz HXGNOINUKUCSMK-CHWSQXEVSA-N 0 1 252.358 0.825 20 30 CCEDMN COc1cc(C#N)ccc1CN1C[C@H](O)[C@@H](CO)C1 ZINC001231617364 805819314 /nfs/dbraw/zinc/81/93/14/805819314.db2.gz UXHBNRLLONIOML-OLZOCXBDSA-N 0 1 262.309 0.352 20 30 CCEDMN C=CCOCCN1CC(N(C)C(=O)CCc2c[nH]nn2)C1 ZINC001277659334 805932689 /nfs/dbraw/zinc/93/26/89/805932689.db2.gz AWMCFKHADSCJDP-UHFFFAOYSA-N 0 1 293.371 0.083 20 30 CCEDMN C=CCOCCN1CC(N(C)C(=O)CCc2cnn[nH]2)C1 ZINC001277659334 805932692 /nfs/dbraw/zinc/93/26/92/805932692.db2.gz AWMCFKHADSCJDP-UHFFFAOYSA-N 0 1 293.371 0.083 20 30 CCEDMN Cc1ncc(CN2CCN(c3nccnc3C#N)CC2)[nH]1 ZINC001232464266 805941787 /nfs/dbraw/zinc/94/17/87/805941787.db2.gz WSRWBJVUROMSDZ-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN C[C@@H]1C(=O)N(C)CCN1Cc1cc(C#N)ccc1O ZINC001232677588 805970402 /nfs/dbraw/zinc/97/04/02/805970402.db2.gz FNFUJHMKSUSDMX-SNVBAGLBSA-N 0 1 259.309 0.926 20 30 CCEDMN COCC#CCN1CCC[C@@H](CNC(=O)c2cn[nH]c2)C1 ZINC001266740161 791203235 /nfs/dbraw/zinc/20/32/35/791203235.db2.gz LGDNFHTVCRTXHA-ZDUSSCGKSA-N 0 1 290.367 0.501 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cnn(C)c3)C[C@@H]21 ZINC001041927429 791408691 /nfs/dbraw/zinc/40/86/91/791408691.db2.gz HYROHIJYLPLJND-ZFWWWQNUSA-N 0 1 286.379 0.980 20 30 CCEDMN C=CCOCCCC(=O)N(C)C[C@@H]1CCN1CCCO ZINC001233499046 806066466 /nfs/dbraw/zinc/06/64/66/806066466.db2.gz DWUDYHMVFBXSDE-AWEZNQCLSA-N 0 1 284.400 0.884 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnc(C(F)(F)F)nc1 ZINC001267203305 791667744 /nfs/dbraw/zinc/66/77/44/791667744.db2.gz CWSOVFWYSJKZNP-UHFFFAOYSA-N 0 1 286.257 0.790 20 30 CCEDMN N#CCN1CC[C@@H](Oc2n[nH]c(=O)cc2Cl)C1 ZINC001233586841 806086981 /nfs/dbraw/zinc/08/69/81/806086981.db2.gz JHNZYUXCXATLQV-SSDOTTSWSA-N 0 1 254.677 0.812 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CCN1CCOCC ZINC001233936849 806150203 /nfs/dbraw/zinc/15/02/03/806150203.db2.gz KEKQMBWYPJXODX-ZFWWWQNUSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cc1cncnc1 ZINC001234019064 806170627 /nfs/dbraw/zinc/17/06/27/806170627.db2.gz BUYBDZSAPVFQLW-AWEZNQCLSA-N 0 1 272.352 0.575 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)CSC(C)C ZINC001234146978 806190054 /nfs/dbraw/zinc/19/00/54/806190054.db2.gz VEKGLIPHFVLTBE-UHFFFAOYSA-N 0 1 299.440 0.316 20 30 CCEDMN COCCN1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234131814 806188838 /nfs/dbraw/zinc/18/88/38/806188838.db2.gz SPMKHEMVXPGGAY-ZDUSSCGKSA-N 0 1 252.358 0.825 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H]1C[C@H]1OCC ZINC001234466311 806251683 /nfs/dbraw/zinc/25/16/83/806251683.db2.gz SBQBOKJTUMCIFC-QWHCGFSZSA-N 0 1 297.399 0.152 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCc1ccncn1 ZINC001234627204 806288067 /nfs/dbraw/zinc/28/80/67/806288067.db2.gz ZRUZTCUKFPOLJV-OAHLLOKOSA-N 0 1 286.379 0.965 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NCc1n[nH]c(CC)n1 ZINC001235206279 806384811 /nfs/dbraw/zinc/38/48/11/806384811.db2.gz VXXGWDIJSPQWJD-QMMMGPOBSA-N 0 1 253.306 0.367 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCN(C)CC(=O)N(C)C ZINC001235230429 806391348 /nfs/dbraw/zinc/39/13/48/806391348.db2.gz TWRCPDQJOAUEDO-ZDUSSCGKSA-N 0 1 299.415 0.494 20 30 CCEDMN N#CCCN1CC2(CCN2C(=O)[C@@H]2CC3CCN2CC3)C1 ZINC001277936359 806403830 /nfs/dbraw/zinc/40/38/30/806403830.db2.gz GLZDVAIIGMVNHM-AWEZNQCLSA-N 0 1 288.395 0.671 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C[C@@H](C)OC ZINC001235388481 806416269 /nfs/dbraw/zinc/41/62/69/806416269.db2.gz PXAKBVSXNGLRCC-GFCCVEGCSA-N 0 1 285.388 0.152 20 30 CCEDMN C[C@@H](O)CN1CC2(CN(Cc3cc(C#N)n(C)c3)C2)C1 ZINC001277961462 806490463 /nfs/dbraw/zinc/49/04/63/806490463.db2.gz YCMANMOXLYVJRH-GFCCVEGCSA-N 0 1 274.368 0.395 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235701759 806493965 /nfs/dbraw/zinc/49/39/65/806493965.db2.gz PWGBZXZLMUOSDO-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235701759 806493971 /nfs/dbraw/zinc/49/39/71/806493971.db2.gz PWGBZXZLMUOSDO-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)[C@@H](C)C(=O)NC1CC1 ZINC001235698515 806494194 /nfs/dbraw/zinc/49/41/94/806494194.db2.gz KPUYQDNTGABAHV-LBPRGKRZSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)[C@@H](C)C(=O)NC1CC1 ZINC001235698515 806494202 /nfs/dbraw/zinc/49/42/02/806494202.db2.gz KPUYQDNTGABAHV-LBPRGKRZSA-N 0 1 297.399 0.294 20 30 CCEDMN COCC#CCN1CCC(C)(NC(=O)CC(C)(C)O)CC1 ZINC001278045067 806633160 /nfs/dbraw/zinc/63/31/60/806633160.db2.gz ALBCVVXOKGSCCT-UHFFFAOYSA-N 0 1 296.411 0.768 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C[C@@H](C)c1c(C)n[nH]c1C ZINC001278105247 806675364 /nfs/dbraw/zinc/67/53/64/806675364.db2.gz HIKAPGYQSUSVLF-ZWNOBZJWSA-N 0 1 292.383 0.220 20 30 CCEDMN COc1ccc(CNC[C@@H](O)CC2(C#N)CC2)nn1 ZINC001120515130 798842921 /nfs/dbraw/zinc/84/29/21/798842921.db2.gz FQTOHWGOXYJVCS-NSHDSACASA-N 0 1 262.313 0.630 20 30 CCEDMN CN1CCN(Cc2cn3cc(C#N)ccc3n2)CC1 ZINC001237605571 806699174 /nfs/dbraw/zinc/69/91/74/806699174.db2.gz YMGCTGOCYOFCTJ-UHFFFAOYSA-N 0 1 255.325 0.953 20 30 CCEDMN COCCN(CC#N)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001120656863 798905690 /nfs/dbraw/zinc/90/56/90/798905690.db2.gz XTCLKEAWOBDRGQ-JTQLQIEISA-N 0 1 262.313 0.828 20 30 CCEDMN C#CCN(C(=O)CCCOC)C1CCN(CC#C)CC1 ZINC001278121958 806702663 /nfs/dbraw/zinc/70/26/63/806702663.db2.gz RXLZQBZEZFTSFG-UHFFFAOYSA-N 0 1 276.380 0.972 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)C(=O)Nc2cccc(C#N)n2)CCN1C ZINC001121059050 798989649 /nfs/dbraw/zinc/98/96/49/798989649.db2.gz XWNPJAWUQZBGQB-KOLCDFICSA-N 0 1 287.323 0.101 20 30 CCEDMN C=C/C(C)=C/CC(=O)NC[C@@H](CO)NCC#CCOC ZINC001278130316 806708966 /nfs/dbraw/zinc/70/89/66/806708966.db2.gz UUSQYRQEUYLJNY-GUNGLEHYSA-N 0 1 280.368 0.225 20 30 CCEDMN C#CCNCC(=O)N1Cc2ccccc2C[C@@H]1C(=O)OC ZINC001121899279 799087685 /nfs/dbraw/zinc/08/76/85/799087685.db2.gz DDULKJGUPHOCTM-CQSZACIVSA-N 0 1 286.331 0.336 20 30 CCEDMN C#CCNCC(=O)N1CC(C)(C)[C@H]1[C@@H]1CCCO1 ZINC001122081985 799138813 /nfs/dbraw/zinc/13/88/13/799138813.db2.gz KMIVZOXDNKEMRK-WCQYABFASA-N 0 1 250.342 0.625 20 30 CCEDMN C#Cc1cccc(NC(=O)CNCCNC(=O)C(F)F)c1 ZINC001122405376 799225500 /nfs/dbraw/zinc/22/55/00/799225500.db2.gz VNBTXUUFSOQECB-UHFFFAOYSA-N 0 1 295.289 0.577 20 30 CCEDMN N#CC(C(=O)CCCCNC(N)=O)C(=O)N1CCCC1 ZINC001122493064 799249217 /nfs/dbraw/zinc/24/92/17/799249217.db2.gz GHPZRQSDODMTEC-SNVBAGLBSA-N 0 1 280.328 0.156 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@]1(CO)c1ccccc1 ZINC001122570371 799265865 /nfs/dbraw/zinc/26/58/65/799265865.db2.gz PBEKPXZUBPEKFW-INIZCTEOSA-N 0 1 272.348 0.719 20 30 CCEDMN C#CC1(O)CCN(C(=O)NC[C@H]2CCN2CC)CC1 ZINC001123703036 799457925 /nfs/dbraw/zinc/45/79/25/799457925.db2.gz UQXWLBWMZJWYRM-GFCCVEGCSA-N 0 1 265.357 0.250 20 30 CCEDMN C#CCN(C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C)C(C)C ZINC001123795731 799476543 /nfs/dbraw/zinc/47/65/43/799476543.db2.gz SDWYHNJPLPGOMD-UONOGXRCSA-N 0 1 280.416 0.674 20 30 CCEDMN C#Cc1ccc(CNC(=O)[C@H]2CN3CCN2C[C@H]3C)cc1 ZINC001123894317 799497492 /nfs/dbraw/zinc/49/74/92/799497492.db2.gz YSGAQUKMNCDDHU-CZUORRHYSA-N 0 1 283.375 0.672 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn(C)nc1C ZINC001124960133 799648933 /nfs/dbraw/zinc/64/89/33/799648933.db2.gz BZCTYGFKGHIFPT-UHFFFAOYSA-N 0 1 256.737 0.800 20 30 CCEDMN O=C(Cc1cc[nH]n1)NCCNCC#Cc1ccccc1 ZINC001125141111 799661498 /nfs/dbraw/zinc/66/14/98/799661498.db2.gz GJXGXBVBJNNUIS-UHFFFAOYSA-N 0 1 282.347 0.710 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](OC)C1CCCCC1 ZINC001278210899 806775411 /nfs/dbraw/zinc/77/54/11/806775411.db2.gz CGSSRRDXFJBRNA-ZIAGYGMSSA-N 0 1 282.384 0.282 20 30 CCEDMN C=CCn1cc(CN2CCN(CCOC)CC2)cn1 ZINC001139768604 799839045 /nfs/dbraw/zinc/83/90/45/799839045.db2.gz QLSZRDQDVCICGP-UHFFFAOYSA-N 0 1 264.373 0.833 20 30 CCEDMN C=C(CNC(=O)CCc1nn[nH]n1)c1ccccc1 ZINC001141790881 800035043 /nfs/dbraw/zinc/03/50/43/800035043.db2.gz CWUKSLYTVUACBP-UHFFFAOYSA-N 0 1 257.297 0.962 20 30 CCEDMN CN1CCN(CCC(=O)NC2=CC(=O)CCC2)CC1 ZINC001143236420 800212387 /nfs/dbraw/zinc/21/23/87/800212387.db2.gz FSMREGSFJDJULR-UHFFFAOYSA-N 0 1 265.357 0.377 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](CC)OC)C1 ZINC001149349223 800250365 /nfs/dbraw/zinc/25/03/65/800250365.db2.gz MFNJADHWDWDHPN-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001149398267 800254836 /nfs/dbraw/zinc/25/48/36/800254836.db2.gz KIQZHWRAAHTPPH-NWDGAFQWSA-N 0 1 251.330 0.539 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001149398267 800254840 /nfs/dbraw/zinc/25/48/40/800254840.db2.gz KIQZHWRAAHTPPH-NWDGAFQWSA-N 0 1 251.330 0.539 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H](OC)C2CC2)C1 ZINC001149647508 800286785 /nfs/dbraw/zinc/28/67/85/800286785.db2.gz YXERQQDEJQXCAD-HUUCEWRRSA-N 0 1 294.395 0.642 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H](F)CC)C1 ZINC001150090239 800315232 /nfs/dbraw/zinc/31/52/32/800315232.db2.gz DAYCJFPPUXMTBY-QWHCGFSZSA-N 0 1 270.348 0.965 20 30 CCEDMN C[C@H]1CCCN1CC(=O)NC[C@H]1CN(CC#N)CCCO1 ZINC001150316543 800330425 /nfs/dbraw/zinc/33/04/25/800330425.db2.gz FBGRLWGRFMDFFL-KBPBESRZSA-N 0 1 294.399 0.201 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)CC(C)(C)O)CC1 ZINC001151095779 800398483 /nfs/dbraw/zinc/39/84/83/800398483.db2.gz IFPCEMFUYOZVTJ-UHFFFAOYSA-N 0 1 296.411 0.768 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)c1c[nH]cc2ncnc1-2 ZINC001152127939 800494131 /nfs/dbraw/zinc/49/41/31/800494131.db2.gz CGGUJXZRQHEQAA-UHFFFAOYSA-N 0 1 287.279 0.487 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1ccnc(OC)n1 ZINC001153080685 800593642 /nfs/dbraw/zinc/59/36/42/800593642.db2.gz CEEJNSBFGQWCBJ-UHFFFAOYSA-N 0 1 294.355 0.284 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1cncc(OC)n1 ZINC001153148429 800601332 /nfs/dbraw/zinc/60/13/32/800601332.db2.gz DOQBNRZKQGFWDT-LLVKDONJSA-N 0 1 294.355 0.282 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1n[nH]c2cccnc21 ZINC001153151485 800602732 /nfs/dbraw/zinc/60/27/32/800602732.db2.gz NZSWCAWCKVTTIT-MRVPVSSYSA-N 0 1 257.253 0.322 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCN[C@@H](C)c1n[nH]c(C)n1 ZINC001153149359 800603016 /nfs/dbraw/zinc/60/30/16/800603016.db2.gz WIJSNCZJPUKQDO-UWVGGRQHSA-N 0 1 281.360 0.471 20 30 CCEDMN CC[N@@H+](CC#CCOC)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153232927 800612484 /nfs/dbraw/zinc/61/24/84/800612484.db2.gz QEAHCXFGURAXJC-CQSZACIVSA-N 0 1 276.380 0.876 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)C#CC1CC1 ZINC001153232927 800612487 /nfs/dbraw/zinc/61/24/87/800612487.db2.gz QEAHCXFGURAXJC-CQSZACIVSA-N 0 1 276.380 0.876 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](CC(C)C)C(N)=O ZINC001153789401 800667002 /nfs/dbraw/zinc/66/70/02/800667002.db2.gz BGOAOXDOVPZCEA-JTQLQIEISA-N 0 1 275.780 0.592 20 30 CCEDMN CC1(C)CCc2c(n[nH]c2C(=O)N[C@H](C#N)C(N)=O)C1 ZINC001154506171 800791143 /nfs/dbraw/zinc/79/11/43/800791143.db2.gz CYHLREZCUGCOPZ-SECBINFHSA-N 0 1 275.312 0.032 20 30 CCEDMN CON=Cc1ccc(C(=O)NC[C@@H](O)c2cnc[nH]2)cc1 ZINC001155083800 800906150 /nfs/dbraw/zinc/90/61/50/800906150.db2.gz OJPKBBBZFAVECD-CYBMUJFWSA-N 0 1 288.307 0.853 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1nnc(C2CC2)[nH]1 ZINC001155707594 801040788 /nfs/dbraw/zinc/04/07/88/801040788.db2.gz NMGIIDIHMZKTQI-UHFFFAOYSA-N 0 1 293.371 0.481 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1cccc2cncn21 ZINC001155800132 801053964 /nfs/dbraw/zinc/05/39/64/801053964.db2.gz RQZIZTUZEHLZON-SNVBAGLBSA-N 0 1 272.264 0.519 20 30 CCEDMN Cc1nnc(CNCCCNC(=O)[C@@H](C)C#N)n1C1CC1 ZINC001155829692 801059981 /nfs/dbraw/zinc/05/99/81/801059981.db2.gz YMLJEIVGMYAJQD-JTQLQIEISA-N 0 1 290.371 0.677 20 30 CCEDMN CCc1nnc([C@H](C)NCCCNC(=O)[C@H](C)C#N)[nH]1 ZINC001155848773 801066667 /nfs/dbraw/zinc/06/66/67/801066667.db2.gz OYCBPNRLGBIDOP-ZJUUUORDSA-N 0 1 278.360 0.684 20 30 CCEDMN CCc1nnc([C@@H](C)NCCCNC(=O)[C@@H](C)C#N)[nH]1 ZINC001155848771 801066999 /nfs/dbraw/zinc/06/69/99/801066999.db2.gz OYCBPNRLGBIDOP-VHSXEESVSA-N 0 1 278.360 0.684 20 30 CCEDMN CC(=O)CC(C)=Nc1cc(N2CCC[C@@H]2C(N)=O)ncn1 ZINC001155892539 801072337 /nfs/dbraw/zinc/07/23/37/801072337.db2.gz PCPKDRRNVBRLTL-DHHDDZJSSA-N 0 1 289.339 0.835 20 30 CCEDMN Cc1nonc1CNCCCNC(=O)c1c[nH]c(C#N)c1 ZINC001156841174 801246599 /nfs/dbraw/zinc/24/65/99/801246599.db2.gz AHFKCFIJBDPIKM-UHFFFAOYSA-N 0 1 288.311 0.488 20 30 CCEDMN CN(C(=O)c1n[nH]c2cc(C#N)ccc21)C1COC1 ZINC001156882647 801253046 /nfs/dbraw/zinc/25/30/46/801253046.db2.gz DGLULNPQOHFALR-UHFFFAOYSA-N 0 1 256.265 0.905 20 30 CCEDMN C=C(C)C(=O)OCCNc1ncnc2c1CCNC2 ZINC001157304751 801350072 /nfs/dbraw/zinc/35/00/72/801350072.db2.gz RJUFJTQGJCSPIZ-UHFFFAOYSA-N 0 1 262.313 0.654 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cn[nH]c1 ZINC001157597671 801430602 /nfs/dbraw/zinc/43/06/02/801430602.db2.gz XDIGXOXOXBEAAM-CYBMUJFWSA-N 0 1 260.341 0.969 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)OC ZINC001157614865 801433724 /nfs/dbraw/zinc/43/37/24/801433724.db2.gz RQYDZDWLBJRBBC-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)c1ccnn1C ZINC001157636282 801441463 /nfs/dbraw/zinc/44/14/63/801441463.db2.gz AYLVHVJWPYRVKY-CYBMUJFWSA-N 0 1 274.368 0.980 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccnn1C ZINC001157636282 801441465 /nfs/dbraw/zinc/44/14/65/801441465.db2.gz AYLVHVJWPYRVKY-CYBMUJFWSA-N 0 1 274.368 0.980 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1Cc2cncnc2C1 ZINC001157708032 801460990 /nfs/dbraw/zinc/46/09/90/801460990.db2.gz GFSHAKCPZDRAPA-AWEZNQCLSA-N 0 1 293.330 0.760 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1ncc(CC)o1 ZINC001157859217 801504622 /nfs/dbraw/zinc/50/46/22/801504622.db2.gz CJMHREFYGNKDDN-UHFFFAOYSA-N 0 1 293.367 0.873 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1ncc(C)o1 ZINC001157868971 801506541 /nfs/dbraw/zinc/50/65/41/801506541.db2.gz BYJWNAQTMMFURJ-LBPRGKRZSA-N 0 1 279.340 0.617 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](COC)OC ZINC001158456867 801654277 /nfs/dbraw/zinc/65/42/77/801654277.db2.gz DRSJHTDZRFTSTM-KGLIPLIRSA-N 0 1 282.384 0.594 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](COC)OC ZINC001158458634 801655656 /nfs/dbraw/zinc/65/56/56/801655656.db2.gz XYHNTYDNWJJUSR-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cnon1 ZINC001158625622 801673627 /nfs/dbraw/zinc/67/36/27/801673627.db2.gz RTMJLXINLZEQIZ-LLVKDONJSA-N 0 1 262.313 0.629 20 30 CCEDMN Cc1nc(C#N)cc(N2CC(N(C)[C@H]3CCOC3)C2)n1 ZINC001158734867 801696549 /nfs/dbraw/zinc/69/65/49/801696549.db2.gz YAXANUWYXOVIMD-LBPRGKRZSA-N 0 1 273.340 0.566 20 30 CCEDMN CN(C1CN(c2ncc3c(C#N)c[nH]c3n2)C1)[C@H]1CCOC1 ZINC001158737816 801697363 /nfs/dbraw/zinc/69/73/63/801697363.db2.gz WIAHQIWRZGXLOM-NSHDSACASA-N 0 1 298.350 0.739 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cn1cncn1 ZINC001158829932 801710874 /nfs/dbraw/zinc/71/08/74/801710874.db2.gz KLBBYGJJXVBWKR-CYBMUJFWSA-N 0 1 275.356 0.224 20 30 CCEDMN COc1nc(NC[C@@H]2COCCN2)c(F)cc1C#N ZINC001159044143 801750610 /nfs/dbraw/zinc/75/06/10/801750610.db2.gz PSEYOXVUXNSVRR-SECBINFHSA-N 0 1 266.276 0.501 20 30 CCEDMN CNC(=O)[C@@H](C)N1CC=C(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001159587061 801835438 /nfs/dbraw/zinc/83/54/38/801835438.db2.gz GVNXVBQLZKNOBO-NWDGAFQWSA-N 0 1 292.383 0.419 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@H]2[C@@H](CCN2C(=O)C(C)C)C1 ZINC001159782454 801867743 /nfs/dbraw/zinc/86/77/43/801867743.db2.gz QXUSAQRYIWZHDC-XEZPLFJOSA-N 0 1 291.395 0.442 20 30 CCEDMN O=C(c1cccnc1NCCCS)N1CCNCC1 ZINC001159869574 801884192 /nfs/dbraw/zinc/88/41/92/801884192.db2.gz KYANMGITJVWUMP-UHFFFAOYSA-N 0 1 280.397 0.859 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@]2(C)CNC(=O)C2)CC1 ZINC001160761879 802016972 /nfs/dbraw/zinc/01/69/72/802016972.db2.gz YZICZPNDPPBLDK-MRXNPFEDSA-N 0 1 289.379 0.284 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cn2ccc(C)n2)CC1 ZINC001161248895 802117126 /nfs/dbraw/zinc/11/71/26/802117126.db2.gz REGKUPFDAQFKOF-UHFFFAOYSA-N 0 1 286.379 0.963 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC(N2CCCOC2=O)CC1 ZINC001161264551 802119186 /nfs/dbraw/zinc/11/91/86/802119186.db2.gz PFEWXDQVFALYDA-AWEZNQCLSA-N 0 1 279.340 0.170 20 30 CCEDMN CN(C)c1cncc(NC2(C#N)CCN(C)CC2)n1 ZINC001162225756 802293468 /nfs/dbraw/zinc/29/34/68/802293468.db2.gz SRLDIGVFXQRJAO-UHFFFAOYSA-N 0 1 260.345 0.942 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cncc(F)c1C#N ZINC001162536841 802360568 /nfs/dbraw/zinc/36/05/68/802360568.db2.gz HGXZCCZVQWYPCB-UHFFFAOYSA-N 0 1 289.270 0.913 20 30 CCEDMN C[C@@H]1[C@H](C)N(c2cnc(C#N)c(C#N)n2)CCN1C ZINC001162942995 802441709 /nfs/dbraw/zinc/44/17/09/802441709.db2.gz IKSLWIOQNMQRPF-ZJUUUORDSA-N 0 1 256.313 0.749 20 30 CCEDMN N#Cc1ccnnc1NCc1nnc2n1CCCNC2 ZINC001163011391 802455379 /nfs/dbraw/zinc/45/53/79/802455379.db2.gz HHFUBASKGJDPDC-UHFFFAOYSA-N 0 1 270.300 0.045 20 30 CCEDMN N#Cc1cnc(NCc2noc3c2CNCC3)nc1 ZINC001163123893 802487679 /nfs/dbraw/zinc/48/76/79/802487679.db2.gz COXQWCMQDMDBNN-UHFFFAOYSA-N 0 1 256.269 0.594 20 30 CCEDMN C=CCS(=O)(=O)Nc1cncc(C(=O)OC)n1 ZINC001259922460 808804156 /nfs/dbraw/zinc/80/41/56/808804156.db2.gz JLZPWWZCEUAYMA-UHFFFAOYSA-N 0 1 257.271 0.191 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCCNCc1nnnn1C ZINC001164323272 802722787 /nfs/dbraw/zinc/72/27/87/802722787.db2.gz DXMPIVGHMZHLPS-NSHDSACASA-N 0 1 280.376 0.408 20 30 CCEDMN N#CNC(=Nc1cncn2cnnc12)c1ccncc1 ZINC001164517289 802754627 /nfs/dbraw/zinc/75/46/27/802754627.db2.gz MLGZZPPIMTYLQC-UHFFFAOYSA-N 0 1 264.252 0.668 20 30 CCEDMN CN(C1CN(c2ncccc2C#N)C1)[C@@H]1CCOC1 ZINC001165203940 802795369 /nfs/dbraw/zinc/79/53/69/802795369.db2.gz NSJDCCWQAMEKOJ-GFCCVEGCSA-N 0 1 258.325 0.863 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1nccc(C#N)c1F ZINC001165439004 802842115 /nfs/dbraw/zinc/84/21/15/802842115.db2.gz NOUJKFBUOLTNED-SECBINFHSA-N 0 1 264.260 0.044 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1ccc(C#N)c(C)n1 ZINC001165439689 802843324 /nfs/dbraw/zinc/84/33/24/802843324.db2.gz ULVNLBMLXHJVNO-LLVKDONJSA-N 0 1 260.297 0.213 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001165921357 802874891 /nfs/dbraw/zinc/87/48/91/802874891.db2.gz JATCTQUMYGHUQK-CQSZACIVSA-N 0 1 295.387 0.307 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](CC)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001267808813 811804783 /nfs/dbraw/zinc/80/47/83/811804783.db2.gz YLNKITUQTPSJSC-LLVKDONJSA-N 0 1 295.387 0.449 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H]1CCCCN(C)C1=O ZINC001268254770 812421205 /nfs/dbraw/zinc/42/12/05/812421205.db2.gz CKFUZAYRVRCJON-CQSZACIVSA-N 0 1 293.411 0.658 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC1CN(Cc2n[nH]c(C)n2)C1 ZINC001268258028 812426527 /nfs/dbraw/zinc/42/65/27/812426527.db2.gz VBIQPYFNUXASJQ-SNVBAGLBSA-N 0 1 293.371 0.395 20 30 CCEDMN Cc1ncc(C#N)c(N2CC[C@H](N)C(F)(F)C2)n1 ZINC001166959957 802995762 /nfs/dbraw/zinc/99/57/62/802995762.db2.gz DXSVEOGGUYOVDK-VIFPVBQESA-N 0 1 253.256 0.829 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCC)C1 ZINC001206177968 803213186 /nfs/dbraw/zinc/21/31/86/803213186.db2.gz FBKBOKJTSLFRLN-JHJVBQTASA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COC(C)(C)C)C1 ZINC001206886983 803298579 /nfs/dbraw/zinc/29/85/79/803298579.db2.gz PQYWKKLWSOJRNF-VXGBXAGGSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN([C@@H]2CCN(C)C2=O)C[C@H]1C ZINC001206911464 803304812 /nfs/dbraw/zinc/30/48/12/803304812.db2.gz QPLCSNMRBBCPCL-MGPQQGTHSA-N 0 1 291.395 0.457 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)CC2(O)CCC2)C1 ZINC001206944929 803314572 /nfs/dbraw/zinc/31/45/72/803314572.db2.gz FTMMLYQDENRCAN-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCCN1C[C@@H](C)[C@H](NC(=O)CCS(C)(=O)=O)C1 ZINC001207730766 803442834 /nfs/dbraw/zinc/44/28/34/803442834.db2.gz MDSLYFZPKCIQKM-VXGBXAGGSA-N 0 1 288.413 0.434 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H](C)OC)C(C)(C)C1 ZINC001276561079 803496047 /nfs/dbraw/zinc/49/60/47/803496047.db2.gz JGALXNFRVOGDRG-RYUDHWBXSA-N 0 1 252.358 0.871 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2C)C1 ZINC001208306718 803503902 /nfs/dbraw/zinc/50/39/02/803503902.db2.gz ITKIPWJILYIGKT-AAVRWANBSA-N 0 1 264.369 0.729 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2(CF)CCOCC2)C1 ZINC001208620558 803530573 /nfs/dbraw/zinc/53/05/73/803530573.db2.gz RPVNSVXTKWMSPY-CHWSQXEVSA-N 0 1 282.359 0.822 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2(CF)CCOCC2)C1 ZINC001208620558 803530574 /nfs/dbraw/zinc/53/05/74/803530574.db2.gz RPVNSVXTKWMSPY-CHWSQXEVSA-N 0 1 282.359 0.822 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC(=C)C)NC(C)=O)C1 ZINC001209178853 803576681 /nfs/dbraw/zinc/57/66/81/803576681.db2.gz VHULVHTZBGJDNR-VHDGCEQUSA-N 0 1 291.395 0.527 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2[nH]ccc2C)[C@@H](O)C1 ZINC001083438109 814947419 /nfs/dbraw/zinc/94/74/19/814947419.db2.gz ULDINYIREOHWHG-NEPJUHHUSA-N 0 1 261.325 0.121 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(=O)NCC(F)(F)F)C1 ZINC001209830221 803632069 /nfs/dbraw/zinc/63/20/69/803632069.db2.gz UWKXUTUANNSRLT-RKDXNWHRSA-N 0 1 293.289 0.287 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)CCOC)C1 ZINC001211162777 803671535 /nfs/dbraw/zinc/67/15/35/803671535.db2.gz CJLKRYWZTUSPJX-RRFJBIMHSA-N 0 1 296.411 0.745 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)OCC=C)[C@H](OC)C1 ZINC001213961495 803707228 /nfs/dbraw/zinc/70/72/28/803707228.db2.gz FYVBIXIKXPVANR-MGPQQGTHSA-N 0 1 280.368 0.416 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CNC(=O)C2CC2)C[C@H]1C ZINC001214201182 803726137 /nfs/dbraw/zinc/72/61/37/803726137.db2.gz PFFRVZMHMAHENU-BXKDBHETSA-N 0 1 299.802 0.702 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001211994774 814969558 /nfs/dbraw/zinc/96/95/58/814969558.db2.gz KNXRTHAYIFEOPS-YNEHKIRRSA-N 0 1 270.373 0.803 20 30 CCEDMN Cc1noc(NC(=O)C[C@@H]2COCCN2)c1C#N ZINC001218504059 803971568 /nfs/dbraw/zinc/97/15/68/803971568.db2.gz SIZPETXJGALOSQ-MRVPVSSYSA-N 0 1 250.258 0.172 20 30 CCEDMN N#Cc1cc2cccnc2nc1NC(=O)C[C@@H]1COCCN1 ZINC001218544288 803998042 /nfs/dbraw/zinc/99/80/42/803998042.db2.gz MOWHUXIIDYCVGP-GFCCVEGCSA-N 0 1 297.318 0.818 20 30 CCEDMN N#Cc1ccc(NC(=O)C2(N)CC[NH2+]CC2)c([O-])c1 ZINC001218989028 804176941 /nfs/dbraw/zinc/17/69/41/804176941.db2.gz PZTRRTXCKPUGQK-UHFFFAOYSA-N 0 1 260.297 0.283 20 30 CCEDMN N#Cc1ccc(NC(=O)C[C@H]2COCC[NH2+]2)c([O-])c1 ZINC001218990674 804177210 /nfs/dbraw/zinc/17/72/10/804177210.db2.gz DLOMLGKBMWCFSL-JTQLQIEISA-N 0 1 261.281 0.581 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CCCC(N)=O)[C@H]2C1 ZINC001219070879 804190206 /nfs/dbraw/zinc/19/02/06/804190206.db2.gz SUVHKCPTNAYMNA-QWHCGFSZSA-N 0 1 295.383 0.130 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCCC)[C@@H](O)C1 ZINC001219194502 804230962 /nfs/dbraw/zinc/23/09/62/804230962.db2.gz RNFVHDRGQVLAIA-OLZOCXBDSA-N 0 1 252.358 0.751 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2=COCCC2)[C@@H](O)C1 ZINC001219327965 804273134 /nfs/dbraw/zinc/27/31/34/804273134.db2.gz BTAKYYYMJCRBHG-KGLIPLIRSA-N 0 1 296.367 0.045 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001219346245 804280957 /nfs/dbraw/zinc/28/09/57/804280957.db2.gz HGMMNPNHEBOZDU-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@H]3CCN(C)C3=O)[C@@H]2C1 ZINC001075920642 815005410 /nfs/dbraw/zinc/00/54/10/815005410.db2.gz OMEOSWXEKKIOGC-HZSPNIEDSA-N 0 1 289.379 0.021 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001219426538 804304603 /nfs/dbraw/zinc/30/46/03/804304603.db2.gz HWEPUPINCXCCSW-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN COCCCCN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219598106 804366270 /nfs/dbraw/zinc/36/62/70/804366270.db2.gz IMSKSESHYSVJPK-KGLIPLIRSA-N 0 1 296.411 0.624 20 30 CCEDMN C=C(C)CNC(=O)[C@H](Cc1ccccc1)NC(=O)CN ZINC001219879532 804437776 /nfs/dbraw/zinc/43/77/76/804437776.db2.gz HUFUCDOGIVGXCN-ZDUSSCGKSA-N 0 1 275.352 0.365 20 30 CCEDMN CC[C@H](C)[C@@H](CO)NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001219882775 804439624 /nfs/dbraw/zinc/43/96/24/804439624.db2.gz MMSGNXUFMUTJIQ-TUKIKUTGSA-N 0 1 289.379 0.951 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC1CC(C)(C)N(O)C(C)(C)C1 ZINC001220049797 804485830 /nfs/dbraw/zinc/48/58/30/804485830.db2.gz RMNKHOXVZULDOX-AWEZNQCLSA-N 0 1 267.373 0.864 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001220141533 804510982 /nfs/dbraw/zinc/51/09/82/804510982.db2.gz WPXNINRNEZEZNE-MNOVXSKESA-N 0 1 258.337 0.720 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@@H]1O ZINC001220142898 804510998 /nfs/dbraw/zinc/51/09/98/804510998.db2.gz MGJJFLKCORQDGR-UPJWGTAASA-N 0 1 284.400 0.521 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@@H]1O ZINC001220146660 804515010 /nfs/dbraw/zinc/51/50/10/804515010.db2.gz MAVCAXYRZBLLLY-KFWWJZLASA-N 0 1 296.411 0.766 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](CCC)OCC)[C@@H](O)C1 ZINC001220190209 804532092 /nfs/dbraw/zinc/53/20/92/804532092.db2.gz FWLNBVYGZPJTBW-RDBSUJKOSA-N 0 1 282.384 0.376 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@@H]1O ZINC001220245816 804548973 /nfs/dbraw/zinc/54/89/73/804548973.db2.gz IWVSGJCZEXURJR-XQQFMLRXSA-N 0 1 270.373 0.539 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCCNC(=O)c1ccc(F)cc1 ZINC001220286436 804561697 /nfs/dbraw/zinc/56/16/97/804561697.db2.gz ITPKUZWEOCINBX-AWEZNQCLSA-N 0 1 277.299 0.022 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@@H]1CC[C@@H](C(F)(F)F)CN1 ZINC001220392798 804592964 /nfs/dbraw/zinc/59/29/64/804592964.db2.gz MFABLHRANUTCMH-VGMNWLOBSA-N 0 1 266.263 0.580 20 30 CCEDMN Cn1cncc1C[C@H](N)C(=O)NC1=CC(=O)CC(C)(C)C1 ZINC001220413822 804598735 /nfs/dbraw/zinc/59/87/35/804598735.db2.gz QQMHRHUOPKXMCS-ZDUSSCGKSA-N 0 1 290.367 0.679 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@H](C)CC)[C@@H](O)C1 ZINC001220596511 804634622 /nfs/dbraw/zinc/63/46/22/804634622.db2.gz YKJSMKDUHFCEBV-MCIONIFRSA-N 0 1 266.385 0.997 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H](C)COC)[C@@H](O)C1 ZINC001220656651 804643868 /nfs/dbraw/zinc/64/38/68/804643868.db2.gz KQXYANDYKIOBJG-XQQFMLRXSA-N 0 1 270.373 0.396 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCCc1cc(F)cc(F)c1 ZINC001220734346 804657869 /nfs/dbraw/zinc/65/78/69/804657869.db2.gz NQQKUQRLMOJQJY-ZDUSSCGKSA-N 0 1 252.264 0.974 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)C[NH+](C)CCC)[C@@H](O)C1 ZINC001220817406 804672608 /nfs/dbraw/zinc/67/26/08/804672608.db2.gz VMBVTRUEMVIKLC-OLZOCXBDSA-N 0 1 269.389 0.066 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2cc(C3CC3)nn2)[C@H]1C ZINC001088620485 815030573 /nfs/dbraw/zinc/03/05/73/815030573.db2.gz NDYTVAFNZYLQLM-YPMHNXCESA-N 0 1 287.367 0.368 20 30 CCEDMN N#CC1(NC(=O)[C@H](N)Cc2cccc(O)c2)CCOCC1 ZINC001220966873 804710732 /nfs/dbraw/zinc/71/07/32/804710732.db2.gz JKKOZFKAMPUIDT-CYBMUJFWSA-N 0 1 289.335 0.451 20 30 CCEDMN C#CC1(NC(=O)[C@@H]2CCCN2C(=O)CN)CCCCC1 ZINC001221276137 804761529 /nfs/dbraw/zinc/76/15/29/804761529.db2.gz RBBXGKHKAWZPAJ-LBPRGKRZSA-N 0 1 277.368 0.388 20 30 CCEDMN COCCOCCN1CC[C@@H]2CN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001221446938 804819307 /nfs/dbraw/zinc/81/93/07/804819307.db2.gz ZSPSNJPTVHKXLG-BFHYXJOUSA-N 0 1 295.383 0.342 20 30 CCEDMN COCCN1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221530458 804828188 /nfs/dbraw/zinc/82/81/88/804828188.db2.gz MFQGGDIRAOXKGX-ZIAGYGMSSA-N 0 1 264.369 0.825 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H](C)CC(N)=O)[C@@H]2C1 ZINC001221736866 804838975 /nfs/dbraw/zinc/83/89/75/804838975.db2.gz HFEMNMWUIRTIMH-JHJVBQTASA-N 0 1 277.368 0.054 20 30 CCEDMN Cc1ncsc1CNC(=O)NCC#CCN(C)C ZINC001221846280 804848947 /nfs/dbraw/zinc/84/89/47/804848947.db2.gz WFPBWEIJBSEVSJ-UHFFFAOYSA-N 0 1 266.370 0.816 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CNC(=O)C(C)(C)C)[C@@H]2C1 ZINC001222009731 804858711 /nfs/dbraw/zinc/85/87/11/804858711.db2.gz FZUOPFRULHRJEO-CHWSQXEVSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)CC1(O)CCC1 ZINC001276843422 804937408 /nfs/dbraw/zinc/93/74/08/804937408.db2.gz XOEJOJRPMPJODP-CYBMUJFWSA-N 0 1 282.384 0.685 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](COC)OC)C[C@@H]21 ZINC001223468073 804974959 /nfs/dbraw/zinc/97/49/59/804974959.db2.gz KOUFJGHBYAMRRJ-RDBSUJKOSA-N 0 1 280.368 0.204 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CNC(=O)CCC(C)C ZINC001276939017 805003370 /nfs/dbraw/zinc/00/33/70/805003370.db2.gz CFQQBZRNFPENHH-CQSZACIVSA-N 0 1 293.411 0.753 20 30 CCEDMN CC#CCCCC(=O)NCC1CCN(CC(=O)NC)CC1 ZINC001224156907 805013218 /nfs/dbraw/zinc/01/32/18/805013218.db2.gz BAXWLYHJFSILKF-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN CC#CCCCC(=O)NCC1CCN([C@@H](C)C(N)=O)CC1 ZINC001224147341 805013837 /nfs/dbraw/zinc/01/38/37/805013837.db2.gz BVJUPFNBMMJINT-ZDUSSCGKSA-N 0 1 293.411 0.882 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1[nH]c(=O)ncc1F ZINC001225886055 805172202 /nfs/dbraw/zinc/17/22/02/805172202.db2.gz SFZDBRNHQQZCRG-SSDOTTSWSA-N 0 1 254.217 0.655 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1cc(C(=O)OC)nn1C ZINC001226425306 805228905 /nfs/dbraw/zinc/22/89/05/805228905.db2.gz NFCPSZRABOTZDZ-ZCFIWIBFSA-N 0 1 266.253 0.805 20 30 CCEDMN CCN1CC[C@H](N2CCC(NC(=O)[C@@H](C)C#N)CC2)C1=O ZINC001226639513 805260088 /nfs/dbraw/zinc/26/00/88/805260088.db2.gz FBLIHEKBUFFXFF-AAEUAGOBSA-N 0 1 292.383 0.347 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCC(=O)NC(N)=O)CC1 ZINC001277164209 805292433 /nfs/dbraw/zinc/29/24/33/805292433.db2.gz AHSGBRDDLSXTKH-ZDUSSCGKSA-N 0 1 296.371 0.022 20 30 CCEDMN C=CCNC(=O)N1C[C@H]2CC[C@@H](C1)N2CCn1ccnc1 ZINC001277171964 805304000 /nfs/dbraw/zinc/30/40/00/805304000.db2.gz DNSFMFDMHGZQBW-OKILXGFUSA-N 0 1 289.383 0.927 20 30 CCEDMN C[N@H+]1[C@@H]2C[C@@H](Oc3[n-]c(=O)nc4nc[nH]c43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227068618 805317632 /nfs/dbraw/zinc/31/76/32/805317632.db2.gz BCHGIYRPYYTSIN-WQDSJKFHSA-N 0 1 289.295 0.050 20 30 CCEDMN C[N@@H+]1[C@@H]2C[C@@H](Oc3[n-]c(=O)nc4nc[nH]c43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227068618 805317640 /nfs/dbraw/zinc/31/76/40/805317640.db2.gz BCHGIYRPYYTSIN-WQDSJKFHSA-N 0 1 289.295 0.050 20 30 CCEDMN N#CCCN1CC(Oc2[nH]c(=O)nc3[nH]ccc32)C1 ZINC001227272205 805337719 /nfs/dbraw/zinc/33/77/19/805337719.db2.gz CERUOCPMBZALLV-UHFFFAOYSA-N 0 1 259.269 0.640 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCc2nc(C)no2)CC1 ZINC001228540959 805454149 /nfs/dbraw/zinc/45/41/49/805454149.db2.gz JGOUWEVFAPMQNF-UHFFFAOYSA-N 0 1 290.367 0.915 20 30 CCEDMN CC#CCN1CCC(NC(=O)COC[C@H]2CCOC2)CC1 ZINC001229419335 805530382 /nfs/dbraw/zinc/53/03/82/805530382.db2.gz OLBAXZYSAULIHE-AWEZNQCLSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)[C@@H](CC)[NH+](C)C)C1 ZINC001278438909 807198994 /nfs/dbraw/zinc/19/89/94/807198994.db2.gz YKCZDOMQAICROC-TZMCWYRMSA-N 0 1 251.374 0.540 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H]2[C@@H](CCN2CC(N)=O)C1 ZINC001272208043 815099645 /nfs/dbraw/zinc/09/96/45/815099645.db2.gz TXSHVHYCUMIXAO-QWHCGFSZSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)COCCOCC)C1 ZINC001278449652 807259318 /nfs/dbraw/zinc/25/93/18/807259318.db2.gz GMJVTYFTYXWBTB-AWEZNQCLSA-N 0 1 268.357 0.253 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N[C@]12CCC[C@H]1N(CC#N)CC2 ZINC001278466552 807385048 /nfs/dbraw/zinc/38/50/48/807385048.db2.gz JNWGSJXDMADULP-HIFRSBDPSA-N 0 1 278.400 0.965 20 30 CCEDMN N#Cc1c(N)nn(C2=CC[C@H](N3CCOCC3)CC2)c1N ZINC001249557199 807560542 /nfs/dbraw/zinc/56/05/42/807560542.db2.gz JORLEQXTDXRGKD-JTQLQIEISA-N 0 1 288.355 0.645 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cnccc1Cl ZINC001251820871 807709211 /nfs/dbraw/zinc/70/92/11/807709211.db2.gz AEWTXYILEXNXNQ-LLVKDONJSA-N 0 1 254.717 0.835 20 30 CCEDMN C#CCOC[C@H](O)CN(C)C1CN(C(=O)OC(C)(C)C)C1 ZINC001251851695 807720336 /nfs/dbraw/zinc/72/03/36/807720336.db2.gz QERQQOHVQGSZRA-CYBMUJFWSA-N 0 1 298.383 0.548 20 30 CCEDMN COc1ccc(CNCCNC(=O)C#CC(C)C)nn1 ZINC001126828443 815142167 /nfs/dbraw/zinc/14/21/67/815142167.db2.gz UPFQECFAHZHHKB-UHFFFAOYSA-N 0 1 276.340 0.350 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cccc(Cl)n1 ZINC001251887403 807734593 /nfs/dbraw/zinc/73/45/93/807734593.db2.gz BZSWRYOHKIRIRW-LLVKDONJSA-N 0 1 254.717 0.835 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@@H](CC(=O)OC)c1cccnc1 ZINC001251893404 807737805 /nfs/dbraw/zinc/73/78/05/807737805.db2.gz CYKFXNAREFFSRH-KBPBESRZSA-N 0 1 292.335 0.286 20 30 CCEDMN COC(=O)[C@@H](O)CNCc1ccc(Cl)c(C#N)c1 ZINC001252447935 807866165 /nfs/dbraw/zinc/86/61/65/807866165.db2.gz DHXVMMSFCKQTIN-NSHDSACASA-N 0 1 268.700 0.835 20 30 CCEDMN C=CCOC[C@H](O)CN1Cc2ccnn2C[C@@H](COC)C1 ZINC001252467276 807877663 /nfs/dbraw/zinc/87/76/63/807877663.db2.gz XFHLYHIOVTXJDM-DZGCQCFKSA-N 0 1 295.383 0.525 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cc(C(=O)OC)ccn1 ZINC001252483961 807888336 /nfs/dbraw/zinc/88/83/36/807888336.db2.gz XZYCUGHHGVWDOS-ZDUSSCGKSA-N 0 1 280.324 0.521 20 30 CCEDMN C=CCOC[C@H](O)CN[C@H](CC(=O)OC)c1cccnc1 ZINC001252490560 807892529 /nfs/dbraw/zinc/89/25/29/807892529.db2.gz AUXPPVVFLGOTJS-ZIAGYGMSSA-N 0 1 294.351 0.839 20 30 CCEDMN C=CCOC[C@@H](O)CNCC(=O)Cc1ccccc1 ZINC001252492756 807893411 /nfs/dbraw/zinc/89/34/11/807893411.db2.gz JQYZYLXBILJWSD-HNNXBMFYSA-N 0 1 263.337 0.951 20 30 CCEDMN C=CCOC[C@H](O)CN[C@@H](C(N)=O)c1ccccc1 ZINC001252496816 807894661 /nfs/dbraw/zinc/89/46/61/807894661.db2.gz FJUNWEXTQBSZHC-CHWSQXEVSA-N 0 1 264.325 0.366 20 30 CCEDMN C=CCN1CCN(C[C@@H](O)COCCCC)CC1 ZINC001252512610 807899398 /nfs/dbraw/zinc/89/93/98/807899398.db2.gz ZDJKEHISASSVPM-CQSZACIVSA-N 0 1 256.390 0.968 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(c2ncc(OC)cn2)CC1 ZINC001252558381 807910315 /nfs/dbraw/zinc/91/03/15/807910315.db2.gz VEKICGGUTNQDQO-AWEZNQCLSA-N 0 1 278.356 0.544 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)N2C)[C@H]1C ZINC001088790181 815158812 /nfs/dbraw/zinc/15/88/12/815158812.db2.gz BYBSAPVWVNWUHO-AGIUHOORSA-N 0 1 277.368 0.210 20 30 CCEDMN C=CCOCc1ncn2c1CN(C[C@H](O)COC)CCC2 ZINC001252814749 807965086 /nfs/dbraw/zinc/96/50/86/807965086.db2.gz DHJXPKYTEKDSEY-ZDUSSCGKSA-N 0 1 295.383 0.799 20 30 CCEDMN C=C[C@@H](O)CN1Cc2ccnn2C[C@@H](COCC)C1 ZINC001253576378 808083972 /nfs/dbraw/zinc/08/39/72/808083972.db2.gz CUWFTDWBKRBASC-GXTWGEPZSA-N 0 1 265.357 0.898 20 30 CCEDMN C=C[C@H](O)CN1C[C@@H](NC(=O)C2CCC2)[C@H]2COC[C@H]21 ZINC001253577226 808084903 /nfs/dbraw/zinc/08/49/03/808084903.db2.gz LFAJJGORDWQADR-REWJHTLYSA-N 0 1 280.368 0.149 20 30 CCEDMN C=C[C@@H](O)CNCc1cc(C(=O)OCC)ccn1 ZINC001253600773 808095087 /nfs/dbraw/zinc/09/50/87/808095087.db2.gz FNRRXFFYMPBBHV-GFCCVEGCSA-N 0 1 250.298 0.895 20 30 CCEDMN C#Cc1cnc(NS(=O)(=O)CCCC)c(C#C)n1 ZINC001253787268 808139234 /nfs/dbraw/zinc/13/92/34/808139234.db2.gz ZKAKOLSAOJHNBD-UHFFFAOYSA-N 0 1 263.322 0.981 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@H](C)OCC(C)C)C1 ZINC001278760244 808170207 /nfs/dbraw/zinc/17/02/07/808170207.db2.gz JYSRCHZBTOCIGB-HOCLYGCPSA-N 0 1 296.411 0.624 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)OCC2CC2)C1 ZINC001278767672 808195979 /nfs/dbraw/zinc/19/59/79/808195979.db2.gz UZXDGZPCLRLHNI-CZUORRHYSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cccn3ccnc23)C1 ZINC001278809598 808277552 /nfs/dbraw/zinc/27/75/52/808277552.db2.gz FIJPZUFVUZFIPO-MRXNPFEDSA-N 0 1 298.346 0.134 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CCC2(C)CC2)C1 ZINC001278815701 808280664 /nfs/dbraw/zinc/28/06/64/808280664.db2.gz HLYBLYLKRCSDGM-HNNXBMFYSA-N 0 1 264.369 0.753 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)COCC)C1 ZINC001278832985 808306706 /nfs/dbraw/zinc/30/67/06/808306706.db2.gz HEEKYLVHHVAXRF-LBPRGKRZSA-N 0 1 270.373 0.541 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccc(C)n1C ZINC001278845340 808323134 /nfs/dbraw/zinc/32/31/34/808323134.db2.gz XBVDFTSMLYUCSR-ZDUSSCGKSA-N 0 1 277.368 0.331 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ncccc2F)[C@H]1C ZINC001088819072 815204216 /nfs/dbraw/zinc/20/42/16/815204216.db2.gz SNOXLWNFAKRERT-YPMHNXCESA-N 0 1 275.327 0.975 20 30 CCEDMN Cc1nc([C@H](C)NS(=O)(=O)CCCC#N)n[nH]1 ZINC001257431448 808615428 /nfs/dbraw/zinc/61/54/28/808615428.db2.gz DVNDBWRNRLXOCR-ZETCQYMHSA-N 0 1 257.319 0.397 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2cccnc2)cc[nH]1 ZINC001259601829 808761200 /nfs/dbraw/zinc/76/12/00/808761200.db2.gz IGQNWIQLUNVROB-UHFFFAOYSA-N 0 1 259.290 0.681 20 30 CCEDMN C#Cc1cc(NS(=O)(=O)c2cccnc2)ccn1 ZINC001259601829 808761204 /nfs/dbraw/zinc/76/12/04/808761204.db2.gz IGQNWIQLUNVROB-UHFFFAOYSA-N 0 1 259.290 0.681 20 30 CCEDMN C=CCC[C@@H](O)C[N@H+]1CCC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001261521168 809006592 /nfs/dbraw/zinc/00/65/92/809006592.db2.gz PRWNTODZSYIYNG-NWDGAFQWSA-N 0 1 294.355 0.940 20 30 CCEDMN N#CCCCCC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001261547645 809014533 /nfs/dbraw/zinc/01/45/33/809014533.db2.gz RCGQOZBXDFJNLO-SECBINFHSA-N 0 1 264.289 0.184 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N2CCN[C@@H](C(C)C)C2)C1 ZINC001261838542 809104341 /nfs/dbraw/zinc/10/43/41/809104341.db2.gz IVVRXVUEMPCZTK-ZIAGYGMSSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCOC[C@@H](NC(=O)N[C@@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC001262176556 809221461 /nfs/dbraw/zinc/22/14/61/809221461.db2.gz RGXNZFUIIZGSFC-ZYHUDNBSSA-N 0 1 297.355 0.178 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001262180935 809226338 /nfs/dbraw/zinc/22/63/38/809226338.db2.gz VLKPRYBRHOOHAH-MNOVXSKESA-N 0 1 252.314 0.263 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCN(CC)[C@H](C)C1 ZINC001262211614 809245424 /nfs/dbraw/zinc/24/54/24/809245424.db2.gz KYOPDCJTDZCRPD-LLVKDONJSA-N 0 1 250.350 0.780 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN2CCC1CC2 ZINC001262639040 809382390 /nfs/dbraw/zinc/38/23/90/809382390.db2.gz QMXRXGCLBXIRCV-UPJWGTAASA-N 0 1 250.342 0.788 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C)CCOC ZINC001262962004 809424516 /nfs/dbraw/zinc/42/45/16/809424516.db2.gz AZQDUWUBDLDGIP-UHFFFAOYSA-N 0 1 263.305 0.332 20 30 CCEDMN C#CCNCC(=O)NCCOc1cccc(F)c1 ZINC001263065920 809430680 /nfs/dbraw/zinc/43/06/80/809430680.db2.gz VAJNDOIQNMUPKX-UHFFFAOYSA-N 0 1 250.273 0.544 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)N1CCNC[C@@H]1CO ZINC001263180462 809472381 /nfs/dbraw/zinc/47/23/81/809472381.db2.gz MJECMBBYWXQZPZ-GFCCVEGCSA-N 0 1 259.309 0.273 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC1CC1)NC(=O)Cc1cnc[nH]1 ZINC001076431437 815296716 /nfs/dbraw/zinc/29/67/16/815296716.db2.gz FYPDHOXIWJOIHD-NSHDSACASA-N 0 1 288.351 0.377 20 30 CCEDMN C[C@@H]1CCC[C@](C#N)(NC(=O)[C@@H]2CN3CCN2C[C@H]3C)C1 ZINC001263732770 809559827 /nfs/dbraw/zinc/55/98/27/809559827.db2.gz PUUSQYQTIVGIHU-NYTXWWLZSA-N 0 1 290.411 0.963 20 30 CCEDMN C#CCOCCN(C)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001264015727 809622466 /nfs/dbraw/zinc/62/24/66/809622466.db2.gz CTILCXAUCNLSGC-UHFFFAOYSA-N 0 1 294.273 0.552 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H]2CC2(F)F)C1 ZINC001264074772 809628119 /nfs/dbraw/zinc/62/81/19/809628119.db2.gz IUQJSLMKPBPNGQ-RYUDHWBXSA-N 0 1 286.322 0.560 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2c[nH]c(C)n2)C1 ZINC001264074934 809628598 /nfs/dbraw/zinc/62/85/98/809628598.db2.gz LXAVDPVHFQCEIE-CYBMUJFWSA-N 0 1 290.367 0.250 20 30 CCEDMN N#C[C@H](NS(=O)(=O)c1ncc[nH]1)c1ccccc1 ZINC000386227954 809638723 /nfs/dbraw/zinc/63/87/23/809638723.db2.gz PILQPCJSZVUKRJ-JTQLQIEISA-N 0 1 262.294 0.953 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2ccccn2)C1 ZINC001264628130 809667175 /nfs/dbraw/zinc/66/71/75/809667175.db2.gz HNFFOCWBUJVRAA-CQSZACIVSA-N 0 1 287.363 0.614 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C(C)(C)C ZINC001265061583 809702119 /nfs/dbraw/zinc/70/21/19/809702119.db2.gz WQUQCMIETCYISN-UHFFFAOYSA-N 0 1 267.373 0.220 20 30 CCEDMN C#CCNC(=O)CN(CC)CCCNC(=O)C(C)(C)F ZINC001265097084 809708304 /nfs/dbraw/zinc/70/83/04/809708304.db2.gz ZTHOQAFSSYHUOP-UHFFFAOYSA-N 0 1 285.363 0.312 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H](OC)[C@@H]2CCOC2)CC1 ZINC001265194776 809721811 /nfs/dbraw/zinc/72/18/11/809721811.db2.gz MYGPJSOHKVMWCN-HIFRSBDPSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)CC2(C)CC2)CC1 ZINC001265261801 809734895 /nfs/dbraw/zinc/73/48/95/809734895.db2.gz VSRBLMRXMKFKDH-UHFFFAOYSA-N 0 1 277.412 0.934 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C2(C)CC2)CC1 ZINC001265259767 809735195 /nfs/dbraw/zinc/73/51/95/809735195.db2.gz KUYMHNPSFYUWKG-UHFFFAOYSA-N 0 1 251.374 0.706 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C2(C(=O)NC)CCC2)C1 ZINC001265312385 809755834 /nfs/dbraw/zinc/75/58/34/809755834.db2.gz MMJVSWJEUGZFEQ-LBPRGKRZSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1C[C@@H](NCc2cnns2)C1 ZINC001265538770 809800719 /nfs/dbraw/zinc/80/07/19/809800719.db2.gz LNUGCXPCTMVHMA-PHIMTYICSA-N 0 1 294.380 0.315 20 30 CCEDMN CC[C@H](OC)C(=O)NC[C@H]1CCCN(CC#CCOC)C1 ZINC001265577187 809808173 /nfs/dbraw/zinc/80/81/73/809808173.db2.gz CWZKPMMAZZKRAV-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2CN(C/C=C/Cl)C[C@H]21 ZINC001265712890 809830644 /nfs/dbraw/zinc/83/06/44/809830644.db2.gz UBOWEWMIWYWYRS-RPCSECLESA-N 0 1 253.733 0.945 20 30 CCEDMN C[C@@H](CNC(=O)CSCC#N)NCc1ccn(C)n1 ZINC001265754741 809839972 /nfs/dbraw/zinc/83/99/72/809839972.db2.gz KXAHFVYXVOYECH-JTQLQIEISA-N 0 1 281.385 0.271 20 30 CCEDMN CCc1nocc1CN[C@@H](C)CNC(=O)[C@@H](C)C#N ZINC001265758716 809842376 /nfs/dbraw/zinc/84/23/76/809842376.db2.gz ITXIRAPUCPXDNY-UWVGGRQHSA-N 0 1 264.329 0.991 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ncn(C)n1 ZINC001265771302 809847534 /nfs/dbraw/zinc/84/75/34/809847534.db2.gz SZBGDFRDFNIYFC-MRVPVSSYSA-N 0 1 257.725 0.276 20 30 CCEDMN C[C@H](CNC(=O)[C@H]1CCNC1=O)NCC#Cc1ccccc1 ZINC001265808468 809858199 /nfs/dbraw/zinc/85/81/99/809858199.db2.gz FCEYERVYJOKFER-HIFRSBDPSA-N 0 1 299.374 0.269 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](NCc2cnnn2C)C1 ZINC001265901308 809888946 /nfs/dbraw/zinc/88/89/46/809888946.db2.gz UWEJWKXHWXITRK-GFCCVEGCSA-N 0 1 275.356 0.309 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CC34CCOCC4)[C@@H]2C1 ZINC001076646552 815326072 /nfs/dbraw/zinc/32/60/72/815326072.db2.gz LQMNVEUKWYEZQX-RRFJBIMHSA-N 0 1 288.391 0.969 20 30 CCEDMN N#CC1(C(=O)N2CC[C@@H](N3CCC3)C2)CCOCC1 ZINC000702296281 809898517 /nfs/dbraw/zinc/89/85/17/809898517.db2.gz JRHJSOUGGLGHGD-GFCCVEGCSA-N 0 1 263.341 0.613 20 30 CCEDMN C#CC[NH2+]C[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001265970633 809908834 /nfs/dbraw/zinc/90/88/34/809908834.db2.gz RDZBQKXRUADDNP-LLVKDONJSA-N 0 1 259.309 0.472 20 30 CCEDMN CCCCC(=O)NCCN1CCC(NCC#N)CC1 ZINC001279423703 809978285 /nfs/dbraw/zinc/97/82/85/809978285.db2.gz APDUCKHDCAWYES-UHFFFAOYSA-N 0 1 266.389 0.870 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)[C@H]2CCOC2)C1 ZINC001279469992 809980663 /nfs/dbraw/zinc/98/06/63/809980663.db2.gz BIZXXAJFKYCNPB-GXTWGEPZSA-N 0 1 268.357 0.152 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cocn2)C1 ZINC001076808246 815380495 /nfs/dbraw/zinc/38/04/95/815380495.db2.gz CNDHDABUHSWXHD-MWLCHTKSSA-N 0 1 251.286 0.026 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnccc2C)C1 ZINC001076833254 815387508 /nfs/dbraw/zinc/38/75/08/815387508.db2.gz VEIQBCWAMFEWQD-ZIAGYGMSSA-N 0 1 273.336 0.188 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cnccc2C)C1 ZINC001076833254 815387515 /nfs/dbraw/zinc/38/75/15/815387515.db2.gz VEIQBCWAMFEWQD-ZIAGYGMSSA-N 0 1 273.336 0.188 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C=C2CCC2)C1 ZINC001076866574 815400849 /nfs/dbraw/zinc/40/08/49/815400849.db2.gz SHKWBYSQKYLWPO-CHWSQXEVSA-N 0 1 250.342 0.834 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CN(CCCF)C[C@H]2O)[nH]1 ZINC001076898318 815408257 /nfs/dbraw/zinc/40/82/57/815408257.db2.gz CVHARMPGACCUIG-VXGBXAGGSA-N 0 1 280.303 0.021 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)C[C@H](C)COC ZINC001267279510 811087598 /nfs/dbraw/zinc/08/75/98/811087598.db2.gz GLHVDNCOCVOGNE-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)C2CCC2)C1 ZINC001267283090 811091762 /nfs/dbraw/zinc/09/17/62/811091762.db2.gz IFLBMVKCBKZUPW-GFCCVEGCSA-N 0 1 279.384 0.527 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN(CCC)[C@@H]1CCNC1=O ZINC001267312591 811137959 /nfs/dbraw/zinc/13/79/59/811137959.db2.gz HCSOIEMEJRSBTD-DOMZBBRYSA-N 0 1 297.399 0.030 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ccc(C#N)cc1[N+](=O)[O-] ZINC001167674255 811182232 /nfs/dbraw/zinc/18/22/32/811182232.db2.gz OQGLHDUAKLORIS-UHFFFAOYSA-N 0 1 284.279 0.899 20 30 CCEDMN C#CCN[C@@H](CNC(=O)Cn1cccn1)c1ccccc1 ZINC001267351053 811195807 /nfs/dbraw/zinc/19/58/07/811195807.db2.gz ONAGMMOXOFRQHX-HNNXBMFYSA-N 0 1 282.347 0.963 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](CNCc2ncccn2)C1 ZINC001023894447 811279009 /nfs/dbraw/zinc/27/90/09/811279009.db2.gz CAEORUMWCQMSLP-QWHCGFSZSA-N 0 1 287.367 0.964 20 30 CCEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)Cn2cnnn2)C1 ZINC001267451404 811310493 /nfs/dbraw/zinc/31/04/93/811310493.db2.gz ZTOYQFREHCNSGE-CYBMUJFWSA-N 0 1 290.371 0.009 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cn(C)nn1)C2 ZINC001111519068 811354412 /nfs/dbraw/zinc/35/44/12/811354412.db2.gz OVTIHXJXCQHKLN-MCIONIFRSA-N 0 1 287.367 0.102 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2C=CC=CC=C2)C1 ZINC001077075656 815442696 /nfs/dbraw/zinc/44/26/96/815442696.db2.gz VRDGNGXOZXBKFE-HUUCEWRRSA-N 0 1 272.348 0.469 20 30 CCEDMN C#CCN[C@@H](CNC(=O)c1cn(C)c(=O)[nH]1)c1ccccc1 ZINC001267523607 811403520 /nfs/dbraw/zinc/40/35/20/811403520.db2.gz VPFXDDOSARTLTE-ZDUSSCGKSA-N 0 1 298.346 0.820 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001077102365 815446240 /nfs/dbraw/zinc/44/62/40/815446240.db2.gz MPMDYJBTULXADU-QJPTWQEYSA-N 0 1 286.322 0.606 20 30 CCEDMN C=CCCNCc1cn([C@H]2CCN(C(=O)C(F)F)C2)nn1 ZINC001098592246 811425355 /nfs/dbraw/zinc/42/53/55/811425355.db2.gz SSIVBLCNXLROQK-NSHDSACASA-N 0 1 299.325 0.982 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CC(=O)N(C(C)C)C1 ZINC001038317578 811426622 /nfs/dbraw/zinc/42/66/22/811426622.db2.gz LNOIFIWRUGUDSQ-KGLIPLIRSA-N 0 1 291.395 0.457 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](CN(C)CC(=O)N(C)C)C1 ZINC001267581343 811477912 /nfs/dbraw/zinc/47/79/12/811477912.db2.gz NWUOEVJTOQHYIN-AWEZNQCLSA-N 0 1 293.411 0.514 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001038331153 811602123 /nfs/dbraw/zinc/60/21/23/811602123.db2.gz WFFYGRQDHOTZBM-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H](C)OCC)C1 ZINC001149272970 811627594 /nfs/dbraw/zinc/62/75/94/811627594.db2.gz QFNVCAQDWJSFPI-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN CCN(CCNC(=O)c1cnn[nH]1)c1ccc(C#N)c(C)n1 ZINC001100762642 811632289 /nfs/dbraw/zinc/63/22/89/811632289.db2.gz HBLAFTRIEAOCDD-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN C=CCn1cccc1C(=O)NC[C@@H]1CCN1CCCO ZINC001038345225 811670373 /nfs/dbraw/zinc/67/03/73/811670373.db2.gz NVTKJEIYVRASKX-ZDUSSCGKSA-N 0 1 277.368 0.861 20 30 CCEDMN C=CCCOCC(=O)N1C[C@@H]2C[C@H]1CN2CCCOC ZINC001267716587 811677899 /nfs/dbraw/zinc/67/78/99/811677899.db2.gz NKAANVAMRRYDID-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001267724380 811690932 /nfs/dbraw/zinc/69/09/32/811690932.db2.gz YECJNMUYNSSRBM-ZDUSSCGKSA-N 0 1 262.357 0.729 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)Cn3ccnc3)[C@H]2C1 ZINC001083290508 811698093 /nfs/dbraw/zinc/69/80/93/811698093.db2.gz DOGDOYKIWKHKNS-UONOGXRCSA-N 0 1 290.367 0.371 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CO[C@H]2CCOC2)C1 ZINC001267741874 811723114 /nfs/dbraw/zinc/72/31/14/811723114.db2.gz PYDPPFZCJLSYKO-NEPJUHHUSA-N 0 1 254.330 0.168 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H](C)NC(N)=O)C1 ZINC001267785530 811785975 /nfs/dbraw/zinc/78/59/75/811785975.db2.gz VLUXYVVFMGHBRF-WDEREUQCSA-N 0 1 268.361 0.152 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H](C)NC(N)=O)C1 ZINC001267785530 811785980 /nfs/dbraw/zinc/78/59/80/811785980.db2.gz VLUXYVVFMGHBRF-WDEREUQCSA-N 0 1 268.361 0.152 20 30 CCEDMN C[C@H](C(=O)NCCNCc1ccccc1C#N)n1cncn1 ZINC001125931078 811876359 /nfs/dbraw/zinc/87/63/59/811876359.db2.gz QZBGLWZFHBULAL-GFCCVEGCSA-N 0 1 298.350 0.617 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(CCOCCO)C1 ZINC001267937458 811877712 /nfs/dbraw/zinc/87/77/12/811877712.db2.gz MPZXJFDQKISCRQ-CQSZACIVSA-N 0 1 284.400 0.932 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCCCNC(N)=O)C1 ZINC001267949732 811884360 /nfs/dbraw/zinc/88/43/60/811884360.db2.gz QUYYCYIEEHWOPD-CYBMUJFWSA-N 0 1 294.399 0.429 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)NCc1cn[nH]c1C ZINC001222417185 811905730 /nfs/dbraw/zinc/90/57/30/811905730.db2.gz RGTRKBUXAYFNBS-LLVKDONJSA-N 0 1 252.318 0.844 20 30 CCEDMN CCn1nncc1CNCCNC(=O)c1c[nH]c(C#N)c1 ZINC001125987838 811968780 /nfs/dbraw/zinc/96/87/80/811968780.db2.gz FIMMJXAOPDTNQK-UHFFFAOYSA-N 0 1 287.327 0.017 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001077413381 815496225 /nfs/dbraw/zinc/49/62/25/815496225.db2.gz UYBONJQARWXREY-YPYWCSBCSA-N 0 1 292.379 0.147 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001077413381 815496228 /nfs/dbraw/zinc/49/62/28/815496228.db2.gz UYBONJQARWXREY-YPYWCSBCSA-N 0 1 292.379 0.147 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](C)NC(C)=O)CC2 ZINC001268042507 812077378 /nfs/dbraw/zinc/07/73/78/812077378.db2.gz RJRWKUZEKYLUCM-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)c2ccccc2C)[C@@H](O)C1 ZINC001083317984 812144237 /nfs/dbraw/zinc/14/42/37/812144237.db2.gz WRNIWMBOCORNTH-CABCVRRESA-N 0 1 272.348 0.793 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccccc2C)[C@@H](O)C1 ZINC001083317984 812144241 /nfs/dbraw/zinc/14/42/41/812144241.db2.gz WRNIWMBOCORNTH-CABCVRRESA-N 0 1 272.348 0.793 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCc2nccn21 ZINC001027931758 812149812 /nfs/dbraw/zinc/14/98/12/812149812.db2.gz KCWAMDKJWHAUKG-KGLIPLIRSA-N 0 1 286.379 0.974 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)[C@@H](C)CNCc1nccn1C ZINC001268058515 812162170 /nfs/dbraw/zinc/16/21/70/812162170.db2.gz DKMCRBPRNZDQKC-WFASDCNBSA-N 0 1 294.399 0.684 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001027973679 812183807 /nfs/dbraw/zinc/18/38/07/812183807.db2.gz WWDSFTSKZFQHGE-KGLIPLIRSA-N 0 1 286.379 0.659 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001027993749 812201207 /nfs/dbraw/zinc/20/12/07/812201207.db2.gz ZJYHKEXXRHJESZ-CQSZACIVSA-N 0 1 287.363 0.603 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cn2cccnc2n1 ZINC001027994233 812202072 /nfs/dbraw/zinc/20/20/72/812202072.db2.gz LFKVAXXSRCXVDU-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCCN1CCC[C@@H]1CNC(=O)c1cn(C)ccc1=O ZINC001028017884 812217757 /nfs/dbraw/zinc/21/77/57/812217757.db2.gz ZWLBBFJMNKNQSM-CYBMUJFWSA-N 0 1 287.363 0.603 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cn(C)ccc1=O ZINC001028016888 812218632 /nfs/dbraw/zinc/21/86/32/812218632.db2.gz NRCOXPVFAQUDFB-GFCCVEGCSA-N 0 1 275.352 0.766 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc2nnnn2c1 ZINC001028026682 812222484 /nfs/dbraw/zinc/22/24/84/812222484.db2.gz OQDGTLRGXYGIFI-GFCCVEGCSA-N 0 1 286.339 0.505 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnc2c(c1)ncn2C ZINC001028072873 812249349 /nfs/dbraw/zinc/24/93/49/812249349.db2.gz LAIALTAGOFZCLJ-ZDUSSCGKSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccnc2c1ncn2C ZINC001028130503 812301272 /nfs/dbraw/zinc/30/12/72/812301272.db2.gz PZQJTOCXQRBVIH-GFCCVEGCSA-N 0 1 297.362 0.796 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001028133690 812302255 /nfs/dbraw/zinc/30/22/55/812302255.db2.gz XKCQCNYDQYVSFN-KBPBESRZSA-N 0 1 291.395 0.649 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@H]1CCCCN1C)NCC#N ZINC001268211315 812366303 /nfs/dbraw/zinc/36/63/03/812366303.db2.gz KYWUAQDFJOVIGY-CHWSQXEVSA-N 0 1 266.389 0.725 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)COCCCOC)C1 ZINC001268229537 812386501 /nfs/dbraw/zinc/38/65/01/812386501.db2.gz UIWJDVHJBIKMAU-ZDUSSCGKSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cn(CC)nn1 ZINC001028230139 812439635 /nfs/dbraw/zinc/43/96/35/812439635.db2.gz USQSQVNNBMRXMC-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC1CN([C@H](C)c2n[nH]c(C)n2)C1 ZINC001268300663 812462587 /nfs/dbraw/zinc/46/25/87/812462587.db2.gz PQMPOQFDPFJFSI-NXEZZACHSA-N 0 1 291.355 0.013 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](O)CNCc1nccnc1C ZINC001268315685 812479413 /nfs/dbraw/zinc/47/94/13/812479413.db2.gz CWHMHMUOIUSURR-GFCCVEGCSA-N 0 1 292.383 0.564 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001028292171 812591033 /nfs/dbraw/zinc/59/10/33/812591033.db2.gz MARTYCKTGIPLBA-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cncc(OC)n1 ZINC001126379145 812824567 /nfs/dbraw/zinc/82/45/67/812824567.db2.gz XXNWGZNVAWPMLY-AWEZNQCLSA-N 0 1 294.355 0.018 20 30 CCEDMN N#CCNC/C=C/CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001268581641 812866743 /nfs/dbraw/zinc/86/67/43/812866743.db2.gz JTWLOXRQKAWDKT-YOLVWIGZSA-N 0 1 274.328 0.010 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cccn2C)[C@@H](O)C1 ZINC001083343076 812892819 /nfs/dbraw/zinc/89/28/19/812892819.db2.gz FSDBCLHJOSEZRY-YPMHNXCESA-N 0 1 263.341 0.376 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2cnsn2)[C@@H](O)C1 ZINC001083355491 812904028 /nfs/dbraw/zinc/90/40/28/812904028.db2.gz YEVHFYMDPPRABX-MNOVXSKESA-N 0 1 282.369 0.279 20 30 CCEDMN Cc1cnc(CNC[C@H](C)NC(=O)[C@@H](C)C#N)cn1 ZINC001268681127 813001954 /nfs/dbraw/zinc/00/19/54/813001954.db2.gz BQFWQRUJUADXRG-ONGXEEELSA-N 0 1 261.329 0.539 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001268741897 813054410 /nfs/dbraw/zinc/05/44/10/813054410.db2.gz AMZKNVZNUGDQJG-KBPBESRZSA-N 0 1 293.411 0.846 20 30 CCEDMN C[C@@H](CN(C)Cc1ccc(F)c(C#N)c1)NC(=O)C(N)=O ZINC001268746405 813061308 /nfs/dbraw/zinc/06/13/08/813061308.db2.gz MXFPFUTWXQHLNR-VIFPVBQESA-N 0 1 292.314 0.119 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cc2c(cn1)nc[nH]c2=O ZINC001268754985 813065557 /nfs/dbraw/zinc/06/55/57/813065557.db2.gz NXKUZYHIBWQMNW-SNVBAGLBSA-N 0 1 299.334 0.414 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC1CN(CCn2cccn2)C1 ZINC001268823627 813104106 /nfs/dbraw/zinc/10/41/06/813104106.db2.gz ZCBQTXGHCFHWQN-OAHLLOKOSA-N 0 1 292.383 0.258 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CCN2C(=O)CCC2=O)C1 ZINC001268850341 813114770 /nfs/dbraw/zinc/11/47/70/813114770.db2.gz WIFDWGYDIWUJMO-UHFFFAOYSA-N 0 1 293.367 0.150 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(C(=O)c3cnc[nH]3)C2)C1=O ZINC001268866971 813123937 /nfs/dbraw/zinc/12/39/37/813123937.db2.gz BZBFVWQTPQOTRW-AWEZNQCLSA-N 0 1 274.324 0.660 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cnc[nH]1 ZINC001268867855 813124057 /nfs/dbraw/zinc/12/40/57/813124057.db2.gz NYGSSCNVGGRVSE-VXGBXAGGSA-N 0 1 274.324 0.801 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)CCS)CC2 ZINC001268892351 813134756 /nfs/dbraw/zinc/13/47/56/813134756.db2.gz VHIGRMGHHPBVDP-UHFFFAOYSA-N 0 1 282.369 0.316 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001269062150 813200182 /nfs/dbraw/zinc/20/01/82/813200182.db2.gz ZIOOQQCVWZOUIB-WFASDCNBSA-N 0 1 295.383 0.048 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N2C(=O)c1n[nH]c2cc(C#N)ccc21 ZINC001269144020 813236970 /nfs/dbraw/zinc/23/69/70/813236970.db2.gz IMUHJLSOBIDSLB-PHIMTYICSA-N 0 1 281.319 0.963 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001269194499 813256077 /nfs/dbraw/zinc/25/60/77/813256077.db2.gz ICCXOPIMTIBRTK-LLVKDONJSA-N 0 1 292.339 0.189 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)C(CC)CC)CC1 ZINC001269380065 813349567 /nfs/dbraw/zinc/34/95/67/813349567.db2.gz VLDFYRVYELVPOO-GFCCVEGCSA-N 0 1 293.411 0.799 20 30 CCEDMN CC(C)C#CC(=O)NCC1(NCC(=O)NC2CC2)CC1 ZINC001269407469 813359833 /nfs/dbraw/zinc/35/98/33/813359833.db2.gz LMOQTHFJHLDLPD-UHFFFAOYSA-N 0 1 277.368 0.163 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](CNC(=O)c2[n-]nnc2C)C1 ZINC001028731723 813396273 /nfs/dbraw/zinc/39/62/73/813396273.db2.gz MZPVASDTMSVEOB-NSHDSACASA-N 0 1 261.329 0.188 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2[n-]nnc2C)C1 ZINC001028731723 813396283 /nfs/dbraw/zinc/39/62/83/813396283.db2.gz MZPVASDTMSVEOB-NSHDSACASA-N 0 1 261.329 0.188 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(C(=O)[C@H](C)N(C)C)CC2 ZINC001269796916 813509561 /nfs/dbraw/zinc/50/95/61/813509561.db2.gz NAZRDYAAPAQOMI-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cncnc3)[C@@H]2C1 ZINC001075713909 813546138 /nfs/dbraw/zinc/54/61/38/813546138.db2.gz QSPZKQCNWNYVIJ-WCQYABFASA-N 0 1 258.325 0.809 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2C[C@H]2C)CC1 ZINC001225884461 813668036 /nfs/dbraw/zinc/66/80/36/813668036.db2.gz OIMGTXPBCJBSAD-OCCSQVGLSA-N 0 1 264.369 0.873 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)CN[C@H](C)c1nncn1C ZINC001270401927 813750823 /nfs/dbraw/zinc/75/08/23/813750823.db2.gz LGWLRNVEJLBVMU-QWHCGFSZSA-N 0 1 291.399 0.877 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C3CC(OCC)C3)[C@@H]2C1 ZINC001075758433 813813514 /nfs/dbraw/zinc/81/35/14/813813514.db2.gz XBNFYLBKAYYSQB-VXGQWTEUSA-N 0 1 276.380 0.967 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H](C)OC)CC1 ZINC001226332211 813963329 /nfs/dbraw/zinc/96/33/29/813963329.db2.gz FIWVXBZPJDLXAX-LBPRGKRZSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C1(COC)CC1)CC2 ZINC001270851614 813970091 /nfs/dbraw/zinc/97/00/91/813970091.db2.gz OUZWJMKNDJHROU-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)Cc2nnc(C)[nH]2)[C@H]1CC ZINC001087564828 814165711 /nfs/dbraw/zinc/16/57/11/814165711.db2.gz WJMBCMPGHFGBDM-NWDGAFQWSA-N 0 1 275.356 0.258 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)NC2)[C@H]1CC ZINC001087635872 814179835 /nfs/dbraw/zinc/17/98/35/814179835.db2.gz RSRBUWAVLCAGDR-HZSPNIEDSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2coc(OC)n2)[C@H]1CC ZINC001087675029 814183636 /nfs/dbraw/zinc/18/36/36/814183636.db2.gz QFYUVSXCBHPGSH-CMPLNLGQSA-N 0 1 277.324 0.899 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCO)c1 ZINC001029467066 814278879 /nfs/dbraw/zinc/27/88/79/814278879.db2.gz XRJPRHSQYLKXSE-GASCZTMLSA-N 0 1 285.347 0.344 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001271167227 814296963 /nfs/dbraw/zinc/29/69/63/814296963.db2.gz KRIGKRNLKKTLQN-ZYHUDNBSSA-N 0 1 292.301 0.761 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C[C@@H]2CC=CCC2)C1 ZINC001271176512 814316258 /nfs/dbraw/zinc/31/62/58/814316258.db2.gz TWASITWEBZFVJS-ZBFHGGJFSA-N 0 1 276.380 0.919 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cccc3cc[nH]c32)C1 ZINC001271193487 814329761 /nfs/dbraw/zinc/32/97/61/814329761.db2.gz XBIXJQBZDQCLFP-QGZVFWFLSA-N 0 1 297.358 0.968 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H](CC)CCC)C1 ZINC001271211149 814335270 /nfs/dbraw/zinc/33/52/70/814335270.db2.gz VQBYRSXOFMSMLY-HIFRSBDPSA-N 0 1 266.385 0.999 20 30 CCEDMN CN(C)C(=O)CN1CCC2(CN(C(=O)CCCC#N)C2)C1 ZINC001271252900 814351988 /nfs/dbraw/zinc/35/19/88/814351988.db2.gz RTKOHFQMHQCLSU-UHFFFAOYSA-N 0 1 292.383 0.303 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CCN(CC(N)=O)C[C@H]2C1 ZINC001088093160 814403863 /nfs/dbraw/zinc/40/38/63/814403863.db2.gz LSZACUGJRKWFNP-WWGRRREGSA-N 0 1 293.411 0.854 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3CCC(=O)NC3)[C@@H]2C1 ZINC001075875884 814652408 /nfs/dbraw/zinc/65/24/08/814652408.db2.gz IDKHGRAZGBGYQZ-MELADBBJSA-N 0 1 289.379 0.069 20 30 CCEDMN CCO[C@@H]1C[C@@H]1C(=O)N(C)CCN(C)CC#CCOC ZINC001271969896 814657038 /nfs/dbraw/zinc/65/70/38/814657038.db2.gz SJZMLMPWLLIUML-UONOGXRCSA-N 0 1 282.384 0.451 20 30 CCEDMN CC(C)[C@@H](O)C(=O)NCCNCc1ccc(C#N)cc1F ZINC001126631480 814695880 /nfs/dbraw/zinc/69/58/80/814695880.db2.gz FEVWKKDMUFTZBR-CQSZACIVSA-N 0 1 293.342 0.920 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)CCN(C)CC#CCOC ZINC001272005786 814700291 /nfs/dbraw/zinc/70/02/91/814700291.db2.gz XOIMTNDASKZOAZ-OAHLLOKOSA-N 0 1 282.384 0.354 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2=COCCO2)[C@H]1C ZINC001088455244 814708009 /nfs/dbraw/zinc/70/80/09/814708009.db2.gz GHRGGFRBASKBGZ-NEPJUHHUSA-N 0 1 264.325 0.477 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCO2)[C@H]1C ZINC001088457767 814709856 /nfs/dbraw/zinc/70/98/56/814709856.db2.gz VNANIOXROKFSRQ-FRRDWIJNSA-N 0 1 250.342 0.768 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001038381205 815582036 /nfs/dbraw/zinc/58/20/36/815582036.db2.gz UKGLBRNHSZOGQK-NWDGAFQWSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]c(C#N)c2)[C@H]1C ZINC001088529874 814855070 /nfs/dbraw/zinc/85/50/70/814855070.db2.gz SGJFBWJOTCACND-MFKMUULPSA-N 0 1 256.309 0.712 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccnn1CCOC ZINC001038388413 815650176 /nfs/dbraw/zinc/65/01/76/815650176.db2.gz HACKFKPMLBXUQH-ZDUSSCGKSA-N 0 1 290.367 0.357 20 30 CCEDMN O=C(NC1CN(CC#Cc2ccccc2)C1)[C@@H]1CC1[N+](=O)[O-] ZINC001030292275 815961406 /nfs/dbraw/zinc/96/14/06/815961406.db2.gz AEJKFJWNLQERFQ-HUUCEWRRSA-N 0 1 299.330 0.504 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H]2CCN(CCO)[C@@H]2C)nn1 ZINC001088911131 816170812 /nfs/dbraw/zinc/17/08/12/816170812.db2.gz AHXZHPPEOUQFBM-NEPJUHHUSA-N 0 1 293.371 0.039 20 30 CCEDMN COc1ccnc(C(=N)Nc2ncnc3nc[nH]c32)n1 ZINC001168421271 816183738 /nfs/dbraw/zinc/18/37/38/816183738.db2.gz NTLUOIQFPITBMZ-UHFFFAOYSA-N 0 1 270.256 0.189 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(C)CCN(C(C)=O)C2)[C@H]1C ZINC001088964718 816195976 /nfs/dbraw/zinc/19/59/76/816195976.db2.gz MGIBREZQLMHJHZ-INWMFGNUSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2cccn(C)c2=O)[C@H]1C ZINC001088988554 816204550 /nfs/dbraw/zinc/20/45/50/816204550.db2.gz CFKOBSRUMAFUAB-YPMHNXCESA-N 0 1 273.336 0.211 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccn(C)c2=O)[C@H]1C ZINC001088988554 816204558 /nfs/dbraw/zinc/20/45/58/816204558.db2.gz CFKOBSRUMAFUAB-YPMHNXCESA-N 0 1 273.336 0.211 20 30 CCEDMN C#CCN1CC(NC(=O)c2c(C)n[nH]c2C(F)(F)F)C1 ZINC001030688107 816221533 /nfs/dbraw/zinc/22/15/33/816221533.db2.gz RCFVPNPZFMOKTN-UHFFFAOYSA-N 0 1 286.257 0.784 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCN2C(C)=O)[C@H]1C ZINC001089065208 816230125 /nfs/dbraw/zinc/23/01/25/816230125.db2.gz TXAVSDOYSCAPJE-KWCYVHTRSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCCN1CC(NC(=O)c2occc2CN(C)C)C1 ZINC001030860127 816357448 /nfs/dbraw/zinc/35/74/48/816357448.db2.gz LWCOSPNTMGOGOS-UHFFFAOYSA-N 0 1 275.352 0.779 20 30 CCEDMN N#CCN1CC(NC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001030933932 816461126 /nfs/dbraw/zinc/46/11/26/816461126.db2.gz XMNWHRJXQSPWJI-JTQLQIEISA-N 0 1 259.313 0.154 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnn(C)c2N)C1 ZINC001046260172 816465940 /nfs/dbraw/zinc/46/59/40/816465940.db2.gz WUUPQZSTJKILAO-AWEZNQCLSA-N 0 1 275.356 0.220 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccnn2CC(F)F)C1 ZINC001046315854 816501104 /nfs/dbraw/zinc/50/11/04/816501104.db2.gz ZXZGHKUZCNYUIL-CQSZACIVSA-N 0 1 296.321 0.976 20 30 CCEDMN N#CCCCN1C(=O)COCC12CN(C[C@@H]1CCOC1)C2 ZINC001272507596 816523676 /nfs/dbraw/zinc/52/36/76/816523676.db2.gz HJCSIURCPATUIQ-ZDUSSCGKSA-N 0 1 293.367 0.240 20 30 CCEDMN C#CCN1CC(NC(=O)c2nnc3ccccc3c2O)C1 ZINC001031035511 816589244 /nfs/dbraw/zinc/58/92/44/816589244.db2.gz UYFVANKZQKIJHW-UHFFFAOYSA-N 0 1 282.303 0.383 20 30 CCEDMN C=C(C)CN1C[C@]2(CCN(Cc3c[nH]nn3)C2)OCC1=O ZINC001272570216 816589329 /nfs/dbraw/zinc/58/93/29/816589329.db2.gz RNJMDXUHQYWUNF-CQSZACIVSA-N 0 1 291.355 0.184 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H]2CCCCN2C)C[C@H]1CNCC#N ZINC001106322131 816740408 /nfs/dbraw/zinc/74/04/08/816740408.db2.gz KOLKHNPAWHQBAY-MGPQQGTHSA-N 0 1 278.400 0.678 20 30 CCEDMN CC#CCN1CC(NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001031185855 816760335 /nfs/dbraw/zinc/76/03/35/816760335.db2.gz WFIVVDULLXMEID-LLVKDONJSA-N 0 1 286.379 0.972 20 30 CCEDMN N#Cc1ccccc1CN1CC(NC(=O)Cc2nnc[nH]2)C1 ZINC001031231260 816861186 /nfs/dbraw/zinc/86/11/86/816861186.db2.gz WOGJFIDHMQSPAJ-UHFFFAOYSA-N 0 1 296.334 0.219 20 30 CCEDMN C#CCN(CC1CC1)C(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001123765075 817055209 /nfs/dbraw/zinc/05/52/09/817055209.db2.gz AAGUYZKGNGQCBZ-TZMCWYRMSA-N 0 1 261.369 0.247 20 30 CCEDMN N#CCSCC(=O)NCCNCc1cncc(F)c1 ZINC001123791988 817067073 /nfs/dbraw/zinc/06/70/73/817067073.db2.gz CHMCDOOZCKMZEW-UHFFFAOYSA-N 0 1 282.344 0.683 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2conc2CC)[C@@H](O)C1 ZINC001083757327 817080823 /nfs/dbraw/zinc/08/08/23/817080823.db2.gz HSJUIBYQEOCTFC-KGLIPLIRSA-N 0 1 293.367 0.978 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@H]1COCCO1 ZINC001123870179 817136412 /nfs/dbraw/zinc/13/64/12/817136412.db2.gz OCOWYFHGFSYGTG-OAHLLOKOSA-N 0 1 288.347 0.159 20 30 CCEDMN COCCN1CC(CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC001031602560 817178695 /nfs/dbraw/zinc/17/86/95/817178695.db2.gz HDIDRBQWHLMHLU-UHFFFAOYSA-N 0 1 262.313 0.194 20 30 CCEDMN CC#CCN1CC(CNC(=O)c2ncccc2O)C1 ZINC001031628061 817199874 /nfs/dbraw/zinc/19/98/74/817199874.db2.gz BPABCDAXJAKLNV-UHFFFAOYSA-N 0 1 259.309 0.472 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2nn(C)cc2C)C1 ZINC001031660694 817226716 /nfs/dbraw/zinc/22/67/16/817226716.db2.gz OXMLLMFPRIOWLE-UHFFFAOYSA-N 0 1 262.357 0.966 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2nnn(C(C)(C)C)n2)C1 ZINC001031690486 817244374 /nfs/dbraw/zinc/24/43/74/817244374.db2.gz LWXSWHHVYKBJCL-UHFFFAOYSA-N 0 1 290.371 0.113 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001031716149 817280467 /nfs/dbraw/zinc/28/04/67/817280467.db2.gz NEECATQSBSDQPZ-UHFFFAOYSA-N 0 1 272.312 0.201 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001031735554 817300326 /nfs/dbraw/zinc/30/03/26/817300326.db2.gz OPMJPWFJKMOFBF-UHFFFAOYSA-N 0 1 286.339 0.591 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CC=CCC2)C1 ZINC001047295406 817347420 /nfs/dbraw/zinc/34/74/20/817347420.db2.gz HNWFVWOTQDTBIO-ILXRZTDVSA-N 0 1 276.380 0.870 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CC(=O)N[C@@H](C)C2)C1 ZINC001031801708 817376009 /nfs/dbraw/zinc/37/60/09/817376009.db2.gz FMCYAOWQAGPTOH-WCQYABFASA-N 0 1 279.384 0.525 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2nnc3ccccc3n2)C1 ZINC001031851325 817417133 /nfs/dbraw/zinc/41/71/33/817417133.db2.gz RKKTURBHIRFRPV-UHFFFAOYSA-N 0 1 295.346 0.710 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCc3nncn3CC2)C1 ZINC001031856993 817420769 /nfs/dbraw/zinc/42/07/69/817420769.db2.gz OLWRSNMWTRIWEP-CYBMUJFWSA-N 0 1 289.383 0.465 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCc3nc(C)nn3C2)C1 ZINC001031869844 817432443 /nfs/dbraw/zinc/43/24/43/817432443.db2.gz ZNYMHPYDVACUHK-ZDUSSCGKSA-N 0 1 289.383 0.383 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cccnc2OC)C1 ZINC001031948724 817486557 /nfs/dbraw/zinc/48/65/57/817486557.db2.gz MDSGGRQYPAEUQP-UHFFFAOYSA-N 0 1 273.336 0.775 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001031969335 817506278 /nfs/dbraw/zinc/50/62/78/817506278.db2.gz HIFAHECOHIRFDV-LBPRGKRZSA-N 0 1 265.357 0.279 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001032016720 817550825 /nfs/dbraw/zinc/55/08/25/817550825.db2.gz UXTXCLHNHPYOHX-AWEZNQCLSA-N 0 1 293.411 0.869 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3ncccc3C)C2)OCC1=O ZINC001272714666 817552069 /nfs/dbraw/zinc/55/20/69/817552069.db2.gz BIBQRAKNHWAMBE-KRWDZBQOSA-N 0 1 299.374 0.827 20 30 CCEDMN C=CCOCC(=O)NCCNCc1c(C)nnn1CC ZINC001124765182 817566064 /nfs/dbraw/zinc/56/60/64/817566064.db2.gz NTFFBWCFAZRYFN-UHFFFAOYSA-N 0 1 281.360 0.015 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001032058468 817587500 /nfs/dbraw/zinc/58/75/00/817587500.db2.gz FACQRCCGOYKJGF-UHFFFAOYSA-N 0 1 276.340 0.111 20 30 CCEDMN Cc1cnc([C@H](C)NCCNC(=O)[C@@H](C)C#N)cn1 ZINC001124895362 817618537 /nfs/dbraw/zinc/61/85/37/817618537.db2.gz GXCPHBXXGYDCLF-ONGXEEELSA-N 0 1 261.329 0.712 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn(CCOC)c2)C1 ZINC001032157354 817670365 /nfs/dbraw/zinc/67/03/65/817670365.db2.gz TZNAIZFQRXCTGG-UHFFFAOYSA-N 0 1 290.367 0.214 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107080821 817719257 /nfs/dbraw/zinc/71/92/57/817719257.db2.gz TWZLZAMHBHFKQQ-HPOULIHZSA-N 0 1 285.311 0.548 20 30 CCEDMN C=CCOCCCC(=O)NCCNCc1cnnn1CC ZINC001125247829 817743041 /nfs/dbraw/zinc/74/30/41/817743041.db2.gz IZBHKYKYYGWLPI-UHFFFAOYSA-N 0 1 295.387 0.487 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H]3C[C@H]2CN3C(=O)CCC)C1=O ZINC001032249591 817752647 /nfs/dbraw/zinc/75/26/47/817752647.db2.gz PQNPOBAKYGVICF-IHRRRGAJSA-N 0 1 291.395 0.859 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1ccn[nH]1)Nc1cncc(C#N)n1 ZINC001115667412 817788560 /nfs/dbraw/zinc/78/85/60/817788560.db2.gz GWHGLDCXNOUTHU-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@]12C[C@H]1COC2 ZINC001127737383 817812067 /nfs/dbraw/zinc/81/20/67/817812067.db2.gz OGEHPQDPIFAIQV-ONGXEEELSA-N 0 1 289.173 0.637 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CCNCc1cn(C)nn1 ZINC001272747500 817829683 /nfs/dbraw/zinc/82/96/83/817829683.db2.gz MXRNGCRUPBQPCS-RISCZKNCSA-N 0 1 295.387 0.127 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)Cc2occc2C)C1 ZINC001077645656 817912638 /nfs/dbraw/zinc/91/26/38/817912638.db2.gz QTSAJVFZSZZBFQ-CHWSQXEVSA-N 0 1 276.336 0.315 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2occc2C)C1 ZINC001077645656 817912643 /nfs/dbraw/zinc/91/26/43/817912643.db2.gz QTSAJVFZSZZBFQ-CHWSQXEVSA-N 0 1 276.336 0.315 20 30 CCEDMN COCC#CC(=O)N1CC2(C1)CCN(Cc1cn[nH]c1)C2 ZINC001272954528 818119343 /nfs/dbraw/zinc/11/93/43/818119343.db2.gz GKKABYVMHRFMCX-UHFFFAOYSA-N 0 1 288.351 0.094 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1coc(C(N)=O)c1 ZINC001038550131 818130519 /nfs/dbraw/zinc/13/05/19/818130519.db2.gz AXDXBVDRTYROSB-NSHDSACASA-N 0 1 277.324 0.759 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCO2)C1 ZINC001032887716 818338127 /nfs/dbraw/zinc/33/81/27/818338127.db2.gz FVSZCNYZMWNDCQ-STQMWFEESA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)c2cc(C)n(C)n2)C1 ZINC001032942859 818379640 /nfs/dbraw/zinc/37/96/40/818379640.db2.gz OQCKSNXOOMRHPX-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN CN(C(=O)[C@H]1CCCN1C)[C@H]1CCN(CC#N)C1 ZINC001032946295 818381511 /nfs/dbraw/zinc/38/15/11/818381511.db2.gz VPNPCFDKCRSZRD-NWDGAFQWSA-N 0 1 250.346 0.137 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2snnc2C)[C@H](O)C1 ZINC001090066102 818446972 /nfs/dbraw/zinc/44/69/72/818446972.db2.gz VDNUPRJRRCJFFO-WDEREUQCSA-N 0 1 296.396 0.588 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccoc2)[C@H](O)C1 ZINC001090068421 818452091 /nfs/dbraw/zinc/45/20/91/818452091.db2.gz LBKNTQRFSITLTH-NWDGAFQWSA-N 0 1 250.298 0.631 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C)nc2)[C@@H](O)C1 ZINC001090074576 818465058 /nfs/dbraw/zinc/46/50/58/818465058.db2.gz IETPCQICSAREAF-KGLIPLIRSA-N 0 1 275.352 0.741 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCCNCc1cnnn1C)OCC ZINC001128528047 818608767 /nfs/dbraw/zinc/60/87/67/818608767.db2.gz IGKOTDAOVMLDNY-ZDUSSCGKSA-N 0 1 295.387 0.392 20 30 CCEDMN CN(C(=O)[C@@H]1CCCCN1C)[C@@H]1CCN(CC#N)C1 ZINC001033199798 818639378 /nfs/dbraw/zinc/63/93/78/818639378.db2.gz YRJXHQZYPVCVDX-OLZOCXBDSA-N 0 1 264.373 0.527 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033205273 818648828 /nfs/dbraw/zinc/64/88/28/818648828.db2.gz XTALFBKPVWVOQW-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cccc(C(N)=O)c2)C1 ZINC001033205795 818649215 /nfs/dbraw/zinc/64/92/15/818649215.db2.gz AOROMIPRVJJUNH-HNNXBMFYSA-N 0 1 299.374 0.955 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cn(C)nc2COC)C1 ZINC001033204444 818650793 /nfs/dbraw/zinc/65/07/93/818650793.db2.gz XCQJOBUDIOALSI-GFCCVEGCSA-N 0 1 292.383 0.899 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033220040 818659000 /nfs/dbraw/zinc/65/90/00/818659000.db2.gz DZGCZESBVRQIPE-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2C[C@H]2C2CC2)[C@@H](O)C1 ZINC001083849722 818662290 /nfs/dbraw/zinc/66/22/90/818662290.db2.gz VVZRGLHACQDHRO-DGAVXFQQSA-N 0 1 264.369 0.770 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncoc2C)[C@H](O)C1 ZINC001090125014 818671714 /nfs/dbraw/zinc/67/17/14/818671714.db2.gz UDEMIZBFOGXYBH-GHMZBOCLSA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(OC)n(C)n2)C1 ZINC001033251618 818674555 /nfs/dbraw/zinc/67/45/55/818674555.db2.gz UQUAMZPPVRDKCM-LLVKDONJSA-N 0 1 278.356 0.761 20 30 CCEDMN CNC(=O)C(C#N)=C(O)[C@H]1Cc2ccccc2C(=O)O1 ZINC000133428740 818681478 /nfs/dbraw/zinc/68/14/78/818681478.db2.gz WYJFUJQIPJOZJC-GHMZBOCLSA-N 0 1 272.260 0.223 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cocn2)[C@@H](O)C1 ZINC001090130538 818691815 /nfs/dbraw/zinc/69/18/15/818691815.db2.gz VBGODHUQXRVAAX-ONGXEEELSA-N 0 1 251.286 0.026 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033343496 818727009 /nfs/dbraw/zinc/72/70/09/818727009.db2.gz MHBYTOCUGNHZFR-GFCCVEGCSA-N 0 1 288.351 0.660 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)C(F)F)[C@@H](n2ccnn2)C1 ZINC001128617975 818737737 /nfs/dbraw/zinc/73/77/37/818737737.db2.gz WXCITLCTLSJEJT-MNOVXSKESA-N 0 1 299.325 0.851 20 30 CCEDMN CN(C(=O)[C@H]1CCc2[nH]cnc2C1)[C@H]1CCN(CC#N)C1 ZINC001033561802 818832460 /nfs/dbraw/zinc/83/24/60/818832460.db2.gz JVLZSOLIFSMJHE-RYUDHWBXSA-N 0 1 287.367 0.571 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC001033575984 818834515 /nfs/dbraw/zinc/83/45/15/818834515.db2.gz SZFKPLWRJUTAAD-CHWSQXEVSA-N 0 1 292.383 0.086 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC001033623414 818857834 /nfs/dbraw/zinc/85/78/34/818857834.db2.gz RZJMMDYJZRLMDS-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C2CCN(C(C)=O)CC2)C1 ZINC001033647658 818870100 /nfs/dbraw/zinc/87/01/00/818870100.db2.gz FMFKEEFPIGSHSW-OAHLLOKOSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001033780114 818937858 /nfs/dbraw/zinc/93/78/58/818937858.db2.gz GHSSTFIVMVTXSF-KGLIPLIRSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cnn(C)c2N)C1 ZINC001033810868 818947081 /nfs/dbraw/zinc/94/70/81/818947081.db2.gz PYLNVZVTLFQYTB-NSHDSACASA-N 0 1 277.372 0.725 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033853534 818967812 /nfs/dbraw/zinc/96/78/12/818967812.db2.gz QZXQGKPLKCZNHG-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033861867 818969810 /nfs/dbraw/zinc/96/98/10/818969810.db2.gz QXYWFNGGJJYJMB-MJBXVCDLSA-N 0 1 282.384 0.899 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2=CCCC2)[C@@H](O)C1 ZINC001090171534 819011214 /nfs/dbraw/zinc/01/12/14/819011214.db2.gz PQNZNMDTLDIMQF-STQMWFEESA-N 0 1 250.342 0.834 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc[nH]c2C)[C@@H](O)C1 ZINC001090173887 819020328 /nfs/dbraw/zinc/02/03/28/819020328.db2.gz GOKCJGZKFVVUDH-OLZOCXBDSA-N 0 1 263.341 0.674 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001034031203 819035998 /nfs/dbraw/zinc/03/59/98/819035998.db2.gz FOKBXIOFVUXIIR-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN C#Cc1ccc(C(=O)N(CC)[C@H]2CCN(CCO)C2)cn1 ZINC001034023270 819037490 /nfs/dbraw/zinc/03/74/90/819037490.db2.gz PSVLIAMZAGSSSV-HNNXBMFYSA-N 0 1 287.363 0.592 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CC2CC2)C[C@@H]1n1ccnn1 ZINC001128829938 819054006 /nfs/dbraw/zinc/05/40/06/819054006.db2.gz LTPONUMIDONTIB-UHTWSYAYSA-N 0 1 288.355 0.189 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001034159171 819094669 /nfs/dbraw/zinc/09/46/69/819094669.db2.gz KBIDNOPUMBTWDC-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C/C2CC2)[C@@H](n2ccnn2)C1 ZINC001128870256 819117935 /nfs/dbraw/zinc/11/79/35/819117935.db2.gz PXFBLRWCLHHBGE-CNBITHIKSA-N 0 1 299.378 0.609 20 30 CCEDMN C=CC[N@H+]1CCCC[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001034258154 819140718 /nfs/dbraw/zinc/14/07/18/819140718.db2.gz SRVMZKQQNZODMA-LLVKDONJSA-N 0 1 276.340 0.953 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C#N)[nH]2)[C@@H](O)C1 ZINC001090203577 819152597 /nfs/dbraw/zinc/15/25/97/819152597.db2.gz IKJJFQBYWMRVKU-JSGCOSHPSA-N 0 1 286.335 0.075 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2coc(C)n2)[C@@H](O)C1 ZINC001090205332 819161117 /nfs/dbraw/zinc/16/11/17/819161117.db2.gz CRICLJYKGPGGTG-AAEUAGOBSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001034419137 819200622 /nfs/dbraw/zinc/20/06/22/819200622.db2.gz KMLUIFOCJDYKJZ-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C1(F)CCOCC1 ZINC001128977633 819257194 /nfs/dbraw/zinc/25/71/94/819257194.db2.gz SFIFXKYUNNYGTK-UHFFFAOYSA-N 0 1 264.728 0.963 20 30 CCEDMN CCN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](n2ccnn2)C1 ZINC001128979930 819260109 /nfs/dbraw/zinc/26/01/09/819260109.db2.gz GZSBRMWZYXPXSL-OLZOCXBDSA-N 0 1 289.383 0.689 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001108143675 819296248 /nfs/dbraw/zinc/29/62/48/819296248.db2.gz FAPCBRLWDXDNRK-NHIYQJMISA-N 0 1 294.395 0.662 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccncc2C)[C@@H](O)C1 ZINC001090250009 819308584 /nfs/dbraw/zinc/30/85/84/819308584.db2.gz VLDQRMHPFBTWPU-GJZGRUSLSA-N 0 1 287.363 0.578 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccncc2C)[C@H](O)C1 ZINC001090250012 819309268 /nfs/dbraw/zinc/30/92/68/819309268.db2.gz VLDQRMHPFBTWPU-LSDHHAIUSA-N 0 1 287.363 0.578 20 30 CCEDMN Cc1cc(CNC[C@H]2CCCN2C(=O)[C@H](C)C#N)nn1C ZINC001034886160 819355251 /nfs/dbraw/zinc/35/52/51/819355251.db2.gz GJGVLCLEASRWRU-BXUZGUMPSA-N 0 1 289.383 0.969 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001035586212 819578323 /nfs/dbraw/zinc/57/83/23/819578323.db2.gz OSVZWBQRUUZJFX-STQMWFEESA-N 0 1 295.383 0.048 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1cc[nH]n1)CC2 ZINC001035673603 819601447 /nfs/dbraw/zinc/60/14/47/819601447.db2.gz JUCBULPTHYGQCK-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@@H]1C(=O)OC)CC2 ZINC001035667104 819605869 /nfs/dbraw/zinc/60/58/69/819605869.db2.gz DWOQCCFJFCUGTA-OLZOCXBDSA-N 0 1 290.363 0.353 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cocn1)CC2 ZINC001035667554 819606196 /nfs/dbraw/zinc/60/61/96/819606196.db2.gz KJPYYLSPTBMJGC-UHFFFAOYSA-N 0 1 259.309 0.846 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1cn[nH]n1)CC2 ZINC001035740068 819618092 /nfs/dbraw/zinc/61/80/92/819618092.db2.gz UOKQQBRJZWNZIK-UHFFFAOYSA-N 0 1 261.329 0.529 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1c[nH]nc1C)CC2 ZINC001035760848 819619511 /nfs/dbraw/zinc/61/95/11/819619511.db2.gz QDYGVDYIIHLAFA-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cccc(=O)n1C)CC2 ZINC001035790520 819624603 /nfs/dbraw/zinc/62/46/03/819624603.db2.gz QEXYWUQUXQUFGR-UHFFFAOYSA-N 0 1 299.374 0.557 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](NCC#N)[C@@H](C)C2)[nH]1 ZINC001035959936 819662540 /nfs/dbraw/zinc/66/25/40/819662540.db2.gz LMSMCKAXDSVUKA-ONGXEEELSA-N 0 1 261.329 0.682 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CNC(=O)[C@@H]2CCCN2C)CCC1 ZINC001062711790 820039212 /nfs/dbraw/zinc/03/92/12/820039212.db2.gz GGSMUHKYKULFJL-RYUDHWBXSA-N 0 1 292.383 0.395 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2nccs2)[C@@H](O)C1 ZINC001083884289 820063658 /nfs/dbraw/zinc/06/36/58/820063658.db2.gz VPXDDEFDSYKFPZ-ZJUUUORDSA-N 0 1 267.354 0.494 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2ccnn2CCC)[C@H](O)C1 ZINC001090296886 820220767 /nfs/dbraw/zinc/22/07/67/820220767.db2.gz DESGAQPNQAXXCW-TZMCWYRMSA-N 0 1 292.383 0.644 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnn2CCC)[C@H](O)C1 ZINC001090296886 820220774 /nfs/dbraw/zinc/22/07/74/820220774.db2.gz DESGAQPNQAXXCW-TZMCWYRMSA-N 0 1 292.383 0.644 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccns2)[C@@H](O)C1 ZINC001090305702 820233983 /nfs/dbraw/zinc/23/39/83/820233983.db2.gz YWQVCTOUCVUSDX-MNOVXSKESA-N 0 1 281.381 0.884 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCCO2)C1 ZINC001079581619 820429367 /nfs/dbraw/zinc/42/93/67/820429367.db2.gz WMMPVLIXAVNBQS-UPJWGTAASA-N 0 1 250.342 0.625 20 30 CCEDMN CCN(C(=O)C#CC1CC1)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001079736573 820459337 /nfs/dbraw/zinc/45/93/37/820459337.db2.gz AGELPAUGBFDPRB-UHFFFAOYSA-N 0 1 286.335 0.496 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001079990688 820494579 /nfs/dbraw/zinc/49/45/79/820494579.db2.gz KHTBMRJFAIWKOH-HZSPNIEDSA-N 0 1 286.379 0.515 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001080092476 820514539 /nfs/dbraw/zinc/51/45/39/820514539.db2.gz UBUUQWIHVQRQKL-JHJVBQTASA-N 0 1 277.368 0.115 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCSCC)[C@@H](O)C1 ZINC001099708398 820518652 /nfs/dbraw/zinc/51/86/52/820518652.db2.gz ZXEJDBWWKOJUGO-RYUDHWBXSA-N 0 1 272.414 0.867 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001080305507 820542437 /nfs/dbraw/zinc/54/24/37/820542437.db2.gz XEFPEKIKPPRQGU-ZYHUDNBSSA-N 0 1 288.351 0.564 20 30 CCEDMN C=CCO[C@H]1CCN([C@H]2CCN(CCC(=O)OC)C2=O)C1 ZINC001118558952 820637434 /nfs/dbraw/zinc/63/74/34/820637434.db2.gz TVQXQAYEPGSBTQ-STQMWFEESA-N 0 1 296.367 0.427 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CN2CCCCCC2=O)C1 ZINC001080905875 820652993 /nfs/dbraw/zinc/65/29/93/820652993.db2.gz XQAWZHNBZAEOFA-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2[nH]cnc2C)C1 ZINC001081057163 820673924 /nfs/dbraw/zinc/67/39/24/820673924.db2.gz IGSRJTSTSMSONU-ZYHUDNBSSA-N 0 1 260.341 0.792 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c[nH]nc2C)[C@H](OC)C1 ZINC001082007328 820878644 /nfs/dbraw/zinc/87/86/44/820878644.db2.gz ZOVNHRVILMPHBS-CHWSQXEVSA-N 0 1 276.340 0.170 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN2CCC(C)CC2)[C@H](OC)C1 ZINC001082270508 820924716 /nfs/dbraw/zinc/92/47/16/820924716.db2.gz JLAMJHXOSPEKHC-HUUCEWRRSA-N 0 1 293.411 0.167 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(=O)[nH]c2)[C@H](OC)C1 ZINC001082353931 820943958 /nfs/dbraw/zinc/94/39/58/820943958.db2.gz VHWASAJLQHCMHT-CHWSQXEVSA-N 0 1 291.351 0.792 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)c3ccn[nH]3)[C@H]2C1 ZINC001083008300 821104392 /nfs/dbraw/zinc/10/43/92/821104392.db2.gz CFINUJNBHJFHQA-QWHCGFSZSA-N 0 1 276.340 0.511 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)C#CC3CC3)[C@H]2C1 ZINC001083077559 821118431 /nfs/dbraw/zinc/11/84/31/821118431.db2.gz NUGXADMRLPWWPV-LSDHHAIUSA-N 0 1 274.364 0.888 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H]3CC3(F)F)[C@H]2C1 ZINC001083071473 821119232 /nfs/dbraw/zinc/11/92/32/821119232.db2.gz QZVWBZGZKWZZSR-SDDRHHMPSA-N 0 1 284.306 0.577 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CC3(O)CCC3)[C@H]2C1 ZINC001083076623 821120285 /nfs/dbraw/zinc/12/02/85/821120285.db2.gz VOHSJCFEGSVFHL-UONOGXRCSA-N 0 1 292.379 0.226 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)/C(C)=C/C)[C@H]2C1 ZINC001083043285 821126867 /nfs/dbraw/zinc/12/68/67/821126867.db2.gz YUXGBNRESFFSCL-ODYZYYDOSA-N 0 1 262.353 0.888 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)/C=C/c3ccc[nH]3)[C@H]2C1 ZINC001083062627 821131771 /nfs/dbraw/zinc/13/17/71/821131771.db2.gz VMCWDYADVKOAHZ-BUWFCSEKSA-N 0 1 299.374 0.963 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@@H]1CN(CC(=C)C)C[C@@H]1O ZINC001083998878 821168885 /nfs/dbraw/zinc/16/88/85/821168885.db2.gz SGZCURLALJCWBJ-SJORKVTESA-N 0 1 298.386 0.948 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cc(OC)no3)[C@@H]2C1 ZINC001084295271 821255336 /nfs/dbraw/zinc/25/53/36/821255336.db2.gz QXIXOKNGGMIVDX-VXGBXAGGSA-N 0 1 289.335 0.853 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](O)C(C)C)[C@@H]2C1 ZINC001084321810 821268645 /nfs/dbraw/zinc/26/86/45/821268645.db2.gz BQFOLCUYAQSEDK-JHJVBQTASA-N 0 1 252.358 0.722 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@]3(CC)CCNC3=O)[C@@H]2C1 ZINC001084422202 821283980 /nfs/dbraw/zinc/28/39/80/821283980.db2.gz PWEYWNQIGVUHIB-IOASZLSFSA-N 0 1 291.395 0.621 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCNC3=O)[C@@H]2C1 ZINC001084427671 821284628 /nfs/dbraw/zinc/28/46/28/821284628.db2.gz QAFJXTUQFCAJBB-MGPQQGTHSA-N 0 1 289.379 0.069 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCCNC3=O)[C@@H]2C1 ZINC001084427671 821284633 /nfs/dbraw/zinc/28/46/33/821284633.db2.gz QAFJXTUQFCAJBB-MGPQQGTHSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCN(C)C(=O)C3)[C@@H]2C1 ZINC001084460196 821293739 /nfs/dbraw/zinc/29/37/39/821293739.db2.gz NLUXMLGMMVFLCJ-BFHYXJOUSA-N 0 1 291.395 0.574 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cccc(=O)n3C)[C@@H]2C1 ZINC001084649554 821338234 /nfs/dbraw/zinc/33/82/34/821338234.db2.gz VBLNSRXPZRVJAH-TZMCWYRMSA-N 0 1 287.363 0.718 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3ncccn3)[C@@H]2C1 ZINC001084733660 821365285 /nfs/dbraw/zinc/36/52/85/821365285.db2.gz ZTIANWKTXWRRDR-CHWSQXEVSA-N 0 1 270.336 0.646 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CCN(CCOC)C[C@H]32)nc1 ZINC001084784045 821390202 /nfs/dbraw/zinc/39/02/02/821390202.db2.gz XRPDLHNHYVEIKD-GDBMZVCRSA-N 0 1 299.374 0.856 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2(COC)CC2)[C@H](O)C1 ZINC001099832534 821396277 /nfs/dbraw/zinc/39/62/77/821396277.db2.gz IRMXDDFNFJOGJA-UONOGXRCSA-N 0 1 294.395 0.378 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C(F)F)CC2)[C@@H](O)C1 ZINC001099836360 821408062 /nfs/dbraw/zinc/40/80/62/821408062.db2.gz YUYIHJSOBZUKMB-QWRGUYRKSA-N 0 1 286.322 0.606 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3CCCOC3)C[C@@H]21 ZINC001084903544 821426071 /nfs/dbraw/zinc/42/60/71/821426071.db2.gz ICBJBSQWWUUNNU-ILXRZTDVSA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCn1cc(C(=O)N2CCC[C@H]2c2nnc[nH]2)nn1 ZINC000820505270 821445850 /nfs/dbraw/zinc/44/58/50/821445850.db2.gz KPGAAXUCLPUQRN-JTQLQIEISA-N 0 1 273.300 0.560 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)CCCNC(=O)CCC ZINC001231204324 821509428 /nfs/dbraw/zinc/50/94/28/821509428.db2.gz MIYSQNOYFWFWOH-UHFFFAOYSA-N 0 1 298.387 0.236 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1cc2n(n1)CCC2 ZINC001085631229 821861408 /nfs/dbraw/zinc/86/14/08/821861408.db2.gz PCCYUCMKMRYBLW-AWEZNQCLSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc2n(n1)CCC2 ZINC001085631229 821861418 /nfs/dbraw/zinc/86/14/18/821861418.db2.gz PCCYUCMKMRYBLW-AWEZNQCLSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N(C)C[C@H]1CCN1CCOC ZINC001085646457 821869372 /nfs/dbraw/zinc/86/93/72/821869372.db2.gz CHVBXQHHGSHPRJ-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCN(C)C(=O)C1 ZINC001085676935 821896924 /nfs/dbraw/zinc/89/69/24/821896924.db2.gz BFVIUFDOPVJLBO-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1nccn2ccnc12 ZINC001085824564 821971510 /nfs/dbraw/zinc/97/15/10/821971510.db2.gz MQBVQUOPEAUGSY-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C[C@H]1CCCN1CC(=O)NC[C@]1(C)CN(CC#N)CCO1 ZINC001108214032 821983943 /nfs/dbraw/zinc/98/39/43/821983943.db2.gz XFBJQAMPDJDUGV-DZGCQCFKSA-N 0 1 294.399 0.201 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N(C)C[C@@H]1CCN1CCO ZINC001085864935 821987491 /nfs/dbraw/zinc/98/74/91/821987491.db2.gz OUDDPAUMSMLBAP-KKUMJFAQSA-N 0 1 296.411 0.883 20 30 CCEDMN CN(C)c1ccncc1C(=O)N(C)C[C@H]1CCN1CC#N ZINC001085930508 822021402 /nfs/dbraw/zinc/02/14/02/822021402.db2.gz ZKSVWGXCSXQBBP-GFCCVEGCSA-N 0 1 287.367 0.817 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@@H]2CCN2C[C@H](C)O)cn1 ZINC001085932597 822023976 /nfs/dbraw/zinc/02/39/76/822023976.db2.gz CRYILILFVNQHJI-WFASDCNBSA-N 0 1 287.363 0.590 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H]2CCN2CC#N)CC1 ZINC001085986702 822053919 /nfs/dbraw/zinc/05/39/19/822053919.db2.gz LIDGIEGQBKBXBH-OAHLLOKOSA-N 0 1 288.395 0.388 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](NC(=O)C=C(C)C)CC1 ZINC001273385848 822065570 /nfs/dbraw/zinc/06/55/70/822065570.db2.gz YFKRUZIRKLLTDB-AWEZNQCLSA-N 0 1 291.395 0.673 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1nn(CC)nc1C ZINC001086003939 822066868 /nfs/dbraw/zinc/06/68/68/822066868.db2.gz UHDDQEVDCBBYLL-CYBMUJFWSA-N 0 1 289.383 0.776 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@H]1C[C@@H](NCC#N)C1 ZINC001086122649 822119883 /nfs/dbraw/zinc/11/98/83/822119883.db2.gz SOVUQDXLFLWYBM-XQQFMLRXSA-N 0 1 264.373 0.479 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ncccn2)[C@@H](O)C1 ZINC001100041236 822147388 /nfs/dbraw/zinc/14/73/88/822147388.db2.gz GIYQJQDXGABQJO-STQMWFEESA-N 0 1 290.367 0.147 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@H]1C[C@H](NCC#N)C1 ZINC001086402425 822239264 /nfs/dbraw/zinc/23/92/64/822239264.db2.gz SOVUQDXLFLWYBM-AVGNSLFASA-N 0 1 264.373 0.479 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1nnc(C2CC2)n1C ZINC001155827727 822255207 /nfs/dbraw/zinc/25/52/07/822255207.db2.gz FXXZBRWVJRSPMJ-SNVBAGLBSA-N 0 1 290.371 0.448 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)OCC(C)C ZINC001113966910 837385988 /nfs/dbraw/zinc/38/59/88/837385988.db2.gz YZKHJHQYCGCSFD-MQYQWHSLSA-N 0 1 264.369 0.727 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)OC ZINC001114021547 837405307 /nfs/dbraw/zinc/40/53/07/837405307.db2.gz OEPXNQQLQOOKTP-CIQGVGRVSA-N 0 1 250.342 0.481 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cscn1 ZINC001114013518 837408171 /nfs/dbraw/zinc/40/81/71/837408171.db2.gz YBFKLYXADBFIOL-PJXYFTJBSA-N 0 1 291.376 0.453 20 30 CCEDMN C/C=C(/C)C(=O)NC1[C@H]2CN(CC#CCOC)C[C@@H]12 ZINC001114125884 837445571 /nfs/dbraw/zinc/44/55/71/837445571.db2.gz QERLLSYZNBIIQD-HKMCGVMDSA-N 0 1 262.353 0.649 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC1(C)C ZINC001114143855 837453215 /nfs/dbraw/zinc/45/32/15/837453215.db2.gz VQWAKZJJCMMZIA-RFQIPJPRSA-N 0 1 276.380 0.729 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(C)c1 ZINC001114173435 837462224 /nfs/dbraw/zinc/46/22/24/837462224.db2.gz APLVQLDTWUPPQF-FICVDOATSA-N 0 1 288.347 0.898 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1[C@H]2CN(CCOCC(F)F)C[C@H]21 ZINC001114253943 837487515 /nfs/dbraw/zinc/48/75/15/837487515.db2.gz XAXGSVFMRWLFTH-JYAVWHMHSA-N 0 1 298.333 0.728 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3cccnc3)C[C@H]21 ZINC001114270936 837492991 /nfs/dbraw/zinc/49/29/91/837492991.db2.gz MXACNIKMKOJNAT-ARLBYUKCSA-N 0 1 299.374 0.666 20 30 CCEDMN C#CCOCCC(=O)NCCCN[C@@H](C)c1nnc(C)[nH]1 ZINC001157846712 837496203 /nfs/dbraw/zinc/49/62/03/837496203.db2.gz ZJQLRWHAYOSMFC-NSHDSACASA-N 0 1 293.371 0.310 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1nocc1C ZINC001157879801 837540994 /nfs/dbraw/zinc/54/09/94/837540994.db2.gz IEQZNIIUYBADEX-LBPRGKRZSA-N 0 1 279.340 0.617 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(CC#CC)C[C@@H]2O)cc1 ZINC001090484156 837784531 /nfs/dbraw/zinc/78/45/31/837784531.db2.gz WRLZEGZZRQFIBE-IRXDYDNUSA-N 0 1 296.370 0.856 20 30 CCEDMN N#C[C@@H](Cc1ccc(O)cc1)C(=O)NCc1nnc[nH]1 ZINC001183612597 844044543 /nfs/dbraw/zinc/04/45/43/844044543.db2.gz HBLHKHNCDSIMOP-SNVBAGLBSA-N 0 1 271.280 0.509 20 30 CCEDMN C#CCCN1CCO[C@](C)(CNC(=O)CN2CCCC2)C1 ZINC001108399277 836003944 /nfs/dbraw/zinc/00/39/44/836003944.db2.gz NCSDVIZBOUJVRU-MRXNPFEDSA-N 0 1 293.411 0.313 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)cn1)NC(=O)Cc1nnc[nH]1 ZINC001108516511 836395523 /nfs/dbraw/zinc/39/55/23/836395523.db2.gz MSIJICLWROQYNM-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN C=CC[C@H](Nc1nc2[nH]cnc2c(OC)n1)C(=O)OC ZINC001169837050 836456147 /nfs/dbraw/zinc/45/61/47/836456147.db2.gz VRBQLCWXQJVFCX-ZETCQYMHSA-N 0 1 277.284 0.891 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nc1)NC(=O)CCc1c[nH]nn1 ZINC001108707838 836516393 /nfs/dbraw/zinc/51/63/93/836516393.db2.gz PVZXCOYILLXAKJ-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nc1)NC(=O)CCc1cnn[nH]1 ZINC001108707838 836516399 /nfs/dbraw/zinc/51/63/99/836516399.db2.gz PVZXCOYILLXAKJ-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(Cl)n2C)[C@@H](O)C1 ZINC001090425175 836663609 /nfs/dbraw/zinc/66/36/09/836663609.db2.gz MATCQTLYZDWENW-ONGXEEELSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOCCO ZINC001109603158 836702122 /nfs/dbraw/zinc/70/21/22/836702122.db2.gz JUORQFKIXRQLDT-RDBSUJKOSA-N 0 1 296.411 0.929 20 30 CCEDMN N#Cc1c(Cl)nc(Cl)nc1NC[C@@H]1COCCN1 ZINC001170035195 836753438 /nfs/dbraw/zinc/75/34/38/836753438.db2.gz JRTGNDBECHSYJM-ZCFIWIBFSA-N 0 1 288.138 0.477 20 30 CCEDMN C=CCCCN1CCN(C(=O)C2CN(C(C)=O)C2)CC1 ZINC001112798017 836915764 /nfs/dbraw/zinc/91/57/64/836915764.db2.gz KHXPHAQKLCROMH-UHFFFAOYSA-N 0 1 279.384 0.575 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C[C@@H]2CCCOC2)CC1 ZINC001112843918 836940826 /nfs/dbraw/zinc/94/08/26/836940826.db2.gz MTQDBHOXZYACMO-HNNXBMFYSA-N 0 1 294.395 0.597 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC[C@@H](C)F)CC1 ZINC001112843928 836940863 /nfs/dbraw/zinc/94/08/63/836940863.db2.gz NILWVTOCNATCQI-CYBMUJFWSA-N 0 1 270.348 0.919 20 30 CCEDMN C=CCCN1CCN(C(=O)CCCn2ccnn2)CC1 ZINC001112956253 836976074 /nfs/dbraw/zinc/97/60/74/836976074.db2.gz FWVGYQIXTVDNER-UHFFFAOYSA-N 0 1 277.372 0.779 20 30 CCEDMN C[C@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1ccncc1C#N ZINC001113114775 837028306 /nfs/dbraw/zinc/02/83/06/837028306.db2.gz NJGYIPCSIYBLDY-IUCAKERBSA-N 0 1 285.311 0.112 20 30 CCEDMN C[C@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1ccncc1C#N ZINC001113114775 837028319 /nfs/dbraw/zinc/02/83/19/837028319.db2.gz NJGYIPCSIYBLDY-IUCAKERBSA-N 0 1 285.311 0.112 20 30 CCEDMN C[C@H](NC(=O)Cc1nnc[nH]1)[C@H](C)Nc1ncccc1C#N ZINC001113150341 837035868 /nfs/dbraw/zinc/03/58/68/837035868.db2.gz KTTWECNDUALHRQ-UWVGGRQHSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H](C)CC(N)=O)CC1 ZINC001113196834 837059228 /nfs/dbraw/zinc/05/92/28/837059228.db2.gz XHUJVBVLKQKOSV-STQMWFEESA-N 0 1 281.400 0.997 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@](C)(C(N)=O)C(C)C ZINC001170129337 837060147 /nfs/dbraw/zinc/06/01/47/837060147.db2.gz XFLSVRTXENZDJB-ZDUSSCGKSA-N 0 1 268.361 0.238 20 30 CCEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2CNC(=O)N2)CC1 ZINC001113275682 837075831 /nfs/dbraw/zinc/07/58/31/837075831.db2.gz VREDGQPRWJLNGR-ZDUSSCGKSA-N 0 1 294.399 0.558 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2CNC(=O)N2)CC1 ZINC001113275787 837075925 /nfs/dbraw/zinc/07/59/25/837075925.db2.gz ZGLDPQPIMDMJLP-NWDGAFQWSA-N 0 1 280.372 0.167 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2C[C@H]2C(=O)N(C)C)CC1 ZINC001113349265 837091757 /nfs/dbraw/zinc/09/17/57/837091757.db2.gz SKCFZJPCYSRQRP-KGLIPLIRSA-N 0 1 293.411 0.821 20 30 CCEDMN C=CCCN1CCN(C(=O)C(C)(C)NC(=O)NC)CC1 ZINC001113681175 837193688 /nfs/dbraw/zinc/19/36/88/837193688.db2.gz INQRDOGHWCSBDT-UHFFFAOYSA-N 0 1 282.388 0.414 20 30 CCEDMN C=CCCCN1CCN(C(=O)CCc2cn(C)nn2)CC1 ZINC001113736412 837210598 /nfs/dbraw/zinc/21/05/98/837210598.db2.gz IUUFVTMRSQDPTR-UHFFFAOYSA-N 0 1 291.399 0.858 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccncc1 ZINC001113774722 837230049 /nfs/dbraw/zinc/23/00/49/837230049.db2.gz IGNIJPRJSGTOPS-FICVDOATSA-N 0 1 285.347 0.391 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CCCC1 ZINC001113766429 837232662 /nfs/dbraw/zinc/23/26/62/837232662.db2.gz CTQBCTWRFIXLLR-FICVDOATSA-N 0 1 276.380 0.873 20 30 CCEDMN C[C@H](NCCCNC(=O)C#CC1CC1)c1nncn1C ZINC001157682495 837235347 /nfs/dbraw/zinc/23/53/47/837235347.db2.gz JDGOJONSYVUYBF-NSHDSACASA-N 0 1 275.356 0.385 20 30 CCEDMN CCSCCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001113942098 837376393 /nfs/dbraw/zinc/37/63/93/837376393.db2.gz HYZGMCUMMFZFGF-NHAGDIPZSA-N 0 1 296.436 0.826 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)CC1CN(C)C1 ZINC001130851386 837942969 /nfs/dbraw/zinc/94/29/69/837942969.db2.gz BMYZADTUJVDUNB-STQMWFEESA-N 0 1 252.358 0.988 20 30 CCEDMN N#CCNCCNC(=O)c1ccn(-c2ccncc2)n1 ZINC001131196465 838019154 /nfs/dbraw/zinc/01/91/54/838019154.db2.gz GWLGAVSBMMVZJK-UHFFFAOYSA-N 0 1 270.296 0.110 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)CC(N)=O)C1 ZINC001184840922 844296598 /nfs/dbraw/zinc/29/65/98/844296598.db2.gz GTQXBJVIEMPBOX-WCBMZHEXSA-N 0 1 273.764 0.441 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)CCCOC)C[C@@H](C)O2 ZINC001131634136 838162368 /nfs/dbraw/zinc/16/23/68/838162368.db2.gz VARRASVPWLVWNL-ZBFHGGJFSA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C(C)(C)C(N)=O)CC[C@@H]1C ZINC001132121053 838320952 /nfs/dbraw/zinc/32/09/52/838320952.db2.gz YSMFTWCYFHIMHA-QWRGUYRKSA-N 0 1 267.373 0.653 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001132141081 838328441 /nfs/dbraw/zinc/32/84/41/838328441.db2.gz CQQSXKMFXRSEFR-SNVBAGLBSA-N 0 1 289.807 0.996 20 30 CCEDMN C#CC[NH2+]CCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001132203180 838336835 /nfs/dbraw/zinc/33/68/35/838336835.db2.gz OMPRZJXSVHGLLI-UHFFFAOYSA-N 0 1 276.340 0.567 20 30 CCEDMN C[C@H](CC(N)=O)C(=O)NCCNCc1ccccc1C#N ZINC001132352162 838366073 /nfs/dbraw/zinc/36/60/73/838366073.db2.gz KYEPDUFUCSLWDU-LLVKDONJSA-N 0 1 288.351 0.276 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C(=O)NCC2CC2)CC[C@@H]1C ZINC001132383986 838382876 /nfs/dbraw/zinc/38/28/76/838382876.db2.gz HIVZHGFVGMRSSU-WCQYABFASA-N 0 1 279.384 0.668 20 30 CCEDMN CC#CCCCC(=O)NCCNCc1n[nH]c(C)n1 ZINC001132592841 838446198 /nfs/dbraw/zinc/44/61/98/838446198.db2.gz FKDUXRPGPYISGW-UHFFFAOYSA-N 0 1 263.345 0.513 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)Cc3cnc[nH]3)CC2)cn1 ZINC001110356771 844340689 /nfs/dbraw/zinc/34/06/89/844340689.db2.gz NZRZOTZNIPWRNE-UHFFFAOYSA-N 0 1 296.334 0.980 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1n[nH]cc1F ZINC001133478079 838646172 /nfs/dbraw/zinc/64/61/72/838646172.db2.gz DPAXIEYRXKHMBQ-UHFFFAOYSA-N 0 1 291.124 0.777 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)c1nc(SC)ncc1O ZINC001185188383 844358212 /nfs/dbraw/zinc/35/82/12/844358212.db2.gz ZDJKONXEVPEFOZ-UHFFFAOYSA-N 0 1 297.336 0.753 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@H](C)NCc1nncn1C ZINC001133909796 838774641 /nfs/dbraw/zinc/77/46/41/838774641.db2.gz RBZOOJMAENGCBM-RYUDHWBXSA-N 0 1 295.387 0.391 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)C[C@H](C)NCc1ncnn1C ZINC001134200101 838909207 /nfs/dbraw/zinc/90/92/07/838909207.db2.gz ATYPSVVZDLAXFL-QWHCGFSZSA-N 0 1 291.399 0.992 20 30 CCEDMN N#CCNCCNC(=O)c1nnc2ccccc2c1O ZINC001134591866 839016517 /nfs/dbraw/zinc/01/65/17/839016517.db2.gz YHPYLVAOLFYYFK-UHFFFAOYSA-N 0 1 271.280 0.178 20 30 CCEDMN C=CCCCC(=O)N[C@H](C)C[C@H](C)NCc1nnnn1C ZINC001135353467 839223408 /nfs/dbraw/zinc/22/34/08/839223408.db2.gz HWVFKKMPKIWSSJ-NWDGAFQWSA-N 0 1 294.403 0.939 20 30 CCEDMN CC[C@@](N)(CO)Nc1c(Br)cncc1C#N ZINC001170865114 839460615 /nfs/dbraw/zinc/46/06/15/839460615.db2.gz YQMYIXLTLAXAMI-JTQLQIEISA-N 0 1 285.145 0.607 20 30 CCEDMN C#CCCCC(=O)NCCC1=CCN([C@H](C)C(N)=O)CC1 ZINC001159945418 839637165 /nfs/dbraw/zinc/63/71/65/839637165.db2.gz ODQHLDYDOHEXCU-CYBMUJFWSA-N 0 1 291.395 0.802 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(OC)o2)[C@@H](O)C1 ZINC001090672866 839727139 /nfs/dbraw/zinc/72/71/39/839727139.db2.gz ZMJNHTKUEYBAAB-QWRGUYRKSA-N 0 1 280.324 0.639 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cn(CC)nn2)[C@@H](O)C1 ZINC001090677985 839731115 /nfs/dbraw/zinc/73/11/15/839731115.db2.gz CSBSBQMMMMSHGM-AAEUAGOBSA-N 0 1 293.371 0.039 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccn(C)n2)[C@H](O)C1 ZINC001090808335 839828037 /nfs/dbraw/zinc/82/80/37/839828037.db2.gz JDQOJTAIVWFFHO-WCQYABFASA-N 0 1 278.356 0.161 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccc[nH]2)[C@H](O)C1 ZINC001090823191 839838909 /nfs/dbraw/zinc/83/89/09/839838909.db2.gz HZXQKJRTVVEMMQ-QWHCGFSZSA-N 0 1 263.341 0.295 20 30 CCEDMN N#Cc1c[nH]c2cc(C(=O)NCc3nn[nH]n3)ccc12 ZINC001143974614 839908431 /nfs/dbraw/zinc/90/84/31/839908431.db2.gz VPWYHUOSFIEHHA-UHFFFAOYSA-N 0 1 267.252 0.483 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCc2ccc(=O)[nH]c2)CC1 ZINC001144003260 839910341 /nfs/dbraw/zinc/91/03/41/839910341.db2.gz HHSYDZQLQNDZOH-UHFFFAOYSA-N 0 1 288.351 0.824 20 30 CCEDMN CNC(=O)C1CCN(Cc2ccc(C#N)cn2)CC1 ZINC001144149379 839959775 /nfs/dbraw/zinc/95/97/75/839959775.db2.gz GXAWCYNIXXYMND-UHFFFAOYSA-N 0 1 258.325 0.911 20 30 CCEDMN N#CCNC1CC(CNC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001091228095 840150206 /nfs/dbraw/zinc/15/02/06/840150206.db2.gz YCTCTKUWXSUVKL-HTAVTVPLSA-N 0 1 287.367 0.838 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)OCC)C2)C1 ZINC001147057098 840481157 /nfs/dbraw/zinc/48/11/57/840481157.db2.gz VQBULUVZDPNGER-LBPRGKRZSA-N 0 1 250.342 0.579 20 30 CCEDMN CCO[C@H](C)C(=O)N1CC2(C1)CCN(CC#CCOC)C2 ZINC001147057247 840481828 /nfs/dbraw/zinc/48/18/28/840481828.db2.gz ZSCBIHGIUYEXNX-CQSZACIVSA-N 0 1 294.395 0.596 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCCCC(N)=O ZINC001147118846 840491834 /nfs/dbraw/zinc/49/18/34/840491834.db2.gz FDWHVSAXFPPSIT-UHFFFAOYSA-N 0 1 261.753 0.490 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)c2[nH]nnc2C)CC1 ZINC001147573097 840621353 /nfs/dbraw/zinc/62/13/53/840621353.db2.gz NPYQKAYGQDJQSE-UHFFFAOYSA-N 0 1 277.284 0.032 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3(CCOC)CC3)C2)C1 ZINC001147690708 840659313 /nfs/dbraw/zinc/65/93/13/840659313.db2.gz WKHIFZQWUHKCDC-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCCC(=O)N1C ZINC001149456052 840877553 /nfs/dbraw/zinc/87/75/53/840877553.db2.gz WSAVJDPTWMGPBZ-SNVBAGLBSA-N 0 1 273.764 0.456 20 30 CCEDMN Cc1cc(N(C)C)nc(N[C@@H]2C(=O)N(O)C[C@@H]2C)n1 ZINC001171362398 840950356 /nfs/dbraw/zinc/95/03/56/840950356.db2.gz DFWXELPEEBWYHY-XVKPBYJWSA-N 0 1 265.317 0.499 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)COCC)[C@@H]2C1 ZINC001186733531 844595037 /nfs/dbraw/zinc/59/50/37/844595037.db2.gz NDBOBKYWOXFOCG-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@@](C)(O)C=C)C1 ZINC001149622498 841010310 /nfs/dbraw/zinc/01/03/10/841010310.db2.gz ANKVYLODAAWKDE-ZFWWWQNUSA-N 0 1 282.384 0.707 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCSC)[C@@H]2C1 ZINC001186764770 844598827 /nfs/dbraw/zinc/59/88/27/844598827.db2.gz WFLXEVALTMEHLZ-NWDGAFQWSA-N 0 1 252.383 0.905 20 30 CCEDMN CN1CC(C(=O)N2CC[C@H]3[C@@H](CCN3CC#N)C2)=NC1=O ZINC001036810252 841241820 /nfs/dbraw/zinc/24/18/20/841241820.db2.gz YKVHKTKGYVERSQ-JQWIXIFHSA-N 0 1 289.339 0.186 20 30 CCEDMN CCOC(=O)[C@H]1c2[nH]cnc2CCN1C(=O)[C@@H]1C[C@@H]1C#N ZINC001186977049 844631459 /nfs/dbraw/zinc/63/14/59/844631459.db2.gz IXWQRAUNIHKOLT-KBVBSXBZSA-N 0 1 288.307 0.558 20 30 CCEDMN C#CCCCC(=O)NCCNc1ncnc2[nH]cnc21 ZINC001093582296 841348084 /nfs/dbraw/zinc/34/80/84/841348084.db2.gz FVCNROMKUZCMNU-UHFFFAOYSA-N 0 1 272.312 0.636 20 30 CCEDMN C=CC(=NCOC)NCc1ccccc1-n1cnnn1 ZINC001171418575 841380172 /nfs/dbraw/zinc/38/01/72/841380172.db2.gz UGQVAFLLCSIQFB-UHFFFAOYSA-N 0 1 272.312 0.940 20 30 CCEDMN CN(CC(=O)NCCNc1nccnc1C#N)C1CCC1 ZINC001094221627 841559744 /nfs/dbraw/zinc/55/97/44/841559744.db2.gz JUVWTTJBNXOGCG-UHFFFAOYSA-N 0 1 288.355 0.361 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1cc(C)cn1)C2 ZINC001110155858 841610609 /nfs/dbraw/zinc/61/06/09/841610609.db2.gz BDWITEGKJQHAHG-ILXRZTDVSA-N 0 1 286.379 0.936 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCCNc1ncccc1C#N ZINC001094340865 841610697 /nfs/dbraw/zinc/61/06/97/841610697.db2.gz WUQFOCLXWYUFKC-CYBMUJFWSA-N 0 1 287.367 0.966 20 30 CCEDMN COc1nc(Nc2nccnc2CN)ccc1C#N ZINC001171443339 841633380 /nfs/dbraw/zinc/63/33/80/841633380.db2.gz BOHGNHYZPGQLQK-UHFFFAOYSA-N 0 1 256.269 0.954 20 30 CCEDMN Cc1cc(C#N)nc(NCCCNC(=O)c2ncn[nH]2)n1 ZINC001094533484 841659804 /nfs/dbraw/zinc/65/98/04/841659804.db2.gz WARXIAVTLJSCSS-UHFFFAOYSA-N 0 1 286.299 0.007 20 30 CCEDMN Cc1cc(C#N)nc(NCCCNC(=O)c2nc[nH]n2)n1 ZINC001094533484 841659808 /nfs/dbraw/zinc/65/98/08/841659808.db2.gz WARXIAVTLJSCSS-UHFFFAOYSA-N 0 1 286.299 0.007 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cnco2)[C@@H](O)C1 ZINC001099936646 841733657 /nfs/dbraw/zinc/73/36/57/841733657.db2.gz BRHVFFCZQLUKOC-NEPJUHHUSA-N 0 1 295.339 0.042 20 30 CCEDMN N#C[C@@H]1CN([C@H]2CCN3C(=O)CC[C@@H]3C2)CCC1=O ZINC001172191613 841861091 /nfs/dbraw/zinc/86/10/91/841861091.db2.gz YJGTUXCPMMIPSP-GRYCIOLGSA-N 0 1 261.325 0.554 20 30 CCEDMN CN1CCC(C#N)(N[C@H]2CCN3C(=O)CC[C@@H]3C2)CC1 ZINC001172177814 841886525 /nfs/dbraw/zinc/88/65/25/841886525.db2.gz ZEWDAVGCODFFPY-QWHCGFSZSA-N 0 1 276.384 0.717 20 30 CCEDMN C[C@H](Cc1ccc(C#N)cc1)N1CCN(CC(N)=O)CC1 ZINC001172319932 841917125 /nfs/dbraw/zinc/91/71/25/841917125.db2.gz RUXUHDUVQSSFDG-CYBMUJFWSA-N 0 1 286.379 0.592 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)COCC(F)F)C1 ZINC001150030618 841929507 /nfs/dbraw/zinc/92/95/07/841929507.db2.gz SHGCNUBEGBRKSN-LLVKDONJSA-N 0 1 292.326 0.661 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccccn2)[C@@H](O)C1 ZINC001099941900 842041877 /nfs/dbraw/zinc/04/18/77/842041877.db2.gz ITZWHSWYOJOVNZ-GJZGRUSLSA-N 0 1 289.379 0.752 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc[nH]c(=O)c1)C2 ZINC001095292043 842175086 /nfs/dbraw/zinc/17/50/86/842175086.db2.gz XTMHECWFDLIRLK-AGIUHOORSA-N 0 1 271.320 0.756 20 30 CCEDMN C#CC[NH2+]CCCC[C@@H](C)NC(=O)c1[n-]nnc1C ZINC001174614352 842197490 /nfs/dbraw/zinc/19/74/90/842197490.db2.gz FKAKCNRITPBTMU-SNVBAGLBSA-N 0 1 263.345 0.625 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)NCCCCC#N ZINC001176381435 842360991 /nfs/dbraw/zinc/36/09/91/842360991.db2.gz YXKKPAAIBIYNGD-GFCCVEGCSA-N 0 1 277.328 0.267 20 30 CCEDMN COC(=O)n1ncc(C#N)c1NC(=O)Cc1cnc[nH]1 ZINC001176836595 842420636 /nfs/dbraw/zinc/42/06/36/842420636.db2.gz LLHGOQDUWTZYMO-UHFFFAOYSA-N 0 1 274.240 0.274 20 30 CCEDMN N#CCN(Cc1ccccc1)C(=O)Cc1nn[nH]n1 ZINC001176840667 842428549 /nfs/dbraw/zinc/42/85/49/842428549.db2.gz MEVWYHKLZCVMAJ-UHFFFAOYSA-N 0 1 256.269 0.295 20 30 CCEDMN N#Cc1nc[nH]c1NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001177172965 842510640 /nfs/dbraw/zinc/51/06/40/842510640.db2.gz OTGXPICGEAIZIY-ZETCQYMHSA-N 0 1 256.269 0.748 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001177181304 842519600 /nfs/dbraw/zinc/51/96/00/842519600.db2.gz FELVGMREANFDDA-SNVBAGLBSA-N 0 1 277.324 0.750 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1nncn1C ZINC001177264389 842539132 /nfs/dbraw/zinc/53/91/32/842539132.db2.gz GDGZTGGBUSWIHT-UHFFFAOYSA-N 0 1 281.360 0.004 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H]1C[N@@H+](CC#CC)CCCO1 ZINC001150811276 842888521 /nfs/dbraw/zinc/88/85/21/842888521.db2.gz PAIFNICMWZBOIS-OAHLLOKOSA-N 0 1 294.395 0.810 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H]1CN(CC#CC)CCCO1 ZINC001150811276 842888527 /nfs/dbraw/zinc/88/85/27/842888527.db2.gz PAIFNICMWZBOIS-OAHLLOKOSA-N 0 1 294.395 0.810 20 30 CCEDMN CCOC(=O)C(C)(C)C(=O)Nc1nc[nH]c1C#N ZINC001181052106 843382676 /nfs/dbraw/zinc/38/26/76/843382676.db2.gz HMSKUPFMSGCVIX-UHFFFAOYSA-N 0 1 250.258 0.809 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCN(C)C(=O)CC ZINC001273474884 843797667 /nfs/dbraw/zinc/79/76/67/843797667.db2.gz KHGOQRYNJZAPFT-GFCCVEGCSA-N 0 1 267.373 0.315 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](NCc2cnnn2C)C1 ZINC001182388783 843799713 /nfs/dbraw/zinc/79/97/13/843799713.db2.gz LFYRAWQXXHLPQY-GFCCVEGCSA-N 0 1 275.356 0.165 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@H](NCc2ocnc2C)C1 ZINC001182504763 843847158 /nfs/dbraw/zinc/84/71/58/843847158.db2.gz JNEQUIFZXOWTSF-QWHCGFSZSA-N 0 1 291.351 0.712 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@H](NCc2cnc(C)o2)C1 ZINC001182505470 843847283 /nfs/dbraw/zinc/84/72/83/843847283.db2.gz ZKKLAOFSWRNPLM-DGCLKSJQSA-N 0 1 291.351 0.712 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CCCN(C)C(C)=O ZINC001273476081 843864587 /nfs/dbraw/zinc/86/45/87/843864587.db2.gz ZTUNPMFOGWXCTF-NSHDSACASA-N 0 1 298.387 0.187 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@H](C)OC)[C@@H]2C1 ZINC001187261768 844691338 /nfs/dbraw/zinc/69/13/38/844691338.db2.gz FJEHJIQSSXDCAT-FRRDWIJNSA-N 0 1 250.342 0.577 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2CCN(C(=O)COCCOC)[C@@H]2C1 ZINC001187344743 844715410 /nfs/dbraw/zinc/71/54/10/844715410.db2.gz ZSRKIAAVXSXQLT-QWHCGFSZSA-N 0 1 268.357 0.368 20 30 CCEDMN CN(CC#N)CCCN(C)C(=O)[C@@H]1CCCCN1C ZINC001273548692 844772054 /nfs/dbraw/zinc/77/20/54/844772054.db2.gz ZJZYKQCMAYSYBA-ZDUSSCGKSA-N 0 1 266.389 0.775 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H]1CC(=O)OCC ZINC000156072370 844853803 /nfs/dbraw/zinc/85/38/03/844853803.db2.gz GGQNBIPORFGYCP-LLVKDONJSA-N 0 1 254.330 0.706 20 30 CCEDMN N#CC1(NC(=O)c2ncn[nH]2)Cc2ccccc2C1 ZINC001188703838 844955501 /nfs/dbraw/zinc/95/55/01/844955501.db2.gz VAAVSDUMRHAGFD-UHFFFAOYSA-N 0 1 253.265 0.596 20 30 CCEDMN N#CC1(NC(=O)c2nc[nH]n2)Cc2ccccc2C1 ZINC001188703838 844955509 /nfs/dbraw/zinc/95/55/09/844955509.db2.gz VAAVSDUMRHAGFD-UHFFFAOYSA-N 0 1 253.265 0.596 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C=C(C)C)C1 ZINC001188718445 844960867 /nfs/dbraw/zinc/96/08/67/844960867.db2.gz TYAIXNSVKGYBEO-KGLIPLIRSA-N 0 1 291.395 0.623 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cn2cccn2)C1 ZINC001188864093 844986877 /nfs/dbraw/zinc/98/68/77/844986877.db2.gz XZTTYYCTQKOGHQ-ZDUSSCGKSA-N 0 1 260.341 0.439 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC001189012721 845023471 /nfs/dbraw/zinc/02/34/71/845023471.db2.gz NCTXCLJLJNRBEI-CYBMUJFWSA-N 0 1 276.340 0.206 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)OCC)C1 ZINC001189023299 845024681 /nfs/dbraw/zinc/02/46/81/845024681.db2.gz UCXKHCNJORRCEK-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)COC(C)C)C1 ZINC001189206396 845075852 /nfs/dbraw/zinc/07/58/52/845075852.db2.gz SIPQTBWBSJLLFO-AWEZNQCLSA-N 0 1 282.384 0.594 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)COC(C)C)C1 ZINC001189206396 845075857 /nfs/dbraw/zinc/07/58/57/845075857.db2.gz SIPQTBWBSJLLFO-AWEZNQCLSA-N 0 1 282.384 0.594 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC)OC)C1 ZINC001189259839 845100482 /nfs/dbraw/zinc/10/04/82/845100482.db2.gz BRYPCNPADZPASM-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@@H]2CCN(C)C2=O)C1 ZINC001189319440 845107933 /nfs/dbraw/zinc/10/79/33/845107933.db2.gz ZRDLSXVSYZVNRL-CHWSQXEVSA-N 0 1 279.384 0.716 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)Cc2cc[nH]n2)C1 ZINC001189499939 845149675 /nfs/dbraw/zinc/14/96/75/845149675.db2.gz JRJVLSDTGJAGEH-CQSZACIVSA-N 0 1 290.367 0.135 20 30 CCEDMN COCC[N@@H+](C)[C@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC001189685807 845186519 /nfs/dbraw/zinc/18/65/19/845186519.db2.gz ARFZJKVNTZAWQB-LBPRGKRZSA-N 0 1 276.340 0.679 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@H](N(C)[C@@H](CC)C(N)=O)C1 ZINC001189744577 845199809 /nfs/dbraw/zinc/19/98/09/845199809.db2.gz VVAZRGBFOKCCNJ-KBPBESRZSA-N 0 1 293.411 0.977 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCn2ccnn2)C1 ZINC001189846385 845254791 /nfs/dbraw/zinc/25/47/91/845254791.db2.gz CNGVAFWIFOTPTE-ZDUSSCGKSA-N 0 1 275.356 0.224 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](O)C(C)C)C1 ZINC001189956514 845297092 /nfs/dbraw/zinc/29/70/92/845297092.db2.gz RPQSXMMVFUPYQK-CHWSQXEVSA-N 0 1 252.358 0.559 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189918943 845267646 /nfs/dbraw/zinc/26/76/46/845267646.db2.gz XCAIEUUTWFLTRF-GDBMZVCRSA-N 0 1 294.395 0.496 20 30 CCEDMN CNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190085229 845325055 /nfs/dbraw/zinc/32/50/55/845325055.db2.gz VXNGKWDULMYCDV-CHWSQXEVSA-N 0 1 293.411 0.703 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H]([N@H+](C)[C@@H](C)C(N)=O)C1 ZINC001190428470 845403760 /nfs/dbraw/zinc/40/37/60/845403760.db2.gz JILMDAFZSJJUDZ-RYUDHWBXSA-N 0 1 267.373 0.749 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001190428470 845403762 /nfs/dbraw/zinc/40/37/62/845403762.db2.gz JILMDAFZSJJUDZ-RYUDHWBXSA-N 0 1 267.373 0.749 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@H](C)OCC=C)[C@@H]2C1 ZINC001190441009 845403855 /nfs/dbraw/zinc/40/38/55/845403855.db2.gz NMBLAYCPJANNQJ-MELADBBJSA-N 0 1 262.353 0.743 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](C)OCC=C)[C@@H]2C1 ZINC001190441009 845403859 /nfs/dbraw/zinc/40/38/59/845403859.db2.gz NMBLAYCPJANNQJ-MELADBBJSA-N 0 1 262.353 0.743 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2(CC#C)CCOCC2)C1 ZINC001190584013 845438681 /nfs/dbraw/zinc/43/86/81/845438681.db2.gz FBDGYUZXQIJCIG-HNNXBMFYSA-N 0 1 288.391 0.972 20 30 CCEDMN Cc1[nH]ncc1CCCNS(=O)(=O)N(C)CCC#N ZINC001190937711 845541132 /nfs/dbraw/zinc/54/11/32/845541132.db2.gz HNMFILCHDPYFGI-UHFFFAOYSA-N 0 1 285.373 0.331 20 30 CCEDMN C=CCC[C@@H](C)[N@H+]1C[C@@H](O)[C@H](NC(=O)CSCC#N)C1 ZINC001191161762 845598646 /nfs/dbraw/zinc/59/86/46/845598646.db2.gz KIOYIBYQDWNNHV-JHJVBQTASA-N 0 1 297.424 0.759 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCCCNC(N)=O)C1 ZINC001191197831 845604067 /nfs/dbraw/zinc/60/40/67/845604067.db2.gz OPVYEDXBWNHTJQ-ZDUSSCGKSA-N 0 1 294.399 0.381 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001191534081 845675221 /nfs/dbraw/zinc/67/52/21/845675221.db2.gz YFUFQPOTAXIKPP-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@]2(COC)CCOC2)C1 ZINC001191567440 845693312 /nfs/dbraw/zinc/69/33/12/845693312.db2.gz APNMSDSRKKPDKX-ZFWWWQNUSA-N 0 1 280.368 0.205 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)CCCF)C1 ZINC001191781851 845735219 /nfs/dbraw/zinc/73/52/19/845735219.db2.gz WIMOXHQBVVJGAY-STQMWFEESA-N 0 1 299.390 0.960 20 30 CCEDMN C=CCOCC[N@H+]1C[C@@H](O)[C@H](NC(=O)/C=C/C(C)(C)C)C1 ZINC001191843958 845747945 /nfs/dbraw/zinc/74/79/45/845747945.db2.gz OKYQGHOOTHETDJ-JLVOYYQZSA-N 0 1 296.411 0.953 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)/C=C/C(C)(C)C)C1 ZINC001191843958 845747948 /nfs/dbraw/zinc/74/79/48/845747948.db2.gz OKYQGHOOTHETDJ-JLVOYYQZSA-N 0 1 296.411 0.953 20 30 CCEDMN CC(C)OCCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001191881432 845752621 /nfs/dbraw/zinc/75/26/21/845752621.db2.gz IIVAPJDTWZXMMQ-JHJVBQTASA-N 0 1 283.372 0.122 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)/C=C/c2ccc[nH]2)C1 ZINC001192454451 845853716 /nfs/dbraw/zinc/85/37/16/845853716.db2.gz ZIZXFEKVGLZCQN-QAMKJQMISA-N 0 1 275.352 0.765 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[N@@H+](CCCOC(C)C)C[C@H]1O ZINC001192518670 845863495 /nfs/dbraw/zinc/86/34/95/845863495.db2.gz WUUJTZOQZSFGKB-HUUCEWRRSA-N 0 1 296.411 0.766 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCCOC(C)C)C[C@H]1O ZINC001192518670 845863500 /nfs/dbraw/zinc/86/35/00/845863500.db2.gz WUUJTZOQZSFGKB-HUUCEWRRSA-N 0 1 296.411 0.766 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)CC)C1 ZINC001192518546 845864299 /nfs/dbraw/zinc/86/42/99/845864299.db2.gz WNOSEFRIKTXRIX-YNEHKIRRSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)CC)C1 ZINC001192518546 845864311 /nfs/dbraw/zinc/86/43/11/845864311.db2.gz WNOSEFRIKTXRIX-YNEHKIRRSA-N 0 1 252.358 0.607 20 30 CCEDMN CC[C@H](CN1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1)OC ZINC001192813932 845914902 /nfs/dbraw/zinc/91/49/02/845914902.db2.gz SIDJFIZWTRVTLW-MGPQQGTHSA-N 0 1 296.411 0.622 20 30 CCEDMN CC(=O)c1cc(NS(=O)(=O)CC#N)cnc1C ZINC001192951633 845934206 /nfs/dbraw/zinc/93/42/06/845934206.db2.gz NFLIAVBAVBVTNB-UHFFFAOYSA-N 0 1 253.283 0.858 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc3ncccn3n2)C1 ZINC001192976537 845959474 /nfs/dbraw/zinc/95/94/74/845959474.db2.gz CSWFOJKOLLBSNM-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccnn1-c1ccncc1 ZINC001193112365 845981451 /nfs/dbraw/zinc/98/14/51/845981451.db2.gz RTYLOOILDKZKJQ-SECBINFHSA-N 0 1 277.309 0.921 20 30 CCEDMN CCc1nnc2ccc(NS(=O)(=O)[C@@H](C)C#N)cn21 ZINC001193111610 845981474 /nfs/dbraw/zinc/98/14/74/845981474.db2.gz JGCZTAVUDYXRJJ-QMMMGPOBSA-N 0 1 279.325 0.945 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccc2c(c1)CN(C)C2=O ZINC001193146577 846005386 /nfs/dbraw/zinc/00/53/86/846005386.db2.gz PHUSADRORGFJNM-QMMMGPOBSA-N 0 1 279.321 0.926 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001193377666 846067186 /nfs/dbraw/zinc/06/71/86/846067186.db2.gz WKCPVTSRMXOJLB-CHWSQXEVSA-N 0 1 270.373 0.541 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](OCC)C(=C)C)C1 ZINC001193422107 846077426 /nfs/dbraw/zinc/07/74/26/846077426.db2.gz MPFCWFOKSDTMNE-RBSFLKMASA-N 0 1 294.395 0.542 20 30 CCEDMN N#Cc1cc(C(=O)NCCn2ccnn2)ccc1O ZINC001193494085 846093550 /nfs/dbraw/zinc/09/35/50/846093550.db2.gz JCURJAPQSCNASA-UHFFFAOYSA-N 0 1 257.253 0.285 20 30 CCEDMN N#Cc1cc(C(=O)NCc2ccncn2)ccc1O ZINC001193511836 846101625 /nfs/dbraw/zinc/10/16/25/846101625.db2.gz GOHZLCNDOHULAU-UHFFFAOYSA-N 0 1 254.249 0.984 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H]2COCC[C@@H]2O)ccc1O ZINC001193515489 846103081 /nfs/dbraw/zinc/10/30/81/846103081.db2.gz NUFXNQBRVAOJOM-JQWIXIFHSA-N 0 1 262.265 0.143 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCN(C(N)=O)CC2)c1O ZINC001193644022 846135440 /nfs/dbraw/zinc/13/54/40/846135440.db2.gz LVKFQRZZTIMGFI-UHFFFAOYSA-N 0 1 274.280 0.100 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@H](CO)[C@H](O)C2)c1O ZINC001193642337 846135636 /nfs/dbraw/zinc/13/56/36/846135636.db2.gz DVVVNNUYJBHKPT-ZYHUDNBSSA-N 0 1 276.292 0.079 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001193788022 846153432 /nfs/dbraw/zinc/15/34/32/846153432.db2.gz WFZFGJBIDPKKIC-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN C#C[C@@H](C)NC(=O)c1cncc(N2CCN(C)CC2)c1 ZINC001193951258 846187114 /nfs/dbraw/zinc/18/71/14/846187114.db2.gz FLHUDDKPGLEIEW-GFCCVEGCSA-N 0 1 272.352 0.585 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ccn(C)n2)C1 ZINC001194011834 846195247 /nfs/dbraw/zinc/19/52/47/846195247.db2.gz ZIJYWRJICKLCRW-ZDUSSCGKSA-N 0 1 290.367 0.216 20 30 CCEDMN N#Cc1cncc(C(=O)N2CCN3CCC2CC3)c1 ZINC001194108745 846215269 /nfs/dbraw/zinc/21/52/69/846215269.db2.gz SUPYKONMIHAGHE-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001194381629 846283749 /nfs/dbraw/zinc/28/37/49/846283749.db2.gz KIZVDIBOXZNALM-HNNXBMFYSA-N 0 1 294.395 0.762 20 30 CCEDMN C=CC[N@@H+]1CCCN(C(=O)[C@@H](C)NC(C)=O)CC1 ZINC001194755091 846387328 /nfs/dbraw/zinc/38/73/28/846387328.db2.gz VUMZKYNMKSXUTC-LLVKDONJSA-N 0 1 253.346 0.231 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](C)NC(C)=O)CC1 ZINC001194755091 846387340 /nfs/dbraw/zinc/38/73/40/846387340.db2.gz VUMZKYNMKSXUTC-LLVKDONJSA-N 0 1 253.346 0.231 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2csnn2)C1 ZINC001195049833 846445831 /nfs/dbraw/zinc/44/58/31/846445831.db2.gz LNGLGTDSOQADFN-MWLCHTKSSA-N 0 1 282.369 0.279 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1O ZINC001195020580 846447831 /nfs/dbraw/zinc/44/78/31/846447831.db2.gz MXGDILLLGPEMID-FMKPAKJESA-N 0 1 296.411 0.953 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(=O)NCC)C2 ZINC001110466143 846468944 /nfs/dbraw/zinc/46/89/44/846468944.db2.gz GQQUKILIVYNBQO-UTUOFQBUSA-N 0 1 265.357 0.420 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)CNC(=O)CC)CC1 ZINC001195348593 846510112 /nfs/dbraw/zinc/51/01/12/846510112.db2.gz DBRSXJGACGVLFB-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN COc1ccc(S(=O)(=O)Nc2cnn(C)c2C#N)cn1 ZINC001195695779 846604563 /nfs/dbraw/zinc/60/45/63/846604563.db2.gz YWJHOWIIDVIMBF-UHFFFAOYSA-N 0 1 293.308 0.496 20 30 CCEDMN N#Cc1ccc(C(=O)NCCN2CC3(COC3)C2)c(O)c1 ZINC001195764994 846613334 /nfs/dbraw/zinc/61/33/34/846613334.db2.gz VOZIVLSGGNCZSY-UHFFFAOYSA-N 0 1 287.319 0.326 20 30 CCEDMN CSCC[C@H](NC(=O)c1ccc(C#N)cc1O)C(N)=O ZINC001195768905 846614929 /nfs/dbraw/zinc/61/49/29/846614929.db2.gz RBFAZJYFINCDSH-JTQLQIEISA-N 0 1 293.348 0.601 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC(C)(F)F)C1 ZINC001195996719 846662343 /nfs/dbraw/zinc/66/23/43/846662343.db2.gz AUIVHKRSOVNURI-NXEZZACHSA-N 0 1 260.284 0.216 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(CCC)CC2)C1 ZINC001196005540 846663777 /nfs/dbraw/zinc/66/37/77/846663777.db2.gz UXBXTMTYGDRXRU-CHWSQXEVSA-N 0 1 264.369 0.751 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCC[N@H+](C[C@@H](O)COC)CC2)C1 ZINC001197093066 846836824 /nfs/dbraw/zinc/83/68/24/846836824.db2.gz RPEVROMGJPSWSS-CQSZACIVSA-N 0 1 296.411 0.884 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCCN(C[C@@H](O)COC)CC2)C1 ZINC001197093066 846836829 /nfs/dbraw/zinc/83/68/29/846836829.db2.gz RPEVROMGJPSWSS-CQSZACIVSA-N 0 1 296.411 0.884 20 30 CCEDMN C[C@H](O)[C@H](C)N1CCN(c2ccc(C#N)nc2)CC1 ZINC001197553365 846931736 /nfs/dbraw/zinc/93/17/36/846931736.db2.gz PUTSXJLGDXERSJ-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN CC[C@H]1CO[C@H](C)CN1C(=O)NCC#CCN(C)C ZINC001251703586 847028829 /nfs/dbraw/zinc/02/88/29/847028829.db2.gz DPUFUYJZIQBWQU-OLZOCXBDSA-N 0 1 267.373 0.760 20 30 CCEDMN C=CC[N@H+]1CCCN(C(=O)COC[C@@H]2CCCO2)CC1 ZINC001198394883 847111116 /nfs/dbraw/zinc/11/11/16/847111116.db2.gz OJPWRELITPYXRY-AWEZNQCLSA-N 0 1 282.384 0.902 20 30 CCEDMN C=CCN1CCCN(C(=O)COC[C@@H]2CCCO2)CC1 ZINC001198394883 847111122 /nfs/dbraw/zinc/11/11/22/847111122.db2.gz OJPWRELITPYXRY-AWEZNQCLSA-N 0 1 282.384 0.902 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2nccs2)C1 ZINC001198820309 847181331 /nfs/dbraw/zinc/18/13/31/847181331.db2.gz NUGHHCOMJFULOI-VXGBXAGGSA-N 0 1 295.408 0.813 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ncn2ccccc12 ZINC001251900133 847408267 /nfs/dbraw/zinc/40/82/67/847408267.db2.gz KAXGRYJBVRNNDE-GFCCVEGCSA-N 0 1 259.309 0.435 20 30 CCEDMN C#CCN1CC[C@]2(CCN([C@@H](C)C(=O)OCC)C2)C1=O ZINC001273908237 847812271 /nfs/dbraw/zinc/81/22/71/847812271.db2.gz RYGSLFRCOFAHJJ-SWLSCSKDSA-N 0 1 278.352 0.496 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1ccnc(OC)n1 ZINC001153135026 847931067 /nfs/dbraw/zinc/93/10/67/847931067.db2.gz LGECLCCNZCPNQL-NSHDSACASA-N 0 1 294.355 0.282 20 30 CCEDMN C=CCC[C@H](O)C[NH2+]CCCC[P@](=O)([O-])O ZINC001252617870 848038965 /nfs/dbraw/zinc/03/89/65/848038965.db2.gz MGXHAXLZUJYITR-JTQLQIEISA-N 0 1 251.263 0.861 20 30 CCEDMN C#CCN1C(=O)C[C@]2(CCCN(COCCOC)C2)C1=O ZINC001273989555 848209875 /nfs/dbraw/zinc/20/98/75/848209875.db2.gz DZDIQBUHKYIILN-HNNXBMFYSA-N 0 1 294.351 0.081 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC/C=C\CNCc1ccnn1C ZINC001274017067 848303675 /nfs/dbraw/zinc/30/36/75/848303675.db2.gz QMWVMXCMHGQSCC-SZZPACECSA-N 0 1 290.367 0.220 20 30 CCEDMN N#CCCN1CC[C@]2(CCN(Cc3ncccn3)C2=O)C1 ZINC001274031984 848311841 /nfs/dbraw/zinc/31/18/41/848311841.db2.gz DAKNZYGYLSYOPG-HNNXBMFYSA-N 0 1 285.351 0.815 20 30 CCEDMN N#CCCCN1CC[C@]2(C1)CN(CC(F)F)C(=O)CO2 ZINC001274040490 848314644 /nfs/dbraw/zinc/31/46/44/848314644.db2.gz PTGCKXAEPYSBEP-ZDUSSCGKSA-N 0 1 287.310 0.859 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)CCOC ZINC001115262366 848411548 /nfs/dbraw/zinc/41/15/48/848411548.db2.gz AVTSYAMFQHFRHH-MQYQWHSLSA-N 0 1 264.369 0.729 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001274429203 848475332 /nfs/dbraw/zinc/47/53/32/848475332.db2.gz BOCDCZIBEQJLGE-KBIGUFJUSA-N 0 1 289.379 0.044 20 30 CCEDMN CCc1nc([C@@H](C)NC[C@H](C)NC(=O)C#CC2CC2)n[nH]1 ZINC001275149624 848650164 /nfs/dbraw/zinc/65/01/64/848650164.db2.gz GAFMJQWGIYAENM-WDEREUQCSA-N 0 1 289.383 0.936 20 30 CCEDMN CCOCC(=O)N[C@H](C)CN(C)CC#CCOC ZINC001275497310 848740989 /nfs/dbraw/zinc/74/09/89/848740989.db2.gz SFOIPDODBKNTHL-GFCCVEGCSA-N 0 1 256.346 0.109 20 30 CCEDMN CCO[C@H](CC)C(=O)N[C@H](C)C[N@@H+](C)CC#CCOC ZINC001275512624 848746795 /nfs/dbraw/zinc/74/67/95/848746795.db2.gz MDPCWGOBQVUTMJ-ZIAGYGMSSA-N 0 1 284.400 0.888 20 30 CCEDMN CCO[C@H](CC)C(=O)N[C@H](C)CN(C)CC#CCOC ZINC001275512624 848746803 /nfs/dbraw/zinc/74/68/03/848746803.db2.gz MDPCWGOBQVUTMJ-ZIAGYGMSSA-N 0 1 284.400 0.888 20 30 CCEDMN CN(C(=O)CCc1c[nH]nn1)C1CCC(NCC#N)CC1 ZINC001275624748 848777861 /nfs/dbraw/zinc/77/78/61/848777861.db2.gz XPUWWZYRYQDYEV-UHFFFAOYSA-N 0 1 290.371 0.620 20 30 CCEDMN CN(C(=O)CCc1cnn[nH]1)C1CCC(NCC#N)CC1 ZINC001275624748 848777864 /nfs/dbraw/zinc/77/78/64/848777864.db2.gz XPUWWZYRYQDYEV-UHFFFAOYSA-N 0 1 290.371 0.620 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@H](C)n1cccn1 ZINC001275772451 848816308 /nfs/dbraw/zinc/81/63/08/848816308.db2.gz FURXZTFKYNDULG-KGLIPLIRSA-N 0 1 292.383 0.530 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCCOCC1 ZINC001275818652 848833746 /nfs/dbraw/zinc/83/37/46/848833746.db2.gz KREWIXBWOPKBHQ-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN N#CCNC[C@H]1CCCC[C@@H]1NC(=O)CN1CCCC1 ZINC001275817810 848834220 /nfs/dbraw/zinc/83/42/20/848834220.db2.gz SHSDYHDFRMVOOA-KGLIPLIRSA-N 0 1 278.400 0.870 20 30 CCEDMN CN(CCCNCc1cn(C)nn1)C(=O)C#CC(C)(C)C ZINC001275995525 848884312 /nfs/dbraw/zinc/88/43/12/848884312.db2.gz AXFDCCMBGMSEII-UHFFFAOYSA-N 0 1 291.399 0.803 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cncnc1CC ZINC001276003567 848887219 /nfs/dbraw/zinc/88/72/19/848887219.db2.gz SQTXRPSFLFWFBZ-LLVKDONJSA-N 0 1 260.341 0.722 20 30 CCEDMN C#Cc1cccc(CN2CC3(CN(CC(=O)N(C)C)C3)C2)c1 ZINC001276096191 848927075 /nfs/dbraw/zinc/92/70/75/848927075.db2.gz DRPUGIFGKXTSON-UHFFFAOYSA-N 0 1 297.402 0.874 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C(N)=O)CC1)C2 ZINC001110965958 849034510 /nfs/dbraw/zinc/03/45/10/849034510.db2.gz PKKYXHDJVHKWAL-MXWKQRLJSA-N 0 1 263.341 0.159 20 30 CCEDMN Cc1ccc(CN2CC[N@H+](C)[C@@H](CO)C2)cc1C#N ZINC000687594799 849123714 /nfs/dbraw/zinc/12/37/14/849123714.db2.gz DNKONLNLRAOAOI-OAHLLOKOSA-N 0 1 259.353 0.975 20 30 CCEDMN Cc1ccc(CN2CCN(C)[C@@H](CO)C2)cc1C#N ZINC000687594799 849123717 /nfs/dbraw/zinc/12/37/17/849123717.db2.gz DNKONLNLRAOAOI-OAHLLOKOSA-N 0 1 259.353 0.975 20 30 CCEDMN CN(CCCNC(=O)Cc1cnc[nH]1)c1ccnc(C#N)n1 ZINC001095746201 849131381 /nfs/dbraw/zinc/13/13/81/849131381.db2.gz JMCQXHKSOSUSSL-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN Cc1ccc(C#N)c(N(C)CCCNC(=O)c2cnn[nH]2)n1 ZINC001095778462 849139001 /nfs/dbraw/zinc/13/90/01/849139001.db2.gz BDUYEZHMWPXHRJ-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001038649058 849243874 /nfs/dbraw/zinc/24/38/74/849243874.db2.gz XVXWMDNDSBVVQK-WDEREUQCSA-N 0 1 273.340 0.048 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1nc(C)cc1C ZINC001114644557 849345913 /nfs/dbraw/zinc/34/59/13/849345913.db2.gz IRTRYERIDPESSY-FOLVSLTJSA-N 0 1 286.379 0.570 20 30 CCEDMN C=C[C@H](O)CNc1cccnc1N1CCN(C)CC1 ZINC001253569027 849451319 /nfs/dbraw/zinc/45/13/19/849451319.db2.gz QKENZNZAYJZYIS-LBPRGKRZSA-N 0 1 262.357 0.792 20 30 CCEDMN C=C[C@@H](O)CN1C[C@@H](O)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001253584937 849564165 /nfs/dbraw/zinc/56/41/65/849564165.db2.gz SQAMLJZTVXAWAX-OUAUKWLOSA-N 0 1 272.345 0.103 20 30 CCEDMN CC[C@H]1CN(C(=O)Cc2ccn[nH]2)CC[C@H]1NCC#N ZINC001037805360 849589600 /nfs/dbraw/zinc/58/96/00/849589600.db2.gz CDWSXQJUKZXCLK-WCQYABFASA-N 0 1 275.356 0.692 20 30 CCEDMN C=C[C@H](O)CNCc1ccccc1S(C)(=O)=O ZINC001253608264 849656599 /nfs/dbraw/zinc/65/65/99/849656599.db2.gz KWPGHEWINCYJDI-NSHDSACASA-N 0 1 255.339 0.727 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCN(C)C(=O)c1ccn[nH]1 ZINC001066891333 849675436 /nfs/dbraw/zinc/67/54/36/849675436.db2.gz NUXSROXJNYYXAF-JTQLQIEISA-N 0 1 277.328 0.490 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)C(=O)Cc1ccn[nH]1 ZINC001067061625 849740594 /nfs/dbraw/zinc/74/05/94/849740594.db2.gz KWTSVWAQWBWTKZ-LLVKDONJSA-N 0 1 291.355 0.419 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cc[nH]c(=O)c1 ZINC001038152308 849801103 /nfs/dbraw/zinc/80/11/03/849801103.db2.gz LBTJWGMUQHGDLF-GFCCVEGCSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001038375037 849869224 /nfs/dbraw/zinc/86/92/24/849869224.db2.gz WZIGQBIRYUEMNE-SNVBAGLBSA-N 0 1 262.313 0.251 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1c[nH]c2ccccc2c1=O ZINC001038444817 849902959 /nfs/dbraw/zinc/90/29/59/849902959.db2.gz GBIGBYWEVAQYSI-NSHDSACASA-N 0 1 296.330 0.856 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1c[nH]nc1CC ZINC001038454902 849909550 /nfs/dbraw/zinc/90/95/50/849909550.db2.gz CODMSOAREFZTIY-LLVKDONJSA-N 0 1 260.341 0.800 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cncc(OC)n1 ZINC001038782539 850015563 /nfs/dbraw/zinc/01/55/63/850015563.db2.gz PMQQVPHKCUAZSZ-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)NC[C@H]3CCN3CC#N)c2C1 ZINC001038859941 850052359 /nfs/dbraw/zinc/05/23/59/850052359.db2.gz NFTWNOMYEPEJFE-GHMZBOCLSA-N 0 1 287.367 0.862 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001038898958 850071968 /nfs/dbraw/zinc/07/19/68/850071968.db2.gz NTNRODLJIZYDFY-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001038896268 850072853 /nfs/dbraw/zinc/07/28/53/850072853.db2.gz ZWPJJLIAKSCVKU-NEPJUHHUSA-N 0 1 274.368 0.891 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(C)c1C ZINC001039351378 850177296 /nfs/dbraw/zinc/17/72/96/850177296.db2.gz FMJFTNOOWOTCRN-OLZOCXBDSA-N 0 1 289.383 0.988 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1nccnc1N ZINC001039347782 850177863 /nfs/dbraw/zinc/17/78/63/850177863.db2.gz OLJURWOADMHECF-NEPJUHHUSA-N 0 1 287.367 0.924 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CCNC1=O ZINC001039435161 850191624 /nfs/dbraw/zinc/19/16/24/850191624.db2.gz VTIWKTJPCCZYFQ-WWGRRREGSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CCC[C@@]2(CCN(C(=O)c3cn(C)cn3)C2)C1 ZINC001040162175 850274638 /nfs/dbraw/zinc/27/46/38/850274638.db2.gz SUGGTYCXDVZZJV-MRXNPFEDSA-N 0 1 286.379 0.981 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)c3ccn(C)c(=O)c3)C2)C1 ZINC001041419027 850445622 /nfs/dbraw/zinc/44/56/22/850445622.db2.gz RJJQOATUBLUXRN-QGZVFWFLSA-N 0 1 299.374 0.557 20 30 CCEDMN N#CCN1CC[C@@]2(CCN(C(=O)CCc3c[nH]nn3)C2)C1 ZINC001041696955 850486172 /nfs/dbraw/zinc/48/61/72/850486172.db2.gz ZYBMQDKKXSDHNO-CQSZACIVSA-N 0 1 288.355 0.185 20 30 CCEDMN N#CCN1CC[C@@]2(CCN(C(=O)CCc3cnn[nH]3)C2)C1 ZINC001041696955 850486180 /nfs/dbraw/zinc/48/61/80/850486180.db2.gz ZYBMQDKKXSDHNO-CQSZACIVSA-N 0 1 288.355 0.185 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccnn3C)C[C@H]21 ZINC001041971854 850544842 /nfs/dbraw/zinc/54/48/42/850544842.db2.gz HIDNRJIKMCKJPP-TZMCWYRMSA-N 0 1 272.352 0.590 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3nccnc3N)C[C@H]21 ZINC001041987015 850549903 /nfs/dbraw/zinc/54/99/03/850549903.db2.gz VULHNEJUHTYDCV-CHWSQXEVSA-N 0 1 299.378 0.619 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3ncn(C)n3)C[C@@H]21 ZINC001042049874 850569748 /nfs/dbraw/zinc/56/97/48/850569748.db2.gz LNACRCJODCKQOD-STQMWFEESA-N 0 1 287.367 0.375 20 30 CCEDMN CN(C(=O)c1cc(C#N)c[nH]1)C1CN(C[C@@H]2CCOC2)C1 ZINC001042590173 850705274 /nfs/dbraw/zinc/70/52/74/850705274.db2.gz WSLLILJDYJRWPL-NSHDSACASA-N 0 1 288.351 0.679 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001043113404 850816748 /nfs/dbraw/zinc/81/67/48/850816748.db2.gz OGXGFVUVYNVIOG-CYBMUJFWSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)c2ccn(C)c(=O)c2)C1 ZINC001043120452 850819411 /nfs/dbraw/zinc/81/94/11/850819411.db2.gz VXSCVOCGEJXMBK-UHFFFAOYSA-N 0 1 275.352 0.718 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001043514874 850888060 /nfs/dbraw/zinc/88/80/60/850888060.db2.gz CHGWJYXMFFICOQ-LBPRGKRZSA-N 0 1 279.384 0.716 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001043896171 850961598 /nfs/dbraw/zinc/96/15/98/850961598.db2.gz BKNPFTUPHCVPDK-NEPJUHHUSA-N 0 1 265.357 0.217 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C2CN(C[C@H]3CCOC3)C2)cn1 ZINC001044204220 851042531 /nfs/dbraw/zinc/04/25/31/851042531.db2.gz VSDWUOSFGZADHK-CYBMUJFWSA-N 0 1 299.374 0.856 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnn(C)c2)CC1 ZINC001045357623 851241226 /nfs/dbraw/zinc/24/12/26/851241226.db2.gz RCDLRZBTLOUKSH-UHFFFAOYSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnc3n[nH]nc3c2)CC1 ZINC001045461425 851263235 /nfs/dbraw/zinc/26/32/35/851263235.db2.gz YTYZASNQTGAEKX-UHFFFAOYSA-N 0 1 298.350 0.570 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)C2=COCCO2)C1 ZINC001046114099 851379558 /nfs/dbraw/zinc/37/95/58/851379558.db2.gz UXJVXNVZMGUYJJ-CYBMUJFWSA-N 0 1 252.314 0.641 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cnn3c2CCC3)C1 ZINC001046366569 851476435 /nfs/dbraw/zinc/47/64/35/851476435.db2.gz SZKBWERTOWOJEN-OAHLLOKOSA-N 0 1 272.352 0.657 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cnn3ncccc23)C1 ZINC001046380016 851481589 /nfs/dbraw/zinc/48/15/89/851481589.db2.gz NEBXWTSWFHKKTP-HNNXBMFYSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cnc3n2CCOC3)C1 ZINC001046392568 851485387 /nfs/dbraw/zinc/48/53/87/851485387.db2.gz XEYZUPAIZVGQEW-OAHLLOKOSA-N 0 1 290.367 0.794 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCNC2=O)C1 ZINC001046397936 851488076 /nfs/dbraw/zinc/48/80/76/851488076.db2.gz PUPSOEQJFISPMF-IUODEOHRSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cccc3ncnn32)C1 ZINC001046452618 851512285 /nfs/dbraw/zinc/51/22/85/851512285.db2.gz XXVXASVSKXIPMH-OAHLLOKOSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccn(CCOC)n2)C1 ZINC001046472056 851516947 /nfs/dbraw/zinc/51/69/47/851516947.db2.gz ATAAPDALFOKPQK-OAHLLOKOSA-N 0 1 292.383 0.910 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@@]1(C)CCN(CC#N)C1 ZINC001046552904 851546372 /nfs/dbraw/zinc/54/63/72/851546372.db2.gz AHWKXENILVTTIB-JSGCOSHPSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccnc3c2ncn3C)C1 ZINC001046609515 851565832 /nfs/dbraw/zinc/56/58/32/851565832.db2.gz UMQLVEATXVJILI-INIZCTEOSA-N 0 1 297.362 0.796 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001046628766 851569513 /nfs/dbraw/zinc/56/95/13/851569513.db2.gz PZNWRBVHRYVADX-DVOMOZLQSA-N 0 1 291.395 0.492 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cncc(OC)n2)C1 ZINC001046681070 851580725 /nfs/dbraw/zinc/58/07/25/851580725.db2.gz KTWMBGVKAPXKMK-CQSZACIVSA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc3nncn3c2)C1 ZINC001046698594 851585160 /nfs/dbraw/zinc/58/51/60/851585160.db2.gz INAIXWCBTMMQIO-OAHLLOKOSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(OC)nn2)C1 ZINC001046758674 851606805 /nfs/dbraw/zinc/60/68/05/851606805.db2.gz SOFULMRDNYWKFT-CQSZACIVSA-N 0 1 276.340 0.865 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001046770071 851608138 /nfs/dbraw/zinc/60/81/38/851608138.db2.gz BYUDDDSABPYLEJ-ABAIWWIYSA-N 0 1 287.367 0.123 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001046778694 851612361 /nfs/dbraw/zinc/61/23/61/851612361.db2.gz KDICJSLESJDXHV-SMDDNHRTSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(N(C)C)cn2)C1 ZINC001046860751 851634911 /nfs/dbraw/zinc/63/49/11/851634911.db2.gz OZDGQKFKTNXVJY-MRXNPFEDSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cccn2C)C1 ZINC001047308409 851707021 /nfs/dbraw/zinc/70/70/21/851707021.db2.gz WHZLPOUUCMTUFV-KBPBESRZSA-N 0 1 275.352 0.166 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H](C)C2CC2)C1 ZINC001047337065 851725463 /nfs/dbraw/zinc/72/54/63/851725463.db2.gz COJCXUOENHIZIT-UBHSHLNASA-N 0 1 264.369 0.559 20 30 CCEDMN C=CC[N@@H+]1C[C@H](O)[C@@H](N(C)C(=O)C[NH+]2CCC[C@@H]2C)C1 ZINC001047527278 851802231 /nfs/dbraw/zinc/80/22/31/851802231.db2.gz AUBQAFJIJXMQDD-IHRRRGAJSA-N 0 1 281.400 0.160 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001047560147 851818276 /nfs/dbraw/zinc/81/82/76/851818276.db2.gz ASYFKJLMADRJSA-FZKCQIBNSA-N 0 1 290.407 0.949 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@]2(C)CCOC2)C1 ZINC001047578339 851822387 /nfs/dbraw/zinc/82/23/87/851822387.db2.gz XEOWZGLZEAFZJS-KCQAQPDRSA-N 0 1 282.384 0.493 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccnn1)C2 ZINC001096220949 851835735 /nfs/dbraw/zinc/83/57/35/851835735.db2.gz IKUSVIQLPYKTLD-WXHSDQCUSA-N 0 1 258.325 0.998 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnn(C)c1 ZINC001049318114 852230079 /nfs/dbraw/zinc/23/00/79/852230079.db2.gz JDZJNZJZOGKIIB-UONOGXRCSA-N 0 1 272.352 0.732 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1COCCN1C ZINC001049430067 852267661 /nfs/dbraw/zinc/26/76/61/852267661.db2.gz ZLRGTIRXAFMKMO-ZNMIVQPWSA-N 0 1 293.411 0.568 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)Cc1cncnc1 ZINC001049442579 852275205 /nfs/dbraw/zinc/27/52/05/852275205.db2.gz GNYCDGWSGQMTJT-CABCVRRESA-N 0 1 284.363 0.718 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)CO[C@@H]1CCOC1 ZINC001049476017 852291738 /nfs/dbraw/zinc/29/17/38/852291738.db2.gz QVRPQKSAYQVEHU-QLFBSQMISA-N 0 1 292.379 0.490 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccnnc1 ZINC001049750673 852365712 /nfs/dbraw/zinc/36/57/12/852365712.db2.gz ZKRIHDIDVLDXII-UONOGXRCSA-N 0 1 270.336 0.789 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccnnc1 ZINC001049750672 852366820 /nfs/dbraw/zinc/36/68/20/852366820.db2.gz ZKRIHDIDVLDXII-KGLIPLIRSA-N 0 1 270.336 0.789 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049787759 852373114 /nfs/dbraw/zinc/37/31/14/852373114.db2.gz KUWXHIOPXHQIII-ZIAGYGMSSA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnn2cc[nH]c12 ZINC001049865046 852389372 /nfs/dbraw/zinc/38/93/72/852389372.db2.gz VHQKBQKNTJOSIR-KBPBESRZSA-N 0 1 297.362 0.975 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cc(C)n(C)n3)[C@@H]2C1 ZINC001049989452 852415594 /nfs/dbraw/zinc/41/55/94/852415594.db2.gz JAHAOMWODITOKB-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN N#Cc1ccc(N[C@@H](CNC(=O)c2ncn[nH]2)C2CC2)nc1 ZINC001096705740 852427457 /nfs/dbraw/zinc/42/74/57/852427457.db2.gz YUEWWKOCKGXUBX-NSHDSACASA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(N[C@@H](CNC(=O)c2nc[nH]n2)C2CC2)nc1 ZINC001096705740 852427464 /nfs/dbraw/zinc/42/74/64/852427464.db2.gz YUEWWKOCKGXUBX-NSHDSACASA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cncc(N[C@H](CNC(=O)c2ncn[nH]2)C2CC2)n1 ZINC001096705203 852428025 /nfs/dbraw/zinc/42/80/25/852428025.db2.gz KSPQQIIWNMDJDT-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cncc(N[C@H](CNC(=O)c2nc[nH]n2)C2CC2)n1 ZINC001096705203 852428031 /nfs/dbraw/zinc/42/80/31/852428031.db2.gz KSPQQIIWNMDJDT-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1ccc(N[C@@H](CNC(=O)c2cnn[nH]2)C2CC2)nn1 ZINC001096852968 852457966 /nfs/dbraw/zinc/45/79/66/852457966.db2.gz FGXPCYHSKKAERL-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cncnc1)C2 ZINC001096988698 852480401 /nfs/dbraw/zinc/48/04/01/852480401.db2.gz HNBBCMFGLNYBOO-UPJWGTAASA-N 0 1 258.325 0.998 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(N)=O)[nH]1)C2 ZINC001097854546 852632893 /nfs/dbraw/zinc/63/28/93/852632893.db2.gz KDEHMCUXATWNGN-WQAKAFBOSA-N 0 1 288.351 0.635 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(C(N)=O)[nH]1)C2 ZINC001097854546 852632900 /nfs/dbraw/zinc/63/29/00/852632900.db2.gz KDEHMCUXATWNGN-WQAKAFBOSA-N 0 1 288.351 0.635 20 30 CCEDMN C[C@@H](CNC(=O)CN1CCCC1)Nc1ncccc1C#N ZINC001097863192 852634473 /nfs/dbraw/zinc/63/44/73/852634473.db2.gz IFGJXVFKKZTGOO-LBPRGKRZSA-N 0 1 287.367 0.966 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@@H](CNC(=O)c1cnon1)O2 ZINC001053685031 852775572 /nfs/dbraw/zinc/77/55/72/852775572.db2.gz YCKAPINYLXFHGV-NSHDSACASA-N 0 1 292.339 0.609 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)[C@H]1CCCN1C)CO2 ZINC001053758457 852808798 /nfs/dbraw/zinc/80/87/98/852808798.db2.gz RPHYQFSLNFAZNO-CHWSQXEVSA-N 0 1 279.384 0.226 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCC[C@]2(CCN(CC#N)C2)C1 ZINC001054100641 852884557 /nfs/dbraw/zinc/88/45/57/852884557.db2.gz GFGNUOFGEOBWMS-GDBMZVCRSA-N 0 1 290.411 0.919 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cn2nccn2)C[C@@H]1C ZINC001054700012 852999020 /nfs/dbraw/zinc/99/90/20/852999020.db2.gz XNPUEMVCXICNIV-ONGXEEELSA-N 0 1 283.763 0.467 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2n[nH]nc2C)C[C@H]1C ZINC001054960455 853044393 /nfs/dbraw/zinc/04/43/93/853044393.db2.gz BTUBKZZVBILICJ-GMSGAONNSA-N 0 1 283.763 0.916 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(C(=O)c3ccn[nH]3)CC2)n1 ZINC001055722164 853108286 /nfs/dbraw/zinc/10/82/86/853108286.db2.gz OTPJGPFHTADCTM-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN N#Cc1cnccc1N1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001055722001 853109068 /nfs/dbraw/zinc/10/90/68/853109068.db2.gz JKMFJRIIUTVGLO-UHFFFAOYSA-N 0 1 282.307 0.639 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCc3ccncn3)[C@@H]2C1 ZINC001050055680 853304752 /nfs/dbraw/zinc/30/47/52/853304752.db2.gz GGVVHQWKRHNINQ-GOEBONIOSA-N 0 1 298.390 0.965 20 30 CCEDMN C=CCCN1CCOC[C@@H]1CNC(=O)[C@@H]1CCCN1C ZINC001050848927 853460785 /nfs/dbraw/zinc/46/07/85/853460785.db2.gz QYSDOMMSYDXJBL-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN C#CCN1CCOC[C@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001050854706 853464829 /nfs/dbraw/zinc/46/48/29/853464829.db2.gz WPAJJMFODPIAQE-GFCCVEGCSA-N 0 1 276.340 0.090 20 30 CCEDMN C#CCN1CCOC[C@@H]1CNC(=O)c1[nH]nc(C)c1C ZINC001050854707 853464891 /nfs/dbraw/zinc/46/48/91/853464891.db2.gz WPAJJMFODPIAQE-LBPRGKRZSA-N 0 1 276.340 0.090 20 30 CCEDMN C#CCCN1CCOC[C@@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001050984140 853500621 /nfs/dbraw/zinc/50/06/21/853500621.db2.gz YVKUPXOLIQOFEK-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001051997523 853672144 /nfs/dbraw/zinc/67/21/44/853672144.db2.gz CTZSLTSBKYJVTH-CABCVRRESA-N 0 1 288.395 0.388 20 30 CCEDMN N#Cc1ccc(NC2CC(CNC(=O)c3cnn[nH]3)C2)nc1 ZINC001052144656 853693878 /nfs/dbraw/zinc/69/38/78/853693878.db2.gz ZYGFHMUBKJPXFI-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN C[C@@H]1[C@H](Nc2cncc(C#N)n2)CCN1C(=O)c1ccn[nH]1 ZINC001068748994 853921486 /nfs/dbraw/zinc/92/14/86/853921486.db2.gz AEKMHELSDGSXQW-MWLCHTKSSA-N 0 1 297.322 0.786 20 30 CCEDMN C[C@H]1[C@H](Nc2ccnc(C#N)n2)CCN1C(=O)c1ccn[nH]1 ZINC001068749239 853921703 /nfs/dbraw/zinc/92/17/03/853921703.db2.gz FEKTXNJLQIVNBE-VHSXEESVSA-N 0 1 297.322 0.208 20 30 CCEDMN C[C@@H]1[C@H](Nc2ccncc2C#N)CCN1C(=O)c1ccn[nH]1 ZINC001068749727 853923229 /nfs/dbraw/zinc/92/32/29/853923229.db2.gz LWSCLRJDWKPGQL-ZYHUDNBSSA-N 0 1 296.334 0.813 20 30 CCEDMN C#CCNCC(=O)NCc1cnn(Cc2ccccc2)c1 ZINC000390144810 854019075 /nfs/dbraw/zinc/01/90/75/854019075.db2.gz QNLWSANPEGLNFO-UHFFFAOYSA-N 0 1 282.347 0.770 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCC)C[C@@H]1n1ccnn1 ZINC001070125546 854028844 /nfs/dbraw/zinc/02/88/44/854028844.db2.gz ASEASUFVKPQQMN-NEPJUHHUSA-N 0 1 261.329 0.053 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2CC(C)C2)C[C@@H]1n1ccnn1 ZINC001070173358 854032976 /nfs/dbraw/zinc/03/29/76/854032976.db2.gz PWYCGIIZHCNLCE-PQAZSJQKSA-N 0 1 287.367 0.299 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H]2C[C@H]2C2CC2)C[C@@H]1n1ccnn1 ZINC001070293498 854040673 /nfs/dbraw/zinc/04/06/73/854040673.db2.gz OSLDNVHOLBWSOL-YJNKXOJESA-N 0 1 299.378 0.299 20 30 CCEDMN C#CCN1CCC([C@@H]2NC(=O)CC[C@H]2NC(C)=O)CC1 ZINC001070361258 854049493 /nfs/dbraw/zinc/04/94/93/854049493.db2.gz PTCADULEUUEQFY-HIFRSBDPSA-N 0 1 277.368 0.115 20 30 CCEDMN C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001070823939 854095569 /nfs/dbraw/zinc/09/55/69/854095569.db2.gz GBLFZYZTAMYYJT-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001070823939 854095573 /nfs/dbraw/zinc/09/55/73/854095573.db2.gz GBLFZYZTAMYYJT-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(C1)CN(C(=O)C(C)(F)F)C[C@H](C)O2 ZINC001071127434 854125022 /nfs/dbraw/zinc/12/50/22/854125022.db2.gz NWQUGXIMBRSSBE-FZMZJTMJSA-N 0 1 286.322 0.967 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)C(C)(F)F)C[C@H](C)O2 ZINC001071127434 854125028 /nfs/dbraw/zinc/12/50/28/854125028.db2.gz NWQUGXIMBRSSBE-FZMZJTMJSA-N 0 1 286.322 0.967 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN2CCCC2=O)CC[C@@H]1C ZINC001071340904 854161200 /nfs/dbraw/zinc/16/12/00/854161200.db2.gz VPBSQTPGWHUHOC-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CC[C@H](C)N(CC#N)C2)[nH]n1 ZINC001071427080 854190395 /nfs/dbraw/zinc/19/03/95/854190395.db2.gz FDNXXCICEWQFHB-NWDGAFQWSA-N 0 1 275.356 0.753 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@@H]1C ZINC001071587604 854243347 /nfs/dbraw/zinc/24/33/47/854243347.db2.gz DIXOGIJUWMRPDE-AAEUAGOBSA-N 0 1 288.351 0.788 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC[C@@H]1C ZINC001071738507 854275106 /nfs/dbraw/zinc/27/51/06/854275106.db2.gz DGVFASKETQSLMV-BTFPBAQTSA-N 0 1 276.380 0.871 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2ccc(=O)n(C)n2)CC[C@H]1C ZINC001072051758 854340529 /nfs/dbraw/zinc/34/05/29/854340529.db2.gz IWIABNOHQCJGTC-NEPJUHHUSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2ccnc2)CC[C@H]1C ZINC001072123736 854349274 /nfs/dbraw/zinc/34/92/74/854349274.db2.gz ULEBBJJXJITZTJ-CHWSQXEVSA-N 0 1 260.341 0.485 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cnns3)C2)C1 ZINC001072514775 854403234 /nfs/dbraw/zinc/40/32/34/854403234.db2.gz KAXFOUIWXJOPCH-UHFFFAOYSA-N 0 1 276.365 0.709 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cscn3)C2)C1 ZINC001072530491 854408259 /nfs/dbraw/zinc/40/82/59/854408259.db2.gz RMSKZGYFMODFHD-UHFFFAOYSA-N 0 1 261.350 0.924 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCCO[C@H]3C)C2)C1 ZINC001072670576 854442214 /nfs/dbraw/zinc/44/22/14/854442214.db2.gz ZCAVBTZTHDYNJP-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](OC)C3CC3)C2)C1 ZINC001072686564 854446557 /nfs/dbraw/zinc/44/65/57/854446557.db2.gz QBXOPOWCJUBGRG-CYBMUJFWSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3n[nH]cc3C)C2)C1 ZINC001072713713 854451448 /nfs/dbraw/zinc/45/14/48/854451448.db2.gz IYCSNXQUCUGSKP-UHFFFAOYSA-N 0 1 258.325 0.499 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001072721514 854452249 /nfs/dbraw/zinc/45/22/49/854452249.db2.gz VAROSAKVBCXEAV-CYBMUJFWSA-N 0 1 289.379 0.198 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CO[C@@H](C)C3)C2)C1 ZINC001072871426 854484448 /nfs/dbraw/zinc/48/44/48/854484448.db2.gz IFYHEZALCPMGTJ-STQMWFEESA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3c[nH]nc3CC)C2)C1 ZINC001072886678 854486541 /nfs/dbraw/zinc/48/65/41/854486541.db2.gz ZHJFJABBMABDTH-UHFFFAOYSA-N 0 1 272.352 0.753 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C(=O)Nc3ccccc3)C2)C1 ZINC001072894668 854489122 /nfs/dbraw/zinc/48/91/22/854489122.db2.gz BVWRKIADFBFOMS-UHFFFAOYSA-N 0 1 297.358 0.793 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3C[C@H]3c3cnn(C)c3)C2)C1 ZINC001073000765 854513355 /nfs/dbraw/zinc/51/33/55/854513355.db2.gz GAXXSGCMNUIZQC-LSDHHAIUSA-N 0 1 298.390 0.691 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc(C#C)cn3)C2)C1 ZINC001073134911 854536742 /nfs/dbraw/zinc/53/67/42/854536742.db2.gz XYBBBGHTNSBSMF-UHFFFAOYSA-N 0 1 279.343 0.844 20 30 CCEDMN C=C(Cl)CN1CCCO[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001073674950 854631011 /nfs/dbraw/zinc/63/10/11/854631011.db2.gz SWIALTQDUIULJH-SNVBAGLBSA-N 0 1 299.762 0.378 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2n[nH]cc2F)C1 ZINC001073757521 854639988 /nfs/dbraw/zinc/63/99/88/854639988.db2.gz FQKDOLOFYGSZAB-SNVBAGLBSA-N 0 1 282.319 0.556 20 30 CCEDMN C#CCN1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001074185163 854690705 /nfs/dbraw/zinc/69/07/05/854690705.db2.gz XTXGFIKYHXTDJS-UONOGXRCSA-N 0 1 288.351 0.267 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001276390143 854771643 /nfs/dbraw/zinc/77/16/43/854771643.db2.gz XMFMVJLIVLKOBK-LLVKDONJSA-N 0 1 289.383 0.778 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2c(C)ncn2C)C1 ZINC001098886689 854895984 /nfs/dbraw/zinc/89/59/84/854895984.db2.gz CLUWKLJKUPCMKQ-BBRMVZONSA-N 0 1 286.379 0.946 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001098982542 854902167 /nfs/dbraw/zinc/90/21/67/854902167.db2.gz CBPRIVWJAVVWRW-ZENOOKHLSA-N 0 1 289.379 0.069 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnon2)C1 ZINC001099086360 854914472 /nfs/dbraw/zinc/91/44/72/854914472.db2.gz IIORYEVOGRJBEL-FZMZJTMJSA-N 0 1 274.324 0.677 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C(C)(C)C(N)=O ZINC001099115296 854916281 /nfs/dbraw/zinc/91/62/81/854916281.db2.gz DXSLRMDTATTWRM-LBPRGKRZSA-N 0 1 293.411 0.738 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccn(C)n2)C1 ZINC001099161083 854918356 /nfs/dbraw/zinc/91/83/56/854918356.db2.gz XMZOFKIFSSDMBW-WFASDCNBSA-N 0 1 272.352 0.638 20 30 CCEDMN C[C@@H](CCNC(=O)c1ncn[nH]1)Nc1ccc(C#N)nn1 ZINC001099382478 854929518 /nfs/dbraw/zinc/92/95/18/854929518.db2.gz NGISNILOKLMJMP-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CCNC(=O)c1nc[nH]n1)Nc1ccc(C#N)nn1 ZINC001099382478 854929524 /nfs/dbraw/zinc/92/95/24/854929524.db2.gz NGISNILOKLMJMP-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2ccoc2)[C@H](O)C1 ZINC001099659185 854960294 /nfs/dbraw/zinc/96/02/94/854960294.db2.gz FMSMKHJOQIUDQB-UONOGXRCSA-N 0 1 294.351 0.647 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2ccco2)[C@@H](O)C1 ZINC001099669873 854961578 /nfs/dbraw/zinc/96/15/78/854961578.db2.gz YNBXSYRMYLXUFX-CABCVRRESA-N 0 1 290.363 0.787 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCC2CC2)[C@@H](O)C1 ZINC001099752470 854986011 /nfs/dbraw/zinc/98/60/11/854986011.db2.gz IXABSCKEKRJFRZ-KGLIPLIRSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CC[N@H+](CC(=C)C)C[C@H]1O ZINC001099804555 854998360 /nfs/dbraw/zinc/99/83/60/854998360.db2.gz OTCAXRZVMWCCLH-ZIAGYGMSSA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(COC)CCCC2)[C@@H](O)C1 ZINC001099865662 855014140 /nfs/dbraw/zinc/01/41/40/855014140.db2.gz BJBJWHGCBXQZCL-KBPBESRZSA-N 0 1 296.411 0.931 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)COC)[C@@H](O)C1 ZINC001099919262 855032014 /nfs/dbraw/zinc/03/20/14/855032014.db2.gz YSFQGCWVTQDDCD-OLZOCXBDSA-N 0 1 282.384 0.234 20 30 CCEDMN CN(CCNC(=O)[C@H]1CCCN1C)c1ccc(C#N)cn1 ZINC001099966613 855054128 /nfs/dbraw/zinc/05/41/28/855054128.db2.gz ZTMLMTGVSYYGSV-CYBMUJFWSA-N 0 1 287.367 0.600 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(CF)CCC2)[C@H](O)C1 ZINC001100015240 855072929 /nfs/dbraw/zinc/07/29/29/855072929.db2.gz HSLWLRNDFFCJMJ-CHWSQXEVSA-N 0 1 282.359 0.701 20 30 CCEDMN N#CCNC1CC(CNC(=O)CCc2cnc[nH]2)C1 ZINC001100391419 855159524 /nfs/dbraw/zinc/15/95/24/855159524.db2.gz KTAYDDKMCJJGLB-UHFFFAOYSA-N 0 1 261.329 0.350 20 30 CCEDMN CCN(CCNC(=O)Cc1cnc[nH]1)c1ccc(C#N)nc1 ZINC001100734742 855215532 /nfs/dbraw/zinc/21/55/32/855215532.db2.gz UOBGLKOMWPDWPT-UHFFFAOYSA-N 0 1 298.350 0.862 20 30 CCEDMN C[C@@H](CC(=O)N1CCOCC1)NCc1ccc(C#N)o1 ZINC001256328484 855244661 /nfs/dbraw/zinc/24/46/61/855244661.db2.gz PNCFJWVKKMTHKG-NSHDSACASA-N 0 1 277.324 0.878 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CN(Cc2ccn(C)n2)C[C@H]1C ZINC001101822374 855373055 /nfs/dbraw/zinc/37/30/55/855373055.db2.gz NGJDDCYASFEWNL-YNEHKIRRSA-N 0 1 289.383 0.764 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NC[C@@H]1CN(CC#N)C[C@H]1C ZINC001102451200 855435192 /nfs/dbraw/zinc/43/51/92/855435192.db2.gz PDMMLKOZEMNZOP-YNEHKIRRSA-N 0 1 289.383 0.796 20 30 CCEDMN CC[C@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)cn1 ZINC001103171065 855503216 /nfs/dbraw/zinc/50/32/16/855503216.db2.gz VFOMOJILKMMIGL-SNVBAGLBSA-N 0 1 285.311 0.692 20 30 CCEDMN CC[C@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)cn1 ZINC001103171065 855503222 /nfs/dbraw/zinc/50/32/22/855503222.db2.gz VFOMOJILKMMIGL-SNVBAGLBSA-N 0 1 285.311 0.692 20 30 CCEDMN CC[C@H](CNC(=O)c1ncn[nH]1)Nc1cnc(C#N)cn1 ZINC001103171110 855503508 /nfs/dbraw/zinc/50/35/08/855503508.db2.gz XOGRYPIBQFWPHE-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN CC[C@H](CNC(=O)c1nc[nH]n1)Nc1cnc(C#N)cn1 ZINC001103171110 855503513 /nfs/dbraw/zinc/50/35/13/855503513.db2.gz XOGRYPIBQFWPHE-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)CNc1ncnc2[nH]cnc21 ZINC001103936420 855554411 /nfs/dbraw/zinc/55/44/11/855554411.db2.gz MASMECNRKBTYEC-SNVBAGLBSA-N 0 1 298.350 0.882 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)CNc1ncnc2[nH]cnc21 ZINC001103936419 855554726 /nfs/dbraw/zinc/55/47/26/855554726.db2.gz MASMECNRKBTYEC-JTQLQIEISA-N 0 1 298.350 0.882 20 30 CCEDMN C[C@@H](CNC(=O)Cc1nnc[nH]1)CNc1ccc(C#N)cn1 ZINC001104074733 855567624 /nfs/dbraw/zinc/56/76/24/855567624.db2.gz DIGSBXYJRIZQTP-SNVBAGLBSA-N 0 1 299.338 0.478 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](COC)OC)C1 ZINC001111864147 855602285 /nfs/dbraw/zinc/60/22/85/855602285.db2.gz CHTVDRJVUIBRKA-YDHLFZDLSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)COCC=C)C[C@H]1C(F)(F)F ZINC001112069808 855612241 /nfs/dbraw/zinc/61/22/41/855612241.db2.gz OYXMSMOCMFGKCJ-GHMZBOCLSA-N 0 1 290.285 0.801 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](OC)C(F)(F)F ZINC001115084197 855640572 /nfs/dbraw/zinc/64/05/72/855640572.db2.gz ZBSBSNYBTCISAQ-MIZYBKAJSA-N 0 1 290.285 0.633 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCC(=O)N(C)C ZINC001115137887 855644858 /nfs/dbraw/zinc/64/48/58/855644858.db2.gz JTWFJHKGBWHKLQ-VIKVFOODSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCN(CC(=O)N1CCC(C(N)=O)CC1)C(C)C ZINC001115900968 855703620 /nfs/dbraw/zinc/70/36/20/855703620.db2.gz IEYSRRYGXKRJPE-UHFFFAOYSA-N 0 1 267.373 0.607 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCCN1CC=CCC1 ZINC001116879659 855855635 /nfs/dbraw/zinc/85/56/35/855855635.db2.gz JJQDGHZRNQBDTC-GFCCVEGCSA-N 0 1 253.346 0.749 20 30 CCEDMN C#CC1CCN(C(=O)C(=O)NCc2cn[nH]c2C)CC1 ZINC001118209822 856204463 /nfs/dbraw/zinc/20/44/63/856204463.db2.gz CGYWYQYYJQSCCH-UHFFFAOYSA-N 0 1 274.324 0.206 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001118607798 856364548 /nfs/dbraw/zinc/36/45/48/856364548.db2.gz DBHUDGLKGHEOOX-BDAKNGLRSA-N 0 1 281.316 0.002 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC001118686667 856393193 /nfs/dbraw/zinc/39/31/93/856393193.db2.gz UAWFBFVLRUMWBB-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN CCn1ncc(Cl)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC001118806585 856450372 /nfs/dbraw/zinc/45/03/72/856450372.db2.gz DLAOOIHTJUPCNI-APPZFPTMSA-N 0 1 267.720 0.398 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)n1 ZINC001119016012 856531056 /nfs/dbraw/zinc/53/10/56/856531056.db2.gz ZLGCZBXXXUIDBK-VIFPVBQESA-N 0 1 268.280 0.701 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCn3nncc3C2)CC1 ZINC001119548965 856733528 /nfs/dbraw/zinc/73/35/28/856733528.db2.gz ZRSWNIDVKYIDRY-UHFFFAOYSA-N 0 1 287.367 0.356 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)[C@]2(C)C[C@H](O)CN2C)C1 ZINC001119629302 856791976 /nfs/dbraw/zinc/79/19/76/856791976.db2.gz YGWKXWNTCRIYER-SCRDCRAPSA-N 0 1 268.357 0.245 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC001392892322 912240684 /nfs/dbraw/zinc/24/06/84/912240684.db2.gz BNRXJBRVUGOHGW-VHSXEESVSA-N 0 1 286.759 0.786 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCC1(N[C@H]2CCNC2=O)CC1 ZINC001323518823 912386121 /nfs/dbraw/zinc/38/61/21/912386121.db2.gz KRFXHSKYKCEYRU-NEPJUHHUSA-N 0 1 279.384 0.716 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCC1(N[C@@H]2CCNC2=O)CC1 ZINC001323518828 912388002 /nfs/dbraw/zinc/38/80/02/912388002.db2.gz KRFXHSKYKCEYRU-VXGBXAGGSA-N 0 1 279.384 0.716 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)CC(C)(F)F)CC1 ZINC001323540322 912399331 /nfs/dbraw/zinc/39/93/31/912399331.db2.gz MGCZYVDIWLLIHC-UHFFFAOYSA-N 0 1 287.310 0.020 20 30 CCEDMN C=CCn1cc(C(=O)NCC2(NCCF)CC2)nn1 ZINC001323565507 912416144 /nfs/dbraw/zinc/41/61/44/912416144.db2.gz BNTVAJUQRRJALB-UHFFFAOYSA-N 0 1 267.308 0.286 20 30 CCEDMN C#CCC1(C(=O)NCC2(NCC(N)=O)CC2)CCCCC1 ZINC001323623577 912454734 /nfs/dbraw/zinc/45/47/34/912454734.db2.gz BVOCJJJCBBRAPK-UHFFFAOYSA-N 0 1 291.395 0.684 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)C2(C(N)=O)CC2)C1 ZINC001323980599 912608331 /nfs/dbraw/zinc/60/83/31/912608331.db2.gz XOKMCMHLWYXOSO-LLVKDONJSA-N 0 1 279.384 0.749 20 30 CCEDMN C#CCOCC[N@H+](C)CC[C@H]1CCS(=O)(=O)C1 ZINC001324516634 912888340 /nfs/dbraw/zinc/88/83/40/912888340.db2.gz SVJPGXWZSOZRJT-LBPRGKRZSA-N 0 1 259.371 0.393 20 30 CCEDMN C#CCOCCN(C)CC[C@H]1CCS(=O)(=O)C1 ZINC001324516634 912888357 /nfs/dbraw/zinc/88/83/57/912888357.db2.gz SVJPGXWZSOZRJT-LBPRGKRZSA-N 0 1 259.371 0.393 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NCCN1CCCOCC1 ZINC001324567851 912912042 /nfs/dbraw/zinc/91/20/42/912912042.db2.gz UTCZDCVPGWJNRI-LBPRGKRZSA-N 0 1 271.361 0.209 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@](C)(C=C)CC)C1 ZINC001325126143 913233502 /nfs/dbraw/zinc/23/35/02/913233502.db2.gz MASBUHNOIMPPKR-HUUCEWRRSA-N 0 1 264.369 0.775 20 30 CCEDMN C=CCCOCC(=O)NC[C@@]1(O)CCN(CC#CC)C1 ZINC001325167687 913253573 /nfs/dbraw/zinc/25/35/73/913253573.db2.gz ACUFUWJCMLDCCW-HNNXBMFYSA-N 0 1 280.368 0.155 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+]([C@@H](C)C(=O)NC(=O)NCC)C1 ZINC001325223639 913288394 /nfs/dbraw/zinc/28/83/94/913288394.db2.gz QECYJOGOEGZCNZ-WDEREUQCSA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCO[C@@H]1CCN([C@@H](C)C(=O)NC(=O)NCC)C1 ZINC001325223639 913288416 /nfs/dbraw/zinc/28/84/16/913288416.db2.gz QECYJOGOEGZCNZ-WDEREUQCSA-N 0 1 269.345 0.498 20 30 CCEDMN Cc1cc(CN[C@@H](CO)CNC(=O)[C@H](C)C#N)cs1 ZINC001394585975 913295123 /nfs/dbraw/zinc/29/51/23/913295123.db2.gz ZWYKGEYHHZCIFP-BXKDBHETSA-N 0 1 281.381 0.783 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@H](CNCc2nncn2C)C1 ZINC001325491346 913432789 /nfs/dbraw/zinc/43/27/89/913432789.db2.gz CLRYPOZWIYJGPU-QWHCGFSZSA-N 0 1 291.399 0.965 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCc2ncc(C)o2)C1 ZINC001266331882 891452063 /nfs/dbraw/zinc/45/20/63/891452063.db2.gz WYIAUPUDVHQISH-GFCCVEGCSA-N 0 1 279.340 0.876 20 30 CCEDMN C#CCN1CCC(OC(=O)CCOCCOC)CC1 ZINC001345672806 891457473 /nfs/dbraw/zinc/45/74/73/891457473.db2.gz ATCUVFRJWPQJFL-UHFFFAOYSA-N 0 1 269.341 0.680 20 30 CCEDMN C=CCCCC(=O)NCCNC(=O)C1=NC(=O)N(C)C1 ZINC001349538534 891740129 /nfs/dbraw/zinc/74/01/29/891740129.db2.gz PQEOXCMZJKESHZ-UHFFFAOYSA-N 0 1 280.328 0.328 20 30 CCEDMN Cc1nc[nH]c1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001325817941 913590782 /nfs/dbraw/zinc/59/07/82/913590782.db2.gz KUTYDZRHIIZTNQ-UHFFFAOYSA-N 0 1 290.371 0.026 20 30 CCEDMN C=C[C@H](C)ONC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001351205894 891884891 /nfs/dbraw/zinc/88/48/91/891884891.db2.gz PLIZFQPDJSUCQN-TUAOUCFPSA-N 0 1 270.377 0.426 20 30 CCEDMN COC[C@H](C)N1CCC[C@@](CO)(NC(=O)C#CC2CC2)C1 ZINC001325833870 913602286 /nfs/dbraw/zinc/60/22/86/913602286.db2.gz HEVBKQHTOHLRKK-XJKSGUPXSA-N 0 1 294.395 0.378 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H](C)SC ZINC001480962969 891985402 /nfs/dbraw/zinc/98/54/02/891985402.db2.gz SOOCQBKLXDSAME-GFCCVEGCSA-N 0 1 272.414 0.826 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)Cc1cnc(C)o1 ZINC001480979126 892010620 /nfs/dbraw/zinc/01/06/20/892010620.db2.gz XCRTYLTZUREDKA-UHFFFAOYSA-N 0 1 293.367 0.613 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H]1CCOC[C@H]1C ZINC001480999411 892038563 /nfs/dbraw/zinc/03/85/63/892038563.db2.gz RPIIAJLXVRILAY-HUUCEWRRSA-N 0 1 296.411 0.747 20 30 CCEDMN COCC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)OC ZINC001325873090 913620626 /nfs/dbraw/zinc/62/06/26/913620626.db2.gz DFZFQFREHYAUGW-SOUVJXGZSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCN1CCCC1=O ZINC001481017538 892063832 /nfs/dbraw/zinc/06/38/32/892063832.db2.gz HDDPUVZENAYCPQ-UHFFFAOYSA-N 0 1 265.357 0.070 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)Cc1ccon1 ZINC001481031932 892093684 /nfs/dbraw/zinc/09/36/84/892093684.db2.gz HSGNSRKKRIJVKY-CQSZACIVSA-N 0 1 291.351 0.448 20 30 CCEDMN COCC#CCN(CCNC(=O)C(F)F)C1CC1 ZINC001481156328 892242373 /nfs/dbraw/zinc/24/23/73/892242373.db2.gz QJNHWRQKWZPSDX-UHFFFAOYSA-N 0 1 260.284 0.482 20 30 CCEDMN CC#CCN(CCNC(=O)Cc1cnn(C)c1)C1CC1 ZINC001481160911 892252312 /nfs/dbraw/zinc/25/23/12/892252312.db2.gz UQCJZUQSXZWVDZ-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CCN(CCNC(=O)c1cc(OC)n(C)n1)C1CC1 ZINC001481178243 892277462 /nfs/dbraw/zinc/27/74/62/892277462.db2.gz SZIFGEMFMSMIMT-UHFFFAOYSA-N 0 1 290.367 0.646 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1c[nH]c(C(N)=O)c1 ZINC001481316289 892569660 /nfs/dbraw/zinc/56/96/60/892569660.db2.gz RQXSHBRMDZDNAX-UHFFFAOYSA-N 0 1 284.747 0.528 20 30 CCEDMN CO[C@@H]1COCC[C@@H]1CC(=O)C(C#N)C(=O)NC(C)C ZINC001342726186 892804581 /nfs/dbraw/zinc/80/45/81/892804581.db2.gz HYADRJMVLGLJBX-NQBHXWOUSA-N 0 1 282.340 0.661 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)COCC(F)F)C1 ZINC001481636758 892944958 /nfs/dbraw/zinc/94/49/58/892944958.db2.gz NSCHEUDXVLBMQM-UHFFFAOYSA-N 0 1 262.300 0.892 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cn(CC)nn1 ZINC001028230398 892974156 /nfs/dbraw/zinc/97/41/56/892974156.db2.gz ALFLCTZUUXZSKO-GFCCVEGCSA-N 0 1 275.356 0.516 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cn(CC)nn1 ZINC001028230398 892974165 /nfs/dbraw/zinc/97/41/65/892974165.db2.gz ALFLCTZUUXZSKO-GFCCVEGCSA-N 0 1 275.356 0.516 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCCC(=O)NC)C1 ZINC001481697009 893023623 /nfs/dbraw/zinc/02/36/23/893023623.db2.gz SCGDAENRFJIBIV-LBPRGKRZSA-N 0 1 265.357 0.117 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN(CCc2ccnn2C)C1 ZINC001481699072 893038317 /nfs/dbraw/zinc/03/83/17/893038317.db2.gz FRHCVJOCTLZOJO-CYBMUJFWSA-N 0 1 292.383 0.356 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CCCC(=O)NC)C1 ZINC001481723879 893098479 /nfs/dbraw/zinc/09/84/79/893098479.db2.gz ZTMIPCGXRQUOCX-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCCCC(=O)N(C)[C@H]1CCN([C@H](CC)C(N)=O)C1 ZINC001481724588 893099361 /nfs/dbraw/zinc/09/93/61/893099361.db2.gz NPSAHCGEAOFMEX-QWHCGFSZSA-N 0 1 279.384 0.587 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)CNCc1nnc(C)[nH]1 ZINC001482086462 893379400 /nfs/dbraw/zinc/37/94/00/893379400.db2.gz UNYXRSJETKINMW-JTQLQIEISA-N 0 1 265.361 0.921 20 30 CCEDMN C=CCN1CCO[C@H](CNC(=O)[C@H](C)Cc2cnc[nH]2)C1 ZINC001482200405 893525053 /nfs/dbraw/zinc/52/50/53/893525053.db2.gz LJRSNZOPXVTWFC-TZMCWYRMSA-N 0 1 292.383 0.591 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)NCC2(C#N)CC2)n1 ZINC001364272156 894003797 /nfs/dbraw/zinc/00/37/97/894003797.db2.gz QZAPPVJXGBAYBW-UHFFFAOYSA-N 0 1 280.357 0.771 20 30 CCEDMN CSCc1nnc(CNC(=O)NCC2(C#N)CC2)[nH]1 ZINC001364272156 894003816 /nfs/dbraw/zinc/00/38/16/894003816.db2.gz QZAPPVJXGBAYBW-UHFFFAOYSA-N 0 1 280.357 0.771 20 30 CCEDMN COC(=O)N1CCCN(CCOCCC#N)CC1 ZINC001326248519 913847825 /nfs/dbraw/zinc/84/78/25/913847825.db2.gz PEKIVJNLJQPEMX-UHFFFAOYSA-N 0 1 255.318 0.691 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](C)[C@H]1CCC(=O)N1 ZINC001482629945 894434478 /nfs/dbraw/zinc/43/44/78/894434478.db2.gz WKKLOSSYWDMJKO-QWHCGFSZSA-N 0 1 279.384 0.315 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)CCn1cccc1 ZINC001482637627 894439271 /nfs/dbraw/zinc/43/92/71/894439271.db2.gz IMZITGTXUATUSS-UHFFFAOYSA-N 0 1 291.395 0.918 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccc(NC(N)=O)cc1 ZINC001482647024 894445516 /nfs/dbraw/zinc/44/55/16/894445516.db2.gz FWISBGKIBBJGQQ-UHFFFAOYSA-N 0 1 288.351 0.814 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@@H](C)CCNCc1nnnn1C ZINC001482868185 894659215 /nfs/dbraw/zinc/65/92/15/894659215.db2.gz QHUSUPPUMGKOPZ-SMDDNHRTSA-N 0 1 294.403 0.797 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H](C)CCNCc2cnon2)c1 ZINC001482870660 894662146 /nfs/dbraw/zinc/66/21/46/894662146.db2.gz SEKIWONORLMNFB-LLVKDONJSA-N 0 1 299.334 0.744 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)NC(N)=O ZINC001483001318 894790578 /nfs/dbraw/zinc/79/05/78/894790578.db2.gz BRIRFJDSEVERON-TYRPZCRBSA-N 0 1 274.752 0.058 20 30 CCEDMN Cn1cc(CNC/C=C\CNC(=O)c2ccc(C#N)[nH]2)cn1 ZINC001482999791 894790611 /nfs/dbraw/zinc/79/06/11/894790611.db2.gz PNVQHJPDZJQAHI-IHWYPQMZSA-N 0 1 298.350 0.696 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)CNCc1ocnc1C ZINC001483114146 894932336 /nfs/dbraw/zinc/93/23/36/894932336.db2.gz DHLHYWPUXOIPHD-SNVBAGLBSA-N 0 1 267.329 0.780 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2nc(CC)c[nH]2)[C@@H](O)C1 ZINC001083536099 895002557 /nfs/dbraw/zinc/00/25/57/895002557.db2.gz CPBOOGCSEUUFLI-OLZOCXBDSA-N 0 1 292.383 0.252 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H](C)NC(=O)C1CCCC1 ZINC001483342054 895480361 /nfs/dbraw/zinc/48/03/61/895480361.db2.gz QLEFCCCPDDAFRK-CHWSQXEVSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)CCCNCc1nnc(C)[nH]1 ZINC001483462661 895587016 /nfs/dbraw/zinc/58/70/16/895587016.db2.gz FEKGDEAOYGXPGS-CQSZACIVSA-N 0 1 295.387 0.378 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@H]1CCN1C[C@H](O)COC ZINC001483682457 895847565 /nfs/dbraw/zinc/84/75/65/895847565.db2.gz CFFVMAWXQMXRBX-OLZOCXBDSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)COC[C@H]1CCCO1 ZINC001483705843 895886083 /nfs/dbraw/zinc/88/60/83/895886083.db2.gz JYBKWRUIKKPDIB-ZIAGYGMSSA-N 0 1 282.384 0.949 20 30 CCEDMN CC1(NC(=O)C#CC2CC2)CCN([C@H]2CCNC2=O)CC1 ZINC001483966915 896138945 /nfs/dbraw/zinc/13/89/45/896138945.db2.gz FEIJLXNPUFKPLT-ZDUSSCGKSA-N 0 1 289.379 0.259 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCn2cccn2)C1 ZINC001484030681 896156458 /nfs/dbraw/zinc/15/64/58/896156458.db2.gz WZIUBTVPTMVKFO-CQSZACIVSA-N 0 1 260.341 0.487 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)COCCCOC)C1 ZINC001484064924 896182902 /nfs/dbraw/zinc/18/29/02/896182902.db2.gz PIFWICFEXCHPJN-CQSZACIVSA-N 0 1 268.357 0.253 20 30 CCEDMN C=CCN(CCC#N)C(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001520190769 896200541 /nfs/dbraw/zinc/20/05/41/896200541.db2.gz DFFHVAZESYUFGY-QWHCGFSZSA-N 0 1 262.357 0.303 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@](O)(CNC(=O)CCCOC)C1 ZINC001484204995 896232335 /nfs/dbraw/zinc/23/23/35/896232335.db2.gz HWUNWDLEPITFSY-AWEZNQCLSA-N 0 1 270.373 0.542 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001484213385 896250613 /nfs/dbraw/zinc/25/06/13/896250613.db2.gz JYFJAACZGWDJHT-HNNXBMFYSA-N 0 1 286.335 0.076 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](O)(CNC(=O)c2cc(F)ccc2F)C1 ZINC001484221991 896255448 /nfs/dbraw/zinc/25/54/48/896255448.db2.gz AMGMVSINOUBGIN-OAHLLOKOSA-N 0 1 294.301 0.765 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cc(F)ccc2F)C1 ZINC001484221991 896255469 /nfs/dbraw/zinc/25/54/69/896255469.db2.gz AMGMVSINOUBGIN-OAHLLOKOSA-N 0 1 294.301 0.765 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2(CC)CCC2)C1 ZINC001484232912 896261880 /nfs/dbraw/zinc/26/18/80/896261880.db2.gz WCAGFEGWXGKHFZ-OAHLLOKOSA-N 0 1 264.369 0.753 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C(C)(C)C(F)F)C1 ZINC001484237540 896268298 /nfs/dbraw/zinc/26/82/98/896268298.db2.gz VZUFWPQAAXIVGQ-CYBMUJFWSA-N 0 1 274.311 0.464 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cccnc2C)C1 ZINC001484233413 896268688 /nfs/dbraw/zinc/26/86/88/896268688.db2.gz CUNPYMPFITWTGC-HNNXBMFYSA-N 0 1 273.336 0.190 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)[C@@H](OCC)C2CC2)C1 ZINC001484435732 896404325 /nfs/dbraw/zinc/40/43/25/896404325.db2.gz RRZTXDPVLAKKRV-HOCLYGCPSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001484437181 896405098 /nfs/dbraw/zinc/40/50/98/896405098.db2.gz NJSBIVVXZPQZIS-IUODEOHRSA-N 0 1 297.399 0.030 20 30 CCEDMN C#CC[NH2+]C[C@H]1CN(C(=O)c2cccc3nn[n-]c32)CCO1 ZINC001484677373 896532971 /nfs/dbraw/zinc/53/29/71/896532971.db2.gz DQBHPBXAYRPQLG-NSHDSACASA-N 0 1 299.334 0.022 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCCN(CC(=O)NCC)CC1 ZINC001484709775 896561313 /nfs/dbraw/zinc/56/13/13/896561313.db2.gz NNJKUMGXZMSVOE-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCN(CC(=O)N(C)C)CC1(C)C ZINC001484933280 896670803 /nfs/dbraw/zinc/67/08/03/896670803.db2.gz YXSBIILYAQPKFO-NWDGAFQWSA-N 0 1 294.399 0.451 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001485014629 896718460 /nfs/dbraw/zinc/71/84/60/896718460.db2.gz ZRSKXPKNRNBEGE-QWHCGFSZSA-N 0 1 264.369 0.609 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)Cc2ccon2)CC1 ZINC001485017696 896718643 /nfs/dbraw/zinc/71/86/43/896718643.db2.gz ZNUDAENFEUBPMM-UHFFFAOYSA-N 0 1 291.351 0.184 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@H]2C[C@H]2C)CC1 ZINC001485014628 896719675 /nfs/dbraw/zinc/71/96/75/896719675.db2.gz ZRSKXPKNRNBEGE-OLZOCXBDSA-N 0 1 264.369 0.609 20 30 CCEDMN CC[C@@H](F)CN1CCC(CO)(NC(=O)[C@H](C)C#N)CC1 ZINC001485015306 896721355 /nfs/dbraw/zinc/72/13/55/896721355.db2.gz ANRBMDVEOOLDFW-VXGBXAGGSA-N 0 1 285.363 0.837 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H]2CCC[C@@H]2OC)CC1 ZINC001485020530 896722572 /nfs/dbraw/zinc/72/25/72/896722572.db2.gz PIFNDKMFQKRXHG-KGLIPLIRSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cccn(C)c1=O ZINC001485077143 896755196 /nfs/dbraw/zinc/75/51/96/896755196.db2.gz NHGUVWPJLVJCSK-CYBMUJFWSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCc2cncn2C1 ZINC001485321640 896944070 /nfs/dbraw/zinc/94/40/70/896944070.db2.gz ZPNQJRZKPPLMNX-QWHCGFSZSA-N 0 1 274.368 0.515 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)Cc1c[nH]cn1 ZINC001485358893 897003968 /nfs/dbraw/zinc/00/39/68/897003968.db2.gz UQADJHIPPAALAR-GFCCVEGCSA-N 0 1 278.356 0.039 20 30 CCEDMN CC(C)[C@@H](CCN(C)C(=O)Cc1ccn[nH]1)NCC#N ZINC001485432271 897053496 /nfs/dbraw/zinc/05/34/96/897053496.db2.gz RTWNWSUPERANIV-CYBMUJFWSA-N 0 1 277.372 0.938 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)CNC(C)=O)C(C)(C)C1 ZINC001485561711 897124160 /nfs/dbraw/zinc/12/41/60/897124160.db2.gz OMCCQPQIAXULMY-LLVKDONJSA-N 0 1 253.346 0.135 20 30 CCEDMN CC#CC[NH2+]C[C@H]1C[C@H](NC(=O)c2[n-]nnc2C)C1 ZINC001485692123 897190156 /nfs/dbraw/zinc/19/01/56/897190156.db2.gz JJRUPDVNBQWESQ-XYPYZODXSA-N 0 1 261.329 0.234 20 30 CCEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)CC(=O)NC)C1 ZINC001107891734 897448205 /nfs/dbraw/zinc/44/82/05/897448205.db2.gz KAEJADVBABJFCK-HNNXBMFYSA-N 0 1 297.399 0.296 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)NC(=O)c1ncn[nH]1 ZINC001107894455 897454058 /nfs/dbraw/zinc/45/40/58/897454058.db2.gz NNXKIZIXOFOYHX-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)NC(=O)c1nc[nH]n1 ZINC001107894455 897454066 /nfs/dbraw/zinc/45/40/66/897454066.db2.gz NNXKIZIXOFOYHX-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C#CCOCCC(=O)NC[C@]1(C)CN(CCC=C)CCO1 ZINC001107940221 897532003 /nfs/dbraw/zinc/53/20/03/897532003.db2.gz QHGHWZUSRUBYSO-MRXNPFEDSA-N 0 1 294.395 0.810 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001032455884 897593920 /nfs/dbraw/zinc/59/39/20/897593920.db2.gz FBLPKWFMUBLCIP-NNYUYHANSA-N 0 1 271.324 0.322 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCN(C)C1=O ZINC001032463532 897601881 /nfs/dbraw/zinc/60/18/81/897601881.db2.gz XFKCHCJVDVDLLX-IHRRRGAJSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1CC(OC)C1 ZINC001032464949 897604588 /nfs/dbraw/zinc/60/45/88/897604588.db2.gz KVVMZMRXRRJXHX-QPPOZKHWSA-N 0 1 262.353 0.720 20 30 CCEDMN C#CCN1C[C@H](NC(C)=O)CC2(CCOCC2)C1 ZINC001089910706 897611204 /nfs/dbraw/zinc/61/12/04/897611204.db2.gz CKUMINGRVCIJGL-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)CCC(=O)NC1 ZINC001032579908 897770695 /nfs/dbraw/zinc/77/06/95/897770695.db2.gz DVCXEKFGFPTEFK-XEZPLFJOSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H](C)CCNC(=O)c1ncn[nH]1 ZINC001077836979 897964742 /nfs/dbraw/zinc/96/47/42/897964742.db2.gz XSIHKSAOSIUDEK-VHSXEESVSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H](C)CCNC(=O)c1nc[nH]n1 ZINC001077836979 897964753 /nfs/dbraw/zinc/96/47/53/897964753.db2.gz XSIHKSAOSIUDEK-VHSXEESVSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2nccs2)C1 ZINC001077884385 897995937 /nfs/dbraw/zinc/99/59/37/897995937.db2.gz HWPNXPGJXDXLMZ-GHMZBOCLSA-N 0 1 281.381 0.884 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1C[C@@H]2C[C@H]1CN2C[C@@H](C)O ZINC001032752405 898086362 /nfs/dbraw/zinc/08/63/62/898086362.db2.gz KMHZOAPQPQFQCC-COXVUDFISA-N 0 1 298.386 0.876 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2coc(CCC)n2)C1 ZINC001077997106 898168936 /nfs/dbraw/zinc/16/89/36/898168936.db2.gz QKOXRHUJYLBUCH-DGCLKSJQSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2coc(CCC)n2)C1 ZINC001077997106 898168945 /nfs/dbraw/zinc/16/89/45/898168945.db2.gz QKOXRHUJYLBUCH-DGCLKSJQSA-N 0 1 293.367 0.978 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccccc2F)C1 ZINC001078116536 898243610 /nfs/dbraw/zinc/24/36/10/898243610.db2.gz DUEQSUBTHWLYOD-HUUCEWRRSA-N 0 1 290.338 0.553 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc3ccccc3[nH]2)C1 ZINC001078146867 898266810 /nfs/dbraw/zinc/26/68/10/898266810.db2.gz FFWLVMINAJYZKQ-HZPDHXFCSA-N 0 1 297.358 0.966 20 30 CCEDMN CCn1nncc1CN[C@@H](C)CN(C)C(=O)C#CC1CC1 ZINC001485872812 898481579 /nfs/dbraw/zinc/48/15/79/898481579.db2.gz SNVZNBJBMSZXQC-LBPRGKRZSA-N 0 1 289.383 0.648 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC[C@@H](CO)NCc2cccnc2)c1 ZINC001485984826 898570856 /nfs/dbraw/zinc/57/08/56/898570856.db2.gz SOAFWDBWJLEWEE-ZDUSSCGKSA-N 0 1 299.334 0.162 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cccc2c[nH]nc21 ZINC001486024103 898596118 /nfs/dbraw/zinc/59/61/18/898596118.db2.gz YSCIEGOXXPVNKE-GFCCVEGCSA-N 0 1 286.335 0.267 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cn(CCC)nc1C ZINC001486048340 898615492 /nfs/dbraw/zinc/61/54/92/898615492.db2.gz REHAUOLERCYDOF-CYBMUJFWSA-N 0 1 292.383 0.305 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(OC)cc1C ZINC001486030002 898617021 /nfs/dbraw/zinc/61/70/21/898617021.db2.gz GGEIWQGCNSAZEB-CYBMUJFWSA-N 0 1 290.363 0.707 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(Cl)c(C)c1 ZINC001486031566 898620321 /nfs/dbraw/zinc/62/03/21/898620321.db2.gz TUUPNYFNLIMULP-LBPRGKRZSA-N 0 1 280.755 0.962 20 30 CCEDMN C=CCC1(C(=O)NC[C@@H](CO)N[C@@H](C)C(N)=O)CCCC1 ZINC001486068053 898633023 /nfs/dbraw/zinc/63/30/23/898633023.db2.gz NPGYBYLBEZGHIU-RYUDHWBXSA-N 0 1 297.399 0.063 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001494125365 898687345 /nfs/dbraw/zinc/68/73/45/898687345.db2.gz OCXKORKJYKVDLH-NSHDSACASA-N 0 1 276.340 0.451 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@@H](NC(=O)COC)[C@@H]1C ZINC001486166644 898692827 /nfs/dbraw/zinc/69/28/27/898692827.db2.gz MIBFRXBTELGIPD-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN N#CCNC[C@@H]1CC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001486220267 898719900 /nfs/dbraw/zinc/71/99/00/898719900.db2.gz JZUSQTNNHXZFPF-ZYHUDNBSSA-N 0 1 298.350 0.970 20 30 CCEDMN N#CCNC[C@H]1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001486220265 898721219 /nfs/dbraw/zinc/72/12/19/898721219.db2.gz JZUSQTNNHXZFPF-JQWIXIFHSA-N 0 1 298.350 0.970 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@@H](C)COC ZINC001486265102 898750487 /nfs/dbraw/zinc/75/04/87/898750487.db2.gz LXJUJVKIHKBJBA-GXSJLCMTSA-N 0 1 278.780 0.430 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)/C=C\c1ccc[nH]1 ZINC001486331190 898795268 /nfs/dbraw/zinc/79/52/68/898795268.db2.gz VDQRIBUYDBWKEO-CDNLZTBQSA-N 0 1 289.379 0.802 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C1(COC)CCC1 ZINC001486343022 898822751 /nfs/dbraw/zinc/82/27/51/898822751.db2.gz KGNNRLMHAPSZEN-AWEZNQCLSA-N 0 1 296.411 0.578 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@@H]1CCC(=O)NC1)C(C)C ZINC001486370926 898837410 /nfs/dbraw/zinc/83/74/10/898837410.db2.gz DCAHIKNPXQCELN-CYBMUJFWSA-N 0 1 279.384 0.315 20 30 CCEDMN C[C@@H]1CC[C@H](C)[N@@H+]1CC(=O)N(C)CCOCCNCC#N ZINC001486498633 898911364 /nfs/dbraw/zinc/91/13/64/898911364.db2.gz FFEDQSGLEHFZAM-OKILXGFUSA-N 0 1 296.415 0.447 20 30 CCEDMN CCn1cc(CN[C@H]2C[C@H](CNC(=O)[C@@H](C)C#N)C2)nn1 ZINC001397627878 914305566 /nfs/dbraw/zinc/30/55/66/914305566.db2.gz PAOKSMKIOQVKAD-SRVKXCTJSA-N 0 1 290.371 0.442 20 30 CCEDMN CC#CCCCC(=O)N(C)[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001486921569 899071765 /nfs/dbraw/zinc/07/17/65/899071765.db2.gz WHKDGNIQBRQKMW-NSHDSACASA-N 0 1 291.355 0.575 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H]1CN(C(=O)c2ncc[nH]2)CCO1 ZINC001410162576 899250160 /nfs/dbraw/zinc/25/01/60/899250160.db2.gz USNPAVXPABMVTQ-QWRGUYRKSA-N 0 1 298.774 0.981 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](NC(C)=O)CC1 ZINC001327095190 914369751 /nfs/dbraw/zinc/36/97/51/914369751.db2.gz PZLTYLQXTSFMIB-AAEUAGOBSA-N 0 1 265.357 0.115 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CC(NCc2ncnn2C)C1 ZINC001411236483 899749459 /nfs/dbraw/zinc/74/94/59/899749459.db2.gz NNBAHJYXXNGOBA-KPPDAEKUSA-N 0 1 276.344 0.054 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N(C)C[C@@H]1CCN1CC#N ZINC001488688654 900341485 /nfs/dbraw/zinc/34/14/85/900341485.db2.gz ITEMOGAAKIWJLL-KGLIPLIRSA-N 0 1 294.399 0.011 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@@H]2CCO[C@@H]2C)CC1 ZINC001490473146 900634816 /nfs/dbraw/zinc/63/48/16/900634816.db2.gz YTAQDIQZDCIILA-HUUCEWRRSA-N 0 1 293.411 0.169 20 30 CCEDMN C=CCCC(=O)NCCN1CCN(C[C@@H](C)O)CC1 ZINC001490484120 900637504 /nfs/dbraw/zinc/63/75/04/900637504.db2.gz GTVJNCWUXUHVAY-CYBMUJFWSA-N 0 1 269.389 0.067 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCN1CCN(CCOC)CC1 ZINC001490501392 900642606 /nfs/dbraw/zinc/64/26/06/900642606.db2.gz SSEPPDUFPZNMMA-MRXNPFEDSA-N 0 1 297.443 0.969 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC(=O)NCC(F)(F)F)C1 ZINC001490568263 900666953 /nfs/dbraw/zinc/66/69/53/900666953.db2.gz GCNPWSSVXCWVOJ-SECBINFHSA-N 0 1 293.289 0.432 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cnn(C)n2)C1 ZINC001490560903 900669338 /nfs/dbraw/zinc/66/93/38/900669338.db2.gz BEJMMKVDMSTLNY-GFCCVEGCSA-N 0 1 263.345 0.124 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)c2cnn(C)n2)CC1 ZINC001490622615 900676723 /nfs/dbraw/zinc/67/67/23/900676723.db2.gz WFAFGQSDUVYVCO-UHFFFAOYSA-N 0 1 289.383 0.765 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cncc(-n2ccnn2)c1 ZINC001275606979 901146715 /nfs/dbraw/zinc/14/67/15/901146715.db2.gz JJLYFNFVOSUHOC-LBPRGKRZSA-N 0 1 298.350 0.346 20 30 CCEDMN N#Cc1cccc(C2(C(=O)NCc3n[nH]c(CO)n3)CC2)c1 ZINC001412362662 901660962 /nfs/dbraw/zinc/66/09/62/901660962.db2.gz HPYCJKFWMODENM-UHFFFAOYSA-N 0 1 297.318 0.517 20 30 CCEDMN N#Cc1cccc(C2(C(=O)NCc3nnc(CO)[nH]3)CC2)c1 ZINC001412362662 901660969 /nfs/dbraw/zinc/66/09/69/901660969.db2.gz HPYCJKFWMODENM-UHFFFAOYSA-N 0 1 297.318 0.517 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCCN(C(=O)CCC)C1 ZINC001492870893 914624999 /nfs/dbraw/zinc/62/49/99/914624999.db2.gz HUSJNFDWJOHCIF-AWEZNQCLSA-N 0 1 293.411 0.706 20 30 CCEDMN C[C@H]1CN(CCO)CCN1C[C@H](O)c1ccc(C#N)cc1 ZINC001412994115 902447434 /nfs/dbraw/zinc/44/74/34/902447434.db2.gz JMHOBRJEYTXBNN-BBRMVZONSA-N 0 1 289.379 0.590 20 30 CCEDMN CN(C[C@@H](O)CN1CC[C@@](O)(CC#N)C1)CC(F)(F)F ZINC001413010796 902468536 /nfs/dbraw/zinc/46/85/36/902468536.db2.gz LKEMFAMNYUEGSE-MNOVXSKESA-N 0 1 295.305 0.192 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)CN1CCCC1=O)C1CC1 ZINC001398394123 914646024 /nfs/dbraw/zinc/64/60/24/914646024.db2.gz GMHUNXNBUVNMCR-LBPRGKRZSA-N 0 1 299.802 0.846 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2Cc2cc(C#N)ccn2)n[nH]1 ZINC001413093997 902700815 /nfs/dbraw/zinc/70/08/15/902700815.db2.gz MEIXTTXNMZPOJJ-OLZOCXBDSA-N 0 1 298.350 0.942 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1C[C@@H](NCc2cc(C)no2)C1 ZINC001491017432 903294681 /nfs/dbraw/zinc/29/46/81/903294681.db2.gz KZIHOTJVDPAPPZ-RWMBFGLXSA-N 0 1 291.351 0.758 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)C#N)NCc1cc2n(n1)CCCC2 ZINC001491413675 903561790 /nfs/dbraw/zinc/56/17/90/903561790.db2.gz GGQPLYUPZUZEFI-NWDGAFQWSA-N 0 1 289.383 0.973 20 30 CCEDMN C[C@H](CNCC#N)CNC(=O)Cc1n[nH]c2c1CCCC2 ZINC001495664041 903603197 /nfs/dbraw/zinc/60/31/97/903603197.db2.gz FVVGNHPFICFGOL-LLVKDONJSA-N 0 1 289.383 0.696 20 30 CCEDMN C=CCC1(C(=O)NCCNC(=O)Cc2nnc[nH]2)CCC1 ZINC001491537100 903646500 /nfs/dbraw/zinc/64/65/00/903646500.db2.gz VQNRHXRVSHVPKE-UHFFFAOYSA-N 0 1 291.355 0.326 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC001299565836 904054491 /nfs/dbraw/zinc/05/44/91/904054491.db2.gz WNXVHEOLIOCZFO-STQMWFEESA-N 0 1 298.383 0.409 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)CCOC)C2)CC1 ZINC001280678528 904135889 /nfs/dbraw/zinc/13/58/89/904135889.db2.gz OEXBMYMWZFFZFC-HNNXBMFYSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)[C@H](OCC)[C@@H]1CCOC1 ZINC001280836517 904155685 /nfs/dbraw/zinc/15/56/85/904155685.db2.gz XQXQLYHDJMQKAL-HUUCEWRRSA-N 0 1 296.411 0.842 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)[C@H](C)n1cncn1 ZINC001280802305 904157049 /nfs/dbraw/zinc/15/70/49/904157049.db2.gz HZDSXHJEEHMOEU-LBPRGKRZSA-N 0 1 263.345 0.253 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)CCC2CC2)CC1 ZINC001281800363 904346344 /nfs/dbraw/zinc/34/63/44/904346344.db2.gz WHVOSKHTOXGUNV-UHFFFAOYSA-N 0 1 264.369 0.753 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](C)NC(C)=O ZINC001281918502 904368065 /nfs/dbraw/zinc/36/80/65/904368065.db2.gz ASPOBCHCKXCIRK-OCCSQVGLSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](C)NC(C)=O ZINC001281918501 904369847 /nfs/dbraw/zinc/36/98/47/904369847.db2.gz ASPOBCHCKXCIRK-JSGCOSHPSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cccnn1 ZINC001281965885 904377199 /nfs/dbraw/zinc/37/71/99/904377199.db2.gz YFYVQOONUDDJBF-GFCCVEGCSA-N 0 1 258.325 0.646 20 30 CCEDMN N#CCNCC1CC(NC(=O)c2[nH]ncc2F)C1 ZINC001282052534 904398714 /nfs/dbraw/zinc/39/87/14/904398714.db2.gz GUBUMOGLTILKKW-UHFFFAOYSA-N 0 1 251.265 0.170 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H](C)N(C)CC#CC ZINC001282390108 904468019 /nfs/dbraw/zinc/46/80/19/904468019.db2.gz DEFLZXGNHNQLES-OLZOCXBDSA-N 0 1 250.342 0.485 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CCc1ncccn1 ZINC001282466135 904481576 /nfs/dbraw/zinc/48/15/76/904481576.db2.gz AEKROACKGGSIHQ-GFCCVEGCSA-N 0 1 260.341 0.479 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001282477430 904488550 /nfs/dbraw/zinc/48/85/50/904488550.db2.gz JJPNOIDVLDFXIQ-OLZOCXBDSA-N 0 1 288.395 0.974 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)Cc2c[nH]cn2)C1 ZINC001282740686 904535867 /nfs/dbraw/zinc/53/58/67/904535867.db2.gz ODKZRWWOQYWYEK-NSHDSACASA-N 0 1 260.341 0.412 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNC(=O)c1cnn[nH]1 ZINC001283104968 904696643 /nfs/dbraw/zinc/69/66/43/904696643.db2.gz FCXJPDSHPAWDOQ-DTWKUNHWSA-N 0 1 265.317 0.109 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNC(=O)c1cnn[nH]1 ZINC001283104969 904696908 /nfs/dbraw/zinc/69/69/08/904696908.db2.gz FCXJPDSHPAWDOQ-IUCAKERBSA-N 0 1 265.317 0.109 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001283335471 904807900 /nfs/dbraw/zinc/80/79/00/904807900.db2.gz AOJHFINOJMSGOL-CYBMUJFWSA-N 0 1 288.351 0.329 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CC[C@H]1CCCO1 ZINC001283736278 904984256 /nfs/dbraw/zinc/98/42/56/904984256.db2.gz VFHSGZPMDZGGTB-CHWSQXEVSA-N 0 1 268.357 0.036 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1[nH]cnc1C(C)(C)C ZINC001283759940 904999284 /nfs/dbraw/zinc/99/92/84/904999284.db2.gz OLGRQPBHXDDTOC-SNVBAGLBSA-N 0 1 278.356 0.021 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1cccc(CC)c1 ZINC001283772146 905011057 /nfs/dbraw/zinc/01/10/57/905011057.db2.gz VMTKLZRHGLYGJE-OAHLLOKOSA-N 0 1 274.364 0.491 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(F)c(C)c1 ZINC001283795687 905018800 /nfs/dbraw/zinc/01/88/00/905018800.db2.gz FNJJRTXEVKFEJU-CYBMUJFWSA-N 0 1 278.327 0.838 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001283886916 905074899 /nfs/dbraw/zinc/07/48/99/905074899.db2.gz DEYOOOMNKKFFLK-VIFPVBQESA-N 0 1 263.301 0.102 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001283886916 905074919 /nfs/dbraw/zinc/07/49/19/905074919.db2.gz DEYOOOMNKKFFLK-VIFPVBQESA-N 0 1 263.301 0.102 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)NC(=O)[C@H]1CCCN1C ZINC001284207848 905192254 /nfs/dbraw/zinc/19/22/54/905192254.db2.gz NPRWXKQIMWKYAE-NWDGAFQWSA-N 0 1 267.373 0.668 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CC(C)(C)CNCc1nncn1C ZINC001377940255 905259883 /nfs/dbraw/zinc/25/98/83/905259883.db2.gz MDUXZLQVCMTACD-NSHDSACASA-N 0 1 292.387 0.549 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(CNC(=O)CN2CCCC2)C1 ZINC001284969247 905517254 /nfs/dbraw/zinc/51/72/54/905517254.db2.gz RKZZNVXFSRIGIS-UHFFFAOYSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@H](C)NC(=O)c1cnn[nH]1 ZINC001288515625 906040079 /nfs/dbraw/zinc/04/00/79/906040079.db2.gz RGOSCHGZNIRXCE-UWVGGRQHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001379223803 906072796 /nfs/dbraw/zinc/07/27/96/906072796.db2.gz QOIVTBAYKGINBG-BBBLOLIVSA-N 0 1 273.764 0.297 20 30 CCEDMN C[C@@H](CNCc1ccn(C)n1)NC(=O)c1ccc(C#N)[nH]1 ZINC001379494493 906302442 /nfs/dbraw/zinc/30/24/42/906302442.db2.gz ZRIXQKCQQKSXQQ-JTQLQIEISA-N 0 1 286.339 0.528 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCCC(N)=O)C1 ZINC001379590937 906366633 /nfs/dbraw/zinc/36/66/33/906366633.db2.gz VDYWYOSBDAMQGW-GHMZBOCLSA-N 0 1 287.791 0.974 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)CCC(=O)[O-] ZINC001334980529 906505404 /nfs/dbraw/zinc/50/54/04/906505404.db2.gz JGLQCZBQOJSLJP-QWRGUYRKSA-N 0 1 252.314 0.454 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)Cc1ncc[nH]1 ZINC001379860584 906513432 /nfs/dbraw/zinc/51/34/32/906513432.db2.gz YKPRASNHEUYIKP-JTQLQIEISA-N 0 1 286.763 0.114 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001294736399 906625334 /nfs/dbraw/zinc/62/53/34/906625334.db2.gz HFWBFHYGAPKWEI-LLVKDONJSA-N 0 1 274.324 0.400 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN(C)C(=O)Cc1ncn[nH]1 ZINC001337488273 921260470 /nfs/dbraw/zinc/26/04/70/921260470.db2.gz FIFCQHDIQIGZRM-JTQLQIEISA-N 0 1 293.371 0.523 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCNC(=O)Cc1cnc[nH]1 ZINC001296252664 906883355 /nfs/dbraw/zinc/88/33/55/906883355.db2.gz CXTZDQIGCWVRBY-UHFFFAOYSA-N 0 1 276.340 0.186 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)Cc2ccon2)CCO1 ZINC001380905347 906987253 /nfs/dbraw/zinc/98/72/53/906987253.db2.gz RRQDXIQBDIDYLM-LBPRGKRZSA-N 0 1 299.758 0.787 20 30 CCEDMN C#CCCCC(=O)N1CC(NC(=O)c2[nH]nc(C)c2C)C1 ZINC001297023041 907002311 /nfs/dbraw/zinc/00/23/11/907002311.db2.gz UQSMJCSCMYRLFQ-UHFFFAOYSA-N 0 1 288.351 0.771 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)CCN1CC[C@H](F)C1 ZINC001297802293 907116780 /nfs/dbraw/zinc/11/67/80/907116780.db2.gz OCLXJJYKBVBAOB-RYUDHWBXSA-N 0 1 258.337 0.864 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)[C@@H]1C ZINC001337941424 921321377 /nfs/dbraw/zinc/32/13/77/921321377.db2.gz YADXOMXNNXUUIR-QWRGUYRKSA-N 0 1 289.339 0.183 20 30 CCEDMN C[C@@H](Nc1c(C#N)cnn1C)[C@@H]1CN(C)CCN1C ZINC001337971433 921325991 /nfs/dbraw/zinc/32/59/91/921325991.db2.gz QTNIELDJZWIPDT-PWSUYJOCSA-N 0 1 262.361 0.338 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@H](C)NCC#N ZINC001491681699 907495005 /nfs/dbraw/zinc/49/50/05/907495005.db2.gz GOQCUDNDTPYZGK-RYUDHWBXSA-N 0 1 252.362 0.479 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCNC(N)=O ZINC001382156829 907577481 /nfs/dbraw/zinc/57/74/81/907577481.db2.gz FSQHAQOYIJGHDY-SECBINFHSA-N 0 1 276.768 0.234 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)NCc1cnon1 ZINC001492014260 907673848 /nfs/dbraw/zinc/67/38/48/907673848.db2.gz WVJGEKWCRCPLDW-NSHDSACASA-N 0 1 264.329 0.858 20 30 CCEDMN Cc1nnc(CN[C@H](C)CCNC(=O)C#CC(C)C)[nH]1 ZINC001492019459 907677597 /nfs/dbraw/zinc/67/75/97/907677597.db2.gz ACFJYUSNBGPYAA-LLVKDONJSA-N 0 1 277.372 0.757 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cc(C)ncn1 ZINC001382382263 907712986 /nfs/dbraw/zinc/71/29/86/907712986.db2.gz FLRVTFOAYRJRPP-NSHDSACASA-N 0 1 298.774 0.560 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CC[C@@H](C)OC ZINC001492231804 907785546 /nfs/dbraw/zinc/78/55/46/907785546.db2.gz HSTUKQKHSFBLGW-CYBMUJFWSA-N 0 1 270.373 0.499 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@@H]1CCOC[C@H]1OC ZINC001492278292 907827310 /nfs/dbraw/zinc/82/73/10/907827310.db2.gz LOCCPGFPNRSSGC-QWHCGFSZSA-N 0 1 268.357 0.109 20 30 CCEDMN C=C(C)C[N@@H+](C)CCNC(=O)COCc1cc[nH]c(=O)c1 ZINC001492304200 907833687 /nfs/dbraw/zinc/83/36/87/907833687.db2.gz UCEAQYQMCBMTIM-UHFFFAOYSA-N 0 1 293.367 0.928 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cccc2[nH]cnc21 ZINC001492462907 907932734 /nfs/dbraw/zinc/93/27/34/907932734.db2.gz LMOKSYWJWLUOKN-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CCCC[C@@H](ON=C(C)C)C(=O)NCc1n[nH]c(CO)n1 ZINC001301192650 907959165 /nfs/dbraw/zinc/95/91/65/907959165.db2.gz HRTRHGHYFQQIQW-SNVBAGLBSA-N 0 1 297.359 0.884 20 30 CCEDMN CCCC[C@@H](ON=C(C)C)C(=O)NCc1nnc(CO)[nH]1 ZINC001301192650 907959177 /nfs/dbraw/zinc/95/91/77/907959177.db2.gz HRTRHGHYFQQIQW-SNVBAGLBSA-N 0 1 297.359 0.884 20 30 CCEDMN N#Cc1cnn(C([O-])=C2COc3ccc(O)cc3O2)c1N ZINC001301625010 907978564 /nfs/dbraw/zinc/97/85/64/907978564.db2.gz LEPKZCZZFDMKAH-NSHDSACASA-N 0 1 286.247 0.523 20 30 CCEDMN N#Cc1cnn(C(O)=C2COc3ccc(O)cc3O2)c1N ZINC001301625010 907978569 /nfs/dbraw/zinc/97/85/69/907978569.db2.gz LEPKZCZZFDMKAH-NSHDSACASA-N 0 1 286.247 0.523 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H](O)c2cnc[nH]2)cc1 ZINC001303584400 908100625 /nfs/dbraw/zinc/10/06/25/908100625.db2.gz WHLYLZSPTPATJX-CYBMUJFWSA-N 0 1 255.277 0.854 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001303583998 908100667 /nfs/dbraw/zinc/10/06/67/908100667.db2.gz OIRWLKVKHUZCIQ-LLVKDONJSA-N 0 1 260.253 0.646 20 30 CCEDMN CCC(=O)N1CCC[C@H](N(C)CC#CCOC)C1 ZINC001317373438 908190107 /nfs/dbraw/zinc/19/01/07/908190107.db2.gz CZYYTSRYRJWWAG-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H](c3nc[nH]n3)C2)nn1 ZINC001307202552 908297219 /nfs/dbraw/zinc/29/72/19/908297219.db2.gz XTPHTEAESALJHI-VIFPVBQESA-N 0 1 255.285 0.850 20 30 CCEDMN N#CC[C@@H](O)CN1CCC(n2ccc([N+](=O)[O-])n2)CC1 ZINC001307736771 908337302 /nfs/dbraw/zinc/33/73/02/908337302.db2.gz KKWBACQCMSVUQB-LLVKDONJSA-N 0 1 279.300 0.703 20 30 CCEDMN C#CC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)C(C)C ZINC001588466113 983498243 /nfs/dbraw/zinc/49/82/43/983498243.db2.gz VTWKQKRKROKFQG-LBPRGKRZSA-N 0 1 254.330 0.555 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](NC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001385608355 908465334 /nfs/dbraw/zinc/46/53/34/908465334.db2.gz BBSUOPIXBUEKEP-PSASIEDQSA-N 0 1 275.312 0.209 20 30 CCEDMN CCc1nc(C)c(CNC(=O)NCC#CCN(C)C)o1 ZINC001312763385 908629448 /nfs/dbraw/zinc/62/94/48/908629448.db2.gz OKMRWGBNRORRIM-UHFFFAOYSA-N 0 1 278.356 0.910 20 30 CCEDMN CC(C)n1cnnc1CN(C)C(=O)NCC#CCN(C)C ZINC001313439664 908666582 /nfs/dbraw/zinc/66/65/82/908666582.db2.gz PEUJLKNPJROQNV-UHFFFAOYSA-N 0 1 292.387 0.565 20 30 CCEDMN C=CCN1CCN(CCNC(=O)Cn2cc(C)cn2)CC1 ZINC001316962488 908702133 /nfs/dbraw/zinc/70/21/33/908702133.db2.gz LUIGBBKMOKGHLH-UHFFFAOYSA-N 0 1 291.399 0.111 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C[C@H]2CCOC2)CC1 ZINC001316956292 908702698 /nfs/dbraw/zinc/70/26/98/908702698.db2.gz ANLYSGXWHRYFGG-OAHLLOKOSA-N 0 1 293.411 0.170 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CC[N@@H+](CCn2cccn2)C1 ZINC001316973725 908706822 /nfs/dbraw/zinc/70/68/22/908706822.db2.gz ILGNJQMKTYZBIS-CYBMUJFWSA-N 0 1 278.356 0.276 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN(CCn2cccn2)C1 ZINC001316973725 908706831 /nfs/dbraw/zinc/70/68/31/908706831.db2.gz ILGNJQMKTYZBIS-CYBMUJFWSA-N 0 1 278.356 0.276 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCC(=O)N1 ZINC001316807164 908724961 /nfs/dbraw/zinc/72/49/61/908724961.db2.gz RNHYWSQOOSGTJA-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1ocnc1C ZINC001316821351 908752638 /nfs/dbraw/zinc/75/26/38/908752638.db2.gz XFLKZMXDLZUGGI-UHFFFAOYSA-N 0 1 294.355 0.337 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(=O)[C@H](C)C#N)C1=O ZINC001316815121 908781509 /nfs/dbraw/zinc/78/15/09/908781509.db2.gz FYOULUMMVVYBCZ-OLZOCXBDSA-N 0 1 292.383 0.371 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN(C)Cc1ocnc1C ZINC001316825545 908791538 /nfs/dbraw/zinc/79/15/38/908791538.db2.gz SVZPQIJNRRBLQX-ZDUSSCGKSA-N 0 1 293.367 0.959 20 30 CCEDMN C#CCNC(=O)CN(CCCNC(=O)C1(C)CC1)C1CC1 ZINC001316917078 908841571 /nfs/dbraw/zinc/84/15/71/908841571.db2.gz YMSYAEGCTFXOKR-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)[C@@H]2CCCO2)C1 ZINC001316936657 908851350 /nfs/dbraw/zinc/85/13/50/908851350.db2.gz BWHKUWWEKLGUNT-KGLIPLIRSA-N 0 1 282.384 0.949 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C[C@H](C)SC)CC1 ZINC001316956045 908866832 /nfs/dbraw/zinc/86/68/32/908866832.db2.gz OMWKWJYIOQNADB-AWEZNQCLSA-N 0 1 297.468 0.885 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)Cn2cncn2)C1 ZINC001316953451 908868261 /nfs/dbraw/zinc/86/82/61/908868261.db2.gz UZABBKMVWIVPHH-CYBMUJFWSA-N 0 1 293.371 0.061 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)COC(C)C)CC1 ZINC001316961805 908869401 /nfs/dbraw/zinc/86/94/01/908869401.db2.gz FQWKJTRGUDGZOF-UHFFFAOYSA-N 0 1 283.416 0.721 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)[C@@H]2C[C@H]2C2CC2)CC1 ZINC001316968949 908877215 /nfs/dbraw/zinc/87/72/15/908877215.db2.gz TWRHBCZZIOSQQB-JKSUJKDBSA-N 0 1 289.423 0.790 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(C(=O)NC)CCC2)C1 ZINC001316990086 908898611 /nfs/dbraw/zinc/89/86/11/908898611.db2.gz DGRQGPVYQXSAEA-LLVKDONJSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](CNC(=O)CCCF)C1 ZINC001317138834 909009977 /nfs/dbraw/zinc/00/99/77/909009977.db2.gz OMBIORQBMCPCLL-CYBMUJFWSA-N 0 1 297.374 0.314 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)Cn1cncn1 ZINC001317181560 909032408 /nfs/dbraw/zinc/03/24/08/909032408.db2.gz SNMFHGRQDWCSED-ZDUSSCGKSA-N 0 1 275.356 0.272 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@@H]1CCNC1=O ZINC001317188338 909037158 /nfs/dbraw/zinc/03/71/58/909037158.db2.gz JCEWFWLQBRXDKM-VXGBXAGGSA-N 0 1 299.802 0.846 20 30 CCEDMN C#CCCN(C)CCNC(=O)COc1c(C)occc1=O ZINC001317450416 909220991 /nfs/dbraw/zinc/22/09/91/909220991.db2.gz GOVLDYHNOBEZPT-UHFFFAOYSA-N 0 1 292.335 0.398 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H](OC)c1cnn(C)c1 ZINC001317482583 909256513 /nfs/dbraw/zinc/25/65/13/909256513.db2.gz WMRCWNVBMANMEF-AWEZNQCLSA-N 0 1 292.383 0.569 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)CCCC(N)=O ZINC001317513940 909277937 /nfs/dbraw/zinc/27/79/37/909277937.db2.gz QHWCJKXNZFAUNS-LBPRGKRZSA-N 0 1 265.357 0.246 20 30 CCEDMN C=CCOCC(=O)NC1CN(C[C@@H]2C[C@@]23CCOC3)C1 ZINC001318010652 909575629 /nfs/dbraw/zinc/57/56/29/909575629.db2.gz SKMOBOBVWPDOJR-SWLSCSKDSA-N 0 1 280.368 0.416 20 30 CCEDMN C#CCOCCC(=O)NC1CN(C[C@@H](C)CC)C1 ZINC001318025504 909588419 /nfs/dbraw/zinc/58/84/19/909588419.db2.gz XHZAHIUQFDIQEG-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CN(C)C(C)=O)C1 ZINC001318105276 909617615 /nfs/dbraw/zinc/61/76/15/909617615.db2.gz FVFUVCQBAFYUQU-UHFFFAOYSA-N 0 1 253.346 0.089 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(n3cncn3)C2)CC1 ZINC001318282476 909687832 /nfs/dbraw/zinc/68/78/32/909687832.db2.gz UQAVTQLIBISMSC-UHFFFAOYSA-N 0 1 273.340 0.007 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CCN(CCOCC)C1 ZINC001318331370 909712547 /nfs/dbraw/zinc/71/25/47/909712547.db2.gz PTWOVTLFVRZYHY-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)COCCOCC)C1 ZINC001318491986 909777553 /nfs/dbraw/zinc/77/75/53/909777553.db2.gz MTBZECZCMNMOEH-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CC[NH2+]C[C@](C)(NC(=O)c1[n-]nnc1C)C1CC1 ZINC001318710278 909867822 /nfs/dbraw/zinc/86/78/22/909867822.db2.gz DWINSNSTKGPPOB-ZDUSSCGKSA-N 0 1 261.329 0.234 20 30 CCEDMN C[C@@](C#N)(NC(=O)COC(=O)CCc1nc[nH]n1)C1CC1 ZINC001319267132 910062965 /nfs/dbraw/zinc/06/29/65/910062965.db2.gz YFLONTKJTJDGHH-ZDUSSCGKSA-N 0 1 291.311 0.089 20 30 CCEDMN C[C@H](CNCc1ccn(C)n1)N(C)C(=O)[C@@H](C)C#N ZINC001319437145 910147004 /nfs/dbraw/zinc/14/70/04/910147004.db2.gz QAQIJGBIHOELFN-WDEREUQCSA-N 0 1 263.345 0.516 20 30 CCEDMN CC(C)[C@H](CNC(=O)C#CC1CC1)NCc1cn(C)nn1 ZINC001319911384 910347360 /nfs/dbraw/zinc/34/73/60/910347360.db2.gz QYIUMMCGIPWPHM-AWEZNQCLSA-N 0 1 289.383 0.459 20 30 CCEDMN CC[C@@H](CNC(=O)c1[nH]nc(C)c1C)NC(=O)[C@@H](C)C#N ZINC001390339535 910360166 /nfs/dbraw/zinc/36/01/66/910360166.db2.gz YIZIEBAFLFPFLE-KWQFWETISA-N 0 1 291.355 0.811 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2cc(CN(C)C)on2)NO1 ZINC001319991225 910399695 /nfs/dbraw/zinc/39/96/95/910399695.db2.gz YXCUFFXYVHITIK-SSDOTTSWSA-N 0 1 252.274 0.588 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)C1(COC)CCC1 ZINC001320026130 910421351 /nfs/dbraw/zinc/42/13/51/910421351.db2.gz KWAFKYWKWFGIEC-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN Cc1ncc(CNC[C@H](O)CNC(=O)C#CC(C)C)o1 ZINC001320216533 910528064 /nfs/dbraw/zinc/52/80/64/910528064.db2.gz LLENQIZHYIZVGB-LBPRGKRZSA-N 0 1 279.340 0.209 20 30 CCEDMN C=CCO[C@H]1CCN([C@H](C)C(=O)NC(=O)NC)C1 ZINC001320322745 910610600 /nfs/dbraw/zinc/61/06/00/910610600.db2.gz YKBRKBWOESAMSG-ZJUUUORDSA-N 0 1 255.318 0.107 20 30 CCEDMN CN(CCNC(=O)Cn1cncn1)Cc1cccc(C#N)c1 ZINC001390852560 910732584 /nfs/dbraw/zinc/73/25/84/910732584.db2.gz RJJVYTCSDAIVPG-UHFFFAOYSA-N 0 1 298.350 0.398 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCC(C(N)=O)CC1 ZINC001320755870 910845846 /nfs/dbraw/zinc/84/58/46/910845846.db2.gz UETLSGUKYFNOMN-SNVBAGLBSA-N 0 1 278.356 0.135 20 30 CCEDMN C=CCO[C@@H]1CCN([C@@H](C)C(=O)NC(=O)NC2CC2)C1 ZINC001320898750 910925521 /nfs/dbraw/zinc/92/55/21/910925521.db2.gz MZPLYIIWJUKDEE-CMPLNLGQSA-N 0 1 281.356 0.640 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)CN2CCC(CO)CC2)C1 ZINC001339060012 921641757 /nfs/dbraw/zinc/64/17/57/921641757.db2.gz JUTVRAOABLOREF-CYBMUJFWSA-N 0 1 264.369 0.563 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NC/C=C/CNCC#N ZINC001321284593 911204965 /nfs/dbraw/zinc/20/49/65/911204965.db2.gz GOZCWGLTJPWSOO-KXMPLOMGSA-N 0 1 261.329 0.374 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H](C)S(C)(=O)=O ZINC001391698472 911335877 /nfs/dbraw/zinc/33/58/77/911335877.db2.gz COZSGRNCPBSOFM-NXEZZACHSA-N 0 1 296.820 0.656 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1cccc(=O)[nH]1 ZINC001322134844 911674842 /nfs/dbraw/zinc/67/48/42/911674842.db2.gz LIMBVPVWPINMIY-GFCCVEGCSA-N 0 1 291.351 0.487 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cc2n(n1)CCCC2 ZINC001322184232 911699613 /nfs/dbraw/zinc/69/96/13/911699613.db2.gz ZECAWLRSUCLQLU-GFCCVEGCSA-N 0 1 274.368 0.903 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnn2c1CCCC2 ZINC001322275224 911742326 /nfs/dbraw/zinc/74/23/26/911742326.db2.gz WFCAZYMWSCRCFU-GFCCVEGCSA-N 0 1 274.368 0.903 20 30 CCEDMN N#CCNC[C@@H](NC(=O)c1[nH]nc2c1CCC2)C1CC1 ZINC001323034324 912079995 /nfs/dbraw/zinc/07/99/95/912079995.db2.gz QVOFZVSRZDITHF-GFCCVEGCSA-N 0 1 273.340 0.520 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC001323185075 912161833 /nfs/dbraw/zinc/16/18/33/912161833.db2.gz XYEUBBZVMUFZDR-GFCCVEGCSA-N 0 1 277.368 0.259 20 30 CCEDMN COC[C@H](C)N1CC[C@H]1CNC(=O)C#CC1CC1 ZINC001323225958 912196900 /nfs/dbraw/zinc/19/69/00/912196900.db2.gz KWDSEAQQZJHMFU-AAEUAGOBSA-N 0 1 250.342 0.625 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cnnn1CC ZINC001398926949 914959289 /nfs/dbraw/zinc/95/92/89/914959289.db2.gz XFWYSXGWNZUNCU-VIFPVBQESA-N 0 1 271.752 0.758 20 30 CCEDMN Cc1nccnc1CN[C@H](C)CNC(=O)c1ccc(C#N)[nH]1 ZINC001398934706 914962571 /nfs/dbraw/zinc/96/25/71/914962571.db2.gz VWCJVTKEWCNNEZ-SNVBAGLBSA-N 0 1 298.350 0.893 20 30 CCEDMN CO[C@@H](C(=O)NC1(C#N)CCN(C)CC1)[C@@H]1CCOC1 ZINC001328222826 915034526 /nfs/dbraw/zinc/03/45/26/915034526.db2.gz SSXDYGIOQXVMHN-VXGBXAGGSA-N 0 1 281.356 0.142 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)c1cn(C)nc1OC ZINC001328751987 915408361 /nfs/dbraw/zinc/40/83/61/915408361.db2.gz CYUPHUQNCYBTFU-GFCCVEGCSA-N 0 1 290.367 0.598 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cn(C)nc1OC ZINC001328751987 915408369 /nfs/dbraw/zinc/40/83/69/915408369.db2.gz CYUPHUQNCYBTFU-GFCCVEGCSA-N 0 1 290.367 0.598 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H]([C@@H]2CCN(CC(N)=O)C2)C1 ZINC001328809062 915442853 /nfs/dbraw/zinc/44/28/53/915442853.db2.gz SKYQHUCCMWHQFE-UONOGXRCSA-N 0 1 293.411 0.998 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NC1CC(CNCC#N)C1 ZINC001328926969 915522972 /nfs/dbraw/zinc/52/29/72/915522972.db2.gz FLRGWALQMSCHJW-VOMCLLRMSA-N 0 1 275.356 0.596 20 30 CCEDMN C[C@@H](NCc1cnns1)[C@@H](C)NC(=O)C#CC1CC1 ZINC001329033100 915607314 /nfs/dbraw/zinc/60/73/14/915607314.db2.gz GZPXOUUHNBUNKH-NXEZZACHSA-N 0 1 278.381 0.934 20 30 CCEDMN CCn1cc(CCN2CC(CNC(=O)[C@H](C)C#N)C2)cn1 ZINC001400477095 915768440 /nfs/dbraw/zinc/76/84/40/915768440.db2.gz RKBNXTOWUOMREV-GFCCVEGCSA-N 0 1 289.383 0.653 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NCc1n[nH]c(COC)n1 ZINC001329382275 915856547 /nfs/dbraw/zinc/85/65/47/915856547.db2.gz FUCPSBCDIYWXBO-NSHDSACASA-N 0 1 251.290 0.507 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NCc1nnc(COC)[nH]1 ZINC001329382275 915856560 /nfs/dbraw/zinc/85/65/60/915856560.db2.gz FUCPSBCDIYWXBO-NSHDSACASA-N 0 1 251.290 0.507 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn2ccncc12 ZINC001339703894 921802420 /nfs/dbraw/zinc/80/24/20/921802420.db2.gz SWDYALHVZHOMRA-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN C=CCCCN(C)[C@H](C)CNC(=O)CS(C)(=O)=O ZINC001329391228 915865278 /nfs/dbraw/zinc/86/52/78/915865278.db2.gz BSJPXEDHSORZQF-LLVKDONJSA-N 0 1 276.402 0.434 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@](C)(CNCc1nccn1C)C1CC1 ZINC001400988832 916002359 /nfs/dbraw/zinc/00/23/59/916002359.db2.gz DNRDTFKMOAYXBJ-NHYWBVRUSA-N 0 1 289.383 0.954 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H]1CCc2nncn2C1 ZINC001329623634 916070595 /nfs/dbraw/zinc/07/05/95/916070595.db2.gz LZBZGFUTHCYKLQ-OLZOCXBDSA-N 0 1 289.383 0.300 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H](C)CNCC(=O)Nc1ccon1 ZINC001401199754 916104573 /nfs/dbraw/zinc/10/45/73/916104573.db2.gz HZPYONOIUFECJJ-ZJUUUORDSA-N 0 1 293.327 0.209 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cnc[nH]c1=O ZINC001401232660 916138000 /nfs/dbraw/zinc/13/80/00/916138000.db2.gz RBKPJRKITPUOKY-VIFPVBQESA-N 0 1 284.747 0.985 20 30 CCEDMN C#CCOCC[N@H+](C)Cc1ccc(S(=O)(=O)NC)cc1 ZINC001329875528 916248509 /nfs/dbraw/zinc/24/85/09/916248509.db2.gz AYUYAEXESIHEHL-UHFFFAOYSA-N 0 1 296.392 0.676 20 30 CCEDMN C#CCOCCN(C)Cc1ccc(S(=O)(=O)NC)cc1 ZINC001329875528 916248526 /nfs/dbraw/zinc/24/85/26/916248526.db2.gz AYUYAEXESIHEHL-UHFFFAOYSA-N 0 1 296.392 0.676 20 30 CCEDMN N#CCN1CCCCC[C@@H]1CNC(=O)CCc1nc[nH]n1 ZINC001329921198 916280842 /nfs/dbraw/zinc/28/08/42/916280842.db2.gz OKJSHHWYLWEWAD-GFCCVEGCSA-N 0 1 290.371 0.622 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C(=O)N2CCCN(CC)CC2)C1 ZINC001329949790 916305315 /nfs/dbraw/zinc/30/53/15/916305315.db2.gz GVXIDCLQKBVSBF-CQSZACIVSA-N 0 1 291.395 0.412 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C1CN(Cc2n[nH]c(C)n2)C1 ZINC001329969573 916322637 /nfs/dbraw/zinc/32/26/37/916322637.db2.gz BVWNOCXQJQUHGV-SNVBAGLBSA-N 0 1 293.371 0.252 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H](COC)OC)C(C)(C)C1 ZINC001330229033 916495574 /nfs/dbraw/zinc/49/55/74/916495574.db2.gz AXOZQTCBOZEYBC-OLZOCXBDSA-N 0 1 282.384 0.498 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cnon1)C1CC1 ZINC001401848799 916631838 /nfs/dbraw/zinc/63/18/38/916631838.db2.gz CFANYCRPACIFKO-SECBINFHSA-N 0 1 270.720 0.920 20 30 CCEDMN C=C(Br)CNC[C@@H]1CN(C(C)=O)CCO1 ZINC001402585841 917108954 /nfs/dbraw/zinc/10/89/54/917108954.db2.gz DALPWJQBKIZCMX-SNVBAGLBSA-N 0 1 277.162 0.732 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)CC(C)(C)O)CCO1 ZINC001402610446 917124870 /nfs/dbraw/zinc/12/48/70/917124870.db2.gz ZPXUMLHYOMAPOK-NSHDSACASA-N 0 1 290.791 0.717 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001402609265 917126170 /nfs/dbraw/zinc/12/61/70/917126170.db2.gz SHURTHWJIWBBEG-ZJUUUORDSA-N 0 1 292.343 0.141 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001402609265 917126181 /nfs/dbraw/zinc/12/61/81/917126181.db2.gz SHURTHWJIWBBEG-ZJUUUORDSA-N 0 1 292.343 0.141 20 30 CCEDMN C=C1CCC(C(=O)NC[C@@H](CO)N[C@@H](CC)C(N)=O)CC1 ZINC001331787582 917605810 /nfs/dbraw/zinc/60/58/10/917605810.db2.gz KGRMDGWDSDYNCN-STQMWFEESA-N 0 1 297.399 0.063 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1csc2c1CCCC2 ZINC001331820555 917635432 /nfs/dbraw/zinc/63/54/32/917635432.db2.gz VEEGKFSNXRHWTD-LLVKDONJSA-N 0 1 292.404 0.940 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCc1cc(C)cc(C)c1 ZINC001331824440 917640481 /nfs/dbraw/zinc/64/04/81/917640481.db2.gz INRWZLSGEMMFAJ-MRXNPFEDSA-N 0 1 288.391 0.936 20 30 CCEDMN CCO[C@H](C(=O)NC1(C#N)CCN(C)CC1)[C@@H]1CCOC1 ZINC001331937474 917732438 /nfs/dbraw/zinc/73/24/38/917732438.db2.gz CFXDSOAPUHNUAT-OLZOCXBDSA-N 0 1 295.383 0.532 20 30 CCEDMN Cn1nc2ccccc2c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC001332179546 917938731 /nfs/dbraw/zinc/93/87/31/917938731.db2.gz NZUMAPWHUYGOHY-BXKDBHETSA-N 0 1 269.308 0.415 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)C(C)(F)F ZINC001403837384 918047422 /nfs/dbraw/zinc/04/74/22/918047422.db2.gz YDUPVRRBACBXDD-QMMMGPOBSA-N 0 1 270.707 0.803 20 30 CCEDMN C=CCCn1cc(CNCC[C@@H]2CNC(=O)C2)nn1 ZINC001332316758 918048743 /nfs/dbraw/zinc/04/87/43/918048743.db2.gz KECRFDJPDDRACD-NSHDSACASA-N 0 1 263.345 0.470 20 30 CCEDMN C=CC[C@H]1NC(=O)N(Cc2n[nH]c(C(C)C)n2)C1=O ZINC001332463938 918179540 /nfs/dbraw/zinc/17/95/40/918179540.db2.gz XJEZQIQWBYMVPH-MRVPVSSYSA-N 0 1 263.301 0.925 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1(CCOC)CC1 ZINC001332634488 918332313 /nfs/dbraw/zinc/33/23/13/918332313.db2.gz XDHYDIYKMCSSQB-CYBMUJFWSA-N 0 1 282.384 0.187 20 30 CCEDMN C#CCC1(O)CCN(C(=O)C2=NC(=O)N(C)C2)CC1 ZINC001332781942 918435592 /nfs/dbraw/zinc/43/55/92/918435592.db2.gz CLCVHWDMECWNLN-UHFFFAOYSA-N 0 1 263.297 0.116 20 30 CCEDMN N#C[C@]1(C(=O)N2CCc3[nH]nnc3C2)CCCOC1 ZINC001333039498 918614983 /nfs/dbraw/zinc/61/49/83/918614983.db2.gz NHSXUZLUUWJTPF-GFCCVEGCSA-N 0 1 261.285 0.010 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001333189186 918719466 /nfs/dbraw/zinc/71/94/66/918719466.db2.gz KPYQXYHUFXGUSO-UHFFFAOYSA-N 0 1 293.371 0.838 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H](CC)NC(C)=O)CC1 ZINC001333406115 918872186 /nfs/dbraw/zinc/87/21/86/918872186.db2.gz KYBPYUINXKTVBK-OAHLLOKOSA-N 0 1 291.395 0.673 20 30 CCEDMN C#CCCOC(=O)NCc1nc(CSC)n[nH]1 ZINC001333455869 918923021 /nfs/dbraw/zinc/92/30/21/918923021.db2.gz PXQWWPDBFGDZTD-UHFFFAOYSA-N 0 1 254.315 0.917 20 30 CCEDMN C#CCCOC(=O)NCc1nnc(CSC)[nH]1 ZINC001333455869 918923036 /nfs/dbraw/zinc/92/30/36/918923036.db2.gz PXQWWPDBFGDZTD-UHFFFAOYSA-N 0 1 254.315 0.917 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@H](C)C#N)NCc1nncn1C ZINC001406016655 918997231 /nfs/dbraw/zinc/99/72/31/918997231.db2.gz MYQDMTXKJJXUBE-MNOVXSKESA-N 0 1 278.360 0.205 20 30 CCEDMN CCCC(=O)NC1CCN(C[C@H](O)CC#N)CC1 ZINC001333769355 919148535 /nfs/dbraw/zinc/14/85/35/919148535.db2.gz FDYVVDFGULOSGA-GFCCVEGCSA-N 0 1 253.346 0.642 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)c1cnnn1C)C1CC1 ZINC001406744324 919450886 /nfs/dbraw/zinc/45/08/86/919450886.db2.gz AVPSISIXSRDRMV-JTQLQIEISA-N 0 1 283.763 0.666 20 30 CCEDMN C#CCC1(NC(=O)CCc2c[nH]nn2)CCOCC1 ZINC001334379708 919537386 /nfs/dbraw/zinc/53/73/86/919537386.db2.gz WVULPHJMRGPRRH-UHFFFAOYSA-N 0 1 262.313 0.426 20 30 CCEDMN C#CCC1(NC(=O)CCc2cnn[nH]2)CCOCC1 ZINC001334379708 919537395 /nfs/dbraw/zinc/53/73/95/919537395.db2.gz WVULPHJMRGPRRH-UHFFFAOYSA-N 0 1 262.313 0.426 20 30 CCEDMN C=C(C)C[C@H](CO)NCc1cc(C(=O)OC)no1 ZINC001334402100 919553334 /nfs/dbraw/zinc/55/33/34/919553334.db2.gz LRSVSUHPPMZZLL-SECBINFHSA-N 0 1 254.286 0.878 20 30 CCEDMN C=CCn1nnnc1N1CC[C@@H](c2n[nH]c(C)n2)C1 ZINC001334450170 919593176 /nfs/dbraw/zinc/59/31/76/919593176.db2.gz ZKHPIJMWNANKFH-SECBINFHSA-N 0 1 260.305 0.280 20 30 CCEDMN C=CCn1nnnc1N1CC[C@@H](c2nnc(C)[nH]2)C1 ZINC001334450170 919593188 /nfs/dbraw/zinc/59/31/88/919593188.db2.gz ZKHPIJMWNANKFH-SECBINFHSA-N 0 1 260.305 0.280 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](CNC(=O)Cc1cnc[nH]1)C1CC1 ZINC001407709533 919857147 /nfs/dbraw/zinc/85/71/47/919857147.db2.gz AGMKSCHVPVZKHW-BXKDBHETSA-N 0 1 289.339 0.123 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H](O)c2ccccn2)CC1 ZINC001335790461 920434997 /nfs/dbraw/zinc/43/49/97/920434997.db2.gz RINNTHUQUJKQLP-LBPRGKRZSA-N 0 1 274.324 0.219 20 30 CCEDMN C#CCNCC(=O)N[C@H](CC(=O)OC)c1ccc(C)cc1 ZINC001335856031 920481379 /nfs/dbraw/zinc/48/13/79/920481379.db2.gz IKUZHFAHFHXYKE-CQSZACIVSA-N 0 1 288.347 0.938 20 30 CCEDMN C=CCCCN(CC)C(=O)NC[C@@H]1COCCN1 ZINC001336151094 920636656 /nfs/dbraw/zinc/63/66/56/920636656.db2.gz PVCOBJYTDGGNIQ-GFCCVEGCSA-N 0 1 255.362 0.973 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1C[C@H]2C[C@H](C(=O)[O-])C[C@H]21 ZINC001336392506 920752709 /nfs/dbraw/zinc/75/27/09/920752709.db2.gz IRPJTPCMUYEBJS-BJJPWKGXSA-N 0 1 290.363 0.700 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1C[C@H]2C[C@H](C(=O)[O-])C[C@H]21 ZINC001336392506 920752722 /nfs/dbraw/zinc/75/27/22/920752722.db2.gz IRPJTPCMUYEBJS-BJJPWKGXSA-N 0 1 290.363 0.700 20 30 CCEDMN C[C@H](Nc1cc(C#N)ccn1)[C@H]1CN(C)CCN1C ZINC001336712333 920946767 /nfs/dbraw/zinc/94/67/67/920946767.db2.gz YYMXFGYHPUYVEJ-WCQYABFASA-N 0 1 259.357 0.999 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cn(C)ccc1=O ZINC001418246794 921956101 /nfs/dbraw/zinc/95/61/01/921956101.db2.gz JETASDRZPMGCHA-SNVBAGLBSA-N 0 1 283.759 0.846 20 30 CCEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCO[C@@H](C#N)C1 ZINC001340258361 922028767 /nfs/dbraw/zinc/02/87/67/922028767.db2.gz ICCGXOUYSNFAEL-NSHDSACASA-N 0 1 299.338 0.891 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCC(C#N)CC1 ZINC001340493622 922098225 /nfs/dbraw/zinc/09/82/25/922098225.db2.gz WTFNFLTVLUCFBC-UHFFFAOYSA-N 0 1 284.327 0.989 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCO[C@@H](CC)C1 ZINC001340493627 922099102 /nfs/dbraw/zinc/09/91/02/922099102.db2.gz WWAGVDFUGDIIRD-JTQLQIEISA-N 0 1 289.343 0.864 20 30 CCEDMN CCCCCCCNC(=O)C1CNCCS1(=O)=O ZINC001340686775 922166539 /nfs/dbraw/zinc/16/65/39/922166539.db2.gz JUTJZDWBGANCEM-NSHDSACASA-N 0 1 276.402 0.460 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@H](N)Cc1ccccn1)C(=O)OC ZINC001340762034 922203419 /nfs/dbraw/zinc/20/34/19/922203419.db2.gz CEHYUNBDRWSZKQ-OLZOCXBDSA-N 0 1 291.351 0.575 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCCCNC(=O)c1cnn[nH]1 ZINC001340787412 922221506 /nfs/dbraw/zinc/22/15/06/922221506.db2.gz GNBGTPASDLIEPP-UWVGGRQHSA-N 0 1 292.343 0.369 20 30 CCEDMN C#CCNCC(=O)NCC[C@@H](N(C)C)C(F)(F)F ZINC001341174818 922370566 /nfs/dbraw/zinc/37/05/66/922370566.db2.gz ZJYIJPAMEPKKLP-SECBINFHSA-N 0 1 265.279 0.208 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)CNC(=O)C1CC1)C1CC1 ZINC001419232086 922723381 /nfs/dbraw/zinc/72/33/81/922723381.db2.gz GBSOTLAPTBXHCM-GFCCVEGCSA-N 0 1 299.802 0.750 20 30 CCEDMN CCc1c[nH]c(=O)c(C(O)=C(C#N)C(=O)NCCOC)c1 ZINC001341950612 922736271 /nfs/dbraw/zinc/73/62/71/922736271.db2.gz PFZAEXFYVKKHBA-NSHDSACASA-N 0 1 291.307 0.435 20 30 CCEDMN CCc1nnc([C@@H](C)N[C@H]2C[C@@H](NC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001419461860 922853823 /nfs/dbraw/zinc/85/38/23/922853823.db2.gz ODDGVSCGLBSERL-DBIOUOCHSA-N 0 1 290.371 0.825 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(C)nc1Cl ZINC001342377492 922938218 /nfs/dbraw/zinc/93/82/18/922938218.db2.gz PUMKJYUUOISTRJ-UHFFFAOYSA-N 0 1 251.717 0.882 20 30 CCEDMN C[C@H](NC(=O)CCc1nn[nH]n1)c1cccc(C#N)c1 ZINC001419628574 922955699 /nfs/dbraw/zinc/95/56/99/922955699.db2.gz OPEGCVZZUQUEQN-VIFPVBQESA-N 0 1 270.296 0.881 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)CC(C)(C)COC ZINC001342706206 923059382 /nfs/dbraw/zinc/05/93/82/923059382.db2.gz FJFMHNYXAPWLTO-SNVBAGLBSA-N 0 1 270.329 0.521 20 30 CCEDMN C#CCNCC(=O)N1CCC(C(=O)N(C)C(C)C)CC1 ZINC001343057789 923187918 /nfs/dbraw/zinc/18/79/18/923187918.db2.gz BDXDHHIVHCKFQS-UHFFFAOYSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](CNC(=O)C(C)(C)C)C1 ZINC001343249082 923248235 /nfs/dbraw/zinc/24/82/35/923248235.db2.gz QAAJOXSQGWRNMG-CYBMUJFWSA-N 0 1 293.411 0.610 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1cc[n+]([O-])cc1 ZINC001343367082 923286734 /nfs/dbraw/zinc/28/67/34/923286734.db2.gz QZOHOXDURNHHFI-LLVKDONJSA-N 0 1 282.259 0.676 20 30 CCEDMN CCC(C#N)(CC)C(=O)N[C@H](Cc1cnc[nH]1)C(=O)OC ZINC001420141935 923343521 /nfs/dbraw/zinc/34/35/21/923343521.db2.gz OOOBMIZTJCGWPY-LLVKDONJSA-N 0 1 292.339 0.940 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc(OC)ccn1 ZINC001420162115 923358164 /nfs/dbraw/zinc/35/81/64/923358164.db2.gz NFAXTFZFKNBTKM-SNVBAGLBSA-N 0 1 299.758 0.523 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C(=O)OC)c1ccc(F)cc1 ZINC001343648769 923405206 /nfs/dbraw/zinc/40/52/06/923405206.db2.gz SLUNFESRQFDZAT-CYBMUJFWSA-N 0 1 292.310 0.421 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C(N)=O)o1 ZINC001420307736 923527643 /nfs/dbraw/zinc/52/76/43/923527643.db2.gz QBIDXCVGLFCRMN-MRVPVSSYSA-N 0 1 285.731 0.839 20 30 CCEDMN C=CCn1cc2ccc(NC(=O)C3(O)CNC3)cc2n1 ZINC001345254622 923840443 /nfs/dbraw/zinc/84/04/43/923840443.db2.gz KLXKHJZYLAWNSM-UHFFFAOYSA-N 0 1 272.308 0.495 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2C(=O)[C@@H](C#N)CC)n[nH]1 ZINC001420656453 923858137 /nfs/dbraw/zinc/85/81/37/923858137.db2.gz ONYBUSUBRXEBSM-BBBLOLIVSA-N 0 1 277.328 0.551 20 30 CCEDMN C#CCN(CC)c1nnc(-c2c[nH]nn2)n1CCOC ZINC001345544872 923918397 /nfs/dbraw/zinc/91/83/97/923918397.db2.gz IQBMRRUOJISOAM-UHFFFAOYSA-N 0 1 275.316 0.169 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)NC(C)=O)CC1 ZINC001420915364 924021495 /nfs/dbraw/zinc/02/14/95/924021495.db2.gz GSVSJDSANJZZOK-SECBINFHSA-N 0 1 273.764 0.502 20 30 CCEDMN CCc1nc([C@@H](C)N2CC(NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001421025055 924101653 /nfs/dbraw/zinc/10/16/53/924101653.db2.gz LNIXSZTUDBRBKZ-DTWKUNHWSA-N 0 1 276.344 0.388 20 30 CCEDMN C=C(C)C[C@H](NC(=O)C[NH+]1CCC(CO)CC1)C(=O)[O-] ZINC001346310387 924155183 /nfs/dbraw/zinc/15/51/83/924155183.db2.gz BHNRBZAELREXNO-LBPRGKRZSA-N 0 1 284.356 0.226 20 30 CCEDMN N#Cc1cnnc(NCc2nnc([C@H]3CCOC3)[nH]2)c1 ZINC001421189904 924199901 /nfs/dbraw/zinc/19/99/01/924199901.db2.gz HFUPOUUQHLYQBX-VIFPVBQESA-N 0 1 271.284 0.582 20 30 CCEDMN N#Cc1cnnc(NCc2n[nH]c([C@H]3CCOC3)n2)c1 ZINC001421189904 924199910 /nfs/dbraw/zinc/19/99/10/924199910.db2.gz HFUPOUUQHLYQBX-VIFPVBQESA-N 0 1 271.284 0.582 20 30 CCEDMN CC#CCCNC(=O)C(=O)NC[C@H]1CCCCN1C1CC1 ZINC001347275374 924412367 /nfs/dbraw/zinc/41/23/67/924412367.db2.gz CUXFZMLOZCOYFU-CQSZACIVSA-N 0 1 291.395 0.649 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CN3CCC2CC3)nc1 ZINC001347294070 924415319 /nfs/dbraw/zinc/41/53/19/924415319.db2.gz YCXWOFNNCQDLTJ-AWEZNQCLSA-N 0 1 255.321 0.887 20 30 CCEDMN C#CCN1CCC(OC(=O)CCc2ccn(C)n2)CC1 ZINC001347400719 924440094 /nfs/dbraw/zinc/44/00/94/924440094.db2.gz MMDKRMFFXULMQL-UHFFFAOYSA-N 0 1 275.352 0.994 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H]2CCCN(C(C)=O)C2)CC1 ZINC001347401307 924440171 /nfs/dbraw/zinc/44/01/71/924440171.db2.gz WVEAEZJCVWHFCF-CQSZACIVSA-N 0 1 292.379 0.886 20 30 CCEDMN C=CCC1(O)CN(C(=O)CSc2nnc(C)[nH]2)C1 ZINC001348337319 924656975 /nfs/dbraw/zinc/65/69/75/924656975.db2.gz AJDDAXRIWSLNLY-UHFFFAOYSA-N 0 1 268.342 0.355 20 30 CCEDMN C=CCC1(O)CN(C(=O)CSc2nc(C)n[nH]2)C1 ZINC001348337319 924656984 /nfs/dbraw/zinc/65/69/84/924656984.db2.gz AJDDAXRIWSLNLY-UHFFFAOYSA-N 0 1 268.342 0.355 20 30 CCEDMN C#CCOCCN(C)C(=O)c1cccc2[nH]c(CO)nc21 ZINC001348892394 924838525 /nfs/dbraw/zinc/83/85/25/924838525.db2.gz YZIKKSYTYSCEHF-UHFFFAOYSA-N 0 1 287.319 0.777 20 30 CCEDMN C=CCCN(C)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001349879774 925083500 /nfs/dbraw/zinc/08/35/00/925083500.db2.gz JWHBSABMKZGVGY-CYBMUJFWSA-N 0 1 268.405 0.840 20 30 CCEDMN C=CCC1(NC(=O)[C@@H]2CN3CCN2C[C@@H]3C)CCOCC1 ZINC001350081478 925127272 /nfs/dbraw/zinc/12/72/72/925127272.db2.gz UNHXCPQRULZYJF-KBPBESRZSA-N 0 1 293.411 0.616 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)C[N@@H+]2CCC[C@H](C(=O)[O-])C2)C1 ZINC001350767327 925272448 /nfs/dbraw/zinc/27/24/48/925272448.db2.gz CXSCWAJYVGRJNC-STQMWFEESA-N 0 1 296.367 0.587 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001351501654 925427754 /nfs/dbraw/zinc/42/77/54/925427754.db2.gz NJKFAOGJWXSJAT-UHFFFAOYSA-N 0 1 297.384 0.155 20 30 CCEDMN C=CCN(CCOC)c1nnc(-c2c[nH]nn2)n1CC=C ZINC001352101479 925522110 /nfs/dbraw/zinc/52/21/10/925522110.db2.gz CSDKVGCTHSOOJF-UHFFFAOYSA-N 0 1 289.343 0.888 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@@](COC)(C(=O)[O-])C2)C1=O ZINC001352178063 925538749 /nfs/dbraw/zinc/53/87/49/925538749.db2.gz JJKFCELDXUWWGQ-SMDDNHRTSA-N 0 1 282.340 0.196 20 30 CCEDMN C[C@@H]1CN2CC[N@H+]1C[C@H]2C(=O)[N-]Oc1cccc(C#N)c1 ZINC001352496407 925586694 /nfs/dbraw/zinc/58/66/94/925586694.db2.gz LWQGROOIQOCJBD-RISCZKNCSA-N 0 1 286.335 0.357 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](C)NCc1cnnn1CC ZINC001480453642 925756079 /nfs/dbraw/zinc/75/60/79/925756079.db2.gz VZKKHMVITSGERD-SMDDNHRTSA-N 0 1 295.387 0.219 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CC(=O)N(c2ccccc2)C1 ZINC001353236547 925769084 /nfs/dbraw/zinc/76/90/84/925769084.db2.gz FESRXAJVNPDOJT-GFCCVEGCSA-N 0 1 271.320 0.131 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C[C@@H](C)O)c1ccccc1 ZINC001353431344 925831352 /nfs/dbraw/zinc/83/13/52/925831352.db2.gz BSZDNRFTMGJFFW-HIFRSBDPSA-N 0 1 274.364 0.880 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2c[nH]nn2)C1 ZINC001353529789 925861683 /nfs/dbraw/zinc/86/16/83/925861683.db2.gz FEULTYHCKCYKDX-LBPRGKRZSA-N 0 1 291.355 0.421 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(C(=O)CCc2cnn[nH]2)C1 ZINC001353529789 925861695 /nfs/dbraw/zinc/86/16/95/925861695.db2.gz FEULTYHCKCYKDX-LBPRGKRZSA-N 0 1 291.355 0.421 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001354084003 926009397 /nfs/dbraw/zinc/00/93/97/926009397.db2.gz STILFSUJCVOHBC-RYUDHWBXSA-N 0 1 284.356 0.084 20 30 CCEDMN C#Cc1ccc(N2CCN(Cc3n[nH]c(C)n3)CC2)nc1 ZINC001354150919 926027435 /nfs/dbraw/zinc/02/74/35/926027435.db2.gz HAIPHZRPJMWLDI-UHFFFAOYSA-N 0 1 282.351 0.812 20 30 CCEDMN C=CCCC(=O)N[C@@](C)(CNC(=O)c1cnn[nH]1)C1CC1 ZINC001356949707 926468090 /nfs/dbraw/zinc/46/80/90/926468090.db2.gz GQGAVNKVMILAGM-AWEZNQCLSA-N 0 1 291.355 0.786 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](CC(C)C)NC(=O)OCC ZINC001357194984 926495944 /nfs/dbraw/zinc/49/59/44/926495944.db2.gz YGWBUAARAHXVLF-GFCCVEGCSA-N 0 1 283.372 0.486 20 30 CCEDMN C#CCNCC(=O)N1CCNC(=O)C[C@@H]1c1ccccc1 ZINC001357202605 926496113 /nfs/dbraw/zinc/49/61/13/926496113.db2.gz IUSDFIVQVAIUEY-CQSZACIVSA-N 0 1 285.347 0.299 20 30 CCEDMN C#CCNCC(=O)N1C[C@@H](C)O[C@H](C(F)(F)F)C1 ZINC001357303652 926510612 /nfs/dbraw/zinc/51/06/12/926510612.db2.gz TWRZKGCROIVEQJ-BDAKNGLRSA-N 0 1 264.247 0.387 20 30 CCEDMN C#CCNCC(=O)NCc1cccc2c1OCCO2 ZINC001357775703 926596183 /nfs/dbraw/zinc/59/61/83/926596183.db2.gz DUXWVNUDIGWRGR-UHFFFAOYSA-N 0 1 260.293 0.297 20 30 CCEDMN Cc1nn(C)cc1CC(=O)NC1(C#N)CCN(C)CC1 ZINC001358274962 926664135 /nfs/dbraw/zinc/66/41/35/926664135.db2.gz GZEKCAPCUIDCFR-UHFFFAOYSA-N 0 1 275.356 0.375 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H]1CNC(=O)Cc1cnc[nH]1 ZINC001358643907 926768931 /nfs/dbraw/zinc/76/89/31/926768931.db2.gz UQKXQAXLVPBAKN-ZDUSSCGKSA-N 0 1 288.351 0.329 20 30 CCEDMN C#CCN(CC)c1nnc(CC)n1CCc1nc(C)n[nH]1 ZINC001359011192 926878302 /nfs/dbraw/zinc/87/83/02/926878302.db2.gz NRLANGYYIBSNQI-UHFFFAOYSA-N 0 1 287.371 0.969 20 30 CCEDMN C#CCN(CC)c1nnc(CC)n1CCc1nnc(C)[nH]1 ZINC001359011192 926878322 /nfs/dbraw/zinc/87/83/22/926878322.db2.gz NRLANGYYIBSNQI-UHFFFAOYSA-N 0 1 287.371 0.969 20 30 CCEDMN CN1CC(C(=O)NCc2cccc(OCC#N)c2)=NC1=O ZINC001361356945 926997575 /nfs/dbraw/zinc/99/75/75/926997575.db2.gz VQXZTUQOOSBOSG-UHFFFAOYSA-N 0 1 286.291 0.958 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](C)CC(N)=O ZINC001421940442 927005562 /nfs/dbraw/zinc/00/55/62/927005562.db2.gz UGORCQKQNYQOMC-WCBMZHEXSA-N 0 1 275.780 0.687 20 30 CCEDMN CC(C)(C#N)CC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC001361986369 927497916 /nfs/dbraw/zinc/49/79/16/927497916.db2.gz ZAAZNTJTSWMGOS-CHWSQXEVSA-N 0 1 279.384 0.984 20 30 CCEDMN CC(F)(F)C(=O)NC[C@H](CO)NCc1cccc(C#N)c1 ZINC001423176283 927712571 /nfs/dbraw/zinc/71/25/71/927712571.db2.gz KXIWEJDRJMKVJJ-GFCCVEGCSA-N 0 1 297.305 0.780 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)COC(C)(C)C ZINC001423446524 927864118 /nfs/dbraw/zinc/86/41/18/927864118.db2.gz PNLOBUNORUASTQ-LLVKDONJSA-N 0 1 292.807 0.963 20 30 CCEDMN N#Cc1cccc(C2(NC(=O)CCc3nn[nH]n3)CC2)c1 ZINC001362527405 928138109 /nfs/dbraw/zinc/13/81/09/928138109.db2.gz MRQQLHXPHIQDQM-UHFFFAOYSA-N 0 1 282.307 0.809 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CN(C)C(C)=O ZINC001424771436 928286242 /nfs/dbraw/zinc/28/62/42/928286242.db2.gz OBMHUJCFANMXBT-ZJUUUORDSA-N 0 1 275.780 0.700 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)NCCN1CCCOCC1 ZINC001362652339 928308967 /nfs/dbraw/zinc/30/89/67/928308967.db2.gz LBEIRGVOBPDWMX-UHFFFAOYSA-N 0 1 276.340 0.647 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](CN[C@@H](C)c2nncn2C)C1 ZINC001425393776 928536116 /nfs/dbraw/zinc/53/61/16/928536116.db2.gz NYMRVIBZCOZPBG-BJDJZHNGSA-N 0 1 290.371 0.520 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](CO)NCc1cccc(C#N)c1 ZINC001425716258 928637156 /nfs/dbraw/zinc/63/71/56/928637156.db2.gz VXGDGLCUFSDARH-BXUZGUMPSA-N 0 1 286.335 0.285 20 30 CCEDMN CC(C)(O)[C@H](O)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC001363198054 928968042 /nfs/dbraw/zinc/96/80/42/928968042.db2.gz GFIOMKDGHMBDQG-CYBMUJFWSA-N 0 1 290.367 0.207 20 30 CCEDMN C[C@H]1[C@@H](O)CC[N@H+]1Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001363244725 929018634 /nfs/dbraw/zinc/01/86/34/929018634.db2.gz PCPMDEODJQJQPC-KWQFWETISA-N 0 1 273.296 0.262 20 30 CCEDMN C[C@]12COC[C@@H]1C[N@@H+](Cc1cc(=O)n3[n-]cc(C#N)c3n1)C2 ZINC001363264732 929048932 /nfs/dbraw/zinc/04/89/32/929048932.db2.gz DBBNRVHWQWCGHX-NHYWBVRUSA-N 0 1 299.334 0.775 20 30 CCEDMN C[C@@H]1CN(Cc2cncc(C#N)c2)C[C@H]1CS(N)(=O)=O ZINC001363314748 929104852 /nfs/dbraw/zinc/10/48/52/929104852.db2.gz MOBVDRZGCKKGJG-MFKMUULPSA-N 0 1 294.380 0.310 20 30 CCEDMN CC(C)CN(Cc1nn[nH]n1)C(=O)c1cc(C#N)ccn1 ZINC001363357588 929146082 /nfs/dbraw/zinc/14/60/82/929146082.db2.gz SPTPMECDAIEWEC-UHFFFAOYSA-N 0 1 285.311 0.765 20 30 CCEDMN N#Cc1c[nH]nc1NC(=O)c1cc2c(cc1O)OCO2 ZINC001363461156 929264358 /nfs/dbraw/zinc/26/43/58/929264358.db2.gz PFUHSFROIVKJJZ-UHFFFAOYSA-N 0 1 272.220 0.968 20 30 CCEDMN CC(C)(C#N)C(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC001363494618 929300070 /nfs/dbraw/zinc/30/00/70/929300070.db2.gz IAVXPFOPNIRNQR-JTQLQIEISA-N 0 1 270.296 0.955 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001429454531 929459892 /nfs/dbraw/zinc/45/98/92/929459892.db2.gz PNEYNUQYELXSGD-HBNTYKKESA-N 0 1 289.339 0.247 20 30 CCEDMN CCCN(CCNC(=O)[C@H]1CCCN1C)C(=O)[C@H](C)C#N ZINC001429794325 929518404 /nfs/dbraw/zinc/51/84/04/929518404.db2.gz SWGLHKAELFTRQT-CHWSQXEVSA-N 0 1 294.399 0.595 20 30 CCEDMN CC[C@H](CNC(=O)[C@H](C)C#N)NCc1cc(C)ncn1 ZINC001443898359 929736318 /nfs/dbraw/zinc/73/63/18/929736318.db2.gz MHNXSHWIVPNMMY-ZYHUDNBSSA-N 0 1 275.356 0.929 20 30 CCEDMN N#CCN1CCC(CNC(=O)CCN2CC[C@@H](F)C2)CC1 ZINC001443987412 929761385 /nfs/dbraw/zinc/76/13/85/929761385.db2.gz AIPANGMRXAQDML-CQSZACIVSA-N 0 1 296.390 0.772 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H]1CNC(=O)Cc1nnc[nH]1 ZINC001372572461 929885757 /nfs/dbraw/zinc/88/57/57/929885757.db2.gz TYTNEXIZNIRNDR-SNVBAGLBSA-N 0 1 283.763 0.680 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@H]1CCCOC1 ZINC001445151857 930050839 /nfs/dbraw/zinc/05/08/39/930050839.db2.gz JOTDFSJTLKSNMT-WDEREUQCSA-N 0 1 276.764 0.232 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](O)CNCc1ccncc1Cl ZINC001445166847 930058700 /nfs/dbraw/zinc/05/87/00/930058700.db2.gz ZYFRZTRKHXZOSJ-GXSJLCMTSA-N 0 1 296.758 0.461 20 30 CCEDMN COC[C@@H](C)N1CCN(CCN(C)C(=O)[C@@H](C)C#N)CC1 ZINC001373254144 930113677 /nfs/dbraw/zinc/11/36/77/930113677.db2.gz YXIKTXYTTJJHHZ-UONOGXRCSA-N 0 1 296.415 0.257 20 30 CCEDMN CCCNC(=O)CN(C)C[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001373284048 930126447 /nfs/dbraw/zinc/12/64/47/930126447.db2.gz YJOQPEHDFTVHMX-QWHCGFSZSA-N 0 1 294.399 0.453 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CN(C)CC(=O)N2CCC2)C1 ZINC001373281226 930127985 /nfs/dbraw/zinc/12/79/85/930127985.db2.gz STHFKYBTTRTPAW-STQMWFEESA-N 0 1 292.383 0.159 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCN(CCc2cnn(C)c2)C1 ZINC001373588374 930259062 /nfs/dbraw/zinc/25/90/62/930259062.db2.gz OLEGXDWQTGAGDL-AAEUAGOBSA-N 0 1 275.356 0.313 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cnn(C)c1N ZINC001373959368 930378123 /nfs/dbraw/zinc/37/81/23/930378123.db2.gz GUKNYFOFJNCKGI-SNVBAGLBSA-N 0 1 297.790 0.949 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@](C)(CNCc2cn(C)nn2)C1 ZINC001446578659 930441842 /nfs/dbraw/zinc/44/18/42/930441842.db2.gz GBMCJSDPIFFPLQ-RISCZKNCSA-N 0 1 290.371 0.303 20 30 CCEDMN CCCNC(=O)CN1CCC[C@@H](NC(=O)[C@H](C)C#N)CC1 ZINC001447294941 930602309 /nfs/dbraw/zinc/60/23/09/930602309.db2.gz BPUYEXFZSWHNOM-CHWSQXEVSA-N 0 1 294.399 0.643 20 30 CCEDMN CC[C@H](C(N)=O)N(C)C[C@H](C)NC(=O)c1c[nH]c(C#N)c1 ZINC001375032785 930771233 /nfs/dbraw/zinc/77/12/33/930771233.db2.gz XLQVMVKSZZFENQ-JOYOIKCWSA-N 0 1 291.355 0.200 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CCO)CN(CC2CCCC2)C1 ZINC001448156322 930839964 /nfs/dbraw/zinc/83/99/64/930839964.db2.gz DFYFUQXAJMCABI-LBPRGKRZSA-N 0 1 279.384 0.889 20 30 CCEDMN COc1cc(CNC2(CNC(=O)[C@H](C)C#N)CC2)ccn1 ZINC001375403336 930879407 /nfs/dbraw/zinc/87/94/07/930879407.db2.gz MIJXKZPPCGCVMW-LLVKDONJSA-N 0 1 288.351 0.988 20 30 CCEDMN CC[C@H](F)CN[C@H](CO)CNC(=O)c1ccc(C#N)[nH]1 ZINC001448821179 930996288 /nfs/dbraw/zinc/99/62/88/930996288.db2.gz UGHVLBMZDGWFDE-ONGXEEELSA-N 0 1 282.319 0.315 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CC12CCN(CC(=O)N(C)C)CC2 ZINC001376172020 931078347 /nfs/dbraw/zinc/07/83/47/931078347.db2.gz CGQQCQLAWLBWPR-RYUDHWBXSA-N 0 1 292.383 0.205 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCN1CCC(O)CC1 ZINC001449702095 931180328 /nfs/dbraw/zinc/18/03/28/931180328.db2.gz LXSYISVSNICQAP-GFCCVEGCSA-N 0 1 270.373 0.541 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](CC)NC(C)=O ZINC001376798580 931278933 /nfs/dbraw/zinc/27/89/33/931278933.db2.gz HWFWJQGJNQWASF-GXSJLCMTSA-N 0 1 275.780 0.748 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN(C(=O)Cc3ncn[nH]3)C2)nc1 ZINC001450470428 931295073 /nfs/dbraw/zinc/29/50/73/931295073.db2.gz RWSYFWHSDINZAB-NSHDSACASA-N 0 1 298.306 0.294 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CC[C@H]2C[C@H](C)C[N@@H+]2C1 ZINC001602682142 971265648 /nfs/dbraw/zinc/26/56/48/971265648.db2.gz HHRIIMPNNBRGHO-XQQFMLRXSA-N 0 1 278.352 0.653 20 30 CCEDMN C#CCOCC[N@H+]1C[C@@H](C(=O)[O-])C2(CC(C(=O)OC)C2)C1 ZINC001602701896 971327442 /nfs/dbraw/zinc/32/74/42/971327442.db2.gz BYEPEOCSMSSTTK-AVERBVTBSA-N 0 1 295.335 0.222 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]([C@@H](C)C(=O)[O-])C2CC2)C1=O ZINC001602722676 971388125 /nfs/dbraw/zinc/38/81/25/971388125.db2.gz GCMSOZNYWJLXKL-GXSJLCMTSA-N 0 1 252.314 0.711 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]([C@@H](C)C(=O)[O-])C2CC2)C1=O ZINC001602722676 971388128 /nfs/dbraw/zinc/38/81/28/971388128.db2.gz GCMSOZNYWJLXKL-GXSJLCMTSA-N 0 1 252.314 0.711 20 30 CCEDMN CC1(C)[C@@H]2CN(c3cnc(C#N)c(-c4nn[nH]n4)n3)C[C@@H]21 ZINC001575622583 950640753 /nfs/dbraw/zinc/64/07/53/950640753.db2.gz DBBPCGGPVJTVQC-OCAPTIKFSA-N 0 1 282.311 0.621 20 30 CCEDMN C[N@H+]1CCCC[C@@H]1CNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC001593780213 954642382 /nfs/dbraw/zinc/64/23/82/954642382.db2.gz SLSGUVQARDHSCD-ZWNOBZJWSA-N 0 1 267.329 0.591 20 30 CCEDMN Cn1cc([C@@H]2C[C@H](C(=O)[O-])C[N@H+](CCC#N)C2)cn1 ZINC001594538140 955598668 /nfs/dbraw/zinc/59/86/68/955598668.db2.gz QPRVNHIKOLIQMC-MNOVXSKESA-N 0 1 262.313 0.824 20 30 CCEDMN Cn1cc([C@@H]2C[C@H](C(=O)[O-])C[N@@H+](CCC#N)C2)cn1 ZINC001594538140 955598679 /nfs/dbraw/zinc/59/86/79/955598679.db2.gz QPRVNHIKOLIQMC-MNOVXSKESA-N 0 1 262.313 0.824 20 30 CCEDMN COC[C@]1(C(=O)[O-])CC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC001593968314 956587317 /nfs/dbraw/zinc/58/73/17/956587317.db2.gz TZFOJYJXGOHQEC-AWEZNQCLSA-N 0 1 291.307 0.101 20 30 CCEDMN COC[C@]1(C(=O)[O-])CC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC001593968314 956587326 /nfs/dbraw/zinc/58/73/26/956587326.db2.gz TZFOJYJXGOHQEC-AWEZNQCLSA-N 0 1 291.307 0.101 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1[C@H](C(=O)[O-])C[C@@H]2CCCC[C@@H]21 ZINC001588429775 958249712 /nfs/dbraw/zinc/24/97/12/958249712.db2.gz CIIFWXTZGDPEJY-AVGNSLFASA-N 0 1 278.352 0.844 20 30 CCEDMN C#CCO[C@H](C)C(=O)OC[C@H]1CCC[N@H+]1CC(=O)[O-] ZINC001588430427 958256350 /nfs/dbraw/zinc/25/63/50/958256350.db2.gz BXJZXPNPHYZXFR-GHMZBOCLSA-N 0 1 269.297 0.117 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC001588430949 958262542 /nfs/dbraw/zinc/26/25/42/958262542.db2.gz QZQLNZVGADXVKN-XQQFMLRXSA-N 0 1 278.352 0.653 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@@H]1CO[C@H](CCC(=O)[O-])C1 ZINC001573317818 958455759 /nfs/dbraw/zinc/45/57/59/958455759.db2.gz GKHCITXFOZXKEO-QWHCGFSZSA-N 0 1 296.367 0.328 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@@H+](CC(=O)[O-])CC1CC1)C1CC1 ZINC001588543416 958977524 /nfs/dbraw/zinc/97/75/24/958977524.db2.gz LLDKDGOZAGLMCA-AWEZNQCLSA-N 0 1 279.340 0.591 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+](CC(=O)[O-])CC1CC1)C1CC1 ZINC001588543416 958977536 /nfs/dbraw/zinc/97/75/36/958977536.db2.gz LLDKDGOZAGLMCA-AWEZNQCLSA-N 0 1 279.340 0.591 20 30 CCEDMN COC[C@]1(C(=O)[O-])CC[N@@H+](C[C@@H](O)CC2(C#N)CC2)C1 ZINC001574133807 962555920 /nfs/dbraw/zinc/55/59/20/962555920.db2.gz MJXJCURSPCFBKS-FZMZJTMJSA-N 0 1 282.340 0.464 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)CNC(=O)[O-])[C@H]1CC ZINC001573301426 962626131 /nfs/dbraw/zinc/62/61/31/962626131.db2.gz AYAUTGUILMYNBE-WDEREUQCSA-N 0 1 267.329 0.246 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1C[C@@H](C)[N@H+](C)C[C@H]1C ZINC001573332136 962826326 /nfs/dbraw/zinc/82/63/26/962826326.db2.gz JGTPWVGVZMUNJU-GHMZBOCLSA-N 0 1 254.330 0.958 20 30 CCEDMN C=CC[N@H+]1CC[C@@]2(CNC(=O)CNC(=O)[O-])CCC[C@@H]12 ZINC001573368456 963079518 /nfs/dbraw/zinc/07/95/18/963079518.db2.gz MCCQXWZSSOIQNP-BXUZGUMPSA-N 0 1 281.356 0.801 20 30 CCEDMN C#CCCCCC(=O)O[C@H]1C[C@@H](C(=O)[O-])[N@H+](C)C1 ZINC001588440773 964045641 /nfs/dbraw/zinc/04/56/41/964045641.db2.gz QALAIYAHZGTTFE-QWRGUYRKSA-N 0 1 253.298 0.881 20 30 CCEDMN C#CCCCCC(=O)O[C@H]1C[C@@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588440773 964045656 /nfs/dbraw/zinc/04/56/56/964045656.db2.gz QALAIYAHZGTTFE-QWRGUYRKSA-N 0 1 253.298 0.881 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@@H]1CCCC[N@@H+]1C ZINC000092491205 967597546 /nfs/dbraw/zinc/59/75/46/967597546.db2.gz MKGRYIRYBIQWHR-NSHDSACASA-N 0 1 267.329 0.200 20 30 CCEDMN C=CCNC(=O)C[NH2+]Cc1cc(C)c(C(=O)[O-])o1 ZINC000393813881 972645406 /nfs/dbraw/zinc/64/54/06/972645406.db2.gz FHWMWIMZCLZYOO-UHFFFAOYSA-N 0 1 252.270 0.678 20 30 CCEDMN CC[C@H](C)[N@@H+](CCC(=O)N(C)CCC#N)CC(=O)[O-] ZINC001594983330 982784919 /nfs/dbraw/zinc/78/49/19/982784919.db2.gz BFEPVCORFKMZTC-NSHDSACASA-N 0 1 269.345 0.934 20 30 CCEDMN C#CC[C@@H]1CCC[N@H+]([C@H](C)C(=O)NCC(=O)[O-])C1 ZINC001588434669 983471416 /nfs/dbraw/zinc/47/14/16/983471416.db2.gz PYFZSKKSLUNKDF-GHMZBOCLSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N2CC[C@@H](C(=O)[O-])C2)C1 ZINC001588477390 983515752 /nfs/dbraw/zinc/51/57/52/983515752.db2.gz ZFWBIKUFCVDOHC-NEPJUHHUSA-N 0 1 279.340 0.200 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N2CC[C@@H](C(=O)[O-])C2)C1 ZINC001588477390 983515755 /nfs/dbraw/zinc/51/57/55/983515755.db2.gz ZFWBIKUFCVDOHC-NEPJUHHUSA-N 0 1 279.340 0.200 20 30 CCEDMN C=C(C)C[N@H+]1CCC2(CN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])C2)C1 ZINC001588585432 983663447 /nfs/dbraw/zinc/66/34/47/983663447.db2.gz RGQKGSOWAIETPF-NEPJUHHUSA-N 0 1 278.352 0.818 20 30 CCEDMN C=C[C@H](C(=O)[O-])N1CCC([N@H+]2CCC[C@@H]2C(N)=O)CC1 ZINC001588662556 983789905 /nfs/dbraw/zinc/78/99/05/983789905.db2.gz BRIMGTGPEKTMSC-VXGBXAGGSA-N 0 1 281.356 0.040 20 30 CCEDMN C=C[C@H](C(=O)[O-])N1CCC([N@@H+]2CCC[C@@H]2C(N)=O)CC1 ZINC001588662556 983789907 /nfs/dbraw/zinc/78/99/07/983789907.db2.gz BRIMGTGPEKTMSC-VXGBXAGGSA-N 0 1 281.356 0.040 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1CCC[C@@](O)(Cc2nc(CC)no2)C1 ZINC001588664078 983798123 /nfs/dbraw/zinc/79/81/23/983798123.db2.gz SIZROTNLKBRAKX-QMTHXVAHSA-N 0 1 295.339 0.641 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@](O)(Cc2nc(CC)no2)C1 ZINC001588664078 983798126 /nfs/dbraw/zinc/79/81/26/983798126.db2.gz SIZROTNLKBRAKX-QMTHXVAHSA-N 0 1 295.339 0.641 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CC[C@@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC001588664147 983798831 /nfs/dbraw/zinc/79/88/31/983798831.db2.gz UAUWRYWUWXBOPX-APPZFPTMSA-N 0 1 265.269 0.316 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1C[C@H]2COC[C@@]2(C(=O)OC(C)(C)C)C1 ZINC001588669447 983811754 /nfs/dbraw/zinc/81/17/54/983811754.db2.gz LITPZVTVIRQWCQ-RWSFTLGLSA-N 0 1 297.351 0.916 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1C[C@H]2COCC[C@@]2(C(=O)OC)C1 ZINC001588669961 983816268 /nfs/dbraw/zinc/81/62/68/983816268.db2.gz SDHYILJFWBRAJM-OPQQBVKSSA-N 0 1 269.297 0.137 20 30 CCEDMN C=C[C@H](C(=O)OC)[N@H+]1C[C@@H]2CCC[C@]2(C(=O)[O-])C1 ZINC001588672528 983826373 /nfs/dbraw/zinc/82/63/73/983826373.db2.gz VJTMOKFTCZCQRR-CWSCBRNRSA-N 0 1 253.298 0.901 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC001588683990 983854207 /nfs/dbraw/zinc/85/42/07/983854207.db2.gz ILPXBJNDRRATLP-BXUZGUMPSA-N 0 1 284.356 0.321 20 30 CCEDMN C=C[C@H](OC(=O)C[N@@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC001588710702 983933789 /nfs/dbraw/zinc/93/37/89/983933789.db2.gz HVXILGFQVFKXJS-IUCAKERBSA-N 0 1 259.327 0.606 20 30 CCEDMN C=C[C@H](OC(=O)C[N@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC001588710702 983933790 /nfs/dbraw/zinc/93/37/90/983933790.db2.gz HVXILGFQVFKXJS-IUCAKERBSA-N 0 1 259.327 0.606 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(N)=O ZINC001588728875 983985049 /nfs/dbraw/zinc/98/50/49/983985049.db2.gz MDXURJMLNDYKJJ-UKKRHICBSA-N 0 1 252.314 0.602 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](C(=O)N2CCOCC2)C1 ZINC001588729839 983994272 /nfs/dbraw/zinc/99/42/72/983994272.db2.gz NYBPPUQADGWNCP-STQMWFEESA-N 0 1 296.367 0.587 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@H]1C[N@@H+]2CCN1C[C@H]2C)C(=O)[O-] ZINC001588789958 984202681 /nfs/dbraw/zinc/20/26/81/984202681.db2.gz NVZCOBLZMSXCLA-FRRDWIJNSA-N 0 1 295.383 0.300 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CCC[C@@](C)(C(=O)[O-])C2)C1=O ZINC001588838684 984339845 /nfs/dbraw/zinc/33/98/45/984339845.db2.gz IGNKDMRNTGDXFE-BXUZGUMPSA-N 0 1 266.341 0.960 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@H](C)C[C@H]2C(=O)[O-])C1=O ZINC001588838889 984342460 /nfs/dbraw/zinc/34/24/60/984342460.db2.gz MAEHUGPPNVELLH-SRVKXCTJSA-N 0 1 266.341 0.958 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@H](C)C[C@H]2C(=O)[O-])C1=O ZINC001588838889 984342469 /nfs/dbraw/zinc/34/24/69/984342469.db2.gz MAEHUGPPNVELLH-SRVKXCTJSA-N 0 1 266.341 0.958 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CCC[C@@H]3C[C@@]32C(=O)[O-])C1=O ZINC001588838991 984343899 /nfs/dbraw/zinc/34/38/99/984343899.db2.gz MWXASXWZZPMFKL-GYSYKLTISA-N 0 1 264.325 0.712 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CCC[C@@H]3C[C@@]32C(=O)[O-])C1=O ZINC001588838991 984343902 /nfs/dbraw/zinc/34/39/02/984343902.db2.gz MWXASXWZZPMFKL-GYSYKLTISA-N 0 1 264.325 0.712 20 30 CCEDMN C=CC[NH+]1CCC(NS(=O)(=O)C[C@@H](C)C(=O)[O-])CC1 ZINC001588839397 984346355 /nfs/dbraw/zinc/34/63/55/984346355.db2.gz QLTSANIDNILXHQ-SNVBAGLBSA-N 0 1 290.385 0.277 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](C(=O)OC)C[C@H]1C(=O)[O-] ZINC001588868975 984437037 /nfs/dbraw/zinc/43/70/37/984437037.db2.gz JALKFSVWYVBLRZ-QWRGUYRKSA-N 0 1 271.313 0.527 20 30 CCEDMN C=CCOCC[NH+]1CCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588869201 984438724 /nfs/dbraw/zinc/43/87/24/984438724.db2.gz ZDXUYRYYOVYLKA-NEPJUHHUSA-N 0 1 282.340 0.054 20 30 CCEDMN CC#CCC[N@@H+]1CC[C@@H](C(=O)OC)C[C@@H]1C(=O)[O-] ZINC001588927246 984597917 /nfs/dbraw/zinc/59/79/17/984597917.db2.gz QVEZDTKJBSPQBE-GHMZBOCLSA-N 0 1 253.298 0.738 20 30 CCEDMN CCO[C@H]1C[C@]([NH2+]CC(=O)NCCC#N)(C(=O)[O-])C1(C)C ZINC001596833732 985470932 /nfs/dbraw/zinc/47/09/32/985470932.db2.gz PXHBCGNEQOFEKZ-HZMBPMFUSA-N 0 1 297.355 0.264 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](CCCSCC#N)C[C@@H]1C(=O)[O-] ZINC001598820244 996317371 /nfs/dbraw/zinc/31/73/71/996317371.db2.gz HCPZPPXMHJROJF-VHSXEESVSA-N 0 1 286.353 0.439 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](Cc2cc(C#N)ccn2)C[C@@H]1C(=O)[O-] ZINC001598824929 996371567 /nfs/dbraw/zinc/37/15/67/996371567.db2.gz BJXIJHWVZOUCBC-NWDGAFQWSA-N 0 1 289.291 0.259 20 30 CCEDMN C[C@@]1(CO)C[C@H](O)C[N@@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC001594031774 997610459 /nfs/dbraw/zinc/61/04/59/997610459.db2.gz GXFFONHUOFWWTE-NHYWBVRUSA-N 0 1 290.319 0.574 20 30 CCEDMN C[C@@]1(CO)C[C@H](O)C[N@H+]1Cc1cccc(C(=O)[O-])c1C#N ZINC001594031774 997610463 /nfs/dbraw/zinc/61/04/63/997610463.db2.gz GXFFONHUOFWWTE-NHYWBVRUSA-N 0 1 290.319 0.574 20 30 CCEDMN C[C@@H](C(=O)N(CC#N)CC(=O)[O-])[N@@H+]1[C@H](C)CC[C@@H]1C ZINC001594299861 999158116 /nfs/dbraw/zinc/15/81/16/999158116.db2.gz KPAZOBQSZIYFPG-AXFHLTTASA-N 0 1 267.329 0.684 20 30 CCEDMN C#CCCN1CCN(c2cc(-n3cccn3)nc(N)n2)CC1 ZINC000091480496 349463750 /nfs/dbraw/zinc/46/37/50/349463750.db2.gz YJRFEYSHLCATBI-UHFFFAOYSA-N 0 1 297.366 0.390 20 30 CCEDMN N#Cc1cccc(CNC(=O)CN2CCC[C@@H]2CO)c1 ZINC000337670117 214208124 /nfs/dbraw/zinc/20/81/24/214208124.db2.gz UBLKPTRFDYWNPB-CQSZACIVSA-N 0 1 273.336 0.631 20 30 CCEDMN COC(=O)CN(CC#N)Cc1cc(O)ccc1[N+](=O)[O-] ZINC000093562185 349546271 /nfs/dbraw/zinc/54/62/71/349546271.db2.gz FXMFDNCGJOYZHF-UHFFFAOYSA-N 0 1 279.252 0.799 20 30 CCEDMN C[C@H]1CN(CCCNc2ncncc2C#N)C[C@H](C)O1 ZINC000573362842 334931217 /nfs/dbraw/zinc/93/12/17/334931217.db2.gz BLUWHYOSUXTUIA-RYUDHWBXSA-N 0 1 275.356 0.681 20 30 CCEDMN N#Cc1cscc1C(=O)N1CC[C@H](N2CC[C@H](O)C2)C1 ZINC000599940081 361836006 /nfs/dbraw/zinc/83/60/06/361836006.db2.gz KRXFNYCDUMJUID-RYUDHWBXSA-N 0 1 291.376 0.901 20 30 CCEDMN CC[C@H](NC(=O)CCc1cnc[nH]1)C(=O)N1CCOCC1 ZINC000330089610 529579472 /nfs/dbraw/zinc/57/94/72/529579472.db2.gz OWQKVXKWEAJNJV-LBPRGKRZSA-N 0 1 294.355 0.936 20 30 CCEDMN N#CC1(CNC[C@H](O)CN2CCOCC2)CCCCC1 ZINC000600764162 362057886 /nfs/dbraw/zinc/05/78/86/362057886.db2.gz YKLRAOFLCACIBO-AWEZNQCLSA-N 0 1 281.400 0.743 20 30 CCEDMN C=CCCSCCNS(=O)(=O)C[C@@H]1CNCCO1 ZINC000601343567 362235411 /nfs/dbraw/zinc/23/54/11/362235411.db2.gz QAQABDRWJSNZRP-NSHDSACASA-N 0 1 294.442 0.204 20 30 CCEDMN N#CC1(C(=O)NCCCc2nc[nH]n2)CC2(CC2)C1 ZINC000601547371 362307556 /nfs/dbraw/zinc/30/75/56/362307556.db2.gz DZJAFPFYCUOHDD-UHFFFAOYSA-N 0 1 259.313 0.937 20 30 CCEDMN CCN1CCN(CC(=O)N(C)[C@H]2CCC[C@H]2C#N)CC1 ZINC000601808896 362398053 /nfs/dbraw/zinc/39/80/53/362398053.db2.gz PORPMHWIGWUPKP-KBPBESRZSA-N 0 1 278.400 0.775 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1C[C@@H]2COC[C@@H]2C1)C1CC1 ZINC000601971578 362444478 /nfs/dbraw/zinc/44/44/78/362444478.db2.gz DUCYVJFWXBJNJP-WDMOLILDSA-N 0 1 263.341 0.373 20 30 CCEDMN CS(=O)(=O)N1CC(CNCc2cccc(F)c2C#N)C1 ZINC000602017547 362459474 /nfs/dbraw/zinc/45/94/74/362459474.db2.gz OTNZMGLECNDLNR-UHFFFAOYSA-N 0 1 297.355 0.678 20 30 CCEDMN C[C@H](C#N)CN(C(=O)CCCc1nn[nH]n1)C1CC1 ZINC000602124549 362505820 /nfs/dbraw/zinc/50/58/20/362505820.db2.gz HBNJCCMRRWOVMR-SECBINFHSA-N 0 1 262.317 0.673 20 30 CCEDMN C=CCC[C@H](NC(=O)[C@@H](C)N(C)C)C(=O)OCC ZINC000602159499 362535025 /nfs/dbraw/zinc/53/50/25/362535025.db2.gz HXPMZXIBFJTUSR-MNOVXSKESA-N 0 1 256.346 0.951 20 30 CCEDMN N#CCC1(CNC(=O)NCCN2CC[C@@H](O)C2)CC1 ZINC000602307990 362582518 /nfs/dbraw/zinc/58/25/18/362582518.db2.gz WRRVQNLQHVNBCP-LLVKDONJSA-N 0 1 266.345 0.046 20 30 CCEDMN N#CC1(CNC(=O)NCCN2CC[C@H](O)C2)CCC1 ZINC000602326624 362586583 /nfs/dbraw/zinc/58/65/83/362586583.db2.gz NOXJLTADJKXVPN-NSHDSACASA-N 0 1 266.345 0.046 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2ccc(C#N)nc2)C[C@@H](C)N1 ZINC000602435022 362614420 /nfs/dbraw/zinc/61/44/20/362614420.db2.gz SEJLIQBFPCNYMU-GHMZBOCLSA-N 0 1 258.325 0.705 20 30 CCEDMN COC1CCN(CCNC(=O)c2ccc(C#N)[nH]2)CC1 ZINC000119512950 349940045 /nfs/dbraw/zinc/94/00/45/349940045.db2.gz CLJNBWXBSQEDOO-UHFFFAOYSA-N 0 1 276.340 0.727 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2cccc(C#N)n2)[C@@H](C)C1 ZINC000602647630 362732048 /nfs/dbraw/zinc/73/20/48/362732048.db2.gz IVJOTHHXLQFHHV-QWHCGFSZSA-N 0 1 274.368 0.840 20 30 CCEDMN N#CCC1(CN2CCC[C@H]2CS(N)(=O)=O)CC1 ZINC000602790790 362801504 /nfs/dbraw/zinc/80/15/04/362801504.db2.gz OWEDXURZIJESEQ-JTQLQIEISA-N 0 1 257.359 0.433 20 30 CCEDMN C[C@@H]1CN(CCc2ccnn2C)C[C@H](C)N1CC#N ZINC000602850043 362837156 /nfs/dbraw/zinc/83/71/56/362837156.db2.gz OGAHSFNFHXLTQE-BETUJISGSA-N 0 1 261.373 0.881 20 30 CCEDMN CC(=O)NCCCN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602853721 362840916 /nfs/dbraw/zinc/84/09/16/362840916.db2.gz LCBPCXDCTZWWBU-RYUDHWBXSA-N 0 1 252.362 0.431 20 30 CCEDMN C[C@H]1OCC[C@@H]1CN1CCN(C(=O)CC#N)CC1 ZINC000602840629 362829898 /nfs/dbraw/zinc/82/98/98/362829898.db2.gz JXBNITZGCPBCEX-VXGBXAGGSA-N 0 1 251.330 0.469 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)CN[C@H](C)CC#N)C1 ZINC000602860665 362845765 /nfs/dbraw/zinc/84/57/65/362845765.db2.gz DGSUKPNHXJFNOW-KGLIPLIRSA-N 0 1 296.415 0.351 20 30 CCEDMN CCOC(=O)CCN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602861833 362847333 /nfs/dbraw/zinc/84/73/33/362847333.db2.gz MAZZNGPBXNBVOZ-VXGBXAGGSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@@H]1CS(=O)(=O)C[C@H]1NCc1cc(C#N)n(C)c1 ZINC000342459598 137095163 /nfs/dbraw/zinc/09/51/63/137095163.db2.gz UWVMEUADLAXWAW-BXKDBHETSA-N 0 1 267.354 0.419 20 30 CCEDMN COCC[C@H](C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000602884243 362862684 /nfs/dbraw/zinc/86/26/84/362862684.db2.gz APVVIUFDRZEVQV-VIFPVBQESA-N 0 1 265.317 0.508 20 30 CCEDMN CC[C@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000602885599 362864498 /nfs/dbraw/zinc/86/44/98/362864498.db2.gz GOVLPSBOXFXSHC-MNOVXSKESA-N 0 1 277.328 0.793 20 30 CCEDMN CN(CC1=CCCOC1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000602886613 362866175 /nfs/dbraw/zinc/86/61/75/362866175.db2.gz KFBZEUJMDDAHKE-UHFFFAOYSA-N 0 1 275.312 0.524 20 30 CCEDMN COCC1(CNC(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000602888360 362867151 /nfs/dbraw/zinc/86/71/51/362867151.db2.gz SZGLWAUTYRMXRY-UHFFFAOYSA-N 0 1 263.301 0.262 20 30 CCEDMN CCN(CC(=O)NCC#N)[C@@H]1CCN(CC(F)(F)F)C1 ZINC000602892149 362868287 /nfs/dbraw/zinc/86/82/87/362868287.db2.gz MDVSCJPVUGKEQD-SNVBAGLBSA-N 0 1 292.305 0.585 20 30 CCEDMN COC[C@]1(C)CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602879886 362860546 /nfs/dbraw/zinc/86/05/46/362860546.db2.gz HBEMCOKYRRHSNI-CYBMUJFWSA-N 0 1 277.328 0.604 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)Cn2cnc(C#N)n2)C[C@H]1C ZINC000345970118 137263066 /nfs/dbraw/zinc/26/30/66/137263066.db2.gz UFPACISYVUVLEE-MNOVXSKESA-N 0 1 276.344 0.091 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cc(C(F)F)[nH]n1 ZINC000602899139 362874451 /nfs/dbraw/zinc/87/44/51/362874451.db2.gz PAQWOGLUAJNRJH-LURJTMIESA-N 0 1 256.212 0.712 20 30 CCEDMN COc1cc(C#N)ccc1CN1CCN(C)C[C@@H]1CO ZINC000602903163 362876359 /nfs/dbraw/zinc/87/63/59/362876359.db2.gz CAKRNACNFANUHV-CQSZACIVSA-N 0 1 275.352 0.675 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@](C)(C#N)C(C)C)CC1 ZINC000042978345 348303136 /nfs/dbraw/zinc/30/31/36/348303136.db2.gz ZGRHPLSULISOKZ-AWEZNQCLSA-N 0 1 266.389 0.678 20 30 CCEDMN C[C@]12CN(C[C@H](C#N)CCC#N)C[C@@]1(C)C(=O)NC2=O ZINC000602934022 362895295 /nfs/dbraw/zinc/89/52/95/362895295.db2.gz FBWJIUMLUZBUAD-LEWSCRJBSA-N 0 1 274.324 0.415 20 30 CCEDMN C=CCNC(=O)CN1CCC(C(=O)NCCCC)CC1 ZINC000048055255 348397181 /nfs/dbraw/zinc/39/71/81/348397181.db2.gz HLWFSUJCCHHAKR-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN CCN(CCC(=O)OC)CC(=O)N(CC)CCC#N ZINC000064569732 348702237 /nfs/dbraw/zinc/70/22/37/348702237.db2.gz ZKHMTAZQMLFVHX-UHFFFAOYSA-N 0 1 269.345 0.634 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)CC[C@@H](C)O ZINC000072712870 348929523 /nfs/dbraw/zinc/92/95/23/348929523.db2.gz QOGAPVGBUYCWDK-NWDGAFQWSA-N 0 1 255.362 0.697 20 30 CCEDMN CN1CC[C@H]2CCN(S(=O)(=O)CC3(C#N)CC3)[C@H]2C1 ZINC000329596844 290232230 /nfs/dbraw/zinc/23/22/30/290232230.db2.gz CFOBUHHBDBQNGQ-RYUDHWBXSA-N 0 1 283.397 0.646 20 30 CCEDMN C=CCNC(=O)NC[C@@H](O)CN1CCc2ccccc2C1 ZINC000078682701 349149864 /nfs/dbraw/zinc/14/98/64/349149864.db2.gz AMYOWZCRUAMHKH-OAHLLOKOSA-N 0 1 289.379 0.891 20 30 CCEDMN N#Cc1ccccc1OCC(=O)NCCCc1nc[nH]n1 ZINC000080567580 349218187 /nfs/dbraw/zinc/21/81/87/349218187.db2.gz UIAKOCTWHSDYLF-UHFFFAOYSA-N 0 1 285.307 0.804 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)CC(C)(C)O)CC1 ZINC000081968093 349290233 /nfs/dbraw/zinc/29/02/33/349290233.db2.gz RKTHOOHIAJVOHT-UHFFFAOYSA-N 0 1 266.385 0.951 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)c2cc(C#N)cn2C)C1 ZINC000081751332 349282261 /nfs/dbraw/zinc/28/22/61/349282261.db2.gz QEAAURGZDSLLKC-CYBMUJFWSA-N 0 1 290.367 0.737 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2cnc(C#N)cn2)CC1 ZINC000125865070 350132516 /nfs/dbraw/zinc/13/25/16/350132516.db2.gz LIQYMSKUPQWICR-LBPRGKRZSA-N 0 1 261.329 0.241 20 30 CCEDMN CCN(CCCNS(=O)(=O)CC)C[C@@H](C)C#N ZINC000132029124 350295020 /nfs/dbraw/zinc/29/50/20/350295020.db2.gz MXZLUSYIZXFATQ-NSHDSACASA-N 0 1 261.391 0.797 20 30 CCEDMN C#CCOc1ccc(CN2CC[C@@H](NC(N)=O)C2)cc1 ZINC000271390874 191112414 /nfs/dbraw/zinc/11/24/14/191112414.db2.gz TWYGOMDBRKWJFL-CYBMUJFWSA-N 0 1 273.336 0.941 20 30 CCEDMN C=C(C)CNC(=O)NC[C@@H](C)CN1CC[NH+](C)CC1 ZINC000156617260 350632205 /nfs/dbraw/zinc/63/22/05/350632205.db2.gz PATBXZZANGGWCS-CYBMUJFWSA-N 0 1 268.405 0.745 20 30 CCEDMN C[C@H](O)CNCCS(=O)(=O)c1cccc(C#N)c1 ZINC000160620108 350704395 /nfs/dbraw/zinc/70/43/95/350704395.db2.gz CPUMCSIZYLJWJM-JTQLQIEISA-N 0 1 268.338 0.302 20 30 CCEDMN CNS(=O)(=O)CCCN(C)Cc1ccc(C#N)cc1 ZINC000111200041 186369682 /nfs/dbraw/zinc/36/96/82/186369682.db2.gz WROHSEIEEJYFFU-UHFFFAOYSA-N 0 1 281.381 0.929 20 30 CCEDMN CN[C@H](C)c1cn([C@@H](C)C(=O)N(C)CCC#N)nn1 ZINC000603189302 362991084 /nfs/dbraw/zinc/99/10/84/362991084.db2.gz PUYZBTUUFFFPHG-ZJUUUORDSA-N 0 1 264.333 0.492 20 30 CCEDMN C#C[C@H](C)NC(=O)CCN1CCN(c2ccccn2)CC1 ZINC000185362293 351443780 /nfs/dbraw/zinc/44/37/80/351443780.db2.gz FLKNQCMCZVGRMM-AWEZNQCLSA-N 0 1 286.379 0.732 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC(C)(CO)CC1 ZINC000186924285 351520529 /nfs/dbraw/zinc/52/05/29/351520529.db2.gz FSGKSERFPGOZSW-UHFFFAOYSA-N 0 1 269.345 0.093 20 30 CCEDMN COC(=O)[C@H](O)C1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC000191445561 351758044 /nfs/dbraw/zinc/75/80/44/351758044.db2.gz FZWGRZPNXVVOPA-CQSZACIVSA-N 0 1 291.351 0.643 20 30 CCEDMN CN1CCC[C@H]1CNS(=O)(=O)c1cccc(C#N)c1 ZINC000221178421 351976436 /nfs/dbraw/zinc/97/64/36/351976436.db2.gz GDMNTTKKBSMWQR-LBPRGKRZSA-N 0 1 279.365 0.931 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)c2ccc3nnnn3c2)[nH]1 ZINC000531576975 358472566 /nfs/dbraw/zinc/47/25/66/358472566.db2.gz HROQGPKCKBKFPL-UHFFFAOYSA-N 0 1 296.294 0.448 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccc(C#N)nc2)C[C@@H]1C ZINC000267324341 352758959 /nfs/dbraw/zinc/75/89/59/352758959.db2.gz ARWNWXIILLCMFG-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H]1CN(c2nccnc2C#N)CCN1CCCCO ZINC000268324901 352825203 /nfs/dbraw/zinc/82/52/03/352825203.db2.gz LVKVHSHHCSNIOR-GFCCVEGCSA-N 0 1 275.356 0.631 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cnccc2C#N)C[C@H]1C ZINC000270004576 352894992 /nfs/dbraw/zinc/89/49/92/352894992.db2.gz ZHQWTSYBYFUCOI-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000270499372 352940231 /nfs/dbraw/zinc/94/02/31/352940231.db2.gz PHYUSSOQAWSSLO-PWSUYJOCSA-N 0 1 295.339 0.591 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000280613354 353372579 /nfs/dbraw/zinc/37/25/79/353372579.db2.gz KFWQGYLYYGZCHO-LLVKDONJSA-N 0 1 290.367 0.825 20 30 CCEDMN CCN(C[C@H](O)c1ccc(C#N)cc1)[C@H]1CCNC1=O ZINC000282476768 353427539 /nfs/dbraw/zinc/42/75/39/353427539.db2.gz VAYJYJNNWHDQDT-KBPBESRZSA-N 0 1 273.336 0.802 20 30 CCEDMN N#Cc1cnc(N2CCC[C@@H](c3nc(=O)[nH][nH]3)C2)cn1 ZINC000285521263 353559763 /nfs/dbraw/zinc/55/97/63/353559763.db2.gz GPHOHELMCVNDAC-MRVPVSSYSA-N 0 1 271.284 0.144 20 30 CCEDMN C=CCCOCC(=O)NC1(c2nn[nH]n2)CCCC1 ZINC000605530299 363259171 /nfs/dbraw/zinc/25/91/71/363259171.db2.gz JVNYSLIGJNZORH-UHFFFAOYSA-N 0 1 265.317 0.678 20 30 CCEDMN C#CCN(C)CCCNC(=O)c1cc(C=O)[nH]c1C ZINC000294042435 353863301 /nfs/dbraw/zinc/86/33/01/353863301.db2.gz SRYRGICLPRSWRZ-UHFFFAOYSA-N 0 1 261.325 0.821 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000294087514 353867797 /nfs/dbraw/zinc/86/77/97/353867797.db2.gz XFZLLUBUJWFXKI-UHFFFAOYSA-N 0 1 274.320 0.646 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)C(=O)c1ccc([O-])cc1 ZINC000294087514 353867802 /nfs/dbraw/zinc/86/78/02/353867802.db2.gz XFZLLUBUJWFXKI-UHFFFAOYSA-N 0 1 274.320 0.646 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)CCC(=O)OC)CC1 ZINC000299367668 354011487 /nfs/dbraw/zinc/01/14/87/354011487.db2.gz UUKCFHUNZWNLLJ-UHFFFAOYSA-N 0 1 280.368 0.743 20 30 CCEDMN CN(CC1(CC#N)CC1)[C@H]1CCN(S(C)(=O)=O)C1 ZINC000310769787 354191821 /nfs/dbraw/zinc/19/18/21/354191821.db2.gz OVRPWRBEHGSFRE-NSHDSACASA-N 0 1 271.386 0.646 20 30 CCEDMN Cc1nc2n(n1)CCN(C(=O)N[C@H]1CCCOCC1)C2 ZINC000329203659 354254399 /nfs/dbraw/zinc/25/43/99/354254399.db2.gz CUVALAWCPZXDAL-NSHDSACASA-N 0 1 279.344 0.885 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@@H]2NCCc3ccccc32)CC1 ZINC000317085329 354226088 /nfs/dbraw/zinc/22/60/88/354226088.db2.gz AKVXOYCENMTXGG-MRXNPFEDSA-N 0 1 298.390 0.931 20 30 CCEDMN C[C@H](CNS(=O)(=O)c1ccc(C#N)o1)N1CCCC1 ZINC000557797644 291044981 /nfs/dbraw/zinc/04/49/81/291044981.db2.gz IYMLZMOQEMPUFV-SNVBAGLBSA-N 0 1 283.353 0.914 20 30 CCEDMN N#Cc1ccccc1OCC(=O)NCCN1CCC1 ZINC000337309197 355299312 /nfs/dbraw/zinc/29/93/12/355299312.db2.gz YYOZUVCUIGHXDQ-UHFFFAOYSA-N 0 1 259.309 0.759 20 30 CCEDMN CC[N@@H+](C[C@H](C)C#N)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000338235870 355311222 /nfs/dbraw/zinc/31/12/22/355311222.db2.gz OCPJYWCPIQHBCK-NEPJUHHUSA-N 0 1 273.402 0.749 20 30 CCEDMN CCN(C[C@H](C)C#N)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000338235870 355311226 /nfs/dbraw/zinc/31/12/26/355311226.db2.gz OCPJYWCPIQHBCK-NEPJUHHUSA-N 0 1 273.402 0.749 20 30 CCEDMN C[C@@H](C#N)C[N@@H+](C)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000338235742 355311497 /nfs/dbraw/zinc/31/14/97/355311497.db2.gz KHWBPGCNYLNDIP-WDEREUQCSA-N 0 1 259.375 0.359 20 30 CCEDMN C[C@@H](C#N)CN(C)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000338235742 355311501 /nfs/dbraw/zinc/31/15/01/355311501.db2.gz KHWBPGCNYLNDIP-WDEREUQCSA-N 0 1 259.375 0.359 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@@H](c3n[nH]c(=O)o3)C2)[nH]1 ZINC000425307491 235283600 /nfs/dbraw/zinc/28/36/00/235283600.db2.gz UBOLRQXVQNHUSC-MRVPVSSYSA-N 0 1 287.279 0.995 20 30 CCEDMN COc1cc(C#N)ccc1OCCN(C)[C@@H]1COC[C@@H]1O ZINC000352798854 356082784 /nfs/dbraw/zinc/08/27/84/356082784.db2.gz DPNIIADNYKTZCJ-OLZOCXBDSA-N 0 1 292.335 0.637 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(CCCO)CC1 ZINC000273022957 192022684 /nfs/dbraw/zinc/02/26/84/192022684.db2.gz SJNCWPFGNMGQPP-GFCCVEGCSA-N 0 1 297.399 0.871 20 30 CCEDMN Cc1nn(C[C@@H](O)CN2C[C@@H](C)O[C@@H](C)C2)cc1C#N ZINC000362333509 138054875 /nfs/dbraw/zinc/05/48/75/138054875.db2.gz LWKSDAQMQCMFQT-WDMOLILDSA-N 0 1 278.356 0.533 20 30 CCEDMN Cc1onc(CC(=O)N2CCN(C)[C@H](C)[C@H]2C)c1C#N ZINC000354489815 356423767 /nfs/dbraw/zinc/42/37/67/356423767.db2.gz PKPOCSMVUZHBBG-NXEZZACHSA-N 0 1 276.340 0.948 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2([S@@](C)=O)CCC2)[nH]1 ZINC000619556344 366281012 /nfs/dbraw/zinc/28/10/12/366281012.db2.gz HKPGUYJSNPNYFR-HXUWFJFHSA-N 0 1 295.368 0.136 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(CCCN2CCOCC2)CC1 ZINC000610391128 363554449 /nfs/dbraw/zinc/55/44/49/363554449.db2.gz UNPSIUPCICIWCW-MRXNPFEDSA-N 0 1 294.443 0.874 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H]2C(=O)NCc2c[nH]nn2)cc1 ZINC000610494492 363568354 /nfs/dbraw/zinc/56/83/54/363568354.db2.gz PAYCUUAASLLNMK-CQSZACIVSA-N 0 1 296.334 0.962 20 30 CCEDMN CO[C@]1(CN[C@@H](C(N)=O)c2ccc(C#N)cc2)CCOC1 ZINC000359638902 356862932 /nfs/dbraw/zinc/86/29/32/356862932.db2.gz RVXHZCFQTJKSDT-HIFRSBDPSA-N 0 1 289.335 0.480 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2ccncc2)[nH]1 ZINC000610527998 363572882 /nfs/dbraw/zinc/57/28/82/363572882.db2.gz FWBMRVLXRATZOX-UHFFFAOYSA-N 0 1 256.269 0.430 20 30 CCEDMN CN(C[C@@H]1CCCO1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610561115 363577063 /nfs/dbraw/zinc/57/70/63/363577063.db2.gz FQWWOMRWDUMTSQ-JTQLQIEISA-N 0 1 263.301 0.356 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2ccc(C(N)=O)cc2)[nH]1 ZINC000610564671 363579638 /nfs/dbraw/zinc/57/96/38/363579638.db2.gz TUMVUQGCGCVJQA-UHFFFAOYSA-N 0 1 298.306 0.134 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)C2(C#N)CCOCC2)C1 ZINC000398920644 357778949 /nfs/dbraw/zinc/77/89/49/357778949.db2.gz DANAZKRPPLNICJ-ZDUSSCGKSA-N 0 1 295.383 0.534 20 30 CCEDMN CC[C@H]1C[C@H](C(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000408154029 357942958 /nfs/dbraw/zinc/94/29/58/357942958.db2.gz HRHGETFLRULSLK-KOLCDFICSA-N 0 1 262.313 0.857 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN([C@@H]3COC[C@H]3O)C2)nc1 ZINC000562379162 291148473 /nfs/dbraw/zinc/14/84/73/291148473.db2.gz VKTKDGBWAJHWER-YNEHKIRRSA-N 0 1 275.308 0.166 20 30 CCEDMN CC#CCCNC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000544582494 358549809 /nfs/dbraw/zinc/54/98/09/358549809.db2.gz UYXKMAMFNGWSEP-UHFFFAOYSA-N 0 1 272.312 0.319 20 30 CCEDMN COCCN[C@H]1CC(=O)N(c2ccc(C#N)cc2)C1=O ZINC000567910602 358611778 /nfs/dbraw/zinc/61/17/78/358611778.db2.gz DBMBMMUERSVDKS-LBPRGKRZSA-N 0 1 273.292 0.426 20 30 CCEDMN C[C@@H](C(=O)N1CCN(C)C(C)(C)C1)n1cnc(C#N)n1 ZINC000568882338 358715429 /nfs/dbraw/zinc/71/54/29/358715429.db2.gz UWDSOBCZORYTDC-JTQLQIEISA-N 0 1 276.344 0.263 20 30 CCEDMN CN(C)C(=O)[C@@H]1CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000571208440 358923214 /nfs/dbraw/zinc/92/32/14/358923214.db2.gz CAQQXHIEYMNBBJ-GFCCVEGCSA-N 0 1 298.350 0.511 20 30 CCEDMN CN(CCCn1ccnc1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610876743 363625188 /nfs/dbraw/zinc/62/51/88/363625188.db2.gz ZPBZPZWJDKLDGJ-UHFFFAOYSA-N 0 1 287.327 0.464 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1CC[C@H](CC(N)=O)C1 ZINC000588977080 359354981 /nfs/dbraw/zinc/35/49/81/359354981.db2.gz ADXUIOBVNBEKHP-JMSVASOKSA-N 0 1 294.399 0.627 20 30 CCEDMN CC(C)[C@](C)(CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000589332332 359379230 /nfs/dbraw/zinc/37/92/30/359379230.db2.gz BOZJEHGTRDQRRE-LBPRGKRZSA-N 0 1 265.317 0.242 20 30 CCEDMN CC(C)c1nc(CN2CCN(CCC#N)CC2)n[nH]1 ZINC000589988659 359414773 /nfs/dbraw/zinc/41/47/73/359414773.db2.gz WHQWLDWLAMVOOY-UHFFFAOYSA-N 0 1 262.361 0.959 20 30 CCEDMN CN(C[C@H]1CCC[C@H]1O)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611112067 363661209 /nfs/dbraw/zinc/66/12/09/363661209.db2.gz RZYHEUXVTUEEDE-MWLCHTKSSA-N 0 1 277.328 0.338 20 30 CCEDMN CCN1CCN([C@H]2CCN(C(=O)CC3(C#N)CC3)C2)CC1 ZINC000590227252 359431410 /nfs/dbraw/zinc/43/14/10/359431410.db2.gz TYFKZSGUMBRFGF-AWEZNQCLSA-N 0 1 290.411 0.919 20 30 CCEDMN N#CC1(CC(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)CC1 ZINC000590223615 359431484 /nfs/dbraw/zinc/43/14/84/359431484.db2.gz WELVMESAZLXCBZ-QWHCGFSZSA-N 0 1 277.368 0.738 20 30 CCEDMN N#CCCCC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000589699896 359398628 /nfs/dbraw/zinc/39/86/28/359398628.db2.gz SUDWGKZTLCGFQZ-CHWSQXEVSA-N 0 1 265.357 0.738 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCn2cncc2C1 ZINC000590599088 359496178 /nfs/dbraw/zinc/49/61/78/359496178.db2.gz HJQQBBVKDCFKJR-UHFFFAOYSA-N 0 1 261.329 0.461 20 30 CCEDMN CCO[C@@H]1COC[C@H]1NCC(=O)NC1(C#N)CCCCC1 ZINC000590763389 359520085 /nfs/dbraw/zinc/52/00/85/359520085.db2.gz PNOGPBPXVJJODI-CHWSQXEVSA-N 0 1 295.383 0.723 20 30 CCEDMN CC[C@@H]1OCC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000590957401 359542443 /nfs/dbraw/zinc/54/24/43/359542443.db2.gz ADELNDWCGBNFTL-ZJUUUORDSA-N 0 1 263.301 0.403 20 30 CCEDMN Cc1cc(C#N)ccc1CN1CC[C@@H]2OCC(=O)N[C@H]2C1 ZINC000590962748 359542583 /nfs/dbraw/zinc/54/25/83/359542583.db2.gz CLQUVRHKLQUBLB-GJZGRUSLSA-N 0 1 285.347 0.956 20 30 CCEDMN CO[C@H]([C@H](C)NC(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000590819766 359526851 /nfs/dbraw/zinc/52/68/51/359526851.db2.gz UWAPRXDZWYUAPQ-GZMMTYOYSA-N 0 1 277.328 0.649 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@]2(O)CCCC2(C)C)[nH]1 ZINC000590819748 359527221 /nfs/dbraw/zinc/52/72/21/359527221.db2.gz UHRFXRCXZNWEQA-AWEZNQCLSA-N 0 1 291.355 0.776 20 30 CCEDMN N#C[C@@]1(F)CCN(C(=O)NCCN2CCCOCC2)C1 ZINC000590822154 359527449 /nfs/dbraw/zinc/52/74/49/359527449.db2.gz AMQZWZVFDCUYQI-ZDUSSCGKSA-N 0 1 284.335 0.356 20 30 CCEDMN CC[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@H](O)C(C)C ZINC000591338189 359579360 /nfs/dbraw/zinc/57/93/60/359579360.db2.gz UPUZRFYGDHSWLE-WDEREUQCSA-N 0 1 279.344 0.631 20 30 CCEDMN C[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)c1cn[nH]c1 ZINC000611140976 363664340 /nfs/dbraw/zinc/66/43/40/363664340.db2.gz QCZJPSLXMKSWTJ-ZETCQYMHSA-N 0 1 259.273 0.319 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H](O)CC(F)(F)F)C1 ZINC000592150059 359769298 /nfs/dbraw/zinc/76/92/98/359769298.db2.gz ANIATWAOXNGNSO-BDAKNGLRSA-N 0 1 252.236 0.650 20 30 CCEDMN CCCN(C(=O)C(=O)NCCCCC#N)[C@H]1CCN(C)C1 ZINC000592334735 359820453 /nfs/dbraw/zinc/82/04/53/359820453.db2.gz BLXYULNTWHPVMH-ZDUSSCGKSA-N 0 1 294.399 0.739 20 30 CCEDMN COc1cc(CN2CC(CC(N)=O)C2)ccc1C#N ZINC000297524294 200218315 /nfs/dbraw/zinc/21/83/15/200218315.db2.gz YMXNLTPMTINFGI-UHFFFAOYSA-N 0 1 259.309 0.874 20 30 CCEDMN C=CCCOCC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000592405787 359833331 /nfs/dbraw/zinc/83/33/31/359833331.db2.gz KYCMLNKDJSKRHZ-KGLIPLIRSA-N 0 1 282.384 0.637 20 30 CCEDMN CN(CC(=O)N[C@@]1(C#N)CCSC1)[C@H]1CCSC1 ZINC000611200539 363678384 /nfs/dbraw/zinc/67/83/84/363678384.db2.gz KZXUOPMJBVDFLC-CMPLNLGQSA-N 0 1 285.438 0.939 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2ccnc(C3CC3)n2)[nH]n1C ZINC000592646743 359908030 /nfs/dbraw/zinc/90/80/30/359908030.db2.gz QCDVEYDUKGTRRI-UHFFFAOYSA-N 0 1 282.307 0.942 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCCOCC2)[nH]n1C ZINC000592650085 359909407 /nfs/dbraw/zinc/90/94/07/359909407.db2.gz MWFVDVQCKKASJC-SNVBAGLBSA-N 0 1 262.313 0.777 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N1CC[C@](O)(CC#N)C1 ZINC000592843507 359951625 /nfs/dbraw/zinc/95/16/25/359951625.db2.gz QFTAJQZMVZBKGC-GXTWGEPZSA-N 0 1 265.357 0.738 20 30 CCEDMN C[C@H](CCO)N(C)CC(=O)NCc1ccc(C#N)cc1 ZINC000593110684 360042235 /nfs/dbraw/zinc/04/22/35/360042235.db2.gz ZAVTYGXQGDABAG-GFCCVEGCSA-N 0 1 275.352 0.877 20 30 CCEDMN C[C@H](CCO)N(C)CC(=O)NCc1cccc(C#N)c1 ZINC000593110720 360042288 /nfs/dbraw/zinc/04/22/88/360042288.db2.gz ZPXRKJXAZQCJGI-GFCCVEGCSA-N 0 1 275.352 0.877 20 30 CCEDMN CC[C@H](C#N)C(=O)NCCN1CCC(OC)CC1 ZINC000593508955 360103187 /nfs/dbraw/zinc/10/31/87/360103187.db2.gz JAPQPUWQDDPYBF-LLVKDONJSA-N 0 1 253.346 0.763 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC2(C#N)CCCC2)C1 ZINC000593341924 360068922 /nfs/dbraw/zinc/06/89/22/360068922.db2.gz ZTKHGTCWWRNOLT-CYBMUJFWSA-N 0 1 293.415 0.615 20 30 CCEDMN COc1ccc(CN2CC(N3CC[C@@H](O)C3)C2)cc1C#N ZINC000593680116 360133924 /nfs/dbraw/zinc/13/39/24/360133924.db2.gz PMSDWSDCGYAWGQ-OAHLLOKOSA-N 0 1 287.363 0.818 20 30 CCEDMN Cc1nc(C(=O)N[C@@H](C)c2nnc[nH]2)ccc1C#N ZINC000176755359 189007386 /nfs/dbraw/zinc/00/73/86/189007386.db2.gz GXWHGMOAJLEDFH-QMMMGPOBSA-N 0 1 256.269 0.871 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)Nc1[nH]cnc1C(=O)OC ZINC000594018156 360235925 /nfs/dbraw/zinc/23/59/25/360235925.db2.gz AZGJVNTXMPXAGA-SFYZADRCSA-N 0 1 265.269 0.726 20 30 CCEDMN N#Cc1ccc(NCc2n[nH]c([C@@H]3CCCO3)n2)nc1 ZINC000594589774 360336953 /nfs/dbraw/zinc/33/69/53/360336953.db2.gz OJKYSZZRDVIMPY-JTQLQIEISA-N 0 1 270.296 0.957 20 30 CCEDMN C[C@@H]1CN(CCN2CCN(CCC#N)CC2)C[C@H](C)O1 ZINC000595391665 360516316 /nfs/dbraw/zinc/51/63/16/360516316.db2.gz MIVSTLFQBCVXSZ-GASCZTMLSA-N 0 1 280.416 0.627 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)Cc1cc[nH]n1 ZINC000595398496 360519246 /nfs/dbraw/zinc/51/92/46/360519246.db2.gz QYKUWWMQSQUBIH-UHFFFAOYSA-N 0 1 259.317 0.482 20 30 CCEDMN CO[C@@H](CN1CCN(C(=O)CC#N)CC1)C1CCC1 ZINC000595512477 360556760 /nfs/dbraw/zinc/55/67/60/360556760.db2.gz OLUBBMOKVQISJS-ZDUSSCGKSA-N 0 1 265.357 0.859 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)Cc1nc(C#N)cs1 ZINC000595547786 360576121 /nfs/dbraw/zinc/57/61/21/360576121.db2.gz WNFFFLUEGUYPKF-UHFFFAOYSA-N 0 1 251.271 0.735 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)Cc1n[nH]c(=O)o1 ZINC000595552628 360577019 /nfs/dbraw/zinc/57/70/19/360577019.db2.gz XYZILJMYZDIJRR-LBPRGKRZSA-N 0 1 281.316 0.261 20 30 CCEDMN COC(=O)[C@@H]1OCC[C@@H]1NCc1cccc(C#N)c1 ZINC000595658293 360607042 /nfs/dbraw/zinc/60/70/42/360607042.db2.gz FBVRNKQVFLYTNO-QWHCGFSZSA-N 0 1 260.293 0.978 20 30 CCEDMN CO[C@@]1(CO)CCCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000595661784 360609948 /nfs/dbraw/zinc/60/99/48/360609948.db2.gz LQNXXKSTUWLVRD-JSGCOSHPSA-N 0 1 283.372 0.220 20 30 CCEDMN COC(=O)[C@@H]1CCN(CC(=O)NCC#N)[C@@H]2CCCC[C@@H]12 ZINC000595737180 360633837 /nfs/dbraw/zinc/63/38/37/360633837.db2.gz PMBKRMBLNMLKBZ-YNEHKIRRSA-N 0 1 293.367 0.680 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](C)[C@@](C)(CO)C1 ZINC000595872576 360686987 /nfs/dbraw/zinc/68/69/87/360686987.db2.gz JVILMLWKBXRQSO-GXTWGEPZSA-N 0 1 267.373 0.699 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](C)[C@@](C)(CO)C1 ZINC000595879815 360688239 /nfs/dbraw/zinc/68/82/39/360688239.db2.gz XKPWSDASUKWFDV-UMVBOHGHSA-N 0 1 281.400 0.945 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CC[C@H]2COC[C@H]2C1 ZINC000595836702 360674721 /nfs/dbraw/zinc/67/47/21/360674721.db2.gz YIDKFAPBYUZCST-UONOGXRCSA-N 0 1 290.367 0.611 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2c(C#N)cnn2C)CC1 ZINC000596143129 360810252 /nfs/dbraw/zinc/81/02/52/360810252.db2.gz XJQHSKPAWFFXIW-LBPRGKRZSA-N 0 1 263.345 0.185 20 30 CCEDMN COC(=O)c1ccc(N(C)CC2CN(C)C2)c(C#N)n1 ZINC000596453360 360899063 /nfs/dbraw/zinc/89/90/63/360899063.db2.gz KHBBGAOWLCHVLQ-UHFFFAOYSA-N 0 1 274.324 0.738 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N[C@H]1CCCN(C)C1 ZINC000597360750 361071006 /nfs/dbraw/zinc/07/10/06/361071006.db2.gz LFQNULTUBGGPFG-LBPRGKRZSA-N 0 1 299.440 0.622 20 30 CCEDMN N#C[C@@]1(F)CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000597543552 361134220 /nfs/dbraw/zinc/13/42/20/361134220.db2.gz AFOAPIBBZNKLAF-RNCFNFMXSA-N 0 1 262.288 0.979 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000597543877 361134291 /nfs/dbraw/zinc/13/42/91/361134291.db2.gz DKQZQLASORESNR-NWDGAFQWSA-N 0 1 267.373 0.840 20 30 CCEDMN Cc1nnc(NCCCc2nc(=O)[nH][nH]2)c(C#N)c1C ZINC000301447488 201012135 /nfs/dbraw/zinc/01/21/35/201012135.db2.gz RTVNGRLFCXWHRI-UHFFFAOYSA-N 0 1 273.300 0.421 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@H](OC(F)F)C2)[nH]1 ZINC000611596531 363748820 /nfs/dbraw/zinc/74/88/20/363748820.db2.gz WKEHXHRXTDZBAK-SECBINFHSA-N 0 1 299.281 0.949 20 30 CCEDMN CC(C)(C#N)CNC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000611636996 363753901 /nfs/dbraw/zinc/75/39/01/363753901.db2.gz OPZXLYKOYGFXHY-OLZOCXBDSA-N 0 1 294.399 0.777 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1ccccc1C#N)[C@H](C)C(=O)[O-] ZINC000598679902 361479606 /nfs/dbraw/zinc/47/96/06/361479606.db2.gz PPPFKZBYRMAJEW-LLVKDONJSA-N 0 1 289.335 0.969 20 30 CCEDMN CC(C)NC(=O)CN(C)C[C@@H](O)CC(C)(C)C#N ZINC000598587772 361450234 /nfs/dbraw/zinc/45/02/34/361450234.db2.gz VUVSAZPYDQECCF-NSHDSACASA-N 0 1 255.362 0.744 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCO[C@]2(CCOC2)C1 ZINC000598605721 361458006 /nfs/dbraw/zinc/45/80/06/361458006.db2.gz VIEVFZILLGEVNW-TZMCWYRMSA-N 0 1 268.357 0.778 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N(C)Cc1n[nH]c(=O)o1 ZINC000598747027 361508171 /nfs/dbraw/zinc/50/81/71/361508171.db2.gz XBBVNNUOSSXHAG-UHFFFAOYSA-N 0 1 262.225 0.821 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2nccnc2C)[nH]n1C ZINC000598846956 361526101 /nfs/dbraw/zinc/52/61/01/361526101.db2.gz XUNINFXUQWJXPV-UHFFFAOYSA-N 0 1 256.269 0.373 20 30 CCEDMN CN(C)S(=O)(=O)N1CC(N[C@@H]2CCC[C@H](C#N)C2)C1 ZINC000599090089 361570612 /nfs/dbraw/zinc/57/06/12/361570612.db2.gz IMXWUGYTTFAFSY-WDEREUQCSA-N 0 1 286.401 0.149 20 30 CCEDMN CCO[C@H]1COCC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000612752014 364007770 /nfs/dbraw/zinc/00/77/70/364007770.db2.gz HRYHGACBLQMDJA-MNOVXSKESA-N 0 1 293.327 0.029 20 30 CCEDMN CC(C)(C)[C@@H](O)CN1CCN(C(=O)CC#N)CC1 ZINC000613937979 364444584 /nfs/dbraw/zinc/44/45/84/364444584.db2.gz USQBUZLLRADZOE-NSHDSACASA-N 0 1 253.346 0.451 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CC(N(C)Cc2ccccc2)C1 ZINC000614660145 364694913 /nfs/dbraw/zinc/69/49/13/364694913.db2.gz GSLUIQGUZFHKNA-CQSZACIVSA-N 0 1 260.337 0.876 20 30 CCEDMN Cc1cnccc1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000614688553 364698721 /nfs/dbraw/zinc/69/87/21/364698721.db2.gz DISZXJBFSZJWMV-UHFFFAOYSA-N 0 1 270.296 0.739 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@](F)(C#N)C2)[nH]1 ZINC000615538496 364996296 /nfs/dbraw/zinc/99/62/96/364996296.db2.gz JJHWAZOGISPXIL-LLVKDONJSA-N 0 1 262.248 0.183 20 30 CCEDMN CCc1[nH]nc(C(=O)N2CC[C@](F)(C#N)C2)c1[N+](=O)[O-] ZINC000615932158 365134514 /nfs/dbraw/zinc/13/45/14/365134514.db2.gz DKHVLQKGPSBKSK-NSHDSACASA-N 0 1 281.247 0.958 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NC[C@H](c1nc[nH]n1)c1ccccc1 ZINC000615999712 365154251 /nfs/dbraw/zinc/15/42/51/365154251.db2.gz GHMRUWBCOSDFIX-SWLSCSKDSA-N 0 1 286.335 0.990 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@H]2CCCc3n[nH]nc32)nn1 ZINC000616410696 365313528 /nfs/dbraw/zinc/31/35/28/365313528.db2.gz KJVANMZECXGUAJ-SNVBAGLBSA-N 0 1 287.327 0.319 20 30 CCEDMN C=CCCn1cc(CNC[C@@H]2COCCN2C)nn1 ZINC000623714341 367630179 /nfs/dbraw/zinc/63/01/79/367630179.db2.gz ZPIWUPOYHCPEEY-CYBMUJFWSA-N 0 1 265.361 0.274 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2nccnn2)CC1 ZINC000625639643 368282004 /nfs/dbraw/zinc/28/20/04/368282004.db2.gz OMIOUUGMFWVUKY-GFCCVEGCSA-N 0 1 263.345 0.321 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N1CCNC[C@@H]1C(C)C ZINC000627306705 368950348 /nfs/dbraw/zinc/95/03/48/368950348.db2.gz JCOQYLRVWRUTGR-CYBMUJFWSA-N 0 1 297.399 0.152 20 30 CCEDMN C[C@H]1CCN(CC(=O)NCc2ccc(C#N)cc2)C[C@H]1O ZINC000330657905 203085635 /nfs/dbraw/zinc/08/56/35/203085635.db2.gz OOCIJHLWRDETSV-SWLSCSKDSA-N 0 1 287.363 0.877 20 30 CCEDMN C=CCCN(C)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000276210376 193245040 /nfs/dbraw/zinc/24/50/40/193245040.db2.gz ZWOWBPZNBUUZKQ-LBPRGKRZSA-N 0 1 282.388 0.544 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCN1CC[C@@H](O)C1 ZINC000629175788 369555190 /nfs/dbraw/zinc/55/51/90/369555190.db2.gz QUKGWMWKQDYVQP-NWDGAFQWSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCN(C(=O)CN(C)C)CC2)C1 ZINC000630720436 370246602 /nfs/dbraw/zinc/24/66/02/370246602.db2.gz QDXXPYRQMRAQSW-UHFFFAOYSA-N 0 1 279.384 0.575 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H]2CN3CCN2CCC3)C1 ZINC000632891110 371317271 /nfs/dbraw/zinc/31/72/71/371317271.db2.gz YSDSYOZMRNWJFN-ZDUSSCGKSA-N 0 1 263.385 0.849 20 30 CCEDMN C=C1CC(C)(C(=O)NCc2n[nH]c(COC)n2)C1 ZINC000633620738 371637773 /nfs/dbraw/zinc/63/77/73/371637773.db2.gz XQFWEQHFNCBGNI-UHFFFAOYSA-N 0 1 250.302 0.924 20 30 CCEDMN C=C1CC(C)(C(=O)NCc2nnc(COC)[nH]2)C1 ZINC000633620738 371637778 /nfs/dbraw/zinc/63/77/78/371637778.db2.gz XQFWEQHFNCBGNI-UHFFFAOYSA-N 0 1 250.302 0.924 20 30 CCEDMN Cc1cc(C#N)nc(N2CC[C@H](c3nc[nH]n3)C2)n1 ZINC000333417754 205303946 /nfs/dbraw/zinc/30/39/46/205303946.db2.gz IKSJIIZLGWFVGD-VIFPVBQESA-N 0 1 255.285 0.769 20 30 CCEDMN C[C@]1(O)CCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000178008239 261374978 /nfs/dbraw/zinc/37/49/78/261374978.db2.gz JJGKUIYWBLCERA-ZDUSSCGKSA-N 0 1 264.329 0.099 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)NCCN1CC[C@H](O)C1 ZINC000637117666 373939408 /nfs/dbraw/zinc/93/94/08/373939408.db2.gz ZTWGPFXKJPTYDO-HZSPNIEDSA-N 0 1 297.399 0.333 20 30 CCEDMN C[C@]1(O)CCN(CC(=O)NCc2ccc(C#N)cc2)C1 ZINC000267393649 190326863 /nfs/dbraw/zinc/32/68/63/190326863.db2.gz XOTMDDOZJKEWTI-HNNXBMFYSA-N 0 1 273.336 0.631 20 30 CCEDMN C=CCCn1cc(CNCCc2cnn(C)c2)nn1 ZINC000657318005 412956960 /nfs/dbraw/zinc/95/69/60/412956960.db2.gz KDGZBTBUHSKPIJ-UHFFFAOYSA-N 0 1 260.345 0.920 20 30 CCEDMN C=CCCn1cc(CNC2CCN(OC)CC2)nn1 ZINC000657494110 413007442 /nfs/dbraw/zinc/00/74/42/413007442.db2.gz MGBHUOZWFPRUQZ-UHFFFAOYSA-N 0 1 265.361 0.970 20 30 CCEDMN C#CCCNc1nc(NCC#C)[nH+]c(NCCC#C)n1 ZINC000286431614 388841849 /nfs/dbraw/zinc/84/18/49/388841849.db2.gz BPWFVFXDXXBZCV-UHFFFAOYSA-N 0 1 268.324 0.787 20 30 CCEDMN C#CCCNc1nc(NCC#C)nc(NCCC#C)n1 ZINC000286431614 388841851 /nfs/dbraw/zinc/84/18/51/388841851.db2.gz BPWFVFXDXXBZCV-UHFFFAOYSA-N 0 1 268.324 0.787 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(=O)C2CC2)CC1 ZINC000042156865 388864783 /nfs/dbraw/zinc/86/47/83/388864783.db2.gz CSSDDZZRAUPYBN-LLVKDONJSA-N 0 1 279.384 0.668 20 30 CCEDMN C#CCN(Cc1ccccc1)Cc1cn(C)c(=O)n(C)c1=O ZINC000289245262 388868106 /nfs/dbraw/zinc/86/81/06/388868106.db2.gz DWOJAARTIPINFL-UHFFFAOYSA-N 0 1 297.358 0.719 20 30 CCEDMN N#CC1(C(=O)N[C@H]2CCN(C3CC3)C2)CCOCC1 ZINC000044767439 388872425 /nfs/dbraw/zinc/87/24/25/388872425.db2.gz ORUFDAWWYULRCF-NSHDSACASA-N 0 1 263.341 0.660 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)C[C@@H](C)C(=O)OC ZINC000048335675 388890365 /nfs/dbraw/zinc/89/03/65/388890365.db2.gz JLLIDWNZVNMLDX-GFCCVEGCSA-N 0 1 268.357 0.928 20 30 CCEDMN Cc1nc(N2CCN(C[C@H](C)O)CC2)ccc1C#N ZINC000291860358 388941833 /nfs/dbraw/zinc/94/18/33/388941833.db2.gz HUONXIOFYULONC-NSHDSACASA-N 0 1 260.341 0.765 20 30 CCEDMN C#CCN(C)C[C@@H](O)COc1ccc(NC(C)=O)cc1 ZINC000192225116 389030060 /nfs/dbraw/zinc/03/00/60/389030060.db2.gz LLXLNKQUHFRMRD-CQSZACIVSA-N 0 1 276.336 0.950 20 30 CCEDMN C=CCN(CCOC)CCn1cc([N+](=O)[O-])cn1 ZINC000191108988 389006588 /nfs/dbraw/zinc/00/65/88/389006588.db2.gz AJCXAZZNEFWUKY-UHFFFAOYSA-N 0 1 254.290 0.926 20 30 CCEDMN CC(C)C[C@]1(CO)CCC[N@H+]1CC(=O)NCC#N ZINC000191659375 389015272 /nfs/dbraw/zinc/01/52/72/389015272.db2.gz BSJGTGMMWYNAFC-ZDUSSCGKSA-N 0 1 253.346 0.499 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCC(=O)OCC)C1=O ZINC000337138719 389047284 /nfs/dbraw/zinc/04/72/84/389047284.db2.gz PKKSEVQEOFBIOG-NSHDSACASA-N 0 1 254.330 0.658 20 30 CCEDMN COCCN1[C@H](C)CN(c2ccc(C#N)nn2)C[C@@H]1C ZINC000301915060 389060180 /nfs/dbraw/zinc/06/01/80/389060180.db2.gz DFCQTGVTEQDQMD-TXEJJXNPSA-N 0 1 275.356 0.894 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N[C@H]1CCCN(C)[C@@H]1C ZINC000337779289 389068292 /nfs/dbraw/zinc/06/82/92/389068292.db2.gz RWXPLBBRMNOYGH-TUAOUCFPSA-N 0 1 288.417 0.537 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N[C@H]1CCN(C)[C@H](C)C1 ZINC000337987594 389071793 /nfs/dbraw/zinc/07/17/93/389071793.db2.gz WXAZLBBGLHWCCQ-UTUOFQBUSA-N 0 1 288.417 0.537 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)/N=C/c1ccc(O)c(O)c1O ZINC000106586431 389093585 /nfs/dbraw/zinc/09/35/85/389093585.db2.gz ASBOJXJEJAXDFH-LKBVRNOZSA-N 0 1 293.367 0.858 20 30 CCEDMN CC[C@@H](CO)N1CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000304302289 389081753 /nfs/dbraw/zinc/08/17/53/389081753.db2.gz IIKQCISZMGZUHK-KGLIPLIRSA-N 0 1 296.415 0.135 20 30 CCEDMN C=CCOCC(=O)N1CCC(N2CCO[C@H](C)C2)CC1 ZINC000355526124 389089496 /nfs/dbraw/zinc/08/94/96/389089496.db2.gz WYQUJTXGOBQFIZ-CYBMUJFWSA-N 0 1 282.384 0.901 20 30 CCEDMN Cn1ncc(C(=O)N[C@@H]2CCN(C3CC3)C2)c1C#N ZINC000355117749 389042529 /nfs/dbraw/zinc/04/25/29/389042529.db2.gz VRNOINUJGXIDKF-SECBINFHSA-N 0 1 259.313 0.258 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000355150541 389043364 /nfs/dbraw/zinc/04/33/64/389043364.db2.gz RXICPQZSXWRTOA-NSHDSACASA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000355156979 389045319 /nfs/dbraw/zinc/04/53/19/389045319.db2.gz ZLCXDDJUBHSMPS-LBPRGKRZSA-N 0 1 295.383 0.048 20 30 CCEDMN CN(C)[C@@H]1CCN(S(=O)(=O)CCCCC#N)C1 ZINC000109342918 389099965 /nfs/dbraw/zinc/09/99/65/389099965.db2.gz UEBHPAMLMSEYNV-LLVKDONJSA-N 0 1 259.375 0.646 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(CC(=O)NC2CC2)CC1 ZINC000121356257 389147597 /nfs/dbraw/zinc/14/75/97/389147597.db2.gz IVNIKSBEBKOCOB-CQSZACIVSA-N 0 1 281.400 0.210 20 30 CCEDMN C=CCOCCN1CCC(N(CC)S(C)(=O)=O)CC1 ZINC000339036601 389122378 /nfs/dbraw/zinc/12/23/78/389122378.db2.gz WNXSKNAVJCFSSR-UHFFFAOYSA-N 0 1 290.429 0.935 20 30 CCEDMN C=C(C)[C@H](CC(=O)NC1(c2nn[nH]n2)CC1)OCC ZINC000357044816 389130231 /nfs/dbraw/zinc/13/02/31/389130231.db2.gz IUUDDNILYHTVSA-VIFPVBQESA-N 0 1 265.317 0.676 20 30 CCEDMN C=C(C)[C@H](CC(=O)NC(C)(C)c1nn[nH]n1)OCC ZINC000339363217 389131168 /nfs/dbraw/zinc/13/11/68/389131168.db2.gz QVKIZMHNFMNIIK-VIFPVBQESA-N 0 1 267.333 0.922 20 30 CCEDMN O=C(NCC#CCO)c1cccc(-c2nnc[nH]2)c1 ZINC000339390157 389132259 /nfs/dbraw/zinc/13/22/59/389132259.db2.gz HPMPBKVHUYGGHS-UHFFFAOYSA-N 0 1 256.265 0.197 20 30 CCEDMN C[C@H](Cn1cccn1)NC([O-])=[NH+]C[C@@H]1COCCO1 ZINC000328859456 389141470 /nfs/dbraw/zinc/14/14/70/389141470.db2.gz LDUCWLZUFROQBE-GHMZBOCLSA-N 0 1 268.317 0.191 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)NC[C@@H]1COCCO1 ZINC000328859456 389141471 /nfs/dbraw/zinc/14/14/71/389141471.db2.gz LDUCWLZUFROQBE-GHMZBOCLSA-N 0 1 268.317 0.191 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000359674204 389181026 /nfs/dbraw/zinc/18/10/26/389181026.db2.gz AHPNBSWRSVUQHR-OLZOCXBDSA-N 0 1 268.405 0.886 20 30 CCEDMN [O-]C(N[C@@H]1CCc2c[nH]nc2C1)=[NH+]C[C@@H]1CCCO1 ZINC000329841286 389205893 /nfs/dbraw/zinc/20/58/93/389205893.db2.gz OLCSXJGJPGSADM-MNOVXSKESA-N 0 1 264.329 0.950 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCCCCC#N ZINC000341650678 389190465 /nfs/dbraw/zinc/19/04/65/389190465.db2.gz YYDZYKATEAWGCC-LBPRGKRZSA-N 0 1 253.346 0.765 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)CSc1nnc(C)[nH]1 ZINC000342216837 389200479 /nfs/dbraw/zinc/20/04/79/389200479.db2.gz VNXYKFFOTLCADB-VIFPVBQESA-N 0 1 270.358 0.506 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)CSc1nc(C)n[nH]1 ZINC000342216837 389200481 /nfs/dbraw/zinc/20/04/81/389200481.db2.gz VNXYKFFOTLCADB-VIFPVBQESA-N 0 1 270.358 0.506 20 30 CCEDMN Cc1nccn1CC[NH+]=C([O-])N1CCN2C(=O)NC[C@H]2C1 ZINC000329803610 389202852 /nfs/dbraw/zinc/20/28/52/389202852.db2.gz LJFZRKZHRNESSF-NSHDSACASA-N 0 1 292.343 0.019 20 30 CCEDMN C[C@H](NC(=O)N[C@H]1CCCOCC1)c1nnc[nH]1 ZINC000329286213 389154104 /nfs/dbraw/zinc/15/41/04/389154104.db2.gz OQTSFEBKXJMEPT-IUCAKERBSA-N 0 1 253.306 0.938 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1cccnc1C#N)N(C)C ZINC000235127426 389165205 /nfs/dbraw/zinc/16/52/05/389165205.db2.gz GXKQNJBYJYHZHT-VIFPVBQESA-N 0 1 268.342 0.182 20 30 CCEDMN CN1C[C@H](NC(=O)N[C@@H]2CCc3c[nH]nc3C2)CCC1=O ZINC000329815906 389204622 /nfs/dbraw/zinc/20/46/22/389204622.db2.gz DRWPZAYNCDPIFZ-GHMZBOCLSA-N 0 1 291.355 0.391 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)CNCc2cccnn2)cc1 ZINC000236552380 389168342 /nfs/dbraw/zinc/16/83/42/389168342.db2.gz KFDCKFXUGFBXEE-CQSZACIVSA-N 0 1 284.319 0.878 20 30 CCEDMN C[C@@H]1OCC[C@]1(C)NC([O-])=[NH+]CCn1cncn1 ZINC000329577804 389171712 /nfs/dbraw/zinc/17/17/12/389171712.db2.gz SYAJXBLJZMRCEV-ONGXEEELSA-N 0 1 253.306 0.349 20 30 CCEDMN C[C@@H]1OCC[C@]1(C)NC(=O)NCCn1cncn1 ZINC000329577804 389171714 /nfs/dbraw/zinc/17/17/14/389171714.db2.gz SYAJXBLJZMRCEV-ONGXEEELSA-N 0 1 253.306 0.349 20 30 CCEDMN CCN1CCN([C@@H]2CCN(C(=O)C3(C#N)CCC3)C2)CC1 ZINC000134866623 389249577 /nfs/dbraw/zinc/24/95/77/389249577.db2.gz JWRCJQFHFPTNMT-CQSZACIVSA-N 0 1 290.411 0.919 20 30 CCEDMN CCc1nc([C@@H](C)NS(=O)(=O)N(C)CCC#N)n[nH]1 ZINC000342770195 389253197 /nfs/dbraw/zinc/25/31/97/389253197.db2.gz SLUWVWIQHPQQOQ-MRVPVSSYSA-N 0 1 286.361 0.108 20 30 CCEDMN C[C@H](NC(=O)N1CCN2CCCC[C@H]2C1)c1ncnn1C ZINC000329926253 389211631 /nfs/dbraw/zinc/21/16/31/389211631.db2.gz KMLFNQLUCUOJBO-RYUDHWBXSA-N 0 1 292.387 0.960 20 30 CCEDMN C[C@@H](NC(=O)N1CCN(C2CCC2)CC1)c1ncnn1C ZINC000329948504 389213728 /nfs/dbraw/zinc/21/37/28/389213728.db2.gz ZCTMCEAPGKZXGO-LLVKDONJSA-N 0 1 292.387 0.960 20 30 CCEDMN C=CCNC(=O)CN1CCC(OC[C@H]2CCOC2)CC1 ZINC000132171103 389220788 /nfs/dbraw/zinc/22/07/88/389220788.db2.gz WAUTXOFVODCBBC-ZDUSSCGKSA-N 0 1 282.384 0.806 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@@H]1CO ZINC000362070831 389229175 /nfs/dbraw/zinc/22/91/75/389229175.db2.gz XAURMXSRSQANTK-JTNHKYCSSA-N 0 1 265.357 0.355 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@]2(CNC(=O)C2)C1 ZINC000362411909 389236753 /nfs/dbraw/zinc/23/67/53/389236753.db2.gz WQKAFAGSAXRXLB-HNNXBMFYSA-N 0 1 292.383 0.351 20 30 CCEDMN CN(C)CC(=O)N1CCN(CCC(C)(C)C#N)CC1 ZINC000345711516 389313267 /nfs/dbraw/zinc/31/32/67/389313267.db2.gz MTFUCZJIDHJVHN-UHFFFAOYSA-N 0 1 266.389 0.632 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(C)C(=O)C2(C)C)CC1 ZINC000154878133 389315669 /nfs/dbraw/zinc/31/56/69/389315669.db2.gz KYSHFHFWWMAEGR-UHFFFAOYSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)CNC(=O)NCCCCN1CCOCC1 ZINC000156234796 389324023 /nfs/dbraw/zinc/32/40/23/389324023.db2.gz NHYNZEOSLPWDKH-UHFFFAOYSA-N 0 1 255.362 0.974 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC(n2cncn2)CC1 ZINC000156597135 389327355 /nfs/dbraw/zinc/32/73/55/389327355.db2.gz IYWPCWDFPYTJQY-GFCCVEGCSA-N 0 1 290.371 0.676 20 30 CCEDMN C[C@H](O)C[C@@H]1COCCN1CCC(=O)N(C)CCC#N ZINC000157513761 389331768 /nfs/dbraw/zinc/33/17/68/389331768.db2.gz BYVIMEWBAACNQD-QWHCGFSZSA-N 0 1 283.372 0.220 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@@H](C)O)[C@H](C)C1 ZINC000330993400 389276019 /nfs/dbraw/zinc/27/60/19/389276019.db2.gz ZBWREAVRHNPRGH-BZPMIXESSA-N 0 1 270.373 0.227 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@H](C)O)[C@H](C)C1 ZINC000330993401 389276388 /nfs/dbraw/zinc/27/63/88/389276388.db2.gz ZBWREAVRHNPRGH-DYEKYZERSA-N 0 1 270.373 0.227 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@H](C#N)C2CC2)CC1 ZINC000345462642 389305924 /nfs/dbraw/zinc/30/59/24/389305924.db2.gz RWQPHQKIOWKJLC-LBPRGKRZSA-N 0 1 250.346 0.042 20 30 CCEDMN CCc1[nH]nc(C(=O)N(C)CCC#N)c1[N+](=O)[O-] ZINC000264130210 389308546 /nfs/dbraw/zinc/30/85/46/389308546.db2.gz GERWNUAJINFWOD-UHFFFAOYSA-N 0 1 251.246 0.866 20 30 CCEDMN CC(C)c1[nH]nc(C(=O)N2CCOC[C@H]2C#N)c1[N+](=O)[O-] ZINC000269334546 389414048 /nfs/dbraw/zinc/41/40/48/389414048.db2.gz AZSAUCCYEMDWFT-MRVPVSSYSA-N 0 1 293.283 0.806 20 30 CCEDMN CCN(CCC#N)C(=O)CN1[C@H](C)CCC[C@@H]1CO ZINC000159089965 389357566 /nfs/dbraw/zinc/35/75/66/389357566.db2.gz HHWXGYVEPPSEOH-CHWSQXEVSA-N 0 1 267.373 0.984 20 30 CCEDMN CN(CC(=O)N1CCSC[C@@H]1C#N)[C@H]1CCSC1 ZINC000334223339 389462148 /nfs/dbraw/zinc/46/21/48/389462148.db2.gz ZMISWOBNNIXSGH-QWRGUYRKSA-N 0 1 285.438 0.891 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@H](C(=O)N(C)C)C1 ZINC000411954901 389469994 /nfs/dbraw/zinc/46/99/94/389469994.db2.gz PWWBZEOVGBAOLL-STQMWFEESA-N 0 1 294.399 0.405 20 30 CCEDMN C[C@H](CNS(=O)(=O)CC1(C#N)CC1)N(C)C1CC1 ZINC000165177594 389439243 /nfs/dbraw/zinc/43/92/43/389439243.db2.gz OWPUFVSKSOSFFO-SNVBAGLBSA-N 0 1 271.386 0.692 20 30 CCEDMN C=CCNC(=O)CNC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000271949274 389449997 /nfs/dbraw/zinc/44/99/97/389449997.db2.gz DGTSTUXGKMDVLY-JTQLQIEISA-N 0 1 277.328 0.389 20 30 CCEDMN CC#CCCN1CCC(N2CCCS2(=O)=O)CC1 ZINC000411831766 389452078 /nfs/dbraw/zinc/45/20/78/389452078.db2.gz CDKOGEZXNIHDRX-UHFFFAOYSA-N 0 1 270.398 0.900 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2cc(C#N)ccn2)C1 ZINC000413510586 389525876 /nfs/dbraw/zinc/52/58/76/389525876.db2.gz YVQWHLWYRFZXLJ-GFCCVEGCSA-N 0 1 274.324 0.494 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000176646941 389561811 /nfs/dbraw/zinc/56/18/11/389561811.db2.gz VUOHXZSNQVBYIZ-VHSXEESVSA-N 0 1 260.297 0.581 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCOCC(F)F)C1=O ZINC000281226720 389611157 /nfs/dbraw/zinc/61/11/57/389611157.db2.gz FDVYQUPUAAVWNC-JTQLQIEISA-N 0 1 262.300 0.987 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H](CCC)c2nn[nH]n2)nn1 ZINC000424703026 389629845 /nfs/dbraw/zinc/62/98/45/389629845.db2.gz GKYISWVIWICTFP-VIFPVBQESA-N 0 1 290.331 0.639 20 30 CCEDMN N#Cc1nccnc1N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000375771356 389711115 /nfs/dbraw/zinc/71/11/15/389711115.db2.gz KFKHJJPYMVGSLJ-CHWSQXEVSA-N 0 1 273.340 0.384 20 30 CCEDMN C=CCNC(=O)CN1CCN(C(C)(C)COC)CC1 ZINC000425507880 389649726 /nfs/dbraw/zinc/64/97/26/389649726.db2.gz NEWUDPZDBMRADQ-UHFFFAOYSA-N 0 1 269.389 0.331 20 30 CCEDMN C=CCCOCCNC(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC000425750475 389655621 /nfs/dbraw/zinc/65/56/21/389655621.db2.gz JJAJTYPDLVAFFV-NSHDSACASA-N 0 1 279.344 0.896 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)C(=O)N1CCN[C@H](C)C1 ZINC000415418190 389681723 /nfs/dbraw/zinc/68/17/23/389681723.db2.gz REDXPDBAAXWULZ-SNVBAGLBSA-N 0 1 286.335 0.626 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1cc(F)cc2nc[nH]c21 ZINC000181686027 389691271 /nfs/dbraw/zinc/69/12/71/389691271.db2.gz PULSFWBMJQUEQO-UHFFFAOYSA-N 0 1 276.271 0.734 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2cncc(C#N)c2)[C@@H]1C ZINC000379454424 389761138 /nfs/dbraw/zinc/76/11/38/389761138.db2.gz MWYJISFENOYKEQ-NXEZZACHSA-N 0 1 280.353 0.324 20 30 CCEDMN Cc1ncc(S(=O)(=O)N2CCN[C@@H](C)[C@H]2C)cc1C#N ZINC000379448743 389761423 /nfs/dbraw/zinc/76/14/23/389761423.db2.gz ZYXUOKNOXDXDCS-GXSJLCMTSA-N 0 1 294.380 0.633 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)CCCC#N ZINC000416307030 389731699 /nfs/dbraw/zinc/73/16/99/389731699.db2.gz KHKNDFUUQHWUOY-NWDGAFQWSA-N 0 1 253.346 0.594 20 30 CCEDMN CS(=O)(=O)N1CC[C@H](CN2CCC(F)(C#N)CC2)C1 ZINC000352468662 389815897 /nfs/dbraw/zinc/81/58/97/389815897.db2.gz UMILSBCCXTVMPX-LLVKDONJSA-N 0 1 289.376 0.596 20 30 CCEDMN N#CC1(NC(=O)CN(CCO)CC2CC2)CCC1 ZINC000352491233 389818851 /nfs/dbraw/zinc/81/88/51/389818851.db2.gz OTEJWNRMVIZUOE-UHFFFAOYSA-N 0 1 251.330 0.253 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)NCC2(CC#N)CC2)[nH]1 ZINC000432167953 389873978 /nfs/dbraw/zinc/87/39/78/389873978.db2.gz SIJOOQIPXSKVQA-UHFFFAOYSA-N 0 1 290.371 0.682 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCCCCC#N)[C@H](C)C1 ZINC000352898504 389840366 /nfs/dbraw/zinc/84/03/66/389840366.db2.gz GCFRTXSHVJAHQG-CHWSQXEVSA-N 0 1 282.388 0.777 20 30 CCEDMN COC[C@H](N[C@H](C)C(=O)NC1(C#N)CCC1)[C@@H]1CCCO1 ZINC000432651054 389886378 /nfs/dbraw/zinc/88/63/78/389886378.db2.gz GHRUCSPZFVOHIL-AGIUHOORSA-N 0 1 295.383 0.721 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H](C)N(CCO)C[C@H]1C ZINC000419325032 389891344 /nfs/dbraw/zinc/89/13/44/389891344.db2.gz KLBDVVJGMUTCQL-LSDHHAIUSA-N 0 1 295.427 0.574 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@@H]1C(=O)NCC(=O)OC ZINC000419347151 389891529 /nfs/dbraw/zinc/89/15/29/389891529.db2.gz PIENWZRTVXBYBK-NWDGAFQWSA-N 0 1 284.356 0.067 20 30 CCEDMN CC(=O)N[C@H](C)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000488700442 390095292 /nfs/dbraw/zinc/09/52/92/390095292.db2.gz JTYWFGXKCNHCAH-MRVPVSSYSA-N 0 1 277.328 0.395 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CNC(=O)CCC ZINC000490618557 390106595 /nfs/dbraw/zinc/10/65/95/390106595.db2.gz XMFGUMTZSPKPDX-LBPRGKRZSA-N 0 1 266.341 0.544 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000493604744 390127367 /nfs/dbraw/zinc/12/73/67/390127367.db2.gz ISBUZSDFIOKYNK-NEPJUHHUSA-N 0 1 294.355 0.179 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)c1 ZINC000539324030 390204398 /nfs/dbraw/zinc/20/43/98/390204398.db2.gz FNBGQRIQQFYNDV-SECBINFHSA-N 0 1 271.284 0.419 20 30 CCEDMN CC[C@@]1(O)CCN(CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000495194397 390223656 /nfs/dbraw/zinc/22/36/56/390223656.db2.gz NZATVJYVXFNQER-UONOGXRCSA-N 0 1 267.373 0.888 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N2CCC(CC#N)CC2)C1 ZINC000540986940 390233738 /nfs/dbraw/zinc/23/37/38/390233738.db2.gz FWTSJBOSDHJTDM-AWEZNQCLSA-N 0 1 293.415 0.567 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)NC[C@H](C)C#N)n[nH]1 ZINC000541946872 390254831 /nfs/dbraw/zinc/25/48/31/390254831.db2.gz VEAAVWPZZXDHFG-SFYZADRCSA-N 0 1 250.306 0.887 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000645375541 390417376 /nfs/dbraw/zinc/41/73/76/390417376.db2.gz NJTAQIVDYZHKAS-RYUDHWBXSA-N 0 1 276.340 0.861 20 30 CCEDMN CC#CC[C@H](CO)Nc1nc(N)nc2c1CCCC2 ZINC000645407471 390422207 /nfs/dbraw/zinc/42/22/07/390422207.db2.gz XOQHWCMQRUKYAI-SNVBAGLBSA-N 0 1 260.341 0.376 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)NCCCc1cn[nH]c1C ZINC000645147581 390377899 /nfs/dbraw/zinc/37/78/99/390377899.db2.gz LFABECDWFKZLTG-CYBMUJFWSA-N 0 1 278.356 0.724 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccnn2CC(F)F)CC1 ZINC000562494515 390489943 /nfs/dbraw/zinc/48/99/43/390489943.db2.gz ROEDPGYSQLFFFL-UHFFFAOYSA-N 0 1 297.309 0.866 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1ccc2cncn2c1 ZINC000649181730 390511864 /nfs/dbraw/zinc/51/18/64/390511864.db2.gz HPLZPGHEWYRZPA-LBPRGKRZSA-N 0 1 257.293 0.838 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1cnccc1N(C)C ZINC000649183572 390513743 /nfs/dbraw/zinc/51/37/43/390513743.db2.gz WAABPAGNFNNCIS-LLVKDONJSA-N 0 1 261.325 0.652 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H]2CCc3nc[nH]c3C2)nn1 ZINC000565829447 390549471 /nfs/dbraw/zinc/54/94/71/390549471.db2.gz QMYOJKOTDYRFFG-JTQLQIEISA-N 0 1 286.339 0.865 20 30 CCEDMN CN(C)c1cccnc1CNC[C@H](O)CN(C)CCC#N ZINC000566556023 390628355 /nfs/dbraw/zinc/62/83/55/390628355.db2.gz BLDZMDYKSSBJLX-ZDUSSCGKSA-N 0 1 291.399 0.444 20 30 CCEDMN C[C@@H]1CN(CCCNc2cc(C#N)ncn2)C[C@H](C)O1 ZINC000527135000 390694014 /nfs/dbraw/zinc/69/40/14/390694014.db2.gz SNVWQRIJOZXNBD-TXEJJXNPSA-N 0 1 275.356 0.681 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)NC[C@H]1COCCN1 ZINC000528687824 390769056 /nfs/dbraw/zinc/76/90/56/390769056.db2.gz QUZCOBQKYZJROK-LBPRGKRZSA-N 0 1 274.324 0.977 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CCC[C@H](c3nn[nH]n3)C2)cn1 ZINC000528882160 390782454 /nfs/dbraw/zinc/78/24/54/390782454.db2.gz KPRMIFDXNVMLMR-NSHDSACASA-N 0 1 297.322 0.415 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N(C)CC1(CO)CC1 ZINC000347072568 533236655 /nfs/dbraw/zinc/23/66/55/533236655.db2.gz RBWUVDIBPYFELP-NSHDSACASA-N 0 1 265.357 0.642 20 30 CCEDMN N#Cc1ccc(CC(=O)NCCN2CCCOCC2)cn1 ZINC000529124151 390794105 /nfs/dbraw/zinc/79/41/05/390794105.db2.gz PWYBNKKJNFNWBQ-UHFFFAOYSA-N 0 1 288.351 0.334 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]C[C@H]2CCC[NH2+]C2)o1 ZINC000575073140 390832822 /nfs/dbraw/zinc/83/28/22/390832822.db2.gz SLHDANBRISMSML-VIFPVBQESA-N 0 1 269.326 0.429 20 30 CCEDMN CCN1CCN(C(=O)Cc2noc(C)c2C#N)[C@H](C)C1 ZINC000341797084 130036079 /nfs/dbraw/zinc/03/60/79/130036079.db2.gz JVGDMOXWCVKDEK-SNVBAGLBSA-N 0 1 276.340 0.950 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccc([N+](=O)[O-])c(C#N)c2)CC1 ZINC000275792216 135112711 /nfs/dbraw/zinc/11/27/11/135112711.db2.gz JDJCQQAIPHWTLB-LLVKDONJSA-N 0 1 290.323 0.969 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1c1cnc(C#N)cn1 ZINC000275829618 135113749 /nfs/dbraw/zinc/11/37/49/135113749.db2.gz OCHPVGBRBPQAHK-LLVKDONJSA-N 0 1 275.356 0.630 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N[C@H](C)c1nnc[nH]1 ZINC000575118738 335068629 /nfs/dbraw/zinc/06/86/29/335068629.db2.gz PTPVMEHPDWUGQQ-UTLUCORTSA-N 0 1 265.317 0.756 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+](CC(=O)[O-])CC1CC1 ZINC000036886118 397380076 /nfs/dbraw/zinc/38/00/76/397380076.db2.gz DTRXGHJVVNIIGM-UHFFFAOYSA-N 0 1 266.341 0.984 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+](CC(=O)[O-])CC1CC1 ZINC000036886118 397380079 /nfs/dbraw/zinc/38/00/79/397380079.db2.gz DTRXGHJVVNIIGM-UHFFFAOYSA-N 0 1 266.341 0.984 20 30 CCEDMN CC(=O)N1CC(NC(=O)[C@@H](C)CN2CCOCC2)C1 ZINC000328969707 397450044 /nfs/dbraw/zinc/45/00/44/397450044.db2.gz AVPBYOAQMIVBIZ-JTQLQIEISA-N 0 1 269.345 0.142 20 30 CCEDMN N#Cc1ncccc1N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000368185786 144210940 /nfs/dbraw/zinc/21/09/40/144210940.db2.gz WGYGKHRHZONKOU-HUUCEWRRSA-N 0 1 272.352 0.989 20 30 CCEDMN N#Cc1cccc(CNC(=O)CN2C[C@H]3[C@H](CO)[C@H]3C2)c1 ZINC000368336822 144219135 /nfs/dbraw/zinc/21/91/35/144219135.db2.gz QSTVRQMUYGJKRV-FICVDOATSA-N 0 1 285.347 0.345 20 30 CCEDMN C[C@H](Oc1ccccc1C#N)C(=O)NCc1nn[nH]n1 ZINC000044928496 411631608 /nfs/dbraw/zinc/63/16/08/411631608.db2.gz QCRWQDWCUQESMD-QMMMGPOBSA-N 0 1 272.268 0.155 20 30 CCEDMN CN1CCC[C@@H]1CNS(=O)(=O)c1ccccc1C#N ZINC000221253989 411737914 /nfs/dbraw/zinc/73/79/14/411737914.db2.gz AITAGNIJDWUYHP-GFCCVEGCSA-N 0 1 279.365 0.931 20 30 CCEDMN Cn1cc(CN2CC[C@H]3OCCN(C)[C@H]3C2)cc1C#N ZINC000284506590 196071769 /nfs/dbraw/zinc/07/17/69/196071769.db2.gz YALIIRGJICHFMC-LSDHHAIUSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCCn1cc(CN2CCN(C[C@@H](C)O)C[C@@H]2C)nn1 ZINC000653623773 412326511 /nfs/dbraw/zinc/32/65/11/412326511.db2.gz NNPBXOWNJSWJIQ-UONOGXRCSA-N 0 1 293.415 0.741 20 30 CCEDMN C[C@@H]1CCCN(CC(=O)NC2(C#N)CCC2)[C@@H]1CO ZINC000346976912 533680012 /nfs/dbraw/zinc/68/00/12/533680012.db2.gz RTIPUECGOMTQSV-VXGBXAGGSA-N 0 1 265.357 0.642 20 30 CCEDMN C=CC[C@@H]1CCCN(CC(=O)N[C@@H](C)C(=O)N(C)C)C1 ZINC000661378680 414607850 /nfs/dbraw/zinc/60/78/50/414607850.db2.gz KFQZNNPXDNLIBC-QWHCGFSZSA-N 0 1 281.400 0.867 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@](CO)(OC)C2)C1=O ZINC000661390088 414615174 /nfs/dbraw/zinc/61/51/74/414615174.db2.gz PUZXALUSDBMZNV-OCCSQVGLSA-N 0 1 268.357 0.247 20 30 CCEDMN Cc1cc(C)c(C#N)c(N(C)CC(=O)N2CCNCC2)n1 ZINC000038031646 174025852 /nfs/dbraw/zinc/02/58/52/174025852.db2.gz JVQSAOQAHKJXQL-UHFFFAOYSA-N 0 1 287.367 0.438 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)NCc1ccccc1OC ZINC000662165298 414694646 /nfs/dbraw/zinc/69/46/46/414694646.db2.gz DNYDYDMDNDZVLZ-DGCLKSJQSA-N 0 1 278.352 0.836 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1ccccc1COC ZINC000662167085 414695187 /nfs/dbraw/zinc/69/51/87/414695187.db2.gz RRXBAVGNHLODQV-CQSZACIVSA-N 0 1 278.352 0.586 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N(C)Cc1cccc(OC)c1 ZINC000662167399 414695235 /nfs/dbraw/zinc/69/52/35/414695235.db2.gz CIVDNAJVNFTUJZ-CYBMUJFWSA-N 0 1 278.352 0.790 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)Nc1ccnn1C(C)C ZINC000662166282 414695309 /nfs/dbraw/zinc/69/53/09/414695309.db2.gz YPLPEUVVZPXVIQ-WDEREUQCSA-N 0 1 266.345 0.928 20 30 CCEDMN C=CC[C@@H]1CCCC[C@H]1NC(=O)CNC(=O)CN ZINC000662600243 414731550 /nfs/dbraw/zinc/73/15/50/414731550.db2.gz FHAAZRCJSBXDAX-GHMZBOCLSA-N 0 1 253.346 0.312 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000370101128 225038715 /nfs/dbraw/zinc/03/87/15/225038715.db2.gz JGWLRAHIJRCPLK-UHFFFAOYSA-N 0 1 283.291 0.572 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2(CC#N)CC2)[C@H](C)C1 ZINC000355873212 228030358 /nfs/dbraw/zinc/03/03/58/228030358.db2.gz LVAQNDVUHLMQBL-OLZOCXBDSA-N 0 1 294.399 0.777 20 30 CCEDMN O=C(NCCn1cncn1)N1CCO[C@@H](C2CC2)C1 ZINC000330153318 228051557 /nfs/dbraw/zinc/05/15/57/228051557.db2.gz XCYFVXDHDXWBQJ-LLVKDONJSA-N 0 1 265.317 0.303 20 30 CCEDMN Cc1nnsc1CNC([O-])=[NH+][C@@H]1CCc2ncnn2C1 ZINC000330169118 228055078 /nfs/dbraw/zinc/05/50/78/228055078.db2.gz BFGBLIDZRIMZFC-MRVPVSSYSA-N 0 1 293.356 0.457 20 30 CCEDMN CCOC(=O)c1cc(NC(=O)c2cnn(C)c2C#N)n[nH]1 ZINC000527252102 420397820 /nfs/dbraw/zinc/39/78/20/420397820.db2.gz ZBYRPOQYEYOBOR-UHFFFAOYSA-N 0 1 288.267 0.444 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)N1CCN(C2CCC2)CC1 ZINC000329397931 545022301 /nfs/dbraw/zinc/02/23/01/545022301.db2.gz WTLNXZYCJXIAOZ-CYBMUJFWSA-N 0 1 283.372 0.486 20 30 CCEDMN O=C(NCCn1cccn1)N1C[C@H]2CC[C@@H](C1)O2 ZINC000329797753 545026256 /nfs/dbraw/zinc/02/62/56/545026256.db2.gz FVUUFYWOGARDPL-PHIMTYICSA-N 0 1 250.302 0.660 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)c1cc(C(N)=O)cn1C ZINC000490873319 261233513 /nfs/dbraw/zinc/23/35/13/261233513.db2.gz IKHLTKISKAYCFZ-GFCCVEGCSA-N 0 1 289.335 0.378 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H]2CCC[C@@H]2O)CC1 ZINC000490899325 261240984 /nfs/dbraw/zinc/24/09/84/261240984.db2.gz OHJDSQBQZMWJLG-GJZGRUSLSA-N 0 1 278.396 0.951 20 30 CCEDMN CC[C@@](C)(NCC(=O)N[C@@](C)(C#N)C1CC1)C(N)=O ZINC000177137671 261334748 /nfs/dbraw/zinc/33/47/48/261334748.db2.gz DEBWSOVYKWQRFN-OLZOCXBDSA-N 0 1 266.345 0.038 20 30 CCEDMN CCN1CCCC[C@H]1CNS(=O)(=O)N(C)CCC#N ZINC000111352735 262259936 /nfs/dbraw/zinc/25/99/36/262259936.db2.gz NROWAPAMSPRCJV-LBPRGKRZSA-N 0 1 288.417 0.541 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCC[C@@H]1CCO)C1CC1 ZINC000311565851 262339295 /nfs/dbraw/zinc/33/92/95/262339295.db2.gz DQZBWEOGXCDWGA-OCCSQVGLSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCC[C@H]1CCO)C1CC1 ZINC000311565850 262339348 /nfs/dbraw/zinc/33/93/48/262339348.db2.gz DQZBWEOGXCDWGA-JSGCOSHPSA-N 0 1 265.357 0.642 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(CC(=O)N(C)C)CC2)C1=O ZINC000337208400 262438884 /nfs/dbraw/zinc/43/88/84/262438884.db2.gz QMXGQMFBJVRPHT-AWEZNQCLSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CCO[C@H]2CCN(CC)C[C@H]21 ZINC000339015503 262583963 /nfs/dbraw/zinc/58/39/63/262583963.db2.gz SBRZXZJAAPQCFB-OLZOCXBDSA-N 0 1 288.413 0.687 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1CNCCCC#N ZINC000273049027 263011722 /nfs/dbraw/zinc/01/17/22/263011722.db2.gz JZZOJFBONQSSKJ-UHFFFAOYSA-N 0 1 277.372 0.959 20 30 CCEDMN Cc1cc(NC(=O)N2CC(N(C)C)C2)nn1CCC#N ZINC000273384540 263016155 /nfs/dbraw/zinc/01/61/55/263016155.db2.gz CNILCOLKQFGOOJ-UHFFFAOYSA-N 0 1 276.344 0.883 20 30 CCEDMN CC[C@H](C#N)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275454620 263033474 /nfs/dbraw/zinc/03/34/74/263033474.db2.gz GWHLOPZNJOTQQX-SECBINFHSA-N 0 1 258.285 0.842 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000281831007 263072164 /nfs/dbraw/zinc/07/21/64/263072164.db2.gz VLYQMZJEDCFEEB-ONGXEEELSA-N 0 1 297.384 0.713 20 30 CCEDMN C=C(C)CN1CC(C(=O)NC(CC)(CC)C(N)=O)C1 ZINC000353201174 263099412 /nfs/dbraw/zinc/09/94/12/263099412.db2.gz LWGXUGMHMLNTCA-UHFFFAOYSA-N 0 1 267.373 0.655 20 30 CCEDMN CCO[C@@H]1C[C@H]([N@@H+](C)CC(=O)NCC#N)C1(C)C ZINC000120590049 263287741 /nfs/dbraw/zinc/28/77/41/263287741.db2.gz TVAWYWNJQGAKCZ-WDEREUQCSA-N 0 1 253.346 0.762 20 30 CCEDMN CCO[C@@H]1C[C@H](N(C)CC(=O)NCC#N)C1(C)C ZINC000120590049 263287742 /nfs/dbraw/zinc/28/77/42/263287742.db2.gz TVAWYWNJQGAKCZ-WDEREUQCSA-N 0 1 253.346 0.762 20 30 CCEDMN C=CCn1c(=O)[nH]nc1SCC(=O)c1cnccn1 ZINC000340698100 263299110 /nfs/dbraw/zinc/29/91/10/263299110.db2.gz YJOJLHIWNWSAPM-UHFFFAOYSA-N 0 1 277.309 0.935 20 30 CCEDMN COCCN1CC[C@H](NC(=O)CSCC#N)C1 ZINC000340977428 263316955 /nfs/dbraw/zinc/31/69/55/263316955.db2.gz LVNTWTRKTQIIKO-JTQLQIEISA-N 0 1 257.359 0.080 20 30 CCEDMN C=CCOCCCN1CCOC[C@H]1c1nnc[nH]1 ZINC000341966337 263371682 /nfs/dbraw/zinc/37/16/82/263371682.db2.gz KOASSPZOZPPISW-NSHDSACASA-N 0 1 252.318 0.771 20 30 CCEDMN CC#CCCCC(=O)NC[C@H]1CN(C)CCN1C ZINC000342035844 263385774 /nfs/dbraw/zinc/38/57/74/263385774.db2.gz FGISZCFVMZLQDA-ZDUSSCGKSA-N 0 1 251.374 0.542 20 30 CCEDMN COC[C@@H]1CCCN(C(=O)NCCn2cncn2)C1 ZINC000330749971 264029414 /nfs/dbraw/zinc/02/94/14/264029414.db2.gz AICITBVENSMOCK-LLVKDONJSA-N 0 1 267.333 0.551 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](C(C)(C)C)[C@H](O)C1 ZINC000330872291 264059428 /nfs/dbraw/zinc/05/94/28/264059428.db2.gz USPZHNOPPUTJFZ-NWDGAFQWSA-N 0 1 297.399 0.932 20 30 CCEDMN CC[C@H]1CN(C(=O)CCCC#N)CCN1C[C@@H](C)O ZINC000330898507 264079252 /nfs/dbraw/zinc/07/92/52/264079252.db2.gz PEXIAJTZGCZBAB-OLZOCXBDSA-N 0 1 267.373 0.984 20 30 CCEDMN CNC(=O)N[C@H]1CCN(CCCc2nc(C)no2)C1 ZINC000330963468 264090004 /nfs/dbraw/zinc/09/00/04/264090004.db2.gz ZJVJXLHQQYWNEY-JTQLQIEISA-N 0 1 267.333 0.518 20 30 CCEDMN CCOC(=O)[C@@](C)(O)CN1CCC(C)(C#N)CC1 ZINC000331078801 264116116 /nfs/dbraw/zinc/11/61/16/264116116.db2.gz XVTIRUJEOAXMBE-ZDUSSCGKSA-N 0 1 254.330 0.926 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N2CCO[C@H](CO)C2)n[nH]1 ZINC000331122359 264130731 /nfs/dbraw/zinc/13/07/31/264130731.db2.gz BSWZBPVODDAJKQ-CABZTGNLSA-N 0 1 282.344 0.256 20 30 CCEDMN CCC[C@](C)(CO)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000331287267 264172674 /nfs/dbraw/zinc/17/26/74/264172674.db2.gz HOKCCGHAJQEDMI-TZMCWYRMSA-N 0 1 286.420 0.287 20 30 CCEDMN CN(C)C[C@@H]1CCCN1S(=O)(=O)CCCC#N ZINC000331251780 264181591 /nfs/dbraw/zinc/18/15/91/264181591.db2.gz TZKFVOJJYPXBJE-NSHDSACASA-N 0 1 259.375 0.646 20 30 CCEDMN C[C@H](CCCO)[NH+]=C([O-])N[C@H]1CCc2ncnn2C1 ZINC000331323250 264189531 /nfs/dbraw/zinc/18/95/31/264189531.db2.gz DAQTVVJDNNHMPZ-ZJUUUORDSA-N 0 1 267.333 0.258 20 30 CCEDMN C[C@H](CCCO)NC(=O)N[C@H]1CCc2ncnn2C1 ZINC000331323250 264189533 /nfs/dbraw/zinc/18/95/33/264189533.db2.gz DAQTVVJDNNHMPZ-ZJUUUORDSA-N 0 1 267.333 0.258 20 30 CCEDMN C[C@H]1C[C@H](NS(=O)(=O)CCCC#N)CN1C1CC1 ZINC000126166245 264210863 /nfs/dbraw/zinc/21/08/63/264210863.db2.gz NTXJIDILLRUOCB-QWRGUYRKSA-N 0 1 271.386 0.835 20 30 CCEDMN C=CCNC(=O)CN[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC000180031280 264390087 /nfs/dbraw/zinc/39/00/87/264390087.db2.gz FPLBBHVMPYDHIG-WDEREUQCSA-N 0 1 274.386 0.234 20 30 CCEDMN C=CCn1c(SCc2n[nH]c(CC)n2)n[nH]c1=O ZINC000181768558 264474315 /nfs/dbraw/zinc/47/43/15/264474315.db2.gz SVOJEILZBOUOKD-UHFFFAOYSA-N 0 1 266.330 0.730 20 30 CCEDMN CCCC[C@@H](NC(N)=O)C(=O)NC1(C#N)CCN(C)CC1 ZINC000519305267 265389023 /nfs/dbraw/zinc/38/90/23/265389023.db2.gz KOGYBELAVLTYHJ-LLVKDONJSA-N 0 1 295.387 0.318 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000356860867 266196192 /nfs/dbraw/zinc/19/61/92/266196192.db2.gz YHEYFNPTGCZBCJ-NSHDSACASA-N 0 1 258.281 0.748 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000357452994 266299311 /nfs/dbraw/zinc/29/93/11/266299311.db2.gz MDHUNMSQDSORKN-MRVPVSSYSA-N 0 1 258.347 0.924 20 30 CCEDMN CC(C)CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)N(C)CC#N ZINC000362698146 267036605 /nfs/dbraw/zinc/03/66/05/267036605.db2.gz NUBSLYUCCJGIMV-GFCCVEGCSA-N 0 1 291.355 0.465 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC([C@H]2CCOC2)CC1 ZINC000368284924 267159364 /nfs/dbraw/zinc/15/93/64/267159364.db2.gz NJZIKMSVPULOSC-ZDUSSCGKSA-N 0 1 295.383 0.747 20 30 CCEDMN C#CCCCC(=O)N1CCCN(CCOC)CC1 ZINC000368904583 267212007 /nfs/dbraw/zinc/21/20/07/267212007.db2.gz LAOQPXIHMIOKLM-UHFFFAOYSA-N 0 1 252.358 0.971 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N1CCNCC1 ZINC000418136446 267367530 /nfs/dbraw/zinc/36/75/30/267367530.db2.gz QOAXYCXMSKXAOR-RYUDHWBXSA-N 0 1 253.346 0.582 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(CC(=O)NC(C)C)CC1 ZINC000121301851 267623265 /nfs/dbraw/zinc/62/32/65/267623265.db2.gz GYOKFRQSTYUJGI-CQSZACIVSA-N 0 1 283.416 0.456 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N=c1[nH]n(C(C)C)cc1C#N ZINC000527345742 269558008 /nfs/dbraw/zinc/55/80/08/269558008.db2.gz FECRQAUVNMVAGW-UHFFFAOYSA-N 0 1 275.312 0.340 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1ncccc1C#N ZINC000418994475 288180071 /nfs/dbraw/zinc/18/00/71/288180071.db2.gz BUEFDCRBPZSPGN-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1S(=O)(=O)c1cccc(C#N)c1 ZINC000086468785 288328849 /nfs/dbraw/zinc/32/88/49/288328849.db2.gz ZIDFSWJTBBLDRD-WDEREUQCSA-N 0 1 279.365 0.929 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCO[C@@H]2CCN(C)C[C@H]21 ZINC000332672085 288383987 /nfs/dbraw/zinc/38/39/87/288383987.db2.gz INSSCYRKRLKIPT-YRGRVCCFSA-N 0 1 265.357 0.858 20 30 CCEDMN O=C(N[C@@H]1CCc2ncnn2C1)N1C[C@H]2CC[C@@H](C1)O2 ZINC000329799441 295384675 /nfs/dbraw/zinc/38/46/75/295384675.db2.gz HLKMFJYQURNCEY-MXWKQRLJSA-N 0 1 277.328 0.370 20 30 CCEDMN O=C1CCN(C([O-])=[NH+][C@@H]2CCc3cn[nH]c3C2)CCN1 ZINC000329830660 295389135 /nfs/dbraw/zinc/38/91/35/295389135.db2.gz FDADCIJIGRLEPA-SNVBAGLBSA-N 0 1 277.328 0.843 20 30 CCEDMN CCNC(=O)[C@@H](NCC(=O)N[C@@](C)(C#N)C(C)C)C(C)C ZINC000339325177 328006316 /nfs/dbraw/zinc/00/63/16/328006316.db2.gz IDRDEKDTVTWEPX-ZFWWWQNUSA-N 0 1 296.415 0.791 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCN(CC(C)(C)O)CC1 ZINC000171152802 329074881 /nfs/dbraw/zinc/07/48/81/329074881.db2.gz TVQQDWQJLIWMJX-ZDUSSCGKSA-N 0 1 296.415 0.135 20 30 CCEDMN CCc1[nH]nc(C(=O)N(CC)CC#N)c1[N+](=O)[O-] ZINC000277740767 328222248 /nfs/dbraw/zinc/22/22/48/328222248.db2.gz PQSRLSSXZJVXPC-UHFFFAOYSA-N 0 1 251.246 0.866 20 30 CCEDMN CC(C)N(CCO)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000049825563 328379042 /nfs/dbraw/zinc/37/90/42/328379042.db2.gz MFYJPMOEMUYXCD-ZDUSSCGKSA-N 0 1 255.362 0.744 20 30 CCEDMN COC[C@@H](O)CN1CCC(C#N)(c2ccccn2)CC1 ZINC000558627880 327111147 /nfs/dbraw/zinc/11/11/47/327111147.db2.gz FZYZQVCIZQOALH-ZDUSSCGKSA-N 0 1 275.352 0.946 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CCC[C@@H]2CCC[NH2+]2)o1 ZINC000574413910 327331446 /nfs/dbraw/zinc/33/14/46/327331446.db2.gz LNXWUPGFLCMKDM-JTQLQIEISA-N 0 1 283.353 0.962 20 30 CCEDMN N#CCC1CCN(CC(=O)N2CCOCC2)CC1 ZINC000260477482 327527905 /nfs/dbraw/zinc/52/79/05/327527905.db2.gz JXYZXBIDGHDRRM-UHFFFAOYSA-N 0 1 251.330 0.471 20 30 CCEDMN Cn1cc(C(C)(C)NCC(=O)NC2(C#N)CCC2)cn1 ZINC000527377047 328687525 /nfs/dbraw/zinc/68/75/25/328687525.db2.gz SHKKFWWTEVSPMJ-UHFFFAOYSA-N 0 1 275.356 0.807 20 30 CCEDMN C=CCOCCNC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000368428339 328938541 /nfs/dbraw/zinc/93/85/41/328938541.db2.gz FAEKCOFRGKAXSW-KBPBESRZSA-N 0 1 297.399 0.430 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(CC(=O)N[C@@H](C)CC)CC1 ZINC000122300834 329001013 /nfs/dbraw/zinc/00/10/13/329001013.db2.gz GRCKPFBUWHNFDG-GJZGRUSLSA-N 0 1 297.443 0.846 20 30 CCEDMN C#Cc1ccc(CN2CCC(S(N)(=O)=O)CC2)cc1 ZINC000377629252 329021982 /nfs/dbraw/zinc/02/19/82/329021982.db2.gz REKGWNULZLVSAJ-UHFFFAOYSA-N 0 1 278.377 0.921 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@](CO)(C(C)C)C1 ZINC000377669592 329023907 /nfs/dbraw/zinc/02/39/07/329023907.db2.gz GGWOWWWLIKNYHS-AWEZNQCLSA-N 0 1 283.372 0.339 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1c1cncc(C#N)n1 ZINC000582539751 329108617 /nfs/dbraw/zinc/10/86/17/329108617.db2.gz ULSGYRBQRSGUGD-NSHDSACASA-N 0 1 275.356 0.630 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC(C)(C)O)C[C@H]1C ZINC000189456567 329382588 /nfs/dbraw/zinc/38/25/88/329382588.db2.gz YNLOHVKYZYZXIX-GFCCVEGCSA-N 0 1 269.389 0.066 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000419134161 329709251 /nfs/dbraw/zinc/70/92/51/329709251.db2.gz HWEBLOIAPVCTLG-WDEREUQCSA-N 0 1 253.346 0.278 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000419156505 329709474 /nfs/dbraw/zinc/70/94/74/329709474.db2.gz VINOROLUVBMYPF-PHIMTYICSA-N 0 1 285.413 0.231 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)C(=O)NCC(C)(C)CC#N)CCCN1C ZINC000422424773 330146191 /nfs/dbraw/zinc/14/61/91/330146191.db2.gz KUWMYMBTLSMPHJ-NEPJUHHUSA-N 0 1 294.399 0.641 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000422990448 330162897 /nfs/dbraw/zinc/16/28/97/330162897.db2.gz CAIDOCHYFFLHPA-NSHDSACASA-N 0 1 251.330 0.007 20 30 CCEDMN C=CCN(Cc1cccs1)C(=O)C(=O)N1CCNCC1 ZINC000423014597 330165416 /nfs/dbraw/zinc/16/54/16/330165416.db2.gz IYDARNORMIVTRO-UHFFFAOYSA-N 0 1 293.392 0.695 20 30 CCEDMN C=CCN(C(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2)C1CC1 ZINC000423550160 330211683 /nfs/dbraw/zinc/21/16/83/330211683.db2.gz FDFMQYWMCGAVDH-TXEJJXNPSA-N 0 1 277.368 0.516 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1C[C@@H](C)NC[C@@H]1C ZINC000423623217 330212951 /nfs/dbraw/zinc/21/29/51/330212951.db2.gz BOJMFBGJMWLOHT-NEPJUHHUSA-N 0 1 267.373 0.620 20 30 CCEDMN C[C@@H](O)[C@H]1CCCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000527135644 330225590 /nfs/dbraw/zinc/22/55/90/330225590.db2.gz COWLDRPFLMQTTK-NEPJUHHUSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@@H]1OCC[C@@]12CN(C[C@@H](O)CC1(C#N)CC1)CCO2 ZINC000528494710 330273813 /nfs/dbraw/zinc/27/38/13/330273813.db2.gz XMRWXLGHRDZOAT-KCQAQPDRSA-N 0 1 280.368 0.921 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCC[C@@H](C)[C@@H]2C(=O)[O-])C1=O ZINC000424270514 330333172 /nfs/dbraw/zinc/33/31/72/330333172.db2.gz NRJWNIYUUVWIOK-GRYCIOLGSA-N 0 1 266.341 0.958 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCSCC1 ZINC000089985307 330389150 /nfs/dbraw/zinc/38/91/50/330389150.db2.gz RZVQXJQUYGHRJZ-UHFFFAOYSA-N 0 1 257.359 0.437 20 30 CCEDMN C=CCNC(=O)c1ccc(NC(=O)[C@@H](N)COC)cc1 ZINC000159277548 330845802 /nfs/dbraw/zinc/84/58/02/330845802.db2.gz JQVMIVRBPYTVRE-LBPRGKRZSA-N 0 1 277.324 0.515 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@]2(CCOC2)C1 ZINC000451899723 331046675 /nfs/dbraw/zinc/04/66/75/331046675.db2.gz ISDDXSMCZNYMKF-CQSZACIVSA-N 0 1 281.356 0.501 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@](C)(C(N)=O)C(C)C ZINC000459487578 331284529 /nfs/dbraw/zinc/28/45/29/331284529.db2.gz SUZHAVFXQAFSAQ-FZMZJTMJSA-N 0 1 282.388 0.484 20 30 CCEDMN CCN1CCCN(C(=O)C(=O)N(C)C[C@@H](C)C#N)CC1 ZINC000459924763 331303514 /nfs/dbraw/zinc/30/35/14/331303514.db2.gz WARYQAYUCWOXFH-LBPRGKRZSA-N 0 1 280.372 0.159 20 30 CCEDMN C#CCN1CCC(C(=O)OCCn2cccn2)CC1 ZINC000490671357 332101892 /nfs/dbraw/zinc/10/18/92/332101892.db2.gz RRNKGGLYNOFJMZ-UHFFFAOYSA-N 0 1 261.325 0.772 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)C1(C(=O)OCC)CC1 ZINC000490917430 332128853 /nfs/dbraw/zinc/12/88/53/332128853.db2.gz XSOQIIJPECLSFZ-GFCCVEGCSA-N 0 1 279.336 0.971 20 30 CCEDMN C#CCN(CC)C(=O)C(=O)N=c1[nH]n(C(C)C)cc1C#N ZINC000490916010 332128965 /nfs/dbraw/zinc/12/89/65/332128965.db2.gz RDPPEWXYOMJLLL-UHFFFAOYSA-N 0 1 287.323 0.178 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](C)C[C@H]2C(N)=O)CC1 ZINC000491047645 332143105 /nfs/dbraw/zinc/14/31/05/332143105.db2.gz VAUXWSLFPQTELN-JSGCOSHPSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1C[C@H](C)CC(=O)N1 ZINC000491125010 332153372 /nfs/dbraw/zinc/15/33/72/332153372.db2.gz AMDZZLNRZNXRPD-XQQFMLRXSA-N 0 1 278.352 0.542 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@@H](C)[C@H](OC)C2)C1=O ZINC000491325253 332189608 /nfs/dbraw/zinc/18/96/08/332189608.db2.gz AXUSABPTGNQUPO-GRYCIOLGSA-N 0 1 264.325 0.104 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC(CC(=O)OC)CC2)C1=O ZINC000491460940 332215439 /nfs/dbraw/zinc/21/54/39/332215439.db2.gz BNHTVMLDXJAXET-GFCCVEGCSA-N 0 1 292.335 0.022 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)C[C@@](C)(O)c1ccccc1 ZINC000491483522 332219447 /nfs/dbraw/zinc/21/94/47/332219447.db2.gz CCRHRDURTIASHN-CZUORRHYSA-N 0 1 274.364 0.964 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)CCSc1nnc(C)[nH]1 ZINC000491538308 332232987 /nfs/dbraw/zinc/23/29/87/332232987.db2.gz XYNQXVBZBVYXAH-JTQLQIEISA-N 0 1 280.353 0.456 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C)C(C)C ZINC000491661883 332271613 /nfs/dbraw/zinc/27/16/13/332271613.db2.gz BGEYHNLIYFDSDQ-MCIONIFRSA-N 0 1 281.400 0.741 20 30 CCEDMN C#CCN(CC#CC)C(=O)CN1CCN(CC)CC1 ZINC000491696986 332292357 /nfs/dbraw/zinc/29/23/57/332292357.db2.gz QMSXTWXLJNUGJS-UHFFFAOYSA-N 0 1 261.369 0.109 20 30 CCEDMN C#C[C@H](CCC)NC(=O)CN1CCN(CC)CC1 ZINC000491745650 332323298 /nfs/dbraw/zinc/32/32/98/332323298.db2.gz NKVMSYWIRONZHW-CYBMUJFWSA-N 0 1 251.374 0.542 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC000491766359 332334646 /nfs/dbraw/zinc/33/46/46/332334646.db2.gz GKMKNYZWUAARDS-GFCCVEGCSA-N 0 1 284.319 0.889 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)C[C@H]1CCCC(=O)N1 ZINC000491783908 332347311 /nfs/dbraw/zinc/34/73/11/332347311.db2.gz PUMDDXKGYIRXAG-CHWSQXEVSA-N 0 1 278.352 0.686 20 30 CCEDMN CC[C@@H](CC#N)NC[C@@H](O)Cn1cc([N+](=O)[O-])cn1 ZINC000568659822 334835508 /nfs/dbraw/zinc/83/55/08/334835508.db2.gz KOMUHQGDFUCGMA-GXSJLCMTSA-N 0 1 267.289 0.434 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCC[C@H](CC(N)=O)C1 ZINC000175236360 333018290 /nfs/dbraw/zinc/01/82/90/333018290.db2.gz OKKXJQDYPBUFCY-DOMZBBRYSA-N 0 1 294.399 0.628 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@H](C(=O)NC)C(C)C ZINC000183654933 333121598 /nfs/dbraw/zinc/12/15/98/333121598.db2.gz SQKUDUJIVBPWJP-ZDUSSCGKSA-N 0 1 269.389 0.771 20 30 CCEDMN C#CCN1CCC(C(=O)NOCCOCC)CC1 ZINC000186889456 333166353 /nfs/dbraw/zinc/16/63/53/333166353.db2.gz WJVGXSAYAJIUNR-UHFFFAOYSA-N 0 1 254.330 0.416 20 30 CCEDMN C[C@H]1CCN(CCNS(=O)(=O)N(C)CCC#N)C1 ZINC000524790619 333388123 /nfs/dbraw/zinc/38/81/23/333388123.db2.gz CKRMOGVJOFJKEW-NSHDSACASA-N 0 1 274.390 0.008 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN(CC(C)(C)O)CC1 ZINC000070939922 333839768 /nfs/dbraw/zinc/83/97/68/333839768.db2.gz LFLHKOKODUSHMT-UHFFFAOYSA-N 0 1 295.427 0.576 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@@H](CO)C(C)(C)C ZINC000134419262 334090368 /nfs/dbraw/zinc/09/03/68/334090368.db2.gz ZJABWXLGWQXQLE-JTQLQIEISA-N 0 1 271.361 0.337 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N(C)CC(C)(CO)CO ZINC000135868087 334140407 /nfs/dbraw/zinc/14/04/07/334140407.db2.gz QWRTVOXNPNLWFX-CYBMUJFWSA-N 0 1 286.416 0.722 20 30 CCEDMN C[C@@H]1C[C@@H](C)[C@@H](C(=O)NC2(C#N)CCN(C)CC2)O1 ZINC000548762469 334173501 /nfs/dbraw/zinc/17/35/01/334173501.db2.gz YOVIZONILNXCGW-UTUOFQBUSA-N 0 1 265.357 0.904 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)C[C@@H](C)C(=O)NC ZINC000081554927 334195636 /nfs/dbraw/zinc/19/56/36/334195636.db2.gz VGRKZVUKUWIDEC-VXGBXAGGSA-N 0 1 282.388 0.308 20 30 CCEDMN C#CCNC(=O)[C@@H](C)ON=C(N)CN1CCCC[C@@H]1C ZINC000246979021 334273046 /nfs/dbraw/zinc/27/30/46/334273046.db2.gz JOITVTDQHAYAQG-NWDGAFQWSA-N 0 1 280.372 0.288 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC[C@](C)(O)C1 ZINC000245960499 334225821 /nfs/dbraw/zinc/22/58/21/334225821.db2.gz USKXGHBDMZQBKP-AAEUAGOBSA-N 0 1 253.346 0.594 20 30 CCEDMN COCCO[C@@H]1CCCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000245993154 334226840 /nfs/dbraw/zinc/22/68/40/334226840.db2.gz XGBMWSWIIJAULF-ZIAGYGMSSA-N 0 1 297.399 0.874 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)C[C@H]1CCC[C@H]1O ZINC000246517326 334262032 /nfs/dbraw/zinc/26/20/32/334262032.db2.gz KQROTQYTBQMMJQ-ZIAGYGMSSA-N 0 1 292.383 0.735 20 30 CCEDMN CNc1ccc(C(=O)NC2(C#N)CCN(C)CC2)cn1 ZINC000552110146 334290612 /nfs/dbraw/zinc/29/06/12/334290612.db2.gz ILFLZGLUNPLQAR-UHFFFAOYSA-N 0 1 273.340 0.263 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000249084605 334326793 /nfs/dbraw/zinc/32/67/93/334326793.db2.gz SDNHFRSULQQSJF-VXGBXAGGSA-N 0 1 282.388 0.633 20 30 CCEDMN COCCO[C@@H]1CCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000249331173 334332183 /nfs/dbraw/zinc/33/21/83/334332183.db2.gz HQHALLQZMJQOAP-CHWSQXEVSA-N 0 1 283.372 0.484 20 30 CCEDMN COC[C@@H]1CN(CCC(=O)N(C)CCC#N)C[C@H](C)O1 ZINC000252060323 334373505 /nfs/dbraw/zinc/37/35/05/334373505.db2.gz ZTTCZIGWFGURCF-STQMWFEESA-N 0 1 283.372 0.484 20 30 CCEDMN COC(=O)C[C@H](N)C(=O)NCc1ccc(CC#N)cc1 ZINC000567168397 334686741 /nfs/dbraw/zinc/68/67/41/334686741.db2.gz KROILTNKASBKMS-LBPRGKRZSA-N 0 1 275.308 0.259 20 30 CCEDMN C[C@H](NCC(=O)NCC#N)c1cccc([N+](=O)[O-])c1 ZINC000180437470 335583096 /nfs/dbraw/zinc/58/30/96/335583096.db2.gz XJXMYKNZBXNHBR-VIFPVBQESA-N 0 1 262.269 0.885 20 30 CCEDMN C[C@@H](O)[C@H]1CCCCN1CC(=O)NC1(C#N)CCC1 ZINC000347028884 533834062 /nfs/dbraw/zinc/83/40/62/533834062.db2.gz HNISCHLMQPCLIY-VXGBXAGGSA-N 0 1 265.357 0.784 20 30 CCEDMN CN1CCC[C@@H]1CNC(=O)C(=O)Nc1ccc(C#N)cn1 ZINC000340038232 523824644 /nfs/dbraw/zinc/82/46/44/523824644.db2.gz RWCASHCDBFUVRA-LLVKDONJSA-N 0 1 287.323 0.102 20 30 CCEDMN CC(=O)N(C)c1ccc(C(=O)N=c2[nH]n(C)cc2C#N)cc1 ZINC000362752169 534741632 /nfs/dbraw/zinc/74/16/32/534741632.db2.gz LNLGLWBFSJFBGH-UHFFFAOYSA-N 0 1 297.318 0.949 20 30 CCEDMN CNC(=O)[C@@H]1CN(C(=O)[C@@H]2CCCN2C2CC2)CCO1 ZINC000330218218 526405509 /nfs/dbraw/zinc/40/55/09/526405509.db2.gz JCUSZZFDKWLKAX-RYUDHWBXSA-N 0 1 281.356 0.427 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@@H](C(N)=O)C(C)(C)C ZINC000109332153 526526187 /nfs/dbraw/zinc/52/61/87/526526187.db2.gz BQYGVAWLASUPIC-LBPRGKRZSA-N 0 1 269.389 0.901 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CCn1cccn1 ZINC000111282875 526607056 /nfs/dbraw/zinc/60/70/56/526607056.db2.gz YBADRROVKGSDNL-NSHDSACASA-N 0 1 279.344 0.215 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@H](c2cnn(C)c2)C1 ZINC000116316177 526624913 /nfs/dbraw/zinc/62/49/13/526624913.db2.gz HUYDMSJBMJHUFU-NEPJUHHUSA-N 0 1 262.357 0.900 20 30 CCEDMN C=C1CCN(C[C@H]2CCN(S(C)(=O)=O)C2)CC1 ZINC000335927782 526904601 /nfs/dbraw/zinc/90/46/01/526904601.db2.gz PXHZEBFHYFJPAB-GFCCVEGCSA-N 0 1 258.387 0.920 20 30 CCEDMN C#CCOc1ccc(CN[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000113315124 527106587 /nfs/dbraw/zinc/10/65/87/527106587.db2.gz IHUYOFMDDNFZCI-CYBMUJFWSA-N 0 1 279.361 0.975 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@@H]1CCCN(C)[C@H]1C ZINC000347756079 527303969 /nfs/dbraw/zinc/30/39/69/527303969.db2.gz FPCQNEFATAXWBQ-NWDGAFQWSA-N 0 1 267.373 0.620 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000667606645 545151007 /nfs/dbraw/zinc/15/10/07/545151007.db2.gz JOTCMAYCIOBRSA-AWEZNQCLSA-N 0 1 282.384 0.494 20 30 CCEDMN C=C[C@@H](C)NC(=O)N=c1[nH]n(C)cc1C(=O)OCC ZINC000345128933 527404880 /nfs/dbraw/zinc/40/48/80/527404880.db2.gz HSKHGWNXNKDKGX-MRVPVSSYSA-N 0 1 266.301 0.715 20 30 CCEDMN CC(=O)NC1CN(C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC000329056174 527541132 /nfs/dbraw/zinc/54/11/32/527541132.db2.gz SMZWDOJENKPIHI-MRVPVSSYSA-N 0 1 263.301 0.097 20 30 CCEDMN CC(=O)NC1CN(C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC000329056174 527541136 /nfs/dbraw/zinc/54/11/36/527541136.db2.gz SMZWDOJENKPIHI-MRVPVSSYSA-N 0 1 263.301 0.097 20 30 CCEDMN CC(=O)NC[C@@H]1CN(C(=O)[C@H]2CCCN2C2CC2)CCO1 ZINC000330229684 527542002 /nfs/dbraw/zinc/54/20/02/527542002.db2.gz QEWNBCNFBLEVIK-ZIAGYGMSSA-N 0 1 295.383 0.817 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@H]1CCCN(C)C1 ZINC000668330265 545173548 /nfs/dbraw/zinc/17/35/48/545173548.db2.gz OGHWTUOLFGYDFI-STQMWFEESA-N 0 1 267.373 0.668 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)NCc1cn[nH]c1C ZINC000344314279 528714227 /nfs/dbraw/zinc/71/42/27/528714227.db2.gz ZHHSICCDIWUDLE-SECBINFHSA-N 0 1 285.373 0.534 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC000671698848 545258077 /nfs/dbraw/zinc/25/80/77/545258077.db2.gz NRSFKENCDCHKKM-OLZOCXBDSA-N 0 1 290.429 0.527 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N(Cc2cc(C)[nH]n2)C1=O ZINC000672063177 545262084 /nfs/dbraw/zinc/26/20/84/545262084.db2.gz AHGYPZSTLMRVKI-JTQLQIEISA-N 0 1 264.285 0.341 20 30 CCEDMN CCN1CCC[C@H](NC(=O)N[C@@H]2CC[S@](=O)C2)C1 ZINC000330025001 529081941 /nfs/dbraw/zinc/08/19/41/529081941.db2.gz KEQMSJRJDMSZID-PISHQANHSA-N 0 1 273.402 0.495 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000352273587 529351330 /nfs/dbraw/zinc/35/13/30/529351330.db2.gz OTTFBWIZOAMCIS-TZMCWYRMSA-N 0 1 266.389 0.678 20 30 CCEDMN CCOCCNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000516342817 535624950 /nfs/dbraw/zinc/62/49/50/535624950.db2.gz DLACVQANRYHAMB-UHFFFAOYSA-N 0 1 283.353 0.353 20 30 CCEDMN COCC#CCN1CCC(O[C@H]2CCOC2)CC1 ZINC000677820841 545373967 /nfs/dbraw/zinc/37/39/67/545373967.db2.gz YXKFFUZBBVTFAV-AWEZNQCLSA-N 0 1 253.342 0.906 20 30 CCEDMN C=CCOCCNC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000679503000 545410792 /nfs/dbraw/zinc/41/07/92/545410792.db2.gz FMMZVZULWHFMCJ-AWEZNQCLSA-N 0 1 299.415 0.845 20 30 CCEDMN C=CCOCCNC(=O)CN(C)[C@@H]1CCSC1 ZINC000679586513 545412041 /nfs/dbraw/zinc/41/20/41/545412041.db2.gz QHPKWLIUMBUFHK-LLVKDONJSA-N 0 1 258.387 0.743 20 30 CCEDMN N#CC1(C(=O)N[C@@H](CO)CN2CCCCC2)CCC1 ZINC000331876882 581221988 /nfs/dbraw/zinc/22/19/88/581221988.db2.gz NAKXWDGXXXQZKB-GFCCVEGCSA-N 0 1 265.357 0.643 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1C=NN[C@@H]1CCOC1 ZINC000788454770 581111881 /nfs/dbraw/zinc/11/18/81/581111881.db2.gz CRESIWSZGWHWFR-GFCCVEGCSA-N 0 1 293.371 0.278 20 30 CCEDMN C[C@@H](NC(=O)[O-])[C@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC000739008193 581123685 /nfs/dbraw/zinc/12/36/85/581123685.db2.gz IJZGEYDNYYQWPI-NXEZZACHSA-N 0 1 268.317 0.137 20 30 CCEDMN C[C@@H](NC(=O)[O-])[C@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC000739008193 581123687 /nfs/dbraw/zinc/12/36/87/581123687.db2.gz IJZGEYDNYYQWPI-NXEZZACHSA-N 0 1 268.317 0.137 20 30 CCEDMN C#CCOCCNC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000784177487 581166493 /nfs/dbraw/zinc/16/64/93/581166493.db2.gz MUUOXAKVLGFYDZ-OKILXGFUSA-N 0 1 297.399 0.435 20 30 CCEDMN C#CCNCC(=O)NCCOc1ccccc1F ZINC000779327876 581181194 /nfs/dbraw/zinc/18/11/94/581181194.db2.gz NYJRVTAIQHMSPR-UHFFFAOYSA-N 0 1 250.273 0.544 20 30 CCEDMN Cc1nn(C)c(C=NNC2=NC[C@H](C)N2)c1Br ZINC000790296685 581183132 /nfs/dbraw/zinc/18/31/32/581183132.db2.gz BIJZBRQSBRBBSP-LURJTMIESA-N 0 1 299.176 0.762 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CN2CCOCC2=O)c1 ZINC000790841502 581200454 /nfs/dbraw/zinc/20/04/54/581200454.db2.gz RUGCZGUIBDULFU-UHFFFAOYSA-N 0 1 275.264 0.061 20 30 CCEDMN Cc1nn(C)c(Cl)c1C=NNC1=NC[C@@H](C)N1 ZINC000779799052 581206586 /nfs/dbraw/zinc/20/65/86/581206586.db2.gz IUXIKUSWQLRWTK-ZCFIWIBFSA-N 0 1 254.725 0.653 20 30 CCEDMN CN1CC2(C1)CCN(C(=O)C#Cc1ccc3c(c1)OCO3)C2 ZINC000791249103 581211324 /nfs/dbraw/zinc/21/13/24/581211324.db2.gz NCHJNWDXRZDETN-UHFFFAOYSA-N 0 1 298.342 0.931 20 30 CCEDMN CC(CCCC[NH+](C)C)N=Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000781122815 581259714 /nfs/dbraw/zinc/25/97/14/581259714.db2.gz SQCDFOXZOZQHHK-UHFFFAOYSA-N 0 1 291.359 0.952 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)C1CCC(OC)CC1 ZINC000781449284 581268698 /nfs/dbraw/zinc/26/86/98/581268698.db2.gz ZCRFXOIETUNWJY-MCIGGMRASA-N 0 1 282.340 0.663 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@H]1[C@@H]1CCCO1 ZINC000792923751 581276539 /nfs/dbraw/zinc/27/65/39/581276539.db2.gz GAYXUIKMUDGDIT-RYUDHWBXSA-N 0 1 251.330 0.660 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cc(Br)nn2C)N1 ZINC000782269647 581294644 /nfs/dbraw/zinc/29/46/44/581294644.db2.gz WXTIYEWQDCUMAY-ZCFIWIBFSA-N 0 1 285.149 0.454 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cc(Br)nn2C)N1 ZINC000782269640 581294754 /nfs/dbraw/zinc/29/47/54/581294754.db2.gz WXTIYEWQDCUMAY-LURJTMIESA-N 0 1 285.149 0.454 20 30 CCEDMN Cc1c(S(=O)(=O)Nc2cnn(CC#N)c2)cnn1C ZINC000793386339 581296546 /nfs/dbraw/zinc/29/65/46/581296546.db2.gz ZHJWTEDPOCSUTO-UHFFFAOYSA-N 0 1 280.313 0.249 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=S)NCCCN(C)C ZINC000782891105 581326104 /nfs/dbraw/zinc/32/61/04/581326104.db2.gz XEWBVYWYNZDLOE-UHFFFAOYSA-N 0 1 293.458 0.003 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)[C@H](C)NC(=O)[O-] ZINC000738459760 581333365 /nfs/dbraw/zinc/33/33/65/581333365.db2.gz BWISCARFBALNKG-VHSXEESVSA-N 0 1 254.286 0.283 20 30 CCEDMN C#CCCN1CCN(C(=O)[C@@H](C)CN2CCOCC2)CC1 ZINC000783645243 581354362 /nfs/dbraw/zinc/35/43/62/581354362.db2.gz FTFNATDEDGEYQW-HNNXBMFYSA-N 0 1 293.411 0.122 20 30 CCEDMN Cc1nn(-c2ccncc2)cc1C=NN1CN=NC1=O ZINC000783864683 581366478 /nfs/dbraw/zinc/36/64/78/581366478.db2.gz UMRBPPHTTZJDAW-UHFFFAOYSA-N 0 1 269.268 0.755 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCC2)CCN1C ZINC000784346867 581380944 /nfs/dbraw/zinc/38/09/44/581380944.db2.gz NJLUOKMBJUEXRT-LLVKDONJSA-N 0 1 250.346 0.185 20 30 CCEDMN Cc1onc(CC(=O)NOCCOC(C)C)c1C#N ZINC000795070134 581397199 /nfs/dbraw/zinc/39/71/99/581397199.db2.gz WMXWIFXDZACRSL-UHFFFAOYSA-N 0 1 267.285 0.870 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C[C@H]1CCC(=O)[C@@H]1C ZINC000796628348 581446732 /nfs/dbraw/zinc/44/67/32/581446732.db2.gz AEUJHRYEXSJWOW-IJLUTSLNSA-N 0 1 294.351 0.853 20 30 CCEDMN N#CCNC(=O)COC(=O)[C@@H]1CCCN1C1CCCC1 ZINC000786885125 581452302 /nfs/dbraw/zinc/45/23/02/581452302.db2.gz RTENQGBWLCTDBB-LBPRGKRZSA-N 0 1 279.340 0.576 20 30 CCEDMN C=CCOCC(=O)C(C#N)C(=O)NC1CCCC1 ZINC000729891130 581619290 /nfs/dbraw/zinc/61/92/90/581619290.db2.gz CPJLRRMPBOXDIH-LLVKDONJSA-N 0 1 250.298 0.957 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)C1(C(=O)NC)CCC1 ZINC000756545720 581670759 /nfs/dbraw/zinc/67/07/59/581670759.db2.gz UVHYEBDEBXJQNP-GFCCVEGCSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000736080262 581687771 /nfs/dbraw/zinc/68/77/71/581687771.db2.gz RMFMONLAZOTYGU-NEPJUHHUSA-N 0 1 279.340 0.343 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCCN(C(=O)[O-])C1 ZINC000736080262 581687774 /nfs/dbraw/zinc/68/77/74/581687774.db2.gz RMFMONLAZOTYGU-NEPJUHHUSA-N 0 1 279.340 0.343 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1)C1CC1 ZINC000736204139 581715300 /nfs/dbraw/zinc/71/53/00/581715300.db2.gz YYLRWVQFWPBHGM-QMTHXVAHSA-N 0 1 279.340 0.591 20 30 CCEDMN C#CCNC(=S)N1CCN(C[C@H]2CCOC2)CC1 ZINC000758241243 581731488 /nfs/dbraw/zinc/73/14/88/581731488.db2.gz UDVOPHZSYLMBJO-GFCCVEGCSA-N 0 1 267.398 0.148 20 30 CCEDMN CC[N@@H+]1CCC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)[C@@H](C)C1 ZINC000741464701 581767946 /nfs/dbraw/zinc/76/79/46/581767946.db2.gz SPSGHAYJSVHRCD-QMMMGPOBSA-N 0 1 289.343 0.562 20 30 CCEDMN CC[N@H+]1CCC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)[C@@H](C)C1 ZINC000741464701 581767947 /nfs/dbraw/zinc/76/79/47/581767947.db2.gz SPSGHAYJSVHRCD-QMMMGPOBSA-N 0 1 289.343 0.562 20 30 CCEDMN CS(=O)(=O)CCOCCNCc1ccc(C#N)o1 ZINC000742375367 581779955 /nfs/dbraw/zinc/77/99/55/581779955.db2.gz QMMRXGHMPBJVGQ-UHFFFAOYSA-N 0 1 272.326 0.302 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CN(C)C(=O)C1CC1 ZINC000759326371 581792725 /nfs/dbraw/zinc/79/27/25/581792725.db2.gz UVDPZICRHNPFHJ-ZDUSSCGKSA-N 0 1 278.352 0.496 20 30 CCEDMN N#Cc1ccc([C@H](O)CN2CCc3n[nH]nc3C2)cc1 ZINC000759519814 581802427 /nfs/dbraw/zinc/80/24/27/581802427.db2.gz RTKZUEDPDCBXQG-CQSZACIVSA-N 0 1 269.308 0.768 20 30 CCEDMN CCNC(=O)CCCC(=O)C(C#N)C(=O)NC(C)C ZINC000744033907 581830267 /nfs/dbraw/zinc/83/02/67/581830267.db2.gz WVEXSRLLYCQEKY-SNVBAGLBSA-N 0 1 267.329 0.526 20 30 CCEDMN Cc1n[nH]c([C@H]2CCCN(CC(=O)NCCC#N)C2)n1 ZINC000760958757 581881250 /nfs/dbraw/zinc/88/12/50/581881250.db2.gz ATPCUWYQGAOQOD-NSHDSACASA-N 0 1 276.344 0.322 20 30 CCEDMN Cc1nnc([C@H]2CCCN(CC(=O)NCCC#N)C2)[nH]1 ZINC000760958757 581881251 /nfs/dbraw/zinc/88/12/51/581881251.db2.gz ATPCUWYQGAOQOD-NSHDSACASA-N 0 1 276.344 0.322 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCN(C)[C@@H](C)C1 ZINC000761074060 581886149 /nfs/dbraw/zinc/88/61/49/581886149.db2.gz AKEROZLTAHKBOE-GXTWGEPZSA-N 0 1 266.389 0.677 20 30 CCEDMN CS(=O)(=O)c1cccc(Cl)c1C=NNC(=N)N ZINC000773188928 581914452 /nfs/dbraw/zinc/91/44/52/581914452.db2.gz GRKVRFCCQLLXPI-UHFFFAOYSA-N 0 1 274.733 0.560 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738600945 581939097 /nfs/dbraw/zinc/93/90/97/581939097.db2.gz KAYRIELJVAQIHD-RYUDHWBXSA-N 0 1 296.371 0.679 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCN(C)C1=O ZINC000774061150 581956131 /nfs/dbraw/zinc/95/61/31/581956131.db2.gz FWNIUBYLGVFDIQ-NEPJUHHUSA-N 0 1 264.325 0.106 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCc2nccn2C)o1 ZINC000737467225 581962060 /nfs/dbraw/zinc/96/20/60/581962060.db2.gz NPMNAAPORHKBRJ-UHFFFAOYSA-N 0 1 273.260 0.343 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@@H](NC(=O)[O-])C1 ZINC000737492785 581965724 /nfs/dbraw/zinc/96/57/24/581965724.db2.gz RCAFLOOAHCOTGE-NEPJUHHUSA-N 0 1 279.340 0.343 20 30 CCEDMN C=CCNC(=O)C[NH+]1CCC(N(C)C(=O)[O-])CC1 ZINC000737532683 581973640 /nfs/dbraw/zinc/97/36/40/581973640.db2.gz SWETYPSGJJSNCW-UHFFFAOYSA-N 0 1 255.318 0.363 20 30 CCEDMN C[C@@H](NS(C)(=O)=O)C(=O)Nc1cc(C#N)ccc1O ZINC000748409984 581974038 /nfs/dbraw/zinc/97/40/38/581974038.db2.gz BNQKNDHSVDBESB-SSDOTTSWSA-N 0 1 283.309 0.140 20 30 CCEDMN CCOC(=O)NCC(=O)Nc1cc(C#N)ccc1O ZINC000748409967 581974156 /nfs/dbraw/zinc/97/41/56/581974156.db2.gz BAFRXQBUNDIYJW-UHFFFAOYSA-N 0 1 263.253 0.948 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CN2CCCS2(=O)=O)c1 ZINC000748412519 581974680 /nfs/dbraw/zinc/97/46/80/581974680.db2.gz TYTZZTHNGGUKLT-UHFFFAOYSA-N 0 1 295.320 0.238 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC[C@@H](OCC)C2)CC1 ZINC000748819397 581988776 /nfs/dbraw/zinc/98/87/76/581988776.db2.gz GNAWPRQAQITXOK-OAHLLOKOSA-N 0 1 293.411 0.265 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(C[C@@H]3CCOC3)CC2)nc1 ZINC000749511817 582010554 /nfs/dbraw/zinc/01/05/54/582010554.db2.gz NXDHLKZMAXPREC-HNNXBMFYSA-N 0 1 299.374 0.857 20 30 CCEDMN C#C[C@H](C)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000775383840 582021861 /nfs/dbraw/zinc/02/18/61/582021861.db2.gz LQHIYQBCGRXSKY-VIFPVBQESA-N 0 1 296.348 0.394 20 30 CCEDMN Cc1nn2c([nH]c3ccccc3c2=O)c1C=NN[C@@H](C)CO ZINC000749820184 582023801 /nfs/dbraw/zinc/02/38/01/582023801.db2.gz BNKQZVYNTRBSHC-VIFPVBQESA-N 0 1 299.334 0.789 20 30 CCEDMN C#CCNC(=O)CN[C@H](CCCO)c1ccccc1 ZINC000775459656 582025276 /nfs/dbraw/zinc/02/52/76/582025276.db2.gz FINTVAJXAUJWBW-CQSZACIVSA-N 0 1 260.337 0.839 20 30 CCEDMN C=C(COC(=O)CCc1nc[nH]n1)C(=O)OCC ZINC000764010466 582026171 /nfs/dbraw/zinc/02/61/71/582026171.db2.gz FJUOZUFOOLTRTO-UHFFFAOYSA-N 0 1 253.258 0.400 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[O-])CC1 ZINC000738496184 582056634 /nfs/dbraw/zinc/05/66/34/582056634.db2.gz UCWIVYNZNOCDSV-SNVBAGLBSA-N 0 1 255.318 0.363 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[O-])CC1 ZINC000738496184 582056637 /nfs/dbraw/zinc/05/66/37/582056637.db2.gz UCWIVYNZNOCDSV-SNVBAGLBSA-N 0 1 255.318 0.363 20 30 CCEDMN COCc1ccc(CN=Nc2nccn(C)c2=O)o1 ZINC000764698133 582062684 /nfs/dbraw/zinc/06/26/84/582062684.db2.gz IIBXQWHVMCKONB-UHFFFAOYSA-N 0 1 262.269 0.966 20 30 CCEDMN N#CCOc1ccc(CC(=O)NCCN2CCC2)cc1 ZINC000752294780 582103396 /nfs/dbraw/zinc/10/33/96/582103396.db2.gz DIDIHSWTAWCOBO-UHFFFAOYSA-N 0 1 273.336 0.953 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)NCc1cn[nH]c1C ZINC000768248861 582105292 /nfs/dbraw/zinc/10/52/92/582105292.db2.gz XXQLTSLLVWVPFO-UHFFFAOYSA-N 0 1 286.357 0.118 20 30 CCEDMN C#CCCCNC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000806876961 612981410 /nfs/dbraw/zinc/98/14/10/612981410.db2.gz JODUKAZHDGWKGV-CHWSQXEVSA-N 0 1 267.373 0.496 20 30 CCEDMN C#CCSCC(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000907349790 613008914 /nfs/dbraw/zinc/00/89/14/613008914.db2.gz JCXQDZGENWDIPK-MRVPVSSYSA-N 0 1 253.331 0.128 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@@H]2CCCN3CCSC[C@H]23)C1 ZINC000925168729 612947926 /nfs/dbraw/zinc/94/79/26/612947926.db2.gz HHZNRVFFBPZTOH-VXGBXAGGSA-N 0 1 297.399 0.933 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCN(CCCF)CC1 ZINC000860013283 612948085 /nfs/dbraw/zinc/94/80/85/612948085.db2.gz NMNCTPXMKKXTSD-GFCCVEGCSA-N 0 1 255.337 0.102 20 30 CCEDMN N#Cc1cccc([C@@H](C(=O)[O-])N2C[C@H]3C[NH2+]C[C@H]3C2)c1 ZINC000833073970 601311849 /nfs/dbraw/zinc/31/18/49/601311849.db2.gz LFUTVCDABCIFCU-MJBXVCDLSA-N 0 1 271.320 0.835 20 30 CCEDMN COc1cc(C)sc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834489394 617179424 /nfs/dbraw/zinc/17/94/24/617179424.db2.gz MBUWUHOWSKNJKD-IUCAKERBSA-N 0 1 265.338 0.907 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(Cn2cnnc2)CC1 ZINC000844411050 612949789 /nfs/dbraw/zinc/94/97/89/612949789.db2.gz BJSWJPHUZSDZKD-LBPRGKRZSA-N 0 1 275.356 0.128 20 30 CCEDMN C#CC[C@@H](CCOC)NS(=O)(=O)CCN(CC)CC ZINC000859423363 613022754 /nfs/dbraw/zinc/02/27/54/613022754.db2.gz CDSFPCXLNLEDLG-ZDUSSCGKSA-N 0 1 290.429 0.676 20 30 CCEDMN C[C@@H](NC(=O)C#Cc1ccccc1)[C@H]1CN(C)CCN1C ZINC000900812230 617179914 /nfs/dbraw/zinc/17/99/14/617179914.db2.gz TWXIXCAHAYDJEQ-GDBMZVCRSA-N 0 1 285.391 0.789 20 30 CCEDMN COc1cc(CC(=O)N[C@@H]2CNC[C@H]2C#N)ccc1C ZINC000834516112 617180937 /nfs/dbraw/zinc/18/09/37/617180937.db2.gz FMNJLVHODSLSEF-CHWSQXEVSA-N 0 1 273.336 0.774 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)C(C)(C)C#N ZINC000833626251 605156308 /nfs/dbraw/zinc/15/63/08/605156308.db2.gz ZDZAZOMOWQQEFL-SNVBAGLBSA-N 0 1 267.329 0.544 20 30 CCEDMN N#C[C@H]1CN(C(=O)[O-])C[C@@H]1[NH2+]CC1(O)CCCC1 ZINC000833968962 605674685 /nfs/dbraw/zinc/67/46/85/605674685.db2.gz YTYUINLQKHPMFH-UWVGGRQHSA-N 0 1 253.302 0.383 20 30 CCEDMN C#CC[C@H](CO)NC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000880062719 613056878 /nfs/dbraw/zinc/05/68/78/613056878.db2.gz BEVDCIBAUYNUPK-CWSCBRNRSA-N 0 1 261.325 0.576 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C)Oc1ccc(OC)cc1 ZINC000912439465 612956386 /nfs/dbraw/zinc/95/63/86/612956386.db2.gz SOHADIFKXYIFEG-GFCCVEGCSA-N 0 1 276.336 0.802 20 30 CCEDMN N#CCNC(=O)CNC1(c2cccc(-c3nn[nH]n3)c2)CC1 ZINC000826381821 608532540 /nfs/dbraw/zinc/53/25/40/608532540.db2.gz AIQVHNXXCAHJMB-UHFFFAOYSA-N 0 1 297.322 0.085 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@]2(F)CCOC2)C1 ZINC000972167620 613092245 /nfs/dbraw/zinc/09/22/45/613092245.db2.gz HRHHMJDCMGXWRL-WCQYABFASA-N 0 1 254.305 0.281 20 30 CCEDMN C#C[C@@H](NC(=O)C1CN([C@@H]2CCOC2)C1)C(C)C ZINC000897653425 613091683 /nfs/dbraw/zinc/09/16/83/613091683.db2.gz RMNCJGDESLEXAQ-CHWSQXEVSA-N 0 1 250.342 0.481 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(CC)CCS(C)(=O)=O ZINC000876891556 613389127 /nfs/dbraw/zinc/38/91/27/613389127.db2.gz AZPKRMHOUUNCDG-VIFPVBQESA-N 0 1 282.793 0.349 20 30 CCEDMN CSC1([C@@H](C#N)N(C)C(=O)C2=NC(=O)N(C)C2)CC1 ZINC000906114215 617205828 /nfs/dbraw/zinc/20/58/28/617205828.db2.gz GKQXDGBIYSWTSH-SECBINFHSA-N 0 1 280.353 0.985 20 30 CCEDMN CC(C)(C)[S@](C)(=O)=NS(=O)(=O)NCCC#N ZINC000872479934 614045957 /nfs/dbraw/zinc/04/59/57/614045957.db2.gz RDPKVQVNTHNEBS-HNNXBMFYSA-N 0 1 267.376 0.631 20 30 CCEDMN C#CCC1(O)CCN([C@H](C)C(=O)NCCCOC)CC1 ZINC000880478382 612974228 /nfs/dbraw/zinc/97/42/28/612974228.db2.gz CMIRVOHRWJNEIF-CYBMUJFWSA-N 0 1 282.384 0.378 20 30 CCEDMN CC(C)C#CC(=O)N1CCN(C)CCN(C)CC1 ZINC000888982061 614325892 /nfs/dbraw/zinc/32/58/92/614325892.db2.gz CYOQLSWWGSZKSB-UHFFFAOYSA-N 0 1 251.374 0.352 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(F)c1 ZINC000834510472 617232840 /nfs/dbraw/zinc/23/28/40/617232840.db2.gz BKCXTPHSEYCQDM-PRHODGIISA-N 0 1 263.272 0.676 20 30 CCEDMN CSCCNC(=S)NN=C1C[N@H+]2C[C@@H]1CCC2 ZINC000863104361 617236995 /nfs/dbraw/zinc/23/69/95/617236995.db2.gz CNFSUGCJESKCAM-VIFPVBQESA-N 0 1 272.443 0.895 20 30 CCEDMN CSCCNC(=S)NN=C1CN2C[C@@H]1CCC2 ZINC000863104361 617236996 /nfs/dbraw/zinc/23/69/96/617236996.db2.gz CNFSUGCJESKCAM-VIFPVBQESA-N 0 1 272.443 0.895 20 30 CCEDMN COc1ccc(F)c(C(=O)N[C@H]2CNC[C@H]2C#N)c1OC ZINC000834500281 617263966 /nfs/dbraw/zinc/26/39/66/617263966.db2.gz RRCZEFKQYQFWJN-SCZZXKLOSA-N 0 1 293.298 0.684 20 30 CCEDMN COc1ccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000841002531 617269960 /nfs/dbraw/zinc/26/99/60/617269960.db2.gz YJCWIKMFSGMJNV-JOYOIKCWSA-N 0 1 260.297 0.928 20 30 CCEDMN COc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1[N+](=O)[O-] ZINC000834500755 617293745 /nfs/dbraw/zinc/29/37/45/617293745.db2.gz WUYFBEWENYRKTM-WPRPVWTQSA-N 0 1 290.279 0.445 20 30 CCEDMN COc1cccc(CCC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834515830 617295890 /nfs/dbraw/zinc/29/58/90/617295890.db2.gz CDBTUEQPBLGOHO-JSGCOSHPSA-N 0 1 273.336 0.856 20 30 CCEDMN COc1cccc(C[C@H](O)C(=O)NCC#CCN(C)C)c1 ZINC000913521423 617301639 /nfs/dbraw/zinc/30/16/39/617301639.db2.gz YTJBTNBMUXZBIT-HNNXBMFYSA-N 0 1 290.363 0.280 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC000817727829 617300561 /nfs/dbraw/zinc/30/05/61/617300561.db2.gz KTTYKDLNBMFVCX-UWVGGRQHSA-N 0 1 253.302 0.153 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000128947352 612950510 /nfs/dbraw/zinc/95/05/10/612950510.db2.gz RZYNQPRDKVXVNE-MJBXVCDLSA-N 0 1 281.400 0.165 20 30 CCEDMN C#CC1(O)CN(C(=O)c2cc(CCCCC)[nH]n2)C1 ZINC000890527216 612959042 /nfs/dbraw/zinc/95/90/42/612959042.db2.gz OVSWXHUXIRYACH-UHFFFAOYSA-N 0 1 261.325 0.963 20 30 CCEDMN C#CC1(O)CN(C(=O)c2cccc3[nH]c(C)nc32)C1 ZINC000890525220 612959584 /nfs/dbraw/zinc/95/95/84/612959584.db2.gz OOWGVZPASMBAOY-UHFFFAOYSA-N 0 1 255.277 0.691 20 30 CCEDMN C#CCC(CC#C)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000896545797 612967923 /nfs/dbraw/zinc/96/79/23/612967923.db2.gz QEPNZHILQVKDNB-HIFRSBDPSA-N 0 1 275.396 0.400 20 30 CCEDMN C#CCC1(O)CCN(c2cc(-n3cccn3)nc(N)n2)CC1 ZINC000882970217 612974009 /nfs/dbraw/zinc/97/40/09/612974009.db2.gz UMRKJIUTTJPDRQ-UHFFFAOYSA-N 0 1 298.350 0.599 20 30 CCEDMN C#CCCC[N@@H+]1CC[C@@H](C(=O)OC)C[C@@H]1C(=O)[O-] ZINC000833362370 612978646 /nfs/dbraw/zinc/97/86/46/612978646.db2.gz OIKOROZAPGNMAP-GHMZBOCLSA-N 0 1 253.298 0.738 20 30 CCEDMN C#CCOCCNC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000921935349 612987799 /nfs/dbraw/zinc/98/77/99/612987799.db2.gz XLGQUMYSFYQDQL-OLZOCXBDSA-N 0 1 297.424 0.515 20 30 CCEDMN C#CCCN(CCOC)C(=O)N[C@@H]1CCCN(C)C1 ZINC000853470007 612987899 /nfs/dbraw/zinc/98/78/99/612987899.db2.gz XNJKJVXXSMBWOM-CYBMUJFWSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCONC(=O)Cc1csc(N2CCOCC2)n1 ZINC000855606702 612992379 /nfs/dbraw/zinc/99/23/79/612992379.db2.gz ODWYBIKJLNEJIL-UHFFFAOYSA-N 0 1 281.337 0.203 20 30 CCEDMN C#CCO[C@@H](C)C(=O)OC[C@H]1CN(CC(C)C)CCO1 ZINC000835159990 612998392 /nfs/dbraw/zinc/99/83/92/612998392.db2.gz WKAWEDDSSDCAJW-UONOGXRCSA-N 0 1 283.368 0.925 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000822313877 613001395 /nfs/dbraw/zinc/00/13/95/613001395.db2.gz PMORWFQWWXFSEV-MELADBBJSA-N 0 1 282.384 0.640 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@]2(F)CCOC2)CC1 ZINC000981821393 613004634 /nfs/dbraw/zinc/00/46/34/613004634.db2.gz JCCUCBCRXKVZLI-CQSZACIVSA-N 0 1 268.332 0.673 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cccc(C(N)=O)c2)CC1 ZINC000981469194 613005952 /nfs/dbraw/zinc/00/59/52/613005952.db2.gz LBULNOKKRZLBAW-UHFFFAOYSA-N 0 1 299.374 0.957 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnc3cccnn23)CC1 ZINC000982100708 613006322 /nfs/dbraw/zinc/00/63/22/613006322.db2.gz XYPBHXIAIXIXRD-UHFFFAOYSA-N 0 1 297.362 0.901 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H](CC)C(=O)[O-])CC1 ZINC000911251602 613009682 /nfs/dbraw/zinc/00/96/82/613009682.db2.gz CKXZSJQRDKHYRK-LLVKDONJSA-N 0 1 252.314 0.265 20 30 CCEDMN C#CCCN1CCN(c2c3c(nc4ncnn42)CCC3)CC1 ZINC000865475779 613011903 /nfs/dbraw/zinc/01/19/03/613011903.db2.gz FHVKVMAFTOIUTO-UHFFFAOYSA-N 0 1 296.378 0.758 20 30 CCEDMN C#CCCN1CCOC2(CCN(C(=O)[C@H](C)OC)CC2)C1 ZINC000949310987 613012242 /nfs/dbraw/zinc/01/22/42/613012242.db2.gz JVSCNLMOBNPTNA-AWEZNQCLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2nonc2C)C1 ZINC000968699446 613014554 /nfs/dbraw/zinc/01/45/54/613014554.db2.gz XENOKFFZRHEBSP-PWSUYJOCSA-N 0 1 276.340 0.842 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)CSc1n[nH]c(=S)s1 ZINC000854545597 613024141 /nfs/dbraw/zinc/02/41/41/613024141.db2.gz MELRLFVFOSYOEJ-LURJTMIESA-N 0 1 289.407 0.419 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N(C)[C@H]1CC[N@H+](C2CC2)C1 ZINC000875921584 613033125 /nfs/dbraw/zinc/03/31/25/613033125.db2.gz IUSDKCIZDLVSLY-JSGCOSHPSA-N 0 1 279.384 0.903 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N1CCN(C(C)C)CC1 ZINC000875921789 613033792 /nfs/dbraw/zinc/03/37/92/613033792.db2.gz SMUBBGIGLOMOGB-ZDUSSCGKSA-N 0 1 267.373 0.760 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CCC[N@H+]1C1CCCC1)C(=O)[O-] ZINC000910048360 613041320 /nfs/dbraw/zinc/04/13/20/613041320.db2.gz JPNXAAWFJMGTRS-CHWSQXEVSA-N 0 1 278.352 0.986 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)NCc2n[nH]c(=O)n2C)C1 ZINC000889568470 613044442 /nfs/dbraw/zinc/04/44/42/613044442.db2.gz BCPIWBGVDKQOLX-SNVBAGLBSA-N 0 1 277.328 0.466 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NCCN1CCC(O)CC1 ZINC000896061965 613050731 /nfs/dbraw/zinc/05/07/31/613050731.db2.gz PRGXCAOFPIRNOW-CYBMUJFWSA-N 0 1 297.399 0.171 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@H]1CCN1C(C)(C)C ZINC000897656967 613058352 /nfs/dbraw/zinc/05/83/52/613058352.db2.gz KQAPUJLFWWVZKN-VXGBXAGGSA-N 0 1 252.358 0.750 20 30 CCEDMN C#CC[C@H](CO)NCc1ccc(/C=C/C(=O)OC)o1 ZINC000895489481 613058623 /nfs/dbraw/zinc/05/86/23/613058623.db2.gz CSEVOSRWYVRSJX-WSKFYRRCSA-N 0 1 263.293 0.940 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)NCc1cc(C)[nH]n1 ZINC000798418650 613061593 /nfs/dbraw/zinc/06/15/93/613061593.db2.gz JKRRGRJCENYKFH-NSHDSACASA-N 0 1 250.302 0.292 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000858304655 613064219 /nfs/dbraw/zinc/06/42/19/613064219.db2.gz FFSUFJUFYQXFJB-CMPLNLGQSA-N 0 1 278.356 0.987 20 30 CCEDMN C#CC[C@H](COC)Nc1cc(-n2cccn2)nc(N)n1 ZINC000858859914 613066860 /nfs/dbraw/zinc/06/68/60/613066860.db2.gz FWOYTXANOBVSQX-SNVBAGLBSA-N 0 1 272.312 0.695 20 30 CCEDMN C#CCN(C(=O)NCC[S@](=O)CC)C1CCN(C)CC1 ZINC000920084402 613067170 /nfs/dbraw/zinc/06/71/70/613067170.db2.gz KQMMSRDMJMLSHD-HXUWFJFHSA-N 0 1 299.440 0.494 20 30 CCEDMN C#CCN(C(=O)NCc1n[nH]c([C@H]2CCCO2)n1)C1CC1 ZINC000847402479 613067602 /nfs/dbraw/zinc/06/76/02/613067602.db2.gz QDEFSLNUHFYVCC-LLVKDONJSA-N 0 1 289.339 0.963 20 30 CCEDMN C#C[C@@H](C)N(C)c1nnc([C@@H]2CN3CCC[C@@H]3CO2)n1C ZINC000828242867 613080036 /nfs/dbraw/zinc/08/00/36/613080036.db2.gz OLBSPTAMXDNGDU-UPJWGTAASA-N 0 1 289.383 0.809 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC000972009285 613083111 /nfs/dbraw/zinc/08/31/11/613083111.db2.gz NZIOMLFTZAPOMQ-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCOCC2)C1 ZINC000971432195 613084033 /nfs/dbraw/zinc/08/40/33/613084033.db2.gz HZGOQNGBSJIEBB-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2Cc3ccncc3C2)C1 ZINC000972338833 613084465 /nfs/dbraw/zinc/08/44/65/613084465.db2.gz JTJQNCKPPBJYEP-GOEBONIOSA-N 0 1 283.375 0.962 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cccc(=O)n2C)C1 ZINC000972294962 613086565 /nfs/dbraw/zinc/08/65/65/613086565.db2.gz DUXJMQLHIIDEQH-GFCCVEGCSA-N 0 1 273.336 0.165 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn3c(n2)CCCC3)C1 ZINC000971629928 613087316 /nfs/dbraw/zinc/08/73/16/613087316.db2.gz SDSKUHIEIJMQKD-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nc3nccc(C)n3n2)C1 ZINC000980316585 613088194 /nfs/dbraw/zinc/08/81/94/613088194.db2.gz KZMKEJKICHKLQM-GFCCVEGCSA-N 0 1 298.350 0.212 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC)N2CCCC2=O)C1 ZINC000980439326 613091490 /nfs/dbraw/zinc/09/14/90/613091490.db2.gz DSKQBOZXHVSGRE-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC000971808678 613092014 /nfs/dbraw/zinc/09/20/14/613092014.db2.gz LQKIMXGLAUECDE-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC000972718934 613093090 /nfs/dbraw/zinc/09/30/90/613093090.db2.gz REERXEAKKBSIOC-JSGCOSHPSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnn2CC(F)F)C1 ZINC000971537362 613096040 /nfs/dbraw/zinc/09/60/40/613096040.db2.gz LJGWXEYPAIUSCF-NSHDSACASA-N 0 1 296.321 0.928 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2n[nH]cc2F)C1 ZINC000972265489 613096618 /nfs/dbraw/zinc/09/66/18/613096618.db2.gz LMGVOWRXYYVQMT-VIFPVBQESA-N 0 1 250.277 0.328 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cncnc2CC)C1 ZINC000980419555 613096908 /nfs/dbraw/zinc/09/69/08/613096908.db2.gz VMPRKMUTQARTGY-LBPRGKRZSA-N 0 1 272.352 0.819 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@@H]1CCN1C(C)(C)C ZINC000897962689 613110800 /nfs/dbraw/zinc/11/08/00/613110800.db2.gz LZCJNVKPZWBKQC-NEPJUHHUSA-N 0 1 250.342 0.720 20 30 CCEDMN C#C[C@@H]1COCCN1S(=O)(=O)c1ccccc1O ZINC000849400575 613112459 /nfs/dbraw/zinc/11/24/59/613112459.db2.gz VVGIHKJQVXAHOW-SNVBAGLBSA-N 0 1 267.306 0.415 20 30 CCEDMN C#C[C@H](C)NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000820401308 613116765 /nfs/dbraw/zinc/11/67/65/613116765.db2.gz YBSMZMCGGAQAKP-SDDRHHMPSA-N 0 1 253.346 0.105 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000821567371 613120162 /nfs/dbraw/zinc/12/01/62/613120162.db2.gz LJJNIFZPTICXEY-QWHCGFSZSA-N 0 1 281.400 0.885 20 30 CCEDMN C#CCN1CC([C@@H](C)NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC000969846645 613121177 /nfs/dbraw/zinc/12/11/77/613121177.db2.gz YNKORIXEUDVTGI-SECBINFHSA-N 0 1 284.323 0.036 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](N(C)CC#N)C2)CC1 ZINC000980478268 613129493 /nfs/dbraw/zinc/12/94/93/613129493.db2.gz NTQLPYAXIUFGSJ-HNNXBMFYSA-N 0 1 288.395 0.388 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C/CN2CCOCC2)CC1 ZINC000928650426 613132268 /nfs/dbraw/zinc/13/22/68/613132268.db2.gz BRJLAWVIKQEOMS-ONEGZZNKSA-N 0 1 291.395 0.089 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C\[C@H]2CCOC2)CC1 ZINC000928650486 613132356 /nfs/dbraw/zinc/13/23/56/613132356.db2.gz CRTZIJJZXRVVHD-SCOBNMCVSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CCC(NC(=O)CN(CC)C(=O)C=C)CC1 ZINC000928653215 613132867 /nfs/dbraw/zinc/13/28/67/613132867.db2.gz DTHUVZVEGNZINP-UHFFFAOYSA-N 0 1 277.368 0.235 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H]2CCOC[C@H]2OC)CC1 ZINC000928650507 613133398 /nfs/dbraw/zinc/13/33/98/613133398.db2.gz CZJGEJQCAWEZLF-UKRRQHHQSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CCC(NC(=O)COc2cc(C)on2)CC1 ZINC000928658576 613133508 /nfs/dbraw/zinc/13/35/08/613133508.db2.gz MMRDRSPHBASSTN-UHFFFAOYSA-N 0 1 277.324 0.576 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCCCC(=O)OC)CC1 ZINC000914419310 613133955 /nfs/dbraw/zinc/13/39/55/613133955.db2.gz MHIRDMTVKCQPGW-UHFFFAOYSA-N 0 1 295.383 0.727 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H]2CCCO2)CC1 ZINC000905144317 613134340 /nfs/dbraw/zinc/13/43/40/613134340.db2.gz DRSXLHVMSAEKEX-CYBMUJFWSA-N 0 1 265.357 0.562 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cc(C)ncn2)CC1 ZINC000921661813 613134447 /nfs/dbraw/zinc/13/44/47/613134447.db2.gz JBIQOYXPWJMDRI-UHFFFAOYSA-N 0 1 287.367 0.682 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H]2CCCOC2)CC1 ZINC000914291690 613134614 /nfs/dbraw/zinc/13/46/14/613134614.db2.gz KJDSJEWITSULSD-CYBMUJFWSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C#C)[C@H]2CCCO2)CC1 ZINC000922046962 613134620 /nfs/dbraw/zinc/13/46/20/613134620.db2.gz IPUGZNIYMHIGHX-LSDHHAIUSA-N 0 1 289.379 0.564 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ncccn2)CC1 ZINC000923314508 613134628 /nfs/dbraw/zinc/13/46/28/613134628.db2.gz JMVIPMINYGWCDH-UHFFFAOYSA-N 0 1 273.340 0.373 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)CC(=O)OC)CC1 ZINC000928655910 613136413 /nfs/dbraw/zinc/13/64/13/613136413.db2.gz CSPUJKDRQMVJLY-LLVKDONJSA-N 0 1 266.341 0.399 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](CC)[C@@H](C)O)CC1 ZINC000900666566 613136484 /nfs/dbraw/zinc/13/64/84/613136484.db2.gz HFXDRMYPTWWNNR-DGCLKSJQSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc3nnc(C)n3c2)CC1 ZINC000928654992 613137140 /nfs/dbraw/zinc/13/71/40/613137140.db2.gz PEOPRPVOFHWEOM-UHFFFAOYSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(CC)n[nH]2)CC1 ZINC000928657185 613137182 /nfs/dbraw/zinc/13/71/82/613137182.db2.gz QVPHWIXGEMULHF-UHFFFAOYSA-N 0 1 260.341 0.800 20 30 CCEDMN C#CC[NH+]1CCC(NC(=O)c2cncc([O-])c2)CC1 ZINC000928652571 613137729 /nfs/dbraw/zinc/13/77/29/613137729.db2.gz DBOGUFFSSAJYBS-UHFFFAOYSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cncc(O)c2)CC1 ZINC000928652571 613137732 /nfs/dbraw/zinc/13/77/32/613137732.db2.gz DBOGUFFSSAJYBS-UHFFFAOYSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CCC(Nc2nccnc2C(N)=O)CC1 ZINC000895812622 613138895 /nfs/dbraw/zinc/13/88/95/613138895.db2.gz UCPUMGOYTVEHRY-UHFFFAOYSA-N 0 1 259.313 0.085 20 30 CCEDMN C#CCN1CCC(Nc2nc(N)nc(C(F)F)n2)CC1 ZINC000895812033 613138916 /nfs/dbraw/zinc/13/89/16/613138916.db2.gz ZBMUZSZKTRFKFJ-UHFFFAOYSA-N 0 1 282.298 0.901 20 30 CCEDMN C#CCN1CCC(Nc2cncc3ncnn32)CC1 ZINC000895808396 613139136 /nfs/dbraw/zinc/13/91/36/613139136.db2.gz LWHGKCWNOCXPFO-UHFFFAOYSA-N 0 1 256.313 0.634 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3ccnn3C)C2)C1 ZINC000981738835 613141173 /nfs/dbraw/zinc/14/11/73/613141173.db2.gz IIDGRWVTKINDRM-UHFFFAOYSA-N 0 1 286.379 0.520 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CCO[C@@H]3C)C2)C1 ZINC000982084165 613143368 /nfs/dbraw/zinc/14/33/68/613143368.db2.gz GBKULAKZPCJQDC-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cc(=O)n(C)o3)C2)C1 ZINC000981733157 613144273 /nfs/dbraw/zinc/14/42/73/613144273.db2.gz GHESUCPCJUKTBY-UHFFFAOYSA-N 0 1 289.335 0.150 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ncn(C)n1 ZINC000968837363 613147311 /nfs/dbraw/zinc/14/73/11/613147311.db2.gz MVRGGEWARXZOHD-GFCCVEGCSA-N 0 1 275.356 0.423 20 30 CCEDMN C#C[C@H](NC(=O)[C@]1(C)C[C@H](O)CN1C)c1ccccc1 ZINC000911500443 613147895 /nfs/dbraw/zinc/14/78/95/613147895.db2.gz KFSIZSSOBBVLGS-DZKIICNBSA-N 0 1 272.348 0.932 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC000968735258 613149556 /nfs/dbraw/zinc/14/95/56/613149556.db2.gz GWYXGKQIZFOASB-LBPRGKRZSA-N 0 1 288.351 0.790 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cnnn1C ZINC000968841060 613149839 /nfs/dbraw/zinc/14/98/39/613149839.db2.gz XSXLKFNSHATQAE-LBPRGKRZSA-N 0 1 275.356 0.423 20 30 CCEDMN C#CCN1CCCN(C(=O)[C@@H](C)Cc2cnc[nH]2)CC1 ZINC000982143033 613153213 /nfs/dbraw/zinc/15/32/13/613153213.db2.gz MXLVCUNBPVYDBZ-ZDUSSCGKSA-N 0 1 274.368 0.756 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)Cn1nccc1C ZINC000860529302 613176325 /nfs/dbraw/zinc/17/63/25/613176325.db2.gz DHPQIQHYLNGTLN-CYBMUJFWSA-N 0 1 261.325 0.832 20 30 CCEDMN C#CCN1CCC[C@@H](N[C@@H](C)c2cc(CO)on2)C1 ZINC000926865863 613176693 /nfs/dbraw/zinc/17/66/93/613176693.db2.gz IMRNHTCDIZHPPS-NWDGAFQWSA-N 0 1 263.341 0.915 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@]1(CC)CCNC1=O ZINC000870194760 613203359 /nfs/dbraw/zinc/20/33/59/613203359.db2.gz SDOFUCXYNDFHEZ-SWLSCSKDSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN(CC(=O)N1CCN(CC#C)CC1)C(C)(C)C ZINC000932463051 613204772 /nfs/dbraw/zinc/20/47/72/613204772.db2.gz CIAFMDJFEDDJDE-UHFFFAOYSA-N 0 1 275.396 0.498 20 30 CCEDMN C#CCN1CCN(C(=O)c2ccc(CN(C)C)nc2)CC1 ZINC000825288095 613208396 /nfs/dbraw/zinc/20/83/96/613208396.db2.gz ZWRQGFHAGLEDHS-UHFFFAOYSA-N 0 1 286.379 0.534 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCCN(CCCO)CC2)c1 ZINC000981922956 613212494 /nfs/dbraw/zinc/21/24/94/613212494.db2.gz GMZVFYAXCQWYKT-UHFFFAOYSA-N 0 1 287.363 0.593 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966896363 613216796 /nfs/dbraw/zinc/21/67/96/613216796.db2.gz PXUITAPMHVVEFV-PWSUYJOCSA-N 0 1 274.324 0.256 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CCN(CCOC)C2)c1 ZINC000806816428 613219960 /nfs/dbraw/zinc/21/99/60/613219960.db2.gz DHRRUMMALDYZAY-CQSZACIVSA-N 0 1 273.336 0.513 20 30 CCEDMN C(=[NH+][N-]c1nnc[nH]1)c1cnc(N2CCOCC2)s1 ZINC000807995791 613223941 /nfs/dbraw/zinc/22/39/41/613223941.db2.gz CLGAYSUGEMBEKI-UHFFFAOYSA-N 0 1 279.329 0.544 20 30 CCEDMN C(=NNc1cncnc1)c1cn(C[C@H]2CCOC2)nn1 ZINC000872372780 613223993 /nfs/dbraw/zinc/22/39/93/613223993.db2.gz MQLXHWZARWLQDU-SNVBAGLBSA-N 0 1 273.300 0.551 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2ccn(C)n2)C(C)(C)C1 ZINC000940740717 613226940 /nfs/dbraw/zinc/22/69/40/613226940.db2.gz LPRSWIPMMJPZGD-CQSZACIVSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2COCCN2C)C(C)(C)C1 ZINC000940695104 613229777 /nfs/dbraw/zinc/22/97/77/613229777.db2.gz LWNOKVBZWDQAGB-ZIAGYGMSSA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn[nH]2)C(C)(C)C1 ZINC000940591984 613234109 /nfs/dbraw/zinc/23/41/09/613234109.db2.gz YGQMCRCZJVHNIU-GFCCVEGCSA-N 0 1 260.341 0.873 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(OC)nn2)[C@H](C)C1 ZINC000943985812 613236562 /nfs/dbraw/zinc/23/65/62/613236562.db2.gz AKSDLCQDVUJTMQ-VXGBXAGGSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnnc2)C[C@@H]1C ZINC000947746097 613239267 /nfs/dbraw/zinc/23/92/67/613239267.db2.gz LGGLZUYGDKZEJI-WCQYABFASA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3cc[nH]c23)C[C@@H]1C ZINC000947975113 613242129 /nfs/dbraw/zinc/24/21/29/613242129.db2.gz KEUHKKVWZJKKHQ-NWDGAFQWSA-N 0 1 285.351 0.878 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncnc3[nH]ccc32)[C@H](C)C1 ZINC000942288169 613243885 /nfs/dbraw/zinc/24/38/85/613243885.db2.gz PISMDRJUDFRRPU-DGCLKSJQSA-N 0 1 297.362 0.983 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2cccn(C)c2=O)C1 ZINC000968557883 613249199 /nfs/dbraw/zinc/24/91/99/613249199.db2.gz PABRNGSPYGYWIX-JSGCOSHPSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cn2ccnc2)C1 ZINC000968699711 613252584 /nfs/dbraw/zinc/25/25/84/613252584.db2.gz YYYGDGPGWCIAIN-QWHCGFSZSA-N 0 1 260.341 0.343 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966884637 613255765 /nfs/dbraw/zinc/25/57/65/613255765.db2.gz OPVRAQFZSPQKNI-CMPLNLGQSA-N 0 1 274.324 0.256 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)Cn2cncn2)CC1 ZINC000957572850 613258302 /nfs/dbraw/zinc/25/83/02/613258302.db2.gz YAWQDAQUJDINIB-UHFFFAOYSA-N 0 1 263.345 0.389 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@]2(C)CCNC2=O)CC1 ZINC000950470090 613259585 /nfs/dbraw/zinc/25/95/85/613259585.db2.gz BPFKTIZBNGBBEF-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@]2(C)CNC(=O)C2)CC1 ZINC000949439116 613259860 /nfs/dbraw/zinc/25/98/60/613259860.db2.gz OAEFYORHVQKAIK-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc3n(n2)CCO3)C[C@H]1C ZINC000947394647 613267335 /nfs/dbraw/zinc/26/73/35/613267335.db2.gz HSTCIZLVXGXGSG-NEPJUHHUSA-N 0 1 288.351 0.491 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C)nn2)[C@@H](C)C1 ZINC000942044160 613268473 /nfs/dbraw/zinc/26/84/73/613268473.db2.gz IIWKTOCTSCXSOX-AAEUAGOBSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn3nnnc3c2)C[C@H]1C ZINC000947615134 613272068 /nfs/dbraw/zinc/27/20/68/613272068.db2.gz FLHZXVHQNIZSOO-YPMHNXCESA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CC=C)nn2)[C@@H](C)C1 ZINC000943483581 613273782 /nfs/dbraw/zinc/27/37/82/613273782.db2.gz YEKBWSYSRSHPER-STQMWFEESA-N 0 1 287.367 0.538 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2csnn2)[C@@H](C)C1 ZINC000942538099 613277641 /nfs/dbraw/zinc/27/76/41/613277641.db2.gz KQLHSODRGFGIPZ-UWVGGRQHSA-N 0 1 264.354 0.612 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@H]2CCOC2)C(C)(C)C1 ZINC000972979688 613280291 /nfs/dbraw/zinc/28/02/91/613280291.db2.gz JIEUTEMMCMDHJC-CHWSQXEVSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2CC(OC)C2)C(C)(C)C1 ZINC000974719079 613280394 /nfs/dbraw/zinc/28/03/94/613280394.db2.gz QXGZWOYKQCTBMF-WXRRBKDZSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ccn(CC)n2)C(C)(C)C1 ZINC000974601998 613281349 /nfs/dbraw/zinc/28/13/49/613281349.db2.gz VEZMWAJEALJTBL-CQSZACIVSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(C)[nH]nc2Cl)C1 ZINC000958126467 613285421 /nfs/dbraw/zinc/28/54/21/613285421.db2.gz PJENFDXLBZEJBZ-VHSXEESVSA-N 0 1 296.758 0.027 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)[nH]c2C)C1 ZINC000958438705 613285472 /nfs/dbraw/zinc/28/54/72/613285472.db2.gz LWMJFOUTTWSRTJ-GXTWGEPZSA-N 0 1 275.352 0.287 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccn(C(C)C)n2)C1 ZINC000958447420 613286647 /nfs/dbraw/zinc/28/66/47/613286647.db2.gz DIEPAEXZXVXHPP-GXTWGEPZSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccoc2CC)C1 ZINC000958031436 613287276 /nfs/dbraw/zinc/28/72/76/613287276.db2.gz QEJFFQNWEDBBAT-WCQYABFASA-N 0 1 276.336 0.498 20 30 CCEDMN C=C(C)C[N@H+](C)[C@@H]1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC000971870419 613287596 /nfs/dbraw/zinc/28/75/96/613287596.db2.gz QIFHGUCPVIBLRJ-SECBINFHSA-N 0 1 293.327 0.005 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2scnc2CC)C1 ZINC000958125665 613288103 /nfs/dbraw/zinc/28/81/03/613288103.db2.gz ACFKIHNIOIIMIQ-CMPLNLGQSA-N 0 1 293.392 0.361 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(F)cc2F)[C@H](O)C1 ZINC000958635722 613289139 /nfs/dbraw/zinc/28/91/39/613289139.db2.gz PIPTWBQRIZDNTE-QMTHXVAHSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2nc(C)c(C)s2)[C@H](O)C1 ZINC000958693432 613290559 /nfs/dbraw/zinc/29/05/59/613290559.db2.gz FCLWLFZECGEOTE-VXGBXAGGSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ncoc2C(C)C)[C@H](O)C1 ZINC000958572560 613290644 /nfs/dbraw/zinc/29/06/44/613290644.db2.gz CACRKBSHTBSGMX-VXGBXAGGSA-N 0 1 291.351 0.454 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2CC[C@@H](NC(=O)c3[n-]nnc3C)C[C@H]2C1 ZINC000980151078 613291448 /nfs/dbraw/zinc/29/14/48/613291448.db2.gz FBCTZJFVXMUZPM-RWMBFGLXSA-N 0 1 287.367 0.577 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CC[C@@H](NC(=O)c3[n-]nnc3C)C[C@H]2C1 ZINC000980151078 613291449 /nfs/dbraw/zinc/29/14/49/613291449.db2.gz FBCTZJFVXMUZPM-RWMBFGLXSA-N 0 1 287.367 0.577 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC000958121189 613294064 /nfs/dbraw/zinc/29/40/64/613294064.db2.gz DGYCCUHAXUKUTF-JSGCOSHPSA-N 0 1 287.363 0.548 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2Cc3ccccc3C2)C1 ZINC000958430743 613294214 /nfs/dbraw/zinc/29/42/14/613294214.db2.gz XJUJGEXYWWCFDM-IRXDYDNUSA-N 0 1 298.386 0.444 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc[nH]c2CCC)C1 ZINC000958335420 613294421 /nfs/dbraw/zinc/29/44/21/613294421.db2.gz XBLXCGJAVOKROQ-WFASDCNBSA-N 0 1 289.379 0.623 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(CF)cc2)C1 ZINC000958735584 613295278 /nfs/dbraw/zinc/29/52/78/613295278.db2.gz DGLMPEULOVCHSC-GJZGRUSLSA-N 0 1 290.338 0.812 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(F)cc2)C1 ZINC000957811701 613295296 /nfs/dbraw/zinc/29/52/96/613295296.db2.gz JKMMYGXTUZCLLM-JSGCOSHPSA-N 0 1 276.311 0.481 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2nc(C)cc2C)C(C)(C)C1 ZINC000974788100 613297763 /nfs/dbraw/zinc/29/77/63/613297763.db2.gz DCYZXARFCCKOTP-AWEZNQCLSA-N 0 1 288.395 0.960 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C(C)(C)C1 ZINC000977628726 613297980 /nfs/dbraw/zinc/29/79/80/613297980.db2.gz NVHDHTNYRLBWPG-OLZOCXBDSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2CCCOC2)C(C)(C)C1 ZINC000972852083 613298596 /nfs/dbraw/zinc/29/85/96/613298596.db2.gz MHWNNLOMYFZESN-OLZOCXBDSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C(C)C)on2)C1 ZINC000958287384 613302309 /nfs/dbraw/zinc/30/23/09/613302309.db2.gz DQSCJWUVFXSPRO-YPMHNXCESA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c(C)coc2C)C1 ZINC000958041230 613302397 /nfs/dbraw/zinc/30/23/97/613302397.db2.gz KGBCIYGZBXZBIE-OLZOCXBDSA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)oc2C)C1 ZINC000957873658 613302445 /nfs/dbraw/zinc/30/24/45/613302445.db2.gz KDUDIYXLNZYRRJ-OCCSQVGLSA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c(C)cncc2C)C1 ZINC000958263335 613302597 /nfs/dbraw/zinc/30/25/97/613302597.db2.gz PAODOISYVPINJJ-KGLIPLIRSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C(F)F)o2)C1 ZINC000958635215 613302906 /nfs/dbraw/zinc/30/29/06/613302906.db2.gz FYFDVNKQHAFLMX-ZJUUUORDSA-N 0 1 298.289 0.873 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc3[nH]ccc32)C1 ZINC000958418853 613303681 /nfs/dbraw/zinc/30/36/81/613303681.db2.gz WFRRYYSLFXAUNY-WBMJQRKESA-N 0 1 297.358 0.824 20 30 CCEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2cn(CC)nn2)C1 ZINC000970660286 613304140 /nfs/dbraw/zinc/30/41/40/613304140.db2.gz PDXKSQDYJLIOIH-NSHDSACASA-N 0 1 277.372 0.924 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cnoc2C2CC2)C1 ZINC000958555951 613304287 /nfs/dbraw/zinc/30/42/87/613304287.db2.gz OXRRNCBYDSOUNZ-YPMHNXCESA-N 0 1 289.335 0.208 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CN2CCCC2=O)CC1 ZINC000980685421 613308335 /nfs/dbraw/zinc/30/83/35/613308335.db2.gz UOBSDCTUCWCUBT-UHFFFAOYSA-N 0 1 279.384 0.719 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)Cn2nccn2)CC1 ZINC000981246964 613308802 /nfs/dbraw/zinc/30/88/02/613308802.db2.gz NDWFCJFVGBMSOY-UHFFFAOYSA-N 0 1 263.345 0.389 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC000980819964 613311608 /nfs/dbraw/zinc/31/16/08/613311608.db2.gz AXXARRCLAHIUNV-QWHCGFSZSA-N 0 1 280.368 0.906 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCn2cncc2C1 ZINC000960630799 613316902 /nfs/dbraw/zinc/31/69/02/613316902.db2.gz PTBGTDWFINMCIB-SPWCGHHHSA-N 0 1 284.363 0.125 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)nn(C)c1C ZINC000961425858 613317396 /nfs/dbraw/zinc/31/73/96/613317396.db2.gz UKKKNQNPPTTXCP-IMRBUKKESA-N 0 1 272.352 0.330 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)cc(=O)oc1C ZINC000960946688 613317648 /nfs/dbraw/zinc/31/76/48/613317648.db2.gz KVMQUEXKWCKKHY-JYAVWHMHSA-N 0 1 286.331 0.550 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C(F)F)ncn1 ZINC000960556371 613318191 /nfs/dbraw/zinc/31/81/91/613318191.db2.gz UTYATHJNPFYOMY-GDGBQDQQSA-N 0 1 292.289 0.707 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(CC)n1 ZINC000960299129 613319788 /nfs/dbraw/zinc/31/97/88/613319788.db2.gz YLOJYUIGTMAASU-NHAGDIPZSA-N 0 1 269.348 0.937 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(CC)s1 ZINC000962964384 613320904 /nfs/dbraw/zinc/32/09/04/613320904.db2.gz QSHUOUQRVHLRTR-IWIIMEHWSA-N 0 1 275.377 0.999 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc2ccncc21 ZINC000961351322 613321004 /nfs/dbraw/zinc/32/10/04/613321004.db2.gz SOJBLTQFHWJASR-FOLVSLTJSA-N 0 1 292.342 0.923 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2c1C[C@H](C)CC2 ZINC000962432459 613321549 /nfs/dbraw/zinc/32/15/49/613321549.db2.gz GSRHLRFVQZIJOQ-UYHMYPTGSA-N 0 1 298.390 0.759 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnnc(C)c1 ZINC000958685412 613321555 /nfs/dbraw/zinc/32/15/55/613321555.db2.gz KKRFKEUBHHWVJM-ITGUQSILSA-N 0 1 256.309 0.078 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nn(C)c2ccccc21 ZINC000962330426 613322693 /nfs/dbraw/zinc/32/26/93/613322693.db2.gz HDUXYBSFJGUZFT-NHAGDIPZSA-N 0 1 294.358 0.867 20 30 CCEDMN C#CCNC(=O)CCNCc1ncc(C)c(OC)c1C ZINC000818986757 613327070 /nfs/dbraw/zinc/32/70/70/613327070.db2.gz AEULFNXTSZGTQS-UHFFFAOYSA-N 0 1 275.352 0.936 20 30 CCEDMN C#CCNC(=O)CN[C@@H]1CCO[C@H]1c1ccccc1 ZINC000879086634 613330941 /nfs/dbraw/zinc/33/09/41/613330941.db2.gz AVNYOSKEPGHYIP-HIFRSBDPSA-N 0 1 258.321 0.856 20 30 CCEDMN C=C(C)CONC(=O)NC[C@@H]1CN(C)CCN1C ZINC000845598212 613353466 /nfs/dbraw/zinc/35/34/66/613353466.db2.gz ZQJPZWYGEZYORS-LLVKDONJSA-N 0 1 256.350 0.039 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)CCCCc1cn[nH]n1 ZINC000882898679 613357210 /nfs/dbraw/zinc/35/72/10/613357210.db2.gz RIHZUCNMFDHYEI-GFCCVEGCSA-N 0 1 266.345 0.961 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)[O-] ZINC000910292740 613358864 /nfs/dbraw/zinc/35/88/64/613358864.db2.gz IBKWNOBXQBYFHO-MNOVXSKESA-N 0 1 286.397 0.959 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N(C)CC1CN(C)C1 ZINC000912452862 613362848 /nfs/dbraw/zinc/36/28/48/613362848.db2.gz BMYZADTUJVDUNB-CHWSQXEVSA-N 0 1 252.358 0.988 20 30 CCEDMN C=C(CN(C)C)C(=O)N1CC[C@H]2CC[C@@H](C1)[S@]2=O ZINC000863058201 613366308 /nfs/dbraw/zinc/36/63/08/613366308.db2.gz LRQZTRXQHNBAAT-SOZUMNATSA-N 0 1 270.398 0.616 20 30 CCEDMN C=C(Cl)CN1CC([C@@H](C)NC(=O)c2ncn[nH]2)C1 ZINC000970609118 613371967 /nfs/dbraw/zinc/37/19/67/613371967.db2.gz RLPWYOWSQRRXGM-MRVPVSSYSA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(Cl)CN1CC([C@@H](C)NC(=O)c2nc[nH]n2)C1 ZINC000970609118 613371968 /nfs/dbraw/zinc/37/19/68/613371968.db2.gz RLPWYOWSQRRXGM-MRVPVSSYSA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2nnc(C)o2)C1 ZINC000968571967 613384717 /nfs/dbraw/zinc/38/47/17/613384717.db2.gz IWOILJBNOPWEDM-SNVBAGLBSA-N 0 1 284.747 0.864 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC000970521672 613385052 /nfs/dbraw/zinc/38/50/52/613385052.db2.gz TXFULAHCGJJECY-ZJUUUORDSA-N 0 1 271.748 0.208 20 30 CCEDMN C=CCSCCNC(=O)C(=O)NCc1cc(N)ccn1 ZINC000884485798 613395213 /nfs/dbraw/zinc/39/52/13/613395213.db2.gz HMFHDCUCSPPDLN-UHFFFAOYSA-N 0 1 294.380 0.315 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CCN(CC(=O)NC)C[C@@H]2C)C1 ZINC000942602669 613396891 /nfs/dbraw/zinc/39/68/91/613396891.db2.gz LZRXCSOVFLMIKM-QWHCGFSZSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CC[C@H](CC)NC(=O)NCc1n[nH]c(=O)n1C ZINC000888956210 613414777 /nfs/dbraw/zinc/41/47/77/613414777.db2.gz LWXMZZCFFRYVCL-QMMMGPOBSA-N 0 1 253.306 0.675 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000815519641 613454184 /nfs/dbraw/zinc/45/41/84/613454184.db2.gz DJMMDGDHKYGDDD-SSDOTTSWSA-N 0 1 273.243 0.311 20 30 CCEDMN C=CCC1(S(=O)(=O)[N-]C(=O)Cn2c[nH+]cc2C)CC1 ZINC000860772909 613460827 /nfs/dbraw/zinc/46/08/27/613460827.db2.gz BTGQSVSTPZPBGJ-UHFFFAOYSA-N 0 1 283.353 0.746 20 30 CCEDMN C=CCCCCCN1CCN2[C@H](CNS2(=O)=O)C1 ZINC000933100878 613465271 /nfs/dbraw/zinc/46/52/71/613465271.db2.gz NUSXXAXTQSPPAN-GFCCVEGCSA-N 0 1 273.402 0.567 20 30 CCEDMN C=CCCCCNC(=O)NCc1n[nH]c(=O)n1C ZINC000888824221 613466329 /nfs/dbraw/zinc/46/63/29/613466329.db2.gz GYURUUWONQZFHG-UHFFFAOYSA-N 0 1 253.306 0.676 20 30 CCEDMN C=C[C@H](C)ONC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000847392098 613487030 /nfs/dbraw/zinc/48/70/30/613487030.db2.gz LNOTYPLPUWRYMY-IUCAKERBSA-N 0 1 281.316 0.962 20 30 CCEDMN C=C[C@H](C)O[N-]C(=O)[C@H]1CC(=O)N(CC[NH+](C)C)C1 ZINC000846543938 613488348 /nfs/dbraw/zinc/48/83/48/613488348.db2.gz DDNGURXRWNFNJN-QWRGUYRKSA-N 0 1 269.345 0.019 20 30 CCEDMN C=C[C@H](O)C(=O)N(CCC(=O)[O-])C[C@@H]1CCC[N@@H+]1CC ZINC000911283165 613493428 /nfs/dbraw/zinc/49/34/28/613493428.db2.gz AYTHPVLSQBZZAB-RYUDHWBXSA-N 0 1 284.356 0.321 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC000949029805 613505485 /nfs/dbraw/zinc/50/54/85/613505485.db2.gz QHTPHIAULSJZIV-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCCN2C(C)=O)CC1 ZINC000957823543 613508494 /nfs/dbraw/zinc/50/84/94/613508494.db2.gz YANPOMOZYIIHSM-CQSZACIVSA-N 0 1 279.384 0.718 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC[C@H]1c1nnc[nH]1 ZINC000899106919 613509154 /nfs/dbraw/zinc/50/91/54/613509154.db2.gz VLCYESLZDHVZHL-CABZTGNLSA-N 0 1 250.302 0.795 20 30 CCEDMN CC#CC(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000862958377 613517465 /nfs/dbraw/zinc/51/74/65/613517465.db2.gz DKWQQAFDYYPCFL-UHFFFAOYSA-N 0 1 250.342 0.723 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CN(CC)CCO2)C1 ZINC000970905474 613530397 /nfs/dbraw/zinc/53/03/97/613530397.db2.gz VWBCDDWUGXYUPI-HIFRSBDPSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2COCCN2CC)C1 ZINC000970002450 613530773 /nfs/dbraw/zinc/53/07/73/613530773.db2.gz WQBTXGNGVAPAAH-HIFRSBDPSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3ncn(C)n3)C2)C1 ZINC000981751558 613544118 /nfs/dbraw/zinc/54/41/18/613544118.db2.gz QQNGWIFRXCNUEM-UHFFFAOYSA-N 0 1 287.367 0.376 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3ccnn3C)C2)C1 ZINC000981658439 613544328 /nfs/dbraw/zinc/54/43/28/613544328.db2.gz JLIIGEIJKQNVQB-UHFFFAOYSA-N 0 1 286.379 0.981 20 30 CCEDMN C=CCN(C(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1)C(C)C ZINC000852917644 613602135 /nfs/dbraw/zinc/60/21/35/613602135.db2.gz PHQWCTSNPZZCQS-GHMZBOCLSA-N 0 1 271.336 0.307 20 30 CCEDMN C=CCN(C(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2)C(C)C ZINC000817931589 613602485 /nfs/dbraw/zinc/60/24/85/613602485.db2.gz MMPSKAMWOMZVCC-BETUJISGSA-N 0 1 279.384 0.762 20 30 CCEDMN C=CCN(C(=O)C1CN([C@H]2CCOC2)C1)[C@@H](C)COC ZINC000912311854 613604492 /nfs/dbraw/zinc/60/44/92/613604492.db2.gz AOTWSBGGPQKIJM-JSGCOSHPSA-N 0 1 282.384 0.757 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ncn(C)n2)C[C@H]1C ZINC000947280516 613607046 /nfs/dbraw/zinc/60/70/46/613607046.db2.gz BRBLTULRIYXQAL-NEPJUHHUSA-N 0 1 275.356 0.421 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2cncn2C)C(C)(C)C1 ZINC000977455204 613608918 /nfs/dbraw/zinc/60/89/18/613608918.db2.gz RORWHVNUKKWKQP-CQSZACIVSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2[nH]ccc2C)C1 ZINC000958030650 613612745 /nfs/dbraw/zinc/61/27/45/613612745.db2.gz KJIJNBQXHOKACD-QWHCGFSZSA-N 0 1 275.352 0.369 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)no2)C1 ZINC000957859226 613612901 /nfs/dbraw/zinc/61/29/01/613612901.db2.gz MXWXJQGWXVYEAK-NWDGAFQWSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(Cl)c[nH]2)C1 ZINC000957869968 613612955 /nfs/dbraw/zinc/61/29/55/613612955.db2.gz YNWRVMUMGPZHFP-GXFFZTMASA-N 0 1 295.770 0.714 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2csc(C)n2)C1 ZINC000958339017 613614188 /nfs/dbraw/zinc/61/41/88/613614188.db2.gz JXVUEGVUNGURIP-WCQYABFASA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc(Cl)no2)[C@H](O)C1 ZINC000958610907 613614456 /nfs/dbraw/zinc/61/44/56/613614456.db2.gz WQDLXLRWHKMLMQ-NXEZZACHSA-N 0 1 297.742 0.374 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)C2CCC2)C1 ZINC000957802106 613618743 /nfs/dbraw/zinc/61/87/43/613618743.db2.gz BEDXCZQAZHKOGT-STQMWFEESA-N 0 1 250.342 0.219 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C2CN(C(C)=O)C2)C(C)(C)C1 ZINC000974503203 613620061 /nfs/dbraw/zinc/62/00/61/613620061.db2.gz JQMQUAJKYWIYTH-AWEZNQCLSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C[C@@H]2COC(=O)C2)C(C)(C)C1 ZINC000973038412 613620079 /nfs/dbraw/zinc/62/00/79/613620079.db2.gz NQZYZXCUWAMYPL-STQMWFEESA-N 0 1 292.379 0.790 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2ccnn2C)C(C)(C)C1 ZINC000974527623 613620915 /nfs/dbraw/zinc/62/09/15/613620915.db2.gz ZFMKQTYPODKWPJ-AWEZNQCLSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H]2COCCN2C)C(C)(C)C1 ZINC000974484939 613622084 /nfs/dbraw/zinc/62/20/84/613622084.db2.gz ORCQIXTXYMIZIL-KBPBESRZSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(Cl)[nH]2)C1 ZINC000958384336 613624996 /nfs/dbraw/zinc/62/49/96/613624996.db2.gz HSZJOXRYGMMGBV-PWSUYJOCSA-N 0 1 295.770 0.714 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccccc2O)C1 ZINC000957877941 613625199 /nfs/dbraw/zinc/62/51/99/613625199.db2.gz DTDRLDRVQDLPCN-DOMZBBRYSA-N 0 1 288.347 0.438 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccncc2C)C1 ZINC000958057570 613625850 /nfs/dbraw/zinc/62/58/50/613625850.db2.gz WDOBVYLAHIPCCL-HIFRSBDPSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc(C)cn1 ZINC000958348001 613634877 /nfs/dbraw/zinc/63/48/77/613634877.db2.gz LLEHVDZHHWTQMJ-NHAGDIPZSA-N 0 1 272.352 0.261 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2nc[nH]c2n1 ZINC000960509544 613640778 /nfs/dbraw/zinc/64/07/78/613640778.db2.gz SGSKNVRZAZVZKX-YABSGUDNSA-N 0 1 295.346 0.641 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cscn1 ZINC000958392044 613642303 /nfs/dbraw/zinc/64/23/03/613642303.db2.gz GOACGSYIQYRFSK-IAZYJMLFSA-N 0 1 261.350 0.826 20 30 CCEDMN C=CCN1CC(=O)N(C2CCN([C@@H]3CCOC3)CC2)C1=O ZINC000853087115 613652227 /nfs/dbraw/zinc/65/22/27/613652227.db2.gz XIKBOUQVXIOZPJ-CYBMUJFWSA-N 0 1 293.367 0.690 20 30 CCEDMN CC(=NNCCO)c1cccc(N2CCOCC2)c1 ZINC000814942108 613655637 /nfs/dbraw/zinc/65/56/37/613655637.db2.gz JKMQUPODCYQLBT-UHFFFAOYSA-N 0 1 263.341 0.829 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(N(C)C)nn2)C1 ZINC000970743380 613665589 /nfs/dbraw/zinc/66/55/89/613665589.db2.gz FDCICJUYQIKSLA-LLVKDONJSA-N 0 1 289.383 0.779 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2noc3c2COCC3)C1 ZINC000970910021 613668211 /nfs/dbraw/zinc/66/82/11/613668211.db2.gz KDVISJUSODRWOG-SNVBAGLBSA-N 0 1 291.351 0.983 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC000969479230 613670132 /nfs/dbraw/zinc/67/01/32/613670132.db2.gz JNFMKUMWASPSQQ-QWRGUYRKSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2COCCO2)C1 ZINC000969324917 613670148 /nfs/dbraw/zinc/67/01/48/613670148.db2.gz VHEKSFPBAGVQES-CMPLNLGQSA-N 0 1 254.330 0.024 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000969907555 613670174 /nfs/dbraw/zinc/67/01/74/613670174.db2.gz LUGDQPNTFQYAGH-QMMMGPOBSA-N 0 1 264.329 0.660 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cn(CCC)nn2)C1 ZINC000970663306 613672452 /nfs/dbraw/zinc/67/24/52/613672452.db2.gz RKVJRCCPCMISNR-NSHDSACASA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnn3ccncc23)C1 ZINC000969850471 613673168 /nfs/dbraw/zinc/67/31/68/613673168.db2.gz BFGNOZXRNVYFBH-NSHDSACASA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2n[nH]cc2F)C1 ZINC000970376723 613673766 /nfs/dbraw/zinc/67/37/66/613673766.db2.gz MGHZLXPMRBJTPK-QMMMGPOBSA-N 0 1 252.293 0.785 20 30 CCEDMN C=CCN1CCCN(C(=O)CN2CCCCC2=O)CC1 ZINC000981534809 613689520 /nfs/dbraw/zinc/68/95/20/613689520.db2.gz IEMOOEUAXSFTGZ-UHFFFAOYSA-N 0 1 279.384 0.719 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CC(=O)N(C(C)C)C2)CC1 ZINC000981272253 613690585 /nfs/dbraw/zinc/69/05/85/613690585.db2.gz AMRCYBCUURQAQL-CQSZACIVSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCNC(=O)CC2)CC1 ZINC000981692685 613691709 /nfs/dbraw/zinc/69/17/09/613691709.db2.gz FWUGMXQURBMONP-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CC(=O)N[C@H](C)C2)CC1 ZINC000981518395 613691819 /nfs/dbraw/zinc/69/18/19/613691819.db2.gz XYIMGWDRCILINQ-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)CC1 ZINC000957311370 613711845 /nfs/dbraw/zinc/71/18/45/613711845.db2.gz LYQQLIMBKCGRIL-LLVKDONJSA-N 0 1 275.356 0.240 20 30 CCEDMN C=CCN1CCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)CC1 ZINC000957311370 613711846 /nfs/dbraw/zinc/71/18/46/613711846.db2.gz LYQQLIMBKCGRIL-LLVKDONJSA-N 0 1 275.356 0.240 20 30 CCEDMN C=CCN1CCN(CN2CC[C@@H](Cn3ccnn3)C2)C1=O ZINC000853524941 613720596 /nfs/dbraw/zinc/72/05/96/613720596.db2.gz PABJUPVGJXMXPM-CYBMUJFWSA-N 0 1 290.371 0.481 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC000967420232 613733036 /nfs/dbraw/zinc/73/30/36/613733036.db2.gz SJLZNQJREBWQHP-TZMCWYRMSA-N 0 1 291.399 0.853 20 30 CCEDMN C=CCN1CC[C@@H](N2CCCC3(CN(C(C)=O)C3)C2)C1=O ZINC000981567213 613738970 /nfs/dbraw/zinc/73/89/70/613738970.db2.gz GTEJQYSSMRPXIH-CQSZACIVSA-N 0 1 291.395 0.718 20 30 CCEDMN C=CCN1CC[C@@H](n2cc(CNC(C)C)nn2)C1=O ZINC000905804442 613766612 /nfs/dbraw/zinc/76/66/12/613766612.db2.gz MRWJWOZSQMIUAI-GFCCVEGCSA-N 0 1 263.345 0.736 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC000965763120 613772046 /nfs/dbraw/zinc/77/20/46/613772046.db2.gz MIIRRNCUYGEBHQ-WCQYABFASA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967726453 613774534 /nfs/dbraw/zinc/77/45/34/613774534.db2.gz VMLJPHWNSCBJFK-WDEREUQCSA-N 0 1 278.356 0.752 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cc2c[nH]cn2)C1=O ZINC000907958564 613776346 /nfs/dbraw/zinc/77/63/46/613776346.db2.gz GTEWYIJNIMZLGF-NSHDSACASA-N 0 1 262.313 0.198 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](O)CC2(O)CCCC2)C1=O ZINC000878549540 613777382 /nfs/dbraw/zinc/77/73/82/613777382.db2.gz HLHCYZFULOOZEM-KBPBESRZSA-N 0 1 296.411 0.761 20 30 CCEDMN C=CCN1CC[C@H](N2CCC([C@H]3COC(=O)N3)CC2)C1=O ZINC000932773931 613777803 /nfs/dbraw/zinc/77/78/03/613777803.db2.gz SGGHQHQWDQPICA-OLZOCXBDSA-N 0 1 293.367 0.594 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(OCC)no2)C1 ZINC000958267078 613825035 /nfs/dbraw/zinc/82/50/35/613825035.db2.gz INIGDSYBEKBOEL-QWRGUYRKSA-N 0 1 295.339 0.282 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C2CC(=O)NC(=O)C2)C(C)(C)C1 ZINC000977332406 613826531 /nfs/dbraw/zinc/82/65/31/613826531.db2.gz YDWCBLKQGPNGCV-NSHDSACASA-N 0 1 293.367 0.052 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCC[C@H]([C@@]2(C)COC(=O)N2)C1 ZINC000932028823 613845397 /nfs/dbraw/zinc/84/53/97/613845397.db2.gz HZSSMWZDKNJJGB-SMDDNHRTSA-N 0 1 281.356 0.499 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H]([C@@]2(C)COC(=O)N2)C1 ZINC000932028823 613845398 /nfs/dbraw/zinc/84/53/98/613845398.db2.gz HZSSMWZDKNJJGB-SMDDNHRTSA-N 0 1 281.356 0.499 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)C[C@@H]1CC(C)C ZINC000933245587 613849649 /nfs/dbraw/zinc/84/96/49/613849649.db2.gz ZAIXCEWDIOBSBL-ZDUSSCGKSA-N 0 1 296.415 0.660 20 30 CCEDMN C=CCOCCCC(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000913495222 613879592 /nfs/dbraw/zinc/87/95/92/613879592.db2.gz IJSZFEWQWQZNBL-SNVBAGLBSA-N 0 1 281.316 0.082 20 30 CCEDMN C=CCOCCCNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000898781779 613880034 /nfs/dbraw/zinc/88/00/34/613880034.db2.gz DTETYOHDLFAKOZ-ZDUSSCGKSA-N 0 1 268.357 0.416 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@](O)(CC)C(F)(F)F ZINC000905204997 613885660 /nfs/dbraw/zinc/88/56/60/613885660.db2.gz RMUMRNKVUVFTAO-SECBINFHSA-N 0 1 289.319 0.880 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@H](O)c1ccccc1 ZINC000819221178 613885715 /nfs/dbraw/zinc/88/57/15/613885715.db2.gz CHSSTSOCKPYGSF-ZDUSSCGKSA-N 0 1 269.366 0.910 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@H](O)C(F)(F)F ZINC000819221968 613885748 /nfs/dbraw/zinc/88/57/48/613885748.db2.gz KIKPBFNROFUVIT-ZETCQYMHSA-N 0 1 261.265 0.100 20 30 CCEDMN C=CCS(=O)(=O)[N-]C(=O)[C@]1(F)CC[N@H+](C(C)(C)C)C1 ZINC000810644187 613886354 /nfs/dbraw/zinc/88/63/54/613886354.db2.gz UOXYZHNKJHRKMR-LBPRGKRZSA-N 0 1 292.376 0.831 20 30 CCEDMN CC(C)CNC(=O)CON=C(N)CN1CCCCCC1 ZINC000106437650 614136937 /nfs/dbraw/zinc/13/69/37/614136937.db2.gz CQQRLBCZGYANCA-UHFFFAOYSA-N 0 1 284.404 0.923 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N[C@H]1C(=O)NCC1(C)C ZINC000931662802 614180865 /nfs/dbraw/zinc/18/08/65/614180865.db2.gz CVUKYOQHHIDQJG-ZIBATOQPSA-N 0 1 294.399 0.544 20 30 CCEDMN CC(=O)c1c(C)[nH]c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1C ZINC000834505079 614245034 /nfs/dbraw/zinc/24/50/34/614245034.db2.gz HSRSWCSCIOSQLM-GHMZBOCLSA-N 0 1 274.324 0.676 20 30 CCEDMN CC(=O)c1ccccc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000843462738 614258822 /nfs/dbraw/zinc/25/88/22/614258822.db2.gz SGJCBMMTJMQVBD-GXFFZTMASA-N 0 1 257.293 0.731 20 30 CCEDMN CC(C)N(CCO)Cc1cnc2c(C#N)cnn2c1 ZINC000843391195 614294092 /nfs/dbraw/zinc/29/40/92/614294092.db2.gz RBHPOZOCMUZBMT-UHFFFAOYSA-N 0 1 259.313 0.804 20 30 CCEDMN CCC(CC)N1C[C@@H](C(=O)NCC#CCN(C)C)CC1=O ZINC000913516787 614570614 /nfs/dbraw/zinc/57/06/14/614570614.db2.gz GAVZUJUFKXFFOX-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN CC1(C)CN(CC#N)C[C@@H]1NC(=O)c1[nH]ncc1F ZINC000975015355 614608051 /nfs/dbraw/zinc/60/80/51/614608051.db2.gz MRKFXXDPDLDCGM-VIFPVBQESA-N 0 1 265.292 0.513 20 30 CCEDMN CC1(C)CN(CC#N)C[C@H]1NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000974674082 614609343 /nfs/dbraw/zinc/60/93/43/614609343.db2.gz HTNXGEFMIAPZMB-NQBHXWOUSA-N 0 1 287.367 0.863 20 30 CCEDMN CCN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CN(CC#N)C1 ZINC000950549376 614652200 /nfs/dbraw/zinc/65/22/00/614652200.db2.gz CDZUHZCSMQSPEP-VXGBXAGGSA-N 0 1 273.340 0.569 20 30 CCEDMN CCN(C(=O)c1cc(C2CC2)[nH]n1)C1CN(CC#N)C1 ZINC000952325329 614715287 /nfs/dbraw/zinc/71/52/87/614715287.db2.gz YDHBJGKVJXKAHD-UHFFFAOYSA-N 0 1 273.340 0.957 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H]1c1nnc[nH]1 ZINC000931136335 615021361 /nfs/dbraw/zinc/02/13/61/615021361.db2.gz OFMOJLWZBLUMEK-NSHDSACASA-N 0 1 276.344 0.704 20 30 CCEDMN CCN(CCC(=O)NC)CC(=O)NC1(C#N)CCC1 ZINC000933861525 615030852 /nfs/dbraw/zinc/03/08/52/615030852.db2.gz BMAKOMLJHSMNRU-UHFFFAOYSA-N 0 1 266.345 0.007 20 30 CCEDMN CCN(CCNC(=O)NCC#CCO)CCC(F)(F)F ZINC000923770777 615049256 /nfs/dbraw/zinc/04/92/56/615049256.db2.gz BGTDICQIWQVIBZ-UHFFFAOYSA-N 0 1 295.305 0.556 20 30 CCEDMN CC[N@@H+](CCNC(=O)[C@@](C)(C#N)CC(=O)[O-])C(C)C ZINC000820344006 615050536 /nfs/dbraw/zinc/05/05/36/615050536.db2.gz JTJLJQNVYDVZED-CYBMUJFWSA-N 0 1 269.345 0.837 20 30 CCEDMN CCN1CCC(NS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000906832010 615101381 /nfs/dbraw/zinc/10/13/81/615101381.db2.gz HOPUSDRUMWQZEO-UHFFFAOYSA-N 0 1 294.380 0.716 20 30 CCEDMN CCNc1nc(=NN=Cc2cnn(C)c2)nc(NCC)[nH]1 ZINC000917209249 615140885 /nfs/dbraw/zinc/14/08/85/615140885.db2.gz YZEDAEAIVUVHIJ-UHFFFAOYSA-N 0 1 289.347 0.915 20 30 CCEDMN CCN1CC[NH+](CC(C)(C)NC(=O)C=NOC)CC1 ZINC000857300184 615156478 /nfs/dbraw/zinc/15/64/78/615156478.db2.gz NUZIXJZBFCHRCS-UHFFFAOYSA-N 0 1 270.377 0.151 20 30 CCEDMN CCN1CCN(CC(C)(C)NC(=O)C=NOC)CC1 ZINC000857300184 615156479 /nfs/dbraw/zinc/15/64/79/615156479.db2.gz NUZIXJZBFCHRCS-UHFFFAOYSA-N 0 1 270.377 0.151 20 30 CCEDMN CC[N@H+]1CC[C@@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820347945 615204293 /nfs/dbraw/zinc/20/42/93/615204293.db2.gz BCEIWGSVIUMBJN-GWCFXTLKSA-N 0 1 267.329 0.449 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)NCc1nc(C#N)cs1 ZINC000896459397 615251888 /nfs/dbraw/zinc/25/18/88/615251888.db2.gz FJLFSJFZGANVTE-SNVBAGLBSA-N 0 1 279.369 0.908 20 30 CCEDMN CCOCCNC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000867927139 615262302 /nfs/dbraw/zinc/26/23/02/615262302.db2.gz CAAYULLXVIXUIM-AWEZNQCLSA-N 0 1 273.336 0.898 20 30 CCEDMN CCOCCNC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000867927140 615262326 /nfs/dbraw/zinc/26/23/26/615262326.db2.gz CAAYULLXVIXUIM-CQSZACIVSA-N 0 1 273.336 0.898 20 30 CCEDMN CCS(=O)(=O)N[C@@H](C)C(=O)Nc1cc(C#N)ccc1O ZINC000831272613 615351119 /nfs/dbraw/zinc/35/11/19/615351119.db2.gz XQNUHSZGEKAUCU-QMMMGPOBSA-N 0 1 297.336 0.530 20 30 CCEDMN CCOC(=O)c1cc(C(=O)NCC#CCN(C)C)[nH]c1C ZINC000913523285 615399991 /nfs/dbraw/zinc/39/99/91/615399991.db2.gz SZFBTELITJODAW-UHFFFAOYSA-N 0 1 291.351 0.795 20 30 CCEDMN CCO[C@@H]1COCC[C@@H]1CC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000870940161 615421235 /nfs/dbraw/zinc/42/12/35/615421235.db2.gz GJADKXIJIYCGGY-FDYHWXHSSA-N 0 1 281.356 0.046 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NCCCOC)C1CC1 ZINC000860462378 615476708 /nfs/dbraw/zinc/47/67/08/615476708.db2.gz UGTDTMSLZJAVLR-AAEUAGOBSA-N 0 1 282.340 0.663 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC1CC1)[C@@H]1CCOC1 ZINC000870143892 615476710 /nfs/dbraw/zinc/47/67/10/615476710.db2.gz YQCSPRITBGZWMJ-CDMKHQONSA-N 0 1 280.324 0.415 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC1CC1)[C@H]1CCOC1 ZINC000870143893 615476747 /nfs/dbraw/zinc/47/67/47/615476747.db2.gz YQCSPRITBGZWMJ-GAFUQQFSSA-N 0 1 280.324 0.415 20 30 CCEDMN CCOc1ccc(OCC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834506329 615512345 /nfs/dbraw/zinc/51/23/45/615512345.db2.gz RXXXKFSGQYRPBB-RISCZKNCSA-N 0 1 289.335 0.692 20 30 CCEDMN CC[C@@H](N[NH+]=Cc1cnc(N(C)C)nc1)C(=O)[O-] ZINC000814936586 615536506 /nfs/dbraw/zinc/53/65/06/615536506.db2.gz AUIWGUVRCGKIJI-SECBINFHSA-N 0 1 251.290 0.329 20 30 CCEDMN CCc1c(C(=O)N[C@@H]2CNC[C@@H]2C#N)cnn1CC(C)C ZINC000834480691 615605676 /nfs/dbraw/zinc/60/56/76/615605676.db2.gz UWTHOXPZTKWDOJ-WCQYABFASA-N 0 1 289.383 0.943 20 30 CCEDMN CCn1nc(C)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1Cl ZINC000843459749 615647100 /nfs/dbraw/zinc/64/71/00/615647100.db2.gz HSZGKIUKCVYZKG-RKDXNWHRSA-N 0 1 281.747 0.706 20 30 CCEDMN CCn1nc(C)c(C(=O)N[C@H]2CNC[C@H]2C#N)c1C ZINC000843458912 615648293 /nfs/dbraw/zinc/64/82/93/615648293.db2.gz BIQGXKWPHBATRT-MNOVXSKESA-N 0 1 261.329 0.361 20 30 CCEDMN CCc1ccc(CC(=O)N[C@H]2CNC[C@H]2C#N)nc1 ZINC000884249404 615672667 /nfs/dbraw/zinc/67/26/67/615672667.db2.gz KWSBBRDBYYHXIM-YPMHNXCESA-N 0 1 258.325 0.414 20 30 CCEDMN CCn1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)c1C1CC1 ZINC000884250294 615691760 /nfs/dbraw/zinc/69/17/60/615691760.db2.gz BHMBPHQMTFRZGC-PWSUYJOCSA-N 0 1 273.340 0.622 20 30 CCEDMN CC[C@H](O)CNN=Cc1c(C)nn(C)c1N1CCOCC1 ZINC000811656777 615830132 /nfs/dbraw/zinc/83/01/32/615830132.db2.gz WALPVPOQRRHJCZ-LBPRGKRZSA-N 0 1 295.387 0.260 20 30 CCEDMN CN(C)C(=O)c1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834493152 615834776 /nfs/dbraw/zinc/83/47/76/615834776.db2.gz BBXNSTQVYRULNW-OLZOCXBDSA-N 0 1 286.335 0.230 20 30 CCEDMN CN(C)C1(C(=O)NCCNc2ncccc2C#N)CC1 ZINC000823627302 615842202 /nfs/dbraw/zinc/84/22/02/615842202.db2.gz QKQPNJRASCALDO-UHFFFAOYSA-N 0 1 273.340 0.576 20 30 CCEDMN CN(C)CC#CCNC(=O)CN1CSCCSC1 ZINC000913524313 615858506 /nfs/dbraw/zinc/85/85/06/615858506.db2.gz HYTXLZXNGFZNDB-UHFFFAOYSA-N 0 1 287.454 0.365 20 30 CCEDMN CN(C)CC#CCNC(=O)CCCOC1CCOCC1 ZINC000913525472 615857737 /nfs/dbraw/zinc/85/77/37/615857737.db2.gz YSSGYPSEGLNREY-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@@H]1CCCC[C@H]1O ZINC000913521515 615858428 /nfs/dbraw/zinc/85/84/28/615858428.db2.gz CBIABTDYHUNAHZ-QWHCGFSZSA-N 0 1 252.358 0.609 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc(-n2ccnn2)cc1 ZINC000930130882 615859189 /nfs/dbraw/zinc/85/91/89/615859189.db2.gz YPTGHAZXQIPRFB-UHFFFAOYSA-N 0 1 298.350 0.954 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CC(c2ccccn2)=NO1 ZINC000913524327 615859512 /nfs/dbraw/zinc/85/95/12/615859512.db2.gz IKQSCJRKWDQWFS-AWEZNQCLSA-N 0 1 286.335 0.256 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC000913519037 615860344 /nfs/dbraw/zinc/86/03/44/615860344.db2.gz KQIMBDJRHMAJLC-NSHDSACASA-N 0 1 260.341 0.196 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(-c2ccccc2)n1 ZINC000913515919 615861830 /nfs/dbraw/zinc/86/18/30/615861830.db2.gz SQPWKZZQQNSUAN-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CN(C)CC(=O)N1CCC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC000928722755 615863295 /nfs/dbraw/zinc/86/32/95/615863295.db2.gz LHHOYFJJMGFFOA-CYBMUJFWSA-N 0 1 287.367 0.918 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)Cc1csc(C#N)c1 ZINC000914215968 615893722 /nfs/dbraw/zinc/89/37/22/615893722.db2.gz XZKMKJHSFRLPGI-UHFFFAOYSA-N 0 1 287.410 0.943 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)C(C)(C)c2c[nH]cn2)C1 ZINC000972730583 616039986 /nfs/dbraw/zinc/03/99/86/616039986.db2.gz XTXKYAHMVGSDBH-LLVKDONJSA-N 0 1 275.356 0.744 20 30 CCEDMN CN(CCN1CC2(C1)CCOCC2)c1cc(C#N)ncn1 ZINC000866257520 616085844 /nfs/dbraw/zinc/08/58/44/616085844.db2.gz JCIZGXBHIJOZMI-UHFFFAOYSA-N 0 1 287.367 0.897 20 30 CCEDMN CN(Cc1cnn(C)c1)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971512339 616096822 /nfs/dbraw/zinc/09/68/22/616096822.db2.gz BAZCKLTVDNBRTR-OAHLLOKOSA-N 0 1 286.379 0.866 20 30 CCEDMN C[N@@H+](CCNc1ncccc1C#N)CCOCCO ZINC000882951705 616097820 /nfs/dbraw/zinc/09/78/20/616097820.db2.gz RDXDYAJKTAZANO-UHFFFAOYSA-N 0 1 264.329 0.306 20 30 CCEDMN CN(CCc1cccc(C#N)c1)C(=O)Cc1ncn[nH]1 ZINC000869482715 616124445 /nfs/dbraw/zinc/12/44/45/616124445.db2.gz DYAIPAREGIFPFP-UHFFFAOYSA-N 0 1 269.308 0.920 20 30 CCEDMN CN(CCn1cnnn1)C[C@H](O)c1cccc(C#N)c1 ZINC000878802969 616129440 /nfs/dbraw/zinc/12/94/40/616129440.db2.gz VMKWCBJKWHKJMR-ZDUSSCGKSA-N 0 1 272.312 0.210 20 30 CCEDMN CN1CC2(C1)CCN(C(=O)[C@@]1(C#N)CCCOC1)C2 ZINC000867835119 616164541 /nfs/dbraw/zinc/16/45/41/616164541.db2.gz XAZSMYNZJIBWJY-CQSZACIVSA-N 0 1 263.341 0.471 20 30 CCEDMN CN1CCCc2cc(C=NNC3=NCCN3)ccc21 ZINC000841659179 616219442 /nfs/dbraw/zinc/21/94/42/616219442.db2.gz MFCMPJJTUOYAEG-UHFFFAOYSA-N 0 1 257.341 0.952 20 30 CCEDMN CN1CCN(C(=O)[C@H]2NCCc3cc(C#N)ccc32)CC1 ZINC000876789847 616228895 /nfs/dbraw/zinc/22/88/95/616228895.db2.gz LBXAMKOAHJGSJW-HNNXBMFYSA-N 0 1 284.363 0.519 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCc1ccc(C#N)cn1 ZINC000908213932 616321025 /nfs/dbraw/zinc/32/10/25/616321025.db2.gz FMGFXGPNZDSUHU-JSGCOSHPSA-N 0 1 274.324 0.025 20 30 CCEDMN CN1C[C@@H](O)C[C@H]1CNC(=O)c1ccc(F)c(C#N)c1 ZINC000924981960 616331911 /nfs/dbraw/zinc/33/19/11/616331911.db2.gz MVZPYJVOGVKRQZ-RYUDHWBXSA-N 0 1 277.299 0.492 20 30 CCEDMN CN1C[C@@H](O)C[C@H]1CNC(=O)c1ccccc1CC#N ZINC000924992552 616333995 /nfs/dbraw/zinc/33/39/95/616333995.db2.gz LSZZHLJOVUUNPG-STQMWFEESA-N 0 1 273.336 0.547 20 30 CCEDMN COCC[N@H+]1CCC[C@@H]1CNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820730705 616347007 /nfs/dbraw/zinc/34/70/07/616347007.db2.gz YMGGCUSWVRDJBZ-RISCZKNCSA-N 0 1 297.355 0.218 20 30 CCEDMN CN1C[C@H](O)C[C@@H]1CNC(=O)c1ccccc1CC#N ZINC000924992543 616463158 /nfs/dbraw/zinc/46/31/58/616463158.db2.gz LSZZHLJOVUUNPG-CHWSQXEVSA-N 0 1 273.336 0.547 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCC#C[C@H]1CCCCO1 ZINC000891106680 616471929 /nfs/dbraw/zinc/47/19/29/616471929.db2.gz LMHYZZWWZSPSEI-NFAWXSAZSA-N 0 1 280.368 0.130 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H](C)C1CCC1 ZINC000838788288 616492011 /nfs/dbraw/zinc/49/20/11/616492011.db2.gz STWOUIGVQLBCEW-KOLCDFICSA-N 0 1 252.314 0.894 20 30 CCEDMN COCCNC(=O)[C@H](C#N)C(=O)C(C)=Cc1cccnc1 ZINC000847623456 616495147 /nfs/dbraw/zinc/49/51/47/616495147.db2.gz KREFFKKWDBZEMI-BIRHUZIMSA-N 0 1 287.319 0.956 20 30 CCEDMN COC(=O)CCCCC(=O)NCC#CCN(C)C ZINC000913521197 616522475 /nfs/dbraw/zinc/52/24/75/616522475.db2.gz OIJSIFKPYQMGOR-UHFFFAOYSA-N 0 1 254.330 0.401 20 30 CCEDMN COCC#CCN1CCN(CCc2ccncc2)CC1 ZINC000931343648 616527441 /nfs/dbraw/zinc/52/74/41/616527441.db2.gz KIUQCHPHWODZLG-UHFFFAOYSA-N 0 1 273.380 0.892 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CNC(=O)OC(C)(C)C ZINC000830457263 616527613 /nfs/dbraw/zinc/52/76/13/616527613.db2.gz QNGSMUJAMWGWSY-LBPRGKRZSA-N 0 1 286.372 0.454 20 30 CCEDMN COCC#CCN1CCC[C@@](O)(C2(C(=O)OC)CCC2)C1 ZINC000933839783 616527683 /nfs/dbraw/zinc/52/76/83/616527683.db2.gz XDFLMSMDDIWENV-INIZCTEOSA-N 0 1 295.379 0.806 20 30 CCEDMN COCC#CCN1CCC([C@H]2COC(=O)N2)CC1 ZINC000932777290 616527775 /nfs/dbraw/zinc/52/77/75/616527775.db2.gz RYIODDOJSIQEQG-GFCCVEGCSA-N 0 1 252.314 0.457 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000871343134 616545810 /nfs/dbraw/zinc/54/58/10/616545810.db2.gz ZVDOPSGPQPAROV-JTQLQIEISA-N 0 1 290.323 0.745 20 30 CCEDMN COC(=O)[C@H](C)NC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000876598889 616582092 /nfs/dbraw/zinc/58/20/92/616582092.db2.gz SXGKRVSBPWEDPW-TVQRCGJNSA-N 0 1 287.319 0.423 20 30 CCEDMN COCCOc1ccc(C=NNC2=NC[C@@H](C)N2)o1 ZINC000901215125 616590919 /nfs/dbraw/zinc/59/09/19/616590919.db2.gz HRGMOMDRZMXKMQ-SECBINFHSA-N 0 1 266.301 0.576 20 30 CCEDMN COCCOc1cccc(C=NNC2=NCCN2)c1 ZINC000841659055 616595634 /nfs/dbraw/zinc/59/56/34/616595634.db2.gz KRKOZTQXDGYDQZ-UHFFFAOYSA-N 0 1 262.313 0.595 20 30 CCEDMN COC[C@H](N)C(=O)N[C@H]1Cc2ccc(C#N)cc2C1 ZINC000871011952 616685367 /nfs/dbraw/zinc/68/53/67/616685367.db2.gz AEGYGRHZQOWUEK-STQMWFEESA-N 0 1 259.309 0.115 20 30 CCEDMN COC(=O)c1cc(C(=O)N[C@H]2CNC[C@H]2C#N)c(C)o1 ZINC000852827571 616827315 /nfs/dbraw/zinc/82/73/15/616827315.db2.gz LGQFRSOLDDOBDS-SCZZXKLOSA-N 0 1 277.280 0.216 20 30 CCEDMN COC[C@@H](Cn1c(=O)[nH]cc(C#N)c1=O)C(C)C ZINC000877890991 616874049 /nfs/dbraw/zinc/87/40/49/616874049.db2.gz YLUZHSGOEMJOCS-SNVBAGLBSA-N 0 1 251.286 0.327 20 30 CCEDMN COC(=O)c1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000834516863 616885900 /nfs/dbraw/zinc/88/59/00/616885900.db2.gz MTLHIQNZVKXMJV-YUMQZZPRSA-N 0 1 279.321 0.376 20 30 CCEDMN COCc1ccnc(NC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000846309274 616909522 /nfs/dbraw/zinc/90/95/22/616909522.db2.gz FJRPQHIUPVVYIM-MNOVXSKESA-N 0 1 275.312 0.461 20 30 CCEDMN COCc1cncc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000852828382 616915046 /nfs/dbraw/zinc/91/50/46/616915046.db2.gz WXDDLEULGDRQHV-VXGBXAGGSA-N 0 1 260.297 0.069 20 30 CCEDMN COC(=O)c1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834490621 616925134 /nfs/dbraw/zinc/92/51/34/616925134.db2.gz WHRYGAYEEHFGCK-NWDGAFQWSA-N 0 1 273.292 0.315 20 30 CCEDMN COCCN(CCO)C[C@@H](O)c1ccc(C#N)cc1 ZINC000127290216 617017219 /nfs/dbraw/zinc/01/72/19/617017219.db2.gz ANUYWYAAHOBQAY-CQSZACIVSA-N 0 1 264.325 0.532 20 30 CCEDMN COCCN(C[C@H](O)CC1(C#N)CCC1)[C@@H](C)C(N)=O ZINC000885999122 617027194 /nfs/dbraw/zinc/02/71/94/617027194.db2.gz FJAOPENNTCQRBT-NWDGAFQWSA-N 0 1 283.372 0.253 20 30 CCEDMN COC[C@H](C)CN=Nc1oc(C)nc1-c1nn[nH]n1 ZINC000821681893 617049795 /nfs/dbraw/zinc/04/97/95/617049795.db2.gz UVEMBQRYVVGPKP-ZCFIWIBFSA-N 0 1 265.277 0.843 20 30 CCEDMN CON=CC(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000816508037 617103863 /nfs/dbraw/zinc/10/38/63/617103863.db2.gz AKYGSBNHDMIAIE-LBPRGKRZSA-N 0 1 269.345 0.332 20 30 CCEDMN CON=Cc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000843463447 617106829 /nfs/dbraw/zinc/10/68/29/617106829.db2.gz WMBJCPBRILSJNH-CHWSQXEVSA-N 0 1 272.308 0.508 20 30 CCEDMN CO[C@@H](C(=O)N[C@@H]1CNC[C@H]1C#N)c1ccccc1 ZINC000834500655 617127189 /nfs/dbraw/zinc/12/71/89/617127189.db2.gz VONABGNAJSIRFD-JHJVBQTASA-N 0 1 259.309 0.602 20 30 CCEDMN COc1cc(C#N)ccc1OCCN1CC[C@@H](O)[C@@H](O)C1 ZINC000879610854 617153031 /nfs/dbraw/zinc/15/30/31/617153031.db2.gz AAXMESRAGLMBSG-OLZOCXBDSA-N 0 1 292.335 0.373 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1c2c[nH]nc2CC[C@@H]1C ZINC001025907818 625301459 /nfs/dbraw/zinc/30/14/59/625301459.db2.gz OTAQJVHHUUGPAG-XGVIZULCSA-N 0 1 298.390 0.755 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCC[C@@H](OC)C1 ZINC001025988794 625316576 /nfs/dbraw/zinc/31/65/76/625316576.db2.gz MBDWNTLMBVAKKN-ZSAUSMIDSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCOC[C@H]1C ZINC001026021521 625322228 /nfs/dbraw/zinc/32/22/28/625322228.db2.gz UGRITIDSOIJABL-POQQGIQPSA-N 0 1 262.353 0.339 20 30 CCEDMN CCc1cc(C(=O)N2C[C@@H]3CC[C@H](NCC#N)[C@H]3C2)n[nH]1 ZINC001026207490 625357341 /nfs/dbraw/zinc/35/73/41/625357341.db2.gz VJCRYCMTILMURI-DRZSPHRISA-N 0 1 287.367 0.936 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)CCc3c[nH]nn3)C[C@@H]12 ZINC001026363308 625414089 /nfs/dbraw/zinc/41/40/89/625414089.db2.gz OXQBKSAYIMXLRD-RAIGVLPGSA-N 0 1 288.355 0.087 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)CCc3cnn[nH]3)C[C@@H]12 ZINC001026363308 625414090 /nfs/dbraw/zinc/41/40/90/625414090.db2.gz OXQBKSAYIMXLRD-RAIGVLPGSA-N 0 1 288.355 0.087 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CNCc2ccon2)C1 ZINC001026732236 625573833 /nfs/dbraw/zinc/57/38/33/625573833.db2.gz HYXHKJJUZDATQI-WDEREUQCSA-N 0 1 262.313 0.772 20 30 CCEDMN CCn1ncnc1CNC[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001026733018 625579022 /nfs/dbraw/zinc/57/90/22/625579022.db2.gz QXSDETNYRYDPCA-RYUDHWBXSA-N 0 1 290.371 0.396 20 30 CCEDMN Cc1nonc1CNC[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001026733574 625580539 /nfs/dbraw/zinc/58/05/39/625580539.db2.gz WPKRUYJAFKSFOZ-ONGXEEELSA-N 0 1 277.328 0.476 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CC[C@H](N(C)CC#N)C1 ZINC000972516225 622921362 /nfs/dbraw/zinc/92/13/62/622921362.db2.gz KEADQMLXENAFSZ-AAEUAGOBSA-N 0 1 275.356 0.645 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001023402710 623024068 /nfs/dbraw/zinc/02/40/68/623024068.db2.gz RHZRMAWEGPRGSN-UONOGXRCSA-N 0 1 291.395 0.316 20 30 CCEDMN CN1CC(C(=O)NC[C@H]2CCCN(CC#N)C2)=NC1=O ZINC001023571232 623066112 /nfs/dbraw/zinc/06/61/12/623066112.db2.gz KDXLVARXBLRFOV-SNVBAGLBSA-N 0 1 277.328 0.091 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@@H](CNCc2cnon2)C1 ZINC001026833262 625629708 /nfs/dbraw/zinc/62/97/08/625629708.db2.gz HGJKMTWAXDNFBB-LBPRGKRZSA-N 0 1 274.324 0.421 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001024494458 623337884 /nfs/dbraw/zinc/33/78/84/623337884.db2.gz OMFGQEQKEVFYGP-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024522079 623348898 /nfs/dbraw/zinc/34/88/98/623348898.db2.gz ZGWNJXNPALASJJ-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cn(C)c(=O)cn1 ZINC001024563537 623368726 /nfs/dbraw/zinc/36/87/26/623368726.db2.gz BVEUXHJANIFPET-LBPRGKRZSA-N 0 1 290.367 0.551 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2cn[nH]n2)C1 ZINC001025467155 623809084 /nfs/dbraw/zinc/80/90/84/623809084.db2.gz YEQBOBFQXAWSKZ-VXGBXAGGSA-N 0 1 275.356 0.658 20 30 CCEDMN C#CCC[N@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001025467155 623809086 /nfs/dbraw/zinc/80/90/86/623809086.db2.gz YEQBOBFQXAWSKZ-VXGBXAGGSA-N 0 1 275.356 0.658 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCCCC[C@@H]1C(=O)[O-] ZINC000328178318 623868062 /nfs/dbraw/zinc/86/80/62/623868062.db2.gz MLJLFYYDJCSBLY-CYBMUJFWSA-N 0 1 295.383 0.980 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCO[C@H]1C ZINC001025757382 623879561 /nfs/dbraw/zinc/87/95/61/623879561.db2.gz CKKNIMMBUTUVOI-XPABHHOTSA-N 0 1 276.380 0.871 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001020460723 624485344 /nfs/dbraw/zinc/48/53/44/624485344.db2.gz MZHOBCLRBNJWOE-JOCQHMNTSA-N 0 1 296.334 0.846 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001020875170 624618445 /nfs/dbraw/zinc/61/84/45/624618445.db2.gz JKPDWDUMVTZDPR-JOCQHMNTSA-N 0 1 296.334 0.846 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2nnc3ccccc3c2O)C1 ZINC000970147625 624624888 /nfs/dbraw/zinc/62/48/88/624624888.db2.gz CGFWNQWBUYIFTI-SNVBAGLBSA-N 0 1 297.318 0.663 20 30 CCEDMN N#Cc1ccccc1CN[C@@H]1CCN(C(=O)c2cnon2)C1 ZINC000970303445 624672034 /nfs/dbraw/zinc/67/20/34/624672034.db2.gz JHERHJIZRSPKJR-CYBMUJFWSA-N 0 1 297.318 0.946 20 30 CCEDMN C[C@H](NC(=O)[C@H]1CCCc2n[nH]nc21)C1CN(CC#N)C1 ZINC000970340060 624678050 /nfs/dbraw/zinc/67/80/50/624678050.db2.gz XKJNZEGGDXHYHG-ONGXEEELSA-N 0 1 288.355 0.185 20 30 CCEDMN CCC#C[C@@H](C)N[C@@H]1C(=O)NCC12CCOCC2 ZINC000933035028 624822091 /nfs/dbraw/zinc/82/20/91/624822091.db2.gz MSYIKFHDCSIFQH-VXGBXAGGSA-N 0 1 250.342 0.673 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@@H](NC(=O)c2[n-]cnc2C(F)(F)F)C1 ZINC001022202877 624892492 /nfs/dbraw/zinc/89/24/92/624892492.db2.gz NIMAGMSBCAGGSQ-OCAPTIKFSA-N 0 1 286.257 0.912 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H]2CN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001022565829 625022165 /nfs/dbraw/zinc/02/21/65/625022165.db2.gz ZHCQEKVPSDNGOO-JBLDHEPKSA-N 0 1 287.323 0.242 20 30 CCEDMN C[C@]1(C2CCN(C[C@H](O)CC#N)CC2)COC(=O)N1 ZINC000932100745 631411006 /nfs/dbraw/zinc/41/10/06/631411006.db2.gz ZHSJKJGFMNVFJR-DGCLKSJQSA-N 0 1 267.329 0.471 20 30 CCEDMN Cc1cc(CN[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)on1 ZINC000967943975 650005572 /nfs/dbraw/zinc/00/55/72/650005572.db2.gz NNBAKSOTOZIYNU-MWLCHTKSSA-N 0 1 262.313 0.833 20 30 CCEDMN C[C@]12CN(CC#N)C[C@@]1(C)CN(C(=O)CN1CCCC1)C2 ZINC000982809259 631604899 /nfs/dbraw/zinc/60/48/99/631604899.db2.gz XQDCRACAQFNEAD-IYBDPMFKSA-N 0 1 290.411 0.776 20 30 CCEDMN C=CCNC(=O)c1ccccc1NC(=O)[C@@H](N)COC ZINC000262021814 631759298 /nfs/dbraw/zinc/75/92/98/631759298.db2.gz DAFAHQBURMHLAM-NSHDSACASA-N 0 1 277.324 0.515 20 30 CCEDMN N#Cc1cncnc1N1CCN(CCCCCO)CC1 ZINC000894964864 631886391 /nfs/dbraw/zinc/88/63/91/631886391.db2.gz ZTXBMBQCFTZMCQ-UHFFFAOYSA-N 0 1 275.356 0.633 20 30 CCEDMN O=C1[C@H](N[C@@H]2CCC3(C[C@H]2F)OCCO3)CCCN1O ZINC000895198280 632020733 /nfs/dbraw/zinc/02/07/33/632020733.db2.gz ZUSKOUGPMBXCNB-GMTAPVOTSA-N 0 1 288.319 0.590 20 30 CCEDMN N#CC1(CNC(=O)NCCN2CCC(O)CC2)CCCC1 ZINC000895538006 632096542 /nfs/dbraw/zinc/09/65/42/632096542.db2.gz YDXFYOJXMARIKM-UHFFFAOYSA-N 0 1 294.399 0.826 20 30 CCEDMN C[C@@H](NC(=O)C1(C#N)CCC1)[C@H]1CN(C)CCN1C ZINC000896563988 632288472 /nfs/dbraw/zinc/28/84/72/632288472.db2.gz PBTHDZAORJCZDI-VXGBXAGGSA-N 0 1 264.373 0.431 20 30 CCEDMN N#Cc1ccc([O-])c(NC(=O)C2C[NH+]([C@H]3CCOC3)C2)c1 ZINC000896821973 632328803 /nfs/dbraw/zinc/32/88/03/632328803.db2.gz XVENSVUTFWZEPP-LBPRGKRZSA-N 0 1 287.319 0.923 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(OC)no2)C1 ZINC001007167656 650068720 /nfs/dbraw/zinc/06/87/20/650068720.db2.gz NPYJSOHGVBPUPL-NSHDSACASA-N 0 1 277.324 0.901 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC001007465312 650079147 /nfs/dbraw/zinc/07/91/47/650079147.db2.gz SAWCLIMOIANHET-LLVKDONJSA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC001007465312 650079149 /nfs/dbraw/zinc/07/91/49/650079149.db2.gz SAWCLIMOIANHET-LLVKDONJSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001007461925 650079310 /nfs/dbraw/zinc/07/93/10/650079310.db2.gz VDPLKFUWCRNKHO-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001007335038 650074092 /nfs/dbraw/zinc/07/40/92/650074092.db2.gz BANDPCMJMGAWLZ-LBPRGKRZSA-N 0 1 289.383 0.923 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001007340191 650075198 /nfs/dbraw/zinc/07/51/98/650075198.db2.gz UMCKBEBKRHRBHF-NWDGAFQWSA-N 0 1 287.367 0.934 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H]2CCNC2=O)C1 ZINC001007489626 650080421 /nfs/dbraw/zinc/08/04/21/650080421.db2.gz ULQVJKDNMQGCRE-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001007521634 650081567 /nfs/dbraw/zinc/08/15/67/650081567.db2.gz NNYWFETUOCUWLP-MJBXVCDLSA-N 0 1 282.384 0.947 20 30 CCEDMN C[C@@H]1[C@H](NCc2nccn2C)CCN1C(=O)C#CC1CC1 ZINC000986283692 632739231 /nfs/dbraw/zinc/73/92/31/632739231.db2.gz YDHRXBCRFKQCIM-TZMCWYRMSA-N 0 1 286.379 0.913 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2nnn(C)n2)[C@@H]1C ZINC000986653999 632786385 /nfs/dbraw/zinc/78/63/85/632786385.db2.gz ANNAKIMRIWIDEG-BDAKNGLRSA-N 0 1 284.751 0.155 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CN2CCCNC2=O)C1 ZINC001007765193 650093146 /nfs/dbraw/zinc/09/31/46/650093146.db2.gz GFWHRDDMEANSFX-GFCCVEGCSA-N 0 1 280.372 0.168 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](NC(C)=O)[C@H]2C)CC1 ZINC000987181779 632836927 /nfs/dbraw/zinc/83/69/27/632836927.db2.gz GNJYGSQLFXAWPA-DOMZBBRYSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN(C)C(=O)NC1CC([N@@H+](CC)CC(=O)[O-])C1 ZINC000263452167 632884267 /nfs/dbraw/zinc/88/42/67/632884267.db2.gz GNDYKAUIHLNMIM-UHFFFAOYSA-N 0 1 281.356 0.589 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001008164973 650103540 /nfs/dbraw/zinc/10/35/40/650103540.db2.gz VRFZFOQGZWSYJZ-VXGBXAGGSA-N 0 1 280.372 0.167 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cn(C)nn2)C1 ZINC000988777882 633109806 /nfs/dbraw/zinc/10/98/06/633109806.db2.gz OLEZPDFSLVGNNC-UWVGGRQHSA-N 0 1 283.763 0.760 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnc(C)n2C)C1 ZINC001008302338 650109795 /nfs/dbraw/zinc/10/97/95/650109795.db2.gz CXXFZSLTLKSTRG-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3CCC(=O)N3)CCC[C@H]12 ZINC000990043078 633436874 /nfs/dbraw/zinc/43/68/74/633436874.db2.gz QSNNVRBBCSVBBR-TYNCELHUSA-N 0 1 275.352 0.011 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(NC(=O)C(C)C)C2)CC1 ZINC000990269267 633494268 /nfs/dbraw/zinc/49/42/68/633494268.db2.gz JJHNKZCAVNWDDN-UHFFFAOYSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H](C)n3cncn3)CCC[C@H]12 ZINC000990306371 633504869 /nfs/dbraw/zinc/50/48/69/633504869.db2.gz JSUIRLXDINZWCM-KCQAQPDRSA-N 0 1 287.367 0.586 20 30 CCEDMN C[C@@H](NC1CCN(C(=O)[C@@H](C)C#N)CC1)c1nnnn1C ZINC000990314388 633507089 /nfs/dbraw/zinc/50/70/89/633507089.db2.gz MVLSFCWMAVTJAR-VHSXEESVSA-N 0 1 291.359 0.011 20 30 CCEDMN CC#CCN1CC[C@@]2(NC(=O)c3cnnn3C)CCC[C@H]12 ZINC000990496588 633543876 /nfs/dbraw/zinc/54/38/76/633543876.db2.gz OIPJCMFAOVJUBV-ZFWWWQNUSA-N 0 1 287.367 0.565 20 30 CCEDMN CC#CCN1CC[C@@]2(NC(=O)c3cn(C)nn3)CCC[C@@H]12 ZINC000990510970 633547473 /nfs/dbraw/zinc/54/74/73/633547473.db2.gz AEVBUXHPNMRYJH-HIFRSBDPSA-N 0 1 287.367 0.565 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)Cn2nnnc2C(C)C)C1 ZINC001008798088 650127898 /nfs/dbraw/zinc/12/78/98/650127898.db2.gz GFFJQWVWSVIWLK-LBPRGKRZSA-N 0 1 292.387 0.563 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cn2nnnc2C(C)C)C1 ZINC001008798088 650127902 /nfs/dbraw/zinc/12/79/02/650127902.db2.gz GFFJQWVWSVIWLK-LBPRGKRZSA-N 0 1 292.387 0.563 20 30 CCEDMN CN(Cc1ccc(C#N)cc1)C(=O)[C@]1(C)C[C@@H](O)CN1C ZINC000900127175 633959300 /nfs/dbraw/zinc/95/93/00/633959300.db2.gz HBMSOXBMOLKFND-ZBFHGGJFSA-N 0 1 287.363 0.972 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001009087102 650136985 /nfs/dbraw/zinc/13/69/85/650136985.db2.gz AJPZFCSTXPOJMG-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN OCc1csc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)n1 ZINC000905456590 634866272 /nfs/dbraw/zinc/86/62/72/634866272.db2.gz LBYDWIRDIGYCJT-NXEZZACHSA-N 0 1 279.369 0.829 20 30 CCEDMN N#CCCNCCCc1ccc(S(N)(=O)=O)cc1 ZINC000905687651 634892580 /nfs/dbraw/zinc/89/25/80/634892580.db2.gz PYQGENISWAZNAF-UHFFFAOYSA-N 0 1 267.354 0.770 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907480122 635140508 /nfs/dbraw/zinc/14/05/08/635140508.db2.gz LMSPMTWSATWSFL-HTQZYQBOSA-N 0 1 266.330 0.366 20 30 CCEDMN C#CC[N@H+]1CC[C@]2(NC(=O)c3[nH]c(=O)[nH]c3C)CCC[C@@H]12 ZINC000991202619 635622164 /nfs/dbraw/zinc/62/21/64/635622164.db2.gz AQKULOZEOPYFPZ-IAQYHMDHSA-N 0 1 288.351 0.784 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@H]3CCCC(=O)N3)CCC[C@H]12 ZINC000991250081 635729190 /nfs/dbraw/zinc/72/91/90/635729190.db2.gz YCWRIXMKWSGDKR-WWGRRREGSA-N 0 1 289.379 0.402 20 30 CCEDMN Cc1cc(C(=O)N(C)c2nn[nH]n2)cc(C)c1C#N ZINC000912617888 636612181 /nfs/dbraw/zinc/61/21/81/636612181.db2.gz KPUDNWXAXYIYAW-UHFFFAOYSA-N 0 1 256.269 0.965 20 30 CCEDMN N#Cc1ccc(NCC(=O)N2CC[C@H](N3CCC3)C2)nc1 ZINC000912912068 636699389 /nfs/dbraw/zinc/69/93/89/636699389.db2.gz VTWHQONPMGEYMS-ZDUSSCGKSA-N 0 1 285.351 0.672 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NCC#CCN(C)C ZINC000913522459 636835706 /nfs/dbraw/zinc/83/57/06/636835706.db2.gz KEMWYSKCPBROTN-UHFFFAOYSA-N 0 1 256.309 0.557 20 30 CCEDMN Cc1cnc(COCC(=O)NCC#CCN(C)C)o1 ZINC000913522687 636835948 /nfs/dbraw/zinc/83/59/48/636835948.db2.gz URCWOAZWESVZGE-UHFFFAOYSA-N 0 1 265.313 0.181 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3csnn3)CCC[C@H]12 ZINC000992031743 637285039 /nfs/dbraw/zinc/28/50/39/637285039.db2.gz OSUCNLPEWTTXRI-AAEUAGOBSA-N 0 1 276.365 0.898 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@H]3CCC(=O)N3C)CCC[C@H]12 ZINC000992155816 637324598 /nfs/dbraw/zinc/32/45/98/637324598.db2.gz ZWHCTVJKRIUZKU-WWGRRREGSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ncccn3)CCC[C@H]12 ZINC000992352902 637373235 /nfs/dbraw/zinc/37/32/35/637373235.db2.gz UOGMTNVROGIKOF-WFASDCNBSA-N 0 1 270.336 0.837 20 30 CCEDMN C=CCN1CC[C@@]2(NC(=O)c3cnon3)CCC[C@@H]12 ZINC000992355685 637374247 /nfs/dbraw/zinc/37/42/47/637374247.db2.gz AVBDMXDBHUBBRA-YPMHNXCESA-N 0 1 262.313 0.982 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)Cc3nonc3C)CCC[C@H]12 ZINC000992385489 637380730 /nfs/dbraw/zinc/38/07/30/637380730.db2.gz XGDSOFWARCZEDI-ZFWWWQNUSA-N 0 1 288.351 0.667 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cc[nH]n2)[C@@H]1C ZINC000993160924 637721982 /nfs/dbraw/zinc/72/19/82/637721982.db2.gz IZRSYTIUBJQRHQ-GXTWGEPZSA-N 0 1 274.368 0.945 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CN2CCOCC2)[C@@H]1C ZINC000993237593 637758576 /nfs/dbraw/zinc/75/85/76/637758576.db2.gz QVMQEVWGJMEBOJ-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(=O)n(C)c2)[C@@H]1C ZINC000993542987 637816790 /nfs/dbraw/zinc/81/67/90/637816790.db2.gz POTHPNMBSGIIEZ-JSGCOSHPSA-N 0 1 287.363 0.601 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cn(C)c(=O)cn2)[C@H]1C ZINC000993613752 637820940 /nfs/dbraw/zinc/82/09/40/637820940.db2.gz PIAFQHFQCRJWMB-VXGBXAGGSA-N 0 1 290.367 0.549 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2[nH]ncc2F)CCCN1CC#N ZINC000993742611 637840097 /nfs/dbraw/zinc/84/00/97/637840097.db2.gz NZZDPTJPUMUCFU-SCZZXKLOSA-N 0 1 265.292 0.655 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2nonc2C)[C@@H]1C ZINC000994118150 637869423 /nfs/dbraw/zinc/86/94/23/637869423.db2.gz APJPEAMCQVHMFM-RYUDHWBXSA-N 0 1 276.340 0.523 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@@H]1C ZINC000994431418 637937560 /nfs/dbraw/zinc/93/75/60/637937560.db2.gz HSZFNGXMCVFIQC-CMPLNLGQSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@@H]1C ZINC000994431418 637937562 /nfs/dbraw/zinc/93/75/62/637937562.db2.gz HSZFNGXMCVFIQC-CMPLNLGQSA-N 0 1 288.351 0.330 20 30 CCEDMN Cc1[nH]ncc1CN(C)S(=O)(=O)N(C)CCC#N ZINC000918674204 638031504 /nfs/dbraw/zinc/03/15/04/638031504.db2.gz OLEIKDSTTPSCPQ-UHFFFAOYSA-N 0 1 271.346 0.240 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)C(=O)N2CCC[C@H](C)C2)C1 ZINC001015798942 638129612 /nfs/dbraw/zinc/12/96/12/638129612.db2.gz AYVZSWGJSXWNDO-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cn(CCOC)nn2)C1 ZINC001014390612 650447655 /nfs/dbraw/zinc/44/76/55/650447655.db2.gz ZHSRAPYQPGATSG-LBPRGKRZSA-N 0 1 293.371 0.305 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1C[C@@H](NCC#N)C(C)(C)C1 ZINC000995976184 638806562 /nfs/dbraw/zinc/80/65/62/638806562.db2.gz KRIOKGFKQCTMJZ-QWHCGFSZSA-N 0 1 278.400 0.821 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(CCOC)c2C)C1 ZINC001014432494 650450943 /nfs/dbraw/zinc/45/09/43/650450943.db2.gz YYPVKUOXLMDHJN-ZDUSSCGKSA-N 0 1 292.383 0.828 20 30 CCEDMN CC1(C)CN(C(=O)c2ccc3[nH]nnc3c2)C[C@@H]1NCC#N ZINC000996597870 638823707 /nfs/dbraw/zinc/82/37/07/638823707.db2.gz DQHRVXNFOZQLEW-ZDUSSCGKSA-N 0 1 298.350 0.922 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccc(C)nn2)C1 ZINC001014405537 650449108 /nfs/dbraw/zinc/44/91/08/650449108.db2.gz GQPJTTKMAXIHJU-LBPRGKRZSA-N 0 1 258.325 0.612 20 30 CCEDMN C[C@@H](NC(=O)c1nnc[nH]1)C1CCN(CC#N)CC1 ZINC000997356582 638864004 /nfs/dbraw/zinc/86/40/04/638864004.db2.gz XBIIILLGWPPIEA-SECBINFHSA-N 0 1 262.317 0.159 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(CNCc2nncn2C)CC1 ZINC000997616333 638878455 /nfs/dbraw/zinc/87/84/55/638878455.db2.gz ASUICGOFYRWINP-LLVKDONJSA-N 0 1 290.371 0.303 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CS(C)(=O)=O)C1 ZINC000998645544 638932146 /nfs/dbraw/zinc/93/21/46/638932146.db2.gz FTLOUEGUMRAUJZ-JTQLQIEISA-N 0 1 294.804 0.364 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](NCc2ccn(C)n2)C1 ZINC000998746166 638938536 /nfs/dbraw/zinc/93/85/36/638938536.db2.gz KWOTZHCESQCNCM-DGCLKSJQSA-N 0 1 275.356 0.660 20 30 CCEDMN C=C1CC(C)(C(=O)NC2CN(C(=O)c3[nH]nnc3C)C2)C1 ZINC000999291485 638971790 /nfs/dbraw/zinc/97/17/90/638971790.db2.gz UQEOABBOXYXJHD-UHFFFAOYSA-N 0 1 289.339 0.410 20 30 CCEDMN C=CCN1CC[C@H](N2CC=C(CNC(C)=O)CC2)C1=O ZINC001000347726 639076079 /nfs/dbraw/zinc/07/60/79/639076079.db2.gz HVLAXQKITXYDIC-AWEZNQCLSA-N 0 1 277.368 0.542 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CCC[C@@H](NCC#N)C1 ZINC001000431554 639085911 /nfs/dbraw/zinc/08/59/11/639085911.db2.gz ZPFFINKGRKQTRB-VXGBXAGGSA-N 0 1 275.356 0.692 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ocnc2C)CC1 ZINC001000564820 639117004 /nfs/dbraw/zinc/11/70/04/639117004.db2.gz VFHPQHPKLGRDQC-UHFFFAOYSA-N 0 1 259.309 0.978 20 30 CCEDMN C#CCN1CC=C(CNC(=O)C2=CCOCC2)CC1 ZINC001000610862 639140949 /nfs/dbraw/zinc/14/09/49/639140949.db2.gz FNPKJBXGBFVMMA-UHFFFAOYSA-N 0 1 260.337 0.715 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnc[nH]c2=O)CC1 ZINC001000823574 639175218 /nfs/dbraw/zinc/17/52/18/639175218.db2.gz SVNSSBAQSNBFEI-UHFFFAOYSA-N 0 1 272.308 0.177 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc(=O)n(C)c2)CC1 ZINC001000895238 639185878 /nfs/dbraw/zinc/18/58/78/639185878.db2.gz SWQLDKFKFWARKZ-UHFFFAOYSA-N 0 1 285.347 0.380 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cccc3ncnn32)CC1 ZINC001000911396 639189567 /nfs/dbraw/zinc/18/95/67/639189567.db2.gz PNAIPLSPZPGBMI-UHFFFAOYSA-N 0 1 295.346 0.724 20 30 CCEDMN C#CCCN1CC=C(CNC(=O)c2cn(C)ccc2=O)CC1 ZINC001000933118 639200018 /nfs/dbraw/zinc/20/00/18/639200018.db2.gz WAFDVSVPAHZQIQ-UHFFFAOYSA-N 0 1 299.374 0.771 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2csnn2)CC1 ZINC001001013380 639210871 /nfs/dbraw/zinc/21/08/71/639210871.db2.gz ZFSNRXKCOSBYNQ-UHFFFAOYSA-N 0 1 262.338 0.533 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001014681078 650478311 /nfs/dbraw/zinc/47/83/11/650478311.db2.gz ZWUYZJDBGOMXPL-ZDUSSCGKSA-N 0 1 262.357 0.648 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001014716377 650481138 /nfs/dbraw/zinc/48/11/38/650481138.db2.gz LSDTWRCJDVRWDA-GFCCVEGCSA-N 0 1 275.356 0.532 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3n2CCOC3)C1 ZINC001014808873 650489897 /nfs/dbraw/zinc/48/98/97/650489897.db2.gz AHXLJGWGSRVKKW-LLVKDONJSA-N 0 1 276.340 0.403 20 30 CCEDMN CC#CCN1CCC(NC(=O)CN2CCCC2=O)CC1 ZINC001002318717 639393660 /nfs/dbraw/zinc/39/36/60/639393660.db2.gz XIBJLVWXPGTZNO-UHFFFAOYSA-N 0 1 277.368 0.213 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001014831770 650493723 /nfs/dbraw/zinc/49/37/23/650493723.db2.gz LYSYACVCTAICDR-VIFPVBQESA-N 0 1 250.302 0.414 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@]2(C)CCC(=O)NC2)CC1 ZINC001003479430 639552124 /nfs/dbraw/zinc/55/21/24/639552124.db2.gz AUMMCRCQZIFACU-INIZCTEOSA-N 0 1 291.395 0.507 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@H]2CCCc3n[nH]nc32)CC1 ZINC001003516775 639557607 /nfs/dbraw/zinc/55/76/07/639557607.db2.gz YWSXTFBKQIGBIQ-NSHDSACASA-N 0 1 288.355 0.329 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCCCC(=O)N2)CC1 ZINC001003605824 639578252 /nfs/dbraw/zinc/57/82/52/639578252.db2.gz UAQUQRUFHDVCRY-CQSZACIVSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cncc(OC)n2)CC1 ZINC001003745036 639604405 /nfs/dbraw/zinc/60/44/05/639604405.db2.gz MCXQGCLHTZHKGV-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN N#Cc1ccccc1CN1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001015067492 650523086 /nfs/dbraw/zinc/52/30/86/650523086.db2.gz PHPYSNHILOMCIS-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc3c2CC(=O)N3)C1 ZINC001015095383 650527036 /nfs/dbraw/zinc/52/70/36/650527036.db2.gz AHMLBJANGGOTGZ-JTQLQIEISA-N 0 1 286.335 0.566 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cc2cc[nH]n2)CC1 ZINC001005200548 639888515 /nfs/dbraw/zinc/88/85/15/639888515.db2.gz FRYFTXFPEQDWEK-UHFFFAOYSA-N 0 1 274.368 0.898 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC001015172621 650535301 /nfs/dbraw/zinc/53/53/01/650535301.db2.gz BZCNNAPOMYJDQA-CYBMUJFWSA-N 0 1 262.357 0.876 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001015362931 650558383 /nfs/dbraw/zinc/55/83/83/650558383.db2.gz XEXKRAQHCSFZIX-YNEHKIRRSA-N 0 1 274.368 0.900 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cccnn2)CC1 ZINC001006174198 640193955 /nfs/dbraw/zinc/19/39/55/640193955.db2.gz REHWWIVTVCNCKL-UHFFFAOYSA-N 0 1 258.325 0.646 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001015405279 650563443 /nfs/dbraw/zinc/56/34/43/650563443.db2.gz VFUUDSNSQPAGCO-NWDGAFQWSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccc3c(c2)CCO3)C1 ZINC001015428158 650566473 /nfs/dbraw/zinc/56/64/73/650566473.db2.gz MOFVCLFNULWFES-HNNXBMFYSA-N 0 1 284.359 0.988 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001015500435 650574343 /nfs/dbraw/zinc/57/43/43/650574343.db2.gz RTXYLDCZHHJTPF-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN O=C1C=C(NNCCN2CCCCC2)[C@@H]2CCCN12 ZINC000799477265 640574516 /nfs/dbraw/zinc/57/45/16/640574516.db2.gz NFTLOGKFLMLVTO-ZDUSSCGKSA-N 0 1 264.373 0.813 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CCCC(=O)N2)c1 ZINC000799713393 640593935 /nfs/dbraw/zinc/59/39/35/640593935.db2.gz WBWWQZSFQWTATC-SECBINFHSA-N 0 1 259.265 0.871 20 30 CCEDMN C=CCCCN(C)CN1CC2(CCOCC2)[C@H](O)C1=O ZINC000839999878 640731195 /nfs/dbraw/zinc/73/11/95/640731195.db2.gz MHOOZBKGYHVJEY-CYBMUJFWSA-N 0 1 282.384 0.842 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)c2nnc3ccccc3c2[O-])C1 ZINC001015783339 650629449 /nfs/dbraw/zinc/62/94/49/650629449.db2.gz NGZRYOOJGJYYIZ-LLVKDONJSA-N 0 1 296.330 0.773 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001015783339 650629452 /nfs/dbraw/zinc/62/94/52/650629452.db2.gz NGZRYOOJGJYYIZ-LLVKDONJSA-N 0 1 296.330 0.773 20 30 CCEDMN C[C@H](O)CNN=Cc1ccc(N2CCOCC2)s1 ZINC000814964058 641086382 /nfs/dbraw/zinc/08/63/82/641086382.db2.gz UXUBXDAZAQRQFN-JTQLQIEISA-N 0 1 269.370 0.889 20 30 CCEDMN COc1nc(C(=O)OCCOCCC#N)cc(=O)[nH]1 ZINC000815233249 641100723 /nfs/dbraw/zinc/10/07/23/641100723.db2.gz DZQGMTFUEULXRX-UHFFFAOYSA-N 0 1 267.241 0.278 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(CCC=C)nn2)C1 ZINC001015820736 650634621 /nfs/dbraw/zinc/63/46/21/650634621.db2.gz MGLLAXBKFKJLHW-ZDUSSCGKSA-N 0 1 287.367 0.682 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001015870975 650642222 /nfs/dbraw/zinc/64/22/22/650642222.db2.gz DOVQBTJZPVOQHP-MRVPVSSYSA-N 0 1 255.709 0.361 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001015870975 650642226 /nfs/dbraw/zinc/64/22/26/650642226.db2.gz DOVQBTJZPVOQHP-MRVPVSSYSA-N 0 1 255.709 0.361 20 30 CCEDMN O=C1NCC(=NNC2=N[C@H]3CCCC[C@@H]3N2)c2ccccc21 ZINC000817326053 641254212 /nfs/dbraw/zinc/25/42/12/641254212.db2.gz LHPOHRRWAGEDHH-STQMWFEESA-N 0 1 297.362 0.994 20 30 CCEDMN N#CCNC(=O)CNC[C@H](O)c1ccc(F)c(F)c1 ZINC000819309888 641399867 /nfs/dbraw/zinc/39/98/67/641399867.db2.gz CWZAGGDMTCEQAK-NSHDSACASA-N 0 1 269.251 0.228 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(CC3CC3)nn2)C1 ZINC001015914591 650656701 /nfs/dbraw/zinc/65/67/01/650656701.db2.gz XVBVHMTWTCKXAO-ZDUSSCGKSA-N 0 1 287.367 0.516 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001015989145 650670681 /nfs/dbraw/zinc/67/06/81/650670681.db2.gz MWBCXLYEPYXZNK-RYUDHWBXSA-N 0 1 287.367 0.123 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC001016102573 650682244 /nfs/dbraw/zinc/68/22/44/650682244.db2.gz XDIQNUHWQMGFMJ-KGLIPLIRSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC2CC2)CC1 ZINC000822620919 641689472 /nfs/dbraw/zinc/68/94/72/641689472.db2.gz FODKAMBMQTVMFZ-GFCCVEGCSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2nc3ncccn3n2)C1 ZINC001016248452 650704803 /nfs/dbraw/zinc/70/48/03/650704803.db2.gz BIHIDKJHNILYMO-LLVKDONJSA-N 0 1 286.339 0.043 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cccnc2C(N)=O)C1 ZINC001016318847 650717236 /nfs/dbraw/zinc/71/72/36/650717236.db2.gz GNPCMQGASXREGL-NSHDSACASA-N 0 1 286.335 0.008 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N[C@H]1CCN(CC#N)C1 ZINC001016339327 650719793 /nfs/dbraw/zinc/71/97/93/650719793.db2.gz PAZJDLCPIBTBPR-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2cnn(CCN(C)C)c2)NO1 ZINC000867062719 642171028 /nfs/dbraw/zinc/17/10/28/642171028.db2.gz HLHRITYLNBKVMK-VIFPVBQESA-N 0 1 265.317 0.297 20 30 CCEDMN C#CC[C@H]1CCCN(CC(=O)NC(=O)N[C@@H](C)COC)C1 ZINC000829769069 642189939 /nfs/dbraw/zinc/18/99/39/642189939.db2.gz OBGNPMYYHJQXAH-STQMWFEESA-N 0 1 295.383 0.582 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CC23CCN(CC#N)CC3)n[nH]1 ZINC001016614094 650749775 /nfs/dbraw/zinc/74/97/75/650749775.db2.gz JHNISVNMGLBEPZ-LLVKDONJSA-N 0 1 288.355 0.150 20 30 CCEDMN Cn1cc(-c2cncc(C(=O)N[C@H]3CNC[C@H]3C#N)c2)cn1 ZINC000834484691 642576103 /nfs/dbraw/zinc/57/61/03/642576103.db2.gz LVSISHBRVQEBLW-OCCSQVGLSA-N 0 1 296.334 0.323 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc2c(s1)CCOC2 ZINC000834484769 642576839 /nfs/dbraw/zinc/57/68/39/642576839.db2.gz MUYGROGZXDAROO-ZJUUUORDSA-N 0 1 277.349 0.662 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc(-n2cccn2)c1 ZINC000834488557 642578468 /nfs/dbraw/zinc/57/84/68/642578468.db2.gz DMXBWGBERRSIGV-JSGCOSHPSA-N 0 1 281.319 0.714 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)nn1C(C)(C)C ZINC000834490315 642581337 /nfs/dbraw/zinc/58/13/37/642581337.db2.gz UEBAETZOEGXNSL-ZYHUDNBSSA-N 0 1 275.356 0.788 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CN1CCc2ccccc21 ZINC000834490356 642582161 /nfs/dbraw/zinc/58/21/61/642582161.db2.gz UNOCXQRWKVOIIF-CHWSQXEVSA-N 0 1 270.336 0.277 20 30 CCEDMN Cn1nc(C(C)(C)C)cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834490907 642582393 /nfs/dbraw/zinc/58/23/93/642582393.db2.gz YHUNYPRDDLYCAU-VHSXEESVSA-N 0 1 275.356 0.559 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CSCc1cccnc1 ZINC000834493301 642583033 /nfs/dbraw/zinc/58/30/33/642583033.db2.gz CTJRORXCYAQSFK-RYUDHWBXSA-N 0 1 276.365 0.543 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CNC(=O)CCC1CCCC1 ZINC000834494924 642584998 /nfs/dbraw/zinc/58/49/98/642584998.db2.gz QYQRLCVKRWYRLU-OLZOCXBDSA-N 0 1 292.383 0.301 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCCC1CCOCC1 ZINC000834499167 642586514 /nfs/dbraw/zinc/58/65/14/642586514.db2.gz FIFVTUCZYKUVCO-QWHCGFSZSA-N 0 1 265.357 0.811 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccc2c[nH]nc2c1 ZINC000834500293 642588127 /nfs/dbraw/zinc/58/81/27/642588127.db2.gz RTKUMTSOZAGOKY-DGCLKSJQSA-N 0 1 269.308 0.333 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2nccnc2c1 ZINC000834507028 642593244 /nfs/dbraw/zinc/59/32/44/642593244.db2.gz XHVLEJDNNWJILC-ZWNOBZJWSA-N 0 1 267.292 0.471 20 30 CCEDMN Cc1ccc(OCC(=O)N[C@H]2CNC[C@@H]2C#N)c(C)c1 ZINC000834506203 642593420 /nfs/dbraw/zinc/59/34/20/642593420.db2.gz QOCKGDYSUJAEEO-STQMWFEESA-N 0 1 273.336 0.910 20 30 CCEDMN C[C@H](NC(=O)c1ccccc1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834505933 642593788 /nfs/dbraw/zinc/59/37/88/642593788.db2.gz PBVWCWYMCUZPGA-CYZMBNFOSA-N 0 1 286.335 0.033 20 30 CCEDMN COc1ccccc1CCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834506721 642593991 /nfs/dbraw/zinc/59/39/91/642593991.db2.gz VKUGDFSEDZLBEQ-STQMWFEESA-N 0 1 273.336 0.856 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)OCCCO2 ZINC000834512247 642596653 /nfs/dbraw/zinc/59/66/53/642596653.db2.gz TZZUXHZCCSNHGY-NEPJUHHUSA-N 0 1 287.319 0.689 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cncn1-c1ccc(F)cc1 ZINC000834512068 642596813 /nfs/dbraw/zinc/59/68/13/642596813.db2.gz RKKZYCWVUBIHAQ-ZWNOBZJWSA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccnc(Cl)c1 ZINC000834515903 642599016 /nfs/dbraw/zinc/59/90/16/642599016.db2.gz DDYSTXSBSLZDPN-IUCAKERBSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1cc2ccccc2[nH]c1=O ZINC000834521773 642601933 /nfs/dbraw/zinc/60/19/33/642601933.db2.gz SNIYROFJTKQHJG-TZMCWYRMSA-N 0 1 296.330 0.711 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1CC(=O)N(c2ccccc2)C1 ZINC000834517824 642602197 /nfs/dbraw/zinc/60/21/97/642602197.db2.gz ZOUCRRDTZLYEOS-OUCADQQQSA-N 0 1 298.346 0.267 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(Cn2cccn2)o1 ZINC000834521399 642602546 /nfs/dbraw/zinc/60/25/46/642602546.db2.gz HVWCSXMBOYCRHB-JQWIXIFHSA-N 0 1 285.307 0.366 20 30 CCEDMN C[S@@](=O)(=NS(=O)(=O)NCCC#N)c1ccccc1 ZINC000867201341 642716086 /nfs/dbraw/zinc/71/60/86/642716086.db2.gz JCJWDXBAYVSDON-KRWDZBQOSA-N 0 1 287.366 0.891 20 30 CCEDMN C[C@@H]1CN(C(=O)C#CC2CC2)CCN1CC(C)(C)O ZINC000837565911 642753468 /nfs/dbraw/zinc/75/34/68/642753468.db2.gz HGTIAXGNLZXEKN-GFCCVEGCSA-N 0 1 264.369 0.703 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)C#CC1CC1 ZINC000837565099 642754226 /nfs/dbraw/zinc/75/42/26/642754226.db2.gz OMPPBLZCQYXOGS-LBPRGKRZSA-N 0 1 264.369 0.703 20 30 CCEDMN N#Cc1cc(F)ccc1NC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000841138798 642804051 /nfs/dbraw/zinc/80/40/51/642804051.db2.gz XYDAYKPAEQWONE-CABZTGNLSA-N 0 1 273.271 0.930 20 30 CCEDMN C#CC[C@@H]1CCCN(CN2C[C@@H](C(=O)OC)CC2=O)C1 ZINC000842628747 642918952 /nfs/dbraw/zinc/91/89/52/642918952.db2.gz FNMULJYWIBSLMD-OLZOCXBDSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccnn1C ZINC001017512472 650808060 /nfs/dbraw/zinc/80/80/60/650808060.db2.gz QTNADEQGDHLSNX-GASCZTMLSA-N 0 1 286.379 0.661 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CNC(=O)Nc1ccccc1 ZINC000843461154 643064495 /nfs/dbraw/zinc/06/44/95/643064495.db2.gz KBNQSHLGZUGQLA-PWSUYJOCSA-N 0 1 287.323 0.036 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc(NC(N)=O)c1 ZINC000843460354 643064682 /nfs/dbraw/zinc/06/46/82/643064682.db2.gz HJGQFXVEAKLEGE-ONGXEEELSA-N 0 1 273.296 0.019 20 30 CCEDMN Cc1noc(C)c1CSCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000843460955 643065566 /nfs/dbraw/zinc/06/55/66/643065566.db2.gz JFWKAZWSIWOJOP-JQWIXIFHSA-N 0 1 294.380 0.752 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1CCCc2n[nH]cc21 ZINC000843461717 643066483 /nfs/dbraw/zinc/06/64/83/643066483.db2.gz WIUDJTPBVOVZEO-VDDIYKPWSA-N 0 1 259.313 0.057 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccnc1N1CCCCC1 ZINC000844195716 643179479 /nfs/dbraw/zinc/17/94/79/643179479.db2.gz YSDXMGWKFWIBOQ-GXTWGEPZSA-N 0 1 299.378 0.913 20 30 CCEDMN Cn1cc([C@H]2CN(CC(=O)NCCC#N)CC2(C)C)cn1 ZINC000846928085 643469821 /nfs/dbraw/zinc/46/98/21/643469821.db2.gz KAJCKEKEPCNOEX-CYBMUJFWSA-N 0 1 289.383 0.875 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)Nc1cnn(CC#N)c1 ZINC000847409052 643515897 /nfs/dbraw/zinc/51/58/97/643515897.db2.gz PTCXCYNEYHXDBP-SECBINFHSA-N 0 1 258.285 0.947 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2ccc3c(n2)OCCO3)NO1 ZINC000847623616 643539241 /nfs/dbraw/zinc/53/92/41/643539241.db2.gz CIBATAVLULPKRO-ZETCQYMHSA-N 0 1 263.253 0.705 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1[nH]cnc1C ZINC001018214901 650848216 /nfs/dbraw/zinc/84/82/16/650848216.db2.gz YAIGBCZDDYQUAI-OKILXGFUSA-N 0 1 286.379 0.959 20 30 CCEDMN Cc1nnc(SCCC(=O)N[C@H]2CCCN(O)C2=O)[nH]1 ZINC000848135399 643605199 /nfs/dbraw/zinc/60/51/99/643605199.db2.gz CLSKKGBLAMZPGJ-QMMMGPOBSA-N 0 1 299.356 0.092 20 30 CCEDMN COc1cccc([C@H](OC)C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000852827291 644013463 /nfs/dbraw/zinc/01/34/63/644013463.db2.gz FWVHYVXMPKEOGI-XBFCOCLRSA-N 0 1 289.335 0.610 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1cccc(N)c1Cl ZINC000852827824 644013711 /nfs/dbraw/zinc/01/37/11/644013711.db2.gz QDGOVWJVVCXQBE-MWLCHTKSSA-N 0 1 278.743 0.692 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc2c1OC(F)(F)O2 ZINC000852828224 644013948 /nfs/dbraw/zinc/01/39/48/644013948.db2.gz VWCZFOHVJFDSIY-CBAPKCEASA-N 0 1 295.245 0.849 20 30 CCEDMN Cc1ccn([C@H](C)CC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000852874845 644036295 /nfs/dbraw/zinc/03/62/95/644036295.db2.gz CZXAYHCYZSMYIG-UTUOFQBUSA-N 0 1 261.329 0.370 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001018708079 650899948 /nfs/dbraw/zinc/89/99/48/650899948.db2.gz XXPFFVGJWSLNNN-QWRGUYRKSA-N 0 1 285.775 0.456 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000854648985 644243226 /nfs/dbraw/zinc/24/32/26/644243226.db2.gz NTZLFROWLSGBCW-SCZZXKLOSA-N 0 1 278.312 0.011 20 30 CCEDMN C=CCN(C(=O)C1=NC(=O)N(C)C1)[C@@H](C)COC ZINC000855374696 644307696 /nfs/dbraw/zinc/30/76/96/644307696.db2.gz AKRRMAIPJFEGOS-VIFPVBQESA-N 0 1 253.302 0.789 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnn(CC)n2)C1 ZINC001018809432 650910706 /nfs/dbraw/zinc/91/07/06/650910706.db2.gz JJRKJEGULNJFCH-JTQLQIEISA-N 0 1 283.763 0.855 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2noc3c2COCC3)NO1 ZINC000857859662 644567486 /nfs/dbraw/zinc/56/74/86/644567486.db2.gz GLJZADDBZDJEGD-LURJTMIESA-N 0 1 251.242 0.599 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC001019078489 650936241 /nfs/dbraw/zinc/93/62/41/650936241.db2.gz CBEBQJVDFDKAAR-QMMMGPOBSA-N 0 1 255.709 0.361 20 30 CCEDMN C[C@H]1CC(N=NC2=NCC(=O)[N-]2)C[N@H+]1Cc1ccccc1 ZINC000863175505 645065937 /nfs/dbraw/zinc/06/59/37/645065937.db2.gz ILDFXRASYYTLPJ-NSHDSACASA-N 0 1 285.351 0.712 20 30 CCEDMN C[C@H]1CC(N=NC2=NCC(=O)[N-]2)C[N@@H+]1Cc1ccccc1 ZINC000863175505 645065934 /nfs/dbraw/zinc/06/59/34/645065934.db2.gz ILDFXRASYYTLPJ-NSHDSACASA-N 0 1 285.351 0.712 20 30 CCEDMN N#C[C@@]1(c2ccccn2)CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC000869234074 645860927 /nfs/dbraw/zinc/86/09/27/645860927.db2.gz MOAWLGATOIGOFG-HNNXBMFYSA-N 0 1 296.334 0.826 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2ccn3cncc3c2)C1 ZINC001020354861 651062780 /nfs/dbraw/zinc/06/27/80/651062780.db2.gz GJXVQNKEVCOWAB-HAQNSBGRSA-N 0 1 269.308 0.708 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1cn2cc(F)ccc2n1 ZINC000870940294 646054800 /nfs/dbraw/zinc/05/48/00/646054800.db2.gz HYUGDXLCXUCRFJ-SKDRFNHKSA-N 0 1 287.298 0.244 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C[C@@H]1Cc2ccccc2O1 ZINC000876801397 646669292 /nfs/dbraw/zinc/66/92/92/646669292.db2.gz BTTCVWHPCLXFKA-FRRDWIJNSA-N 0 1 271.320 0.608 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1scnc1C(F)F ZINC000876803382 646669958 /nfs/dbraw/zinc/66/99/58/646669958.db2.gz WEOMLQRXMAXQIS-NTSWFWBYSA-N 0 1 272.280 0.922 20 30 CCEDMN N#Cc1cnc(Cl)c(CNC[C@@H]2CCC(=O)N2)c1 ZINC000877633477 646830609 /nfs/dbraw/zinc/83/06/09/646830609.db2.gz MQUSVOCAHYWCHE-JTQLQIEISA-N 0 1 264.716 0.975 20 30 CCEDMN N#Cc1ccc([C@@H](O)CN2CC[C@@H](O)[C@@H](O)C2)cc1 ZINC000879612227 647083710 /nfs/dbraw/zinc/08/37/10/647083710.db2.gz TZDXAARVXSRGQR-RDBSUJKOSA-N 0 1 262.309 0.019 20 30 CCEDMN CC(C)(O)[C@@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000880147435 647160880 /nfs/dbraw/zinc/16/08/80/647160880.db2.gz DEFRQZMPECDZJN-LLVKDONJSA-N 0 1 265.357 0.642 20 30 CCEDMN N#CCNC(=O)CN[C@@H](Cn1ccnc1)c1ccccc1 ZINC000880569186 647232294 /nfs/dbraw/zinc/23/22/94/647232294.db2.gz JIGRIVYMYJAWAS-AWEZNQCLSA-N 0 1 283.335 0.854 20 30 CCEDMN C=CC[C@@H]1CC[C@@H](NCc2nnc3n2CCNC3=O)C1 ZINC000883293870 647507423 /nfs/dbraw/zinc/50/74/23/647507423.db2.gz JOBFIJLVDJBNIM-GHMZBOCLSA-N 0 1 275.356 0.856 20 30 CCEDMN O=C(Nc1nn[nH]n1)c1cccc(Br)n1 ZINC000028258557 647541622 /nfs/dbraw/zinc/54/16/22/647541622.db2.gz UWZZRLXVGLJXEV-UHFFFAOYSA-N 0 1 269.062 0.994 20 30 CCEDMN Cn1nc2c(c1C(=O)N[C@@H]1CNC[C@@H]1C#N)CSCC2 ZINC000884250453 647681279 /nfs/dbraw/zinc/68/12/79/647681279.db2.gz FMQJDHVNYAHISH-GZMMTYOYSA-N 0 1 291.380 0.051 20 30 CCEDMN Cc1ccc(C2=NO[C@H](C(=O)N[C@@H]3CNC[C@@H]3C#N)C2)cc1 ZINC000884249937 647681300 /nfs/dbraw/zinc/68/13/00/647681300.db2.gz UOTBZCDCAKPZIF-CFVMTHIKSA-N 0 1 298.346 0.716 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ncccc1-c1ccccn1 ZINC000884250945 647681813 /nfs/dbraw/zinc/68/18/13/647681813.db2.gz SECHJCBDNYTSJI-BXUZGUMPSA-N 0 1 293.330 0.985 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2c3c(nn2C)CCSC3)N1 ZINC000884447517 647740587 /nfs/dbraw/zinc/74/05/87/647740587.db2.gz AWHSGMPAAUKPQL-QMMMGPOBSA-N 0 1 278.385 0.481 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCO[C@@]3(CCOC3)C2)CCC1 ZINC000886138037 647906329 /nfs/dbraw/zinc/90/63/29/647906329.db2.gz AFQJHVUYCXUSBY-ZFWWWQNUSA-N 0 1 280.368 0.923 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CC[C@](F)(CO)C2)CCC1 ZINC000886194210 647917117 /nfs/dbraw/zinc/91/71/17/647917117.db2.gz LCQLBMWOGLKIIJ-DGCLKSJQSA-N 0 1 256.321 0.838 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCC[C@H]2C(N)=O)CCC1 ZINC000886299367 647958669 /nfs/dbraw/zinc/95/86/69/647958669.db2.gz WVFHKDAEDSLAKI-QWRGUYRKSA-N 0 1 251.330 0.381 20 30 CCEDMN N#CC1(C[C@H](O)Cn2cc(CNC3CC3)nn2)CCC1 ZINC000887467707 648113599 /nfs/dbraw/zinc/11/35/99/648113599.db2.gz VDSNYZMIULAITP-ZDUSSCGKSA-N 0 1 275.356 0.975 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCc3nc[nH]c3C2)c1 ZINC000929080671 648699267 /nfs/dbraw/zinc/69/92/67/648699267.db2.gz KABOSMCTYPEATE-UHFFFAOYSA-N 0 1 252.277 0.985 20 30 CCEDMN C[C@H](C#N)OCCN1CCCC[C@@H]1CS(N)(=O)=O ZINC000931231212 648968505 /nfs/dbraw/zinc/96/85/05/648968505.db2.gz QEQIVDHNHNSQGS-GHMZBOCLSA-N 0 1 275.374 0.058 20 30 CCEDMN C[C@@]1([N-]C(=O)C(F)(F)F)CC[N@H+](C[C@H](O)CC#N)C1 ZINC000931568892 649006665 /nfs/dbraw/zinc/00/66/65/649006665.db2.gz NITHNPGQAZIFRK-PSASIEDQSA-N 0 1 279.262 0.404 20 30 CCEDMN C[C@@]1([N-]C(=O)C(F)(F)F)CC[N@@H+](C[C@H](O)CC#N)C1 ZINC000931568892 649006668 /nfs/dbraw/zinc/00/66/68/649006668.db2.gz NITHNPGQAZIFRK-PSASIEDQSA-N 0 1 279.262 0.404 20 30 CCEDMN C#CCCCNC(=O)N1CC[N@H+](CC(C)(C)O)C[C@@H]1C ZINC000189017838 649367253 /nfs/dbraw/zinc/36/72/53/649367253.db2.gz WNLUYWNLJVDLLN-ZDUSSCGKSA-N 0 1 281.400 0.886 20 30 CCEDMN C#CCCCNC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000189017838 649367257 /nfs/dbraw/zinc/36/72/57/649367257.db2.gz WNLUYWNLJVDLLN-ZDUSSCGKSA-N 0 1 281.400 0.886 20 30 CCEDMN N#CCN1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC000948869711 649399243 /nfs/dbraw/zinc/39/92/43/649399243.db2.gz OODKPXBMJBQSND-GHMZBOCLSA-N 0 1 259.313 0.181 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@@H]1CNC(=O)c1[nH]ncc1F ZINC000951975264 649575159 /nfs/dbraw/zinc/57/51/59/649575159.db2.gz COQOBOIPBQIVSA-SNVBAGLBSA-N 0 1 290.298 0.293 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CNC(C)=O ZINC001230629087 805634628 /nfs/dbraw/zinc/63/46/28/805634628.db2.gz KXQYCDDFPVGHHB-LBPRGKRZSA-N 0 1 253.346 0.231 20 30 CCEDMN C=CCCCN(C)CCNC(=O)CS(C)(=O)=O ZINC001266260786 790347426 /nfs/dbraw/zinc/34/74/26/790347426.db2.gz VRYRXDMBUHXOTF-UHFFFAOYSA-N 0 1 262.375 0.045 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@](C)(O)C1CC1 ZINC001266267590 790364400 /nfs/dbraw/zinc/36/44/00/790364400.db2.gz ITRXNQUEAUCBQZ-AWEZNQCLSA-N 0 1 252.358 0.609 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H](C)NC(=O)C1CCCC1 ZINC001266276898 790384343 /nfs/dbraw/zinc/38/43/43/790384343.db2.gz FUJCUYBPRPMWHJ-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)CC(C)(C)O)CC1 ZINC001266283677 790399693 /nfs/dbraw/zinc/39/96/93/790399693.db2.gz SVMWHZBBQILXFT-UHFFFAOYSA-N 0 1 283.416 0.457 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1CCN(CC(=C)C)C1 ZINC001266320105 790500290 /nfs/dbraw/zinc/50/02/90/790500290.db2.gz YVZRWGKJRZSUMT-OLZOCXBDSA-N 0 1 250.342 0.791 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCc2ccnn2C)C1 ZINC001266325803 790509497 /nfs/dbraw/zinc/50/94/97/790509497.db2.gz SDGNXOWURUDOIS-GFCCVEGCSA-N 0 1 278.356 0.313 20 30 CCEDMN C=CCCCN1CCN(C(C)=O)[C@@H](C(=O)OC)C1 ZINC001209163345 790570559 /nfs/dbraw/zinc/57/05/59/790570559.db2.gz CRLXCKBNIFCOMI-GFCCVEGCSA-N 0 1 254.330 0.658 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cnn(CCOC)c1 ZINC001266431197 790690709 /nfs/dbraw/zinc/69/07/09/790690709.db2.gz AMRHOOVPFCZCHM-UHFFFAOYSA-N 0 1 292.383 0.605 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCCC(=O)NC(C)C ZINC001266488272 790780875 /nfs/dbraw/zinc/78/08/75/790780875.db2.gz GCVWNGOKCQOKHN-AWEZNQCLSA-N 0 1 293.411 0.895 20 30 CCEDMN CCN(CCNC(=O)[C@@H](C)C#N)c1ncnc2[nH]cnc21 ZINC001100473128 790969673 /nfs/dbraw/zinc/96/96/73/790969673.db2.gz YXNPWSKFACGBJY-VIFPVBQESA-N 0 1 287.327 0.455 20 30 CCEDMN CCN(CCNC(=O)[C@H](C)C#N)c1ncnc2[nH]cnc21 ZINC001100473127 790970572 /nfs/dbraw/zinc/97/05/72/790970572.db2.gz YXNPWSKFACGBJY-SECBINFHSA-N 0 1 287.327 0.455 20 30 CCEDMN C#CCN(CCNC(=O)c1ccnc(C)n1)C1CC1 ZINC001266619576 791044874 /nfs/dbraw/zinc/04/48/74/791044874.db2.gz SOJHLEYKNLNIFW-UHFFFAOYSA-N 0 1 258.325 0.612 20 30 CCEDMN O=C(NCc1c[nH]nn1)c1cccc(C#CCO)c1 ZINC000398372052 791132046 /nfs/dbraw/zinc/13/20/46/791132046.db2.gz PICXXHMSNPVXCT-UHFFFAOYSA-N 0 1 256.265 0.079 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)NC(C)=O ZINC001230697664 805650558 /nfs/dbraw/zinc/65/05/58/805650558.db2.gz KZAORGOJAZMTHL-WCQYABFASA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1CCOCCO ZINC001231170144 805742694 /nfs/dbraw/zinc/74/26/94/805742694.db2.gz NIXPVPOSQIYHIA-ZDUSSCGKSA-N 0 1 270.373 0.494 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231293439 805772262 /nfs/dbraw/zinc/77/22/62/805772262.db2.gz NGIBZHWMJPOSGT-OLZOCXBDSA-N 0 1 265.357 0.881 20 30 CCEDMN C[N@@H+](CCCNC(=O)c1ccccc1)CC(=O)NCC#N ZINC001231351935 805780254 /nfs/dbraw/zinc/78/02/54/805780254.db2.gz JQRDLOKSTQYAHT-UHFFFAOYSA-N 0 1 288.351 0.378 20 30 CCEDMN CN(CCCNC(=O)c1ccccc1)CC(=O)NCC#N ZINC001231351935 805780258 /nfs/dbraw/zinc/78/02/58/805780258.db2.gz JQRDLOKSTQYAHT-UHFFFAOYSA-N 0 1 288.351 0.378 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cc1cc[nH]n1 ZINC001231407189 805795875 /nfs/dbraw/zinc/79/58/75/805795875.db2.gz HMMFRNDOJHYZFD-ZDUSSCGKSA-N 0 1 260.341 0.508 20 30 CCEDMN N#CCC1CN(C(=O)[C@@]23C[C@@H]2CN(Cc2cnco2)C3)C1 ZINC001277553819 805840155 /nfs/dbraw/zinc/84/01/55/805840155.db2.gz NNKFIDCHGNSRHK-IUODEOHRSA-N 0 1 286.335 0.869 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H]2COCCO2)C1 ZINC001277555292 805843199 /nfs/dbraw/zinc/84/31/99/805843199.db2.gz NIYUMBQMFPKSSP-TZMCWYRMSA-N 0 1 282.384 0.899 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001277637577 805915407 /nfs/dbraw/zinc/91/54/07/805915407.db2.gz ZCZUMDBGROQQOS-LBPRGKRZSA-N 0 1 280.372 0.119 20 30 CCEDMN COC(=O)[C@@H]1CN(Cc2cc(C#N)ccc2O)CCO1 ZINC001232673649 805967148 /nfs/dbraw/zinc/96/71/48/805967148.db2.gz KLMQFXVUZMSMDA-ZDUSSCGKSA-N 0 1 276.292 0.638 20 30 CCEDMN N#Cc1cc[nH]c1CN1CCC[C@@]2(CCNC2=O)C1 ZINC001233045049 806012318 /nfs/dbraw/zinc/01/23/18/806012318.db2.gz ZXHNSFIPZDJWKJ-CQSZACIVSA-N 0 1 258.325 0.988 20 30 CCEDMN CC(C)(O)CN1CCN(Cc2[nH]ccc2C#N)CC1 ZINC001233049195 806015106 /nfs/dbraw/zinc/01/51/06/806015106.db2.gz JVSJZWDCMBKJQK-UHFFFAOYSA-N 0 1 262.357 0.775 20 30 CCEDMN C#CCCN1CCCO[C@H](CNC(=O)CCCC(C)=O)C1 ZINC001149167982 791264225 /nfs/dbraw/zinc/26/42/25/791264225.db2.gz LXKFGQRMQVIZQM-OAHLLOKOSA-N 0 1 294.395 0.976 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)CCc1ccn(C)n1 ZINC001266842473 791282608 /nfs/dbraw/zinc/28/26/08/791282608.db2.gz OIYTXKWFIGIMRU-HNNXBMFYSA-N 0 1 288.395 0.957 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)[C@H]1CCCN(CC(N)=O)C1 ZINC001266894698 791324779 /nfs/dbraw/zinc/32/47/79/791324779.db2.gz WXYPDMVAZGUHGQ-STQMWFEESA-N 0 1 279.384 0.492 20 30 CCEDMN C#CCOCCC(=O)NC[C@H](C)NCc1ccc(F)cn1 ZINC001266938591 791375336 /nfs/dbraw/zinc/37/53/36/791375336.db2.gz ZYGCHDCZAKYRIU-LBPRGKRZSA-N 0 1 293.342 0.855 20 30 CCEDMN C#C[C@@H](CO)NC[C@@](C)(O)C(F)(F)C(F)(F)F ZINC000724023302 791375853 /nfs/dbraw/zinc/37/58/53/791375853.db2.gz MUJMWOKWAVAUCM-NKWVEPMBSA-N 0 1 261.190 0.519 20 30 CCEDMN C[C@@](O)(CNCC#CCO)C(F)(F)C(F)(F)F ZINC000724026216 791377906 /nfs/dbraw/zinc/37/79/06/791377906.db2.gz XDMGLAPQVOJIKP-SSDOTTSWSA-N 0 1 261.190 0.520 20 30 CCEDMN N#Cc1csc(CNC[C@H]2C[C@@H]3COC[C@@H]3O2)n1 ZINC000724572269 791596712 /nfs/dbraw/zinc/59/67/12/791596712.db2.gz ZLBHCVBGQYQCIW-IEBDPFPHSA-N 0 1 265.338 0.908 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCCN1c1ncccn1 ZINC001267200835 791664952 /nfs/dbraw/zinc/66/49/52/791664952.db2.gz RWRYYGKBFGQAKD-CYBMUJFWSA-N 0 1 287.367 0.127 20 30 CCEDMN CN(CC#N)CCNC(=O)c1c[nH]c2ccccc2c1=O ZINC001267205774 791671002 /nfs/dbraw/zinc/67/10/02/791671002.db2.gz YYOSPBFFIGOZCQ-UHFFFAOYSA-N 0 1 284.319 0.713 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](OC)C1CC1 ZINC001234049398 806173723 /nfs/dbraw/zinc/17/37/23/806173723.db2.gz HLVYHACJXCPMSW-KBPBESRZSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](OC)C1CC1 ZINC001234049399 806173749 /nfs/dbraw/zinc/17/37/49/806173749.db2.gz HLVYHACJXCPMSW-KGLIPLIRSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@H](O)C(C)C ZINC001234079378 806179614 /nfs/dbraw/zinc/17/96/14/806179614.db2.gz WWUSTATUWLFKLW-UONOGXRCSA-N 0 1 284.400 0.739 20 30 CCEDMN COCCN1CC[C@@H]1CN(C)C(=O)C#CC(C)C ZINC001234131811 806188727 /nfs/dbraw/zinc/18/87/27/806188727.db2.gz SPMKHEMVXPGGAY-CYBMUJFWSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cc(C)nc2c1cnn2C ZINC001267253896 793248905 /nfs/dbraw/zinc/24/89/05/793248905.db2.gz VCMRJRKQYQBHLJ-UHFFFAOYSA-N 0 1 299.378 0.962 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H]1C[C@@H]1OCC ZINC001234466314 806252813 /nfs/dbraw/zinc/25/28/13/806252813.db2.gz SBQBOKJTUMCIFC-STQMWFEESA-N 0 1 297.399 0.152 20 30 CCEDMN N#CCNC[C@H]1CCCN1C(=O)CCCCc1cn[nH]n1 ZINC001277907455 806312840 /nfs/dbraw/zinc/31/28/40/806312840.db2.gz AODALHCJIQLUNL-CYBMUJFWSA-N 0 1 290.371 0.622 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCNC1=O ZINC001235280475 806399155 /nfs/dbraw/zinc/39/91/55/806399155.db2.gz KJMIQFKNXCTLSX-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCCC(=O)NCCCN(C)[C@@H]1CCNC1=O ZINC001235436676 806421827 /nfs/dbraw/zinc/42/18/27/806421827.db2.gz POXQYBIUQWPMNH-LLVKDONJSA-N 0 1 253.346 0.279 20 30 CCEDMN COCC#CCN1CC[C@]2(NC(C)=O)CCC[C@H]12 ZINC001278430580 807148022 /nfs/dbraw/zinc/14/80/22/807148022.db2.gz IIOPEMOJKFIQRH-UONOGXRCSA-N 0 1 250.342 0.769 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)CC(=O)NCCC ZINC001235684244 806490206 /nfs/dbraw/zinc/49/02/06/806490206.db2.gz VENOAOPIILHQBS-UHFFFAOYSA-N 0 1 285.388 0.153 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)/C=C\C(C)(C)C ZINC001278053164 806639269 /nfs/dbraw/zinc/63/92/69/806639269.db2.gz CBZYRCGAZRHXBG-WSROAFLRSA-N 0 1 282.384 0.305 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cnn(-c2ccccc2)c1 ZINC001278112316 806679904 /nfs/dbraw/zinc/67/99/04/806679904.db2.gz JZPREHCCNKQBTG-AWEZNQCLSA-N 0 1 298.346 0.186 20 30 CCEDMN C#Cc1ccc(CNC(=O)N2CCNC[C@H]2COC)cc1 ZINC001120622719 798891323 /nfs/dbraw/zinc/89/13/23/798891323.db2.gz BFOYNPOLCNKDRR-HNNXBMFYSA-N 0 1 287.363 0.798 20 30 CCEDMN Cn1c(-c2c[nH]nn2)nnc1N1CCC[C@@H](C#N)C1 ZINC001121268298 799024463 /nfs/dbraw/zinc/02/44/63/799024463.db2.gz NZOLSYOBQFPWBH-QMMMGPOBSA-N 0 1 258.289 0.340 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1(CCC)CCC1 ZINC001278140195 806715311 /nfs/dbraw/zinc/71/53/11/806715311.db2.gz YURXPFOCPREFOP-GFCCVEGCSA-N 0 1 252.358 0.657 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)[C@H](O)c1ccc(F)cc1 ZINC001121951568 799106469 /nfs/dbraw/zinc/10/64/69/799106469.db2.gz DKJFSILUMOSVIW-YGRLFVJLSA-N 0 1 264.300 0.587 20 30 CCEDMN C#CCNCC(=O)N[C@@H](Cn1cccn1)c1ccccc1 ZINC001121954433 799107333 /nfs/dbraw/zinc/10/73/33/799107333.db2.gz BKRHRIIDJQWBEG-HNNXBMFYSA-N 0 1 282.347 0.963 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CC)c1nnc2n1CCCCC2 ZINC001121996743 799116892 /nfs/dbraw/zinc/11/68/92/799116892.db2.gz MHRCFOAUFMGAIQ-LBPRGKRZSA-N 0 1 289.383 0.795 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NCCCO ZINC001122066600 799134385 /nfs/dbraw/zinc/13/43/85/799134385.db2.gz ZXACKNLOYISXOL-CYBMUJFWSA-N 0 1 259.309 0.244 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@@H](F)CC ZINC001122533711 799257412 /nfs/dbraw/zinc/25/74/12/799257412.db2.gz QTOWHQQXTVHZQZ-ZJUUUORDSA-N 0 1 258.293 0.986 20 30 CCEDMN CCOCC(=O)NCCNCc1cc(C#N)ccc1F ZINC001122965064 799322423 /nfs/dbraw/zinc/32/24/23/799322423.db2.gz CFMABKHDTUKSFK-UHFFFAOYSA-N 0 1 279.315 0.940 20 30 CCEDMN C=C(C)CCNC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001123751947 799465968 /nfs/dbraw/zinc/46/59/68/799465968.db2.gz QWTSZQQIJGBFGE-STQMWFEESA-N 0 1 268.405 0.886 20 30 CCEDMN N#CCSCC(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001123914341 799503584 /nfs/dbraw/zinc/50/35/84/799503584.db2.gz NZPVDYUVEZTNFD-SECBINFHSA-N 0 1 280.357 0.238 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cn[nH]c1 ZINC001124068057 799551581 /nfs/dbraw/zinc/55/15/81/799551581.db2.gz WMXQNXMUFLZSQG-UHFFFAOYSA-N 0 1 273.134 0.638 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)COc1ccccc1CC ZINC001278194594 806757055 /nfs/dbraw/zinc/75/70/55/806757055.db2.gz JVGHSARIAFOKTO-AWEZNQCLSA-N 0 1 290.363 0.328 20 30 CCEDMN C=CCCC(=O)NCCNCc1cnnn1CC ZINC001124636649 799620678 /nfs/dbraw/zinc/62/06/78/799620678.db2.gz UOTIFQRKJWADJP-UHFFFAOYSA-N 0 1 251.334 0.470 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)Cc2ncon2)CC1 ZINC001137923721 799695120 /nfs/dbraw/zinc/69/51/20/799695120.db2.gz ZYLPWCKDDICCDX-UHFFFAOYSA-N 0 1 262.313 0.373 20 30 CCEDMN COc1cc(CN2CC[C@H](CO)[C@H](O)C2)ccc1C#N ZINC001238409876 806782030 /nfs/dbraw/zinc/78/20/30/806782030.db2.gz KHYVVSMMHIYBNU-ZIAGYGMSSA-N 0 1 276.336 0.742 20 30 CCEDMN N#Cc1cc(CNC(=O)CCc2cnc[nH]2)ccn1 ZINC001139861123 799851177 /nfs/dbraw/zinc/85/11/77/799851177.db2.gz OLTDEJGZIWFTAP-UHFFFAOYSA-N 0 1 255.281 0.925 20 30 CCEDMN C=CCO[C@H]1CO[C@]2(C1)CCCN(CC(=O)N(C)C)C2 ZINC001203014695 799889900 /nfs/dbraw/zinc/88/99/00/799889900.db2.gz MXAUAVIFJHMDSC-HIFRSBDPSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CSCC#N)C1 ZINC001149236370 800060469 /nfs/dbraw/zinc/06/04/69/800060469.db2.gz JYGOESTWOOISNW-GFCCVEGCSA-N 0 1 283.397 0.636 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)COCCC)C1 ZINC001149317705 800247601 /nfs/dbraw/zinc/24/76/01/800247601.db2.gz NNPHYLABJHBMRE-ZDUSSCGKSA-N 0 1 270.373 0.806 20 30 CCEDMN N#Cc1ccnnc1NC(=O)c1[nH]nc2ncccc21 ZINC001149395211 800255643 /nfs/dbraw/zinc/25/56/43/800255643.db2.gz GVMGRVBNOGCWMK-UHFFFAOYSA-N 0 1 265.236 0.872 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CCC(N2CCOCC2)CC1 ZINC001149480209 800270217 /nfs/dbraw/zinc/27/02/17/800270217.db2.gz BDQZUEYPVOEITD-DGCLKSJQSA-N 0 1 263.341 0.469 20 30 CCEDMN CNc1ccc2ncc(C(=O)Nc3nc[nH]c3C#N)n2n1 ZINC001149626588 800284166 /nfs/dbraw/zinc/28/41/66/800284166.db2.gz ZQNDMMICILHTCV-UHFFFAOYSA-N 0 1 282.267 0.618 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1CN(CC#CC)CCCO1 ZINC001149707380 800293062 /nfs/dbraw/zinc/29/30/62/800293062.db2.gz HXVKRIWMWJOBCP-CABCVRRESA-N 0 1 292.379 0.255 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@]2(F)CCOC2)C1 ZINC001150172970 800321191 /nfs/dbraw/zinc/32/11/91/800321191.db2.gz YKWOVGGFRBNYQR-OCCSQVGLSA-N 0 1 286.347 0.508 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@@H](CNC(=O)[C@]2(C)CCOC2)C1 ZINC001150486959 800339129 /nfs/dbraw/zinc/33/91/29/800339129.db2.gz AXKBTRINNHMBES-GOEBONIOSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)[C@H](O)C(C)C)CC1 ZINC001151099815 800399438 /nfs/dbraw/zinc/39/94/38/800399438.db2.gz BHFDYBONYXMBNQ-CQSZACIVSA-N 0 1 296.411 0.624 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1ccc2cncn2c1 ZINC001152202672 800500149 /nfs/dbraw/zinc/50/01/49/800500149.db2.gz OYEIDBDEXGXVJU-JTQLQIEISA-N 0 1 279.321 0.536 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001152515921 800534276 /nfs/dbraw/zinc/53/42/76/800534276.db2.gz CWBLKNGFOGJNEU-JTQLQIEISA-N 0 1 273.764 0.313 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1cc(OC)ccn1 ZINC001153081355 800593599 /nfs/dbraw/zinc/59/35/99/800593599.db2.gz PTIAENOMPQKPBL-UHFFFAOYSA-N 0 1 293.367 0.889 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1c(C)nnn1CC ZINC001153135662 800600223 /nfs/dbraw/zinc/60/02/23/800600223.db2.gz XKOPHYUSQVDFKQ-GFCCVEGCSA-N 0 1 295.387 0.403 20 30 CCEDMN C=CC[N@H+](CC)[C@H](C)CNC(=O)c1cnc([O-])n(C)c1=O ZINC001154569448 800801462 /nfs/dbraw/zinc/80/14/62/800801462.db2.gz OHCQYQHSZGRKTM-SNVBAGLBSA-N 0 1 294.355 0.112 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)c1[nH]ncc1F ZINC001155088530 800906540 /nfs/dbraw/zinc/90/65/40/800906540.db2.gz BFAIMNGQNTYKEJ-MRVPVSSYSA-N 0 1 253.281 0.513 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2nc(C)ccc2C#N)CC1 ZINC001155151389 800919206 /nfs/dbraw/zinc/91/92/06/800919206.db2.gz CYDFWNZLCHTTGY-ZDUSSCGKSA-N 0 1 288.351 0.978 20 30 CCEDMN COc1ccnc(NCc2nnc3n2CCCNC3)c1C#N ZINC001155425041 800973570 /nfs/dbraw/zinc/97/35/70/800973570.db2.gz QYUHCXDUSONOLF-UHFFFAOYSA-N 0 1 299.338 0.659 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H](C)N(CC)CCF)nn1 ZINC001155688283 801037964 /nfs/dbraw/zinc/03/79/64/801037964.db2.gz MSLSBIFTDWCSNQ-LLVKDONJSA-N 0 1 283.351 0.874 20 30 CCEDMN C=CCOCC(=O)NCCCN[C@@H](C)c1nncn1C ZINC001155707431 801041334 /nfs/dbraw/zinc/04/13/34/801041334.db2.gz KYWQAYGZOGYSSY-NSHDSACASA-N 0 1 281.360 0.175 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1cscn1 ZINC001155854431 801068016 /nfs/dbraw/zinc/06/80/16/801068016.db2.gz DNRWCFYVMNOIPU-SECBINFHSA-N 0 1 252.343 0.899 20 30 CCEDMN C=CCOCC[NH2+]CCCNC(=O)c1ncccc1[O-] ZINC001156981714 801278657 /nfs/dbraw/zinc/27/86/57/801278657.db2.gz IIEHEMWBAMXVOU-UHFFFAOYSA-N 0 1 279.340 0.699 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(c2ccc(F)c(F)c2)CC1 ZINC001157597175 801430458 /nfs/dbraw/zinc/43/04/58/801430458.db2.gz LGWKESBBXJKPOP-HNNXBMFYSA-N 0 1 293.317 0.964 20 30 CCEDMN CCn1c(C)nnc1CNCCCNC(=O)C#CC(C)C ZINC001157633135 801441485 /nfs/dbraw/zinc/44/14/85/801441485.db2.gz RPMNULZKTCEULD-UHFFFAOYSA-N 0 1 291.399 0.862 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCCC(N)=O ZINC001158962475 801729108 /nfs/dbraw/zinc/72/91/08/801729108.db2.gz VMQBKTONJXABQW-CYBMUJFWSA-N 0 1 279.384 0.588 20 30 CCEDMN COC(=O)c1cc(C#N)cnc1NC[C@@H]1COCCN1 ZINC001159047939 801751531 /nfs/dbraw/zinc/75/15/31/801751531.db2.gz WFMADBOUGLEYRC-SNVBAGLBSA-N 0 1 276.296 0.140 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H](O)C(C)C)CC1 ZINC001160062556 801902789 /nfs/dbraw/zinc/90/27/89/801902789.db2.gz OIULRTWRAYZZRL-CQSZACIVSA-N 0 1 264.369 0.775 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@@H](C(=O)OC(C)(C)C)C1 ZINC001160476648 801948337 /nfs/dbraw/zinc/94/83/37/801948337.db2.gz JUNOILAIDFZOKA-ABAIWWIYSA-N 0 1 280.368 0.917 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H]1CCCN1C(=O)CN ZINC001160688493 802001873 /nfs/dbraw/zinc/00/18/73/802001873.db2.gz CRIQEGLADBLMBG-NSHDSACASA-N 0 1 253.346 0.361 20 30 CCEDMN C#CCO[C@H](C)C(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC001160998841 802059123 /nfs/dbraw/zinc/05/91/23/802059123.db2.gz WOZRSSMPWZTYPC-MRVPVSSYSA-N 0 1 286.291 0.639 20 30 CCEDMN Cc1ncc(C(=O)N2CCNC3(CC3)C2)cc1C#N ZINC001161552241 802178194 /nfs/dbraw/zinc/17/81/94/802178194.db2.gz HKTCVVBOUQTUEO-UHFFFAOYSA-N 0 1 256.309 0.840 20 30 CCEDMN CN1CCC(C#N)(Nc2cc3c(nn2)CCOC3)CC1 ZINC001162231508 802292636 /nfs/dbraw/zinc/29/26/36/802292636.db2.gz UYLAEWCGHKTTOY-UHFFFAOYSA-N 0 1 273.340 0.949 20 30 CCEDMN Cc1nc(NC2(C#N)CCN(C)CC2)cc(-n2cncn2)n1 ZINC001162230640 802293411 /nfs/dbraw/zinc/29/34/11/802293411.db2.gz BNGSPIQTVMMWSF-UHFFFAOYSA-N 0 1 298.354 0.766 20 30 CCEDMN CC[C@H](CC#N)N1C[C@H]2COC[C@@]2(C(=O)OC)C1 ZINC001255166431 808325549 /nfs/dbraw/zinc/32/55/49/808325549.db2.gz KQIMISQWTMJYJZ-LOWVWBTDSA-N 0 1 252.314 0.800 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CC1(COC)CC1 ZINC001278855945 808340331 /nfs/dbraw/zinc/34/03/31/808340331.db2.gz YSKGETLUAYMJDF-CYBMUJFWSA-N 0 1 282.384 0.187 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)NCCCNCC#N ZINC001162821337 802425189 /nfs/dbraw/zinc/42/51/89/802425189.db2.gz YDACGVQCIKCDBJ-ZWNOBZJWSA-N 0 1 275.356 0.695 20 30 CCEDMN N#Cc1ccnnc1NCc1noc2c1CNCC2 ZINC001163124473 802486851 /nfs/dbraw/zinc/48/68/51/802486851.db2.gz OIFFIFSKSURMJQ-UHFFFAOYSA-N 0 1 256.269 0.594 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)Cc2ncn[nH]2)n1 ZINC001261873946 809116084 /nfs/dbraw/zinc/11/60/84/809116084.db2.gz NMPIOZQPXAXGFU-UHFFFAOYSA-N 0 1 272.312 0.226 20 30 CCEDMN C#CC[NH2+]CCCNC(=O)c1c(C)nc(C(C)C)[n-]c1=O ZINC001163729113 802599348 /nfs/dbraw/zinc/59/93/48/802599348.db2.gz MZQLREZZZRHILJ-UHFFFAOYSA-N 0 1 290.367 0.957 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cn1ccnc1 ZINC001264382305 809647964 /nfs/dbraw/zinc/64/79/64/809647964.db2.gz IQDVVAMTPIBINH-CYBMUJFWSA-N 0 1 260.341 0.439 20 30 CCEDMN Cc1coc(C(=O)NCCCN(C)CC(=O)NCC#N)c1 ZINC001265024626 809695124 /nfs/dbraw/zinc/69/51/24/809695124.db2.gz OMPREAZWVGDWTP-UHFFFAOYSA-N 0 1 292.339 0.280 20 30 CCEDMN COCc1nn(-c2cncc(CC#N)n2)c2c1CNC2 ZINC001165634877 802858953 /nfs/dbraw/zinc/85/89/53/802858953.db2.gz WNPSGDIZSZRHPI-UHFFFAOYSA-N 0 1 270.296 0.478 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H](C)COC ZINC001268301224 812460023 /nfs/dbraw/zinc/46/00/23/812460023.db2.gz RZLCJWLMMDGMEA-WPRPVWTQSA-N 0 1 264.753 0.088 20 30 CCEDMN C#CCOCCC(=O)NC1CN(CCC2CCOCC2)C1 ZINC001268301490 812460493 /nfs/dbraw/zinc/46/04/93/812460493.db2.gz WHZLMCMHJWRQNW-UHFFFAOYSA-N 0 1 294.395 0.643 20 30 CCEDMN COc1nc(N2CC[C@@H](N)C(F)(F)C2)ncc1C#N ZINC001166959592 802995054 /nfs/dbraw/zinc/99/50/54/802995054.db2.gz AKGJKNZEACWIOV-MRVPVSSYSA-N 0 1 269.255 0.530 20 30 CCEDMN C#C[C@H]1CCCN(c2ncccc2C(=O)N2CCNCC2)C1 ZINC001167006993 803010688 /nfs/dbraw/zinc/01/06/88/803010688.db2.gz WBUQMMXIAKFMAD-AWEZNQCLSA-N 0 1 298.390 0.977 20 30 CCEDMN C[C@H](O)[C@H](C)N1CCN(c2cc(C#N)ccn2)CC1 ZINC001167016676 803010973 /nfs/dbraw/zinc/01/09/73/803010973.db2.gz OAAOZZPMFSEALD-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](CCO)C[C@H]1C ZINC001206429169 803242254 /nfs/dbraw/zinc/24/22/54/803242254.db2.gz RMCVDPLJGJRRPW-JHJVBQTASA-N 0 1 270.373 0.396 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCC(=O)NCC)C1 ZINC001206578992 803268211 /nfs/dbraw/zinc/26/82/11/803268211.db2.gz MAWYAXJZTUDRSO-CHWSQXEVSA-N 0 1 279.384 0.363 20 30 CCEDMN Cn1cc(CN2CCC(NC(N)=O)CC2)cc1C#N ZINC001207101572 803352125 /nfs/dbraw/zinc/35/21/25/803352125.db2.gz MMJAVSMXLPAJDB-UHFFFAOYSA-N 0 1 261.329 0.529 20 30 CCEDMN C=CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001208816478 803548626 /nfs/dbraw/zinc/54/86/26/803548626.db2.gz BLWJLOSRPYYCQD-RAIGVLPGSA-N 0 1 267.373 0.524 20 30 CCEDMN N#Cc1ccc(CCCN2CCN(CC(N)=O)CC2)cc1 ZINC001208862765 803550603 /nfs/dbraw/zinc/55/06/03/803550603.db2.gz PJYBTMXLRNIXKI-UHFFFAOYSA-N 0 1 286.379 0.594 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)OCC(C)C)[C@H](OC)C1 ZINC001211961867 814945854 /nfs/dbraw/zinc/94/58/54/814945854.db2.gz GUHNJLKAAAFAMQ-RBSFLKMASA-N 0 1 296.411 0.886 20 30 CCEDMN C#CCCCN1CCO[C@]2(C1)COCCN(C(C)=O)C2 ZINC001209263414 803585009 /nfs/dbraw/zinc/58/50/09/803585009.db2.gz LDHFOIMNARLGQB-HNNXBMFYSA-N 0 1 280.368 0.350 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](COC)OC)C1 ZINC001209542698 803609482 /nfs/dbraw/zinc/60/94/82/803609482.db2.gz ALUQJODHTFCJIS-NEPJUHHUSA-N 0 1 290.791 0.981 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccncn2)C1 ZINC001209607031 803616464 /nfs/dbraw/zinc/61/64/64/803616464.db2.gz SWBUPWOVHWHYQX-TZMCWYRMSA-N 0 1 290.367 0.729 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COCCCOC)C1 ZINC001210118166 803639148 /nfs/dbraw/zinc/63/91/48/803639148.db2.gz DIQFCHHKYZSHIM-CHWSQXEVSA-N 0 1 268.357 0.109 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COC[C@@H]2CCCO2)C1 ZINC001211478611 803684235 /nfs/dbraw/zinc/68/42/35/803684235.db2.gz ZEZMBKKMRXHUPX-QLFBSQMISA-N 0 1 294.395 0.642 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](CC)NC(C)=O)[C@H](OC)C1 ZINC001213477009 803694760 /nfs/dbraw/zinc/69/47/60/803694760.db2.gz FVVULEOGMWCYBC-BFHYXJOUSA-N 0 1 297.399 0.293 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CF)CCC2)[C@H](OC)C1 ZINC001213470276 803695702 /nfs/dbraw/zinc/69/57/02/803695702.db2.gz LYZHBZUYERYQST-CHWSQXEVSA-N 0 1 282.359 0.965 20 30 CCEDMN C#CCNC(=O)CC(=O)N1C[C@@H](C)[C@H](NCC(=C)Cl)C1 ZINC001214472028 803743483 /nfs/dbraw/zinc/74/34/83/803743483.db2.gz IQEJNUFSOTWNIY-ZYHUDNBSSA-N 0 1 297.786 0.315 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)OCCC=C)[C@H](OC)C1 ZINC001211994508 814969959 /nfs/dbraw/zinc/96/99/59/814969959.db2.gz BEDYITRFSIDRLW-RBSFLKMASA-N 0 1 294.395 0.806 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CCOCC)[C@H]2C1 ZINC001217523371 803895865 /nfs/dbraw/zinc/89/58/65/803895865.db2.gz VULBWHUIPXTCDG-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCCCCC(=O)N1CCO[C@@H]2CN(C[C@H](C)O)C[C@@H]21 ZINC001217669440 803901000 /nfs/dbraw/zinc/90/10/00/803901000.db2.gz KAPBEBPGFHBTJV-SOUVJXGZSA-N 0 1 294.395 0.472 20 30 CCEDMN CCOCCCN1C[C@@H](NC(=O)[C@H](C)C#N)[C@H](OC)C1 ZINC001212045375 814984664 /nfs/dbraw/zinc/98/46/64/814984664.db2.gz LULZHAYHOSOULQ-JHJVBQTASA-N 0 1 283.372 0.388 20 30 CCEDMN Cn1cc(NC(=O)[C@@H](N)Cc2cccc(O)c2)c(C#N)n1 ZINC001218667953 804073330 /nfs/dbraw/zinc/07/33/30/804073330.db2.gz MWUIQQPZPAGJLJ-NSHDSACASA-N 0 1 285.307 0.506 20 30 CCEDMN C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)Nc1cn(C)nc1C#N ZINC001218668978 804074591 /nfs/dbraw/zinc/07/45/91/804074591.db2.gz VPKVBENNFZQURA-LDYMZIIASA-N 0 1 279.344 0.761 20 30 CCEDMN N#Cc1cc(N)c(NC(=O)C[C@@H]2COCCN2)cc1C#N ZINC001218987711 804175455 /nfs/dbraw/zinc/17/54/55/804175455.db2.gz PZOHMPUCEJYAJI-LLVKDONJSA-N 0 1 285.307 0.329 20 30 CCEDMN N#Cc1ccc(NC(=O)[C@@H]2CCCN2C(=O)C[NH3+])c([O-])c1 ZINC001218990393 804176269 /nfs/dbraw/zinc/17/62/69/804176269.db2.gz AYZOULXWQLOEPC-NSHDSACASA-N 0 1 288.307 0.152 20 30 CCEDMN N#Cc1ccc(NC(=O)C[C@@H]2COCC[NH2+]2)c([O-])c1 ZINC001218990676 804176392 /nfs/dbraw/zinc/17/63/92/804176392.db2.gz DLOMLGKBMWCFSL-SNVBAGLBSA-N 0 1 261.281 0.581 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H](C)CCOC)[C@H]2C1 ZINC001219043819 804184006 /nfs/dbraw/zinc/18/40/06/804184006.db2.gz KEJBHNKMFLWESA-SOUVJXGZSA-N 0 1 294.395 0.594 20 30 CCEDMN N#Cc1cc(NC(=O)[C@@H]2COC[NH2+]2)c([O-])cc1F ZINC001219068305 804189997 /nfs/dbraw/zinc/18/99/97/804189997.db2.gz ORRSUNZOSJXICZ-VIFPVBQESA-N 0 1 251.217 0.287 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(F)(F)F)[C@@H](O)C1 ZINC001219304082 804263976 /nfs/dbraw/zinc/26/39/76/804263976.db2.gz FOMMAMJITQWJEG-BDAKNGLRSA-N 0 1 264.247 0.123 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)CCC)[C@@H](O)C1 ZINC001219392751 804296449 /nfs/dbraw/zinc/29/64/49/804296449.db2.gz VLBYUQZOIOAFBZ-XQQFMLRXSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@@H]1O ZINC001219482025 804326621 /nfs/dbraw/zinc/32/66/21/804326621.db2.gz ICHAKNCNUVEQMO-MJBXVCDLSA-N 0 1 282.384 0.376 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2coc(C)n2)[C@@H](O)C1 ZINC001219505708 804331819 /nfs/dbraw/zinc/33/18/19/804331819.db2.gz IULGMPUNXTUFCL-YPMHNXCESA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2cnn(CC)n2)[C@@H](O)C1 ZINC001219563055 804349065 /nfs/dbraw/zinc/34/90/65/804349065.db2.gz APQLIMGEQPDTAH-OLZOCXBDSA-N 0 1 293.371 0.039 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C[C@H]1CCOC1 ZINC001272105276 815011654 /nfs/dbraw/zinc/01/16/54/815011654.db2.gz MAZZURFOXVAFFA-CYBMUJFWSA-N 0 1 252.358 0.827 20 30 CCEDMN CC/C=C\CCN1C[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001219591864 804363433 /nfs/dbraw/zinc/36/34/33/804363433.db2.gz YFGLPEDCPFPLSF-OVNWPICBSA-N 0 1 276.380 0.917 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cc2c(cc1C)OCCO2 ZINC001219606757 804372552 /nfs/dbraw/zinc/37/25/52/804372552.db2.gz SBHNPTPOLWJALP-HNNXBMFYSA-N 0 1 274.320 0.733 20 30 CCEDMN Cc1cc(C#N)cc(C(=O)NC[C@]2(O)CCNC[C@@H]2F)c1 ZINC001219626687 804379909 /nfs/dbraw/zinc/37/99/09/804379909.db2.gz YYYKQZUSYZBJQT-DZGCQCFKSA-N 0 1 291.326 0.659 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCc1cc[nH]n1 ZINC001219689159 804403860 /nfs/dbraw/zinc/40/38/60/804403860.db2.gz HOMOULOJZDHMDJ-ZDUSSCGKSA-N 0 1 269.308 0.468 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)O)C[C@@H]1O ZINC001219702930 804409412 /nfs/dbraw/zinc/40/94/12/804409412.db2.gz NBAQYSKTWFPUGA-GDPRMGEGSA-N 0 1 290.791 0.307 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2cnco2)[C@@H](O)C1 ZINC001220097658 804499142 /nfs/dbraw/zinc/49/91/42/804499142.db2.gz XAUDMRJTSGTHSR-MNOVXSKESA-N 0 1 265.313 0.416 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@@H]2CCCCO2)C[C@@H]1O ZINC001220147708 804515254 /nfs/dbraw/zinc/51/52/54/804515254.db2.gz JEJHSTZJYQMBPR-MJBXVCDLSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@H](F)C(C)C)[C@@H](O)C1 ZINC001220180848 804529370 /nfs/dbraw/zinc/52/93/70/804529370.db2.gz SHLYBKVJJYRRIC-GRYCIOLGSA-N 0 1 258.337 0.718 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CCC)CC2)[C@@H](O)C1 ZINC001220313436 804571574 /nfs/dbraw/zinc/57/15/74/804571574.db2.gz UXBXTMTYGDRXRU-OLZOCXBDSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2CC23CC3)[C@@H](O)C1 ZINC001220418704 804600931 /nfs/dbraw/zinc/60/09/31/804600931.db2.gz WPAAGXGSMOILPM-XQQFMLRXSA-N 0 1 280.368 0.150 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1ncccc1F ZINC001272137858 815027315 /nfs/dbraw/zinc/02/73/15/815027315.db2.gz NCLBSKGRGPKIPL-UHFFFAOYSA-N 0 1 293.342 0.874 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cc(OC)c(O)c(OC)c1 ZINC001220812127 804671254 /nfs/dbraw/zinc/67/12/54/804671254.db2.gz DSXIOPHEXWGBSM-AWEZNQCLSA-N 0 1 278.308 0.376 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC1(Cc2ccccn2)CCOCC1 ZINC001220946735 804704978 /nfs/dbraw/zinc/70/49/78/804704978.db2.gz HJVZASXRQYOOAJ-HNNXBMFYSA-N 0 1 287.363 0.640 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C[C@@H](C)C2CC2)[C@@H](O)C1 ZINC001221107243 804731756 /nfs/dbraw/zinc/73/17/56/804731756.db2.gz CQNWWWPDVPSXGP-YUELXQCFSA-N 0 1 296.411 0.787 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001221139955 804735610 /nfs/dbraw/zinc/73/56/10/804735610.db2.gz VZTRWJZEMRXANF-NEPJUHHUSA-N 0 1 274.336 0.100 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC(C)(C)C(=O)OC(C)(C)C ZINC001221306269 804772791 /nfs/dbraw/zinc/77/27/91/804772791.db2.gz NKBXZMDOILTGBX-AWEZNQCLSA-N 0 1 268.357 0.821 20 30 CCEDMN COC1CC(CNC(=O)[C@@H](N)Cc2ccccc2C#N)C1 ZINC001221365618 804788829 /nfs/dbraw/zinc/78/88/29/804788829.db2.gz AMIOWBZXVRLTAS-KWCHVYNWSA-N 0 1 287.363 0.969 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H]2CCN(CCOCCO)C[C@H]21 ZINC001221585209 804832311 /nfs/dbraw/zinc/83/23/11/804832311.db2.gz YOQQGYLEVQEPMG-HUUCEWRRSA-N 0 1 296.411 0.884 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCCN(C)C(C)=O)[C@@H]2C1 ZINC001221986184 804856521 /nfs/dbraw/zinc/85/65/21/804856521.db2.gz RWLOWDTWEQAWAN-HUUCEWRRSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H](C)n2cccn2)C1 ZINC001278364905 807038287 /nfs/dbraw/zinc/03/82/87/807038287.db2.gz ZVVABEIQPDULTF-OCCSQVGLSA-N 0 1 260.341 0.658 20 30 CCEDMN CC[C@H](OC)C(=O)NCC1CCN(CC#CCOC)CC1 ZINC001222573367 804917780 /nfs/dbraw/zinc/91/77/80/804917780.db2.gz BSGMCTTWWQFFTD-HNNXBMFYSA-N 0 1 296.411 0.889 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CCN(CC(=O)NC2CC2)CC1 ZINC001222641443 804923997 /nfs/dbraw/zinc/92/39/97/804923997.db2.gz MGUHANWLNJIVKL-LLVKDONJSA-N 0 1 292.383 0.253 20 30 CCEDMN N#Cc1ccnc(N2CCC(OCc3nn[nH]n3)CC2)c1 ZINC001223027630 804947894 /nfs/dbraw/zinc/94/78/94/804947894.db2.gz QVHOCXKTIDHZFC-UHFFFAOYSA-N 0 1 285.311 0.652 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](C)OCCOC)C[C@@H]21 ZINC001223605065 804981234 /nfs/dbraw/zinc/98/12/34/804981234.db2.gz ADXYZTLRDUQFSP-ZNMIVQPWSA-N 0 1 294.395 0.594 20 30 CCEDMN N#Cc1ccc(CN2CC3(C2)CCOC[C@@H]3C(N)=O)cc1 ZINC001276930431 804997848 /nfs/dbraw/zinc/99/78/48/804997848.db2.gz LWHAXFRWBFJBAB-CQSZACIVSA-N 0 1 285.347 0.882 20 30 CCEDMN C=C(CO[C@@H]1C[C@@H]2CC(=O)C[C@H]1N2C)C(=O)OC ZINC001224368521 805030092 /nfs/dbraw/zinc/03/00/92/805030092.db2.gz CQEUILRNRWXRDM-MVWJERBFSA-N 0 1 253.298 0.536 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1OCC[C@@H](OC(C)=O)C(=O)OC ZINC001224837462 805082002 /nfs/dbraw/zinc/08/20/02/805082002.db2.gz MCXGDCYHBMEIEQ-OIBJUYFYSA-N 0 1 286.280 0.717 20 30 CCEDMN Cn1cc(CNC2(CNC(=O)CSCC#N)CC2)cn1 ZINC001277006778 805092568 /nfs/dbraw/zinc/09/25/68/805092568.db2.gz MXLOCKRNRMOTGB-UHFFFAOYSA-N 0 1 293.396 0.415 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)[C@H]2CC2(C)C)CC1 ZINC001277042461 805127471 /nfs/dbraw/zinc/12/74/71/805127471.db2.gz AUQJIGIKENWQHH-NWDGAFQWSA-N 0 1 291.395 0.409 20 30 CCEDMN C=C[C@@H](Oc1nc(C(=O)OC)cc(=O)[nH]1)C(=O)OC ZINC001225729630 805153805 /nfs/dbraw/zinc/15/38/05/805153805.db2.gz BTTMSGNDZHAHFU-SSDOTTSWSA-N 0 1 268.225 0.075 20 30 CCEDMN CC(=O)Nc1nc2nc[nH]c2c(OC2CC(C#N)C2)n1 ZINC001225826183 805165637 /nfs/dbraw/zinc/16/56/37/805165637.db2.gz FDABZUOAENWWSF-UHFFFAOYSA-N 0 1 272.268 0.992 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1([NH2+]Cc2n[nH]c(=O)[n-]2)CC1 ZINC001277139928 805252250 /nfs/dbraw/zinc/25/22/50/805252250.db2.gz FQMIHDOYESSXDF-UHFFFAOYSA-N 0 1 279.344 0.461 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226649593 805260457 /nfs/dbraw/zinc/26/04/57/805260457.db2.gz VTQCSDOGHHQQNM-RYUDHWBXSA-N 0 1 292.383 0.417 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC001277150316 805271052 /nfs/dbraw/zinc/27/10/52/805271052.db2.gz OWLVEIXCTZJMJO-ITGUQSILSA-N 0 1 291.395 0.573 20 30 CCEDMN CN(CCN(C)c1ccnc(C#N)n1)C(=O)Cc1c[nH]cn1 ZINC001105576113 815063895 /nfs/dbraw/zinc/06/38/95/815063895.db2.gz MTBIALWQONONBB-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1[nH]c(=O)nc2cnccc21 ZINC001226976509 805300813 /nfs/dbraw/zinc/30/08/13/805300813.db2.gz PHKHMPYXJJNMGB-SECBINFHSA-N 0 1 287.275 0.652 20 30 CCEDMN C#C[C@H](Oc1cc(=O)[nH]c(OC)n1)C(=O)OCC ZINC001227000468 805306735 /nfs/dbraw/zinc/30/67/35/805306735.db2.gz KIRJNRGTTCDJKI-ZETCQYMHSA-N 0 1 252.226 0.135 20 30 CCEDMN C#CCOCCC(=O)NC1CCN(CC#CC)CC1 ZINC001227323024 805341986 /nfs/dbraw/zinc/34/19/86/805341986.db2.gz MXEGVJLWPVYLCM-UHFFFAOYSA-N 0 1 262.353 0.630 20 30 CCEDMN C[N+](C)(C)C[C@@H](CC#N)Oc1nc[n-]c(=O)c1[N+](=O)[O-] ZINC001228143122 805417942 /nfs/dbraw/zinc/41/79/42/805417942.db2.gz JOLFRDWOQCXYFT-MRVPVSSYSA-O 0 1 282.280 0.458 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1nc(OC)nc(OC)n1 ZINC001229426030 805532153 /nfs/dbraw/zinc/53/21/53/805532153.db2.gz PQYZKEUHXORNHF-YFKPBYRVSA-N 0 1 267.241 0.487 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CN2CCCCC2=O)[C@H]1C ZINC001088697982 815097770 /nfs/dbraw/zinc/09/77/70/815097770.db2.gz VPEMGKGVRCUSGG-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCCNC(=S)N1CCC[C@@H](NC(=O)CN(C)C)C1 ZINC001247636276 807475436 /nfs/dbraw/zinc/47/54/36/807475436.db2.gz NKMICAKIPZPSFJ-GFCCVEGCSA-N 0 1 298.456 0.579 20 30 CCEDMN CN1CCN(Cc2cnn3ccc(C#N)cc23)CC1 ZINC001249075672 807538781 /nfs/dbraw/zinc/53/87/81/807538781.db2.gz NMZCIUXXCOEKHO-UHFFFAOYSA-N 0 1 255.325 0.953 20 30 CCEDMN CC(C)C#CC(=O)NCCN[C@H](C)c1cnccn1 ZINC001126816411 815132140 /nfs/dbraw/zinc/13/21/40/815132140.db2.gz ZZRUBCBXNHLALD-GFCCVEGCSA-N 0 1 260.341 0.903 20 30 CCEDMN N#C[C@@H]1CN(C[C@@H](O)COc2cccc(F)c2)CCC1=O ZINC001250804480 807615389 /nfs/dbraw/zinc/61/53/89/807615389.db2.gz VDLGIEVZENNGKT-DGCLKSJQSA-N 0 1 292.310 0.980 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1NC[C@@H](O)COc1cccc(F)c1 ZINC001250832258 807619233 /nfs/dbraw/zinc/61/92/33/807619233.db2.gz WLDQMMHLJAKKMT-NDMJEZRESA-N 0 1 298.314 0.391 20 30 CCEDMN Cc1cnc(CNCCNC(=O)C#CC(C)C)cn1 ZINC001126822752 815136423 /nfs/dbraw/zinc/13/64/23/815136423.db2.gz JNEKTYAIRLPQHT-UHFFFAOYSA-N 0 1 260.341 0.650 20 30 CCEDMN C[C@H](O)[C@H]1CCCN1C(=O)NCC#CCN(C)C ZINC001251707600 807695463 /nfs/dbraw/zinc/69/54/63/807695463.db2.gz AEQCMNCCKZRTDE-NWDGAFQWSA-N 0 1 253.346 0.106 20 30 CCEDMN C[C@H]1OCC[C@@]12CN(C(=O)NCC#CCN(C)C)CCO2 ZINC001251707783 807695627 /nfs/dbraw/zinc/69/56/27/807695627.db2.gz GDSDVSJHFMOYRA-UKRRQHHQSA-N 0 1 295.383 0.141 20 30 CCEDMN CC[C@@H]1C(=O)N(CC)CCN1C(=O)NCC#CCN(C)C ZINC001251708874 807696427 /nfs/dbraw/zinc/69/64/27/807696427.db2.gz ZSDCXNPOBKNRFD-CYBMUJFWSA-N 0 1 294.399 0.204 20 30 CCEDMN C#CCOC[C@H](O)CN(CCC(=O)OC)Cc1ccco1 ZINC001251847432 807718483 /nfs/dbraw/zinc/71/84/83/807718483.db2.gz FUQSAHMOXSFTHC-CYBMUJFWSA-N 0 1 295.335 0.655 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(c2cc(C)ccn2)CC1 ZINC001251851023 807719664 /nfs/dbraw/zinc/71/96/64/807719664.db2.gz BNEYJKSUSNBHSC-OAHLLOKOSA-N 0 1 289.379 0.523 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCC[C@H](NC(=O)OCC=C)C1 ZINC001251860173 807725449 /nfs/dbraw/zinc/72/54/49/807725449.db2.gz DZOJVVVXMIDMEZ-KBPBESRZSA-N 0 1 296.367 0.374 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cccnc1Br ZINC001251896337 807739699 /nfs/dbraw/zinc/73/96/99/807739699.db2.gz HIOPWIAOACAWIL-NSHDSACASA-N 0 1 299.168 0.944 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cn(CC2CC2)cn1 ZINC001251899783 807741699 /nfs/dbraw/zinc/74/16/99/807741699.db2.gz BOOIAYBLIRBUCR-AWEZNQCLSA-N 0 1 263.341 0.393 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2csnn2)[C@H]1C ZINC001088777394 815152541 /nfs/dbraw/zinc/15/25/41/815152541.db2.gz VVGQCGBBTDACSI-ZJUUUORDSA-N 0 1 264.354 0.754 20 30 CCEDMN C=CCOC[C@H](O)CN1C[C@@H]2OCC(F)(F)[C@@H]2C1 ZINC001252461852 807874911 /nfs/dbraw/zinc/87/49/11/807874911.db2.gz VYXNDLJOOZSZHG-MXWKQRLJSA-N 0 1 263.284 0.516 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cccc(C(=O)OCC)n1 ZINC001252489205 807891601 /nfs/dbraw/zinc/89/16/01/807891601.db2.gz YEUQDBSXIJBPMY-ZDUSSCGKSA-N 0 1 294.351 0.911 20 30 CCEDMN C=CCC[C@@H](O)CN1CC2(C1)CCOC[C@H]2C(=O)OC ZINC001252603468 807918752 /nfs/dbraw/zinc/91/87/52/807918752.db2.gz XEWXCAKEIIDFLK-OLZOCXBDSA-N 0 1 283.368 0.825 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C)nc2OC)[C@H]1C ZINC001088791122 815161978 /nfs/dbraw/zinc/16/19/78/815161978.db2.gz PGZZJAIEAIDTAS-PWSUYJOCSA-N 0 1 278.356 0.807 20 30 CCEDMN COC(=O)C1(C#N)CCN(CC2(O)CCOCC2)CC1 ZINC001252964880 807992675 /nfs/dbraw/zinc/99/26/75/807992675.db2.gz CYQMGIFYJXVCRS-UHFFFAOYSA-N 0 1 282.340 0.307 20 30 CCEDMN C=C[C@H](O)CN1Cc2ccnn2C[C@H](COCC)C1 ZINC001253576380 808083648 /nfs/dbraw/zinc/08/36/48/808083648.db2.gz CUWFTDWBKRBASC-OCCSQVGLSA-N 0 1 265.357 0.898 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001253794830 808142884 /nfs/dbraw/zinc/14/28/84/808142884.db2.gz UBAQJALFNFLNRV-GFCCVEGCSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H](OCC)C(C)C)C1 ZINC001278777308 808217797 /nfs/dbraw/zinc/21/77/97/808217797.db2.gz XJZQSDVSOPXUHE-HIFRSBDPSA-N 0 1 282.384 0.234 20 30 CCEDMN CC1(C)CN([C@H]2CC[C@H](C#N)C2)CCN1CC(N)=O ZINC001254671239 808271685 /nfs/dbraw/zinc/27/16/85/808271685.db2.gz QAUKHASKDZNDMB-RYUDHWBXSA-N 0 1 264.373 0.560 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H](C)C2CCCC2)C1 ZINC001278815436 808280772 /nfs/dbraw/zinc/28/07/72/808280772.db2.gz DKRCXANJWQCTPT-CJNGLKHVSA-N 0 1 278.396 0.999 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)[C@H](C)C#N)C1 ZINC001278848371 808328112 /nfs/dbraw/zinc/32/81/12/808328112.db2.gz HQQGLPIUJZASAN-NEPJUHHUSA-N 0 1 265.357 0.664 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H](OCC)C1CC1 ZINC001278855543 808338794 /nfs/dbraw/zinc/33/87/94/808338794.db2.gz RQBJZRSYNUKZJV-KBPBESRZSA-N 0 1 282.384 0.186 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C1CC(OC)C1 ZINC001278860073 808350570 /nfs/dbraw/zinc/35/05/70/808350570.db2.gz OJVSYGRWJILDBJ-MOKVOYLWSA-N 0 1 282.384 0.186 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc(OC)cs1 ZINC001278869542 808395154 /nfs/dbraw/zinc/39/51/54/808395154.db2.gz VEYAHTZZAAHENN-LLVKDONJSA-N 0 1 296.392 0.755 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N(C)C[C@@H](O)CN(C)CC#N ZINC001278888713 808429438 /nfs/dbraw/zinc/42/94/38/808429438.db2.gz HGUZDWICRXHARV-KBPBESRZSA-N 0 1 296.415 0.135 20 30 CCEDMN CC#CCN(C)CCN(C(=O)c1ncn(C)n1)C(C)C ZINC001278904730 808450221 /nfs/dbraw/zinc/45/02/21/808450221.db2.gz SBNLQPQGMCIKHX-UHFFFAOYSA-N 0 1 277.372 0.621 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCc3ccnc(C)n3)C2)C1 ZINC001258461563 808684143 /nfs/dbraw/zinc/68/41/43/808684143.db2.gz CCFOCKKCQQSIHD-UHFFFAOYSA-N 0 1 298.390 0.885 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC001259791249 808769675 /nfs/dbraw/zinc/76/96/75/808769675.db2.gz RNYZBGDNJJUUQP-CHWSQXEVSA-N 0 1 291.395 0.648 20 30 CCEDMN C=CCS(=O)(=O)Nc1ncnc2c1ncn2C[C@@H](C)O ZINC001259923019 808805493 /nfs/dbraw/zinc/80/54/93/808805493.db2.gz NQGSTBGYNHDNTD-MRVPVSSYSA-N 0 1 297.340 0.135 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccn4nnnc4c3)[C@@H]2C1 ZINC001076124600 815247188 /nfs/dbraw/zinc/24/71/88/815247188.db2.gz IWJQSPVKAMDEDC-QWHCGFSZSA-N 0 1 298.350 0.457 20 30 CCEDMN C#CC[C@@H](NC(=O)C(=O)N1CCNC[C@H]1C)c1ccccc1 ZINC001261377788 808962788 /nfs/dbraw/zinc/96/27/88/808962788.db2.gz FYJTVRZJFBJDFE-UKRRQHHQSA-N 0 1 299.374 0.688 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1nnc[nH]1 ZINC001261703508 809059431 /nfs/dbraw/zinc/05/94/31/809059431.db2.gz ZGAXROXQIHRBGD-NEPJUHHUSA-N 0 1 273.340 0.046 20 30 CCEDMN C=CCN(Cc1cc(=O)n2nc(N)[nH]c2n1)C(C)C ZINC001261761961 809081014 /nfs/dbraw/zinc/08/10/14/809081014.db2.gz APAOIZZVETUCKO-UHFFFAOYSA-N 0 1 262.317 0.396 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCCC(=O)N3)[C@@H]2C1 ZINC001076230346 815262833 /nfs/dbraw/zinc/26/28/33/815262833.db2.gz RBOSEGWSJNLCGR-MELADBBJSA-N 0 1 289.379 0.211 20 30 CCEDMN C=CCN1CCN(CN2C[C@@H]3CN(C(C)=O)C[C@@H]3C2)C1=O ZINC001262053023 809175028 /nfs/dbraw/zinc/17/50/28/809175028.db2.gz NNJOVJGRNVOWHJ-OKILXGFUSA-N 0 1 292.383 0.278 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc(C(N)=O)[nH]3)[C@@H]2C1 ZINC001076252885 815269228 /nfs/dbraw/zinc/26/92/28/815269228.db2.gz WYPKVJXERULDTJ-GXFFZTMASA-N 0 1 288.351 0.446 20 30 CCEDMN COC(=O)c1cccc(CN[C@H]2CCCN(O)C2=O)c1 ZINC001262111438 809194289 /nfs/dbraw/zinc/19/42/89/809194289.db2.gz GPZALFGOGFXAMU-LBPRGKRZSA-N 0 1 278.308 0.943 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)[C@@H]2C1 ZINC001076268681 815269905 /nfs/dbraw/zinc/26/99/05/815269905.db2.gz AMJJJUXSQVUIKN-JARUQAPTSA-N 0 1 274.364 0.720 20 30 CCEDMN C#Cc1cncc(C(=O)N2CC(N3CCN(C)CC3)C2)c1 ZINC001262173212 809219615 /nfs/dbraw/zinc/21/96/15/809219615.db2.gz XNVGMQYHZLNLCB-UHFFFAOYSA-N 0 1 284.363 0.135 20 30 CCEDMN C=CCOC[C@H](NC(=O)N[C@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC001262176554 809221879 /nfs/dbraw/zinc/22/18/79/809221879.db2.gz RGXNZFUIIZGSFC-JQWIXIFHSA-N 0 1 297.355 0.178 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccnnc3)[C@@H]2C1 ZINC001076282238 815271709 /nfs/dbraw/zinc/27/17/09/815271709.db2.gz ASMKEWFDGIDBHV-QWHCGFSZSA-N 0 1 258.325 0.809 20 30 CCEDMN N#CC[C@@H](O)CN1CCOC2(CCOCC2)CC1 ZINC001262261209 809260219 /nfs/dbraw/zinc/26/02/19/809260219.db2.gz HEWDRPXKCWZDMW-GFCCVEGCSA-N 0 1 254.330 0.532 20 30 CCEDMN C=CCO[C@H]1CCN(Cc2c(CO)[nH]cc(OC)c2=O)C1 ZINC001262483099 809317738 /nfs/dbraw/zinc/31/77/38/809317738.db2.gz WAAPTQHQOGNTOH-NSHDSACASA-N 0 1 294.351 0.653 20 30 CCEDMN Cn1cnc2cccc(C(=O)N[C@@H]3CNC[C@@H]3C#N)c21 ZINC001263105717 809447864 /nfs/dbraw/zinc/44/78/64/809447864.db2.gz WHIYNQLCLKBELK-JOYOIKCWSA-N 0 1 269.308 0.415 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCc1cncn1C ZINC001263818734 809578961 /nfs/dbraw/zinc/57/89/61/809578961.db2.gz DRXMPIFCVGCNOR-HNNXBMFYSA-N 0 1 288.395 0.909 20 30 CCEDMN C=CCn1cc(C(=O)NCc2n[nH]c(C)c2C)nn1 ZINC001263904371 809603316 /nfs/dbraw/zinc/60/33/16/809603316.db2.gz JGVRUHOINCOANL-UHFFFAOYSA-N 0 1 260.301 0.734 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001263909936 809604454 /nfs/dbraw/zinc/60/44/54/809604454.db2.gz KKNGVNBIXMXZMD-ONGXEEELSA-N 0 1 253.302 0.541 20 30 CCEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)C(N)=O ZINC001264000588 809620502 /nfs/dbraw/zinc/62/05/02/809620502.db2.gz WRLUZGCIAYEPIM-SCZZXKLOSA-N 0 1 273.764 0.441 20 30 CCEDMN CC#CCNCc1cc(=O)[nH]c(CNC(=O)CCC)n1 ZINC001264023751 809623449 /nfs/dbraw/zinc/62/34/49/809623449.db2.gz FPRZPXZSABALIR-UHFFFAOYSA-N 0 1 276.340 0.711 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)C=C2CCC2)n1 ZINC001264025586 809624107 /nfs/dbraw/zinc/62/41/07/809624107.db2.gz OYLNOCGEHHILBV-UHFFFAOYSA-N 0 1 286.335 0.632 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CCN([C@@H]3CCNC3=O)C[C@H]2C1 ZINC001264084423 809630072 /nfs/dbraw/zinc/63/00/72/809630072.db2.gz LFBMFQLVSLNHMH-HZSPNIEDSA-N 0 1 291.395 0.621 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2ccsn2)C1 ZINC001264633115 809668055 /nfs/dbraw/zinc/66/80/55/809668055.db2.gz VTKYQWJHZZVCPL-LBPRGKRZSA-N 0 1 293.392 0.675 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3c(C)n[nH]c3C)[C@@H]2C1 ZINC001076561480 815310173 /nfs/dbraw/zinc/31/01/73/815310173.db2.gz FBNYCVIOFRHPJP-DZGCQCFKSA-N 0 1 286.379 0.735 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCN2CCOCC2)C1 ZINC001265229167 809727837 /nfs/dbraw/zinc/72/78/37/809727837.db2.gz RECRNNJCFSBDGZ-HNNXBMFYSA-N 0 1 293.411 0.313 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cc(C)ncn2)C1 ZINC001265279751 809743883 /nfs/dbraw/zinc/74/38/83/809743883.db2.gz XFUQFPORSLMZIE-ZDUSSCGKSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001265298829 809750041 /nfs/dbraw/zinc/75/00/41/809750041.db2.gz CMFQCCYYQLELSE-JSGCOSHPSA-N 0 1 286.347 0.508 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1C[C@H](NCc2cc(C)no2)C1 ZINC001265476640 809787847 /nfs/dbraw/zinc/78/78/47/809787847.db2.gz KZIHOTJVDPAPPZ-AVGNSLFASA-N 0 1 291.351 0.758 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCc4c[nH]nc4C3)[C@@H]2C1 ZINC001076592976 815316722 /nfs/dbraw/zinc/31/67/22/815316722.db2.gz DTEAIGNMQKEMBN-DUVNUKRYSA-N 0 1 298.390 0.681 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H]2[C@@H](CCCN2C(C)=O)C1 ZINC001265520684 809795350 /nfs/dbraw/zinc/79/53/50/809795350.db2.gz IPAYMXGUBBHKHA-KBPBESRZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](C)NCc1cc(C)n(C)n1 ZINC001265771086 809847872 /nfs/dbraw/zinc/84/78/72/809847872.db2.gz PJCLNYRTXFWEPU-NHYWBVRUSA-N 0 1 294.399 0.650 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H](C)NCc1ccc(F)cn1 ZINC001265775145 809850943 /nfs/dbraw/zinc/85/09/43/809850943.db2.gz NXJAUTRTMBJPOK-RYUDHWBXSA-N 0 1 293.342 0.853 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1c[nH]cn1 ZINC001265830306 809867876 /nfs/dbraw/zinc/86/78/76/809867876.db2.gz SCCVGEHOHVDEIH-VIFPVBQESA-N 0 1 256.737 0.799 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc(N(C)C)cn3)[C@@H]2C1 ZINC001076618663 815320110 /nfs/dbraw/zinc/32/01/10/815320110.db2.gz VZZPHVATEGBLGP-XJKSGUPXSA-N 0 1 298.390 0.927 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)COc2cc(C)on2)CC1 ZINC001266183887 809956512 /nfs/dbraw/zinc/95/65/12/809956512.db2.gz UTTIZGOAQONIRW-UHFFFAOYSA-N 0 1 291.351 0.918 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)[C@H](C)NC(C)=O)[C@@H]2C1 ZINC001279597540 809988432 /nfs/dbraw/zinc/98/84/32/809988432.db2.gz MDLNOSDTWDMNPU-AEGPPILISA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@@H](NC(=O)c1[nH]ncc1F)[C@H](C)NC(=O)C#CC1CC1 ZINC001280293685 810011353 /nfs/dbraw/zinc/01/13/53/810011353.db2.gz MJFYAACNZKVPTK-DTWKUNHWSA-N 0 1 292.314 0.585 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnn(CC)c2)C1 ZINC001076817798 815382594 /nfs/dbraw/zinc/38/25/94/815382594.db2.gz DUSFWXANWXIPQW-CHWSQXEVSA-N 0 1 278.356 0.254 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC2CCC2)C1 ZINC001076839766 815391170 /nfs/dbraw/zinc/39/11/70/815391170.db2.gz GQBSRMSDKIAXCN-CHWSQXEVSA-N 0 1 252.358 0.914 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC001076861897 815395863 /nfs/dbraw/zinc/39/58/63/815395863.db2.gz NBDDAFZEUZZLEP-VXGBXAGGSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN([C@@H]2CCNC2=O)C1 ZINC001267299245 811116176 /nfs/dbraw/zinc/11/61/76/811116176.db2.gz WPQBYNCRUDODEH-VXGBXAGGSA-N 0 1 279.384 0.525 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2ccncc2C)C1 ZINC001077000931 815429761 /nfs/dbraw/zinc/42/97/61/815429761.db2.gz XECQMRQHVHHLNG-HUUCEWRRSA-N 0 1 289.379 0.670 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cnc2n[nH]nc2c1 ZINC001267385348 811267128 /nfs/dbraw/zinc/26/71/28/811267128.db2.gz RFJUKSHDVWRFSG-UHFFFAOYSA-N 0 1 294.746 0.767 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](NC(=O)Cc3cnc[nH]3)C2)nc1 ZINC001058562812 811322067 /nfs/dbraw/zinc/32/20/67/811322067.db2.gz SRPUSZRSECYROT-GFCCVEGCSA-N 0 1 296.334 0.614 20 30 CCEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H]2COC(=O)N2)C1 ZINC001267459891 811323841 /nfs/dbraw/zinc/32/38/41/811323841.db2.gz OWYPFUZSGPDQTJ-QWHCGFSZSA-N 0 1 293.367 0.431 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)C2CCC2)[C@@H](O)C1 ZINC001083561054 815438621 /nfs/dbraw/zinc/43/86/21/815438621.db2.gz DAPCYPNFIZKEJU-YUTCNCBUSA-N 0 1 264.369 0.607 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3c(C)cnn3C)C[C@H]21 ZINC001042190489 811364134 /nfs/dbraw/zinc/36/41/34/811364134.db2.gz NCLPRTPILJIQRF-ZIAGYGMSSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1CC[C@H](N(CCC)C(=O)CCn2cnnn2)C1 ZINC001267487101 811368955 /nfs/dbraw/zinc/36/89/55/811368955.db2.gz AJRNXPPCYPSURP-ZDUSSCGKSA-N 0 1 290.371 0.009 20 30 CCEDMN CC[C@@H](F)C(=O)NCC[C@H]1CCN(CC(=O)NCC#N)C1 ZINC001267563367 811449770 /nfs/dbraw/zinc/44/97/70/811449770.db2.gz XHDFOBXVHCVWKJ-NWDGAFQWSA-N 0 1 298.362 0.202 20 30 CCEDMN CC(C)CC(=O)N1CC[C@@H](CN(C)CC(=O)NCC#N)C1 ZINC001267578047 811472654 /nfs/dbraw/zinc/47/26/54/811472654.db2.gz VTDCRTUGRKFMLS-ZDUSSCGKSA-N 0 1 294.399 0.453 20 30 CCEDMN N#CN=C(NC(O)=C1CCCS1(=O)=O)c1ccncc1 ZINC001143480642 811512403 /nfs/dbraw/zinc/51/24/03/811512403.db2.gz KMRQGFCMZUFRHD-JTQLQIEISA-N 0 1 292.320 0.003 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@H]1CCCN(CCO)C1 ZINC001267623852 811582849 /nfs/dbraw/zinc/58/28/49/811582849.db2.gz ZICLCYVJWKTOSM-STQMWFEESA-N 0 1 270.373 0.541 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)CC2CC2)C1 ZINC001267625043 811583711 /nfs/dbraw/zinc/58/37/11/811583711.db2.gz GCFFDDPNABIQLA-AWEZNQCLSA-N 0 1 291.395 0.316 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCCC23CC3)C1 ZINC001077225465 815464978 /nfs/dbraw/zinc/46/49/78/815464978.db2.gz YKHMOXPADMXTOL-MGPQQGTHSA-N 0 1 276.380 0.751 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CN(C)C(=O)C2CC2)C1 ZINC001267724005 811689496 /nfs/dbraw/zinc/68/94/96/811689496.db2.gz QGULPXURFROWRN-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CNC(=O)c2ccsc2)C1 ZINC001267734596 811702898 /nfs/dbraw/zinc/70/28/98/811702898.db2.gz PYFXEAZYUZCDTB-LBPRGKRZSA-N 0 1 293.392 0.854 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C(=O)NCC2CC2)C1 ZINC001267750740 811745170 /nfs/dbraw/zinc/74/51/70/811745170.db2.gz FFHJGOWWRXHNFQ-GFCCVEGCSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)CCC)C2)nn1 ZINC001105136822 811854267 /nfs/dbraw/zinc/85/42/67/811854267.db2.gz HNBZHZCWMXRKOM-UHFFFAOYSA-N 0 1 275.356 0.574 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)C3=CCCC3)C2)nn1 ZINC001105211048 811863313 /nfs/dbraw/zinc/86/33/13/811863313.db2.gz FJDINIHKJPVIQU-UHFFFAOYSA-N 0 1 299.378 0.885 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)cn1 ZINC001105211622 811863463 /nfs/dbraw/zinc/86/34/63/811863463.db2.gz MJAUGJKWVYHKAN-LLVKDONJSA-N 0 1 299.338 0.938 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)cn1 ZINC001105211622 811863468 /nfs/dbraw/zinc/86/34/68/811863468.db2.gz MJAUGJKWVYHKAN-LLVKDONJSA-N 0 1 299.338 0.938 20 30 CCEDMN COC[C@H](O)CN1CCC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001267931179 811874017 /nfs/dbraw/zinc/87/40/17/811874017.db2.gz LILKDBGHFCRGCQ-ZIAGYGMSSA-N 0 1 296.411 0.624 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001267956983 811893483 /nfs/dbraw/zinc/89/34/83/811893483.db2.gz TYFGXRCDINWVEG-KGLIPLIRSA-N 0 1 292.383 0.874 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)n3cccn3)[C@@H]2C1 ZINC001075568344 812018905 /nfs/dbraw/zinc/01/89/05/812018905.db2.gz XXPMOQRFLNYXEX-HZSPNIEDSA-N 0 1 272.352 0.610 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1cn(C)nn1 ZINC001027866070 812104079 /nfs/dbraw/zinc/10/40/79/812104079.db2.gz VFHKOQHOOALLHD-NSHDSACASA-N 0 1 261.329 0.033 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)CCn1ccnn1 ZINC001126270438 812132440 /nfs/dbraw/zinc/13/24/40/812132440.db2.gz MYNUNMVMRFETRK-UHFFFAOYSA-N 0 1 298.350 0.446 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C(C)C)C1 ZINC001027916679 812138679 /nfs/dbraw/zinc/13/86/79/812138679.db2.gz RDWDJAAFHJWQKL-UONOGXRCSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001027921008 812142042 /nfs/dbraw/zinc/14/20/42/812142042.db2.gz LWPNHEPRVLTILK-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001027941540 812155813 /nfs/dbraw/zinc/15/58/13/812155813.db2.gz MHOVSPUHESQPHA-XQQFMLRXSA-N 0 1 268.357 0.557 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1COCCN1CC ZINC001027982416 812193405 /nfs/dbraw/zinc/19/34/05/812193405.db2.gz VYRPMZJLLBRAGK-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](Nc3ccnc(C#N)n3)C2)n[nH]1 ZINC001058851217 812195808 /nfs/dbraw/zinc/19/58/08/812195808.db2.gz UJUONRPEUODPCV-JTQLQIEISA-N 0 1 297.322 0.128 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cncn1C ZINC001028002297 812207910 /nfs/dbraw/zinc/20/79/10/812207910.db2.gz VRHSOIGBIKOYMK-LBPRGKRZSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001028103435 812279449 /nfs/dbraw/zinc/27/94/49/812279449.db2.gz OJPNKLKWTONNGQ-NEPJUHHUSA-N 0 1 287.367 0.438 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2C[C@@H](C)OC)cn1 ZINC001038932707 812366501 /nfs/dbraw/zinc/36/65/01/812366501.db2.gz QQHCQHCEPLNQMZ-IUODEOHRSA-N 0 1 287.363 0.902 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ncccn1 ZINC001028213800 812393787 /nfs/dbraw/zinc/39/37/87/812393787.db2.gz LVQJSOLVWCTVOX-LBPRGKRZSA-N 0 1 258.325 0.694 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cncc2nc[nH]c21 ZINC001268236350 812399705 /nfs/dbraw/zinc/39/97/05/812399705.db2.gz ASLBKCOQDJMIPX-UHFFFAOYSA-N 0 1 285.351 0.985 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(C(N)=O)[nH]1 ZINC001268251783 812417711 /nfs/dbraw/zinc/41/77/11/812417711.db2.gz PKBLATDYISSRNI-UHFFFAOYSA-N 0 1 276.340 0.141 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001028228800 812438454 /nfs/dbraw/zinc/43/84/54/812438454.db2.gz ZMSRQWJMSHMXFS-GFCCVEGCSA-N 0 1 288.351 0.331 20 30 CCEDMN C#CCCCC(=O)NCCN[C@H](C)c1n[nH]c(C)n1 ZINC001126338825 812449624 /nfs/dbraw/zinc/44/96/24/812449624.db2.gz JHLJMKPFURDGCU-SNVBAGLBSA-N 0 1 263.345 0.683 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](O)CNCc1cccc(Cl)n1 ZINC001268295202 812452705 /nfs/dbraw/zinc/45/27/05/812452705.db2.gz CLBGPJGMHWPXIH-MWLCHTKSSA-N 0 1 296.758 0.461 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC1CN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC001268300674 812462666 /nfs/dbraw/zinc/46/26/66/812462666.db2.gz PQMPOQFDPFJFSI-UWVGGRQHSA-N 0 1 291.355 0.013 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCCN1C(N)=O ZINC001028254443 812509922 /nfs/dbraw/zinc/50/99/22/812509922.db2.gz VGWBBKCTCYMCMI-STQMWFEESA-N 0 1 292.383 0.133 20 30 CCEDMN C[C@H](CCNCc1ncnn1CCF)NC(=O)[C@@H](C)C#N ZINC001268348409 812511915 /nfs/dbraw/zinc/51/19/15/812511915.db2.gz GPKVWIJUENOFGI-WDEREUQCSA-N 0 1 296.350 0.392 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001038976917 812521379 /nfs/dbraw/zinc/52/13/79/812521379.db2.gz RUTNFUDJZAZYIL-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC[C@@H]1CCCN1CC#N ZINC001028264282 812529795 /nfs/dbraw/zinc/52/97/95/812529795.db2.gz CZRYZSRMJLQNPQ-LBPRGKRZSA-N 0 1 287.367 0.865 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cccn(C)c1=O ZINC001028269798 812534839 /nfs/dbraw/zinc/53/48/39/812534839.db2.gz AQKYSESPHXDVLV-GFCCVEGCSA-N 0 1 273.336 0.213 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@H]1CCCN1CC#N ZINC001028331159 812620963 /nfs/dbraw/zinc/62/09/63/812620963.db2.gz DHXVHYAVQJKOJW-ZIAGYGMSSA-N 0 1 278.400 0.965 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cccc(C(N)=O)n1 ZINC001028342030 812631092 /nfs/dbraw/zinc/63/10/92/812631092.db2.gz DCRXJEXCOXZCPH-LLVKDONJSA-N 0 1 288.351 0.561 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1c(C)noc1C ZINC001126361098 812634544 /nfs/dbraw/zinc/63/45/44/812634544.db2.gz XDISUIOCYZZNDF-CQSZACIVSA-N 0 1 281.356 0.824 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN[C@@H](C)c1cnc(C)cn1 ZINC001126365403 812676319 /nfs/dbraw/zinc/67/63/19/812676319.db2.gz JFGIQBVKLMDRJU-WFASDCNBSA-N 0 1 292.383 0.879 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)c1ccccn1 ZINC001268507242 812731672 /nfs/dbraw/zinc/73/16/72/812731672.db2.gz XVGORAZJDOPLQV-AATRIKPKSA-N 0 1 288.351 0.259 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2cn3c(n2)CCC3)C1 ZINC001028547514 812831570 /nfs/dbraw/zinc/83/15/70/812831570.db2.gz WYLIAJOFTYTKSR-GFCCVEGCSA-N 0 1 272.352 0.514 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001268582162 812864718 /nfs/dbraw/zinc/86/47/18/812864718.db2.gz GSSWQQFSOQMRPO-VQTKUKTRSA-N 0 1 299.802 0.917 20 30 CCEDMN C#CCOCCC(=O)NCCNCC(=C)Br ZINC001127027345 815564387 /nfs/dbraw/zinc/56/43/87/815564387.db2.gz OLLIAIISYAVMBW-UHFFFAOYSA-N 0 1 289.173 0.641 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001268746940 813060925 /nfs/dbraw/zinc/06/09/25/813060925.db2.gz SMWHJXRWWFOEJN-BFHYXJOUSA-N 0 1 288.395 0.983 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN[C@@H](C)c1nncn1C ZINC001268782696 813080420 /nfs/dbraw/zinc/08/04/20/813080420.db2.gz AWJBVQBCOSRWLN-MNOVXSKESA-N 0 1 278.360 0.474 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc3nccn32)[C@@H](O)C1 ZINC001083637298 815580240 /nfs/dbraw/zinc/58/02/40/815580240.db2.gz ODYVQFLZIOULAQ-OCCSQVGLSA-N 0 1 298.346 0.133 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H]1CCN1[C@@H](C)COC ZINC001269235864 813283456 /nfs/dbraw/zinc/28/34/56/813283456.db2.gz QIPDFHSLADKZHM-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CCN1CCCC1=O ZINC001269343794 813334013 /nfs/dbraw/zinc/33/40/13/813334013.db2.gz OFDWRWWOHNNTRS-ZDUSSCGKSA-N 0 1 277.368 0.213 20 30 CCEDMN CC(C(=O)NCC1(NCC(=O)NCC#N)CC1)=C1CCC1 ZINC001269411686 813362220 /nfs/dbraw/zinc/36/22/20/813362220.db2.gz PQAXTXDWVVOLNF-UHFFFAOYSA-N 0 1 290.367 0.365 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN(CC(=O)NC)C2)cc1 ZINC001028730590 813394955 /nfs/dbraw/zinc/39/49/55/813394955.db2.gz MTRHEKADKRQQPN-CQSZACIVSA-N 0 1 299.374 0.466 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1=O ZINC001269665132 813465192 /nfs/dbraw/zinc/46/51/92/813465192.db2.gz QJHGXEWTBLHZOR-HNNXBMFYSA-N 0 1 286.335 0.036 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cncnc3)[C@@H]2C1 ZINC001075738813 813676847 /nfs/dbraw/zinc/67/68/47/813676847.db2.gz WOUVOTPEAJTEAZ-UONOGXRCSA-N 0 1 270.336 0.185 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)CC2(O)CCC2)C1 ZINC001270582819 813826137 /nfs/dbraw/zinc/82/61/37/813826137.db2.gz KMHKMMKDLGWWIQ-AWEZNQCLSA-N 0 1 264.369 0.895 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cnn(CC)n3)[C@@H]2C1 ZINC001075764119 813875569 /nfs/dbraw/zinc/87/55/69/813875569.db2.gz GHXNVVAUBVEDFC-GXTWGEPZSA-N 0 1 287.367 0.468 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)nc1 ZINC001059276484 814053283 /nfs/dbraw/zinc/05/32/83/814053283.db2.gz ASSXAHIWCMKKPF-MGCOHNPYSA-N 0 1 283.295 0.444 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)nc1 ZINC001059276484 814053290 /nfs/dbraw/zinc/05/32/90/814053290.db2.gz ASSXAHIWCMKKPF-MGCOHNPYSA-N 0 1 283.295 0.444 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H](C)C2CCN(CC#N)CC2)n[nH]1 ZINC001279137824 814109116 /nfs/dbraw/zinc/10/91/16/814109116.db2.gz ZNZGKHOAUJOZFT-JTQLQIEISA-N 0 1 290.371 0.396 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1CC ZINC001087555426 814155996 /nfs/dbraw/zinc/15/59/96/814155996.db2.gz IDJWMJRGLCZXNY-LSDHHAIUSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nn2)[C@H]1CC ZINC001087560595 814159886 /nfs/dbraw/zinc/15/98/86/814159886.db2.gz HSYAIPQYSCSBAU-CMPLNLGQSA-N 0 1 261.329 0.031 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CCN(CC(=O)NC)C[C@H]2C1 ZINC001088066475 814326585 /nfs/dbraw/zinc/32/65/85/814326585.db2.gz LULQOWRGVAYJGL-OLZOCXBDSA-N 0 1 293.411 0.725 20 30 CCEDMN CC(C)(C(=O)N1C[C@H]2CC[C@@H](C1)N2CC#N)c1c[nH]cn1 ZINC001029553934 814420847 /nfs/dbraw/zinc/42/08/47/814420847.db2.gz FZNDMMKWTJMJJV-TXEJJXNPSA-N 0 1 287.367 0.886 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cnc(C)cn1 ZINC001127051625 815605554 /nfs/dbraw/zinc/60/55/54/815605554.db2.gz KFMMGRNDGGWXTJ-GFCCVEGCSA-N 0 1 276.340 0.029 20 30 CCEDMN C=CCOCCN1CCC[C@@](CO)(NC(=O)[C@H](C)C#N)C1 ZINC001271951515 814648341 /nfs/dbraw/zinc/64/83/41/814648341.db2.gz WSJRIRNRJRQDTB-UKRRQHHQSA-N 0 1 295.383 0.292 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cnc(C)nc1 ZINC001127032033 815582049 /nfs/dbraw/zinc/58/20/49/815582049.db2.gz OZRSYAOFKSZJGH-UHFFFAOYSA-N 0 1 276.340 0.031 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)c3ncn[nH]3)C2)nc1 ZINC001059692134 814718609 /nfs/dbraw/zinc/71/86/09/814718609.db2.gz ASSXAHIWCMKKPF-AOOOYVTPSA-N 0 1 283.295 0.444 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)c3nc[nH]n3)C2)nc1 ZINC001059692134 814718614 /nfs/dbraw/zinc/71/86/14/814718614.db2.gz ASSXAHIWCMKKPF-AOOOYVTPSA-N 0 1 283.295 0.444 20 30 CCEDMN CC(C)(C)C(=O)NCCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000129329789 815584542 /nfs/dbraw/zinc/58/45/42/815584542.db2.gz FUKBUNVWIUWHCJ-NSHDSACASA-N 0 1 293.367 0.916 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cc(C)on2)[C@H]1C ZINC001088495022 814745356 /nfs/dbraw/zinc/74/53/56/814745356.db2.gz XOIVQOUQUFUQCT-YPMHNXCESA-N 0 1 261.325 0.738 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnn(CC)n1 ZINC001126712609 814896730 /nfs/dbraw/zinc/89/67/30/814896730.db2.gz SMFLKJVIOFHNGQ-UHFFFAOYSA-N 0 1 257.725 0.370 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)COC(C)C)[C@@H]2C1 ZINC001272063210 814901790 /nfs/dbraw/zinc/90/17/90/814901790.db2.gz YMOGNAJIZLHTGM-UONOGXRCSA-N 0 1 264.369 0.967 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@@H](O)C1 ZINC001083653589 815614942 /nfs/dbraw/zinc/61/49/42/815614942.db2.gz UYBONJQARWXREY-NNEGLZRWSA-N 0 1 292.379 0.147 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@@H](C)OC)[C@H](OC)C1 ZINC001212158634 815742043 /nfs/dbraw/zinc/74/20/43/815742043.db2.gz FYRQZSXHEFGPDW-MGPQQGTHSA-N 0 1 282.384 0.640 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2cncc(C)c2)C1 ZINC001077452935 815776113 /nfs/dbraw/zinc/77/61/13/815776113.db2.gz ITGUGKGTGUKILF-HUUCEWRRSA-N 0 1 287.363 0.117 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001030216857 815902665 /nfs/dbraw/zinc/90/26/65/815902665.db2.gz LUKIAUQIHIVJFH-LLVKDONJSA-N 0 1 266.341 0.706 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)[C@H]1C ZINC001088850362 816071658 /nfs/dbraw/zinc/07/16/58/816071658.db2.gz NGZDQMVFKQMAQF-AGIUHOORSA-N 0 1 279.384 0.810 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C(N)=O)co2)[C@H]1C ZINC001088850379 816071743 /nfs/dbraw/zinc/07/17/43/816071743.db2.gz OIONMWQLOPCGBB-KOLCDFICSA-N 0 1 277.324 0.757 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H](NC(=O)c2cnon2)[C@H]1C ZINC001088932561 816181876 /nfs/dbraw/zinc/18/18/76/816181876.db2.gz JVASWMXKRPCJQD-ZJUUUORDSA-N 0 1 250.302 0.838 20 30 CCEDMN CC(C)N(CCC#N)C(=O)CN1CCC(CO)CC1 ZINC001120697878 816193220 /nfs/dbraw/zinc/19/32/20/816193220.db2.gz BFMHYLIJYFNMLY-UHFFFAOYSA-N 0 1 267.373 0.841 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cnn(CC)c2)[C@H]1C ZINC001088972613 816199091 /nfs/dbraw/zinc/19/90/91/816199091.db2.gz RWQASEBMXJZLPW-OCCSQVGLSA-N 0 1 274.368 0.658 20 30 CCEDMN C#CCN1CC(NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001030779411 816286096 /nfs/dbraw/zinc/28/60/96/816286096.db2.gz YMSCYKHPCBDBHV-UHFFFAOYSA-N 0 1 281.319 0.519 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001030860800 816359635 /nfs/dbraw/zinc/35/96/35/816359635.db2.gz BBKVWWLREBWVOJ-LLVKDONJSA-N 0 1 273.340 0.048 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H](C)C1CC1 ZINC001272388978 816381017 /nfs/dbraw/zinc/38/10/17/816381017.db2.gz JNUKWABSRULKMM-ONGXEEELSA-N 0 1 260.765 0.852 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](O)CNCc1nccnc1C ZINC001272406679 816396200 /nfs/dbraw/zinc/39/62/00/816396200.db2.gz OJKALLSNOKYVMX-ZDUSSCGKSA-N 0 1 290.367 0.155 20 30 CCEDMN Cc1ncc(CNC[C@H](O)CNC(=O)C#CC(C)(C)C)o1 ZINC001272414340 816404383 /nfs/dbraw/zinc/40/43/83/816404383.db2.gz OMVTUACGCPUVNR-LBPRGKRZSA-N 0 1 293.367 0.599 20 30 CCEDMN C=CCN1C(=O)C[C@@]2(CCC[N@@H+](Cc3cn[nH]n3)C2)C1=O ZINC001272570147 816590369 /nfs/dbraw/zinc/59/03/69/816590369.db2.gz PKQRMVMQUFYYLU-CQSZACIVSA-N 0 1 289.339 0.332 20 30 CCEDMN C=CCN1C(=O)C[C@@]2(CCCN(Cc3cn[nH]n3)C2)C1=O ZINC001272570147 816590378 /nfs/dbraw/zinc/59/03/78/816590378.db2.gz PKQRMVMQUFYYLU-CQSZACIVSA-N 0 1 289.339 0.332 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2c(C)nn(C)c2C)C1 ZINC001046523931 816614504 /nfs/dbraw/zinc/61/45/04/816614504.db2.gz JQWCIPFLLFKYFI-OAHLLOKOSA-N 0 1 274.368 0.864 20 30 CCEDMN COCCC(=O)NCCNCc1ccccc1C#N ZINC001122394047 816682097 /nfs/dbraw/zinc/68/20/97/816682097.db2.gz PHXWHUDBPKFPIE-UHFFFAOYSA-N 0 1 261.325 0.801 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001046624969 816704950 /nfs/dbraw/zinc/70/49/50/816704950.db2.gz OVWRSZHXHJVWPP-OAHLLOKOSA-N 0 1 289.335 0.623 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001046624969 816704953 /nfs/dbraw/zinc/70/49/53/816704953.db2.gz OVWRSZHXHJVWPP-OAHLLOKOSA-N 0 1 289.335 0.623 20 30 CCEDMN N#CCN1CC(NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001031196689 816783137 /nfs/dbraw/zinc/78/31/37/816783137.db2.gz GFYIFAGOQLLTHN-UHFFFAOYSA-N 0 1 282.307 0.409 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCC2(C)C)C1 ZINC001077539601 816791498 /nfs/dbraw/zinc/79/14/98/816791498.db2.gz KLEVZEBGUCWJQW-JHJVBQTASA-N 0 1 264.369 0.607 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCC2(C)C)C1 ZINC001077539601 816791504 /nfs/dbraw/zinc/79/15/04/816791504.db2.gz KLEVZEBGUCWJQW-JHJVBQTASA-N 0 1 264.369 0.607 20 30 CCEDMN C[C@@H](CCNc1cnc(C#N)cn1)NC(=O)c1cnn[nH]1 ZINC001106639241 816830821 /nfs/dbraw/zinc/83/08/21/816830821.db2.gz ZLTPWPLDVZFKCE-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN CCN(CCNc1cnc(C#N)cn1)C(=O)c1cnc(C)[nH]1 ZINC001106705987 816858970 /nfs/dbraw/zinc/85/89/70/816858970.db2.gz OSLVEGSSEWMEOM-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@@H]2O)CC1 ZINC001083754283 817003915 /nfs/dbraw/zinc/00/39/15/817003915.db2.gz JRVPOPAOLGUGMN-YUTCNCBUSA-N 0 1 282.384 0.275 20 30 CCEDMN N#Cc1ccccc1CN1CC(NC(=O)CN2CCCC2)C1 ZINC001031389641 817023773 /nfs/dbraw/zinc/02/37/73/817023773.db2.gz SUQJCLLJPDNIKB-UHFFFAOYSA-N 0 1 298.390 0.954 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnc3ccnn32)C1 ZINC001031725305 817291017 /nfs/dbraw/zinc/29/10/17/817291017.db2.gz XYFJNXFTPCJSCQ-UHFFFAOYSA-N 0 1 271.324 0.577 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CO[C@H]2CCOC2)[C@H](OC)C1 ZINC001212275818 817317460 /nfs/dbraw/zinc/31/74/60/817317460.db2.gz OKZNTBDLHZATFY-BFHYXJOUSA-N 0 1 298.383 0.183 20 30 CCEDMN C=CCN1CC(CNC(=O)C2CC3(C2)CS(=O)(=O)C3)C1 ZINC001031766247 817350035 /nfs/dbraw/zinc/35/00/35/817350035.db2.gz DEHWTIPIQXUDII-UHFFFAOYSA-N 0 1 298.408 0.045 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc3c(n2)OCCO3)C1 ZINC001031812199 817389635 /nfs/dbraw/zinc/38/96/35/817389635.db2.gz QOHMYOSOQAWFJX-UHFFFAOYSA-N 0 1 289.335 0.700 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCOC)C[C@H]1OC ZINC001212344551 817407186 /nfs/dbraw/zinc/40/71/86/817407186.db2.gz BKLBAKAXDGZSEO-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2nnn(CC)c2CC)C1 ZINC001031971253 817508858 /nfs/dbraw/zinc/50/88/58/817508858.db2.gz IHFJFKYQNJAAJF-UHFFFAOYSA-N 0 1 289.383 0.545 20 30 CCEDMN C#CCN1CC(CNC(=O)c2nnc3ccccc3c2O)C1 ZINC001032018065 817552285 /nfs/dbraw/zinc/55/22/85/817552285.db2.gz NYYYSBYVXXFJEQ-UHFFFAOYSA-N 0 1 296.330 0.630 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cccc(OC)n1 ZINC001124765014 817567060 /nfs/dbraw/zinc/56/70/60/817567060.db2.gz HVXMZVUXNBQYIK-UHFFFAOYSA-N 0 1 279.340 0.499 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cnc(C)o1 ZINC001124768105 817569575 /nfs/dbraw/zinc/56/95/75/817569575.db2.gz SRMOLJVBSWMIFJ-UHFFFAOYSA-N 0 1 253.302 0.391 20 30 CCEDMN COc1ccnc(CNCCNC(=O)[C@@H](C)C#N)c1 ZINC001124890154 817617267 /nfs/dbraw/zinc/61/72/67/817617267.db2.gz XEGQACKRVCBZRJ-JTQLQIEISA-N 0 1 262.313 0.456 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccnn1C ZINC001272734830 817621812 /nfs/dbraw/zinc/62/18/12/817621812.db2.gz FIVYXXILVQSMRT-ZIAGYGMSSA-N 0 1 272.352 0.619 20 30 CCEDMN CCc1nc(C)c(CNCCNC(=O)[C@H](C)C#N)o1 ZINC001124896289 817621947 /nfs/dbraw/zinc/62/19/47/817621947.db2.gz NYBXHGXGQJFVJA-SECBINFHSA-N 0 1 264.329 0.911 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001032105990 817635593 /nfs/dbraw/zinc/63/55/93/817635593.db2.gz OOWLRAODLNJRJH-AWEZNQCLSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001032109172 817639060 /nfs/dbraw/zinc/63/90/60/817639060.db2.gz OYEGTUOFUCXRHR-CYBMUJFWSA-N 0 1 279.384 0.479 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC001032118793 817645302 /nfs/dbraw/zinc/64/53/02/817645302.db2.gz UXMWWPNDMCTTCT-CYBMUJFWSA-N 0 1 294.399 0.544 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn(CCOC)c2)C1 ZINC001032157601 817669737 /nfs/dbraw/zinc/66/97/37/817669737.db2.gz ZZNMBFORESBGQB-UHFFFAOYSA-N 0 1 278.356 0.377 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc3n[nH]cc3c2)C1 ZINC001077588655 817852716 /nfs/dbraw/zinc/85/27/16/817852716.db2.gz PVEKSIWQACHDHG-HUUCEWRRSA-N 0 1 298.346 0.361 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H](C)OC)CC2(CCOCC2)C1 ZINC001099566309 817869933 /nfs/dbraw/zinc/86/99/33/817869933.db2.gz OFDTWPYRSWXPQY-UONOGXRCSA-N 0 1 294.395 0.642 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@H]2O)CC1 ZINC001077624941 817884978 /nfs/dbraw/zinc/88/49/78/817884978.db2.gz JRVPOPAOLGUGMN-MRVWCRGKSA-N 0 1 282.384 0.275 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2conc2CC)C1 ZINC001077637229 817900395 /nfs/dbraw/zinc/90/03/95/817900395.db2.gz FDNOJMRYTJWFIN-CHWSQXEVSA-N 0 1 279.340 0.588 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)COC)C1 ZINC001107658860 817926549 /nfs/dbraw/zinc/92/65/49/817926549.db2.gz AONHMHSJCKQVCK-CYBMUJFWSA-N 0 1 256.346 0.416 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1nc[nH]n1 ZINC001032343717 817940865 /nfs/dbraw/zinc/94/08/65/817940865.db2.gz XDXJWOYVBNFDCR-RYUDHWBXSA-N 0 1 273.340 0.046 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccnn2C)C1 ZINC001032940050 818371417 /nfs/dbraw/zinc/37/14/17/818371417.db2.gz HKPHLVZGTVHOLN-LBPRGKRZSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCOC2)C1 ZINC001032953096 818388375 /nfs/dbraw/zinc/38/83/75/818388375.db2.gz WTEOCUYECBQVEJ-CHWSQXEVSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)c2snnc2C)[C@@H](O)C1 ZINC001090062575 818436011 /nfs/dbraw/zinc/43/60/11/818436011.db2.gz AIPVBAFUQKLTFN-MNOVXSKESA-N 0 1 294.380 0.035 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(C)c2C)[C@@H](O)C1 ZINC001090081054 818475430 /nfs/dbraw/zinc/47/54/30/818475430.db2.gz ZYPCXBIOWAKACF-STQMWFEESA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cn(CCOC)nn2)C1 ZINC001033069754 818492024 /nfs/dbraw/zinc/49/20/24/818492024.db2.gz KHLZVVIXVWWQMH-LBPRGKRZSA-N 0 1 293.371 0.257 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cn2cccc(C)c2=O)C1 ZINC001033074888 818493653 /nfs/dbraw/zinc/49/36/53/818493653.db2.gz CGDQZAZWOFXELS-CQSZACIVSA-N 0 1 287.363 0.323 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cn2cccc(C)c2=O)C1 ZINC001033076599 818495165 /nfs/dbraw/zinc/49/51/65/818495165.db2.gz NEAWLPOAHKIQEQ-CQSZACIVSA-N 0 1 289.379 0.875 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(CC)n[nH]2)[C@@H](O)C1 ZINC001090097958 818508462 /nfs/dbraw/zinc/50/84/62/818508462.db2.gz CMZSZTPEDDKORT-OCCSQVGLSA-N 0 1 290.367 0.160 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033124019 818550498 /nfs/dbraw/zinc/55/04/98/818550498.db2.gz MDTATFHTKPRRJC-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001033137496 818561820 /nfs/dbraw/zinc/56/18/20/818561820.db2.gz AHVWXISCPVEKAF-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033220043 818661249 /nfs/dbraw/zinc/66/12/49/818661249.db2.gz DZGCZESBVRQIPE-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001033272544 818685970 /nfs/dbraw/zinc/68/59/70/818685970.db2.gz OWXCQWCVAGVUBE-CYBMUJFWSA-N 0 1 273.336 0.496 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2cn(CC)nn2)C1 ZINC001033286599 818693589 /nfs/dbraw/zinc/69/35/89/818693589.db2.gz GUGLKFJAXOEEBG-AWEZNQCLSA-N 0 1 289.383 0.397 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001033337798 818723849 /nfs/dbraw/zinc/72/38/49/818723849.db2.gz QDZQEMYFUCYYOB-WUHRBBMRSA-N 0 1 250.342 0.598 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033352119 818732380 /nfs/dbraw/zinc/73/23/80/818732380.db2.gz ARGFTOYSIPRCHU-CHWSQXEVSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001033365452 818733495 /nfs/dbraw/zinc/73/34/95/818733495.db2.gz HBLWISWPYLLAKL-NEPJUHHUSA-N 0 1 287.367 0.391 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001033425292 818772312 /nfs/dbraw/zinc/77/23/12/818772312.db2.gz IIAPOAGGJOXSAF-GDBMZVCRSA-N 0 1 283.375 0.962 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001033425292 818772319 /nfs/dbraw/zinc/77/23/19/818772319.db2.gz IIAPOAGGJOXSAF-GDBMZVCRSA-N 0 1 283.375 0.962 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033427864 818772805 /nfs/dbraw/zinc/77/28/05/818772805.db2.gz KQQODPLEUYTFSI-AGIUHOORSA-N 0 1 279.384 0.607 20 30 CCEDMN C=CCn1cc(C(=O)N(C)[C@H]2CCN(CC=C)C2)nn1 ZINC001033482721 818794628 /nfs/dbraw/zinc/79/46/28/818794628.db2.gz BSHJXHQJQAXBQA-LBPRGKRZSA-N 0 1 275.356 0.796 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2n[nH]c(C)c2C)[C@H](O)C1 ZINC001090138639 818809737 /nfs/dbraw/zinc/80/97/37/818809737.db2.gz XCXUCAMTVUGHAL-CHWSQXEVSA-N 0 1 292.383 0.768 20 30 CCEDMN N#Cc1ccc([C@H](O)CN2CC[C@H](NC(N)=O)C2)cc1 ZINC000700681052 818824183 /nfs/dbraw/zinc/82/41/83/818824183.db2.gz QNOGMOPVQIIJOG-QWHCGFSZSA-N 0 1 274.324 0.334 20 30 CCEDMN CN(C(=O)C(C)(C)c1c[nH]cn1)[C@H]1CCN(CC#N)C1 ZINC001033595868 818842752 /nfs/dbraw/zinc/84/27/52/818842752.db2.gz VTVHCQGQHZZTNA-NSHDSACASA-N 0 1 275.356 0.744 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001033688776 818890193 /nfs/dbraw/zinc/89/01/93/818890193.db2.gz QEBNVGLGHDNAPM-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cnn(C)c2)C1 ZINC001033743990 818915970 /nfs/dbraw/zinc/91/59/70/818915970.db2.gz FEZBHAJDDRXKLV-CQSZACIVSA-N 0 1 274.368 0.980 20 30 CCEDMN CCN(C(=O)c1cc(C)[nH]n1)[C@@H]1CCN(CC#N)C1 ZINC001033766309 818928990 /nfs/dbraw/zinc/92/89/90/818928990.db2.gz LUKAYHSKUABJBS-LLVKDONJSA-N 0 1 261.329 0.778 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2COC(=O)N2)C1 ZINC001033815516 818955691 /nfs/dbraw/zinc/95/56/91/818955691.db2.gz JRHRUQBKMYXCMS-WDEREUQCSA-N 0 1 267.329 0.204 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(CC)c2)[C@H](O)C1 ZINC001090145652 818956621 /nfs/dbraw/zinc/95/66/21/818956621.db2.gz ROOKOSDHNTUNQW-CHWSQXEVSA-N 0 1 278.356 0.254 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033862552 818972924 /nfs/dbraw/zinc/97/29/24/818972924.db2.gz HBNSXVRYKVXSNO-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033882940 818978463 /nfs/dbraw/zinc/97/84/63/818978463.db2.gz VJAOLJKGUPJJGW-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCNC2=O)C1 ZINC001033923809 818989488 /nfs/dbraw/zinc/98/94/88/818989488.db2.gz UJQXBKXDIWALIO-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2nnn(C)n2)C1 ZINC001033918625 818993685 /nfs/dbraw/zinc/99/36/85/818993685.db2.gz CTZNMNDVMCQVDK-NSHDSACASA-N 0 1 278.360 0.323 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001033923064 818996803 /nfs/dbraw/zinc/99/68/03/818996803.db2.gz QNFVMIJREIUCKF-IGQOVBAYSA-N 0 1 262.353 0.435 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc[nH]c2C)[C@@H](O)C1 ZINC001090176432 819030056 /nfs/dbraw/zinc/03/00/56/819030056.db2.gz ZLPWTIGZYWQFHP-KGLIPLIRSA-N 0 1 275.352 0.511 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001034168759 819105451 /nfs/dbraw/zinc/10/54/51/819105451.db2.gz JTYRLYWHGAEKKP-CHWSQXEVSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001034360772 819185228 /nfs/dbraw/zinc/18/52/28/819185228.db2.gz SXZKMAHHUPCYTA-GFCCVEGCSA-N 0 1 288.351 0.708 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CSC(C)C)[C@H](O)C1 ZINC001099677869 819277148 /nfs/dbraw/zinc/27/71/48/819277148.db2.gz CBLUXNYCTSDWOA-NWDGAFQWSA-N 0 1 272.414 0.865 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nccc(C)n2)[C@@H](O)C1 ZINC001090229575 819276532 /nfs/dbraw/zinc/27/65/32/819276532.db2.gz CVWTWPXZCIJLSU-NEPJUHHUSA-N 0 1 276.340 0.136 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccncc2C)[C@@H](O)C1 ZINC001090237566 819287525 /nfs/dbraw/zinc/28/75/25/819287525.db2.gz PGTFVFAASYMWPM-KGLIPLIRSA-N 0 1 275.352 0.741 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CC(C)(C)C2)[C@H](O)C1 ZINC001090244013 819296541 /nfs/dbraw/zinc/29/65/41/819296541.db2.gz JOIGOYUPWTUTOE-ZIAGYGMSSA-N 0 1 278.396 0.997 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccncc2C)[C@@H](O)C1 ZINC001090250007 819308648 /nfs/dbraw/zinc/30/86/48/819308648.db2.gz VLDQRMHPFBTWPU-CABCVRRESA-N 0 1 287.363 0.578 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2cn[nH]c2)C1 ZINC001035299172 819451368 /nfs/dbraw/zinc/45/13/68/819451368.db2.gz MUYJKTHIYOBKHS-CYBMUJFWSA-N 0 1 278.356 0.807 20 30 CCEDMN N#Cc1ccc(CN2C[C@H](CO)[C@H](CO)C2)cc1F ZINC000705335594 819484056 /nfs/dbraw/zinc/48/40/56/819484056.db2.gz HYNNQJISLVAYTM-BETUJISGSA-N 0 1 264.300 0.730 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2cccnn2)C1 ZINC001035553946 819566312 /nfs/dbraw/zinc/56/63/12/819566312.db2.gz KVFKNCHWUDJAIL-ZDUSSCGKSA-N 0 1 290.367 0.873 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@@H]1OCC)CC2 ZINC001035655887 819593312 /nfs/dbraw/zinc/59/33/12/819593312.db2.gz KXNPTKUHTYHXHY-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1COC(=O)N1)CC2 ZINC001035699075 819611260 /nfs/dbraw/zinc/61/12/60/819611260.db2.gz VEAMIGQSLUVSSY-LBPRGKRZSA-N 0 1 291.351 0.043 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1ncn(C)n1)CC2 ZINC001035700704 819611972 /nfs/dbraw/zinc/61/19/72/819611972.db2.gz ZKLRSTXPNBNQFQ-UHFFFAOYSA-N 0 1 275.356 0.539 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1cn[nH]n1)CC2 ZINC001035740344 819617772 /nfs/dbraw/zinc/61/77/72/819617772.db2.gz AZSACWHMQGEQQW-UHFFFAOYSA-N 0 1 273.340 0.366 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1[C@@H]3COC[C@@H]31)CC2 ZINC001035772680 819627743 /nfs/dbraw/zinc/62/77/43/819627743.db2.gz HINPGQDPKNFEKH-WDNDVIMCSA-N 0 1 274.364 0.436 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H]1CCC(=O)N1)CC2 ZINC001035817590 819629120 /nfs/dbraw/zinc/62/91/20/819629120.db2.gz OTWJCKRHIPZYIT-CYBMUJFWSA-N 0 1 289.379 0.213 20 30 CCEDMN C[C@H]1CN(C(=O)CN2CCCC2)CC[C@H]1NCC#N ZINC001036294261 819721472 /nfs/dbraw/zinc/72/14/72/819721472.db2.gz PKPSZCYFBRVCBF-QWHCGFSZSA-N 0 1 264.373 0.432 20 30 CCEDMN C#CC1(O)CCN([C@H](C)C(=O)N(CC)CC)CC1 ZINC000708157404 819761785 /nfs/dbraw/zinc/76/17/85/819761785.db2.gz CBEDTXHTEMYUCD-GFCCVEGCSA-N 0 1 252.358 0.703 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)[C@@]2(COC)CNCCO2)C1 ZINC000710814059 819844954 /nfs/dbraw/zinc/84/49/54/819844954.db2.gz XHDNMSDMYGNPGK-ZFWWWQNUSA-N 0 1 282.384 0.806 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@@H]1CCN1C(C)(C)C ZINC000710862381 819850585 /nfs/dbraw/zinc/85/05/85/819850585.db2.gz OEVKENAPFJARBQ-NSHDSACASA-N 0 1 258.387 0.802 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@H]1CCC(=O)NC1 ZINC001129261243 819898105 /nfs/dbraw/zinc/89/81/05/819898105.db2.gz FJHCNNQMKNLCMM-SNVBAGLBSA-N 0 1 273.764 0.361 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnn3ccccc23)[C@@H](O)C1 ZINC001083870104 819971704 /nfs/dbraw/zinc/97/17/04/819971704.db2.gz PTBABIHLUPGNQL-HIFRSBDPSA-N 0 1 298.346 0.133 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnc(C)nc2C)[C@@H](O)C1 ZINC001083871153 819976888 /nfs/dbraw/zinc/97/68/88/819976888.db2.gz RTGYAFMNYFJNBN-KGLIPLIRSA-N 0 1 290.367 0.444 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2oc(CC)nc2C)[C@@H](O)C1 ZINC001083921400 820266973 /nfs/dbraw/zinc/26/69/73/820266973.db2.gz KKZBPLAQOVYXEG-NEPJUHHUSA-N 0 1 293.367 0.896 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](C)[C@H](NC(=O)C(C)C)C2)C1=O ZINC001079434308 820394679 /nfs/dbraw/zinc/39/46/79/820394679.db2.gz IPSUDACAMUBPDX-MCIONIFRSA-N 0 1 293.411 0.866 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C)n[nH]2)C1 ZINC001079462719 820402111 /nfs/dbraw/zinc/40/21/11/820402111.db2.gz PVFBCFWSJBSHFV-ZWNOBZJWSA-N 0 1 260.341 0.792 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccccc2)[C@@H](O)C1 ZINC001090365495 820406273 /nfs/dbraw/zinc/40/62/73/820406273.db2.gz SAISNVQUVWBBGE-CVEARBPZSA-N 0 1 286.375 0.804 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001079541702 820422447 /nfs/dbraw/zinc/42/24/47/820422447.db2.gz AZXSMDKCSMBTBK-ZWNOBZJWSA-N 0 1 256.309 0.570 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CN(CC#N)C[C@H]2C)[nH]n1 ZINC001079559726 820426105 /nfs/dbraw/zinc/42/61/05/820426105.db2.gz XLRLZFXEAWMNFG-BXKDBHETSA-N 0 1 261.329 0.221 20 30 CCEDMN C#CCCN1C[C@@H](C)[C@H](NC(=O)c2cc(OC)no2)C1 ZINC001079603545 820437065 /nfs/dbraw/zinc/43/70/65/820437065.db2.gz KFCCLWOENUYJPB-GHMZBOCLSA-N 0 1 277.324 0.757 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cn3c(n2)COCC3)C1 ZINC001079960174 820491309 /nfs/dbraw/zinc/49/13/09/820491309.db2.gz KJMGSZZTHRZLJG-VXGBXAGGSA-N 0 1 290.367 0.649 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001080090481 820513350 /nfs/dbraw/zinc/51/33/50/820513350.db2.gz LOGDOPIQKRCBJL-NXEZZACHSA-N 0 1 277.324 0.615 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cn(C)ccc2=O)C1 ZINC001080100475 820515388 /nfs/dbraw/zinc/51/53/88/820515388.db2.gz BLCSHLPRMCLGRD-DGCLKSJQSA-N 0 1 275.352 0.621 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001080346426 820553601 /nfs/dbraw/zinc/55/36/01/820553601.db2.gz ZHDAKHFECJMZBM-JFGNBEQYSA-N 0 1 288.355 0.185 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cn(CCC)nn2)C1 ZINC001080684184 820620895 /nfs/dbraw/zinc/62/08/95/820620895.db2.gz OXUKNVWFWXKGKU-VXGBXAGGSA-N 0 1 277.372 0.924 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C(C)=C/CC)[C@H](O)C1 ZINC001099718145 820628720 /nfs/dbraw/zinc/62/87/20/820628720.db2.gz HYQYHYNXHVUTMV-NAICIEFVSA-N 0 1 264.369 0.917 20 30 CCEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001080745641 820631119 /nfs/dbraw/zinc/63/11/19/820631119.db2.gz MEPSCCWFJBAPDQ-BFHYXJOUSA-N 0 1 293.411 0.867 20 30 CCEDMN CN1CC(C(=O)N[C@@]2(C#N)CCSC2)=NC1=O ZINC001118571277 820646230 /nfs/dbraw/zinc/64/62/30/820646230.db2.gz ADNOLZZYGVGILR-SNVBAGLBSA-N 0 1 252.299 0.255 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnn(CC)c2)[C@H](OC)C1 ZINC001081454158 820763575 /nfs/dbraw/zinc/76/35/75/820763575.db2.gz KSJHBQTYYJJJOO-ZIAGYGMSSA-N 0 1 292.383 0.908 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cocn2)[C@H](OC)C1 ZINC001081442929 820774671 /nfs/dbraw/zinc/77/46/71/820774671.db2.gz FKFNWIPRVQQTFD-ZYHUDNBSSA-N 0 1 265.313 0.680 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cnc(C)o2)[C@H](OC)C1 ZINC001081792877 820829039 /nfs/dbraw/zinc/82/90/39/820829039.db2.gz INZWIMGWOHEUAN-ZIAGYGMSSA-N 0 1 293.367 0.917 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCOCC)[C@@H](O)C1 ZINC001099729058 820841314 /nfs/dbraw/zinc/84/13/14/820841314.db2.gz BACLPSOSASEUCU-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnc(C)n2C)[C@H](OC)C1 ZINC001082065244 820891195 /nfs/dbraw/zinc/89/11/95/820891195.db2.gz NPTAJKSEUQUMKQ-TZMCWYRMSA-N 0 1 292.383 0.734 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2OCC[C@H]2C)[C@H](OC)C1 ZINC001082141459 820905077 /nfs/dbraw/zinc/90/50/77/820905077.db2.gz PDZOFALWJSLOKW-SYQHCUMBSA-N 0 1 282.384 0.803 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CC[C@@H](C)O2)[C@H](OC)C1 ZINC001082152584 820908727 /nfs/dbraw/zinc/90/87/27/820908727.db2.gz UALIRADFBRBBJE-AAVRWANBSA-N 0 1 282.384 0.945 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CC23CC3)[C@H](OC)C1 ZINC001082173451 820910864 /nfs/dbraw/zinc/91/08/64/820910864.db2.gz YDFDWLKHHDNQAG-YNEHKIRRSA-N 0 1 262.353 0.625 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)Cc2cnc[nH]2)[C@H](OC)C1 ZINC001082179913 820915814 /nfs/dbraw/zinc/91/58/14/820915814.db2.gz SUNRKWVOALQLKS-CHWSQXEVSA-N 0 1 278.356 0.344 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)C)[C@H](OC)C1 ZINC001082338515 820942129 /nfs/dbraw/zinc/94/21/29/820942129.db2.gz QKMFDHONVMSEMC-VXGBXAGGSA-N 0 1 252.358 0.871 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(CCF)c2)[C@H](O)C1 ZINC001090381690 821035591 /nfs/dbraw/zinc/03/55/91/821035591.db2.gz LUZYJFLEDFVFOW-CHWSQXEVSA-N 0 1 296.346 0.204 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C=C(C)C)[C@H]2C1 ZINC001082989045 821095176 /nfs/dbraw/zinc/09/51/76/821095176.db2.gz YUHSFGXXCFHESF-UONOGXRCSA-N 0 1 262.353 0.888 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CC3(O)CCC3)[C@H]2C1 ZINC001083076352 821120138 /nfs/dbraw/zinc/12/01/38/821120138.db2.gz HVLXQGQUDKOEOY-UONOGXRCSA-N 0 1 294.395 0.779 20 30 CCEDMN CC(C)N1C[C@H]2OCCN(C(=O)C#CC3CC3)[C@H]2C1 ZINC001083075059 821120501 /nfs/dbraw/zinc/12/05/01/821120501.db2.gz BPRUOHDDRLBSIO-UONOGXRCSA-N 0 1 262.353 0.720 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@]3(F)CCOC3)[C@H]2C1 ZINC001083175250 821156815 /nfs/dbraw/zinc/15/68/15/821156815.db2.gz PDERQNVJHYKQOU-GUTXKFCHSA-N 0 1 298.358 0.603 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccccc2C)[C@@H](O)C1 ZINC001084041975 821184930 /nfs/dbraw/zinc/18/49/30/821184930.db2.gz QWRBVRKUESDHFO-CVEARBPZSA-N 0 1 286.375 0.722 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccccc2F)[C@@H](O)C1 ZINC001084019061 821185468 /nfs/dbraw/zinc/18/54/68/821185468.db2.gz DUEQSUBTHWLYOD-CABCVRRESA-N 0 1 290.338 0.553 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2c[nH]cc2C)[C@@H](O)C1 ZINC001084052263 821187831 /nfs/dbraw/zinc/18/78/31/821187831.db2.gz UTAANVINHPEKKQ-OLZOCXBDSA-N 0 1 263.341 0.674 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CC3CCC2CC3)[C@@H](O)C1 ZINC001084096294 821197594 /nfs/dbraw/zinc/19/75/94/821197594.db2.gz GJAVTWSXQWZHIA-NEADZQPHSA-N 0 1 290.407 0.997 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)c2cnn(C)c2)[C@@H](O)C1 ZINC001084138156 821210266 /nfs/dbraw/zinc/21/02/66/821210266.db2.gz DAUYKBVOZASUJM-YUTCNCBUSA-N 0 1 292.383 0.261 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C3=COCCO3)[C@@H]2C1 ZINC001084169620 821224168 /nfs/dbraw/zinc/22/41/68/821224168.db2.gz SQSOEXJSTJRFOW-VXGBXAGGSA-N 0 1 264.325 0.593 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3ccnn3C)[C@@H]2C1 ZINC001084309564 821266254 /nfs/dbraw/zinc/26/62/54/821266254.db2.gz PZKHBYCPWSTWCZ-UKRRQHHQSA-N 0 1 286.379 0.519 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cnnn3CC)[C@@H]2C1 ZINC001084326331 821270750 /nfs/dbraw/zinc/27/07/50/821270750.db2.gz ASCJIHFOADWUHV-DGCLKSJQSA-N 0 1 275.356 0.630 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCC(=O)NC3)[C@@H]2C1 ZINC001084400260 821289044 /nfs/dbraw/zinc/28/90/44/821289044.db2.gz OXRWJUOMPGIQQG-MGPQQGTHSA-N 0 1 291.395 0.621 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3[nH]c(=O)[nH]c3C)[C@@H]2C1 ZINC001084432247 821295230 /nfs/dbraw/zinc/29/52/30/821295230.db2.gz IRQDVDCEIBROPL-GHMZBOCLSA-N 0 1 276.340 0.756 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)COc3cnn(C)c3)[C@@H]2C1 ZINC001084612665 821326522 /nfs/dbraw/zinc/32/65/22/821326522.db2.gz FUBYUYPQVRVKCC-TZMCWYRMSA-N 0 1 290.367 0.518 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cnn(C)n3)[C@@H]2C1 ZINC001084720187 821363923 /nfs/dbraw/zinc/36/39/23/821363923.db2.gz GXPATMNKVTZQPC-DGCLKSJQSA-N 0 1 275.356 0.538 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cccnn3)[C@@H]2C1 ZINC001084734708 821370103 /nfs/dbraw/zinc/37/01/03/821370103.db2.gz CMPSVBALHGRXSQ-TZMCWYRMSA-N 0 1 270.336 0.646 20 30 CCEDMN C[C@]1(NC(=O)c2cnn[nH]2)CCN(c2ccc(C#N)nc2)C1 ZINC001065359140 821557407 /nfs/dbraw/zinc/55/74/07/821557407.db2.gz QOOZSKMLMGDAOL-AWEZNQCLSA-N 0 1 297.322 0.470 20 30 CCEDMN COC(=O)[C@H](Cc1ccccc1O)NC(=O)C(C)C#N ZINC000826663552 821668375 /nfs/dbraw/zinc/66/83/75/821668375.db2.gz BMOQUPHBXMZNHZ-KOLCDFICSA-N 0 1 276.292 0.752 20 30 CCEDMN C[C@@H](O)CN1CC[C@@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553671 821784211 /nfs/dbraw/zinc/78/42/11/821784211.db2.gz SUFHDBGWYVIJCE-ZYHUDNBSSA-N 0 1 276.340 0.413 20 30 CCEDMN C=C(C)CC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CN(C)C(=O)N1 ZINC001085763838 821942629 /nfs/dbraw/zinc/94/26/29/821942629.db2.gz KZJMIVIOACLPCH-QWHCGFSZSA-N 0 1 294.399 0.509 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(C(N)=O)[nH]1 ZINC001085820447 821965863 /nfs/dbraw/zinc/96/58/63/821965863.db2.gz AGZSOXJOTVWNFB-NSHDSACASA-N 0 1 288.351 0.283 20 30 CCEDMN C=CCn1cc(C(=O)N(C)C[C@@H]2CCN2CC)nn1 ZINC001085861013 821985539 /nfs/dbraw/zinc/98/55/39/821985539.db2.gz NBZKWVPCSLNLAE-NSHDSACASA-N 0 1 263.345 0.630 20 30 CCEDMN C#CCN1CCO[C@@](C)(CNC(=O)CN2CC[C@@H](C)C2)C1 ZINC001108215577 821986493 /nfs/dbraw/zinc/98/64/93/821986493.db2.gz GCIAOIJDHBJJGG-ZBFHGGJFSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc2nncn2c1 ZINC001085871865 821989813 /nfs/dbraw/zinc/98/98/13/821989813.db2.gz HZFJNVSWZZCCJQ-CQSZACIVSA-N 0 1 297.362 0.899 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2ccnnc2)C1 ZINC001108241380 822103124 /nfs/dbraw/zinc/10/31/24/822103124.db2.gz ZTNNZFBQKLTKPY-HNNXBMFYSA-N 0 1 290.367 0.873 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@H]1C[C@@H](NCC#N)C1 ZINC001086122648 822120357 /nfs/dbraw/zinc/12/03/57/822120357.db2.gz SOVUQDXLFLWYBM-FRRDWIJNSA-N 0 1 264.373 0.479 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ncccn2)[C@H](O)C1 ZINC001100041233 822146443 /nfs/dbraw/zinc/14/64/43/822146443.db2.gz GIYQJQDXGABQJO-CHWSQXEVSA-N 0 1 290.367 0.147 20 30 CCEDMN C=CCCC(=O)NCCCN[C@H](C)c1nnnn1C ZINC001155586929 822196528 /nfs/dbraw/zinc/19/65/28/822196528.db2.gz QJBMETHVHLZUMM-SNVBAGLBSA-N 0 1 266.349 0.333 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@H]1C[C@H](NCC#N)C1 ZINC001086402426 822238899 /nfs/dbraw/zinc/23/88/99/822238899.db2.gz SOVUQDXLFLWYBM-JHJVBQTASA-N 0 1 264.373 0.479 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1nnc(C2CC2)n1C ZINC001155827726 822255327 /nfs/dbraw/zinc/25/53/27/822255327.db2.gz FXXZBRWVJRSPMJ-JTQLQIEISA-N 0 1 290.371 0.448 20 30 CCEDMN Cc1nc(CNCCCNC(=O)[C@@H](C)C#N)co1 ZINC001155849297 822263693 /nfs/dbraw/zinc/26/36/93/822263693.db2.gz WPZNMQPWJRLXNF-VIFPVBQESA-N 0 1 250.302 0.739 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@](C)(O)C1CC1 ZINC001114148171 837454442 /nfs/dbraw/zinc/45/44/42/837454442.db2.gz BJDQFPSFPOPLFB-PAPYEOQZSA-N 0 1 262.353 0.217 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]nc2C)[C@@H](O)C1 ZINC001090475304 837448483 /nfs/dbraw/zinc/44/84/83/837448483.db2.gz IQLIHVGZBWZJMF-NEPJUHHUSA-N 0 1 264.329 0.069 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]nc2C)[C@H](O)C1 ZINC001090475306 837448889 /nfs/dbraw/zinc/44/88/89/837448889.db2.gz IQLIHVGZBWZJMF-NWDGAFQWSA-N 0 1 264.329 0.069 20 30 CCEDMN CC[C@@H](C)OCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114153637 837456311 /nfs/dbraw/zinc/45/63/11/837456311.db2.gz PKYCCBGCHWHUGW-NYTXWWLZSA-N 0 1 294.395 0.498 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@H](C)OC ZINC001114167968 837462075 /nfs/dbraw/zinc/46/20/75/837462075.db2.gz KEDOVNLPPYJCGP-CIQGVGRVSA-N 0 1 250.342 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@H](C)OC ZINC001114167968 837462080 /nfs/dbraw/zinc/46/20/80/837462080.db2.gz KEDOVNLPPYJCGP-CIQGVGRVSA-N 0 1 250.342 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1(COC)CC1 ZINC001114260321 837491785 /nfs/dbraw/zinc/49/17/85/837491785.db2.gz LNJYTXLCLFSRFP-NHAGDIPZSA-N 0 1 276.380 0.873 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OCC)C1CC1 ZINC001114287569 837498157 /nfs/dbraw/zinc/49/81/57/837498157.db2.gz NXTKYHNVNNUMSB-DGAVXFQQSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C2CC2)C[C@@H]1n1ccnn1 ZINC001129507611 837518151 /nfs/dbraw/zinc/51/81/51/837518151.db2.gz UWPFHWNAPLGJAA-OLZOCXBDSA-N 0 1 273.340 0.053 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1nc(CC)c[nH]1 ZINC001129814458 837562805 /nfs/dbraw/zinc/56/28/05/837562805.db2.gz SINBUOHJXPHUJP-UHFFFAOYSA-N 0 1 270.764 0.973 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C2(C)CC2)C[C@@H]1n1ccnn1 ZINC001129857666 837570391 /nfs/dbraw/zinc/57/03/91/837570391.db2.gz CKJYBBSPZUOVSO-OLZOCXBDSA-N 0 1 287.367 0.443 20 30 CCEDMN COCC#CCN1CC[C@H](c2n[nH]cc2CNC(C)=O)C1 ZINC001130325918 837765134 /nfs/dbraw/zinc/76/51/34/837765134.db2.gz AGAFTMVZUBAKKI-ZDUSSCGKSA-N 0 1 290.367 0.485 20 30 CCEDMN C=CCNC(=O)CN(C)CCCN(C)C(=O)[C@@H]1C[C@@H]1C ZINC001273482143 844059338 /nfs/dbraw/zinc/05/93/38/844059338.db2.gz QCIIYNOWZGNBCO-QWHCGFSZSA-N 0 1 281.400 0.725 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)Cc1ccc(CO)cc1 ZINC001183687865 844069060 /nfs/dbraw/zinc/06/90/60/844069060.db2.gz ZJPXDMUFCUXTHH-UHFFFAOYSA-N 0 1 256.265 0.955 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@@H](O)Cc1ccc(O)cc1 ZINC001183892449 844105184 /nfs/dbraw/zinc/10/51/84/844105184.db2.gz BHWUVNNTRZKGTN-NSHDSACASA-N 0 1 272.264 0.529 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001108353984 835986481 /nfs/dbraw/zinc/98/64/81/835986481.db2.gz VAMYRDSCRWMPCI-WFASDCNBSA-N 0 1 295.383 0.048 20 30 CCEDMN CC(C)(C)c1nc2c(o1)c(C#N)cnc2-n1nnnc1CN ZINC001168919032 836052032 /nfs/dbraw/zinc/05/20/32/836052032.db2.gz RNAZPTZZPDEFHL-UHFFFAOYSA-N 0 1 298.310 0.826 20 30 CCEDMN N#Cc1ncc(-n2nnnc2CN)cc1C(F)(F)F ZINC001168920520 836054916 /nfs/dbraw/zinc/05/49/16/836054916.db2.gz USYXOVLVZOQKCG-UHFFFAOYSA-N 0 1 269.190 0.406 20 30 CCEDMN CCOC(=O)c1cc(-n2nnnc2CN)ccc1C#N ZINC001168924761 836060059 /nfs/dbraw/zinc/06/00/59/836060059.db2.gz SLODUYJHGNFCHN-UHFFFAOYSA-N 0 1 272.268 0.169 20 30 CCEDMN Cc1ccc(C(=NO)Nc2cnc(CN)nc2)nc1 ZINC001169408711 836256918 /nfs/dbraw/zinc/25/69/18/836256918.db2.gz VUWGBULCOZOPFU-UHFFFAOYSA-N 0 1 258.285 0.887 20 30 CCEDMN C=C[C@@H](CO)Nc1ncnc2[nH]c(C(=O)OCC)cc21 ZINC001169780172 836443413 /nfs/dbraw/zinc/44/34/13/836443413.db2.gz MDZDCNWTROOYLO-QMMMGPOBSA-N 0 1 276.296 0.515 20 30 CCEDMN C[C@@H](CNc1cncc(C#N)n1)NC(=O)c1[nH]ncc1F ZINC001108673181 836506690 /nfs/dbraw/zinc/50/66/90/836506690.db2.gz CSRHIFMCERIFPH-ZETCQYMHSA-N 0 1 289.274 0.441 20 30 CCEDMN C[C@@H](CN(C)c1ncnc2[nH]cnc21)NC(=O)C#CC1CC1 ZINC001108941553 836580703 /nfs/dbraw/zinc/58/07/03/836580703.db2.gz WUFMWGFIBQQYBZ-JTQLQIEISA-N 0 1 298.350 0.707 20 30 CCEDMN C[C@H](CN(C)c1ccncc1C#N)NC(=O)c1ncn[nH]1 ZINC001109062762 836606254 /nfs/dbraw/zinc/60/62/54/836606254.db2.gz CKPWQAFXWIEMTF-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN C[C@H](CN(C)c1ccncc1C#N)NC(=O)c1nc[nH]n1 ZINC001109062762 836606259 /nfs/dbraw/zinc/60/62/59/836606259.db2.gz CKPWQAFXWIEMTF-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN C[C@H](CN(C)c1ncccc1C#N)NC(=O)c1ncn[nH]1 ZINC001109063520 836608852 /nfs/dbraw/zinc/60/88/52/836608852.db2.gz YKCQHOOMARXDJO-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN C[C@H](CN(C)c1ncccc1C#N)NC(=O)c1nc[nH]n1 ZINC001109063520 836608854 /nfs/dbraw/zinc/60/88/54/836608854.db2.gz YKCQHOOMARXDJO-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ncc[nH]1)C2 ZINC001109209822 836639222 /nfs/dbraw/zinc/63/92/22/836639222.db2.gz VGSDUFHGQUYKNS-AGIUHOORSA-N 0 1 272.352 0.697 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](O)C(C)C)C2 ZINC001109233950 836642969 /nfs/dbraw/zinc/64/29/69/836642969.db2.gz SGOMFWKRLUXOAR-CBBWQLFWSA-N 0 1 296.411 0.927 20 30 CCEDMN CN(CCCNc1ccc(C#N)nc1)C(=O)Cc1ncn[nH]1 ZINC001109516309 836691766 /nfs/dbraw/zinc/69/17/66/836691766.db2.gz AUNHIGDBCRPBOI-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1CCN(CC=C)C[C@H]1O ZINC001100135733 836762814 /nfs/dbraw/zinc/76/28/14/836762814.db2.gz AWLDTHGEBJUHEA-QWHCGFSZSA-N 0 1 268.357 0.317 20 30 CCEDMN C=CCOCC(=O)N1CCN(CC[C@H]2CCCO2)CC1 ZINC001112708692 836875674 /nfs/dbraw/zinc/87/56/74/836875674.db2.gz SRUMGSTUXVIAEU-CQSZACIVSA-N 0 1 282.384 0.902 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC001114952470 836887689 /nfs/dbraw/zinc/88/76/89/836887689.db2.gz VOKQIVRHWPIXTF-FTYKPCCVSA-N 0 1 273.340 0.158 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2COCCN2C)CC1 ZINC001112770286 836900904 /nfs/dbraw/zinc/90/09/04/836900904.db2.gz JLQNGFQQQSFCJP-LSDHHAIUSA-N 0 1 295.427 0.816 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H](C)n2cncn2)CC1 ZINC001112770224 836901506 /nfs/dbraw/zinc/90/15/06/836901506.db2.gz GQEAYXHDJWDAIC-ZDUSSCGKSA-N 0 1 277.372 0.950 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CCCCOC)CC1 ZINC001112844866 836938478 /nfs/dbraw/zinc/93/84/78/836938478.db2.gz JSGYATQVLMNODM-UHFFFAOYSA-N 0 1 282.384 0.597 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC[C@H](C)F)CC1 ZINC001112843929 836940241 /nfs/dbraw/zinc/94/02/41/836940241.db2.gz NILWVTOCNATCQI-ZDUSSCGKSA-N 0 1 270.348 0.919 20 30 CCEDMN CCCCCCCN1CCN(C(=O)Cn2ncnn2)CC1 ZINC001112857596 836945319 /nfs/dbraw/zinc/94/53/19/836945319.db2.gz RBVKUKFEYJVTHM-UHFFFAOYSA-N 0 1 294.403 0.788 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2c[nH]c(=O)n2C)CC1 ZINC001113189405 837049135 /nfs/dbraw/zinc/04/91/35/837049135.db2.gz URFITWGFNLTXAW-UHFFFAOYSA-N 0 1 278.356 0.850 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CCNC2=O)CC1 ZINC001113189759 837049974 /nfs/dbraw/zinc/04/99/74/837049974.db2.gz YSAVBMHJTWBLDO-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCCCN1CCN(C(=O)C[C@@H]2CCC(=O)N2)CC1 ZINC001113422116 837112457 /nfs/dbraw/zinc/11/24/57/837112457.db2.gz JYWXINLHPPDLKS-ZDUSSCGKSA-N 0 1 279.384 0.766 20 30 CCEDMN CN(CC#N)CCCN(C)C(=O)C1=NC(=O)N(C)C1 ZINC001273553886 844839113 /nfs/dbraw/zinc/83/91/13/844839113.db2.gz JQBZYIRETGLKNI-UHFFFAOYSA-N 0 1 265.317 0.043 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CCC1 ZINC001113761605 837220050 /nfs/dbraw/zinc/22/00/50/837220050.db2.gz GIGONVRGULDXKG-WDNDVIMCSA-N 0 1 262.353 0.483 20 30 CCEDMN CC[C@H]1CN(CC)CCN1CC(=O)NC1(C#N)CCC1 ZINC001170274279 837281396 /nfs/dbraw/zinc/28/13/96/837281396.db2.gz XBVFMQFTEXFBBD-ZDUSSCGKSA-N 0 1 278.400 0.965 20 30 CCEDMN C=CCCCN1CC2(C1)COCC(=O)N2CCCOC ZINC001273487635 844258585 /nfs/dbraw/zinc/25/85/85/844258585.db2.gz NIAWJESEJIHMFB-UHFFFAOYSA-N 0 1 282.384 0.902 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)SC ZINC001113916731 837368047 /nfs/dbraw/zinc/36/80/47/837368047.db2.gz QMECBGFAZRBKHP-NDBYEHHHSA-N 0 1 282.409 0.434 20 30 CCEDMN C#CCCN1CCOC[C@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001130825840 837936241 /nfs/dbraw/zinc/93/62/41/837936241.db2.gz MSBUNHXKVWEVDM-NSHDSACASA-N 0 1 291.355 0.228 20 30 CCEDMN C#CCCN1CCOC[C@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001130825840 837936248 /nfs/dbraw/zinc/93/62/48/837936248.db2.gz MSBUNHXKVWEVDM-NSHDSACASA-N 0 1 291.355 0.228 20 30 CCEDMN CCOC(=O)c1ccc(N[C@@H](C#N)C(N)=O)c([N+](=O)[O-])c1 ZINC001170538225 837992832 /nfs/dbraw/zinc/99/28/32/837992832.db2.gz ZGGABGRCTJTLLL-VIFPVBQESA-N 0 1 292.251 0.561 20 30 CCEDMN CN(C(=O)c1c(F)cc(C#N)cc1F)c1nn[nH]n1 ZINC001131170363 838011336 /nfs/dbraw/zinc/01/13/36/838011336.db2.gz YPDWOPAUXVTBKL-UHFFFAOYSA-N 0 1 264.195 0.626 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccnc(OC)n1 ZINC001131191048 838016027 /nfs/dbraw/zinc/01/60/27/838016027.db2.gz PAJXLBNNBGUNMI-UHFFFAOYSA-N 0 1 270.720 0.557 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@H](C)OC)[C@@H]2C1 ZINC001187063324 844642721 /nfs/dbraw/zinc/64/27/21/844642721.db2.gz QSIFBPNWZCNJNV-MELADBBJSA-N 0 1 280.368 0.204 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)COC(C)C)C[C@H](C)O2 ZINC001131641507 838165144 /nfs/dbraw/zinc/16/51/44/838165144.db2.gz GFRNNCYIVINYMQ-GOEBONIOSA-N 0 1 294.395 0.736 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)CC(=O)NC)C[C@@H](C)O2 ZINC001131649005 838166213 /nfs/dbraw/zinc/16/62/13/838166213.db2.gz CYFOPSQDZREHAN-IUODEOHRSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CNC(C)=O)CC[C@@H]1C ZINC001131764052 838217419 /nfs/dbraw/zinc/21/74/19/838217419.db2.gz BPRNWLKXBGBMLX-AAEUAGOBSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCC(=O)NCC)CC[C@@H]1C ZINC001131904446 838262965 /nfs/dbraw/zinc/26/29/65/838262965.db2.gz QIGCJIODYFIEFM-STQMWFEESA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2ncc[nH]2)CC[C@H]1C ZINC001132024478 838294371 /nfs/dbraw/zinc/29/43/71/838294371.db2.gz GUHJQKRUMBKCIA-OLZOCXBDSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2nc(C)no2)CC[C@@H]1C ZINC001132211791 838335497 /nfs/dbraw/zinc/33/54/97/838335497.db2.gz RLBAKNQWEBCUKG-AAEUAGOBSA-N 0 1 290.367 0.913 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](NC(=O)C2(C(N)=O)CC2)CC[C@@H]1C ZINC001132261347 838347691 /nfs/dbraw/zinc/34/76/91/838347691.db2.gz MASGPDGUURPNGD-RYUDHWBXSA-N 0 1 279.384 0.797 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COCCCOC)CC[C@H]1C ZINC001132410901 838390595 /nfs/dbraw/zinc/39/05/95/838390595.db2.gz PSYXNZDEBOQLGK-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCC(=O)N1C ZINC001132763242 838485021 /nfs/dbraw/zinc/48/50/21/838485021.db2.gz ZPZCECNVKYEVKU-SECBINFHSA-N 0 1 259.737 0.066 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)C[C@@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001133888882 838767611 /nfs/dbraw/zinc/76/76/11/838767611.db2.gz NVNCAUVBAPNIEJ-MNOVXSKESA-N 0 1 295.387 0.448 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1cnc(C)cn1 ZINC001134054737 838838004 /nfs/dbraw/zinc/83/80/04/838838004.db2.gz RERMLIGBPTUCFI-KGLIPLIRSA-N 0 1 290.367 0.582 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1ccns1 ZINC001134053076 838838736 /nfs/dbraw/zinc/83/87/36/838838736.db2.gz MIOGQNZAJZLMDO-NEPJUHHUSA-N 0 1 281.381 0.940 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1cnoc1C ZINC001134053308 838839912 /nfs/dbraw/zinc/83/99/12/838839912.db2.gz RRGVAYSZZNPAMZ-OLZOCXBDSA-N 0 1 279.340 0.780 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCc2nnnn2CC1 ZINC001134098746 838872074 /nfs/dbraw/zinc/87/20/74/838872074.db2.gz IFILSMMGXHBYLD-SNVBAGLBSA-N 0 1 298.778 0.084 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C(C)(C)S(C)(=O)=O ZINC001134667619 839042691 /nfs/dbraw/zinc/04/26/91/839042691.db2.gz VFYJFHJIWRRBHT-UHFFFAOYSA-N 0 1 282.793 0.268 20 30 CCEDMN C=CCCCCN1CC2(C1)COCC(=O)N2C1COC1 ZINC001273501449 844399055 /nfs/dbraw/zinc/39/90/55/844399055.db2.gz RRIZXNWFMKJBFR-UHFFFAOYSA-N 0 1 280.368 0.655 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1cn[nH]c1 ZINC001135045913 839143575 /nfs/dbraw/zinc/14/35/75/839143575.db2.gz OZHDRONNDYMAOG-UHFFFAOYSA-N 0 1 256.737 0.801 20 30 CCEDMN C[C@H](C[C@H](C)NCC#N)NC(=O)C1=NC(=O)N(C)C1 ZINC001135386717 839238142 /nfs/dbraw/zinc/23/81/42/839238142.db2.gz JCSAMDOFXPXSJV-DTWKUNHWSA-N 0 1 265.317 0.136 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@H]1CC[C@@H](NCC#N)C1 ZINC001086734854 839256275 /nfs/dbraw/zinc/25/62/75/839256275.db2.gz VGLCWPXANYTAGS-BFHYXJOUSA-N 0 1 278.400 0.869 20 30 CCEDMN N#C[C@H](Nc1cc(Cl)nc2c1CCNC2)C(N)=O ZINC001159475624 839257775 /nfs/dbraw/zinc/25/77/75/839257775.db2.gz SQNDUAAPCNNDNT-QMMMGPOBSA-N 0 1 265.704 0.170 20 30 CCEDMN CN(Cc1ccc(N[C@H](C#N)C(N)=O)nc1)C1CC1 ZINC001159475532 839258526 /nfs/dbraw/zinc/25/85/26/839258526.db2.gz RHAQPBNEECZMBZ-LLVKDONJSA-N 0 1 259.313 0.465 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1ncccn1 ZINC001135570763 839301811 /nfs/dbraw/zinc/30/18/11/839301811.db2.gz WDVLVMSBWWLMFP-UHFFFAOYSA-N 0 1 268.748 0.868 20 30 CCEDMN CCOC(=O)c1cc(C#N)c(C)nc1N[C@](N)(CC)CO ZINC001170854162 839420491 /nfs/dbraw/zinc/42/04/91/839420491.db2.gz CIVBXDVLEIISPJ-CQSZACIVSA-N 0 1 292.339 0.908 20 30 CCEDMN C=CCNC(=O)c1ccc(N[C@@](N)(CC)CO)nc1 ZINC001170859492 839442001 /nfs/dbraw/zinc/44/20/01/839442001.db2.gz RTWOYSLZEMZNPS-ZDUSSCGKSA-N 0 1 264.329 0.467 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1coc(COC)n1 ZINC001136030366 839500638 /nfs/dbraw/zinc/50/06/38/839500638.db2.gz GQXUBZUXPXUJSX-UHFFFAOYSA-N 0 1 273.720 0.893 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ocnc2CC)[C@H](O)C1 ZINC001090560292 839641565 /nfs/dbraw/zinc/64/15/65/839641565.db2.gz SFOHTUDQZPKMPB-CHWSQXEVSA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ocnc2CC)[C@H](O)C1 ZINC001090560294 839641586 /nfs/dbraw/zinc/64/15/86/839641586.db2.gz SFOHTUDQZPKMPB-QWHCGFSZSA-N 0 1 293.367 0.978 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCOCC)[C@@H]2C1 ZINC001187247118 844679125 /nfs/dbraw/zinc/67/91/25/844679125.db2.gz XIYUVSMSACOQSP-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2conc2C2CC2)[C@H](O)C1 ZINC001090567251 839648675 /nfs/dbraw/zinc/64/86/75/839648675.db2.gz UHWHHZIYNMWGFH-CHWSQXEVSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C(C)C)n[nH]2)[C@@H](O)C1 ZINC001090578974 839651992 /nfs/dbraw/zinc/65/19/92/839651992.db2.gz ADNCVGVZIYNGER-FZMZJTMJSA-N 0 1 292.383 0.884 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)nn2CC)[C@H](O)C1 ZINC001090583482 839655154 /nfs/dbraw/zinc/65/51/54/839655154.db2.gz BAUWCKZQPRZZNP-GXTWGEPZSA-N 0 1 292.383 0.562 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(CC)nc2C)[C@@H](O)C1 ZINC001090610472 839672544 /nfs/dbraw/zinc/67/25/44/839672544.db2.gz SETOPMOURGAVFI-KBPBESRZSA-N 0 1 292.383 0.562 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)nc(C)n2)[C@H](O)C1 ZINC001090646248 839697761 /nfs/dbraw/zinc/69/77/61/839697761.db2.gz YCAIZIUKKMPFCG-TZMCWYRMSA-N 0 1 290.367 0.444 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(C)nc2C)[C@@H](O)C1 ZINC001090654064 839705495 /nfs/dbraw/zinc/70/54/95/839705495.db2.gz FFJQIRQGDMFWFW-KBPBESRZSA-N 0 1 290.367 0.444 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccco2)[C@H](O)C1 ZINC001090659548 839711164 /nfs/dbraw/zinc/71/11/64/839711164.db2.gz HVQIDWFJGDJAPQ-QWHCGFSZSA-N 0 1 264.325 0.560 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccncn2)[C@@H](O)C1 ZINC001090662801 839714669 /nfs/dbraw/zinc/71/46/69/839714669.db2.gz IIPRYXMWOBULOR-AAEUAGOBSA-N 0 1 276.340 0.218 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2cccc3nc[nH]c32)[C@@H](O)C1 ZINC001090670693 839725144 /nfs/dbraw/zinc/72/51/44/839725144.db2.gz FTSGRBKARSISQW-YPMHNXCESA-N 0 1 299.334 0.251 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ncccc2F)[C@@H](O)C1 ZINC001090676602 839729887 /nfs/dbraw/zinc/72/98/87/839729887.db2.gz QHCGSMGYXKHUKB-OLZOCXBDSA-N 0 1 293.342 0.962 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(COC)n2)[C@H](O)C1 ZINC001090687310 839734775 /nfs/dbraw/zinc/73/47/75/839734775.db2.gz VAPLPZVGYIFSTJ-ZYHUDNBSSA-N 0 1 295.339 0.172 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nocc2C)[C@H](O)C1 ZINC001090705135 839750813 /nfs/dbraw/zinc/75/08/13/839750813.db2.gz QUOKDMJESOCSSV-WDEREUQCSA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nocc2C)[C@@H](O)C1 ZINC001090705134 839752043 /nfs/dbraw/zinc/75/20/43/839752043.db2.gz QUOKDMJESOCSSV-QWRGUYRKSA-N 0 1 265.313 0.334 20 30 CCEDMN N#Cc1nccnc1N1CCN(Cc2cnc[nH]2)CC1 ZINC001136871539 839796846 /nfs/dbraw/zinc/79/68/46/839796846.db2.gz SECCQOPRFLAGJC-UHFFFAOYSA-N 0 1 269.312 0.394 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)[C@H](C)CC)C2 ZINC001110111457 839984380 /nfs/dbraw/zinc/98/43/80/839984380.db2.gz IADHXGZHTKMLLI-MQYQWHSLSA-N 0 1 291.395 0.503 20 30 CCEDMN O=C(C=CC(=O)c1ccccc1)NCc1nnc[nH]1 ZINC001144714118 840122496 /nfs/dbraw/zinc/12/24/96/840122496.db2.gz LCWUWWHFHKTNKX-VOTSOKGWSA-N 0 1 256.265 0.860 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2ccc(O)c(F)c2)c1N ZINC001186208470 844526164 /nfs/dbraw/zinc/52/61/64/844526164.db2.gz DYOYWDZDEQBBIM-UHFFFAOYSA-N 0 1 261.216 0.452 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@H](C)SC)C2)C1 ZINC001147035682 840450754 /nfs/dbraw/zinc/45/07/54/840450754.db2.gz ZCLMOODKQWPFDS-ZDUSSCGKSA-N 0 1 296.436 0.922 20 30 CCEDMN COc1ccc(C(=NC(=O)[C@H]2CCCN(C)C2)NO)nc1 ZINC001186363633 844544298 /nfs/dbraw/zinc/54/42/98/844544298.db2.gz FFDZZXHRQPCIQJ-JTQLQIEISA-N 0 1 292.339 0.684 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@H]3CCOC3)C2)C1 ZINC001147130966 840502533 /nfs/dbraw/zinc/50/25/33/840502533.db2.gz GEMBDZYPYDBCFQ-AWEZNQCLSA-N 0 1 292.379 0.207 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@@H](C)OC)C2)C1 ZINC001147217645 840532656 /nfs/dbraw/zinc/53/26/56/840532656.db2.gz FRROYRDGDBELPU-GFCCVEGCSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@H](C)OC)C2)C1 ZINC001147217647 840533151 /nfs/dbraw/zinc/53/31/51/840533151.db2.gz FRROYRDGDBELPU-LBPRGKRZSA-N 0 1 250.342 0.579 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C(C)(C)NC(C)=O)C1 ZINC001186384715 844549439 /nfs/dbraw/zinc/54/94/39/844549439.db2.gz VAOOBQAXONNCKE-LLVKDONJSA-N 0 1 287.791 0.844 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC2(CN(C(=O)C#CC(C)C)C2)C1 ZINC001147523536 840615697 /nfs/dbraw/zinc/61/56/97/840615697.db2.gz VRGFEKRVTWKNEP-ZDUSSCGKSA-N 0 1 291.395 0.444 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN[C@@H](C)c2cnccn2)nc1 ZINC001148370014 840794515 /nfs/dbraw/zinc/79/45/15/840794515.db2.gz DZAHEHCRSIYEGN-LBPRGKRZSA-N 0 1 295.346 0.934 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H]2CCN(C(C)=O)[C@@H]2C1 ZINC001186620752 844575613 /nfs/dbraw/zinc/57/56/13/844575613.db2.gz LQIOLBYQEBTVCF-WCFLWFBJSA-N 0 1 265.357 0.230 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@](C)(O)C=C)C1 ZINC001149622497 841009432 /nfs/dbraw/zinc/00/94/32/841009432.db2.gz ANKVYLODAAWKDE-UKRRQHHQSA-N 0 1 282.384 0.707 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cn(C)ccc2=O)[C@H](C)C1 ZINC001092813821 841074136 /nfs/dbraw/zinc/07/41/36/841074136.db2.gz ZXODVBDKFIRHMD-CHWSQXEVSA-N 0 1 287.363 0.316 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1ccccc1N1CCN(C)CC1 ZINC001186904751 844618945 /nfs/dbraw/zinc/61/89/45/844618945.db2.gz BUSJDUVZEIDGSN-ZDUSSCGKSA-N 0 1 289.379 0.715 20 30 CCEDMN Cc1cc(NCCNC(=O)[C@@H]2CCCN2C)c(C#N)cn1 ZINC001093530628 841312155 /nfs/dbraw/zinc/31/21/55/841312155.db2.gz AFRNYBMZGFQKQP-AWEZNQCLSA-N 0 1 287.367 0.306 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNc1ncnc2[nH]cnc21 ZINC001093572619 841344241 /nfs/dbraw/zinc/34/42/41/841344241.db2.gz UTJMCZDDRRQBJP-CYBMUJFWSA-N 0 1 290.327 0.160 20 30 CCEDMN Cc1cc(C#N)nc(NCCNC(=O)CCc2cnc[nH]2)n1 ZINC001094224798 841572144 /nfs/dbraw/zinc/57/21/44/841572144.db2.gz BIGHYTQZQUGSEP-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN Cc1nc(CC(=O)NCCCNc2ncccc2C#N)n[nH]1 ZINC001094401794 841629035 /nfs/dbraw/zinc/62/90/35/841629035.db2.gz KKBRHYUWPQZLAA-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN N#Cc1cncc(NCCCNC(=O)CN2CCCC2)n1 ZINC001094434281 841633492 /nfs/dbraw/zinc/63/34/92/841633492.db2.gz AAYBWXRFGWQEAK-UHFFFAOYSA-N 0 1 288.355 0.362 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)CN3CCCC3)CC[C@@H]21 ZINC001036896859 841662919 /nfs/dbraw/zinc/66/29/19/841662919.db2.gz VRYYHIMGLQWMDC-KBPBESRZSA-N 0 1 276.384 0.529 20 30 CCEDMN CCN1CC[C@@H](N2CCC(C#N)(C(=O)OC)CC2)CC1=O ZINC001172051937 841828446 /nfs/dbraw/zinc/82/84/46/841828446.db2.gz WVXOPIMUXDETFR-GFCCVEGCSA-N 0 1 293.367 0.776 20 30 CCEDMN Cc1ccc(C#N)c(NCCCNC(=O)c2cnn[nH]2)n1 ZINC001094881325 841865513 /nfs/dbraw/zinc/86/55/13/841865513.db2.gz FZXXQTLBTQWDHB-UHFFFAOYSA-N 0 1 285.311 0.612 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(C)c1)C2 ZINC001095160175 842079801 /nfs/dbraw/zinc/07/98/01/842079801.db2.gz IELFARQYWOECMQ-AGIUHOORSA-N 0 1 260.341 0.941 20 30 CCEDMN N#CCc1cccc(NC(=O)CCc2c[nH]nn2)n1 ZINC001175837699 842284728 /nfs/dbraw/zinc/28/47/28/842284728.db2.gz KVKIVYHHIRLRAT-UHFFFAOYSA-N 0 1 256.269 0.837 20 30 CCEDMN N#CCc1cccc(NC(=O)CCc2cnn[nH]2)n1 ZINC001175837699 842284738 /nfs/dbraw/zinc/28/47/38/842284738.db2.gz KVKIVYHHIRLRAT-UHFFFAOYSA-N 0 1 256.269 0.837 20 30 CCEDMN CCOC(=O)CO/N=C(\C(=O)N1CC[C@H]1C)c1cc[nH]n1 ZINC001177257360 842535002 /nfs/dbraw/zinc/53/50/02/842535002.db2.gz PDJOCJGDGHEZCT-RESDFBCNSA-N 0 1 294.311 0.314 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1ncnn1CC ZINC001177269821 842541288 /nfs/dbraw/zinc/54/12/88/842541288.db2.gz WKUTWVZTXUURCQ-LBPRGKRZSA-N 0 1 295.387 0.485 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1nonc1C ZINC001177269490 842541336 /nfs/dbraw/zinc/54/13/36/842541336.db2.gz OMAAZNLGIQJCOJ-NSHDSACASA-N 0 1 282.344 0.565 20 30 CCEDMN CO/N=C(\C(=O)N1CC(N(C)C)C1)c1ccco1 ZINC001177348904 842560303 /nfs/dbraw/zinc/56/03/03/842560303.db2.gz YONSQDQRJYBEMC-QBFSEMIESA-N 0 1 251.286 0.403 20 30 CCEDMN O=C(C#Cc1ccccn1)N1CCN(C2CCOCC2)CC1 ZINC001177801778 842680747 /nfs/dbraw/zinc/68/07/47/842680747.db2.gz JPHLPSTZRDLCHL-UHFFFAOYSA-N 0 1 299.374 0.756 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CCN(C(N)=O)CC1 ZINC001177908918 842705325 /nfs/dbraw/zinc/70/53/25/842705325.db2.gz GIQJWXOVEBUBOU-JTQLQIEISA-N 0 1 292.364 0.653 20 30 CCEDMN C#CCNC(=O)Cc1n[nH]c(Cc2ccccc2)n1 ZINC001178419715 842846068 /nfs/dbraw/zinc/84/60/68/842846068.db2.gz PANUZYDJFCJSPC-UHFFFAOYSA-N 0 1 254.293 0.687 20 30 CCEDMN CCOC(=O)[C@H]1c2[nH]cnc2CCN1C(=O)[C@H](C)C#N ZINC001179895996 843070752 /nfs/dbraw/zinc/07/07/52/843070752.db2.gz MXVGZTQROCVHCI-LDYMZIIASA-N 0 1 276.296 0.558 20 30 CCEDMN CCOC(=O)[C@H]1c2nc[nH]c2CCN1C(=O)C(C)C#N ZINC001179895996 843070766 /nfs/dbraw/zinc/07/07/66/843070766.db2.gz MXVGZTQROCVHCI-LDYMZIIASA-N 0 1 276.296 0.558 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001179901659 843076858 /nfs/dbraw/zinc/07/68/58/843076858.db2.gz OOYYREFCDCDTLN-JHJVBQTASA-N 0 1 265.357 0.906 20 30 CCEDMN C#CC1(O)CN(C(=O)c2ccc(Cl)c(O)c2)C1 ZINC001179982789 843091262 /nfs/dbraw/zinc/09/12/62/843091262.db2.gz SXUHBZOUWXHSQY-UHFFFAOYSA-N 0 1 251.669 0.866 20 30 CCEDMN C=CCSCC(=O)N1CC(N(C)[C@H]2CCOC2)C1 ZINC001180560140 843207043 /nfs/dbraw/zinc/20/70/43/843207043.db2.gz VNDVYHLTGDXISY-NSHDSACASA-N 0 1 270.398 0.837 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)[C@@H](C)N1CCCC1 ZINC001180586918 843222040 /nfs/dbraw/zinc/22/20/40/843222040.db2.gz ZTVYVMLVKNTRBI-BDAKNGLRSA-N 0 1 260.359 0.058 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@@H](C)[C@](C)(CNCC#N)C2)[nH]1 ZINC001180885612 843310230 /nfs/dbraw/zinc/31/02/30/843310230.db2.gz SKBBBBZKQPQGFJ-QMTHXVAHSA-N 0 1 275.356 0.930 20 30 CCEDMN C[C@@H](C(=O)Nc1cc(C#N)c(C#N)cc1N)N(C)C ZINC001180863425 843328296 /nfs/dbraw/zinc/32/82/96/843328296.db2.gz ZHNSLPGIRVOKJA-QMMMGPOBSA-N 0 1 257.297 0.901 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@H](NCc2ccns2)C1 ZINC001182514857 843849063 /nfs/dbraw/zinc/84/90/63/843849063.db2.gz VOKGSLVOBWGGPW-GFCCVEGCSA-N 0 1 293.392 0.874 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H](NCc2cnnn2C)C1 ZINC001182934006 843943931 /nfs/dbraw/zinc/94/39/31/843943931.db2.gz ZTJCWURXELDEOB-GFCCVEGCSA-N 0 1 277.372 0.862 20 30 CCEDMN C=C[C@@H]1C[C@@]1(NC(=O)C(O)C(F)(F)F)C(=O)OCC ZINC001183201925 843984321 /nfs/dbraw/zinc/98/43/21/843984321.db2.gz REHJGPBMXUXFFX-FWWHASMVSA-N 0 1 281.230 0.534 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](CC)OCC)[C@@H]2C1 ZINC001187101143 844650452 /nfs/dbraw/zinc/65/04/52/844650452.db2.gz JCWFRYZRJBIEQC-BFHYXJOUSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]2CN([C@@H](CC)C(N)=O)C[C@H]21 ZINC001187240683 844675536 /nfs/dbraw/zinc/67/55/36/844675536.db2.gz WJFGXSUTXNIEQG-RWMBFGLXSA-N 0 1 279.384 0.749 20 30 CCEDMN COC(=O)n1ncc(C#N)c1NC(=O)c1cn[nH]c1C ZINC001188061248 844824904 /nfs/dbraw/zinc/82/49/04/844824904.db2.gz GNCSCZPZJYKLNK-UHFFFAOYSA-N 0 1 274.240 0.653 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CC[C@@H](NCc2cnnn2C)C1 ZINC001188310549 844865100 /nfs/dbraw/zinc/86/51/00/844865100.db2.gz ZDYDPYDWEPCDSI-VXGBXAGGSA-N 0 1 293.371 0.097 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C2CC2)C1 ZINC001188573304 844923110 /nfs/dbraw/zinc/92/31/10/844923110.db2.gz SQTWTJPQIMIKGC-WCQYABFASA-N 0 1 277.368 0.067 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C2CCC2)C1 ZINC001188579247 844925579 /nfs/dbraw/zinc/92/55/79/844925579.db2.gz BHPWVLWTZJQCKJ-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnccn2)C1 ZINC001188675355 844944882 /nfs/dbraw/zinc/94/48/82/844944882.db2.gz MAXLLDZAGNVBGK-GFCCVEGCSA-N 0 1 258.325 0.646 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)COCC)C1 ZINC001188763640 844970538 /nfs/dbraw/zinc/97/05/38/844970538.db2.gz DBPCBMKLEVUPDM-STQMWFEESA-N 0 1 297.399 0.246 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCn2cncn2)C1 ZINC001188898254 844993880 /nfs/dbraw/zinc/99/38/80/844993880.db2.gz SHKNWNGZTOFYMM-CYBMUJFWSA-N 0 1 275.356 0.224 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCOCC)C1 ZINC001189032260 845026487 /nfs/dbraw/zinc/02/64/87/845026487.db2.gz SPUPQSRGPUTRIK-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CNC(=O)CCC)C1 ZINC001189169119 845079213 /nfs/dbraw/zinc/07/92/13/845079213.db2.gz GYEAPKUQDBPWEN-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@@H]2CCCNC2=O)C1 ZINC001189279101 845090661 /nfs/dbraw/zinc/09/06/61/845090661.db2.gz FVRPMXANERBHDK-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCOC2)C1 ZINC001189302268 845100589 /nfs/dbraw/zinc/10/05/89/845100589.db2.gz WFSUSQNQRXGQLH-CABCVRRESA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@@H]2CCN(C)C2=O)C1 ZINC001189319442 845108005 /nfs/dbraw/zinc/10/80/05/845108005.db2.gz ZRDLSXVSYZVNRL-QWHCGFSZSA-N 0 1 279.384 0.716 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cn(C)cn2)C1 ZINC001189651472 845190659 /nfs/dbraw/zinc/19/06/59/845190659.db2.gz HXKGFRBIFBECHI-LBPRGKRZSA-N 0 1 260.341 0.590 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CO[C@@H](C)CC)C1 ZINC001189716266 845196416 /nfs/dbraw/zinc/19/64/16/845196416.db2.gz IAFDTBWEWBJFMJ-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C=C[C@@H]1C[C@]1(NC(=O)c1nnc(C)[nH]1)C(=O)OCC ZINC001189870450 845237282 /nfs/dbraw/zinc/23/72/82/845237282.db2.gz JKFPJBHUQFTHBS-PRHODGIISA-N 0 1 264.285 0.351 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCN(C)C(C)=O)[C@@H]2C1 ZINC001189962049 845299147 /nfs/dbraw/zinc/29/91/47/845299147.db2.gz HSDZGHRHYMNKPS-LSDHHAIUSA-N 0 1 291.395 0.411 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ncc[nH]2)C1 ZINC001190005328 845310347 /nfs/dbraw/zinc/31/03/47/845310347.db2.gz ZJLWJJIJUAMGTP-GFCCVEGCSA-N 0 1 276.340 0.206 20 30 CCEDMN CCc1[nH]ncc1C(=O)Nc1nc(C#N)c(C#N)nc1N ZINC001190131893 845343850 /nfs/dbraw/zinc/34/38/50/845343850.db2.gz IDEYNCILANNLQF-UHFFFAOYSA-N 0 1 282.267 0.340 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cn3c(n2)CCC3)C1 ZINC001190239936 845371590 /nfs/dbraw/zinc/37/15/90/845371590.db2.gz XWBGNLPNBKGLTL-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](C)CCOC)[C@@H]2C1 ZINC001190270921 845381811 /nfs/dbraw/zinc/38/18/11/845381811.db2.gz ANLPIHRORZRUOW-HZSPNIEDSA-N 0 1 264.369 0.825 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCCC(=O)NC)[C@@H]2C1 ZINC001190417260 845402183 /nfs/dbraw/zinc/40/21/83/845402183.db2.gz VFPXUGROHOKFHW-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COCCC=C)[C@@H]2C1 ZINC001190425437 845403615 /nfs/dbraw/zinc/40/36/15/845403615.db2.gz YHVBDSWMSLNGSC-UONOGXRCSA-N 0 1 262.353 0.745 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001190454917 845405806 /nfs/dbraw/zinc/40/58/06/845405806.db2.gz UESULBDJRORCGN-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CN(C)C(=O)C(C)C)C1 ZINC001190463285 845407068 /nfs/dbraw/zinc/40/70/68/845407068.db2.gz RYNMMGHADWTLSW-AWEZNQCLSA-N 0 1 293.411 0.657 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)/C=C\c2ccco2)C1 ZINC001190700201 845457674 /nfs/dbraw/zinc/45/76/74/845457674.db2.gz FOETYDBXBZQTKT-NTQVKLLNSA-N 0 1 262.309 0.640 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)c2ccccc2)C1 ZINC001190676502 845461155 /nfs/dbraw/zinc/46/11/55/845461155.db2.gz QMOLRQXLUWAFAY-HUUCEWRRSA-N 0 1 290.363 0.664 20 30 CCEDMN N#CC1(NS(=O)(=O)c2ncc[nH]2)Cc2ccccc2C1 ZINC001190762550 845476096 /nfs/dbraw/zinc/47/60/96/845476096.db2.gz LVHDENUHIOOUCD-UHFFFAOYSA-N 0 1 288.332 0.749 20 30 CCEDMN C=CCC1(S(=O)(=O)NC[C@H]2CC[N@H+](C)C2)CC1 ZINC001190821378 845509318 /nfs/dbraw/zinc/50/93/18/845509318.db2.gz BCEFPDAVCFQEBL-LLVKDONJSA-N 0 1 258.387 0.966 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CCn2cccn2)C1 ZINC001191097990 845579331 /nfs/dbraw/zinc/57/93/31/845579331.db2.gz XNRUIHALSVTVAI-ZIAGYGMSSA-N 0 1 292.383 0.401 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2csnn2)C1 ZINC001191587266 845686754 /nfs/dbraw/zinc/68/67/54/845686754.db2.gz SZOOANNZYLKZJF-JTQLQIEISA-N 0 1 264.354 0.708 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001191674256 845705796 /nfs/dbraw/zinc/70/57/96/845705796.db2.gz FPJAJTKSHBNVMY-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCc2cnn(C)n2)C1 ZINC001192377229 845831858 /nfs/dbraw/zinc/83/18/58/845831858.db2.gz JJOXXYHIGOCLQT-CQSZACIVSA-N 0 1 289.383 0.304 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CC[C@@H](C)F)C[C@H]1O ZINC001192760942 845903192 /nfs/dbraw/zinc/90/31/92/845903192.db2.gz AIPHAHRNNWFOFM-JHJVBQTASA-N 0 1 270.348 0.555 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC2(O)CCC2)C1 ZINC001192785423 845906845 /nfs/dbraw/zinc/90/68/45/845906845.db2.gz VOOFYHXEUISRPX-VXGBXAGGSA-N 0 1 268.357 0.029 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(C[C@H]2CCCCO2)C[C@H]1O ZINC001192828224 845915792 /nfs/dbraw/zinc/91/57/92/845915792.db2.gz ZRLQJGNCGGZJRO-RBSFLKMASA-N 0 1 292.379 0.130 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CCC(C)C)C[C@H]1O ZINC001192844211 845922348 /nfs/dbraw/zinc/92/23/48/845922348.db2.gz LYXNDEPOWQPARW-BFHYXJOUSA-N 0 1 282.384 0.232 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001193056092 845977087 /nfs/dbraw/zinc/97/70/87/845977087.db2.gz GREXQCCJXORDNS-LBPRGKRZSA-N 0 1 288.351 0.283 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cnc2c(c1)COCC2 ZINC001193095203 845992949 /nfs/dbraw/zinc/99/29/49/845992949.db2.gz RSWHOPXUSQKYSX-QMMMGPOBSA-N 0 1 267.310 0.808 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cccc(S(C)(=O)=O)c1 ZINC001193139351 846003153 /nfs/dbraw/zinc/00/31/53/846003153.db2.gz CNXRRRLENHWVGL-MRVPVSSYSA-N 0 1 288.350 0.744 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cccc2c1CNC(=O)N2C ZINC001193157666 846007680 /nfs/dbraw/zinc/00/76/80/846007680.db2.gz HKZAEDCFOGIVMQ-MRVPVSSYSA-N 0 1 294.336 1.000 20 30 CCEDMN N#Cc1cccc(NS(=O)(=O)C[C@H]2CCCO2)n1 ZINC001193205429 846017929 /nfs/dbraw/zinc/01/79/29/846017929.db2.gz HMPFPBFNMUHGOA-SNVBAGLBSA-N 0 1 267.310 0.874 20 30 CCEDMN N#Cc1cc(C(=O)Nc2nccnc2C(N)=O)ccc1O ZINC001193497856 846094042 /nfs/dbraw/zinc/09/40/42/846094042.db2.gz DFUZJUQPGSZNRS-UHFFFAOYSA-N 0 1 283.247 0.405 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN3C(=O)CC[C@@H]3C2)ccc1O ZINC001193503534 846099495 /nfs/dbraw/zinc/09/94/95/846099495.db2.gz AMMHBYPMAVEDOE-GFCCVEGCSA-N 0 1 285.303 0.711 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCCC(=O)N(C)C)C1 ZINC001193543655 846099556 /nfs/dbraw/zinc/09/95/56/846099556.db2.gz XBSUKEIRAMMAIC-AWEZNQCLSA-N 0 1 293.411 0.801 20 30 CCEDMN C[C@@H]1COCCN1CCNC(=O)c1ccc(O)c(C#N)c1 ZINC001193515339 846102477 /nfs/dbraw/zinc/10/24/77/846102477.db2.gz MRSPMJBATBEAMQ-LLVKDONJSA-N 0 1 289.335 0.714 20 30 CCEDMN Cn1cnc(CCNC(=O)c2ccc(O)c(C#N)c2)c1 ZINC001193512836 846102973 /nfs/dbraw/zinc/10/29/73/846102973.db2.gz UDMXDYUUNKBZIL-UHFFFAOYSA-N 0 1 270.292 0.970 20 30 CCEDMN N#Cc1cc(C(=O)NCCN2CC3(COC3)C2)ccc1O ZINC001193514635 846103603 /nfs/dbraw/zinc/10/36/03/846103603.db2.gz FYCJZOIJKZYCMX-UHFFFAOYSA-N 0 1 287.319 0.326 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2C[N@@H+](CC(=C)C)C[C@H]2O)CCC1 ZINC001193686159 846143623 /nfs/dbraw/zinc/14/36/23/846143623.db2.gz VTHDMWSSGWYPIZ-ZIAGYGMSSA-N 0 1 276.380 0.917 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(CC(=C)C)C[C@H]2O)CCC1 ZINC001193686159 846143625 /nfs/dbraw/zinc/14/36/25/846143625.db2.gz VTHDMWSSGWYPIZ-ZIAGYGMSSA-N 0 1 276.380 0.917 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2[nH]cnc2C)C1 ZINC001193696064 846146268 /nfs/dbraw/zinc/14/62/68/846146268.db2.gz PVVPVPYYSNLCIJ-CYBMUJFWSA-N 0 1 274.368 0.817 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)c2ccco2)C1 ZINC001193854903 846168909 /nfs/dbraw/zinc/16/89/09/846168909.db2.gz JRBDLUDXDAMHIN-GFCCVEGCSA-N 0 1 289.335 0.175 20 30 CCEDMN Cc1cc(O)cc(O)c1C(=O)n1nc(N)c(C#N)c1N ZINC001193922228 846185508 /nfs/dbraw/zinc/18/55/08/846185508.db2.gz GGMSOMSAIXGTHG-UHFFFAOYSA-N 0 1 273.252 0.327 20 30 CCEDMN C#CCN(C)[C@H]1CN(C(=O)OCc2ccccc2)C[C@H]1O ZINC001194058435 846207063 /nfs/dbraw/zinc/20/70/63/846207063.db2.gz PLFQKNWYAHSFMF-LSDHHAIUSA-N 0 1 288.347 0.933 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](NC(C)=O)[C@@H](C)CC)C1 ZINC001194174397 846231235 /nfs/dbraw/zinc/23/12/35/846231235.db2.gz SLHBSPBXLBMMMB-QEJZJMRPSA-N 0 1 293.411 0.703 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)OCCOCC)C1 ZINC001194239215 846245001 /nfs/dbraw/zinc/24/50/01/846245001.db2.gz WRIMQGGQXXSWQB-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CNC(=O)C2CCC2)C1 ZINC001194255574 846251795 /nfs/dbraw/zinc/25/17/95/846251795.db2.gz JBGSTBHKCDWJRI-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCOCCOC)C1 ZINC001194419344 846289902 /nfs/dbraw/zinc/28/99/02/846289902.db2.gz GUSJIGQSWBCNDQ-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H](C)OCC)CC1 ZINC001194956474 846427385 /nfs/dbraw/zinc/42/73/85/846427385.db2.gz SRJBIKSVSDALCH-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)C(=C)C)C1 ZINC001195255634 846485787 /nfs/dbraw/zinc/48/57/87/846485787.db2.gz XDIVGFPYDYDGOA-CHWSQXEVSA-N 0 1 264.369 0.773 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)C=C)C1 ZINC001195312345 846501531 /nfs/dbraw/zinc/50/15/31/846501531.db2.gz NAKFAXJDKISTHA-CHWSQXEVSA-N 0 1 282.384 0.563 20 30 CCEDMN C#CCN1CCC2(CCN(CC(=O)NCC)CC2)C1=O ZINC001273698455 846523369 /nfs/dbraw/zinc/52/33/69/846523369.db2.gz IUWOXMYJWAOFBK-UHFFFAOYSA-N 0 1 277.368 0.070 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCC2(C)C)C1 ZINC001195443722 846531438 /nfs/dbraw/zinc/53/14/38/846531438.db2.gz JZFPDZBKRMBFFN-MGPQQGTHSA-N 0 1 296.411 0.787 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2cnc(N)cn2)c(O)c1 ZINC001195733626 846604928 /nfs/dbraw/zinc/60/49/28/846604928.db2.gz UPLZENUIOGHIHS-UHFFFAOYSA-N 0 1 255.237 0.888 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@H](O)C(C)C)CC1 ZINC001195702519 846606491 /nfs/dbraw/zinc/60/64/91/846606491.db2.gz DPGANYUHVCFKHK-CQSZACIVSA-N 0 1 284.400 0.740 20 30 CCEDMN C[C@H]1CN(C)C(=O)CN1C(=O)c1ccc(C#N)cc1O ZINC001195750287 846620923 /nfs/dbraw/zinc/62/09/23/846620923.db2.gz IARWJYUYLGYRKQ-VIFPVBQESA-N 0 1 273.292 0.567 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)C(F)(F)F)C1 ZINC001195814752 846623187 /nfs/dbraw/zinc/62/31/87/846623187.db2.gz TYEBDLUSFUEIQM-GMTAPVOTSA-N 0 1 292.301 0.760 20 30 CCEDMN C=CCN1CCCN(C(=O)CN(C)C(=O)C2CC2)CC1 ZINC001196052342 846674395 /nfs/dbraw/zinc/67/43/95/846674395.db2.gz AVDWOBXYEGQFJE-UHFFFAOYSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccco2)C1 ZINC001197081737 846839256 /nfs/dbraw/zinc/83/92/56/846839256.db2.gz BBSPQURHSCXKNA-ZIAGYGMSSA-N 0 1 294.351 0.186 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)CCOC)C1 ZINC001197381757 846897688 /nfs/dbraw/zinc/89/76/88/846897688.db2.gz KGVDJAUDBHLIRV-CHWSQXEVSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCN1CCCN(C(=O)C2(C(=O)N(C)C)CC2)CC1 ZINC001197778128 846960775 /nfs/dbraw/zinc/96/07/75/846960775.db2.gz VSOOVTUJRXACSU-UHFFFAOYSA-N 0 1 279.384 0.575 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1[nH]nc2c1CC[C@@H]2C ZINC001198463536 847116484 /nfs/dbraw/zinc/11/64/84/847116484.db2.gz NSNCZFGCISUVOR-IONNQARKSA-N 0 1 276.296 0.644 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)c1cnccc1N(C)C ZINC001199353343 847295768 /nfs/dbraw/zinc/29/57/68/847295768.db2.gz OHEHNWPFAVOLSV-UHFFFAOYSA-N 0 1 290.367 0.960 20 30 CCEDMN CCc1cc(C(=O)N2CCCO[C@H](CNCC#N)C2)n[nH]1 ZINC001199924393 847480590 /nfs/dbraw/zinc/48/05/90/847480590.db2.gz SXHFGLNHMTZNQN-GFCCVEGCSA-N 0 1 291.355 0.316 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)C1CCC1 ZINC001273798370 847489956 /nfs/dbraw/zinc/48/99/56/847489956.db2.gz IXJWLOATAZDDED-ONEGZZNKSA-N 0 1 265.357 0.351 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC(NCc2cnon2)CC1 ZINC001200335234 847608321 /nfs/dbraw/zinc/60/83/21/847608321.db2.gz YYBBUSCELTUCSV-AWEZNQCLSA-N 0 1 294.355 0.477 20 30 CCEDMN C[N@H+]1CCCC[C@@H]1C(=O)N1CCCO[C@@H](CNCC#N)C1 ZINC001200805925 847694500 /nfs/dbraw/zinc/69/45/00/847694500.db2.gz NKMNRAHIKDJIES-UONOGXRCSA-N 0 1 294.399 0.201 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCCO[C@@H](CNCC#N)C1 ZINC001200805925 847694507 /nfs/dbraw/zinc/69/45/07/847694507.db2.gz NKMNRAHIKDJIES-UONOGXRCSA-N 0 1 294.399 0.201 20 30 CCEDMN C=CCN1C(=O)COCC12CN(C[C@@H](O)C(C)(C)C)C2 ZINC001273891324 847753274 /nfs/dbraw/zinc/75/32/74/847753274.db2.gz IQAVJMIEWJWDJB-GFCCVEGCSA-N 0 1 282.384 0.493 20 30 CCEDMN C[C@H](C#N)C(=O)NC/C=C/CNCC(=O)N(C)C1CC1 ZINC001273909902 847819653 /nfs/dbraw/zinc/81/96/53/847819653.db2.gz LEYPINPQHAIDIF-PGLGOXFNSA-N 0 1 278.356 0.029 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(O)(CC=C)CC1 ZINC000717425313 848261403 /nfs/dbraw/zinc/26/14/03/848261403.db2.gz TZHOBLAJKHXNRT-LBPRGKRZSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnnn1C)C2 ZINC001095372182 848269418 /nfs/dbraw/zinc/26/94/18/848269418.db2.gz GIPMTCXGZNYAOD-VWYCJHECSA-N 0 1 261.329 0.336 20 30 CCEDMN C=CCN1C[C@]2(CC1=O)CCCCN2CCNC(C)=O ZINC001274000364 848273792 /nfs/dbraw/zinc/27/37/92/848273792.db2.gz SFQFDSMZQZVHGN-OAHLLOKOSA-N 0 1 279.384 0.766 20 30 CCEDMN C=C[C@H](CO)NCCS(=O)(=O)C1CCCCC1 ZINC000717543271 848275395 /nfs/dbraw/zinc/27/53/95/848275395.db2.gz HNSHDLDSAGLKEL-LLVKDONJSA-N 0 1 261.387 0.870 20 30 CCEDMN C=C[C@H](O)CNc1ccc(N2CCN(C)CC2)nc1 ZINC001253568161 848326415 /nfs/dbraw/zinc/32/64/15/848326415.db2.gz CJHPHWYRLPKEED-ZDUSSCGKSA-N 0 1 262.357 0.792 20 30 CCEDMN C=CCN1c2ccccc2[C@@]2(CCN(CC(=O)NC)C2)C1=O ZINC001274071490 848341046 /nfs/dbraw/zinc/34/10/46/848341046.db2.gz INKHRRNVAPBPCD-KRWDZBQOSA-N 0 1 299.374 0.909 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC/C=C/CN[C@H]1CCNC1=O ZINC001274340883 848455803 /nfs/dbraw/zinc/45/58/03/848455803.db2.gz YFTAJSRSKOOZQI-AXGJVXDSSA-N 0 1 279.384 0.739 20 30 CCEDMN C=C(C)CN1CC[C@]2(CCN(Cc3nnc[nH]3)C2)C1=O ZINC001274356747 848460600 /nfs/dbraw/zinc/46/06/00/848460600.db2.gz XYIVLIWVFUHVMD-AWEZNQCLSA-N 0 1 275.356 0.805 20 30 CCEDMN C=CCOCC(=O)N1CCN(C2CN(CC)C2)CC1 ZINC001274467410 848483563 /nfs/dbraw/zinc/48/35/63/848483563.db2.gz BETASDQHUFFGSD-UHFFFAOYSA-N 0 1 267.373 0.037 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)[C@H](C)COC)CC2)C1 ZINC001274467895 848484641 /nfs/dbraw/zinc/48/46/41/848484641.db2.gz LDFNUCMNWMATSA-CQSZACIVSA-N 0 1 295.427 0.673 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3cnc(C)[nH]3)C2)OCC1=O ZINC001274575107 848511155 /nfs/dbraw/zinc/51/11/55/848511155.db2.gz BVBNBBWFMUIXNT-HNNXBMFYSA-N 0 1 288.351 0.155 20 30 CCEDMN C#CCN1CC[C@]2(CCN(c3ncnc4[nH]cnc43)C2)C1=O ZINC001274672412 848538804 /nfs/dbraw/zinc/53/88/04/848538804.db2.gz AXLOAAQEYFBAOX-OAHLLOKOSA-N 0 1 296.334 0.415 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1cn(C)nc1C ZINC001275521676 848748761 /nfs/dbraw/zinc/74/87/61/848748761.db2.gz VDICXZSEOOSTSL-GFCCVEGCSA-N 0 1 292.383 0.428 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnn(CCF)c1 ZINC001275599396 848771662 /nfs/dbraw/zinc/77/16/62/848771662.db2.gz GSLNJOUEOOWCHG-LLVKDONJSA-N 0 1 266.320 0.536 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cnc[nH]c1=O ZINC001275858301 848848388 /nfs/dbraw/zinc/84/83/88/848848388.db2.gz IHTIKRXQCFUSLN-JTQLQIEISA-N 0 1 262.313 0.256 20 30 CCEDMN CC[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)N(C)CC#CCOC ZINC001275887285 848856889 /nfs/dbraw/zinc/85/68/89/848856889.db2.gz MFGGSHQPKBOQTK-ZNMIVQPWSA-N 0 1 296.411 0.888 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)CCn1ccnc1 ZINC001275897638 848859731 /nfs/dbraw/zinc/85/97/31/848859731.db2.gz RIYODGZBFOIFLC-AWEZNQCLSA-N 0 1 292.383 0.360 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cccnc1OC ZINC001275919212 848866601 /nfs/dbraw/zinc/86/66/01/848866601.db2.gz AQMCZNKZHYPJOC-LLVKDONJSA-N 0 1 261.325 0.774 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H]1CC(=O)N(CCC)C1 ZINC001275946862 848871690 /nfs/dbraw/zinc/87/16/90/848871690.db2.gz SYBOLFCQKNHSGU-KBPBESRZSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H](COC)OC)CC1 ZINC001161154403 848907784 /nfs/dbraw/zinc/90/77/84/848907784.db2.gz ABQAFHNSMBBKHB-CQSZACIVSA-N 0 1 280.368 0.419 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOCCO ZINC001110898551 849017469 /nfs/dbraw/zinc/01/74/69/849017469.db2.gz JUORQFKIXRQLDT-MCIONIFRSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)OCCOC)C2 ZINC001111091978 849068604 /nfs/dbraw/zinc/06/86/04/849068604.db2.gz FDFYSNNTLJKRJD-DGAVXFQQSA-N 0 1 282.384 0.945 20 30 CCEDMN Cn1cnnc1CNC1CC(CNC(=O)C#CC2CC2)C1 ZINC001100313696 849173977 /nfs/dbraw/zinc/17/39/77/849173977.db2.gz LCNYHXRPXUWHPQ-UHFFFAOYSA-N 0 1 287.367 0.213 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C1CN(CCn2cccn2)C1 ZINC001276376070 849796671 /nfs/dbraw/zinc/79/66/71/849796671.db2.gz KKTDGVAOKFHGRW-CQSZACIVSA-N 0 1 288.395 0.979 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038162829 849804043 /nfs/dbraw/zinc/80/40/43/849804043.db2.gz YUXYPYPLKDDBER-JTQLQIEISA-N 0 1 264.329 0.804 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]cn1 ZINC001038366163 849867202 /nfs/dbraw/zinc/86/72/02/849867202.db2.gz HNLPOBDIUBIEIB-JHJVBQTASA-N 0 1 272.352 0.727 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)NC[C@@H]1CCN1CC#N)C2 ZINC001038432397 849895276 /nfs/dbraw/zinc/89/52/76/849895276.db2.gz VDVBWSFLBMQMNG-NEPJUHHUSA-N 0 1 287.367 0.537 20 30 CCEDMN N#Cc1ccccc1CN1CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001038457403 849908839 /nfs/dbraw/zinc/90/88/39/849908839.db2.gz PBDZYJFDKVZPNB-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCCN(C(N)=O)C1 ZINC001038484892 849921715 /nfs/dbraw/zinc/92/17/15/849921715.db2.gz LYJKWOGDTHPNIM-STQMWFEESA-N 0 1 294.399 0.544 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc2nnnn2c1 ZINC001038520025 849929360 /nfs/dbraw/zinc/92/93/60/849929360.db2.gz ZRWIOQZGKVSVKC-GFCCVEGCSA-N 0 1 286.339 0.505 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cn(CC=C)nn1 ZINC001038780460 850014639 /nfs/dbraw/zinc/01/46/39/850014639.db2.gz COZWDSCPNVHCLP-GFCCVEGCSA-N 0 1 275.356 0.844 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001038857931 850052561 /nfs/dbraw/zinc/05/25/61/850052561.db2.gz AIQHYNQECJAIRJ-RYUDHWBXSA-N 0 1 286.379 0.972 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(C(N)=O)ccn1 ZINC001039094672 850156082 /nfs/dbraw/zinc/15/60/82/850156082.db2.gz FKVDNXZDYWEJPP-GFCCVEGCSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]([C@@H]2CCCCN2C(=O)c2cnn[n-]2)C1 ZINC001039215434 850164938 /nfs/dbraw/zinc/16/49/38/850164938.db2.gz WUTAOBHXXWRFML-OCCSQVGLSA-N 0 1 287.367 0.755 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CN(C)CCO1 ZINC001039350564 850177097 /nfs/dbraw/zinc/17/70/97/850177097.db2.gz JCTHCOWIYWYLBD-QLFBSQMISA-N 0 1 293.411 0.568 20 30 CCEDMN C=C(C)CN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(N)=O ZINC001039426751 850189564 /nfs/dbraw/zinc/18/95/64/850189564.db2.gz QEAOBXVQRPQQCK-MNOVXSKESA-N 0 1 251.330 0.113 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C(N)=O)CC1 ZINC001039428069 850189945 /nfs/dbraw/zinc/18/99/45/850189945.db2.gz VZWWAUNSUQYCEJ-OLZOCXBDSA-N 0 1 289.379 0.341 20 30 CCEDMN N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCc1c[nH]nn1 ZINC001039438557 850191130 /nfs/dbraw/zinc/19/11/30/850191130.db2.gz APMXYTSUUSRCQZ-OLZOCXBDSA-N 0 1 288.355 0.326 20 30 CCEDMN N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCc1cnn[nH]1 ZINC001039438557 850191137 /nfs/dbraw/zinc/19/11/37/850191137.db2.gz APMXYTSUUSRCQZ-OLZOCXBDSA-N 0 1 288.355 0.326 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001041535358 850462250 /nfs/dbraw/zinc/46/22/50/850462250.db2.gz GKUIBHCDYLPZKL-MRXNPFEDSA-N 0 1 293.411 0.998 20 30 CCEDMN N#CCN1CC[C@]2(CCN(C(=O)CN3CCCC3)C2)C1 ZINC001041832983 850509112 /nfs/dbraw/zinc/50/91/12/850509112.db2.gz JQVMQFGQSMZLDS-HNNXBMFYSA-N 0 1 276.384 0.530 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnsn3)C[C@H]21 ZINC001041956141 850539637 /nfs/dbraw/zinc/53/96/37/850539637.db2.gz ZDJBNORLLBVJNU-ZYHUDNBSSA-N 0 1 276.365 0.708 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccc(C)nn3)C[C@@H]21 ZINC001042057749 850572838 /nfs/dbraw/zinc/57/28/38/850572838.db2.gz MIVLVRMFQNAMKN-HIFRSBDPSA-N 0 1 284.363 0.955 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001042838031 850766716 /nfs/dbraw/zinc/76/67/16/850766716.db2.gz NJGODQVIICIVNK-GFCCVEGCSA-N 0 1 279.384 0.574 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CC[C@H]2C(N)=O)C1 ZINC001043536600 850893101 /nfs/dbraw/zinc/89/31/01/850893101.db2.gz KZLYCUOFWZWCSD-CHWSQXEVSA-N 0 1 279.384 0.607 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001043592635 850905181 /nfs/dbraw/zinc/90/51/81/850905181.db2.gz FBPPOOPLBNPRGP-UHFFFAOYSA-N 0 1 276.340 0.823 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)C1 ZINC001043621048 850910868 /nfs/dbraw/zinc/91/08/68/850910868.db2.gz FTZIHWGDEREPHU-BXUZGUMPSA-N 0 1 286.379 0.851 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)c2cccn(C)c2=O)C1 ZINC001044204301 851043045 /nfs/dbraw/zinc/04/30/45/851043045.db2.gz XDNRIKZBJXSTNI-UHFFFAOYSA-N 0 1 275.352 0.718 20 30 CCEDMN C[C@@H]1C[C@H](NCc2cnon2)CCN1C(=O)C#CC1CC1 ZINC001044593053 851129160 /nfs/dbraw/zinc/12/91/60/851129160.db2.gz MODWAVZNDVXDOJ-DGCLKSJQSA-N 0 1 288.351 0.952 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC001045455671 851260337 /nfs/dbraw/zinc/26/03/37/851260337.db2.gz KRBZBUFFERRJEN-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC1(C)CCN(CC#N)CC1 ZINC001045522944 851271503 /nfs/dbraw/zinc/27/15/03/851271503.db2.gz BQUFYOJZEGWFFP-ZDUSSCGKSA-N 0 1 278.400 0.965 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCCN2C(C)=O)CC1 ZINC001045649687 851292384 /nfs/dbraw/zinc/29/23/84/851292384.db2.gz RPAQFSJUCUEYLI-CQSZACIVSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCOC2)C1 ZINC001046182630 851413551 /nfs/dbraw/zinc/41/35/51/851413551.db2.gz IIWMHTWMHPBZIQ-JSGCOSHPSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)C2CN(C(C)=O)C2)C1 ZINC001046272047 851446834 /nfs/dbraw/zinc/44/68/34/851446834.db2.gz WPZJJRWRUUXPBP-OAHLLOKOSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001046352393 851472808 /nfs/dbraw/zinc/47/28/08/851472808.db2.gz VWVIOANVXCLESS-CZUORRHYSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001046429448 851499415 /nfs/dbraw/zinc/49/94/15/851499415.db2.gz SHAXOYZBSWXKEC-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cn(C)nc2COC)C1 ZINC001046433169 851502501 /nfs/dbraw/zinc/50/25/01/851502501.db2.gz ZBAVHYCCQUNSCT-HNNXBMFYSA-N 0 1 292.383 0.947 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001046622302 851567710 /nfs/dbraw/zinc/56/77/10/851567710.db2.gz WKNBHFAHJMSMJP-UHIISALHSA-N 0 1 265.357 0.265 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2nccn3ccnc23)C1 ZINC001046632578 851570903 /nfs/dbraw/zinc/57/09/03/851570903.db2.gz ZKIQKHLAKVJKKP-INIZCTEOSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc3nncn3c2)C1 ZINC001046700361 851585210 /nfs/dbraw/zinc/58/52/10/851585210.db2.gz ZMRFWPHNFYRJEX-INIZCTEOSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnc(OC)nc2)C1 ZINC001046746240 851603704 /nfs/dbraw/zinc/60/37/04/851603704.db2.gz FZAVUWFLXUIFQR-HNNXBMFYSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCCN1CC[C@@](C)(NC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001046748471 851604257 /nfs/dbraw/zinc/60/42/57/851604257.db2.gz OFTUOASTAAMBOI-MRXNPFEDSA-N 0 1 287.363 0.901 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001046767150 851609889 /nfs/dbraw/zinc/60/98/89/851609889.db2.gz GBQGCSJXGVJEMG-MRXNPFEDSA-N 0 1 286.379 0.736 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2c3c(nn2C)CCC3)C1 ZINC001046767150 851609896 /nfs/dbraw/zinc/60/98/96/851609896.db2.gz GBQGCSJXGVJEMG-MRXNPFEDSA-N 0 1 286.379 0.736 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2cccn(C)c2=O)C1 ZINC001046778984 851611336 /nfs/dbraw/zinc/61/13/36/851611336.db2.gz MBYWJWACOJZPJI-MRXNPFEDSA-N 0 1 287.363 0.603 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cccn(C)c2=O)C1 ZINC001046778984 851611346 /nfs/dbraw/zinc/61/13/46/851611346.db2.gz MBYWJWACOJZPJI-MRXNPFEDSA-N 0 1 287.363 0.603 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](C[C@@H](C)O)C2)cn1 ZINC001046779688 851611777 /nfs/dbraw/zinc/61/17/77/851611777.db2.gz XMQUZCSEHAOVFN-MLGOLLRUSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001046852843 851632587 /nfs/dbraw/zinc/63/25/87/851632587.db2.gz QGEFVUYJAZUGDW-SWLSCSKDSA-N 0 1 287.367 0.054 20 30 CCEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2cn(C)nn2)C1 ZINC001046936887 851648170 /nfs/dbraw/zinc/64/81/70/851648170.db2.gz XWFHJHFZTKDNIW-SNVBAGLBSA-N 0 1 299.325 0.688 20 30 CCEDMN C=CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc[n+]([O-])cc2)C1 ZINC001047292075 851700007 /nfs/dbraw/zinc/70/00/07/851700007.db2.gz ZGXUFWUCZFHGEN-KBPBESRZSA-N 0 1 291.351 0.013 20 30 CCEDMN C#CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)on2)C1 ZINC001047294278 851701550 /nfs/dbraw/zinc/70/15/50/851701550.db2.gz KYRGRSPZERNZIJ-STQMWFEESA-N 0 1 277.324 0.123 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)on2)C1 ZINC001047294278 851701553 /nfs/dbraw/zinc/70/15/53/851701553.db2.gz KYRGRSPZERNZIJ-STQMWFEESA-N 0 1 277.324 0.123 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C)nc2)C1 ZINC001047307238 851706666 /nfs/dbraw/zinc/70/66/66/851706666.db2.gz FPPCVQRPUSUSEH-GJZGRUSLSA-N 0 1 287.363 0.530 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cnsn2)C1 ZINC001047314814 851711104 /nfs/dbraw/zinc/71/11/04/851711104.db2.gz ZBVYSCPQFMLVBV-QWRGUYRKSA-N 0 1 282.369 0.231 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccncc2F)C1 ZINC001047332787 851722149 /nfs/dbraw/zinc/72/21/49/851722149.db2.gz BVVKBGCENRJNKN-KBPBESRZSA-N 0 1 291.326 0.361 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2CCCCO2)C1 ZINC001047346690 851730407 /nfs/dbraw/zinc/73/04/07/851730407.db2.gz YBOWGMUHFKDZFV-IHRRRGAJSA-N 0 1 282.384 0.635 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H](C)n2cccc2)C1 ZINC001047351889 851732284 /nfs/dbraw/zinc/73/22/84/851732284.db2.gz NXPLNINEQDAKLW-KKUMJFAQSA-N 0 1 289.379 0.576 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2coc(CC)n2)C1 ZINC001047358019 851734945 /nfs/dbraw/zinc/73/49/45/851734945.db2.gz PRMOVJNPWNVDQG-STQMWFEESA-N 0 1 291.351 0.377 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CC23CC3)C1 ZINC001047542945 851808880 /nfs/dbraw/zinc/80/88/80/851808880.db2.gz GXXUEZSCYDAYQB-AVGNSLFASA-N 0 1 264.369 0.866 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001096360557 851956428 /nfs/dbraw/zinc/95/64/28/851956428.db2.gz ONBBISDLZHLUOF-NSHDSACASA-N 0 1 298.350 0.851 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccn(C)c1=O)C2 ZINC001096375439 851972680 /nfs/dbraw/zinc/97/26/80/851972680.db2.gz HBKGESPRCBCRFL-XBFCOCLRSA-N 0 1 287.363 0.906 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H]3CCC(=O)N3)C[C@@H]2C1 ZINC001048721614 852032174 /nfs/dbraw/zinc/03/21/74/852032174.db2.gz KQYVMGWHIRZKAC-GRYCIOLGSA-N 0 1 297.786 0.408 20 30 CCEDMN Cc1cc(CN2C[C@@H]3CN(C(=O)[C@@H](C)C#N)C[C@@H]3C2)ncn1 ZINC001048725088 852035841 /nfs/dbraw/zinc/03/58/41/852035841.db2.gz NJMJMOATESXVAT-FPMFFAJLSA-N 0 1 299.378 0.835 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CN(CC(N)=O)C[C@H]2C1 ZINC001049035371 852145197 /nfs/dbraw/zinc/14/51/97/852145197.db2.gz LJDRPWKWUXYKTC-ZOWXZIJZSA-N 0 1 279.384 0.464 20 30 CCEDMN C#CC[N@H+]1C[C@H]2CN(C(=O)[C@@H]3C[C@H]4CC[C@@H]3O4)C[C@H]2C1 ZINC001049072423 852154481 /nfs/dbraw/zinc/15/44/81/852154481.db2.gz BGGBNKIVMGGEBN-KHMAMNHCSA-N 0 1 274.364 0.577 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[N@@H+](CC#CC)C[C@@H]2C1 ZINC001049068990 852156180 /nfs/dbraw/zinc/15/61/80/852156180.db2.gz JELRIBKBJOAMMB-QXSJWSMHSA-N 0 1 288.391 0.991 20 30 CCEDMN CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1cncn1)C2 ZINC001096499691 852195213 /nfs/dbraw/zinc/19/52/13/852195213.db2.gz PJUNFWOIHGZGMW-AGIUHOORSA-N 0 1 273.340 0.023 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1cncn1)C2 ZINC001096499691 852195218 /nfs/dbraw/zinc/19/52/18/852195218.db2.gz PJUNFWOIHGZGMW-AGIUHOORSA-N 0 1 273.340 0.023 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccc(=O)[nH]n1 ZINC001049288373 852219518 /nfs/dbraw/zinc/21/95/18/852219518.db2.gz BLFVDHQXEOOMTL-CHWSQXEVSA-N 0 1 286.335 0.494 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1COCCO1 ZINC001049347364 852238830 /nfs/dbraw/zinc/23/88/30/852238830.db2.gz RWOSHTATYRKMEU-BFHYXJOUSA-N 0 1 278.352 0.100 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@@H]3[C@H]2CCN3CC#N)n[nH]1 ZINC001049357079 852240801 /nfs/dbraw/zinc/24/08/01/852240801.db2.gz OEKZBYMQDZJOFY-CHWSQXEVSA-N 0 1 273.340 0.921 20 30 CCEDMN C=CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)CN1CN=NC1=O ZINC001049428529 852268452 /nfs/dbraw/zinc/26/84/52/852268452.db2.gz MVNDTNOBDLFWOA-RYUDHWBXSA-N 0 1 291.355 0.235 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(C(N)=O)c1)C2 ZINC001096551448 852308948 /nfs/dbraw/zinc/30/89/48/852308948.db2.gz AIPKQTPRPSDRHT-MDZLAQPJSA-N 0 1 288.351 0.635 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049628199 852324279 /nfs/dbraw/zinc/32/42/79/852324279.db2.gz BATCFDOWEDHYIR-VXGBXAGGSA-N 0 1 273.340 0.425 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@]1(C)CCC(=O)N1 ZINC001049700200 852354098 /nfs/dbraw/zinc/35/40/98/852354098.db2.gz RXYHTLXHERRRHF-WWGRRREGSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccc(=O)n1C ZINC001049707370 852356652 /nfs/dbraw/zinc/35/66/52/852356652.db2.gz CVPAXUJWEWAAQJ-ZIAGYGMSSA-N 0 1 299.374 0.697 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1nc[nH]n1 ZINC001049790179 852372508 /nfs/dbraw/zinc/37/25/08/852372508.db2.gz AKQNDHUQGUNIGY-NWDGAFQWSA-N 0 1 273.340 0.507 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cn(CC)nn1 ZINC001049816087 852379798 /nfs/dbraw/zinc/37/97/98/852379798.db2.gz HHZDUXFOZFBZNS-KGLIPLIRSA-N 0 1 287.367 0.610 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)[C@H]3COCCO3)[C@@H]2C1 ZINC001049983944 852412549 /nfs/dbraw/zinc/41/25/49/852412549.db2.gz RDQZGETXCUNLDG-RRFJBIMHSA-N 0 1 292.379 0.348 20 30 CCEDMN N#Cc1cnc(N[C@@H](CNC(=O)c2ncn[nH]2)C2CC2)cn1 ZINC001096705063 852428178 /nfs/dbraw/zinc/42/81/78/852428178.db2.gz HPGXUQADTLXTDA-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cnc(N[C@@H](CNC(=O)c2nc[nH]n2)C2CC2)cn1 ZINC001096705063 852428184 /nfs/dbraw/zinc/42/81/84/852428184.db2.gz HPGXUQADTLXTDA-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cnccc1N[C@@H](CNC(=O)c1ncn[nH]1)C1CC1 ZINC001096705024 852428392 /nfs/dbraw/zinc/42/83/92/852428392.db2.gz GWYNBDJLMQXGJC-LBPRGKRZSA-N 0 1 297.322 0.114 20 30 CCEDMN N#Cc1cnccc1N[C@@H](CNC(=O)c1nc[nH]n1)C1CC1 ZINC001096705024 852428396 /nfs/dbraw/zinc/42/83/96/852428396.db2.gz GWYNBDJLMQXGJC-LBPRGKRZSA-N 0 1 297.322 0.114 20 30 CCEDMN N#Cc1cncc(N[C@@H](CNC(=O)c2cnn[nH]2)C2CC2)n1 ZINC001096854256 852458007 /nfs/dbraw/zinc/45/80/07/852458007.db2.gz VNWKXQHABOLNBE-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCOC1)C2 ZINC001096900706 852465294 /nfs/dbraw/zinc/46/52/94/852465294.db2.gz IZMDYIMAUSKWFL-SYQHCUMBSA-N 0 1 262.353 0.768 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cncnc1)C2 ZINC001097012313 852487871 /nfs/dbraw/zinc/48/78/71/852487871.db2.gz KMZBZJFQSROBQZ-MCIONIFRSA-N 0 1 270.336 0.374 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(CC)n1)C2 ZINC001097039144 852492980 /nfs/dbraw/zinc/49/29/80/852492980.db2.gz PUFRFJSLWAODDN-WZRBSPASSA-N 0 1 275.356 0.819 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nnc(C)[nH]1)C2 ZINC001097053535 852495050 /nfs/dbraw/zinc/49/50/50/852495050.db2.gz VUMXNWYEDDGGAP-UPJWGTAASA-N 0 1 287.367 0.400 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc(C)nn1C)C2 ZINC001097532525 852544095 /nfs/dbraw/zinc/54/40/95/852544095.db2.gz FNPSQLCOEOYKQL-YUELXQCFSA-N 0 1 286.379 0.626 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc(C)nn1C)C2 ZINC001097532525 852544097 /nfs/dbraw/zinc/54/40/97/852544097.db2.gz FNPSQLCOEOYKQL-YUELXQCFSA-N 0 1 286.379 0.626 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H]1CCCN1C)Nc1cnc(C#N)cn1 ZINC001097730043 852593167 /nfs/dbraw/zinc/59/31/67/852593167.db2.gz QIVGIQFXIJWKKN-JQWIXIFHSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@@H](CNC(=O)[C@H]1CCCN1C)Nc1ccc(C#N)nn1 ZINC001097729282 852593831 /nfs/dbraw/zinc/59/38/31/852593831.db2.gz HVRMSIFYMPVQOM-CMPLNLGQSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)C#N)Nc1ncnc2[nH]cnc21 ZINC001097739068 852596659 /nfs/dbraw/zinc/59/66/59/852596659.db2.gz AUCGGRWAFOTQKY-JGVFFNPUSA-N 0 1 273.300 0.429 20 30 CCEDMN C[C@H](CNC(=O)CN1CCCC1)Nc1ccncc1C#N ZINC001097864652 852634673 /nfs/dbraw/zinc/63/46/73/852634673.db2.gz UYVJSHAYACHVTA-GFCCVEGCSA-N 0 1 287.367 0.388 20 30 CCEDMN C=C(C)CN1CC2(C1)CN(C(=O)CN1CCCC1)CCO2 ZINC001053532819 852756078 /nfs/dbraw/zinc/75/60/78/852756078.db2.gz YEGKYPGZVMQNMA-UHFFFAOYSA-N 0 1 293.411 0.572 20 30 CCEDMN C#CCCN1CC2(C1)C[C@@H](NC(=O)[C@H]1CCCN1C)CO2 ZINC001053758563 852808305 /nfs/dbraw/zinc/80/83/05/852808305.db2.gz URNFUQDNPDSATK-ZIAGYGMSSA-N 0 1 291.395 0.063 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(C1)CCCN(C(=O)Cc1nnc[nH]1)C2 ZINC001054226261 852897682 /nfs/dbraw/zinc/89/76/82/852897682.db2.gz LUWDRCAOMIXMMR-OAHLLOKOSA-N 0 1 287.367 0.295 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCn2ccnn2)C[C@H]1C ZINC001054596931 852976943 /nfs/dbraw/zinc/97/69/43/852976943.db2.gz UJBIEWFWDYIQGF-ZYHUDNBSSA-N 0 1 297.790 0.857 20 30 CCEDMN C[C@H]1CN(C(=O)c2cccc3nn[nH]c32)C[C@@H]1NCC#N ZINC001054675783 852994140 /nfs/dbraw/zinc/99/41/40/852994140.db2.gz YTUIFVDBBAKFJO-CABZTGNLSA-N 0 1 284.323 0.532 20 30 CCEDMN Cc1cc(C#N)nc(N[C@@H](C)CNC(=O)c2ncn[nH]2)n1 ZINC001097995064 853075072 /nfs/dbraw/zinc/07/50/72/853075072.db2.gz FURPACQMQLAVPS-QMMMGPOBSA-N 0 1 286.299 0.005 20 30 CCEDMN Cc1cc(C#N)nc(N[C@@H](C)CNC(=O)c2nc[nH]n2)n1 ZINC001097995064 853075079 /nfs/dbraw/zinc/07/50/79/853075079.db2.gz FURPACQMQLAVPS-QMMMGPOBSA-N 0 1 286.299 0.005 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](Nc2cncc(C#N)n2)C1 ZINC001056748371 853200392 /nfs/dbraw/zinc/20/03/92/853200392.db2.gz MOOVYXOPXKKGFJ-SECBINFHSA-N 0 1 298.310 0.101 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](Nc2cnc(C#N)cn2)C1 ZINC001056748382 853200993 /nfs/dbraw/zinc/20/09/93/853200993.db2.gz NKYSUHDVPWJPPR-SECBINFHSA-N 0 1 298.310 0.101 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H]3CCOC3)[C@@H]2C1 ZINC001050003077 853291319 /nfs/dbraw/zinc/29/13/19/853291319.db2.gz LTYCHUQAFNYLEP-QLFBSQMISA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001050043539 853301899 /nfs/dbraw/zinc/30/18/99/853301899.db2.gz CSOBZCYAKKKTNA-LSDHHAIUSA-N 0 1 256.349 0.956 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3CCCNC3=O)[C@@H]2C1 ZINC001050069697 853307128 /nfs/dbraw/zinc/30/71/28/853307128.db2.gz OKXJWSDAUJGXFQ-MELADBBJSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3CCC(=O)N3C)[C@@H]2C1 ZINC001050121614 853319443 /nfs/dbraw/zinc/31/94/43/853319443.db2.gz BKOMBQUCIALYKN-BFHYXJOUSA-N 0 1 289.379 0.163 20 30 CCEDMN N#Cc1cncc(N[C@@H]2CCCN(C(=O)c3ccn[nH]3)C2)n1 ZINC001057592071 853434959 /nfs/dbraw/zinc/43/49/59/853434959.db2.gz QWFPMNOWKLNVOQ-SNVBAGLBSA-N 0 1 297.322 0.788 20 30 CCEDMN C=CCCN1CCOC[C@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001051091069 853522183 /nfs/dbraw/zinc/52/21/83/853522183.db2.gz AFQNKKYIEGWORM-LLVKDONJSA-N 0 1 294.355 0.132 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](N2CCN(CCCF)CC2)C1 ZINC001051998683 853673314 /nfs/dbraw/zinc/67/33/14/853673314.db2.gz XXJVRIOTCVVCSL-ZIAGYGMSSA-N 0 1 296.390 0.724 20 30 CCEDMN C=C(C)CN1CCN([C@@H]2CCN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001051998513 853673344 /nfs/dbraw/zinc/67/33/44/853673344.db2.gz VDPUDFXJGLZUIT-HUUCEWRRSA-N 0 1 290.411 0.941 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001052180541 853699965 /nfs/dbraw/zinc/69/99/65/853699965.db2.gz DETFXMYPTYCQCW-WDEREUQCSA-N 0 1 291.355 0.595 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001052180541 853699969 /nfs/dbraw/zinc/69/99/69/853699969.db2.gz DETFXMYPTYCQCW-WDEREUQCSA-N 0 1 291.355 0.595 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001058314405 853823394 /nfs/dbraw/zinc/82/33/94/853823394.db2.gz JCHZWKMDBQEARM-DZGCQCFKSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001058314405 853823395 /nfs/dbraw/zinc/82/33/95/853823395.db2.gz JCHZWKMDBQEARM-DZGCQCFKSA-N 0 1 299.378 0.742 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](NC(=O)CN3CCCC3)C2)cn1 ZINC001058371903 853833738 /nfs/dbraw/zinc/83/37/38/853833738.db2.gz KKENKUWPSUYUDG-CQSZACIVSA-N 0 1 299.378 0.744 20 30 CCEDMN CNC(=O)C(C#N)=C(O)[C@H]1Cc2ccccc2C(=O)O1 ZINC000133428504 853841120 /nfs/dbraw/zinc/84/11/20/853841120.db2.gz WYJFUJQIPJOZJC-WDEREUQCSA-N 0 1 272.260 0.223 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccncn1)C2 ZINC001098064967 853892920 /nfs/dbraw/zinc/89/29/20/853892920.db2.gz QPYUBLDZYLEELW-RTXFEEFZSA-N 0 1 258.325 0.998 20 30 CCEDMN N#Cc1cnc(NC[C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)cn1 ZINC001068510978 853902934 /nfs/dbraw/zinc/90/29/34/853902934.db2.gz LNLBJVFWEVZSHE-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cnc(NC[C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)cn1 ZINC001068510978 853902939 /nfs/dbraw/zinc/90/29/39/853902939.db2.gz LNLBJVFWEVZSHE-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cnccc1NC[C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001068510890 853903269 /nfs/dbraw/zinc/90/32/69/853903269.db2.gz HAEWWQBMYNFVDQ-HOMQSWHASA-N 0 1 297.322 0.114 20 30 CCEDMN N#Cc1cnccc1NC[C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001068510890 853903272 /nfs/dbraw/zinc/90/32/72/853903272.db2.gz HAEWWQBMYNFVDQ-HOMQSWHASA-N 0 1 297.322 0.114 20 30 CCEDMN CCN1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@@H](n2ccnn2)C1 ZINC001069907787 853997988 /nfs/dbraw/zinc/99/79/88/853997988.db2.gz OLCCYVXHEKWRLF-OLZOCXBDSA-N 0 1 299.338 0.153 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C=C(C)C)C[C@@H]1n1ccnn1 ZINC001070127628 854028459 /nfs/dbraw/zinc/02/84/59/854028459.db2.gz DQWSWMLGMMFARV-OLZOCXBDSA-N 0 1 273.340 0.219 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2(C)CC=CC2)C[C@@H]1n1ccnn1 ZINC001070266393 854038907 /nfs/dbraw/zinc/03/89/07/854038907.db2.gz AMPRIVNINOCQIH-KGLIPLIRSA-N 0 1 299.378 0.609 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2cnn(C)c2)CC[C@H]1C ZINC001071384134 854173856 /nfs/dbraw/zinc/17/38/56/854173856.db2.gz SGHVWJCCVSOFHW-UKRRQHHQSA-N 0 1 288.395 0.955 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cc(=O)n(C)o2)CC[C@H]1C ZINC001071454507 854204330 /nfs/dbraw/zinc/20/43/30/854204330.db2.gz MTXQAWVRENRSJL-NEPJUHHUSA-N 0 1 291.351 0.584 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cnn(C)c2C)CC[C@@H]1C ZINC001071557958 854237171 /nfs/dbraw/zinc/23/71/71/854237171.db2.gz XOHXSLAKTVXSGZ-WFASDCNBSA-N 0 1 288.395 0.873 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2c(C)nc[nH]c2=O)CC[C@H]1C ZINC001071762948 854282459 /nfs/dbraw/zinc/28/24/59/854282459.db2.gz RIGXKTKITSLUCZ-PWSUYJOCSA-N 0 1 288.351 0.707 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2nonc2C)CC[C@H]1C ZINC001071950213 854324766 /nfs/dbraw/zinc/32/47/66/854324766.db2.gz GKALMIIAWBVXSL-PWSUYJOCSA-N 0 1 276.340 0.523 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cccn(C)c2=O)CC[C@H]1C ZINC001072005453 854334493 /nfs/dbraw/zinc/33/44/93/854334493.db2.gz NCBSRGUPEGKZMA-OLZOCXBDSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2[nH]cnc2C)CC[C@@H]1C ZINC001072026071 854337474 /nfs/dbraw/zinc/33/74/74/854337474.db2.gz BWVOJJUMUWMTKD-AAEUAGOBSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnc(C)cn3)C2)C1 ZINC001072428275 854385752 /nfs/dbraw/zinc/38/57/52/854385752.db2.gz LZPQRTHXDVWETM-UHFFFAOYSA-N 0 1 270.336 0.566 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnn(C)c3)C2)C1 ZINC001072452718 854390189 /nfs/dbraw/zinc/39/01/89/854390189.db2.gz OVBTUFSYPFIACB-UHFFFAOYSA-N 0 1 258.325 0.201 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnsn3)C2)C1 ZINC001072506691 854402110 /nfs/dbraw/zinc/40/21/10/854402110.db2.gz WQGMCQBUXGUZBQ-UHFFFAOYSA-N 0 1 262.338 0.319 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cn(C)cn3)C2)C1 ZINC001072620930 854430551 /nfs/dbraw/zinc/43/05/51/854430551.db2.gz IXDYOIOOKJPMAJ-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001072632196 854433606 /nfs/dbraw/zinc/43/36/06/854433606.db2.gz JMFUJKKGNBGRHT-ZYHUDNBSSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCCc4n[nH]cc43)C2)C1 ZINC001072735606 854455131 /nfs/dbraw/zinc/45/51/31/854455131.db2.gz JERMPRWJATULRI-CYBMUJFWSA-N 0 1 298.390 0.997 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)[C@H]3CCC(=O)N3)C2)C1 ZINC001073005867 854514424 /nfs/dbraw/zinc/51/44/24/854514424.db2.gz IEXQPHFGPXOFMH-CHWSQXEVSA-N 0 1 289.379 0.069 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3ccnnc3)C2)C1 ZINC001073012195 854516084 /nfs/dbraw/zinc/51/60/84/854516084.db2.gz HYJFTGXUMRCCAQ-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3[nH]cnc3CC)C2)C1 ZINC001073156286 854539337 /nfs/dbraw/zinc/53/93/37/854539337.db2.gz NQKDGZOBEXNCKS-UHFFFAOYSA-N 0 1 272.352 0.753 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc4n[nH]nc4c3)C2)C1 ZINC001073420303 854555388 /nfs/dbraw/zinc/55/53/88/854555388.db2.gz WLIBRDBUCPVENF-UHFFFAOYSA-N 0 1 295.346 0.739 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2ccncc2)C1 ZINC001073517546 854571699 /nfs/dbraw/zinc/57/16/99/854571699.db2.gz RTQOHFYJOBKVPD-HNNXBMFYSA-N 0 1 287.363 0.926 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnc(C)cn2)C1 ZINC001073524273 854576855 /nfs/dbraw/zinc/57/68/55/854576855.db2.gz DOFRSNFMVUKLMG-CYBMUJFWSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cc[n+]([O-])cc2)C1 ZINC001073526885 854578309 /nfs/dbraw/zinc/57/83/09/854578309.db2.gz NGUXNQMQEDOGJY-AWEZNQCLSA-N 0 1 291.351 0.327 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnn(C)c2N)C1 ZINC001073581205 854601169 /nfs/dbraw/zinc/60/11/69/854601169.db2.gz WMDACCOJGIESMU-LLVKDONJSA-N 0 1 293.371 0.009 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2nccn2CC)C1 ZINC001073587469 854605551 /nfs/dbraw/zinc/60/55/51/854605551.db2.gz RFMGWCUMPUFMID-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2nn(C)cc2C)C1 ZINC001073599407 854608374 /nfs/dbraw/zinc/60/83/74/854608374.db2.gz DSAFPIOCSCOPOO-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2c[nH]nc2CC)C1 ZINC001073672656 854628287 /nfs/dbraw/zinc/62/82/87/854628287.db2.gz OUODQKPOCYSOFO-LBPRGKRZSA-N 0 1 292.383 0.979 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2c[nH]nc2C)C1 ZINC001073722387 854633899 /nfs/dbraw/zinc/63/38/99/854633899.db2.gz JKFDXDDWLFEBOI-ZDUSSCGKSA-N 0 1 290.367 0.562 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001073755553 854639429 /nfs/dbraw/zinc/63/94/29/854639429.db2.gz CFSJZBNVBFZLDK-NSHDSACASA-N 0 1 294.355 0.132 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)[C@H](C)C1 ZINC001074048553 854669397 /nfs/dbraw/zinc/66/93/97/854669397.db2.gz IMBMUCZUDZHQCZ-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001074693429 854767698 /nfs/dbraw/zinc/76/76/98/854767698.db2.gz ZQYOLEVQNHBFSV-QCNOEVLYSA-N 0 1 273.340 0.616 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccn(C)c1=O)C2 ZINC001098239233 854852310 /nfs/dbraw/zinc/85/23/10/854852310.db2.gz YFWBQAHRTRUUBY-YUELXQCFSA-N 0 1 299.374 0.744 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCN1C(C)=O)C2 ZINC001098248385 854853204 /nfs/dbraw/zinc/85/32/04/854853204.db2.gz KOJFAAVCTAXLNJ-KBXIAJHMSA-N 0 1 291.395 0.905 20 30 CCEDMN CC(C)(C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N)c1cnc[nH]1 ZINC001098217628 854853477 /nfs/dbraw/zinc/85/34/77/854853477.db2.gz CZYAPHKZRUCFDI-UTUOFQBUSA-N 0 1 287.367 0.932 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cn(C)cn2)C1 ZINC001098791400 854886389 /nfs/dbraw/zinc/88/63/89/854886389.db2.gz SZHMFMISSQITLV-WFASDCNBSA-N 0 1 272.352 0.638 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCOCC)C2 ZINC001111468679 854919764 /nfs/dbraw/zinc/91/97/64/854919764.db2.gz LAYFOMXIZPHEIF-MCIONIFRSA-N 0 1 282.384 0.947 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCOCC)C2 ZINC001111468679 854919771 /nfs/dbraw/zinc/91/97/71/854919771.db2.gz LAYFOMXIZPHEIF-MCIONIFRSA-N 0 1 282.384 0.947 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C=C(C)C)[C@@H](O)C1 ZINC001099659238 854957953 /nfs/dbraw/zinc/95/79/53/854957953.db2.gz FZNVZBOZVQWJIO-STQMWFEESA-N 0 1 250.342 0.527 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCSC)[C@@H](O)C1 ZINC001099663268 854962462 /nfs/dbraw/zinc/96/24/62/854962462.db2.gz MAQLZSPCVFPTQV-NEPJUHHUSA-N 0 1 270.398 0.314 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ccon2)[C@@H](O)C1 ZINC001099702184 854971220 /nfs/dbraw/zinc/97/12/20/854971220.db2.gz PZYQMHYPJQKVMR-YPMHNXCESA-N 0 1 295.339 0.042 20 30 CCEDMN C[C@@H](CCNC(=O)Cc1cnc[nH]1)Nc1ccnc(C#N)n1 ZINC001099713136 854974701 /nfs/dbraw/zinc/97/47/01/854974701.db2.gz LZPGKNXYIYYTIU-JTQLQIEISA-N 0 1 299.338 0.043 20 30 CCEDMN C[C@@H](CCNC(=O)c1cnn[nH]1)Nc1cncc(C#N)n1 ZINC001099777299 854991786 /nfs/dbraw/zinc/99/17/86/854991786.db2.gz KIJBOECXHNAEOC-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C#CCCCCC(=O)N[C@H]1CCN(CC=C)C[C@H]1O ZINC001099787648 854995427 /nfs/dbraw/zinc/99/54/27/854995427.db2.gz YQWQBNWJTKKFJK-UONOGXRCSA-N 0 1 264.369 0.917 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)COC(C)(C)C)[C@H](O)C1 ZINC001099783176 854995641 /nfs/dbraw/zinc/99/56/41/854995641.db2.gz MFAOXZLDTFZBRF-QWHCGFSZSA-N 0 1 282.384 0.376 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOCC2CC2)[C@H](O)C1 ZINC001099829906 855006011 /nfs/dbraw/zinc/00/60/11/855006011.db2.gz JUBFINVGNFBNCD-UONOGXRCSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(COC)CCC2)[C@H](O)C1 ZINC001099925242 855033234 /nfs/dbraw/zinc/03/32/34/855033234.db2.gz ALACVJZJQXYREO-QWHCGFSZSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CC)CCOCC2)[C@@H](O)C1 ZINC001100056105 855086542 /nfs/dbraw/zinc/08/65/42/855086542.db2.gz OUIQABFYZBYPAA-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN CCN(CCNC(=O)c1cnn[nH]1)c1nc(C)ccc1C#N ZINC001100762810 855220194 /nfs/dbraw/zinc/22/01/94/855220194.db2.gz QKAVJMNWFHWSBL-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CC(N)=O)[C@H](C)C1 ZINC001101842349 855378945 /nfs/dbraw/zinc/37/89/45/855378945.db2.gz LTCRTTYEQLRRPU-PSASIEDQSA-N 0 1 273.764 0.298 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@@H](CNC(=O)Cn2cnnn2)[C@H](C)C1 ZINC001101716009 855351030 /nfs/dbraw/zinc/35/10/30/855351030.db2.gz MSRULJKEOCGPFO-MWLCHTKSSA-N 0 1 298.778 0.110 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)Cn2cnnn2)[C@H](C)C1 ZINC001101716009 855351040 /nfs/dbraw/zinc/35/10/40/855351040.db2.gz MSRULJKEOCGPFO-MWLCHTKSSA-N 0 1 298.778 0.110 20 30 CCEDMN C/C=C(\C)C(=O)NC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001101861677 855380069 /nfs/dbraw/zinc/38/00/69/855380069.db2.gz HNZDEVCHBFKWAM-UDLQBDOPSA-N 0 1 292.383 0.276 20 30 CCEDMN CC[C@@H](CNC(=O)Cc1nnc[nH]1)Nc1ccc(C#N)cn1 ZINC001103186021 855502447 /nfs/dbraw/zinc/50/24/47/855502447.db2.gz BBLYMRAWRXPHST-NSHDSACASA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOCCCOC ZINC001115096195 855641533 /nfs/dbraw/zinc/64/15/33/855641533.db2.gz OPKHJXVJRDQPOJ-FOLVSLTJSA-N 0 1 294.395 0.499 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCn1cccn1 ZINC001115258337 855655321 /nfs/dbraw/zinc/65/53/21/855655321.db2.gz ALLIGPOLBPNAMG-NHAGDIPZSA-N 0 1 272.352 0.343 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOCCOC ZINC001115335858 855662510 /nfs/dbraw/zinc/66/25/10/855662510.db2.gz ARCLGHOFIDUPTN-NHAGDIPZSA-N 0 1 280.368 0.109 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cncc(F)c1 ZINC001115353661 855665157 /nfs/dbraw/zinc/66/51/57/855665157.db2.gz ZJCFGGIPQLQADQ-FOLVSLTJSA-N 0 1 287.338 0.833 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(O)CC2)CC1 ZINC001115891213 855702376 /nfs/dbraw/zinc/70/23/76/855702376.db2.gz UARDUUWXFVQIOB-UHFFFAOYSA-N 0 1 250.342 0.315 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NC[C@H]1CCCC[N@H+]1CCO ZINC001117863242 856094258 /nfs/dbraw/zinc/09/42/58/856094258.db2.gz KVMHFDXVLRWIEX-QWHCGFSZSA-N 0 1 285.388 0.333 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)N2CCc3ccc(C#N)cc32)CCN1 ZINC001118016450 856136679 /nfs/dbraw/zinc/13/66/79/856136679.db2.gz GNOLDVNNYKFQGS-NSHDSACASA-N 0 1 298.346 0.268 20 30 CCEDMN Cc1onc(CC(=O)NCCCc2nc[nH]n2)c1C#N ZINC001118757431 856427503 /nfs/dbraw/zinc/42/75/03/856427503.db2.gz HELTXBATHLLFLB-UHFFFAOYSA-N 0 1 274.284 0.264 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CCC[C@@H](C(=O)[O-])C2)C1=O ZINC001119093582 856555706 /nfs/dbraw/zinc/55/57/06/856555706.db2.gz UOEXRTGBEWXDNI-GHMZBOCLSA-N 0 1 252.314 0.570 20 30 CCEDMN C#CC[C@@H](CO)NCc1ccc(C(=O)OCC)o1 ZINC001119450153 856689772 /nfs/dbraw/zinc/68/97/72/856689772.db2.gz NKNOXJSSYPCILW-JTQLQIEISA-N 0 1 251.282 0.930 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NCC(=O)NCC2CCC2)CC1 ZINC001392888453 912234244 /nfs/dbraw/zinc/23/42/44/912234244.db2.gz FULBITLQIGHEEZ-NSHDSACASA-N 0 1 292.383 0.301 20 30 CCEDMN CN1CC[C@H](NC(=O)C(=O)N2CCc3ccc(C#N)cc32)C1 ZINC001336931607 921110635 /nfs/dbraw/zinc/11/06/35/921110635.db2.gz UXSJQSYVSRRSKR-ZDUSSCGKSA-N 0 1 298.346 0.268 20 30 CCEDMN C=CCN1CC[C@H](NC2(CNC(=O)/C(C)=C/C)CC2)C1=O ZINC001323421041 912337865 /nfs/dbraw/zinc/33/78/65/912337865.db2.gz AZKHIKOEYPLAPY-YFTXEMMHSA-N 0 1 291.395 0.978 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@H]2CC2(F)F)CC1 ZINC001323437306 912346769 /nfs/dbraw/zinc/34/67/69/912346769.db2.gz HBSNDKTUXUXMQV-NXEZZACHSA-N 0 1 299.321 0.018 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H]1CCC(=O)N1C ZINC001393046673 912352925 /nfs/dbraw/zinc/35/29/25/912352925.db2.gz JVJJPCSFUZSVAK-NWDGAFQWSA-N 0 1 299.802 0.940 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C1CN(C[C@@H]2CCCOC2)C1 ZINC001323921191 912583327 /nfs/dbraw/zinc/58/33/27/912583327.db2.gz OBDWPUYEKXEJJT-KBPBESRZSA-N 0 1 294.395 0.594 20 30 CCEDMN CN(C(=O)C#CC1CC1)C1CN(C[C@@H]2C[C@@]23CCOC3)C1 ZINC001323921506 912583808 /nfs/dbraw/zinc/58/38/08/912583808.db2.gz OYDFHPPXVQIFMH-WMLDXEAASA-N 0 1 288.391 0.969 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)C2(C(N)=O)CC2)C1 ZINC001323980709 912608098 /nfs/dbraw/zinc/60/80/98/912608098.db2.gz XOKMCMHLWYXOSO-NSHDSACASA-N 0 1 279.384 0.749 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H]1C[C@H]1C(N)=O ZINC001393860358 912797719 /nfs/dbraw/zinc/79/77/19/912797719.db2.gz SPJDPUCSVWICTC-TUAOUCFPSA-N 0 1 299.802 0.831 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cnoc1C ZINC001324401234 912826779 /nfs/dbraw/zinc/82/67/79/912826779.db2.gz IPZRWOUUPIHMPU-UHFFFAOYSA-N 0 1 284.297 0.440 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(=O)n(C)cn1 ZINC001393943697 912871792 /nfs/dbraw/zinc/87/17/92/912871792.db2.gz WDPJSERIVPLELG-NXEZZACHSA-N 0 1 298.774 0.629 20 30 CCEDMN CN1CC(C(=O)N[C@@H](CNCC#N)C2CCCC2)=NC1=O ZINC001324498317 912874769 /nfs/dbraw/zinc/87/47/69/912874769.db2.gz SSZVNHLAVWKZKU-NSHDSACASA-N 0 1 291.355 0.527 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(C(N)=O)c[nH]1 ZINC001393984379 912895411 /nfs/dbraw/zinc/89/54/11/912895411.db2.gz LZCLGFMPIYEGRA-BDAKNGLRSA-N 0 1 298.774 0.963 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)COCc2cccnc2)C1 ZINC001324668726 912975274 /nfs/dbraw/zinc/97/52/74/912975274.db2.gz IEXBKORFIKZJAV-INIZCTEOSA-N 0 1 287.363 0.812 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccnn2CCC)C1 ZINC001325096319 913210388 /nfs/dbraw/zinc/21/03/88/913210388.db2.gz HTTRZVSYMXQXOI-OAHLLOKOSA-N 0 1 290.367 0.093 20 30 CCEDMN CCC(=O)NC[C@@H](CO)NCc1ccc(F)c(C#N)c1 ZINC001394565127 913265057 /nfs/dbraw/zinc/26/50/57/913265057.db2.gz YAUIMNWZLYLZMN-LBPRGKRZSA-N 0 1 279.315 0.674 20 30 CCEDMN Cc1cc(CN[C@@H](CO)CNC(=O)[C@@H](C)C#N)cs1 ZINC001394585977 913296109 /nfs/dbraw/zinc/29/61/09/913296109.db2.gz ZWYKGEYHHZCIFP-JOYOIKCWSA-N 0 1 281.381 0.783 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)NCCCCC#N ZINC001346410691 891488191 /nfs/dbraw/zinc/48/81/91/891488191.db2.gz OEPXQQABMRRHHS-VXGBXAGGSA-N 0 1 250.346 0.185 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cccc2c1oc(=O)n2C ZINC001480874222 891493027 /nfs/dbraw/zinc/49/30/27/891493027.db2.gz VKYYMQXHVISLEL-UHFFFAOYSA-N 0 1 287.319 0.426 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H]1[C@H]2CN(CC(=O)NCC)C[C@H]21 ZINC001282875649 891512216 /nfs/dbraw/zinc/51/22/16/891512216.db2.gz JICUVHYGAOSPQN-XYYAHUGASA-N 0 1 293.411 0.629 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C[C@@H]2CNC(=O)C2)CC1 ZINC001347103518 891537481 /nfs/dbraw/zinc/53/74/81/891537481.db2.gz AAFVVLZZUBIEIE-QWHCGFSZSA-N 0 1 264.373 0.288 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C[C@@H]2CNC(=O)C2)CC1 ZINC001347103519 891537504 /nfs/dbraw/zinc/53/75/04/891537504.db2.gz AAFVVLZZUBIEIE-STQMWFEESA-N 0 1 264.373 0.288 20 30 CCEDMN C=C[C@](C)(O)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001347472938 891568447 /nfs/dbraw/zinc/56/84/47/891568447.db2.gz NLCORULJMMKHOL-AWEZNQCLSA-N 0 1 269.389 0.066 20 30 CCEDMN C=CCC[N@@H+]1CCC[C@@](CO)(NC(=O)c2cnccn2)C1 ZINC001279463371 891588626 /nfs/dbraw/zinc/58/86/26/891588626.db2.gz YYNFZSKFKZWLAE-OAHLLOKOSA-N 0 1 290.367 0.609 20 30 CCEDMN CO[C@H](C(=O)NCCN1CCC(NCC#N)CC1)C1CC1 ZINC001325794491 913578772 /nfs/dbraw/zinc/57/87/72/913578772.db2.gz NNBUOUZYMINEEK-AWEZNQCLSA-N 0 1 294.399 0.105 20 30 CCEDMN CC#CCCCC(=O)NCCN(C)CCN1CCNC1=O ZINC001480904298 891730861 /nfs/dbraw/zinc/73/08/61/891730861.db2.gz YMEABYHNULKIRU-UHFFFAOYSA-N 0 1 294.399 0.253 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](n3cncn3)C2)CC1 ZINC001325848823 913606254 /nfs/dbraw/zinc/60/62/54/913606254.db2.gz DMJHLMBCHAHIKW-AWEZNQCLSA-N 0 1 287.367 0.397 20 30 CCEDMN C[N@H+]1CC[C@@H](CC(=O)Nc2nc(C#N)c(C#N)[n-]2)C1 ZINC001300045008 892047230 /nfs/dbraw/zinc/04/72/30/892047230.db2.gz OMRCMDGIJXFEDM-QMMMGPOBSA-N 0 1 258.285 0.433 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCN(CC(=O)NC(C)(C)C)C1 ZINC001481082869 892142791 /nfs/dbraw/zinc/14/27/91/892142791.db2.gz GOGKSSKTPFPPJP-VXGBXAGGSA-N 0 1 294.399 0.499 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N1CC[C@@H](CN(C)CC#N)C1 ZINC001267707544 892344239 /nfs/dbraw/zinc/34/42/39/892344239.db2.gz AHEGLQIROUWTJB-ZDUSSCGKSA-N 0 1 266.389 0.630 20 30 CCEDMN CC(=O)N(CCO)CCNCc1ccccc1C#N ZINC001325957359 913655995 /nfs/dbraw/zinc/65/59/95/913655995.db2.gz LOLRCODYCLOSRB-UHFFFAOYSA-N 0 1 261.325 0.489 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)C(F)F ZINC001325955936 913657290 /nfs/dbraw/zinc/65/72/90/913657290.db2.gz DHZPNDIAIRGSJE-UHFFFAOYSA-N 0 1 256.680 0.415 20 30 CCEDMN Cc1nccnc1CNCCN(C)C(=O)C#CC(C)C ZINC001481228036 892396748 /nfs/dbraw/zinc/39/67/48/892396748.db2.gz OGFBWRYTYUIYOV-UHFFFAOYSA-N 0 1 274.368 0.992 20 30 CCEDMN C=CCCCC(=O)NC[C@@H](CO)N[C@@H]1CCCN(C)C1=O ZINC001283795051 892418515 /nfs/dbraw/zinc/41/85/15/892418515.db2.gz CDVGZGXAIUKAGJ-QWHCGFSZSA-N 0 1 297.399 0.030 20 30 CCEDMN CC#CCN(CCNC(=O)[C@H]1CCNC(=O)C1)C1CC1 ZINC001493362016 892423008 /nfs/dbraw/zinc/42/30/08/892423008.db2.gz SZPFNWLTIUUQCM-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCOCC(=O)N1C[C@H](NCc2ccn(C)n2)C[C@@H]1C ZINC001283966716 892520639 /nfs/dbraw/zinc/52/06/39/892520639.db2.gz VCJHBKKYADYAMW-GXTWGEPZSA-N 0 1 292.383 0.702 20 30 CCEDMN Cc1cccnc1CNCCN(CCO)C(=O)[C@@H](C)C#N ZINC001325990964 913681166 /nfs/dbraw/zinc/68/11/66/913681166.db2.gz WGBMRTASKAXPAU-ZDUSSCGKSA-N 0 1 290.367 0.460 20 30 CCEDMN C[C@@H]1C[C@H]1C(=O)N1CC[C@H](CN(C)CC(=O)NCC#N)C1 ZINC001481496006 892745494 /nfs/dbraw/zinc/74/54/94/892745494.db2.gz QKXQAFDSJJAJAI-JHJVBQTASA-N 0 1 292.383 0.062 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)CNC(C)=O)C1 ZINC001481541615 892789202 /nfs/dbraw/zinc/78/92/02/892789202.db2.gz MAHMKPHMCYKKKP-JTQLQIEISA-N 0 1 253.346 0.278 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2ccnn2C)C1 ZINC001481614059 892904264 /nfs/dbraw/zinc/90/42/64/892904264.db2.gz LNLGYSDMABNBEH-UHFFFAOYSA-N 0 1 278.356 0.284 20 30 CCEDMN COCCOCCN1CC(CNC(=O)C#CC2CC2)C1 ZINC001481623976 892923873 /nfs/dbraw/zinc/92/38/73/892923873.db2.gz IBYXOZQQEJEEIH-UHFFFAOYSA-N 0 1 280.368 0.111 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CCCNC(=O)C2CC2)C1 ZINC001481627825 892925924 /nfs/dbraw/zinc/92/59/24/892925924.db2.gz HWTFMDVNSZPTET-UHFFFAOYSA-N 0 1 293.411 0.917 20 30 CCEDMN C=CCN1CC(CNC(=O)CCc2cc(OC)no2)C1 ZINC001481627382 892927123 /nfs/dbraw/zinc/92/71/23/892927123.db2.gz QDMURPWHNVNKAM-UHFFFAOYSA-N 0 1 279.340 0.850 20 30 CCEDMN C=CCOCCN1CC[C@H](N(C)C(=O)c2nc[nH]n2)C1 ZINC001481743394 893107515 /nfs/dbraw/zinc/10/75/15/893107515.db2.gz NLDVAHMZLLYNAE-NSHDSACASA-N 0 1 279.344 0.154 20 30 CCEDMN C#CCCCC(=O)NCCC1CCN(CC(=O)NC)CC1 ZINC001482041215 893324818 /nfs/dbraw/zinc/32/48/18/893324818.db2.gz CQEVRIRIUHLKNR-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)CC(N)=O)C1 ZINC001482186034 893477350 /nfs/dbraw/zinc/47/73/50/893477350.db2.gz XNXHTFBSIBRGQW-NWDGAFQWSA-N 0 1 283.372 0.034 20 30 CCEDMN CC#CCN1CCO[C@H](CNC(=O)CCc2cnc[nH]2)C1 ZINC001482190436 893480980 /nfs/dbraw/zinc/48/09/80/893480980.db2.gz ZDLWLCNUIIKQHF-CQSZACIVSA-N 0 1 290.367 0.183 20 30 CCEDMN CCCCCCCN1CCO[C@H](CNC(=O)C(N)=O)C1 ZINC001482199804 893522148 /nfs/dbraw/zinc/52/21/48/893522148.db2.gz SJERYXXGQDZFRS-GFCCVEGCSA-N 0 1 285.388 0.259 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@@H]1C=CCC1 ZINC001284481369 893570571 /nfs/dbraw/zinc/57/05/71/893570571.db2.gz GKUXVPJKTGVWDR-ZIAGYGMSSA-N 0 1 264.369 0.727 20 30 CCEDMN Cn1cncc1CNC/C=C\CNC(=O)C#CC1CC1 ZINC001483006809 894797315 /nfs/dbraw/zinc/79/73/15/894797315.db2.gz ZLXSXVPZEWAXFR-IHWYPQMZSA-N 0 1 272.352 0.596 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C\CN[C@@H]1CCNC1=O ZINC001483043915 894829548 /nfs/dbraw/zinc/82/95/48/894829548.db2.gz MGMMFVUVMHTVIQ-ISALQUGTSA-N 0 1 265.357 0.349 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCc1cn(C)nn1 ZINC001409469550 895193673 /nfs/dbraw/zinc/19/36/73/895193673.db2.gz NHDPQQQIZDYROM-QWRGUYRKSA-N 0 1 299.806 0.983 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1CCCCN1C(=O)CC ZINC001483341226 895480543 /nfs/dbraw/zinc/48/05/43/895480543.db2.gz IKQYTPZPPLYMCA-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)COCc1ccncc1 ZINC001483402205 895543852 /nfs/dbraw/zinc/54/38/52/895543852.db2.gz VBWORBZPOXDVJB-ZDUSSCGKSA-N 0 1 275.352 0.668 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccc(C(N)=O)cc1 ZINC001483413741 895550755 /nfs/dbraw/zinc/55/07/55/895550755.db2.gz DAHUUUKZVHFJJB-LLVKDONJSA-N 0 1 273.336 0.469 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)c1ncn(C)n1)C1CC1 ZINC001483558524 895649195 /nfs/dbraw/zinc/64/91/95/895649195.db2.gz QLICEHLERDIIHJ-JTQLQIEISA-N 0 1 283.763 0.666 20 30 CCEDMN Cc1nnc([C@@H](C)N[C@H]2C[C@@H](CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001397059797 914029134 /nfs/dbraw/zinc/02/91/34/914029134.db2.gz SLGVFBRBHUGXOR-BSJXLVFVSA-N 0 1 290.371 0.818 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)CO[C@@H]2CCOC2)C1 ZINC001483863861 896088162 /nfs/dbraw/zinc/08/81/62/896088162.db2.gz DLPVHRFHLVGRDA-CQSZACIVSA-N 0 1 282.384 0.901 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C[C@@H]2C=CCC2)C1 ZINC001484201201 896244965 /nfs/dbraw/zinc/24/49/65/896244965.db2.gz HMUFXAUTERGUAQ-GDBMZVCRSA-N 0 1 276.380 0.919 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)C[C@@H](C)OC)C1 ZINC001484265361 896293649 /nfs/dbraw/zinc/29/36/49/896293649.db2.gz ZJCSOHIYLBFRER-CHWSQXEVSA-N 0 1 284.400 0.929 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)c2csc(=O)[nH]2)C1 ZINC001484439739 896412815 /nfs/dbraw/zinc/41/28/15/896412815.db2.gz HUYYMSKANUBXBN-CYBMUJFWSA-N 0 1 297.380 0.591 20 30 CCEDMN C[C@@H](NCC(N)=O)c1ccc(CNC(=O)[C@@H](C)C#N)cc1 ZINC001484561115 896469576 /nfs/dbraw/zinc/46/95/76/896469576.db2.gz WFIHEZWIQRNQEG-WDEREUQCSA-N 0 1 288.351 0.598 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)CCCOCC)CC1 ZINC001485013051 896713560 /nfs/dbraw/zinc/71/35/60/896713560.db2.gz FZCVDHGRURVDQN-UHFFFAOYSA-N 0 1 296.411 0.770 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)CCOCC)CCO2 ZINC001485007096 896713583 /nfs/dbraw/zinc/71/35/83/896713583.db2.gz OTQOGUKMDSMHDL-UHFFFAOYSA-N 0 1 294.395 0.740 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)CCc2cn[nH]c2)CC1 ZINC001485025007 896724193 /nfs/dbraw/zinc/72/41/93/896724193.db2.gz NCOHWEYHRPRDTO-UHFFFAOYSA-N 0 1 292.383 0.471 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cn(C)cn1 ZINC001485055941 896731896 /nfs/dbraw/zinc/73/18/96/896731896.db2.gz NSENVZRCQOUGBZ-CYBMUJFWSA-N 0 1 274.368 0.980 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H](CC)OC ZINC001485051290 896735072 /nfs/dbraw/zinc/73/50/72/896735072.db2.gz MDJPQMAWMVFKJY-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CC[N@H+](CCCNC(=O)Cn1c(=O)[n-][nH]c1=O)C(C)C ZINC001493875334 896754844 /nfs/dbraw/zinc/75/48/44/896754844.db2.gz OKGFCZVYDPXITR-UHFFFAOYSA-N 0 1 297.359 0.092 20 30 CCEDMN CC(C)C#CC(=O)NC1CC(CNCc2cn(C)nn2)C1 ZINC001485091590 896765693 /nfs/dbraw/zinc/76/56/93/896765693.db2.gz DPSKSRFPHWDOBP-UHFFFAOYSA-N 0 1 289.383 0.459 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)N(C)[C@@H]1CCCNC1=O ZINC001485302844 896944909 /nfs/dbraw/zinc/94/49/09/896944909.db2.gz GWPYXMFFBQHBFI-WCQYABFASA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cccc(C(N)=O)c1 ZINC001485319016 896945577 /nfs/dbraw/zinc/94/55/77/896945577.db2.gz DJQKMVPZJAKAIG-NSHDSACASA-N 0 1 273.336 0.469 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)N(C)[C@@H]1CCNC1=O ZINC001485340719 896966179 /nfs/dbraw/zinc/96/61/79/896966179.db2.gz YTDRDXUKSUJILD-CHWSQXEVSA-N 0 1 279.384 0.505 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)N(C)[C@H](CC)C(N)=O ZINC001485339909 896967280 /nfs/dbraw/zinc/96/72/80/896967280.db2.gz MHJIPGLPKGSEAF-QWHCGFSZSA-N 0 1 281.400 0.880 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccc(C(N)=O)s1 ZINC001485362276 896987255 /nfs/dbraw/zinc/98/72/55/896987255.db2.gz QGFUXISOHWBOAU-VIFPVBQESA-N 0 1 279.365 0.530 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1ccc(=O)[nH]c1 ZINC001485380294 897011149 /nfs/dbraw/zinc/01/11/49/897011149.db2.gz DLZZZARPBTWNFK-LBPRGKRZSA-N 0 1 291.351 0.487 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)COC[C@@H]1CCCO1 ZINC001485393752 897017607 /nfs/dbraw/zinc/01/76/07/897017607.db2.gz CWGTYXVTPZEITB-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)COC[C@@H]1CCCO1 ZINC001485395260 897020535 /nfs/dbraw/zinc/02/05/35/897020535.db2.gz LMULKAMLTSZJNV-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CC(CCO)(NC(=O)CCc2cnc[nH]2)C1 ZINC001485406949 897040546 /nfs/dbraw/zinc/04/05/46/897040546.db2.gz POVAXJHGASIPAV-UHFFFAOYSA-N 0 1 278.356 0.081 20 30 CCEDMN CCCC[C@H](CNCC#N)NC(=O)[C@H]1CCCN1C ZINC001485462452 897059710 /nfs/dbraw/zinc/05/97/10/897059710.db2.gz WNTXVPJVYQPPSX-CHWSQXEVSA-N 0 1 266.389 0.869 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)Cc2[nH]cnc2C)C1 ZINC001485533809 897113496 /nfs/dbraw/zinc/11/34/96/897113496.db2.gz TWFZRPLUKOZDLB-SNVBAGLBSA-N 0 1 262.357 0.883 20 30 CCEDMN Cc1nocc1CN1C[C@@H]2[C@@H](CNC(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001485595795 897137256 /nfs/dbraw/zinc/13/72/56/897137256.db2.gz YWFSNDHXQUBIMH-NZPIUUIZSA-N 0 1 288.351 0.937 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC23CCC3)C1 ZINC001077752039 897414847 /nfs/dbraw/zinc/41/48/47/897414847.db2.gz GYWKPAUWQGPREU-JHJVBQTASA-N 0 1 262.353 0.361 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@]1(C)CN(C(C)C)CCO1 ZINC001107903773 897473040 /nfs/dbraw/zinc/47/30/40/897473040.db2.gz XLACAOZNVVDHHM-CABCVRRESA-N 0 1 284.400 0.929 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2cn(C)nn2)C1 ZINC001107918588 897499502 /nfs/dbraw/zinc/49/95/02/897499502.db2.gz SJTYVVYOWUOVSE-CQSZACIVSA-N 0 1 293.371 0.212 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3c[nH]nc3C)C2)OCC1=O ZINC001272754571 897527573 /nfs/dbraw/zinc/52/75/73/897527573.db2.gz JPYWIZGLTBPWLB-HNNXBMFYSA-N 0 1 288.351 0.155 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cn[nH]c(=O)c1 ZINC001032461504 897596118 /nfs/dbraw/zinc/59/61/18/897596118.db2.gz MXQQDKYLOFLPFO-RYUDHWBXSA-N 0 1 274.324 0.657 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCCN1C ZINC001032479777 897626646 /nfs/dbraw/zinc/62/66/46/897626646.db2.gz PRIMHVJPXBGHLF-SOUVJXGZSA-N 0 1 275.396 0.779 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cn1ccc(C)n1 ZINC001032635545 897857662 /nfs/dbraw/zinc/85/76/62/897857662.db2.gz RCYCJUWTQQFDOM-KBPBESRZSA-N 0 1 272.352 0.500 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCNC(=O)[C@@H]1CCCCN1C ZINC001077883500 897996769 /nfs/dbraw/zinc/99/67/69/897996769.db2.gz VWYICGDNPALCFK-AGIUHOORSA-N 0 1 294.399 0.641 20 30 CCEDMN C=C(C)CN1C[C@@]2(CCN(CC(OC)OC)C2)OCC1=O ZINC001272860289 898088367 /nfs/dbraw/zinc/08/83/67/898088367.db2.gz ABBDJLZHSNHMAE-HNNXBMFYSA-N 0 1 298.383 0.485 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc3nccn3c2)C1 ZINC001077984634 898144075 /nfs/dbraw/zinc/14/40/75/898144075.db2.gz SIIGPLZFYHACOM-ZIAGYGMSSA-N 0 1 298.346 0.133 20 30 CCEDMN C=CCCCC(=O)NCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001078096199 898230292 /nfs/dbraw/zinc/23/02/92/898230292.db2.gz KPPVKVCDUWFGOM-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C=CCCCC(=O)NCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001078096199 898230308 /nfs/dbraw/zinc/23/03/08/898230308.db2.gz KPPVKVCDUWFGOM-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC3CCC2CC3)C1 ZINC001078237591 898308613 /nfs/dbraw/zinc/30/86/13/898308613.db2.gz GJAVTWSXQWZHIA-UIEKQHFFSA-N 0 1 290.407 0.997 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C(C)(C)C(N)=O ZINC001485885590 898487292 /nfs/dbraw/zinc/48/72/92/898487292.db2.gz NJJKOYMCTAPEDX-VIFPVBQESA-N 0 1 275.780 0.687 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1c(F)cccc1Cl ZINC001486053411 898641679 /nfs/dbraw/zinc/64/16/79/898641679.db2.gz AOIORCIIDCOWEQ-SECBINFHSA-N 0 1 284.718 0.793 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C(=O)NCC2CC2)[C@H]1C ZINC001486183997 898704533 /nfs/dbraw/zinc/70/45/33/898704533.db2.gz PAIAQBQWYNSAIX-YPMHNXCESA-N 0 1 279.384 0.668 20 30 CCEDMN CC(=O)N(C)C[C@H](O)CNCc1cc(C#N)ccc1F ZINC001486255100 898726610 /nfs/dbraw/zinc/72/66/10/898726610.db2.gz DIHXGYCIIQMLGZ-CYBMUJFWSA-N 0 1 279.315 0.626 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)CSCC#N ZINC001486262169 898744626 /nfs/dbraw/zinc/74/46/26/898744626.db2.gz UFHOEORPMXCRIO-SNVBAGLBSA-N 0 1 291.804 0.405 20 30 CCEDMN C#CC[N@H+](C)C[C@@H](O)CN(C)C(=O)c1ccc(OC)nc1 ZINC001486339708 898804339 /nfs/dbraw/zinc/80/43/39/898804339.db2.gz ZFOLUSLAZWHPPX-CYBMUJFWSA-N 0 1 291.351 0.088 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccc(OC)nc1 ZINC001486339708 898804350 /nfs/dbraw/zinc/80/43/50/898804350.db2.gz ZFOLUSLAZWHPPX-CYBMUJFWSA-N 0 1 291.351 0.088 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1cocc1C ZINC001486345560 898828095 /nfs/dbraw/zinc/82/80/95/898828095.db2.gz SVHZPACEYSELLM-CYBMUJFWSA-N 0 1 278.352 0.976 20 30 CCEDMN C=CCCC(=O)NCC1=CCN([C@H]2CCCNC2=O)CC1 ZINC001486504469 898907974 /nfs/dbraw/zinc/90/79/74/898907974.db2.gz ZDSVASVHPOXKIM-AWEZNQCLSA-N 0 1 291.395 0.980 20 30 CCEDMN C=C[C@H](COC)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC001327035029 914325586 /nfs/dbraw/zinc/32/55/86/914325586.db2.gz GRVWAQPKHYXPOC-MRVPVSSYSA-N 0 1 287.297 0.469 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)N1CCCN(CCOCCO)CC1 ZINC001196830586 900056859 /nfs/dbraw/zinc/05/68/59/900056859.db2.gz LMNRLKHNSLLKIE-CABCVRRESA-N 0 1 298.427 0.988 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)C[C@H]2CCN2C[C@H](O)COC)C1 ZINC001488656987 900345806 /nfs/dbraw/zinc/34/58/06/900345806.db2.gz HDQQKFWYHWQMMG-KGLIPLIRSA-N 0 1 296.411 0.883 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)NC(C)=O)C1 ZINC001490426228 900626397 /nfs/dbraw/zinc/62/63/97/900626397.db2.gz RSLRJCGEZAQWBV-ZYHUDNBSSA-N 0 1 253.346 0.278 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C2CCC2)CC1 ZINC001490461774 900643484 /nfs/dbraw/zinc/64/34/84/900643484.db2.gz CIAWLBLGFMGXNC-UHFFFAOYSA-N 0 1 263.385 0.544 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)CC(C)(C)C)C1 ZINC001493173162 900698089 /nfs/dbraw/zinc/69/80/89/900698089.db2.gz IMMJAVSMGKVYAG-ZDUSSCGKSA-N 0 1 293.411 0.610 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](CN(C)CC(=O)N(C)C)C1 ZINC001490750909 900717250 /nfs/dbraw/zinc/71/72/50/900717250.db2.gz BYOZTSCWMLMICI-QWHCGFSZSA-N 0 1 294.399 0.405 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](NC(=O)[C@H](C)C#N)CC1 ZINC001280706979 900939117 /nfs/dbraw/zinc/93/91/17/900939117.db2.gz FEADXGSAPAKPKT-OLZOCXBDSA-N 0 1 292.383 0.419 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)nc1 ZINC001411937920 901342252 /nfs/dbraw/zinc/34/22/52/901342252.db2.gz NNJJDXWDJSHYHJ-JTQLQIEISA-N 0 1 283.295 0.486 20 30 CCEDMN Cc1c(C(=O)N[C@H](C)c2nnc[nH]2)cnn1CCC#N ZINC001411943857 901348224 /nfs/dbraw/zinc/34/82/24/901348224.db2.gz MJPKFPRTWJPDKJ-MRVPVSSYSA-N 0 1 273.300 0.714 20 30 CCEDMN N#Cc1ccc(NCC(=O)NC2(c3nn[nH]n3)CCC2)nc1 ZINC001412535180 901800088 /nfs/dbraw/zinc/80/00/88/901800088.db2.gz BQDFYTSCMAGYBC-UHFFFAOYSA-N 0 1 298.310 0.074 20 30 CCEDMN COC[C@@H](NC(=O)c1ccc(C#N)cc1F)c1nn[nH]n1 ZINC001412603474 901861264 /nfs/dbraw/zinc/86/12/64/901861264.db2.gz HNPCYIWCOQFDKY-SNVBAGLBSA-N 0 1 290.258 0.328 20 30 CCEDMN C=CCOCC(=O)N(C)CCCN(C)[C@H]1CCN(C)C1=O ZINC001327189930 902064234 /nfs/dbraw/zinc/06/42/34/902064234.db2.gz UVNXJKQYANNUDW-ZDUSSCGKSA-N 0 1 297.399 0.200 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)NC1CCN(CC#N)CC1 ZINC001327562637 914618734 /nfs/dbraw/zinc/61/87/34/914618734.db2.gz JMFCWMAGSIHXSM-UHFFFAOYSA-N 0 1 276.344 0.539 20 30 CCEDMN N#CC1(C(=O)N[C@@H]2CCCN(CCCO)C2)CCOCC1 ZINC001412974562 902416788 /nfs/dbraw/zinc/41/67/88/902416788.db2.gz CWHWWGVBHCNXKT-CYBMUJFWSA-N 0 1 295.383 0.270 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@H+]1CCC[C@H](O)C1)cc2=O ZINC001412976769 902425290 /nfs/dbraw/zinc/42/52/90/902425290.db2.gz IWZRCPDXXMDPNZ-NSHDSACASA-N 0 1 273.296 0.263 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@@H+]1CCC[C@H](O)C1)cc2=O ZINC001412976769 902425295 /nfs/dbraw/zinc/42/52/95/902425295.db2.gz IWZRCPDXXMDPNZ-NSHDSACASA-N 0 1 273.296 0.263 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCCN(Cc2cncn2C)C1 ZINC001491102912 903363875 /nfs/dbraw/zinc/36/38/75/903363875.db2.gz RVAVXKONDUKCDQ-OLZOCXBDSA-N 0 1 289.383 0.908 20 30 CCEDMN Cc1nc(CN2CCCC[C@@H]2CNC(=O)[C@H](C)C#N)n[nH]1 ZINC001491202934 903444037 /nfs/dbraw/zinc/44/40/37/903444037.db2.gz DIJDVLMGSWFXCL-ZYHUDNBSSA-N 0 1 290.371 0.744 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H](COC)OC ZINC001491222545 903450681 /nfs/dbraw/zinc/45/06/81/903450681.db2.gz BWKSZFCJEASZGN-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)COCCOCC ZINC001491236225 903458274 /nfs/dbraw/zinc/45/82/74/903458274.db2.gz IVRRZPOWSHCVIX-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)Cn2cc(C)cn2)C1 ZINC001493185170 903579703 /nfs/dbraw/zinc/57/97/03/903579703.db2.gz ARFQOOKJDHOZFY-AWEZNQCLSA-N 0 1 274.368 0.653 20 30 CCEDMN Cc1nccnc1CN[C@H](C)CNC(=O)c1c[nH]c(C#N)c1 ZINC001491434867 903584407 /nfs/dbraw/zinc/58/44/07/903584407.db2.gz JYJJYDZHKKOILP-SNVBAGLBSA-N 0 1 298.350 0.893 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCCN(CC(=O)N(C)C)CC1 ZINC001280709661 904140920 /nfs/dbraw/zinc/14/09/20/904140920.db2.gz PESVFWJVJYKVPZ-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN CN(CCC[N@@H+](C)CC(=O)NCC#N)C(=O)C=C1CCC1 ZINC001280802145 904155193 /nfs/dbraw/zinc/15/51/93/904155193.db2.gz FMQHTNKFJRMVBD-UHFFFAOYSA-N 0 1 292.383 0.517 20 30 CCEDMN CN(CCCN(C)C(=O)C=C1CCC1)CC(=O)NCC#N ZINC001280802145 904155201 /nfs/dbraw/zinc/15/52/01/904155201.db2.gz FMQHTNKFJRMVBD-UHFFFAOYSA-N 0 1 292.383 0.517 20 30 CCEDMN CCN1CC(N2CCN(C(=O)CSCC#N)CC2)C1 ZINC001281566447 904308072 /nfs/dbraw/zinc/30/80/72/904308072.db2.gz HZINELJRQYNQFP-UHFFFAOYSA-N 0 1 282.413 0.091 20 30 CCEDMN CC#CC[NH2+][C@@H](C)CCNC(=O)c1ncccc1[O-] ZINC001281707590 904334062 /nfs/dbraw/zinc/33/40/62/904334062.db2.gz NQPXADDXDAHOIM-NSHDSACASA-N 0 1 261.325 0.909 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cnc(C)n1C ZINC001281952833 904375090 /nfs/dbraw/zinc/37/50/90/904375090.db2.gz PUUHQPVQCDVEET-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCc1ccn(C)n1 ZINC001282388287 904465461 /nfs/dbraw/zinc/46/54/61/904465461.db2.gz GZUHEJOCTQZUPB-ZDUSSCGKSA-N 0 1 276.384 0.813 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001282401087 904467943 /nfs/dbraw/zinc/46/79/43/904467943.db2.gz FDQPMYRYMKRRCP-MRVPVSSYSA-N 0 1 250.302 0.107 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1c(C)nc[nH]c1=O ZINC001282448803 904478910 /nfs/dbraw/zinc/47/89/10/904478910.db2.gz DEOLLZMCECUWIR-JTQLQIEISA-N 0 1 276.340 0.564 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CCC(=O)NCCC ZINC001282478665 904485613 /nfs/dbraw/zinc/48/56/13/904485613.db2.gz TVLAFLGMSZCILA-LBPRGKRZSA-N 0 1 267.373 0.363 20 30 CCEDMN C=CCCN(C)[C@@H](C)CNC(=O)[C@@H](C)CS(C)(=O)=O ZINC001282495404 904491955 /nfs/dbraw/zinc/49/19/55/904491955.db2.gz FMJGVBMGGSKCEU-RYUDHWBXSA-N 0 1 290.429 0.680 20 30 CCEDMN C=CCOCCN1CC([C@H](C)NC(=O)c2ccn(C)n2)C1 ZINC001282745465 904537963 /nfs/dbraw/zinc/53/79/63/904537963.db2.gz UDQVLHGKGYQOPA-LBPRGKRZSA-N 0 1 292.383 0.673 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H]1C[C@H](CNCc2ccon2)C1 ZINC001283034562 904676870 /nfs/dbraw/zinc/67/68/70/904676870.db2.gz UTSAYHSWKPVXGU-UXIGCNINSA-N 0 1 293.367 0.986 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCCNC(=O)c1ncn[nH]1 ZINC001283313345 904799293 /nfs/dbraw/zinc/79/92/93/904799293.db2.gz WRGLGDUTXUGBAA-CYBMUJFWSA-N 0 1 279.344 0.643 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCCNC(=O)c1nc[nH]n1 ZINC001283313345 904799299 /nfs/dbraw/zinc/79/92/99/904799299.db2.gz WRGLGDUTXUGBAA-CYBMUJFWSA-N 0 1 279.344 0.643 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CC1CCCC1 ZINC001283733336 904980800 /nfs/dbraw/zinc/98/08/00/904980800.db2.gz LINOYBGDCXCJDH-CYBMUJFWSA-N 0 1 252.358 0.657 20 30 CCEDMN C=CCCC(=O)NC[C@@H](CO)N[C@H](C)c1n[nH]c(C)n1 ZINC001283744249 904986819 /nfs/dbraw/zinc/98/68/19/904986819.db2.gz FARRPKRCPVVKTP-KOLCDFICSA-N 0 1 281.360 0.207 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](CO)NCc1ncccc1C ZINC001283746737 904989633 /nfs/dbraw/zinc/98/96/33/904989633.db2.gz ZIPYXWRBDTUATK-AWEZNQCLSA-N 0 1 289.379 0.760 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001283804115 905024155 /nfs/dbraw/zinc/02/41/55/905024155.db2.gz JOWXLAHVYPIYBW-YNEHKIRRSA-N 0 1 276.336 0.473 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC001327932333 914871545 /nfs/dbraw/zinc/87/15/45/914871545.db2.gz IZPQTMYJILGEAL-IJLUTSLNSA-N 0 1 283.347 0.451 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1nnn(C)n1 ZINC001377832480 905177369 /nfs/dbraw/zinc/17/73/69/905177369.db2.gz HABPEUCPCPZXLO-SFYZADRCSA-N 0 1 272.740 0.059 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)CCNC(=O)Cc1nnc[nH]1 ZINC001284461433 905304653 /nfs/dbraw/zinc/30/46/53/905304653.db2.gz QYPDYVIRFFIBLD-SNVBAGLBSA-N 0 1 293.371 0.570 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1cscn1 ZINC001284482225 905315809 /nfs/dbraw/zinc/31/58/09/905315809.db2.gz KPMMTVCQJPDTMN-LLVKDONJSA-N 0 1 281.381 0.531 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1(COCC)CC1 ZINC001284502497 905334705 /nfs/dbraw/zinc/33/47/05/905334705.db2.gz PRJLKIWHHZXHLG-CYBMUJFWSA-N 0 1 282.384 0.187 20 30 CCEDMN C#CCCCC(=O)NCCN(CC)C(=O)c1[nH]nnc1C ZINC001284612835 905398151 /nfs/dbraw/zinc/39/81/51/905398151.db2.gz QUFRRJLJUFGYMJ-UHFFFAOYSA-N 0 1 291.355 0.495 20 30 CCEDMN C#CCCCC(=O)N(CCNC(=O)c1cnn[nH]1)C1CC1 ZINC001284742300 905427735 /nfs/dbraw/zinc/42/77/35/905427735.db2.gz VRBSVIFOVQJBEJ-UHFFFAOYSA-N 0 1 289.339 0.329 20 30 CCEDMN C#CCN1CC=C(CNC(=O)Cn2cc(Cl)cn2)CC1 ZINC001284957340 905510661 /nfs/dbraw/zinc/51/06/61/905510661.db2.gz PNIAQSWXVBZOKY-UHFFFAOYSA-N 0 1 292.770 0.918 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1CN(C(=O)Cc2ncn[nH]2)C1 ZINC001284984105 905520758 /nfs/dbraw/zinc/52/07/58/905520758.db2.gz ACFFXPRIXNAIMI-UHFFFAOYSA-N 0 1 291.355 0.134 20 30 CCEDMN C[C@H](CN(C)C(=O)c1ccn[nH]1)NC(=O)CSCC#N ZINC001337029100 921160520 /nfs/dbraw/zinc/16/05/20/921160520.db2.gz KZIHUUPFSCGRBV-SECBINFHSA-N 0 1 295.368 0.243 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC1(CNC(=O)c2ncn[nH]2)CC1 ZINC001285952545 905822283 /nfs/dbraw/zinc/82/22/83/905822283.db2.gz JVBLRMSQNLSBFS-SNVBAGLBSA-N 0 1 291.355 0.786 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC1(CNC(=O)c2nc[nH]n2)CC1 ZINC001285952545 905822292 /nfs/dbraw/zinc/82/22/92/905822292.db2.gz JVBLRMSQNLSBFS-SNVBAGLBSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1[C@H]2CN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001286246106 905871539 /nfs/dbraw/zinc/87/15/39/905871539.db2.gz SOYRVIDNLNMACC-CNDDSTCGSA-N 0 1 288.351 0.810 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)[C@H]1C ZINC001287883547 905986204 /nfs/dbraw/zinc/98/62/04/905986204.db2.gz XGGPUCIZWOOPER-GHMZBOCLSA-N 0 1 291.355 0.880 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)NC[C@H]1CN(C)CCN1C ZINC001333723048 906091932 /nfs/dbraw/zinc/09/19/32/906091932.db2.gz JHMXVGUQQXKVSK-KBPBESRZSA-N 0 1 278.400 0.430 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccnc(C)c1 ZINC001379264175 906102285 /nfs/dbraw/zinc/10/22/85/906102285.db2.gz SCPVMLLEAHSFPX-GFCCVEGCSA-N 0 1 283.759 0.823 20 30 CCEDMN C[C@H](CNCc1cnns1)NC(=O)c1ccc(C#N)[nH]1 ZINC001379348815 906173323 /nfs/dbraw/zinc/17/33/23/906173323.db2.gz BNUWIJZZPKICHV-MRVPVSSYSA-N 0 1 290.352 0.646 20 30 CCEDMN N#Cc1cccc(CN[C@@H](CO)CNC(=O)[C@H]2CC23CC3)c1 ZINC001379473505 906272518 /nfs/dbraw/zinc/27/25/18/906272518.db2.gz JUWBGXKUACCFNO-HUUCEWRRSA-N 0 1 299.374 0.925 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cn(C)ccc1=O ZINC001379529683 906322521 /nfs/dbraw/zinc/32/25/21/906322521.db2.gz QHTRVUBZOXKVAB-JTQLQIEISA-N 0 1 283.759 0.846 20 30 CCEDMN CNC(=O)CCCCC(=O)NC1(C#N)CCN(C)CC1 ZINC001292880899 906363649 /nfs/dbraw/zinc/36/36/49/906363649.db2.gz NJSKOCSGQWNXPY-UHFFFAOYSA-N 0 1 280.372 0.397 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)CN2CCC(CO)CC2)CC1 ZINC001337341309 921237326 /nfs/dbraw/zinc/23/73/26/921237326.db2.gz OGEJDTJBTYEEHD-CTYIDZIISA-N 0 1 278.396 0.999 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1nc(C)c[nH]1 ZINC001379838495 906500725 /nfs/dbraw/zinc/50/07/25/906500725.db2.gz GVANUHWTSGUDOE-JTQLQIEISA-N 0 1 286.763 0.493 20 30 CCEDMN Cc1nccnc1CNC[C@@H](NC(=O)[C@@H](C)C#N)C1CC1 ZINC001379847297 906505472 /nfs/dbraw/zinc/50/54/72/906505472.db2.gz FOFYNDOCWJSNSE-IINYFYTJSA-N 0 1 287.367 0.929 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccn(CC(F)(F)F)n1 ZINC001337378626 921243444 /nfs/dbraw/zinc/24/34/44/921243444.db2.gz QFMIOBMWDLRWCZ-UHFFFAOYSA-N 0 1 288.273 0.740 20 30 CCEDMN C=CCCC(=O)N(C)CCCNC(=O)Cc1cnc[nH]1 ZINC001294354232 906584906 /nfs/dbraw/zinc/58/49/06/906584906.db2.gz LSCXLPCAOXBPEL-UHFFFAOYSA-N 0 1 278.356 0.883 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001294733191 906624949 /nfs/dbraw/zinc/62/49/49/906624949.db2.gz WGDAEKNTMIWAOQ-YGRLFVJLSA-N 0 1 292.339 0.068 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](NC(=O)CN2CCCC2)C1 ZINC001294750149 906631648 /nfs/dbraw/zinc/63/16/48/906631648.db2.gz YYVFSETYQZUNSW-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001295181390 906690861 /nfs/dbraw/zinc/69/08/61/906690861.db2.gz FISQKEIEQXYUOE-DTORHVGOSA-N 0 1 263.301 0.148 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@](C)(CNCc2nncn2C)C1 ZINC001380403344 906762183 /nfs/dbraw/zinc/76/21/83/906762183.db2.gz PMALMCXELRKUOH-SMDDNHRTSA-N 0 1 290.371 0.303 20 30 CCEDMN C=CCOCC(=O)NCCN(C)C(=O)[C@@H]1CCCCN1C ZINC001296023454 906845266 /nfs/dbraw/zinc/84/52/66/906845266.db2.gz QNPCEQIIEFKAHN-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN CC#CCCCC(=O)NCCN(C)C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001296197905 906875078 /nfs/dbraw/zinc/87/50/78/906875078.db2.gz FBEPHOMBGXZFGT-VXGBXAGGSA-N 0 1 295.339 0.420 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)[C@@H](C)OCC)CCO1 ZINC001380905042 906986037 /nfs/dbraw/zinc/98/60/37/906986037.db2.gz RGEIEVLBAUSKDV-VXGBXAGGSA-N 0 1 290.791 0.981 20 30 CCEDMN CCCNC(=O)CN(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001380951028 906999843 /nfs/dbraw/zinc/99/98/43/906999843.db2.gz YFOQYGQPRUNCEI-LBPRGKRZSA-N 0 1 282.388 0.453 20 30 CCEDMN C=CCCC1(C(=O)N2CC(NC(=O)c3cnn[nH]3)C2)CC1 ZINC001297090644 907020148 /nfs/dbraw/zinc/02/01/48/907020148.db2.gz ZJWGOEYFBHZWDQ-UHFFFAOYSA-N 0 1 289.339 0.492 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)NC(=O)CN1CCCC1 ZINC001298598509 907286139 /nfs/dbraw/zinc/28/61/39/907286139.db2.gz RWAIEHQUDFNFBJ-IUODEOHRSA-N 0 1 297.399 0.030 20 30 CCEDMN C[C@@H](CNC(=O)CN1CCCC1)NC(=O)C#CC(C)(C)C ZINC001298771956 907328125 /nfs/dbraw/zinc/32/81/25/907328125.db2.gz LNJLKKXTIKIRMV-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001298851058 907341240 /nfs/dbraw/zinc/34/12/40/907341240.db2.gz LKEVPQRFJKSPGU-QWRGUYRKSA-N 0 1 293.371 0.570 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2ccc(S(N)(=O)=O)cc2)C1 ZINC001337954571 921325182 /nfs/dbraw/zinc/32/51/82/921325182.db2.gz COSRZYFZHQUIME-CYBMUJFWSA-N 0 1 293.392 0.844 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1COCCO1 ZINC001382082589 907540276 /nfs/dbraw/zinc/54/02/76/907540276.db2.gz ZHNALOYHUCKGCD-QWRGUYRKSA-N 0 1 276.764 0.591 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)Cn1cccn1 ZINC001382394453 907719587 /nfs/dbraw/zinc/71/95/87/907719587.db2.gz YWVVYTFPTHGPJR-LLVKDONJSA-N 0 1 286.763 0.045 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@]1(F)CCOC1 ZINC001382413879 907729089 /nfs/dbraw/zinc/72/90/89/907729089.db2.gz FNZIDEYMJRJONW-JQWIXIFHSA-N 0 1 294.754 0.276 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H](C)n1cccn1 ZINC001492196135 907750574 /nfs/dbraw/zinc/75/05/74/907750574.db2.gz AHPYIJYGWRWCRB-ZDUSSCGKSA-N 0 1 278.356 0.142 20 30 CCEDMN C#CCN(C)CCNC(=O)CCCCc1c[nH]nn1 ZINC001492360016 907874839 /nfs/dbraw/zinc/87/48/39/907874839.db2.gz XQHDVTVYVYTAIH-UHFFFAOYSA-N 0 1 263.345 0.199 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccc(Cl)[nH]1 ZINC001492407508 907911779 /nfs/dbraw/zinc/91/17/79/907911779.db2.gz XWVAFFDGZSQOIS-UHFFFAOYSA-N 0 1 283.759 0.980 20 30 CCEDMN CN1CC[C@H](C(=O)Nc2nc3ccc(C#N)cc3[nH]2)C1=O ZINC001301793347 907988232 /nfs/dbraw/zinc/98/82/32/907988232.db2.gz UNURIRDCXWNCDO-SECBINFHSA-N 0 1 283.291 0.851 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)Cn3nccc3N)[nH]c2c1 ZINC001301792561 907989431 /nfs/dbraw/zinc/98/94/31/907989431.db2.gz FFPUYJVLZZWBHI-UHFFFAOYSA-N 0 1 281.279 0.852 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)Cc1n[nH]c(C2CC2)n1)C(=O)OC ZINC001302429337 908020073 /nfs/dbraw/zinc/02/00/73/908020073.db2.gz NZOQJUDQZBKSOS-SNVBAGLBSA-N 0 1 292.339 0.849 20 30 CCEDMN CC#CCCCC(=O)N1CCC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001317380027 908193537 /nfs/dbraw/zinc/19/35/37/908193537.db2.gz XHVFBWDYNOGPMJ-KBPBESRZSA-N 0 1 293.411 0.977 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCCN(C)CC(=O)NC ZINC001316854325 908251230 /nfs/dbraw/zinc/25/12/30/908251230.db2.gz PSSLYPDPXWCIQK-JSGCOSHPSA-N 0 1 297.399 0.152 20 30 CCEDMN CCN(C(=O)c1cnc(C)[nH]1)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001384665840 908271779 /nfs/dbraw/zinc/27/17/79/908271779.db2.gz QNNMEFLUSJJOHU-NXEZZACHSA-N 0 1 291.355 0.845 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001385425625 908424489 /nfs/dbraw/zinc/42/44/89/908424489.db2.gz RETKWKQBXOCTBG-SECBINFHSA-N 0 1 275.312 0.290 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1oc(C)nc1C ZINC001317477859 908463899 /nfs/dbraw/zinc/46/38/99/908463899.db2.gz RFWUMSATMXRTCW-UHFFFAOYSA-N 0 1 293.367 0.993 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1CCCN1CCOCCO ZINC001317500088 908546019 /nfs/dbraw/zinc/54/60/19/908546019.db2.gz VGNMBXBOPLQGFS-CQSZACIVSA-N 0 1 282.384 0.235 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)Cc1cnn(CC)c1 ZINC001317510942 908561535 /nfs/dbraw/zinc/56/15/35/908561535.db2.gz ZTLNOGNOQYEZSR-AWEZNQCLSA-N 0 1 274.368 0.659 20 30 CCEDMN CO[C@H]([C@H](C)NC(=O)NCC#CCN(C)C)C1CC1 ZINC001312696180 908619319 /nfs/dbraw/zinc/61/93/19/908619319.db2.gz BPOLIAMTSVESAG-WCQYABFASA-N 0 1 267.373 0.664 20 30 CCEDMN C#CC[N@H+](CCO)[C@H]1CCCN(C(=O)c2cc(C)on2)C1 ZINC001316745182 908661587 /nfs/dbraw/zinc/66/15/87/908661587.db2.gz UZUKGVVNSYOWFP-ZDUSSCGKSA-N 0 1 291.351 0.515 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2cc(C)on2)C1 ZINC001316745182 908661594 /nfs/dbraw/zinc/66/15/94/908661594.db2.gz UZUKGVVNSYOWFP-ZDUSSCGKSA-N 0 1 291.351 0.515 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)NCc1cnon1 ZINC001328343265 908672547 /nfs/dbraw/zinc/67/25/47/908672547.db2.gz YBJCNKBNSCEOBN-NSHDSACASA-N 0 1 264.329 0.713 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)C#N)NC(=O)CN1CCC(C)CC1 ZINC001386455806 908750184 /nfs/dbraw/zinc/75/01/84/908750184.db2.gz IFZNCASHZTZWHT-QWHCGFSZSA-N 0 1 294.399 0.499 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2ncccn2)C1 ZINC001316745685 908759541 /nfs/dbraw/zinc/75/95/41/908759541.db2.gz JBMTVMZPRZVXEC-CYBMUJFWSA-N 0 1 288.351 0.009 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@]2(C)CCOC2)C1 ZINC001316745895 908766154 /nfs/dbraw/zinc/76/61/54/908766154.db2.gz KWLKOFYSIXFNOV-GOEBONIOSA-N 0 1 294.395 0.332 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCN(CC(=O)NC)C1CC1 ZINC001316919635 908841620 /nfs/dbraw/zinc/84/16/20/908841620.db2.gz ABZAOQGNFGOBAQ-UHFFFAOYSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCN1CCC(N(CCC)C(=O)c2nnn(C)n2)CC1 ZINC001317006958 908912996 /nfs/dbraw/zinc/91/29/96/908912996.db2.gz UISKOCVMFLETJJ-UHFFFAOYSA-N 0 1 292.387 0.713 20 30 CCEDMN COCC#CCN1CCC[C@H](CNC(=O)CCCOC)C1 ZINC001317127861 909000468 /nfs/dbraw/zinc/00/04/68/909000468.db2.gz JITIBRCMDZXHHI-OAHLLOKOSA-N 0 1 296.411 0.891 20 30 CCEDMN C[C@@H](CNC(=O)c1ccn(-c2ccncc2)n1)NCC#N ZINC001317251617 909094417 /nfs/dbraw/zinc/09/44/17/909094417.db2.gz IBVLMHGJOUKATP-NSHDSACASA-N 0 1 284.323 0.499 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1[nH]ccc1C ZINC001317443834 909209175 /nfs/dbraw/zinc/20/91/75/909209175.db2.gz LWCXLEDWNTVDJM-UHFFFAOYSA-N 0 1 263.341 0.635 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](C)NC(=O)c1ccco1 ZINC001317471942 909247595 /nfs/dbraw/zinc/24/75/95/909247595.db2.gz QTAFTRLORGNNOO-LBPRGKRZSA-N 0 1 291.351 0.469 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@@H](C)CC(N)=O)c1ccccc1 ZINC001317721538 909477808 /nfs/dbraw/zinc/47/78/08/909477808.db2.gz HGUQTBMEUWQHPS-GXTWGEPZSA-N 0 1 287.363 0.578 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)CNC(=O)CC)C1 ZINC001317925810 909539199 /nfs/dbraw/zinc/53/91/99/909539199.db2.gz QZXOEQORNYIWEI-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCN(CCn2cccn2)[C@@H]1C ZINC001388788026 909589576 /nfs/dbraw/zinc/58/95/76/909589576.db2.gz BLZGQGLZVMMPHC-UPJWGTAASA-N 0 1 275.356 0.622 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cc(C)n[nH]2)C1 ZINC001318109009 909623966 /nfs/dbraw/zinc/62/39/66/909623966.db2.gz WNJGDLISXFJBBO-UHFFFAOYSA-N 0 1 278.356 0.582 20 30 CCEDMN CC(C)OCCN1CC(CNC(=O)[C@H](C)C#N)C1 ZINC001318110578 909629335 /nfs/dbraw/zinc/62/93/35/909629335.db2.gz UWHLPNBXJMZODF-LLVKDONJSA-N 0 1 253.346 0.619 20 30 CCEDMN C=CCN1CC(CNC(=O)COCc2nccn2C)C1 ZINC001318128861 909637487 /nfs/dbraw/zinc/63/74/87/909637487.db2.gz YXJNBYYLSRXWID-UHFFFAOYSA-N 0 1 278.356 0.171 20 30 CCEDMN COCCOCCN1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001318331630 909711756 /nfs/dbraw/zinc/71/17/56/909711756.db2.gz SVDFBAVZAHGCCR-CQSZACIVSA-N 0 1 282.384 0.499 20 30 CCEDMN Cn1ccc(CNCCNc2ncccc2C#N)n1 ZINC001318347103 909719213 /nfs/dbraw/zinc/71/92/13/909719213.db2.gz XHCUAIPUOIFDRH-UHFFFAOYSA-N 0 1 256.313 0.888 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CC2(O)CCC2)C1 ZINC001318402214 909741800 /nfs/dbraw/zinc/74/18/00/909741800.db2.gz KKEIDTSVVDZUJO-ZDUSSCGKSA-N 0 1 264.369 0.848 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CCc2cnn(C)c2)C1 ZINC001318492829 909777654 /nfs/dbraw/zinc/77/76/54/909777654.db2.gz TYOJKSMCJBHKJF-HNNXBMFYSA-N 0 1 288.395 0.909 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN(CCn2cncn2)C1 ZINC001389362011 909850333 /nfs/dbraw/zinc/85/03/33/909850333.db2.gz LCSSLKSJIGGCMY-VXGBXAGGSA-N 0 1 276.344 0.018 20 30 CCEDMN C/C=C(\C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001319033114 909960562 /nfs/dbraw/zinc/96/05/62/909960562.db2.gz KZUBQBHFBDTMMP-YISPXGQNSA-N 0 1 263.297 0.595 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H]1CNC(=O)CCc1cnc[nH]1 ZINC001389702821 910037612 /nfs/dbraw/zinc/03/76/12/910037612.db2.gz UFWRICUFIGBIHD-JQWIXIFHSA-N 0 1 289.339 0.219 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)CNCc1nncn1C ZINC001319230208 910049865 /nfs/dbraw/zinc/04/98/65/910049865.db2.gz SWGWHCGUNPTCCK-CYBMUJFWSA-N 0 1 291.399 0.851 20 30 CCEDMN C#CCN1CCO[C@H](CNC(=O)C(C)(C)c2cnc[nH]2)C1 ZINC001319330774 910100754 /nfs/dbraw/zinc/10/07/54/910100754.db2.gz UVXUNEIPJHNRLU-GFCCVEGCSA-N 0 1 290.367 0.138 20 30 CCEDMN C[C@@H]1CCCN(C(=O)CCc2nc[nH]n2)[C@H]1CNCC#N ZINC001319843243 910317056 /nfs/dbraw/zinc/31/70/56/910317056.db2.gz FLNPBCAAYGYTGB-NEPJUHHUSA-N 0 1 290.371 0.478 20 30 CCEDMN CC(C)c1nc(CN[C@H](C)CNC(=O)[C@H](C)C#N)n[nH]1 ZINC001390340757 910361642 /nfs/dbraw/zinc/36/16/42/910361642.db2.gz MTZMHNOVLWUPIQ-NXEZZACHSA-N 0 1 278.360 0.682 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)Cn1nc(C)c2cccnc21 ZINC001320028063 910422694 /nfs/dbraw/zinc/42/26/94/910422694.db2.gz XZCVVQWETBPXOE-UHFFFAOYSA-N 0 1 299.378 0.763 20 30 CCEDMN C#CCOCCN(C)[C@H]1CCN(C2CCOCC2)C1=O ZINC001320282959 910578893 /nfs/dbraw/zinc/57/88/93/910578893.db2.gz ZQSPUXFULKCASI-AWEZNQCLSA-N 0 1 280.368 0.348 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CC[C@]2(C)CCC(=O)N2)CC1 ZINC001321041765 911038848 /nfs/dbraw/zinc/03/88/48/911038848.db2.gz AVIKPQRMTHZYQR-AWEZNQCLSA-N 0 1 292.383 0.539 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001391349778 911064932 /nfs/dbraw/zinc/06/49/32/911064932.db2.gz ASWAEYLFWVVSGM-RYUDHWBXSA-N 0 1 299.802 0.798 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NC/C=C/CNCC#N ZINC001321284601 911204793 /nfs/dbraw/zinc/20/47/93/911204793.db2.gz GOZCWGLTJPWSOO-VUDBWIFFSA-N 0 1 261.329 0.374 20 30 CCEDMN CC[C@@H](OC)C(=O)N[C@H](C)CN(C)CC#CCOC ZINC001322120625 911666463 /nfs/dbraw/zinc/66/64/63/911666463.db2.gz IXEARROZRZDPGC-CHWSQXEVSA-N 0 1 270.373 0.498 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H](C)n1cncn1 ZINC001322144630 911678311 /nfs/dbraw/zinc/67/83/11/911678311.db2.gz MUIJMDAFFGQYMD-VXGBXAGGSA-N 0 1 263.345 0.299 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccccc1O ZINC001392349629 911748646 /nfs/dbraw/zinc/74/86/46/911748646.db2.gz MGAYCWJJBKDUJN-JTQLQIEISA-N 0 1 284.743 0.825 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc(C(N)=O)ccn1 ZINC001322311457 911760037 /nfs/dbraw/zinc/76/00/37/911760037.db2.gz BMZVBAMPUXSOBC-NSHDSACASA-N 0 1 288.351 0.254 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCc2c[nH]cn2)CC1 ZINC001322414804 911791193 /nfs/dbraw/zinc/79/11/93/911791193.db2.gz AYSWTUFVOBNSIY-UHFFFAOYSA-N 0 1 261.329 0.446 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ncccc1OC ZINC001392423435 911793044 /nfs/dbraw/zinc/79/30/44/911793044.db2.gz UINQVTROOLIRKI-JTQLQIEISA-N 0 1 299.758 0.523 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc(Cl)no1 ZINC001392423867 911794310 /nfs/dbraw/zinc/79/43/10/911794310.db2.gz RLUHTEFEXDKADB-ZETCQYMHSA-N 0 1 294.138 0.761 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cn2cc(C3CC3)nn2)CC1 ZINC001322556810 911859028 /nfs/dbraw/zinc/85/90/28/911859028.db2.gz CJKRUQJCTZTZQT-UHFFFAOYSA-N 0 1 288.355 0.260 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)CCCNCc2cnon2)nc1 ZINC001322795602 911956849 /nfs/dbraw/zinc/95/68/49/911956849.db2.gz GDJDORSDGGGDMJ-UHFFFAOYSA-N 0 1 299.334 0.698 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)CNC(=O)NC)C1CC1 ZINC001392762601 912140027 /nfs/dbraw/zinc/14/00/27/912140027.db2.gz FRIGUYOFEXKERC-JTQLQIEISA-N 0 1 288.779 0.152 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@@](C)(O)C1CC1 ZINC001323215282 912190646 /nfs/dbraw/zinc/19/06/46/912190646.db2.gz VKPNBSFSTXIFCU-DZGCQCFKSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C(C)(C)NC(C)=O)C(C)(C)C1 ZINC001328098820 914971213 /nfs/dbraw/zinc/97/12/13/914971213.db2.gz QLLOIIGMVIKGMM-ZDUSSCGKSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CC[C@H](NC(=O)C[N@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC001339540311 921744797 /nfs/dbraw/zinc/74/47/97/921744797.db2.gz SAPAQKXPHGFNQW-ZJUUUORDSA-N 0 1 270.354 0.016 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)C2CC(C)(C)C2)CC1 ZINC001328528728 915252608 /nfs/dbraw/zinc/25/26/08/915252608.db2.gz CIJNKTBMDSTWOL-UHFFFAOYSA-N 0 1 278.396 0.999 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CO[C@@H]1CCOC1 ZINC001328720054 915381834 /nfs/dbraw/zinc/38/18/34/915381834.db2.gz LQWCFUGAMWTHOK-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCCCC(=O)N[C@H](C)[C@@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001329106308 915676922 /nfs/dbraw/zinc/67/69/22/915676922.db2.gz CKYHEYAGNDTPMC-GHMZBOCLSA-N 0 1 295.387 0.448 20 30 CCEDMN C[C@H](CNC(=O)CN(C)C1CCC1)N(C)CC#N ZINC001329461943 915937926 /nfs/dbraw/zinc/93/79/26/915937926.db2.gz WQHKUEAYEUXUNY-LLVKDONJSA-N 0 1 252.362 0.431 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@](C)(NC(=O)CN2CCCC2)C1 ZINC001400892906 915980981 /nfs/dbraw/zinc/98/09/81/915980981.db2.gz UXAWEUHZCWEHAS-IUODEOHRSA-N 0 1 292.383 0.349 20 30 CCEDMN C[N@H+]1CCN(c2cccc(C#N)n2)C[C@@H](C(=O)[O-])C1 ZINC001329588358 916037989 /nfs/dbraw/zinc/03/79/89/916037989.db2.gz IHWMAJODXDMPEB-JTQLQIEISA-N 0 1 260.297 0.406 20 30 CCEDMN CN1CC(C(=O)NC2(CNCC#N)CCCCC2)=NC1=O ZINC001329845692 916223096 /nfs/dbraw/zinc/22/30/96/916223096.db2.gz KKPLBWUACNQAMN-UHFFFAOYSA-N 0 1 291.355 0.672 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H](C)NC(=O)CC ZINC001329922492 916277172 /nfs/dbraw/zinc/27/71/72/916277172.db2.gz BZWXZEYPYUEYLL-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CC[C@@](O)(CC#N)C1 ZINC001329925499 916283109 /nfs/dbraw/zinc/28/31/09/916283109.db2.gz SKSMXYVQRPFHGE-GWCFXTLKSA-N 0 1 262.313 0.465 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccc(=O)[nH]c1 ZINC001401575246 916340851 /nfs/dbraw/zinc/34/08/51/916340851.db2.gz PHOVBMGGVJRDKB-SNVBAGLBSA-N 0 1 285.731 0.220 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)COc2cccnc2)C1 ZINC001330060851 916391955 /nfs/dbraw/zinc/39/19/55/916391955.db2.gz NGNGKFBCCDRWCS-CYBMUJFWSA-N 0 1 287.363 0.920 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CN(C)C(=O)N2)CC1 ZINC001401973777 916738233 /nfs/dbraw/zinc/73/82/33/916738233.db2.gz OXVMMZIHIXWGHP-SECBINFHSA-N 0 1 286.763 0.001 20 30 CCEDMN CCc1[nH]c(CN=Nc2cnnn2C)cc1C(=O)OC ZINC001330889922 916954713 /nfs/dbraw/zinc/95/47/13/916954713.db2.gz ZRPVDIPAGMDHQY-UHFFFAOYSA-N 0 1 276.300 0.938 20 30 CCEDMN C[C@H](C(N)=O)N(C)CCCN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001402623954 917134513 /nfs/dbraw/zinc/13/45/13/917134513.db2.gz UKIBPLYMQOLTQV-SNVBAGLBSA-N 0 1 291.355 0.154 20 30 CCEDMN C=C(Cl)CN[C@@]1(CO)CCCN(C(=O)c2cn[nH]c2)C1 ZINC001402721653 917191981 /nfs/dbraw/zinc/19/19/81/917191981.db2.gz WBSAUGIAWIWAPL-ZDUSSCGKSA-N 0 1 298.774 0.719 20 30 CCEDMN CN(C(=O)c1ccc2[nH]nnc2c1)[C@H](CNCC#N)C1CC1 ZINC001331355261 917280643 /nfs/dbraw/zinc/28/06/43/917280643.db2.gz NBBUIORRDSCDFY-CQSZACIVSA-N 0 1 298.350 0.922 20 30 CCEDMN CC[C@@H](F)C(=O)NC[C@H](CO)NCC#Cc1ccccc1 ZINC001331772807 917594367 /nfs/dbraw/zinc/59/43/67/917594367.db2.gz RPLVTWSZDOCSLW-HUUCEWRRSA-N 0 1 292.354 0.853 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCCc1ccc(F)cc1 ZINC001331789131 917611024 /nfs/dbraw/zinc/61/10/24/917611024.db2.gz GWCFJBVVXHFEEY-HNNXBMFYSA-N 0 1 292.354 0.848 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H](CO)NCCF ZINC001331804700 917621821 /nfs/dbraw/zinc/62/18/21/917621821.db2.gz OWIOGYXGKFRIND-SRVKXCTJSA-N 0 1 274.336 0.004 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C(C1CC1)C1CC1 ZINC001331823193 917640632 /nfs/dbraw/zinc/64/06/32/917640632.db2.gz CWPMRNSJQAGICQ-CYBMUJFWSA-N 0 1 264.369 0.513 20 30 CCEDMN CCC(C)(CC)C(=O)NC[C@@H](CO)NCC#CCOC ZINC001331834189 917649816 /nfs/dbraw/zinc/64/98/16/917649816.db2.gz FIQOGPYIRHHGPK-ZDUSSCGKSA-N 0 1 284.400 0.529 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H](C)OC ZINC001492920801 917650012 /nfs/dbraw/zinc/65/00/12/917650012.db2.gz VPPLCIAPXGDKNZ-LBPRGKRZSA-N 0 1 256.346 0.109 20 30 CCEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001403496964 917744749 /nfs/dbraw/zinc/74/47/49/917744749.db2.gz KLUMXWZVSRNDKM-NEPJUHHUSA-N 0 1 299.802 0.844 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N1CC(N2CCN(C)CC2)C1 ZINC001332082902 917850841 /nfs/dbraw/zinc/85/08/41/917850841.db2.gz RBZBWGRKNMFUCN-HUUCEWRRSA-N 0 1 293.411 0.426 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)[C@H](C)C(=O)[O-] ZINC001332173650 917934521 /nfs/dbraw/zinc/93/45/21/917934521.db2.gz ZLBQYUYMXTUMOX-AXFHLTTASA-N 0 1 252.314 0.309 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)n2ccnc2)CC1 ZINC001332456410 918172933 /nfs/dbraw/zinc/17/29/33/918172933.db2.gz WNYCQTVYKSEJTH-GFCCVEGCSA-N 0 1 260.341 0.658 20 30 CCEDMN C=C(C)CCC(=O)N(C)C[C@H](O)CNCc1cnnn1C ZINC001332515777 918242369 /nfs/dbraw/zinc/24/23/69/918242369.db2.gz FFDQSXLKNMFWQC-CYBMUJFWSA-N 0 1 295.387 0.080 20 30 CCEDMN C=CC[C@H](NC(=O)NC[C@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC001332595605 918297240 /nfs/dbraw/zinc/29/72/40/918297240.db2.gz DSDUQUDDHYIWHX-PWSUYJOCSA-N 0 1 281.356 0.799 20 30 CCEDMN C=CC[C@@H](NC(=O)C[N@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC001332613101 918311448 /nfs/dbraw/zinc/31/14/48/918311448.db2.gz BXARYUXJZCCPKV-NXEZZACHSA-N 0 1 272.370 0.569 20 30 CCEDMN C#CCN(C)CCN(C(=O)Cn1ccccc1=O)C(C)C ZINC001332740335 918413128 /nfs/dbraw/zinc/41/31/28/918413128.db2.gz HRKDTYIKDMMJCD-UHFFFAOYSA-N 0 1 289.379 0.650 20 30 CCEDMN CC(C)(C)[C@@H](CNCC#N)NC(=O)CCc1nc[nH]n1 ZINC001332774767 918432638 /nfs/dbraw/zinc/43/26/38/918432638.db2.gz PJBNQOSANNUSEY-SNVBAGLBSA-N 0 1 278.360 0.381 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)C(=O)N[C@@H]2CCCN(C)C2)CC1 ZINC001332943076 918550316 /nfs/dbraw/zinc/55/03/16/918550316.db2.gz VNQXSVWZFBLSTI-MGPQQGTHSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1)C(C)C ZINC001333105592 918655792 /nfs/dbraw/zinc/65/57/92/918655792.db2.gz KMAPIBYMXYCYNU-GFCCVEGCSA-N 0 1 277.368 0.210 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1COC(=O)N1 ZINC001405219253 918673422 /nfs/dbraw/zinc/67/34/22/918673422.db2.gz JVYGYJXCSQXDJE-BDAKNGLRSA-N 0 1 275.736 0.332 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCn1cncn1 ZINC001405809229 918919873 /nfs/dbraw/zinc/91/98/73/918919873.db2.gz QFWOXWMGNYWKGU-NSHDSACASA-N 0 1 285.779 0.857 20 30 CCEDMN C=CCn1nnnc1N1CCN(C2CCOCC2)CC1 ZINC001333659863 919077473 /nfs/dbraw/zinc/07/74/73/919077473.db2.gz QZVGMSZJBNZNKU-UHFFFAOYSA-N 0 1 278.360 0.160 20 30 CCEDMN CC1(C#N)CCN(C(=O)CCCc2nn[nH]n2)CC1 ZINC001333680316 919090965 /nfs/dbraw/zinc/09/09/65/919090965.db2.gz SLXOQBASNKBVTH-UHFFFAOYSA-N 0 1 262.317 0.675 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1c(C)ccn1C ZINC001406205428 919109834 /nfs/dbraw/zinc/10/98/34/919109834.db2.gz IKPPJPDXPUOIAT-NSHDSACASA-N 0 1 285.775 0.766 20 30 CCEDMN CC(=O)NCC(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001406328058 919181586 /nfs/dbraw/zinc/18/15/86/919181586.db2.gz TVAUTPSZJWJEAO-LLVKDONJSA-N 0 1 288.351 0.289 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C(N)=O)[nH]1 ZINC001406403381 919238709 /nfs/dbraw/zinc/23/87/09/919238709.db2.gz WESDESIRFKZVHF-MRVPVSSYSA-N 0 1 284.747 0.574 20 30 CCEDMN COc1nccc(CNC[C@H](C)NC(=O)[C@@H](C)C#N)n1 ZINC001406454818 919281788 /nfs/dbraw/zinc/28/17/88/919281788.db2.gz MPRUPHZQQQEWGF-UWVGGRQHSA-N 0 1 277.328 0.239 20 30 CCEDMN N#CCCN1CCN(C[C@@H]2CCC3(COC3)O2)CC1 ZINC001334009253 919316256 /nfs/dbraw/zinc/31/62/56/919316256.db2.gz LOVMPLBGPVFEAE-ZDUSSCGKSA-N 0 1 265.357 0.466 20 30 CCEDMN C#CC[C@@H](NC(=O)C[N@@H+](C)Cc1ccccc1)C(=O)[O-] ZINC001334201813 919422147 /nfs/dbraw/zinc/42/21/47/919422147.db2.gz JSSZSDSJTLVKKV-CYBMUJFWSA-N 0 1 274.320 0.711 20 30 CCEDMN C#CC[C@@H](NC(=O)C[N@H+](C)Cc1ccccc1)C(=O)[O-] ZINC001334201813 919422153 /nfs/dbraw/zinc/42/21/53/919422153.db2.gz JSSZSDSJTLVKKV-CYBMUJFWSA-N 0 1 274.320 0.711 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@@H](C)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001334204834 919426022 /nfs/dbraw/zinc/42/60/22/919426022.db2.gz GHCXBVDKQINVES-VXGBXAGGSA-N 0 1 283.372 0.158 20 30 CCEDMN N#Cc1cncc(CN2CCn3c(=O)[nH]nc3C2)c1 ZINC001334784512 919762179 /nfs/dbraw/zinc/76/21/79/919762179.db2.gz NQUUTIQSLQETMI-UHFFFAOYSA-N 0 1 256.269 0.266 20 30 CCEDMN C=C[C@@H](COC)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC001334935072 919847257 /nfs/dbraw/zinc/84/72/57/919847257.db2.gz RXNJZNRRFKVQKY-RYUDHWBXSA-N 0 1 254.330 0.024 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](CNC(=O)Cc1cnc[nH]1)C1CC1 ZINC001407709548 919858057 /nfs/dbraw/zinc/85/80/57/919858057.db2.gz AGMKSCHVPVZKHW-CABZTGNLSA-N 0 1 289.339 0.123 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)CN2CCC(CO)CC2)C1 ZINC001335856114 920481576 /nfs/dbraw/zinc/48/15/76/920481576.db2.gz BGEJCUQJNIZWQB-AWEZNQCLSA-N 0 1 282.384 0.494 20 30 CCEDMN C#Cc1ccc(N2CCN(CC(C)(C)O)CC2)nc1 ZINC001336507063 920808120 /nfs/dbraw/zinc/80/81/20/920808120.db2.gz SPEBLWIXWPOWBK-UHFFFAOYSA-N 0 1 259.353 0.956 20 30 CCEDMN Cn1c(=O)[n-]c(NCCCC[N@@H+](C)C2CC2)c(C#N)c1=O ZINC001336667401 920917875 /nfs/dbraw/zinc/91/78/75/920917875.db2.gz XIKBMTJMFYIBSN-UHFFFAOYSA-N 0 1 291.355 0.232 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NC(=O)c2cnn[nH]2)CCCC1 ZINC001416330199 920952071 /nfs/dbraw/zinc/95/20/71/920952071.db2.gz XWBQHHVJAOCXEB-SECBINFHSA-N 0 1 290.327 0.123 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CNC(=O)c1ccc2cncn2c1 ZINC001416853477 921039467 /nfs/dbraw/zinc/03/94/67/921039467.db2.gz BQPDGCCTPIWTQX-GHMZBOCLSA-N 0 1 299.334 0.728 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H]2CCOC2)CC1 ZINC001339819843 921839140 /nfs/dbraw/zinc/83/91/40/921839140.db2.gz SOGDTFMFAJLMER-LBPRGKRZSA-N 0 1 265.357 0.420 20 30 CCEDMN C=CCn1c([C@@H](O)C=C)nnc1N1CCN(CC)CC1 ZINC001339839317 921848163 /nfs/dbraw/zinc/84/81/63/921848163.db2.gz YFPBZUHFNPOBER-LBPRGKRZSA-N 0 1 277.372 0.825 20 30 CCEDMN Cn1c(CNC(=O)CCc2ccc(C#N)cc2)n[nH]c1=O ZINC001418215779 921925598 /nfs/dbraw/zinc/92/55/98/921925598.db2.gz UZIUCNRRSRZGJA-UHFFFAOYSA-N 0 1 285.307 0.641 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1coc(C(N)=O)c1 ZINC001418260272 921969474 /nfs/dbraw/zinc/96/94/74/921969474.db2.gz BOTHPVSIPMOTCI-MRVPVSSYSA-N 0 1 285.731 0.839 20 30 CCEDMN C#CCNCC(=O)NC[C@H](O)c1c(F)cccc1Cl ZINC001340726322 922184866 /nfs/dbraw/zinc/18/48/66/922184866.db2.gz LZQBLVWOZKLFFU-NSHDSACASA-N 0 1 284.718 0.852 20 30 CCEDMN CN[C@@H](C(=O)N1CCC[C@H](C#N)C1)c1cnn(C)c1 ZINC001340989447 922306233 /nfs/dbraw/zinc/30/62/33/922306233.db2.gz BNMWHQNIASOPDN-ZYHUDNBSSA-N 0 1 261.329 0.443 20 30 CCEDMN C#CCN(CC1CC1)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC001341061677 922332079 /nfs/dbraw/zinc/33/20/79/922332079.db2.gz UAPSBEQOLATYDT-CQSZACIVSA-N 0 1 279.384 0.762 20 30 CCEDMN N#C[C@H]1CCCN(C(=O)c2[nH]nc3c2CNCC3)C1 ZINC001341092374 922344285 /nfs/dbraw/zinc/34/42/85/922344285.db2.gz BWECOVNATVCAKZ-SECBINFHSA-N 0 1 259.313 0.431 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CC[C@@H](NCc2cn(C)nn2)C1 ZINC001418725061 922389115 /nfs/dbraw/zinc/38/91/15/922389115.db2.gz XEEPIVQHIQEFPE-SDDRHHMPSA-N 0 1 290.371 0.349 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCCc2nc(C)ncc21 ZINC001341454740 922494294 /nfs/dbraw/zinc/49/42/94/922494294.db2.gz YNQCGZNQSHWRGC-ZDUSSCGKSA-N 0 1 258.325 0.501 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](CC1CCCC1)C(=O)OC ZINC001341607834 922576357 /nfs/dbraw/zinc/57/63/57/922576357.db2.gz MTMIBRMLSQZXDB-CYBMUJFWSA-N 0 1 280.368 0.695 20 30 CCEDMN C#CCNCC(=O)NCc1csc([C@H](C)OC)n1 ZINC001341627835 922591064 /nfs/dbraw/zinc/59/10/64/922591064.db2.gz SWEGULJVMURVRV-VIFPVBQESA-N 0 1 267.354 0.690 20 30 CCEDMN Cc1cc(C)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c(C)n1 ZINC001341747953 922654423 /nfs/dbraw/zinc/65/44/23/922654423.db2.gz KJWGJPLZCUNUOM-RYUDHWBXSA-N 0 1 258.325 0.848 20 30 CCEDMN Cc1nnc(COCC(=O)C(C#N)C(=O)NC(C)C)s1 ZINC001341821834 922688072 /nfs/dbraw/zinc/68/80/72/922688072.db2.gz WURCPYIZSVATBE-VIFPVBQESA-N 0 1 296.352 0.597 20 30 CCEDMN C=C(C)Cn1c(-c2c[nH]nn2)nnc1N1CCOCC1 ZINC001341868825 922703626 /nfs/dbraw/zinc/70/36/26/922703626.db2.gz RETBPWUMIDAWNM-UHFFFAOYSA-N 0 1 275.316 0.476 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)[C@@H](N)c2ccccc2)CCO1 ZINC001342111447 922808387 /nfs/dbraw/zinc/80/83/87/922808387.db2.gz LARKRZLJZKSBKW-GXTWGEPZSA-N 0 1 259.309 0.827 20 30 CCEDMN C#CCNCC(=O)N[C@@]1(C(=O)OC)CC[C@H](C)CC1 ZINC001342216998 922863494 /nfs/dbraw/zinc/86/34/94/922863494.db2.gz YYFAYMIFSKWFSJ-YEORSEQZSA-N 0 1 266.341 0.447 20 30 CCEDMN N#CC1(C(=O)NC[C@@H]2COCCN2)CCCCC1 ZINC001342226086 922866478 /nfs/dbraw/zinc/86/64/78/922866478.db2.gz DHJQSHQANYSNEF-LLVKDONJSA-N 0 1 251.330 0.565 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC[C@H](Cc3nn[nH]n3)C2)c[nH]1 ZINC001419596864 922939785 /nfs/dbraw/zinc/93/97/85/922939785.db2.gz QKEYXQFPAAQHRU-SECBINFHSA-N 0 1 285.311 0.494 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCNC(=O)NC ZINC001419656668 922975709 /nfs/dbraw/zinc/97/57/09/922975709.db2.gz OCSWUSZAMSMSDC-JTQLQIEISA-N 0 1 290.795 0.495 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cc1nnc(C)[nH]1 ZINC001419737078 923054153 /nfs/dbraw/zinc/05/41/53/923054153.db2.gz RTDXAYUDLSFNIV-QMMMGPOBSA-N 0 1 271.752 0.502 20 30 CCEDMN C#CCNCC(=O)NCc1ccccc1CS(C)(=O)=O ZINC001343317689 923270469 /nfs/dbraw/zinc/27/04/69/923270469.db2.gz VDISFDPUMNJCDB-UHFFFAOYSA-N 0 1 294.376 0.070 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CNC(=O)CCCF ZINC001420174686 923352642 /nfs/dbraw/zinc/35/26/42/923352642.db2.gz STHHGLUMLPZOIQ-SECBINFHSA-N 0 1 297.168 0.711 20 30 CCEDMN Cc1nccnc1CNC[C@@H](C)NC(=O)c1cc(C#N)c[nH]1 ZINC001420282150 923499194 /nfs/dbraw/zinc/49/91/94/923499194.db2.gz USLPAFZLSKXIPN-SNVBAGLBSA-N 0 1 298.350 0.893 20 30 CCEDMN C#CCNCC(=O)N[C@H](C(=O)OC)c1cccc(OC)c1 ZINC001344031238 923534197 /nfs/dbraw/zinc/53/41/97/923534197.db2.gz FEAYRLIRVYSEIT-AWEZNQCLSA-N 0 1 290.319 0.248 20 30 CCEDMN Cc1cc(CN)oc1C(=O)N1CCO[C@](C)(C#N)C1 ZINC001345390971 923871445 /nfs/dbraw/zinc/87/14/45/923871445.db2.gz HBMPRCVLVHIGAY-CYBMUJFWSA-N 0 1 263.297 0.801 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1ccn(-c2ccncc2)n1 ZINC001346089468 924070231 /nfs/dbraw/zinc/07/02/31/924070231.db2.gz RPRGKGPEFBHAMB-GFCCVEGCSA-N 0 1 284.319 0.771 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cnc(C)nc2N)CC1 ZINC001346151914 924089510 /nfs/dbraw/zinc/08/95/10/924089510.db2.gz VOVSMRFEIFFHOW-UHFFFAOYSA-N 0 1 274.324 0.622 20 30 CCEDMN CCC1(CC(=O)C(C#N)C(=O)NC2CC2)COC1 ZINC001346240410 924127856 /nfs/dbraw/zinc/12/78/56/924127856.db2.gz TXRUSHXUTPVWNF-SNVBAGLBSA-N 0 1 250.298 0.791 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)CCCCC#N)C1 ZINC001346453474 924221772 /nfs/dbraw/zinc/22/17/72/924221772.db2.gz VODODHXMOYIKHA-GFCCVEGCSA-N 0 1 252.362 0.432 20 30 CCEDMN CN1CC2(C1)CCN(C(=O)c1cnccc1C#N)C2 ZINC001347761198 924530814 /nfs/dbraw/zinc/53/08/14/924530814.db2.gz CADTTXSZBWYWDF-UHFFFAOYSA-N 0 1 256.309 0.731 20 30 CCEDMN C=C(CC)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001348048538 924584798 /nfs/dbraw/zinc/58/47/98/924584798.db2.gz UTJBKRJLAZAEDC-JTQLQIEISA-N 0 1 250.302 0.149 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001349777028 925053151 /nfs/dbraw/zinc/05/31/51/925053151.db2.gz JYVARMMJXMNTKX-NUEKZKHPSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCOC[C@@H](NC(=O)N[C@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC001350758795 925270553 /nfs/dbraw/zinc/27/05/53/925270553.db2.gz LACFIKMQVDDTNI-WDEREUQCSA-N 0 1 285.344 0.036 20 30 CCEDMN N#CCCCCC(=O)N1CCC(c2nn[nH]n2)CC1 ZINC001350837613 925294798 /nfs/dbraw/zinc/29/47/98/925294798.db2.gz IPSFKPISJKWBOR-UHFFFAOYSA-N 0 1 262.317 0.990 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)N[C@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC001351788268 925471999 /nfs/dbraw/zinc/47/19/99/925471999.db2.gz VQCZOQJPYUSUOJ-MCIONIFRSA-N 0 1 298.431 0.247 20 30 CCEDMN Cc1cc(C(=O)N(C)CCCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001351874627 925491215 /nfs/dbraw/zinc/49/12/15/925491215.db2.gz YAOXLDOQVRJXIO-SECBINFHSA-N 0 1 277.328 0.456 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](CNCc2nnnn2C)C1 ZINC001480277702 925577001 /nfs/dbraw/zinc/57/70/01/925577001.db2.gz XGZOJAXHIFJBPD-LBPRGKRZSA-N 0 1 292.387 0.505 20 30 CCEDMN N#CC1CCN(C[C@H](O)CC2(O)CCOCC2)CC1 ZINC001352877884 925663396 /nfs/dbraw/zinc/66/33/96/925663396.db2.gz JRSOMOSYHGYZKO-CYBMUJFWSA-N 0 1 268.357 0.514 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H](C)NCc1nccnc1C ZINC001480460181 925781177 /nfs/dbraw/zinc/78/11/77/925781177.db2.gz SEAVDZVUGJSWTQ-AAEUAGOBSA-N 0 1 290.367 0.418 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCNC2CC2)nc1 ZINC001356535169 926397521 /nfs/dbraw/zinc/39/75/21/926397521.db2.gz QVZZJOGFYADSEF-UHFFFAOYSA-N 0 1 251.311 0.479 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)CNC(=O)Cc1n[nH]c(C)n1 ZINC001357011407 926474261 /nfs/dbraw/zinc/47/42/61/926474261.db2.gz KOKYSHKRLAAGTK-JTQLQIEISA-N 0 1 293.371 0.490 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](NC(=O)c1cnn[nH]1)C(C)C ZINC001357094910 926484009 /nfs/dbraw/zinc/48/40/09/926484009.db2.gz QDGSKIWDVUSCNL-LLVKDONJSA-N 0 1 291.355 0.479 20 30 CCEDMN C#CCNCC(=O)NC1CCN(CC(F)F)CC1 ZINC001357384262 926522045 /nfs/dbraw/zinc/52/20/45/926522045.db2.gz IPOZVLNDQPNQIQ-UHFFFAOYSA-N 0 1 259.300 0.055 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]1CNC(=O)CN1CCCC1 ZINC001358609553 926764924 /nfs/dbraw/zinc/76/49/24/926764924.db2.gz UIOSGADVWXNZST-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2cc(C)n[nH]2)CCO1 ZINC001421794301 926911595 /nfs/dbraw/zinc/91/15/95/926911595.db2.gz CYUYHLAQELOMBH-LLVKDONJSA-N 0 1 298.774 0.901 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](C)CC(N)=O ZINC001421940443 927007762 /nfs/dbraw/zinc/00/77/62/927007762.db2.gz UGORCQKQNYQOMC-WPRPVWTQSA-N 0 1 275.780 0.687 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)c1cc(C#N)ccc1F ZINC001361497399 927103751 /nfs/dbraw/zinc/10/37/51/927103751.db2.gz KRNSZAGKUUOVKX-UHFFFAOYSA-N 0 1 288.242 0.427 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cnn(CC)c1 ZINC001422264716 927182614 /nfs/dbraw/zinc/18/26/14/927182614.db2.gz LPZCQCVDJSCKQU-NSHDSACASA-N 0 1 286.763 0.336 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cnc(C)s1 ZINC001422296292 927203764 /nfs/dbraw/zinc/20/37/64/927203764.db2.gz ZXMSCDTWYYQRAP-SECBINFHSA-N 0 1 289.788 0.884 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2ccn(CCn3cccn3)n2)NO1 ZINC001362310756 927859410 /nfs/dbraw/zinc/85/94/10/927859410.db2.gz NHYMGQQTRQRQLM-SNVBAGLBSA-N 0 1 288.311 0.632 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@]1(C)CCN(CCNC(=O)C2CC2)C1 ZINC001423575601 927927447 /nfs/dbraw/zinc/92/74/47/927927447.db2.gz VZJXRPCVFZXACK-NHYWBVRUSA-N 0 1 292.383 0.253 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)NCc2n[nH]c(C3CC3)n2)cc1 ZINC001362927110 928662088 /nfs/dbraw/zinc/66/20/88/928662088.db2.gz UNMGYQSOMRFVGZ-CYBMUJFWSA-N 0 1 297.318 0.904 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)Cc1cnc[nH]1 ZINC001426030236 928735929 /nfs/dbraw/zinc/73/59/29/928735929.db2.gz GOAYXZJPOLWHIZ-NSHDSACASA-N 0 1 286.763 0.114 20 30 CCEDMN COc1cc(CNC2CC(N(C)C(=O)[C@H](C)C#N)C2)on1 ZINC001426239503 928797258 /nfs/dbraw/zinc/79/72/58/928797258.db2.gz VLCAEFXVSNHGSJ-KPPDAEKUSA-N 0 1 292.339 0.922 20 30 CCEDMN N#CC[C@H](C(=O)NCc1n[nH]c(CO)n1)c1ccccc1 ZINC001363288736 929079637 /nfs/dbraw/zinc/07/96/37/929079637.db2.gz UKNSPYDFUNORHN-NSHDSACASA-N 0 1 285.307 0.611 20 30 CCEDMN N#CC[C@H](C(=O)NCc1nnc(CO)[nH]1)c1ccccc1 ZINC001363288736 929079648 /nfs/dbraw/zinc/07/96/48/929079648.db2.gz UKNSPYDFUNORHN-NSHDSACASA-N 0 1 285.307 0.611 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@]2(CCOC2=O)C1 ZINC001363315855 929107113 /nfs/dbraw/zinc/10/71/13/929107113.db2.gz SVGHAMLAORSJOG-DOMZBBRYSA-N 0 1 293.367 0.634 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@]2(CCOC2=O)C1 ZINC001363315855 929107118 /nfs/dbraw/zinc/10/71/18/929107118.db2.gz SVGHAMLAORSJOG-DOMZBBRYSA-N 0 1 293.367 0.634 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CCOC(=O)N3)[nH]c2c1 ZINC001363505636 929306227 /nfs/dbraw/zinc/30/62/27/929306227.db2.gz ABTMHMPRKPEOGE-SECBINFHSA-N 0 1 285.263 0.872 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001429454530 929459047 /nfs/dbraw/zinc/45/90/47/929459047.db2.gz PNEYNUQYELXSGD-GMTAPVOTSA-N 0 1 289.339 0.247 20 30 CCEDMN N#Cc1ccnc(C(=O)N[C@H](CO)Cc2cnc[nH]2)c1 ZINC001363659719 929462402 /nfs/dbraw/zinc/46/24/02/929462402.db2.gz OQZIIPUGRRDNKN-NSHDSACASA-N 0 1 271.280 0.010 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](N(C)C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001372062302 929723318 /nfs/dbraw/zinc/72/33/18/929723318.db2.gz YXYLPQZNPROJHJ-GXSJLCMTSA-N 0 1 289.339 0.551 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H](C)CN(C)C(=O)[C@@H](C)C#N)c1C ZINC001443986990 929761840 /nfs/dbraw/zinc/76/18/40/929761840.db2.gz CHTTTXZLEJURDS-DTWKUNHWSA-N 0 1 291.355 0.763 20 30 CCEDMN N#CC1(NC(=O)c2cncc3nc[nH]c32)CCOCC1 ZINC001444210238 929810760 /nfs/dbraw/zinc/81/07/60/929810760.db2.gz MUNNKPBKYUGELX-UHFFFAOYSA-N 0 1 271.280 0.760 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@H]1CCCN(C)C1=O ZINC001372685829 929915804 /nfs/dbraw/zinc/91/58/04/929915804.db2.gz YBOYZQXLFOVILY-OLZOCXBDSA-N 0 1 294.399 0.595 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccn2nnnc2c1 ZINC001445593937 930178096 /nfs/dbraw/zinc/17/80/96/930178096.db2.gz PLMDGGLZJHLFNG-VIFPVBQESA-N 0 1 294.746 0.585 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CNC(=O)NC ZINC001373761205 930333580 /nfs/dbraw/zinc/33/35/80/930333580.db2.gz POLCYXUWPIHOCS-VIFPVBQESA-N 0 1 276.768 0.152 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CC[C@H](NCc2cn(C)nn2)CC1 ZINC001373913975 930371483 /nfs/dbraw/zinc/37/14/83/930371483.db2.gz CEFFFWQGDPWXRO-SRVKXCTJSA-N 0 1 290.371 0.492 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2CCC3N=NC(=O)N3C2)c1 ZINC001447047437 930553664 /nfs/dbraw/zinc/55/36/64/930553664.db2.gz GGNVJIUHPUBSQA-SECBINFHSA-N 0 1 299.290 0.762 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCC(=O)N2C)CCC1 ZINC001447243224 930592455 /nfs/dbraw/zinc/59/24/55/930592455.db2.gz GKXAVLQVLLNQFG-LLVKDONJSA-N 0 1 299.802 0.988 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1CCCN1C(C)=O ZINC001374850825 930691161 /nfs/dbraw/zinc/69/11/61/930691161.db2.gz AXTLXFLVNKWJLJ-ZYHUDNBSSA-N 0 1 287.791 0.844 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@H](NCc2nccn2C)C1 ZINC001375521957 930906349 /nfs/dbraw/zinc/90/63/49/930906349.db2.gz HTTJTNHTNBLAND-SRVKXCTJSA-N 0 1 275.356 0.564 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CN(CCO)CCC1(F)F ZINC001375995696 931016936 /nfs/dbraw/zinc/01/69/36/931016936.db2.gz XBQDHMAGBZENLC-ZJUUUORDSA-N 0 1 275.299 0.212 20 30 CCEDMN CCN(CCNC(=O)[C@@H]1CCCN1C)C(=O)[C@H](C)C#N ZINC001449345419 931130924 /nfs/dbraw/zinc/13/09/24/931130924.db2.gz SXVMJVXHZYPMIE-NEPJUHHUSA-N 0 1 280.372 0.205 20 30 CCEDMN C#CC[C@@H](NC(=O)c1c[nH]c2ccccc2c1=O)C(=O)OC ZINC001451178192 931392570 /nfs/dbraw/zinc/39/25/70/931392570.db2.gz HVZTUSCJFJMKAZ-CYBMUJFWSA-N 0 1 298.298 0.823 20 30 CCEDMN C#C[C@H]1CCC[N@@H+](CN2C[C@@H](C(=O)[O-])CC2=O)C1 ZINC001602675355 971247811 /nfs/dbraw/zinc/24/78/11/971247811.db2.gz RUVLQVJXNMEGKG-QWRGUYRKSA-N 0 1 250.298 0.222 20 30 CCEDMN C#C[C@H]1CCC[N@H+](CN2C[C@@H](C(=O)[O-])CC2=O)C1 ZINC001602675355 971247816 /nfs/dbraw/zinc/24/78/16/971247816.db2.gz RUVLQVJXNMEGKG-QWRGUYRKSA-N 0 1 250.298 0.222 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@@H](C)C[C@H](C(=O)[O-])C1 ZINC001602723089 971393276 /nfs/dbraw/zinc/39/32/76/971393276.db2.gz LBMPXTBEFDPQDP-DCAQKATOSA-N 0 1 297.355 0.429 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCC(C(=O)[O-])CC1 ZINC000328322589 971462941 /nfs/dbraw/zinc/46/29/41/971462941.db2.gz ZLDRCVZUJPETGV-UHFFFAOYSA-N 0 1 281.356 0.448 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC001589391518 954325456 /nfs/dbraw/zinc/32/54/56/954325456.db2.gz CCOIKROCNBIDRX-WOPDTQHZSA-N 0 1 281.356 0.790 20 30 CCEDMN C[C@H](C[N@@H+](C)C[C@H](O)CC1(C#N)CCOCC1)C(=O)[O-] ZINC001589032420 955525647 /nfs/dbraw/zinc/52/56/47/955525647.db2.gz AJBQIASZWNLPBJ-VXGBXAGGSA-N 0 1 284.356 0.710 20 30 CCEDMN C[C@@H](C[N@@H+](C)C[C@H](O)CC1(C#N)CCOCC1)C(=O)[O-] ZINC001589032416 955525945 /nfs/dbraw/zinc/52/59/45/955525945.db2.gz AJBQIASZWNLPBJ-NWDGAFQWSA-N 0 1 284.356 0.710 20 30 CCEDMN N#CC1(C[C@H](O)C[N@H+]2CCC[C@H]2C(=O)[O-])CCC1 ZINC001594603626 956157643 /nfs/dbraw/zinc/15/76/43/956157643.db2.gz HMCWDDLYGOKFMQ-QWRGUYRKSA-N 0 1 252.314 0.980 20 30 CCEDMN N#Cc1csc(C[N@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)n1 ZINC001594634605 956363151 /nfs/dbraw/zinc/36/31/51/956363151.db2.gz MPFVXBLEDIXXFN-PRHODGIISA-N 0 1 279.321 0.548 20 30 CCEDMN N#Cc1csc(C[N@@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)n1 ZINC001594634605 956363166 /nfs/dbraw/zinc/36/31/66/956363166.db2.gz MPFVXBLEDIXXFN-PRHODGIISA-N 0 1 279.321 0.548 20 30 CCEDMN N#CCCNC(=O)C[N@@H+](CC(=O)[O-])C1CCCC1 ZINC000037596904 957312684 /nfs/dbraw/zinc/31/26/84/957312684.db2.gz JKIPWJKNLRDGRY-UHFFFAOYSA-N 0 1 253.302 0.345 20 30 CCEDMN N#CCCNC(=O)C[N@H+](CC(=O)[O-])C1CCCC1 ZINC000037596904 957312693 /nfs/dbraw/zinc/31/26/93/957312693.db2.gz JKIPWJKNLRDGRY-UHFFFAOYSA-N 0 1 253.302 0.345 20 30 CCEDMN C#C[C@H](CO)[NH2+]Cc1ccc(N2CCC(C(=O)[O-])CC2)o1 ZINC001588388679 957997981 /nfs/dbraw/zinc/99/79/81/957997981.db2.gz YRFPQJWRVNQJHV-GFCCVEGCSA-N 0 1 292.335 0.664 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)C(CC)(CC)C(=O)[O-] ZINC001588394453 958034463 /nfs/dbraw/zinc/03/44/63/958034463.db2.gz DIPGNPIZQXQKFS-UHFFFAOYSA-N 0 1 268.357 0.949 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H]1CCC[N@@H+]1CCC ZINC001588399738 958076167 /nfs/dbraw/zinc/07/61/67/958076167.db2.gz OLIGMGDRGLACRI-LLVKDONJSA-N 0 1 252.314 0.407 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@H]1CC[N@H+](CC(C)C)C1 ZINC001588408651 958114964 /nfs/dbraw/zinc/11/49/64/958114964.db2.gz WZYWXYZQIUBNIS-CYBMUJFWSA-N 0 1 295.383 0.694 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)Nc1cc[nH+]c(N(C)C)c1 ZINC001588412769 958153190 /nfs/dbraw/zinc/15/31/90/958153190.db2.gz JWZYVBCDCSKQEX-UHFFFAOYSA-N 0 1 276.296 0.699 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])CC(C)(C)CC(=O)OCC ZINC001588420325 958202815 /nfs/dbraw/zinc/20/28/15/958202815.db2.gz VXWUISPGWNNVKA-UHFFFAOYSA-N 0 1 255.314 0.986 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])CC(C)(C)CC(=O)OCC ZINC001588420325 958202826 /nfs/dbraw/zinc/20/28/26/958202826.db2.gz VXWUISPGWNNVKA-UHFFFAOYSA-N 0 1 255.314 0.986 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)[C@@H]2CC[C@H]2C(=O)[O-])C1 ZINC001588430768 958259106 /nfs/dbraw/zinc/25/91/06/958259106.db2.gz OJMQVMJILCGCGF-QJPTWQEYSA-N 0 1 264.325 0.311 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CC[C@H]2C(=O)[O-])C1 ZINC001588430768 958259112 /nfs/dbraw/zinc/25/91/12/958259112.db2.gz OJMQVMJILCGCGF-QJPTWQEYSA-N 0 1 264.325 0.311 20 30 CCEDMN C=C[C@H]1CCCC[N@@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001588451800 958415400 /nfs/dbraw/zinc/41/54/00/958415400.db2.gz BBENGPCOSIZBPO-WDEREUQCSA-N 0 1 252.314 0.712 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N1CCN(c2[nH]cc[nH+]2)CC1 ZINC001588538477 958940628 /nfs/dbraw/zinc/94/06/28/958940628.db2.gz ISLQDIBGPGOEHB-ZDUSSCGKSA-N 0 1 291.311 0.063 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@@H+](CC(=O)[O-])CC1CC1)C1CC1 ZINC001588543417 958978461 /nfs/dbraw/zinc/97/84/61/958978461.db2.gz LLDKDGOZAGLMCA-CQSZACIVSA-N 0 1 279.340 0.591 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+](CC(=O)[O-])CC1CC1)C1CC1 ZINC001588543417 958978468 /nfs/dbraw/zinc/97/84/68/958978468.db2.gz LLDKDGOZAGLMCA-CQSZACIVSA-N 0 1 279.340 0.591 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1C[C@H]2CC[N@H+](C)[C@H]2C1 ZINC001573331843 962818746 /nfs/dbraw/zinc/81/87/46/962818746.db2.gz COVZUQCAYDVSJW-MNOVXSKESA-N 0 1 252.314 0.570 20 30 CCEDMN C[C@H]1C[N@@H+](C[C@H](O)CC2(C#N)CC2)C[C@@H](C(=O)[O-])O1 ZINC001570989042 963132519 /nfs/dbraw/zinc/13/25/19/963132519.db2.gz FGVWOIIYHMUYPH-AXFHLTTASA-N 0 1 268.313 0.215 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC001609696224 970454817 /nfs/dbraw/zinc/45/48/17/970454817.db2.gz CYCABMYUBMVHFX-NXEZZACHSA-N 0 1 265.313 0.344 20 30 CCEDMN C[N@@H+](CCCC(=O)[O-])[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC001604161539 972576191 /nfs/dbraw/zinc/57/61/91/972576191.db2.gz IOCXWLZSQPZTOM-ZDUSSCGKSA-N 0 1 275.308 0.881 20 30 CCEDMN N#Cc1ccc(C[N@H+]2C[C@H](O)C[C@@H](C(=O)[O-])C2)c(F)c1 ZINC000401929412 973542021 /nfs/dbraw/zinc/54/20/21/973542021.db2.gz USQXDEKBUHFPRS-VXGBXAGGSA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1ccc(F)c(C[N@H+]2C[C@H](O)C[C@H](C(=O)[O-])C2)c1 ZINC000401933005 973542795 /nfs/dbraw/zinc/54/27/95/973542795.db2.gz XZHNYRSLRKNHKN-NWDGAFQWSA-N 0 1 278.283 0.965 20 30 CCEDMN CC[C@@H](C)[N@H+](CC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001594978545 982761739 /nfs/dbraw/zinc/76/17/39/982761739.db2.gz RWKMSRSVIAUXAF-SNVBAGLBSA-N 0 1 267.329 0.734 20 30 CCEDMN CC[C@@H](C)[N@@H+](CC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001594978545 982761744 /nfs/dbraw/zinc/76/17/44/982761744.db2.gz RWKMSRSVIAUXAF-SNVBAGLBSA-N 0 1 267.329 0.734 20 30 CCEDMN C#CCN(C(=O)[C@H]1C[C@@H]1C(=O)[O-])C1CC[NH+](CCF)CC1 ZINC001588459602 983484885 /nfs/dbraw/zinc/48/48/85/983484885.db2.gz DMVNRCKVQKQDOV-STQMWFEESA-N 0 1 296.342 0.603 20 30 CCEDMN C#CCOCCC(=O)O[C@H]1C[N@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001588489819 983529397 /nfs/dbraw/zinc/52/93/97/983529397.db2.gz NAGAACPMOPVVAO-MFKMUULPSA-N 0 1 269.297 0.117 20 30 CCEDMN C#CCOCC[N@H+](C)[C@@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC001588489943 983529934 /nfs/dbraw/zinc/52/99/34/983529934.db2.gz PCIBQXCOKMDASL-LBPRGKRZSA-N 0 1 296.367 0.280 20 30 CCEDMN C=C(C)CC[N@@H+](C)CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001588559965 983641023 /nfs/dbraw/zinc/64/10/23/983641023.db2.gz WNBJZBMPPFRGBF-GHMZBOCLSA-N 0 1 254.330 0.721 20 30 CCEDMN C=C(C)C[N@@H+](CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-])C1CC1 ZINC001588568032 983648801 /nfs/dbraw/zinc/64/88/01/983648801.db2.gz ZVTJPFFZAMYLJS-RYUDHWBXSA-N 0 1 266.341 0.864 20 30 CCEDMN C=C[C@@H](C(=O)[O-])N1CCC([N@@H+](C)CCCO)CC1 ZINC001588662558 983789723 /nfs/dbraw/zinc/78/97/23/983789723.db2.gz BRNFRIQMNOVZOW-LBPRGKRZSA-N 0 1 256.346 0.404 20 30 CCEDMN C=C[C@@H]([NH2+][C@H]1Cc2ccccc2N(C)C1=O)C(=O)[O-] ZINC001588696408 983903120 /nfs/dbraw/zinc/90/31/20/983903120.db2.gz NOYKNAGUOBGVIU-MNOVXSKESA-N 0 1 260.293 0.803 20 30 CCEDMN C=C[C@@H](OC(=O)C[N@@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC001588710703 983933697 /nfs/dbraw/zinc/93/36/97/983933697.db2.gz HVXILGFQVFKXJS-RKDXNWHRSA-N 0 1 259.327 0.606 20 30 CCEDMN C=C[C@@H](OC(=O)C[N@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC001588710703 983933699 /nfs/dbraw/zinc/93/36/99/983933699.db2.gz HVXILGFQVFKXJS-RKDXNWHRSA-N 0 1 259.327 0.606 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC001588729386 983989802 /nfs/dbraw/zinc/98/98/02/983989802.db2.gz JPDNQZNMNAOSRF-VHSXEESVSA-N 0 1 254.286 0.542 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC001588729550 983991354 /nfs/dbraw/zinc/99/13/54/983991354.db2.gz KWFDKYZJAALMIL-MWLCHTKSSA-N 0 1 294.311 0.341 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](CS(=O)(=O)NC)C1 ZINC001588731099 984005321 /nfs/dbraw/zinc/00/53/21/984005321.db2.gz ZCRRYWYVDGCXII-MNOVXSKESA-N 0 1 290.385 0.277 20 30 CCEDMN C=CC[C@H](CO)[NH2+]C1CCN(CCCC(=O)[O-])CC1 ZINC001588745282 984050746 /nfs/dbraw/zinc/05/07/46/984050746.db2.gz XQDQTAUBFIVMCU-CYBMUJFWSA-N 0 1 270.373 0.842 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)[C@H](C)C(=O)NCC(=O)[O-] ZINC001588822841 984292697 /nfs/dbraw/zinc/29/26/97/984292697.db2.gz SYSNVJJMHHAVTJ-LLVKDONJSA-N 0 1 271.361 0.015 20 30 CCEDMN C=CCN(C)CC[N@@H+](CC)[C@H](C)C(=O)NCC(=O)[O-] ZINC001588822841 984292701 /nfs/dbraw/zinc/29/27/01/984292701.db2.gz SYSNVJJMHHAVTJ-LLVKDONJSA-N 0 1 271.361 0.015 20 30 CCEDMN C=CCN(C)CC[N@H+](CC)[C@H](C)C(=O)NCC(=O)[O-] ZINC001588822841 984292707 /nfs/dbraw/zinc/29/27/07/984292707.db2.gz SYSNVJJMHHAVTJ-LLVKDONJSA-N 0 1 271.361 0.015 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2CCSC[C@H](C(=O)[O-])C2)C1=O ZINC001588838699 984340054 /nfs/dbraw/zinc/34/00/54/984340054.db2.gz HYCULRAXUSSHCC-LLVKDONJSA-N 0 1 299.396 0.617 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCC[C@H]2CC(=O)[O-])C1=O ZINC001588839069 984344600 /nfs/dbraw/zinc/34/46/00/984344600.db2.gz NXTLPHGLCMYTJJ-QWRGUYRKSA-N 0 1 252.314 0.712 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]([C@H](C)C(=O)[O-])C1CCC1 ZINC001588843857 984354668 /nfs/dbraw/zinc/35/46/68/984354668.db2.gz JPYBFGRIIHFFOP-SECBINFHSA-N 0 1 283.328 0.326 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]([C@H](C)C(=O)[O-])C1CCC1 ZINC001588843857 984354673 /nfs/dbraw/zinc/35/46/73/984354673.db2.gz JPYBFGRIIHFFOP-SECBINFHSA-N 0 1 283.328 0.326 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1C[C@H](C)[C@@](C)(C(=O)[O-])C1 ZINC001588844090 984355833 /nfs/dbraw/zinc/35/58/33/984355833.db2.gz BJPCFVAMVOURTN-RBZYPMLTSA-N 0 1 297.355 0.429 20 30 CCEDMN C=CCOCCCC(=O)O[C@@H]1C[C@@H](C(=O)[O-])[N@H+](C)C1 ZINC001588866531 984426246 /nfs/dbraw/zinc/42/62/46/984426246.db2.gz JOVXIENVGWVRGE-MNOVXSKESA-N 0 1 271.313 0.670 20 30 CCEDMN C=CCOCCCC(=O)O[C@@H]1C[C@@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588866531 984426250 /nfs/dbraw/zinc/42/62/50/984426250.db2.gz JOVXIENVGWVRGE-MNOVXSKESA-N 0 1 271.313 0.670 20 30 CCEDMN C[C@@H](C#N)Oc1ccc(C[N@H+]2CC[C@@](O)(C(=O)[O-])C2)cc1 ZINC001589334207 986198922 /nfs/dbraw/zinc/19/89/22/986198922.db2.gz BRJDSJJWKRTKGO-NHYWBVRUSA-N 0 1 290.319 0.999 20 30 CCEDMN C[C@H](C#N)Oc1ccc(C[N@H+]2CC[C@](O)(C(=O)[O-])C2)cc1 ZINC001589334206 986199083 /nfs/dbraw/zinc/19/90/83/986199083.db2.gz BRJDSJJWKRTKGO-IAQYHMDHSA-N 0 1 290.319 0.999 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1C2CCC1(C(=O)[O-])CC2 ZINC001598467961 991980670 /nfs/dbraw/zinc/98/06/70/991980670.db2.gz FKMOZODUFXKNLL-UHFFFAOYSA-N 0 1 279.340 0.830 20 30 CCEDMN C[N@@H+](CCNC(=O)COc1ccc(C#N)cc1)CC(=O)[O-] ZINC001598490232 992277859 /nfs/dbraw/zinc/27/78/59/992277859.db2.gz INODLOPPPVJQPK-UHFFFAOYSA-N 0 1 291.307 0.070 20 30 CCEDMN C[N@@H+](CCNC(=O)c1cc(C#N)cs1)CC(=O)[O-] ZINC001598492749 992323522 /nfs/dbraw/zinc/32/35/22/992323522.db2.gz QZDPSFGTPTUNOZ-UHFFFAOYSA-N 0 1 267.310 0.366 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)c2cccc(C#N)c2)C[C@H]1C(=O)[O-] ZINC001598576069 993277249 /nfs/dbraw/zinc/27/72/49/993277249.db2.gz QLIKORHAHKXUIL-RYUDHWBXSA-N 0 1 274.276 0.872 20 30 CCEDMN CC(=O)Nc1cccc([C@@H](C)NCC(=O)NCC#N)c1 ZINC000037900916 260119251 /nfs/dbraw/zinc/11/92/51/260119251.db2.gz FWESDPJWOJUYSP-SNVBAGLBSA-N 0 1 274.324 0.935 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCc3cc[nH]c(=O)c3C2)[nH]1 ZINC000617277076 365588100 /nfs/dbraw/zinc/58/81/00/365588100.db2.gz DARKIHALKYMQFA-UHFFFAOYSA-N 0 1 298.306 0.404 20 30 CCEDMN C[C@@H]1C[C@@H]1c1cc(=NC(=O)N=c2[nH]n(C)cc2C#N)[nH][nH]1 ZINC000617277172 365589081 /nfs/dbraw/zinc/58/90/81/365589081.db2.gz ZUSXCZGVMILGOB-APPZFPTMSA-N 0 1 285.311 0.626 20 30 CCEDMN N#CC1(CC(=O)NCCCN2CCC[C@H]2C(N)=O)CC1 ZINC000600234382 361899134 /nfs/dbraw/zinc/89/91/34/361899134.db2.gz FINFTXUGJPASNG-NSHDSACASA-N 0 1 278.356 0.136 20 30 CCEDMN Cc1nccc([NH+]=C([O-])N[C@H]2CCc3ncnn3C2)n1 ZINC000330268646 282185273 /nfs/dbraw/zinc/18/52/73/282185273.db2.gz IUBMORANEPODMS-VIFPVBQESA-N 0 1 273.300 0.717 20 30 CCEDMN Cn1cc([N+](=O)[O-])c(S(=O)(=O)N[C@@]2(C#N)CC2(C)C)n1 ZINC000600669083 362028819 /nfs/dbraw/zinc/02/88/19/362028819.db2.gz VDTVUMAUUVKZTI-SNVBAGLBSA-N 0 1 299.312 0.299 20 30 CCEDMN C[C@](O)(C[C@H](O)CN1CC[C@@](O)(CC#N)C1)C1CC1 ZINC000600846093 362078672 /nfs/dbraw/zinc/07/86/72/362078672.db2.gz LVCQAQAXAIBTSL-IHRRRGAJSA-N 0 1 268.357 0.249 20 30 CCEDMN CN1C[C@@H]2CCCN(S(=O)(=O)c3ccc(C#N)o3)[C@@H]2C1 ZINC000425216026 529823790 /nfs/dbraw/zinc/82/37/90/529823790.db2.gz PKCATGDJWWBLBS-CMPLNLGQSA-N 0 1 295.364 0.866 20 30 CCEDMN N#CC1(C(=O)NCCN2CC[C@@H](O)C2)CC2(CC2)C1 ZINC000601682459 362353935 /nfs/dbraw/zinc/35/39/35/362353935.db2.gz AYLWDGPSRNZQOP-LLVKDONJSA-N 0 1 263.341 0.253 20 30 CCEDMN CN(CCc1ccccc1)CC(=O)N1CCOC[C@H]1C#N ZINC000602112379 362496085 /nfs/dbraw/zinc/49/60/85/362496085.db2.gz ZKMZDSCFOSFWFQ-OAHLLOKOSA-N 0 1 287.363 0.912 20 30 CCEDMN Cc1nnc(SCC(=O)N2CCN(CCC#N)CC2)[nH]1 ZINC000602209624 362560425 /nfs/dbraw/zinc/56/04/25/362560425.db2.gz ZVYJPONSFLPDLL-UHFFFAOYSA-N 0 1 294.384 0.263 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CCN(CCC#N)CC2)n1 ZINC000602209624 362560433 /nfs/dbraw/zinc/56/04/33/362560433.db2.gz ZVYJPONSFLPDLL-UHFFFAOYSA-N 0 1 294.384 0.263 20 30 CCEDMN C[C@@]1(C(=O)N2Cc3ccc(C#N)cc3C2)CNCCO1 ZINC000602519632 362653631 /nfs/dbraw/zinc/65/36/31/362653631.db2.gz CDGAGBIMNBTGIG-HNNXBMFYSA-N 0 1 271.320 0.779 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NCc1cccc(C#N)n1)CC2 ZINC000602535583 362664231 /nfs/dbraw/zinc/66/42/31/362664231.db2.gz ZXJXXSGIEHEQLG-CYBMUJFWSA-N 0 1 268.324 0.958 20 30 CCEDMN C[C@@H]1CN(CCc2cnccn2)C[C@@H](C)N1CC#N ZINC000602848978 362836136 /nfs/dbraw/zinc/83/61/36/362836136.db2.gz IZVDFJDZPVCKHW-CHWSQXEVSA-N 0 1 259.357 0.937 20 30 CCEDMN COC[C@H](C)OC[C@H](O)CN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602855714 362842464 /nfs/dbraw/zinc/84/24/64/362842464.db2.gz WFOUSZTYYUTXML-LJISPDSOSA-N 0 1 299.415 0.317 20 30 CCEDMN N#Cc1csc(CN2CCN(Cc3nnc[nH]3)CC2)n1 ZINC000602861539 362845314 /nfs/dbraw/zinc/84/53/14/362845314.db2.gz BGWWUNGBKDHMHS-UHFFFAOYSA-N 0 1 289.368 0.451 20 30 CCEDMN CC(C)OC[C@H](O)CN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602865654 362849484 /nfs/dbraw/zinc/84/94/84/362849484.db2.gz UKNLYFYHMSEJQM-MELADBBJSA-N 0 1 269.389 0.690 20 30 CCEDMN CCN(C)CCNS(=O)(=O)c1ccc(C#N)o1 ZINC000344382368 137191930 /nfs/dbraw/zinc/19/19/30/137191930.db2.gz LKWOXHIZFXXEGA-UHFFFAOYSA-N 0 1 257.315 0.381 20 30 CCEDMN COCC1(NC(=O)N=c2[nH]n(C)cc2C#N)CCCC1 ZINC000602884739 362862767 /nfs/dbraw/zinc/86/27/67/362862767.db2.gz CLHUUWIFZPQIEF-UHFFFAOYSA-N 0 1 277.328 0.794 20 30 CCEDMN CCN(CCSC)C(=O)N=c1[nH]n(C)cc1C#N ZINC000602886616 362866168 /nfs/dbraw/zinc/86/61/68/362866168.db2.gz KKCJUGNHIDGBAL-UHFFFAOYSA-N 0 1 267.358 0.930 20 30 CCEDMN COC[C@@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000602878918 362859042 /nfs/dbraw/zinc/85/90/42/362859042.db2.gz COTUMCTWZVVGCW-LLVKDONJSA-N 0 1 291.355 0.994 20 30 CCEDMN COc1cc(C#N)ccc1CN1C[C@H](O)C[C@]1(C)CO ZINC000602901521 362875760 /nfs/dbraw/zinc/87/57/60/362875760.db2.gz ZHECVJSMTQWBHP-UKRRQHHQSA-N 0 1 276.336 0.884 20 30 CCEDMN COC[C@](C)(CO)NCC(=O)NCc1cccc(C#N)c1 ZINC000602931094 362892760 /nfs/dbraw/zinc/89/27/60/362892760.db2.gz GJRIPYDWDSDCCA-HNNXBMFYSA-N 0 1 291.351 0.161 20 30 CCEDMN CO[C@@H]1CN(Cc2ccnc(C#N)c2)[C@@](C)(CO)C1 ZINC000602964023 362910393 /nfs/dbraw/zinc/91/03/93/362910393.db2.gz LXKCQJVAMXAVSH-UONOGXRCSA-N 0 1 261.325 0.925 20 30 CCEDMN CO[C@@H]1CN(Cc2ccnc(C#N)c2)[C@](C)(CO)C1 ZINC000602964021 362910450 /nfs/dbraw/zinc/91/04/50/362910450.db2.gz LXKCQJVAMXAVSH-KBPBESRZSA-N 0 1 261.325 0.925 20 30 CCEDMN CO[C@H]1CN(Cc2ccnc(C#N)c2)[C@@](C)(CO)C1 ZINC000602964024 362910613 /nfs/dbraw/zinc/91/06/13/362910613.db2.gz LXKCQJVAMXAVSH-ZIAGYGMSSA-N 0 1 261.325 0.925 20 30 CCEDMN Cc1[nH]sc(=NC(=O)N2CCOCCN2)c1C#N ZINC000603009999 362937357 /nfs/dbraw/zinc/93/73/57/362937357.db2.gz FAOQJURLOFQYFZ-UHFFFAOYSA-N 0 1 267.314 0.692 20 30 CCEDMN CCCCNC(=O)[C@@H]1CC[C@H](C)N(CC(=O)NCC#N)C1 ZINC000076669268 349089568 /nfs/dbraw/zinc/08/95/68/349089568.db2.gz XDDBSBKQDIXFOE-QWHCGFSZSA-N 0 1 294.399 0.643 20 30 CCEDMN CCCCNC(=O)CCN1CCN(CCC#N)CC1 ZINC000603016689 362939157 /nfs/dbraw/zinc/93/91/57/362939157.db2.gz JEFSQTDWDDRPAA-UHFFFAOYSA-N 0 1 266.389 0.824 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C[C@H](O)C1CC1 ZINC000079769334 349189483 /nfs/dbraw/zinc/18/94/83/349189483.db2.gz MIZGNPQBWDGCTR-LBPRGKRZSA-N 0 1 253.346 0.451 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)NCCCCN1CCOCC1 ZINC000079398739 349175595 /nfs/dbraw/zinc/17/55/95/349175595.db2.gz WFKIIHDXJQHQAK-CYBMUJFWSA-N 0 1 282.388 0.900 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1cc(C#N)cs1 ZINC000084188205 349316557 /nfs/dbraw/zinc/31/65/57/349316557.db2.gz FCTYVFHMPTVCOH-LLVKDONJSA-N 0 1 292.408 0.985 20 30 CCEDMN Cc1ccc(C#N)c(NCCCc2nc(=O)[nH][nH]2)n1 ZINC000128027351 350183763 /nfs/dbraw/zinc/18/37/63/350183763.db2.gz AGBHCEBGPPTFRR-UHFFFAOYSA-N 0 1 258.285 0.718 20 30 CCEDMN C=CCOCCCC(=O)N1CCN([C@@H](CC)CO)CC1 ZINC000131731076 350285114 /nfs/dbraw/zinc/28/51/14/350285114.db2.gz YRVBHMSJHABSFU-AWEZNQCLSA-N 0 1 284.400 0.884 20 30 CCEDMN Cc1ccnc(NC[C@@H]2CN(C)CCN2C)c1C#N ZINC000135079564 350384506 /nfs/dbraw/zinc/38/45/06/350384506.db2.gz NQZQEPAJRDHVGJ-GFCCVEGCSA-N 0 1 259.357 0.919 20 30 CCEDMN CN(C)Cc1ccnc(NC(=O)N2CCO[C@H](C#N)C2)c1 ZINC000603147476 362974968 /nfs/dbraw/zinc/97/49/68/362974968.db2.gz YGYZNOXCKXFOAB-GFCCVEGCSA-N 0 1 289.339 0.899 20 30 CCEDMN CCNCc1cn(CC(=O)Nc2ccc(C#N)cc2)nn1 ZINC000603167978 362980571 /nfs/dbraw/zinc/98/05/71/362980571.db2.gz IFDRXZHGRVVGAO-UHFFFAOYSA-N 0 1 284.323 0.898 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](CCC(N)=O)C1 ZINC000170732794 350854517 /nfs/dbraw/zinc/85/45/17/350854517.db2.gz DFKGZNCLHSCJQR-CYBMUJFWSA-N 0 1 294.399 0.726 20 30 CCEDMN CCN(CCC#N)C(=O)Cn1cc([C@H](C)NC)nn1 ZINC000603209130 363001009 /nfs/dbraw/zinc/00/10/09/363001009.db2.gz OPSUOYXIQTXRKI-JTQLQIEISA-N 0 1 264.333 0.321 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](C)[C@@H](OC)C1 ZINC000189298595 351667171 /nfs/dbraw/zinc/66/71/71/351667171.db2.gz YRUIPOGBRUWYAP-SRVKXCTJSA-N 0 1 283.372 0.744 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2ccccc2C#N)CC1 ZINC000192903234 351803014 /nfs/dbraw/zinc/80/30/14/351803014.db2.gz LVALKPPSZANHBA-UHFFFAOYSA-N 0 1 272.352 0.768 20 30 CCEDMN C[C@@H](CNc1cnc(C#N)cn1)N1CCN(C)CC1 ZINC000123532377 187097679 /nfs/dbraw/zinc/09/76/79/187097679.db2.gz DRBQJTPKBQDJEP-NSHDSACASA-N 0 1 260.345 0.396 20 30 CCEDMN CO[C@@H](C)CNCCS(=O)(=O)c1cccc(C#N)c1 ZINC000224212470 351996822 /nfs/dbraw/zinc/99/68/22/351996822.db2.gz PELHJKOYSBBETI-NSHDSACASA-N 0 1 282.365 0.956 20 30 CCEDMN CNC(=O)[C@@H]1CCCN(Cc2ccnc(C#N)c2)C1 ZINC000228059666 352065169 /nfs/dbraw/zinc/06/51/69/352065169.db2.gz TXWWVPXSHBWJMT-GFCCVEGCSA-N 0 1 258.325 0.911 20 30 CCEDMN C[C@@H](NS(=O)(=O)Cc1ccc(C#N)cc1)c1nnc[nH]1 ZINC000230418161 352115469 /nfs/dbraw/zinc/11/54/69/352115469.db2.gz LUTKUBXSAYUGHA-SECBINFHSA-N 0 1 291.336 0.857 20 30 CCEDMN CCc1nnc(NC[C@@H]2COCCN2)c(C#N)c1CC ZINC000234177081 352154485 /nfs/dbraw/zinc/15/44/85/352154485.db2.gz SIFKTOXLYDIBNI-SNVBAGLBSA-N 0 1 275.356 0.873 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](OCCOC)C1 ZINC000246009508 352345005 /nfs/dbraw/zinc/34/50/05/352345005.db2.gz ZIFAAPCJGCVLBT-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN N#Cc1ccnc(N2CCN(CCCCO)CC2)c1 ZINC000263985023 352594865 /nfs/dbraw/zinc/59/48/65/352594865.db2.gz HPHCKLNBAWUDPC-UHFFFAOYSA-N 0 1 260.341 0.848 20 30 CCEDMN CC[C@H](CO)N1CCN(c2ccc(C#N)nc2)CC1 ZINC000267411939 352766594 /nfs/dbraw/zinc/76/65/94/352766594.db2.gz RVECADUHPQGJRS-CYBMUJFWSA-N 0 1 260.341 0.846 20 30 CCEDMN O[C@H](CNCC#Cc1cccc(F)c1)CN1CCOCC1 ZINC000573914679 334976630 /nfs/dbraw/zinc/97/66/30/334976630.db2.gz NFZFHWOEWQEQDX-MRXNPFEDSA-N 0 1 292.354 0.460 20 30 CCEDMN C[C@@H]1CN(c2cnc(C#N)cn2)[C@H](C)CN1CCO ZINC000418995636 234281390 /nfs/dbraw/zinc/28/13/90/234281390.db2.gz DCTSDLLKQDERAW-GHMZBOCLSA-N 0 1 261.329 0.240 20 30 CCEDMN CN1CC=C(CNS(=O)(=O)c2cccnc2C#N)CC1 ZINC000277296536 353235178 /nfs/dbraw/zinc/23/51/78/353235178.db2.gz ZZUBHNNUUMFLQJ-UHFFFAOYSA-N 0 1 292.364 0.493 20 30 CCEDMN C#CC[C@H](NCc1cc(=O)n(C)c(=O)n1C)c1ccccc1 ZINC000271688565 191276902 /nfs/dbraw/zinc/27/69/02/191276902.db2.gz JURQRWRLYKJALL-HNNXBMFYSA-N 0 1 297.358 0.938 20 30 CCEDMN C[C@H](O)CN1CCN(c2cc(C#N)ccn2)[C@H](C)C1 ZINC000287052823 353625463 /nfs/dbraw/zinc/62/54/63/353625463.db2.gz XASKFBOWUKOIAE-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN COc1ccc(CN2[C@@H](CO)CC[C@@H]2CO)cc1C#N ZINC000287443848 353647052 /nfs/dbraw/zinc/64/70/52/353647052.db2.gz QHDSMGWPXFJZSU-ZIAGYGMSSA-N 0 1 276.336 0.884 20 30 CCEDMN CC(C)S(=O)(=O)CCNCc1cc(C#N)n(C)c1 ZINC000290259550 353718050 /nfs/dbraw/zinc/71/80/50/353718050.db2.gz WPEWBIWISOELQG-UHFFFAOYSA-N 0 1 269.370 0.810 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2CCCN(CCCO)C2)ccn1 ZINC000295537407 353902405 /nfs/dbraw/zinc/90/24/05/353902405.db2.gz RUPRCGTXTJRGBD-CYBMUJFWSA-N 0 1 288.351 0.530 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC[C@@H](C)O)CC1 ZINC000304672859 354120419 /nfs/dbraw/zinc/12/04/19/354120419.db2.gz XAVULPJSPBULRR-GFCCVEGCSA-N 0 1 252.358 0.561 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N(C)C[C@H](O)C1CC1 ZINC000305750817 354158928 /nfs/dbraw/zinc/15/89/28/354158928.db2.gz RLGLYPZTUOPQDE-PWSUYJOCSA-N 0 1 253.346 0.450 20 30 CCEDMN CC(C)n1nccc1C(=O)N=c1[nH]n(C)cc1C#N ZINC000331198673 354488600 /nfs/dbraw/zinc/48/86/00/354488600.db2.gz TWQWFSRUWWCLAP-UHFFFAOYSA-N 0 1 258.285 0.743 20 30 CCEDMN CN(CCNS(=O)(=O)c1ccc(C#N)o1)C1CC1 ZINC000331523186 354598179 /nfs/dbraw/zinc/59/81/79/354598179.db2.gz UZVWJTHDNMACOI-UHFFFAOYSA-N 0 1 269.326 0.524 20 30 CCEDMN CC(C)[C@@H]1CN(C(=O)[C@H](C)n2cnc(C#N)n2)CCN1C ZINC000337105216 355266554 /nfs/dbraw/zinc/26/65/54/355266554.db2.gz RXEVRJFUWHCHCJ-RYUDHWBXSA-N 0 1 290.371 0.509 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2ccc(F)c(C#N)c2)[nH]1 ZINC000341417069 355667717 /nfs/dbraw/zinc/66/77/17/355667717.db2.gz JVPLMUNWSKAEED-UHFFFAOYSA-N 0 1 269.239 0.977 20 30 CCEDMN CN1CC[C@H](CNC(=O)[C@@H]2CCCCS2(=O)=O)C1 ZINC000329982807 283113776 /nfs/dbraw/zinc/11/37/76/283113776.db2.gz AQMXZBUTXLUCLU-MNOVXSKESA-N 0 1 274.386 0.862 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cnc(C2CC2)[nH]1 ZINC000343447685 355771096 /nfs/dbraw/zinc/77/10/96/355771096.db2.gz PXHWEICGQPIIPL-UHFFFAOYSA-N 0 1 262.269 0.426 20 30 CCEDMN COc1cc(C#N)ccc1OCCN(C)[C@H]1COC[C@@H]1O ZINC000352798857 356082469 /nfs/dbraw/zinc/08/24/69/356082469.db2.gz DPNIIADNYKTZCJ-STQMWFEESA-N 0 1 292.335 0.637 20 30 CCEDMN C=CCOCCCN1C[C@H]2CCS(=O)(=O)[C@H]2C1 ZINC000353131075 356161853 /nfs/dbraw/zinc/16/18/53/356161853.db2.gz YFIUMIOPIDBXEA-NEPJUHHUSA-N 0 1 259.371 0.698 20 30 CCEDMN CN1CC[C@H]2CCN(S(=O)(=O)CC3(C#N)CC3)[C@@H]2C1 ZINC000329596843 283152527 /nfs/dbraw/zinc/15/25/27/283152527.db2.gz CFOBUHHBDBQNGQ-NWDGAFQWSA-N 0 1 283.397 0.646 20 30 CCEDMN N#Cc1ccc(CCC(=O)NCc2nnc[nH]2)cc1 ZINC000354177675 356359862 /nfs/dbraw/zinc/35/98/62/356359862.db2.gz BTTQCESCILJPMI-UHFFFAOYSA-N 0 1 255.281 0.925 20 30 CCEDMN C[C@@H]1CCN(C)CCN1S(=O)(=O)CCCC#N ZINC000354490207 356424027 /nfs/dbraw/zinc/42/40/27/356424027.db2.gz QCOXBHHXZJWVGU-LLVKDONJSA-N 0 1 259.375 0.646 20 30 CCEDMN Cn1ncc(C(=O)NCCN2CCCCC2)c1C#N ZINC000354608137 356450567 /nfs/dbraw/zinc/45/05/67/356450567.db2.gz UTSKDRRMSQTEGF-UHFFFAOYSA-N 0 1 261.329 0.507 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC(C)(C)C#N)[C@H](C)C1 ZINC000355079902 356571063 /nfs/dbraw/zinc/57/10/63/356571063.db2.gz JMKZJXISIBABAG-NEPJUHHUSA-N 0 1 282.388 0.633 20 30 CCEDMN C=CCCCS(=O)(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000655647623 412636873 /nfs/dbraw/zinc/63/68/73/412636873.db2.gz NQPRMUWZFYFAOD-NWDGAFQWSA-N 0 1 274.386 0.033 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@@H]1CCCN(CC#N)C1 ZINC000610485971 363565706 /nfs/dbraw/zinc/56/57/06/363565706.db2.gz UDHNSGNTHFLBNL-UONOGXRCSA-N 0 1 278.400 0.822 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H]2CCCCO2)[nH]1 ZINC000610562395 363576148 /nfs/dbraw/zinc/57/61/48/363576148.db2.gz RPMJZCWWHPWBAD-JTQLQIEISA-N 0 1 263.301 0.404 20 30 CCEDMN COc1ncccc1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610562566 363576827 /nfs/dbraw/zinc/57/68/27/363576827.db2.gz XSYFEEPCNHNGKM-UHFFFAOYSA-N 0 1 286.295 0.439 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H]2CCC[N@@H+](C)C2)[n-]1 ZINC000610564066 363578916 /nfs/dbraw/zinc/57/89/16/363578916.db2.gz KKBZRQFQUXBAGZ-SNVBAGLBSA-N 0 1 276.344 0.177 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@]2(C)CCOC2)[nH]1 ZINC000610565021 363578985 /nfs/dbraw/zinc/57/89/85/363578985.db2.gz NYUGWJGGXDPYAT-GFCCVEGCSA-N 0 1 263.301 0.262 20 30 CCEDMN CO[C@@H](C)CS(=O)(=O)Nc1ccc(C#N)c(C)n1 ZINC000451093513 236135428 /nfs/dbraw/zinc/13/54/28/236135428.db2.gz WBVONJBDFUENGM-QMMMGPOBSA-N 0 1 269.326 0.460 20 30 CCEDMN Cc1cc(N2CCN(CCO)C[C@@H]2C)cc(C#N)n1 ZINC000450959454 236124872 /nfs/dbraw/zinc/12/48/72/236124872.db2.gz YKIKUVXMCGNFHK-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC[C@@H]2CCOC2)CC1 ZINC000437592507 358236872 /nfs/dbraw/zinc/23/68/72/358236872.db2.gz RJFQPVZBCRBXJS-CQSZACIVSA-N 0 1 281.400 0.333 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H](C)c2cnccn2)CC1 ZINC000491202098 358292346 /nfs/dbraw/zinc/29/23/46/358292346.db2.gz LSDZFVKUMMMACC-GFCCVEGCSA-N 0 1 272.352 0.999 20 30 CCEDMN C=C(C)COCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610773199 363612871 /nfs/dbraw/zinc/61/28/71/363612871.db2.gz KDVHAYKHVYVMQX-UHFFFAOYSA-N 0 1 263.301 0.428 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCC(=O)Nc1ccccc1 ZINC000572148864 358928013 /nfs/dbraw/zinc/92/80/13/358928013.db2.gz GGIUQDKLWUCOHO-CQSZACIVSA-N 0 1 290.367 0.421 20 30 CCEDMN CCN1CCN([C@H]2CCN(c3cncc(C#N)n3)C2)CC1 ZINC000574196513 359037691 /nfs/dbraw/zinc/03/76/91/359037691.db2.gz GRJHUWUHNBJNGG-AWEZNQCLSA-N 0 1 286.383 0.564 20 30 CCEDMN C[C@@H](O)[C@@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610964592 363639219 /nfs/dbraw/zinc/63/92/19/363639219.db2.gz FDHDEVGMYBOEPJ-NXEZZACHSA-N 0 1 277.328 0.338 20 30 CCEDMN Cc1cc(C#N)cc(NC[C@@H](C)N2CCN(C)CC2)n1 ZINC000587803194 359301951 /nfs/dbraw/zinc/30/19/51/359301951.db2.gz YHLUDAZWBZLQDP-CYBMUJFWSA-N 0 1 273.384 0.731 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1cccc(CC#N)c1 ZINC000588137621 359315678 /nfs/dbraw/zinc/31/56/78/359315678.db2.gz ADOBHINUBHUVBB-NSHDSACASA-N 0 1 281.381 0.981 20 30 CCEDMN Cc1cnn([C@@H]2CCN(C(=O)N=c3[nH]n(C)cc3C#N)C2)c1 ZINC000589332773 359379305 /nfs/dbraw/zinc/37/93/05/359379305.db2.gz SFMVDVVSIFFSJT-GFCCVEGCSA-N 0 1 299.338 0.698 20 30 CCEDMN CN1C[C@@H]2CCN(S(=O)(=O)CCCC#N)[C@@H]2C1 ZINC000589930097 359410452 /nfs/dbraw/zinc/41/04/52/359410452.db2.gz SFCRRKNQRQJBQO-WDEREUQCSA-N 0 1 257.359 0.256 20 30 CCEDMN CN(CCN1CCCS1(=O)=O)[C@@H]1CC[C@@H](C#N)C1 ZINC000590162482 359427600 /nfs/dbraw/zinc/42/76/00/359427600.db2.gz OIXZJOFXBFATSO-VXGBXAGGSA-N 0 1 271.386 0.646 20 30 CCEDMN CCN1C(=O)[C@@H]2CN([C@H]3CC[C@@H](C#N)C3)CCN2C1=O ZINC000590161205 359428555 /nfs/dbraw/zinc/42/85/55/359428555.db2.gz JSZJLQIMPQJWOL-WOPDTQHZSA-N 0 1 276.340 0.647 20 30 CCEDMN N#Cc1cccc(C(=O)Nc2nc(SCCO)n[nH]2)n1 ZINC000589452924 359383207 /nfs/dbraw/zinc/38/32/07/359383207.db2.gz NPPRXRPWRKQIJM-UHFFFAOYSA-N 0 1 290.308 0.408 20 30 CCEDMN N#CCCCC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000589699911 359397752 /nfs/dbraw/zinc/39/77/52/359397752.db2.gz SUDWGKZTLCGFQZ-STQMWFEESA-N 0 1 265.357 0.738 20 30 CCEDMN CC[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@@H]1CCCO1 ZINC000611127430 363662305 /nfs/dbraw/zinc/66/23/05/363662305.db2.gz DPVOMLQDILNVQY-QWRGUYRKSA-N 0 1 277.328 0.793 20 30 CCEDMN CCC[C@@H](CNC(=O)N=c1[nH]n(C)cc1C#N)OC ZINC000590819587 359527490 /nfs/dbraw/zinc/52/74/90/359527490.db2.gz NWJQHAUETWLOSB-JTQLQIEISA-N 0 1 265.317 0.650 20 30 CCEDMN COC1(CCNC(=O)N=c2[nH]n(C)cc2C#N)CCC1 ZINC000590819669 359527650 /nfs/dbraw/zinc/52/76/50/359527650.db2.gz RAFOOCQSJRGZBI-UHFFFAOYSA-N 0 1 277.328 0.794 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2C[C@H]2c2ccco2)[nH]1 ZINC000591338167 359579479 /nfs/dbraw/zinc/57/94/79/359579479.db2.gz QNMNSURFJJYKDO-NXEZZACHSA-N 0 1 271.280 0.984 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H](O)COc2ccccc2)C1 ZINC000592144784 359764420 /nfs/dbraw/zinc/76/44/20/359764420.db2.gz INJLGQYYBNPBAE-HIFRSBDPSA-N 0 1 276.336 0.777 20 30 CCEDMN N#Cc1cnn2c1NC[C@H](CN1CCO[C@H]3C[C@H]31)C2 ZINC000592514753 359867969 /nfs/dbraw/zinc/86/79/69/359867969.db2.gz IJJZHVAMTZDGDI-JLLWLGSASA-N 0 1 259.313 0.270 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC[C@@H](C(N)=O)C3)cnc12 ZINC000592496370 359858616 /nfs/dbraw/zinc/85/86/16/359858616.db2.gz IYMJXOWMYQHRNU-LLVKDONJSA-N 0 1 284.323 0.298 20 30 CCEDMN C=CCn1cc(C(=O)Nc2nc(C(=O)OCC)c[nH]2)nn1 ZINC000592630124 359904038 /nfs/dbraw/zinc/90/40/38/359904038.db2.gz DWMARXXMRHDYFB-UHFFFAOYSA-N 0 1 290.283 0.616 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cc(C)ncn2)[nH]n1C ZINC000592654896 359910593 /nfs/dbraw/zinc/91/05/93/359910593.db2.gz TUUSFEIZVVHMDA-UHFFFAOYSA-N 0 1 256.269 0.373 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000592926615 359984435 /nfs/dbraw/zinc/98/44/35/359984435.db2.gz TVDUGBVUQAOHSE-SNVBAGLBSA-N 0 1 278.264 0.805 20 30 CCEDMN COC(=O)CC1(NCC(=O)N[C@](C)(C#N)C2CC2)CC1 ZINC000593059327 360024393 /nfs/dbraw/zinc/02/43/93/360024393.db2.gz RJZDHFWYUDPKKP-CYBMUJFWSA-N 0 1 279.340 0.480 20 30 CCEDMN CC[C@H](C#N)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000593458702 360093377 /nfs/dbraw/zinc/09/33/77/360093377.db2.gz RLLHIWUVHOIJJG-VXGBXAGGSA-N 0 1 252.362 0.288 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000593505339 360101054 /nfs/dbraw/zinc/10/10/54/360101054.db2.gz MVXZJJNBPBBQNU-GFCCVEGCSA-N 0 1 265.357 0.859 20 30 CCEDMN Cc1cc(C#N)cc(N2CCN(Cc3nnc[nH]3)CC2)n1 ZINC000593508265 360102548 /nfs/dbraw/zinc/10/25/48/360102548.db2.gz REBVXOFTKLEEQZ-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(CC[C@H]2CCNC2=O)CC1 ZINC000593968770 360225213 /nfs/dbraw/zinc/22/52/13/360225213.db2.gz PGOLUAUMMMLVEG-KGLIPLIRSA-N 0 1 278.400 0.678 20 30 CCEDMN CO[C@@H]1CCC[C@H]1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611388935 363712009 /nfs/dbraw/zinc/71/20/09/363712009.db2.gz XLGMKEKFAGTYKD-GXSJLCMTSA-N 0 1 277.328 0.650 20 30 CCEDMN Cc1cc(C#N)nc(NCc2n[nH]c([C@H]3CCCO3)n2)n1 ZINC000594589797 360336965 /nfs/dbraw/zinc/33/69/65/360336965.db2.gz OVIHQIBHSUTNLM-SNVBAGLBSA-N 0 1 285.311 0.660 20 30 CCEDMN N#Cc1cccc([N+](=O)[O-])c1N1CCN2C[C@H](O)C[C@H]2C1 ZINC000594601490 360337542 /nfs/dbraw/zinc/33/75/42/360337542.db2.gz BWJATGIHJYKDGL-NWDGAFQWSA-N 0 1 288.307 0.722 20 30 CCEDMN N#Cc1nccc(N2CCN([C@H]3CCC[C@H]3O)CC2)n1 ZINC000594548801 360329144 /nfs/dbraw/zinc/32/91/44/360329144.db2.gz FOBQDSZODHNTMJ-NWDGAFQWSA-N 0 1 273.340 0.384 20 30 CCEDMN CN(C[C@H]1CCCC[C@@H]1O)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611503761 363728405 /nfs/dbraw/zinc/72/84/05/363728405.db2.gz HYWFXCKQXLMREB-PWSUYJOCSA-N 0 1 291.355 0.728 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@H]2CC23CC[NH2+]CC3)o1 ZINC000595112154 360438448 /nfs/dbraw/zinc/43/84/48/360438448.db2.gz UFOAVUCTYWDCPW-JTQLQIEISA-N 0 1 281.337 0.572 20 30 CCEDMN N#Cc1csc(CNC[C@@]2(OCCO)CCOC2)n1 ZINC000594975780 360429341 /nfs/dbraw/zinc/42/93/41/360429341.db2.gz UHWDWBHRUXGRBI-LBPRGKRZSA-N 0 1 283.353 0.272 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC[C@H]2CCCCO2)[nH]1 ZINC000611557452 363738296 /nfs/dbraw/zinc/73/82/96/363738296.db2.gz GKHIWSCCMNKZMQ-LLVKDONJSA-N 0 1 277.328 0.794 20 30 CCEDMN C[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@H]1CCCOC1 ZINC000611557548 363738304 /nfs/dbraw/zinc/73/83/04/363738304.db2.gz XAVIVPYIKMWUER-ZJUUUORDSA-N 0 1 277.328 0.650 20 30 CCEDMN COC[C@H](CN1CCN(c2cc(C#N)ccn2)CC1)OC ZINC000595291918 360483841 /nfs/dbraw/zinc/48/38/41/360483841.db2.gz XWCOIMRZNVZLLZ-AWEZNQCLSA-N 0 1 290.367 0.737 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)c2cccnc2C#N)CCN1 ZINC000595413491 360525736 /nfs/dbraw/zinc/52/57/36/360525736.db2.gz SPUMPGOXMZPTIH-LBPRGKRZSA-N 0 1 294.380 0.572 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N2CCC[C@@H](CC#N)C2)C1 ZINC000595499955 360552775 /nfs/dbraw/zinc/55/27/75/360552775.db2.gz OUDGEFXHGCXFBU-KBPBESRZSA-N 0 1 293.415 0.567 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@@H](Cn3ccnn3)C2)CCC1 ZINC000595604785 360594534 /nfs/dbraw/zinc/59/45/34/360594534.db2.gz CWHCAKSUWCFNLL-GFCCVEGCSA-N 0 1 288.355 0.162 20 30 CCEDMN C[C@@]12COC[C@]1(C)CN(CC(=O)NC1(C#N)CCC1)C2 ZINC000595688950 360621579 /nfs/dbraw/zinc/62/15/79/360621579.db2.gz LPWMMMIXCLASFW-OKILXGFUSA-N 0 1 277.368 0.907 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000595741839 360636144 /nfs/dbraw/zinc/63/61/44/360636144.db2.gz DOYRKCBJVJVJRM-CXTNEJHOSA-N 0 1 281.400 0.943 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@@H](C)[C@](C)(CO)C1 ZINC000595876309 360688205 /nfs/dbraw/zinc/68/82/05/360688205.db2.gz RPMSTCXOYCEOFV-SNPRPXQTSA-N 0 1 281.400 0.991 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CC1=CCSC1 ZINC000595895240 360694857 /nfs/dbraw/zinc/69/48/57/360694857.db2.gz GNUHXUVCICLZQA-JTQLQIEISA-N 0 1 283.397 0.992 20 30 CCEDMN N#Cc1cnnc(N2CCN([C@H]3CCC[C@@H]3O)CC2)c1 ZINC000596112440 360797435 /nfs/dbraw/zinc/79/74/35/360797435.db2.gz PLAIHKMFKZRKSA-STQMWFEESA-N 0 1 273.340 0.384 20 30 CCEDMN N#Cc1nc(NCCN2CCC(O)CC2)sc1Cl ZINC000596259149 360856026 /nfs/dbraw/zinc/85/60/26/360856026.db2.gz SELIDWCUNFBUIU-UHFFFAOYSA-N 0 1 286.788 0.959 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1cc(C#N)cnn1 ZINC000596274213 360863241 /nfs/dbraw/zinc/86/32/41/360863241.db2.gz CDSBCZLBRXGTLT-WDEREUQCSA-N 0 1 261.329 0.240 20 30 CCEDMN CCN1CCN([C@@H]2CCN(C(=O)[C@H](C)CC#N)C2)CC1 ZINC000597166877 361020640 /nfs/dbraw/zinc/02/06/40/361020640.db2.gz SQMMZRASNUIIBA-ZIAGYGMSSA-N 0 1 278.400 0.775 20 30 CCEDMN N#CC1(CC(=O)NCCN2CCSCC2)CCOCC1 ZINC000597216548 361033789 /nfs/dbraw/zinc/03/37/89/361033789.db2.gz XSMCEBFMYSUJQD-UHFFFAOYSA-N 0 1 297.424 0.862 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)N1CCN(CC#N)CC1)C2 ZINC000597275231 361049663 /nfs/dbraw/zinc/04/96/63/361049663.db2.gz TTWOOJXXPNWOQW-LBPRGKRZSA-N 0 1 287.367 0.491 20 30 CCEDMN CC[C@@H](CC#N)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597506898 361120074 /nfs/dbraw/zinc/12/00/74/361120074.db2.gz FDFYTUOEJWILMX-QMMMGPOBSA-N 0 1 297.336 0.462 20 30 CCEDMN COc1cc(CNC(=O)Cc2n[nH]c(C)n2)ccc1C#N ZINC000597666960 361179823 /nfs/dbraw/zinc/17/98/23/361179823.db2.gz UHGMRTARZVUYTF-UHFFFAOYSA-N 0 1 285.307 0.852 20 30 CCEDMN C[N@H+](Cc1n[n-]c(=O)o1)C[C@@H](O)CC(C)(C)C#N ZINC000598623307 361464244 /nfs/dbraw/zinc/46/42/44/361464244.db2.gz VZQAZZHMTHIRMZ-QMMMGPOBSA-N 0 1 254.290 0.508 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](C)[C@H](CO)C1 ZINC000598649714 361471989 /nfs/dbraw/zinc/47/19/89/361471989.db2.gz YXUOOOHOMSFJOW-AGIUHOORSA-N 0 1 279.384 0.888 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)C(C)(C)n2cccn2)[nH]n1C ZINC000598843095 361524985 /nfs/dbraw/zinc/52/49/85/361524985.db2.gz JOGHVVZFEYNJPR-UHFFFAOYSA-N 0 1 272.312 0.592 20 30 CCEDMN CCN(CCO)CCNS(=O)(=O)c1ccc(C#N)cc1 ZINC000184340935 189383587 /nfs/dbraw/zinc/38/35/87/189383587.db2.gz PHDNVVFUTVFKSM-UHFFFAOYSA-N 0 1 297.380 0.151 20 30 CCEDMN COC(=O)[C@H]1CC[N@@H+](C[C@H](O)CC2(C#N)CCOCC2)C1 ZINC000599264808 361625973 /nfs/dbraw/zinc/62/59/73/361625973.db2.gz OBTJZZKBHNLPRO-QWHCGFSZSA-N 0 1 296.367 0.553 20 30 CCEDMN CCC[N@H+](CC(=O)NC)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000599269388 361627074 /nfs/dbraw/zinc/62/70/74/361627074.db2.gz PXVROGXDWMIBOU-ZDUSSCGKSA-N 0 1 297.399 0.516 20 30 CCEDMN C=CC(C)(C)CNS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599317254 361649458 /nfs/dbraw/zinc/64/94/58/361649458.db2.gz RSKIYXHMOBYWFV-UHFFFAOYSA-N 0 1 288.325 0.952 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000612013769 363829709 /nfs/dbraw/zinc/82/97/09/363829709.db2.gz BZXNAGMXPMDFQZ-GXTWGEPZSA-N 0 1 268.357 0.104 20 30 CCEDMN N#CCCCNC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000612380498 363898196 /nfs/dbraw/zinc/89/81/96/363898196.db2.gz VSJAQGXOYLCDCQ-OLZOCXBDSA-N 0 1 280.372 0.531 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC(CCCN2CCOCC2)CC1 ZINC000613351747 364220282 /nfs/dbraw/zinc/22/02/82/364220282.db2.gz CDMPFXYDRLMEBR-OAHLLOKOSA-N 0 1 296.411 0.884 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000613790538 364374324 /nfs/dbraw/zinc/37/43/24/364374324.db2.gz POSBSNQTIWWSSX-SMDDNHRTSA-N 0 1 270.373 0.227 20 30 CCEDMN CC(C)(CO)N1CCN(c2ccncc2C#N)CC1 ZINC000614132893 364510559 /nfs/dbraw/zinc/51/05/59/364510559.db2.gz QNZWQKDSWTXKED-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCN(C2CCOCC2)C[C@H]1C ZINC000614133561 364511486 /nfs/dbraw/zinc/51/14/86/364511486.db2.gz NDOCPQLYMPCMAO-DGCLKSJQSA-N 0 1 268.357 0.245 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000614389802 364590749 /nfs/dbraw/zinc/59/07/49/364590749.db2.gz POERYQWFLVDZMB-QPUJVOFHSA-N 0 1 267.285 0.418 20 30 CCEDMN C[C@@H]1CN(Cc2ccnc(C#N)c2)CCN1CCO ZINC000614600939 364673799 /nfs/dbraw/zinc/67/37/99/364673799.db2.gz QRXAWQWCBUUNHM-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)NCc1c[nH]nn1 ZINC000615165079 364866439 /nfs/dbraw/zinc/86/64/39/364866439.db2.gz VLIXNPCNDCEYAM-UHFFFAOYSA-N 0 1 284.279 0.240 20 30 CCEDMN CN(CC(=O)N1CC[C@](F)(C#N)C1)[C@H]1CCSC1 ZINC000615664718 365042800 /nfs/dbraw/zinc/04/28/00/365042800.db2.gz PRCVWFRKWIBQTI-JQWIXIFHSA-N 0 1 271.361 0.888 20 30 CCEDMN CCN1CCN(CC(=O)N2CC[C@](F)(C#N)C2)CC1 ZINC000615826621 365099354 /nfs/dbraw/zinc/09/93/54/365099354.db2.gz VWBSCYRUZIQPSV-ZDUSSCGKSA-N 0 1 268.336 0.088 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)NCCN1CC=CCC1 ZINC000330585644 203004846 /nfs/dbraw/zinc/00/48/46/203004846.db2.gz UTRJQVVMZXAADT-UHFFFAOYSA-N 0 1 258.325 0.888 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NCc2ccc(C#N)cc2)C[C@H]1O ZINC000330657904 203085721 /nfs/dbraw/zinc/08/57/21/203085721.db2.gz OOCIJHLWRDETSV-IUODEOHRSA-N 0 1 287.363 0.877 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCN1CC[C@H](O)C1 ZINC000629912997 369819873 /nfs/dbraw/zinc/81/98/73/369819873.db2.gz QUKGWMWKQDYVQP-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN N#Cc1csc(CN2CCn3c(=O)[nH]nc3C2)c1 ZINC000331861159 204241251 /nfs/dbraw/zinc/24/12/51/204241251.db2.gz IUCVONVXEWVOOY-UHFFFAOYSA-N 0 1 261.310 0.933 20 30 CCEDMN C[C@H](NS(=O)(=O)c1cncc(C#N)c1)c1nnc[nH]1 ZINC000336815323 213689929 /nfs/dbraw/zinc/68/99/29/213689929.db2.gz HODBYDBADDLEQN-ZETCQYMHSA-N 0 1 278.297 0.111 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)CCCc1nn[nH]n1 ZINC000636056335 373305209 /nfs/dbraw/zinc/30/52/09/373305209.db2.gz MKIXTFFUAQLSCP-UWVGGRQHSA-N 0 1 265.317 0.230 20 30 CCEDMN C=CCCCCNC(=O)NCCN1CC[C@H](O)C1 ZINC000637055611 373904341 /nfs/dbraw/zinc/90/43/41/373904341.db2.gz JSMGZAXYVBAGCZ-LBPRGKRZSA-N 0 1 255.362 0.709 20 30 CCEDMN C=CCOCCNCc1ccc(S(N)(=O)=O)s1 ZINC000638969914 375488092 /nfs/dbraw/zinc/48/80/92/375488092.db2.gz OLSXVLCRMFNXIB-UHFFFAOYSA-N 0 1 276.383 0.688 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H](O)C[C@]1(C)CO ZINC000639855072 376081451 /nfs/dbraw/zinc/08/14/51/376081451.db2.gz LWEMPJGGQDMDSR-GXTWGEPZSA-N 0 1 270.373 0.229 20 30 CCEDMN O=C1c2ccccc2C(=O)N1CC#CCN1C[C@H]2C[C@@]2(O)C1 ZINC000640489355 376399821 /nfs/dbraw/zinc/39/98/21/376399821.db2.gz XFVUAXFOSUPRDE-SJKOYZFVSA-N 0 1 296.326 0.353 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)Cc1cccc(C#N)c1 ZINC000516696787 249176834 /nfs/dbraw/zinc/17/68/34/249176834.db2.gz DLWWBKIBZAKGLY-UHFFFAOYSA-N 0 1 255.281 0.877 20 30 CCEDMN C=CCCn1cc(CNCC[C@H](O)COC)nn1 ZINC000657421688 412984677 /nfs/dbraw/zinc/98/46/77/412984677.db2.gz IGYQLJBWCALKRE-LBPRGKRZSA-N 0 1 254.334 0.341 20 30 CCEDMN C=CCCn1cc(CNCC[C@@](C)(O)COC)nn1 ZINC000657477646 413000305 /nfs/dbraw/zinc/00/03/05/413000305.db2.gz PJBYXQFPDQTJCS-CYBMUJFWSA-N 0 1 268.361 0.731 20 30 CCEDMN C=C(C)CN(CC)CC(=O)NC(=O)N[C@H](C)COC ZINC000048617335 388895060 /nfs/dbraw/zinc/89/50/60/388895060.db2.gz JMEXVUCCEACMSD-LLVKDONJSA-N 0 1 271.361 0.745 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CC)C[C@H]1CCCO1 ZINC000051555932 388926590 /nfs/dbraw/zinc/92/65/90/388926590.db2.gz JWGVJWXPUDAAIT-LLVKDONJSA-N 0 1 269.345 0.499 20 30 CCEDMN C=CCNC(=O)CN1CCC(C(=O)N(CC)CC)CC1 ZINC000058524514 388935405 /nfs/dbraw/zinc/93/54/05/388935405.db2.gz MCTAAOFWMSMYEA-UHFFFAOYSA-N 0 1 281.400 0.869 20 30 CCEDMN C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C1(CO)CCOCC1 ZINC000190975597 389002449 /nfs/dbraw/zinc/00/24/49/389002449.db2.gz KGJBFQUUQDWHNX-AWEZNQCLSA-N 0 1 295.383 0.268 20 30 CCEDMN CO[C@]1(C)C[C@H](N(C)CC(=O)NCC#N)C1(C)C ZINC000076152192 388986370 /nfs/dbraw/zinc/98/63/70/388986370.db2.gz RRJZXIWNRSOOAI-GXFFZTMASA-N 0 1 253.346 0.762 20 30 CCEDMN C=CCOCCN1CCN(c2nccn2C)CC1 ZINC000293825996 388988696 /nfs/dbraw/zinc/98/86/96/388988696.db2.gz PKDATOGEJJBXKF-UHFFFAOYSA-N 0 1 250.346 0.745 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cccc([O-])c1[N+](=O)[O-] ZINC000293867043 388988827 /nfs/dbraw/zinc/98/88/27/388988827.db2.gz BPDHMZTUYJMXCQ-UHFFFAOYSA-N 0 1 291.307 0.985 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ccc([O-])c([N+](=O)[O-])c1 ZINC000293943238 388990774 /nfs/dbraw/zinc/99/07/74/388990774.db2.gz JEEIBYCZUQTNGT-UHFFFAOYSA-N 0 1 291.307 0.985 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000294577221 388992362 /nfs/dbraw/zinc/99/23/62/388992362.db2.gz KLJHIXACKAMKGX-LLVKDONJSA-N 0 1 267.373 0.655 20 30 CCEDMN CCS(=O)(=O)CCNCc1cc(C#N)n(C)c1 ZINC000190944480 389001641 /nfs/dbraw/zinc/00/16/41/389001641.db2.gz DRPRJGBVVBBXGP-UHFFFAOYSA-N 0 1 255.343 0.421 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1[C@H](C)CC(O)C[C@H]1C ZINC000191131189 389006492 /nfs/dbraw/zinc/00/64/92/389006492.db2.gz KFFAURFXUKVUGQ-NXEZZACHSA-N 0 1 269.345 0.232 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000354523815 389027189 /nfs/dbraw/zinc/02/71/89/389027189.db2.gz ZERWBVFKCGDGFJ-GWCFXTLKSA-N 0 1 278.312 0.550 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@H](C)N(C)C[C@@H]2C)C1=O ZINC000337183142 389052049 /nfs/dbraw/zinc/05/20/49/389052049.db2.gz NMELPOZTCGOUTG-AVGNSLFASA-N 0 1 251.374 0.798 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](O)COCC)C1=O ZINC000337203397 389055077 /nfs/dbraw/zinc/05/50/77/389055077.db2.gz GJFAXFSKWQYHAT-VXGBXAGGSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CC[C@H](CO)NC(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000337051336 389038771 /nfs/dbraw/zinc/03/87/71/389038771.db2.gz JRAVZUJZMYLBDM-LLVKDONJSA-N 0 1 289.339 0.960 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCC[C@@H]2C(N)=O)CC1 ZINC000299139638 389041110 /nfs/dbraw/zinc/04/11/10/389041110.db2.gz IEVUCSURNXSYGQ-CYBMUJFWSA-N 0 1 277.368 0.198 20 30 CCEDMN C=CCNC(=O)CNC(=O)NCCN1CC=C(C)CC1 ZINC000358181071 389143708 /nfs/dbraw/zinc/14/37/08/389143708.db2.gz CTSJAJXROQFFDW-UHFFFAOYSA-N 0 1 280.372 0.240 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)[C@H]1CCCOC1 ZINC000358210083 389145158 /nfs/dbraw/zinc/14/51/58/389145158.db2.gz MVALQDZMOZBCMP-OUAUKWLOSA-N 0 1 268.313 0.621 20 30 CCEDMN N#C[C@]1(NC(=O)c2n[nH]c3ccccc32)CCOC1 ZINC000358437530 389150328 /nfs/dbraw/zinc/15/03/28/389150328.db2.gz FAMDVCDGTNZFJW-CYBMUJFWSA-N 0 1 256.265 0.975 20 30 CCEDMN CC#CCNC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000355585866 389097331 /nfs/dbraw/zinc/09/73/31/389097331.db2.gz HUEIJXXONWTXNK-CYBMUJFWSA-N 0 1 290.367 0.623 20 30 CCEDMN CN(CC(=O)NCC#N)[C@H]1CCCN(c2cccnn2)C1 ZINC000108867197 389098659 /nfs/dbraw/zinc/09/86/59/389098659.db2.gz WLJYYCIRIXVMCF-LBPRGKRZSA-N 0 1 288.355 0.017 20 30 CCEDMN N#CC(C(=O)c1cncc(-n2ccnn2)c1)c1ccncn1 ZINC000338649941 389114356 /nfs/dbraw/zinc/11/43/56/389114356.db2.gz ZLPZROCFUGXWEM-LBPRGKRZSA-N 0 1 291.274 0.942 20 30 CCEDMN C[C@H](C#N)NC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000112279067 389121486 /nfs/dbraw/zinc/12/14/86/389121486.db2.gz RYIYCOADOMKTIL-SECBINFHSA-N 0 1 285.307 0.711 20 30 CCEDMN O=C(NCC#CCO)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000339193254 389128282 /nfs/dbraw/zinc/12/82/82/389128282.db2.gz DJJZNKMRKNGSGH-UHFFFAOYSA-N 0 1 274.236 0.197 20 30 CCEDMN CCNC(=O)[C@@H](NCC(=O)N(CC)CCC#N)C(C)C ZINC000339336675 389130519 /nfs/dbraw/zinc/13/05/19/389130519.db2.gz WLWXWDBKARJFGH-ZDUSSCGKSA-N 0 1 282.388 0.499 20 30 CCEDMN O=C(CN1CCC[C@@H](CO)CC1)NCC(=O)N1CCCC1 ZINC000328773788 389137129 /nfs/dbraw/zinc/13/71/29/389137129.db2.gz BQZWZVZYQACPMY-CYBMUJFWSA-N 0 1 297.399 0.660 20 30 CCEDMN C[C@H](NC(=O)NCc1ncnn1C(C)(C)C)c1nnc[nH]1 ZINC000328810384 389138810 /nfs/dbraw/zinc/13/88/10/389138810.db2.gz OIGQXAYLHJQMFR-QMMMGPOBSA-N 0 1 292.347 0.916 20 30 CCEDMN CC1=CCN(CCNC(=O)Cn2cccnc2=O)CC1 ZINC000329637634 389180438 /nfs/dbraw/zinc/18/04/38/389180438.db2.gz YRVAZCNWFRLALG-UHFFFAOYSA-N 0 1 276.340 0.852 20 30 CCEDMN COCCN(CCCSCC#N)CC(=O)N(C)C ZINC000342093775 389196489 /nfs/dbraw/zinc/19/64/89/389196489.db2.gz FNXKRMGYANRWLB-UHFFFAOYSA-N 0 1 273.402 0.670 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cnnc(C)c2)C1 ZINC000329847224 389206299 /nfs/dbraw/zinc/20/62/99/389206299.db2.gz QZAUPQAGRDPMLT-LBPRGKRZSA-N 0 1 264.329 0.810 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000360505331 389199205 /nfs/dbraw/zinc/19/92/05/389199205.db2.gz UILPLTARZNSRJZ-WDEREUQCSA-N 0 1 278.356 0.751 20 30 CCEDMN C[C@@H]1C[C@@H](NS(=O)(=O)N(C)CCC#N)CCN1C ZINC000360654691 389201373 /nfs/dbraw/zinc/20/13/73/389201373.db2.gz VQDSHYWZDVYQQD-MNOVXSKESA-N 0 1 274.390 0.149 20 30 CCEDMN C[C@H](C#N)CN(C)C[C@H](O)CN1C[C@@H](C)O[C@H](C)C1 ZINC000358538109 389154759 /nfs/dbraw/zinc/15/47/59/389154759.db2.gz BZBRJRDGQRBOIR-SYQHCUMBSA-N 0 1 269.389 0.548 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2c(O)cccc2F)CCO1 ZINC000123446722 389155902 /nfs/dbraw/zinc/15/59/02/389155902.db2.gz RSTUJNYDCCMZRS-QMMMGPOBSA-N 0 1 250.229 0.896 20 30 CCEDMN O=C(N[C@@H]1CCCOC1)N[C@@H]1CCc2c[nH]nc2C1 ZINC000329349676 389158788 /nfs/dbraw/zinc/15/87/88/389158788.db2.gz WNMWDBMJELVODG-GHMZBOCLSA-N 0 1 264.329 0.950 20 30 CCEDMN Cc1ocnc1CNC(=O)N1CCN(C[C@H](C)O)[C@H](C)C1 ZINC000329822657 389204580 /nfs/dbraw/zinc/20/45/80/389204580.db2.gz HLCFYVXAQRRAKQ-MNOVXSKESA-N 0 1 296.371 0.784 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC[C@@H]2CCCCO2)C1 ZINC000329513080 389167910 /nfs/dbraw/zinc/16/79/10/389167910.db2.gz NRPKWTKRFGSCSN-KGLIPLIRSA-N 0 1 298.431 0.695 20 30 CCEDMN C=C(C)COCCN1C(=O)N[C@H](Cc2cnc[nH]2)C1=O ZINC000359182849 389168222 /nfs/dbraw/zinc/16/82/22/389168222.db2.gz GRPVVSDHNLNEHW-LLVKDONJSA-N 0 1 278.312 0.465 20 30 CCEDMN Cc1nnc(NC(=O)[C@@H]2CCc3nnn(C)c3C2)[nH]1 ZINC000329563952 389171168 /nfs/dbraw/zinc/17/11/68/389171168.db2.gz JXULQTTZDMYOEX-SSDOTTSWSA-N 0 1 261.289 0.635 20 30 CCEDMN COCCCN(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000127316688 389173973 /nfs/dbraw/zinc/17/39/73/389173973.db2.gz ZSUSQRWSLVJFDJ-ZDUSSCGKSA-N 0 1 253.346 0.763 20 30 CCEDMN CCN1CCN(C(=O)N[C@H]2CCc3nc[nH]c3C2)CC1=O ZINC000329602247 389174715 /nfs/dbraw/zinc/17/47/15/389174715.db2.gz KVTDUGQXOIRYHA-JTQLQIEISA-N 0 1 291.355 0.345 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(Cc2nccn2C)CC1 ZINC000128148879 389176288 /nfs/dbraw/zinc/17/62/88/389176288.db2.gz LHIFVVZMHGKGOG-AWEZNQCLSA-N 0 1 278.400 0.865 20 30 CCEDMN CC(C)CNC(=O)NC(=O)CN1C[C@@H](C)[C@H](C(N)=O)C1 ZINC000330590331 389244318 /nfs/dbraw/zinc/24/43/18/389244318.db2.gz YPCYPKWEHVSXTG-NXEZZACHSA-N 0 1 284.360 0.776 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H](CO)C1 ZINC000248368110 389245725 /nfs/dbraw/zinc/24/57/25/389245725.db2.gz UUYAZULFCQDOBW-QWHCGFSZSA-N 0 1 267.373 0.699 20 30 CCEDMN N#Cc1ccc2ncc(CN[C@@H]3CCCCNC3=O)n2c1 ZINC000342726933 389250285 /nfs/dbraw/zinc/25/02/85/389250285.db2.gz JTNUACFAYRLMMR-CYBMUJFWSA-N 0 1 283.335 0.964 20 30 CCEDMN O=C(NCCN1CCCOCC1)c1csc(=O)[nH]1 ZINC000329912152 389210842 /nfs/dbraw/zinc/21/08/42/389210842.db2.gz BBXHPRHLNSNDCX-UHFFFAOYSA-N 0 1 271.342 0.876 20 30 CCEDMN Cc1nccn1CCC[NH+]=C([O-])N1CCO[C@@H](CO)C1 ZINC000330429728 389228016 /nfs/dbraw/zinc/22/80/16/389228016.db2.gz IKQCXSHIHBFWRS-GFCCVEGCSA-N 0 1 282.344 0.189 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@](C)(C(=O)OC)C1 ZINC000246454415 389228226 /nfs/dbraw/zinc/22/82/26/389228226.db2.gz LSYMDXKLYPBZKO-WFASDCNBSA-N 0 1 295.383 0.880 20 30 CCEDMN COC[C@H](C)NC(=O)NC(=O)CN(C)[C@H]1CCSC1 ZINC000330504744 389236334 /nfs/dbraw/zinc/23/63/34/389236334.db2.gz FLHVLGFZSFSEOU-UWVGGRQHSA-N 0 1 289.401 0.489 20 30 CCEDMN CS[C@@H]1CN(S(=O)(=O)CCCC#N)C[C@H]1N(C)C ZINC000330535358 389239444 /nfs/dbraw/zinc/23/94/44/389239444.db2.gz OOLJGXAWHGBIIV-GHMZBOCLSA-N 0 1 291.442 0.597 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N(C)CC[C@H](C)O ZINC000346269391 389325398 /nfs/dbraw/zinc/32/53/98/389325398.db2.gz DXHHMPUSQCMESW-WDEREUQCSA-N 0 1 253.346 0.640 20 30 CCEDMN CC[C@@]1(O)CCN([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346541511 389331363 /nfs/dbraw/zinc/33/13/63/389331363.db2.gz WCTRLLQUFQRCFY-SMDDNHRTSA-N 0 1 265.357 0.784 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC000346539332 389331439 /nfs/dbraw/zinc/33/14/39/389331439.db2.gz WNAKQEKQCJYYTR-WCQYABFASA-N 0 1 279.384 0.620 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)[C@@H](O)C1 ZINC000346553202 389331892 /nfs/dbraw/zinc/33/18/92/389331892.db2.gz GCFOJDCRBDCQRS-MXWKQRLJSA-N 0 1 251.330 0.250 20 30 CCEDMN N#CC1(NC(=O)CN2CCCC[C@@H]2CCO)CCC1 ZINC000346860684 389340460 /nfs/dbraw/zinc/34/04/60/389340460.db2.gz YAYHFTMRAITYTR-GFCCVEGCSA-N 0 1 265.357 0.786 20 30 CCEDMN COCC[C@H]1COCCN1CCC(=O)N(C)CCC#N ZINC000365836038 389287726 /nfs/dbraw/zinc/28/77/26/389287726.db2.gz GYNWZMNUVOVVAO-ZDUSSCGKSA-N 0 1 283.372 0.486 20 30 CCEDMN N#Cc1ccc(N2CCN(C(=O)c3cnc[nH]3)CC2)nc1 ZINC000263703624 389301909 /nfs/dbraw/zinc/30/19/09/389301909.db2.gz SVVXELDYLWFBDI-UHFFFAOYSA-N 0 1 282.307 0.639 20 30 CCEDMN C#CCCN1CCN(c2ncccc2C(N)=O)CC1 ZINC000268733784 389390226 /nfs/dbraw/zinc/39/02/26/389390226.db2.gz RMLLILRLNDZSEF-UHFFFAOYSA-N 0 1 258.325 0.326 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](C(=O)OC)[C@@H]1C ZINC000347016694 389345209 /nfs/dbraw/zinc/34/52/09/389345209.db2.gz JXGRZVQLTSTJBV-DCAQKATOSA-N 0 1 297.355 0.270 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](C(=O)OC)[C@H]1C ZINC000347016698 389345694 /nfs/dbraw/zinc/34/56/94/389345694.db2.gz JXGRZVQLTSTJBV-OUAUKWLOSA-N 0 1 297.355 0.270 20 30 CCEDMN CC[C@@H](CS(=O)(=O)Nc1ccc(C#N)cn1)OC ZINC000421229335 389354742 /nfs/dbraw/zinc/35/47/42/389354742.db2.gz RWRAQWFSRGPUGM-JTQLQIEISA-N 0 1 269.326 0.542 20 30 CCEDMN N#CCSCC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000371449364 389431834 /nfs/dbraw/zinc/43/18/34/389431834.db2.gz VLLPNEYVXQMNJU-QMMMGPOBSA-N 0 1 250.327 0.640 20 30 CCEDMN C#CCCCC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000365243492 262354422 /nfs/dbraw/zinc/35/44/22/262354422.db2.gz HWESSGIMUXEFFZ-KGLIPLIRSA-N 0 1 264.369 0.848 20 30 CCEDMN C#CCCN1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000372234296 389446508 /nfs/dbraw/zinc/44/65/08/389446508.db2.gz UJGGYESJGCNNHQ-CYBMUJFWSA-N 0 1 250.342 0.723 20 30 CCEDMN C[C@H]1CC(=O)NCCN1C[C@H](O)c1ccc(C#N)cc1 ZINC000372818209 389457467 /nfs/dbraw/zinc/45/74/67/389457467.db2.gz BTAQIJQFAPBRQK-FZMZJTMJSA-N 0 1 273.336 0.802 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2ccc(C#N)c(C)n2)C1 ZINC000413497761 389523085 /nfs/dbraw/zinc/52/30/85/389523085.db2.gz LVLGXHAXGMXNFX-ZDUSSCGKSA-N 0 1 288.351 0.803 20 30 CCEDMN CC#CC(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000277612165 389557193 /nfs/dbraw/zinc/55/71/93/389557193.db2.gz HWNJSNJCXUTKHJ-UHFFFAOYSA-N 0 1 259.309 0.762 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCc2cnn(C)c2)C1=O ZINC000281399286 389615183 /nfs/dbraw/zinc/61/51/83/389615183.db2.gz QJPCPXSNKJTBDQ-ZDUSSCGKSA-N 0 1 262.357 0.681 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCN2C2CC2)C1=O ZINC000281650513 389621562 /nfs/dbraw/zinc/62/15/62/389621562.db2.gz ICQORVFBEZQZEZ-KGLIPLIRSA-N 0 1 291.395 0.859 20 30 CCEDMN N#Cc1cccc([N+](=O)[O-])c1N1CCCN(CCO)CC1 ZINC000425231350 389641899 /nfs/dbraw/zinc/64/18/99/389641899.db2.gz UESCWQSVMRFRGY-UHFFFAOYSA-N 0 1 290.323 0.971 20 30 CCEDMN C=CCCCC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000413902050 389578038 /nfs/dbraw/zinc/57/80/38/389578038.db2.gz NCFGWAZBTXYZJN-GFCCVEGCSA-N 0 1 268.357 0.906 20 30 CCEDMN N#CC1(C(=O)NCCN2CC=CCC2)CCOCC1 ZINC000279925141 389597019 /nfs/dbraw/zinc/59/70/19/389597019.db2.gz GMJOCCHEOJLUQX-UHFFFAOYSA-N 0 1 263.341 0.685 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N(CC)CCOCCO ZINC000282205047 389658388 /nfs/dbraw/zinc/65/83/88/389658388.db2.gz XMRPGGYKXORISZ-AWEZNQCLSA-N 0 1 284.400 0.906 20 30 CCEDMN CC[C@@]1(O)CCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000425933188 389661095 /nfs/dbraw/zinc/66/10/95/389661095.db2.gz YAMJSFJCKRRPLS-ZIAGYGMSSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@H]1CNCCN1C(=O)C(=O)Nc1cccc(CC#N)c1 ZINC000415345730 389676192 /nfs/dbraw/zinc/67/61/92/389676192.db2.gz PZBGELFYEAOIBH-NSHDSACASA-N 0 1 286.335 0.511 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(C2CCOCC2)C[C@@H]1C ZINC000427907698 389683827 /nfs/dbraw/zinc/68/38/27/389683827.db2.gz VQLTZNKJQJXKRN-NEPJUHHUSA-N 0 1 265.357 0.858 20 30 CCEDMN C=CCN1C(=O)c2ccc(C(=O)N3CCNCC3)cc2C1=O ZINC000428492790 389702424 /nfs/dbraw/zinc/70/24/24/389702424.db2.gz FBRZAKXQYRNRDU-UHFFFAOYSA-N 0 1 299.330 0.514 20 30 CCEDMN CN1CCN(CCNC(=O)c2ccc(C#N)o2)CC1 ZINC000429872790 389773005 /nfs/dbraw/zinc/77/30/05/389773005.db2.gz YTWHPNHAFIANNU-UHFFFAOYSA-N 0 1 262.313 0.128 20 30 CCEDMN CCN(CC(=O)NCc1cccc(C#N)c1)C[C@@H](C)O ZINC000352213540 389773270 /nfs/dbraw/zinc/77/32/70/389773270.db2.gz VPWRDORSCHYLON-GFCCVEGCSA-N 0 1 275.352 0.877 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@@H]([C@H]2CCOC2)C1 ZINC000441289189 389829743 /nfs/dbraw/zinc/82/97/43/389829743.db2.gz CZBMGAUQSCRMGR-NEPJUHHUSA-N 0 1 251.330 0.375 20 30 CCEDMN N#Cc1ccc(OCCNC(=O)N2CCNCC2)cc1 ZINC000417764392 389849639 /nfs/dbraw/zinc/84/96/39/389849639.db2.gz OXZYCDGPSTVXEA-UHFFFAOYSA-N 0 1 274.324 0.552 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000419159754 389888341 /nfs/dbraw/zinc/88/83/41/389888341.db2.gz XWBDYEPADDDJJP-TUAOUCFPSA-N 0 1 267.373 0.666 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000444545189 389908531 /nfs/dbraw/zinc/90/85/31/389908531.db2.gz IBYUACIECGLVAL-WDEREUQCSA-N 0 1 253.346 0.450 20 30 CCEDMN C=C(C)CS(=O)(=O)NCCCN1CCCO[C@H](C)C1 ZINC000435423247 389957038 /nfs/dbraw/zinc/95/70/38/389957038.db2.gz PSVDXJRUSZOLCI-CYBMUJFWSA-N 0 1 290.429 0.983 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@H]1CCN(CCOC)C1 ZINC000435440423 389957161 /nfs/dbraw/zinc/95/71/61/389957161.db2.gz WMWFGSXVWTUPCY-NSHDSACASA-N 0 1 262.375 0.203 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](CO)C[C@H](C)C1 ZINC000420933473 390038918 /nfs/dbraw/zinc/03/89/18/390038918.db2.gz GALHMMUTSOPFRX-BFHYXJOUSA-N 0 1 281.400 0.945 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](C)C[C@H](CO)C1 ZINC000420933475 390038944 /nfs/dbraw/zinc/03/89/44/390038944.db2.gz GALHMMUTSOPFRX-MCIONIFRSA-N 0 1 281.400 0.945 20 30 CCEDMN C[C@@H]1CN(c2cncc(C#N)n2)[C@H](C)CN1CCO ZINC000420649847 390020392 /nfs/dbraw/zinc/02/03/92/390020392.db2.gz GWRPGANWNWEFAK-GHMZBOCLSA-N 0 1 261.329 0.240 20 30 CCEDMN C[C@H]1NC(=O)N(CCN(C)Cc2ccc(C#N)cc2)C1=O ZINC000459303672 390072062 /nfs/dbraw/zinc/07/20/62/390072062.db2.gz XTUBZHQUCNUQQL-LLVKDONJSA-N 0 1 286.335 0.930 20 30 CCEDMN Cc1nc(CSc2nc(N)c(C#N)cc2C#N)n[nH]1 ZINC000488267734 390092371 /nfs/dbraw/zinc/09/23/71/390092371.db2.gz XBFYZQNVGNHVPF-UHFFFAOYSA-N 0 1 271.309 0.956 20 30 CCEDMN C[C@@H]1[C@@H](CO)CCN1CC(=O)NCc1cccc(C#N)c1 ZINC000488774174 390096193 /nfs/dbraw/zinc/09/61/93/390096193.db2.gz HGKLLYIMBROSOY-IUODEOHRSA-N 0 1 287.363 0.877 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCCN(C(C)=O)C1 ZINC000490619077 390106504 /nfs/dbraw/zinc/10/65/04/390106504.db2.gz ZQZFVNSCLWILMP-LSDHHAIUSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CC(=O)N[C@@H](CC)C1 ZINC000490949731 390108955 /nfs/dbraw/zinc/10/89/55/390108955.db2.gz LXYANTNMHOQLIK-MELADBBJSA-N 0 1 292.379 0.932 20 30 CCEDMN C=CCC[C@H](O)CN1CCN2C(=O)OCC[C@@H]2C1 ZINC000494035276 390142204 /nfs/dbraw/zinc/14/22/04/390142204.db2.gz XMKHELDRMXHXTN-NEPJUHHUSA-N 0 1 254.330 0.840 20 30 CCEDMN CC[C@H](C)NC(=O)CN(C)CCCc1[nH]nc(N)c1C#N ZINC000536341606 390160980 /nfs/dbraw/zinc/16/09/80/390160980.db2.gz RAOXWIUBTCYYAN-JTQLQIEISA-N 0 1 292.387 0.473 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](CN3CCOC3=O)C2)C1=O ZINC000496070570 390293921 /nfs/dbraw/zinc/29/39/21/390293921.db2.gz UKMQWEHIMKTAPS-OLZOCXBDSA-N 0 1 293.367 0.547 20 30 CCEDMN C#CCCN1CCN(C[C@H]2CN(C)C(=O)O2)CC1 ZINC000496481773 390353732 /nfs/dbraw/zinc/35/37/32/390353732.db2.gz RILIMFYYNRDELO-GFCCVEGCSA-N 0 1 251.330 0.078 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NC[C@H](C)N1CCCC1 ZINC000554697272 390439705 /nfs/dbraw/zinc/43/97/05/390439705.db2.gz RWFABFZUSBVLOH-NEPJUHHUSA-N 0 1 288.417 0.539 20 30 CCEDMN C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NC1(C#N)CC1 ZINC000562303662 390488775 /nfs/dbraw/zinc/48/87/75/390488775.db2.gz HORXGALZRZVSOS-SECBINFHSA-N 0 1 297.318 0.854 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)CCCCc1cn[nH]n1 ZINC000649182745 390513028 /nfs/dbraw/zinc/51/30/28/390513028.db2.gz DFEQYIXFKWFBCT-LBPRGKRZSA-N 0 1 264.329 0.408 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000649184101 390513924 /nfs/dbraw/zinc/51/39/24/390513924.db2.gz CJKDUESFJIACAL-VXGBXAGGSA-N 0 1 270.398 0.314 20 30 CCEDMN CS(=O)(=O)NCCN1CCC(CCC#N)CC1 ZINC000516648009 390484525 /nfs/dbraw/zinc/48/45/25/390484525.db2.gz HJELCYUJBBAMDC-UHFFFAOYSA-N 0 1 259.375 0.551 20 30 CCEDMN CCOCCO[C@@H](C)C(=O)NC1(C#N)CCN(C)CC1 ZINC000520711942 390588233 /nfs/dbraw/zinc/58/82/33/390588233.db2.gz IAFQGIIKBMRHOI-LBPRGKRZSA-N 0 1 283.372 0.532 20 30 CCEDMN C=C[C@H](O)C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000646687026 390708948 /nfs/dbraw/zinc/70/89/48/390708948.db2.gz MDIGAUMXGUZJHS-AWEZNQCLSA-N 0 1 275.352 0.709 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NC[C@H]1CN(C)CCN1C ZINC000570712104 390719298 /nfs/dbraw/zinc/71/92/98/390719298.db2.gz IMWGPKHDDQGDNC-IHRRRGAJSA-N 0 1 296.415 0.123 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H](O)CC2(O)CCC2)C1 ZINC000528536840 390755557 /nfs/dbraw/zinc/75/55/57/390755557.db2.gz IGSRRHBREOMGHR-AAEUAGOBSA-N 0 1 254.330 0.003 20 30 CCEDMN N#Cc1cc(F)c(S(=O)(=O)NCC2COC2)c(F)c1 ZINC000528565434 390758863 /nfs/dbraw/zinc/75/88/63/390758863.db2.gz VPRANIOLIBDVKF-UHFFFAOYSA-N 0 1 288.275 0.761 20 30 CCEDMN C=C[C@H](O)C(=O)NCC1(CN2CCOCC2)CCCCC1 ZINC000646900412 390736178 /nfs/dbraw/zinc/73/61/78/390736178.db2.gz RZCPOSWMJPDXTI-AWEZNQCLSA-N 0 1 296.411 0.932 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2ccnn2CC(F)F)[nH]1 ZINC000571611148 390744231 /nfs/dbraw/zinc/74/42/31/390744231.db2.gz QUDCSBZQQWISNY-UHFFFAOYSA-N 0 1 280.238 0.428 20 30 CCEDMN CC[C@H](C)OCC(=O)NC1(C#N)CCN(C)CC1 ZINC000533556015 390885664 /nfs/dbraw/zinc/88/56/64/390885664.db2.gz SCNZPJDSWHXXKZ-NSHDSACASA-N 0 1 253.346 0.906 20 30 CCEDMN COC(=O)CCN(CC(=O)NC1(C#N)CCC1)C(C)C ZINC000347087479 533410211 /nfs/dbraw/zinc/41/02/11/533410211.db2.gz XZAOYPDLCIWYPL-UHFFFAOYSA-N 0 1 281.356 0.822 20 30 CCEDMN CC1(C)CN(c2ccc(C#N)nn2)CCN1CCO ZINC000302510126 397446387 /nfs/dbraw/zinc/44/63/87/397446387.db2.gz FTOYUDLFQIFLII-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN C[C@H](O)[C@@H]1CCN(CC(=O)NCc2cccc(C#N)c2)C1 ZINC000356445959 144003381 /nfs/dbraw/zinc/00/33/81/144003381.db2.gz DZHGBXHFCDQMMV-SWLSCSKDSA-N 0 1 287.363 0.877 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(CCO)CC1CC1 ZINC000352489525 397464998 /nfs/dbraw/zinc/46/49/98/397464998.db2.gz BAAWQAPGKWPBIN-LBPRGKRZSA-N 0 1 267.373 0.699 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2cnn(C)c2C#N)CCCN1C ZINC000356741321 144028815 /nfs/dbraw/zinc/02/88/15/144028815.db2.gz RDFKIMUNEJMATO-KOLCDFICSA-N 0 1 261.329 0.504 20 30 CCEDMN C[C@H]1CN(c2ccc(C#N)c([N+](=O)[O-])c2)CCN1CCO ZINC000357460741 144094158 /nfs/dbraw/zinc/09/41/58/144094158.db2.gz BJYLJADIOOTWQR-NSHDSACASA-N 0 1 290.323 0.969 20 30 CCEDMN C[C@H](CF)NC(=O)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000358108720 144153072 /nfs/dbraw/zinc/15/30/72/144153072.db2.gz WQDRTYKWMORXSU-MRVPVSSYSA-N 0 1 295.318 0.344 20 30 CCEDMN N#Cc1ccc(OCCN2CCn3c(=O)[nH]nc3C2)cc1 ZINC000358811724 144180657 /nfs/dbraw/zinc/18/06/57/144180657.db2.gz YZPAJIJCKGGIAY-UHFFFAOYSA-N 0 1 285.307 0.750 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N(C)CCCO ZINC000440966796 397548853 /nfs/dbraw/zinc/54/88/53/397548853.db2.gz JVHQFXIBCDHNOP-WCQYABFASA-N 0 1 255.362 0.744 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N(C)CCCO ZINC000440966793 397549012 /nfs/dbraw/zinc/54/90/12/397549012.db2.gz JVHQFXIBCDHNOP-DGCLKSJQSA-N 0 1 255.362 0.744 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(Cn2cnnc2)CC1 ZINC000649788643 397669131 /nfs/dbraw/zinc/66/91/31/397669131.db2.gz BBNCVQRJKKHYCJ-LBPRGKRZSA-N 0 1 277.372 0.681 20 30 CCEDMN C=CCN(C(=O)C[C@@H]1COCCN1)[C@H](C)COC ZINC000659338830 413435751 /nfs/dbraw/zinc/43/57/51/413435751.db2.gz BESXAMLZQVRSCL-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@@H]1CCN(C)C1=O ZINC000329326611 298622900 /nfs/dbraw/zinc/62/29/00/298622900.db2.gz JKUHYLVTXPLSNM-GHMZBOCLSA-N 0 1 269.345 0.142 20 30 CCEDMN C[C@@H]1C(=O)NCCN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329627434 287191009 /nfs/dbraw/zinc/19/10/09/287191009.db2.gz PIFQKXHRNSYKFK-BDAKNGLRSA-N 0 1 277.328 0.842 20 30 CCEDMN C=CCCn1cc(CN2C[C@@H](C)N(CCO)C[C@H]2C)nn1 ZINC000653766577 412343276 /nfs/dbraw/zinc/34/32/76/412343276.db2.gz JZAVVYCTOKAHEJ-ZIAGYGMSSA-N 0 1 293.415 0.741 20 30 CCEDMN CC#CCNC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000654641149 412422296 /nfs/dbraw/zinc/42/22/96/412422296.db2.gz KFWMFVSORZOTEM-VIFPVBQESA-N 0 1 263.301 0.479 20 30 CCEDMN C=CCOCCCNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000660855217 414511266 /nfs/dbraw/zinc/51/12/66/414511266.db2.gz LUQRNSILAVCHQU-CQSZACIVSA-N 0 1 298.431 0.514 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC000662220518 414698170 /nfs/dbraw/zinc/69/81/70/414698170.db2.gz LKRGRSWQIBXTLR-IUODEOHRSA-N 0 1 294.351 0.220 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCN(CC)CC1 ZINC000076425035 177024055 /nfs/dbraw/zinc/02/40/55/177024055.db2.gz FTKZNXDKOBBXPM-CYBMUJFWSA-N 0 1 266.389 0.632 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CCCN([C@@H](C)CO)CC1 ZINC000355147160 287381730 /nfs/dbraw/zinc/38/17/30/287381730.db2.gz IFADMWHYXHKDKR-JSGCOSHPSA-N 0 1 267.373 0.841 20 30 CCEDMN Cc1ncc(NC(=O)N2CCOC[C@H]2c2nnc[nH]2)cn1 ZINC000329061577 227278186 /nfs/dbraw/zinc/27/81/86/227278186.db2.gz ZILAYJKYCGRRMI-JTQLQIEISA-N 0 1 289.299 0.522 20 30 CCEDMN C[C@@H]1[C@@H](C)N(CC(=O)NCC(=O)N2CCCC2)CCN1C ZINC000329093841 227292425 /nfs/dbraw/zinc/29/24/25/227292425.db2.gz BAOVRSAUJRWNLI-CHWSQXEVSA-N 0 1 296.415 0.590 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)CN2CSCC2=O)n1 ZINC000329134069 227319939 /nfs/dbraw/zinc/31/99/39/227319939.db2.gz ZIGNILCSCMMBRN-UHFFFAOYSA-N 0 1 269.330 0.145 20 30 CCEDMN CCn1ncnc1CNC(=O)[C@H](C)CN1CCOCC1 ZINC000330060277 228029551 /nfs/dbraw/zinc/02/95/51/228029551.db2.gz MJXTWVATZTVDKA-LLVKDONJSA-N 0 1 281.360 0.723 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CC(NC(=O)C2CC2)C1 ZINC000330297512 228105938 /nfs/dbraw/zinc/10/59/38/228105938.db2.gz GXCDMAAZIMEANU-LLVKDONJSA-N 0 1 295.383 0.532 20 30 CCEDMN O=C(NCCn1ccnc1)N[C@@H]1C=C[C@H](CO)C1 ZINC000330080810 228034545 /nfs/dbraw/zinc/03/45/45/228034545.db2.gz JPFQOUPCFOCGOH-WDEREUQCSA-N 0 1 250.302 0.324 20 30 CCEDMN [O-]C(N[C@@H]1C=C[C@H](CO)C1)=[NH+]CCn1ccnc1 ZINC000330080810 228034547 /nfs/dbraw/zinc/03/45/47/228034547.db2.gz JPFQOUPCFOCGOH-WDEREUQCSA-N 0 1 250.302 0.324 20 30 CCEDMN [O-]C(NC[C@H](O)C1CC1)=[NH+][C@H]1CCn2ccnc2C1 ZINC000330244677 228077615 /nfs/dbraw/zinc/07/76/15/228077615.db2.gz DJGVRHAJIIPIEW-QWRGUYRKSA-N 0 1 264.329 0.472 20 30 CCEDMN [O-]C(N[C@H]1CCn2ccnc2C1)=[NH+]C[C@H](O)C1CC1 ZINC000330244677 228077618 /nfs/dbraw/zinc/07/76/18/228077618.db2.gz DJGVRHAJIIPIEW-QWRGUYRKSA-N 0 1 264.329 0.472 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N1CC[C@H](N2CC=CC2)C1 ZINC000332415539 228158902 /nfs/dbraw/zinc/15/89/02/228158902.db2.gz QDUPZPOZVWUICS-OLZOCXBDSA-N 0 1 298.412 0.269 20 30 CCEDMN COC1CC(N(C)CC(=O)N(CCC#N)CCC#N)C1 ZINC000352221200 228200761 /nfs/dbraw/zinc/20/07/61/228200761.db2.gz KYSKVKNEFFPSGN-UHFFFAOYSA-N 0 1 278.356 0.752 20 30 CCEDMN COC[C@@H]1CCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000352228334 228201454 /nfs/dbraw/zinc/20/14/54/228201454.db2.gz XJOBSZVNVINKMI-CYBMUJFWSA-N 0 1 278.356 0.611 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)CN2CCCCC2=O)C1 ZINC000329218867 545021140 /nfs/dbraw/zinc/02/11/40/545021140.db2.gz ALINJENUKYIYTL-LBPRGKRZSA-N 0 1 282.388 0.201 20 30 CCEDMN Cc1cnn(CC(=O)NC[C@H]2CN(C)CCN2C)c1 ZINC000329402568 545023026 /nfs/dbraw/zinc/02/30/26/545023026.db2.gz ZJSMGVBZTJGLHY-LBPRGKRZSA-N 0 1 265.361 0.394 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N(C)[C@@H]1CCCN(C)C1 ZINC000407978161 260141181 /nfs/dbraw/zinc/14/11/81/260141181.db2.gz WJACJHJRROCPIN-VXGBXAGGSA-N 0 1 288.417 0.491 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(CCO)C(C)C ZINC000085860848 260380615 /nfs/dbraw/zinc/38/06/15/260380615.db2.gz PSLCORIJLSCWBN-LBPRGKRZSA-N 0 1 255.362 0.697 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2nncn2C)CC1 ZINC000490851699 261228231 /nfs/dbraw/zinc/22/82/31/261228231.db2.gz HSBIJJAWKSRJRG-UHFFFAOYSA-N 0 1 262.313 0.204 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000491266624 261394368 /nfs/dbraw/zinc/39/43/68/261394368.db2.gz PYOVJRRYDGRCRH-SNVBAGLBSA-N 0 1 291.355 0.646 20 30 CCEDMN C#CCNC(=O)CCNCc1cc(F)cc2c1OCOC2 ZINC000491425700 261570944 /nfs/dbraw/zinc/57/09/44/261570944.db2.gz BRHMOSOPLSDFQX-UHFFFAOYSA-N 0 1 292.310 0.921 20 30 CCEDMN CC(C)(C#N)CCN1CCC[C@H]1CS(N)(=O)=O ZINC000336715009 262383334 /nfs/dbraw/zinc/38/33/34/262383334.db2.gz SAYHXOAGZAWDSX-JTQLQIEISA-N 0 1 259.375 0.679 20 30 CCEDMN CN1CCN(c2ncccc2CNC(=O)CC#N)CC1 ZINC000274620578 263027822 /nfs/dbraw/zinc/02/78/22/263027822.db2.gz KQSODEATAKABTI-UHFFFAOYSA-N 0 1 273.340 0.363 20 30 CCEDMN C=C(C)CN1C[C@@H](N(C)CC(=O)OC(C)(C)C)[C@@H](O)C1 ZINC000340216891 263096422 /nfs/dbraw/zinc/09/64/22/263096422.db2.gz DACXMCJDRRWFCM-OLZOCXBDSA-N 0 1 284.400 0.881 20 30 CCEDMN C[C@@H](C#N)NC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000340449151 263155216 /nfs/dbraw/zinc/15/52/16/263155216.db2.gz AUIGAEUATVDHQO-NSHDSACASA-N 0 1 273.340 0.475 20 30 CCEDMN C=CCN(C)[C@H](C)C(=O)N1CCC(C(=O)OC)CC1 ZINC000121535823 263293649 /nfs/dbraw/zinc/29/36/49/263293649.db2.gz UIMDYUUSTQPMQI-LLVKDONJSA-N 0 1 268.357 0.904 20 30 CCEDMN C=CC[C@@H](CO)CNc1cc(-n2cccn2)nc(N)n1 ZINC000340877104 263311076 /nfs/dbraw/zinc/31/10/76/263311076.db2.gz IOHZXEXZJLBZGW-SNVBAGLBSA-N 0 1 274.328 0.671 20 30 CCEDMN COCC1(C#N)CCN([C@H]2CCCCNC2=O)CC1 ZINC000341981534 263369703 /nfs/dbraw/zinc/36/97/03/263369703.db2.gz CCAVJRWLXZOITK-LBPRGKRZSA-N 0 1 265.357 0.907 20 30 CCEDMN CC[C@H]1CN(C(=O)NCCn2cncn2)CCO1 ZINC000330419928 263934162 /nfs/dbraw/zinc/93/41/62/263934162.db2.gz GDPQXXMDZYVVJO-JTQLQIEISA-N 0 1 253.306 0.303 20 30 CCEDMN CC(=O)NC[C@@H]1CCCN(C([O-])=[NH+]CCn2cccn2)C1 ZINC000330837132 264051728 /nfs/dbraw/zinc/05/17/28/264051728.db2.gz UVMDKHLNQPKVSN-ZDUSSCGKSA-N 0 1 293.371 0.645 20 30 CCEDMN COc1cc(C(=O)NCCN2CCN(C)CC2)on1 ZINC000330890891 264076163 /nfs/dbraw/zinc/07/61/63/264076163.db2.gz HNYVRBXAMTXLIM-UHFFFAOYSA-N 0 1 268.317 0.235 20 30 CCEDMN CC[C@@H]1CN(C(=O)CCCC#N)CCN1C[C@@H](C)O ZINC000330898506 264078948 /nfs/dbraw/zinc/07/89/48/264078948.db2.gz PEXIAJTZGCZBAB-CHWSQXEVSA-N 0 1 267.373 0.984 20 30 CCEDMN C[C@@H]1CN(CCCNC(=O)c2cnnn2C)C[C@H](C)O1 ZINC000330940159 264082545 /nfs/dbraw/zinc/08/25/45/264082545.db2.gz DINRDTXRUZNYSV-PHIMTYICSA-N 0 1 281.360 0.619 20 30 CCEDMN COCc1nc2n(n1)C[C@H]([NH+]=C([O-])N[C@@H](C)CCO)CC2 ZINC000330966495 264090274 /nfs/dbraw/zinc/09/02/74/264090274.db2.gz DCOBPOKWDNAFKS-VHSXEESVSA-N 0 1 297.359 0.014 20 30 CCEDMN COCc1nc2n(n1)C[C@H](NC(=O)N[C@@H](C)CCO)CC2 ZINC000330966495 264090276 /nfs/dbraw/zinc/09/02/76/264090276.db2.gz DCOBPOKWDNAFKS-VHSXEESVSA-N 0 1 297.359 0.014 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](C)C[C@H]1[C@@H](C)O ZINC000334099297 264231745 /nfs/dbraw/zinc/23/17/45/264231745.db2.gz FOJGISLBAXDWHZ-TUAOUCFPSA-N 0 1 283.372 0.480 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H](C(N)=O)CC[C@H]1C ZINC000334006887 264233430 /nfs/dbraw/zinc/23/34/30/264233430.db2.gz WKHPJMVQUQIMQO-ZJUUUORDSA-N 0 1 282.344 0.634 20 30 CCEDMN C=CCN(C)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000161464674 264286988 /nfs/dbraw/zinc/28/69/88/264286988.db2.gz NOCRPOLIFMZCGP-UHFFFAOYSA-N 0 1 260.301 0.434 20 30 CCEDMN C=C[C@@H](C)NS(=O)(=O)c1scnc1C(=O)OC ZINC000161816979 264299767 /nfs/dbraw/zinc/29/97/67/264299767.db2.gz AEUAIAOAWXCJDP-ZCFIWIBFSA-N 0 1 276.339 0.783 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)C[C@@H]1CCCO1 ZINC000076297945 264340312 /nfs/dbraw/zinc/34/03/12/264340312.db2.gz IHNSUDLDMSWBJL-MNOVXSKESA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCn1cc(CNC(=O)c2[nH]nc3c2CCC3)nn1 ZINC000424924309 264379571 /nfs/dbraw/zinc/37/95/71/264379571.db2.gz LLFSLTYWGXOAHN-UHFFFAOYSA-N 0 1 272.312 0.606 20 30 CCEDMN C=CCNC(=O)CN[C@@H]1CCCC[C@@H]1S(C)(=O)=O ZINC000180031337 264390105 /nfs/dbraw/zinc/39/01/05/264390105.db2.gz FPLBBHVMPYDHIG-MNOVXSKESA-N 0 1 274.386 0.234 20 30 CCEDMN C=CCN1CCC(S(=O)(=O)C(F)(F)F)C1=O ZINC000281004441 264471413 /nfs/dbraw/zinc/47/14/13/264471413.db2.gz NWANCBSNWKYDOW-LURJTMIESA-N 0 1 257.233 0.708 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2(C#N)CCC2)[C@@H](C)C1 ZINC000332437552 265926300 /nfs/dbraw/zinc/92/63/00/265926300.db2.gz CWFSUAJZFQSLRL-STQMWFEESA-N 0 1 294.399 0.777 20 30 CCEDMN CC1(C(N)=O)CN(CCOc2ccc(C#N)cc2)C1 ZINC000519760322 266962851 /nfs/dbraw/zinc/96/28/51/266962851.db2.gz AXJBXCIOHTYFHM-UHFFFAOYSA-N 0 1 259.309 0.744 20 30 CCEDMN C=CCC(CC=C)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000370449394 267319913 /nfs/dbraw/zinc/31/99/13/267319913.db2.gz KHISYKMRXLZWAD-LLVKDONJSA-N 0 1 277.328 0.868 20 30 CCEDMN N#Cc1ccc(NC(=O)NC[C@H]2COCCN2)cc1F ZINC000528690534 268245853 /nfs/dbraw/zinc/24/58/53/268245853.db2.gz GYTRXZFHXLAPDI-NSHDSACASA-N 0 1 278.287 0.807 20 30 CCEDMN C[C@@H]1NCCN(S(=O)(=O)c2ccc(C#N)cc2)[C@H]1C ZINC000262748162 279037955 /nfs/dbraw/zinc/03/79/55/279037955.db2.gz FHJKXSZNQLSEDV-QWRGUYRKSA-N 0 1 279.365 0.929 20 30 CCEDMN C[C@@H]1[C@H](C)N(C)CCN1C(=O)Nc1nccn(C)c1=O ZINC000329457697 279103153 /nfs/dbraw/zinc/10/31/53/279103153.db2.gz QQSLZRWOOISEPS-VHSXEESVSA-N 0 1 279.344 0.350 20 30 CCEDMN C#CCN(CC(=O)N1CCN(C)CC1)C1CCCC1 ZINC000093189241 328261315 /nfs/dbraw/zinc/26/13/15/328261315.db2.gz ZLAHDMINOZZKPY-UHFFFAOYSA-N 0 1 263.385 0.638 20 30 CCEDMN CC[C@@H](CO)N(C)CC(=O)N(CC)C[C@H](C)C#N ZINC000451155634 331018020 /nfs/dbraw/zinc/01/80/20/331018020.db2.gz PKEHRPPNEQBMMW-NEPJUHHUSA-N 0 1 255.362 0.697 20 30 CCEDMN C[C@H]1C[C@H](O)CN1CC(=O)N(CCC#N)CCC#N ZINC000340928119 327021813 /nfs/dbraw/zinc/02/18/13/327021813.db2.gz MBBIDKUFWHWGCM-RYUDHWBXSA-N 0 1 264.329 0.097 20 30 CCEDMN CCNC(=O)N1CC[C@H](NC[C@@H](C#N)CCC#N)C1 ZINC000558703397 327115984 /nfs/dbraw/zinc/11/59/84/327115984.db2.gz OJQVTFCNXOKJLF-NEPJUHHUSA-N 0 1 263.345 0.823 20 30 CCEDMN N#CC1(NC(=O)c2[nH]nc3c2CCC3)CCOCC1 ZINC000408174280 327189732 /nfs/dbraw/zinc/18/97/32/327189732.db2.gz IIVNHTKYTORDDT-UHFFFAOYSA-N 0 1 260.297 0.701 20 30 CCEDMN N#CCCNC(=O)N1CCN(C2CCOCC2)CC1 ZINC000408365521 327421806 /nfs/dbraw/zinc/42/18/06/327421806.db2.gz JJDGMJVUTQVRDF-UHFFFAOYSA-N 0 1 266.345 0.406 20 30 CCEDMN C[C@H](C#N)NC(=O)CCN1CCN(c2ccccn2)CC1 ZINC000174323168 329157420 /nfs/dbraw/zinc/15/74/20/329157420.db2.gz GOSWKOGSBOJJRU-CYBMUJFWSA-N 0 1 287.367 0.622 20 30 CCEDMN C[C@@H](C#N)NC(=O)CCN1CCN(c2ccccn2)CC1 ZINC000174323153 329157465 /nfs/dbraw/zinc/15/74/65/329157465.db2.gz GOSWKOGSBOJJRU-ZDUSSCGKSA-N 0 1 287.367 0.622 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC2(CC#N)CC2)C[C@@H](C)N1 ZINC000418820413 329574126 /nfs/dbraw/zinc/57/41/26/329574126.db2.gz FNMGBMZHGHXHEQ-GHMZBOCLSA-N 0 1 278.356 0.005 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1 ZINC000419156504 329709527 /nfs/dbraw/zinc/70/95/27/329709527.db2.gz VINOROLUVBMYPF-GHMZBOCLSA-N 0 1 285.413 0.231 20 30 CCEDMN COC(=O)CCNC(=O)CN1CCC(CCC#N)CC1 ZINC000580441165 330135881 /nfs/dbraw/zinc/13/58/81/330135881.db2.gz HLWXLXHTQZTFOH-UHFFFAOYSA-N 0 1 281.356 0.681 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1C(=O)C(=O)N(C)CC(C)(C)C#N ZINC000423633668 330213209 /nfs/dbraw/zinc/21/32/09/330213209.db2.gz PLUPIUJWSPTDAJ-WDEREUQCSA-N 0 1 280.372 0.203 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1CCNC[C@H]1CCC ZINC000423713360 330214533 /nfs/dbraw/zinc/21/45/33/330214533.db2.gz FETSMQZKGJEXRV-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCNC(=O)N1CCN(C(C)(C)COC)CC1 ZINC000424068017 330219487 /nfs/dbraw/zinc/21/94/87/330219487.db2.gz YBAYEVAIPRZMHL-UHFFFAOYSA-N 0 1 255.362 0.925 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCO[C@H]([C@@H]3CCCO3)C2)CC1 ZINC000528401378 330262116 /nfs/dbraw/zinc/26/21/16/330262116.db2.gz BFYBDGVUSDPJDP-IHRRRGAJSA-N 0 1 280.368 0.921 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCN1CCN(C)CC1 ZINC000424553839 330374625 /nfs/dbraw/zinc/37/46/25/330374625.db2.gz DCKOSWOSRMLSTP-UHFFFAOYSA-N 0 1 253.390 0.952 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H]2CCN3CCO[C@H]2C3)C1=O ZINC000451135109 331016971 /nfs/dbraw/zinc/01/69/71/331016971.db2.gz BAXBSIQVJOEOCL-IHRRRGAJSA-N 0 1 279.384 0.178 20 30 CCEDMN C=CCCCS(=O)(=O)N[C@@H]1CCCN(CCCO)C1 ZINC000451663844 331038141 /nfs/dbraw/zinc/03/81/41/331038141.db2.gz BFGCNMCITDURIF-CYBMUJFWSA-N 0 1 290.429 0.719 20 30 CCEDMN CO[C@H]1C[C@H](N(C)CC(=O)NCC#N)C12CCC2 ZINC000451863628 331045171 /nfs/dbraw/zinc/04/51/71/331045171.db2.gz ZBAIBPKRMAJOIR-QWRGUYRKSA-N 0 1 251.330 0.516 20 30 CCEDMN CO[C@@H]1C[C@@H](N(C)CC(=O)NCC#N)C12CCC2 ZINC000451863620 331045312 /nfs/dbraw/zinc/04/53/12/331045312.db2.gz ZBAIBPKRMAJOIR-GHMZBOCLSA-N 0 1 251.330 0.516 20 30 CCEDMN C=CCN(CC=C)C(=O)CNC(C)(C)C(=O)NCC ZINC000459562768 331287947 /nfs/dbraw/zinc/28/79/47/331287947.db2.gz PFGRKNHJFUKNIR-UHFFFAOYSA-N 0 1 267.373 0.691 20 30 CCEDMN C=CCn1cccc1C(=O)NC[C@H]1CN(C)CCN1C ZINC000488933519 332034190 /nfs/dbraw/zinc/03/41/90/332034190.db2.gz JIQMILUGSFTODY-ZDUSSCGKSA-N 0 1 276.384 0.650 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCNC(=O)[C@@H]2CC)CC1 ZINC000490629640 332097193 /nfs/dbraw/zinc/09/71/93/332097193.db2.gz MPUNVDWKDPGYQY-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C(=O)NC[C@@H]1CN(C)CCN1C)C1CCCC1 ZINC000490830150 332118287 /nfs/dbraw/zinc/11/82/87/332118287.db2.gz IPUBVKCHJIMKDU-OAHLLOKOSA-N 0 1 292.427 0.820 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H](O)COC(C)C)CC1 ZINC000490852290 332120622 /nfs/dbraw/zinc/12/06/22/332120622.db2.gz MDKXYGCTDCABRU-AWEZNQCLSA-N 0 1 283.368 0.661 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCc1nc(C)no1 ZINC000490872054 332123079 /nfs/dbraw/zinc/12/30/79/332123079.db2.gz DPPLCQJATQXBKU-GFCCVEGCSA-N 0 1 277.324 0.951 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CC(=O)N[C@H](C)C1 ZINC000490949916 332132353 /nfs/dbraw/zinc/13/23/53/332132353.db2.gz MXUJMGHCXFGXKF-JHJVBQTASA-N 0 1 278.352 0.542 20 30 CCEDMN C#CCN1CCC(C(=O)N=c2cc(OC)n(C)[nH]2)CC1 ZINC000491040406 332141982 /nfs/dbraw/zinc/14/19/82/332141982.db2.gz UATJIINWYUKKNA-UHFFFAOYSA-N 0 1 276.340 0.134 20 30 CCEDMN C#CCNC(=O)CCN[C@H]1CCN(c2ccccc2)C1=O ZINC000491097018 332149509 /nfs/dbraw/zinc/14/95/09/332149509.db2.gz YPVBOTRWBAWVHN-AWEZNQCLSA-N 0 1 285.347 0.521 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1n[nH]c(C)c1[N+](=O)[O-] ZINC000491405003 332205755 /nfs/dbraw/zinc/20/57/55/332205755.db2.gz GFALGWRGLBIKRC-MRVPVSSYSA-N 0 1 264.241 0.101 20 30 CCEDMN C#C[C@@H](CC)NCc1cnn([C@@]2(C)CCS(=O)(=O)C2)c1 ZINC000491416396 332207763 /nfs/dbraw/zinc/20/77/63/332207763.db2.gz KKQVWDXTBNQBTA-KBPBESRZSA-N 0 1 295.408 0.918 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)CN1CCC(C)(C)C1 ZINC000491428003 332209774 /nfs/dbraw/zinc/20/97/74/332209774.db2.gz MYOQKDJXPYKHHM-GFCCVEGCSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCn1ccc(CN(CC)C[C@@H]2CCCNC2=O)n1 ZINC000491505750 332224982 /nfs/dbraw/zinc/22/49/82/332224982.db2.gz MVEJSKCCBDFZTG-ZDUSSCGKSA-N 0 1 274.368 0.864 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000491507855 332225721 /nfs/dbraw/zinc/22/57/21/332225721.db2.gz XRELRONTRHSJLD-VXGBXAGGSA-N 0 1 274.324 0.831 20 30 CCEDMN C#CCNC(=O)CCNCc1cccnc1OCC(F)F ZINC000491544535 332234338 /nfs/dbraw/zinc/23/43/38/332234338.db2.gz MLAXTIFLGDADKB-UHFFFAOYSA-N 0 1 297.305 0.955 20 30 CCEDMN C#CCNC(=O)CCNCC(=O)Nc1ccccc1 ZINC000491646801 332263737 /nfs/dbraw/zinc/26/37/37/332263737.db2.gz UXNVLUGHTBDGBJ-UHFFFAOYSA-N 0 1 259.309 0.354 20 30 CCEDMN C#C[C@@H](NC(=O)N=c1ccn(CCN(C)C)[nH]1)C(C)C ZINC000491675931 332281617 /nfs/dbraw/zinc/28/16/17/332281617.db2.gz VLSNQAVSKWJOHW-GFCCVEGCSA-N 0 1 277.372 0.646 20 30 CCEDMN C#CCNC(=O)C1CCN(C[C@H](O)C(F)(F)F)CC1 ZINC000491682165 332284925 /nfs/dbraw/zinc/28/49/25/332284925.db2.gz QAMDYXYZVRYJCQ-JTQLQIEISA-N 0 1 278.274 0.371 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000491701782 332296246 /nfs/dbraw/zinc/29/62/46/332296246.db2.gz GKTIWHVJOMBVLB-NWDGAFQWSA-N 0 1 253.346 0.370 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000491707580 332300272 /nfs/dbraw/zinc/30/02/72/332300272.db2.gz LTMZJVNVYUOLBR-ZETCQYMHSA-N 0 1 273.214 0.903 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000491769000 332336437 /nfs/dbraw/zinc/33/64/37/332336437.db2.gz MAJIEXAOFQIQJW-CYBMUJFWSA-N 0 1 282.303 0.946 20 30 CCEDMN C#CCNC(=O)CCN(C)[C@@H](C)C(=O)NC(C)(C)C ZINC000491784490 332347858 /nfs/dbraw/zinc/34/78/58/332347858.db2.gz QTKGBTJMDXEALT-NSHDSACASA-N 0 1 267.373 0.361 20 30 CCEDMN C#CCN(C)CCCNC(=O)c1cc(C(C)=O)c[nH]1 ZINC000491786161 332348824 /nfs/dbraw/zinc/34/88/24/332348824.db2.gz UGLDJRBLZSJAHH-UHFFFAOYSA-N 0 1 261.325 0.902 20 30 CCEDMN Cc1cnn(CCC(=O)NC2(C#N)CCN(C)CC2)c1 ZINC000521860301 333193954 /nfs/dbraw/zinc/19/39/54/333193954.db2.gz ICDXCQNHGITVTA-UHFFFAOYSA-N 0 1 275.356 0.686 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H](C)COC)CC1 ZINC000194625163 333273453 /nfs/dbraw/zinc/27/34/53/333273453.db2.gz FVJDXLIJRJFZCG-LBPRGKRZSA-N 0 1 252.358 0.825 20 30 CCEDMN OC[C@@H]1CN(CC#Cc2ccc(F)cc2)C[C@H]1CO ZINC000528603512 333448854 /nfs/dbraw/zinc/44/88/54/333448854.db2.gz LQQGKCFVNDLYGV-KBPBESRZSA-N 0 1 263.312 0.710 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCC[C@H](CO)CC1)C1CC1 ZINC000339752638 335148021 /nfs/dbraw/zinc/14/80/21/335148021.db2.gz FNXZWXGYTZNWCN-SWLSCSKDSA-N 0 1 279.384 0.889 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CNC(C)(C)C(=O)N(C)C ZINC000074286247 334001600 /nfs/dbraw/zinc/00/16/00/334001600.db2.gz IPKZSVYPIQWFOJ-AWEZNQCLSA-N 0 1 282.388 0.497 20 30 CCEDMN N#C[C@@]1(NC(=O)Cc2[nH]nc3ccccc32)CCOC1 ZINC000547489738 334093152 /nfs/dbraw/zinc/09/31/52/334093152.db2.gz PVRZJVUCEMFQIG-AWEZNQCLSA-N 0 1 270.292 0.904 20 30 CCEDMN CN(CC(=O)N[C@]1(C#N)CCOC1)[C@@H]1CCSC1 ZINC000548140522 334142178 /nfs/dbraw/zinc/14/21/78/334142178.db2.gz DKXVXBKUUDSSFN-PWSUYJOCSA-N 0 1 269.370 0.223 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C[C@@H](C)O)C[C@H]1C ZINC000249208622 334330163 /nfs/dbraw/zinc/33/01/63/334330163.db2.gz CGVAUFCXUYIWOA-ZIAGYGMSSA-N 0 1 296.415 0.135 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](OCCOC)C1 ZINC000249408568 334333392 /nfs/dbraw/zinc/33/33/92/334333392.db2.gz UUNMPSGWTFXPJF-NWDGAFQWSA-N 0 1 256.346 0.414 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCC#Cc1ccccc1 ZINC000567726107 334755933 /nfs/dbraw/zinc/75/59/33/334755933.db2.gz ZQBGVPNYZOALNF-INIZCTEOSA-N 0 1 271.364 0.834 20 30 CCEDMN Cc1nnsc1CNC[C@@H](O)CN(C)CCC#N ZINC000577622680 335380546 /nfs/dbraw/zinc/38/05/46/335380546.db2.gz MYEBMVDPDYGJPC-SNVBAGLBSA-N 0 1 269.374 0.143 20 30 CCEDMN C[C@H](NC[C@H](O)CN(C)CCC#N)c1ccn(C)n1 ZINC000577722644 335392298 /nfs/dbraw/zinc/39/22/98/335392298.db2.gz VOOMOICPXYEPNS-RYUDHWBXSA-N 0 1 265.361 0.277 20 30 CCEDMN CN(Cc1ccc(C#N)cn1)[C@@H]1CCN2CCO[C@H]1C2 ZINC000581201644 336024074 /nfs/dbraw/zinc/02/40/74/336024074.db2.gz OCXWCKVSGPPUJW-CABCVRRESA-N 0 1 272.352 0.858 20 30 CCEDMN CC(C)(O)CN1CCN(c2cc(C#N)ncn2)CC1 ZINC000381992528 336079921 /nfs/dbraw/zinc/07/99/21/336079921.db2.gz KCRBNSIHORZQPY-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN Cc1cnc(NC(=O)N[C@H](C)CN2CCN(C)CC2)o1 ZINC000329986262 534561612 /nfs/dbraw/zinc/56/16/12/534561612.db2.gz FAJKVDURWGLJCM-SNVBAGLBSA-N 0 1 281.360 0.945 20 30 CCEDMN COCCN1CCCN(C(=O)c2ccc(C#N)o2)CC1 ZINC000340317919 523823159 /nfs/dbraw/zinc/82/31/59/523823159.db2.gz ZUKIHXLWYQBAQZ-UHFFFAOYSA-N 0 1 277.324 0.946 20 30 CCEDMN C=C(C)CCN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000352103103 526446213 /nfs/dbraw/zinc/44/62/13/526446213.db2.gz YIBMDXYCRYDOKL-UHFFFAOYSA-N 0 1 281.400 0.429 20 30 CCEDMN C=CCN1CC[C@H](N2CCC3(CNC(=O)N3C)CC2)C1=O ZINC000333795764 526506459 /nfs/dbraw/zinc/50/64/59/526506459.db2.gz XEYVNWCKMIZOQY-LBPRGKRZSA-N 0 1 292.383 0.263 20 30 CCEDMN C=CCNC(=O)CN1CCN(Cc2[nH]ncc2C)CC1 ZINC000356049500 526539340 /nfs/dbraw/zinc/53/93/40/526539340.db2.gz DOXCQWQJDUPDAX-UHFFFAOYSA-N 0 1 277.372 0.138 20 30 CCEDMN C=CCNC(=O)CNC(=O)Cc1[nH]nc2ccccc21 ZINC000347896028 526546467 /nfs/dbraw/zinc/54/64/67/526546467.db2.gz STJAJVLTWPUZJV-UHFFFAOYSA-N 0 1 272.308 0.524 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCCN(CCOC)CC1 ZINC000331975153 527627388 /nfs/dbraw/zinc/62/73/88/527627388.db2.gz LXDLTQSLFQXYAJ-AWEZNQCLSA-N 0 1 270.373 0.494 20 30 CCEDMN CC1CCN(CC(=O)NCc2nnn(C)n2)CC1 ZINC000330318020 528226171 /nfs/dbraw/zinc/22/61/71/528226171.db2.gz QRKZHRSUKLYKGC-UHFFFAOYSA-N 0 1 252.322 0.399 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(CC)C[C@@H]1C ZINC000352664830 528359170 /nfs/dbraw/zinc/35/91/70/528359170.db2.gz DALHHUWQKKVZKS-ZDUSSCGKSA-N 0 1 266.389 0.775 20 30 CCEDMN C=CCN1C(=O)N=NC1S(=O)(=O)CCC(C)(C)O ZINC000671193761 545243666 /nfs/dbraw/zinc/24/36/66/545243666.db2.gz IHGOAYIHKXDNMB-UHFFFAOYSA-N 0 1 275.330 0.104 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cc(C)ccn1 ZINC000671194111 545243737 /nfs/dbraw/zinc/24/37/37/545243737.db2.gz RVPVJQDDHYHMTM-UHFFFAOYSA-N 0 1 294.336 0.847 20 30 CCEDMN CCN(CC)S(=O)(=O)c1ccc(O)c(C(=O)NO)c1 ZINC000671995079 545261470 /nfs/dbraw/zinc/26/14/70/545261470.db2.gz DHWZIDRYGHALQM-UHFFFAOYSA-N 0 1 288.325 0.542 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N(C)C1CC(O)C1 ZINC000331959320 528853203 /nfs/dbraw/zinc/85/32/03/528853203.db2.gz AFIKXPUPDMJLMJ-MLCFOIATSA-N 0 1 267.373 0.884 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@@H]1CCCN(C)C1 ZINC000675107032 545322134 /nfs/dbraw/zinc/32/21/34/545322134.db2.gz DETGFWHKQCCMNJ-LLVKDONJSA-N 0 1 253.346 0.279 20 30 CCEDMN C=CCCOCC(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000677928180 545377415 /nfs/dbraw/zinc/37/74/15/545377415.db2.gz TVBSWGKKBFYNMR-JTQLQIEISA-N 0 1 265.317 0.498 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000679631154 545412656 /nfs/dbraw/zinc/41/26/56/545412656.db2.gz YKQALWMPRSJHEJ-AWEZNQCLSA-N 0 1 297.399 0.553 20 30 CCEDMN C=CCOCCNC(=O)CCCCc1cn[nH]n1 ZINC000681091164 545442611 /nfs/dbraw/zinc/44/26/11/545442611.db2.gz YFCJSXPDIYSFHJ-UHFFFAOYSA-N 0 1 252.318 0.836 20 30 CCEDMN C=C(C)[C@H](CO)N1CCCN(C(=O)C2CC2)CC1 ZINC000682030624 545470790 /nfs/dbraw/zinc/47/07/90/545470790.db2.gz CAMXFNSIPLYTSB-ZDUSSCGKSA-N 0 1 252.358 0.868 20 30 CCEDMN N#CCc1ccccc1CC(=O)NCc1nn[nH]n1 ZINC000777395448 581114293 /nfs/dbraw/zinc/11/42/93/581114293.db2.gz BDOSRZSLNUNASO-UHFFFAOYSA-N 0 1 256.269 0.125 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H](O)c2ccc(C#N)cc2)CC1 ZINC000780932520 581250353 /nfs/dbraw/zinc/25/03/53/581250353.db2.gz BDBVIUKUMNUJBF-AWEZNQCLSA-N 0 1 298.346 0.696 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)CCCCc1cn[nH]n1 ZINC000782314388 581297193 /nfs/dbraw/zinc/29/71/93/581297193.db2.gz MKFAYRUBJUOPHW-JTQLQIEISA-N 0 1 278.312 0.199 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)[N@@H+]2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000738430716 581318857 /nfs/dbraw/zinc/31/88/57/581318857.db2.gz NTTPEMJFYCIZTA-CHWSQXEVSA-N 0 1 287.319 0.881 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)[N@H+]2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000738430716 581318859 /nfs/dbraw/zinc/31/88/59/581318859.db2.gz NTTPEMJFYCIZTA-CHWSQXEVSA-N 0 1 287.319 0.881 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H](NC(=O)[O-])[C@H](C)CC)CC1 ZINC000738456931 581331084 /nfs/dbraw/zinc/33/10/84/581331084.db2.gz GOWUGBLPBOZMCU-CHWSQXEVSA-N 0 1 295.383 0.836 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738462334 581333889 /nfs/dbraw/zinc/33/38/89/581333889.db2.gz YYXNFNODPQJHTK-QWRGUYRKSA-N 0 1 267.329 0.056 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cccc3nn[nH]c32)CCN1C1CC1 ZINC000783630091 581353890 /nfs/dbraw/zinc/35/38/90/581353890.db2.gz RTTYYPZVTULOLD-NSHDSACASA-N 0 1 296.334 0.770 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCc2ccc(O)cc2CC1 ZINC000728569798 581364648 /nfs/dbraw/zinc/36/46/48/581364648.db2.gz NUZPBLGFGROSHZ-GFCCVEGCSA-N 0 1 272.348 0.931 20 30 CCEDMN C#C[C@H](C)NC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000784849808 581395892 /nfs/dbraw/zinc/39/58/92/581395892.db2.gz XFYXCXLLJADPFH-JTQLQIEISA-N 0 1 283.291 0.344 20 30 CCEDMN COC[C@H](C#N)OC(=O)c1ccccc1NS(C)(=O)=O ZINC000785074596 581401031 /nfs/dbraw/zinc/40/10/31/581401031.db2.gz GXOKJHATURXTJU-VIFPVBQESA-N 0 1 298.320 0.753 20 30 CCEDMN N#CCCn1ccc(NS(=O)(=O)C[C@@H]2CCCOC2)n1 ZINC000795329667 581404039 /nfs/dbraw/zinc/40/40/39/581404039.db2.gz VIWGIBGLPQBEJD-LLVKDONJSA-N 0 1 298.368 0.965 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000785411119 581408272 /nfs/dbraw/zinc/40/82/72/581408272.db2.gz IIGFATOLBUMORP-SKDRFNHKSA-N 0 1 265.317 0.702 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCCN(CCOC)CC2)nc1 ZINC000728862010 581513630 /nfs/dbraw/zinc/51/36/30/581513630.db2.gz YFHDWDHLFCVJFJ-UHFFFAOYSA-N 0 1 287.363 0.857 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](OC)C2)CC1 ZINC000752731950 581514181 /nfs/dbraw/zinc/51/41/81/581514181.db2.gz OQSROQZXPBVTTK-CYBMUJFWSA-N 0 1 250.342 0.579 20 30 CCEDMN N#CC(C(=O)COC[C@@H]1CCCO1)C(=O)NC1CC1 ZINC000733678981 581518082 /nfs/dbraw/zinc/51/80/82/581518082.db2.gz QNNPDIBYORCFGT-QWRGUYRKSA-N 0 1 266.297 0.169 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S[C@@H]1CCC(=O)NC1=O ZINC000765829229 581537242 /nfs/dbraw/zinc/53/72/42/581537242.db2.gz OXRZVKJJJIHKCS-ZCFIWIBFSA-N 0 1 268.298 0.067 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000739413765 581548695 /nfs/dbraw/zinc/54/86/95/581548695.db2.gz BZEQYXXNOFVPRS-NEPJUHHUSA-N 0 1 296.371 0.727 20 30 CCEDMN CCCNC(=O)C1(NCC(=O)NCCC#N)CCCCC1 ZINC000754665798 581603936 /nfs/dbraw/zinc/60/39/36/581603936.db2.gz JMSXLBFBODNIMS-UHFFFAOYSA-N 0 1 294.399 0.835 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](n2ccnc2)C1 ZINC000729920671 581621067 /nfs/dbraw/zinc/62/10/67/581621067.db2.gz QTLSMFQOWIJPKO-CHWSQXEVSA-N 0 1 260.341 0.658 20 30 CCEDMN CC(C)(NCC(=O)NCCC#N)C(=O)NCC1CCCC1 ZINC000767658445 581625427 /nfs/dbraw/zinc/62/54/27/581625427.db2.gz KYECSQQGLQRRAS-UHFFFAOYSA-N 0 1 294.399 0.691 20 30 CCEDMN C#CCN(C)CCOc1cccc(-c2nn[nH]n2)c1 ZINC000735374656 581640672 /nfs/dbraw/zinc/64/06/72/581640672.db2.gz LBZVFMQCVGVRCF-UHFFFAOYSA-N 0 1 257.297 0.811 20 30 CCEDMN C=CC[C@@H](C)N[C@H](C(N)=O)c1ccc(-c2nn[nH]n2)cc1 ZINC000735410164 581641899 /nfs/dbraw/zinc/64/18/99/581641899.db2.gz WCLGGVORVNSVAU-SKDRFNHKSA-N 0 1 286.339 0.947 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)NC2CC2)CC1 ZINC000735938210 581673177 /nfs/dbraw/zinc/67/31/77/581673177.db2.gz VIMIUXFRTFONLK-UHFFFAOYSA-N 0 1 264.325 0.153 20 30 CCEDMN COc1ncnc(N)c1C=NNc1ccncc1Cl ZINC000756837496 581679997 /nfs/dbraw/zinc/67/99/97/581679997.db2.gz AQRDNIINGJTEDG-UHFFFAOYSA-N 0 1 278.703 0.984 20 30 CCEDMN CC[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NCCCOC)O1 ZINC000757304683 581699790 /nfs/dbraw/zinc/69/97/90/581699790.db2.gz MATDCIKQNANZDW-GRYCIOLGSA-N 0 1 282.340 0.806 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000736200130 581713805 /nfs/dbraw/zinc/71/38/05/581713805.db2.gz OIFWTFWYNPYWHD-QWRGUYRKSA-N 0 1 267.329 0.544 20 30 CCEDMN CCc1cc(CNS(=O)(=O)c2cccnc2C#N)n[nH]1 ZINC000758117268 581722332 /nfs/dbraw/zinc/72/23/32/581722332.db2.gz BMNUULKKZHQVEI-UHFFFAOYSA-N 0 1 291.336 0.717 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)C1 ZINC000758221572 581728203 /nfs/dbraw/zinc/72/82/03/581728203.db2.gz QIBTYYLKMKOVSL-NBBOBHDQSA-N 0 1 276.300 0.039 20 30 CCEDMN COC(=O)CSCCC(=O)C(C#N)C(=O)NC(C)C ZINC000730919301 581746393 /nfs/dbraw/zinc/74/63/93/581746393.db2.gz BFYSDCCHCJDVCB-SECBINFHSA-N 0 1 286.353 0.516 20 30 CCEDMN C#CCNC(=O)COC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000770558024 581753556 /nfs/dbraw/zinc/75/35/56/581753556.db2.gz JDQNIDMUVXYVRA-UHFFFAOYSA-N 0 1 284.275 0.378 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)cc1 ZINC000731854920 581784177 /nfs/dbraw/zinc/78/41/77/581784177.db2.gz LKEDFXYBMMKHAU-NSHDSACASA-N 0 1 284.279 0.285 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@@H](O)C2CCOCC2)c1 ZINC000759712964 581817160 /nfs/dbraw/zinc/81/71/60/581817160.db2.gz CNIRCBAHRKSLHU-ZDUSSCGKSA-N 0 1 276.292 0.990 20 30 CCEDMN N#Cc1cc(COC(=O)CCc2nc[nH]n2)ccn1 ZINC000759847576 581827570 /nfs/dbraw/zinc/82/75/70/581827570.db2.gz CKRWKRZTIPJYFY-UHFFFAOYSA-N 0 1 257.253 0.747 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H]2CCCN(C)C2=O)CC1 ZINC000772114290 581843247 /nfs/dbraw/zinc/84/32/47/581843247.db2.gz ZEOSEEDSIZJYHN-ZDUSSCGKSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCN1CCC(C(=O)OCC2(C)COC2)CC1 ZINC000772113328 581843348 /nfs/dbraw/zinc/84/33/48/581843348.db2.gz LXQZXZSWENFACB-UHFFFAOYSA-N 0 1 251.326 0.911 20 30 CCEDMN CCCCC(=O)C(C#N)C(=O)N1CCS(=O)(=O)CC1 ZINC000732910831 581853954 /nfs/dbraw/zinc/85/39/54/581853954.db2.gz VMNSAIUOJOFXQH-JTQLQIEISA-N 0 1 286.353 0.142 20 30 CCEDMN C=C[C@H](C)NC(=O)C(=NOCC(=O)OCC)c1ccn[nH]1 ZINC000772353945 581854358 /nfs/dbraw/zinc/85/43/58/581854358.db2.gz GQLNWWLDYLVXHC-VIFPVBQESA-N 0 1 294.311 0.384 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@H](C3CC3)C2=O)CC1 ZINC000761247793 581899241 /nfs/dbraw/zinc/89/92/41/581899241.db2.gz FUCUIIPDQXRZRJ-GFCCVEGCSA-N 0 1 263.341 0.967 20 30 CCEDMN O=C(NCCNCc1ccoc1)C1N=CC=CC1=O ZINC000733332821 581901205 /nfs/dbraw/zinc/90/12/05/581901205.db2.gz CGQNLQUJWSJCCD-SEYXRHQNSA-N 0 1 261.281 0.896 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc(NC=O)cc2)CC1 ZINC000746229471 581903598 /nfs/dbraw/zinc/90/35/98/581903598.db2.gz JXKRDTJDWCUAQX-UHFFFAOYSA-N 0 1 286.335 0.973 20 30 CCEDMN C[C@H](O)c1ncc(C=NNC2=NC[C@H](C)N2)s1 ZINC000773080665 581908515 /nfs/dbraw/zinc/90/85/15/581908515.db2.gz XBUONZZBCQIXEW-BQBZGAKWSA-N 0 1 253.331 0.468 20 30 CCEDMN N#Cc1cc(C(=O)N2CCSC[C@H]2c2nn[nH]n2)c[nH]1 ZINC000773157577 581911233 /nfs/dbraw/zinc/91/12/33/581911233.db2.gz FMGGTPNGIKGDRJ-VIFPVBQESA-N 0 1 289.324 0.330 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCN(CCCCO)CC1 ZINC000746484733 581915706 /nfs/dbraw/zinc/91/57/06/581915706.db2.gz TWYCZEXNWWFGJF-CYBMUJFWSA-N 0 1 269.389 0.067 20 30 CCEDMN CN(C)C(=O)c1ccc(CN=Nc2cnn(C)c2)[nH]1 ZINC000761868327 581923687 /nfs/dbraw/zinc/92/36/87/581923687.db2.gz ZPYZLBUZTNVHKD-UHFFFAOYSA-N 0 1 260.301 0.896 20 30 CCEDMN N#CCNC(=O)COC(=O)c1c(F)cc(O)cc1F ZINC000746985010 581929627 /nfs/dbraw/zinc/92/96/27/581929627.db2.gz DBYAYTUIKYBZPW-UHFFFAOYSA-N 0 1 270.191 0.467 20 30 CCEDMN CN1CCC[C@H](NC(=O)C#Cc2ccc3c(c2)OCO3)C1 ZINC000762200282 581935490 /nfs/dbraw/zinc/93/54/90/581935490.db2.gz ILCLTMQAWLPNBJ-ZDUSSCGKSA-N 0 1 286.331 0.977 20 30 CCEDMN COCCN1CC[C@H](NC(=O)C#Cc2ccccc2)C1 ZINC000747382849 581938532 /nfs/dbraw/zinc/93/85/32/581938532.db2.gz DYQXMOUOKUEAEH-HNNXBMFYSA-N 0 1 272.348 0.875 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2ccc(CS(C)(=O)=O)o2)NO1 ZINC000763258745 581993296 /nfs/dbraw/zinc/99/32/96/581993296.db2.gz HCGIWDRTKFASLY-SSDOTTSWSA-N 0 1 286.309 0.676 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(C[C@H]3CCOC3)CC2)nc1 ZINC000749511819 582010620 /nfs/dbraw/zinc/01/06/20/582010620.db2.gz NXDHLKZMAXPREC-OAHLLOKOSA-N 0 1 299.374 0.857 20 30 CCEDMN C#CCCN1CCN(C(=O)CN(C)[C@H]2CCSC2)CC1 ZINC000763994552 582025413 /nfs/dbraw/zinc/02/54/13/582025413.db2.gz WUHLQPFYHWNALZ-AWEZNQCLSA-N 0 1 295.452 0.591 20 30 CCEDMN C#C[C@H](NCCCS(=O)(=O)CCOC)[C@@H]1CCCO1 ZINC000775797583 582039385 /nfs/dbraw/zinc/03/93/85/582039385.db2.gz DTTCQHPKQQBBME-STQMWFEESA-N 0 1 289.397 0.208 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@H](NC(=O)[O-])C(C)C ZINC000738461538 582053225 /nfs/dbraw/zinc/05/32/25/582053225.db2.gz UMGVOSJAXJOPGU-RYUDHWBXSA-N 0 1 281.356 0.492 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@H](NC(=O)[O-])C(C)C ZINC000738461538 582053226 /nfs/dbraw/zinc/05/32/26/582053226.db2.gz UMGVOSJAXJOPGU-RYUDHWBXSA-N 0 1 281.356 0.492 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@H]1CCCN(C(=O)[O-])C1 ZINC000738462281 582053252 /nfs/dbraw/zinc/05/32/52/582053252.db2.gz YBNOBUYAAVKVOV-OLZOCXBDSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@H]1CCCN(C(=O)[O-])C1 ZINC000738462281 582053253 /nfs/dbraw/zinc/05/32/53/582053253.db2.gz YBNOBUYAAVKVOV-OLZOCXBDSA-N 0 1 293.367 0.590 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN2CCC(OC)CC2)cn1 ZINC000750868133 582062428 /nfs/dbraw/zinc/06/24/28/582062428.db2.gz USCANJLKOLSRMG-UHFFFAOYSA-N 0 1 287.363 0.904 20 30 CCEDMN Cn1nncc1C=Nn1c(=S)[nH]nc1C(F)(F)F ZINC000751130379 582070519 /nfs/dbraw/zinc/07/05/19/582070519.db2.gz XWWGHLVRRJVNIW-UHFFFAOYSA-N 0 1 277.235 0.596 20 30 CCEDMN N#CCCC[N@@H+](CCN1CCOCC1)CC(=O)[O-] ZINC000739071936 582100874 /nfs/dbraw/zinc/10/08/74/582100874.db2.gz ATMAHARMOBLUJB-UHFFFAOYSA-N 0 1 255.318 0.009 20 30 CCEDMN C=CCNC(=O)COC(=O)CCCCc1cn[nH]n1 ZINC000768508190 582107471 /nfs/dbraw/zinc/10/74/71/582107471.db2.gz DOZDFLRZFPIDLT-UHFFFAOYSA-N 0 1 266.301 0.363 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CC1(C)C ZINC000126013889 616898102 /nfs/dbraw/zinc/89/81/02/616898102.db2.gz SJNXJHCICRHROG-VHSXEESVSA-N 0 1 252.314 0.894 20 30 CCEDMN CO[C@H](C(=O)NCC#CCN(C)C)C1CCCC1 ZINC000913523616 616974747 /nfs/dbraw/zinc/97/47/47/616974747.db2.gz GQVACDCMDBZLJC-ZDUSSCGKSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCCCS(=O)(=O)Nc1nc(SC)n[nH]1 ZINC000840946873 612985464 /nfs/dbraw/zinc/98/54/64/612985464.db2.gz WUEIDZPPAWASSY-UHFFFAOYSA-N 0 1 260.344 0.682 20 30 CCEDMN C#CCSCC(=O)N1CC[C@H](N2CC[C@H](O)C2)C1 ZINC000908203935 613010825 /nfs/dbraw/zinc/01/08/25/613010825.db2.gz SKRQMDPVOFCOGW-RYUDHWBXSA-N 0 1 268.382 0.020 20 30 CCEDMN C#CCCN1CC[NH+](C[C@H]2CC(C(=O)[O-])=C(C)O2)CC1 ZINC000833362117 613011507 /nfs/dbraw/zinc/01/15/07/613011507.db2.gz ACNYXMHABUOIBH-CYBMUJFWSA-N 0 1 278.352 0.775 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC([C@@]2(C)COC(=O)N2)CC1 ZINC000932088600 612947471 /nfs/dbraw/zinc/94/74/71/612947471.db2.gz FSSXCNGIFJGHMM-IAQYHMDHSA-N 0 1 293.367 0.335 20 30 CCEDMN C#CCSCC(=O)NC[C@H]1CCCC[N@@H+]1CCO ZINC000897878970 613012246 /nfs/dbraw/zinc/01/22/46/613012246.db2.gz CYHPXWJCXMSRFR-GFCCVEGCSA-N 0 1 270.398 0.316 20 30 CCEDMN C#CCSCC(=O)NC[C@H]1CCCCN1CCO ZINC000897878970 613012248 /nfs/dbraw/zinc/01/22/48/613012248.db2.gz CYHPXWJCXMSRFR-GFCCVEGCSA-N 0 1 270.398 0.316 20 30 CCEDMN C#CC1(F)CN(C(=O)NCc2n[nH]c([C@H]3CCCO3)n2)C1 ZINC000883765743 612947751 /nfs/dbraw/zinc/94/77/51/612947751.db2.gz DWDONHMWTKIQNT-SECBINFHSA-N 0 1 293.302 0.523 20 30 CCEDMN C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C[C@H]1C(=O)[O-] ZINC000828433071 601506588 /nfs/dbraw/zinc/50/65/88/601506588.db2.gz QZIFQSOERLODMC-NWDGAFQWSA-N 0 1 293.367 0.982 20 30 CCEDMN C#CCSCCNC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000842181359 613016442 /nfs/dbraw/zinc/01/64/42/613016442.db2.gz WXBNSLVAIQYVIP-OLZOCXBDSA-N 0 1 299.440 0.449 20 30 CCEDMN C#CC1(NC(=O)N[C@@H](C)CN2CCN(C)CC2)CCCC1 ZINC000883348049 612949892 /nfs/dbraw/zinc/94/98/92/612949892.db2.gz GRTFVNRCXJNTBC-AWEZNQCLSA-N 0 1 292.427 0.868 20 30 CCEDMN C[C@@H](NC(=O)C#Cc1cccs1)[C@H]1CN(C)CCN1C ZINC000900809927 617179804 /nfs/dbraw/zinc/17/98/04/617179804.db2.gz FNBAUNHOJPBULA-TZMCWYRMSA-N 0 1 291.420 0.850 20 30 CCEDMN N#Cc1ccc(C[NH2+]CC(=O)N2CCN(C(=O)[O-])CC2)o1 ZINC000831126862 603161076 /nfs/dbraw/zinc/16/10/76/603161076.db2.gz URKCPCCYDJLNSA-UHFFFAOYSA-N 0 1 292.295 0.063 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CN(CC#N)CC[C@H]2C)[nH]n1 ZINC000965890822 649939348 /nfs/dbraw/zinc/93/93/48/649939348.db2.gz MRRBZVQMEWAQRI-MFKMUULPSA-N 0 1 275.356 0.611 20 30 CCEDMN C#CCNC(=O)c1ccc(NC[C@H]2CCN2C)nc1 ZINC000895771251 612952656 /nfs/dbraw/zinc/95/26/56/612952656.db2.gz JLACBVXCRRSFNV-GFCCVEGCSA-N 0 1 258.325 0.561 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCCN1CCCOCC1 ZINC000858488104 613063153 /nfs/dbraw/zinc/06/31/53/613063153.db2.gz CIYLKKLNPVLKFC-CYBMUJFWSA-N 0 1 283.372 0.046 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1cc(OC)cc(OC)c1 ZINC000912487075 612956320 /nfs/dbraw/zinc/95/63/20/612956320.db2.gz ZRWZTVHQXHYYOO-UHFFFAOYSA-N 0 1 276.336 0.885 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2ccc(-c3nn[nH]n3)cn2)=NO1 ZINC000824892977 608108841 /nfs/dbraw/zinc/10/88/41/608108841.db2.gz OHHWWEHTEABDIT-ZCFIWIBFSA-N 0 1 273.256 0.114 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cncnc2)C1 ZINC000971428531 613081792 /nfs/dbraw/zinc/08/17/92/613081792.db2.gz ZARCIUCQMAZSLH-CYBMUJFWSA-N 0 1 258.325 0.185 20 30 CCEDMN COc1ccc(/C=C\C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834493497 617221583 /nfs/dbraw/zinc/22/15/83/617221583.db2.gz DVAWUWVWJZORRF-CGDMSBDMSA-N 0 1 271.320 0.936 20 30 CCEDMN C#CCC1(O)CCN(C(=O)[C@H]2CCCCN2C)CC1 ZINC000882524298 612972758 /nfs/dbraw/zinc/97/27/58/612972758.db2.gz JUCUZWPNFXWGDI-CYBMUJFWSA-N 0 1 264.369 0.848 20 30 CCEDMN C#CCCCC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000841457468 612975046 /nfs/dbraw/zinc/97/50/46/612975046.db2.gz RKZXYOQNOSUUOL-QWHCGFSZSA-N 0 1 252.358 0.703 20 30 CCEDMN C#CCCCCC(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000913494737 612975569 /nfs/dbraw/zinc/97/55/69/612975569.db2.gz CMVUCLPGWDDFMW-SNVBAGLBSA-N 0 1 263.301 0.293 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000223010686 612975734 /nfs/dbraw/zinc/97/57/34/612975734.db2.gz ZFYPJMHSVFJMIX-LBPRGKRZSA-N 0 1 277.324 0.804 20 30 CCEDMN CCC[C@H](OC)C(=O)C(C#N)C(=O)NCCCOC ZINC000835057878 614434690 /nfs/dbraw/zinc/43/46/90/614434690.db2.gz VDACAGLYDKAKFV-QWRGUYRKSA-N 0 1 270.329 0.663 20 30 CCEDMN COc1ccc(C(=O)NCC#CCN(C)C)cc1OC ZINC000913513179 617228312 /nfs/dbraw/zinc/22/83/12/617228312.db2.gz BQAKTLVJWDMSAS-UHFFFAOYSA-N 0 1 276.336 0.999 20 30 CCEDMN COc1ccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c(C)n1 ZINC000841146793 617269767 /nfs/dbraw/zinc/26/97/67/617269767.db2.gz SIQVPJYXIMDXMS-GXSJLCMTSA-N 0 1 275.312 0.632 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC000943437672 617288660 /nfs/dbraw/zinc/28/86/60/617288660.db2.gz NUHIOFWMZGZNGX-VHSXEESVSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC000943437672 617288661 /nfs/dbraw/zinc/28/86/61/617288661.db2.gz NUHIOFWMZGZNGX-VHSXEESVSA-N 0 1 290.327 0.075 20 30 CCEDMN COc1cccc(CN[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000967941986 617300476 /nfs/dbraw/zinc/30/04/76/617300476.db2.gz BZCNCJYPTSZEFW-DGCLKSJQSA-N 0 1 288.351 0.940 20 30 CCEDMN COc1cccc(OC)c1C(=O)NCC#CCN(C)C ZINC000913519722 617305621 /nfs/dbraw/zinc/30/56/21/617305621.db2.gz LTUPNZHAZGTALI-UHFFFAOYSA-N 0 1 276.336 0.999 20 30 CCEDMN C#CC1(O)CN(C(=O)[C@@H](c2ccccc2)N(C)CC)C1 ZINC000890522314 612957755 /nfs/dbraw/zinc/95/77/55/612957755.db2.gz FJYMBOKZBUDYTE-CQSZACIVSA-N 0 1 272.348 0.886 20 30 CCEDMN C#CC1(O)CN(C(=O)c2cc(C)cc3c[nH]nc32)C1 ZINC000890521375 612958902 /nfs/dbraw/zinc/95/89/02/612958902.db2.gz CDCBVBCWAFEERO-UHFFFAOYSA-N 0 1 255.277 0.691 20 30 CCEDMN C#CC1CCN([C@@H]2CCN(c3cnn(C)c3)C2=O)CC1 ZINC000830321712 612964991 /nfs/dbraw/zinc/96/49/91/612964991.db2.gz YKOLJBYKFMKVRA-CQSZACIVSA-N 0 1 272.352 0.871 20 30 CCEDMN C#CC1CCN([C@@H](C)C(=O)NC(=O)NCC)CC1 ZINC000830311570 612965084 /nfs/dbraw/zinc/96/50/84/612965084.db2.gz PMXAIUKJNIEHEP-JTQLQIEISA-N 0 1 251.330 0.566 20 30 CCEDMN C#CCC1(NC(=O)CCc2nn[nH]n2)CCCCC1 ZINC000886657101 612968640 /nfs/dbraw/zinc/96/86/40/612968640.db2.gz CUUMONMLIDXPHI-UHFFFAOYSA-N 0 1 261.329 0.975 20 30 CCEDMN C#CCCCCC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000846526247 612975553 /nfs/dbraw/zinc/97/55/53/612975553.db2.gz FVHYGOSJZSXUEB-UHFFFAOYSA-N 0 1 275.356 0.643 20 30 CCEDMN C#CCCCCNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000126114405 612978174 /nfs/dbraw/zinc/97/81/74/612978174.db2.gz WTRHAGVYJHSWAA-CQSZACIVSA-N 0 1 280.416 0.725 20 30 CCEDMN C#CCCCNC(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC000828390999 612978921 /nfs/dbraw/zinc/97/89/21/612978921.db2.gz FFGHMQKTZZFGJF-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN C#CCOCCC(=O)NCCC[NH+]1CCN(CC)CC1 ZINC000119474607 612979189 /nfs/dbraw/zinc/97/91/89/612979189.db2.gz VEULMVIOORJJJE-UHFFFAOYSA-N 0 1 281.400 0.170 20 30 CCEDMN C#CCOCCC(=O)NCCCCN1CCOCC1 ZINC000119909497 612979301 /nfs/dbraw/zinc/97/93/01/612979301.db2.gz YZMHLKXJFFZTGL-UHFFFAOYSA-N 0 1 268.357 0.255 20 30 CCEDMN C#CCOCC[N@@H+]1CC[C@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879766611 612984671 /nfs/dbraw/zinc/98/46/71/612984671.db2.gz AQMISEZBJUFHKG-NSHDSACASA-N 0 1 278.274 0.779 20 30 CCEDMN C#CCCN(CCOC)C(=O)NCc1n[nH]c(C2CC2)n1 ZINC000854098777 612987533 /nfs/dbraw/zinc/98/75/33/612987533.db2.gz GUHGOOCDGPGEPC-UHFFFAOYSA-N 0 1 291.355 0.863 20 30 CCEDMN C#CCOCCN[C@@]1(CO)CCOc2ccccc21 ZINC000852011416 612990023 /nfs/dbraw/zinc/99/00/23/612990023.db2.gz KPGPXBIESAHGRL-OAHLLOKOSA-N 0 1 261.321 0.896 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN([C@H](C)CC)CC1 ZINC000837003907 612994964 /nfs/dbraw/zinc/99/49/64/612994964.db2.gz BZYYFWLWUPXTDN-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@H](c2[nH]ncc2N)C1 ZINC000907416719 612995531 /nfs/dbraw/zinc/99/55/31/612995531.db2.gz JPLFGLJVKLBPMV-UWVGGRQHSA-N 0 1 262.313 0.346 20 30 CCEDMN C#CCCN1CCC(NC(=O)CCC(C)=O)CC1 ZINC000800500254 612998793 /nfs/dbraw/zinc/99/87/93/612998793.db2.gz BFRWGZYLAHIRJU-UHFFFAOYSA-N 0 1 250.342 0.960 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000823297898 613001595 /nfs/dbraw/zinc/00/15/95/613001595.db2.gz LFBYIUYKAOLMJE-CABCVRRESA-N 0 1 295.427 0.413 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@H](CC)OC)CC1 ZINC000980813223 613003723 /nfs/dbraw/zinc/00/37/23/613003723.db2.gz QTHPSZAPERQOCH-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCCN1CCN(CCc2nnn(C(C)(C)C)n2)CC1 ZINC000851872273 613011569 /nfs/dbraw/zinc/01/15/69/613011569.db2.gz AHXWHWVIRZOEFR-UHFFFAOYSA-N 0 1 290.415 0.612 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)Cc1n[nH]c(C)n1 ZINC000863779074 613019601 /nfs/dbraw/zinc/01/96/01/613019601.db2.gz JLNMRPPCOPDBAN-JTQLQIEISA-N 0 1 250.302 0.200 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000816670146 613027718 /nfs/dbraw/zinc/02/77/18/613027718.db2.gz MYGSCTFSUJFTNQ-IUCAKERBSA-N 0 1 294.273 0.190 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)[C@@H]1CCN1C1CCCC1 ZINC000823053695 613027879 /nfs/dbraw/zinc/02/78/79/613027879.db2.gz SXEOEOIICHPXKU-AAEUAGOBSA-N 0 1 250.342 0.504 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000816669327 613029147 /nfs/dbraw/zinc/02/91/47/613029147.db2.gz NYVYABSSCRMDGP-LBPRGKRZSA-N 0 1 270.292 0.586 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1cccc2nc(CO)[nH]c21 ZINC000908316009 613029388 /nfs/dbraw/zinc/02/93/88/613029388.db2.gz RXEKSDIPDDZAPW-VIFPVBQESA-N 0 1 273.292 0.169 20 30 CCEDMN C#CC[C@@H](CO)NCc1cc(C(=O)OC(C)(C)C)nn1C ZINC000895490401 613030440 /nfs/dbraw/zinc/03/04/40/613030440.db2.gz NXSPJCHCRIDAAO-NSHDSACASA-N 0 1 293.367 0.849 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000863805892 613037209 /nfs/dbraw/zinc/03/72/09/613037209.db2.gz XKCSMHDLFFJBPA-UWVGGRQHSA-N 0 1 262.313 0.379 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)C(=O)NCc2cc(C)[nH]n2)C1 ZINC000834727294 613043935 /nfs/dbraw/zinc/04/39/35/613043935.db2.gz GMLCGXQKNAZOER-GFCCVEGCSA-N 0 1 288.351 0.596 20 30 CCEDMN C#CCCOC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000859189625 613049157 /nfs/dbraw/zinc/04/91/57/613049157.db2.gz AJKXABDFHRYNBO-LLVKDONJSA-N 0 1 268.313 0.183 20 30 CCEDMN C#CC[C@H](COC)NS(=O)(=O)c1cc(O)cc(F)c1 ZINC000867568075 613066620 /nfs/dbraw/zinc/06/66/20/613066620.db2.gz FFKBPRQTWPKHOO-SNVBAGLBSA-N 0 1 287.312 0.848 20 30 CCEDMN C#CCN(C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1)C1CC1 ZINC000847402481 613067500 /nfs/dbraw/zinc/06/75/00/613067500.db2.gz QDEFSLNUHFYVCC-NSHDSACASA-N 0 1 289.339 0.963 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000912986030 613073127 /nfs/dbraw/zinc/07/31/27/613073127.db2.gz QMOULEQPWAPENL-JSGCOSHPSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CC[C@H]1NC(=O)N(C2CCN([C@@H]3CCOC3)CC2)C1=O ZINC000853086276 613075056 /nfs/dbraw/zinc/07/50/56/613075056.db2.gz JRKQJDKWSIBHRJ-CHWSQXEVSA-N 0 1 291.351 0.183 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)NC[C@@H]2CCN2C)n1 ZINC000925416195 613078287 /nfs/dbraw/zinc/07/82/87/613078287.db2.gz HUKOBWRWRVVRCH-AWEZNQCLSA-N 0 1 289.383 0.752 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)CN2CCCC2)n1 ZINC000822530857 613078362 /nfs/dbraw/zinc/07/83/62/613078362.db2.gz DFVVUMJRENHECY-UHFFFAOYSA-N 0 1 274.368 0.961 20 30 CCEDMN C#CCn1ccc(CN(CC)C[C@H]2CNC(=O)C2)n1 ZINC000851996016 613078555 /nfs/dbraw/zinc/07/85/55/613078555.db2.gz FIFUUJFTUXQOIO-GFCCVEGCSA-N 0 1 260.341 0.474 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC2OCCCO2)C1 ZINC000971377270 613080198 /nfs/dbraw/zinc/08/01/98/613080198.db2.gz WBYHEMILUAGRJY-GFCCVEGCSA-N 0 1 266.341 0.305 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000971958087 613081759 /nfs/dbraw/zinc/08/17/59/613081759.db2.gz QTUDEKRNMQMOER-CYBMUJFWSA-N 0 1 273.336 0.496 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC000980573979 613082160 /nfs/dbraw/zinc/08/21/60/613082160.db2.gz PGQHYZQUFHTZED-GXTWGEPZSA-N 0 1 274.368 0.690 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NC[C@@H]1CCO[C@@H]1c1n[nH]c(C)n1 ZINC000922526730 613083801 /nfs/dbraw/zinc/08/38/01/613083801.db2.gz UFCILAFMGYISQL-SRVKXCTJSA-N 0 1 291.355 0.902 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC000972382226 613084256 /nfs/dbraw/zinc/08/42/56/613084256.db2.gz HRXQGMLQMDKTHP-JHJVBQTASA-N 0 1 250.342 0.720 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897553515 613084783 /nfs/dbraw/zinc/08/47/83/613084783.db2.gz QAJZRQFUKLZBKX-QWHCGFSZSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn3cccnc23)C1 ZINC000972060347 613087771 /nfs/dbraw/zinc/08/77/71/613087771.db2.gz CMEPDWJAOCWSHA-GFCCVEGCSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@H]2COC(=O)C2)C1 ZINC000971344778 613090295 /nfs/dbraw/zinc/09/02/95/613090295.db2.gz VMZOEKGTCWKHGB-NEPJUHHUSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC000972068399 613090617 /nfs/dbraw/zinc/09/06/17/613090617.db2.gz WXLKHGNDLVUVEV-STQMWFEESA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC000971635805 613092780 /nfs/dbraw/zinc/09/27/80/613092780.db2.gz COGMJHKPSCHEGX-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c(C)nn(C)c2C)C1 ZINC000972043178 613093410 /nfs/dbraw/zinc/09/34/10/613093410.db2.gz XAJIMGOKGXGPDQ-ZDUSSCGKSA-N 0 1 274.368 0.816 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(C)nc2Cl)C1 ZINC000972710511 613096447 /nfs/dbraw/zinc/09/64/47/613096447.db2.gz OVSIAAJUHAZNBE-JTQLQIEISA-N 0 1 280.759 0.853 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nnc3ccccc3n2)C1 ZINC000971953225 613097279 /nfs/dbraw/zinc/09/72/79/613097279.db2.gz QNXJNYZJEPLYLD-LBPRGKRZSA-N 0 1 295.346 0.804 20 30 CCEDMN C#CCN(CC#N)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000861521091 613101442 /nfs/dbraw/zinc/10/14/42/613101442.db2.gz NZHXFAFKYKAJAZ-UHFFFAOYSA-N 0 1 292.320 0.052 20 30 CCEDMN C#CCN(CC(=O)NCc1nnc[nH]1)C(=O)OC(C)(C)C ZINC000823514152 613103261 /nfs/dbraw/zinc/10/32/61/613103261.db2.gz OJGKXMLDENLWAI-UHFFFAOYSA-N 0 1 293.327 0.291 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)c1[nH]nnc1C ZINC000855495002 613104696 /nfs/dbraw/zinc/10/46/96/613104696.db2.gz GWRANQZWOGHGHF-UHFFFAOYSA-N 0 1 278.312 0.530 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000854916834 613110936 /nfs/dbraw/zinc/11/09/36/613110936.db2.gz JCDAKAOITLXQJH-WDEREUQCSA-N 0 1 259.309 0.375 20 30 CCEDMN C#C[C@H](C)NC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000811997841 613116902 /nfs/dbraw/zinc/11/69/02/613116902.db2.gz JPWVSGRCWXTOBK-LBPRGKRZSA-N 0 1 266.389 0.333 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000854077330 613120003 /nfs/dbraw/zinc/12/00/03/613120003.db2.gz PNIKZLFVMVLLLL-RWMBFGLXSA-N 0 1 267.373 0.495 20 30 CCEDMN C#CCN1CC([C@H](C)NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC000969793741 613126171 /nfs/dbraw/zinc/12/61/71/613126171.db2.gz RQKOOQKFDQWARS-VIFPVBQESA-N 0 1 284.323 0.036 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H]2CNC(C)=O)CC1 ZINC000948589259 613129688 /nfs/dbraw/zinc/12/96/88/613129688.db2.gz IGAXFSJYGOTSDU-AWEZNQCLSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(C(=O)NCC[S@@](=O)C(C)(C)C)CC1 ZINC000112300058 613130254 /nfs/dbraw/zinc/13/02/54/613130254.db2.gz JHVUXELHAMESSJ-FQEVSTJZSA-N 0 1 298.452 0.995 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H]2CCC3(COC3)O2)CC1 ZINC000922445011 613131899 /nfs/dbraw/zinc/13/18/99/613131899.db2.gz MEVOCLPTMUWAOX-AWEZNQCLSA-N 0 1 293.363 0.823 20 30 CCEDMN C#CCN1CCC(N=c2ncnc3[nH][nH]cc2-3)CC1 ZINC000905012901 613132494 /nfs/dbraw/zinc/13/24/94/613132494.db2.gz GIQMVFYFMTVKKX-UHFFFAOYSA-N 0 1 256.313 0.236 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2ccon2)CC1 ZINC000928651046 613132754 /nfs/dbraw/zinc/13/27/54/613132754.db2.gz KXVGLCKVFUYSAT-UHFFFAOYSA-N 0 1 261.325 0.821 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CO[C@H](C)C2)CC1 ZINC000923048008 613135010 /nfs/dbraw/zinc/13/50/10/613135010.db2.gz RJZBHEACUOIEFI-YPMHNXCESA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cccnn2)CC1 ZINC000930347718 613135302 /nfs/dbraw/zinc/13/53/02/613135302.db2.gz SSEWDNHLRKANDF-UHFFFAOYSA-N 0 1 259.313 0.696 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCc3nccn3C2)CC1 ZINC000928650754 613136152 /nfs/dbraw/zinc/13/61/52/613136152.db2.gz HPWLRRRLZIPJAF-CYBMUJFWSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)c2cnn(C)c2)CC1 ZINC000928655847 613136167 /nfs/dbraw/zinc/13/61/67/613136167.db2.gz APLOMUFCRGTZOK-GFCCVEGCSA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC000928657877 613136391 /nfs/dbraw/zinc/13/63/91/613136391.db2.gz COCXMNUZFWYLLO-NEPJUHHUSA-N 0 1 264.325 0.009 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc3n2CCC3)CC1 ZINC000928648032 613137528 /nfs/dbraw/zinc/13/75/28/613137528.db2.gz CYHGWMHZIUOLAW-UHFFFAOYSA-N 0 1 272.352 0.657 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(C3CC3)nn2)CC1 ZINC000928652163 613137619 /nfs/dbraw/zinc/13/76/19/613137619.db2.gz XWLKOUVUGRWJIE-UHFFFAOYSA-N 0 1 273.340 0.440 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn3cccnc23)CC1 ZINC000928659556 613137645 /nfs/dbraw/zinc/13/76/45/613137645.db2.gz RAOLNRKABAEIDT-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nccn2CC)CC1 ZINC000928652577 613138000 /nfs/dbraw/zinc/13/80/00/613138000.db2.gz DFNMIRVJFUQYJW-UHFFFAOYSA-N 0 1 260.341 0.730 20 30 CCEDMN C#CCN1CCC(Nc2nc3c(cnn3C)c(N)n2)CC1 ZINC000895807949 613139157 /nfs/dbraw/zinc/13/91/57/613139157.db2.gz FINIWRCBGIHHFD-UHFFFAOYSA-N 0 1 285.355 0.455 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C3CCOCC3)C2)C1 ZINC000981578683 613140476 /nfs/dbraw/zinc/14/04/76/613140476.db2.gz YLSSZDICVFXLDR-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@]3(F)CCOC3)C2)C1 ZINC000981963113 613143677 /nfs/dbraw/zinc/14/36/77/613143677.db2.gz IZHCGYUBYMIECY-HNNXBMFYSA-N 0 1 280.343 0.673 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3c[nH]c(C)n3)C2)C1 ZINC000981668647 613145010 /nfs/dbraw/zinc/14/50/10/613145010.db2.gz CWECJLLAQDAXIF-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000898436847 613156674 /nfs/dbraw/zinc/15/66/74/613156674.db2.gz QKMAYOZQJMAFBN-GXTWGEPZSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)CCCF)CC1 ZINC000887138676 613204794 /nfs/dbraw/zinc/20/47/94/613204794.db2.gz GZBNFSRHCCJKEV-UHFFFAOYSA-N 0 1 255.337 0.055 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CN(CCO)CC2(C)C)cn1 ZINC000977514064 613191977 /nfs/dbraw/zinc/19/19/77/613191977.db2.gz ODPFGGPICGTAEM-AWEZNQCLSA-N 0 1 287.363 0.495 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000817668574 613194772 /nfs/dbraw/zinc/19/47/72/613194772.db2.gz KPMUQFNDEPVNQF-STQMWFEESA-N 0 1 278.352 0.797 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N2C[C@@H](C)NC[C@H]2C)c1 ZINC000842033314 613199081 /nfs/dbraw/zinc/19/90/81/613199081.db2.gz RFNJNENZMOIGGB-CHWSQXEVSA-N 0 1 299.374 0.493 20 30 CCEDMN C#CCN1CCN(C(=O)CN2C[C@@H]3CCCC[C@@H]32)CC1 ZINC000852219836 613205538 /nfs/dbraw/zinc/20/55/38/613205538.db2.gz FGEKKAFYPNCYMG-GJZGRUSLSA-N 0 1 275.396 0.638 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H](C)[C@H]2CN(C)CCN2C)c1 ZINC000896542638 613218943 /nfs/dbraw/zinc/21/89/43/613218943.db2.gz UCBROGICAXJXFX-SWLSCSKDSA-N 0 1 286.379 0.427 20 30 CCEDMN C(=NN[C@H]1CCOC1)c1ccnn1-c1ccncc1 ZINC000853341059 613223896 /nfs/dbraw/zinc/22/38/96/613223896.db2.gz NKPFUCJLLWBGDM-NSHDSACASA-N 0 1 257.297 0.980 20 30 CCEDMN C(=NNc1ncnc2nc[nH]c21)c1cnc2ncccn12 ZINC000755662520 613223913 /nfs/dbraw/zinc/22/39/13/613223913.db2.gz TZDCYDHSPIXSPL-UHFFFAOYSA-N 0 1 279.267 0.842 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnc(OC)n2)C[C@@H]1C ZINC000947533536 613239292 /nfs/dbraw/zinc/23/92/92/613239292.db2.gz SKTFXJGTFSIEEZ-NWDGAFQWSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3cc[nH]c23)[C@@H](C)C1 ZINC000944319496 613241859 /nfs/dbraw/zinc/24/18/59/613241859.db2.gz XIVVGDZSZDVOBO-WCQYABFASA-N 0 1 285.351 0.736 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2coc(COC)n2)[C@@H](C)C1 ZINC000943797037 613242495 /nfs/dbraw/zinc/24/24/95/613242495.db2.gz GORRRWOCTILILX-NWDGAFQWSA-N 0 1 291.351 0.894 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncc(OC)cn2)[C@@H](C)C1 ZINC000942433079 613243962 /nfs/dbraw/zinc/24/39/62/613243962.db2.gz UBGTVQGKVYFTEV-WCQYABFASA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nc3ncccn3n2)C[C@H]1C ZINC000947831850 613244321 /nfs/dbraw/zinc/24/43/21/613244321.db2.gz BHDGDWBEMUYETP-VXGBXAGGSA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cncnc2)C1 ZINC000965964655 613247220 /nfs/dbraw/zinc/24/72/20/613247220.db2.gz UNRKMIBVESZJRU-JSGCOSHPSA-N 0 1 272.352 0.479 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC000967918842 613249949 /nfs/dbraw/zinc/24/99/49/613249949.db2.gz JFYLADBIVIUXDJ-UWVGGRQHSA-N 0 1 279.365 0.922 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C(C)(C)C(N)=O)CC1 ZINC000948882168 613257203 /nfs/dbraw/zinc/25/72/03/613257203.db2.gz AHLMLIRSEHMOJV-UHFFFAOYSA-N 0 1 267.373 0.608 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C2(C(N)=O)CC2)CC1 ZINC000949986083 613257339 /nfs/dbraw/zinc/25/73/39/613257339.db2.gz AEPQAHLURKMHHO-UHFFFAOYSA-N 0 1 265.357 0.362 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CN2CCCC2=O)C(C)(C)C1 ZINC000940564838 613258435 /nfs/dbraw/zinc/25/84/35/613258435.db2.gz WPLVMBBVYCCXHD-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000941173983 613262802 /nfs/dbraw/zinc/26/28/02/613262802.db2.gz DBYWZHYLMXEFAD-RYUDHWBXSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]23C[C@H]2COC3)C(C)(C)C1 ZINC000940760520 613263173 /nfs/dbraw/zinc/26/31/73/613263173.db2.gz RWPGLWKTYUKEAS-XEZPLFJOSA-N 0 1 276.380 0.873 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnnc2C)[C@H](C)C1 ZINC000942285361 613272984 /nfs/dbraw/zinc/27/29/84/613272984.db2.gz CQWTYHKZIPZMKF-RISCZKNCSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]c(=O)n2C)C(C)(C)C1 ZINC000940947027 613274114 /nfs/dbraw/zinc/27/41/14/613274114.db2.gz QUFLZZPAJJNCAN-LBPRGKRZSA-N 0 1 290.367 0.589 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccnc2N)C[C@@H]1C ZINC000947144585 613278100 /nfs/dbraw/zinc/27/81/00/613278100.db2.gz RUHWZXAVELEUNF-QWRGUYRKSA-N 0 1 273.340 0.275 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2nccc2C)C(C)(C)C1 ZINC000975096518 613281355 /nfs/dbraw/zinc/28/13/55/613281355.db2.gz YDRAEWACQPFCGJ-CYBMUJFWSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C(C)(C)C1 ZINC000975040917 613282478 /nfs/dbraw/zinc/28/24/78/613282478.db2.gz VKUOLOIJBOXZKZ-YNEHKIRRSA-N 0 1 291.395 0.171 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C23CCC(CC2)C3)C1 ZINC000958183774 613285703 /nfs/dbraw/zinc/28/57/03/613285703.db2.gz QJZYGTOAGWIJNS-IOSBVQAASA-N 0 1 276.380 0.609 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)ccc2C)C1 ZINC000958625855 613286055 /nfs/dbraw/zinc/28/60/55/613286055.db2.gz MKJAYHKYPNHTFO-GOEBONIOSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C3CC3)cn2)C1 ZINC000958631943 613286072 /nfs/dbraw/zinc/28/60/72/613286072.db2.gz ZOKSBVPPAFVAQT-GOEBONIOSA-N 0 1 299.374 0.615 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ncc(C)cc2C)C1 ZINC000958277113 613287631 /nfs/dbraw/zinc/28/76/31/613287631.db2.gz NLIFKFBCXRBOSD-UONOGXRCSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C#C)cc2)[C@H](O)C1 ZINC000958310111 613289112 /nfs/dbraw/zinc/28/91/12/613289112.db2.gz WXJTXMNDUYROAR-HZPDHXFCSA-N 0 1 282.343 0.324 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccnc2C)[C@H](O)C1 ZINC000958364103 613289412 /nfs/dbraw/zinc/28/94/12/613289412.db2.gz HBHUNDCOLOEDCS-TZMCWYRMSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2sccc2C)[C@H](O)C1 ZINC000957815879 613290532 /nfs/dbraw/zinc/29/05/32/613290532.db2.gz CLZAVQKFOZRTIL-VXGBXAGGSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C(C)C)n[nH]2)C1 ZINC000958414416 613294812 /nfs/dbraw/zinc/29/48/12/613294812.db2.gz ZERALRKUYBSNMJ-FZMZJTMJSA-N 0 1 290.367 0.189 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974706257 613296995 /nfs/dbraw/zinc/29/69/95/613296995.db2.gz IPNGFFCQYXNLHQ-OLZOCXBDSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cc(C)nn2C)C(C)(C)C1 ZINC000974827605 613297001 /nfs/dbraw/zinc/29/70/01/613297001.db2.gz NXZLCQPAWDFNTQ-AWEZNQCLSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc3cc[nH]c32)C1 ZINC000958412940 613303281 /nfs/dbraw/zinc/30/32/81/613303281.db2.gz LEYSSNPMXAHYDT-HIFRSBDPSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccoc2C)C1 ZINC000957865047 613303627 /nfs/dbraw/zinc/30/36/27/613303627.db2.gz WIAFNPFLUYYFDZ-YPMHNXCESA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC000958516520 613303759 /nfs/dbraw/zinc/30/37/59/613303759.db2.gz SWSCBLQYQMOHRF-RISCZKNCSA-N 0 1 298.346 0.219 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC000981891892 613311602 /nfs/dbraw/zinc/31/16/02/613311602.db2.gz AVJFIHQEPYMOJX-HNNXBMFYSA-N 0 1 279.384 0.766 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(C2CC2)CCOCC1 ZINC000961374801 613313183 /nfs/dbraw/zinc/31/31/83/613313183.db2.gz SFRGNMDPMWJNGC-FICVDOATSA-N 0 1 288.391 0.873 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(NC(C)=O)cn1 ZINC000960213836 613318905 /nfs/dbraw/zinc/31/89/05/613318905.db2.gz QCIYEDJQMUOTKE-NHAGDIPZSA-N 0 1 298.346 0.333 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2nccn2c1 ZINC000962251906 613319630 /nfs/dbraw/zinc/31/96/30/613319630.db2.gz BSRNWBISVKBLNI-NHAGDIPZSA-N 0 1 280.331 0.628 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2c(c1)OCCO2 ZINC000962547132 613319875 /nfs/dbraw/zinc/31/98/75/613319875.db2.gz NGXKXBWNJPCUIV-VIKVFOODSA-N 0 1 298.342 0.751 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C2CCC2)nn1 ZINC000959931427 613320693 /nfs/dbraw/zinc/32/06/93/613320693.db2.gz LBAJHWSUQXQEMZ-IMRBUKKESA-N 0 1 285.351 0.296 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2cc(C)ccn21 ZINC000960095235 613321194 /nfs/dbraw/zinc/32/11/94/613321194.db2.gz KSQIMWSSXKZGCI-VIKVFOODSA-N 0 1 294.358 0.936 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncnc1C(C)C ZINC000962610097 613321458 /nfs/dbraw/zinc/32/14/58/613321458.db2.gz VJCNCLCBPGERRE-NHAGDIPZSA-N 0 1 284.363 0.893 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nccn2cc(C)nc12 ZINC000962236021 613321762 /nfs/dbraw/zinc/32/17/62/613321762.db2.gz BTBIEGBHELMAGS-ITGUQSILSA-N 0 1 295.346 0.331 20 30 CCEDMN C#CCNC(=O)CCN1CCN([C@H](C#N)C(C)C)CC1 ZINC000815077096 613324709 /nfs/dbraw/zinc/32/47/09/613324709.db2.gz YEKGUVRULCOBNZ-CQSZACIVSA-N 0 1 276.384 0.292 20 30 CCEDMN C#CCNC(=O)CC[NH2+]CCc1c(F)cc([O-])cc1F ZINC000905625155 613326252 /nfs/dbraw/zinc/32/62/52/613326252.db2.gz LOKYHZDKCCHBGB-UHFFFAOYSA-N 0 1 282.290 0.942 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C(=O)OC)[C@H]2CCC[C@@H]21 ZINC000859949425 613330104 /nfs/dbraw/zinc/33/01/04/613330104.db2.gz PUDWWTYYMSHPRH-AGIUHOORSA-N 0 1 278.352 0.399 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])[C@H](O)C1 ZINC000957975610 613339891 /nfs/dbraw/zinc/33/98/91/613339891.db2.gz RGSKXMVPEQXNIU-GHMZBOCLSA-N 0 1 294.355 0.022 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)OCc1c[nH]nn1 ZINC000851017074 613356649 /nfs/dbraw/zinc/35/66/49/613356649.db2.gz AJBOFINSEZHMPB-SNVBAGLBSA-N 0 1 252.274 0.319 20 30 CCEDMN C=C(C)C[C@H](NC(=O)Cn1cc[nH+]c1C)C(=O)[O-] ZINC000910286452 613358835 /nfs/dbraw/zinc/35/88/35/613358835.db2.gz IVCOECKPGQJOET-JTQLQIEISA-N 0 1 251.286 0.727 20 30 CCEDMN C=C(CN(C)C)C(=O)N1C[C@H](C)[S@@](=O)[C@@H](CC)C1 ZINC000804434483 613366287 /nfs/dbraw/zinc/36/62/87/613366287.db2.gz ULKSGXDBKFKFAL-OAVHHTNSSA-N 0 1 272.414 0.862 20 30 CCEDMN C=C(CO)C(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000854569550 613367055 /nfs/dbraw/zinc/36/70/55/613367055.db2.gz KZANRQHRSPKZOF-LBPRGKRZSA-N 0 1 268.357 0.247 20 30 CCEDMN C=C(CO)C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000856359534 613367254 /nfs/dbraw/zinc/36/72/54/613367254.db2.gz XZQQNDHCCDKSNK-AWEZNQCLSA-N 0 1 260.337 0.926 20 30 CCEDMN C=C(Cl)CN1CCN(C(=O)c2[nH]nnc2C)CC1 ZINC000949599589 613375113 /nfs/dbraw/zinc/37/51/13/613375113.db2.gz PIWOCTYTXZFPQD-UHFFFAOYSA-N 0 1 269.736 0.623 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)c3cnnn3C)[C@@H]2C1 ZINC000978083562 613381868 /nfs/dbraw/zinc/38/18/68/613381868.db2.gz AMWFFMSRFBNCOY-RTCCRHLQSA-N 0 1 295.774 0.475 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H]3COC(=O)N3)[C@@H]2C1 ZINC000978098494 613381940 /nfs/dbraw/zinc/38/19/40/613381940.db2.gz BLBGANJVJXLZJL-CHWFTXMASA-N 0 1 299.758 0.141 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC000970812381 613384920 /nfs/dbraw/zinc/38/49/20/613384920.db2.gz OBIQYIYZKZOGNM-VXGBXAGGSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC000968842340 613385050 /nfs/dbraw/zinc/38/50/50/613385050.db2.gz KSVYIYBJRUFDLC-VXGBXAGGSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc[n+]([O-])cc2)C1 ZINC000966709575 613385668 /nfs/dbraw/zinc/38/56/68/613385668.db2.gz QMVKKQXELFJJET-GFCCVEGCSA-N 0 1 281.743 0.877 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnon2)C1 ZINC000970301078 613385980 /nfs/dbraw/zinc/38/59/80/613385980.db2.gz IPQUBTDMPWRUAF-MRVPVSSYSA-N 0 1 256.693 0.626 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N1CCS(=O)(=O)[C@H](C)C1 ZINC000876670989 613387624 /nfs/dbraw/zinc/38/76/24/613387624.db2.gz SMUKGRZEWINWCP-RKDXNWHRSA-N 0 1 280.777 0.102 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)[C@H]1CCC[N@@H+]1C)C(=O)[O-] ZINC000910267486 613388745 /nfs/dbraw/zinc/38/87/45/613388745.db2.gz TUJAYSDBSNGCBF-RKDXNWHRSA-N 0 1 260.721 0.793 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)NC(C)(C)CCS(C)(=O)=O ZINC000876681952 613389670 /nfs/dbraw/zinc/38/96/70/613389670.db2.gz CMVXRNZGZMQEMD-VIFPVBQESA-N 0 1 296.820 0.786 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCCN(CCO)CC2)C1 ZINC000981909194 613393449 /nfs/dbraw/zinc/39/34/49/613393449.db2.gz IDTXFIHQIXADBP-UHFFFAOYSA-N 0 1 252.358 0.869 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@H]2CCN2C(C)(C)C)nn1 ZINC000898008130 613435170 /nfs/dbraw/zinc/43/51/70/613435170.db2.gz WEEJIYPENCCWOO-LBPRGKRZSA-N 0 1 277.372 0.953 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@](C)(NC(=O)c2ncn[nH]2)C1 ZINC000957311613 613437944 /nfs/dbraw/zinc/43/79/44/613437944.db2.gz AMMSIIHOORIHBZ-CQSZACIVSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@](C)(NC(=O)c2nc[nH]n2)C1 ZINC000957311613 613437946 /nfs/dbraw/zinc/43/79/46/613437946.db2.gz AMMSIIHOORIHBZ-CQSZACIVSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC000938904353 613439930 /nfs/dbraw/zinc/43/99/30/613439930.db2.gz IBKNJXAVOSKHIO-JTQLQIEISA-N 0 1 291.355 0.277 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000845620277 613444553 /nfs/dbraw/zinc/44/45/53/613444553.db2.gz QPWUVELGZFEUJK-GHMZBOCLSA-N 0 1 285.344 0.239 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000845629563 613445052 /nfs/dbraw/zinc/44/50/52/613445052.db2.gz KCFGRBCSXXMCFZ-CHWSQXEVSA-N 0 1 283.372 0.856 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCCNC[C@@H]1C(=O)OC ZINC000884286331 613445025 /nfs/dbraw/zinc/44/50/25/613445025.db2.gz BQUADYMSHZFDGX-LLVKDONJSA-N 0 1 268.357 0.952 20 30 CCEDMN C=CC(C)(C)NC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000892872978 613449043 /nfs/dbraw/zinc/44/90/43/613449043.db2.gz ZRUSZAWICPPUAK-GFCCVEGCSA-N 0 1 268.405 0.886 20 30 CCEDMN C=CC1(S(=O)(=O)[N-]C(=O)[C@H]([NH3+])CCSC)CC1 ZINC000901058507 613451700 /nfs/dbraw/zinc/45/17/00/613451700.db2.gz BWMMDKLPYRCEBG-MRVPVSSYSA-N 0 1 278.399 0.231 20 30 CCEDMN C=CCCCC(=O)N1CCCNC[C@@H]1C(=O)OC ZINC000844197787 613464356 /nfs/dbraw/zinc/46/43/56/613464356.db2.gz WPNIHWYRJXWGNW-LLVKDONJSA-N 0 1 254.330 0.706 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NC[C@@H]1CCN1CC ZINC000883698550 613469427 /nfs/dbraw/zinc/46/94/27/613469427.db2.gz KMJVKHABYRPHNU-AVGNSLFASA-N 0 1 267.373 0.971 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@@H](C)N(C)C)C(=O)OC ZINC000928324807 613471083 /nfs/dbraw/zinc/47/10/83/613471083.db2.gz BEFQFXHSWQKHHF-GHMZBOCLSA-N 0 1 256.346 0.951 20 30 CCEDMN C=CCCC[C@H]1NC(=O)N(Cc2n[nH]c(C)n2)C1=O ZINC000900150261 613475330 /nfs/dbraw/zinc/47/53/30/613475330.db2.gz WWHVNDFIUKQNLR-SECBINFHSA-N 0 1 263.301 0.890 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCC#CCN(C)C ZINC000913520897 613477027 /nfs/dbraw/zinc/47/70/27/613477027.db2.gz CIUDSDHGLMYFMH-AWEZNQCLSA-N 0 1 252.358 0.896 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000810189208 613480444 /nfs/dbraw/zinc/48/04/44/613480444.db2.gz MKBGHPZEKVIRCD-TZMCWYRMSA-N 0 1 287.323 0.732 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)COC)CC2)C1 ZINC000941267581 613482195 /nfs/dbraw/zinc/48/21/95/613482195.db2.gz JGYLNAKIOIKNFP-UHFFFAOYSA-N 0 1 267.373 0.037 20 30 CCEDMN C=CCCN1CCN(C(=O)Cc2cncn2C)CC1 ZINC000957332593 613504528 /nfs/dbraw/zinc/50/45/28/613504528.db2.gz WPPYDEITKICJJY-UHFFFAOYSA-N 0 1 262.357 0.683 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907479109 613508931 /nfs/dbraw/zinc/50/89/31/613508931.db2.gz JJRGBSAUUQHSTN-KCJUWKMLSA-N 0 1 283.357 0.143 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2nc(C)c[nH]2)C1 ZINC000970556872 613535608 /nfs/dbraw/zinc/53/56/08/613535608.db2.gz HYXBIVGDQZMNKT-LLVKDONJSA-N 0 1 260.341 0.792 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC000970931108 613537238 /nfs/dbraw/zinc/53/72/38/613537238.db2.gz ISYWTNSVQXZSKR-STQMWFEESA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](c2[nH]ncc2N)C1 ZINC000864382749 613571278 /nfs/dbraw/zinc/57/12/78/613571278.db2.gz OIRVQWUVDNBPQJ-SNVBAGLBSA-N 0 1 264.329 0.901 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cn2cncn2)C1 ZINC000968620052 613575209 /nfs/dbraw/zinc/57/52/09/613575209.db2.gz QZUJIWXXNIHSIK-CHWSQXEVSA-N 0 1 275.356 0.128 20 30 CCEDMN C=CCC[C@@H]1NC(=O)N(CCN2CCCOCC2)C1=O ZINC000925346134 613587706 /nfs/dbraw/zinc/58/77/06/613587706.db2.gz ACZMPHAXCFTCSE-LBPRGKRZSA-N 0 1 281.356 0.595 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966888302 613594865 /nfs/dbraw/zinc/59/48/65/613594865.db2.gz PZLLAUKIDCVMDL-WCQYABFASA-N 0 1 288.351 0.646 20 30 CCEDMN C=CCN(C(=O)C(=O)N1CC[C@@H](N2CC=CC2)C1)C(C)C ZINC000839247609 613602121 /nfs/dbraw/zinc/60/21/21/613602121.db2.gz RBTMRRLWRXMWDZ-CQSZACIVSA-N 0 1 291.395 0.882 20 30 CCEDMN C=CCN(C(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1)C1CC1 ZINC000909562477 613604872 /nfs/dbraw/zinc/60/48/72/613604872.db2.gz LSVNSGDTENZIKO-NSHDSACASA-N 0 1 266.341 0.960 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nonc2C)[C@H](C)C1 ZINC000945943217 613607611 /nfs/dbraw/zinc/60/76/11/613607611.db2.gz NTYYHGQLELJTKV-PWSUYJOCSA-N 0 1 276.340 0.842 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CCOC2)C(C)(C)C1 ZINC000972852788 613609776 /nfs/dbraw/zinc/60/97/76/613609776.db2.gz DXMJHQNTZMGMFZ-QWHCGFSZSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974915782 613610545 /nfs/dbraw/zinc/61/05/45/613610545.db2.gz BVFLJRGNVHIVOZ-CHWSQXEVSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C)o2)C1 ZINC000957851939 613613238 /nfs/dbraw/zinc/61/32/38/613613238.db2.gz PBHGDHXOMGXAOG-QWHCGFSZSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccnc(C)c2)[C@H](O)C1 ZINC000958507969 613615275 /nfs/dbraw/zinc/61/52/75/613615275.db2.gz ZGOSDAMZYNIBLG-HUUCEWRRSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C(C)(C)C1 ZINC000974700452 613622614 /nfs/dbraw/zinc/62/26/14/613622614.db2.gz UILYEVBILPISSP-NSHDSACASA-N 0 1 290.367 0.887 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc[nH]c2CC)C1 ZINC000958020445 613625022 /nfs/dbraw/zinc/62/50/22/613625022.db2.gz PEJMNRRXTMISRW-DOMZBBRYSA-N 0 1 289.379 0.623 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccoc2CC)C1 ZINC000958025405 613625961 /nfs/dbraw/zinc/62/59/61/613625961.db2.gz RZTFKVMWAGXTPE-OCCSQVGLSA-N 0 1 290.363 0.888 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2oc(C)nc2C)C1 ZINC000957954221 613626552 /nfs/dbraw/zinc/62/65/52/613626552.db2.gz ZTMWXAHHOLIUDW-OLZOCXBDSA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1ccc(C)n1 ZINC000961815779 613635257 /nfs/dbraw/zinc/63/52/57/613635257.db2.gz LFRQDPAQJZWNQD-NHAGDIPZSA-N 0 1 272.352 0.261 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cscn1 ZINC000961961124 613635290 /nfs/dbraw/zinc/63/52/90/613635290.db2.gz UMFRHTMRIYWZSG-IMRBUKKESA-N 0 1 275.377 0.755 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cnn(C)c1C ZINC000960234279 613635406 /nfs/dbraw/zinc/63/54/06/613635406.db2.gz KIZHGJMSTSFWLQ-FOLVSLTJSA-N 0 1 286.379 0.341 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@]1(C)CCCOC1 ZINC000962153364 613636611 /nfs/dbraw/zinc/63/66/11/613636611.db2.gz FSDFQAZKYHXQLQ-NHIYQJMISA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2n[nH]nc2c1 ZINC000962516169 613640373 /nfs/dbraw/zinc/64/03/73/613640373.db2.gz FLBZHAFSOJFNOM-JYAVWHMHSA-N 0 1 295.346 0.641 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(OC)c1 ZINC000960734513 613641262 /nfs/dbraw/zinc/64/12/62/613641262.db2.gz ZMERBFKNPBJAIX-NHAGDIPZSA-N 0 1 285.347 0.774 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(=O)cc1C ZINC000961737159 613641782 /nfs/dbraw/zinc/64/17/82/613641782.db2.gz MICHCFGFCXGTOC-NHAGDIPZSA-N 0 1 285.347 0.779 20 30 CCEDMN CC#CCNCc1cn(Cc2[nH]nc3c2COCC3)nn1 ZINC000900650912 613645154 /nfs/dbraw/zinc/64/51/54/613645154.db2.gz WCTYWVACRPXLIT-UHFFFAOYSA-N 0 1 286.339 0.235 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1cccc2nc(CO)[nH]c21 ZINC000911604883 613649656 /nfs/dbraw/zinc/64/96/56/613649656.db2.gz CIGVEKOOWLFUCG-JTQLQIEISA-N 0 1 287.319 0.559 20 30 CCEDMN C=CCN1CC(=O)N(Cc2n[nH]c(C3CC3)n2)C1=O ZINC000927722623 613652580 /nfs/dbraw/zinc/65/25/80/613652580.db2.gz TXUMFWSBUUCRBP-UHFFFAOYSA-N 0 1 261.285 0.632 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnn3cc[nH]c23)C1 ZINC000970791079 613666951 /nfs/dbraw/zinc/66/69/51/613666951.db2.gz JSEPBIUSVXTUJA-SNVBAGLBSA-N 0 1 273.340 0.899 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnn(C)c2OC)C1 ZINC000970807310 613667058 /nfs/dbraw/zinc/66/70/58/613667058.db2.gz USQOCVDGJXFIIP-SNVBAGLBSA-N 0 1 278.356 0.665 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnc(OC)nc2)C1 ZINC000970681166 613667091 /nfs/dbraw/zinc/66/70/91/613667091.db2.gz IPRLVKDPVVBBGE-SNVBAGLBSA-N 0 1 276.340 0.721 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCCNC2=O)C1 ZINC000969885870 613669509 /nfs/dbraw/zinc/66/95/09/613669509.db2.gz ZOUOKQHMQYFFCM-JQWIXIFHSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@@H]2CCN(C)C2=O)CC1 ZINC000981406446 613689110 /nfs/dbraw/zinc/68/91/10/613689110.db2.gz OKXQKCFSEDUWBR-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCN1CCCN(C(=O)c2ccnc3n[nH]nc32)CC1 ZINC000981596961 613698253 /nfs/dbraw/zinc/69/82/53/613698253.db2.gz MCHQPWWUDZIPDN-UHFFFAOYSA-N 0 1 286.339 0.687 20 30 CCEDMN C=CCN1CCCN(C(=O)c2ccn(C)c(=O)c2)CC1 ZINC000981470380 613698302 /nfs/dbraw/zinc/69/83/02/613698302.db2.gz ZNLCBDTYMASGOX-UHFFFAOYSA-N 0 1 275.352 0.719 20 30 CCEDMN C=CCN1CCN(CN2CCC3(CCNC3=O)CC2)C1=O ZINC000872789028 613719857 /nfs/dbraw/zinc/71/98/57/613719857.db2.gz JYQAOPSRQPFUFV-UHFFFAOYSA-N 0 1 292.383 0.470 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H]2CCN(C(=O)C3CC3)C2)C1=O ZINC000970932311 613739037 /nfs/dbraw/zinc/73/90/37/613739037.db2.gz OVPUGGVCMCIYFH-UONOGXRCSA-N 0 1 291.395 0.716 20 30 CCEDMN C=CCN1CC[C@@H](N(CCOC)CCC(=O)OC)C1=O ZINC000799123364 613739176 /nfs/dbraw/zinc/73/91/76/613739176.db2.gz WGHYBSMADRGMNF-GFCCVEGCSA-N 0 1 284.356 0.285 20 30 CCEDMN C=CCN1CC[C@H](N(C)CC[C@H]2CCOC2=O)C1=O ZINC000799177482 613776515 /nfs/dbraw/zinc/77/65/15/613776515.db2.gz QVPZKEAWYKENET-RYUDHWBXSA-N 0 1 266.341 0.658 20 30 CCEDMN C=CCN1CC[C@H](N[C@@H]2C(=O)NCC2(CC)CC)C1=O ZINC000933285462 613802318 /nfs/dbraw/zinc/80/23/18/613802318.db2.gz JVKOZNVFSLVYAZ-NWDGAFQWSA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCCN2C(N)=O)C(C)(C)C1 ZINC000977664015 613807725 /nfs/dbraw/zinc/80/77/25/613807725.db2.gz CEQTVVYKAJXXNR-NWDGAFQWSA-N 0 1 294.399 0.542 20 30 CCEDMN C=CCN1C[C@H](NC(=O)CN2C(=O)CCC2=O)C(C)(C)C1 ZINC000977569005 613826567 /nfs/dbraw/zinc/82/65/67/613826567.db2.gz UINOFGMAMVYLMW-NSHDSACASA-N 0 1 293.367 0.148 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CCNC2=O)C(C)(C)C1 ZINC000974910507 613827209 /nfs/dbraw/zinc/82/72/09/613827209.db2.gz GYPYGXCTMIMDLW-MNOVXSKESA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(C)cc1=O ZINC000962209568 613840910 /nfs/dbraw/zinc/84/09/10/613840910.db2.gz JDURDSSAXMLDLT-IMRBUKKESA-N 0 1 273.336 0.529 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CCCCCNC(=O)CC1 ZINC000934162129 613845229 /nfs/dbraw/zinc/84/52/29/613845229.db2.gz FHEDNKRJFQPMQA-UHFFFAOYSA-N 0 1 253.346 0.281 20 30 CCEDMN C=CCNC(=O)CN1CCC([C@@]2(C)COC(=O)N2)CC1 ZINC000932093414 613845287 /nfs/dbraw/zinc/84/52/87/613845287.db2.gz ZERRNOOYKBVHCM-CQSZACIVSA-N 0 1 281.356 0.499 20 30 CCEDMN C=CCNC(=O)CN[C@H](C)c1ccc(-c2nn[nH]n2)cc1 ZINC000823919744 613847141 /nfs/dbraw/zinc/84/71/41/613847141.db2.gz OZTHEHFOVYQXBT-SNVBAGLBSA-N 0 1 286.339 0.820 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H](OC)CC[C@@H]1C ZINC000879317936 613849910 /nfs/dbraw/zinc/84/99/10/613849910.db2.gz OKAAEASRYPADKB-QWRGUYRKSA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@H](O)CC(C)(C)C1 ZINC000879618126 613851994 /nfs/dbraw/zinc/85/19/94/613851994.db2.gz RTAXIJMNWXRMAT-WDEREUQCSA-N 0 1 283.372 0.480 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(CC1CC1)[C@@H](C(N)=O)C1CC1 ZINC000879494343 613853533 /nfs/dbraw/zinc/85/35/33/613853533.db2.gz PUFPFAOTQFTANT-ZWNOBZJWSA-N 0 1 279.384 0.653 20 30 CCEDMN C=CCOCCNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872470532 613881131 /nfs/dbraw/zinc/88/11/31/613881131.db2.gz HBGOTAZIEBSFDR-KRWDZBQOSA-N 0 1 298.430 0.920 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N(Cc2cc(CC)[nH]n2)C1=O ZINC000804164701 613882124 /nfs/dbraw/zinc/88/21/24/613882124.db2.gz JRLIKFBUXXIJSK-NSHDSACASA-N 0 1 278.312 0.595 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC000909765297 613882540 /nfs/dbraw/zinc/88/25/40/613882540.db2.gz BAJFUBJRXMEFNB-WDEREUQCSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC000909765297 613882541 /nfs/dbraw/zinc/88/25/41/613882541.db2.gz BAJFUBJRXMEFNB-WDEREUQCSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N(Cc2cc(CC)[nH]n2)C1=O ZINC000804164700 613882930 /nfs/dbraw/zinc/88/29/30/613882930.db2.gz JRLIKFBUXXIJSK-LLVKDONJSA-N 0 1 278.312 0.595 20 30 CCEDMN C=CCONC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000838709593 613883488 /nfs/dbraw/zinc/88/34/88/613883488.db2.gz DNNYPMKMUMOGNK-LLVKDONJSA-N 0 1 271.361 0.591 20 30 CCEDMN C=CCOc1ccccc1CNC[C@@H](O)CS(C)(=O)=O ZINC000922827668 613884809 /nfs/dbraw/zinc/88/48/09/613884809.db2.gz UVCQNAPMALZPOP-CYBMUJFWSA-N 0 1 299.392 0.747 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1n[nH]c(C)c1C ZINC000886059880 613885813 /nfs/dbraw/zinc/88/58/13/613885813.db2.gz NKKXHLSATSMCIO-UHFFFAOYSA-N 0 1 257.359 0.717 20 30 CCEDMN CC(C)NC(=O)CON=C(N)CN1CCCCCC1 ZINC000106437828 613920147 /nfs/dbraw/zinc/92/01/47/613920147.db2.gz WBBMYSGLGVYILE-UHFFFAOYSA-N 0 1 270.377 0.676 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COCc1cccnc1 ZINC000805856120 613933720 /nfs/dbraw/zinc/93/37/20/613933720.db2.gz ROQNZNFSFDOLCH-GFCCVEGCSA-N 0 1 275.308 0.832 20 30 CCEDMN CC(=O)NCc1ccc(C(=O)NCC#CCN(C)C)cc1 ZINC000913515567 613943728 /nfs/dbraw/zinc/94/37/28/613943728.db2.gz CGJMPBXVMJKRBP-UHFFFAOYSA-N 0 1 287.363 0.618 20 30 CCEDMN CC(C)(C)OC(=O)Cn1cccc1C=NNC1=NCCN1 ZINC000841659023 613970282 /nfs/dbraw/zinc/97/02/82/613970282.db2.gz HJDRPOMEFMURCR-UHFFFAOYSA-N 0 1 291.355 0.713 20 30 CCEDMN CC(C)OC[C@@H](O)CN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000878771742 614029736 /nfs/dbraw/zinc/02/97/36/614029736.db2.gz UKNLYFYHMSEJQM-IHRRRGAJSA-N 0 1 269.389 0.690 20 30 CCEDMN CC(=O)Nc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1F ZINC000834499691 614199462 /nfs/dbraw/zinc/19/94/62/614199462.db2.gz LJDADDSYBZEVKY-MFKMUULPSA-N 0 1 290.298 0.625 20 30 CCEDMN CC(=O)Nc1ccc(CC(=O)NCC#CCN(C)C)cc1 ZINC000913515705 614200212 /nfs/dbraw/zinc/20/02/12/614200212.db2.gz JZWPTUBALRAZQT-UHFFFAOYSA-N 0 1 287.363 0.869 20 30 CCEDMN CC(C)[C@H](CC[N@@H+](C)CC(=O)NCC#N)NC(=O)[O-] ZINC000824099644 614206397 /nfs/dbraw/zinc/20/63/97/614206397.db2.gz QZICZIDAFLXQNL-JTQLQIEISA-N 0 1 270.333 0.240 20 30 CCEDMN CC(=O)Nc1cccnc1C(=O)N=C1C[C@H](C)ON1 ZINC000867063246 614207522 /nfs/dbraw/zinc/20/75/22/614207522.db2.gz RULUJSZZICDONA-ZETCQYMHSA-N 0 1 262.269 0.892 20 30 CCEDMN CC(C)Cn1cc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)cn1 ZINC000841129286 614238945 /nfs/dbraw/zinc/23/89/45/614238945.db2.gz FUGMGKIPWIZODQ-CMPLNLGQSA-N 0 1 276.344 0.772 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1[C@@H](C)CC[C@H]1CO ZINC000880440965 614285893 /nfs/dbraw/zinc/28/58/93/614285893.db2.gz MSEFXQXGTXOODB-OBJOEFQTSA-N 0 1 267.373 0.886 20 30 CCEDMN CC(C)(C#N)CS(=O)(=O)N[C@H]1CCN(C2CC2)C1 ZINC000913969016 614287762 /nfs/dbraw/zinc/28/77/62/614287762.db2.gz CAXQBADCRLTNTK-JTQLQIEISA-N 0 1 271.386 0.692 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907479301 614314850 /nfs/dbraw/zinc/31/48/50/614314850.db2.gz BLXOUIOEVFFVBH-VIFPVBQESA-N 0 1 279.369 0.866 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000826713297 614328286 /nfs/dbraw/zinc/32/82/86/614328286.db2.gz SLCVYSDQCMXTIH-CYBMUJFWSA-N 0 1 251.374 0.398 20 30 CCEDMN CC(C)C#CC(=O)N1CCC([N@H+]2CCC[C@@H]2C(N)=O)CC1 ZINC000825371426 614324978 /nfs/dbraw/zinc/32/49/78/614324978.db2.gz XGVSWDISPVQLMH-CQSZACIVSA-N 0 1 291.395 0.587 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@@H]1NC(=O)c1ncn[nH]1 ZINC000941098392 614604466 /nfs/dbraw/zinc/60/44/66/614604466.db2.gz AMDASFFFJBLCCF-VIFPVBQESA-N 0 1 262.317 0.159 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@@H]1NC(=O)c1nnc[nH]1 ZINC000941098392 614604468 /nfs/dbraw/zinc/60/44/68/614604468.db2.gz AMDASFFFJBLCCF-VIFPVBQESA-N 0 1 262.317 0.159 20 30 CCEDMN CC1(C)C[N@H+](CC#N)CC[C@@H]1NC(=O)c1nnc[n-]1 ZINC000941098392 614604470 /nfs/dbraw/zinc/60/44/70/614604470.db2.gz AMDASFFFJBLCCF-VIFPVBQESA-N 0 1 262.317 0.159 20 30 CCEDMN CC1(C)C[N@@H+](CC#N)CC[C@@H]1NC(=O)c1nnc[n-]1 ZINC000941098392 614604472 /nfs/dbraw/zinc/60/44/72/614604472.db2.gz AMDASFFFJBLCCF-VIFPVBQESA-N 0 1 262.317 0.159 20 30 CCEDMN CCC/C=C\[C@H](O)C(=O)NC1(C#N)CCN(C)CC1 ZINC000900607762 614613801 /nfs/dbraw/zinc/61/38/01/614613801.db2.gz HLDQBHUKWGRXMI-FJOGCWAESA-N 0 1 265.357 0.808 20 30 CCEDMN CC1(C)OCC(CO)(NCC2(CC#N)CC2)CO1 ZINC000878516284 614812098 /nfs/dbraw/zinc/81/20/98/614812098.db2.gz CLOUHTJRVUIKMK-UHFFFAOYSA-N 0 1 254.330 0.784 20 30 CCEDMN CCCCCCCNC(=O)C(=O)N1CCNC[C@@H]1C ZINC000861786049 614901069 /nfs/dbraw/zinc/90/10/69/614901069.db2.gz PWWAACRZHOOVCC-LBPRGKRZSA-N 0 1 269.389 0.893 20 30 CCEDMN CCCCCNC(=O)[C@@H](C#N)C(=O)CCc1nc[nH]n1 ZINC000150915710 614923482 /nfs/dbraw/zinc/92/34/82/614923482.db2.gz QNCIXWPWRMLJMQ-JTQLQIEISA-N 0 1 277.328 0.753 20 30 CCEDMN CCN(CC(=O)N[C@H]1CNC[C@@H]1C#N)c1ccccc1 ZINC000834494466 614943274 /nfs/dbraw/zinc/94/32/74/614943274.db2.gz NFQCNQXVSNTUQV-JSGCOSHPSA-N 0 1 272.352 0.741 20 30 CCEDMN C[C@@H](NC(=O)C1(C#N)CC(C)C1)[C@H]1C[N@@H+](C)CCN1C ZINC000896556076 615011216 /nfs/dbraw/zinc/01/12/16/615011216.db2.gz NZUGPGXPWKPPRH-DNCHLWJUSA-N 0 1 278.400 0.677 20 30 CCEDMN C[C@@H](NC(=O)C1(C#N)CC(C)C1)[C@H]1CN(C)CCN1C ZINC000896556076 615011219 /nfs/dbraw/zinc/01/12/19/615011219.db2.gz NZUGPGXPWKPPRH-DNCHLWJUSA-N 0 1 278.400 0.677 20 30 CCEDMN CCN(C[C@@H](C)C#N)CC1CN(S(C)(=O)=O)C1 ZINC000929428146 615020680 /nfs/dbraw/zinc/02/06/80/615020680.db2.gz CHRNSAHZNKAPMG-JTQLQIEISA-N 0 1 259.375 0.359 20 30 CCEDMN CCNCc1cn(C[C@@H](O)c2ccc(C#N)cc2)nn1 ZINC000881409014 615103038 /nfs/dbraw/zinc/10/30/38/615103038.db2.gz ZHZCZEZPKYNDFZ-CQSZACIVSA-N 0 1 271.324 0.993 20 30 CCEDMN CCNN=Cc1c(C)nn(C)c1N1CCOCC1 ZINC000901278769 615103191 /nfs/dbraw/zinc/10/31/91/615103191.db2.gz HMIDXYCATCQYDB-UHFFFAOYSA-N 0 1 251.334 0.509 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@H](CC#N)C(F)(F)F)CC1 ZINC000928807151 615155504 /nfs/dbraw/zinc/15/55/04/615155504.db2.gz VJGGAUALCQPIIS-JTQLQIEISA-N 0 1 292.305 0.585 20 30 CCEDMN CCN1CCOC2(CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000949320157 615163319 /nfs/dbraw/zinc/16/33/19/615163319.db2.gz GQCSLQCRKVHIDM-LBPRGKRZSA-N 0 1 265.357 0.859 20 30 CCEDMN CCOC(=O)c1ccc(C(=O)NCC#CCN(C)C)nc1 ZINC000913522331 615180171 /nfs/dbraw/zinc/18/01/71/615180171.db2.gz FCPMMYHBQSNQIG-UHFFFAOYSA-N 0 1 289.335 0.553 20 30 CCEDMN CCOC(=O)CON=C(C(=O)N1CC(C)C1)c1cc[nH]n1 ZINC000801251263 615200419 /nfs/dbraw/zinc/20/04/19/615200419.db2.gz JWWJDSCVVMSLMZ-UHFFFAOYSA-N 0 1 294.311 0.172 20 30 CCEDMN CC[N@H+]1CC[C@@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820347946 615204666 /nfs/dbraw/zinc/20/46/66/615204666.db2.gz BCEIWGSVIUMBJN-GXFFZTMASA-N 0 1 267.329 0.449 20 30 CCEDMN CCOC1CC(C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC000805855724 615213060 /nfs/dbraw/zinc/21/30/60/615213060.db2.gz MKVSSMXIDHXGDI-RFBVYIQQSA-N 0 1 250.298 0.789 20 30 CCEDMN CCOC(=O)N(C)C1CCN(C[C@H](O)CC#N)CC1 ZINC000929483811 615221462 /nfs/dbraw/zinc/22/14/62/615221462.db2.gz HCRACYCJNREUPP-GFCCVEGCSA-N 0 1 269.345 0.814 20 30 CCEDMN CCS(=O)(=O)N[C@H](C)C(=O)Nc1cc(C#N)ccc1O ZINC000831272611 615351964 /nfs/dbraw/zinc/35/19/64/615351964.db2.gz XQNUHSZGEKAUCU-MRVPVSSYSA-N 0 1 297.336 0.530 20 30 CCEDMN CC[N@H+]1C[C@H](C)[C@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820347929 615401329 /nfs/dbraw/zinc/40/13/29/615401329.db2.gz AKEOKMDQCHNUQL-CWSCBRNRSA-N 0 1 267.329 0.447 20 30 CCEDMN CCO[C@H](C(=O)NCC#CCN(C)C)[C@H]1CCOC1 ZINC000913525151 615469206 /nfs/dbraw/zinc/46/92/06/615469206.db2.gz MBRVWXKXBRMRJK-STQMWFEESA-N 0 1 268.357 0.109 20 30 CCEDMN CC[C@H](N[NH+]=Cc1cnc(N(C)C)nc1)C(=O)[O-] ZINC000814936587 615819964 /nfs/dbraw/zinc/81/99/64/615819964.db2.gz AUIWGUVRCGKIJI-VIFPVBQESA-N 0 1 251.290 0.329 20 30 CCEDMN CCc1noc(CCCC(=O)NCC#CCN(C)C)n1 ZINC000913516879 615835761 /nfs/dbraw/zinc/83/57/61/615835761.db2.gz KCWKXXLUGMBLNV-UHFFFAOYSA-N 0 1 278.356 0.636 20 30 CCEDMN CCc1noc2ncc(C(=O)N[C@H]3CNC[C@@H]3C#N)cc12 ZINC000834494256 615853695 /nfs/dbraw/zinc/85/36/95/615853695.db2.gz MJLMCLKLXORZND-CABZTGNLSA-N 0 1 285.307 0.627 20 30 CCEDMN CN(C)CC#CCNC(=O)CSCc1cccnc1 ZINC000913517698 615858210 /nfs/dbraw/zinc/85/82/10/615858210.db2.gz MDNFTXQVZSTOGC-UHFFFAOYSA-N 0 1 277.393 0.996 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc(F)cc1[N+](=O)[O-] ZINC000913524054 615858500 /nfs/dbraw/zinc/85/85/00/615858500.db2.gz XVAUNOMPQDXAAD-UHFFFAOYSA-N 0 1 293.298 0.958 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1ccc2ccccc2c1=O ZINC000913521974 615858922 /nfs/dbraw/zinc/85/89/22/615858922.db2.gz VEJGKMFRPYVXRK-UHFFFAOYSA-N 0 1 297.358 0.683 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1nc2n(n1)CCCC2 ZINC000931705191 615858984 /nfs/dbraw/zinc/85/89/84/615858984.db2.gz DEYWZPDZKPTDDA-UHFFFAOYSA-N 0 1 276.344 0.301 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1nnc(-c2ccccc2)o1 ZINC000913523011 615859183 /nfs/dbraw/zinc/85/91/83/615859183.db2.gz JBMVOTOBSVHWMQ-UHFFFAOYSA-N 0 1 298.346 0.960 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@](C)(O)c1ccc(F)cc1 ZINC000827972056 615859636 /nfs/dbraw/zinc/85/96/36/615859636.db2.gz PVNHGVZGXNSHMM-HNNXBMFYSA-N 0 1 278.327 0.714 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(C(F)(F)F)ncn1 ZINC000913525267 615860533 /nfs/dbraw/zinc/86/05/33/615860533.db2.gz PTJCHLZSMWGBCD-UHFFFAOYSA-N 0 1 286.257 0.790 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnn1C1CCOCC1 ZINC000913524659 615861205 /nfs/dbraw/zinc/86/12/05/615861205.db2.gz XAJPRVVWTKIBEC-UHFFFAOYSA-N 0 1 290.367 0.529 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc(Cn2cncn2)c1 ZINC000913524680 615861414 /nfs/dbraw/zinc/86/14/14/615861414.db2.gz YBXZRMQTYIYBQF-UHFFFAOYSA-N 0 1 297.362 0.621 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2[nH]c(CO)nc2c1 ZINC000913523203 615861579 /nfs/dbraw/zinc/86/15/79/615861579.db2.gz QBIKWVGTNUSTPY-UHFFFAOYSA-N 0 1 286.335 0.350 20 30 CCEDMN CCn1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(C2CC2)n1 ZINC000870940123 615955230 /nfs/dbraw/zinc/95/52/30/615955230.db2.gz GAMBEXWDBVGTSC-CMPLNLGQSA-N 0 1 273.340 0.622 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN1C[C@@H](O)[C@@H](O)C1 ZINC000876748383 616011884 /nfs/dbraw/zinc/01/18/84/616011884.db2.gz AFMNGWDIJRZUSZ-OKILXGFUSA-N 0 1 261.325 0.162 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCCC[C@H]1C(=O)[O-] ZINC000820580229 616067349 /nfs/dbraw/zinc/06/73/49/616067349.db2.gz XQLBGLJLWFSWII-NSHDSACASA-N 0 1 267.329 0.688 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN1CC(Cc2ccoc2)C1 ZINC000899916736 616067665 /nfs/dbraw/zinc/06/76/65/616067665.db2.gz NUUXKTZYIAHRQJ-HNNXBMFYSA-N 0 1 277.368 0.960 20 30 CCEDMN C[N@H+](CCCC#N)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000821599054 616071600 /nfs/dbraw/zinc/07/16/00/616071600.db2.gz DJSODYHERTUYES-UHFFFAOYSA-N 0 1 289.347 0.340 20 30 CCEDMN C[N@@H+](CCCC#N)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000821599054 616071601 /nfs/dbraw/zinc/07/16/01/616071601.db2.gz DJSODYHERTUYES-UHFFFAOYSA-N 0 1 289.347 0.340 20 30 CCEDMN C[N@H+](CCCC#N)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000821599054 616071602 /nfs/dbraw/zinc/07/16/02/616071602.db2.gz DJSODYHERTUYES-UHFFFAOYSA-N 0 1 289.347 0.340 20 30 CCEDMN C[N@@H+](CCCC#N)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000821599054 616071603 /nfs/dbraw/zinc/07/16/03/616071603.db2.gz DJSODYHERTUYES-UHFFFAOYSA-N 0 1 289.347 0.340 20 30 CCEDMN CN(Cc1cncc(C#N)c1)C[C@@H](O)CN1CCOCC1 ZINC000929814405 616093705 /nfs/dbraw/zinc/09/37/05/616093705.db2.gz UMTWQJINAOEJPR-OAHLLOKOSA-N 0 1 290.367 0.078 20 30 CCEDMN CN(CCc1cccc(C#N)c1)[C@H]1CCC(=O)NC1=O ZINC000879432447 616125079 /nfs/dbraw/zinc/12/50/79/616125079.db2.gz AJSLVJLRWWJSRU-ZDUSSCGKSA-N 0 1 271.320 0.838 20 30 CCEDMN CN(C[C@@H](O)c1ccc(C#N)cc1)C[C@@H]1COCCO1 ZINC000844362257 616133113 /nfs/dbraw/zinc/13/31/13/616133113.db2.gz WSQAKCKECWAHOB-HUUCEWRRSA-N 0 1 276.336 0.939 20 30 CCEDMN CN1C(=O)[C@H]2CN(CC#Cc3ccccc3)C[C@H]2C1=O ZINC000879535200 616157801 /nfs/dbraw/zinc/15/78/01/616157801.db2.gz JGVGBUCXINOIOX-OKILXGFUSA-N 0 1 268.316 0.585 20 30 CCEDMN C[N@@H+]1CCC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)CC1(C)C ZINC000863091711 616165620 /nfs/dbraw/zinc/16/56/20/616165620.db2.gz OJAFYIMVUWBWQQ-UHFFFAOYSA-N 0 1 289.343 0.704 20 30 CCEDMN C[N@H+]1CCC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)CC1(C)C ZINC000863091711 616165621 /nfs/dbraw/zinc/16/56/21/616165621.db2.gz OJAFYIMVUWBWQQ-UHFFFAOYSA-N 0 1 289.343 0.704 20 30 CCEDMN C[N@H+]1CCCN(C(=O)[C@H]2NCCc3cc(C#N)ccc32)CC1 ZINC000876805873 616185700 /nfs/dbraw/zinc/18/57/00/616185700.db2.gz GZWUYFYLTVOBPS-INIZCTEOSA-N 0 1 298.390 0.909 20 30 CCEDMN C[N@H+]1CCC[C@@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820620668 616190270 /nfs/dbraw/zinc/19/02/70/616190270.db2.gz QNSBERRBZLKJRM-SKDRFNHKSA-N 0 1 253.302 0.201 20 30 CCEDMN CN1CCC[C@@H]1CNS(=O)(=O)CC(C)(C)C#N ZINC000913970585 616196443 /nfs/dbraw/zinc/19/64/43/616196443.db2.gz FRIXXYSRLASHRC-SNVBAGLBSA-N 0 1 259.375 0.550 20 30 CCEDMN CN1CCN(C)CCN(C(=O)CCCCC#N)CC1 ZINC000888980567 616235233 /nfs/dbraw/zinc/23/52/33/616235233.db2.gz HELYBGOHXIVXOS-UHFFFAOYSA-N 0 1 266.389 0.776 20 30 CCEDMN CN1CCN(C[C@@H](O)CC2(C#N)CCC2)C[C@@H]1CO ZINC000931398244 616243006 /nfs/dbraw/zinc/24/30/06/616243006.db2.gz JTVPFYQUGLXRRQ-OLZOCXBDSA-N 0 1 267.373 0.040 20 30 CCEDMN COC(=O)c1oc(C(=O)NCC#CCN(C)C)cc1C ZINC000913524135 616279259 /nfs/dbraw/zinc/27/92/59/616279259.db2.gz AQONMVLBDXJYPK-UHFFFAOYSA-N 0 1 278.308 0.669 20 30 CCEDMN COC(=O)[C@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CC2)CC1 ZINC000797943883 616321506 /nfs/dbraw/zinc/32/15/06/616321506.db2.gz XGXQLRGHSIITGT-NHCYSSNCSA-N 0 1 292.335 0.953 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2cc(C)cc(C#N)n2)C1 ZINC000894191119 616388118 /nfs/dbraw/zinc/38/81/18/616388118.db2.gz VMHHKWFJQMQTRN-GFCCVEGCSA-N 0 1 288.351 0.803 20 30 CCEDMN C[N@H+]1CC[C@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820620554 616398597 /nfs/dbraw/zinc/39/85/97/616398597.db2.gz OTHOJMIKFLHLSC-SKDRFNHKSA-N 0 1 253.302 0.059 20 30 CCEDMN COC1(OC)CCOC[C@H]1NCCO[C@@H](C)C#N ZINC000931674121 616482129 /nfs/dbraw/zinc/48/21/29/616482129.db2.gz DRKTUTJLOAGHQH-WDEREUQCSA-N 0 1 258.318 0.283 20 30 CCEDMN COCCNC(=O)[C@H](C#N)C(=O)C(C)=Cc1cccnc1 ZINC000847623457 616495407 /nfs/dbraw/zinc/49/54/07/616495407.db2.gz KREFFKKWDBZEMI-RUNBWSAHSA-N 0 1 287.319 0.956 20 30 CCEDMN COC(=O)C[C@@H]1CN(CC(=O)NCCC#N)C[C@H]1C ZINC000932111562 616664146 /nfs/dbraw/zinc/66/41/46/616664146.db2.gz PKYFDZGBEWMKQQ-GHMZBOCLSA-N 0 1 267.329 0.147 20 30 CCEDMN COC(=O)c1ccc(C(=O)NCC#CCN(C)C)nc1 ZINC000913516295 616881663 /nfs/dbraw/zinc/88/16/63/616881663.db2.gz KBLUZHORLDLUQF-UHFFFAOYSA-N 0 1 275.308 0.163 20 30 CCEDMN COCc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1F ZINC000876801609 616895754 /nfs/dbraw/zinc/89/57/54/616895754.db2.gz GGHMHEUDKGJGRW-YPMHNXCESA-N 0 1 277.299 0.813 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC1(COC)CC1 ZINC000842681229 616897543 /nfs/dbraw/zinc/89/75/43/616897543.db2.gz ISJXVJBWCFTZHZ-NSHDSACASA-N 0 1 282.340 0.665 20 30 CCEDMN COCC[N@H+](CC(=O)[O-])C[C@H](O)c1ccc(C#N)cc1 ZINC000818539452 616984132 /nfs/dbraw/zinc/98/41/32/616984132.db2.gz LGEXSEGDTVNBNM-ZDUSSCGKSA-N 0 1 278.308 0.625 20 30 CCEDMN CNc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1F ZINC000876801269 617063079 /nfs/dbraw/zinc/06/30/79/617063079.db2.gz AFXYWICMHQHYOE-BXKDBHETSA-N 0 1 262.288 0.709 20 30 CCEDMN CON=CC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000809803527 617103741 /nfs/dbraw/zinc/10/37/41/617103741.db2.gz UVDIBYCVSOMXKY-LBPRGKRZSA-N 0 1 269.345 0.189 20 30 CCEDMN CON=Cc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000843463452 617106741 /nfs/dbraw/zinc/10/67/41/617106741.db2.gz WMBJCPBRILSJNH-QWHCGFSZSA-N 0 1 272.308 0.508 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@H](C)CO1 ZINC001025911029 625301535 /nfs/dbraw/zinc/30/15/35/625301535.db2.gz AJHCAMZBRWYDPL-RGDJUOJXSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC001025971542 625313088 /nfs/dbraw/zinc/31/30/88/625313088.db2.gz JBUZVRYUKQTJFO-UYLCMAPUSA-N 0 1 298.390 0.755 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCC[C@H](OC)C1 ZINC001025988791 625317098 /nfs/dbraw/zinc/31/70/98/625317098.db2.gz MBDWNTLMBVAKKN-BTFPBAQTSA-N 0 1 276.380 0.871 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@H]1C ZINC001026125297 625338498 /nfs/dbraw/zinc/33/84/98/625338498.db2.gz ZMYLXUQHOAIOGC-MEBFFEOJSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CN2CCCNC2=O)C1 ZINC001007773667 625345844 /nfs/dbraw/zinc/34/58/44/625345844.db2.gz DZPDXUDZXCKDKB-ZDUSSCGKSA-N 0 1 292.383 0.006 20 30 CCEDMN C[C@@H]1CN(CCO[C@H]2CCOC2)C[C@H](C)N1CC#N ZINC000930829048 625438373 /nfs/dbraw/zinc/43/83/73/625438373.db2.gz PODZEFBRJVQEKV-MJBXVCDLSA-N 0 1 267.373 0.710 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2c(C)nn(C)c2F)C1 ZINC001023725737 623115686 /nfs/dbraw/zinc/11/56/86/623115686.db2.gz RCWHGIAFHNBGNT-GFCCVEGCSA-N 0 1 292.358 0.943 20 30 CCEDMN Cn1cc(CNC[C@@H]2CCN(C(=O)C#CC3CC3)C2)nn1 ZINC001026835351 625634497 /nfs/dbraw/zinc/63/44/97/625634497.db2.gz VPRRMZHJECHWHB-ZDUSSCGKSA-N 0 1 287.367 0.167 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(=O)n(C)cn1 ZINC001024489031 623335596 /nfs/dbraw/zinc/33/55/96/623335596.db2.gz ZKKJHMADPLBOSH-GFCCVEGCSA-N 0 1 290.367 0.551 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001024515128 623344996 /nfs/dbraw/zinc/34/49/96/623344996.db2.gz MCRQWXRLCNFEQT-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001024515130 623345484 /nfs/dbraw/zinc/34/54/84/623345484.db2.gz MCRQWXRLCNFEQT-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001024523034 623348767 /nfs/dbraw/zinc/34/87/67/623348767.db2.gz MWFZQCTTXFXVPK-CQSZACIVSA-N 0 1 287.363 0.603 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001024600298 623389318 /nfs/dbraw/zinc/38/93/18/623389318.db2.gz ZJJQJCKVXZBAKR-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001024614769 623397546 /nfs/dbraw/zinc/39/75/46/623397546.db2.gz ASNXXYSKMIRYKC-LBPRGKRZSA-N 0 1 288.351 0.708 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cccn(C)c1=O ZINC001024765120 623435812 /nfs/dbraw/zinc/43/58/12/623435812.db2.gz BZKYUQJOGQBIGP-CYBMUJFWSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]([C@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025502942 623817483 /nfs/dbraw/zinc/81/74/83/623817483.db2.gz OJOMKTRJHZNYBX-JQWIXIFHSA-N 0 1 275.356 0.577 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]([C@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025502942 623817484 /nfs/dbraw/zinc/81/74/84/623817484.db2.gz OJOMKTRJHZNYBX-JQWIXIFHSA-N 0 1 275.356 0.577 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCCCC[C@H]1C(=O)[O-] ZINC000328178319 623867759 /nfs/dbraw/zinc/86/77/59/623867759.db2.gz MLJLFYYDJCSBLY-ZDUSSCGKSA-N 0 1 295.383 0.980 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC[C@H](OC)C1 ZINC001025835973 623892382 /nfs/dbraw/zinc/89/23/82/623892382.db2.gz QTMLTYRUAPCZRJ-SEBNEYGDSA-N 0 1 276.380 0.871 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC000974973194 625704419 /nfs/dbraw/zinc/70/44/19/625704419.db2.gz DEBYXYMJHBHCNU-HOSYDEDBSA-N 0 1 287.323 0.242 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)N[C@H]1C[C@H](NCC#N)C1 ZINC001020406621 624469317 /nfs/dbraw/zinc/46/93/17/624469317.db2.gz ZTEWFFHLUNULHI-AYGWYOGXSA-N 0 1 287.367 0.836 20 30 CCEDMN O=C(NCC#C[C@H]1CCCCO1)C1CN([C@@H]2CCOC2)C1 ZINC000891112256 624727961 /nfs/dbraw/zinc/72/79/61/624727961.db2.gz GZSLVHXOGYVMJF-HUUCEWRRSA-N 0 1 292.379 0.396 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001027369813 625855302 /nfs/dbraw/zinc/85/53/02/625855302.db2.gz DGOGFUGFNNNCAI-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN Cc1nc(NC(=O)NCC#CCN(C)C)oc1C ZINC000905151725 634810973 /nfs/dbraw/zinc/81/09/73/634810973.db2.gz QFRFMZVSHVPCRG-UHFFFAOYSA-N 0 1 250.302 0.978 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCO1 ZINC001027740733 630887329 /nfs/dbraw/zinc/88/73/29/630887329.db2.gz OANNVFHPDHHPQR-CHWSQXEVSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1COCCO1 ZINC001027764786 630901699 /nfs/dbraw/zinc/90/16/99/630901699.db2.gz IXBDIVBCJLJSLU-QWHCGFSZSA-N 0 1 266.341 0.006 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC000981316783 631267372 /nfs/dbraw/zinc/26/73/72/631267372.db2.gz WQVXTLJZQQIYMX-BDAKNGLRSA-N 0 1 265.292 0.703 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CCC[C@@H](C#N)C1 ZINC000928223149 631703642 /nfs/dbraw/zinc/70/36/42/631703642.db2.gz YBCXWFXUHQIDBY-STQMWFEESA-N 0 1 265.357 0.717 20 30 CCEDMN CC[C@](C)(CN1CCN(C(=O)CC#N)CC1)OC ZINC000895065857 631916601 /nfs/dbraw/zinc/91/66/01/631916601.db2.gz DXTOHAYOZBXOGH-CYBMUJFWSA-N 0 1 253.346 0.859 20 30 CCEDMN Cc1ccc(O)c(CN[C@H]2CCCN(O)C2=O)n1 ZINC000895164338 631998136 /nfs/dbraw/zinc/99/81/36/631998136.db2.gz FLFAHDJRIFIQCA-VIFPVBQESA-N 0 1 251.286 0.565 20 30 CCEDMN COc1cccc(O)c1CN[C@@H]1CCCN(O)C1=O ZINC000895164343 631998534 /nfs/dbraw/zinc/99/85/34/631998534.db2.gz FNVVSMRYQYAQJQ-SNVBAGLBSA-N 0 1 266.297 0.871 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001006678968 650047731 /nfs/dbraw/zinc/04/77/31/650047731.db2.gz NQRMZVOAGMDYEC-NSHDSACASA-N 0 1 276.340 0.953 20 30 CCEDMN O=C1[C@H](N[C@@H]2CCC3(C[C@@H]2F)OCCO3)CCCN1O ZINC000895198281 632021635 /nfs/dbraw/zinc/02/16/35/632021635.db2.gz ZUSKOUGPMBXCNB-HBNTYKKESA-N 0 1 288.319 0.590 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)CCO1 ZINC000896208272 632214617 /nfs/dbraw/zinc/21/46/17/632214617.db2.gz AXBJJRSHZPBSPL-QMMMGPOBSA-N 0 1 257.253 0.322 20 30 CCEDMN C#CC[N@@H+](C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000985389985 632457770 /nfs/dbraw/zinc/45/77/70/632457770.db2.gz FNFZZVMTJLRNNC-UHFFFAOYSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CC[N@H+](C)C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000985389985 632457779 /nfs/dbraw/zinc/45/77/79/632457779.db2.gz FNFZZVMTJLRNNC-UHFFFAOYSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC001007185776 650070490 /nfs/dbraw/zinc/07/04/90/650070490.db2.gz XUPUAIGKERNTPF-NSHDSACASA-N 0 1 275.356 0.220 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H]2CCNC2=O)C1 ZINC001007497217 650080279 /nfs/dbraw/zinc/08/02/79/650080279.db2.gz VKGVWTIHVTWHMX-RYUDHWBXSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cc(=O)n(C)cn2)C1 ZINC001007525717 650081856 /nfs/dbraw/zinc/08/18/56/650081856.db2.gz XQCNWEWYLMQUQN-NSHDSACASA-N 0 1 276.340 0.161 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001007523479 650081906 /nfs/dbraw/zinc/08/19/06/650081906.db2.gz ASBIMLXRECYVJE-XQQFMLRXSA-N 0 1 268.357 0.557 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cn2ncnn2)[C@@H]1C ZINC000986268516 632736744 /nfs/dbraw/zinc/73/67/44/632736744.db2.gz HVXWVMIPJLQAAQ-NXEZZACHSA-N 0 1 284.751 0.005 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001007902584 650096562 /nfs/dbraw/zinc/09/65/62/650096562.db2.gz NVHFONCZEQPFOK-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2nnn(C)n2)[C@H]1C ZINC000986654004 632786069 /nfs/dbraw/zinc/78/60/69/632786069.db2.gz ANNAKIMRIWIDEG-IUCAKERBSA-N 0 1 284.751 0.155 20 30 CCEDMN C[C@@H]1[C@H](NCc2ccccc2C#N)CCN1C(=O)C(N)=O ZINC000986784747 632798402 /nfs/dbraw/zinc/79/84/02/632798402.db2.gz YEXJVJVNBBPHNK-ZWNOBZJWSA-N 0 1 286.335 0.123 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2nnc[nH]2)[C@@H]1C ZINC000987321399 632857448 /nfs/dbraw/zinc/85/74/48/632857448.db2.gz NSHPPGDHRHHXLF-NXEZZACHSA-N 0 1 283.763 0.679 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@]2(C)CCN(C(C)=O)C2)CC1 ZINC000989433965 633222543 /nfs/dbraw/zinc/22/25/43/633222543.db2.gz OJYSKFOMXQELED-MRXNPFEDSA-N 0 1 293.411 0.965 20 30 CCEDMN C[C@H]1CN(Cc2cnc3c(C#N)cnn3c2)C[C@H]1O ZINC000897683821 633230062 /nfs/dbraw/zinc/23/00/62/633230062.db2.gz HMCFFVQGIUDSRO-JOYOIKCWSA-N 0 1 257.297 0.414 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2nonc2C)CC1 ZINC000989793064 633355928 /nfs/dbraw/zinc/35/59/28/633355928.db2.gz IHKUHGOVQYTOQN-UHFFFAOYSA-N 0 1 262.313 0.549 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)C3=COCCO3)CCC[C@@H]12 ZINC000989927912 633385877 /nfs/dbraw/zinc/38/58/77/633385877.db2.gz DYOUQKSELNJJMK-UKRRQHHQSA-N 0 1 276.336 0.621 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@]12CCC[C@H]1N(CC#N)CC2 ZINC000990024991 633424047 /nfs/dbraw/zinc/42/40/47/633424047.db2.gz GFQWELCXYCUFPQ-UMVBOHGHSA-N 0 1 276.384 0.717 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(NCc2cn(C)nn2)CC1 ZINC000990262726 633498635 /nfs/dbraw/zinc/49/86/35/633498635.db2.gz UTQUILQWXAIKFW-SNVBAGLBSA-N 0 1 276.344 0.055 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(N[C@@H](C)c2nnnn2C)CC1 ZINC000990314389 633507392 /nfs/dbraw/zinc/50/73/92/633507392.db2.gz MVLSFCWMAVTJAR-ZJUUUORDSA-N 0 1 291.359 0.011 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cncnc3)CCC[C@@H]12 ZINC000990354889 633515718 /nfs/dbraw/zinc/51/57/18/633515718.db2.gz NWZROLUNJPTMPK-UKRRQHHQSA-N 0 1 270.336 0.837 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@]2(C)CCNC2=O)C1 ZINC001008516327 650117897 /nfs/dbraw/zinc/11/78/97/650117897.db2.gz HRABEMZAAAUSSJ-SMDDNHRTSA-N 0 1 265.357 0.279 20 30 CCEDMN N#Cc1cnn(CCn2cc([C@@H]3CCCCN3)nn2)c1 ZINC000899695540 633853319 /nfs/dbraw/zinc/85/33/19/633853319.db2.gz RYDLWDSLMPWMBY-LBPRGKRZSA-N 0 1 271.328 0.861 20 30 CCEDMN C[C@H](NC(=O)C#Cc1cccs1)[C@H]1CN(C)CCN1C ZINC000900809924 634095762 /nfs/dbraw/zinc/09/57/62/634095762.db2.gz FNBAUNHOJPBULA-GXTWGEPZSA-N 0 1 291.420 0.850 20 30 CCEDMN C[C@H](CC#N)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000900802697 634107357 /nfs/dbraw/zinc/10/73/57/634107357.db2.gz FRHNIPJDVUNDMX-WOPDTQHZSA-N 0 1 252.362 0.287 20 30 CCEDMN C[C@H](CC#N)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000900802694 634107609 /nfs/dbraw/zinc/10/76/09/634107609.db2.gz FRHNIPJDVUNDMX-GRYCIOLGSA-N 0 1 252.362 0.287 20 30 CCEDMN Cc1ncc(C(=O)N2CC(NC(=O)c3c[nH]c(C#N)c3)C2)[nH]1 ZINC000990921384 634287916 /nfs/dbraw/zinc/28/79/16/634287916.db2.gz WFKDMXNCZUVSLQ-UHFFFAOYSA-N 0 1 298.306 0.172 20 30 CCEDMN Cn1cnc(CNC[C@@H](O)COc2ccc(C#N)cc2)c1 ZINC000905683168 634896451 /nfs/dbraw/zinc/89/64/51/634896451.db2.gz OCWBROKSOQPMTH-CQSZACIVSA-N 0 1 286.335 0.821 20 30 CCEDMN CC(C)(C#N)CC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907476242 635130548 /nfs/dbraw/zinc/13/05/48/635130548.db2.gz CGALRJXHECDKPG-MRVPVSSYSA-N 0 1 280.357 0.756 20 30 CCEDMN C[C@H](C(=O)NCc1ccc(C(=O)NO)cc1)N(C)C ZINC000907815203 635214091 /nfs/dbraw/zinc/21/40/91/635214091.db2.gz JFQSYWBCAQSUCX-SECBINFHSA-N 0 1 265.313 0.372 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnsn2)C1 ZINC001010315608 650199547 /nfs/dbraw/zinc/19/95/47/650199547.db2.gz BETVGOSQGKQZBY-SECBINFHSA-N 0 1 252.343 0.918 20 30 CCEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)c3[nH]c(=O)[nH]c3C)CCC[C@@H]12 ZINC000991202618 635622957 /nfs/dbraw/zinc/62/29/57/635622957.db2.gz AQKULOZEOPYFPZ-ABAIWWIYSA-N 0 1 288.351 0.784 20 30 CCEDMN Cc1nc(CN2CC[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)n[nH]1 ZINC001010656042 650250120 /nfs/dbraw/zinc/25/01/20/650250120.db2.gz LPPGCQBASQMXOS-NSHDSACASA-N 0 1 299.338 0.317 20 30 CCEDMN N#C[C@@]1(C(=O)N2CC[C@@H](N3CCC3)C2)CCCOC1 ZINC000912899762 636694130 /nfs/dbraw/zinc/69/41/30/636694130.db2.gz ARZWRXUQWAEATR-OCCSQVGLSA-N 0 1 263.341 0.613 20 30 CCEDMN COc1ccccc1NCC(=O)NCC#CCN(C)C ZINC000913518055 636834328 /nfs/dbraw/zinc/83/43/28/636834328.db2.gz BYTIELQUSGQCAB-UHFFFAOYSA-N 0 1 275.352 0.788 20 30 CCEDMN C[C@@H](OC[C@H]1CCCCO1)C(=O)NCC#CCN(C)C ZINC000913517614 636834666 /nfs/dbraw/zinc/83/46/66/636834666.db2.gz IUGCITMEDUZTON-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C[C@@H]1C(=O)CC[C@H]1CC(=O)NCC#CCN(C)C ZINC000913522052 636835438 /nfs/dbraw/zinc/83/54/38/636835438.db2.gz XVLHXMNRYIAWOB-RYUDHWBXSA-N 0 1 250.342 0.673 20 30 CCEDMN Cc1ccc(-n2cnnc2)c(C(=O)NCC#CCN(C)C)c1 ZINC000913521191 636835819 /nfs/dbraw/zinc/83/58/19/636835819.db2.gz OEKDDQUJYJTTDZ-UHFFFAOYSA-N 0 1 297.362 0.871 20 30 CCEDMN C#CCC[N@H+]1CC[C@@]2(NC(=O)c3cnn[nH]3)CCC[C@@H]12 ZINC000991572865 636911068 /nfs/dbraw/zinc/91/10/68/636911068.db2.gz VTLLSPFIQFGZEG-OCCSQVGLSA-N 0 1 273.340 0.555 20 30 CCEDMN C#CCCCNC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000173686893 637226240 /nfs/dbraw/zinc/22/62/40/637226240.db2.gz KBPYPWJXKZOZPK-OAHLLOKOSA-N 0 1 294.443 0.971 20 30 CCEDMN CCCNC(=O)CCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000173974027 637237316 /nfs/dbraw/zinc/23/73/16/637237316.db2.gz HYHHADGVBPDOCB-NSHDSACASA-N 0 1 279.340 0.670 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@H]2CCC[C@@H](NCC#N)[C@H]2C1 ZINC000992775307 637571427 /nfs/dbraw/zinc/57/14/27/637571427.db2.gz HHDAQSJWOARHPS-SDDRHHMPSA-N 0 1 288.355 0.467 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2nnn(C)c2C)[C@H]1C ZINC000993180308 637727543 /nfs/dbraw/zinc/72/75/43/637727543.db2.gz GURLXCVGVMNNTE-PWSUYJOCSA-N 0 1 277.372 0.892 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cc(C)[nH]n2)[C@H]1C ZINC000993194258 637738695 /nfs/dbraw/zinc/73/86/95/637738695.db2.gz GFBCRWRHEHYPOX-OCCSQVGLSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cc(C)n[nH]2)[C@H]1C ZINC000993194258 637738697 /nfs/dbraw/zinc/73/86/97/637738697.db2.gz GFBCRWRHEHYPOX-OCCSQVGLSA-N 0 1 274.368 0.863 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CCCN(CC#N)[C@@H]2C)n[nH]1 ZINC000993305702 637770913 /nfs/dbraw/zinc/77/09/13/637770913.db2.gz AHOYBKLRHZTWBU-KOLCDFICSA-N 0 1 276.344 0.148 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cn(CC)nn2)[C@@H]1C ZINC000993619509 637821968 /nfs/dbraw/zinc/82/19/68/637821968.db2.gz GWHOTGWMFOFMQZ-GXTWGEPZSA-N 0 1 289.383 0.443 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cn2nccc2C)[C@@H]1C ZINC000993892488 637853315 /nfs/dbraw/zinc/85/33/15/637853315.db2.gz RLBZMIZRYPBDBC-KBPBESRZSA-N 0 1 274.368 0.794 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCCN(CCO)[C@H]2C)nn1 ZINC000993952980 637857712 /nfs/dbraw/zinc/85/77/12/637857712.db2.gz QVOIGBZNXXPXRR-NWDGAFQWSA-N 0 1 293.371 0.039 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cccn(C)c2=O)[C@H]1C ZINC000994237456 637878676 /nfs/dbraw/zinc/87/86/76/637878676.db2.gz GECOIZNOKIHRSN-TZMCWYRMSA-N 0 1 287.363 0.601 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCN(CC#N)C[C@@H]2C)[nH]n1 ZINC000941943458 638588045 /nfs/dbraw/zinc/58/80/45/638588045.db2.gz PMOIFXDFLHMTKI-GWCFXTLKSA-N 0 1 275.356 0.611 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(N)=O)CC1(C)C ZINC000995576386 638778995 /nfs/dbraw/zinc/77/89/95/638778995.db2.gz YBNGTAFEKFKJIG-SECBINFHSA-N 0 1 273.764 0.441 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](NCc2ccn(C)n2)C(C)(C)C1 ZINC000995577386 638779014 /nfs/dbraw/zinc/77/90/14/638779014.db2.gz CLTQGZHWUHJOCT-DGCLKSJQSA-N 0 1 289.383 0.906 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cc2nnc[nH]2)CC1(C)C ZINC000996504674 638820541 /nfs/dbraw/zinc/82/05/41/638820541.db2.gz DTGLCJMPVWJTON-SNVBAGLBSA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC(NC(=O)c2ncn[nH]2)C1 ZINC000997806107 638883533 /nfs/dbraw/zinc/88/35/33/638883533.db2.gz USVKZTHNWUWWCW-UHFFFAOYSA-N 0 1 277.328 0.348 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC(NC(=O)c2nc[nH]n2)C1 ZINC000997806107 638883534 /nfs/dbraw/zinc/88/35/34/638883534.db2.gz USVKZTHNWUWWCW-UHFFFAOYSA-N 0 1 277.328 0.348 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](NCc2cnns2)C1 ZINC000998755689 638939615 /nfs/dbraw/zinc/93/96/15/638939615.db2.gz RWNIPDGKXRSJHH-NXEZZACHSA-N 0 1 279.369 0.778 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C2CN(C(C)=O)C2)C1 ZINC000999030532 638955795 /nfs/dbraw/zinc/95/57/95/638955795.db2.gz XSXQFUKLELMKBQ-ZDUSSCGKSA-N 0 1 299.802 0.798 20 30 CCEDMN Cn1ccnc1CN[C@@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC000999128254 638961176 /nfs/dbraw/zinc/96/11/76/638961176.db2.gz MCWUXAKKJGJVEN-CQSZACIVSA-N 0 1 286.379 0.914 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CNC(=O)N2)C1 ZINC001000035700 639036889 /nfs/dbraw/zinc/03/68/89/639036889.db2.gz GYRGLZJZDBBCJR-ZJUUUORDSA-N 0 1 286.763 0.001 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CC(=O)N(CC)C2)CC1 ZINC001000751133 639164225 /nfs/dbraw/zinc/16/42/25/639164225.db2.gz BVWUCTBOLLQLRA-CQSZACIVSA-N 0 1 289.379 0.236 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2n[nH]c3c2CCC3)CC1 ZINC001000890218 639184941 /nfs/dbraw/zinc/18/49/41/639184941.db2.gz HSRDTSKMNLMHRN-UHFFFAOYSA-N 0 1 284.363 0.894 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(C(C)(C)C)nn2)C1 ZINC001014659518 650475785 /nfs/dbraw/zinc/47/57/85/650475785.db2.gz PKMUETCYKNNGGV-GFCCVEGCSA-N 0 1 289.383 0.861 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2c(C)csc2=O)C1 ZINC001016230493 639197259 /nfs/dbraw/zinc/19/72/59/639197259.db2.gz UOOFCGSOHNDQDA-LBPRGKRZSA-N 0 1 293.392 0.432 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001014700990 650480069 /nfs/dbraw/zinc/48/00/69/650480069.db2.gz PHYRFQRSTZWEEG-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC001001157090 639242112 /nfs/dbraw/zinc/24/21/12/639242112.db2.gz RGTRNDFHCXRQOC-UHFFFAOYSA-N 0 1 286.335 0.109 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cccc(C(N)=O)n2)CC1 ZINC001001483819 639309977 /nfs/dbraw/zinc/30/99/77/639309977.db2.gz JAEPXQNXAQYYLY-UHFFFAOYSA-N 0 1 298.346 0.176 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@H]2CCCN2C(C)=O)CC1 ZINC001001487320 639311884 /nfs/dbraw/zinc/31/18/84/639311884.db2.gz XDLAVBXYZOJFAS-OAHLLOKOSA-N 0 1 291.395 0.932 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2csc3nccn23)C1 ZINC001016270024 639329069 /nfs/dbraw/zinc/32/90/69/639329069.db2.gz UKSJEZZVBJNNTC-NSHDSACASA-N 0 1 288.376 0.762 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2COCCO2)CC1 ZINC001002376104 639403765 /nfs/dbraw/zinc/40/37/65/639403765.db2.gz NCUPZNRDSJNLEQ-CYBMUJFWSA-N 0 1 266.341 0.006 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001014872296 650497613 /nfs/dbraw/zinc/49/76/13/650497613.db2.gz LFECBFTYQIRXSW-JTQLQIEISA-N 0 1 260.297 0.010 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cn[nH]c(=O)c2)CC1 ZINC001003084233 639502947 /nfs/dbraw/zinc/50/29/47/639502947.db2.gz HOIIDYYHXDBZRO-UHFFFAOYSA-N 0 1 274.324 0.400 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn[nH]c(=O)c2)CC1 ZINC001003096202 639505387 /nfs/dbraw/zinc/50/53/87/639505387.db2.gz GQMFEBXXQVNCRR-UHFFFAOYSA-N 0 1 260.297 0.010 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)c1[nH]nc2c1CNCC2 ZINC000424210418 639532224 /nfs/dbraw/zinc/53/22/24/639532224.db2.gz NQORAKTTYZAXEO-CABZTGNLSA-N 0 1 276.340 0.376 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@]2(F)CCOC2)CC1 ZINC001003496895 639555964 /nfs/dbraw/zinc/55/59/64/639555964.db2.gz SPBPWFXPKQRMAL-CQSZACIVSA-N 0 1 268.332 0.719 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001014969068 650508664 /nfs/dbraw/zinc/50/86/64/650508664.db2.gz RNCIUUOOQBUTIX-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001003693203 639593433 /nfs/dbraw/zinc/59/34/33/639593433.db2.gz WZHREXFLWKZKKL-AWEZNQCLSA-N 0 1 291.355 0.595 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001003693203 639593435 /nfs/dbraw/zinc/59/34/35/639593435.db2.gz WZHREXFLWKZKKL-AWEZNQCLSA-N 0 1 291.355 0.595 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(CNC(=O)c2[nH]ncc2F)C1 ZINC001004132412 639681462 /nfs/dbraw/zinc/68/14/62/639681462.db2.gz JBNPTWQOOFXRRW-UHFFFAOYSA-N 0 1 294.330 0.949 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001015039781 650518110 /nfs/dbraw/zinc/51/81/10/650518110.db2.gz WDIYWQCPIWWEKQ-WXRRBKDZSA-N 0 1 279.384 0.655 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2ccnn2C)CC1 ZINC001005170307 639885249 /nfs/dbraw/zinc/88/52/49/639885249.db2.gz GVTYRAXWHPQRNC-UHFFFAOYSA-N 0 1 274.368 0.980 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001015167847 650534044 /nfs/dbraw/zinc/53/40/44/650534044.db2.gz QJXXJIDVPPQOFT-ZIAGYGMSSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc(OCC)n[nH]2)CC1 ZINC001005565699 639938327 /nfs/dbraw/zinc/93/83/27/639938327.db2.gz SYXQMUWFFVQTEW-UHFFFAOYSA-N 0 1 290.367 0.978 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC001005644396 639946855 /nfs/dbraw/zinc/94/68/55/639946855.db2.gz QGQHIKOOBODKSB-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001015214818 650539669 /nfs/dbraw/zinc/53/96/69/650539669.db2.gz ICDOEHBLXFTIBT-SNVBAGLBSA-N 0 1 286.339 0.733 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC001005818747 639974793 /nfs/dbraw/zinc/97/47/93/639974793.db2.gz TWWQLVIWUSVFSQ-QWHCGFSZSA-N 0 1 277.368 0.054 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@@H+](C)C[C@H](O)COc1ccccc1C#N ZINC000923223977 640056206 /nfs/dbraw/zinc/05/62/06/640056206.db2.gz AONOFSQDZZYCLP-JQWIXIFHSA-N 0 1 278.308 0.703 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC001005994901 640060116 /nfs/dbraw/zinc/06/01/16/640060116.db2.gz DTCWPJNFAMAMII-UHFFFAOYSA-N 0 1 288.351 0.283 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccnn2CCOC)C1 ZINC001014858994 640074202 /nfs/dbraw/zinc/07/42/02/640074202.db2.gz GZCGPODVJJMHEW-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001015369796 650559202 /nfs/dbraw/zinc/55/92/02/650559202.db2.gz DCPHMOQHOMTNHX-ZQDZILKHSA-N 0 1 294.395 0.805 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001016442110 640216924 /nfs/dbraw/zinc/21/69/24/640216924.db2.gz BITVHSARNCZWCC-YPMHNXCESA-N 0 1 262.357 0.900 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001015402767 650562691 /nfs/dbraw/zinc/56/26/91/650562691.db2.gz JEIMDKDNUBMGJF-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN Cn1cc(C=NNc2nc3ccccc3[nH]2)c(=O)n(C)c1=O ZINC000839387399 640314439 /nfs/dbraw/zinc/31/44/39/640314439.db2.gz NUANATGPQUKDQG-UHFFFAOYSA-N 0 1 298.306 0.406 20 30 CCEDMN Oc1ccc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)nc1 ZINC000755816171 640337949 /nfs/dbraw/zinc/33/79/49/640337949.db2.gz YTEGLQQWCFDKBZ-RYUDHWBXSA-N 0 1 259.313 0.981 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ncccc2F)C1 ZINC001015614387 650592576 /nfs/dbraw/zinc/59/25/76/650592576.db2.gz YFACOOQQYQLDSL-GFCCVEGCSA-N 0 1 275.327 0.977 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccoc2CC(N)=O)C1 ZINC001015617569 650594096 /nfs/dbraw/zinc/59/40/96/650594096.db2.gz DEMKHQTXZXLNHM-NSHDSACASA-N 0 1 289.335 0.135 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCc3nnn(C)c3C2)C1 ZINC001015618533 650594202 /nfs/dbraw/zinc/59/42/02/650594202.db2.gz VBNBKOSXQSJDOR-NEPJUHHUSA-N 0 1 289.383 0.297 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCN(c2ccc(C#N)nc2)CC1 ZINC000801288677 640642869 /nfs/dbraw/zinc/64/28/69/640642869.db2.gz FBRYYJBGONYJOW-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@H](NC(=O)NC2CC2)C1 ZINC000801940462 640678663 /nfs/dbraw/zinc/67/86/63/640678663.db2.gz AXFGNXFRGBYUBM-JQWIXIFHSA-N 0 1 266.345 0.451 20 30 CCEDMN C[C@H](C#N)OCCN1CC[N@@H+](CC(C)(C)O)C[C@H]1C ZINC000801946281 640680118 /nfs/dbraw/zinc/68/01/18/640680118.db2.gz HLLJZVKVNGGCTB-CHWSQXEVSA-N 0 1 269.389 0.692 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccnc3c2ncn3C)C1 ZINC001015680318 650607876 /nfs/dbraw/zinc/60/78/76/650607876.db2.gz ZZTLABNMCUTJDI-GFCCVEGCSA-N 0 1 297.362 0.796 20 30 CCEDMN COc1ccc([C@H](CO)N(C)C[C@H](O)CC#N)cc1 ZINC000809574045 640900194 /nfs/dbraw/zinc/90/01/94/640900194.db2.gz DQIIMCPGSXFNIV-OCCSQVGLSA-N 0 1 264.325 0.935 20 30 CCEDMN Cn1nncc1N=NCc1ccc(-n2cncn2)cc1 ZINC000814982421 641087226 /nfs/dbraw/zinc/08/72/26/641087226.db2.gz ZQSJISSLJSBVOR-UHFFFAOYSA-N 0 1 268.284 0.842 20 30 CCEDMN Cn1nncc1NN=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000814982797 641087400 /nfs/dbraw/zinc/08/74/00/641087400.db2.gz OYSRRLRAYKDDNY-UHFFFAOYSA-N 0 1 262.229 0.875 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCN(CC(=C)C)C2)nn1 ZINC001015810533 650633240 /nfs/dbraw/zinc/63/32/40/650633240.db2.gz LNYHZBZKKIIATM-GFCCVEGCSA-N 0 1 275.356 0.844 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001015907983 650654586 /nfs/dbraw/zinc/65/45/86/650654586.db2.gz MPPBYWSHOVUCPX-JTQLQIEISA-N 0 1 262.313 0.104 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)N2CC[C@@H](c3nc[nH]n3)C2)cc1 ZINC000819725287 641427233 /nfs/dbraw/zinc/42/72/33/641427233.db2.gz VQUXCKLAOUCTEE-CHWSQXEVSA-N 0 1 297.318 0.726 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001015936166 650659500 /nfs/dbraw/zinc/65/95/00/650659500.db2.gz HKZUFZRKONOIGP-LBPRGKRZSA-N 0 1 276.340 0.865 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001015936166 650659501 /nfs/dbraw/zinc/65/95/01/650659501.db2.gz HKZUFZRKONOIGP-LBPRGKRZSA-N 0 1 276.340 0.865 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC001016112746 650683869 /nfs/dbraw/zinc/68/38/69/650683869.db2.gz FJKORLMMMVZESS-GFCCVEGCSA-N 0 1 260.341 0.474 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001016229099 650700953 /nfs/dbraw/zinc/70/09/53/650700953.db2.gz MEXOETCGVWQPDT-CYBMUJFWSA-N 0 1 273.336 0.776 20 30 CCEDMN C[C@@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C[C@@H]1C(=O)[O-] ZINC000828431994 642093654 /nfs/dbraw/zinc/09/36/54/642093654.db2.gz LRFVVDZBDFLPPS-PUYPPJJSSA-N 0 1 279.340 0.447 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2nn(CC)nc2C)C1 ZINC001016423534 650730222 /nfs/dbraw/zinc/73/02/22/650730222.db2.gz HYWNQOKXCCPJFN-LBPRGKRZSA-N 0 1 275.356 0.434 20 30 CCEDMN CN1CC(C(=O)NC2(C#N)CCSCC2)=NC1=O ZINC000831199310 642327024 /nfs/dbraw/zinc/32/70/24/642327024.db2.gz UQOKFNDTFKZPTR-UHFFFAOYSA-N 0 1 266.326 0.645 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccc(F)cc1F ZINC000834480929 642572711 /nfs/dbraw/zinc/57/27/11/642572711.db2.gz YCUGJHXRBNQPAM-SKDRFNHKSA-N 0 1 265.263 0.735 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cn1ncc2ccccc21 ZINC000834485465 642578071 /nfs/dbraw/zinc/57/80/71/642578071.db2.gz XFXUVROMKWOHOJ-NEPJUHHUSA-N 0 1 269.308 0.264 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CC[C@@H]1CCCCO1 ZINC000834488502 642578237 /nfs/dbraw/zinc/57/82/37/642578237.db2.gz CPBVYOLTWZVRIL-WOPDTQHZSA-N 0 1 251.330 0.563 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1COc2ccccc21 ZINC000834489566 642579682 /nfs/dbraw/zinc/57/96/82/642579682.db2.gz NYZNTHAEQQNPLZ-USWWRNFRSA-N 0 1 257.293 0.390 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000834489272 642580464 /nfs/dbraw/zinc/58/04/64/642580464.db2.gz LILFKTUFVQVDME-ZYHUDNBSSA-N 0 1 274.280 0.365 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2CNC[C@H]2C#N)c1Br ZINC000834490729 642582149 /nfs/dbraw/zinc/58/21/49/642582149.db2.gz WUEVGYKCYFTETH-RQJHMYQMSA-N 0 1 298.144 0.322 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1csc(C2CC2)n1 ZINC000834493793 642583291 /nfs/dbraw/zinc/58/32/91/642583291.db2.gz HEPKJDXZGAHDQL-BDAKNGLRSA-N 0 1 262.338 0.862 20 30 CCEDMN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834493219 642583765 /nfs/dbraw/zinc/58/37/65/642583765.db2.gz BUWVZRIAWWKMDS-SKDRFNHKSA-N 0 1 274.280 0.745 20 30 CCEDMN Cc1nn(C)c(C)c1[C@H](C)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834494908 642584705 /nfs/dbraw/zinc/58/47/05/642584705.db2.gz QTQRWNYHLDFLMY-KPXOXKRLSA-N 0 1 275.356 0.368 20 30 CCEDMN N#CCN1CCC2(C[C@@H]2NC(=O)c2[nH]ncc2F)CC1 ZINC001016814392 650763276 /nfs/dbraw/zinc/76/32/76/650763276.db2.gz JWAMCCGEOJRSRG-JTQLQIEISA-N 0 1 277.303 0.657 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1c[nH]nc1-c1ccsc1 ZINC000834499058 642586438 /nfs/dbraw/zinc/58/64/38/642586438.db2.gz DWZLJABIHTXDDK-ONGXEEELSA-N 0 1 287.348 0.980 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCn1cnc2ccccc21 ZINC000834498789 642587154 /nfs/dbraw/zinc/58/71/54/642587154.db2.gz BPACVHGHXBJARI-YPMHNXCESA-N 0 1 283.335 0.654 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC000834498991 642587440 /nfs/dbraw/zinc/58/74/40/642587440.db2.gz CXDSWTUYKBRKPD-NEPJUHHUSA-N 0 1 282.307 0.313 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc2nccnc21 ZINC000834500571 642588325 /nfs/dbraw/zinc/58/83/25/642588325.db2.gz UTCPKILFEDWSNO-SKDRFNHKSA-N 0 1 267.292 0.471 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCn1cc(Cl)cn1 ZINC000834499537 642589423 /nfs/dbraw/zinc/58/94/23/642589423.db2.gz JLLBOAPQQJKISS-WCBMZHEXSA-N 0 1 267.720 0.154 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1(c2ccccc2)CC1 ZINC000834504352 642590331 /nfs/dbraw/zinc/59/03/31/642590331.db2.gz AHDULTFDAAIZLD-DGCLKSJQSA-N 0 1 255.321 0.946 20 30 CCEDMN Cc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1[N+](=O)[O-] ZINC000834505755 642591681 /nfs/dbraw/zinc/59/16/81/642591681.db2.gz NPTBRPXJADLZCU-MWLCHTKSSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C1CCN(CC(F)F)CC1 ZINC000834505705 642592154 /nfs/dbraw/zinc/59/21/54/642592154.db2.gz NBQKZCFLMKKVPX-QWRGUYRKSA-N 0 1 286.326 0.191 20 30 CCEDMN Cc1cccc(O[C@H](C)C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834504879 642592423 /nfs/dbraw/zinc/59/24/23/642592423.db2.gz GIQRBFFDTBRVBH-BZPMIXESSA-N 0 1 273.336 0.990 20 30 CCEDMN Cc1cccc(C)c1OCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834506772 642593030 /nfs/dbraw/zinc/59/30/30/642593030.db2.gz VWXVWTUDXNIEAX-CHWSQXEVSA-N 0 1 273.336 0.910 20 30 CCEDMN Cc1cccc(C)c1OCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834506775 642593263 /nfs/dbraw/zinc/59/32/63/642593263.db2.gz VWXVWTUDXNIEAX-STQMWFEESA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCc1ccc(F)cc1 ZINC000834511034 642595125 /nfs/dbraw/zinc/59/51/25/642595125.db2.gz HZNVWSZHUUNLPH-DGCLKSJQSA-N 0 1 261.300 0.986 20 30 CCEDMN C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834515840 642598191 /nfs/dbraw/zinc/59/81/91/642598191.db2.gz CDYRWTVABNHAJU-RMIALFOJSA-N 0 1 287.319 0.443 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)CCO2 ZINC000834517599 642600309 /nfs/dbraw/zinc/60/03/09/642600309.db2.gz XMABIXYFBOJDKD-RYUDHWBXSA-N 0 1 257.293 0.463 20 30 CCEDMN N#CCN1CCC2(C[C@H]2NC(=O)CN2CCCC2)CC1 ZINC001016974961 650775085 /nfs/dbraw/zinc/77/50/85/650775085.db2.gz ODQQEXRMNJINQL-CYBMUJFWSA-N 0 1 276.384 0.576 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CC(C)(C)O ZINC001017516422 650807848 /nfs/dbraw/zinc/80/78/48/650807848.db2.gz SGRXMVLDJLCBAG-BETUJISGSA-N 0 1 264.369 0.846 20 30 CCEDMN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000843460320 643064349 /nfs/dbraw/zinc/06/43/49/643064349.db2.gz NOTWXJBKHUGTQY-NXEZZACHSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc2n[nH]cc21 ZINC000843460584 643064660 /nfs/dbraw/zinc/06/46/60/643064660.db2.gz HWTVPYVUIHYSAK-PELKAZGASA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H](O)C1CCCCC1 ZINC000843460006 643064857 /nfs/dbraw/zinc/06/48/57/643064857.db2.gz KOTMZADZDUEUIN-GRYCIOLGSA-N 0 1 251.330 0.155 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CNC(=O)Nc1ccccc1 ZINC000843461155 643064926 /nfs/dbraw/zinc/06/49/26/643064926.db2.gz KBNQSHLGZUGQLA-ZYHUDNBSSA-N 0 1 287.323 0.036 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CSc1ccc(O)cc1 ZINC000843461200 643064982 /nfs/dbraw/zinc/06/49/82/643064982.db2.gz SGMKNBXQOASJTO-JOYOIKCWSA-N 0 1 277.349 0.712 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1cnn2ccccc12 ZINC000843461538 643066431 /nfs/dbraw/zinc/06/64/31/643066431.db2.gz VHVPHTDGCBESKU-NEPJUHHUSA-N 0 1 269.308 0.105 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(NC(N)=O)cc1 ZINC000843462412 643066922 /nfs/dbraw/zinc/06/69/22/643066922.db2.gz QDEWHQQNZULAGJ-MWLCHTKSSA-N 0 1 273.296 0.019 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001017599107 650812919 /nfs/dbraw/zinc/81/29/19/650812919.db2.gz NQBRQOKTOBVQID-MCIONIFRSA-N 0 1 289.379 0.163 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccnc1-n1cccn1 ZINC000844195161 643178960 /nfs/dbraw/zinc/17/89/60/643178960.db2.gz QTVIAAALZIQTJJ-CMPLNLGQSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccnc1N1CCCC1 ZINC000844195751 643179014 /nfs/dbraw/zinc/17/90/14/643179014.db2.gz ZORPWWLZKFWGRG-YPMHNXCESA-N 0 1 285.351 0.523 20 30 CCEDMN Cc1[nH]nc2ncc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc12 ZINC000844195208 643179101 /nfs/dbraw/zinc/17/91/01/643179101.db2.gz SJAMJWGCLOPRDQ-GXSJLCMTSA-N 0 1 270.296 0.108 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccnc1N1CCCC1 ZINC000844195750 643179628 /nfs/dbraw/zinc/17/96/28/643179628.db2.gz ZORPWWLZKFWGRG-WCQYABFASA-N 0 1 285.351 0.523 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnoc1 ZINC001017839089 650826141 /nfs/dbraw/zinc/82/61/41/650826141.db2.gz IRIMRPQICUHCQQ-OKILXGFUSA-N 0 1 273.336 0.916 20 30 CCEDMN Cn1cc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)c2ccccc21 ZINC000846675984 643441695 /nfs/dbraw/zinc/44/16/95/643441695.db2.gz AIDHYXBZWZCXNS-GXTWGEPZSA-N 0 1 282.347 0.949 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCC(=O)N1 ZINC001018056982 650841706 /nfs/dbraw/zinc/84/17/06/650841706.db2.gz HAQOHHJAMMVPSZ-MCIONIFRSA-N 0 1 289.379 0.354 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CC[C@H]2C(N)=O)c1 ZINC000847615541 643538051 /nfs/dbraw/zinc/53/80/51/643538051.db2.gz GRIFKQBGBOZRRQ-BDAKNGLRSA-N 0 1 259.265 0.714 20 30 CCEDMN C[C@@H](CC(=O)Nc1cc(C#N)ccc1O)NC(N)=O ZINC000847616539 643538116 /nfs/dbraw/zinc/53/81/16/643538116.db2.gz PCHZGSFLODTRTG-ZETCQYMHSA-N 0 1 262.269 0.649 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)C(F)(F)C(F)F ZINC000848137065 643608128 /nfs/dbraw/zinc/60/81/28/643608128.db2.gz XVHRVFKGEOOLPE-SCSAIBSYSA-N 0 1 258.171 0.383 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnccc1C(F)(F)F ZINC000848417767 643633986 /nfs/dbraw/zinc/63/39/86/643633986.db2.gz DZLXYSQWOWNHKQ-OIBJUYFYSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@H]1OCc2ccccc21 ZINC000848419001 643634760 /nfs/dbraw/zinc/63/47/60/643634760.db2.gz PGDWWKSIPJFGOB-KWCYVHTRSA-N 0 1 271.320 0.876 20 30 CCEDMN C[C@@H](C#N)Oc1ccc(CN[C@H]2CCNC2=O)cc1 ZINC000850342200 643797819 /nfs/dbraw/zinc/79/78/19/643797819.db2.gz DHUXBAUWWLHJGJ-GWCFXTLKSA-N 0 1 259.309 0.956 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]C2CC3(CC([NH3+])C3)C2)o1 ZINC000850587117 643804434 /nfs/dbraw/zinc/80/44/34/643804434.db2.gz PPNHBDUHTURRDL-UHFFFAOYSA-N 0 1 281.337 0.699 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ncnc2sccc21 ZINC000852827769 644013520 /nfs/dbraw/zinc/01/35/20/644013520.db2.gz PCAJOKCBXNPRFC-IONNQARKSA-N 0 1 273.321 0.533 20 30 CCEDMN OC1CCN(c2ccc(C=NNC3=NCCN3)cc2)CC1 ZINC000853358112 644101521 /nfs/dbraw/zinc/10/15/21/644101521.db2.gz ULAXKOZLXQASJK-UHFFFAOYSA-N 0 1 287.367 0.530 20 30 CCEDMN Cc1ccc(C(=O)NC[C@@H]2CN3CCN2CCC3)cc1C#N ZINC000854353059 644190710 /nfs/dbraw/zinc/19/07/10/644190710.db2.gz QEHDWJQSPBJMQQ-MRXNPFEDSA-N 0 1 298.390 0.986 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001018952507 650924268 /nfs/dbraw/zinc/92/42/68/650924268.db2.gz BGYRXRDJSBKDSH-NSHDSACASA-N 0 1 296.334 0.799 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC001018999193 650928577 /nfs/dbraw/zinc/92/85/77/650928577.db2.gz JFAVAEOAYBWMBX-WDEREUQCSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnc[nH]c2=O)C1 ZINC001019015808 650930362 /nfs/dbraw/zinc/93/03/62/650930362.db2.gz PWDGJCXWXYDTHU-VIFPVBQESA-N 0 1 282.731 0.739 20 30 CCEDMN O=C1CN=C(NN=C2CCN(CCC(F)(F)F)CC2)N1 ZINC000863175827 645066698 /nfs/dbraw/zinc/06/66/98/645066698.db2.gz URGXDPDNVJLABI-UHFFFAOYSA-N 0 1 291.277 0.466 20 30 CCEDMN Cn1cc(CN[C@H]2C[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)nn1 ZINC001020088825 651036932 /nfs/dbraw/zinc/03/69/32/651036932.db2.gz ZKQFFZFLCKDJIM-XYPYZODXSA-N 0 1 299.338 0.065 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccn(C2CC2)c1=O ZINC000870940311 646053977 /nfs/dbraw/zinc/05/39/77/646053977.db2.gz IFMIKRCZBZSYBG-CABZTGNLSA-N 0 1 272.308 0.025 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2ccnn2CC2CCC2)N1 ZINC000872364945 646251490 /nfs/dbraw/zinc/25/14/90/646251490.db2.gz XUZMUSBNZCXIEX-SNVBAGLBSA-N 0 1 260.345 0.954 20 30 CCEDMN C[C@]1(Br)C[C@@H]1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000876801363 646669169 /nfs/dbraw/zinc/66/91/69/646669169.db2.gz BNSOFHJYWIYZSN-WHQQTDPMSA-N 0 1 272.146 0.388 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc2cc(=O)[nH]cc21 ZINC000876802167 646670766 /nfs/dbraw/zinc/67/07/66/646670766.db2.gz MINVWVHIAPWZAO-GXFFZTMASA-N 0 1 282.303 0.782 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H](O)C[C@@H](O)c2ccccc2)C1 ZINC000878761578 646975156 /nfs/dbraw/zinc/97/51/56/646975156.db2.gz NXXNXUJVUBFPFQ-OAGGEKHMSA-N 0 1 290.363 0.821 20 30 CCEDMN C#CCN(C[C@H](O)COC1CCOCC1)C1CSC1 ZINC000878913020 646996794 /nfs/dbraw/zinc/99/67/94/646996794.db2.gz YCKXURDVRIDSQN-ZDUSSCGKSA-N 0 1 285.409 0.594 20 30 CCEDMN C[C@@H]1CC[C@H](CO)N1CC(=O)NC1(C#N)CCC1 ZINC000880443410 647202036 /nfs/dbraw/zinc/20/20/36/647202036.db2.gz IHDBXCBCNUULPF-GHMZBOCLSA-N 0 1 251.330 0.394 20 30 CCEDMN N#Cc1ccccc1OC[C@@H](O)CN1CC=C[C@H]1CO ZINC000880494821 647213809 /nfs/dbraw/zinc/21/38/09/647213809.db2.gz SZHOYQPYIDNXAQ-KBPBESRZSA-N 0 1 274.320 0.531 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccnc1C(F)F ZINC000884249901 647681332 /nfs/dbraw/zinc/68/13/32/647681332.db2.gz TZDIHDFVJLWDPI-APPZFPTMSA-N 0 1 266.251 0.861 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N[C@H]2CNC[C@H]2C#N)C1 ZINC000884251254 647682604 /nfs/dbraw/zinc/68/26/04/647682604.db2.gz ZAFWXSWJBQCCEW-HKWIRBFKSA-N 0 1 273.340 0.303 20 30 CCEDMN C[C@H](O)CN1CCN(C[C@H](O)CC2(C#N)CCC2)CC1 ZINC000885983983 647889903 /nfs/dbraw/zinc/88/99/03/647889903.db2.gz UXHSGVKOFPRQDY-UONOGXRCSA-N 0 1 281.400 0.430 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCC[C@@H]2C(N)=O)CCC1 ZINC000886234803 647926875 /nfs/dbraw/zinc/92/68/75/647926875.db2.gz WVFHKDAEDSLAKI-GHMZBOCLSA-N 0 1 251.330 0.381 20 30 CCEDMN N#Cc1ccc([C@@H](C(N)=O)N2C[C@@H]3CC[C@H](O)[C@@H]3C2)cc1 ZINC000886575024 647989128 /nfs/dbraw/zinc/98/91/28/647989128.db2.gz BZFDAIBKIZEEOZ-XGUBFFRZSA-N 0 1 285.347 0.787 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CCO[C@](C)(C#N)C1 ZINC000887688580 648143514 /nfs/dbraw/zinc/14/35/14/648143514.db2.gz MVRRSVSYRCSSQE-ZWNOBZJWSA-N 0 1 262.313 0.729 20 30 CCEDMN Cc1cc(C(=O)NC[C@H]2C[C@@H](O)CN2C)cc(C)c1C#N ZINC000924992937 648394615 /nfs/dbraw/zinc/39/46/15/648394615.db2.gz RFYCOXVFOWPBJB-ZIAGYGMSSA-N 0 1 287.363 0.970 20 30 CCEDMN C[C@@H](NCCNc1ccc(C#N)cn1)c1cn(C)nn1 ZINC000927167152 648547059 /nfs/dbraw/zinc/54/70/59/648547059.db2.gz HPAPXLQBXDZOOB-SNVBAGLBSA-N 0 1 271.328 0.844 20 30 CCEDMN C[C@H](O)[C@H](O)CN1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000929235948 648716893 /nfs/dbraw/zinc/71/68/93/648716893.db2.gz GJYMDDWVKPLSES-XJKSGUPXSA-N 0 1 289.379 0.418 20 30 CCEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H](O)[C@H](C)O ZINC000930456183 648863991 /nfs/dbraw/zinc/86/39/91/648863991.db2.gz QYWWBXFQXNWWHK-SMDDNHRTSA-N 0 1 269.341 0.892 20 30 CCEDMN N#Cc1cc(Cl)cc(CN2C[C@@H]3C(=O)NC(=O)[C@@H]3C2)c1 ZINC000930642108 648886228 /nfs/dbraw/zinc/88/62/28/648886228.db2.gz WSZLSKPXOHRRFE-TXEJJXNPSA-N 0 1 289.722 0.916 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCc2nccc(N)c2C1)C1CC1 ZINC000931510987 648998295 /nfs/dbraw/zinc/99/82/95/648998295.db2.gz ZUHUGDQZLHHCNL-INIZCTEOSA-N 0 1 299.378 0.830 20 30 CCEDMN C#CC[N@@H+](C[C@@](O)(C(N)=O)c1ccccc1)C(C)C ZINC000932386890 649069872 /nfs/dbraw/zinc/06/98/72/649069872.db2.gz CQFRGOPDWWDMON-HNNXBMFYSA-N 0 1 260.337 0.703 20 30 CCEDMN CO[C@@H]1CC[C@@H]1N(C)CC(=O)N(CCC#N)CCC#N ZINC000934123333 649157771 /nfs/dbraw/zinc/15/77/71/649157771.db2.gz CZBDBLYTTUAZDN-QWHCGFSZSA-N 0 1 278.356 0.752 20 30 CCEDMN C[C@](Cn1cccn1)(NCC1(CC#N)CC1)C(N)=O ZINC000934218543 649161328 /nfs/dbraw/zinc/16/13/28/649161328.db2.gz CRKZRFHZYUJEGP-GFCCVEGCSA-N 0 1 261.329 0.411 20 30 CCEDMN C#C[C@H]1CCCCN1CC1CN(S(C)(=O)=O)C1 ZINC000934516092 649177609 /nfs/dbraw/zinc/17/76/09/649177609.db2.gz VZCMSADRHZIXPF-LBPRGKRZSA-N 0 1 256.371 0.366 20 30 CCEDMN C[C@H](CN(C)C(=O)C1(C#N)CCSCC1)c1nn[nH]n1 ZINC000935366723 649229016 /nfs/dbraw/zinc/22/90/16/649229016.db2.gz HGRNUTVDFATGLM-SECBINFHSA-N 0 1 294.384 0.799 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(NC(=O)[C@@H]2CCCN2C)CC1 ZINC000948097241 649363794 /nfs/dbraw/zinc/36/37/94/649363794.db2.gz YPDDGAMKVPZTTF-YPMHNXCESA-N 0 1 292.383 0.347 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)c1[nH]nnc1C ZINC000189914289 649393952 /nfs/dbraw/zinc/39/39/52/649393952.db2.gz GCMDQFHUIQMSRZ-UHFFFAOYSA-N 0 1 252.274 0.304 20 30 CCEDMN Cc1c(C(=O)N2CCN(CC#N)CC2)ccc2cncn21 ZINC000949019709 649407313 /nfs/dbraw/zinc/40/73/13/649407313.db2.gz WATWQGIHIOWPMF-UHFFFAOYSA-N 0 1 283.335 0.924 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](NC(=O)CN2CCCC2)C1 ZINC000951872980 649568476 /nfs/dbraw/zinc/56/84/76/649568476.db2.gz RLUSIZXEVGVYDW-QWHCGFSZSA-N 0 1 292.383 0.349 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](c2cc[nH]n2)C1 ZINC000249373858 649745258 /nfs/dbraw/zinc/74/52/58/649745258.db2.gz GFSQQMSTWDWSOB-VXGBXAGGSA-N 0 1 260.341 0.727 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC2(CCN(CC(N)=O)CC2)CC1 ZINC000957520367 649751506 /nfs/dbraw/zinc/75/15/06/649751506.db2.gz SBPIKRMVGGAAIZ-GFCCVEGCSA-N 0 1 292.383 0.336 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC2(CCN(CC(N)=O)CC2)CC1 ZINC000957520368 649751866 /nfs/dbraw/zinc/75/18/66/649751866.db2.gz SBPIKRMVGGAAIZ-LBPRGKRZSA-N 0 1 292.383 0.336 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H](NC(=O)CN2CCCC2)C1 ZINC000958381654 649803475 /nfs/dbraw/zinc/80/34/75/649803475.db2.gz YZHRXQALWUYONP-JHJVBQTASA-N 0 1 292.383 0.205 20 30 CCEDMN COc1nc(N2C[C@@H](C)N(C)C[C@@H]2C)ncc1C#N ZINC001164631321 719172146 /nfs/dbraw/zinc/17/21/46/719172146.db2.gz BFTUPCIETNXUJZ-ZJUUUORDSA-N 0 1 261.329 0.886 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncnc(Cl)c3C#N)C[C@H]21 ZINC001164672567 719379990 /nfs/dbraw/zinc/37/99/90/719379990.db2.gz YNZZBSCIPQXIQJ-ZJUUUORDSA-N 0 1 279.731 0.521 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncnc(Cl)c3C#N)C[C@@H]21 ZINC001164672565 719380318 /nfs/dbraw/zinc/38/03/18/719380318.db2.gz YNZZBSCIPQXIQJ-VHSXEESVSA-N 0 1 279.731 0.521 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncc(C#N)cc3F)C[C@H]21 ZINC001164670587 719416397 /nfs/dbraw/zinc/41/63/97/719416397.db2.gz OXKKYVDUDSVHGV-VXGBXAGGSA-N 0 1 262.288 0.612 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](NC(C)=O)C(C)C)CC1 ZINC001230094261 805580624 /nfs/dbraw/zinc/58/06/24/805580624.db2.gz QLCIGNPDGBZINX-OAHLLOKOSA-N 0 1 293.411 0.751 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)Cc2nnc(C)o2)C1 ZINC001278388942 807058218 /nfs/dbraw/zinc/05/82/18/807058218.db2.gz OPXBGKBJQPKSMB-ZDUSSCGKSA-N 0 1 264.329 0.687 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@]2(CCN(C(C)=O)C2)C1 ZINC001277450586 805587801 /nfs/dbraw/zinc/58/78/01/805587801.db2.gz YYUAMCKZGQMMSC-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN COC(=O)c1c[nH]c(O[C@H]2CCN(CC#N)C2)n1 ZINC001230281766 805592254 /nfs/dbraw/zinc/59/22/54/805592254.db2.gz GVIFILXSWKRJQS-QMMMGPOBSA-N 0 1 250.258 0.173 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)C2CCC2)CC1 ZINC001230345136 805595071 /nfs/dbraw/zinc/59/50/71/805595071.db2.gz ZEEZDELGENVIJE-UHFFFAOYSA-N 0 1 277.368 0.117 20 30 CCEDMN Cc1oncc1CN[C@@H](C)CNC(=O)CSCC#N ZINC001266923609 791354174 /nfs/dbraw/zinc/35/41/74/791354174.db2.gz LQHWLUJIUKQPIA-VIFPVBQESA-N 0 1 282.369 0.834 20 30 CCEDMN Cc1cnccc1CCNC(=O)NCC#CCN(C)C ZINC001230546820 805618369 /nfs/dbraw/zinc/61/83/69/805618369.db2.gz UTJKTMRLRLDSQP-UHFFFAOYSA-N 0 1 274.368 0.797 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H](C)NC(=O)C1CCCC1 ZINC001266276897 790383924 /nfs/dbraw/zinc/38/39/24/790383924.db2.gz FUJCUYBPRPMWHJ-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2ccn(C)c2)CC1 ZINC001266283948 790400776 /nfs/dbraw/zinc/40/07/76/790400776.db2.gz ZFSDCBYJCWUWLV-UHFFFAOYSA-N 0 1 288.395 0.396 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN(C)CCN1C(=O)CCC1=O ZINC001266309326 790484800 /nfs/dbraw/zinc/48/48/00/790484800.db2.gz UGYSGVWVNNCYHN-UHFFFAOYSA-N 0 1 295.383 0.396 20 30 CCEDMN COCC#CCN(C)CCNC(=O)Cn1cc(Cl)cn1 ZINC001266329879 790519807 /nfs/dbraw/zinc/51/98/07/790519807.db2.gz LFFQZFIAALBTRI-UHFFFAOYSA-N 0 1 298.774 0.234 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnc2cccnn21 ZINC001266335092 790528781 /nfs/dbraw/zinc/52/87/81/790528781.db2.gz HWZUSWYZTVHHMV-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cc(COC)on1 ZINC001266335118 790529364 /nfs/dbraw/zinc/52/93/64/790529364.db2.gz JBNNLILIXYSXCC-UHFFFAOYSA-N 0 1 295.339 0.132 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cn2c(n1)COCC2 ZINC001266398989 790636486 /nfs/dbraw/zinc/63/64/86/790636486.db2.gz GYLIBEFXLRDIPN-UHFFFAOYSA-N 0 1 276.340 0.098 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)COCc1cc(C)on1 ZINC001266468220 790762622 /nfs/dbraw/zinc/76/26/22/790762622.db2.gz MHZADGNHWQRGNJ-AWEZNQCLSA-N 0 1 291.351 0.713 20 30 CCEDMN N#CCNCC1(CCNC(=O)CCc2c[nH]nn2)CC1 ZINC001167271427 790766415 /nfs/dbraw/zinc/76/64/15/790766415.db2.gz QQHYDCBQOMGVLP-UHFFFAOYSA-N 0 1 276.344 0.137 20 30 CCEDMN N#CCNCC1(CCNC(=O)CCc2cnn[nH]2)CC1 ZINC001167271427 790766422 /nfs/dbraw/zinc/76/64/22/790766422.db2.gz QQHYDCBQOMGVLP-UHFFFAOYSA-N 0 1 276.344 0.137 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001266497976 790793613 /nfs/dbraw/zinc/79/36/13/790793613.db2.gz OJFIDDOKYCRVGD-UONOGXRCSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001266511400 790832247 /nfs/dbraw/zinc/83/22/47/790832247.db2.gz MLYPJUQESCCDRQ-ZDUSSCGKSA-N 0 1 289.383 0.855 20 30 CCEDMN COCC#CCN(CCNC(=O)c1cncn1C)C1CC1 ZINC001266600187 791006939 /nfs/dbraw/zinc/00/69/39/791006939.db2.gz MAXIZQGJYHCSNI-UHFFFAOYSA-N 0 1 290.367 0.264 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOC ZINC001111488667 791061103 /nfs/dbraw/zinc/06/11/03/791061103.db2.gz QVMRAPDRDKAXED-DGAVXFQQSA-N 0 1 282.384 0.945 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CN1CCCC1=O ZINC001230752536 805661826 /nfs/dbraw/zinc/66/18/26/805661826.db2.gz IMNBNCKPTJTLDF-LBPRGKRZSA-N 0 1 265.357 0.328 20 30 CCEDMN COC[C@H](C)N1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230818765 805671670 /nfs/dbraw/zinc/67/16/70/805671670.db2.gz DPHPMSFLURXQCE-RYUDHWBXSA-N 0 1 285.413 0.811 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CN(C)C(C)=O ZINC001230828774 805673394 /nfs/dbraw/zinc/67/33/94/805673394.db2.gz BSTDLXQLIXLSDH-ZDUSSCGKSA-N 0 1 265.357 0.021 20 30 CCEDMN C#C[C@@H](Oc1nc(Cl)nc2[nH]ncc21)C(=O)OCC ZINC001231047414 805717034 /nfs/dbraw/zinc/71/70/34/805717034.db2.gz RZMBHIOYYJFFSJ-SSDOTTSWSA-N 0 1 280.671 0.950 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](CC)OC ZINC001231116040 805732520 /nfs/dbraw/zinc/73/25/20/805732520.db2.gz YECCUZNLNJCWNC-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)C(C)(C)F ZINC001231167044 805743175 /nfs/dbraw/zinc/74/31/75/805743175.db2.gz KMIHHNSZGUZTHT-NSHDSACASA-N 0 1 287.379 0.863 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001277521973 805808420 /nfs/dbraw/zinc/80/84/20/805808420.db2.gz ABNZLLSOJNGQMD-CJNGLKHVSA-N 0 1 293.411 0.998 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001277521974 805809499 /nfs/dbraw/zinc/80/94/99/805809499.db2.gz ABNZLLSOJNGQMD-CZUORRHYSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001277611294 805901010 /nfs/dbraw/zinc/90/10/10/805901010.db2.gz XPKYITXOODNOJT-CYBMUJFWSA-N 0 1 279.384 0.621 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@@H]2CN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC000971401736 791175222 /nfs/dbraw/zinc/17/52/22/791175222.db2.gz IILYEMDAUDGQNL-TZMCWYRMSA-N 0 1 298.346 0.496 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)C3CCOCC3)C[C@@H]21 ZINC001041892512 791265733 /nfs/dbraw/zinc/26/57/33/791265733.db2.gz XTCCCXHVVJHARG-ZFWWWQNUSA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3cnon3)[C@H]2C1 ZINC001083227997 791342284 /nfs/dbraw/zinc/34/22/84/791342284.db2.gz GJCPSIXLSCUITE-NWDGAFQWSA-N 0 1 278.312 0.171 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCCCC(N)=O)C1 ZINC001267022259 791455989 /nfs/dbraw/zinc/45/59/89/791455989.db2.gz NVJOVADFIUGORT-NSHDSACASA-N 0 1 287.791 0.975 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(CC(OC)OC)C1 ZINC001207254159 791467891 /nfs/dbraw/zinc/46/78/91/791467891.db2.gz AOQDXYIKRKAOAB-NSHDSACASA-N 0 1 272.345 0.982 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cncnc3C)C[C@@H]21 ZINC001041953863 791498822 /nfs/dbraw/zinc/49/88/22/791498822.db2.gz PBJZMWMPMXKQCR-HIFRSBDPSA-N 0 1 284.363 0.955 20 30 CCEDMN CO[C@H](C)CN1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038139207 791526522 /nfs/dbraw/zinc/52/65/22/791526522.db2.gz HDJYLBHTVFUGSW-PWSUYJOCSA-N 0 1 276.340 0.725 20 30 CCEDMN C=CCCCCN1CC[C@H]2C[C@]21C(=O)Nc1nnnn1C ZINC001277788587 806076265 /nfs/dbraw/zinc/07/62/65/806076265.db2.gz LHPFGLUAJJASFR-SMDDNHRTSA-N 0 1 290.371 0.969 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@H]1CCNC(=O)C1 ZINC001125615517 791609080 /nfs/dbraw/zinc/60/90/80/791609080.db2.gz LRSRRMFEYDNTMF-HNNXBMFYSA-N 0 1 299.374 0.270 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H](CC(=C)C)NC(C)=O ZINC001267220145 791692560 /nfs/dbraw/zinc/69/25/60/791692560.db2.gz KCXLEAZGKXISDE-CYBMUJFWSA-N 0 1 265.357 0.139 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN(C)Cc2n[nH]c(C)n2)nc1 ZINC001267226938 791706322 /nfs/dbraw/zinc/70/63/22/791706322.db2.gz WUDWMCAIDXUMHF-UHFFFAOYSA-N 0 1 298.350 0.351 20 30 CCEDMN CC[N@H+](CC#CCOC)CCNC(=O)CCOC ZINC001267234203 791717349 /nfs/dbraw/zinc/71/73/49/791717349.db2.gz IFJSHJXWTGIWOY-UHFFFAOYSA-N 0 1 256.346 0.111 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)CCOC ZINC001267234203 791717352 /nfs/dbraw/zinc/71/73/52/791717352.db2.gz IFJSHJXWTGIWOY-UHFFFAOYSA-N 0 1 256.346 0.111 20 30 CCEDMN CN(CCCNC(=O)c1ccsc1)CC(=O)NCC#N ZINC001233733973 806111030 /nfs/dbraw/zinc/11/10/30/806111030.db2.gz WMOAXYLZTREJSJ-UHFFFAOYSA-N 0 1 294.380 0.440 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)C[C@@H]1CCN1CCCO ZINC001233937085 806150467 /nfs/dbraw/zinc/15/04/67/806150467.db2.gz LDTLDELZTNBDIY-JSGCOSHPSA-N 0 1 270.373 0.229 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1C[C@H](C)O ZINC001233947395 806152663 /nfs/dbraw/zinc/15/26/63/806152663.db2.gz LKERPTYZOKQJTJ-STQMWFEESA-N 0 1 252.358 0.703 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)C(C)C ZINC001234087685 806182029 /nfs/dbraw/zinc/18/20/29/806182029.db2.gz BKTQMBKVLXBAGB-LBPRGKRZSA-N 0 1 269.389 0.771 20 30 CCEDMN CC(C)C#CC(=O)N(C)C[C@@H]1CCN1C[C@@H](C)O ZINC001234162778 806194395 /nfs/dbraw/zinc/19/43/95/806194395.db2.gz VBTVOKBRJQTIDJ-OLZOCXBDSA-N 0 1 252.358 0.559 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CC(=O)NCC ZINC001277838006 806201003 /nfs/dbraw/zinc/20/10/03/806201003.db2.gz GYLXEAIOHCZTQO-NSHDSACASA-N 0 1 287.791 0.846 20 30 CCEDMN C[C@@H](O)CN1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234205908 806204407 /nfs/dbraw/zinc/20/44/07/806204407.db2.gz MKGZXLVDYOUXJV-OLZOCXBDSA-N 0 1 266.385 0.949 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@H]1CCN1CCOC(C)C ZINC001234246444 806210264 /nfs/dbraw/zinc/21/02/64/806210264.db2.gz NTQPGUDBMDLUJQ-OAHLLOKOSA-N 0 1 296.411 0.984 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CO[C@@H]1CCOC1 ZINC001234286396 806217003 /nfs/dbraw/zinc/21/70/03/806217003.db2.gz NCGMYRAZTBXPJG-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)CCNC(=O)[C@H](C)OC)C1=O ZINC001267238574 793223336 /nfs/dbraw/zinc/22/33/36/793223336.db2.gz PFHMAQIXNQITPJ-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN CC[C@H](C(N)=O)N(CC)CCNC(=O)C#CC1CC1 ZINC001267241081 793228630 /nfs/dbraw/zinc/22/86/30/793228630.db2.gz JPVUAEVWFXIRPV-GFCCVEGCSA-N 0 1 265.357 0.102 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cnc(N(C)C)cn1 ZINC001038252854 793234367 /nfs/dbraw/zinc/23/43/67/793234367.db2.gz XKGAWNZOULKFLT-GFCCVEGCSA-N 0 1 289.383 0.923 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(=O)[C@@H](C)OC)C1=O ZINC001234601026 806281848 /nfs/dbraw/zinc/28/18/48/806281848.db2.gz AVJKDXYMCRKSNH-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1nc(F)nc2nc[nH]c21 ZINC001234693043 806296970 /nfs/dbraw/zinc/29/69/70/806296970.db2.gz NUDRIOZPBJBKKD-ZETCQYMHSA-N 0 1 278.243 0.826 20 30 CCEDMN C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235703273 806495155 /nfs/dbraw/zinc/49/51/55/806495155.db2.gz TWZBGUANSNWWPE-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235703273 806495159 /nfs/dbraw/zinc/49/51/59/806495159.db2.gz TWZBGUANSNWWPE-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)c1cocn1 ZINC001235755051 806500910 /nfs/dbraw/zinc/50/09/10/806500910.db2.gz APRPFSXVHVYECK-NSHDSACASA-N 0 1 294.355 0.417 20 30 CCEDMN CN1CCC2(CN(S(=O)(=O)c3cccc(C#N)c3)C2)C1 ZINC001278055452 806640913 /nfs/dbraw/zinc/64/09/13/806640913.db2.gz ZDXFUYUHTIOPGI-UHFFFAOYSA-N 0 1 291.376 0.884 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H]1CCC(C)=C(C)C1 ZINC001278085243 806654134 /nfs/dbraw/zinc/65/41/34/806654134.db2.gz MVLXMXARJWPITE-UONOGXRCSA-N 0 1 264.369 0.823 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)NC[C@@H](CO)NCC#N ZINC001278094012 806659348 /nfs/dbraw/zinc/65/93/48/806659348.db2.gz RGKFFQDDIQGCMV-NSHDSACASA-N 0 1 296.396 0.014 20 30 CCEDMN C#CCCCCNC(=O)N1CCNC[C@H]1CCOC ZINC001120525827 798846703 /nfs/dbraw/zinc/84/67/03/798846703.db2.gz VMHYEBHMIWBEIT-CYBMUJFWSA-N 0 1 267.373 0.810 20 30 CCEDMN COC[C@H]1CNCCN1C(=O)NCC1(CC#N)CC1 ZINC001120536873 798851770 /nfs/dbraw/zinc/85/17/70/798851770.db2.gz QFPASVDPUDSUGO-LLVKDONJSA-N 0 1 266.345 0.310 20 30 CCEDMN CCCn1ncc(C(=O)NCC#CCN(C)C)c1C ZINC001120767332 798931986 /nfs/dbraw/zinc/93/19/86/798931986.db2.gz LMBWYKAKJCDZNQ-UHFFFAOYSA-N 0 1 262.357 0.896 20 30 CCEDMN N#Cc1ccnnc1N1CCC(c2nc[nH]n2)CC1 ZINC001120906347 798958938 /nfs/dbraw/zinc/95/89/38/798958938.db2.gz IZCPXUBZFCDUOQ-UHFFFAOYSA-N 0 1 255.285 0.850 20 30 CCEDMN C#CCNCC(=O)N(C)C[C@@H](C)c1nccs1 ZINC001121957191 799106964 /nfs/dbraw/zinc/10/69/64/799106964.db2.gz PCEMUXCBZCLWBP-SNVBAGLBSA-N 0 1 251.355 0.928 20 30 CCEDMN C#CCNCC(=O)NCCCC(=O)N1Cc2ccccc2C1 ZINC001121955339 799107239 /nfs/dbraw/zinc/10/72/39/799107239.db2.gz MILBZDCZXFNKPY-UHFFFAOYSA-N 0 1 299.374 0.648 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1COCCN1 ZINC001122171154 799160548 /nfs/dbraw/zinc/16/05/48/799160548.db2.gz CWEWALFIHYYFHE-WOPDTQHZSA-N 0 1 254.330 0.072 20 30 CCEDMN Cc1nnc(COCC(=O)C(C#N)C(=O)NC2CC2)s1 ZINC001122507445 799252523 /nfs/dbraw/zinc/25/25/23/799252523.db2.gz ZWVXXIUOMPJVBZ-SECBINFHSA-N 0 1 294.336 0.351 20 30 CCEDMN C#CCN1CCC(OC(=O)CCc2ccnn2C)CC1 ZINC001123250477 799378107 /nfs/dbraw/zinc/37/81/07/799378107.db2.gz OXGHCSJTAIBMTJ-UHFFFAOYSA-N 0 1 275.352 0.994 20 30 CCEDMN CCn1ccnc1C(C#N)C(=O)CN(C)C(=O)OC ZINC001123236944 799378759 /nfs/dbraw/zinc/37/87/59/799378759.db2.gz KODSAJSITXRCNN-SECBINFHSA-N 0 1 264.285 0.777 20 30 CCEDMN C=CCOCCCNC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001123662353 799450873 /nfs/dbraw/zinc/45/08/73/799450873.db2.gz LXTNYNBAKCMODW-AWEZNQCLSA-N 0 1 298.431 0.514 20 30 CCEDMN C#CCN(CC1CC1)C(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001137600300 799675364 /nfs/dbraw/zinc/67/53/64/799675364.db2.gz YIGJGDIHSAWNSU-ZIAGYGMSSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CC(F)(F)C(F)(F)F ZINC001278214532 806775770 /nfs/dbraw/zinc/77/57/70/806775770.db2.gz VYMZMMCNKLXNCI-SSDOTTSWSA-N 0 1 288.216 0.274 20 30 CCEDMN C=CCn1cc(C[N@H+](C)CCC(=O)N(C)C)cn1 ZINC001139772879 799842297 /nfs/dbraw/zinc/84/22/97/799842297.db2.gz RZQZAHHYXSLZBK-UHFFFAOYSA-N 0 1 250.346 0.979 20 30 CCEDMN C=CCn1cc(CN(C)CCC(=O)N(C)C)cn1 ZINC001139772879 799842302 /nfs/dbraw/zinc/84/23/02/799842302.db2.gz RZQZAHHYXSLZBK-UHFFFAOYSA-N 0 1 250.346 0.979 20 30 CCEDMN C#CCO[C@H](C)C(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC001142039790 800060304 /nfs/dbraw/zinc/06/03/04/800060304.db2.gz XSPQRIIJODXPAW-SECBINFHSA-N 0 1 271.280 0.844 20 30 CCEDMN CNC(=O)N1CC[C@H]2CN(Cc3cc(C#N)n(C)c3)C[C@H]21 ZINC001278272305 806801871 /nfs/dbraw/zinc/80/18/71/806801871.db2.gz ZLTJLAFZYAZFIV-GXTWGEPZSA-N 0 1 287.367 0.742 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)COCC2CC2)C1 ZINC001149248596 800239099 /nfs/dbraw/zinc/23/90/99/800239099.db2.gz RAIYOTMYVMFIPF-CQSZACIVSA-N 0 1 282.384 0.806 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2cnc3[nH]cccc2-3)c1N ZINC001149365779 800252825 /nfs/dbraw/zinc/25/28/25/800252825.db2.gz GQJTWQDOECQVOK-UHFFFAOYSA-N 0 1 267.252 0.484 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001149398268 800255442 /nfs/dbraw/zinc/25/54/42/800255442.db2.gz KIQZHWRAAHTPPH-RYUDHWBXSA-N 0 1 251.330 0.539 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001149398268 800255444 /nfs/dbraw/zinc/25/54/44/800255444.db2.gz KIQZHWRAAHTPPH-RYUDHWBXSA-N 0 1 251.330 0.539 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CN(CC=C)CCCO1 ZINC001149432207 800259753 /nfs/dbraw/zinc/25/97/53/800259753.db2.gz QDULWRMFHZJEAK-CYBMUJFWSA-N 0 1 268.357 0.582 20 30 CCEDMN C=C(C)COCCNC(=O)Cc1n[nH]c(C2CC2)n1 ZINC001150445087 800336245 /nfs/dbraw/zinc/33/62/45/800336245.db2.gz DCVZWVQAGZSNCR-UHFFFAOYSA-N 0 1 264.329 0.934 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC[C@@H]1CN(CC#CC)CCCO1 ZINC001150810750 800369015 /nfs/dbraw/zinc/36/90/15/800369015.db2.gz HVTWHVUEXZNOIA-LSDHHAIUSA-N 0 1 294.395 0.808 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](CCCC)NC(N)=O ZINC001153331975 800622024 /nfs/dbraw/zinc/62/20/24/800622024.db2.gz XIIDOBFRMZPVIT-SNVBAGLBSA-N 0 1 290.795 0.672 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)c1cnc2nc[nH]c2c1 ZINC001153724713 800656171 /nfs/dbraw/zinc/65/61/71/800656171.db2.gz AUYOBOARFMYBMS-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)c1cnn(C)c1 ZINC001154025960 800705953 /nfs/dbraw/zinc/70/59/53/800705953.db2.gz WOVYYBXEAKLSCW-SNVBAGLBSA-N 0 1 270.764 0.982 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccc2c(N)n[nH]c2c1 ZINC001154168563 800732627 /nfs/dbraw/zinc/73/26/27/800732627.db2.gz SEQPZTKYJCKJNY-UHFFFAOYSA-N 0 1 267.252 0.992 20 30 CCEDMN COc1cc2[nH]ncc2cc1C(=O)n1nc(N)c(C#N)c1N ZINC001154786166 800844529 /nfs/dbraw/zinc/84/45/29/800844529.db2.gz AZNYXNFJWNFCQZ-UHFFFAOYSA-N 0 1 297.278 0.493 20 30 CCEDMN Cc1nc(CNCCCNC(=O)CSCC#N)co1 ZINC001154815186 800850650 /nfs/dbraw/zinc/85/06/50/800850650.db2.gz XONSZJHTRCVJKA-UHFFFAOYSA-N 0 1 282.369 0.836 20 30 CCEDMN Cn1nc2c(c1C(=O)Nc1nc[nH]c1C#N)CCC2 ZINC001154828678 800853861 /nfs/dbraw/zinc/85/38/61/800853861.db2.gz ZTERWKVLUWKXEQ-UHFFFAOYSA-N 0 1 256.269 0.756 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2ncc(C)nc2C#N)CC1 ZINC001155159783 800921021 /nfs/dbraw/zinc/92/10/21/800921021.db2.gz MVUMKIWIOLSMOI-GFCCVEGCSA-N 0 1 289.339 0.373 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2nccc(F)c2C#N)CC1 ZINC001155159921 800921771 /nfs/dbraw/zinc/92/17/71/800921771.db2.gz OEXRXDHTRYXVOR-LBPRGKRZSA-N 0 1 292.314 0.809 20 30 CCEDMN C=CCCC(=O)NCCCNCc1nnc(C)[nH]1 ZINC001155587007 801017269 /nfs/dbraw/zinc/01/72/69/801017269.db2.gz TYGRINJTXRGNJD-UHFFFAOYSA-N 0 1 251.334 0.675 20 30 CCEDMN N#C[C@H]1CNCCN1c1nc[nH]c2nc(=S)sc1-2 ZINC001156327882 801152142 /nfs/dbraw/zinc/15/21/42/801152142.db2.gz QLJZLFLEDZJZPT-LURJTMIESA-N 0 1 278.366 0.677 20 30 CCEDMN COC(=O)[C@H](CS)Nc1n[nH]c(Cl)c2ncnc1-2 ZINC001156767820 801233617 /nfs/dbraw/zinc/23/36/17/801233617.db2.gz OSFHRRLEBAIBGN-BYPYZUCNSA-N 0 1 287.732 0.890 20 30 CCEDMN C#CCCN(CC)[C@H](C)CNC(=O)CS(=O)(=O)CC ZINC001157098589 801300797 /nfs/dbraw/zinc/30/07/97/801300797.db2.gz NCMBWMIHNTZMKM-GFCCVEGCSA-N 0 1 288.413 0.271 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1ncc(C)o1 ZINC001157852975 801502264 /nfs/dbraw/zinc/50/22/64/801502264.db2.gz OETHFMKKLPGRON-UHFFFAOYSA-N 0 1 279.340 0.619 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1ccon1 ZINC001157868958 801506229 /nfs/dbraw/zinc/50/62/29/801506229.db2.gz BSFVWQHCWJPFOP-LLVKDONJSA-N 0 1 265.313 0.309 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1nncs1 ZINC001157874492 801508334 /nfs/dbraw/zinc/50/83/34/801508334.db2.gz QFGUJNVYRBLDKD-JTQLQIEISA-N 0 1 282.369 0.172 20 30 CCEDMN CSc1nc(Cl)c(C#N)c(-n2nnnc2CN)n1 ZINC001158074342 801563434 /nfs/dbraw/zinc/56/34/34/801563434.db2.gz ARGJTWQRKWVEHL-UHFFFAOYSA-N 0 1 282.720 0.158 20 30 CCEDMN COc1nc(N2CC(N(C)[C@H]3CCOC3)C2)ncc1C#N ZINC001158731233 801694799 /nfs/dbraw/zinc/69/47/99/801694799.db2.gz IITJMPLTSUWQMG-NSHDSACASA-N 0 1 289.339 0.266 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)Cn1cncn1 ZINC001158829619 801710536 /nfs/dbraw/zinc/71/05/36/801710536.db2.gz COJBICMJGCJILW-CQSZACIVSA-N 0 1 289.383 0.614 20 30 CCEDMN C[C@H](C#N)C(=O)NCCC1=CCN([C@H](C)C(N)=O)CC1 ZINC001159586880 801835915 /nfs/dbraw/zinc/83/59/15/801835915.db2.gz DRMJIAZDSCURBV-GHMZBOCLSA-N 0 1 278.356 0.158 20 30 CCEDMN C=CCOCC(=O)NCCC1=CCN([C@H](C)C(N)=O)CC1 ZINC001159575656 801836141 /nfs/dbraw/zinc/83/61/41/801836141.db2.gz AMLDSCSPTVWXMG-GFCCVEGCSA-N 0 1 295.383 0.201 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cn[nH]c(=O)c2)CC1 ZINC001160423030 801938198 /nfs/dbraw/zinc/93/81/98/801938198.db2.gz KBCYMUYPKFZHAZ-UHFFFAOYSA-N 0 1 286.335 0.567 20 30 CCEDMN C=CCN(C(=O)[C@H](C)NC(=O)[C@@H](N)CO)C1CCCCC1 ZINC001161248311 802116464 /nfs/dbraw/zinc/11/64/64/802116464.db2.gz XQGFOIIYLMWKAC-AAEUAGOBSA-N 0 1 297.399 0.158 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)NCC#CCN(C)C ZINC001251708258 807696525 /nfs/dbraw/zinc/69/65/25/807696525.db2.gz OTEDQDBVPNSGTF-LBPRGKRZSA-N 0 1 253.346 0.178 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1ccc(C#N)nn1 ZINC001162530514 802359646 /nfs/dbraw/zinc/35/96/46/802359646.db2.gz MBGWCGCJKDHZJW-UHFFFAOYSA-N 0 1 272.268 0.169 20 30 CCEDMN C=C/C(=N\COC)n1cnc(CN)c1C(=O)OCC ZINC001162533630 802360765 /nfs/dbraw/zinc/36/07/65/802360765.db2.gz WTRSYOWLGPKDDM-XNTDXEJSSA-N 0 1 266.301 0.555 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cc(C#N)cnn1 ZINC001162532478 802361296 /nfs/dbraw/zinc/36/12/96/802361296.db2.gz CZEKPMMCYGOEEW-UHFFFAOYSA-N 0 1 272.268 0.169 20 30 CCEDMN CC[C@@H](CC#N)N1C[C@@H]2C(=O)N(CCOC)C[C@@H]2C1 ZINC001255170493 808324979 /nfs/dbraw/zinc/32/49/79/808324979.db2.gz SWNKZSNGJHKTFO-AGIUHOORSA-N 0 1 265.357 0.715 20 30 CCEDMN COc1nc(NCc2nnc3n2CCCNC3)ccc1C#N ZINC001163012152 802457084 /nfs/dbraw/zinc/45/70/84/802457084.db2.gz YBMBVBIQGCIIAY-UHFFFAOYSA-N 0 1 299.338 0.659 20 30 CCEDMN Cc1nc(C#N)c(C#N)nc1NCc1noc2c1CNCC2 ZINC001163129105 802488831 /nfs/dbraw/zinc/48/88/31/802488831.db2.gz FXAYIJSWOVHYGF-UHFFFAOYSA-N 0 1 295.306 0.774 20 30 CCEDMN C#C[C@H](C)Nc1ncnc2[nH]cc(S(C)(=O)=O)c21 ZINC001163160163 802498150 /nfs/dbraw/zinc/49/81/50/802498150.db2.gz XPGJDSAORSLCRT-ZETCQYMHSA-N 0 1 264.310 0.795 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2nncn2C)cc1 ZINC001163733791 802600269 /nfs/dbraw/zinc/60/02/69/802600269.db2.gz DAQGLJXJSFGWCX-UHFFFAOYSA-N 0 1 297.362 0.706 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2ncccn2)[C@H]1C ZINC001264723465 809674933 /nfs/dbraw/zinc/67/49/33/809674933.db2.gz IAGAZXJJKFPXDD-OLZOCXBDSA-N 0 1 290.367 0.872 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H](C)n2ccnc2)C1 ZINC001265306424 809753107 /nfs/dbraw/zinc/75/31/07/809753107.db2.gz PQQUHFBFCSITER-STQMWFEESA-N 0 1 260.341 0.658 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCNCc1nnnn1C ZINC001164214357 802689736 /nfs/dbraw/zinc/68/97/36/802689736.db2.gz WLVXTJLYGYHLSG-MNOVXSKESA-N 0 1 280.376 0.264 20 30 CCEDMN Cc1nc(CC(=O)NCCC2(CNCC#N)CC2)n[nH]1 ZINC001164476796 802747665 /nfs/dbraw/zinc/74/76/65/802747665.db2.gz WBPZYZCTLIFOIR-UHFFFAOYSA-N 0 1 276.344 0.055 20 30 CCEDMN CN1CCN(c2cccc(N3CCNC[C@H]3C#N)n2)CC1 ZINC001164652989 802776777 /nfs/dbraw/zinc/77/67/77/802776777.db2.gz XRMCDNZNMCDSEO-CYBMUJFWSA-N 0 1 286.383 0.135 20 30 CCEDMN COCCCN1CCN(c2cnc(C#N)cn2)CC1 ZINC001165095530 802780283 /nfs/dbraw/zinc/78/02/83/802780283.db2.gz WCMQHYFKPVOIOF-UHFFFAOYSA-N 0 1 261.329 0.507 20 30 CCEDMN COCCCN1CCN(c2cncc(C#N)n2)CC1 ZINC001165097984 802781385 /nfs/dbraw/zinc/78/13/85/802781385.db2.gz QDJYQYFGXNDJLT-UHFFFAOYSA-N 0 1 261.329 0.507 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1ccc(Cl)c(C#N)n1 ZINC001165436498 802841158 /nfs/dbraw/zinc/84/11/58/802841158.db2.gz JDAPQLOAEJHASW-SNVBAGLBSA-N 0 1 280.715 0.558 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1nc2c(cc1C#N)CCC2 ZINC001165441704 802843405 /nfs/dbraw/zinc/84/34/05/802843405.db2.gz HQEPLZWJCLYWFK-ZDUSSCGKSA-N 0 1 286.335 0.393 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1ncc(CC#N)cc1Cl ZINC001165441478 802843474 /nfs/dbraw/zinc/84/34/74/802843474.db2.gz ASNUPSQCWMQLKG-LLVKDONJSA-N 0 1 294.742 0.752 20 30 CCEDMN C=CCCCC(=O)NCCCNCc1nnnn1C1CC1 ZINC001165535749 802851544 /nfs/dbraw/zinc/85/15/44/802851544.db2.gz YBFGUTUESUXEOG-UHFFFAOYSA-N 0 1 292.387 0.960 20 30 CCEDMN N#CCNCC1(CCNC(=O)c2[nH]nc3c2CCC3)CC1 ZINC001165941297 802876156 /nfs/dbraw/zinc/87/61/56/802876156.db2.gz ASQBPQLAVADSCF-UHFFFAOYSA-N 0 1 287.367 0.912 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cnc2cccnn12 ZINC001268253427 812419739 /nfs/dbraw/zinc/41/97/39/812419739.db2.gz NCJRCVUQLAJSNO-UHFFFAOYSA-N 0 1 285.351 0.756 20 30 CCEDMN Cc1nc(C#N)cnc1N1CC[C@H](N)C(F)(F)C1 ZINC001166958760 802994098 /nfs/dbraw/zinc/99/40/98/802994098.db2.gz IKSXRNWIUCVARF-VIFPVBQESA-N 0 1 253.256 0.829 20 30 CCEDMN C=CCOCCN1C[C@@H](C)[C@H](NC(=O)c2cnsn2)C1 ZINC001206231226 803217088 /nfs/dbraw/zinc/21/70/88/803217088.db2.gz XWEUCUPEHMZIKG-ZYHUDNBSSA-N 0 1 296.396 0.791 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CN(CC#CCOC)C[C@H]1C ZINC001206916052 803307306 /nfs/dbraw/zinc/30/73/06/803307306.db2.gz TZLVMTWGOBXJDY-FMKPAKJESA-N 0 1 294.395 0.400 20 30 CCEDMN C=C(C)CCNC(=O)Nc1nn[nH]c1C(=O)NC ZINC001208745016 803544256 /nfs/dbraw/zinc/54/42/56/803544256.db2.gz RUEPFIQVRYPYNJ-UHFFFAOYSA-N 0 1 252.278 0.252 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COC[C@@H]2CCOC2)C1 ZINC001209069246 803572107 /nfs/dbraw/zinc/57/21/07/803572107.db2.gz SDDKOYVHFCCZJR-MGPQQGTHSA-N 0 1 280.368 0.109 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(CCN2CCOCC2)C1 ZINC001209296776 803590410 /nfs/dbraw/zinc/59/04/10/803590410.db2.gz YJJLKUCZTWROAK-AWEZNQCLSA-N 0 1 297.399 0.695 20 30 CCEDMN C#C[C@H](Oc1nc(C)[nH]c(=O)c1[N+](=O)[O-])C(=O)OCC ZINC001229377487 814951169 /nfs/dbraw/zinc/95/11/69/814951169.db2.gz BPQGFBXMILZGFZ-ZETCQYMHSA-N 0 1 281.224 0.343 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)C2(C(=O)N(C)C)CC2)C1 ZINC001210186241 803641222 /nfs/dbraw/zinc/64/12/22/803641222.db2.gz RAEFXKKEHCVQSC-VXGBXAGGSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C2(C(=O)N(C)C)CC2)C1 ZINC001210186241 803641226 /nfs/dbraw/zinc/64/12/26/803641226.db2.gz RAEFXKKEHCVQSC-VXGBXAGGSA-N 0 1 279.384 0.477 20 30 CCEDMN CC1=C(OCC(=O)N2CCOCC2)C(=O)[C@@H](C)O1 ZINC001210260934 803643954 /nfs/dbraw/zinc/64/39/54/803643954.db2.gz KBYMVDRCNYLIQO-MRVPVSSYSA-N 0 1 255.270 0.081 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CC(C)(C)C2)[C@@H](O)C1 ZINC001083441104 814954735 /nfs/dbraw/zinc/95/47/35/814954735.db2.gz WGCWPNRUGUIWAH-OLZOCXBDSA-N 0 1 264.369 0.607 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@H]2OC)CC1 ZINC001213394872 803692498 /nfs/dbraw/zinc/69/24/98/803692498.db2.gz BPSHAIKFGNZYNM-BFHYXJOUSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)CCCOC)[C@H](OC)C1 ZINC001213685747 803700022 /nfs/dbraw/zinc/70/00/22/803700022.db2.gz HFTYRZHTKKAXEQ-RRFJBIMHSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)OCC=C)[C@H](OC)C1 ZINC001213961494 803707368 /nfs/dbraw/zinc/70/73/68/803707368.db2.gz FYVBIXIKXPVANR-BFHYXJOUSA-N 0 1 280.368 0.416 20 30 CCEDMN C=C(C)CCC(=O)N1CCO[C@@H]2CN(C[C@H](C)O)C[C@@H]21 ZINC001218037831 803929222 /nfs/dbraw/zinc/92/92/22/803929222.db2.gz RUTXOOBHLPUQEJ-MELADBBJSA-N 0 1 282.384 0.635 20 30 CCEDMN Cc1nc(C#N)c(NC(=O)[C@H](CC(C)C)NC(=O)CN)o1 ZINC001218649404 804059094 /nfs/dbraw/zinc/05/90/94/804059094.db2.gz ACKJWHONYHASNJ-VIFPVBQESA-N 0 1 293.327 0.283 20 30 CCEDMN Cn1cc(NC(=O)[C@@H](N)COC(C)(C)C)c(C#N)n1 ZINC001218667807 804072855 /nfs/dbraw/zinc/07/28/55/804072855.db2.gz KXTQEHAGOOCLGA-QMMMGPOBSA-N 0 1 265.317 0.373 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CCO[C@@H]2CN(C(C)C)C[C@@H]21 ZINC001219065971 804188979 /nfs/dbraw/zinc/18/89/79/804188979.db2.gz STVYFRABJOFSJK-HZSPNIEDSA-N 0 1 282.384 0.898 20 30 CCEDMN C=CCOCC(=O)NCC[C@H](C)NC(=O)[C@H]1CCCN1C ZINC001075917627 814998876 /nfs/dbraw/zinc/99/88/76/814998876.db2.gz BPSUCECSECSBBV-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC(C)C)[C@@H](O)C1 ZINC001219171784 804223771 /nfs/dbraw/zinc/22/37/71/804223771.db2.gz VJUVUJDIRGNAFF-OLZOCXBDSA-N 0 1 252.358 0.607 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](NC(=O)[C@H](C)CC)[C@@H](O)C1 ZINC001219214351 804238752 /nfs/dbraw/zinc/23/87/52/804238752.db2.gz KXPKQKFWELIOQV-UPJWGTAASA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H](C)CC)[C@@H](O)C1 ZINC001219214351 804238759 /nfs/dbraw/zinc/23/87/59/804238759.db2.gz KXPKQKFWELIOQV-UPJWGTAASA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@@H]2CCCO2)[C@@H](O)C1 ZINC001219232673 804244273 /nfs/dbraw/zinc/24/42/73/804244273.db2.gz RYCBUWGGNNMASS-MJBXVCDLSA-N 0 1 280.368 0.130 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@@H]1O ZINC001219344529 804279947 /nfs/dbraw/zinc/27/99/47/804279947.db2.gz OQNFGCAKHIYVMN-XQQFMLRXSA-N 0 1 270.373 0.275 20 30 CCEDMN C[C@H](F)CCN1C[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001219591459 804362907 /nfs/dbraw/zinc/36/29/07/804362907.db2.gz FSUFHKBVSDBHNN-UHTWSYAYSA-N 0 1 268.332 0.309 20 30 CCEDMN C[C@@H](F)CCN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219598177 804366491 /nfs/dbraw/zinc/36/64/91/804366491.db2.gz MJBBCZNTTGMAIW-UPJWGTAASA-N 0 1 284.375 0.945 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@]1(O)CCNC[C@@H]1F ZINC001219617064 804376553 /nfs/dbraw/zinc/37/65/53/804376553.db2.gz HMCRBIPQLHMKSD-GXFFZTMASA-N 0 1 258.337 0.767 20 30 CCEDMN N#CCCN(Cc1cccnc1)S(=O)(=O)c1ncc[nH]1 ZINC000313191055 804382511 /nfs/dbraw/zinc/38/25/11/804382511.db2.gz XAYGJFSDGSRZJV-UHFFFAOYSA-N 0 1 291.336 0.909 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001219702183 804410157 /nfs/dbraw/zinc/41/01/57/804410157.db2.gz GOGQIICQGHRMAV-ZJUUUORDSA-N 0 1 260.765 0.946 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCOC(C)C)C[C@@H]1O ZINC001219720605 804414731 /nfs/dbraw/zinc/41/47/31/804414731.db2.gz SWIGYKXRWKTHSE-KGLIPLIRSA-N 0 1 284.400 0.929 20 30 CCEDMN N#C[C@H]1CC[C@H](NC(=O)C[C@@H]2COCCN2)CC1 ZINC001220097469 804499548 /nfs/dbraw/zinc/49/95/48/804499548.db2.gz ZRFRUSYJGFOFCZ-IJLUTSLNSA-N 0 1 251.330 0.563 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2(C)CCC2)[C@@H](O)C1 ZINC001220139571 804509408 /nfs/dbraw/zinc/50/94/08/804509408.db2.gz PIGJWCKSFAOSBZ-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H](C)CC(=C)C)[C@@H](O)C1 ZINC001220201543 804536173 /nfs/dbraw/zinc/53/61/73/804536173.db2.gz TWIAOBBVLARHPJ-KFWWJZLASA-N 0 1 296.411 0.953 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)CCC)[C@@H](O)C1 ZINC001220254383 804551851 /nfs/dbraw/zinc/55/18/51/804551851.db2.gz PPQUMYBVYPQTSZ-OLZOCXBDSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@](C)(C=C)CC)[C@@H](O)C1 ZINC001220293849 804564659 /nfs/dbraw/zinc/56/46/59/804564659.db2.gz WUJQJVYXNZIQLL-VNHYZAJKSA-N 0 1 264.369 0.773 20 30 CCEDMN COCC#CCN1CC[C@](C)(NC(=O)Cn2cccn2)C1 ZINC001278355197 807021170 /nfs/dbraw/zinc/02/11/70/807021170.db2.gz AJFWTSVSMOVFMB-HNNXBMFYSA-N 0 1 290.367 0.114 20 30 CCEDMN C#Cc1ccc(CNC(=O)[C@@H]2CCCN2C(=O)CN)cc1 ZINC001220382292 804589627 /nfs/dbraw/zinc/58/96/27/804589627.db2.gz UQCILZXFCCNRQZ-AWEZNQCLSA-N 0 1 285.347 0.234 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001220392409 804592852 /nfs/dbraw/zinc/59/28/52/804592852.db2.gz DZSMDFGUVULLEC-STQMWFEESA-N 0 1 259.309 0.091 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCCO)C[C@@H]1O ZINC001220436901 804603547 /nfs/dbraw/zinc/60/35/47/804603547.db2.gz LSFPZEQSUQBOQQ-NEPJUHHUSA-N 0 1 270.373 0.132 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@H]2CCCCO2)[C@@H](O)C1 ZINC001220446521 804605000 /nfs/dbraw/zinc/60/50/00/804605000.db2.gz XNEUOCLKEQPPIG-KFWWJZLASA-N 0 1 294.395 0.520 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)NCC#CCN(C)C ZINC001220645729 804642003 /nfs/dbraw/zinc/64/20/03/804642003.db2.gz ZOQOMYUPULMGAP-GFCCVEGCSA-N 0 1 263.345 0.136 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1CCN1CCCF ZINC001276854061 804943172 /nfs/dbraw/zinc/94/31/72/804943172.db2.gz RDSADCNBEWXWQT-NEPJUHHUSA-N 0 1 256.321 0.575 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)Cc1ccn(C)n1 ZINC001276854513 804943501 /nfs/dbraw/zinc/94/35/01/804943501.db2.gz YLLWUMQZEORPTN-AWEZNQCLSA-N 0 1 292.383 0.356 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C[C@@H]2CCCO2)C1 ZINC001278363513 807034868 /nfs/dbraw/zinc/03/48/68/807034868.db2.gz ORVGFMNEASRPCU-GXTWGEPZSA-N 0 1 250.342 0.769 20 30 CCEDMN COCCN1CC2(C[C@@H]2C(=O)NC2(C#N)CCC2)C1 ZINC001276896258 804975953 /nfs/dbraw/zinc/97/59/53/804975953.db2.gz GIUJFEVGFPZGNS-LLVKDONJSA-N 0 1 263.341 0.517 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)[C@H](C)Cc1cnc[nH]1 ZINC001276940557 805002155 /nfs/dbraw/zinc/00/21/55/805002155.db2.gz KSNLENGHKRNPKL-TZMCWYRMSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)[C@H](F)CC)CC1 ZINC001224082388 805007489 /nfs/dbraw/zinc/00/74/89/805007489.db2.gz AGLWMXQTGWFNBN-CYBMUJFWSA-N 0 1 299.390 0.865 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc4nc[nH]c4n3)[C@@H]2C1 ZINC001075930904 815047465 /nfs/dbraw/zinc/04/74/65/815047465.db2.gz SYXATJKKXFWGLB-SMDDNHRTSA-N 0 1 295.346 0.737 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C(C)=C\C)[C@H](OC)C1 ZINC001212104741 815048924 /nfs/dbraw/zinc/04/89/24/815048924.db2.gz WFPKBHRVHMTAAP-RLLAQXBXSA-N 0 1 250.342 0.791 20 30 CCEDMN COCC#CCN1CC[C@@](C)(NC(=O)[C@H](C)COC)C1 ZINC001278368948 807041584 /nfs/dbraw/zinc/04/15/84/807041584.db2.gz JLQXQWYKQNUPQN-UKRRQHHQSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CCCC(=O)NCC1(N[C@H]2CCN(CC)C2=O)CC1 ZINC001277013066 805103903 /nfs/dbraw/zinc/10/39/03/805103903.db2.gz AXZMNRQFIZNAPI-LBPRGKRZSA-N 0 1 279.384 0.812 20 30 CCEDMN COCC#CCN1CCC(NC(=O)CCCOC)CC1 ZINC001226259652 805211437 /nfs/dbraw/zinc/21/14/37/805211437.db2.gz JIXFVHJHWULAOE-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1(NCC(=O)NC)CC1 ZINC001277133120 805239426 /nfs/dbraw/zinc/23/94/26/805239426.db2.gz SDFFJYPCIHDEOQ-UHFFFAOYSA-N 0 1 253.346 0.183 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2CCCOC2)CC1 ZINC001226527114 805241983 /nfs/dbraw/zinc/24/19/83/805241983.db2.gz DHCMYYPNYUKINK-AWEZNQCLSA-N 0 1 294.395 0.643 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCN(C)c1ncccc1C#N ZINC001105515201 815060183 /nfs/dbraw/zinc/06/01/83/815060183.db2.gz ZUBWHXLVYVKACT-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN C=C1CC(C)(C(=O)NCC2(NCc3cnnn3C)CC2)C1 ZINC001277169364 805299395 /nfs/dbraw/zinc/29/93/95/805299395.db2.gz AOXRAGMSLUROAN-UHFFFAOYSA-N 0 1 289.383 0.910 20 30 CCEDMN C=CCC(C)(C)C(=O)NCC1(NCC(=O)NCC)CC1 ZINC001277183883 805327357 /nfs/dbraw/zinc/32/73/57/805327357.db2.gz WKZAPGSYKOLHDN-UHFFFAOYSA-N 0 1 281.400 0.963 20 30 CCEDMN Cc1nnc(=S)[nH]c1O[C@H]1CCN(CC#N)C1 ZINC001227597280 805370784 /nfs/dbraw/zinc/37/07/84/805370784.db2.gz AUDYKNLMYAHOBQ-QMMMGPOBSA-N 0 1 251.315 0.445 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@]2(COC)CCOC2)CC1 ZINC001228466093 805448610 /nfs/dbraw/zinc/44/86/10/805448610.db2.gz FJDKNXHEBYQGEO-MRXNPFEDSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCCCN2C(N)=O)CC1 ZINC000989457410 815077916 /nfs/dbraw/zinc/07/79/16/815077916.db2.gz PBBNQYNLVVCEMM-CYBMUJFWSA-N 0 1 294.399 0.640 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@]2(F)CCOC2)CC1 ZINC001228825839 805482722 /nfs/dbraw/zinc/48/27/22/805482722.db2.gz COLIWWHFGZDPDE-HNNXBMFYSA-N 0 1 298.358 0.345 20 30 CCEDMN C[N@H+]1[C@@H]2C[C@@H](Oc3[n-]c(=O)ccc3C#N)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228901087 805488250 /nfs/dbraw/zinc/48/82/50/805488250.db2.gz JHLSXOQPWKGGLA-NJFCNUMCSA-N 0 1 273.292 0.650 20 30 CCEDMN C[N@@H+]1[C@@H]2C[C@@H](Oc3[n-]c(=O)ccc3C#N)C[C@H]1[C@@H]1O[C@@H]12 ZINC001228901087 805488254 /nfs/dbraw/zinc/48/82/54/805488254.db2.gz JHLSXOQPWKGGLA-NJFCNUMCSA-N 0 1 273.292 0.650 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(NC(C)=O)CCCC2)CC1 ZINC001229565783 805542941 /nfs/dbraw/zinc/54/29/41/805542941.db2.gz FFKPHFZNNNNFCF-UHFFFAOYSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCOC[C@@H](O)C[N@@H+]1CC[C@@](N)(C(F)(F)F)C1 ZINC001246032044 807127409 /nfs/dbraw/zinc/12/74/09/807127409.db2.gz RPYNOBXQFVFWPV-UWVGGRQHSA-N 0 1 268.279 0.515 20 30 CCEDMN C=CCOC[C@@H](O)CN1CC[C@@](N)(C(F)(F)F)C1 ZINC001246032044 807127413 /nfs/dbraw/zinc/12/74/13/807127413.db2.gz RPYNOBXQFVFWPV-UWVGGRQHSA-N 0 1 268.279 0.515 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)COCCOCC)C1 ZINC001278449653 807258993 /nfs/dbraw/zinc/25/89/93/807258993.db2.gz GMJVTYFTYXWBTB-CQSZACIVSA-N 0 1 268.357 0.253 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)c2ccnn2C)[C@@H](O)C1 ZINC001083469129 815118169 /nfs/dbraw/zinc/11/81/69/815118169.db2.gz HUTYFLARBWRJNG-BZPMIXESSA-N 0 1 292.383 0.261 20 30 CCEDMN C=CCCNC(=S)N1CC(N2CCN(C)CC2)C1 ZINC001247635984 807474817 /nfs/dbraw/zinc/47/48/17/807474817.db2.gz KFSPESDZUUKNIS-UHFFFAOYSA-N 0 1 268.430 0.369 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCn2ccnn2)[C@H]1C ZINC001278504657 807511873 /nfs/dbraw/zinc/51/18/73/807511873.db2.gz FNUQXXATRHGJCI-CHWSQXEVSA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCc2cncn2C)[C@H]1C ZINC001278526811 807565174 /nfs/dbraw/zinc/56/51/74/807565174.db2.gz MYJOJDJAJGQNQA-HIFRSBDPSA-N 0 1 288.395 0.955 20 30 CCEDMN CC(C)C#CC(=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001126815764 815131449 /nfs/dbraw/zinc/13/14/49/815131449.db2.gz LIAIBXVQEGUOTM-UHFFFAOYSA-N 0 1 275.356 0.547 20 30 CCEDMN C[C@]1(CNCC#N)CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001278578241 807602516 /nfs/dbraw/zinc/60/25/16/807602516.db2.gz WOGZQTAHDUPWJQ-OAHLLOKOSA-N 0 1 298.350 0.923 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2csnn2)C1 ZINC001077463770 815136370 /nfs/dbraw/zinc/13/63/70/815136370.db2.gz VAGAWCKJRBFMAV-MWLCHTKSSA-N 0 1 282.369 0.279 20 30 CCEDMN CS[C@H]1CCN(C(=O)NCC#CCN(C)C)C1 ZINC001251708688 807696498 /nfs/dbraw/zinc/69/64/98/807696498.db2.gz WYLKNHAFKJFSSD-NSHDSACASA-N 0 1 255.387 0.698 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCc2ccc(C#N)cc2C1 ZINC001251835585 807715969 /nfs/dbraw/zinc/71/59/69/807715969.db2.gz NCCGVBJOKDXJFV-INIZCTEOSA-N 0 1 270.332 0.927 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCCC[C@@H]1C(=O)NCCC ZINC001251848100 807718749 /nfs/dbraw/zinc/71/87/49/807718749.db2.gz TYGAMOOXSDFIRZ-UONOGXRCSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCCC[C@H]1C(=O)NCCC ZINC001251848098 807718786 /nfs/dbraw/zinc/71/87/86/807718786.db2.gz TYGAMOOXSDFIRZ-KBPBESRZSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCOC[C@H](O)CN1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)OCC ZINC001251854738 807721402 /nfs/dbraw/zinc/72/14/02/807721402.db2.gz LAHUTGMFVKFABI-XGUBFFRZSA-N 0 1 295.379 0.661 20 30 CCEDMN C#CCOC[C@H](O)CN1CCC[C@H](NC(=O)OCC=C)C1 ZINC001251860175 807725757 /nfs/dbraw/zinc/72/57/57/807725757.db2.gz DZOJVVVXMIDMEZ-UONOGXRCSA-N 0 1 296.367 0.374 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1nnc2cc(C)ccn21 ZINC001251876519 807729805 /nfs/dbraw/zinc/72/98/05/807729805.db2.gz DRBHTKXECUODFK-LBPRGKRZSA-N 0 1 274.324 0.138 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(OCC2CC2)ncn1 ZINC001251900376 807742633 /nfs/dbraw/zinc/74/26/33/807742633.db2.gz PKZPQHZRNFKESW-CQSZACIVSA-N 0 1 291.351 0.366 20 30 CCEDMN C#CCCNC(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001251971330 807756075 /nfs/dbraw/zinc/75/60/75/807756075.db2.gz OLOVVXOZGFDZEB-UHFFFAOYSA-N 0 1 270.296 0.689 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccn(CC)n2)[C@@H](O)C1 ZINC001083474985 815144911 /nfs/dbraw/zinc/14/49/11/815144911.db2.gz KYLLCZFGAFPMEC-KGLIPLIRSA-N 0 1 292.383 0.183 20 30 CCEDMN Cc1nc(CC(=O)NC[C@]2(C)CCCN(CC#N)C2)n[nH]1 ZINC001278659092 807808513 /nfs/dbraw/zinc/80/85/13/807808513.db2.gz QSUKHCXMFPAAAM-AWEZNQCLSA-N 0 1 290.371 0.398 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1[nH]cnc1C(=O)OCC ZINC001252486382 807889280 /nfs/dbraw/zinc/88/92/80/807889280.db2.gz XWBYWIVMHGWHMN-JTQLQIEISA-N 0 1 283.328 0.240 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnn3cccnc23)[C@H]1C ZINC001088787313 815156394 /nfs/dbraw/zinc/15/63/94/815156394.db2.gz BWVLOVCTLLMQGP-OCCSQVGLSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001278673429 807914597 /nfs/dbraw/zinc/91/45/97/807914597.db2.gz SGMIFNRRVHXISZ-BBRMVZONSA-N 0 1 291.395 0.316 20 30 CCEDMN CC(C)OC[C@H](O)CNC1(C#N)CCN(C)CC1 ZINC001252699911 807946424 /nfs/dbraw/zinc/94/64/24/807946424.db2.gz WZRPGFYKWQOULX-GFCCVEGCSA-N 0 1 255.362 0.350 20 30 CCEDMN C=CCCCCCC[C@H](O)CN[C@@H](CC(N)=O)C(N)=O ZINC001253254651 808041014 /nfs/dbraw/zinc/04/10/14/808041014.db2.gz RFTLBLPZVUZHSN-RYUDHWBXSA-N 0 1 285.388 0.193 20 30 CCEDMN CN1CCC(C#N)(NC[C@@H](O)COC(C)(C)C)CC1 ZINC001253482535 808075771 /nfs/dbraw/zinc/07/57/71/808075771.db2.gz WMBXTOVPVTVQOP-GFCCVEGCSA-N 0 1 269.389 0.740 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@@H]3CCCC(=O)N3)C2)C1 ZINC001253528696 808076931 /nfs/dbraw/zinc/07/69/31/808076931.db2.gz GSPWFWRJVXTHFU-ZDUSSCGKSA-N 0 1 289.379 0.213 20 30 CCEDMN Cc1nccnc1CNCCNC(=O)C#CC1CC1 ZINC001126881430 815181052 /nfs/dbraw/zinc/18/10/52/815181052.db2.gz SNGWLFABLLIOJD-UHFFFAOYSA-N 0 1 258.325 0.404 20 30 CCEDMN C=CCN1CC[C@](O)(CNC(=O)CCCC(C)=O)C1 ZINC001278760857 808176587 /nfs/dbraw/zinc/17/65/87/808176587.db2.gz OBWSJKJRBJQOKH-AWEZNQCLSA-N 0 1 268.357 0.485 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H](C)OCCC=C)C1 ZINC001278767612 808195501 /nfs/dbraw/zinc/19/55/01/808195501.db2.gz UDLVPNWNLUCKLW-DZGCQCFKSA-N 0 1 280.368 0.154 20 30 CCEDMN C=CCN1CC[C@](O)(CNC(=O)c2cc(C3CC3)no2)C1 ZINC001278809820 808277600 /nfs/dbraw/zinc/27/76/00/808277600.db2.gz HNKNGPRUKTUCAZ-HNNXBMFYSA-N 0 1 291.351 0.905 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2c(C)[nH]nc2Cl)[C@@H](O)C1 ZINC001083514703 815195092 /nfs/dbraw/zinc/19/50/92/815195092.db2.gz RSWPPEBMDDXIMO-ZJUUUORDSA-N 0 1 298.774 0.723 20 30 CCEDMN CC[C@@H](CC#N)NCCNC(=O)N1CCOCC1 ZINC001255156831 808324612 /nfs/dbraw/zinc/32/46/12/808324612.db2.gz SDVOBHLGRUBSLS-NSHDSACASA-N 0 1 254.334 0.310 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1cccnc1C ZINC001278869258 808393831 /nfs/dbraw/zinc/39/38/31/808393831.db2.gz QHYXKEBUJZQXHJ-AWEZNQCLSA-N 0 1 289.379 0.778 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC[C@@](C)(O)CC1 ZINC001256585984 808537207 /nfs/dbraw/zinc/53/72/07/808537207.db2.gz ZGBXGFNEKZNWSA-CQSZACIVSA-N 0 1 267.373 0.498 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](OC)C3CCC3)[C@@H]2C1 ZINC001076093023 815242328 /nfs/dbraw/zinc/24/23/28/815242328.db2.gz PGFCCOCKXAFAFA-RRFJBIMHSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CC3=CCOCC3)[C@@H]2C1 ZINC001076209655 815260778 /nfs/dbraw/zinc/26/07/78/815260778.db2.gz BQJVOBUNYKVELT-LSDHHAIUSA-N 0 1 274.364 0.889 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc(C)[nH]c3=O)[C@@H]2C1 ZINC001076291703 815271541 /nfs/dbraw/zinc/27/15/41/815271541.db2.gz GPEFBNQOTQMBJG-GXTWGEPZSA-N 0 1 285.347 0.875 20 30 CCEDMN C=CCn1nnnc1N1CCN(CCCCCO)CC1 ZINC001262210905 809245577 /nfs/dbraw/zinc/24/55/77/809245577.db2.gz MLQHCWLBEIUNDV-UHFFFAOYSA-N 0 1 280.376 0.144 20 30 CCEDMN C#C[C@@H](NC(=O)c1cnncc1O)C1CCOCC1 ZINC001262632609 809380064 /nfs/dbraw/zinc/38/00/64/809380064.db2.gz OKNSYVSHTJLWOS-LLVKDONJSA-N 0 1 261.281 0.340 20 30 CCEDMN C=CCn1c(C2=NO[C@@H](CO)C2)nnc1N(C)CCOC ZINC001262964147 809424222 /nfs/dbraw/zinc/42/42/22/809424222.db2.gz JEALMBBZNZGQJB-SNVBAGLBSA-N 0 1 295.343 0.032 20 30 CCEDMN C#CCNCC(=O)NCCOc1cccc(NC(C)=O)c1 ZINC001263082347 809435574 /nfs/dbraw/zinc/43/55/74/809435574.db2.gz DRZPGSGNDWWBIC-UHFFFAOYSA-N 0 1 289.335 0.363 20 30 CCEDMN C#CCNCC(=O)NCc1cn2cccc(C)c2n1 ZINC001263100801 809443187 /nfs/dbraw/zinc/44/31/87/809443187.db2.gz YSXCDPYSPBFWOY-UHFFFAOYSA-N 0 1 256.309 0.482 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCNC[C@H]2CO)c(F)c1 ZINC001263180785 809472409 /nfs/dbraw/zinc/47/24/09/809472409.db2.gz VCQHJDKBFDMJTI-JTQLQIEISA-N 0 1 263.272 0.104 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cn(C)nc2C)CC1 ZINC001263621240 809542132 /nfs/dbraw/zinc/54/21/32/809542132.db2.gz VLGWNUZBDFZPJS-UHFFFAOYSA-N 0 1 261.325 0.983 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001263818506 809578698 /nfs/dbraw/zinc/57/86/98/809578698.db2.gz ZJTSANCECBAZMY-TUVASFSCSA-N 0 1 293.411 0.472 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)[C@H]2CN3CCN2C[C@@H]3C)C1 ZINC001263858206 809589791 /nfs/dbraw/zinc/58/97/91/809589791.db2.gz ZLYNZXAQVPEJOY-RRFJBIMHSA-N 0 1 275.396 0.637 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001263994031 809619875 /nfs/dbraw/zinc/61/98/75/809619875.db2.gz CGBIRVMUXXEOER-XJKSGUPXSA-N 0 1 296.411 0.931 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)COC)CCN1CC#CCOC ZINC001264043258 809625588 /nfs/dbraw/zinc/62/55/88/809625588.db2.gz OJDYDDMCTUNTHQ-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@](C)(O)C=C)[C@H]1CC ZINC001264050483 809625651 /nfs/dbraw/zinc/62/56/51/809625651.db2.gz PEZCTAZIOBVJMX-GZBFAFLISA-N 0 1 264.369 0.916 20 30 CCEDMN COCC#CCN1CC[C@H](NC(=O)CCCF)[C@H]1C ZINC001264156491 809634746 /nfs/dbraw/zinc/63/47/46/809634746.db2.gz YGQPIZXXPZKCKC-OLZOCXBDSA-N 0 1 270.348 0.965 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)CO[C@@H]2CCOC2)[C@H]1CC ZINC001264608203 809665720 /nfs/dbraw/zinc/66/57/20/809665720.db2.gz WNCZPYCYMGGEOG-HZSPNIEDSA-N 0 1 282.384 0.947 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001264628848 809667291 /nfs/dbraw/zinc/66/72/91/809667291.db2.gz VQNKBINRFPMMML-OLZOCXBDSA-N 0 1 263.341 0.065 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@H]1COC(=O)C1 ZINC001264738926 809675963 /nfs/dbraw/zinc/67/59/63/809675963.db2.gz LITCWKOYGRCOTN-KGLIPLIRSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C=C2CCC2)CC1 ZINC001265242317 809730753 /nfs/dbraw/zinc/73/07/53/809730753.db2.gz YXVNWJASUHCIST-UHFFFAOYSA-N 0 1 275.396 0.854 20 30 CCEDMN C=CC[N@@H+](C)CCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001265826074 809865314 /nfs/dbraw/zinc/86/53/14/809865314.db2.gz BQBIOSBBWPOJDR-UHFFFAOYSA-N 0 1 252.318 0.661 20 30 CCEDMN C=CC[N@H+](C)CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001265826074 809865317 /nfs/dbraw/zinc/86/53/17/809865317.db2.gz BQBIOSBBWPOJDR-UHFFFAOYSA-N 0 1 252.318 0.661 20 30 CCEDMN C=CC[N@@H+](C)CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001265826074 809865321 /nfs/dbraw/zinc/86/53/21/809865321.db2.gz BQBIOSBBWPOJDR-UHFFFAOYSA-N 0 1 252.318 0.661 20 30 CCEDMN C#CCC1(C(=O)NCCCN(C)CC(N)=O)CCCCC1 ZINC001265981061 809912473 /nfs/dbraw/zinc/91/24/73/809912473.db2.gz GSOQFWDHJNRMLZ-UHFFFAOYSA-N 0 1 293.411 0.884 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@@H](C)N[C@H](C)c1nnnn1C ZINC001266143741 809946777 /nfs/dbraw/zinc/94/67/77/809946777.db2.gz IHNXLAIUKCXIMT-VXGBXAGGSA-N 0 1 292.387 0.415 20 30 CCEDMN C[C@@H](CCNC(=O)c1[nH]nc2c1CCC2)NCC#N ZINC001266158574 809950781 /nfs/dbraw/zinc/95/07/81/809950781.db2.gz RCPONFCWTNBGID-VIFPVBQESA-N 0 1 261.329 0.520 20 30 CCEDMN CC#CCCCC(=O)N[C@@]1(CO)CCCN(CCO)C1 ZINC001279509818 809984207 /nfs/dbraw/zinc/98/42/07/809984207.db2.gz NXBYGCGTXNTDBC-HNNXBMFYSA-N 0 1 282.384 0.115 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)[C@@H](C)NC(C)=O)[C@@H]2C1 ZINC001279597541 809988484 /nfs/dbraw/zinc/98/84/84/809988484.db2.gz MDLNOSDTWDMNPU-VHDGCEQUSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCCCC(N)=O)[C@@H]2C1 ZINC001279625069 809990716 /nfs/dbraw/zinc/99/07/16/809990716.db2.gz ZYDFKCZDBLCAQU-UONOGXRCSA-N 0 1 291.395 0.588 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnn(CC)c2)C1 ZINC001076818058 815381874 /nfs/dbraw/zinc/38/18/74/815381874.db2.gz PUQJDQSQXKBYTE-ZIAGYGMSSA-N 0 1 292.383 0.644 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2cc(C)on2)C1 ZINC001076816647 815382438 /nfs/dbraw/zinc/38/24/38/815382438.db2.gz SHYKDZZXPVMLHS-CHWSQXEVSA-N 0 1 279.340 0.263 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2n[nH]c(C)c2C)C1 ZINC001076821355 815384018 /nfs/dbraw/zinc/38/40/18/815384018.db2.gz GUVIPDAPYXKMIC-VXGBXAGGSA-N 0 1 278.356 0.378 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C2CC2)C1 ZINC001076850420 815391852 /nfs/dbraw/zinc/39/18/52/815391852.db2.gz WZLKULWOAQUWAY-CYZMBNFOSA-N 0 1 252.358 0.770 20 30 CCEDMN C[C@@H](F)CCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001076898366 815408145 /nfs/dbraw/zinc/40/81/45/815408145.db2.gz FNNRORJHSRDJSU-OASPWFOLSA-N 0 1 294.330 0.409 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccoc2CC)C1 ZINC001076947560 815422337 /nfs/dbraw/zinc/42/23/37/815422337.db2.gz SCFGKOFXKVAXNA-CHWSQXEVSA-N 0 1 276.336 0.640 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ncc(C#N)cc1[N+](=O)[O-] ZINC001167672214 811121405 /nfs/dbraw/zinc/12/14/05/811121405.db2.gz IBUKJODADJYILK-UHFFFAOYSA-N 0 1 285.267 0.294 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H]1CCN([C@@H](C)C(=O)NC)C1 ZINC001267310108 811132878 /nfs/dbraw/zinc/13/28/78/811132878.db2.gz QEIJVOLSSUVCKB-STQMWFEESA-N 0 1 297.399 0.152 20 30 CCEDMN C[C@H](CNc1cnc(C#N)cn1)N(C)C(=O)Cc1ccn[nH]1 ZINC001104499938 811182075 /nfs/dbraw/zinc/18/20/75/811182075.db2.gz YPLFEKJBGCWZTB-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cnc(C#N)c([N+](=O)[O-])c1 ZINC001167674417 811183729 /nfs/dbraw/zinc/18/37/29/811183729.db2.gz PAMNAAMRWRHVCX-UHFFFAOYSA-N 0 1 285.267 0.294 20 30 CCEDMN C#CCN[C@@H](CNC(=O)c1cnnn1CC)c1ccccc1 ZINC001267357187 811208193 /nfs/dbraw/zinc/20/81/93/811208193.db2.gz KYTNBCZJFUNIBX-AWEZNQCLSA-N 0 1 297.362 0.992 20 30 CCEDMN CN(CCNCC#N)C(=O)c1cc(-c2ccn(C)c2)[nH]n1 ZINC001267381523 811253642 /nfs/dbraw/zinc/25/36/42/811253642.db2.gz CKHKLGMWLIVNCA-UHFFFAOYSA-N 0 1 286.339 0.600 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](CNCc2cnon2)C1 ZINC001023895254 811279236 /nfs/dbraw/zinc/27/92/36/811279236.db2.gz MMBQXJRLIONXHI-GHMZBOCLSA-N 0 1 277.328 0.557 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CC)ccn2)C1 ZINC001077046287 815438330 /nfs/dbraw/zinc/43/83/30/815438330.db2.gz KINBKNYVFOCPQQ-HUUCEWRRSA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(CC)ccn2)C1 ZINC001077046287 815438333 /nfs/dbraw/zinc/43/83/33/815438333.db2.gz KINBKNYVFOCPQQ-HUUCEWRRSA-N 0 1 289.379 0.995 20 30 CCEDMN C#CCN1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2NC(=O)c1cnon1 ZINC001087309417 811399529 /nfs/dbraw/zinc/39/95/29/811399529.db2.gz GWGIJBZKDJRGPB-KGYLQXTDSA-N 0 1 288.351 0.922 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001038311341 811400333 /nfs/dbraw/zinc/40/03/33/811400333.db2.gz OUMFKYUDESAKKF-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CCC(N2CCC2)CC1 ZINC000700427148 811417620 /nfs/dbraw/zinc/41/76/20/811417620.db2.gz UQUHWMRQUYDJFJ-SNVBAGLBSA-N 0 1 257.359 0.398 20 30 CCEDMN N#CCN1CC[C@H](CCNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001267544731 811426891 /nfs/dbraw/zinc/42/68/91/811426891.db2.gz MXPKCSGHSFVDMK-NSHDSACASA-N 0 1 287.367 0.864 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2cncnc2)C1 ZINC001267611730 811560743 /nfs/dbraw/zinc/56/07/43/811560743.db2.gz HLIXELMQBXLYTM-CQSZACIVSA-N 0 1 290.367 0.873 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001038331147 811601815 /nfs/dbraw/zinc/60/18/15/811601815.db2.gz WFFYGRQDHOTZBM-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2ccc[nH]2)C1 ZINC001267674622 811638981 /nfs/dbraw/zinc/63/89/81/811638981.db2.gz FMWKNVXTXPKZBO-UHFFFAOYSA-N 0 1 263.341 0.879 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cncnc2)C1 ZINC001267721923 811687638 /nfs/dbraw/zinc/68/76/38/811687638.db2.gz PFRQECLOTONYIB-ZDUSSCGKSA-N 0 1 276.340 0.483 20 30 CCEDMN C=CCOCCN1CC[C@H](N(C)C(=O)c2n[nH]cc2F)C1 ZINC001267771414 811769668 /nfs/dbraw/zinc/76/96/68/811769668.db2.gz GHFUFTDMKWANHO-NSHDSACASA-N 0 1 296.346 0.898 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)CCn2cnnn2)C1 ZINC001267791839 811788557 /nfs/dbraw/zinc/78/85/57/811788557.db2.gz XGMGBHHFHVMRKP-ZDUSSCGKSA-N 0 1 290.371 0.009 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2CCCC2)[C@@H](O)C1 ZINC001083302055 811903439 /nfs/dbraw/zinc/90/34/39/811903439.db2.gz NCKJYIOKJZCVAR-OLZOCXBDSA-N 0 1 252.358 0.914 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@]23C[C@H]2CCC3)C1 ZINC001077417563 815495154 /nfs/dbraw/zinc/49/51/54/815495154.db2.gz UEOGYNWENDWZQH-RGCMKSIDSA-N 0 1 264.369 0.914 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@]23C[C@H]2CCC3)C1 ZINC001077417563 815495156 /nfs/dbraw/zinc/49/51/56/815495156.db2.gz UEOGYNWENDWZQH-RGCMKSIDSA-N 0 1 264.369 0.914 20 30 CCEDMN C=CCN1CCO[C@H](CNC(=O)CN2CCCC2)C1 ZINC001268029646 812026532 /nfs/dbraw/zinc/02/65/32/812026532.db2.gz WVSLNVDDJQYTBD-CYBMUJFWSA-N 0 1 267.373 0.085 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@H]3COCCO3)[C@@H]2C1 ZINC001075575051 812056406 /nfs/dbraw/zinc/05/64/06/812056406.db2.gz LASZGSXWQAKPRI-YNEHKIRRSA-N 0 1 266.341 0.121 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@H]1C ZINC001088838257 815504165 /nfs/dbraw/zinc/50/41/65/815504165.db2.gz ZEENZRLOZNAOJR-MNOVXSKESA-N 0 1 276.340 0.342 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnc2n[nH]nc2c1 ZINC001027933968 812149454 /nfs/dbraw/zinc/14/94/54/812149454.db2.gz ISTONYLNQJGKOR-GFCCVEGCSA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001027950304 812160656 /nfs/dbraw/zinc/16/06/56/812160656.db2.gz BBMROYFDBPOEPS-GFCCVEGCSA-N 0 1 274.324 0.400 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)C1CC(OC)C1 ZINC001027957123 812166799 /nfs/dbraw/zinc/16/67/99/812166799.db2.gz YJHYVBGKLREJJW-OTTFEQOBSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cccc(C(N)=O)c1 ZINC001027974980 812183247 /nfs/dbraw/zinc/18/32/47/812183247.db2.gz MTLSOZFSVYCTAB-CQSZACIVSA-N 0 1 285.347 0.613 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001027974905 812183326 /nfs/dbraw/zinc/18/33/26/812183326.db2.gz LXMKPLGQBWDONX-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](Nc3nccnc3C#N)C2)n[nH]1 ZINC001058850920 812195161 /nfs/dbraw/zinc/19/51/61/812195161.db2.gz JTYGXGVKHWBOGX-JTQLQIEISA-N 0 1 297.322 0.706 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)OC)[C@@H]2C1 ZINC001075594062 812205277 /nfs/dbraw/zinc/20/52/77/812205277.db2.gz XKUXNYKDFRJWNB-FRRDWIJNSA-N 0 1 250.342 0.577 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001028018694 812217253 /nfs/dbraw/zinc/21/72/53/812217253.db2.gz BYOGTKDLBOWTBJ-NSHDSACASA-N 0 1 298.350 0.570 20 30 CCEDMN N#Cc1ccc(N[C@H]2CCN(C(=O)Cc3ccn[nH]3)C2)nc1 ZINC001058864969 812234754 /nfs/dbraw/zinc/23/47/54/812234754.db2.gz VFQFLOUDWQOKQB-ZDUSSCGKSA-N 0 1 296.334 0.932 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c(C)c(C)n[nH]c1=O ZINC001028064541 812243188 /nfs/dbraw/zinc/24/31/88/812243188.db2.gz LIOACZOIMFGQDM-GFCCVEGCSA-N 0 1 288.351 0.626 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ncccc2CC)[C@@H](O)C1 ZINC001083589689 815514577 /nfs/dbraw/zinc/51/45/77/815514577.db2.gz UBPIAKAJZJEDQY-KGLIPLIRSA-N 0 1 287.363 0.442 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnc(C)n1C ZINC001028098006 812274981 /nfs/dbraw/zinc/27/49/81/812274981.db2.gz JKUZGRCLGKJEIR-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cncc(OC)n1 ZINC001028184840 812338897 /nfs/dbraw/zinc/33/88/97/812338897.db2.gz PKSBEHBIQYOWHM-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCCCN(C)C1=O ZINC001038917260 812341829 /nfs/dbraw/zinc/34/18/29/812341829.db2.gz BOBVLYHFZYNPSL-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001028203635 812365002 /nfs/dbraw/zinc/36/50/02/812365002.db2.gz AIDHQTQPXHEHEZ-GFCCVEGCSA-N 0 1 260.341 0.854 20 30 CCEDMN C#CCCCC(=O)NCCNCc1c(C)nnn1CC ZINC001126335202 812388934 /nfs/dbraw/zinc/38/89/34/812388934.db2.gz VFVULECDCWAKHS-UHFFFAOYSA-N 0 1 277.372 0.616 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1snnc1CC ZINC001268243583 812408627 /nfs/dbraw/zinc/40/86/27/812408627.db2.gz ZIKJICVDJIAYAD-UHFFFAOYSA-N 0 1 266.370 0.738 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H]1C[C@@H](C)CO1 ZINC001268244016 812411652 /nfs/dbraw/zinc/41/16/52/812411652.db2.gz RJRKTGSRJBQHJI-CHWSQXEVSA-N 0 1 252.358 0.825 20 30 CCEDMN C=CCn1cc(CN2CCC(NC(N)=O)CC2)cn1 ZINC001139766260 812419390 /nfs/dbraw/zinc/41/93/90/812419390.db2.gz NWAAPGQGHROQDU-UHFFFAOYSA-N 0 1 263.345 0.702 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CCNCc1cnn(C)n1 ZINC001268350671 812513320 /nfs/dbraw/zinc/51/33/20/812513320.db2.gz SBESEEUXTHPFSZ-LLVKDONJSA-N 0 1 265.361 0.766 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCN[C@H](C)c1nnnn1C ZINC001268362141 812519546 /nfs/dbraw/zinc/51/95/46/812519546.db2.gz CHJUALZMIKEOIO-NWDGAFQWSA-N 0 1 292.387 0.415 20 30 CCEDMN Cc1nnc(CNCC[C@@H](C)NC(=O)C#CC2CC2)[nH]1 ZINC001268363895 812522029 /nfs/dbraw/zinc/52/20/29/812522029.db2.gz XHGFGNOJDAMDBX-SNVBAGLBSA-N 0 1 275.356 0.511 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H](C)CCNCc1nonc1C ZINC001268364922 812524070 /nfs/dbraw/zinc/52/40/70/812524070.db2.gz GXNAKQLWAMSATP-CMPLNLGQSA-N 0 1 294.355 0.401 20 30 CCEDMN C[C@H](CCNCC#N)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001268365923 812524120 /nfs/dbraw/zinc/52/41/20/812524120.db2.gz LPWJLFGUWDJYDL-MNOVXSKESA-N 0 1 275.356 0.838 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N(C2CCN(CC=C)CC2)C1=O ZINC000348421373 812544070 /nfs/dbraw/zinc/54/40/70/812544070.db2.gz NQTWAOZFSDTGGP-ZDUSSCGKSA-N 0 1 293.367 0.760 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2CCN(C(=O)Cc3ccn[nH]3)[C@@H]2C1 ZINC001075638962 812674677 /nfs/dbraw/zinc/67/46/77/812674677.db2.gz UYGRUVPOGSKYSG-WCQYABFASA-N 0 1 260.341 0.671 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN[C@H](C)c1cnccn1 ZINC001126365592 812685179 /nfs/dbraw/zinc/68/51/79/812685179.db2.gz LJBPUIOWDOECOE-RISCZKNCSA-N 0 1 278.356 0.571 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@H]2CC(=O)NC2=O)C1 ZINC001268495940 812706631 /nfs/dbraw/zinc/70/66/31/812706631.db2.gz JTJWXVWUBBRPCG-MNOVXSKESA-N 0 1 293.367 0.194 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2cnc(C)[nH]2)C1 ZINC001028451586 812716801 /nfs/dbraw/zinc/71/68/01/812716801.db2.gz VSPGGTGHMYURGA-LBPRGKRZSA-N 0 1 260.341 0.793 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)c1ccoc1 ZINC001268514650 812755082 /nfs/dbraw/zinc/75/50/82/812755082.db2.gz WSSUUVGXFNZMCR-ARJAWSKDSA-N 0 1 277.324 0.457 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(C)n2C)[C@@H](O)C1 ZINC001083339393 812890942 /nfs/dbraw/zinc/89/09/42/812890942.db2.gz HYAYJRAJNVJYHQ-OCCSQVGLSA-N 0 1 277.368 0.685 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)c2cc(C)nn2C)[C@@H](O)C1 ZINC001083358610 812907483 /nfs/dbraw/zinc/90/74/83/812907483.db2.gz RMGZSRXYCQYEFB-OCCSQVGLSA-N 0 1 292.383 0.470 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)Cc1c[nH]cn1 ZINC001268596741 812931113 /nfs/dbraw/zinc/93/11/13/812931113.db2.gz KTIZVZHYJJRLOM-NSCUHMNNSA-N 0 1 268.748 0.967 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2C(C)(C)C2(C)C)[C@@H](O)C1 ZINC001083391197 812934445 /nfs/dbraw/zinc/93/44/45/812934445.db2.gz XEZYJALMJIYUNX-NEPJUHHUSA-N 0 1 278.396 0.853 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CNCc1conc1C ZINC001268643742 812967486 /nfs/dbraw/zinc/96/74/86/812967486.db2.gz AMZQKFJKUFHQFV-YGRLFVJLSA-N 0 1 281.356 0.904 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)CC[C@@H](C)OC ZINC001268727878 813043896 /nfs/dbraw/zinc/04/38/96/813043896.db2.gz SUPOKYREEHOKPH-ZIAGYGMSSA-N 0 1 284.400 0.888 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1oncc1C ZINC001268751514 813063155 /nfs/dbraw/zinc/06/31/55/813063155.db2.gz DCMUPJSBQXQMPT-LBPRGKRZSA-N 0 1 279.340 0.683 20 30 CCEDMN C=CCN1CC(CNC(=O)Cn2ccccc2=O)C1 ZINC001268906050 813139627 /nfs/dbraw/zinc/13/96/27/813139627.db2.gz JQMCUPOXAVVFQO-UHFFFAOYSA-N 0 1 261.325 0.082 20 30 CCEDMN C#CC(=O)N1CC2(C1)CN(C(=O)c1cccc3[nH]cnc31)C2 ZINC001268941540 813151449 /nfs/dbraw/zinc/15/14/49/813151449.db2.gz BXJCTNQOJWXWNP-UHFFFAOYSA-N 0 1 294.314 0.481 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cc(C)nn2C)C1 ZINC001269000139 813174313 /nfs/dbraw/zinc/17/43/13/813174313.db2.gz IHCLOQPXACEALS-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cnn(CC)c2)C1 ZINC001269118418 813225607 /nfs/dbraw/zinc/22/56/07/813225607.db2.gz VRWOEFLJXIKYAU-CQSZACIVSA-N 0 1 292.383 0.910 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCc2nc(C)no2)C1 ZINC001269193179 813257075 /nfs/dbraw/zinc/25/70/75/813257075.db2.gz DBZGKWIAHSIGAU-CYBMUJFWSA-N 0 1 290.367 0.915 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](N(C)C(=O)c2cnon2)C1 ZINC001269280340 813303937 /nfs/dbraw/zinc/30/39/37/813303937.db2.gz KEMIQZBAGGDFJM-LLVKDONJSA-N 0 1 280.328 0.419 20 30 CCEDMN C=CCOCCN1CC[C@@H](N(C)C(=O)c2cnon2)C1 ZINC001269280340 813303943 /nfs/dbraw/zinc/30/39/43/813303943.db2.gz KEMIQZBAGGDFJM-LLVKDONJSA-N 0 1 280.328 0.419 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C(=O)NCC2CC2)C1 ZINC001269287202 813309096 /nfs/dbraw/zinc/30/90/96/813309096.db2.gz GZSSPKPDYXVNQZ-LBPRGKRZSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001269320992 813323215 /nfs/dbraw/zinc/32/32/15/813323215.db2.gz MULSRASVYOOOQU-RYUDHWBXSA-N 0 1 265.357 0.422 20 30 CCEDMN CC[C@@H](CNC(=O)CSCC#N)NCc1ccn(C)n1 ZINC001269372630 813346025 /nfs/dbraw/zinc/34/60/25/813346025.db2.gz ZMWXYUQIBMYJNI-NSHDSACASA-N 0 1 295.412 0.661 20 30 CCEDMN C=CCN1CC[C@H](NC2(CNC(=O)[C@H](C)OC)CC2)C1=O ZINC001269379230 813349061 /nfs/dbraw/zinc/34/90/61/813349061.db2.gz FBDXCSWDEOGQDV-RYUDHWBXSA-N 0 1 295.383 0.047 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NCC1(NCC#N)CC1 ZINC001269506604 813396785 /nfs/dbraw/zinc/39/67/85/813396785.db2.gz YNRIGVYHAAFWHH-JTQLQIEISA-N 0 1 261.329 0.350 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@]2(CCN(C(C)=O)C2)C1 ZINC001269680988 813471475 /nfs/dbraw/zinc/47/14/75/813471475.db2.gz DHZMXTYBGRZKTH-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2CCN(CCF)C2)nn1 ZINC001028852519 813582589 /nfs/dbraw/zinc/58/25/89/813582589.db2.gz KGWTZEFTTTVKHX-NSHDSACASA-N 0 1 281.335 0.485 20 30 CCEDMN C#CC[NH2+]C[C@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001270033655 813593601 /nfs/dbraw/zinc/59/36/01/813593601.db2.gz AYPXMLAFSUAZPE-LLVKDONJSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN(C(=O)C[C@@H](C)OC)C1CCN(CC#C)CC1 ZINC001270296219 813713528 /nfs/dbraw/zinc/71/35/28/813713528.db2.gz MZGOZFNBNLRGPC-CQSZACIVSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCc2nccn2C1 ZINC001038424418 813826846 /nfs/dbraw/zinc/82/68/46/813826846.db2.gz PIZKGGXNNANPEZ-UONOGXRCSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cn2ncc3cccnc32)C1 ZINC001270607166 813834756 /nfs/dbraw/zinc/83/47/56/813834756.db2.gz KMMNTUAENNGKHV-MRXNPFEDSA-N 0 1 297.362 0.645 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCCO ZINC001029242756 814013602 /nfs/dbraw/zinc/01/36/02/814013602.db2.gz KBVAJZDPSRBTCI-UTUOFQBUSA-N 0 1 251.330 0.204 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)CCCC(N)=O)CC2 ZINC001270869899 814020079 /nfs/dbraw/zinc/02/00/79/814020079.db2.gz GYUURIZSIYYRAR-UHFFFAOYSA-N 0 1 279.384 0.752 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCOC2)[C@H]1CC ZINC001087515368 814046362 /nfs/dbraw/zinc/04/63/62/814046362.db2.gz MNECSDOWTHXGAV-FRRDWIJNSA-N 0 1 250.342 0.625 20 30 CCEDMN CC#CC(=O)N1CCc2c(n[nH]c2C(=O)N(C)C)C1 ZINC001271094676 814148876 /nfs/dbraw/zinc/14/88/76/814148876.db2.gz DTGIBVLJHRRZGL-UHFFFAOYSA-N 0 1 260.297 0.020 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)CC(C)C)C1 ZINC001271110854 814156177 /nfs/dbraw/zinc/15/61/77/814156177.db2.gz YPNIQSTTZHRSOT-AWEZNQCLSA-N 0 1 252.358 0.609 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c(C)cnn2C)[C@H]1CC ZINC001087691758 814185460 /nfs/dbraw/zinc/18/54/60/814185460.db2.gz ICSBXRITTBPWCU-QWHCGFSZSA-N 0 1 274.368 0.944 20 30 CCEDMN C/C=C(/C)C(=O)NC[C@@]1(O)CCN(CC#CCOC)C1 ZINC001271136493 814196354 /nfs/dbraw/zinc/19/63/54/814196354.db2.gz AWVMCXXSCJXKLJ-KJVZHSBYSA-N 0 1 280.368 0.155 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)c2ncn[n-]2)[C@H]1CC ZINC001087767065 814205811 /nfs/dbraw/zinc/20/58/11/814205811.db2.gz HZDNXLVLSDCDHX-WDEREUQCSA-N 0 1 261.329 0.411 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc3ncccn3n2)[C@H]1CC ZINC001087770303 814208086 /nfs/dbraw/zinc/20/80/86/814208086.db2.gz XEOOPIUOBIJDOG-GXTWGEPZSA-N 0 1 297.362 0.945 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2)[C@H]1CC ZINC001087803268 814218875 /nfs/dbraw/zinc/21/88/75/814218875.db2.gz MTFOIRKLOUASAQ-RWMBFGLXSA-N 0 1 277.368 0.257 20 30 CCEDMN CCc1cc(C(=O)N2C[C@H]3CCN(CC#N)C[C@H]3C2)n[nH]1 ZINC001087891839 814259507 /nfs/dbraw/zinc/25/95/07/814259507.db2.gz BLTUADWJQZMCLU-NEPJUHHUSA-N 0 1 287.367 0.890 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CCc3cnc[nH]3)C[C@@H]2C1 ZINC001087988637 814306259 /nfs/dbraw/zinc/30/62/59/814306259.db2.gz RMWCJSBDINXYMN-OLZOCXBDSA-N 0 1 287.367 0.646 20 30 CCEDMN COCC#CCN1CC[C@](O)(CNC(=O)C2(C)CCC2)C1 ZINC001271174718 814315286 /nfs/dbraw/zinc/31/52/86/814315286.db2.gz KMRKRSWWDMNZMR-INIZCTEOSA-N 0 1 294.395 0.379 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2(CC)CC2)C1 ZINC001271185397 814328529 /nfs/dbraw/zinc/32/85/29/814328529.db2.gz YBEHYQIFGFAAKP-AWEZNQCLSA-N 0 1 250.342 0.363 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H](CC)CCC)C1 ZINC001271211150 814335249 /nfs/dbraw/zinc/33/52/49/814335249.db2.gz VQBYRSXOFMSMLY-UKRRQHHQSA-N 0 1 266.385 0.999 20 30 CCEDMN C[C@H](C#N)C(=O)NC12CC(C(=O)NCc3cnc[nH]3)(C1)C2 ZINC001271220951 814339042 /nfs/dbraw/zinc/33/90/42/814339042.db2.gz KRMDYVFNWHQKEX-BZFQTPOWSA-N 0 1 287.323 0.224 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)C[C@@H]2CCOC2)C1 ZINC001271262505 814354881 /nfs/dbraw/zinc/35/48/81/814354881.db2.gz KCFVFHVFDWUGML-KBPBESRZSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)C2CC(OC)C2)C1 ZINC001271303996 814372636 /nfs/dbraw/zinc/37/26/36/814372636.db2.gz QOVOKALJCGLGOQ-IYXRBSQSSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCN1CC[C@]2(CCN(C(=O)c3cc(C)[nH]n3)C2)C1=O ZINC001271316789 814378022 /nfs/dbraw/zinc/37/80/22/814378022.db2.gz XYORKASGOGRMTJ-OAHLLOKOSA-N 0 1 288.351 0.969 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CC(=O)N(CC)C3)[C@@H]2C1 ZINC001075854055 814542223 /nfs/dbraw/zinc/54/22/23/814542223.db2.gz SAEGBELRUPRYAP-BFHYXJOUSA-N 0 1 289.379 0.021 20 30 CCEDMN CCO[C@H]1C[C@H]1C(=O)N(C)CCN(C)CC#CCOC ZINC001271969895 814657496 /nfs/dbraw/zinc/65/74/96/814657496.db2.gz SJZMLMPWLLIUML-KGLIPLIRSA-N 0 1 282.384 0.451 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cncs1 ZINC001127032092 815581857 /nfs/dbraw/zinc/58/18/57/815581857.db2.gz SDOANHVGSQPUPP-UHFFFAOYSA-N 0 1 267.354 0.389 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nccnc2N)[C@H]1C ZINC001088497939 814746464 /nfs/dbraw/zinc/74/64/64/814746464.db2.gz YQYZTZGECMWQQZ-ZJUUUORDSA-N 0 1 261.329 0.437 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2COC(=O)C2)[C@H]1C ZINC001088523490 814851301 /nfs/dbraw/zinc/85/13/01/814851301.db2.gz HNWKOTMHUYDZLL-UPJWGTAASA-N 0 1 278.352 0.542 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)C[C@@H]2COC(=O)C2)[C@H]1C ZINC001088523192 814851921 /nfs/dbraw/zinc/85/19/21/814851921.db2.gz UWQONOTXNKVPQJ-WOPDTQHZSA-N 0 1 266.341 0.705 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H]1C ZINC001088525574 814853094 /nfs/dbraw/zinc/85/30/94/814853094.db2.gz DFBGUEOULOLMQL-ZJUUUORDSA-N 0 1 264.329 0.802 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC001088543326 814864336 /nfs/dbraw/zinc/86/43/36/814864336.db2.gz XKAPWGTVWVKTFB-ZJUUUORDSA-N 0 1 265.313 0.357 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C[C@H]1CCCC(=O)N1 ZINC001272060127 814895900 /nfs/dbraw/zinc/89/59/00/814895900.db2.gz DRLICRJZWAEEBN-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(CCOC)nn2)[C@H]1C ZINC001088560174 814901837 /nfs/dbraw/zinc/90/18/37/814901837.db2.gz CLBZJPJWXQNYOQ-NEPJUHHUSA-N 0 1 293.371 0.303 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1OCC[C@H](OC(C)=O)C(=O)OC ZINC001224837463 815598530 /nfs/dbraw/zinc/59/85/30/815598530.db2.gz MCXGDCYHBMEIEQ-XCBNKYQSSA-N 0 1 286.280 0.717 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC1CN(Cc2ccccc2C#N)C1 ZINC001030153709 815842752 /nfs/dbraw/zinc/84/27/52/815842752.db2.gz CRSROSHTTGHSRU-INIZCTEOSA-N 0 1 298.390 0.953 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C3CC3)on2)C1 ZINC001077467836 816035065 /nfs/dbraw/zinc/03/50/65/816035065.db2.gz GMRUCLJOXWWJLD-CHWSQXEVSA-N 0 1 291.351 0.903 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCC#C)C[C@H]1OC ZINC001212187398 816113834 /nfs/dbraw/zinc/11/38/34/816113834.db2.gz RIMWIAORERAPQK-ZIAGYGMSSA-N 0 1 262.353 0.629 20 30 CCEDMN C#CCCN1CC(NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001030599645 816136646 /nfs/dbraw/zinc/13/66/46/816136646.db2.gz LJILMOOXWGAPIU-UHFFFAOYSA-N 0 1 295.342 0.965 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCN(CCOC)[C@@H]2C)nn1 ZINC001088907108 816169489 /nfs/dbraw/zinc/16/94/89/816169489.db2.gz ZVAWSHQSECNLFT-NEPJUHHUSA-N 0 1 293.371 0.303 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)cc2)[C@H]1C ZINC001089033490 816219816 /nfs/dbraw/zinc/21/98/16/816219816.db2.gz WJBAJXOJKHSWDW-RISCZKNCSA-N 0 1 285.347 0.611 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001030821782 816317673 /nfs/dbraw/zinc/31/76/73/816317673.db2.gz LSUJMMKCVJJCBH-UHFFFAOYSA-N 0 1 276.340 0.871 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc3n[nH]cc32)[C@@H](O)C1 ZINC001083688886 816402956 /nfs/dbraw/zinc/40/29/56/816402956.db2.gz PJSPJULODJCTJS-CABCVRRESA-N 0 1 298.346 0.361 20 30 CCEDMN C#CCN1CC2(CCC1=O)CCN(CC(=O)N(C)C)CC2 ZINC001272534616 816557298 /nfs/dbraw/zinc/55/72/98/816557298.db2.gz MWKGTHJDPGCKTA-UHFFFAOYSA-N 0 1 291.395 0.412 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCCN(C(N)=O)C2)C1 ZINC001046461030 816596773 /nfs/dbraw/zinc/59/67/73/816596773.db2.gz LFVNXZJDYCPHIZ-IUODEOHRSA-N 0 1 294.399 0.544 20 30 CCEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)c2coc(C(N)=O)c2)C1 ZINC001046512462 816611856 /nfs/dbraw/zinc/61/18/56/816611856.db2.gz ZVTWWYUGVDEFBI-AWEZNQCLSA-N 0 1 277.324 0.759 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2coc(C(N)=O)c2)C1 ZINC001046512462 816611860 /nfs/dbraw/zinc/61/18/60/816611860.db2.gz ZVTWWYUGVDEFBI-AWEZNQCLSA-N 0 1 277.324 0.759 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCNc1ncnc2[nH]cnc21 ZINC001106268129 816703196 /nfs/dbraw/zinc/70/31/96/816703196.db2.gz CQDNCQJZZNEMPQ-RKDXNWHRSA-N 0 1 287.327 0.771 20 30 CCEDMN Cc1cc(NCC[C@H](C)NC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001106412588 816762838 /nfs/dbraw/zinc/76/28/38/816762838.db2.gz PKWCVPPAZDWPEH-VIFPVBQESA-N 0 1 299.338 0.422 20 30 CCEDMN Cc1cc(NCC[C@H](C)NC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001106412588 816762845 /nfs/dbraw/zinc/76/28/45/816762845.db2.gz PKWCVPPAZDWPEH-VIFPVBQESA-N 0 1 299.338 0.422 20 30 CCEDMN C[C@H](CCNc1ccncc1C#N)NC(=O)Cc1nnc[nH]1 ZINC001106434895 816772011 /nfs/dbraw/zinc/77/20/11/816772011.db2.gz JGPPYYQKLFJBKL-SNVBAGLBSA-N 0 1 299.338 0.043 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001046765694 816882864 /nfs/dbraw/zinc/88/28/64/816882864.db2.gz AVRSPIRKOKDLGI-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001031251331 816892669 /nfs/dbraw/zinc/89/26/69/816892669.db2.gz YJYQSMQROAWTKC-SNVBAGLBSA-N 0 1 275.356 0.286 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001031251331 816892673 /nfs/dbraw/zinc/89/26/73/816892673.db2.gz YJYQSMQROAWTKC-SNVBAGLBSA-N 0 1 275.356 0.286 20 30 CCEDMN C[C@H](CCNCc1cnon1)NC(=O)CSCC#N ZINC001272656912 816958972 /nfs/dbraw/zinc/95/89/72/816958972.db2.gz HCBPVXWEKYVTQQ-SECBINFHSA-N 0 1 283.357 0.311 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(CCCO)C[C@@H]2O)CC1 ZINC001083751150 816986752 /nfs/dbraw/zinc/98/67/52/816986752.db2.gz IKLBNMQNQOIZQV-KGLIPLIRSA-N 0 1 282.384 0.277 20 30 CCEDMN CC#CCCNC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001123637607 816996820 /nfs/dbraw/zinc/99/68/20/816996820.db2.gz IGRDHIHFXNJFTP-CYBMUJFWSA-N 0 1 266.389 0.335 20 30 CCEDMN N#CCN1CC(NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001031447895 817059236 /nfs/dbraw/zinc/05/92/36/817059236.db2.gz JNFQDUAYEUHYLV-UHFFFAOYSA-N 0 1 282.307 0.409 20 30 CCEDMN CC1(C)C[C@H](NC(=O)c2nc[nH]n2)CCN(CC#N)C1 ZINC001089519432 817177888 /nfs/dbraw/zinc/17/78/88/817177888.db2.gz MKKIJKYIULCJRZ-SNVBAGLBSA-N 0 1 276.344 0.549 20 30 CCEDMN CC1(C)C[C@H](NC(=O)c2ncn[nH]2)CCN(CC#N)C1 ZINC001089519432 817177891 /nfs/dbraw/zinc/17/78/91/817177891.db2.gz MKKIJKYIULCJRZ-SNVBAGLBSA-N 0 1 276.344 0.549 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnc3[nH]cnc32)C1 ZINC001031703068 817265270 /nfs/dbraw/zinc/26/52/70/817265270.db2.gz AIHRQTPVHBKWBX-UHFFFAOYSA-N 0 1 271.324 0.806 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001031710305 817274282 /nfs/dbraw/zinc/27/42/82/817274282.db2.gz ZKXPEHVMMYYPFM-CYBMUJFWSA-N 0 1 279.384 0.479 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1C[N@H+](CCCC)C[C@H]1OC ZINC001212262759 817274857 /nfs/dbraw/zinc/27/48/57/817274857.db2.gz XXENTPNABRQKLW-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn(C)c2CC)C1 ZINC001031810156 817386297 /nfs/dbraw/zinc/38/62/97/817386297.db2.gz ZZHAIQDRMNPGFB-UHFFFAOYSA-N 0 1 262.357 0.830 20 30 CCEDMN C#CCN1CC(CNC(=O)c2ccn3cncc3c2)C1 ZINC001031816329 817391818 /nfs/dbraw/zinc/39/18/18/817391818.db2.gz AGBZXARHOFWPBE-UHFFFAOYSA-N 0 1 268.320 0.629 20 30 CCEDMN CCN(CCNc1ccncc1C#N)C(=O)c1[nH]nnc1C ZINC001106919251 817439006 /nfs/dbraw/zinc/43/90/06/817439006.db2.gz CJSYRLKAQYEBCT-UHFFFAOYSA-N 0 1 299.338 0.376 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CC[C@@H]2C(N)=O)C1 ZINC001031900127 817452022 /nfs/dbraw/zinc/45/20/22/817452022.db2.gz ZJYYQCQKHXCSPS-RYUDHWBXSA-N 0 1 265.357 0.122 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnc3c2nnn3C)C1 ZINC001031927088 817470719 /nfs/dbraw/zinc/47/07/19/817470719.db2.gz FMDAANHOOOKTEF-UHFFFAOYSA-N 0 1 286.339 0.211 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(C(N)=O)co2)C1 ZINC001031969597 817507189 /nfs/dbraw/zinc/50/71/89/817507189.db2.gz FTDZPXRVYYVSLL-UHFFFAOYSA-N 0 1 275.308 0.063 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2c(C)nn(C)c2OC)C1 ZINC001032021532 817555544 /nfs/dbraw/zinc/55/55/44/817555544.db2.gz YAZGPVFJMJWBGR-UHFFFAOYSA-N 0 1 290.367 0.422 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCC[C@@H](C(N)=O)C2)C1 ZINC001032020906 817556007 /nfs/dbraw/zinc/55/60/07/817556007.db2.gz YSWSFNHXDOCRBJ-CHWSQXEVSA-N 0 1 279.384 0.512 20 30 CCEDMN CCC(=O)NCC(=O)NCCNCC#Cc1ccccc1 ZINC001124873598 817609205 /nfs/dbraw/zinc/60/92/05/817609205.db2.gz ZMVGIOJWELFUJG-UHFFFAOYSA-N 0 1 287.363 0.270 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(C(C)C)nn2)C1 ZINC001032079729 817610609 /nfs/dbraw/zinc/61/06/09/817610609.db2.gz QKPTYOYMKJUHGS-UHFFFAOYSA-N 0 1 275.356 0.544 20 30 CCEDMN Cc1csc(NC(=O)CNCCNC(=O)[C@@H](C)C#N)n1 ZINC001124896243 817621651 /nfs/dbraw/zinc/62/16/51/817621651.db2.gz NNKVHNLMNHNLES-QMMMGPOBSA-N 0 1 295.368 0.256 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001032123988 817651482 /nfs/dbraw/zinc/65/14/82/817651482.db2.gz OILHPHGDRSIBHA-LBPRGKRZSA-N 0 1 274.368 0.749 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cncnc2CC)C1 ZINC001032191166 817687353 /nfs/dbraw/zinc/68/73/53/817687353.db2.gz SUKQBFUMKUURRD-UHFFFAOYSA-N 0 1 272.352 0.724 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@@H](C)Nc2ccncc2C#N)[nH]1 ZINC001115656835 817690744 /nfs/dbraw/zinc/69/07/44/817690744.db2.gz SPNWTGGCFXGAFA-SNVBAGLBSA-N 0 1 298.350 0.979 20 30 CCEDMN C=CCOCC(=O)NC[C@]12CCC[C@H]1N(CC(N)=O)CC2 ZINC001107330811 817836867 /nfs/dbraw/zinc/83/68/67/817836867.db2.gz OZAAGTYYLAFDBC-IUODEOHRSA-N 0 1 295.383 0.035 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccn[nH]1 ZINC001032329029 817881972 /nfs/dbraw/zinc/88/19/72/817881972.db2.gz BYLJASGNCVTWBV-STQMWFEESA-N 0 1 258.325 0.261 20 30 CCEDMN C[C@H](CNc1cncc(C#N)n1)NC(=O)[C@H]1CCC[N@H+]1C ZINC001107645653 817913810 /nfs/dbraw/zinc/91/38/10/817913810.db2.gz ZTKLULAQLHOKKO-ZYHUDNBSSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@H](CNc1cncc(C#N)n1)NC(=O)[C@H]1CCCN1C ZINC001107645653 817913813 /nfs/dbraw/zinc/91/38/13/817913813.db2.gz ZTKLULAQLHOKKO-ZYHUDNBSSA-N 0 1 288.355 0.359 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H](C)CNc2cnc(C#N)cn2)c1C ZINC001107663613 817922993 /nfs/dbraw/zinc/92/29/93/817922993.db2.gz MHULWNQNGFNUQS-MRVPVSSYSA-N 0 1 299.338 0.919 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CNC(=O)CC)C1 ZINC001107818780 818032714 /nfs/dbraw/zinc/03/27/14/818032714.db2.gz FTYYMZTXUFNKDS-HNNXBMFYSA-N 0 1 297.399 0.296 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)F)[C@@H](O)C1 ZINC001089995838 818237358 /nfs/dbraw/zinc/23/73/58/818237358.db2.gz DIUULALMHUXHBV-QWRGUYRKSA-N 0 1 256.321 0.309 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](N(C)C(C)=O)C2)C1=O ZINC001032852565 818311318 /nfs/dbraw/zinc/31/13/18/818311318.db2.gz OQNRCFIFRCMULV-STQMWFEESA-N 0 1 265.357 0.326 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCOC2)C1 ZINC001032967756 818395767 /nfs/dbraw/zinc/39/57/67/818395767.db2.gz OASPTWHXGZFAQR-OLZOCXBDSA-N 0 1 250.342 0.579 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cc[nH]c(=O)c2)C1 ZINC001033001722 818416203 /nfs/dbraw/zinc/41/62/03/818416203.db2.gz OQRAWDNVXVZYJG-ZDUSSCGKSA-N 0 1 273.336 0.957 20 30 CCEDMN N#Cc1cnccc1N1CCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001061382949 818431777 /nfs/dbraw/zinc/43/17/77/818431777.db2.gz QHCWEVKMNVEAAP-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cnccc1N1CCC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC001061382949 818431780 /nfs/dbraw/zinc/43/17/80/818431780.db2.gz QHCWEVKMNVEAAP-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033038171 818452724 /nfs/dbraw/zinc/45/27/24/818452724.db2.gz OARBARGUYBGIQY-AWEZNQCLSA-N 0 1 277.368 0.021 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H]2CNC(=O)c2cnn[nH]2)nc1 ZINC001061482793 818524251 /nfs/dbraw/zinc/52/42/51/818524251.db2.gz BWEZCTZLRVDLPA-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2c(C)nnn2CC)C1 ZINC001033142842 818567339 /nfs/dbraw/zinc/56/73/39/818567339.db2.gz UVYWWQVLOQYQNQ-GFCCVEGCSA-N 0 1 277.372 0.939 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001033142615 818568812 /nfs/dbraw/zinc/56/88/12/818568812.db2.gz SQXFKPMWYWGOOY-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cn3c(n2)COCC3)C1 ZINC001033196864 818636072 /nfs/dbraw/zinc/63/60/72/818636072.db2.gz WEUWIOSTSOBDBL-GFCCVEGCSA-N 0 1 290.367 0.746 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001033210866 818652103 /nfs/dbraw/zinc/65/21/03/818652103.db2.gz GJBYHFMFBUIKLM-ZIAGYGMSSA-N 0 1 286.379 0.611 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(C)s2)[C@H](O)C1 ZINC001090129960 818687649 /nfs/dbraw/zinc/68/76/49/818687649.db2.gz RSTXHWBXWJEVDU-WDEREUQCSA-N 0 1 281.381 0.803 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001033289725 818696003 /nfs/dbraw/zinc/69/60/03/818696003.db2.gz KNTNOVOFLRNZQN-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cscn2)[C@@H](O)C1 ZINC001090132438 818698305 /nfs/dbraw/zinc/69/83/05/818698305.db2.gz FXMLJDUGYBGPAT-KOLCDFICSA-N 0 1 267.354 0.494 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2c(C)cnn2C)C1 ZINC001033339860 818724281 /nfs/dbraw/zinc/72/42/81/818724281.db2.gz BKKPEIUSIZRHBV-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033339983 818725717 /nfs/dbraw/zinc/72/57/17/818725717.db2.gz CHVYXJRLKLVZFI-NWDGAFQWSA-N 0 1 265.357 0.183 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(F)nc2)[C@H](O)C1 ZINC001090136587 818738205 /nfs/dbraw/zinc/73/82/05/818738205.db2.gz GNPAOSVJODJEQA-VXGBXAGGSA-N 0 1 279.315 0.572 20 30 CCEDMN C#CC[NH+]1CC[C@H](N(C)C(=O)C[N@@H+]2CCC(C)(C)C2)C1 ZINC001033426011 818773618 /nfs/dbraw/zinc/77/36/18/818773618.db2.gz MUNZICWHFKLBLK-AWEZNQCLSA-N 0 1 277.412 0.884 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cn2nnnc2C(C)C)C1 ZINC001033486238 818795638 /nfs/dbraw/zinc/79/56/38/818795638.db2.gz JXBHXKOOCILCEQ-LBPRGKRZSA-N 0 1 292.387 0.515 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2ccc3n[nH]c(=O)n3c2)C1 ZINC001033495739 818802848 /nfs/dbraw/zinc/80/28/48/818802848.db2.gz TVILEGNRDFYQSJ-LBPRGKRZSA-N 0 1 299.334 0.214 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)c2ccncn2)C1 ZINC001033514639 818807454 /nfs/dbraw/zinc/80/74/54/818807454.db2.gz YCMLXGHVSKZARH-LBPRGKRZSA-N 0 1 258.325 0.646 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2coc(COC)n2)C1 ZINC001033537105 818826765 /nfs/dbraw/zinc/82/67/65/818826765.db2.gz PYKYROIWWLQZNC-GFCCVEGCSA-N 0 1 291.351 0.991 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001033577960 818837530 /nfs/dbraw/zinc/83/75/30/818837530.db2.gz RZAYIUYLOYZGEP-JSGCOSHPSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001033612031 818851184 /nfs/dbraw/zinc/85/11/84/818851184.db2.gz BVTHIDNVJSZRQA-CABCVRRESA-N 0 1 283.375 0.962 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001033629870 818860521 /nfs/dbraw/zinc/86/05/21/818860521.db2.gz REEMVBCLPGBVKE-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001033677105 818883829 /nfs/dbraw/zinc/88/38/29/818883829.db2.gz ZJTGLOMALCICLJ-GXTWGEPZSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2nonc2C)C1 ZINC001033705297 818893853 /nfs/dbraw/zinc/89/38/53/818893853.db2.gz HRZHOMSTARKDDL-LLVKDONJSA-N 0 1 262.313 0.548 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCOC2)C1 ZINC001033772302 818927189 /nfs/dbraw/zinc/92/71/89/818927189.db2.gz ILLQNLODAIBJJA-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2COCCN2C)C1 ZINC001033802217 818949032 /nfs/dbraw/zinc/94/90/32/818949032.db2.gz REWIUZBWAYVWFA-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ncn(C)n2)C1 ZINC001033814786 818955677 /nfs/dbraw/zinc/95/56/77/818955677.db2.gz CFDHNQWZQPSZKT-NSHDSACASA-N 0 1 263.345 0.538 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033837680 818963627 /nfs/dbraw/zinc/96/36/27/818963627.db2.gz NMHWFZDGYBMSAT-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033837680 818963629 /nfs/dbraw/zinc/96/36/29/818963629.db2.gz NMHWFZDGYBMSAT-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN CCN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)[C@@H]1CCN(CC#N)C1 ZINC001033861501 818970283 /nfs/dbraw/zinc/97/02/83/818970283.db2.gz KENMOFHFGBXYSF-JHJVBQTASA-N 0 1 287.367 0.960 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033958215 819013142 /nfs/dbraw/zinc/01/31/42/819013142.db2.gz UAILGINDWNFNCJ-RDBSUJKOSA-N 0 1 293.411 0.997 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001034031257 819034592 /nfs/dbraw/zinc/03/45/92/819034592.db2.gz GSURIMSEQZCMJI-HUUCEWRRSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001034041363 819041152 /nfs/dbraw/zinc/04/11/52/819041152.db2.gz CGBWQQUFRNNWEK-LBPRGKRZSA-N 0 1 290.367 0.836 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2COCCO2)C1 ZINC001034109173 819078986 /nfs/dbraw/zinc/07/89/86/819078986.db2.gz JIVZRQNCUCKCBT-UONOGXRCSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC001034141392 819086037 /nfs/dbraw/zinc/08/60/37/819086037.db2.gz FUAMXYMNZATCJR-LBPRGKRZSA-N 0 1 275.356 0.341 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H](C)n2cncn2)C1 ZINC001034171049 819106355 /nfs/dbraw/zinc/10/63/55/819106355.db2.gz HRZILYQWPXLXTO-CHWSQXEVSA-N 0 1 275.356 0.443 20 30 CCEDMN CN(CCNC(=O)CCC#N)CCNC(=O)OC(C)(C)C ZINC001143202614 819128476 /nfs/dbraw/zinc/12/84/76/819128476.db2.gz HKGFIMNHYMHYEK-UHFFFAOYSA-N 0 1 298.387 0.863 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cccnc2)[C@@H](O)C1 ZINC001090198264 819138038 /nfs/dbraw/zinc/13/80/38/819138038.db2.gz WAJGDOPTMWKYSA-KGLIPLIRSA-N 0 1 275.352 0.362 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)C[C@@H]2CCNC2=O)C1 ZINC001034244692 819138927 /nfs/dbraw/zinc/13/89/27/819138927.db2.gz QUHMHBUGXSTWQW-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1CC[C@H](NCC#N)CC1 ZINC001034612079 819261068 /nfs/dbraw/zinc/26/10/68/819261068.db2.gz PGZPLUXOFNPVLC-AVGNSLFASA-N 0 1 264.373 0.621 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(C)c2)[C@H](O)C1 ZINC001090226815 819274616 /nfs/dbraw/zinc/27/46/16/819274616.db2.gz YIVJLKOFLGMPSH-CHWSQXEVSA-N 0 1 264.325 0.939 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2n[nH]cc2C)[C@@H](O)C1 ZINC001090252520 819316055 /nfs/dbraw/zinc/31/60/55/819316055.db2.gz TYUWUKLMIDBSKZ-NEPJUHHUSA-N 0 1 278.356 0.459 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H]1CNCc1ccn(C)n1 ZINC001034885963 819355264 /nfs/dbraw/zinc/35/52/64/819355264.db2.gz CZODWIZMXZWGKD-WCQYABFASA-N 0 1 275.356 0.660 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2ncc[nH]2)C1 ZINC001035365310 819489145 /nfs/dbraw/zinc/48/91/45/819489145.db2.gz SSDAXLNTGQTDJT-LBPRGKRZSA-N 0 1 278.356 0.807 20 30 CCEDMN C#CCN1CCO[C@@H](CNC(=O)c2n[nH]c3ccccc32)C1 ZINC001035599621 819574157 /nfs/dbraw/zinc/57/41/57/819574157.db2.gz GKJFQKQEHHBDDU-LBPRGKRZSA-N 0 1 298.346 0.627 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCO1)CC2 ZINC001035648082 819590970 /nfs/dbraw/zinc/59/09/70/819590970.db2.gz GLJIBPWAHDKROU-ZDUSSCGKSA-N 0 1 262.353 0.723 20 30 CCEDMN Cc1cc(C(=O)N2CCC3(CN(CC#N)C3)CC2)n[nH]1 ZINC001035662563 819596870 /nfs/dbraw/zinc/59/68/70/819596870.db2.gz JVYNSJYPWGHCEI-UHFFFAOYSA-N 0 1 273.340 0.780 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C1=CCOCC1)CC2 ZINC001035691769 819602585 /nfs/dbraw/zinc/60/25/85/819602585.db2.gz OZPANHZVXHDRBW-UHFFFAOYSA-N 0 1 274.364 0.891 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1CCC(=O)N1)CC2 ZINC001035672080 819608210 /nfs/dbraw/zinc/60/82/10/819608210.db2.gz DIMDNFYRVBMFRF-CYBMUJFWSA-N 0 1 289.379 0.213 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)Cc1cncnc1)CC2 ZINC001035696432 819609422 /nfs/dbraw/zinc/60/94/22/819609422.db2.gz PQHFKJOVPRCPFP-UHFFFAOYSA-N 0 1 298.390 0.967 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)Cn1nccn1)CC2 ZINC001035714824 819613687 /nfs/dbraw/zinc/61/36/87/819613687.db2.gz WZDFSOUUUQPGGK-UHFFFAOYSA-N 0 1 275.356 0.389 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cncn1C)CC2 ZINC001035743672 819614983 /nfs/dbraw/zinc/61/49/83/819614983.db2.gz NECCAXCQHRCCPP-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cn[nH]c(=O)c1)CC2 ZINC001035730909 819616320 /nfs/dbraw/zinc/61/63/20/819616320.db2.gz VPPVHJBFBDZKEY-UHFFFAOYSA-N 0 1 286.335 0.353 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@]1(C)CNC(=O)C1)CC2 ZINC001035758299 819619524 /nfs/dbraw/zinc/61/95/24/819619524.db2.gz SFQYMGIUNSZOGK-HNNXBMFYSA-N 0 1 291.395 0.623 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC(=O)N1C)CC2 ZINC001035764357 819620914 /nfs/dbraw/zinc/62/09/14/819620914.db2.gz GOPSHXNCDPWUEG-ZDUSSCGKSA-N 0 1 291.395 0.718 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC[C@@](C)(C#N)C2)C[C@H](C)N1 ZINC001118059624 819674398 /nfs/dbraw/zinc/67/43/98/819674398.db2.gz PYAQXRCKHFOTHD-ZOWXZIJZSA-N 0 1 292.383 0.347 20 30 CCEDMN C#CCCS(=O)(=O)N1C[C@H]2CC[N@H+](C)C[C@H]2C1 ZINC000711011305 819874744 /nfs/dbraw/zinc/87/47/44/819874744.db2.gz UKINHKUFOGQTPF-NEPJUHHUSA-N 0 1 256.371 0.223 20 30 CCEDMN C#CCCS(=O)(=O)N1CC[C@@H](N2CCCCC2)C1 ZINC000711065162 819879430 /nfs/dbraw/zinc/87/94/30/819879430.db2.gz NCNSCCNWDHKKMM-CYBMUJFWSA-N 0 1 270.398 0.900 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCCCN1C(=O)c1ccn[nH]1 ZINC001062023003 819886566 /nfs/dbraw/zinc/88/65/66/819886566.db2.gz NMPSFPNNCBPFIY-GHMZBOCLSA-N 0 1 289.339 0.680 20 30 CCEDMN C=CCCC(=O)N1CCCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001062058489 819889755 /nfs/dbraw/zinc/88/97/55/819889755.db2.gz VDXZCQUZCJBLKZ-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCCC(=O)N1CCCC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC001062058489 819889761 /nfs/dbraw/zinc/88/97/61/819889761.db2.gz VDXZCQUZCJBLKZ-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nn1)NC(=O)CCc1cnc[nH]1 ZINC001108187009 820103026 /nfs/dbraw/zinc/10/30/26/820103026.db2.gz ZOJMAODLQZOERQ-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCOC(C)C)[C@H](O)C1 ZINC001099693265 820140683 /nfs/dbraw/zinc/14/06/83/820140683.db2.gz OPBAYGSTLWWSCG-UONOGXRCSA-N 0 1 282.384 0.376 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)C2C=CC=CC=C2)[C@H](O)C1 ZINC001090302339 820229453 /nfs/dbraw/zinc/22/94/53/820229453.db2.gz WRKAQHDVMVTMAS-HZPDHXFCSA-N 0 1 286.375 0.860 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2C=CC=CC=C2)[C@H](O)C1 ZINC001090302339 820229458 /nfs/dbraw/zinc/22/94/58/820229458.db2.gz WRKAQHDVMVTMAS-HZPDHXFCSA-N 0 1 286.375 0.860 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CC23CCCC3)[C@@H](O)C1 ZINC001083921169 820267790 /nfs/dbraw/zinc/26/77/90/820267790.db2.gz CNGWTSUOWPTPKW-MCIONIFRSA-N 0 1 276.380 0.751 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnc(C)cn2)C1 ZINC001079380224 820371439 /nfs/dbraw/zinc/37/14/39/820371439.db2.gz CAMCXWXJMRJELE-BXUZGUMPSA-N 0 1 272.352 0.858 20 30 CCEDMN C=CCCC(=O)N(CC)C1CN(C(=O)Cc2ccn[nH]2)C1 ZINC001079468639 820404441 /nfs/dbraw/zinc/40/44/41/820404441.db2.gz VEVKGXKKMJNQSK-UHFFFAOYSA-N 0 1 290.367 0.978 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(OC)no2)C1 ZINC001079602375 820434636 /nfs/dbraw/zinc/43/46/36/820434636.db2.gz KNACJJRWRSRNMG-NXEZZACHSA-N 0 1 265.313 0.919 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001079699825 820455641 /nfs/dbraw/zinc/45/56/41/820455641.db2.gz ZYEMPHUILWORRH-UHIISALHSA-N 0 1 287.367 0.790 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001079809623 820473729 /nfs/dbraw/zinc/47/37/29/820473729.db2.gz ZIQJSCUFISDGMP-BXKDBHETSA-N 0 1 286.339 0.589 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001079827752 820474481 /nfs/dbraw/zinc/47/44/81/820474481.db2.gz QVVUXVAPLCNEQA-BXUZGUMPSA-N 0 1 274.368 0.341 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001079862805 820479120 /nfs/dbraw/zinc/47/91/20/820479120.db2.gz JBXOYQMNLOSMKY-BXKDBHETSA-N 0 1 286.339 0.589 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001079912927 820484533 /nfs/dbraw/zinc/48/45/33/820484533.db2.gz JBCRVFBGYIWIEX-PSASIEDQSA-N 0 1 262.313 0.107 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001079930007 820490246 /nfs/dbraw/zinc/49/02/46/820490246.db2.gz WNTOTYAFBFHEKJ-ZYHUDNBSSA-N 0 1 274.324 0.256 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1ccc2cncn2c1 ZINC001080135132 820519481 /nfs/dbraw/zinc/51/94/81/820519481.db2.gz RIUOWVZPRVKHDG-BXUZGUMPSA-N 0 1 283.335 0.908 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001080180787 820524071 /nfs/dbraw/zinc/52/40/71/820524071.db2.gz WXSSWOQYJFDUID-GZBLMMOJSA-N 0 1 294.395 0.660 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)CN1CCC[C@H]1C ZINC001080441715 820576484 /nfs/dbraw/zinc/57/64/84/820576484.db2.gz WNYWAAZZLZTUOC-JHJVBQTASA-N 0 1 264.373 0.431 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(CC)c2C)C1 ZINC001080660594 820610925 /nfs/dbraw/zinc/61/09/25/820610925.db2.gz JCWXWYOCBJWZIX-BXUZGUMPSA-N 0 1 274.368 0.895 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C(C)=C/CC)[C@@H](O)C1 ZINC001099718143 820627762 /nfs/dbraw/zinc/62/77/62/820627762.db2.gz HYQYHYNXHVUTMV-DUYRLRNSSA-N 0 1 264.369 0.917 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001080915656 820653945 /nfs/dbraw/zinc/65/39/45/820653945.db2.gz HKXSGUDQYMUPGV-IUODEOHRSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001081016655 820670894 /nfs/dbraw/zinc/67/08/94/820670894.db2.gz WHPDOLNZDLFMNY-ZWNOBZJWSA-N 0 1 288.351 0.417 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001081016655 820670898 /nfs/dbraw/zinc/67/08/98/820670898.db2.gz WHPDOLNZDLFMNY-ZWNOBZJWSA-N 0 1 288.351 0.417 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)F)[C@H](OC)C1 ZINC001081270018 820713581 /nfs/dbraw/zinc/71/35/81/820713581.db2.gz MBXOROMKURHYJK-GHMZBOCLSA-N 0 1 256.321 0.573 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cnn(C)c2)[C@H](OC)C1 ZINC001081430422 820764151 /nfs/dbraw/zinc/76/41/51/820764151.db2.gz QDBVQNBEZZHORW-ZIAGYGMSSA-N 0 1 292.383 0.354 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cncc(C)c2)[C@H](OC)C1 ZINC001081479287 820771042 /nfs/dbraw/zinc/77/10/42/820771042.db2.gz UNAATAJOZFRUQH-HUUCEWRRSA-N 0 1 287.363 0.842 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccncc2F)[C@H](OC)C1 ZINC001081475556 820771513 /nfs/dbraw/zinc/77/15/13/820771513.db2.gz LVUOBMPDGVOESA-ZIAGYGMSSA-N 0 1 291.326 0.673 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)sn2)[C@H](OC)C1 ZINC001081599832 820803876 /nfs/dbraw/zinc/80/38/76/820803876.db2.gz OIPVTVIGAOXHGF-CHWSQXEVSA-N 0 1 293.392 0.904 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCCCN2C)[C@H](OC)C1 ZINC001081855812 820854655 /nfs/dbraw/zinc/85/46/55/820854655.db2.gz SFEPIIXCHMTPJK-HZSPNIEDSA-N 0 1 281.400 0.472 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)c2[n-]nnc2C)[C@H](OC)C1 ZINC001082014341 820879144 /nfs/dbraw/zinc/87/91/44/820879144.db2.gz WFFHYCYHHLRTDH-VXGBXAGGSA-N 0 1 293.371 0.508 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](NC(=O)c2[n-]nnc2C)[C@H](OC)C1 ZINC001082014341 820879153 /nfs/dbraw/zinc/87/91/53/820879153.db2.gz WFFHYCYHHLRTDH-VXGBXAGGSA-N 0 1 293.371 0.508 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C(F)=C(C)C)[C@@H](O)C1 ZINC001099767043 821044870 /nfs/dbraw/zinc/04/48/70/821044870.db2.gz UIQRUGKGZJSFRJ-MNOVXSKESA-N 0 1 256.321 0.987 20 30 CCEDMN Cc1nc(N2CCNC(=O)C23CNC3)c(Cl)cc1C#N ZINC001165301131 821049442 /nfs/dbraw/zinc/04/94/42/821049442.db2.gz RCSKGEGZMSOSIA-UHFFFAOYSA-N 0 1 291.742 0.193 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(Cl)no2)[C@@H](O)C1 ZINC001083979635 821164943 /nfs/dbraw/zinc/16/49/43/821164943.db2.gz IODXEIRVGWXPNP-BDAKNGLRSA-N 0 1 285.731 0.679 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccc(F)cc2)[C@@H](O)C1 ZINC001084015313 821182259 /nfs/dbraw/zinc/18/22/59/821182259.db2.gz XPYODDRASUXCFB-CABCVRRESA-N 0 1 290.338 0.553 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H]2CCCOC2)[C@@H](O)C1 ZINC001084065952 821192016 /nfs/dbraw/zinc/19/20/16/821192016.db2.gz SZYKSYFORJIALE-MCIONIFRSA-N 0 1 282.384 0.541 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCCOC3)[C@@H]2C1 ZINC001084223617 821244159 /nfs/dbraw/zinc/24/41/59/821244159.db2.gz AJGDZUMWQKDXGE-RBSFLKMASA-N 0 1 276.380 0.969 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001084574566 821322950 /nfs/dbraw/zinc/32/29/50/821322950.db2.gz CULCCRPAZJKPPJ-VXGBXAGGSA-N 0 1 252.358 0.724 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCC(=O)N3)[C@@H]2C1 ZINC001084717900 821357769 /nfs/dbraw/zinc/35/77/69/821357769.db2.gz NKDREWUZVZFYPB-MGPQQGTHSA-N 0 1 289.379 0.211 20 30 CCEDMN CCc1cc(C(=O)N(C)C[C@H]2CCN2CC#N)n[nH]1 ZINC001085493105 821740540 /nfs/dbraw/zinc/74/05/40/821740540.db2.gz LNCDJZBGCHVOAU-LLVKDONJSA-N 0 1 261.329 0.642 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)[C@H]1CCCN1C ZINC001085513765 821760485 /nfs/dbraw/zinc/76/04/85/821760485.db2.gz ZMBDVTQSPLTHGK-NWDGAFQWSA-N 0 1 250.346 0.137 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)CC)[C@H](O)C1 ZINC001099984004 821778756 /nfs/dbraw/zinc/77/87/56/821778756.db2.gz URLPRZMZXCIZAL-CHWSQXEVSA-N 0 1 266.385 0.997 20 30 CCEDMN C[C@@H](O)CN1CC[C@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085553670 821784664 /nfs/dbraw/zinc/78/46/64/821784664.db2.gz SUFHDBGWYVIJCE-PWSUYJOCSA-N 0 1 276.340 0.413 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnnn1CC ZINC001085584535 821824118 /nfs/dbraw/zinc/82/41/18/821824118.db2.gz HXCBQWKTBPDSOL-LBPRGKRZSA-N 0 1 275.356 0.468 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1nn(C)cc1C ZINC001085591234 821835271 /nfs/dbraw/zinc/83/52/71/821835271.db2.gz GDAWSJQMROMOLG-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnn2ccncc12 ZINC001085643825 821866958 /nfs/dbraw/zinc/86/69/58/821866958.db2.gz YWWTTWTWMAMDHI-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCN(C)C(=O)C1 ZINC001085676937 821898434 /nfs/dbraw/zinc/89/84/34/821898434.db2.gz BFVIUFDOPVJLBO-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(OC)n(C)n1 ZINC001085714090 821915312 /nfs/dbraw/zinc/91/53/12/821915312.db2.gz ALUYXLSROLGCBV-LBPRGKRZSA-N 0 1 290.367 0.598 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccnc(OC)n1 ZINC001085716499 821917314 /nfs/dbraw/zinc/91/73/14/821917314.db2.gz NPEGNVVUGMQRDK-GFCCVEGCSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)[C@H]1CN(C(C)C)CCO1 ZINC001085779766 821947624 /nfs/dbraw/zinc/94/76/24/821947624.db2.gz CFSRYAIMVZDSTC-HUUCEWRRSA-N 0 1 293.411 0.262 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cn(CC)nn1 ZINC001085897392 822007950 /nfs/dbraw/zinc/00/79/50/822007950.db2.gz CRWBIORZABSFNL-GFCCVEGCSA-N 0 1 275.356 0.468 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001085914777 822015686 /nfs/dbraw/zinc/01/56/86/822015686.db2.gz JLEAIJYYCZXGDO-NEPJUHHUSA-N 0 1 287.367 0.571 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2nccc(C)c2C#N)CC1 ZINC001155154311 822067236 /nfs/dbraw/zinc/06/72/36/822067236.db2.gz MUXQWMAGRTXCLL-CYBMUJFWSA-N 0 1 288.351 0.978 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001086087158 822107693 /nfs/dbraw/zinc/10/76/93/822107693.db2.gz QZFKVHURANGIPM-GRYCIOLGSA-N 0 1 287.367 0.838 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)c2ccc3cncn3c2)C1 ZINC001086148737 822131010 /nfs/dbraw/zinc/13/10/10/822131010.db2.gz YXOCKAOZBMORHX-BJHJDKERSA-N 0 1 283.335 0.956 20 30 CCEDMN C=C(C)CN1CCO[C@@](C)(CNC(=O)c2ncn[nH]2)C1 ZINC001108286879 822302929 /nfs/dbraw/zinc/30/29/29/822302929.db2.gz FRHYJWSNEWYFLB-ZDUSSCGKSA-N 0 1 279.344 0.202 20 30 CCEDMN C=C(C)CN1CCO[C@@](C)(CNC(=O)c2nc[nH]n2)C1 ZINC001108286879 822302937 /nfs/dbraw/zinc/30/29/37/822302937.db2.gz FRHYJWSNEWYFLB-ZDUSSCGKSA-N 0 1 279.344 0.202 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CNc2ccc(C#N)nn2)c1C ZINC001108297643 822333175 /nfs/dbraw/zinc/33/31/75/822333175.db2.gz BZVOJGFMRMDOMW-QMMMGPOBSA-N 0 1 299.338 0.919 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCCCC ZINC001114095070 837435851 /nfs/dbraw/zinc/43/58/51/837435851.db2.gz BOCYCILRNWMNHX-NHAGDIPZSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@@H]1CCOC1 ZINC001114135263 837450221 /nfs/dbraw/zinc/45/02/21/837450221.db2.gz VDFKWGNUJVBJOR-NYTXWWLZSA-N 0 1 276.380 0.873 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@H]1COC(=O)C1 ZINC001157802569 837455182 /nfs/dbraw/zinc/45/51/82/837455182.db2.gz LITCWKOYGRCOTN-ZIAGYGMSSA-N 0 1 292.379 0.886 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1[C@H]2CN(Cc3cnn(C)c3)C[C@H]21 ZINC001114237631 837481838 /nfs/dbraw/zinc/48/18/38/837481838.db2.gz PJXUCTXJLOBGTP-FOLVSLTJSA-N 0 1 286.379 0.626 20 30 CCEDMN CNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114256412 837490090 /nfs/dbraw/zinc/49/00/90/837490090.db2.gz ZYNJYIKYWOHETP-CIQGVGRVSA-N 0 1 291.395 0.217 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)/C(C)=C/C)C[C@@H]1n1ccnn1 ZINC001129723772 837549843 /nfs/dbraw/zinc/54/98/43/837549843.db2.gz LVZXKEMKNRDTDX-KYYFYTAESA-N 0 1 287.367 0.609 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](NCCF)[C@@H](n2ccnn2)C1 ZINC001129824729 837565556 /nfs/dbraw/zinc/56/55/56/837565556.db2.gz AIPQZBFYGRUSLZ-OLZOCXBDSA-N 0 1 293.346 0.249 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCn2cncc2C1 ZINC001130401134 837784562 /nfs/dbraw/zinc/78/45/62/837784562.db2.gz PZZRLZABVNTOQE-NSHDSACASA-N 0 1 282.775 0.904 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@H]3CCC(=O)N3)[nH]c2c1 ZINC001169190193 836157789 /nfs/dbraw/zinc/15/77/89/836157789.db2.gz UZOVBLGVFBOSFI-SECBINFHSA-N 0 1 269.264 0.652 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nc1)NC(=O)c1ncn[nH]1 ZINC001108475559 836275161 /nfs/dbraw/zinc/27/51/61/836275161.db2.gz NNXKIZIXOFOYHX-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nc1)NC(=O)c1nc[nH]n1 ZINC001108475559 836275172 /nfs/dbraw/zinc/27/51/72/836275172.db2.gz NNXKIZIXOFOYHX-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C=CCCCN1CC(N2C[C@H](NC(=O)C3CC3)CC2=O)C1 ZINC001108493414 836308902 /nfs/dbraw/zinc/30/89/02/836308902.db2.gz ORMSHECHGWHGJW-CYBMUJFWSA-N 0 1 291.395 0.764 20 30 CCEDMN CCOC(=O)C1(Nc2cc(C#N)cnn2)CCN(C)CC1 ZINC001169492353 836321929 /nfs/dbraw/zinc/32/19/29/836321929.db2.gz SIUGTJLOKXIENB-UHFFFAOYSA-N 0 1 289.339 0.788 20 30 CCEDMN CCCC#CC(=O)N1CC([N@@H+](C)[C@H]2CCOC2)C1 ZINC001184270677 844183211 /nfs/dbraw/zinc/18/32/11/844183211.db2.gz YIGMPMYMXLJRGH-LBPRGKRZSA-N 0 1 250.342 0.721 20 30 CCEDMN CCCC#CC(=O)N1CC(N(C)[C@H]2CCOC2)C1 ZINC001184270677 844183220 /nfs/dbraw/zinc/18/32/20/844183220.db2.gz YIGMPMYMXLJRGH-LBPRGKRZSA-N 0 1 250.342 0.721 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1ccnn1)C2 ZINC001109203086 836633632 /nfs/dbraw/zinc/63/36/32/836633632.db2.gz OESUMUVGTMFSHM-RDBSUJKOSA-N 0 1 287.367 0.413 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCNC(=O)NC)C2 ZINC001109236854 836643190 /nfs/dbraw/zinc/64/31/90/836643190.db2.gz JEOMFHCKDXDCBH-AGIUHOORSA-N 0 1 292.383 0.050 20 30 CCEDMN C[C@@H](CN(C)c1ncccc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001109254650 836648321 /nfs/dbraw/zinc/64/83/21/836648321.db2.gz DECDIPKGIPLENJ-NSHDSACASA-N 0 1 298.350 0.860 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccon1)C2 ZINC001109523406 836693885 /nfs/dbraw/zinc/69/38/85/836693885.db2.gz SATXAJXHYBUUBS-RDBSUJKOSA-N 0 1 273.336 0.962 20 30 CCEDMN Cc1nc(Cl)c(C#N)c(NC[C@@H]2COCCN2)n1 ZINC001170035928 836757652 /nfs/dbraw/zinc/75/76/52/836757652.db2.gz QQMIAPCEEXFOJO-MRVPVSSYSA-N 0 1 267.720 0.710 20 30 CCEDMN N#Cc1nc(Br)cnc1NC[C@@H]1COCCN1 ZINC001170046084 836787909 /nfs/dbraw/zinc/78/79/09/836787909.db2.gz YSLDGQUUWRPXHF-SSDOTTSWSA-N 0 1 298.144 0.511 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@@H](O)C1 ZINC001090438455 836798206 /nfs/dbraw/zinc/79/82/06/836798206.db2.gz MJROQGKERCHZMC-YPMHNXCESA-N 0 1 279.315 0.342 20 30 CCEDMN C=CCCN1CCN(C(=O)CCC(=O)NCC)CC1 ZINC001112698793 836866979 /nfs/dbraw/zinc/86/69/79/836866979.db2.gz FCBUXOWMAKKTKU-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(C[C@@H](CC)OC)CC1 ZINC001112814964 836925054 /nfs/dbraw/zinc/92/50/54/836925054.db2.gz ZOBHCSVFYOMDRJ-UKRRQHHQSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cnnn2C)CC1 ZINC001112815342 836925734 /nfs/dbraw/zinc/92/57/34/836925734.db2.gz NJPNSVAQKCZKKS-GFCCVEGCSA-N 0 1 277.372 0.928 20 30 CCEDMN C[C@H](NC(=O)Cc1nnc[nH]1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113151105 837039166 /nfs/dbraw/zinc/03/91/66/837039166.db2.gz VGMHWBBDSKBYAT-UWVGGRQHSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](C)CC(N)=O)CC1 ZINC001113196831 837058620 /nfs/dbraw/zinc/05/86/20/837058620.db2.gz XHUJVBVLKQKOSV-CHWSQXEVSA-N 0 1 281.400 0.997 20 30 CCEDMN C=CCCN1CCN(C(=O)CNC(=O)c2ccco2)CC1 ZINC001113603372 837175753 /nfs/dbraw/zinc/17/57/53/837175753.db2.gz BDWXIUYNEOTYJH-UHFFFAOYSA-N 0 1 291.351 0.730 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnc[nH]2)CC[C@@H]1CNCC#N ZINC001184532477 844253068 /nfs/dbraw/zinc/25/30/68/844253068.db2.gz ABBDNMBUAVFKEY-QWHCGFSZSA-N 0 1 289.383 0.940 20 30 CCEDMN CSc1nc(C)c(C#N)c(NCC2CN(C)C2)n1 ZINC001170252454 837285126 /nfs/dbraw/zinc/28/51/26/837285126.db2.gz MINFBQIIFLWODK-UHFFFAOYSA-N 0 1 263.370 0.774 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CSCCC ZINC001113950341 837381630 /nfs/dbraw/zinc/38/16/30/837381630.db2.gz GQEIPCJEEJBSQG-PJXYFTJBSA-N 0 1 252.383 0.809 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C1CCC(C(N)=O)CC1 ZINC001130679810 837887054 /nfs/dbraw/zinc/88/70/54/837887054.db2.gz KDYRXBBHJOTUPI-UHFFFAOYSA-N 0 1 287.791 0.736 20 30 CCEDMN N#CC1(c2ccc(-n3nnnc3CN)nc2)CCOCC1 ZINC001158077735 837921706 /nfs/dbraw/zinc/92/17/06/837921706.db2.gz VIYMPHUWAXCTBN-UHFFFAOYSA-N 0 1 285.311 0.088 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cn[nH]c(=O)c1 ZINC001158101335 837927438 /nfs/dbraw/zinc/92/74/38/837927438.db2.gz CLJNUEIAQQFECO-CYBMUJFWSA-N 0 1 288.351 0.742 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)CNC(C)=O)C[C@H](C)O2 ZINC001131620539 838159910 /nfs/dbraw/zinc/15/99/10/838159910.db2.gz HVIDBLLNIGLQGS-WFASDCNBSA-N 0 1 295.383 0.000 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CC[C@H](C)N(CCOCCO)C1 ZINC001132022120 838294043 /nfs/dbraw/zinc/29/40/43/838294043.db2.gz VDSBGSKNVMACQM-LSDHHAIUSA-N 0 1 296.411 0.624 20 30 CCEDMN NC(=NC(=O)c1nc(C(F)(F)F)c[nH]1)c1ncc[nH]1 ZINC001188295324 844856672 /nfs/dbraw/zinc/85/66/72/844856672.db2.gz LGPAPZFQYMTWHY-UHFFFAOYSA-N 0 1 272.190 0.697 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2c[nH]cn2)CC[C@H]1C ZINC001132086552 838317767 /nfs/dbraw/zinc/31/77/67/838317767.db2.gz HJTCAORTOMWKPL-TZMCWYRMSA-N 0 1 274.368 0.945 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cnnn2C)cc1 ZINC001132215702 838338668 /nfs/dbraw/zinc/33/86/68/838338668.db2.gz GGGMJJANPYWMOP-UHFFFAOYSA-N 0 1 283.335 0.316 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn(C)nc1OC ZINC001132280696 838350708 /nfs/dbraw/zinc/35/07/08/838350708.db2.gz RCGWBFKLMSAXCC-UHFFFAOYSA-N 0 1 272.736 0.501 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CC(=O)N(C)C)CC[C@H]1C ZINC001132352890 838364943 /nfs/dbraw/zinc/36/49/43/838364943.db2.gz BRAWICURHAFWDZ-CHWSQXEVSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2(C(=O)N(C)C)CC2)CC[C@H]1C ZINC001132417155 838391726 /nfs/dbraw/zinc/39/17/26/838391726.db2.gz SDRCSAUKQQVQPI-CHWSQXEVSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C(C)(C)NC(=O)NC)CC[C@@H]1C ZINC001132513023 838422167 /nfs/dbraw/zinc/42/21/67/838422167.db2.gz NEAIDCFLQKUWHI-NWDGAFQWSA-N 0 1 296.415 0.849 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@@]1(F)CCOC1 ZINC001132867706 838510703 /nfs/dbraw/zinc/51/07/03/838510703.db2.gz MJWJVEWGYKQUSN-MRXNPFEDSA-N 0 1 290.338 0.873 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCNCCS(C)(=O)=O ZINC001133379057 838612991 /nfs/dbraw/zinc/61/29/91/838612991.db2.gz RVLDCJPRQQAATF-LBPRGKRZSA-N 0 1 276.402 0.339 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCNCCS(C)(=O)=O ZINC001133379056 838613426 /nfs/dbraw/zinc/61/34/26/838613426.db2.gz RVLDCJPRQQAATF-GFCCVEGCSA-N 0 1 276.402 0.339 20 30 CCEDMN COC[C@H](OC)C(=O)NCCNCc1ccccc1C#N ZINC001133516424 838658683 /nfs/dbraw/zinc/65/86/83/838658683.db2.gz APLVZFJCKFZSLZ-AWEZNQCLSA-N 0 1 291.351 0.425 20 30 CCEDMN C#Cc1cncc(C(=O)NCCNCc2cc(C)no2)c1 ZINC001133589619 838673811 /nfs/dbraw/zinc/67/38/11/838673811.db2.gz RLKUINXOHMEZSU-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1cnc(C)nc1 ZINC001134053190 838839522 /nfs/dbraw/zinc/83/95/22/838839522.db2.gz OLVSSWAOKLEQES-KGLIPLIRSA-N 0 1 290.367 0.582 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H](C)C[C@@H](C)NCC#N)[nH]n1 ZINC001134055386 838839661 /nfs/dbraw/zinc/83/96/61/838839661.db2.gz IHJXTWVXPLGCBW-ZJUUUORDSA-N 0 1 263.345 0.657 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)CCc1nc[nH]n1)NCC#N ZINC001134044380 838841486 /nfs/dbraw/zinc/84/14/86/838841486.db2.gz XXVHIPOQIBOWNI-UWVGGRQHSA-N 0 1 264.333 0.134 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)N[C@@H](C#N)c2ccccc2)C1 ZINC001185246083 844376998 /nfs/dbraw/zinc/37/69/98/844376998.db2.gz ZKNIXNDCCSJHKQ-KBPBESRZSA-N 0 1 272.352 0.613 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)Nc2cccc(CC#N)c2)C1 ZINC001185254261 844377611 /nfs/dbraw/zinc/37/76/11/844377611.db2.gz BXLDKLQHQCZMGI-CQSZACIVSA-N 0 1 272.352 0.937 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)C#CC1CC1)NCc1cnn(C)n1 ZINC001134321118 838947124 /nfs/dbraw/zinc/94/71/24/838947124.db2.gz DNONXMPIPVXBEK-RYUDHWBXSA-N 0 1 289.383 0.601 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(COC)on1 ZINC001134904352 839109240 /nfs/dbraw/zinc/10/92/40/839109240.db2.gz BHFUIEKDOUOXFM-UHFFFAOYSA-N 0 1 273.720 0.893 20 30 CCEDMN COCC#CC[NH2+][C@@H](C)C[C@H](C)NC(=O)c1[n-]nnc1C ZINC001135166214 839171105 /nfs/dbraw/zinc/17/11/05/839171105.db2.gz LAVDCGHASKEFRV-QWRGUYRKSA-N 0 1 293.371 0.249 20 30 CCEDMN CC[C@@](N)(CO)Nc1cc(Cl)nc(CC#N)n1 ZINC001170856803 839436067 /nfs/dbraw/zinc/43/60/67/839436067.db2.gz AUAZTMRITBYFHY-JTQLQIEISA-N 0 1 255.709 0.665 20 30 CCEDMN CC[C@@](N)(CO)Nc1ccc(C(=O)OC)nc1C#N ZINC001170865261 839460344 /nfs/dbraw/zinc/46/03/44/839460344.db2.gz ZUFIQVQWKANYLZ-LBPRGKRZSA-N 0 1 264.285 0.209 20 30 CCEDMN CC[C@@](N)(CO)Nc1cc(C#N)cc(OC)c1OC(C)=O ZINC001170865756 839469529 /nfs/dbraw/zinc/46/95/29/839469529.db2.gz QIBYWTRESSVOSU-AWEZNQCLSA-N 0 1 293.323 0.961 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccnc2C)[C@@H](O)C1 ZINC001090531777 839587215 /nfs/dbraw/zinc/58/72/15/839587215.db2.gz NONNNALHXZMASY-KGLIPLIRSA-N 0 1 275.352 0.741 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CC3(CC3)C2)[C@H](O)C1 ZINC001090561959 839645108 /nfs/dbraw/zinc/64/51/08/839645108.db2.gz APHPPHMGFPGRCV-UONOGXRCSA-N 0 1 276.380 0.751 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]c(=O)cc2C)[C@H](O)C1 ZINC001090567637 839649055 /nfs/dbraw/zinc/64/90/55/839649055.db2.gz WUSSKXNYAFVJEH-QWHCGFSZSA-N 0 1 291.351 0.447 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)C[NH+]2CCC(C)(C)C2)[C@@H](O)C1 ZINC001090574771 839652677 /nfs/dbraw/zinc/65/26/77/839652677.db2.gz WZCYGSCXHXSYOX-KBPBESRZSA-N 0 1 295.427 0.456 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](NC(=O)Cc2cnc[nH]2)[C@H](O)C1 ZINC001090593837 839663449 /nfs/dbraw/zinc/66/34/49/839663449.db2.gz GLFPTRHMZMBWLB-VXGBXAGGSA-N 0 1 298.774 0.256 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(OC)o2)[C@H](O)C1 ZINC001090672867 839727956 /nfs/dbraw/zinc/72/79/56/839727956.db2.gz ZMJNHTKUEYBAAB-WDEREUQCSA-N 0 1 280.324 0.639 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2oncc2C)[C@@H](O)C1 ZINC001090689344 839738585 /nfs/dbraw/zinc/73/85/85/839738585.db2.gz HUBLTSRBNMHKOX-RYUDHWBXSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2oc(CC)nc2C)[C@@H](O)C1 ZINC001090706583 839747451 /nfs/dbraw/zinc/74/74/51/839747451.db2.gz VBMVWOPGWHPVJS-NEPJUHHUSA-N 0 1 293.367 0.896 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(Cl)no2)[C@@H](O)C1 ZINC001090745072 839771532 /nfs/dbraw/zinc/77/15/32/839771532.db2.gz ZCFDDOFVLRBVBO-BDAKNGLRSA-N 0 1 285.731 0.679 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)nn(C)c2F)[C@@H](O)C1 ZINC001090770817 839799073 /nfs/dbraw/zinc/79/90/73/839799073.db2.gz KXOYTVKVQNGAEE-QWRGUYRKSA-N 0 1 296.346 0.219 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2c(C)noc2C)[C@H](O)C1 ZINC001090798927 839819701 /nfs/dbraw/zinc/81/97/01/839819701.db2.gz PUVFMQAGEPGTRM-ZIAGYGMSSA-N 0 1 293.367 0.571 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]cc2C)[C@H](O)C1 ZINC001090803921 839821243 /nfs/dbraw/zinc/82/12/43/839821243.db2.gz DBAKOWDPZZUWJO-QWHCGFSZSA-N 0 1 263.341 0.674 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncnc2CC)[C@H](O)C1 ZINC001090817480 839831807 /nfs/dbraw/zinc/83/18/07/839831807.db2.gz IZNDYNZOMAASCW-UONOGXRCSA-N 0 1 290.367 0.390 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCC1CC(NCC#N)C1 ZINC001090954666 839916281 /nfs/dbraw/zinc/91/62/81/839916281.db2.gz KYCVRKFJVNCUSR-MCIGGMRASA-N 0 1 250.346 0.089 20 30 CCEDMN CC(=O)N[C@@H](CS)C(=O)Nc1nc(C)nc2nc[nH]c21 ZINC001144402585 840037293 /nfs/dbraw/zinc/03/72/93/840037293.db2.gz YIYXNGMARGLDBN-ZETCQYMHSA-N 0 1 294.340 0.034 20 30 CCEDMN CC(C)n1ncc(NC(=N)c2ccc(C(N)=O)cc2)cc1=O ZINC001171258948 840223573 /nfs/dbraw/zinc/22/35/73/840223573.db2.gz REGVMOPSWLXFFP-UHFFFAOYSA-N 0 1 299.334 0.960 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)c2cnc(C)[nH]2)CC1 ZINC001146870211 840389265 /nfs/dbraw/zinc/38/92/65/840389265.db2.gz QYEVBPKQDLFPMB-UHFFFAOYSA-N 0 1 276.296 0.637 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001146993595 840437324 /nfs/dbraw/zinc/43/73/24/840437324.db2.gz DBCNZEKXBUEPOR-CYBMUJFWSA-N 0 1 287.791 0.703 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@@H]1CCNC1=O)C2 ZINC001147166267 840507961 /nfs/dbraw/zinc/50/79/61/840507961.db2.gz VAEYVUAYKXAXBC-GFCCVEGCSA-N 0 1 277.368 0.375 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@H](C)C(=O)NC)C2 ZINC001147186775 840528369 /nfs/dbraw/zinc/52/83/69/840528369.db2.gz CGQHDZWHZWLHCA-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2n[nH]c(CO)n2)s1 ZINC001148340169 840786010 /nfs/dbraw/zinc/78/60/10/840786010.db2.gz PJVKRGSAYXIPLF-UHFFFAOYSA-N 0 1 263.282 0.160 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2nnc(CO)[nH]2)s1 ZINC001148340169 840786019 /nfs/dbraw/zinc/78/60/19/840786019.db2.gz PJVKRGSAYXIPLF-UHFFFAOYSA-N 0 1 263.282 0.160 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN[C@@H](C)c2cnccn2)cn1 ZINC001148382710 840797083 /nfs/dbraw/zinc/79/70/83/840797083.db2.gz BNVNRSXRYDAGST-LBPRGKRZSA-N 0 1 295.346 0.934 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C(C)(F)F)C1 ZINC001149002101 840914526 /nfs/dbraw/zinc/91/45/26/840914526.db2.gz FYBOZCQNNGIULL-NSHDSACASA-N 0 1 274.311 0.872 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc[nH]c(=O)c2)CC1 ZINC000586052709 840943836 /nfs/dbraw/zinc/94/38/36/840943836.db2.gz PNIYNPNTQDTTPE-UHFFFAOYSA-N 0 1 259.309 0.615 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCO3)[C@@H]2C1 ZINC001186741339 844593831 /nfs/dbraw/zinc/59/38/31/844593831.db2.gz QMOWRQHFSMODHN-RRFJBIMHSA-N 0 1 292.379 0.348 20 30 CCEDMN C=C1CC(C)(C(=O)N2C[C@H]3CN(CC(N)=O)C[C@@]3(C)C2)C1 ZINC001091995655 840980397 /nfs/dbraw/zinc/98/03/97/840980397.db2.gz IUYLMFDMFITEJN-WBMJQRKESA-N 0 1 291.395 0.608 20 30 CCEDMN O=C(C#CC1CC1)NCC1(Nc2ncnc3[nH]cnc32)CC1 ZINC001110131707 841010602 /nfs/dbraw/zinc/01/06/02/841010602.db2.gz ZWEVDIJZLCPWKW-UHFFFAOYSA-N 0 1 296.334 0.827 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)c3ccc[nH]3)[C@@H]2C1 ZINC001186827429 844605815 /nfs/dbraw/zinc/60/58/15/844605815.db2.gz XITJJYVQWWYCDZ-DZGCQCFKSA-N 0 1 287.363 0.811 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cnn(C)n2)[C@H](C)C1 ZINC001093052070 841116487 /nfs/dbraw/zinc/11/64/87/841116487.db2.gz OUTXHHAVFDONAV-MWLCHTKSSA-N 0 1 297.790 0.865 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](C)OCC)[C@@H]2C1 ZINC001186985124 844623639 /nfs/dbraw/zinc/62/36/39/844623639.db2.gz QHKODUJDWQZLGT-MELADBBJSA-N 0 1 264.369 0.967 20 30 CCEDMN Cc1ccc(C#N)c(NCCNC(=O)[C@@H]2CCCN2C)n1 ZINC001093531096 841312946 /nfs/dbraw/zinc/31/29/46/841312946.db2.gz DWSQRCSANDLPFG-ZDUSSCGKSA-N 0 1 287.367 0.884 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCNc1ncc(C#N)cc1F ZINC001093531631 841315241 /nfs/dbraw/zinc/31/52/41/841315241.db2.gz MMMLNVGVHSCRNR-LBPRGKRZSA-N 0 1 291.330 0.715 20 30 CCEDMN Cc1nc(NCCNC(=O)Cc2cnc[nH]2)ccc1C#N ZINC001094150525 841549757 /nfs/dbraw/zinc/54/97/57/841549757.db2.gz MJXOEDPMHVMKIS-UHFFFAOYSA-N 0 1 284.323 0.756 20 30 CCEDMN C#CC[C@@H](COC)NCc1cc(C(N)=O)cs1 ZINC000716857195 841687655 /nfs/dbraw/zinc/68/76/55/841687655.db2.gz PNJMWWQKPIAZRV-JTQLQIEISA-N 0 1 252.339 0.975 20 30 CCEDMN C[C@@H]1C[C@H](N[C@H](C#N)C(N)=O)CN1Cc1ccccc1 ZINC001171731398 841754591 /nfs/dbraw/zinc/75/45/91/841754591.db2.gz AIXCORMUDMUTQE-KWCYVHTRSA-N 0 1 272.352 0.616 20 30 CCEDMN N#C[C@@H]1CN([C@@H]2CCN3C(=O)CC[C@@H]3C2)CCC1=O ZINC001172191615 841860815 /nfs/dbraw/zinc/86/08/15/841860815.db2.gz YJGTUXCPMMIPSP-IJLUTSLNSA-N 0 1 261.325 0.554 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)COCC(F)F)C1 ZINC001150030621 841930006 /nfs/dbraw/zinc/93/00/06/841930006.db2.gz SHGCNUBEGBRKSN-NSHDSACASA-N 0 1 292.326 0.661 20 30 CCEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)[nH]n1)C2 ZINC001095141151 842072884 /nfs/dbraw/zinc/07/28/84/842072884.db2.gz SBKVVYCWIGHORF-WXHSDQCUSA-N 0 1 286.335 0.541 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1cccn1)C2 ZINC001095174495 842087650 /nfs/dbraw/zinc/08/76/50/842087650.db2.gz PZASSDLNCBPLAL-AGIUHOORSA-N 0 1 260.341 0.791 20 30 CCEDMN C=CC[C@@](C)(NC(=O)Cc1cnc[nH]1)C(=O)OC ZINC001176836504 842421341 /nfs/dbraw/zinc/42/13/41/842421341.db2.gz QVUDRYAORVHGFQ-GFCCVEGCSA-N 0 1 251.286 0.576 20 30 CCEDMN N#Cc1c2c(sc1NC(=O)Cc1nn[nH]n1)CCC2 ZINC001176837774 842423570 /nfs/dbraw/zinc/42/35/70/842423570.db2.gz GPHYBZCYMAKUKF-UHFFFAOYSA-N 0 1 274.309 0.803 20 30 CCEDMN COC(=O)c1cc(C#N)cc(NC(=O)Cc2nn[nH]n2)c1 ZINC001176845689 842446302 /nfs/dbraw/zinc/44/63/02/842446302.db2.gz YRSBOAICJOLNPZ-UHFFFAOYSA-N 0 1 286.251 0.039 20 30 CCEDMN C#Cc1cnc(NC(=O)[C@H]2CCc3[nH]cnc3C2)c(C#C)n1 ZINC001177172508 842511465 /nfs/dbraw/zinc/51/14/65/842511465.db2.gz IIADYEANKFTYRA-JTQLQIEISA-N 0 1 291.314 0.906 20 30 CCEDMN N#C[C@@H]1C[C@H](F)CN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001177176281 842516002 /nfs/dbraw/zinc/51/60/02/842516002.db2.gz JJGKMQWOIGEPNV-GUBZILKMSA-N 0 1 262.288 0.977 20 30 CCEDMN N#CC1(NC(=O)[C@H]2CCc3[nH]cnc3C2)CCOCC1 ZINC001177182191 842519630 /nfs/dbraw/zinc/51/96/30/842519630.db2.gz HSDQMPKYSRBIRV-JTQLQIEISA-N 0 1 274.324 0.704 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1nncn1C ZINC001177269195 842541724 /nfs/dbraw/zinc/54/17/24/842541724.db2.gz GTAMTCQVUWQXIR-NSHDSACASA-N 0 1 281.360 0.002 20 30 CCEDMN CCOC(=O)c1c[nH]c(NC(=O)C#Cc2cccnc2)n1 ZINC001177773246 842659237 /nfs/dbraw/zinc/65/92/37/842659237.db2.gz LZEUZPDEURLWDU-UHFFFAOYSA-N 0 1 284.275 0.972 20 30 CCEDMN C[C@@H]1C(=O)NCCN1C(=O)C(C#N)Cc1cccs1 ZINC001177910383 842707374 /nfs/dbraw/zinc/70/73/74/842707374.db2.gz ZYYXELYLCOUNJS-NXEZZACHSA-N 0 1 277.349 0.777 20 30 CCEDMN CNC(=O)[C@H](C)NC(=O)C(C#N)Cc1cccs1 ZINC001177915126 842708292 /nfs/dbraw/zinc/70/82/92/842708292.db2.gz JYIJOBJAXNXEBR-DTWKUNHWSA-N 0 1 265.338 0.681 20 30 CCEDMN C=CC[N@H+]1CCC[C@H]1CNC(=O)CN1CC[NH+](C)CC1 ZINC001178956425 842948177 /nfs/dbraw/zinc/94/81/77/842948177.db2.gz SBIXJNOWTFNEPP-AWEZNQCLSA-N 0 1 280.416 0.000 20 30 CCEDMN N#CCNCCCCCCNC(=O)Cc1cnc[nH]1 ZINC001179690023 843035006 /nfs/dbraw/zinc/03/50/06/843035006.db2.gz WLXDBDKWNMNKEB-UHFFFAOYSA-N 0 1 263.345 0.742 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC(=O)N(C)C)[C@H]1C ZINC001179678711 843038757 /nfs/dbraw/zinc/03/87/57/843038757.db2.gz TTYIXLKNIFXBFY-QWRGUYRKSA-N 0 1 287.791 0.796 20 30 CCEDMN C=CCN1CCN(C(=O)CN2CCN(C(C)C)CC2)CC1 ZINC001180347597 843148327 /nfs/dbraw/zinc/14/83/27/843148327.db2.gz YSOGMBLJTCUBLL-UHFFFAOYSA-N 0 1 294.443 0.343 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@H]1COC(C)(C)O1 ZINC001181748295 843595841 /nfs/dbraw/zinc/59/58/41/843595841.db2.gz SOIFHPRHOGPAQH-NWDGAFQWSA-N 0 1 268.357 0.905 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N1CCNC[C@@H]1C#N ZINC001181998846 843667927 /nfs/dbraw/zinc/66/79/27/843667927.db2.gz ZIWIWKHRDFOSLC-RYUDHWBXSA-N 0 1 250.346 0.185 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn[nH]c1)C2 ZINC001110312133 843838497 /nfs/dbraw/zinc/83/84/97/843838497.db2.gz HHIKNEAECCFSTJ-MCIONIFRSA-N 0 1 290.367 0.947 20 30 CCEDMN C=CCCSCCNCc1cn(CC(=O)OC)nn1 ZINC001182781212 843918288 /nfs/dbraw/zinc/91/82/88/843918288.db2.gz IDWWEMZZNMRNGU-UHFFFAOYSA-N 0 1 284.385 0.850 20 30 CCEDMN N#Cc1c(N)nn(C(=O)Cc2ccc3nc[nH]c3c2)c1N ZINC001182841321 843928385 /nfs/dbraw/zinc/92/83/85/843928385.db2.gz QFDGILLNIMDHLR-UHFFFAOYSA-N 0 1 281.279 0.678 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C(F)F)C1 ZINC001188527868 844898582 /nfs/dbraw/zinc/89/85/82/844898582.db2.gz CUDYHWYRIWWLAC-SNVBAGLBSA-N 0 1 260.284 0.434 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)CCCN(C)CC(N)=O)C1 ZINC001273560294 844891493 /nfs/dbraw/zinc/89/14/93/844891493.db2.gz MDOWBYGSKLNRTF-UHFFFAOYSA-N 0 1 267.373 0.608 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)CC)C1 ZINC001188567045 844907900 /nfs/dbraw/zinc/90/79/00/844907900.db2.gz WUQNZOWTKPAHQO-RYUDHWBXSA-N 0 1 265.357 0.067 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)CC2CC2)C1 ZINC001188617269 844921808 /nfs/dbraw/zinc/92/18/08/844921808.db2.gz GZDAAAFLWRVTHD-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C2CCC2)C1 ZINC001188580023 844924831 /nfs/dbraw/zinc/92/48/31/844924831.db2.gz MDIRGQUHRRVXHI-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C2CCOCC2)C1 ZINC001188580554 844925443 /nfs/dbraw/zinc/92/54/43/844925443.db2.gz SIUPCCBORQLHQY-HNNXBMFYSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C(C)C)C1 ZINC001188914473 844991172 /nfs/dbraw/zinc/99/11/72/844991172.db2.gz IRZMQWVVPZDJPE-CHWSQXEVSA-N 0 1 279.384 0.313 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cc[nH]n2)C1 ZINC001188958686 845006739 /nfs/dbraw/zinc/00/67/39/845006739.db2.gz IWNKQFDZXKCXIL-LBPRGKRZSA-N 0 1 276.340 0.206 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)C2CC2)C1 ZINC001189086254 845048471 /nfs/dbraw/zinc/04/84/71/845048471.db2.gz QEVYMGLHOPGCKJ-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCOC2)C1 ZINC001189198325 845073155 /nfs/dbraw/zinc/07/31/55/845073155.db2.gz VYPIUFXJAZEYPF-UONOGXRCSA-N 0 1 280.368 0.205 20 30 CCEDMN CC[C@H](OC)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189214202 845089515 /nfs/dbraw/zinc/08/95/15/845089515.db2.gz FDRHDHCVMYARDV-KGLIPLIRSA-N 0 1 282.384 0.594 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H](C)OC)C1 ZINC001189287099 845095728 /nfs/dbraw/zinc/09/57/28/845095728.db2.gz CGNRCWTWQNLVJY-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2c[nH]c(C)n2)C1 ZINC001189372239 845117392 /nfs/dbraw/zinc/11/73/92/845117392.db2.gz VULVKKVKHADPKC-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2c[nH]c(C)n2)C1 ZINC001189370331 845118535 /nfs/dbraw/zinc/11/85/35/845118535.db2.gz KFGYVTZJNALVHR-CYBMUJFWSA-N 0 1 290.367 0.514 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)Cc2ccon2)C1 ZINC001189470084 845138486 /nfs/dbraw/zinc/13/84/86/845138486.db2.gz BSZYXKNDNNNACZ-AWEZNQCLSA-N 0 1 291.351 0.400 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCOC2)C1 ZINC001189490630 845147022 /nfs/dbraw/zinc/14/70/22/845147022.db2.gz XAIBMBMNQFTDAP-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001189641360 845177696 /nfs/dbraw/zinc/17/76/96/845177696.db2.gz GXTKVLQEDRVPRZ-QWHCGFSZSA-N 0 1 278.352 0.496 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(OC)no2)C1 ZINC001189736132 845201891 /nfs/dbraw/zinc/20/18/91/845201891.db2.gz TWGZTICQRXTYGM-LLVKDONJSA-N 0 1 277.324 0.853 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@H]2CCCNC2=O)C1 ZINC001189909124 845263442 /nfs/dbraw/zinc/26/34/42/845263442.db2.gz BBLNKXYZURVWIX-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189910500 845266383 /nfs/dbraw/zinc/26/63/83/845266383.db2.gz PMQQMRCAIGVLLH-HNNXBMFYSA-N 0 1 276.380 0.972 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@](C)(O)C=C)C1 ZINC001189916847 845267700 /nfs/dbraw/zinc/26/77/00/845267700.db2.gz APFAFNUTNPPXBK-OCCSQVGLSA-N 0 1 250.342 0.479 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(=O)NC)C1 ZINC001189927590 845274140 /nfs/dbraw/zinc/27/41/40/845274140.db2.gz ZWTDYPBHRONIFO-STQMWFEESA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnnn2CC)C1 ZINC001189996153 845308130 /nfs/dbraw/zinc/30/81/30/845308130.db2.gz REOGWXFWCXCVDM-GFCCVEGCSA-N 0 1 275.356 0.468 20 30 CCEDMN CNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001190054791 845319999 /nfs/dbraw/zinc/31/99/99/845319999.db2.gz TWPNXJZSCQWUOO-STQMWFEESA-N 0 1 279.384 0.313 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190083954 845326386 /nfs/dbraw/zinc/32/63/86/845326386.db2.gz IAUWMVSOOKBNGS-CHWSQXEVSA-N 0 1 293.411 0.833 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001190390151 845394579 /nfs/dbraw/zinc/39/45/79/845394579.db2.gz SQDFAJCHFYHWSB-RYUDHWBXSA-N 0 1 281.400 0.995 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCc2ccn(C)n2)C1 ZINC001190414907 845401672 /nfs/dbraw/zinc/40/16/72/845401672.db2.gz LPLQLQRWIYSGRV-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCn3ccnc3)[C@@H]2C1 ZINC001190459247 845408267 /nfs/dbraw/zinc/40/82/67/845408267.db2.gz ZHRKUSUXLNZODZ-LSDHHAIUSA-N 0 1 286.379 0.829 20 30 CCEDMN COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)C2CC(OC)C2)C1 ZINC001190818081 845508654 /nfs/dbraw/zinc/50/86/54/845508654.db2.gz NZYXJKZCOJZZTB-SHARSMKWSA-N 0 1 294.395 0.594 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C2CC(OC)C2)C1 ZINC001190818081 845508659 /nfs/dbraw/zinc/50/86/59/845508659.db2.gz NZYXJKZCOJZZTB-SHARSMKWSA-N 0 1 294.395 0.594 20 30 CCEDMN C=CCCN(C)[C@H]1CCN(C(=O)[C@@H](C)S(C)(=O)=O)C1 ZINC001190955167 845561870 /nfs/dbraw/zinc/56/18/70/845561870.db2.gz BRNBUEPKUSGDKA-NEPJUHHUSA-N 0 1 288.413 0.528 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)OCCC=C)C1 ZINC001191614918 845697450 /nfs/dbraw/zinc/69/74/50/845697450.db2.gz QYYMSTIOPNSKEK-MGPQQGTHSA-N 0 1 280.368 0.152 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)[C@@H](C)C(=O)NC)C1 ZINC001191727477 845719079 /nfs/dbraw/zinc/71/90/79/845719079.db2.gz NEBFFUPBIJOUSK-RYUDHWBXSA-N 0 1 281.400 0.866 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(CC2=CCCCC2)C[C@H]1O ZINC001191807662 845734367 /nfs/dbraw/zinc/73/43/67/845734367.db2.gz VBAKIPPTYLHZFE-HUUCEWRRSA-N 0 1 294.395 0.851 20 30 CCEDMN CC/C=C\CCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001191863096 845742863 /nfs/dbraw/zinc/74/28/63/845742863.db2.gz CJZFWUDBCUPMAD-CRVKJFQUSA-N 0 1 265.357 0.664 20 30 CCEDMN Cc1ccccc1CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001191864328 845745296 /nfs/dbraw/zinc/74/52/96/845745296.db2.gz NUHKOAGXPNEQRY-BPLDGKMQSA-N 0 1 287.363 0.816 20 30 CCEDMN Cn1ncc(C(=O)Nc2nc[nH]c2C#N)c1Cl ZINC001191934683 845756546 /nfs/dbraw/zinc/75/65/46/845756546.db2.gz VQOLJHIXTWJSEP-UHFFFAOYSA-N 0 1 250.649 0.921 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001192464460 845853356 /nfs/dbraw/zinc/85/33/56/845853356.db2.gz MEKNOBIXQNLQDL-CHWSQXEVSA-N 0 1 265.357 0.067 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001192464462 845853656 /nfs/dbraw/zinc/85/36/56/845853656.db2.gz MEKNOBIXQNLQDL-QWHCGFSZSA-N 0 1 265.357 0.067 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2ccnnc2)C1 ZINC001192522805 845863191 /nfs/dbraw/zinc/86/31/91/845863191.db2.gz KUUHRVXGVBEVOW-ZDUSSCGKSA-N 0 1 258.325 0.646 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccnnc2)C1 ZINC001192522805 845863196 /nfs/dbraw/zinc/86/31/96/845863196.db2.gz KUUHRVXGVBEVOW-ZDUSSCGKSA-N 0 1 258.325 0.646 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)Cc2cnc[nH]2)C1 ZINC001192549842 845867071 /nfs/dbraw/zinc/86/70/71/845867071.db2.gz ACGOSFXOCWJAKJ-CQSZACIVSA-N 0 1 290.367 0.135 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cnccc1N(C)C ZINC001193105354 845978359 /nfs/dbraw/zinc/97/83/59/845978359.db2.gz VBSGXJPSIGASKP-QMMMGPOBSA-N 0 1 254.315 0.801 20 30 CCEDMN COc1ccc(CO)c(NS(=O)(=O)[C@H](C)C#N)c1 ZINC001193149423 846006822 /nfs/dbraw/zinc/00/68/22/846006822.db2.gz OFRZEQGPMPYYDV-MRVPVSSYSA-N 0 1 270.310 0.841 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2ccns2)C1 ZINC001193384222 846066335 /nfs/dbraw/zinc/06/63/35/846066335.db2.gz JIRLCJYQDLLYEL-GHMZBOCLSA-N 0 1 281.381 0.884 20 30 CCEDMN CS(=O)(=O)[C@H]1C[C@H](NC(=O)c2ccc(O)c(C#N)c2)C1 ZINC001193515587 846103297 /nfs/dbraw/zinc/10/32/97/846103297.db2.gz PBDNMKKNKUHMDC-XYPYZODXSA-N 0 1 294.332 0.569 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001193559988 846120170 /nfs/dbraw/zinc/12/01/70/846120170.db2.gz NPOFZYFASQIAQZ-CABCVRRESA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C(C)(C)C)C1 ZINC001193610142 846121696 /nfs/dbraw/zinc/12/16/96/846121696.db2.gz MEVHVUIPZMGRJX-QWHCGFSZSA-N 0 1 293.411 0.703 20 30 CCEDMN COC(=O)[C@H]1C[C@H]1CNC(=O)c1cccc(C#N)c1O ZINC001193659362 846139969 /nfs/dbraw/zinc/13/99/69/846139969.db2.gz LTLFJDFEABPUGK-ONGXEEELSA-N 0 1 274.276 0.803 20 30 CCEDMN N#Cc1cccc(C(=O)NCCc2cc[nH]n2)c1O ZINC001193658068 846140003 /nfs/dbraw/zinc/14/00/03/846140003.db2.gz RMZDOXCYOHNBPC-UHFFFAOYSA-N 0 1 256.265 0.959 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)CCOC)C1 ZINC001194146681 846224092 /nfs/dbraw/zinc/22/40/92/846224092.db2.gz USLNVNBGCSFNEF-OLZOCXBDSA-N 0 1 252.358 0.825 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(COCC)CC2)C1 ZINC001194251959 846250599 /nfs/dbraw/zinc/25/05/99/846250599.db2.gz URNOYRGDLTYTBY-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)C2CCC2)C1 ZINC001194255573 846251540 /nfs/dbraw/zinc/25/15/40/846251540.db2.gz JBGSTBHKCDWJRI-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCOC(=O)N1CCC[C@@H](NC(=O)CN(C)C)C1 ZINC001194312505 846260893 /nfs/dbraw/zinc/26/08/93/846260893.db2.gz SLLACPGFOGLTCI-LLVKDONJSA-N 0 1 269.345 0.451 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COCCOCC)C1 ZINC001194317379 846261757 /nfs/dbraw/zinc/26/17/57/846261757.db2.gz XNLGUDBJLRCCST-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(=O)NC)C1 ZINC001194397805 846286603 /nfs/dbraw/zinc/28/66/03/846286603.db2.gz ZUZNNOKACLYAFS-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)Cn2ccnc2)C1 ZINC001194448724 846303375 /nfs/dbraw/zinc/30/33/75/846303375.db2.gz LBVAZARVVUTCSX-CQSZACIVSA-N 0 1 290.367 0.066 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)NC(=O)C2CCC2)C1 ZINC001194523457 846317286 /nfs/dbraw/zinc/31/72/86/846317286.db2.gz WAQHKGTYGWREGI-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CN(C)C(C)=O)CC1 ZINC001194905352 846414692 /nfs/dbraw/zinc/41/46/92/846414692.db2.gz QBLIHWHFLYOBPS-UHFFFAOYSA-N 0 1 267.373 0.575 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)CCCOC)CC1 ZINC001195008610 846439556 /nfs/dbraw/zinc/43/95/56/846439556.db2.gz UNUBDJAEAGXLRO-UHFFFAOYSA-N 0 1 252.358 0.971 20 30 CCEDMN CCOCCN1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195321825 846493183 /nfs/dbraw/zinc/49/31/83/846493183.db2.gz PXEMXAZIIQEJDB-GFCCVEGCSA-N 0 1 253.346 0.717 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1O ZINC001195484421 846550053 /nfs/dbraw/zinc/55/00/53/846550053.db2.gz WJZFJJIVHUGPAF-MGPQQGTHSA-N 0 1 282.384 0.376 20 30 CCEDMN C[C@@]1(CO)CN(C(=O)c2ccc(C#N)cc2O)CC[C@@H]1O ZINC001195741117 846618827 /nfs/dbraw/zinc/61/88/27/846618827.db2.gz OIVVCRLSYQQHJA-ZFWWWQNUSA-N 0 1 290.319 0.469 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H](O)[C@@H](CO)C2)c(O)c1 ZINC001195740838 846619758 /nfs/dbraw/zinc/61/97/58/846619758.db2.gz LUERJOLIEKUJPJ-PWSUYJOCSA-N 0 1 276.292 0.079 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H](CO)[C@H](O)C2)c(O)c1 ZINC001195740464 846619820 /nfs/dbraw/zinc/61/98/20/846619820.db2.gz GCWIEPKCLLTEHQ-ZWNOBZJWSA-N 0 1 276.292 0.079 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](C)NC(=O)CC)CC1 ZINC001195926729 846648953 /nfs/dbraw/zinc/64/89/53/846648953.db2.gz VSBFITUCLBRAMO-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(CC)C[C@H]2O)CC1 ZINC001195914207 846650502 /nfs/dbraw/zinc/65/05/02/846650502.db2.gz BLCMEMMUTRNVAH-VXGBXAGGSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2(CCC)CC2)C1 ZINC001196003377 846663407 /nfs/dbraw/zinc/66/34/07/846663407.db2.gz AMPLUKCQQVAXJH-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCOCC)C[C@H]2O)C1 ZINC001196019883 846669978 /nfs/dbraw/zinc/66/99/78/846669978.db2.gz JGNBHEXDPMOVPF-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN N#Cc1cccnc1C(=O)N1CCc2nc[nH]c2C1 ZINC001196552228 846775079 /nfs/dbraw/zinc/77/50/79/846775079.db2.gz XBVPUSGBRJZHFE-UHFFFAOYSA-N 0 1 253.265 0.875 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H](C)CC(N)=O)CC1 ZINC001196752042 846799849 /nfs/dbraw/zinc/79/98/49/846799849.db2.gz BQNCEZWPUROGDO-LBPRGKRZSA-N 0 1 267.373 0.608 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H]2CCC[C@]2(NC(=O)CCC)C1 ZINC001111566156 847004439 /nfs/dbraw/zinc/00/44/39/847004439.db2.gz QVSFXYTWSWQCJY-BBRMVZONSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CCCN(C[C@H](C)O)CC1 ZINC001198344226 847089960 /nfs/dbraw/zinc/08/99/60/847089960.db2.gz RRFHDAGYPHLWSC-STQMWFEESA-N 0 1 270.373 0.493 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCC[C@H](c2noc(C)n2)C1 ZINC001251832979 847189350 /nfs/dbraw/zinc/18/93/50/847189350.db2.gz WMMKRAAKHUSWHD-STQMWFEESA-N 0 1 279.340 0.568 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC1(C#N)CCOCC1 ZINC001199356001 847297924 /nfs/dbraw/zinc/29/79/24/847297924.db2.gz QAEUYIWRUVLDBS-UHFFFAOYSA-N 0 1 274.324 0.950 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cn(-c2ncccn2)cn1 ZINC001199553804 847355615 /nfs/dbraw/zinc/35/56/15/847355615.db2.gz ZPPVIFMXIOIOJL-UHFFFAOYSA-N 0 1 280.251 0.509 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001110538102 847372747 /nfs/dbraw/zinc/37/27/47/847372747.db2.gz NVMGHVNMOHIHNS-UPJWGTAASA-N 0 1 250.342 0.360 20 30 CCEDMN N#Cc1cnc(C(=O)Nc2ccc3[nH]nnc3c2)cn1 ZINC001199666240 847390477 /nfs/dbraw/zinc/39/04/77/847390477.db2.gz XIRPDSLAEXYTJY-UHFFFAOYSA-N 0 1 265.236 0.872 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(Cc3nnc(C)o3)C2)C1=O ZINC001273792685 847467511 /nfs/dbraw/zinc/46/75/11/847467511.db2.gz XAJROHQDAGNVMB-CQSZACIVSA-N 0 1 276.340 0.988 20 30 CCEDMN CC(C)C#CC(=O)N1CCC(NCc2cnon2)CC1 ZINC001200448779 847629333 /nfs/dbraw/zinc/62/93/33/847629333.db2.gz NHZFAASXGUZXAN-UHFFFAOYSA-N 0 1 276.340 0.810 20 30 CCEDMN Cn1nncc1CNC/C=C\CNC(=O)c1cc(C#N)c[nH]1 ZINC001273938851 847880273 /nfs/dbraw/zinc/88/02/73/847880273.db2.gz KVVSOEGGUSFVBV-IHWYPQMZSA-N 0 1 299.338 0.091 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1c[nH]cn1)C2 ZINC001110642824 847935058 /nfs/dbraw/zinc/93/50/58/847935058.db2.gz NIUYTBLMAZTITL-MCIONIFRSA-N 0 1 272.352 0.697 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cnc[nH]1)C2 ZINC001110642642 847935205 /nfs/dbraw/zinc/93/52/05/847935205.db2.gz CPQPUQOULTVLPP-UPJWGTAASA-N 0 1 273.340 0.587 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ccnc(OCCOC)n1 ZINC001252497151 847990829 /nfs/dbraw/zinc/99/08/29/847990829.db2.gz KYZMXUGLZGVXBI-ZDUSSCGKSA-N 0 1 297.355 0.155 20 30 CCEDMN C#CCN1CCC2(CCN([C@@H](C)C(C)=O)CC2)C1=O ZINC001273980664 848193731 /nfs/dbraw/zinc/19/37/31/848193731.db2.gz DNJXGLLVVGBVPV-LBPRGKRZSA-N 0 1 262.353 0.912 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(COCCOC)C2)C1=O ZINC001273990096 848210802 /nfs/dbraw/zinc/21/08/02/848210802.db2.gz KXUICXQYCZNOKO-CQSZACIVSA-N 0 1 266.341 0.165 20 30 CCEDMN N#CCN[C@@H]1C[C@H](NC(=O)CCc2nc[nH]n2)C12CCC2 ZINC001202724268 848220220 /nfs/dbraw/zinc/22/02/20/848220220.db2.gz ISJQQOPGEZLIDH-MNOVXSKESA-N 0 1 288.355 0.278 20 30 CCEDMN CC(=O)NCCN1CCC[C@@]12CCN(CCCC#N)C2=O ZINC001274001258 848277684 /nfs/dbraw/zinc/27/76/84/848277684.db2.gz ZFBQKPFTKJFARS-HNNXBMFYSA-N 0 1 292.383 0.493 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@@H]1CCNC1=O ZINC001274090003 848347586 /nfs/dbraw/zinc/34/75/86/848347586.db2.gz UFRTYDXLSSXHFI-QUCGXOGASA-N 0 1 285.775 0.527 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CNCC(=O)N(C)C ZINC001274252347 848431411 /nfs/dbraw/zinc/43/14/11/848431411.db2.gz CLOSDMJNXCUEPF-BQYQJAHWSA-N 0 1 281.400 0.939 20 30 CCEDMN N#CCNC(=O)CNC/C=C\CNC(=O)[C@@H]1CC[C@@H](F)C1 ZINC001274319107 848449140 /nfs/dbraw/zinc/44/91/40/848449140.db2.gz XVCIWPBYYRMMEE-JZIZGNRHSA-N 0 1 296.346 0.026 20 30 CCEDMN C#Cc1cncc(C(=O)NC/C=C\CNCCF)c1 ZINC001274348112 848455382 /nfs/dbraw/zinc/45/53/82/848455382.db2.gz KFRUBMRKMCXRAT-ARJAWSKDSA-N 0 1 261.300 0.908 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)CCCCN2Cc1nnc[nH]1 ZINC001274354332 848456043 /nfs/dbraw/zinc/45/60/43/848456043.db2.gz GTZOAHGHGMJDNA-CQSZACIVSA-N 0 1 273.340 0.395 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2Cc2nnc[nH]2)C1=O ZINC001274354132 848456161 /nfs/dbraw/zinc/45/61/61/848456161.db2.gz ACKUGKMPMPZSOT-CYBMUJFWSA-N 0 1 259.313 0.005 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H](C)[C@@H](C)COC)CC1 ZINC001274910433 848590252 /nfs/dbraw/zinc/59/02/52/848590252.db2.gz KSSRKMARFQQWCY-KBPBESRZSA-N 0 1 296.411 0.481 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H]2CC23CC3)CC1 ZINC001274930293 848595090 /nfs/dbraw/zinc/59/50/90/848595090.db2.gz YIZUNJAXZLYQRQ-GFCCVEGCSA-N 0 1 264.369 0.916 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC[C@H]1CN(C)CC#CC ZINC001275109229 848640657 /nfs/dbraw/zinc/64/06/57/848640657.db2.gz YCWMFCRQJPVNAR-CABCVRRESA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CCC(=O)N1C ZINC001275135053 848645962 /nfs/dbraw/zinc/64/59/62/848645962.db2.gz FOURGIXKRPWFGB-QWHCGFSZSA-N 0 1 277.368 0.163 20 30 CCEDMN CCO[C@@H](CC)C(=O)N[C@H](C)C[N@@H+](C)CC#CCOC ZINC001275512619 848746857 /nfs/dbraw/zinc/74/68/57/848746857.db2.gz MDPCWGOBQVUTMJ-KGLIPLIRSA-N 0 1 284.400 0.888 20 30 CCEDMN CCO[C@@H](CC)C(=O)N[C@H](C)CN(C)CC#CCOC ZINC001275512619 848746862 /nfs/dbraw/zinc/74/68/62/848746862.db2.gz MDPCWGOBQVUTMJ-KGLIPLIRSA-N 0 1 284.400 0.888 20 30 CCEDMN CN1CCC12CN(C(=O)c1ccc(O)c(C#N)c1)C2 ZINC001275708077 848797456 /nfs/dbraw/zinc/79/74/56/848797456.db2.gz ICNREKKBYBFERW-UHFFFAOYSA-N 0 1 257.293 0.794 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@@H]1COC(=O)C1 ZINC001275809176 848831409 /nfs/dbraw/zinc/83/14/09/848831409.db2.gz BZTZHMSOOGYNEK-NEPJUHHUSA-N 0 1 266.341 0.399 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnn2ccncc12 ZINC001275849337 848845369 /nfs/dbraw/zinc/84/53/69/848845369.db2.gz DFBVIVGPNUDRGV-LLVKDONJSA-N 0 1 271.324 0.413 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(C)[C@H]1CCNC1=O ZINC001275896619 848859852 /nfs/dbraw/zinc/85/98/52/848859852.db2.gz CCWYWLWFFZTMFQ-NEPJUHHUSA-N 0 1 281.400 0.914 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@]1(C)CCOC1 ZINC001275962306 848874345 /nfs/dbraw/zinc/87/43/45/848874345.db2.gz FIICCCIJIPFTGO-DZGCQCFKSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnn(CC2CC2)c1 ZINC001275970052 848876616 /nfs/dbraw/zinc/87/66/16/848876616.db2.gz JQXLTPFDAYZGRZ-LBPRGKRZSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1conc1COC ZINC001275970899 848877515 /nfs/dbraw/zinc/87/75/15/848877515.db2.gz SOTOKYNACMZQTG-SNVBAGLBSA-N 0 1 265.313 0.504 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C1CCN(C(C)=O)CC1 ZINC001275993063 848884667 /nfs/dbraw/zinc/88/46/67/848884667.db2.gz DUXGYQRQSQCMTC-LBPRGKRZSA-N 0 1 279.384 0.315 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001095433817 848907856 /nfs/dbraw/zinc/90/78/56/848907856.db2.gz IBRJDXCUACEDJY-MRLBHPIUSA-N 0 1 294.395 0.681 20 30 CCEDMN N#Cc1cc(C(=O)N2CC3(C[C@H]3C(N)=O)C2)ccc1O ZINC001276156789 848941982 /nfs/dbraw/zinc/94/19/82/848941982.db2.gz YZNZNRCOGQSHJO-JTQLQIEISA-N 0 1 271.276 0.211 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCC(=O)NC1)C2 ZINC001095522900 848972677 /nfs/dbraw/zinc/97/26/77/848972677.db2.gz ONAPKMXQHSYSBF-CRWXNKLISA-N 0 1 289.379 0.257 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCC(=O)N1)C2 ZINC001095585585 848994752 /nfs/dbraw/zinc/99/47/52/848994752.db2.gz WICIULYOSYNORQ-NDBYEHHHSA-N 0 1 277.368 0.563 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(C)CCNC(=O)C1)C2 ZINC001095609933 848998432 /nfs/dbraw/zinc/99/84/32/848998432.db2.gz WKCLGHQCRWQMKT-DVZHBHJUSA-N 0 1 291.395 0.810 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN1CCCCC1=O)C2 ZINC001095678804 849006566 /nfs/dbraw/zinc/00/65/66/849006566.db2.gz VGVGWYUKTBSXCK-RDBSUJKOSA-N 0 1 289.379 0.354 20 30 CCEDMN CC[C@H](C)[N@@H+](CCC[NH3+])C[C@H](S)OP(=O)([O-])[O-] ZINC001257435751 849047077 /nfs/dbraw/zinc/04/70/77/849047077.db2.gz BWKCAUWCNFAZBO-IUCAKERBSA-N 0 1 286.334 0.801 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCN1CCOCC1)C2 ZINC001111149984 849080285 /nfs/dbraw/zinc/08/02/85/849080285.db2.gz DPYYSVLJZWEIMC-KFWWJZLASA-N 0 1 291.395 0.063 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCCC(=O)N1)C2 ZINC001095730342 849092890 /nfs/dbraw/zinc/09/28/90/849092890.db2.gz FEMXDKQWGOAVRU-MQYQWHSLSA-N 0 1 291.395 0.953 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCCOC)C2 ZINC001111251332 849130040 /nfs/dbraw/zinc/13/00/40/849130040.db2.gz RRNNUJUQZUPMSL-MCIONIFRSA-N 0 1 282.384 0.947 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(=O)Nc1ccccc1 ZINC001114521732 849233520 /nfs/dbraw/zinc/23/35/20/849233520.db2.gz UMOLLLYMTPYNOR-WDNDVIMCSA-N 0 1 283.331 0.305 20 30 CCEDMN N#C[C@@H]1CN(Cc2ccc(OCC(N)=O)cc2)CCC1=O ZINC001138870612 849364687 /nfs/dbraw/zinc/36/46/87/849364687.db2.gz SFTUERADMAPLQD-GFCCVEGCSA-N 0 1 287.319 0.465 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN([C@H](CC)C(N)=O)C[C@H]21 ZINC001114760083 849387631 /nfs/dbraw/zinc/38/76/31/849387631.db2.gz TVXOZBUCDMBABF-CZXHOFHRSA-N 0 1 279.384 0.653 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114792514 849395568 /nfs/dbraw/zinc/39/55/68/849395568.db2.gz UMXMNALAEJHMCW-TWJWRFFLSA-N 0 1 293.411 0.723 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCN(C)CC1)[C@H]1CCCO1 ZINC000720616276 849504300 /nfs/dbraw/zinc/50/43/00/849504300.db2.gz JQNTZQUWGDJPIF-NWDGAFQWSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@@H](CC)C(N)=O)C[C@H]21 ZINC001114897451 849597997 /nfs/dbraw/zinc/59/79/97/849597997.db2.gz YTBPBQWVBHRJGN-QNWHQSFQSA-N 0 1 293.411 0.899 20 30 CCEDMN CC#CC[N@H+]1CC[C@@]2(CCN(C(=O)C3=COCCO3)C2)C1 ZINC001041043760 849602500 /nfs/dbraw/zinc/60/25/00/849602500.db2.gz XGZRVMGGJHVXOZ-MRXNPFEDSA-N 0 1 290.363 0.822 20 30 CCEDMN Cc1cc(C(=O)N(C)CCCN(C)C(=O)[C@@H](C)C#N)n[nH]1 ZINC001066945054 849684768 /nfs/dbraw/zinc/68/47/68/849684768.db2.gz XAAKIZMKQVYPIT-JTQLQIEISA-N 0 1 291.355 0.798 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001038641738 849791523 /nfs/dbraw/zinc/79/15/23/849791523.db2.gz ZONAHSFNGOKHEQ-NEPJUHHUSA-N 0 1 287.367 0.438 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001038364274 849863193 /nfs/dbraw/zinc/86/31/93/849863193.db2.gz RRUJOEOEUJFSNM-QJPTWQEYSA-N 0 1 258.325 0.337 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)[C@H]1CCCc2[nH]cnc21 ZINC001038682945 849981018 /nfs/dbraw/zinc/98/10/18/849981018.db2.gz GDWKCAZROZLFRM-QWRGUYRKSA-N 0 1 273.340 0.544 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1c[nH]c(=O)cc1C ZINC001038695403 849984070 /nfs/dbraw/zinc/98/40/70/849984070.db2.gz OYAQSUDPLOSNMK-LBPRGKRZSA-N 0 1 273.336 0.923 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C1CCN(C(C)=O)CC1 ZINC001039004675 850116937 /nfs/dbraw/zinc/11/69/37/850116937.db2.gz DPAUCYGMUMVVMF-OAHLLOKOSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1COCCN1C(C)=O ZINC001039027132 850128014 /nfs/dbraw/zinc/12/80/14/850128014.db2.gz AHDYQTOYKPNIQW-UONOGXRCSA-N 0 1 295.383 0.000 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001039049144 850139519 /nfs/dbraw/zinc/13/95/19/850139519.db2.gz WQAGXKFCOPPJAV-CHWSQXEVSA-N 0 1 289.383 0.607 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCO ZINC001039530869 850211788 /nfs/dbraw/zinc/21/17/88/850211788.db2.gz DOPHRCXMMOVTAH-UPJWGTAASA-N 0 1 265.357 0.594 20 30 CCEDMN C#CCN1CCC[C@]2(CCN(C(=O)c3cnn(C)n3)C2)C1 ZINC001040446076 850290652 /nfs/dbraw/zinc/29/06/52/850290652.db2.gz IUKSYAAKXLVKBV-HNNXBMFYSA-N 0 1 287.367 0.376 20 30 CCEDMN N#CCN1CCC2(CCN(C(=O)Cc3ncn[nH]3)C2)CC1 ZINC001040909612 850327459 /nfs/dbraw/zinc/32/74/59/850327459.db2.gz JIWZCWJZVFJKSE-UHFFFAOYSA-N 0 1 288.355 0.185 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@]2(CCN(CC(=O)N(C)C)C2)C1 ZINC001041137915 850379780 /nfs/dbraw/zinc/37/97/80/850379780.db2.gz ZGDRSDDWEFIQBE-DOMZBBRYSA-N 0 1 292.383 0.159 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)[C@@H]3CCC(=O)NC3)C2)C1 ZINC001041431222 850444102 /nfs/dbraw/zinc/44/41/02/850444102.db2.gz JCXJMCXTYVUENB-CJNGLKHVSA-N 0 1 289.379 0.070 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC[C@]2(CCN(CC#N)C2)C1 ZINC001041408178 850445226 /nfs/dbraw/zinc/44/52/26/850445226.db2.gz LJOGAKPKNXWRBM-HOCLYGCPSA-N 0 1 290.411 0.919 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3c[nH]c(C)n3)C[C@H]21 ZINC001041978456 850547190 /nfs/dbraw/zinc/54/71/90/850547190.db2.gz LHUGFHRZBPFBRT-TZMCWYRMSA-N 0 1 272.352 0.888 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)CCc3nc[nH]n3)C[C@H]21 ZINC001042005197 850555889 /nfs/dbraw/zinc/55/58/89/850555889.db2.gz CYQXYOXMEOBTGQ-NWDGAFQWSA-N 0 1 288.355 0.184 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])C[C@H]21 ZINC001042015071 850557871 /nfs/dbraw/zinc/55/78/71/850557871.db2.gz BOKAJHVJHCWLOE-VXGBXAGGSA-N 0 1 288.351 0.593 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cn(C)nn3)C[C@H]21 ZINC001042046955 850567240 /nfs/dbraw/zinc/56/72/40/850567240.db2.gz RKQPLCBUUKKMBV-TZMCWYRMSA-N 0 1 287.367 0.375 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnn(CC)n3)C[C@@H]21 ZINC001042044967 850567327 /nfs/dbraw/zinc/56/73/27/850567327.db2.gz ATVMLGXDFYFKDP-JSGCOSHPSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCCN1CC(N(C)C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001042725141 850745555 /nfs/dbraw/zinc/74/55/55/850745555.db2.gz MBPPIKAMCWPIFS-CQSZACIVSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@H]2CCc3[nH]c(C)nc3C2)C1 ZINC001043139350 850821222 /nfs/dbraw/zinc/82/12/22/850821222.db2.gz FUJNOBYHZIZZHO-LBPRGKRZSA-N 0 1 286.379 0.599 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001043297504 850850573 /nfs/dbraw/zinc/85/05/73/850850573.db2.gz DZPMLVKALIQENG-UHFFFAOYSA-N 0 1 295.346 0.657 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001043560020 850898841 /nfs/dbraw/zinc/89/88/41/850898841.db2.gz OSJLSDNLAGZSDE-ZDUSSCGKSA-N 0 1 279.384 0.716 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001044284343 851059580 /nfs/dbraw/zinc/05/95/80/851059580.db2.gz RFYLJMJRRPUQIM-UHFFFAOYSA-N 0 1 283.335 0.737 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](NCC#N)C[C@H]2C)n[nH]1 ZINC001044498344 851113024 /nfs/dbraw/zinc/11/30/24/851113024.db2.gz NQIGRYSQHLTWQC-MNOVXSKESA-N 0 1 261.329 0.824 20 30 CCEDMN C[C@H]1C[C@H](NCC#N)CCN1C(=O)CCc1nc[nH]n1 ZINC001044533632 851119208 /nfs/dbraw/zinc/11/92/08/851119208.db2.gz AUQDWWAKXYWAIU-WDEREUQCSA-N 0 1 276.344 0.230 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCCO2)CC1 ZINC001045354537 851240772 /nfs/dbraw/zinc/24/07/72/851240772.db2.gz YGVJYNVEFJRYCG-LBPRGKRZSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001045400751 851249984 /nfs/dbraw/zinc/24/99/84/851249984.db2.gz ZRQVKASBGPIKKE-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2COCCO2)C1 ZINC001046162244 851402744 /nfs/dbraw/zinc/40/27/44/851402744.db2.gz GQWJBDPLTOJVCB-JSGCOSHPSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCCN1CC[C@@](C)(NC(=O)c2cc(OC)no2)C1 ZINC001046254036 851439263 /nfs/dbraw/zinc/43/92/63/851439263.db2.gz GZMUKTUWDHZFKU-CQSZACIVSA-N 0 1 277.324 0.901 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001046361739 851477660 /nfs/dbraw/zinc/47/76/60/851477660.db2.gz KQCRPJWSVDHATN-CQSZACIVSA-N 0 1 286.339 0.733 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCCn3nccc32)C1 ZINC001046428727 851498766 /nfs/dbraw/zinc/49/87/66/851498766.db2.gz MBILEHHBQCABGV-BBRMVZONSA-N 0 1 286.379 0.974 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc(OC)n(C)n2)C1 ZINC001046475299 851520172 /nfs/dbraw/zinc/52/01/72/851520172.db2.gz ZSUJSANLXLGCFE-OAHLLOKOSA-N 0 1 290.367 0.646 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc3nnnn3c2)C1 ZINC001046480425 851521640 /nfs/dbraw/zinc/52/16/40/851521640.db2.gz BRXVUJJBRLJIFE-OAHLLOKOSA-N 0 1 298.350 0.342 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001046558961 851548346 /nfs/dbraw/zinc/54/83/46/851548346.db2.gz WMKNNTHVUBMIDX-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001046566754 851548907 /nfs/dbraw/zinc/54/89/07/851548907.db2.gz IYOMQANQJNKBGA-OAHLLOKOSA-N 0 1 288.351 0.708 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cnc(C)n2C)C1 ZINC001046570148 851554041 /nfs/dbraw/zinc/55/40/41/851554041.db2.gz VYIXKJAJIOUIEX-CQSZACIVSA-N 0 1 260.341 0.556 20 30 CCEDMN C=C(Cl)CN1CC[C@@](C)(NC(=O)c2ncn[nH]2)C1 ZINC001046715215 851592426 /nfs/dbraw/zinc/59/24/26/851592426.db2.gz WJGANELOBLZMOJ-LLVKDONJSA-N 0 1 269.736 0.751 20 30 CCEDMN C=C(Cl)CN1CC[C@@](C)(NC(=O)c2nc[nH]n2)C1 ZINC001046715215 851592431 /nfs/dbraw/zinc/59/24/31/851592431.db2.gz WJGANELOBLZMOJ-LLVKDONJSA-N 0 1 269.736 0.751 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cnn3cc[nH]c23)C1 ZINC001046797377 851616205 /nfs/dbraw/zinc/61/62/05/851616205.db2.gz ZIGIYOVXRVHQMQ-AWEZNQCLSA-N 0 1 271.324 0.490 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(=O)[nH]c2)C1 ZINC001046816077 851619783 /nfs/dbraw/zinc/61/97/83/851619783.db2.gz CXZKAKKDOBUIMX-AWEZNQCLSA-N 0 1 259.309 0.615 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCCCO2)C1 ZINC001047350243 851730594 /nfs/dbraw/zinc/73/05/94/851730594.db2.gz UTCIYHCNZIKNOU-IHRRRGAJSA-N 0 1 282.384 0.635 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C2CCC(O)CC2)C1 ZINC001047363099 851737726 /nfs/dbraw/zinc/73/77/26/851737726.db2.gz ZXIDEVKKFHGWOH-WUCCLRPBSA-N 0 1 296.411 0.617 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cncs2)C1 ZINC001047418392 851756790 /nfs/dbraw/zinc/75/67/90/851756790.db2.gz PGBHFFXHYMGZNR-STQMWFEESA-N 0 1 293.392 0.212 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cncs2)C1 ZINC001047418604 851757198 /nfs/dbraw/zinc/75/71/98/851757198.db2.gz WRBJBDSEUIRYKV-STQMWFEESA-N 0 1 295.408 0.765 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@H]2CN(CC)C[C@@H]2O)cc1 ZINC001047481007 851780374 /nfs/dbraw/zinc/78/03/74/851780374.db2.gz ULNRKCXLZJZUKN-GJZGRUSLSA-N 0 1 272.348 0.805 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2(C)CC(=C)C2)C1 ZINC001047519850 851798155 /nfs/dbraw/zinc/79/81/55/851798155.db2.gz UFTMTQLLTHFBFL-KBPBESRZSA-N 0 1 276.380 0.870 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CC23CC3)C1 ZINC001047543559 851809307 /nfs/dbraw/zinc/80/93/07/851809307.db2.gz WAKCAPILDGIYLJ-AVGNSLFASA-N 0 1 262.353 0.313 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2nnn(C)c2C)CC[C@H]1C ZINC001071404306 851906170 /nfs/dbraw/zinc/90/61/70/851906170.db2.gz LYQSVQBQTDTNER-ZYHUDNBSSA-N 0 1 277.372 0.892 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1COCCO1 ZINC001049346423 852239892 /nfs/dbraw/zinc/23/98/92/852239892.db2.gz LRDYHLMGVICEHW-RBSFLKMASA-N 0 1 292.379 0.490 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@H]3[C@@H]2CCN3CC#N)n[nH]1 ZINC001049357082 852242096 /nfs/dbraw/zinc/24/20/96/852242096.db2.gz OEKZBYMQDZJOFY-STQMWFEESA-N 0 1 273.340 0.921 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnn(CC)n1 ZINC001049456588 852285182 /nfs/dbraw/zinc/28/51/82/852285182.db2.gz MZHYJXGGCATCQP-KGLIPLIRSA-N 0 1 287.367 0.610 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnnn1CC ZINC001049465770 852289867 /nfs/dbraw/zinc/28/98/67/852289867.db2.gz VGHQIMXGTRAQEO-QWHCGFSZSA-N 0 1 287.367 0.610 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1c[nH]c(=O)cn1 ZINC001049678187 852342749 /nfs/dbraw/zinc/34/27/49/852342749.db2.gz YOBYFTKMDMHKTI-CHWSQXEVSA-N 0 1 286.335 0.082 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@]1(C)CCC(=O)N1 ZINC001049700199 852354046 /nfs/dbraw/zinc/35/40/46/852354046.db2.gz RXYHTLXHERRRHF-IOASZLSFSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccnn1 ZINC001049809802 852379454 /nfs/dbraw/zinc/37/94/54/852379454.db2.gz ZEUHJDFHJUMSFZ-KBPBESRZSA-N 0 1 270.336 0.789 20 30 CCEDMN COCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(C#N)c1)C2 ZINC001096974957 852473055 /nfs/dbraw/zinc/47/30/55/852473055.db2.gz KVFQPALBNWCAJX-MCIONIFRSA-N 0 1 288.351 0.868 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(=O)n(C)o1)C2 ZINC001097009315 852485964 /nfs/dbraw/zinc/48/59/64/852485964.db2.gz OVMJJFXQHDVZMH-UTUOFQBUSA-N 0 1 289.335 0.337 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccn(C)n1)C2 ZINC001097059462 852495791 /nfs/dbraw/zinc/49/57/91/852495791.db2.gz SFPWOOHHYUFGFQ-KFWWJZLASA-N 0 1 286.379 0.707 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCNC1=O)C2 ZINC001097263790 852519914 /nfs/dbraw/zinc/51/99/14/852519914.db2.gz BLHAVOQHFQOJNY-LPWJVIDDSA-N 0 1 277.368 0.420 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCC(=O)NC1)C2 ZINC001097402610 852535790 /nfs/dbraw/zinc/53/57/90/852535790.db2.gz FSFHGFFZOYTVRJ-SYQHCUMBSA-N 0 1 289.379 0.257 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(C)CCC(=O)NC1)C2 ZINC001097689880 852576915 /nfs/dbraw/zinc/57/69/15/852576915.db2.gz KIMGQLRRIANOJK-FOCJUVANSA-N 0 1 291.395 0.810 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)CN2CCCC2)CC1 ZINC001052938466 852674044 /nfs/dbraw/zinc/67/40/44/852674044.db2.gz YLEMFEJEIPMIQI-ZDUSSCGKSA-N 0 1 264.373 0.576 20 30 CCEDMN N#CCN1CC[C@@]2(C1)CCCN(C(=O)Cc1ncn[nH]1)C2 ZINC001054226725 852896505 /nfs/dbraw/zinc/89/65/05/852896505.db2.gz XMNXJBLNHYPTGN-CQSZACIVSA-N 0 1 288.355 0.185 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CN2CCCC2=O)C[C@@H]1C ZINC001054374500 852937647 /nfs/dbraw/zinc/93/76/47/852937647.db2.gz RNDRYGZQERYWCV-CMPLNLGQSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2CN(C(C)=O)C2)C[C@H]1C ZINC001054601552 852977750 /nfs/dbraw/zinc/97/77/50/852977750.db2.gz PGEFWROJYVLBHO-NOZJJQNGSA-N 0 1 299.802 0.654 20 30 CCEDMN C#CC[NH2+][C@@H]1CN(C(=O)c2cccc3nn[n-]c32)C[C@@H]1C ZINC001054673433 852993932 /nfs/dbraw/zinc/99/39/32/852993932.db2.gz PTWFSBHVTXZRNP-GXFFZTMASA-N 0 1 283.335 0.641 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnc[nH]2)C[C@H]1NCC#N ZINC001054722123 853003533 /nfs/dbraw/zinc/00/35/33/853003533.db2.gz PPZGVKXFUXMPNC-ZYHUDNBSSA-N 0 1 261.329 0.302 20 30 CCEDMN CCCc1cc(C(=O)N2C[C@@H](C)[C@@H](NCC#N)C2)n[nH]1 ZINC001054959173 853044673 /nfs/dbraw/zinc/04/46/73/853044673.db2.gz HUGVCSKFENNBQZ-MFKMUULPSA-N 0 1 275.356 0.936 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN1CCC(NC(=O)C2CC2)CC1 ZINC001055630346 853091092 /nfs/dbraw/zinc/09/10/92/853091092.db2.gz ZLSSVXQUWPOGPB-NSHDSACASA-N 0 1 292.383 0.253 20 30 CCEDMN N#Cc1nccnc1N1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001055722386 853109051 /nfs/dbraw/zinc/10/90/51/853109051.db2.gz WXTURHGSLKHJEB-UHFFFAOYSA-N 0 1 283.295 0.034 20 30 CCEDMN N#Cc1ccc(N[C@@H]2CCN(C(=O)Cc3c[nH]cn3)C2)nn1 ZINC001056790596 853213781 /nfs/dbraw/zinc/21/37/81/853213781.db2.gz MJCGKQOSENUELC-LLVKDONJSA-N 0 1 297.322 0.327 20 30 CCEDMN CC(C)(C(=O)N1CCC[C@@H](NCC#N)C1)c1c[nH]cn1 ZINC001000698986 853246853 /nfs/dbraw/zinc/24/68/53/853246853.db2.gz WNZMIJSUELAUMP-LLVKDONJSA-N 0 1 275.356 0.791 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H](O)C(C)C)[C@@H]2C1 ZINC001050039557 853301339 /nfs/dbraw/zinc/30/13/39/853301339.db2.gz HYRXVBGTTJMDCO-BFHYXJOUSA-N 0 1 264.369 0.559 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]([C@@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001050259701 853346998 /nfs/dbraw/zinc/34/69/98/853346998.db2.gz LGFDCBGXYFOZLI-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)CSC)C2)CC1 ZINC001051978060 853667467 /nfs/dbraw/zinc/66/74/67/853667467.db2.gz LCNBDYMFJFYGGJ-CQSZACIVSA-N 0 1 295.452 0.591 20 30 CCEDMN N#Cc1ccc(NC2CC(CNC(=O)c3ncn[nH]3)C2)cn1 ZINC001051982646 853670420 /nfs/dbraw/zinc/67/04/20/853670420.db2.gz DGMYVKFYEXAWKZ-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(NC2CC(CNC(=O)c3nc[nH]n3)C2)cn1 ZINC001051982646 853670426 /nfs/dbraw/zinc/67/04/26/853670426.db2.gz DGMYVKFYEXAWKZ-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)[C@H]3C[C@@H]3C)C2)CC1 ZINC001052067471 853682198 /nfs/dbraw/zinc/68/21/98/853682198.db2.gz KJONQECPFWKIFN-XHSDSOJGSA-N 0 1 289.423 0.884 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](CNC(=O)c2ncn[nH]2)[C@H](C)C1 ZINC001052180538 853700004 /nfs/dbraw/zinc/70/00/04/853700004.db2.gz DETFXMYPTYCQCW-GHMZBOCLSA-N 0 1 291.355 0.595 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](CNC(=O)c2nc[nH]n2)[C@H](C)C1 ZINC001052180538 853700010 /nfs/dbraw/zinc/70/00/10/853700010.db2.gz DETFXMYPTYCQCW-GHMZBOCLSA-N 0 1 291.355 0.595 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](NC(=O)CN3CCCC3)C2)nc1 ZINC001058371762 853833903 /nfs/dbraw/zinc/83/39/03/853833903.db2.gz GPCAYKJGCXVHDC-AWEZNQCLSA-N 0 1 299.378 0.744 20 30 CCEDMN N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)nn1 ZINC001068511093 853902869 /nfs/dbraw/zinc/90/28/69/853902869.db2.gz ROQVACNWZGIRJE-CZMCAQCFSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)nn1 ZINC001068511093 853902876 /nfs/dbraw/zinc/90/28/76/853902876.db2.gz ROQVACNWZGIRJE-CZMCAQCFSA-N 0 1 298.310 0.087 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001070460611 854063370 /nfs/dbraw/zinc/06/33/70/854063370.db2.gz SLNCNQSAFKJCHJ-GFCCVEGCSA-N 0 1 288.351 0.934 20 30 CCEDMN C#CCN1C[C@@H](F)C[C@@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070726267 854089949 /nfs/dbraw/zinc/08/99/49/854089949.db2.gz QTABPUMXRZCQTR-VHSXEESVSA-N 0 1 265.292 0.159 20 30 CCEDMN C#CCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070726267 854089954 /nfs/dbraw/zinc/08/99/54/854089954.db2.gz QTABPUMXRZCQTR-VHSXEESVSA-N 0 1 265.292 0.159 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)C1CC1)C[C@@H](C)O2 ZINC001071115735 854123505 /nfs/dbraw/zinc/12/35/05/854123505.db2.gz CQYNKQRNJSJDOB-IUODEOHRSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)CC(C)(C)O)C[C@@H](C)O2 ZINC001071161296 854132301 /nfs/dbraw/zinc/13/23/01/854132301.db2.gz HXQJIKNGLHFOPB-CZUORRHYSA-N 0 1 294.395 0.472 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2ncn(C)n2)CC[C@@H]1C ZINC001071463144 854206420 /nfs/dbraw/zinc/20/64/20/854206420.db2.gz HNSAUTBEIMPYDV-NWDGAFQWSA-N 0 1 277.372 0.974 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cn(C)nn2)CC[C@H]1C ZINC001071467419 854209356 /nfs/dbraw/zinc/20/93/56/854209356.db2.gz RBLGLYIKCYJICA-GHMZBOCLSA-N 0 1 263.345 0.584 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CC[C@H](C)N(CC#N)C2)n[nH]1 ZINC001071498206 854221720 /nfs/dbraw/zinc/22/17/20/854221720.db2.gz RFEGPKISEOWGFY-ONGXEEELSA-N 0 1 276.344 0.148 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2ccn(C)c(=O)c2)CC[C@@H]1C ZINC001071619855 854249927 /nfs/dbraw/zinc/24/99/27/854249927.db2.gz VUBBDUAUGKMFQA-GXTWGEPZSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCC[N@@H+]1C[C@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@H]1C ZINC001071776526 854284745 /nfs/dbraw/zinc/28/47/45/854284745.db2.gz UVUYUHFPZKJEFU-VXGBXAGGSA-N 0 1 288.351 0.376 20 30 CCEDMN C=CCCC(=O)N[C@H]1CN(C(=O)Cc2ccn[nH]2)C[C@@H]1C ZINC001071850403 854307160 /nfs/dbraw/zinc/30/71/60/854307160.db2.gz PUKRSCQKQYCLEI-AAEUAGOBSA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ncc[nH]2)CC[C@@H]1C ZINC001071933901 854321263 /nfs/dbraw/zinc/32/12/63/854321263.db2.gz CLSYKJIIVHBHNM-RYUDHWBXSA-N 0 1 260.341 0.555 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cncn2C)CC[C@H]1C ZINC001071990240 854331400 /nfs/dbraw/zinc/33/14/00/854331400.db2.gz WNRYFKJDCQKNGU-OLZOCXBDSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3C[C@H]3OCC)C2)C1 ZINC001072493177 854398953 /nfs/dbraw/zinc/39/89/53/854398953.db2.gz UMBIYUOUVAEGRB-CHWSQXEVSA-N 0 1 262.353 0.579 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cc(C)n(C)n3)C2)C1 ZINC001072525814 854406898 /nfs/dbraw/zinc/40/68/98/854406898.db2.gz CYABJFACGNTBDX-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN Cc1cc(CN2CCC3(CN(C(=O)[C@@H](C)C#N)C3)C2)ncn1 ZINC001072551183 854414929 /nfs/dbraw/zinc/41/49/29/854414929.db2.gz BWWGXHGLFQFYPG-LBPRGKRZSA-N 0 1 299.378 0.979 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cc(C)ncn3)C2)C1 ZINC001072637356 854435080 /nfs/dbraw/zinc/43/50/80/854435080.db2.gz HSSCGGQYVZQRSP-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3nccc(C)n3)C2)C1 ZINC001072678289 854444989 /nfs/dbraw/zinc/44/49/89/854444989.db2.gz AZXYJWSWMXIZFQ-UHFFFAOYSA-N 0 1 270.336 0.566 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](OC)C3CC3)C2)C1 ZINC001072686565 854445952 /nfs/dbraw/zinc/44/59/52/854445952.db2.gz QBXOPOWCJUBGRG-ZDUSSCGKSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCCc4n[nH]cc43)C2)C1 ZINC001072735607 854455676 /nfs/dbraw/zinc/45/56/76/854455676.db2.gz JERMPRWJATULRI-ZDUSSCGKSA-N 0 1 298.390 0.997 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cnc(C)o3)C2)C1 ZINC001072811222 854469378 /nfs/dbraw/zinc/46/93/78/854469378.db2.gz MCJUJIDLMBGBIY-UHFFFAOYSA-N 0 1 273.336 0.693 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001072944409 854497810 /nfs/dbraw/zinc/49/78/10/854497810.db2.gz BOSGGUWVLOGTAP-IUODEOHRSA-N 0 1 293.411 0.997 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC3(C2)CCN([C@@H](C)C(N)=O)C3)C1 ZINC001072964257 854503173 /nfs/dbraw/zinc/50/31/73/854503173.db2.gz PHWUDFWUKXOTBC-LBPRGKRZSA-N 0 1 291.395 0.751 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cn3ccc(C)n3)C2)C1 ZINC001072993626 854512346 /nfs/dbraw/zinc/51/23/46/854512346.db2.gz LLHSBWRVEJODCX-UHFFFAOYSA-N 0 1 272.352 0.359 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3nocc3C)C2)C1 ZINC001073106285 854530964 /nfs/dbraw/zinc/53/09/64/854530964.db2.gz WRRXNOQZFYGYMZ-UHFFFAOYSA-N 0 1 259.309 0.764 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cnn(CC)c3)C2)C1 ZINC001073118312 854532902 /nfs/dbraw/zinc/53/29/02/854532902.db2.gz NZEPGHRVUFBSAW-UHFFFAOYSA-N 0 1 286.379 0.613 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(CC)cn3)C2)C1 ZINC001073131994 854535809 /nfs/dbraw/zinc/53/58/09/854535809.db2.gz ZRFRTQOQPYMWSZ-UHFFFAOYSA-N 0 1 272.352 0.684 20 30 CCEDMN C=C(C)[C@H](CC(=O)N[C@@H](C)C1CN(CCO)C1)OCC ZINC001276388448 854564046 /nfs/dbraw/zinc/56/40/46/854564046.db2.gz HQSPQZYQPXMORU-JSGCOSHPSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3nn(CC)nc3C)C2)C1 ZINC001073491729 854564154 /nfs/dbraw/zinc/56/41/54/854564154.db2.gz FUQWDODOKDOMNW-UHFFFAOYSA-N 0 1 287.367 0.388 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2cn[nH]c2)C1 ZINC001073541534 854583470 /nfs/dbraw/zinc/58/34/70/854583470.db2.gz RIIGXOSEFZJPGC-CYBMUJFWSA-N 0 1 276.340 0.254 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001073546122 854586678 /nfs/dbraw/zinc/58/66/78/854586678.db2.gz YRTMVGFAHXXWLB-OLZOCXBDSA-N 0 1 268.357 0.416 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cn(C)cn2)C1 ZINC001073576873 854601045 /nfs/dbraw/zinc/60/10/45/854601045.db2.gz CCNOYTBBMYARPC-GFCCVEGCSA-N 0 1 278.356 0.427 20 30 CCEDMN C=C(C)CN1CCCO[C@@H](CNC(=O)c2cnn(C)n2)C1 ZINC001073817998 854648142 /nfs/dbraw/zinc/64/81/42/854648142.db2.gz AAICNOFUNJLFOM-LBPRGKRZSA-N 0 1 293.371 0.212 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001074180965 854688152 /nfs/dbraw/zinc/68/81/52/854688152.db2.gz LBSMMOSLIYGCOR-CHWSQXEVSA-N 0 1 276.340 0.511 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(C)=O)C[C@@H](C)O2 ZINC001098544539 854871024 /nfs/dbraw/zinc/87/10/24/854871024.db2.gz MGWJLMSXEVJKRK-GFCCVEGCSA-N 0 1 250.342 0.721 20 30 CCEDMN Cc1cc(CC(=O)N[C@]23CCC[C@H]2CN(CC#N)C3)[nH]n1 ZINC001098787213 854886649 /nfs/dbraw/zinc/88/66/49/854886649.db2.gz OZIYFGSBGMJRBB-WFASDCNBSA-N 0 1 287.367 0.755 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001098915717 854897346 /nfs/dbraw/zinc/89/73/46/854897346.db2.gz JIDNXNNSOIRKEE-WWGRRREGSA-N 0 1 289.379 0.117 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COCC)CC2(CCOCC2)C1 ZINC001099562461 854939092 /nfs/dbraw/zinc/93/90/92/854939092.db2.gz YEYHWOPEEUXWSA-AWEZNQCLSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C=C(C)C)[C@@H](O)C1 ZINC001099659236 854960321 /nfs/dbraw/zinc/96/03/21/854960321.db2.gz FZNVZBOZVQWJIO-OLZOCXBDSA-N 0 1 250.342 0.527 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCC)[C@@H](O)C1 ZINC001099687408 854968293 /nfs/dbraw/zinc/96/82/93/854968293.db2.gz VEPMRZRPGMSSDE-STQMWFEESA-N 0 1 252.358 0.751 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CCOC)CC2)[C@@H](O)C1 ZINC001099871491 855013868 /nfs/dbraw/zinc/01/38/68/855013868.db2.gz FLYYAJVZQCAMAA-STQMWFEESA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CCN(CC=C)C[C@@H]2O)CCC1 ZINC001099874997 855017775 /nfs/dbraw/zinc/01/77/75/855017775.db2.gz UEVRXGIDXJXQSO-KGLIPLIRSA-N 0 1 276.380 0.917 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)COC)[C@H](O)C1 ZINC001099919261 855032177 /nfs/dbraw/zinc/03/21/77/855032177.db2.gz YSFQGCWVTQDDCD-CHWSQXEVSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cncs2)[C@@H](O)C1 ZINC001100013696 855073036 /nfs/dbraw/zinc/07/30/36/855073036.db2.gz QXKAIQODBWPNOS-STQMWFEESA-N 0 1 295.408 0.813 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCOC(C)C)[C@H](O)C1 ZINC001100142622 855106757 /nfs/dbraw/zinc/10/67/57/855106757.db2.gz FVTQYSJQXXMRQO-HUUCEWRRSA-N 0 1 296.411 0.766 20 30 CCEDMN CCN(CCNC(=O)Cc1cnc[nH]1)c1ncccc1C#N ZINC001100734412 855215755 /nfs/dbraw/zinc/21/57/55/855215755.db2.gz BBFGGCGFIDLFLU-UHFFFAOYSA-N 0 1 298.350 0.862 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H]2CN(C(=O)CCC)C[C@]2(C)C1 ZINC001101107376 855265620 /nfs/dbraw/zinc/26/56/20/855265620.db2.gz YXPXAEAYSIRUPA-CJNGLKHVSA-N 0 1 291.395 0.316 20 30 CCEDMN CCNC(=O)CN1C[C@@H]2CN(C(=O)[C@H](C)C#N)C[C@]2(C)C1 ZINC001101174104 855272205 /nfs/dbraw/zinc/27/22/05/855272205.db2.gz FNKDRFGBXMCKTP-JMSVASOKSA-N 0 1 292.383 0.062 20 30 CCEDMN CCCNC(=O)CN1C[C@@H](CNC(=O)[C@@H](C)C#N)[C@H](C)C1 ZINC001101824204 855373157 /nfs/dbraw/zinc/37/31/57/855373157.db2.gz ZDZBWNIDQQWFNF-YNEHKIRRSA-N 0 1 294.399 0.356 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CN(CC(=O)NCC)C[C@H]1C ZINC001101922107 855391996 /nfs/dbraw/zinc/39/19/96/855391996.db2.gz SLXJDXZVCXDEEG-ZIAGYGMSSA-N 0 1 293.411 0.610 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)[C@@H]2C[C@H]2C)[C@H](C)C1 ZINC001102224522 855421193 /nfs/dbraw/zinc/42/11/93/855421193.db2.gz MVQSHEHXJCCNGF-AAVRWANBSA-N 0 1 293.411 0.629 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)CCc1c[nH]nn1 ZINC001103452043 855520477 /nfs/dbraw/zinc/52/04/77/855520477.db2.gz JXIDACOPFKPUIC-VXGBXAGGSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)CCc1cnn[nH]1 ZINC001103452043 855520479 /nfs/dbraw/zinc/52/04/79/855520479.db2.gz JXIDACOPFKPUIC-VXGBXAGGSA-N 0 1 290.371 0.335 20 30 CCEDMN N#CCN1C[C@@H]2CCC[C@]2(NC(=O)CCc2cnc[nH]2)C1 ZINC001111773782 855593584 /nfs/dbraw/zinc/59/35/84/855593584.db2.gz OFRLODMDAXPGHU-WFASDCNBSA-N 0 1 287.367 0.837 20 30 CCEDMN N#CCNC[C@]12CCC[C@H]1CN(C(=O)Cc1ccn[nH]1)C2 ZINC001112291154 855624006 /nfs/dbraw/zinc/62/40/06/855624006.db2.gz YFYONUWGARHFRN-WFASDCNBSA-N 0 1 287.367 0.694 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CNC(=O)[C@H](C)CC ZINC001115253422 855655489 /nfs/dbraw/zinc/65/54/89/855655489.db2.gz AWIATTFHECMVFI-CXTNEJHOSA-N 0 1 291.395 0.218 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COC[C@@H]1CCCO1 ZINC001115354481 855665949 /nfs/dbraw/zinc/66/59/49/855665949.db2.gz PEMYKMKNBFRUCY-TTZDDIAXSA-N 0 1 292.379 0.252 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@@H](C)Nc2cncc(C#N)n2)n[nH]1 ZINC001115622718 855682347 /nfs/dbraw/zinc/68/23/47/855682347.db2.gz WCEWROAVWADSQY-SNVBAGLBSA-N 0 1 299.338 0.952 20 30 CCEDMN C#CCOCCN(C)Cc1cc(=O)n2ccsc2n1 ZINC001116396616 855759239 /nfs/dbraw/zinc/75/92/39/855759239.db2.gz IHCIVPOPEQCQAK-UHFFFAOYSA-N 0 1 277.349 0.838 20 30 CCEDMN C=C[C@@H](COC)NC(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC001117384351 855961954 /nfs/dbraw/zinc/96/19/54/855961954.db2.gz WGGZUUNTYKMKIZ-QWRGUYRKSA-N 0 1 292.339 0.221 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001118382613 856284169 /nfs/dbraw/zinc/28/41/69/856284169.db2.gz KDZVONPBGAOHDK-ZJUUUORDSA-N 0 1 278.312 0.311 20 30 CCEDMN C=CCOCCNCc1ccc(S(C)(=O)=O)o1 ZINC001118483883 856309962 /nfs/dbraw/zinc/30/99/62/856309962.db2.gz DYHZJBNHVBQUSL-UHFFFAOYSA-N 0 1 259.327 0.975 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCc3cncn3C2)CC1 ZINC001118624595 856370927 /nfs/dbraw/zinc/37/09/27/856370927.db2.gz NJJNHMWPSJPBMS-LBPRGKRZSA-N 0 1 287.367 0.550 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3)C1 ZINC001118901869 856483585 /nfs/dbraw/zinc/48/35/85/856483585.db2.gz DWQVSDWXGQMWIW-MCIONIFRSA-N 0 1 291.395 0.764 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCCC(=O)N2C)CC1 ZINC001119625478 856787170 /nfs/dbraw/zinc/78/71/70/856787170.db2.gz VTNWUIDTEZWIRI-LLVKDONJSA-N 0 1 278.356 0.101 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CC[N@@H+](C)[C@@H]1CC)C(=O)[O-] ZINC001119645442 856805079 /nfs/dbraw/zinc/80/50/79/856805079.db2.gz SFPFGUJTSKMSHA-GARJFASQSA-N 0 1 252.314 0.309 20 30 CCEDMN C#C[C@H](NC[C@@H]1CN=C(c2cnn(C)c2)O1)[C@@H]1CCCO1 ZINC001119743831 856863496 /nfs/dbraw/zinc/86/34/96/856863496.db2.gz PNROMYGXGNIAHX-RDBSUJKOSA-N 0 1 288.351 0.336 20 30 CCEDMN N#CCNC1(CNC(=O)Cc2nnc[nH]2)CCCCC1 ZINC001119989718 856950186 /nfs/dbraw/zinc/95/01/86/856950186.db2.gz PYUFGVXBKRKEGW-UHFFFAOYSA-N 0 1 276.344 0.279 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1ncn[nH]1)Nc1ccc(C#N)nc1 ZINC001120067150 856974930 /nfs/dbraw/zinc/97/49/30/856974930.db2.gz BUGXKZLKZNBBAW-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C#CCCn1nnnc1N1CCC[C@H](c2n[nH]c(C)n2)C1 ZINC001120142033 856994878 /nfs/dbraw/zinc/99/48/78/856994878.db2.gz AIUIUTGYMYMNJA-NSHDSACASA-N 0 1 286.343 0.507 20 30 CCEDMN C#CCCn1nnnc1N1CCC[C@H](c2nnc(C)[nH]2)C1 ZINC001120142033 856994885 /nfs/dbraw/zinc/99/48/85/856994885.db2.gz AIUIUTGYMYMNJA-NSHDSACASA-N 0 1 286.343 0.507 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001323372290 912302429 /nfs/dbraw/zinc/30/24/29/912302429.db2.gz UHJYRZKCVRCJCH-XJKSGUPXSA-N 0 1 291.395 0.649 20 30 CCEDMN C[C@H]1C[C@@H](C(=O)NC2(C#N)CCN(C)CC2)CCO1 ZINC001323477608 912364823 /nfs/dbraw/zinc/36/48/23/912364823.db2.gz WVEICORVUQJFGD-RYUDHWBXSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](CCc2cn(CC(=O)OC)nn2)C1 ZINC001323727517 912493389 /nfs/dbraw/zinc/49/33/89/912493389.db2.gz GRQPYGCLOXJDPK-ZDUSSCGKSA-N 0 1 294.355 0.271 20 30 CCEDMN C#CCN(C(=O)CCOC)C1CCN(CC#C)CC1 ZINC001324352760 912797304 /nfs/dbraw/zinc/79/73/04/912797304.db2.gz JQSPAFWSFQSRTF-UHFFFAOYSA-N 0 1 262.353 0.582 20 30 CCEDMN C#CCN(C(=O)C(C)C)C1CCN([C@H](CC)C(N)=O)CC1 ZINC001324361734 912802601 /nfs/dbraw/zinc/80/26/01/912802601.db2.gz FVTDLMPEHBOHTQ-CQSZACIVSA-N 0 1 293.411 0.833 20 30 CCEDMN C#CCN(C(=O)[C@@H]1C[C@@H](C)CO1)C1CCN(CC#C)CC1 ZINC001324408010 912831805 /nfs/dbraw/zinc/83/18/05/912831805.db2.gz PDCIUDVGBHZILZ-ZBFHGGJFSA-N 0 1 288.391 0.971 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)COc2cc(C)on2)C1 ZINC001324619924 912945391 /nfs/dbraw/zinc/94/53/91/912945391.db2.gz NTYFACSDBNVSMG-CQSZACIVSA-N 0 1 277.324 0.576 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001324957461 913118242 /nfs/dbraw/zinc/11/82/42/913118242.db2.gz KZQSXDGEGUWELF-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@H](C)[C@@H]1CCCO1 ZINC001492829333 913176817 /nfs/dbraw/zinc/17/68/17/913176817.db2.gz PTPIDYXUIPOQDI-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC1CC1)NCc1cnon1 ZINC001328342410 915123246 /nfs/dbraw/zinc/12/32/46/915123246.db2.gz BGXXQYZBXOPQAH-JTQLQIEISA-N 0 1 262.313 0.467 20 30 CCEDMN C[C@H](NCC#N)[C@@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC001325408830 913390408 /nfs/dbraw/zinc/39/04/08/913390408.db2.gz OFJSXCOKUKVYPU-WDEREUQCSA-N 0 1 298.350 0.922 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001394733994 913401903 /nfs/dbraw/zinc/40/19/03/913401903.db2.gz OSWHSWXDEVIFPV-GFCCVEGCSA-N 0 1 296.758 0.661 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnn(C2CCC2)c1 ZINC001480864860 891427640 /nfs/dbraw/zinc/42/76/40/891427640.db2.gz VNEOTRGCQUFSIJ-UHFFFAOYSA-N 0 1 260.341 0.903 20 30 CCEDMN C=CCN(CCN1CCC(NC(N)=O)CC1)C(=O)OCC ZINC001209017970 891452703 /nfs/dbraw/zinc/45/27/03/891452703.db2.gz ZMRPLUZLWZYJJD-UHFFFAOYSA-N 0 1 298.387 0.764 20 30 CCEDMN Cn1cccc1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001325784493 913574065 /nfs/dbraw/zinc/57/40/65/913574065.db2.gz QKFXWBLAYKTMHV-UHFFFAOYSA-N 0 1 289.383 0.332 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1scnc1COC ZINC001480923105 891824342 /nfs/dbraw/zinc/82/43/42/891824342.db2.gz ZYDJELGOHLMPEI-UHFFFAOYSA-N 0 1 281.381 0.974 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc(-n2ccnn2)cc1 ZINC001480935672 891892057 /nfs/dbraw/zinc/89/20/57/891892057.db2.gz JSHZHRNFEVWFAI-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1ccn(C)c1 ZINC001480968618 891996554 /nfs/dbraw/zinc/99/65/54/891996554.db2.gz DVRQATBPMUMBDN-UHFFFAOYSA-N 0 1 277.368 0.727 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001481019742 892061600 /nfs/dbraw/zinc/06/16/00/892061600.db2.gz DLDGWYGNRCVCIE-HNNXBMFYSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCc1nc(C)no1 ZINC001481049950 892114490 /nfs/dbraw/zinc/11/44/90/892114490.db2.gz IYTFMWCEQBEZNF-GFCCVEGCSA-N 0 1 276.340 0.524 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCc1cn(C)nc1C ZINC001481057952 892119605 /nfs/dbraw/zinc/11/96/05/892119605.db2.gz CFEQORWGBFXRSN-OAHLLOKOSA-N 0 1 288.395 0.875 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001481061493 892122331 /nfs/dbraw/zinc/12/23/31/892122331.db2.gz YIFYKQWCKMGUPH-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN O=C(NCCNCc1ccns1)C1N=CC=CC1=O ZINC001353002276 892164579 /nfs/dbraw/zinc/16/45/79/892164579.db2.gz ABQFDXZLKMVGNK-QXMHVHEDSA-N 0 1 278.337 0.759 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H](OCC)[C@@H]1CCOC1)C1CC1 ZINC001481180074 892278398 /nfs/dbraw/zinc/27/83/98/892278398.db2.gz LMRVCHHPXZYAEL-HIFRSBDPSA-N 0 1 294.395 0.642 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H]1CNC(=O)Cc1cnc[nH]1 ZINC001408849957 892488181 /nfs/dbraw/zinc/48/81/81/892488181.db2.gz XYOFQFRJERYYLW-CMPLNLGQSA-N 0 1 289.339 0.219 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1cncnc1 ZINC001326009139 913693739 /nfs/dbraw/zinc/69/37/39/913693739.db2.gz LXEDPVFTHWVUHR-UHFFFAOYSA-N 0 1 284.747 0.253 20 30 CCEDMN CCc1nc([C@H](C)N2CC(NC(=O)C#CC3CC3)C2)n[nH]1 ZINC001481558292 892834329 /nfs/dbraw/zinc/83/43/29/892834329.db2.gz OSYFFTPCCRZYAZ-JTQLQIEISA-N 0 1 287.367 0.642 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCn2ccccc2=O)C1 ZINC001481702160 893042350 /nfs/dbraw/zinc/04/23/50/893042350.db2.gz BMYDTHABILJPRV-CYBMUJFWSA-N 0 1 275.352 0.615 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H]1CCN(CCN2CCCC2=O)C1 ZINC001481719112 893080830 /nfs/dbraw/zinc/08/08/30/893080830.db2.gz FYTRSKRLYIKGAO-CHWSQXEVSA-N 0 1 292.383 0.301 20 30 CCEDMN CN(C(=O)C#CC(C)(C)C)[C@H]1CCN(CCOCCO)C1 ZINC001481725913 893093074 /nfs/dbraw/zinc/09/30/74/893093074.db2.gz CZYRFGIEVNCYGT-AWEZNQCLSA-N 0 1 296.411 0.578 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H](C)CC(N)=O)C1 ZINC001481770755 893134633 /nfs/dbraw/zinc/13/46/33/893134633.db2.gz HTNSIEJBSWUBKU-NWDGAFQWSA-N 0 1 267.373 0.607 20 30 CCEDMN CC[C@@H](CNC(=O)c1ccc2[nH]nnc2c1)NCC#N ZINC001481875091 893237394 /nfs/dbraw/zinc/23/73/94/893237394.db2.gz KUQWZDQMPKDHMU-JTQLQIEISA-N 0 1 272.312 0.579 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)c2cncnc2)C1 ZINC001482186700 893476747 /nfs/dbraw/zinc/47/67/47/893476747.db2.gz LFKYMYDSHDXQNY-AWEZNQCLSA-N 0 1 290.367 0.873 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)NC1C[NH+](C(C)C)C1 ZINC001362376444 893558258 /nfs/dbraw/zinc/55/82/58/893558258.db2.gz RRHSQUWKMCLQOA-UHFFFAOYSA-N 0 1 274.324 0.790 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@@H]1CCOC1)C(C)C ZINC001284529889 893607953 /nfs/dbraw/zinc/60/79/53/893607953.db2.gz NPRMTBIFGSKWIV-CYBMUJFWSA-N 0 1 252.358 0.825 20 30 CCEDMN C=CCCCC(=O)N1CC(N(C)C(=O)Cc2ncn[nH]2)C1 ZINC001286038947 894146193 /nfs/dbraw/zinc/14/61/93/894146193.db2.gz GULHJGQUSGGLTM-UHFFFAOYSA-N 0 1 291.355 0.373 20 30 CCEDMN C#CC[NH2+]C[C@@H]1[C@H](C)CCCN1C(=O)Cc1nnc[n-]1 ZINC001482500491 894162351 /nfs/dbraw/zinc/16/23/51/894162351.db2.gz VPTRVAJOEDJXCX-VXGBXAGGSA-N 0 1 275.356 0.197 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc4n[nH]nc4n3)[C@@H]2C1 ZINC001075859093 894180494 /nfs/dbraw/zinc/18/04/94/894180494.db2.gz UAJLZLGXCNIZCP-GXFFZTMASA-N 0 1 298.350 0.685 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)nn2C)[C@H]1C ZINC001088484705 894298428 /nfs/dbraw/zinc/29/84/28/894298428.db2.gz VYPJCTMNOGVZTP-OLZOCXBDSA-N 0 1 274.368 0.944 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCN(C)CCNC(=O)C1CC1 ZINC001482594753 894354248 /nfs/dbraw/zinc/35/42/48/894354248.db2.gz GTYHPMWACFMLFN-UHFFFAOYSA-N 0 1 293.411 0.562 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C[C@H]1CCC(=O)NC1 ZINC001482601994 894384366 /nfs/dbraw/zinc/38/43/66/894384366.db2.gz PTKGJXZVAHAKNO-CYBMUJFWSA-N 0 1 279.384 0.316 20 30 CCEDMN CC[C@@H]1CCO[C@@H]1C(=O)N(C)CCN(C)CC#CCOC ZINC001482603537 894387026 /nfs/dbraw/zinc/38/70/26/894387026.db2.gz JDYVRRBUYPRETK-CABCVRRESA-N 0 1 296.411 0.842 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccc(C(N)=O)s1 ZINC001482634304 894437832 /nfs/dbraw/zinc/43/78/32/894437832.db2.gz CGJJGHPQELNUBX-UHFFFAOYSA-N 0 1 279.365 0.484 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)CCNCc2cnon2)cn1 ZINC001482889943 894675041 /nfs/dbraw/zinc/67/50/41/894675041.db2.gz DYXDDMORKBQTOH-NSHDSACASA-N 0 1 299.334 0.744 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CN[C@H]1CCNC1=O ZINC001483043828 894830084 /nfs/dbraw/zinc/83/00/84/894830084.db2.gz XNIGETXMHWTDIC-FYJFLYSWSA-N 0 1 279.384 0.739 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C\CNCC(=O)NC(C)C ZINC001483045281 894841872 /nfs/dbraw/zinc/84/18/72/894841872.db2.gz VQHVFQGUKPAGMX-FPLPWBNLSA-N 0 1 281.400 0.985 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001506621419 894876597 /nfs/dbraw/zinc/87/65/97/894876597.db2.gz LMVOVTPMWIATJE-NEPJUHHUSA-N 0 1 279.384 0.361 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@H]1CCC(=O)N1 ZINC001483072870 894878708 /nfs/dbraw/zinc/87/87/08/894878708.db2.gz RCUHBXPCTNJFAZ-KXMPLOMGSA-N 0 1 285.775 0.670 20 30 CCEDMN CCn1nncc1CN[C@@H](C)CN(C)C(=O)[C@H](C)C#N ZINC001507058296 895138291 /nfs/dbraw/zinc/13/82/91/895138291.db2.gz ABOZOWJUBJXESI-MNOVXSKESA-N 0 1 278.360 0.394 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H]2CC(C)(C)CO2)[C@@H](O)C1 ZINC001083633774 895489226 /nfs/dbraw/zinc/48/92/26/895489226.db2.gz NIJXCIMEEGKKBD-MJBXVCDLSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)Cc1ccc2c(c1)NC(=O)C2 ZINC001483352476 895489563 /nfs/dbraw/zinc/48/95/63/895489563.db2.gz XQDMOOVQSUTDAH-GFCCVEGCSA-N 0 1 299.374 0.793 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCNC(=O)CC(C)C ZINC001483427289 895559528 /nfs/dbraw/zinc/55/95/28/895559528.db2.gz JQJXDTLUNRZJCO-ZDUSSCGKSA-N 0 1 281.400 0.609 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](NC(C)=O)C(C)C ZINC001483693105 895870063 /nfs/dbraw/zinc/87/00/63/895870063.db2.gz KNDLYISZUSVXCM-UONOGXRCSA-N 0 1 281.400 0.914 20 30 CCEDMN C#Cc1ccc(C(=O)NCC2(N[C@H](C)C(=O)NC)CC2)cc1 ZINC001483756477 896012629 /nfs/dbraw/zinc/01/26/29/896012629.db2.gz BMSMJAOUIBUJSN-GFCCVEGCSA-N 0 1 299.374 0.654 20 30 CCEDMN CC#CCCCC(=O)NCC1(NCC(=O)NCC)CC1 ZINC001483760070 896021009 /nfs/dbraw/zinc/02/10/09/896021009.db2.gz IFRRIHHLMGNBBW-UHFFFAOYSA-N 0 1 279.384 0.555 20 30 CCEDMN C=C(Cl)CN1CC([C@H](C)NC(=O)CCc2c[nH]nn2)C1 ZINC001409906122 896027414 /nfs/dbraw/zinc/02/74/14/896027414.db2.gz HOISAZGLWZICQT-JTQLQIEISA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(Cl)CN1CC([C@H](C)NC(=O)CCc2cnn[nH]2)C1 ZINC001409906122 896027429 /nfs/dbraw/zinc/02/74/29/896027429.db2.gz HOISAZGLWZICQT-JTQLQIEISA-N 0 1 297.790 0.926 20 30 CCEDMN C=C[C@@H](C(=O)NCC1(NCC(N)=O)CC1)c1ccccc1 ZINC001483793384 896057743 /nfs/dbraw/zinc/05/77/43/896057743.db2.gz CYKLMHVGMITVDK-CYBMUJFWSA-N 0 1 287.363 0.680 20 30 CCEDMN C=CCOCC(=O)NC[C@@]1(O)CCN(C/C=C/Cl)C1 ZINC001484208173 896239734 /nfs/dbraw/zinc/23/97/34/896239734.db2.gz MJECJPFBEGXTKV-LQPUYASZSA-N 0 1 288.775 0.495 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2CC(C)(C)C2)C1 ZINC001484216847 896250078 /nfs/dbraw/zinc/25/00/78/896250078.db2.gz NMXMASJTWYODRR-OAHLLOKOSA-N 0 1 264.369 0.609 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2cc[nH]c2)C1 ZINC001484220398 896257167 /nfs/dbraw/zinc/25/71/67/896257167.db2.gz UOBGRJLNHUPRIT-CQSZACIVSA-N 0 1 261.325 0.205 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C/C=C(\C)C=C)C1 ZINC001484232614 896263832 /nfs/dbraw/zinc/26/38/32/896263832.db2.gz UNHVCJJJQKPLHB-MRHLJLSQSA-N 0 1 262.353 0.695 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]([C@H](C)NCc2nnnn2C)C1 ZINC001484331618 896349125 /nfs/dbraw/zinc/34/91/25/896349125.db2.gz LAQWMIKBZGPKSQ-RYUDHWBXSA-N 0 1 292.387 0.503 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CN(CC(=O)NCCC)C[C@H]2C1 ZINC001484405024 896373884 /nfs/dbraw/zinc/37/38/84/896373884.db2.gz OTCKOWLVEFBYSS-OKILXGFUSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CN([C@@H](C)C(N)=O)C[C@H]2C1 ZINC001484405562 896376083 /nfs/dbraw/zinc/37/60/83/896376083.db2.gz VQIZWZMFHJIYSW-SDDRHHMPSA-N 0 1 265.357 0.217 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCN(C)C(=O)[C@H](F)CC ZINC001484755015 896588153 /nfs/dbraw/zinc/58/81/53/896588153.db2.gz NMDTZPBIXVPGGH-QWHCGFSZSA-N 0 1 299.390 0.653 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)c2cnc(C3CC3)[nH]2)C1 ZINC001326662328 914081894 /nfs/dbraw/zinc/08/18/94/914081894.db2.gz SCLNNCIMFWZMHV-CYBMUJFWSA-N 0 1 260.297 0.778 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](NC(=O)C(F)F)C[C@H]1C ZINC001484967079 896688643 /nfs/dbraw/zinc/68/86/43/896688643.db2.gz DOUXMJPLMNQXTC-ZJUUUORDSA-N 0 1 289.326 0.523 20 30 CCEDMN CCOCC(=O)N1CCC[C@@H]1CN(C)CC#CCOC ZINC001485046524 896732973 /nfs/dbraw/zinc/73/29/73/896732973.db2.gz FKJPWLRMSUYIML-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CCn1ccnn1 ZINC001485054208 896742135 /nfs/dbraw/zinc/74/21/35/896742135.db2.gz YHBRPBTVQVEKBI-CYBMUJFWSA-N 0 1 275.356 0.224 20 30 CCEDMN CN(CC#N)C[C@H]1CCCN1C(=O)[C@@H]1CCCCN1C ZINC001485065530 896742191 /nfs/dbraw/zinc/74/21/91/896742191.db2.gz LUSIJRKFODJODH-KGLIPLIRSA-N 0 1 278.400 0.917 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1cnc2n[nH]nc2c1 ZINC001485061767 896751739 /nfs/dbraw/zinc/75/17/39/896751739.db2.gz WYYUEUPFGZTKMZ-LBPRGKRZSA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cnc2n[nH]nc2c1 ZINC001485061767 896751751 /nfs/dbraw/zinc/75/17/51/896751751.db2.gz WYYUEUPFGZTKMZ-LBPRGKRZSA-N 0 1 298.350 0.523 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCS(C)(=O)=O ZINC001485163302 896829461 /nfs/dbraw/zinc/82/94/61/896829461.db2.gz OUQDKQAYCYRWDM-NXEZZACHSA-N 0 1 296.820 0.656 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001485313197 896933200 /nfs/dbraw/zinc/93/32/00/896933200.db2.gz NMUCSBRHNJQYRR-JTQLQIEISA-N 0 1 288.351 0.743 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)COC[C@H]1CCCO1 ZINC001485393747 897017780 /nfs/dbraw/zinc/01/77/80/897017780.db2.gz CWGTYXVTPZEITB-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)[C@@H](CC)[NH+](C)C)C(C)(C)C1 ZINC001485581626 897126863 /nfs/dbraw/zinc/12/68/63/897126863.db2.gz HDHPQCLSVGZPGV-OLZOCXBDSA-N 0 1 265.401 0.786 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H](C)CC)[C@@H]2C1 ZINC001485588900 897142003 /nfs/dbraw/zinc/14/20/03/897142003.db2.gz CAHNQZAUHPZCGW-XDQVBPFNSA-N 0 1 293.411 0.629 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CC23CC3)C1 ZINC001077731434 897364720 /nfs/dbraw/zinc/36/47/20/897364720.db2.gz HPEZFMRYORIPQR-IJLUTSLNSA-N 0 1 250.342 0.524 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](O)C(C)C ZINC001032387081 897404733 /nfs/dbraw/zinc/40/47/33/897404733.db2.gz DKOUXVQWRMBVGH-RWMBFGLXSA-N 0 1 250.342 0.312 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2ncn(C)n2)C1 ZINC001107919945 897499349 /nfs/dbraw/zinc/49/93/49/897499349.db2.gz JPTHREPIMUUCMA-AWEZNQCLSA-N 0 1 293.371 0.212 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccn(CC)n1 ZINC001032414167 897515399 /nfs/dbraw/zinc/51/53/99/897515399.db2.gz MKFSXZHPCXVCLH-GJZGRUSLSA-N 0 1 286.379 0.754 20 30 CCEDMN C=CCn1cccc1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2C[C@H](C)O ZINC001032447654 897575819 /nfs/dbraw/zinc/57/58/19/897575819.db2.gz RVPITFPGDBNANT-IHRRRGAJSA-N 0 1 289.379 0.954 20 30 CCEDMN C=CCn1cccc1C(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](C)O ZINC001032447654 897575835 /nfs/dbraw/zinc/57/58/35/897575835.db2.gz RVPITFPGDBNANT-IHRRRGAJSA-N 0 1 289.379 0.954 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCCN1C ZINC001032479775 897626226 /nfs/dbraw/zinc/62/62/26/897626226.db2.gz PRIMHVJPXBGHLF-KKUMJFAQSA-N 0 1 275.396 0.779 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001077811684 897661271 /nfs/dbraw/zinc/66/12/71/897661271.db2.gz FDMUKSPDLKYHRQ-DGAVXFQQSA-N 0 1 282.384 0.539 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001083789992 897713920 /nfs/dbraw/zinc/71/39/20/897713920.db2.gz JDFBLOBNTNFWPZ-NDBYEHHHSA-N 0 1 286.347 0.098 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCC(=O)N1C ZINC001032559997 897744059 /nfs/dbraw/zinc/74/40/59/897744059.db2.gz PIHGUUFRDNFUMP-RDBSUJKOSA-N 0 1 291.395 0.859 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1c[nH]cn1 ZINC001032674601 897919202 /nfs/dbraw/zinc/91/92/02/897919202.db2.gz PKJUZMPUXOTMHP-QEJZJMRPSA-N 0 1 286.379 0.897 20 30 CCEDMN C=CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2nc[nH]c2C1 ZINC001032719981 897972742 /nfs/dbraw/zinc/97/27/42/897972742.db2.gz FZBVGCYSBOEGSE-AGIUHOORSA-N 0 1 286.379 0.986 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2oncc2C)C1 ZINC001077934348 898073312 /nfs/dbraw/zinc/07/33/12/898073312.db2.gz BDRHHBULDFBEIL-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2cccc(F)c2)C1 ZINC001078131673 898259195 /nfs/dbraw/zinc/25/91/95/898259195.db2.gz SEKPTCUVYWHUHO-HUUCEWRRSA-N 0 1 290.338 0.553 20 30 CCEDMN N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)CN1CCCC1 ZINC001049893166 898310406 /nfs/dbraw/zinc/31/04/06/898310406.db2.gz BXXAXXWJCOSESL-ZIAGYGMSSA-N 0 1 276.384 0.671 20 30 CCEDMN C[C@@H](CCNC(=O)c1[nH]ncc1F)NC(=O)C#CC1CC1 ZINC001078247623 898313140 /nfs/dbraw/zinc/31/31/40/898313140.db2.gz GMINNRRNNSTWPV-VIFPVBQESA-N 0 1 292.314 0.587 20 30 CCEDMN CC(=Nn1c(=O)c(C)n[nH]c1=S)c1cn[nH]c1 ZINC001326874986 914244077 /nfs/dbraw/zinc/24/40/77/914244077.db2.gz XQJANFOCNGGARW-UHFFFAOYSA-N 0 1 250.287 0.231 20 30 CCEDMN CCC(=O)NC[C@@H](CO)NCc1ccc(OC)c(C#N)c1 ZINC001485963810 898530572 /nfs/dbraw/zinc/53/05/72/898530572.db2.gz CQNFTOGOBCXORE-ZDUSSCGKSA-N 0 1 291.351 0.544 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCOc1cccc(F)c1 ZINC001486000835 898562764 /nfs/dbraw/zinc/56/27/64/898562764.db2.gz BLTMKKUGYQIDDA-CYBMUJFWSA-N 0 1 294.326 0.295 20 30 CCEDMN C[C@H]1C[C@@H]1C(=O)NC[C@@H](CO)NCc1cccc(C#N)c1 ZINC001486024489 898594954 /nfs/dbraw/zinc/59/49/54/898594954.db2.gz OSZXTUNFKZPBDU-CQDKDKBSSA-N 0 1 287.363 0.781 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](CO)NCc1ccnn1C ZINC001486024602 898596886 /nfs/dbraw/zinc/59/68/86/898596886.db2.gz QZIRGATZYGSMBZ-NSHDSACASA-N 0 1 280.372 0.199 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(C)n([C@H](C)CC)n1 ZINC001486011535 898604947 /nfs/dbraw/zinc/60/49/47/898604947.db2.gz VQTRCTTUGDYWLK-DGCLKSJQSA-N 0 1 292.383 0.476 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1CCCc2ccccc21 ZINC001486010078 898606027 /nfs/dbraw/zinc/60/60/27/898606027.db2.gz YTWNQGFBFWMVGN-GOEBONIOSA-N 0 1 286.375 0.806 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)COC1CCC(C)CC1 ZINC001486066293 898633338 /nfs/dbraw/zinc/63/33/38/898633338.db2.gz RCFVETJJXAIXIT-SHARSMKWSA-N 0 1 296.411 0.672 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CNC(=O)c1cccc2nc[nH]c21 ZINC001397557059 914269778 /nfs/dbraw/zinc/26/97/78/914269778.db2.gz YCWQAFSDUMNLRH-ZJUUUORDSA-N 0 1 299.334 0.957 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc2ccccc2n1 ZINC001486054454 898641803 /nfs/dbraw/zinc/64/18/03/898641803.db2.gz XKJWLOMLAWCCPU-ZDUSSCGKSA-N 0 1 283.331 0.548 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@]2(NC(=O)CCC)CCC[C@H]12 ZINC001486134722 898679875 /nfs/dbraw/zinc/67/98/75/898679875.db2.gz GQXSLNGDPHMCHE-BBRMVZONSA-N 0 1 291.395 0.649 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)CCc3cnc[nH]3)CCC[C@@H]12 ZINC001486149869 898686684 /nfs/dbraw/zinc/68/66/84/898686684.db2.gz UZAFFCMINKHQNI-HIFRSBDPSA-N 0 1 287.367 0.979 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@@H](NC(=O)CC(N)=O)[C@@H]1C ZINC001486169223 898689994 /nfs/dbraw/zinc/68/99/94/898689994.db2.gz GGEUCSQMWAWTRQ-NWDGAFQWSA-N 0 1 283.372 0.034 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)COCCOC)[C@H]1C ZINC001486169854 898695864 /nfs/dbraw/zinc/69/58/64/898695864.db2.gz CXOSYJSBNAUSIG-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCn2cnnn2)[C@H]1C ZINC001486182994 898698751 /nfs/dbraw/zinc/69/87/51/898698751.db2.gz BTHNVOBHXJRYIJ-CHWSQXEVSA-N 0 1 290.371 0.056 20 30 CCEDMN CCC(=O)N(C)C[C@@H](O)CNCc1ccccc1C#N ZINC001486255526 898729567 /nfs/dbraw/zinc/72/95/67/898729567.db2.gz MZIDORLYZFLOTQ-AWEZNQCLSA-N 0 1 275.352 0.877 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H](C)OCC ZINC001486326018 898789791 /nfs/dbraw/zinc/78/97/91/898789791.db2.gz HIAJBZWLQZXOOG-QWHCGFSZSA-N 0 1 270.373 0.186 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1(COC)CCCC1 ZINC001486337601 898801336 /nfs/dbraw/zinc/80/13/36/898801336.db2.gz VUPNTJBWXRZCGR-CQSZACIVSA-N 0 1 296.411 0.578 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC1=CCN(CC#C)CC1 ZINC001486507845 898908318 /nfs/dbraw/zinc/90/83/18/898908318.db2.gz YXTLRFHOMAEBTD-ZDUSSCGKSA-N 0 1 260.337 0.406 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H](C)NC(=O)[C@H](C)C#N)c1C ZINC001410279789 899312381 /nfs/dbraw/zinc/31/23/81/899312381.db2.gz RUBMTZBYYLRNSA-HTQZYQBOSA-N 0 1 277.328 0.421 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cccn1C ZINC001410985802 899649903 /nfs/dbraw/zinc/64/99/03/899649903.db2.gz NKEYUEMNYWHJRA-LLVKDONJSA-N 0 1 285.775 0.800 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@]1(C)CCN(C(=O)c2ccn[nH]2)C1 ZINC001411046761 899668742 /nfs/dbraw/zinc/66/87/42/899668742.db2.gz IPZNDOAMKZBLLS-NOZJJQNGSA-N 0 1 275.312 0.290 20 30 CCEDMN C=CCOCCN1CC[C@@H](N(C)C(=O)c2cnn(C)n2)C1 ZINC001494854603 899888368 /nfs/dbraw/zinc/88/83/68/899888368.db2.gz YFRWEZYPWMAAIO-GFCCVEGCSA-N 0 1 293.371 0.164 20 30 CCEDMN Cc1ncc(CO)c(C(=O)Nc2cnc(C#N)cn2)c1O ZINC001147832578 899899058 /nfs/dbraw/zinc/89/90/58/899899058.db2.gz TVZLNLZZTUSPOT-UHFFFAOYSA-N 0 1 285.263 0.502 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2ccsn2)C1 ZINC001325100369 899903790 /nfs/dbraw/zinc/90/37/90/899903790.db2.gz ABCNQKJZACHZCC-ZDUSSCGKSA-N 0 1 279.365 0.333 20 30 CCEDMN C[C@H](CCCCNCC#N)NC(=O)CCc1c[nH]nn1 ZINC001175335256 899962430 /nfs/dbraw/zinc/96/24/30/899962430.db2.gz YSSKUMUGQVOKJG-LLVKDONJSA-N 0 1 278.360 0.525 20 30 CCEDMN C[C@H](CCCCNCC#N)NC(=O)CCc1cnn[nH]1 ZINC001175335256 899962440 /nfs/dbraw/zinc/96/24/40/899962440.db2.gz YSSKUMUGQVOKJG-LLVKDONJSA-N 0 1 278.360 0.525 20 30 CCEDMN COC(=O)C1(NS(=O)(=O)CC#N)Cc2ccccc2C1 ZINC001192933457 900026072 /nfs/dbraw/zinc/02/60/72/900026072.db2.gz VTJMFLRDGUFBTF-UHFFFAOYSA-N 0 1 294.332 0.140 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)N1CCCN(CCO)CC1 ZINC001196829006 900057795 /nfs/dbraw/zinc/05/77/95/900057795.db2.gz BSOPGFCYSDHWLO-OLZOCXBDSA-N 0 1 254.374 0.971 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CCN(C)C1=O ZINC001489327979 900467527 /nfs/dbraw/zinc/46/75/27/900467527.db2.gz OPARWXIBKUPCNR-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN CC1(C)C[C@H](NC(=O)C#CC2CC2)CCN(CC(N)=O)C1 ZINC001489342013 900471968 /nfs/dbraw/zinc/47/19/68/900471968.db2.gz LRYGYZIVRJBBMN-CYBMUJFWSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)[C@@H](C)C(C)C ZINC001327263684 914450224 /nfs/dbraw/zinc/45/02/24/914450224.db2.gz GDBJNPYFYLKPRK-AWEZNQCLSA-N 0 1 295.427 0.808 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@H](C)OC)CC1 ZINC001490484978 900637138 /nfs/dbraw/zinc/63/71/38/900637138.db2.gz NJTOFHSPJNMPEM-CYBMUJFWSA-N 0 1 269.389 0.331 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001490772733 900724865 /nfs/dbraw/zinc/72/48/65/900724865.db2.gz RDPSRIFPRNKXGT-LBPRGKRZSA-N 0 1 290.371 0.431 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCN(C(=O)CCc2cnn[nH]2)C1 ZINC001490772733 900724872 /nfs/dbraw/zinc/72/48/72/900724872.db2.gz RDPSRIFPRNKXGT-LBPRGKRZSA-N 0 1 290.371 0.431 20 30 CCEDMN C=CCN(C(=O)C(=O)N(C)CC1CN(C)C1)C1CC1 ZINC001327391173 914514204 /nfs/dbraw/zinc/51/42/04/914514204.db2.gz IUKDTGZCYZEPTE-UHFFFAOYSA-N 0 1 265.357 0.183 20 30 CCEDMN CCCC[C@H](CNC(=O)c1cnn[nH]1)NC(=O)[C@H](C)C#N ZINC001411894283 901310810 /nfs/dbraw/zinc/31/08/10/901310810.db2.gz XVYXXZMYEQKCGH-NXEZZACHSA-N 0 1 292.343 0.369 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC([C@H](C)NC(=O)CN2CCCC2)C1 ZINC001411944436 901347345 /nfs/dbraw/zinc/34/73/45/901347345.db2.gz UHOCPPDDAPUSGM-RYUDHWBXSA-N 0 1 292.383 0.205 20 30 CCEDMN Cc1ccc(C(=O)NCc2n[nH]c(CO)n2)cc1C#N ZINC001412374305 901667770 /nfs/dbraw/zinc/66/77/70/901667770.db2.gz QDUNHOKYWXETNW-UHFFFAOYSA-N 0 1 271.280 0.407 20 30 CCEDMN Cc1ccc(C(=O)NCc2nnc(CO)[nH]2)cc1C#N ZINC001412374305 901667776 /nfs/dbraw/zinc/66/77/76/901667776.db2.gz QDUNHOKYWXETNW-UHFFFAOYSA-N 0 1 271.280 0.407 20 30 CCEDMN CN1CCN(CCNC(=O)c2csc(C#N)c2)CC1 ZINC001412376970 901671809 /nfs/dbraw/zinc/67/18/09/901671809.db2.gz IVMOYYVYIWYVQP-UHFFFAOYSA-N 0 1 278.381 0.597 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)CC2CCC2)C1 ZINC001493192496 902030662 /nfs/dbraw/zinc/03/06/62/902030662.db2.gz LITDKGRQJDQDCP-AWEZNQCLSA-N 0 1 293.411 0.917 20 30 CCEDMN CCn1nc(C)c(CN[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001490878275 902221945 /nfs/dbraw/zinc/22/19/45/902221945.db2.gz GZWVEWLMIXOHRI-YUSALJHKSA-N 0 1 290.371 0.503 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@@H+]1CCCC[C@H]1CO)cc2=O ZINC001412944458 902380607 /nfs/dbraw/zinc/38/06/07/902380607.db2.gz OABRJJYAXZQCOW-LBPRGKRZSA-N 0 1 287.323 0.653 20 30 CCEDMN Cc1n[nH]c(C(=O)NC2(CNCC#N)CCOCC2)c1C ZINC001327628913 914662806 /nfs/dbraw/zinc/66/28/06/914662806.db2.gz WNRZARPWNJVRHW-UHFFFAOYSA-N 0 1 291.355 0.419 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCNC(=O)c1ncn[nH]1 ZINC001491510278 903633833 /nfs/dbraw/zinc/63/38/33/903633833.db2.gz PHRZSVOOPPTBBS-VIFPVBQESA-N 0 1 265.317 0.253 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCNC(=O)c1nc[nH]n1 ZINC001491510278 903633837 /nfs/dbraw/zinc/63/38/37/903633837.db2.gz PHRZSVOOPPTBBS-VIFPVBQESA-N 0 1 265.317 0.253 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)COC ZINC001115056230 903704115 /nfs/dbraw/zinc/70/41/15/903704115.db2.gz XCBNGHOVWFHQJT-CXTNEJHOSA-N 0 1 264.369 0.729 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001330684833 903906366 /nfs/dbraw/zinc/90/63/66/903906366.db2.gz YOBWQJMZFQCTOQ-ZANVPECISA-N 0 1 262.313 0.559 20 30 CCEDMN COCC#CC(=O)N1CCC(CN2CCOCC2)CC1 ZINC001327786888 914767932 /nfs/dbraw/zinc/76/79/32/914767932.db2.gz DBNBLFXGNQGETP-UHFFFAOYSA-N 0 1 280.368 0.207 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](NC(C)=O)C[C@@H]1C ZINC001281645450 904319041 /nfs/dbraw/zinc/31/90/41/904319041.db2.gz XOVDJPGHORYEJV-CMPLNLGQSA-N 0 1 253.346 0.278 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)COC ZINC001281897340 904364249 /nfs/dbraw/zinc/36/42/49/904364249.db2.gz JZLXURAIBQJRQV-UKRRQHHQSA-N 0 1 258.321 0.638 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cnc(C)cn1 ZINC001281919038 904366682 /nfs/dbraw/zinc/36/66/82/904366682.db2.gz HDLCLIZIVZGKCY-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)C[C@H]1CCCN1C(=O)C#CC(C)C ZINC001281931355 904374524 /nfs/dbraw/zinc/37/45/24/904374524.db2.gz ALFRBACBBUPXNG-KGLIPLIRSA-N 0 1 293.411 0.833 20 30 CCEDMN C=CCOCC[N@H+](C)[C@@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001282371221 904460799 /nfs/dbraw/zinc/46/07/99/904460799.db2.gz FMBDURPJIAYFNT-JTQLQIEISA-N 0 1 296.371 0.676 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1coc(OC)n1 ZINC001282433556 904473929 /nfs/dbraw/zinc/47/39/29/904473929.db2.gz NAYSWVPQUBXLHJ-SECBINFHSA-N 0 1 251.286 0.367 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc2ncccn2n1 ZINC001282466207 904481690 /nfs/dbraw/zinc/48/16/90/904481690.db2.gz ATDSHBHTALTDAT-GFCCVEGCSA-N 0 1 285.351 0.803 20 30 CCEDMN C=CCCN(C)[C@H](C)CNC(=O)[C@H](C)CS(C)(=O)=O ZINC001282495406 904491769 /nfs/dbraw/zinc/49/17/69/904491769.db2.gz FMJGVBMGGSKCEU-VXGBXAGGSA-N 0 1 290.429 0.680 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@]2(F)CCOC2)C1 ZINC001282735576 904533812 /nfs/dbraw/zinc/53/38/12/904533812.db2.gz PHESGSZQPZQXHP-GWCFXTLKSA-N 0 1 256.321 0.738 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCNC(=O)c1cnn[nH]1 ZINC001283105412 904696622 /nfs/dbraw/zinc/69/66/22/904696622.db2.gz MAQWTIJLVUODNP-VIFPVBQESA-N 0 1 265.317 0.253 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)C1CCC1 ZINC001283351252 904817405 /nfs/dbraw/zinc/81/74/05/904817405.db2.gz RPCHAQJHEDGTEJ-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCCN1CCN1CCCC1=O ZINC001377389422 904832196 /nfs/dbraw/zinc/83/21/96/904832196.db2.gz TWORZCVFDXNLHG-CHWSQXEVSA-N 0 1 292.383 0.349 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1C[C@H](NCc2cn(C)nn2)C1 ZINC001316628421 904915857 /nfs/dbraw/zinc/91/58/57/904915857.db2.gz MXBYOZOMNHVXAQ-HAQNSBGRSA-N 0 1 293.371 0.002 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC001327914954 914856623 /nfs/dbraw/zinc/85/66/23/914856623.db2.gz XSTCTXZZAUCWNJ-RYUDHWBXSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](CC)CC(F)F ZINC001283765407 905004706 /nfs/dbraw/zinc/00/47/06/905004706.db2.gz UDJPTMXSIGSURE-UWVGGRQHSA-N 0 1 262.300 0.368 20 30 CCEDMN CCCC(C)(C)C(=O)NC[C@H](CO)NCC#CCOC ZINC001283797075 905017779 /nfs/dbraw/zinc/01/77/79/905017779.db2.gz LKDOJOXTBZQJCF-CYBMUJFWSA-N 0 1 284.400 0.529 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1csc(Cl)c1 ZINC001283812485 905031346 /nfs/dbraw/zinc/03/13/46/905031346.db2.gz ITYYMMGNWAQQAQ-VIFPVBQESA-N 0 1 272.757 0.715 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CN1CCOCC1 ZINC001377793502 905158694 /nfs/dbraw/zinc/15/86/94/905158694.db2.gz XVTHZXHACBPEKR-VXGBXAGGSA-N 0 1 289.807 0.554 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ccc(C(N)=O)[nH]1 ZINC001377848705 905186010 /nfs/dbraw/zinc/18/60/10/905186010.db2.gz FZPJQNNYEKIGNE-BDAKNGLRSA-N 0 1 298.774 0.963 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001284277436 905233575 /nfs/dbraw/zinc/23/35/75/905233575.db2.gz SIOYQIMAHFNTNG-JTQLQIEISA-N 0 1 291.355 0.808 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CNC(=O)CCc1cnc[nH]1 ZINC001377967640 905285528 /nfs/dbraw/zinc/28/55/28/905285528.db2.gz BIVBVYKKVSLODN-UWVGGRQHSA-N 0 1 277.328 0.123 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@H](C)OCC1CC1 ZINC001284483524 905312525 /nfs/dbraw/zinc/31/25/25/905312525.db2.gz UAAWBEAVFKIMNO-JSGCOSHPSA-N 0 1 282.384 0.186 20 30 CCEDMN CN(CCNC(=O)[C@H]1CCCN1C)C(=O)C#CC1CC1 ZINC001284508624 905342933 /nfs/dbraw/zinc/34/29/33/905342933.db2.gz JQAMWBJGXHHIOS-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C(C)(C)c1cnc[nH]1 ZINC001284517668 905352610 /nfs/dbraw/zinc/35/26/10/905352610.db2.gz YEXNCRJNXKBBPG-GFCCVEGCSA-N 0 1 292.383 0.072 20 30 CCEDMN C=C(Cl)CN(C)[C@@H](C)CNC(=O)C1=NC(=O)N(C)C1 ZINC001378118835 905431323 /nfs/dbraw/zinc/43/13/23/905431323.db2.gz ARDNIDBALIVYIC-VIFPVBQESA-N 0 1 286.763 0.928 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)CNC(=O)[C@H]1CCCN1C ZINC001285223429 905579409 /nfs/dbraw/zinc/57/94/09/905579409.db2.gz WEUUOLOASHYXRW-ZIAGYGMSSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C1CC(C)(C(=O)NCC=CCNC(=O)c2ncn[nH]2)C1 ZINC001285605556 905683821 /nfs/dbraw/zinc/68/38/21/905683821.db2.gz OSEAYLRJJFOMOO-ARJAWSKDSA-N 0 1 289.339 0.563 20 30 CCEDMN C=C1CC(C)(C(=O)NCC=CCNC(=O)c2nc[nH]n2)C1 ZINC001285605556 905683824 /nfs/dbraw/zinc/68/38/24/905683824.db2.gz OSEAYLRJJFOMOO-ARJAWSKDSA-N 0 1 289.339 0.563 20 30 CCEDMN C=CCC1(C(=O)N[C@H](C)CNC(=O)c2cnn[nH]2)CCC1 ZINC001285690686 905718419 /nfs/dbraw/zinc/71/84/19/905718419.db2.gz QBAIGNKJERUMAL-SNVBAGLBSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)C1CN(C(=O)c2[nH]nnc2C)C1 ZINC001286186574 905863115 /nfs/dbraw/zinc/86/31/15/905863115.db2.gz UXSWLYMOFNCLNO-VIFPVBQESA-N 0 1 291.355 0.656 20 30 CCEDMN C#CCC[NH+]1CCC(NC(=O)c2cnncc2[O-])CC1 ZINC001337103834 921185987 /nfs/dbraw/zinc/18/59/87/921185987.db2.gz MSIQKKJRPPTGGH-UHFFFAOYSA-N 0 1 274.324 0.400 20 30 CCEDMN C=CCCC(=O)N(C)C[C@H](C)NC(=O)CCc1nc[nH]n1 ZINC001287577393 905934903 /nfs/dbraw/zinc/93/49/03/905934903.db2.gz XMIPEBKINHTLQX-NSHDSACASA-N 0 1 293.371 0.667 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)[C@@H]1C ZINC001287868154 905984684 /nfs/dbraw/zinc/98/46/84/905984684.db2.gz YQGXUFVBHSSDFC-VHSXEESVSA-N 0 1 277.328 0.490 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)[C@@H]1C ZINC001287868154 905984698 /nfs/dbraw/zinc/98/46/98/905984698.db2.gz YQGXUFVBHSSDFC-VHSXEESVSA-N 0 1 277.328 0.490 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCCNC(=O)C#CC1CC1 ZINC001292714552 906330546 /nfs/dbraw/zinc/33/05/46/906330546.db2.gz QRRXRURNYMXOTI-CYBMUJFWSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCNC(=O)Cc1cnc[nH]1 ZINC001293472303 906476334 /nfs/dbraw/zinc/47/63/34/906476334.db2.gz QJSQZACIBQZPOS-UHFFFAOYSA-N 0 1 278.356 0.787 20 30 CCEDMN COc1cc(CNC[C@H](NC(=O)[C@H](C)C#N)C2CC2)on1 ZINC001379851217 906507230 /nfs/dbraw/zinc/50/72/30/906507230.db2.gz MZULHPHGGQRJGD-SKDRFNHKSA-N 0 1 292.339 0.827 20 30 CCEDMN Cc1n[nH]c(C)c1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001337446558 921251169 /nfs/dbraw/zinc/25/11/69/921251169.db2.gz OFBBOXKQEMQAJB-UHFFFAOYSA-N 0 1 264.314 0.458 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001294908127 906651412 /nfs/dbraw/zinc/65/14/12/906651412.db2.gz NWCMAGALDBBVLE-LLVKDONJSA-N 0 1 289.339 0.089 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1ncn(C)n1 ZINC001381356642 907170460 /nfs/dbraw/zinc/17/04/60/907170460.db2.gz AESRTJBAFCBRDN-IUCAKERBSA-N 0 1 271.752 0.664 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CC(C)(C)CNCc1cnn(C)n1 ZINC001381475464 907217430 /nfs/dbraw/zinc/21/74/30/907217430.db2.gz ZQMLTHQAEQICBZ-NSHDSACASA-N 0 1 292.387 0.549 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)CNC(=O)c1[nH]ncc1F ZINC001298847830 907340469 /nfs/dbraw/zinc/34/04/69/907340469.db2.gz CGWZYSKDXLHYNH-JTQLQIEISA-N 0 1 294.330 0.977 20 30 CCEDMN COC[C@@H]1CNCCN1C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC001336139677 907352132 /nfs/dbraw/zinc/35/21/32/907352132.db2.gz DKLKQRLNEXXELB-TUAOUCFPSA-N 0 1 266.345 0.308 20 30 CCEDMN Cc1cc(CCC(=O)N2CC[C@](O)(CC#N)C2)n[nH]1 ZINC001299889489 907407227 /nfs/dbraw/zinc/40/72/27/907407227.db2.gz FXFJPWOVWGIVJU-CYBMUJFWSA-N 0 1 262.313 0.528 20 30 CCEDMN N#Cc1cccc(CN[C@H](CO)CNC(=O)C(F)F)c1 ZINC001382168409 907587877 /nfs/dbraw/zinc/58/78/77/907587877.db2.gz OQGVHPDXACJUJP-NSHDSACASA-N 0 1 283.278 0.390 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCCN(C(=O)[C@H](C)COC)C1 ZINC001491915238 907637986 /nfs/dbraw/zinc/63/79/86/907637986.db2.gz ALZFZVNMGUWBQF-HUUCEWRRSA-N 0 1 296.411 0.842 20 30 CCEDMN C[C@@H](C(N)=O)N(C)[C@H]1CCCN(C(=O)C#CC(C)(C)C)C1 ZINC001491924435 907641423 /nfs/dbraw/zinc/64/14/23/907641423.db2.gz CNMLQPRFFNRXTO-STQMWFEESA-N 0 1 293.411 0.833 20 30 CCEDMN C#CC[N@H+](C)CCNC(=O)Cc1cnccc1OC ZINC001492303264 907836132 /nfs/dbraw/zinc/83/61/32/907836132.db2.gz IXYKGCZZGCTBNO-UHFFFAOYSA-N 0 1 261.325 0.314 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1cnccc1OC ZINC001492303264 907836147 /nfs/dbraw/zinc/83/61/47/907836147.db2.gz IXYKGCZZGCTBNO-UHFFFAOYSA-N 0 1 261.325 0.314 20 30 CCEDMN C=CC[N@H+](C)CCNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001492306004 907837051 /nfs/dbraw/zinc/83/70/51/907837051.db2.gz WIEUOWCMWISFRY-NSHDSACASA-N 0 1 253.346 0.089 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(C(=O)c2ccn[nH]2)CC1(C)C ZINC001383368383 907984815 /nfs/dbraw/zinc/98/48/15/907984815.db2.gz WTBGQJJNTLRLJA-GXSJLCMTSA-N 0 1 289.339 0.536 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)c3cnn(CCO)c3)[nH]c2c1 ZINC001301792815 907988087 /nfs/dbraw/zinc/98/80/87/907988087.db2.gz MQXXRFHQODMAMY-UHFFFAOYSA-N 0 1 296.290 0.876 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N[C@H](CO)Cc1cnc[nH]1)OCC ZINC001302758984 908039338 /nfs/dbraw/zinc/03/93/38/908039338.db2.gz DIXRKDWBCXOMRM-QWHCGFSZSA-N 0 1 281.356 0.801 20 30 CCEDMN N#C[C@H](NC(=O)CCCc1nn[nH]n1)C1CCCC1 ZINC001303059734 908057807 /nfs/dbraw/zinc/05/78/07/908057807.db2.gz BKHIEJXIKIEUEH-JTQLQIEISA-N 0 1 262.317 0.721 20 30 CCEDMN C=CCCC[C@@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])C(=O)OC ZINC001303685593 908108967 /nfs/dbraw/zinc/10/89/67/908108967.db2.gz DKBPJSJESKFXIR-MRVPVSSYSA-N 0 1 296.283 0.946 20 30 CCEDMN CC#CCN(C)CCNC(=O)Cc1ccc(OC)cn1 ZINC001317458400 908249735 /nfs/dbraw/zinc/24/97/35/908249735.db2.gz OACKGPYCUXDXHJ-UHFFFAOYSA-N 0 1 275.352 0.704 20 30 CCEDMN C[N@@H+](CCn1cccn1)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001307475297 908318032 /nfs/dbraw/zinc/31/80/32/908318032.db2.gz VLUTXMAWSPOZSL-UHFFFAOYSA-N 0 1 297.322 0.635 20 30 CCEDMN C#CC[N@H+](CCO)[C@H]1CCCN(C(=O)[C@H]2CCCOC2)C1 ZINC001316743974 908560629 /nfs/dbraw/zinc/56/06/29/908560629.db2.gz LFKBAASOEXJCON-GJZGRUSLSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@H]2CCCOC2)C1 ZINC001316743974 908560648 /nfs/dbraw/zinc/56/06/48/908560648.db2.gz LFKBAASOEXJCON-GJZGRUSLSA-N 0 1 294.395 0.332 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1CCO[C@@]2(CCOC2)C1 ZINC001312396045 908599779 /nfs/dbraw/zinc/59/97/79/908599779.db2.gz DYLVWAOEWWBOOF-HIFRSBDPSA-N 0 1 295.383 0.189 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)NCC#CCN(C)C)no1 ZINC001312810679 908631044 /nfs/dbraw/zinc/63/10/44/908631044.db2.gz LJVCJPWYXLTOSN-VIFPVBQESA-N 0 1 265.317 0.303 20 30 CCEDMN C=CCN(C(=O)NCC#CCN(C)C)[C@H](C)COC ZINC001313439832 908667614 /nfs/dbraw/zinc/66/76/14/908667614.db2.gz URKQQRWUZHUZHJ-CYBMUJFWSA-N 0 1 267.373 0.784 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001313444117 908670982 /nfs/dbraw/zinc/67/09/82/908670982.db2.gz PWSDAKBYIRIXCI-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)c2ccoc2)n1 ZINC001316714309 908689012 /nfs/dbraw/zinc/68/90/12/908689012.db2.gz AOHBEXDXMARSRN-UHFFFAOYSA-N 0 1 286.291 0.428 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCc2cnn(C)n2)C1 ZINC001316946790 908697214 /nfs/dbraw/zinc/69/72/14/908697214.db2.gz CWQNYWNTOKYYAE-CQSZACIVSA-N 0 1 289.383 0.352 20 30 CCEDMN CCN(CCCNC(=O)[C@H](C)C#N)CC(=O)N1CCC1 ZINC001316887243 908817985 /nfs/dbraw/zinc/81/79/85/908817985.db2.gz QDHFHRMOAIZUPI-GFCCVEGCSA-N 0 1 280.372 0.207 20 30 CCEDMN C/C=C(\C)C(=O)NCCCN(CC(=O)NCC#N)C1CC1 ZINC001316912860 908835328 /nfs/dbraw/zinc/83/53/28/908835328.db2.gz BPCLCPVDVCGCGD-KGVSQERTSA-N 0 1 292.383 0.563 20 30 CCEDMN C=CC[N@@H+](CCCNC(=O)c1n[nH]c(=O)[n-]c1=O)C1CC1 ZINC001316922065 908849267 /nfs/dbraw/zinc/84/92/67/908849267.db2.gz NYUBCNBSIAJYTQ-UHFFFAOYSA-N 0 1 293.327 0.053 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@@H](OC)C2CC2)CC1 ZINC001316955813 908867606 /nfs/dbraw/zinc/86/76/06/908867606.db2.gz KVILSTGPTVRPND-HNNXBMFYSA-N 0 1 293.411 0.169 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@H]2CCOC2)CC1 ZINC001316958042 908869724 /nfs/dbraw/zinc/86/97/24/908869724.db2.gz VPUGMOMIMYHARQ-AWEZNQCLSA-N 0 1 281.400 0.333 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2ccnn2CC)CC1 ZINC001316964298 908873018 /nfs/dbraw/zinc/87/30/18/908873018.db2.gz RBEIZZXJLHNHRS-UHFFFAOYSA-N 0 1 291.399 0.436 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2c(C)ncn2C)CC1 ZINC001316965090 908874563 /nfs/dbraw/zinc/87/45/63/908874563.db2.gz PUXBAWCBAGFPKE-UHFFFAOYSA-N 0 1 291.399 0.262 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCC(=O)NC2CCCC2)C1 ZINC001316989366 908897636 /nfs/dbraw/zinc/89/76/36/908897636.db2.gz BYLOESNFGVYQNR-CQSZACIVSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C(C)(C)NC(C)=O)C1 ZINC001316989757 908898016 /nfs/dbraw/zinc/89/80/16/908898016.db2.gz ISDARESONHMJPT-LBPRGKRZSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOCCOC)C1 ZINC001316994559 908908241 /nfs/dbraw/zinc/90/82/41/908908241.db2.gz NDMSWUDQXDRBDI-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN N#CCN[C@H](CNC(=O)CCc1cnc[nH]1)C1CC1 ZINC001317016730 908921338 /nfs/dbraw/zinc/92/13/38/908921338.db2.gz FPMIBXWNYCMSGR-GFCCVEGCSA-N 0 1 261.329 0.350 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@@H](NCc2nncn2C)C1 ZINC001317111095 908988233 /nfs/dbraw/zinc/98/82/33/908988233.db2.gz QXRMIZVIHLUNEA-TXEJJXNPSA-N 0 1 275.356 0.355 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)Cn1cc(C)cn1 ZINC001317168202 909024872 /nfs/dbraw/zinc/02/48/72/909024872.db2.gz WIUGDCKUFXJKCS-CQSZACIVSA-N 0 1 274.368 0.795 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)CO[C@@H]1CCOC1 ZINC001317170606 909027100 /nfs/dbraw/zinc/02/71/00/909027100.db2.gz DWTXAWHNGZJNLN-UONOGXRCSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)NCc1cnoc1C ZINC001317229559 909063669 /nfs/dbraw/zinc/06/36/69/909063669.db2.gz PHWGMZORQBPAOH-JTQLQIEISA-N 0 1 267.329 0.780 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC[C@H](C)NCc1ccon1 ZINC001317391793 909169361 /nfs/dbraw/zinc/16/93/61/909169361.db2.gz YORNVEQUBAORPS-SMDDNHRTSA-N 0 1 281.356 0.986 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cn2c(n1)C[C@H](C)CC2 ZINC001317449182 909219523 /nfs/dbraw/zinc/21/95/23/909219523.db2.gz KRQNVFGPHJUWLG-GFCCVEGCSA-N 0 1 274.368 0.760 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H]1CC12CCOCC2 ZINC001317476418 909252177 /nfs/dbraw/zinc/25/21/77/909252177.db2.gz RIRKTSMBMBUSLV-AWEZNQCLSA-N 0 1 294.395 0.501 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CCO[C@H]1C ZINC001317488011 909260333 /nfs/dbraw/zinc/26/03/33/909260333.db2.gz KPHUGWRRYLZJIO-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)/C(C)=C\C)C1 ZINC001317525808 909289590 /nfs/dbraw/zinc/28/95/90/909289590.db2.gz ZHYMWEMNXOUNTQ-MJMIMOCRSA-N 0 1 291.395 0.529 20 30 CCEDMN COCC#CCN(CCNC(=O)c1coc(C)n1)C1CC1 ZINC001317550033 909316227 /nfs/dbraw/zinc/31/62/27/909316227.db2.gz PIGRJZIKMRUHMB-UHFFFAOYSA-N 0 1 291.351 0.827 20 30 CCEDMN COCC#CCN(CCNC(=O)C#CC1CC1)C1CC1 ZINC001317552533 909322898 /nfs/dbraw/zinc/32/28/98/909322898.db2.gz KUBGJKLPLSRWHR-UHFFFAOYSA-N 0 1 274.364 0.630 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@H]1CCCS1(=O)=O ZINC001317665565 909448031 /nfs/dbraw/zinc/44/80/31/909448031.db2.gz RVGUPAGIKZYAQG-SNVBAGLBSA-N 0 1 294.804 0.364 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@H](C)OC ZINC001317735777 909480140 /nfs/dbraw/zinc/48/01/40/909480140.db2.gz VVORPURZZZEXSC-LJISPDSOSA-N 0 1 294.395 0.735 20 30 CCEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2cnn(C)n2)C1 ZINC001317767209 909486542 /nfs/dbraw/zinc/48/65/42/909486542.db2.gz NPERMEMRKZGEMR-ZDUSSCGKSA-N 0 1 289.383 0.765 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)COCCOC)C1 ZINC001317926059 909542232 /nfs/dbraw/zinc/54/22/32/909542232.db2.gz VRUWBMYZCMUVPM-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN C#CCOCCC(=O)NC1CN(C[C@H](C)CC)C1 ZINC001318025502 909586034 /nfs/dbraw/zinc/58/60/34/909586034.db2.gz XHZAHIUQFDIQEG-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN(CCn2cncn2)C1 ZINC001318250782 909676974 /nfs/dbraw/zinc/67/69/74/909676974.db2.gz WHDOHEXEUTWNCA-ZDUSSCGKSA-N 0 1 275.356 0.272 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H](C)COC)C1 ZINC001318385499 909735222 /nfs/dbraw/zinc/73/52/22/909735222.db2.gz HYDWKAQAWKLYQI-OLZOCXBDSA-N 0 1 252.358 0.825 20 30 CCEDMN COCC#CCN1CC[C@H](N(C)C(=O)Cc2cnc[nH]2)C1 ZINC001318429184 909754567 /nfs/dbraw/zinc/75/45/67/909754567.db2.gz PVHAYRLAIRDSQY-AWEZNQCLSA-N 0 1 290.367 0.135 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001318464791 909770088 /nfs/dbraw/zinc/77/00/88/909770088.db2.gz DBSKCXORQVRVDY-UONOGXRCSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCNC(=O)Cc1c(C)nc(-c2ncccn2)[nH]c1=O ZINC001318468371 909771097 /nfs/dbraw/zinc/77/10/97/909771097.db2.gz HTBFURMDCQXSPI-UHFFFAOYSA-N 0 1 283.291 0.240 20 30 CCEDMN N#CCN1CCC(N[C@@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC001318516427 909793378 /nfs/dbraw/zinc/79/33/78/909793378.db2.gz DCKXCDUIYCXAMP-OAHLLOKOSA-N 0 1 297.362 0.662 20 30 CCEDMN CCc1cc(C(=O)N2CC(N(C)C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001390106248 910227620 /nfs/dbraw/zinc/22/76/20/910227620.db2.gz BWPDMFZKDPKGSA-SECBINFHSA-N 0 1 289.339 0.415 20 30 CCEDMN CCOCCC(=O)N(C)CCN(C)CC#CCOC ZINC001319980789 910387449 /nfs/dbraw/zinc/38/74/49/910387449.db2.gz DYGXWPFVLFUUBP-UHFFFAOYSA-N 0 1 270.373 0.453 20 30 CCEDMN C=CCNC(=O)CN(C)CCN(C)C(=O)c1cc(C)[nH]n1 ZINC001319979610 910390468 /nfs/dbraw/zinc/39/04/68/910390468.db2.gz WXJCHBOBIYFKIH-UHFFFAOYSA-N 0 1 293.371 0.024 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H]1CC1(F)F ZINC001320213192 910524238 /nfs/dbraw/zinc/52/42/38/910524238.db2.gz FPSCIPAYEQYVCA-YUMQZZPRSA-N 0 1 268.691 0.461 20 30 CCEDMN CCn1cc(CNCC[C@@H](C)NC(=O)[C@H](C)C#N)nn1 ZINC001320371910 910641096 /nfs/dbraw/zinc/64/10/96/910641096.db2.gz MZJJJJHIBZAOGC-GHMZBOCLSA-N 0 1 278.360 0.442 20 30 CCEDMN N#C[C@@H]1CSCCN1C(=O)CCc1nc[nH]n1 ZINC001320625550 910767865 /nfs/dbraw/zinc/76/78/65/910767865.db2.gz IZIJYNYLIVTCLC-MRVPVSSYSA-N 0 1 251.315 0.205 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCN(CC(=O)N2CCCC2)C1 ZINC001391090846 910867407 /nfs/dbraw/zinc/86/74/07/910867407.db2.gz UKTVPBPOXQAMFO-STQMWFEESA-N 0 1 292.383 0.207 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCCOCC2)CC1 ZINC001320913478 910935616 /nfs/dbraw/zinc/93/56/16/910935616.db2.gz NRVOYANSVWYNHR-LBPRGKRZSA-N 0 1 265.357 0.907 20 30 CCEDMN C#CCC(CC#C)C(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001339005533 921625460 /nfs/dbraw/zinc/62/54/60/921625460.db2.gz IQVWTFWXRPXKCM-LBPRGKRZSA-N 0 1 286.335 0.588 20 30 CCEDMN C#CCC(CC#C)C(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001339005533 921625467 /nfs/dbraw/zinc/62/54/67/921625467.db2.gz IQVWTFWXRPXKCM-LBPRGKRZSA-N 0 1 286.335 0.588 20 30 CCEDMN CCn1nncc1CNC/C=C\CNC(=O)C#CC(C)C ZINC001321050106 911047124 /nfs/dbraw/zinc/04/71/24/911047124.db2.gz BPQBOLBRHASCGW-WAYWQWQTSA-N 0 1 289.383 0.719 20 30 CCEDMN C=CCC1(C(=O)NC/C=C/CN[C@H]2CCNC2=O)CCC1 ZINC001321234159 911180892 /nfs/dbraw/zinc/18/08/92/911180892.db2.gz UQSYPOWLZLAHER-OOPCZODUSA-N 0 1 291.395 0.883 20 30 CCEDMN CCn1ccc(CNC[C@@H](C)NC(=O)CSCC#N)n1 ZINC001321464504 911318914 /nfs/dbraw/zinc/31/89/14/911318914.db2.gz PWGNRYXUTOPUBE-LLVKDONJSA-N 0 1 295.412 0.754 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CC[C@H](NCc2cnn(C)n2)CC1 ZINC001391818590 911411695 /nfs/dbraw/zinc/41/16/95/911411695.db2.gz KQWZPDSKRJTAEI-SRVKXCTJSA-N 0 1 290.371 0.492 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)C[C@H]1CCOC1 ZINC001322133095 911670536 /nfs/dbraw/zinc/67/05/36/911670536.db2.gz DDUAKCXWXIAUKT-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN CC(C)c1ncc(C(=O)N2CCN(CC#N)CC2)[nH]1 ZINC001322233682 911717351 /nfs/dbraw/zinc/71/73/51/911717351.db2.gz NHPAVKPOZWCXTK-UHFFFAOYSA-N 0 1 261.329 0.814 20 30 CCEDMN CCc1ncoc1C(=O)N[C@H](C)CN(C)CC#CCOC ZINC001322245023 911726151 /nfs/dbraw/zinc/72/61/51/911726151.db2.gz SBIOMWURCXTEAC-GFCCVEGCSA-N 0 1 293.367 0.937 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)Cc1nonc1C ZINC001322274410 911741889 /nfs/dbraw/zinc/74/18/89/911741889.db2.gz NOEXJIKQBDPJCR-SNVBAGLBSA-N 0 1 264.329 0.380 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)Cc1n[nH]c2c1CCCC2 ZINC001323227620 912202425 /nfs/dbraw/zinc/20/24/25/912202425.db2.gz SJFMOVATNBOELT-GFCCVEGCSA-N 0 1 286.379 0.655 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cnnn1CC ZINC001398926948 914958981 /nfs/dbraw/zinc/95/89/81/914958981.db2.gz XFWYSXGWNZUNCU-SECBINFHSA-N 0 1 271.752 0.758 20 30 CCEDMN C#CCNCC(=O)N1CCCSC[C@H]1C1CC1 ZINC001343010292 923164645 /nfs/dbraw/zinc/16/46/45/923164645.db2.gz QJVYWYVRGLLKFE-LBPRGKRZSA-N 0 1 252.383 0.953 20 30 CCEDMN C=C(C)CN(C)C(=O)NCc1n[nH]c(COC)n1 ZINC001328464339 915214534 /nfs/dbraw/zinc/21/45/34/915214534.db2.gz AQYJZXOVTKFGBI-UHFFFAOYSA-N 0 1 253.306 0.669 20 30 CCEDMN C=C(C)CN(C)C(=O)NCc1nnc(COC)[nH]1 ZINC001328464339 915214555 /nfs/dbraw/zinc/21/45/55/915214555.db2.gz AQYJZXOVTKFGBI-UHFFFAOYSA-N 0 1 253.306 0.669 20 30 CCEDMN COCC#CC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC001328622932 915314621 /nfs/dbraw/zinc/31/46/21/915314621.db2.gz AXLLRWAYOSFGEW-CYBMUJFWSA-N 0 1 268.357 0.109 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ncn(C)n1 ZINC001328719730 915382947 /nfs/dbraw/zinc/38/29/47/915382947.db2.gz JOZPKBXBRSMVQP-LBPRGKRZSA-N 0 1 275.356 0.375 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cn[nH]c(=O)c1 ZINC001328733984 915396670 /nfs/dbraw/zinc/39/66/70/915396670.db2.gz UDSZJGTXPUVRAK-GFCCVEGCSA-N 0 1 274.324 0.352 20 30 CCEDMN Cc1nc(CN(C)[C@@H](C)CNC(=O)[C@H](C)C#N)n[nH]1 ZINC001329400284 915880858 /nfs/dbraw/zinc/88/08/58/915880858.db2.gz YWTWOIFBGRXIGR-BDAKNGLRSA-N 0 1 264.333 0.209 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC001329456351 915930085 /nfs/dbraw/zinc/93/00/85/915930085.db2.gz YNROWQDGKSVYKD-CABZTGNLSA-N 0 1 250.302 0.448 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)Cn1ccc(C(F)F)n1 ZINC001329459357 915933094 /nfs/dbraw/zinc/93/30/94/915933094.db2.gz JIOZQRVPDUUYBE-JTQLQIEISA-N 0 1 284.310 0.890 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccnc(C)n1 ZINC001329612359 916065217 /nfs/dbraw/zinc/06/52/17/916065217.db2.gz BTYWYQVMVGRFTK-LLVKDONJSA-N 0 1 260.341 0.858 20 30 CCEDMN C[C@@]1(C#N)CCCN(C(=O)C(=O)N2CC[C@@H](F)[C@H](N)C2)C1 ZINC001329946195 916299593 /nfs/dbraw/zinc/29/95/93/916299593.db2.gz UBUQXPBQPWJVFV-GYSYKLTISA-N 0 1 296.346 0.036 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1conc1C ZINC001401565985 916333896 /nfs/dbraw/zinc/33/38/96/916333896.db2.gz XDXHZPNCYCJMMP-VIFPVBQESA-N 0 1 273.720 0.416 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001330001202 916350884 /nfs/dbraw/zinc/35/08/84/916350884.db2.gz UZAOPTCXJQCMOC-GHMZBOCLSA-N 0 1 267.373 0.524 20 30 CCEDMN Cc1cnc(CNC[C@@H](C)NC(=O)c2c[nH]c(C#N)c2)cn1 ZINC001401619002 916390558 /nfs/dbraw/zinc/39/05/58/916390558.db2.gz QQFIOFCYKIHYAV-LLVKDONJSA-N 0 1 298.350 0.893 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCc2cnn(C)c2)C1 ZINC001330083803 916401907 /nfs/dbraw/zinc/40/19/07/916401907.db2.gz BXKBAHMCMZKSEG-CYBMUJFWSA-N 0 1 288.395 0.813 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)CC(N)=O)C1CC1 ZINC001401847149 916632464 /nfs/dbraw/zinc/63/24/64/916632464.db2.gz GNSSQPVDTCVCNE-LDYMZIIASA-N 0 1 287.791 0.735 20 30 CCEDMN C=C[C@@H](COC)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC001330459271 916641102 /nfs/dbraw/zinc/64/11/02/916641102.db2.gz LTYKYNGQELGLJU-JTQLQIEISA-N 0 1 299.352 0.384 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CN(C)C(=O)N2)CC1 ZINC001401973781 916737931 /nfs/dbraw/zinc/73/79/31/916737931.db2.gz OXVMMZIHIXWGHP-VIFPVBQESA-N 0 1 286.763 0.001 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001330684806 916795216 /nfs/dbraw/zinc/79/52/16/916795216.db2.gz YOBWQJMZFQCTOQ-NOZJJQNGSA-N 0 1 262.313 0.559 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN(CCc2ccnn2C)C1 ZINC001402085265 916797486 /nfs/dbraw/zinc/79/74/86/916797486.db2.gz VWBUUZKBDOSWCA-OLZOCXBDSA-N 0 1 289.383 0.703 20 30 CCEDMN CCNC(=O)CN(C)C[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001402371858 916983775 /nfs/dbraw/zinc/98/37/75/916983775.db2.gz JRTRTGZINWAKNK-STQMWFEESA-N 0 1 294.399 0.453 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2cncnc2)CCO1 ZINC001402597429 917117659 /nfs/dbraw/zinc/11/76/59/917117659.db2.gz OPMHPQJPUOMSSI-GFCCVEGCSA-N 0 1 296.758 0.660 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2c[nH]nc2C)CCO1 ZINC001402592764 917118501 /nfs/dbraw/zinc/11/85/01/917118501.db2.gz HSFARGFJBIPELG-NSHDSACASA-N 0 1 298.774 0.901 20 30 CCEDMN COCC#CCN(C)CCOCCNC(=O)[C@H]1CC12CC2 ZINC001331149808 917126982 /nfs/dbraw/zinc/12/69/82/917126982.db2.gz OIACBKHXBWGHTK-CQSZACIVSA-N 0 1 294.395 0.501 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCCN(CC(=O)N2CCC2)C1 ZINC001402654083 917158232 /nfs/dbraw/zinc/15/82/32/917158232.db2.gz AMAVIMGACSXJKF-OLZOCXBDSA-N 0 1 292.383 0.207 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1c[nH]c(=O)n1C ZINC001402988891 917405942 /nfs/dbraw/zinc/40/59/42/917405942.db2.gz BTPIPWNJWNPAQJ-DTWKUNHWSA-N 0 1 286.763 0.975 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1c[nH]cc2ncnc1-2 ZINC001331582109 917430592 /nfs/dbraw/zinc/43/05/92/917430592.db2.gz OIORYQYTYULUKS-QMMMGPOBSA-N 0 1 257.253 0.322 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)CCCS(C)(=O)=O ZINC001403067748 917455973 /nfs/dbraw/zinc/45/59/73/917455973.db2.gz GGWKGPAFRUUCBI-SNVBAGLBSA-N 0 1 296.820 0.658 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC001331620460 917456697 /nfs/dbraw/zinc/45/66/97/917456697.db2.gz KIUYUTVYNFNMHW-KGLIPLIRSA-N 0 1 280.368 0.511 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)CC1CCCC1 ZINC001331665004 917490818 /nfs/dbraw/zinc/49/08/18/917490818.db2.gz JFCSJPJMEMJDJR-CQSZACIVSA-N 0 1 282.384 0.283 20 30 CCEDMN CCC1(C(=O)NC[C@@H](CO)NCC#CCOC)CCCC1 ZINC001331806974 917626399 /nfs/dbraw/zinc/62/63/99/917626399.db2.gz XEJSSZWMTQECOT-AWEZNQCLSA-N 0 1 296.411 0.673 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(COC)cc1 ZINC001331837152 917650285 /nfs/dbraw/zinc/65/02/85/917650285.db2.gz WILXDYVJTUBVTB-HNNXBMFYSA-N 0 1 290.363 0.537 20 30 CCEDMN Cn1nc2ccccc2c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC001332179557 917937239 /nfs/dbraw/zinc/93/72/39/917937239.db2.gz NZUMAPWHUYGOHY-CABZTGNLSA-N 0 1 269.308 0.415 20 30 CCEDMN N#CCCCS(=O)(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC001332247238 917990052 /nfs/dbraw/zinc/99/00/52/917990052.db2.gz UHOMANOHDQIOBS-VIFPVBQESA-N 0 1 269.330 0.228 20 30 CCEDMN N#CC1CCN(C[C@H](O)C[C@@]2(O)CCOC2)CC1 ZINC001332614197 918311846 /nfs/dbraw/zinc/31/18/46/918311846.db2.gz TYNBOVHFBCDXOY-OLZOCXBDSA-N 0 1 254.330 0.124 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H]1CCCOC1 ZINC001332619367 918321827 /nfs/dbraw/zinc/32/18/27/918321827.db2.gz OEGQKOIUEBHCME-UONOGXRCSA-N 0 1 282.384 0.187 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccn(C)c1C ZINC001332663563 918364238 /nfs/dbraw/zinc/36/42/38/918364238.db2.gz NTJJCXYGNIGWLQ-CYBMUJFWSA-N 0 1 277.368 0.331 20 30 CCEDMN C=CCONC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001332877075 918503901 /nfs/dbraw/zinc/50/39/01/918503901.db2.gz BSBQGUGMNYPMDT-QMMMGPOBSA-N 0 1 267.289 0.573 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H](C)C#N)NCc1snnc1C ZINC001405216471 918673391 /nfs/dbraw/zinc/67/33/91/918673391.db2.gz QTPUFVZVHJIKLV-WPRPVWTQSA-N 0 1 281.385 0.991 20 30 CCEDMN CN1CCN(C2CN(C(=O)CCCCC#N)C2)CC1 ZINC001333281968 918771560 /nfs/dbraw/zinc/77/15/60/918771560.db2.gz KCOWEORAOSCHTO-UHFFFAOYSA-N 0 1 264.373 0.529 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@H]1C[C@H](C)[N@H+](C2CC2)C1)C(=O)[O-] ZINC001333318015 918797288 /nfs/dbraw/zinc/79/72/88/918797288.db2.gz OBSFSWHXAZJDOZ-NHCYSSNCSA-N 0 1 281.356 0.940 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)NCc1cc(N)ccn1 ZINC001333374436 918847570 /nfs/dbraw/zinc/84/75/70/918847570.db2.gz DYKAEFIYPYWUPD-UHFFFAOYSA-N 0 1 262.313 0.362 20 30 CCEDMN N#CC1(C(=O)NCCc2nc[nH]n2)CCSCC1 ZINC001333469836 918933744 /nfs/dbraw/zinc/93/37/44/918933744.db2.gz FHDCIGHKOZGTBD-UHFFFAOYSA-N 0 1 265.342 0.500 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CCc1ccon1 ZINC001406247017 919131720 /nfs/dbraw/zinc/13/17/20/919131720.db2.gz PPYPITZSBPYFJD-NSHDSACASA-N 0 1 287.747 0.426 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CCc1ccon1 ZINC001406247009 919131829 /nfs/dbraw/zinc/13/18/29/919131829.db2.gz PPYPITZSBPYFJD-LLVKDONJSA-N 0 1 287.747 0.426 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H]1CCO[C@H]1CC ZINC001406262108 919146224 /nfs/dbraw/zinc/14/62/24/919146224.db2.gz ZRDFMHYTKZDOMZ-TUAOUCFPSA-N 0 1 290.791 0.621 20 30 CCEDMN COc1ccc(CNC[C@@H](C)NC(=O)[C@@H](C)C#N)nn1 ZINC001406332575 919186989 /nfs/dbraw/zinc/18/69/89/919186989.db2.gz CIAYKLNADZWLKB-VHSXEESVSA-N 0 1 277.328 0.239 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C[C@@H](C)CC(=O)[O-])CC1 ZINC001334217397 919434905 /nfs/dbraw/zinc/43/49/05/919434905.db2.gz FDUWETNEHUZNTB-GFCCVEGCSA-N 0 1 266.341 0.655 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)CN(C)C(C)=O)C1CC1 ZINC001406747548 919456627 /nfs/dbraw/zinc/45/66/27/919456627.db2.gz ZJTXBRQJZLMRQU-LBPRGKRZSA-N 0 1 287.791 0.702 20 30 CCEDMN C[S@](=O)c1ccc(CN[C@H]2CCCN(O)C2=O)cc1 ZINC001334374744 919534211 /nfs/dbraw/zinc/53/42/11/919534211.db2.gz MLUMLBGUUNCGNU-BUXKBTBVSA-N 0 1 282.365 0.894 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@H]1CCN1C(C)(C)C ZINC001334603806 919674428 /nfs/dbraw/zinc/67/44/28/919674428.db2.gz DHASDVHJVWKMGU-VXGBXAGGSA-N 0 1 252.358 0.750 20 30 CCEDMN C=CCOC[C@H]([NH2+]Cc1ccnn1CC)C(=O)[O-] ZINC001334778673 919759364 /nfs/dbraw/zinc/75/93/64/919759364.db2.gz ZRKRCJVOKUKIPQ-NSHDSACASA-N 0 1 253.302 0.648 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001407781175 919884623 /nfs/dbraw/zinc/88/46/23/919884623.db2.gz QBKLXQOKIVBRDV-PWSUYJOCSA-N 0 1 289.339 0.219 20 30 CCEDMN Cn1c(CNC(=O)NCC2CCC(C#N)CC2)n[nH]c1=O ZINC001414621194 920426365 /nfs/dbraw/zinc/42/63/65/920426365.db2.gz XTAGZRPSZFRMBP-UHFFFAOYSA-N 0 1 292.343 0.650 20 30 CCEDMN CCc1nc(CNC(=O)NCc2nc(C#N)cs2)n[nH]1 ZINC001414653023 920449518 /nfs/dbraw/zinc/44/95/18/920449518.db2.gz PXCSAUNOBDBSPB-UHFFFAOYSA-N 0 1 291.340 0.695 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](C)C(=O)Nc1cccnc1C ZINC001336036119 920573072 /nfs/dbraw/zinc/57/30/72/920573072.db2.gz LCFREIZFNSMVDT-LBPRGKRZSA-N 0 1 288.351 0.446 20 30 CCEDMN C=CCCCCCN(C)C(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001336421951 920767270 /nfs/dbraw/zinc/76/72/70/920767270.db2.gz ZSYXTRDQHBAVPC-UHFFFAOYSA-N 0 1 293.371 0.934 20 30 CCEDMN C[C@H]1CN(CCNC[C@@H](C#N)CCC#N)CCO1 ZINC001336763921 920987715 /nfs/dbraw/zinc/98/77/15/920987715.db2.gz VIEVZVSPXWFJPN-QWHCGFSZSA-N 0 1 250.346 0.740 20 30 CCEDMN C[C@@H]1C[C@H](NC[C@@H](O)CC2(C#N)CC2)c2ncnn21 ZINC001336833777 921047391 /nfs/dbraw/zinc/04/73/91/921047391.db2.gz LHUJBEQTXYOOFR-VWYCJHECSA-N 0 1 261.329 0.928 20 30 CCEDMN C#CCNCC(=O)NCc1nc(Cc2ccccc2)no1 ZINC001340005035 921911967 /nfs/dbraw/zinc/91/19/67/921911967.db2.gz QSBFBCIJAZFHBL-UHFFFAOYSA-N 0 1 284.319 0.499 20 30 CCEDMN C[C@]1(C#N)CCN(C(=O)[C@H](N)Cc2ccccn2)C1 ZINC001340662769 922156677 /nfs/dbraw/zinc/15/66/77/922156677.db2.gz XZTODVYADGZFPR-TZMCWYRMSA-N 0 1 258.325 0.714 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)[C@H](O)c1cccc(F)c1 ZINC001341039162 922323547 /nfs/dbraw/zinc/32/35/47/922323547.db2.gz DSSBXKIELIBJTM-YGRLFVJLSA-N 0 1 264.300 0.587 20 30 CCEDMN C#CCN(C)c1nnc(-c2[nH]cnc2C)n1CCOC ZINC001341271968 922404549 /nfs/dbraw/zinc/40/45/49/922404549.db2.gz MEOZMCLVKBLIEO-UHFFFAOYSA-N 0 1 274.328 0.692 20 30 CCEDMN N#Cc1csc(CNC(=O)C[C@H]2COCCN2)n1 ZINC001341358175 922441463 /nfs/dbraw/zinc/44/14/63/922441463.db2.gz DHKMENOQPJKFTJ-QMMMGPOBSA-N 0 1 266.326 0.009 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC001418934388 922495042 /nfs/dbraw/zinc/49/50/42/922495042.db2.gz USWRPPCFALGJRV-JTQLQIEISA-N 0 1 283.763 0.951 20 30 CCEDMN C#CCNCC(=O)N[C@H]1c2ccccc2CC[C@H]1O ZINC001342029601 922772852 /nfs/dbraw/zinc/77/28/52/922772852.db2.gz CHZODLSRQVWICM-HIFRSBDPSA-N 0 1 258.321 0.374 20 30 CCEDMN CO[C@@H]1COCC[C@H]1CC(=O)C(C#N)C(=O)NC(C)C ZINC001342726185 923069489 /nfs/dbraw/zinc/06/94/89/923069489.db2.gz HYADRJMVLGLJBX-GMXVVIOVSA-N 0 1 282.340 0.661 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](O)c1cccc(F)c1 ZINC001343205959 923236856 /nfs/dbraw/zinc/23/68/56/923236856.db2.gz VAFPMWXUCYQDBN-GFCCVEGCSA-N 0 1 250.273 0.198 20 30 CCEDMN C#CCNCC(=O)NCc1nc(C)c(C(=O)OCC)s1 ZINC001343338182 923278340 /nfs/dbraw/zinc/27/83/40/923278340.db2.gz XXANYKLHXPECCG-UHFFFAOYSA-N 0 1 295.364 0.467 20 30 CCEDMN CC(C)(O)[C@H](N)C(=O)NCc1ccc(CC#N)cc1 ZINC001343713409 923438735 /nfs/dbraw/zinc/43/87/35/923438735.db2.gz IIPVFVLXKVWWHY-GFCCVEGCSA-N 0 1 261.325 0.467 20 30 CCEDMN N#CC(C(=O)CCN1C(=O)CCC1=O)c1cccc(F)n1 ZINC001344004543 923527741 /nfs/dbraw/zinc/52/77/41/923527741.db2.gz LLKLJZXWLUYGJG-VIFPVBQESA-N 0 1 289.266 0.936 20 30 CCEDMN C=CCCC(=O)NC[C@H]1C[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001344198860 923565456 /nfs/dbraw/zinc/56/54/56/923565456.db2.gz DMAVUADIFAVODK-AOOOYVTPSA-N 0 1 277.328 0.396 20 30 CCEDMN C=CCCC(=O)NC[C@H]1C[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001344198860 923565460 /nfs/dbraw/zinc/56/54/60/923565460.db2.gz DMAVUADIFAVODK-AOOOYVTPSA-N 0 1 277.328 0.396 20 30 CCEDMN C#CCN1CCC(OC(=O)CO[C@@H]2CCOC2)CC1 ZINC001344373819 923586455 /nfs/dbraw/zinc/58/64/55/923586455.db2.gz VSISYLYJXXYLRI-CYBMUJFWSA-N 0 1 267.325 0.433 20 30 CCEDMN N#C[C@@H](C(=O)c1ccc2n[nH]c(=O)n2c1)c1ccncn1 ZINC001344615922 923654974 /nfs/dbraw/zinc/65/49/74/923654974.db2.gz AKRNFCJZZKQUFR-SECBINFHSA-N 0 1 280.247 0.715 20 30 CCEDMN N#CC(C(=O)c1ccc2n[nH]c(=O)n2c1)c1ccncn1 ZINC001344615922 923654984 /nfs/dbraw/zinc/65/49/84/923654984.db2.gz AKRNFCJZZKQUFR-SECBINFHSA-N 0 1 280.247 0.715 20 30 CCEDMN Cc1nc(CNC(=O)[C@H](C#N)Cc2ccc(C#N)cc2)n[nH]1 ZINC001420452622 923676220 /nfs/dbraw/zinc/67/62/20/923676220.db2.gz QWCOSPIIHUEDBN-ZDUSSCGKSA-N 0 1 294.318 0.983 20 30 CCEDMN Cc1nc(CNC(=O)CNC(=O)c2ccc(C#N)cc2)n[nH]1 ZINC001420451576 923677584 /nfs/dbraw/zinc/67/75/84/923677584.db2.gz JNBDTMSXDDDUMS-UHFFFAOYSA-N 0 1 298.306 0.031 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H](C)NC(C)=O)CC1 ZINC001344943084 923739073 /nfs/dbraw/zinc/73/90/73/923739073.db2.gz WCOICXMKANTUKI-SNVBAGLBSA-N 0 1 252.314 0.152 20 30 CCEDMN C#CCN1CCC(OC(=O)COCCOCC)CC1 ZINC001344942306 923739960 /nfs/dbraw/zinc/73/99/60/923739960.db2.gz KWTLSHDZQOGXKN-UHFFFAOYSA-N 0 1 269.341 0.680 20 30 CCEDMN CCN(C(=O)CNC1(CNC(=O)[C@H](C)C#N)CC1)C1CC1 ZINC001420919054 924022462 /nfs/dbraw/zinc/02/24/62/924022462.db2.gz IUJSREVPAZNCHZ-LLVKDONJSA-N 0 1 292.383 0.395 20 30 CCEDMN CCc1nc([C@H](C)N2CC(NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001421025060 924099942 /nfs/dbraw/zinc/09/99/42/924099942.db2.gz LNIXSZTUDBRBKZ-IUCAKERBSA-N 0 1 276.344 0.388 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC[C@H](O)COC(C)C)c1 ZINC001346189676 924101060 /nfs/dbraw/zinc/10/10/60/924101060.db2.gz AATKBSVJCQAVNM-HNNXBMFYSA-N 0 1 290.363 0.982 20 30 CCEDMN C=CCONC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001346424901 924203985 /nfs/dbraw/zinc/20/39/85/924203985.db2.gz GWGXDRKNSUOQFS-NSHDSACASA-N 0 1 256.350 0.039 20 30 CCEDMN C=CCSCCNC(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001346667073 924285893 /nfs/dbraw/zinc/28/58/93/924285893.db2.gz WUPQQHDEEXWEQT-RYUDHWBXSA-N 0 1 269.414 0.410 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)CN1CCC(CO)CC1 ZINC001346750821 924305355 /nfs/dbraw/zinc/30/53/55/924305355.db2.gz WMLCURBTMAZNJK-LLVKDONJSA-N 0 1 253.346 0.309 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N(C)CC2CN(C)C2)CC1 ZINC001346925447 924333497 /nfs/dbraw/zinc/33/34/97/924333497.db2.gz BZBFCVDPDSMWSA-UHFFFAOYSA-N 0 1 279.384 0.431 20 30 CCEDMN N#CCN1CCC(NC(=O)NCCCc2nc[nH]n2)CC1 ZINC001347253558 924407767 /nfs/dbraw/zinc/40/77/67/924407767.db2.gz QJPGIAUZULSTRV-UHFFFAOYSA-N 0 1 291.359 0.024 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H](OC)c2cnn(C)c2)CC1 ZINC001347400471 924439761 /nfs/dbraw/zinc/43/97/61/924439761.db2.gz IUJQNRYQDCOQET-AWEZNQCLSA-N 0 1 291.351 0.748 20 30 CCEDMN C#CCC1(NC(=O)N[C@@H](C)[C@H]2CN(C)CCN2C)CCC1 ZINC001348265769 924632262 /nfs/dbraw/zinc/63/22/62/924632262.db2.gz ZJPVZGASSZPCPT-UONOGXRCSA-N 0 1 292.427 0.866 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCNC(=O)Cc1nnc[nH]1 ZINC001349495506 924974315 /nfs/dbraw/zinc/97/43/15/924974315.db2.gz ZEMAMSNQMJGHLC-JTQLQIEISA-N 0 1 279.344 0.182 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2nocc2C)C1 ZINC001479967928 924979887 /nfs/dbraw/zinc/97/98/87/924979887.db2.gz QRQSXEJFMXGHBX-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CCC[N@@H+]1CC(C)C)C(=O)[O-] ZINC001349932431 925100511 /nfs/dbraw/zinc/10/05/11/925100511.db2.gz RALIZOWUVRJDRS-STQMWFEESA-N 0 1 298.383 0.879 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@]1([C@@H]2CCCCO2)CCCO1 ZINC001350136173 925132753 /nfs/dbraw/zinc/13/27/53/925132753.db2.gz WBDBIXJPNQASML-GOEBONIOSA-N 0 1 294.395 0.786 20 30 CCEDMN Cn1ccnc1COCC(=O)NC1(C#N)CCN(C)CC1 ZINC001350640212 925246236 /nfs/dbraw/zinc/24/62/36/925246236.db2.gz OWQIZNMTABGFBC-UHFFFAOYSA-N 0 1 291.355 0.041 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(C[C@H]2CN3CCC[C@H]3CO2)C1=O ZINC001350937835 925316206 /nfs/dbraw/zinc/31/62/06/925316206.db2.gz QYPRRVKJFYJUQJ-TUAOUCFPSA-N 0 1 279.340 0.346 20 30 CCEDMN CC(C)N(CCC#N)C(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001351113215 925362489 /nfs/dbraw/zinc/36/24/89/925362489.db2.gz PFGONIXEZNAGDA-QWHCGFSZSA-N 0 1 264.373 0.525 20 30 CCEDMN Cn1ccnc1CCC(=O)NC1(C#N)CCN(C)CC1 ZINC001351167261 925376970 /nfs/dbraw/zinc/37/69/70/925376970.db2.gz XEVWNVNGASVONT-UHFFFAOYSA-N 0 1 275.356 0.457 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N(C)Cc2cnc[nH]2)C1 ZINC001351446295 925420575 /nfs/dbraw/zinc/42/05/75/925420575.db2.gz WZKPGSLFWIATGX-LLVKDONJSA-N 0 1 276.340 0.793 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCCNC(=O)CN1CCCC1 ZINC001351510040 925429550 /nfs/dbraw/zinc/42/95/50/925429550.db2.gz SHOGXBYHKREFQE-UHFFFAOYSA-N 0 1 293.411 0.706 20 30 CCEDMN C=CCn1c(C[C@@H](C)O)nnc1N1CCN(CC)CC1 ZINC001351704498 925458076 /nfs/dbraw/zinc/45/80/76/925458076.db2.gz KLVLMQSKOSCQGP-GFCCVEGCSA-N 0 1 279.388 0.529 20 30 CCEDMN C=C(C)CNC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001351786419 925470104 /nfs/dbraw/zinc/47/01/04/925470104.db2.gz FYOYWHAIHAFXRH-UHFFFAOYSA-N 0 1 264.329 0.934 20 30 CCEDMN C[C@@H](CN1CCOCC1)N1CCN(CCC#N)CC1 ZINC001352899284 925667583 /nfs/dbraw/zinc/66/75/83/925667583.db2.gz QESPXLWLEWEBOZ-AWEZNQCLSA-N 0 1 266.389 0.238 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1nccc2occc21 ZINC001353073133 925722108 /nfs/dbraw/zinc/72/21/08/925722108.db2.gz PTYCAJSOLFORRW-PSASIEDQSA-N 0 1 256.265 0.669 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)/C=C/c1cncc(F)c1 ZINC001353074453 925723983 /nfs/dbraw/zinc/72/39/83/925723983.db2.gz XJPIHQDOMMCVOW-TVIPKUEBSA-N 0 1 260.272 0.462 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H](C)NCc1nccnc1C ZINC001480460184 925782590 /nfs/dbraw/zinc/78/25/90/925782590.db2.gz SEAVDZVUGJSWTQ-YPMHNXCESA-N 0 1 290.367 0.418 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001353639334 925893731 /nfs/dbraw/zinc/89/37/31/925893731.db2.gz NFUDDWBTABIBON-DCAQKATOSA-N 0 1 291.355 0.784 20 30 CCEDMN CN1CC[C@H](NC(=O)C(=O)Nc2ccc(F)c(C#N)c2)C1 ZINC001354427996 926107883 /nfs/dbraw/zinc/10/78/83/926107883.db2.gz TVYSHQUWKFJDJO-NSHDSACASA-N 0 1 290.298 0.456 20 30 CCEDMN C#CC[N@@H+](CC(=O)N1CCC(C(N)=O)CC1)C(C)(C)C ZINC001354950963 926187935 /nfs/dbraw/zinc/18/79/35/926187935.db2.gz MJNNOMAMOVHEMU-UHFFFAOYSA-N 0 1 279.384 0.444 20 30 CCEDMN C#CCN(CC(=O)N1CCC(C(N)=O)CC1)C(C)(C)C ZINC001354950963 926187938 /nfs/dbraw/zinc/18/79/38/926187938.db2.gz MJNNOMAMOVHEMU-UHFFFAOYSA-N 0 1 279.384 0.444 20 30 CCEDMN C=CCOCC(=O)NCCN(CC)C(=O)c1ccn[nH]1 ZINC001356000046 926334343 /nfs/dbraw/zinc/33/43/43/926334343.db2.gz KDFDVAHONMUOAL-UHFFFAOYSA-N 0 1 280.328 0.191 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001357031097 926478009 /nfs/dbraw/zinc/47/80/09/926478009.db2.gz RPRKPBJCLNVHLM-JTQLQIEISA-N 0 1 293.371 0.889 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCN(C)C(=O)[C@@H]1CCC[N@H+]1C ZINC001357181542 926493545 /nfs/dbraw/zinc/49/35/45/926493545.db2.gz IKYOKGAHKQFKFA-AWEZNQCLSA-N 0 1 293.411 0.657 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](c2nnc3n2CCC3)C1 ZINC001357395645 926524034 /nfs/dbraw/zinc/52/40/34/926524034.db2.gz GJXRMNKYJASKLM-LBPRGKRZSA-N 0 1 287.367 0.153 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CNC(=O)[C@H]1CCCN1C ZINC001358094568 926636354 /nfs/dbraw/zinc/63/63/54/926636354.db2.gz LSFNSMSKQFUOAS-VXGBXAGGSA-N 0 1 267.373 0.668 20 30 CCEDMN N#Cc1ccc(F)c(C(=O)Nc2nn[nH]c2C(N)=O)c1 ZINC001361380943 927013378 /nfs/dbraw/zinc/01/33/78/927013378.db2.gz AWEQDKJKRVIQHX-UHFFFAOYSA-N 0 1 274.215 0.167 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1ccoc1 ZINC001422303825 927210861 /nfs/dbraw/zinc/21/08/61/927210861.db2.gz WQOOGDPNCBVOFS-NSHDSACASA-N 0 1 272.732 0.641 20 30 CCEDMN CN(C(=O)[C@@H](C#N)Cc1ccc(C#N)cc1)c1nn[nH]n1 ZINC001361668484 927237307 /nfs/dbraw/zinc/23/73/07/927237307.db2.gz ZMMKXECYRROAPX-LLVKDONJSA-N 0 1 281.279 0.417 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1c[nH]c(=O)cn1 ZINC001422700772 927458603 /nfs/dbraw/zinc/45/86/03/927458603.db2.gz AXMQOKXEWHBRFP-QMMMGPOBSA-N 0 1 270.720 0.230 20 30 CCEDMN C=C(Cl)CN1CC([C@H](C)NC(=O)CCc2nc[nH]n2)C1 ZINC001422720600 927472365 /nfs/dbraw/zinc/47/23/65/927472365.db2.gz PLAFAONSPHJGRP-JTQLQIEISA-N 0 1 297.790 0.926 20 30 CCEDMN Cc1c[nH]nc1CNc1n[nH]c(N2CCOCC2)c1C#N ZINC001422918898 927592370 /nfs/dbraw/zinc/59/23/70/927592370.db2.gz ZRLWTDNHNBLFKE-UHFFFAOYSA-N 0 1 287.327 0.762 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](CO)NCc1ccccc1F ZINC001423194614 927729641 /nfs/dbraw/zinc/72/96/41/927729641.db2.gz VSCKYWHYFGTBSQ-JQWIXIFHSA-N 0 1 279.315 0.552 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@@H](C)c2nn[nH]n2)ncc1C#N ZINC001362304785 927854394 /nfs/dbraw/zinc/85/43/94/927854394.db2.gz ZCJXHOPUIPUTOV-SECBINFHSA-N 0 1 285.311 0.651 20 30 CCEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)c1nc[nH]n1 ZINC001425516074 928572910 /nfs/dbraw/zinc/57/29/10/928572910.db2.gz WSLHDQDENBZRIN-SNVBAGLBSA-N 0 1 283.763 0.997 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)NCCn3cnc(C#N)n3)c2C1 ZINC001362869075 928596114 /nfs/dbraw/zinc/59/61/14/928596114.db2.gz OHTYXILPGDLGMR-SECBINFHSA-N 0 1 299.338 0.428 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCC[C@H](Cc3nn[nH]n3)C2)c1 ZINC001362901589 928632032 /nfs/dbraw/zinc/63/20/32/928632032.db2.gz CDOAYWQPXVZBAW-SECBINFHSA-N 0 1 285.311 0.494 20 30 CCEDMN N#Cc1ccc(CN[C@H](CO)CNC(=O)CCCF)s1 ZINC001425802727 928675946 /nfs/dbraw/zinc/67/59/46/928675946.db2.gz ZCOUUHDHAWLQCX-JTQLQIEISA-N 0 1 299.371 0.936 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)NCc1ccc(C#N)cc1 ZINC001426232726 928796981 /nfs/dbraw/zinc/79/69/81/928796981.db2.gz BPYVIYYVVVBEGL-UHFFFAOYSA-N 0 1 299.294 0.358 20 30 CCEDMN COC[C@H](NC(=O)c1cc(C#N)ccc1C)c1nn[nH]n1 ZINC001363408098 929192328 /nfs/dbraw/zinc/19/23/28/929192328.db2.gz BHNMJEUGXIMNCQ-NSHDSACASA-N 0 1 286.295 0.497 20 30 CCEDMN Cc1nnc(SCC(=O)NCc2cncc(C#N)c2)[nH]1 ZINC001363557382 929360448 /nfs/dbraw/zinc/36/04/48/929360448.db2.gz OZQRJDATMIHOPL-UHFFFAOYSA-N 0 1 288.336 0.788 20 30 CCEDMN Cc1n[nH]c(SCC(=O)NCc2cncc(C#N)c2)n1 ZINC001363557382 929360458 /nfs/dbraw/zinc/36/04/58/929360458.db2.gz OZQRJDATMIHOPL-UHFFFAOYSA-N 0 1 288.336 0.788 20 30 CCEDMN N#Cc1ccc(C2CCN(c3nc(N)nc(N)[nH+]3)CC2)nc1 ZINC001363743051 929538659 /nfs/dbraw/zinc/53/86/59/929538659.db2.gz RWDCYEHTFMVHBA-UHFFFAOYSA-N 0 1 296.338 0.687 20 30 CCEDMN Cc1ncc(CNc2n[nH]c(N3CCOCC3)c2C#N)cn1 ZINC001363879451 929628978 /nfs/dbraw/zinc/62/89/78/929628978.db2.gz SJMRABQAHPIVPY-UHFFFAOYSA-N 0 1 299.338 0.829 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N(CCC#N)CC1CC1 ZINC001548642074 929630387 /nfs/dbraw/zinc/63/03/87/929630387.db2.gz XACZRRWQIAOOJJ-TZMCWYRMSA-N 0 1 276.384 0.527 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCCN(C(=O)CN2CCCC2)C1 ZINC001372177354 929754809 /nfs/dbraw/zinc/75/48/09/929754809.db2.gz SSXCUEFXSOAIBN-STQMWFEESA-N 0 1 292.383 0.349 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN(C(=O)CN2CCCC2)C1 ZINC001372177351 929754960 /nfs/dbraw/zinc/75/49/60/929754960.db2.gz SSXCUEFXSOAIBN-OLZOCXBDSA-N 0 1 292.383 0.349 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1c[nH]c(=O)cn1 ZINC001443984188 929757998 /nfs/dbraw/zinc/75/79/98/929757998.db2.gz RXSFTLPOKNVZQO-VIFPVBQESA-N 0 1 284.747 0.620 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](CNC(=O)c1ncn[nH]1)C(C)(C)C ZINC001444915211 929971048 /nfs/dbraw/zinc/97/10/48/929971048.db2.gz HVDTYDPJLGCRGI-BDAKNGLRSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](CNC(=O)c1nc[nH]n1)C(C)(C)C ZINC001444915211 929971058 /nfs/dbraw/zinc/97/10/58/929971058.db2.gz HVDTYDPJLGCRGI-BDAKNGLRSA-N 0 1 292.343 0.225 20 30 CCEDMN CCc1onc(C)c1CNC[C@H](O)CNC(=O)[C@H](C)C#N ZINC001445162425 930056120 /nfs/dbraw/zinc/05/61/20/930056120.db2.gz SLVXEHVPTUECIX-KOLCDFICSA-N 0 1 294.355 0.272 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CN(C)CC(=O)N2CCC2)C1 ZINC001373281221 930126495 /nfs/dbraw/zinc/12/64/95/930126495.db2.gz STHFKYBTTRTPAW-OLZOCXBDSA-N 0 1 292.383 0.159 20 30 CCEDMN COc1ccnc(CNC[C@H](C)NC(=O)[C@@H](C)C#N)c1 ZINC001445532756 930162073 /nfs/dbraw/zinc/16/20/73/930162073.db2.gz RSWHNZIETAUDFQ-QWRGUYRKSA-N 0 1 276.340 0.844 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](C)NC(C)=O ZINC001373726690 930316076 /nfs/dbraw/zinc/31/60/76/930316076.db2.gz KYWWMDAJSOHRDZ-ONGXEEELSA-N 0 1 275.780 0.748 20 30 CCEDMN CCC(C)(C)NC(=O)CNC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001446156078 930353456 /nfs/dbraw/zinc/35/34/56/930353456.db2.gz ITSABXHSPSPHIU-LLVKDONJSA-N 0 1 294.399 0.689 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C[C@H]2CCNC2=O)CCC1 ZINC001447200022 930588758 /nfs/dbraw/zinc/58/87/58/930588758.db2.gz PONFEWZWLIWCEW-LLVKDONJSA-N 0 1 299.802 0.894 20 30 CCEDMN COc1cncc(CNC[C@H](C)NC(=O)[C@@H](C)C#N)n1 ZINC001374871825 930700176 /nfs/dbraw/zinc/70/01/76/930700176.db2.gz BNPXJHIOWOHASF-UWVGGRQHSA-N 0 1 277.328 0.239 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@@H](CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001375485435 930897911 /nfs/dbraw/zinc/89/79/11/930897911.db2.gz VFYXXCLXWKXBNL-INTQDDNPSA-N 0 1 276.344 0.257 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1CN(C)CCO1 ZINC001448644794 930938085 /nfs/dbraw/zinc/93/80/85/930938085.db2.gz ZAURJYBZQAUWHX-VXGBXAGGSA-N 0 1 289.807 0.506 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)C(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001449198008 931098515 /nfs/dbraw/zinc/09/85/15/931098515.db2.gz XHCMJSFKUKAPFO-QWRGUYRKSA-N 0 1 291.355 0.323 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC(F)F)C[C@@H](C)O)CC1 ZINC001449646686 931174095 /nfs/dbraw/zinc/17/40/95/931174095.db2.gz NFJVKUSZWGWZSK-LLVKDONJSA-N 0 1 288.338 0.806 20 30 CCEDMN C[C@@H](C#N)C(=O)N(CCNC(=O)[C@@H]1CCCN1C)C1CC1 ZINC001449830127 931195130 /nfs/dbraw/zinc/19/51/30/931195130.db2.gz UNDBUMJBLDUEFA-AAEUAGOBSA-N 0 1 292.383 0.347 20 30 CCEDMN N#Cc1ccc(CNC(=O)c2cnncc2O)nc1 ZINC001450779148 931336679 /nfs/dbraw/zinc/33/66/79/931336679.db2.gz OJCUBXUOUHVSKL-UHFFFAOYSA-N 0 1 255.237 0.379 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CC[C@H]2C[C@@H](C)C[N@@H+]2C1 ZINC001602682141 971265662 /nfs/dbraw/zinc/26/56/62/971265662.db2.gz HHRIIMPNNBRGHO-UPJWGTAASA-N 0 1 278.352 0.653 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CC[C@@H]2C[C@@H](C)C[N@@H+]2C1 ZINC001602682139 971265715 /nfs/dbraw/zinc/26/57/15/971265715.db2.gz HHRIIMPNNBRGHO-JHJVBQTASA-N 0 1 278.352 0.653 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@H](C)C[N@H+](C)CCCC ZINC001602688892 971293628 /nfs/dbraw/zinc/29/36/28/971293628.db2.gz YIIYUUGRPQNGCB-GFCCVEGCSA-N 0 1 283.372 0.836 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@H](C(=O)[O-])C(C)(C)C)CC1 ZINC001602702925 971332800 /nfs/dbraw/zinc/33/28/00/971332800.db2.gz YLCNNJHUBGBTKG-GFCCVEGCSA-N 0 1 280.368 0.901 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@H](C(=O)[O-])C(C)(C)C)CC1 ZINC001602702925 971332804 /nfs/dbraw/zinc/33/28/04/971332804.db2.gz YLCNNJHUBGBTKG-GFCCVEGCSA-N 0 1 280.368 0.901 20 30 CCEDMN C[C@@H]1CC[C@@H](C(=O)[O-])C[N@H+]1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC001589305788 953737884 /nfs/dbraw/zinc/73/78/84/953737884.db2.gz RBGPHEPTMUIRQE-HFAKWTLXSA-N 0 1 293.367 0.980 20 30 CCEDMN C[N@H+]1CCCC[C@@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC001593780514 954649117 /nfs/dbraw/zinc/64/91/17/954649117.db2.gz GHJJYXWSNWLQEB-FZMZJTMJSA-N 0 1 281.356 0.839 20 30 CCEDMN N#CCC1CC[NH+](CN2C[C@H](C(=O)[O-])CC2=O)CC1 ZINC001594605880 956173519 /nfs/dbraw/zinc/17/35/19/956173519.db2.gz JVMWVRUSQSQSPF-LLVKDONJSA-N 0 1 265.313 0.503 20 30 CCEDMN COC[C@@]1(C(=O)[O-])C[N@@H+](CCCSCC#N)CCO1 ZINC001593985889 956777413 /nfs/dbraw/zinc/77/74/13/956777413.db2.gz LKTOVTQFUKVQBC-GFCCVEGCSA-N 0 1 288.369 0.435 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](CCC(=O)[O-])C1 ZINC000043585681 957436723 /nfs/dbraw/zinc/43/67/23/957436723.db2.gz ORGZMELIQYGZRT-VXGBXAGGSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H]1CCC[N@@H+]1C(C)C ZINC001588400024 958077524 /nfs/dbraw/zinc/07/75/24/958077524.db2.gz QVIRXPVYDYDFIE-LLVKDONJSA-N 0 1 252.314 0.406 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@@H](c1ccco1)[NH+](C)C ZINC001588408524 958111486 /nfs/dbraw/zinc/11/14/86/958111486.db2.gz QSVCLRDUTDBGRE-NSHDSACASA-N 0 1 293.323 0.612 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@H]1C[N@@H+](C2CC2)C[C@H]1C ZINC001588410138 958135211 /nfs/dbraw/zinc/13/52/11/958135211.db2.gz PLEULUOQXTTYFA-PWSUYJOCSA-N 0 1 279.340 0.199 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H]1CCCC[C@@H]1C(=O)OC ZINC001588423989 958211504 /nfs/dbraw/zinc/21/15/04/958211504.db2.gz IOCGTELIFFHKSY-RYUDHWBXSA-N 0 1 267.325 0.986 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H]1CCCC[C@@H]1C(=O)OC ZINC001588423989 958211519 /nfs/dbraw/zinc/21/15/19/958211519.db2.gz IOCGTELIFFHKSY-RYUDHWBXSA-N 0 1 267.325 0.986 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)NC[C@H](C)C(=O)[O-])C1 ZINC001588431533 958271370 /nfs/dbraw/zinc/27/13/70/958271370.db2.gz ZWEBMBWKVFQOCH-WDEREUQCSA-N 0 1 267.329 0.104 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)NC[C@H](C)C(=O)[O-])C1 ZINC001588431533 958271390 /nfs/dbraw/zinc/27/13/90/958271390.db2.gz ZWEBMBWKVFQOCH-WDEREUQCSA-N 0 1 267.329 0.104 20 30 CCEDMN C=CCC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CNC(=O)[O-] ZINC001573360410 963037292 /nfs/dbraw/zinc/03/72/92/963037292.db2.gz OBLKYTJSMXKRAS-PHIMTYICSA-N 0 1 267.329 0.505 20 30 CCEDMN C#C[C@H]1CCC[N@@H+](Cn2nc(C(=O)OC)cc2C(=O)[O-])C1 ZINC001588383138 983377997 /nfs/dbraw/zinc/37/79/97/983377997.db2.gz OHLCTAJNAFENAG-JTQLQIEISA-N 0 1 291.307 0.671 20 30 CCEDMN C#C[C@H]1CCC[N@H+](Cn2nc(C(=O)OC)cc2C(=O)[O-])C1 ZINC001588383138 983378002 /nfs/dbraw/zinc/37/80/02/983378002.db2.gz OHLCTAJNAFENAG-JTQLQIEISA-N 0 1 291.307 0.671 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CC[N@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588384243 983388372 /nfs/dbraw/zinc/38/83/72/983388372.db2.gz ZXKGGKKPZVNPSI-NSHDSACASA-N 0 1 266.341 0.511 20 30 CCEDMN C#CC[C@H]1CCC[N@H+](CC(=O)NCCC(=O)[O-])C1 ZINC001588435002 983473779 /nfs/dbraw/zinc/47/37/79/983473779.db2.gz ZIOJXDZDSNJETE-NSHDSACASA-N 0 1 252.314 0.313 20 30 CCEDMN C#CC[N@H+](CCCNC(=O)[C@@H]1C[C@H]1C(=O)[O-])C(C)C ZINC001588469586 983502213 /nfs/dbraw/zinc/50/22/13/983502213.db2.gz LWFOJPPCFQLFGU-VXGBXAGGSA-N 0 1 266.341 0.557 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(C(=O)[O-])ccn2)C1 ZINC001588475679 983509008 /nfs/dbraw/zinc/50/90/08/983509008.db2.gz GDBDSMINBPJUBT-LBPRGKRZSA-N 0 1 287.319 0.607 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C(=O)[O-])ccn2)C1 ZINC001588475679 983509009 /nfs/dbraw/zinc/50/90/09/983509009.db2.gz GDBDSMINBPJUBT-LBPRGKRZSA-N 0 1 287.319 0.607 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)NC/C=C(\C)C(=O)[O-])C1 ZINC001588477173 983514477 /nfs/dbraw/zinc/51/44/77/983514477.db2.gz VXBPLOAGXFLKFA-BCMYLCSRSA-N 0 1 279.340 0.414 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)NC/C=C(\C)C(=O)[O-])C1 ZINC001588477173 983514478 /nfs/dbraw/zinc/51/44/78/983514478.db2.gz VXBPLOAGXFLKFA-BCMYLCSRSA-N 0 1 279.340 0.414 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N2CC[C@@H](C(=O)[O-])[C@@H]2C)C1 ZINC001588477384 983515726 /nfs/dbraw/zinc/51/57/26/983515726.db2.gz ZCQBJQRCOXXHSX-RWMBFGLXSA-N 0 1 293.367 0.589 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N2CC[C@@H](C(=O)[O-])[C@@H]2C)C1 ZINC001588477384 983515728 /nfs/dbraw/zinc/51/57/28/983515728.db2.gz ZCQBJQRCOXXHSX-RWMBFGLXSA-N 0 1 293.367 0.589 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](Nc2nccnc2C(=O)[O-])C1 ZINC001588477670 983517276 /nfs/dbraw/zinc/51/72/76/983517276.db2.gz YKCONVYFHASAFJ-SNVBAGLBSA-N 0 1 260.297 0.684 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](Nc2nccnc2C(=O)[O-])C1 ZINC001588477670 983517282 /nfs/dbraw/zinc/51/72/82/983517282.db2.gz YKCONVYFHASAFJ-SNVBAGLBSA-N 0 1 260.297 0.684 20 30 CCEDMN C#CCOc1ccc(C[N@H+]2C[C@H](O)C[C@H](C(=O)[O-])C2)cc1 ZINC001588497504 983538962 /nfs/dbraw/zinc/53/89/62/983538962.db2.gz KDHFEVVZIKZWOS-UONOGXRCSA-N 0 1 289.331 0.966 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001588536638 983595266 /nfs/dbraw/zinc/59/52/66/983595266.db2.gz ZUMISDGQIJTELP-PWSUYJOCSA-N 0 1 254.286 0.229 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)C[N@@H+]1CC[C@@H](C)C1)C(=O)[O-] ZINC001588543088 983610674 /nfs/dbraw/zinc/61/06/74/983610674.db2.gz WSEBMZIOHVZUFS-GHMZBOCLSA-N 0 1 254.330 0.864 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CCC([N@@H+](C)CCCO)CC1 ZINC001588614106 983706662 /nfs/dbraw/zinc/70/66/62/983706662.db2.gz MDJRDSNCJHYNKL-UHFFFAOYSA-N 0 1 298.383 0.713 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N[C@@H](C)CCN1CC[NH+](C)CC1 ZINC001588619162 983715052 /nfs/dbraw/zinc/71/50/52/983715052.db2.gz MJUAFUPYCVJXDO-ZDUSSCGKSA-N 0 1 297.399 0.550 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1C[C@H](C)O[C@@]2(CCO[C@@H]2C)C1 ZINC001588663964 983797844 /nfs/dbraw/zinc/79/78/44/983797844.db2.gz MIHVHFUBTNGGOI-WGBDABJCSA-N 0 1 255.314 0.894 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1C[C@@H](C)OC2(CCOCC2)C1 ZINC001588664272 983799624 /nfs/dbraw/zinc/79/96/24/983799624.db2.gz PEXGRSPWFWNHBU-MNOVXSKESA-N 0 1 255.314 0.896 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1CCN(c2cnccn2)[C@@H](C)C1 ZINC001588670131 983818710 /nfs/dbraw/zinc/81/87/10/983818710.db2.gz WTIZQEKWDFETRR-WDEREUQCSA-N 0 1 262.313 0.626 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1C[C@@H](N2CCOCC2)C[C@@H]1C ZINC001588727682 983977712 /nfs/dbraw/zinc/97/77/12/983977712.db2.gz DYCHTJRRLSWOEP-RWMBFGLXSA-N 0 1 268.357 0.811 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1C[C@@H]2CCC[C@@H]2[C@H]1C(N)=O ZINC001588728874 983984882 /nfs/dbraw/zinc/98/48/82/983984882.db2.gz MDXURJMLNDYKJJ-MMWGEVLESA-N 0 1 252.314 0.602 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC001588730362 983998481 /nfs/dbraw/zinc/99/84/81/983998481.db2.gz YSAVVTFVIVOAIM-VHSXEESVSA-N 0 1 261.343 0.382 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CC[N@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588742287 984032158 /nfs/dbraw/zinc/03/21/58/984032158.db2.gz AFTZPIYCYMTKHZ-VIFPVBQESA-N 0 1 276.283 0.673 20 30 CCEDMN C=CCCCC(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001588782960 984175389 /nfs/dbraw/zinc/17/53/89/984175389.db2.gz QSKBOWIQTOPBJP-SNVBAGLBSA-N 0 1 251.286 0.878 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@@H]1CSC[NH2+]1)C(=O)[O-] ZINC001588794326 984226263 /nfs/dbraw/zinc/22/62/63/984226263.db2.gz RBYUSQMAIDRQKU-BDAKNGLRSA-N 0 1 258.343 0.575 20 30 CCEDMN C=CCCOCC(=O)O[C@@H]1C[C@H](C(=O)[O-])[N@H+](C)C1 ZINC001588810300 984266373 /nfs/dbraw/zinc/26/63/73/984266373.db2.gz IIMQZRRKSJABFF-NXEZZACHSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCCOCC(=O)O[C@@H]1C[C@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588810300 984266385 /nfs/dbraw/zinc/26/63/85/984266385.db2.gz IIMQZRRKSJABFF-NXEZZACHSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCN1CCN(C[N@H+]2C[C@H]3[C@H](C(=O)[O-])[C@H]3C2)C1=O ZINC001588838967 984343721 /nfs/dbraw/zinc/34/37/21/984343721.db2.gz NAPCPUZTGNTLRW-URLYPYJESA-N 0 1 265.313 0.130 20 30 CCEDMN C=CC[N@@H+]1CCC2(CN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)C1 ZINC001588839920 984350811 /nfs/dbraw/zinc/35/08/11/984350811.db2.gz XZVHHJMESLWZNH-QWRGUYRKSA-N 0 1 264.325 0.427 20 30 CCEDMN C=CCOCCCC(=O)O[C@H]1C[C@@H](C(=O)[O-])[N@H+](C)C1 ZINC001588866535 984426192 /nfs/dbraw/zinc/42/61/92/984426192.db2.gz JOVXIENVGWVRGE-QWRGUYRKSA-N 0 1 271.313 0.670 20 30 CCEDMN C=CCOCCCC(=O)O[C@H]1C[C@@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588866535 984426194 /nfs/dbraw/zinc/42/61/94/984426194.db2.gz JOVXIENVGWVRGE-QWRGUYRKSA-N 0 1 271.313 0.670 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001588868885 984435804 /nfs/dbraw/zinc/43/58/04/984435804.db2.gz FGMPIIPQHZEAMH-WDEREUQCSA-N 0 1 271.313 0.527 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001588868885 984435807 /nfs/dbraw/zinc/43/58/07/984435807.db2.gz FGMPIIPQHZEAMH-WDEREUQCSA-N 0 1 271.313 0.527 20 30 CCEDMN C=CCOCC[NH+]1CCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588869204 984438814 /nfs/dbraw/zinc/43/88/14/984438814.db2.gz ZDXUYRYYOVYLKA-VXGBXAGGSA-N 0 1 282.340 0.054 20 30 CCEDMN C[NH+](C)Cc1cc(C(=O)N(CC#N)CCC(=O)[O-])no1 ZINC001598247187 991016103 /nfs/dbraw/zinc/01/61/03/991016103.db2.gz PIHXYRHQPBPJNS-UHFFFAOYSA-N 0 1 280.284 0.177 20 30 CCEDMN C[N@H+]1CCN(CCC(C)(C)C#N)C[C@H](C(=O)[O-])C1 ZINC001598617039 993905060 /nfs/dbraw/zinc/90/50/60/993905060.db2.gz QTBDHNQVAWPUJA-LLVKDONJSA-N 0 1 253.346 0.874 20 30 CCEDMN CN1CC[N@H+](CCC(C)(C)C#N)C[C@H](C(=O)[O-])C1 ZINC001598617039 993905064 /nfs/dbraw/zinc/90/50/64/993905064.db2.gz QTBDHNQVAWPUJA-LLVKDONJSA-N 0 1 253.346 0.874 20 30 CCEDMN CN1CC[N@@H+](CCC(C)(C)C#N)C[C@H](C(=O)[O-])C1 ZINC001598617039 993905065 /nfs/dbraw/zinc/90/50/65/993905065.db2.gz QTBDHNQVAWPUJA-LLVKDONJSA-N 0 1 253.346 0.874 20 30 CCEDMN C[C@]1(C(=O)[O-])CC[N@@H+](C[C@@H](O)CC2(C#N)CCOCC2)C1 ZINC001593795832 996512674 /nfs/dbraw/zinc/51/26/74/996512674.db2.gz REHBXKRGJOFBOF-JSGCOSHPSA-N 0 1 296.367 0.854 20 30 CCEDMN C[C@H](NS(=O)(=O)CC1(C#N)CCC1)c1nnc[nH]1 ZINC000357168726 260139903 /nfs/dbraw/zinc/13/99/03/260139903.db2.gz MQYNAUFKUSFGGU-QMMMGPOBSA-N 0 1 269.330 0.479 20 30 CCEDMN CCOCCCC(=O)NC1(C#N)CCN(C)CC1 ZINC000094972543 349580135 /nfs/dbraw/zinc/58/01/35/349580135.db2.gz WSEDTRPDJJFVSS-UHFFFAOYSA-N 0 1 253.346 0.907 20 30 CCEDMN C=CCN(C(=O)CCc1nc[nH]n1)[C@H](C)COC ZINC000617580429 365686677 /nfs/dbraw/zinc/68/66/77/365686677.db2.gz XIFMUBFWAQZXDO-SNVBAGLBSA-N 0 1 252.318 0.787 20 30 CCEDMN CN(CC(=O)NC1CCN(CC#N)CC1)C1CCC1 ZINC000600817707 362069828 /nfs/dbraw/zinc/06/98/28/362069828.db2.gz RZWCILHXFMFTDD-UHFFFAOYSA-N 0 1 264.373 0.575 20 30 CCEDMN N#Cc1cc([O-])cc(C(=O)NCC[N@H+]2CC[C@H](O)C2)c1 ZINC000600807449 362063914 /nfs/dbraw/zinc/06/39/14/362063914.db2.gz DAPRVAXOIWNTLX-LBPRGKRZSA-N 0 1 275.308 0.060 20 30 CCEDMN N#Cc1cc([O-])cc(C(=O)NCC[N@@H+]2CC[C@H](O)C2)c1 ZINC000600807449 362063920 /nfs/dbraw/zinc/06/39/20/362063920.db2.gz DAPRVAXOIWNTLX-LBPRGKRZSA-N 0 1 275.308 0.060 20 30 CCEDMN N#Cc1cnc(C(=O)NCCN2CC[C@H](O)C2)c(Cl)c1 ZINC000600807420 362064246 /nfs/dbraw/zinc/06/42/46/362064246.db2.gz CNTAJYHIEITPOM-JTQLQIEISA-N 0 1 294.742 0.403 20 30 CCEDMN CN1CCN(C)[C@H](CNc2cc(C#N)nc(C3CC3)n2)C1 ZINC000601115341 362151699 /nfs/dbraw/zinc/15/16/99/362151699.db2.gz GCHQCGCNUIIRGC-CYBMUJFWSA-N 0 1 286.383 0.305 20 30 CCEDMN CN1CCN(C)[C@@H](CNc2cc(C#N)nc(C3CC3)n2)C1 ZINC000601115342 362151870 /nfs/dbraw/zinc/15/18/70/362151870.db2.gz GCHQCGCNUIIRGC-ZDUSSCGKSA-N 0 1 286.383 0.305 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)[C@H]1CCCOC1 ZINC000352194017 529826537 /nfs/dbraw/zinc/82/65/37/529826537.db2.gz HEAHVBAOJSJOLP-ZDUSSCGKSA-N 0 1 278.356 0.753 20 30 CCEDMN Cc1nnc(N2C[C@@H]3[C@H](C2)OCCN3C)c(C#N)c1C ZINC000301638450 282326951 /nfs/dbraw/zinc/32/69/51/282326951.db2.gz FROLXSFRIVCMLL-OLZOCXBDSA-N 0 1 273.340 0.484 20 30 CCEDMN CN(C[C@H]1CCCN(CCCC#N)C1)S(C)(=O)=O ZINC000352544158 529966249 /nfs/dbraw/zinc/96/62/49/529966249.db2.gz OIUZHWUQOMCXBI-GFCCVEGCSA-N 0 1 273.402 0.894 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CCc1cnc[nH]1)C(=O)OC ZINC000601785776 362392855 /nfs/dbraw/zinc/39/28/55/362392855.db2.gz CMUQIFCYPLCLNR-NSHDSACASA-N 0 1 265.313 0.966 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)Cc1n[nH]c(C)n1)C(=O)OC ZINC000601785724 362392921 /nfs/dbraw/zinc/39/29/21/362392921.db2.gz UBUGDIXAKZSRRX-SECBINFHSA-N 0 1 266.301 0.280 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N(CC(C)(C)O)C2CC2)C1 ZINC000330277527 530069992 /nfs/dbraw/zinc/06/99/92/530069992.db2.gz OJJVMYSTGJWYFW-ZDUSSCGKSA-N 0 1 298.431 0.382 20 30 CCEDMN CN(C(=O)CCCc1nn[nH]n1)[C@H]1CCC[C@@H]1C#N ZINC000602195363 362551419 /nfs/dbraw/zinc/55/14/19/362551419.db2.gz KGWJGUIWNWSCBO-ZJUUUORDSA-N 0 1 262.317 0.673 20 30 CCEDMN CN(CCc1ccccc1)CC(=O)N[C@H](C#N)CO ZINC000602160264 362535017 /nfs/dbraw/zinc/53/50/17/362535017.db2.gz YFWLJDYYOGUKSX-CYBMUJFWSA-N 0 1 261.325 0.162 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@H]2CCc3[nH]cnc3C2)CC1 ZINC000602200785 362554364 /nfs/dbraw/zinc/55/43/64/362554364.db2.gz SOLPNMOGQJXBCX-LBPRGKRZSA-N 0 1 287.367 0.572 20 30 CCEDMN C[C@@H](NCc1cccc(C#N)n1)[C@H]1CN(C)CCO1 ZINC000602576347 362689325 /nfs/dbraw/zinc/68/93/25/362689325.db2.gz MEXGWVZRDWCLQO-BXUZGUMPSA-N 0 1 260.341 0.762 20 30 CCEDMN N#CCC1(CN(CCO)CCN2CCOCC2)CC1 ZINC000602781458 362798217 /nfs/dbraw/zinc/79/82/17/362798217.db2.gz YNNWGEXEIGXPAQ-UHFFFAOYSA-N 0 1 267.373 0.307 20 30 CCEDMN C[C@@H](CC#N)NC[C@H](O)CN1C(=O)c2ccccc2C1=O ZINC000602862225 362846528 /nfs/dbraw/zinc/84/65/28/362846528.db2.gz JFGIPKKDGJLPCC-QWRGUYRKSA-N 0 1 287.319 0.535 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C(C)C)CC1 ZINC000027419967 348099483 /nfs/dbraw/zinc/09/94/83/348099483.db2.gz QHLUNEYKGNUESN-UHFFFAOYSA-N 0 1 268.361 0.024 20 30 CCEDMN C[C@H](Oc1ccccc1C#N)C(=O)NCc1nnc[nH]1 ZINC000037920713 348219252 /nfs/dbraw/zinc/21/92/52/348219252.db2.gz XLAZGCQVKPLUBV-VIFPVBQESA-N 0 1 271.280 0.760 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@H]3COC[C@@H]3C2)[nH]1 ZINC000602885519 362863892 /nfs/dbraw/zinc/86/38/92/362863892.db2.gz FVRXXUWVCRJJLP-KOLCDFICSA-N 0 1 275.312 0.214 20 30 CCEDMN CN(CCC(=O)N1CCN(C)CC1)[C@@H]1CCC[C@H]1C#N ZINC000602979303 362919922 /nfs/dbraw/zinc/91/99/22/362919922.db2.gz BUIXSDQMWCPUPG-UONOGXRCSA-N 0 1 278.400 0.775 20 30 CCEDMN N#CCNC(=O)CN1CC[C@H](O)[C@@H]2CCCC[C@H]21 ZINC000602997996 362929363 /nfs/dbraw/zinc/92/93/63/362929363.db2.gz VFZGXVUPJNXLPY-UTUOFQBUSA-N 0 1 251.330 0.252 20 30 CCEDMN CCN1CCN(CC(=O)NCC#Cc2ccccc2)CC1 ZINC000073599571 348955876 /nfs/dbraw/zinc/95/58/76/348955876.db2.gz UWRARLQJIGXGNX-UHFFFAOYSA-N 0 1 285.391 0.792 20 30 CCEDMN N#CCNC(=O)CN1CCN(CCc2ccccc2)CC1 ZINC000076668329 349089470 /nfs/dbraw/zinc/08/94/70/349089470.db2.gz HPURJWIYRNVDGS-UHFFFAOYSA-N 0 1 286.379 0.486 20 30 CCEDMN CCCN(CCO)CC(=O)N(CC)C[C@H](C)C#N ZINC000076951719 349094418 /nfs/dbraw/zinc/09/44/18/349094418.db2.gz SNKZSAOJNKXPAA-GFCCVEGCSA-N 0 1 255.362 0.699 20 30 CCEDMN Cc1nc(C(=O)NCCc2nc[nH]n2)ccc1C#N ZINC000080604277 349221497 /nfs/dbraw/zinc/22/14/97/349221497.db2.gz RSGHPVRVDGPMBV-UHFFFAOYSA-N 0 1 256.269 0.352 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H]1CCCn2nccc21 ZINC000603028212 362943554 /nfs/dbraw/zinc/94/35/54/362943554.db2.gz RPTHMYYMLYJHCN-JTQLQIEISA-N 0 1 277.328 0.319 20 30 CCEDMN CN1CCN(CCNC(=O)c2cc(C#N)cs2)CC1 ZINC000084168087 349315708 /nfs/dbraw/zinc/31/57/08/349315708.db2.gz FUKPQRHRGGZPLT-UHFFFAOYSA-N 0 1 278.381 0.597 20 30 CCEDMN CN(C)C1CN(C(=O)COc2ccc(C#N)cc2)C1 ZINC000134987639 350382018 /nfs/dbraw/zinc/38/20/18/350382018.db2.gz ZJVWXOHCOIJYLY-UHFFFAOYSA-N 0 1 259.309 0.709 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2c[nH]nn2)C[C@H](C)N1CC#N ZINC000603092092 362958424 /nfs/dbraw/zinc/95/84/24/362958424.db2.gz MLKDUGIIVLDDDC-PHIMTYICSA-N 0 1 276.344 0.182 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnn[nH]2)C[C@H](C)N1CC#N ZINC000603092092 362958429 /nfs/dbraw/zinc/95/84/29/362958429.db2.gz MLKDUGIIVLDDDC-PHIMTYICSA-N 0 1 276.344 0.182 20 30 CCEDMN CCOCCNCCS(=O)(=O)c1cccc(C#N)c1 ZINC000160621670 350704328 /nfs/dbraw/zinc/70/43/28/350704328.db2.gz JGJSAXQQBZOTAI-UHFFFAOYSA-N 0 1 282.365 0.958 20 30 CCEDMN CN[C@H](C)c1cn(CC(=O)N[C@](C)(C#N)C(C)C)nn1 ZINC000603171939 362982075 /nfs/dbraw/zinc/98/20/75/362982075.db2.gz PUYUVOCNAZFUTR-ZWNOBZJWSA-N 0 1 278.360 0.613 20 30 CCEDMN CNCc1cn(CC(=O)NCc2ccc(C#N)cc2)nn1 ZINC000603211957 363002168 /nfs/dbraw/zinc/00/21/68/363002168.db2.gz XJZMUDWEKPTYIX-UHFFFAOYSA-N 0 1 284.323 0.186 20 30 CCEDMN C[C@H](NC(=O)CNC(=O)c1ccc(C#N)cc1)c1nnc[nH]1 ZINC000176212870 351161134 /nfs/dbraw/zinc/16/11/34/351161134.db2.gz HRPHCSNNMVFKME-VIFPVBQESA-N 0 1 298.306 0.284 20 30 CCEDMN CN[C@H](C)c1cn(C[C@H](O)CC(C)(C)C#N)nn1 ZINC000603266157 363034622 /nfs/dbraw/zinc/03/46/22/363034622.db2.gz CUOKVRURZHQXEV-NXEZZACHSA-N 0 1 251.334 0.859 20 30 CCEDMN CO[C@@H](C)CNCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000224187956 351997013 /nfs/dbraw/zinc/99/70/13/351997013.db2.gz FMUHUAHYSSANGM-NSHDSACASA-N 0 1 282.365 0.956 20 30 CCEDMN C[C@H](NS(=O)(=O)c1ccc(C#N)s1)c1nnc[nH]1 ZINC000230418168 352115498 /nfs/dbraw/zinc/11/54/98/352115498.db2.gz GRBRUXKEPHXOLO-LURJTMIESA-N 0 1 283.338 0.777 20 30 CCEDMN CN(C)C[C@H]1CCCN1S(=O)(=O)c1cccnc1C#N ZINC000234235704 352156317 /nfs/dbraw/zinc/15/63/17/352156317.db2.gz FEENKZAWZOHOBG-LLVKDONJSA-N 0 1 294.380 0.668 20 30 CCEDMN C[C@H]1C[C@@H](NS(=O)(=O)c2cccnc2C#N)CCN1C ZINC000235723342 352187549 /nfs/dbraw/zinc/18/75/49/352187549.db2.gz CETOWOAKVXWYOP-QWRGUYRKSA-N 0 1 294.380 0.714 20 30 CCEDMN CN1CCO[C@H]2CCN(c3nccnc3C#N)C[C@@H]21 ZINC000253394589 352532311 /nfs/dbraw/zinc/53/23/11/352532311.db2.gz MYXFLKHNQBCOLW-RYUDHWBXSA-N 0 1 259.313 0.258 20 30 CCEDMN C[C@H]1CN(c2nccnc2C#N)CCN1C(=O)c1ccn[nH]1 ZINC000268315002 352824275 /nfs/dbraw/zinc/82/42/75/352824275.db2.gz AHKDSVMTPVWFCR-JTQLQIEISA-N 0 1 297.322 0.422 20 30 CCEDMN CN(C)C(=O)CCN1CCN(Cc2ccc(C#N)o2)CC1 ZINC000271174176 353029201 /nfs/dbraw/zinc/02/92/01/353029201.db2.gz GAZFHKXUOIPAOK-UHFFFAOYSA-N 0 1 290.367 0.747 20 30 CCEDMN COc1cc(C#N)ccc1CN(CCO)CCCO ZINC000270983370 353010658 /nfs/dbraw/zinc/01/06/58/353010658.db2.gz CQDOAHMQHBJVER-UHFFFAOYSA-N 0 1 264.325 0.744 20 30 CCEDMN C[C@@H]1CN(c2cccc(C#N)n2)[C@@H](C)CN1CCO ZINC000418994429 234280592 /nfs/dbraw/zinc/28/05/92/234280592.db2.gz BSTQLHRCSAPUHO-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN CCc1nc(Cn2cc(C(=O)OC)cc(C#N)c2=O)n[nH]1 ZINC000274760081 353159772 /nfs/dbraw/zinc/15/97/72/353159772.db2.gz QTBWVZPQXDRXOA-UHFFFAOYSA-N 0 1 287.279 0.235 20 30 CCEDMN C[C@H](CN(C)C(=O)c1ccc(C#N)cc1)c1nn[nH]n1 ZINC000274770371 353161113 /nfs/dbraw/zinc/16/11/13/353161113.db2.gz LVULSGUIFJBPHB-SECBINFHSA-N 0 1 270.296 0.947 20 30 CCEDMN C#CC[C@H](NCC(=O)N1CCNC(=O)C1)c1ccccc1 ZINC000271687443 191277565 /nfs/dbraw/zinc/27/75/65/191277565.db2.gz JRKDKZHNWOSSKN-AWEZNQCLSA-N 0 1 285.347 0.299 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccnc(C#N)c2)C[C@H]1C ZINC000283919275 353537192 /nfs/dbraw/zinc/53/71/92/353537192.db2.gz WZZOCSKUBWVBAS-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2cc(C#N)ccn2)C1 ZINC000287594456 353656147 /nfs/dbraw/zinc/65/61/47/353656147.db2.gz MVBJXYNJJFMGGM-GFCCVEGCSA-N 0 1 274.324 0.404 20 30 CCEDMN COCCOCCN(C)Cc1cc(C#N)n(C)c1 ZINC000290257352 353718071 /nfs/dbraw/zinc/71/80/71/353718071.db2.gz WIRCCMAQXQRWEP-UHFFFAOYSA-N 0 1 251.330 0.992 20 30 CCEDMN COCC(=O)N1CCN(C[C@H](C)CCC#N)CC1 ZINC000618886986 366113381 /nfs/dbraw/zinc/11/33/81/366113381.db2.gz CCZNDSLZBARIIH-GFCCVEGCSA-N 0 1 253.346 0.717 20 30 CCEDMN CN(C)CC(=O)N1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000293696075 353831659 /nfs/dbraw/zinc/83/16/59/353831659.db2.gz KOFLZAJPMYXDFQ-LBPRGKRZSA-N 0 1 274.324 0.495 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N[C@H](C)c1cnn(CC)c1 ZINC000296107380 353931945 /nfs/dbraw/zinc/93/19/45/353931945.db2.gz QHIOYAZWDFHRQV-MNOVXSKESA-N 0 1 293.371 0.954 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NOCCSC ZINC000296708019 353956203 /nfs/dbraw/zinc/95/62/03/353956203.db2.gz DGDRKWGFGYHVJH-UHFFFAOYSA-N 0 1 259.375 0.535 20 30 CCEDMN C#CCN(CC#C)CCC(=O)Nc1sccc1C(N)=O ZINC000299218044 353999554 /nfs/dbraw/zinc/99/95/54/353999554.db2.gz IEOVBHNTBULSKO-UHFFFAOYSA-N 0 1 289.360 0.744 20 30 CCEDMN Cc1ccc(NC[C@@H]2CN(C)CCN2C)nc1C#N ZINC000329824377 354261863 /nfs/dbraw/zinc/26/18/63/354261863.db2.gz BLRQKYXZRDARIG-GFCCVEGCSA-N 0 1 259.357 0.341 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@H]2Cc3ccccc3CN2)CC1 ZINC000316753349 354226002 /nfs/dbraw/zinc/22/60/02/354226002.db2.gz JMNRXIJTNOZYPC-MRXNPFEDSA-N 0 1 298.390 0.759 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000607928060 363372232 /nfs/dbraw/zinc/37/22/32/363372232.db2.gz AUWKTTKECSMSOV-CQSZACIVSA-N 0 1 282.384 0.760 20 30 CCEDMN COC(=O)[C@@H]1[C@@H]2CCC[C@@H]2CN1C(=O)C(C)C#N ZINC000331373998 354556022 /nfs/dbraw/zinc/55/60/22/354556022.db2.gz HGWWZCNXIVODEG-DBIOUOCHSA-N 0 1 250.298 0.946 20 30 CCEDMN CC(C)CN1CCN(C(=O)[C@@H](C)n2cnc(C#N)n2)CC1 ZINC000336886546 355220431 /nfs/dbraw/zinc/22/04/31/355220431.db2.gz KAHJGSAFQIVFEP-GFCCVEGCSA-N 0 1 290.371 0.511 20 30 CCEDMN CSc1n[nH]c(NC(=O)[C@@H](C)n2cnc(C#N)n2)n1 ZINC000336934303 355229379 /nfs/dbraw/zinc/22/93/79/355229379.db2.gz COBLKGQMGNVYKJ-RXMQYKEDSA-N 0 1 278.301 0.189 20 30 CCEDMN CN(C)CC(=O)N1CCN(C(=O)C2(C#N)CCCC2)CC1 ZINC000338123313 355310925 /nfs/dbraw/zinc/31/09/25/355310925.db2.gz ZEERYPCWGHGHNR-UHFFFAOYSA-N 0 1 292.383 0.303 20 30 CCEDMN C[C@@H](CNC(=O)c1ccc(C#N)o1)N1CCN(C)CC1 ZINC000340310042 355555740 /nfs/dbraw/zinc/55/57/40/355555740.db2.gz INLHUFFILKCUCE-NSHDSACASA-N 0 1 276.340 0.517 20 30 CCEDMN N#Cc1ccc(CN2CCC[C@@H](N3CCNCC3=O)C2)cc1 ZINC000362825192 138076334 /nfs/dbraw/zinc/07/63/34/138076334.db2.gz FLAGCSCUSRQPKG-MRXNPFEDSA-N 0 1 298.390 0.954 20 30 CCEDMN CCc1nc([C@@H](C)NC(=O)Cc2noc(C)c2C#N)n[nH]1 ZINC000354616439 356453396 /nfs/dbraw/zinc/45/33/96/356453396.db2.gz DUFANTBPUSPXDK-SSDOTTSWSA-N 0 1 288.311 0.955 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)c2cnccn2)[nH]1 ZINC000354936153 356532464 /nfs/dbraw/zinc/53/24/64/356532464.db2.gz VIFZHOLJIQTCRQ-UHFFFAOYSA-N 0 1 256.269 0.800 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H](CO)CC2CCC2)[nH]1 ZINC000619536984 366277574 /nfs/dbraw/zinc/27/75/74/366277574.db2.gz OXLNPVQFOLQLCL-NSHDSACASA-N 0 1 277.328 0.386 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC(C)(C)C#N)[C@@H](C)C1 ZINC000355079904 356570839 /nfs/dbraw/zinc/57/08/39/356570839.db2.gz JMKZJXISIBABAG-RYUDHWBXSA-N 0 1 282.388 0.633 20 30 CCEDMN N#Cc1ccc(CSCC(=O)NCc2nn[nH]n2)cc1 ZINC000609489150 363499181 /nfs/dbraw/zinc/49/91/81/363499181.db2.gz QSHDINDFGDHWSA-UHFFFAOYSA-N 0 1 288.336 0.621 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)c2cnc[nH]2)CC1 ZINC000609494110 363500467 /nfs/dbraw/zinc/50/04/67/363500467.db2.gz BFPLFIKXEMFTRY-LBPRGKRZSA-N 0 1 261.329 0.716 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC000610425268 363557558 /nfs/dbraw/zinc/55/75/58/363557558.db2.gz WFAACYXICQUZFX-ZDUSSCGKSA-N 0 1 275.356 0.645 20 30 CCEDMN C[C@@H](N[C@H](C)c1cccc(C#N)c1)C(=O)NC(N)=O ZINC000610343825 363553270 /nfs/dbraw/zinc/55/32/70/363553270.db2.gz SVZLCYFONRZSMM-RKDXNWHRSA-N 0 1 260.297 0.792 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCN([C@@H](CC)CO)CC1 ZINC000619718740 366339988 /nfs/dbraw/zinc/33/99/88/366339988.db2.gz ZAPUHEFSMXDHDI-RYUDHWBXSA-N 0 1 256.346 0.103 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCCOC(F)F)[nH]1 ZINC000619789239 366354581 /nfs/dbraw/zinc/35/45/81/366354581.db2.gz BRRLRYMLXHBBTC-UHFFFAOYSA-N 0 1 273.243 0.464 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(O[C@H]2CCOC2)CC1 ZINC000361423496 357047632 /nfs/dbraw/zinc/04/76/32/357047632.db2.gz CDQXPRRDUGVZBD-OCCSQVGLSA-N 0 1 282.384 0.947 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2c3ccccc3nn2C)[nH]1 ZINC000361863125 357050865 /nfs/dbraw/zinc/05/08/65/357050865.db2.gz SZWKCJZHNAOWHT-UHFFFAOYSA-N 0 1 280.291 0.853 20 30 CCEDMN Cn1cc(CCCNC(=O)N=c2[nH]n(C)cc2C#N)cn1 ZINC000610562888 363577685 /nfs/dbraw/zinc/57/76/85/363577685.db2.gz JQALPFWBKWLFNB-UHFFFAOYSA-N 0 1 287.327 0.201 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CC[N@H+]3CCCC[C@@H]23)[n-]1 ZINC000610564237 363579664 /nfs/dbraw/zinc/57/96/64/363579664.db2.gz MKEVUMDFUPSWCV-RYUDHWBXSA-N 0 1 288.355 0.462 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CC[N@H+](C)C2)CC1 ZINC000386272392 357729791 /nfs/dbraw/zinc/72/97/91/357729791.db2.gz BRHUEOZQBOGWOO-LLVKDONJSA-N 0 1 250.346 0.042 20 30 CCEDMN N#CC[C@@H]1CCCN(S(=O)(=O)c2ncc[nH]2)C1 ZINC000397676532 357771870 /nfs/dbraw/zinc/77/18/70/357771870.db2.gz RUNJARHCYYSYAQ-VIFPVBQESA-N 0 1 254.315 0.724 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1C[C@@H]2CC[C@H](O)C[C@H]2C1)C1CC1 ZINC000398829723 357779448 /nfs/dbraw/zinc/77/94/48/357779448.db2.gz LBWCXBOWFBFWJH-LMRQPLJMSA-N 0 1 291.395 0.888 20 30 CCEDMN C[C@@H](CO[C@H]1CCOC1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610655676 363591478 /nfs/dbraw/zinc/59/14/78/363591478.db2.gz GSDFSGOPRIGHMP-ONGXEEELSA-N 0 1 293.327 0.029 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@H]1CNC(=O)O1 ZINC000493509039 358308534 /nfs/dbraw/zinc/30/85/34/358308534.db2.gz ABUBONOOXVYECD-ZCFIWIBFSA-N 0 1 256.287 0.370 20 30 CCEDMN COc1ncccc1CNC[C@H](O)CN(C)CCC#N ZINC000562984820 358555695 /nfs/dbraw/zinc/55/56/95/358555695.db2.gz JSXDHBSCFQOOKN-ZDUSSCGKSA-N 0 1 278.356 0.386 20 30 CCEDMN CCOC(=O)C[C@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610767335 363611537 /nfs/dbraw/zinc/61/15/37/363611537.db2.gz CDWVTKFLVYVEML-QMMMGPOBSA-N 0 1 279.300 0.177 20 30 CCEDMN Cc1cc(C(=O)NCCCc2nc[nH]n2)ncc1C#N ZINC000568144817 358635432 /nfs/dbraw/zinc/63/54/32/358635432.db2.gz AYHZMHFPPQXTMO-UHFFFAOYSA-N 0 1 270.296 0.742 20 30 CCEDMN CC1(C)[C@H](O)CCN1Cc1cnc2c(C#N)cnn2c1 ZINC000571209419 358922762 /nfs/dbraw/zinc/92/27/62/358922762.db2.gz WYJIFNHEIIXZOG-GFCCVEGCSA-N 0 1 271.324 0.946 20 30 CCEDMN N#Cc1cscc1C(=O)NC1(c2nn[nH]n2)CC1 ZINC000562852197 291172071 /nfs/dbraw/zinc/17/20/71/291172071.db2.gz LEWSIOBBMPIWMZ-UHFFFAOYSA-N 0 1 260.282 0.552 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](CC(N)=O)C1 ZINC000588976810 359355324 /nfs/dbraw/zinc/35/53/24/359355324.db2.gz YZVWIFQLYACVJB-QWRGUYRKSA-N 0 1 278.356 0.135 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1CC[C@H](CC(N)=O)C1 ZINC000588977082 359355479 /nfs/dbraw/zinc/35/54/79/359355479.db2.gz ADXUIOBVNBEKHP-LALPHHSUSA-N 0 1 294.399 0.627 20 30 CCEDMN N#CC1(CS(=O)(=O)N[C@H]2CCN(C3CC3)C2)CCC1 ZINC000589217843 359371912 /nfs/dbraw/zinc/37/19/12/359371912.db2.gz YUXDKUMSHGRBTH-NSHDSACASA-N 0 1 283.397 0.836 20 30 CCEDMN N#CC1(C(=O)N2CC[C@@H](N3CC[C@H](O)C3)C2)CCCC1 ZINC000588860025 359343543 /nfs/dbraw/zinc/34/35/43/359343543.db2.gz BGNIJZCOLZBAAA-OLZOCXBDSA-N 0 1 277.368 0.738 20 30 CCEDMN CCc1nc(C)c(CNC(=O)N=c2[nH]n(C)cc2C#N)o1 ZINC000590121851 359425137 /nfs/dbraw/zinc/42/51/37/359425137.db2.gz WZYITUVKQLTUIN-UHFFFAOYSA-N 0 1 288.311 0.894 20 30 CCEDMN COc1ccncc1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000590121336 359425577 /nfs/dbraw/zinc/42/55/77/359425577.db2.gz FFHRJVKXRRDWHJ-UHFFFAOYSA-N 0 1 286.295 0.439 20 30 CCEDMN Cc1ccoc1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000590121312 359425592 /nfs/dbraw/zinc/42/55/92/359425592.db2.gz CSCFVHCGVAPVJU-UHFFFAOYSA-N 0 1 259.269 0.937 20 30 CCEDMN CN(CCN1CCCS1(=O)=O)[C@@H]1CC[C@H](C#N)C1 ZINC000590162480 359428150 /nfs/dbraw/zinc/42/81/50/359428150.db2.gz OIXZJOFXBFATSO-NWDGAFQWSA-N 0 1 271.386 0.646 20 30 CCEDMN N#CCN1CCN(C(=O)c2cn[nH]c2-c2ccccn2)CC1 ZINC000589838985 359405258 /nfs/dbraw/zinc/40/52/58/359405258.db2.gz HVSFURSKFYQRDS-UHFFFAOYSA-N 0 1 296.334 0.753 20 30 CCEDMN N#Cc1ccsc1C(=O)N[C@@H](CO)CN1CCCC1 ZINC000590371584 359445835 /nfs/dbraw/zinc/44/58/35/359445835.db2.gz NVSLSRBCDAIHRG-LLVKDONJSA-N 0 1 279.365 0.806 20 30 CCEDMN CC(C)(C#N)CC(=O)N1CCN(C(C)(C)CO)CC1 ZINC000590696649 359508427 /nfs/dbraw/zinc/50/84/27/359508427.db2.gz PXAKFGUUCJSPFJ-UHFFFAOYSA-N 0 1 267.373 0.841 20 30 CCEDMN CCOC1(C)CN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000590819653 359526787 /nfs/dbraw/zinc/52/67/87/359526787.db2.gz PVKKTRCCZPWYOV-UHFFFAOYSA-N 0 1 263.301 0.356 20 30 CCEDMN COC[C@H]1CCCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000590822529 359527110 /nfs/dbraw/zinc/52/71/10/359527110.db2.gz WDWOMQKHEMYVFB-LLVKDONJSA-N 0 1 277.328 0.746 20 30 CCEDMN C[C@@H]1CN(C(=O)CC2(C#N)CC2)[C@@H](C)CN1CCO ZINC000591321582 359577474 /nfs/dbraw/zinc/57/74/74/359577474.db2.gz CFCORDLCWLPZAA-NEPJUHHUSA-N 0 1 265.357 0.594 20 30 CCEDMN C[NH+](C)CC[C@](C)(O)CNC(=O)c1ccc(C#N)c([O-])c1 ZINC000591422680 359588932 /nfs/dbraw/zinc/58/89/32/359588932.db2.gz LHQBOWBTNYFVTL-HNNXBMFYSA-N 0 1 291.351 0.696 20 30 CCEDMN C[C@H]1CN(CCN2CC[C@](O)(CC#N)C2)CCO1 ZINC000592152756 359770577 /nfs/dbraw/zinc/77/05/77/359770577.db2.gz CQIHDXXQCMPMKA-QWHCGFSZSA-N 0 1 253.346 0.058 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H](O)COc2ccccc2)C1 ZINC000592144786 359764432 /nfs/dbraw/zinc/76/44/32/359764432.db2.gz INJLGQYYBNPBAE-ZFWWWQNUSA-N 0 1 276.336 0.777 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H](O)COc2ccccc2F)C1 ZINC000592148529 359767297 /nfs/dbraw/zinc/76/72/97/359767297.db2.gz WEDSTUGHIAWVBC-WFASDCNBSA-N 0 1 294.326 0.916 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN[C@H](CO)c1ccsc1 ZINC000592431684 359838390 /nfs/dbraw/zinc/83/83/90/359838390.db2.gz ZQOOKUABVIVKIF-CHWSQXEVSA-N 0 1 283.397 0.577 20 30 CCEDMN N#Cc1ccc(NCC(=O)N[C@@H]2CC[N@@H+](CC3CC3)C2)nc1 ZINC000611219815 363686025 /nfs/dbraw/zinc/68/60/25/363686025.db2.gz MYXNGQIPCWEVQW-CQSZACIVSA-N 0 1 299.378 0.388 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@]2(C)CCCOC2)[nH]1 ZINC000611322768 363707030 /nfs/dbraw/zinc/70/70/30/363707030.db2.gz FSVFGRAVBGXNQX-LBPRGKRZSA-N 0 1 263.301 0.404 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000592836539 359948040 /nfs/dbraw/zinc/94/80/40/359948040.db2.gz FEMNQJJNLHDSCP-ZDUSSCGKSA-N 0 1 271.280 0.449 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)[C@H]2CCCN2C2CC2)C1 ZINC000592850654 359955376 /nfs/dbraw/zinc/95/53/76/359955376.db2.gz XMHPCCNYVOMONS-OCCSQVGLSA-N 0 1 263.341 0.490 20 30 CCEDMN C[C@@H](CCO)N(C)CC(=O)NCc1ccc(C#N)cc1 ZINC000593110685 360042328 /nfs/dbraw/zinc/04/23/28/360042328.db2.gz ZAVTYGXQGDABAG-LBPRGKRZSA-N 0 1 275.352 0.877 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)CCCCC#N ZINC000594040966 360241261 /nfs/dbraw/zinc/24/12/61/360241261.db2.gz IEXGWGWUKQMELF-UHFFFAOYSA-N 0 1 250.262 0.187 20 30 CCEDMN CCOC(=O)CCN(CC(=O)NCC#N)C(C)C ZINC000594051044 360242656 /nfs/dbraw/zinc/24/26/56/360242656.db2.gz KNSCGUJSKISGHJ-UHFFFAOYSA-N 0 1 255.318 0.290 20 30 CCEDMN CN(CCC#N)C(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000611401976 363714285 /nfs/dbraw/zinc/71/42/85/363714285.db2.gz FURIERGWUYWFBA-UHFFFAOYSA-N 0 1 298.306 0.578 20 30 CCEDMN C[C@@H]1CN(C(=O)CCCCC#N)[C@@H](C)CN1CCO ZINC000594370250 360284879 /nfs/dbraw/zinc/28/48/79/360284879.db2.gz UEOMAEIAQVCUAB-OLZOCXBDSA-N 0 1 267.373 0.984 20 30 CCEDMN Cc1nsc(N2CCN3C[C@H](O)C[C@H]3C2)c1C#N ZINC000594603810 360339021 /nfs/dbraw/zinc/33/90/21/360339021.db2.gz NRENEAHCAWRYOJ-VHSXEESVSA-N 0 1 264.354 0.578 20 30 CCEDMN C[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H]1F ZINC000611503769 363728290 /nfs/dbraw/zinc/72/82/90/363728290.db2.gz IVEMQVADRAXALH-PSASIEDQSA-N 0 1 265.292 0.925 20 30 CCEDMN CC1(C#N)CN(S(=O)(=O)c2ccccc2O)C1 ZINC000594773171 360380531 /nfs/dbraw/zinc/38/05/31/360380531.db2.gz WMALQHTYHBVWRO-UHFFFAOYSA-N 0 1 252.295 0.926 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N1CCNC[C@@H]1C ZINC000595284487 360479908 /nfs/dbraw/zinc/47/99/08/360479908.db2.gz RXVPBVOPZFBSIK-NSHDSACASA-N 0 1 285.413 0.232 20 30 CCEDMN N#Cc1ccnc(N2CCN(C[C@@H]3CNC(=O)C3)CC2)c1 ZINC000595291378 360483857 /nfs/dbraw/zinc/48/38/57/360483857.db2.gz RYZJVRSXCUZCBJ-ZDUSSCGKSA-N 0 1 285.351 0.211 20 30 CCEDMN C=C1CCN([C@H]2CCN(CCC(=O)OC)C2=O)CC1 ZINC000595311069 360492449 /nfs/dbraw/zinc/49/24/49/360492449.db2.gz IBOUZAIJENWXMM-LBPRGKRZSA-N 0 1 266.341 0.802 20 30 CCEDMN COCCN(CCc1ccc(C#N)cc1)[C@@H](C)C(N)=O ZINC000595318064 360495293 /nfs/dbraw/zinc/49/52/93/360495293.db2.gz OIBVLILWQYTJJO-LBPRGKRZSA-N 0 1 275.352 0.923 20 30 CCEDMN CCCN1CC[C@@H](NS(=O)(=O)N(C)[C@H](C)CC#N)C1 ZINC000595353596 360506064 /nfs/dbraw/zinc/50/60/64/360506064.db2.gz HXVQAISNTCCJJV-VXGBXAGGSA-N 0 1 288.417 0.539 20 30 CCEDMN C[C@H]1CN(CCN2CCN(C(=O)CC#N)CC2)C[C@H](C)O1 ZINC000595513651 360557243 /nfs/dbraw/zinc/55/72/43/360557243.db2.gz YJYPTTMPYASAGN-KBPBESRZSA-N 0 1 294.399 0.153 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N(C)Cc1n[nH]c(=O)o1 ZINC000595547778 360576006 /nfs/dbraw/zinc/57/60/06/360576006.db2.gz RWRDXGJGDHANMA-RNCFNFMXSA-N 0 1 295.343 0.650 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000595473191 360545811 /nfs/dbraw/zinc/54/58/11/360545811.db2.gz UOFFOIXDGQNRFP-ZIAGYGMSSA-N 0 1 282.384 0.901 20 30 CCEDMN CN(C)[C@H]1C[N@H+](CCc2ccc(C#N)cc2)C[C@@H]1O ZINC000595498678 360551050 /nfs/dbraw/zinc/55/10/50/360551050.db2.gz VLNFWFLQEYOTBH-GJZGRUSLSA-N 0 1 259.353 0.707 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N2CCC[C@H](CC#N)C2)C1 ZINC000595499957 360552083 /nfs/dbraw/zinc/55/20/83/360552083.db2.gz OUDGEFXHGCXFBU-KGLIPLIRSA-N 0 1 293.415 0.567 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N(C)Cc1cnc[nH]1 ZINC000595591404 360591298 /nfs/dbraw/zinc/59/12/98/360591298.db2.gz GUPGUJBPCPDUFG-JTQLQIEISA-N 0 1 261.329 0.792 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)Cc1cnc[nH]1 ZINC000595596797 360592109 /nfs/dbraw/zinc/59/21/09/360592109.db2.gz NNXUFWQERRWMKD-ZDUSSCGKSA-N 0 1 261.329 0.650 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](C)C(O)[C@@H](C)C1 ZINC000595752902 360639497 /nfs/dbraw/zinc/63/94/97/360639497.db2.gz YTRDYXLTXQADEY-RYUDHWBXSA-N 0 1 267.373 0.697 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@@H]2COC[C@H]2C1)C1CC1 ZINC000595827665 360670155 /nfs/dbraw/zinc/67/01/55/360670155.db2.gz CWIRSUWIMYVFIO-LALPHHSUSA-N 0 1 277.368 0.763 20 30 CCEDMN C[C@H]1CN(C(=O)CC2(C#N)CCOCC2)CCN1 ZINC000595924007 360705034 /nfs/dbraw/zinc/70/50/34/360705034.db2.gz FFBXERXRDMGJBS-NSHDSACASA-N 0 1 251.330 0.517 20 30 CCEDMN C[C@H]1CN(CCCNc2cc(C#N)cnn2)C[C@H](C)O1 ZINC000596069069 360786579 /nfs/dbraw/zinc/78/65/79/360786579.db2.gz JJWQGIHTDHEORP-RYUDHWBXSA-N 0 1 275.356 0.681 20 30 CCEDMN C[C@@H](CNc1c(C#N)cnn1C)N1CCN(C)CC1 ZINC000596103283 360795509 /nfs/dbraw/zinc/79/55/09/360795509.db2.gz CORUFONFFNSGOC-NSHDSACASA-N 0 1 262.361 0.340 20 30 CCEDMN C[C@H](O)CN1CCN(c2cc(C#N)cnn2)[C@@H](C)C1 ZINC000596200661 360832506 /nfs/dbraw/zinc/83/25/06/360832506.db2.gz QDBCOOMHUYTVIU-QWRGUYRKSA-N 0 1 261.329 0.240 20 30 CCEDMN CCc1nc(C#N)cc(N2C[C@H](C)N(CCO)C[C@H]2C)n1 ZINC000596275185 360864422 /nfs/dbraw/zinc/86/44/22/360864422.db2.gz ORQLGMRNHWJQSN-NWDGAFQWSA-N 0 1 289.383 0.802 20 30 CCEDMN CO[C@@](C)(CO)CNc1nc(C#N)c(Cl)s1 ZINC000596291112 360871401 /nfs/dbraw/zinc/87/14/01/360871401.db2.gz GUKYTALJVXXCQA-SECBINFHSA-N 0 1 261.734 0.899 20 30 CCEDMN COCc1nc(CNc2ncc(C#N)cc2[N+](=O)[O-])n[nH]1 ZINC000596436978 360896003 /nfs/dbraw/zinc/89/60/03/360896003.db2.gz SQCURIICFOHCEG-UHFFFAOYSA-N 0 1 289.255 0.738 20 30 CCEDMN COCc1nnc(CNc2ncc(C#N)cc2[N+](=O)[O-])[nH]1 ZINC000596436978 360896006 /nfs/dbraw/zinc/89/60/06/360896006.db2.gz SQCURIICFOHCEG-UHFFFAOYSA-N 0 1 289.255 0.738 20 30 CCEDMN CCc1nc(CNC(=O)N2CC[C@@](C)(C#N)C2)n[nH]1 ZINC000596610835 360928704 /nfs/dbraw/zinc/92/87/04/360928704.db2.gz JATMRDFFFKSGPT-LBPRGKRZSA-N 0 1 262.317 0.812 20 30 CCEDMN CCN(CCC#N)C(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000596891516 360974401 /nfs/dbraw/zinc/97/44/01/360974401.db2.gz UUIFCJHQHPWGMB-UHFFFAOYSA-N 0 1 297.336 0.415 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000597170888 361023365 /nfs/dbraw/zinc/02/33/65/361023365.db2.gz UTZUNNGRTMPIGQ-FRRDWIJNSA-N 0 1 265.357 0.594 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC4(COC4)C3)cnc12 ZINC000597228617 361036451 /nfs/dbraw/zinc/03/64/51/361036451.db2.gz FGZVGPPLMOPXNJ-UHFFFAOYSA-N 0 1 269.308 0.823 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000597383311 361079495 /nfs/dbraw/zinc/07/94/95/361079495.db2.gz YRKLZWOFGGPLBH-PELKAZGASA-N 0 1 263.301 0.269 20 30 CCEDMN CCN(C)[C@@H](C(=O)N[C@@H](C#N)CO)c1ccccc1 ZINC000597747423 361231752 /nfs/dbraw/zinc/23/17/52/361231752.db2.gz QLGWEAWAASUJII-QWHCGFSZSA-N 0 1 261.325 0.680 20 30 CCEDMN CCN1CCN(CC(=O)N2CCC[C@H](CC#N)C2)CC1 ZINC000597909615 361280670 /nfs/dbraw/zinc/28/06/70/361280670.db2.gz OGXFLGSFFDQJRN-CQSZACIVSA-N 0 1 278.400 0.776 20 30 CCEDMN CCN(C(=O)CSc1nnc(C)[nH]1)[C@H](C)C#N ZINC000598360081 361395868 /nfs/dbraw/zinc/39/58/68/361395868.db2.gz ROFJSQNGEHZMII-SSDOTTSWSA-N 0 1 253.331 0.966 20 30 CCEDMN CCN(C(=O)CSc1nc(C)n[nH]1)[C@H](C)C#N ZINC000598360081 361395870 /nfs/dbraw/zinc/39/58/70/361395870.db2.gz ROFJSQNGEHZMII-SSDOTTSWSA-N 0 1 253.331 0.966 20 30 CCEDMN CNC(=O)[C@H]1CCCCN1C[C@H](O)CC(C)(C)C#N ZINC000598588526 361450027 /nfs/dbraw/zinc/45/00/27/361450027.db2.gz BKUKMWNMRZZZRC-VXGBXAGGSA-N 0 1 267.373 0.888 20 30 CCEDMN COC(=O)C1(C)CN(C[C@@H](O)CC(C)(C)C#N)C1 ZINC000598707263 361492687 /nfs/dbraw/zinc/49/26/87/361492687.db2.gz XVKROWHMMWMTCX-JTQLQIEISA-N 0 1 254.330 0.782 20 30 CCEDMN CO[C@@H]1CC[C@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)C1 ZINC000598843107 361524714 /nfs/dbraw/zinc/52/47/14/361524714.db2.gz JRINWKXIIYMCDH-VHSXEESVSA-N 0 1 262.313 0.776 20 30 CCEDMN C=CC[C@@H](CC)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599297901 361642056 /nfs/dbraw/zinc/64/20/56/361642056.db2.gz RNWWFUXXNCWAMR-MRVPVSSYSA-N 0 1 288.329 0.961 20 30 CCEDMN COCCC[N@H+](C)CCNC(=O)c1cc([O-])cc(C#N)c1 ZINC000614370107 364586381 /nfs/dbraw/zinc/58/63/81/364586381.db2.gz CGEUCFMSMGRMHX-UHFFFAOYSA-N 0 1 291.351 0.962 20 30 CCEDMN COCCC[N@@H+](C)CCNC(=O)c1cc([O-])cc(C#N)c1 ZINC000614370107 364586384 /nfs/dbraw/zinc/58/63/84/364586384.db2.gz CGEUCFMSMGRMHX-UHFFFAOYSA-N 0 1 291.351 0.962 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@@H](C3CC3)C2)[nH]1 ZINC000614430857 364604785 /nfs/dbraw/zinc/60/47/85/364604785.db2.gz NVRVKUKABWCKSI-LLVKDONJSA-N 0 1 275.312 0.356 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NC[C@@H]1CN2CCN1CCC2)OCC ZINC000614503010 364632803 /nfs/dbraw/zinc/63/28/03/364632803.db2.gz MUTRUJSHFAHRHU-HUUCEWRRSA-N 0 1 295.427 0.864 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000615847659 365105503 /nfs/dbraw/zinc/10/55/03/365105503.db2.gz BNKPZOYWCRHKMR-XWIASGKRSA-N 0 1 261.325 0.974 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)Cc1n[nH]c(C)n1 ZINC000615871347 365119589 /nfs/dbraw/zinc/11/95/89/365119589.db2.gz LPRCOTFZVGYQFP-ZJUUUORDSA-N 0 1 250.302 0.505 20 30 CCEDMN C[C@@H](CO)N1CCCN(C(=O)c2ccc(C#N)o2)CC1 ZINC000505356289 241137557 /nfs/dbraw/zinc/13/75/57/241137557.db2.gz UHXZJPBKXBVKPI-NSHDSACASA-N 0 1 277.324 0.680 20 30 CCEDMN C=C(C)[C@H](CO)N[C@@H]1CCO[C@@H]1c1nc(C)no1 ZINC000626143694 368456059 /nfs/dbraw/zinc/45/60/59/368456059.db2.gz BOUJCWXKYPFLJW-VWYCJHECSA-N 0 1 253.302 0.735 20 30 CCEDMN C=CCN([C@@H](C)COC)S(=O)(=O)c1ncc[nH]1 ZINC000632547169 371132682 /nfs/dbraw/zinc/13/26/82/371132682.db2.gz BIAMDGNAZNLDNZ-VIFPVBQESA-N 0 1 259.331 0.621 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1C[C@H](OC)C[C@]1(C)C(=O)[O-] ZINC000634694969 372140162 /nfs/dbraw/zinc/14/01/62/372140162.db2.gz NNYPAORGOHVYQY-DMDPSCGWSA-N 0 1 257.330 0.878 20 30 CCEDMN Cc1cc(C#N)nc(N2CC[C@@H](c3nc[nH]n3)C2)n1 ZINC000333417753 205303786 /nfs/dbraw/zinc/30/37/86/205303786.db2.gz IKSJIIZLGWFVGD-SECBINFHSA-N 0 1 255.285 0.769 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](C)N(C)C)C1=O ZINC000635891898 373061604 /nfs/dbraw/zinc/06/16/04/373061604.db2.gz QJGWRYWZCBLPGF-GHMZBOCLSA-N 0 1 253.346 0.182 20 30 CCEDMN CCn1nccc1NC(=O)NC[C@H]1CN(C)CCN1C ZINC000329223906 227362273 /nfs/dbraw/zinc/36/22/73/227362273.db2.gz YTSCWEPVJAKEJU-NSHDSACASA-N 0 1 280.376 0.475 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CCC[C@@H](c3n[n-]c(=N)o3)C2)C1=O ZINC000639822789 376031243 /nfs/dbraw/zinc/03/12/43/376031243.db2.gz SSQDRFSCTUZJSU-GHMZBOCLSA-N 0 1 291.355 0.448 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639821387 376032111 /nfs/dbraw/zinc/03/21/11/376032111.db2.gz JMWYBZKYFMFQSD-JTQLQIEISA-N 0 1 250.302 0.311 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(CCc2cnccn2)CC1 ZINC000640099125 376236077 /nfs/dbraw/zinc/23/60/77/376236077.db2.gz LSXULMVHLAKFLZ-INIZCTEOSA-N 0 1 290.411 0.964 20 30 CCEDMN N#Cc1cccc(CN2CCC3(CC2)NC(=O)NC3=O)c1 ZINC000263978950 190087137 /nfs/dbraw/zinc/08/71/37/190087137.db2.gz PFRRKAIMBDCHLL-UHFFFAOYSA-N 0 1 284.319 0.732 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)n1cc(CNC)nn1 ZINC000640923049 376618329 /nfs/dbraw/zinc/61/83/29/376618329.db2.gz CNWMSFHEHRDNKK-LLVKDONJSA-N 0 1 265.361 0.983 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(n2ccnc2)CC1 ZINC000264646355 190125070 /nfs/dbraw/zinc/12/50/70/190125070.db2.gz WPIXBDWUGVDUPS-GFCCVEGCSA-N 0 1 260.341 0.658 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCCN2CCOCC2)nc1 ZINC000107556395 284634078 /nfs/dbraw/zinc/63/40/78/284634078.db2.gz ZVXGKONMOXHETN-UHFFFAOYSA-N 0 1 288.351 0.795 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)C(=O)N1CCNCC1 ZINC000415283116 249010135 /nfs/dbraw/zinc/01/01/35/249010135.db2.gz MJXINQRXLSWRIB-UHFFFAOYSA-N 0 1 272.308 0.237 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2ccc(C#N)c(N)n2)C1 ZINC000515671840 249142492 /nfs/dbraw/zinc/14/24/92/249142492.db2.gz ZRLVDBGIQATZSB-NSHDSACASA-N 0 1 289.339 0.076 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1ccnc(C#N)n1 ZINC000516663301 249175663 /nfs/dbraw/zinc/17/56/63/249175663.db2.gz KPYRUUXRJYBZJW-WDEREUQCSA-N 0 1 261.329 0.240 20 30 CCEDMN Cn1cc(CN2C[C@@H](O)[C@H](O)C2)c(-c2ccc(C#N)cc2)n1 ZINC000278757583 194141964 /nfs/dbraw/zinc/14/19/64/194141964.db2.gz MLJMCZUGXCNGQX-HUUCEWRRSA-N 0 1 298.346 0.496 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccc(C#N)nc2)C[C@H]1C ZINC000267324337 190321146 /nfs/dbraw/zinc/32/11/46/190321146.db2.gz ARWNWXIILLCMFG-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCCn1cc(CNCCN2CCOC[C@H]2C)nn1 ZINC000657347155 412964891 /nfs/dbraw/zinc/96/48/91/412964891.db2.gz VCXTYCZGMRZJSU-CYBMUJFWSA-N 0 1 279.388 0.665 20 30 CCEDMN CCN1C(=O)[C@H]2CN(CCCC#N)CCN2C1=O ZINC000364038092 262112949 /nfs/dbraw/zinc/11/29/49/262112949.db2.gz BZHRSBIAXWTUJT-SNVBAGLBSA-N 0 1 250.302 0.258 20 30 CCEDMN Cc1cc(NC(=O)NC[C@@H]2CN(C)CCN2C)n(C)n1 ZINC000329232961 227368940 /nfs/dbraw/zinc/36/89/40/227368940.db2.gz LPVIFTZKZBTOIP-LLVKDONJSA-N 0 1 280.376 0.300 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@@H]1C1(O)CC1 ZINC000574856027 335052595 /nfs/dbraw/zinc/05/25/95/335052595.db2.gz CAPHLBVLKCKUKT-LLVKDONJSA-N 0 1 281.356 0.378 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCNC[C@H]2CCCO2)nc1 ZINC000572560488 291887917 /nfs/dbraw/zinc/88/79/17/291887917.db2.gz WNYRIOUZSFFENH-GFCCVEGCSA-N 0 1 295.364 0.496 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@](CO)(CCC)C1 ZINC000288922061 388866426 /nfs/dbraw/zinc/86/64/26/388866426.db2.gz VDSVHHZYOIFCJX-IUODEOHRSA-N 0 1 297.399 0.871 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC000289008589 388866584 /nfs/dbraw/zinc/86/65/84/388866584.db2.gz KFZXJPIOEMMITA-JQWIXIFHSA-N 0 1 265.357 0.230 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](n2cc(C)cn2)C1 ZINC000289885434 388897487 /nfs/dbraw/zinc/89/74/87/388897487.db2.gz MOLCJTFUOQCRNE-STQMWFEESA-N 0 1 260.341 0.576 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCCC)CC(N)=O)CC1 ZINC000049378297 388918944 /nfs/dbraw/zinc/91/89/44/388918944.db2.gz FSCXTBPJNJSDPI-UHFFFAOYSA-N 0 1 279.384 0.446 20 30 CCEDMN CCN(CCC(=O)Nc1ccc(C#N)cc1)CC(N)=O ZINC000188461700 388928955 /nfs/dbraw/zinc/92/89/55/388928955.db2.gz RXKBGTLUSOIYET-UHFFFAOYSA-N 0 1 274.324 0.694 20 30 CCEDMN CCCCNC(=O)[C@@H]1CCCN(CC(=O)NCC#N)C1 ZINC000066497218 388945278 /nfs/dbraw/zinc/94/52/78/388945278.db2.gz LQMRHRKJKRSGHF-GFCCVEGCSA-N 0 1 280.372 0.254 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2cc(C#N)ccn2)CC1 ZINC000293536564 388983647 /nfs/dbraw/zinc/98/36/47/388983647.db2.gz PYQFJROQBQPDPK-UHFFFAOYSA-N 0 1 297.362 0.215 20 30 CCEDMN CNS(=O)(=O)CCNCc1ccc(C#N)s1 ZINC000190301081 388987741 /nfs/dbraw/zinc/98/77/41/388987741.db2.gz YBIYWWMXIHKHOG-UHFFFAOYSA-N 0 1 259.356 0.259 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H](C)C(=O)NC)CC1 ZINC000081440817 389000530 /nfs/dbraw/zinc/00/05/30/389000530.db2.gz LKGZUIVKJQPZKC-GFCCVEGCSA-N 0 1 279.384 0.172 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCOCCCOC)CC1 ZINC000091153894 389022439 /nfs/dbraw/zinc/02/24/39/389022439.db2.gz OJHKJTOTEDVSBI-UHFFFAOYSA-N 0 1 296.411 0.843 20 30 CCEDMN CC(C)N(CCOCCO)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000336846120 389025621 /nfs/dbraw/zinc/02/56/21/389025621.db2.gz JWQUWPYTBNKBGY-HNNXBMFYSA-N 0 1 299.415 0.760 20 30 CCEDMN C=CCN1CC[C@H](N[C@@H](C(=O)NC)C(C)C)C1=O ZINC000337156144 389048353 /nfs/dbraw/zinc/04/83/53/389048353.db2.gz AAAQVPIVVSUEIN-WDEREUQCSA-N 0 1 253.346 0.134 20 30 CCEDMN C=CCN1CC[C@@H](N[C@@](C)(COC)CC(=O)OC)C1=O ZINC000337182818 389050447 /nfs/dbraw/zinc/05/04/47/389050447.db2.gz NISCOIFLXONKLJ-BXUZGUMPSA-N 0 1 284.356 0.331 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](O)COCC)C1=O ZINC000337203396 389055068 /nfs/dbraw/zinc/05/50/68/389055068.db2.gz GJFAXFSKWQYHAT-RYUDHWBXSA-N 0 1 256.346 0.103 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N(C)CCOCCO ZINC000337451058 389061685 /nfs/dbraw/zinc/06/16/85/389061685.db2.gz NNMCZVPANCVYPA-ZDUSSCGKSA-N 0 1 272.389 0.740 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)c1ccncc1C(N)=O ZINC000302215445 389065446 /nfs/dbraw/zinc/06/54/46/389065446.db2.gz IYIIVOLCGMLUDH-UHFFFAOYSA-N 0 1 299.338 0.426 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@H]1C(=O)NCC[C@H]1C ZINC000193585513 389073786 /nfs/dbraw/zinc/07/37/86/389073786.db2.gz HISBVMSGRJRGCP-WZRBSPASSA-N 0 1 280.372 0.109 20 30 CCEDMN C=CCCCCNC(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000355154573 389045227 /nfs/dbraw/zinc/04/52/27/389045227.db2.gz WINILUNCLACORU-LBPRGKRZSA-N 0 1 279.384 0.812 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1[C@@H]2CC[C@H]1CC(O)C2 ZINC000111320162 389104913 /nfs/dbraw/zinc/10/49/13/389104913.db2.gz JKIGITWYVCEUJN-YIBTVLSRSA-N 0 1 281.356 0.374 20 30 CCEDMN C[S@](=O)C1(C[NH+]=C([O-])N[C@H]2CCc3c[nH]nc3C2)CC1 ZINC000328622089 389129643 /nfs/dbraw/zinc/12/96/43/389129643.db2.gz XYPSJSRLNPIWFS-FVINQWEUSA-N 0 1 296.396 0.682 20 30 CCEDMN O=C(NCCN1CCC1)N[C@@H]1CCCc2c[nH]nc21 ZINC000328733238 389135112 /nfs/dbraw/zinc/13/51/12/389135112.db2.gz XYNUETAGQOHYJR-LLVKDONJSA-N 0 1 263.345 0.996 20 30 CCEDMN N#C[C@@]1(NC(=O)c2cc(O)cc([N+](=O)[O-])c2)CCOC1 ZINC000358437596 389150044 /nfs/dbraw/zinc/15/00/44/389150044.db2.gz FMPNVMFAURUJPE-LBPRGKRZSA-N 0 1 277.236 0.713 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NC[C@]1(O)CCOC1 ZINC000329652331 389183074 /nfs/dbraw/zinc/18/30/74/389183074.db2.gz LBKVLLFKOMHSMD-DGCLKSJQSA-N 0 1 272.345 0.063 20 30 CCEDMN CCn1cc(C(=O)NC[C@H]2CN(C)CCN2C)cn1 ZINC000329707332 389190866 /nfs/dbraw/zinc/19/08/66/389190866.db2.gz LNLIMIZWUGIWIZ-LBPRGKRZSA-N 0 1 265.361 0.453 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCN1CCNC(=O)C1 ZINC000329716917 389192071 /nfs/dbraw/zinc/19/20/71/389192071.db2.gz XBBYNPLSKZXVTB-SNVBAGLBSA-N 0 1 254.334 0.309 20 30 CCEDMN O=C(N[C@@H]1CCc2ncnn2C1)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000329765643 389198239 /nfs/dbraw/zinc/19/82/39/389198239.db2.gz XEOMSSMYWNHGCT-USZNOCQGSA-N 0 1 291.355 0.210 20 30 CCEDMN CCN1CCN(CC(=O)N2CCSC[C@H]2C#N)CC1 ZINC000342207895 389200314 /nfs/dbraw/zinc/20/03/14/389200314.db2.gz PLJLGUPUPQQCDT-GFCCVEGCSA-N 0 1 282.413 0.091 20 30 CCEDMN CCN1CCN(CC(=O)N2CCSC[C@@H]2C#N)CC1 ZINC000342207909 389200603 /nfs/dbraw/zinc/20/06/03/389200603.db2.gz PLJLGUPUPQQCDT-LBPRGKRZSA-N 0 1 282.413 0.091 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000358470806 389152006 /nfs/dbraw/zinc/15/20/06/389152006.db2.gz TYTVNLXRSHCKHV-RWMBFGLXSA-N 0 1 285.388 0.332 20 30 CCEDMN COc1ccc(CC(=O)NC[C@H]2CN(C)CCN2C)nc1 ZINC000329294942 389155065 /nfs/dbraw/zinc/15/50/65/389155065.db2.gz SCGQNYPFQPDXFV-ZDUSSCGKSA-N 0 1 292.383 0.835 20 30 CCEDMN Cn1cc(C[NH+]=C([O-])N[C@H]2CCc3cn[nH]c3C2)cn1 ZINC000329303420 389155128 /nfs/dbraw/zinc/15/51/28/389155128.db2.gz XHAVHXFXKCDYHL-NSHDSACASA-N 0 1 274.328 0.704 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)Cn2cccn2)C1 ZINC000329359838 389159812 /nfs/dbraw/zinc/15/98/12/389159812.db2.gz HXNWLYLOXHSILU-NSHDSACASA-N 0 1 251.334 0.085 20 30 CCEDMN C=CCNC(=O)CN(C)CC(C)(C)C[NH+]1CCOCC1 ZINC000124282604 389160913 /nfs/dbraw/zinc/16/09/13/389160913.db2.gz NDOLSEXEEXLYFD-UHFFFAOYSA-N 0 1 283.416 0.579 20 30 CCEDMN C=CCNC(=O)CN(C)CC(C)(C)CN1CCOCC1 ZINC000124282604 389160916 /nfs/dbraw/zinc/16/09/16/389160916.db2.gz NDOLSEXEEXLYFD-UHFFFAOYSA-N 0 1 283.416 0.579 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)NCCCCC#N ZINC000358825187 389161080 /nfs/dbraw/zinc/16/10/80/389161080.db2.gz FKEFFCSOJWGYBL-ZDUSSCGKSA-N 0 1 281.404 0.615 20 30 CCEDMN CC1CC(C#N)(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC000125757705 389166949 /nfs/dbraw/zinc/16/69/49/389166949.db2.gz XFNAEWJVDWZTIA-MBHSVXNSSA-N 0 1 264.373 0.288 20 30 CCEDMN O=C(Nc1nnc2n1CCCC2)c1cccn2c(O)nnc12 ZINC000329520758 389168172 /nfs/dbraw/zinc/16/81/72/389168172.db2.gz QMQHENOKWBJXOY-UHFFFAOYSA-N 0 1 299.294 0.999 20 30 CCEDMN O=C(NCCN1CCCOCC1)NCCC1(O)CCC1 ZINC000329532068 389169590 /nfs/dbraw/zinc/16/95/90/389169590.db2.gz WYGXYFUIAFNYIA-UHFFFAOYSA-N 0 1 285.388 0.517 20 30 CCEDMN CN(C1CCN(C)CC1)S(=O)(=O)CC1(C#N)CC1 ZINC000329595814 389173587 /nfs/dbraw/zinc/17/35/87/389173587.db2.gz BZHPRYPGFFFPIN-UHFFFAOYSA-N 0 1 271.386 0.646 20 30 CCEDMN C=CCNC(=O)CN1CCC(O[C@@H]2CCOC2)CC1 ZINC000361438194 389216688 /nfs/dbraw/zinc/21/66/88/389216688.db2.gz VVKGLVGBTFTVOF-CYBMUJFWSA-N 0 1 268.357 0.559 20 30 CCEDMN C[C@@]1(C(N)=O)CCN(CC(=O)NC2(C#N)CCCCC2)C1 ZINC000361562359 389220256 /nfs/dbraw/zinc/22/02/56/389220256.db2.gz IBRFTAYHDDMCDJ-CQSZACIVSA-N 0 1 292.383 0.526 20 30 CCEDMN C=CCNC(=O)CN[C@@H](CC(=O)NC)c1ccccc1 ZINC000361648605 389221960 /nfs/dbraw/zinc/22/19/60/389221960.db2.gz SRTGYMMJQBNZRD-ZDUSSCGKSA-N 0 1 275.352 0.756 20 30 CCEDMN C[C@H](CCN(C)C)NC(=O)N1CC[C@@H](S(C)(=O)=O)C1 ZINC000330392535 389224469 /nfs/dbraw/zinc/22/44/69/389224469.db2.gz PVKMDZGTNYAMGY-GHMZBOCLSA-N 0 1 291.417 0.360 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCOC[C@@H](C)C1 ZINC000361942755 389226510 /nfs/dbraw/zinc/22/65/10/389226510.db2.gz IMAJKTDWLQODDQ-RYUDHWBXSA-N 0 1 253.346 0.715 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C[C@H]1CCC[C@H]1O ZINC000246427957 389228512 /nfs/dbraw/zinc/22/85/12/389228512.db2.gz IKNALOPSOBSFQP-GHMZBOCLSA-N 0 1 269.345 0.091 20 30 CCEDMN CCC(=O)N[C@H]1CCCN(C(=O)NCCn2cncn2)C1 ZINC000330431631 389228769 /nfs/dbraw/zinc/22/87/69/389228769.db2.gz NOHHGWQGSIQCQF-NSHDSACASA-N 0 1 294.359 0.183 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H]2OCCC[C@H]2C1 ZINC000246669862 389230929 /nfs/dbraw/zinc/23/09/29/389230929.db2.gz MBARXEVLHAMSGC-NWDGAFQWSA-N 0 1 281.356 0.499 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@H](C)C(=O)NC(=O)NC(C)(C)C)C1 ZINC000330456842 389231560 /nfs/dbraw/zinc/23/15/60/389231560.db2.gz OHXFWZACRNMJRS-NXEZZACHSA-N 0 1 299.371 0.699 20 30 CCEDMN [O-]C(=[NH+]CCn1ccnc1)N1CCC[C@H](CO)C1 ZINC000330462819 389232392 /nfs/dbraw/zinc/23/23/92/389232392.db2.gz TXPWGXWLHBYJKS-NSHDSACASA-N 0 1 252.318 0.501 20 30 CCEDMN C=CCN1C(=O)N=NC1SCC(=O)N1CCN(C)CC1 ZINC000330494401 389235366 /nfs/dbraw/zinc/23/53/66/389235366.db2.gz ORUGIEHBOSYGDE-UHFFFAOYSA-N 0 1 297.384 0.036 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)CC1CCOCC1 ZINC000330517621 389237843 /nfs/dbraw/zinc/23/78/43/389237843.db2.gz FRPDDRCVALSFBM-UHFFFAOYSA-N 0 1 287.341 0.370 20 30 CCEDMN CCC1(CO)CCN(C(=O)NCCn2cncn2)CC1 ZINC000330531404 389238731 /nfs/dbraw/zinc/23/87/31/389238731.db2.gz NFCSMIPJHWGSIV-UHFFFAOYSA-N 0 1 281.360 0.677 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@@H](O)C1(C)C)C1CC1 ZINC000331691384 389310934 /nfs/dbraw/zinc/31/09/34/389310934.db2.gz XEINEKSXNCKSCK-BXUZGUMPSA-N 0 1 265.357 0.640 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000346338825 389326707 /nfs/dbraw/zinc/32/67/07/389326707.db2.gz OUQOGGVXGWWBHE-GDBMZVCRSA-N 0 1 296.411 0.884 20 30 CCEDMN CNC(=O)[C@@H]1CCCN([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346314022 389326814 /nfs/dbraw/zinc/32/68/14/389326814.db2.gz XMTOCLGBUBGOJH-NWDGAFQWSA-N 0 1 292.383 0.395 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)C[C@@H]1CCN(C(C)=O)C1 ZINC000156727919 389327368 /nfs/dbraw/zinc/32/73/68/389327368.db2.gz IXDYZBPUFJAVKP-HNNXBMFYSA-N 0 1 293.411 0.987 20 30 CCEDMN C=CCNC(=O)CNC(=O)N1C[C@@H](C)N(CC)C[C@@H]1C ZINC000346835222 389338490 /nfs/dbraw/zinc/33/84/90/389338490.db2.gz UEUZOLUPNDODGW-NEPJUHHUSA-N 0 1 282.388 0.413 20 30 CCEDMN COCCCN(CCOC)CC(=O)NC1(C#N)CCC1 ZINC000346851897 389339208 /nfs/dbraw/zinc/33/92/08/389339208.db2.gz UTFOHNCTJXKSNX-UHFFFAOYSA-N 0 1 283.372 0.534 20 30 CCEDMN CCCNC(=O)NC(=O)CN1CCN(C)C[C@@H]1C(C)C ZINC000330999640 389277848 /nfs/dbraw/zinc/27/78/48/389277848.db2.gz PVPFFRNEUQZDLL-GFCCVEGCSA-N 0 1 284.404 0.699 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](c2nccn2C)C1 ZINC000365652183 389283577 /nfs/dbraw/zinc/28/35/77/389283577.db2.gz ZIWVKQQTNQNIOM-LBPRGKRZSA-N 0 1 262.357 0.902 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@H](S(=O)(=O)NC)C1 ZINC000366166078 389294488 /nfs/dbraw/zinc/29/44/88/389294488.db2.gz XOHJJZIUDKSEQX-RYUDHWBXSA-N 0 1 276.402 0.327 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C[C@H]1CCC[C@@H]1O ZINC000159853325 389374821 /nfs/dbraw/zinc/37/48/21/389374821.db2.gz IKNALOPSOBSFQP-MNOVXSKESA-N 0 1 269.345 0.091 20 30 CCEDMN C=CCSCCNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000348430969 389377178 /nfs/dbraw/zinc/37/71/78/389377178.db2.gz UQJYXAOHTLDZIH-GFCCVEGCSA-N 0 1 286.445 0.451 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[N@@H+]2CCC[C@@H]2[C@H]1C(=O)[O-] ZINC000368496911 389379894 /nfs/dbraw/zinc/37/98/94/389379894.db2.gz SYHRIBBUFAPQLO-MNOVXSKESA-N 0 1 288.369 0.126 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CCN(C)CC[C@@H]1C ZINC000410477781 389382716 /nfs/dbraw/zinc/38/27/16/389382716.db2.gz WCUBEPLZWZZACN-ZDUSSCGKSA-N 0 1 281.400 0.964 20 30 CCEDMN C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)N(C)CC#N ZINC000349466729 389417503 /nfs/dbraw/zinc/41/75/03/389417503.db2.gz OKKRJWBBTXCMAW-SECBINFHSA-N 0 1 285.307 0.663 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2cccc(CC#N)c2)C1 ZINC000264797921 389355188 /nfs/dbraw/zinc/35/51/88/389355188.db2.gz VRAMQURSVSJBJO-OAHLLOKOSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCCN1CCN(c2cncc3ncnn32)CC1 ZINC000272848141 389471080 /nfs/dbraw/zinc/47/10/80/389471080.db2.gz OCMUVBUMTDQMFO-UHFFFAOYSA-N 0 1 256.313 0.270 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)NC[C@@H]1C[N@@H+](C)CCN1C ZINC000350050007 389481209 /nfs/dbraw/zinc/48/12/09/389481209.db2.gz LOWGLAYUVVTZSJ-NWDGAFQWSA-N 0 1 267.377 0.033 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000350050007 389481215 /nfs/dbraw/zinc/48/12/15/389481215.db2.gz LOWGLAYUVVTZSJ-NWDGAFQWSA-N 0 1 267.377 0.033 20 30 CCEDMN Cn1cc(CN2CCC[C@@H](CS(N)(=O)=O)C2)cc1C#N ZINC000276695809 389529733 /nfs/dbraw/zinc/52/97/33/389529733.db2.gz AIAFGJLEJLEUBE-LLVKDONJSA-N 0 1 296.396 0.397 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@](C)(O)C(=O)OCC)C1=O ZINC000281443123 389616370 /nfs/dbraw/zinc/61/63/70/389616370.db2.gz UELNWEVJQYTRGD-RISCZKNCSA-N 0 1 284.356 0.019 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN(CC)C2CC2)C1=O ZINC000281548490 389619831 /nfs/dbraw/zinc/61/98/31/389619831.db2.gz YVQSADXCVONBOY-CYBMUJFWSA-N 0 1 279.384 0.716 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(Cc2ccc(C#N)o2)C1 ZINC000414487772 389620252 /nfs/dbraw/zinc/62/02/52/389620252.db2.gz KZXZAYZRWBRIIX-NSHDSACASA-N 0 1 277.324 0.688 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN2CCC(C)CC2)C1=O ZINC000281796725 389625077 /nfs/dbraw/zinc/62/50/77/389625077.db2.gz SSBGIBJHPBSROT-CQSZACIVSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CN(C)CC(=O)N[C@H](C(=O)OC)C(C)C ZINC000351604601 389629737 /nfs/dbraw/zinc/62/97/37/389629737.db2.gz FNVZRXQTLVNVLF-LBPRGKRZSA-N 0 1 256.346 0.808 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@H]1CCO ZINC000426263325 389662800 /nfs/dbraw/zinc/66/28/00/389662800.db2.gz LCUAMIRNHFHBDV-STQMWFEESA-N 0 1 267.373 0.841 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)N1CCNCC1 ZINC000415286199 389669612 /nfs/dbraw/zinc/66/96/12/389669612.db2.gz TYWPCIAVYLXCKC-UHFFFAOYSA-N 0 1 272.308 0.237 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCCCC)CC(N)=O)CC1 ZINC000180785042 389674911 /nfs/dbraw/zinc/67/49/11/389674911.db2.gz AHOHLDUXSMEPDW-UHFFFAOYSA-N 0 1 293.411 0.836 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(C2CCOCC2)C[C@H]1C ZINC000428010010 389684519 /nfs/dbraw/zinc/68/45/19/389684519.db2.gz VQLTZNKJQJXKRN-NWDGAFQWSA-N 0 1 265.357 0.858 20 30 CCEDMN C=C(C)CN(C)CC(=O)NC1CCN(C(C)=O)CC1 ZINC000181312299 389684757 /nfs/dbraw/zinc/68/47/57/389684757.db2.gz HSTYIUXICLNQOI-UHFFFAOYSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCOCCCN1CC[C@@H](CS(C)(=O)=O)C1 ZINC000429392538 389754736 /nfs/dbraw/zinc/75/47/36/389754736.db2.gz IFIRCAOQDCKDBC-GFCCVEGCSA-N 0 1 261.387 0.946 20 30 CCEDMN CCN(CCC(=O)N1CCN(C)CC1)C[C@@H](C)C#N ZINC000429675951 389764367 /nfs/dbraw/zinc/76/43/67/389764367.db2.gz IIUAYYCUGQXIML-ZDUSSCGKSA-N 0 1 266.389 0.632 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000442658351 389853700 /nfs/dbraw/zinc/85/37/00/389853700.db2.gz ZUQVYJVTGXHILT-OCCSQVGLSA-N 0 1 253.390 0.951 20 30 CCEDMN C=CCCCNC(=O)C(=O)NCCN1CC=C(C)CC1 ZINC000354096561 389859896 /nfs/dbraw/zinc/85/98/96/389859896.db2.gz DUSGNPCTPIMWMY-UHFFFAOYSA-N 0 1 279.384 0.837 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1C[C@H](O)C(C)(C)C1 ZINC000447923536 389972504 /nfs/dbraw/zinc/97/25/04/389972504.db2.gz DLXXGJMKODVSBD-RYUDHWBXSA-N 0 1 267.373 0.697 20 30 CCEDMN C[C@H](NS(=O)(=O)c1ccc(C#N)cc1F)c1nnc[nH]1 ZINC000537556639 390178592 /nfs/dbraw/zinc/17/85/92/390178592.db2.gz XOCCEFQLCNVDFW-ZETCQYMHSA-N 0 1 295.299 0.855 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCCN(CC)C2)nn1 ZINC000648771591 390406234 /nfs/dbraw/zinc/40/62/34/390406234.db2.gz ZZWZQZPDMUXJLO-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H](C)c2n[nH]c(CC)n2)nn1 ZINC000648844760 390421772 /nfs/dbraw/zinc/42/17/72/390421772.db2.gz SMTOIELLACAVDI-QMMMGPOBSA-N 0 1 275.316 0.636 20 30 CCEDMN CC1CC(C#N)(C(=O)N[C@H]2CCCN(CCCO)C2)C1 ZINC000562555338 390489513 /nfs/dbraw/zinc/48/95/13/390489513.db2.gz FJLXKBZYQXSZKF-OWYJLGKBSA-N 0 1 279.384 0.889 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000649182811 390512431 /nfs/dbraw/zinc/51/24/31/390512431.db2.gz FLHMBDPNEGZFBO-NSHDSACASA-N 0 1 287.323 0.314 20 30 CCEDMN CN1CCN(c2cccc(C(=O)N3CC(C)(C#N)C3)n2)CC1 ZINC000515586352 390476231 /nfs/dbraw/zinc/47/62/31/390476231.db2.gz YLXBMMJEWRZNMR-UHFFFAOYSA-N 0 1 299.378 0.819 20 30 CCEDMN C[NH+]1CCC(C#N)(NC(=O)C[N@H+]2CCC(C)(C)C2)CC1 ZINC000566018878 390568325 /nfs/dbraw/zinc/56/83/25/390568325.db2.gz RNRKPYCAGHCCCA-UHFFFAOYSA-N 0 1 278.400 0.822 20 30 CCEDMN C=C[C@H](O)C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000646689061 390709551 /nfs/dbraw/zinc/70/95/51/390709551.db2.gz LITXAOXNOLQUGT-AWEZNQCLSA-N 0 1 275.352 0.709 20 30 CCEDMN C=CC1CCN(C(=O)NCC(=O)N2CCNCC2)CC1 ZINC000569204916 390715803 /nfs/dbraw/zinc/71/58/03/390715803.db2.gz WZCYDZCNRLSSIV-UHFFFAOYSA-N 0 1 280.372 0.026 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCC[C@H](C(N)=O)C2)CC1 ZINC000527290525 390700539 /nfs/dbraw/zinc/70/05/39/390700539.db2.gz GOEWHAMNLHAUES-NWDGAFQWSA-N 0 1 292.383 0.382 20 30 CCEDMN N#Cc1ccc(CN2C[C@@H](CO)[C@H](CO)C2)c(F)c1 ZINC000528609034 390761431 /nfs/dbraw/zinc/76/14/31/390761431.db2.gz SGMDELNHTQPBSC-STQMWFEESA-N 0 1 264.300 0.730 20 30 CCEDMN Cc1c[nH+]cn1CC(=O)C(C#N)=C([O-])c1nccn1C ZINC000528967684 390785984 /nfs/dbraw/zinc/78/59/84/390785984.db2.gz CWBGQJIWBZWACU-SNVBAGLBSA-N 0 1 271.280 0.517 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCC[C@@]2(C)C(=O)[O-])C1=O ZINC000530205080 390875819 /nfs/dbraw/zinc/87/58/19/390875819.db2.gz CVDQAWICVIEQPB-GWCFXTLKSA-N 0 1 252.314 0.712 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCC(C)(C)O)CC1 ZINC000578833825 390903510 /nfs/dbraw/zinc/90/35/10/390903510.db2.gz QZXWFODVEVPHSJ-UHFFFAOYSA-N 0 1 253.346 0.642 20 30 CCEDMN COC(=O)C1CCC(NC(=O)C(C)C#N)(C(=O)OC)CC1 ZINC000355007982 533279312 /nfs/dbraw/zinc/27/93/12/533279312.db2.gz FYVGUKWWXRJXQU-NAUIOFCNSA-N 0 1 296.323 0.537 20 30 CCEDMN CC[C@H](O)CN1CCN(c2cnccc2C#N)CC1 ZINC000271337967 135095793 /nfs/dbraw/zinc/09/57/93/135095793.db2.gz FNTAMDMACNQYNC-ZDUSSCGKSA-N 0 1 260.341 0.846 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)N[C@H]2CC2(C)C)[nH]1 ZINC000359127923 144195753 /nfs/dbraw/zinc/19/57/53/144195753.db2.gz BKWUXQURRSULNJ-JTQLQIEISA-N 0 1 289.339 0.611 20 30 CCEDMN N#Cc1ccc([C@@H](O)CN2CCN3CCOC[C@H]3C2)cc1 ZINC000368326005 144217863 /nfs/dbraw/zinc/21/78/63/144217863.db2.gz DGGUHTZGAYONSK-CVEARBPZSA-N 0 1 287.363 0.608 20 30 CCEDMN C=CCOCCNS(=O)(=O)CCN(CC)CC ZINC000685596379 545843205 /nfs/dbraw/zinc/84/32/05/545843205.db2.gz JTMUDGGUZGZRGN-UHFFFAOYSA-N 0 1 264.391 0.450 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2ncc(C#N)cc2C)C1 ZINC000550833808 286112667 /nfs/dbraw/zinc/11/26/67/286112667.db2.gz HSECEBNVJLHLNQ-CYBMUJFWSA-N 0 1 288.351 0.712 20 30 CCEDMN CN(CCOc1ccccc1C#N)[C@@H]1COC[C@H]1O ZINC000285473874 196296583 /nfs/dbraw/zinc/29/65/83/196296583.db2.gz HPWCDKNIXJLDRJ-CHWSQXEVSA-N 0 1 262.309 0.629 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@](CO)(OC)C2)C1=O ZINC000661390087 414615208 /nfs/dbraw/zinc/61/52/08/414615208.db2.gz PUZXALUSDBMZNV-JSGCOSHPSA-N 0 1 268.357 0.247 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N1CCO[C@H]2CCCC[C@@H]21 ZINC000662165872 414694739 /nfs/dbraw/zinc/69/47/39/414694739.db2.gz SVSFIXJCLINRIQ-AGIUHOORSA-N 0 1 268.357 0.293 20 30 CCEDMN C=C[C@@H](O)C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000665537912 416451501 /nfs/dbraw/zinc/45/15/01/416451501.db2.gz AXCGSNVXQIMIBQ-VXGBXAGGSA-N 0 1 256.346 0.006 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@@H]1CO ZINC000347256690 226101776 /nfs/dbraw/zinc/10/17/76/226101776.db2.gz WQAZIKLEUKEAHF-GHMZBOCLSA-N 0 1 251.330 0.109 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)NC[C@H]2COCCO2)n[nH]1 ZINC000330181241 228058068 /nfs/dbraw/zinc/05/80/68/228058068.db2.gz NYGKYLYIRSISQR-CABZTGNLSA-N 0 1 282.344 0.568 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NC[C@]1(C)CCOC1)CC2 ZINC000329364345 545022211 /nfs/dbraw/zinc/02/22/11/545022211.db2.gz FYAMCRPDTHGCPM-RISCZKNCSA-N 0 1 293.371 0.832 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+]C[C@]1(C)CCOC1)CC2 ZINC000329364345 545022213 /nfs/dbraw/zinc/02/22/13/545022213.db2.gz FYAMCRPDTHGCPM-RISCZKNCSA-N 0 1 293.371 0.832 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCC2CCOCC2)C1 ZINC000329491584 545023230 /nfs/dbraw/zinc/02/32/30/545023230.db2.gz VNULNPMHNSWSON-ZDUSSCGKSA-N 0 1 284.404 0.162 20 30 CCEDMN C[C@H](O)C1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)CC1 ZINC000329826017 545026528 /nfs/dbraw/zinc/02/65/28/545026528.db2.gz IISSVPKABPRFPX-GXTWGEPZSA-N 0 1 298.431 0.239 20 30 CCEDMN CC[C@H]1CN(C)CCN1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000517873430 260313152 /nfs/dbraw/zinc/31/31/52/260313152.db2.gz QYBOZGITZQPYDJ-ZFWWWQNUSA-N 0 1 278.400 0.821 20 30 CCEDMN CC[C@@H]1CN(C)CCN1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000517873428 260313232 /nfs/dbraw/zinc/31/32/32/260313232.db2.gz QYBOZGITZQPYDJ-HIFRSBDPSA-N 0 1 278.400 0.821 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)CN1CCN(C)CC1)C(C)C ZINC000490994856 261285321 /nfs/dbraw/zinc/28/53/21/261285321.db2.gz GJXWRBGOQOZZND-KGLIPLIRSA-N 0 1 280.416 0.579 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cccc3c[nH]nc32)CCO1 ZINC000106049430 261366357 /nfs/dbraw/zinc/36/63/57/261366357.db2.gz IIZCBCCWTJUVHI-SNVBAGLBSA-N 0 1 256.265 0.927 20 30 CCEDMN C#CCNC(=O)N[C@H](c1nnc[nH]1)c1ccccc1 ZINC000364027439 262106983 /nfs/dbraw/zinc/10/69/83/262106983.db2.gz SFYZRRQCQXASFX-NSHDSACASA-N 0 1 255.281 0.827 20 30 CCEDMN C=CCCCC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000364362771 262217939 /nfs/dbraw/zinc/21/79/39/262217939.db2.gz WBGTXTOPESVPLV-SECBINFHSA-N 0 1 251.290 0.456 20 30 CCEDMN CCN(CCOCCO)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000336830719 262398455 /nfs/dbraw/zinc/39/84/55/262398455.db2.gz NEHWUKXHMXURRM-AWEZNQCLSA-N 0 1 285.388 0.372 20 30 CCEDMN C[C@@H](NCC(=O)N[C@](C)(C#N)C1CC1)c1cnn(C)c1 ZINC000273405570 263016419 /nfs/dbraw/zinc/01/64/19/263016419.db2.gz RVOBANNGGYFQFI-QMTHXVAHSA-N 0 1 275.356 0.879 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)CCOCCO ZINC000282591624 263077587 /nfs/dbraw/zinc/07/75/87/263077587.db2.gz VRCLZKAKSFCWOC-CYBMUJFWSA-N 0 1 270.373 0.516 20 30 CCEDMN CNC(=O)NC(=O)CN1CCC(Cn2cc(C)cn2)CC1 ZINC000330665711 263992656 /nfs/dbraw/zinc/99/26/56/263992656.db2.gz VYBPXUAEBMXFSS-UHFFFAOYSA-N 0 1 293.371 0.564 20 30 CCEDMN CN(C)[C@@H]1CCCN(C(=O)NCCCS(C)(=O)=O)C1 ZINC000330701122 264017747 /nfs/dbraw/zinc/01/77/47/264017747.db2.gz HFSGVBJHMFHNSC-LLVKDONJSA-N 0 1 291.417 0.361 20 30 CCEDMN COC[C@@H]1CCN(C([O-])=[NH+]CCn2cccn2)C1 ZINC000330808329 264044200 /nfs/dbraw/zinc/04/42/00/264044200.db2.gz ITVHORCINSSJIE-LLVKDONJSA-N 0 1 252.318 0.765 20 30 CCEDMN C[C@H](C[S@](C)=O)NC(=O)N[C@H]1CCc2c[nH]nc2C1 ZINC000330812437 264044672 /nfs/dbraw/zinc/04/46/72/264044672.db2.gz CBELXIWRHVWGPB-OYHJMPOTSA-N 0 1 284.385 0.538 20 30 CCEDMN C[C@H](C[S@@](C)=O)NC(=O)N(C)CCN1CCCC1 ZINC000330858017 264054784 /nfs/dbraw/zinc/05/47/84/264054784.db2.gz ZWVLLCRWCOUVHW-ADLMAVQZSA-N 0 1 275.418 0.695 20 30 CCEDMN N#CCSCCCN1CCn2c(n[nH]c2=O)C1 ZINC000330890631 264076368 /nfs/dbraw/zinc/07/63/68/264076368.db2.gz WJFBNEJIABDSOF-UHFFFAOYSA-N 0 1 253.331 0.446 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(Cc2ccc(C(N)=O)cc2)C1 ZINC000330946934 264084590 /nfs/dbraw/zinc/08/45/90/264084590.db2.gz LHZXKEVHOXXCQD-GFCCVEGCSA-N 0 1 276.340 0.493 20 30 CCEDMN [O-]C(=[NH+]CCn1ccnc1)N1CC[C@H](CCO)C1 ZINC000330922022 264093676 /nfs/dbraw/zinc/09/36/76/264093676.db2.gz ASLNNPBZTBTZMO-LLVKDONJSA-N 0 1 252.318 0.501 20 30 CCEDMN CNC(=O)CC1CCN(C([O-])=[NH+]CCn2ccnc2)CC1 ZINC000330989404 264104333 /nfs/dbraw/zinc/10/43/33/264104333.db2.gz MEFMFTYORHUKDY-UHFFFAOYSA-N 0 1 293.371 0.645 20 30 CCEDMN C[C@H](CNC(=O)c1cnn[nH]1)N1CCCCC1=O ZINC000331004716 264105557 /nfs/dbraw/zinc/10/55/57/264105557.db2.gz LGVGOUHZOVCAFO-MRVPVSSYSA-N 0 1 251.290 0.510 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)N[C@H]2CCC[C@H]2C#N)[nH]1 ZINC000334028695 264233378 /nfs/dbraw/zinc/23/33/78/264233378.db2.gz FSEBYGVVRQWZBS-RYUDHWBXSA-N 0 1 290.371 0.680 20 30 CCEDMN CC#CCNC(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000190028545 264389235 /nfs/dbraw/zinc/38/92/35/264389235.db2.gz GANZXORRKQVDFI-UHFFFAOYSA-N 0 1 288.351 0.992 20 30 CCEDMN C[C@@H](C(=O)NC(C)(C)C)N(C)CCC(=O)N(C)CCC#N ZINC000362593041 267016144 /nfs/dbraw/zinc/01/61/44/267016144.db2.gz OLWRRPOYOBKEFK-LBPRGKRZSA-N 0 1 296.415 0.984 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000367778332 267117454 /nfs/dbraw/zinc/11/74/54/267117454.db2.gz KPKIVVYZVQTTSV-NDBYEHHHSA-N 0 1 265.357 0.165 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000367778336 267117479 /nfs/dbraw/zinc/11/74/79/267117479.db2.gz KPKIVVYZVQTTSV-WUHRBBMRSA-N 0 1 265.357 0.165 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCOC[C@H](C2CCC2)C1 ZINC000368345758 267165405 /nfs/dbraw/zinc/16/54/05/267165405.db2.gz AAWSYEKDMGRWCU-GXTWGEPZSA-N 0 1 264.369 0.873 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CC[C@](O)(C2CC2)C1)C1CC1 ZINC000370085400 267290006 /nfs/dbraw/zinc/29/00/06/267290006.db2.gz VKYVWGLFOUCOJB-LSDHHAIUSA-N 0 1 277.368 0.642 20 30 CCEDMN C=CCC(CC=C)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000370449395 267319626 /nfs/dbraw/zinc/31/96/26/267319626.db2.gz KHISYKMRXLZWAD-NSHDSACASA-N 0 1 277.328 0.868 20 30 CCEDMN C[C@@H](Cc1ccc(O)cc1)N(C)CC(=O)NCC#N ZINC000119372344 267325566 /nfs/dbraw/zinc/32/55/66/267325566.db2.gz OZEMYWSVVQMONT-NSHDSACASA-N 0 1 261.325 0.895 20 30 CCEDMN C=CCN(CC(=O)N(C)CC(=O)OCC)C(C)C ZINC000732198176 581809000 /nfs/dbraw/zinc/80/90/00/581809000.db2.gz WNPWXGOSIYDEAI-UHFFFAOYSA-N 0 1 256.346 0.904 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@](C)(O)C1 ZINC000346421500 278203966 /nfs/dbraw/zinc/20/39/66/278203966.db2.gz AMWGDFXHIHKOSL-JQWIXIFHSA-N 0 1 251.330 0.394 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCCC2(O)CCC2)[C@@H](C)C1 ZINC000329398160 290086486 /nfs/dbraw/zinc/08/64/86/290086486.db2.gz WWPKOOJZFINYHC-STQMWFEESA-N 0 1 299.415 0.592 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCCC2(O)CCC2)C[C@@H]1C ZINC000329475944 290086745 /nfs/dbraw/zinc/08/67/45/290086745.db2.gz BJCOORBGGFUNHM-STQMWFEESA-N 0 1 299.415 0.592 20 30 CCEDMN C[C@H]1CN(CCCNC(=O)CSCC#N)C[C@H](C)O1 ZINC000042475208 328769961 /nfs/dbraw/zinc/76/99/61/328769961.db2.gz GUUWYJASZJOLPT-RYUDHWBXSA-N 0 1 285.413 0.859 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2ccc(F)cc2O)C1 ZINC000331969019 328005819 /nfs/dbraw/zinc/00/58/19/328005819.db2.gz MSQJIIJWFQOQFM-UHFFFAOYSA-N 0 1 250.229 0.632 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@H](O)CC1 ZINC000339779241 328068365 /nfs/dbraw/zinc/06/83/65/328068365.db2.gz LLIOAFUWVUQSKM-NEPJUHHUSA-N 0 1 265.357 0.784 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCC[C@H](O)CC1 ZINC000339779241 328068366 /nfs/dbraw/zinc/06/83/66/328068366.db2.gz LLIOAFUWVUQSKM-NEPJUHHUSA-N 0 1 265.357 0.784 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H]2CCCN(CC#N)C2)c1C ZINC000267156018 328173591 /nfs/dbraw/zinc/17/35/91/328173591.db2.gz JJGNYGBAVNHCTB-LBPRGKRZSA-N 0 1 275.356 0.992 20 30 CCEDMN C=CCN(C)[C@@H](C)C(=O)N1CCC(C(=O)OC)CC1 ZINC000121535675 328375523 /nfs/dbraw/zinc/37/55/23/328375523.db2.gz UIMDYUUSTQPMQI-NSHDSACASA-N 0 1 268.357 0.904 20 30 CCEDMN CC(C)N(CCO)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000049825963 328378906 /nfs/dbraw/zinc/37/89/06/328378906.db2.gz HOQWKRSABYCSTB-CYBMUJFWSA-N 0 1 253.346 0.498 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)c1cccc(S(=O)(=O)NC)c1 ZINC000290004216 328494689 /nfs/dbraw/zinc/49/46/89/328494689.db2.gz ZIVAOPOFDFZHOV-CMPLNLGQSA-N 0 1 284.381 0.792 20 30 CCEDMN COCCS(=O)(=O)Nc1cc(CC#N)ccn1 ZINC000532417444 328776389 /nfs/dbraw/zinc/77/63/89/328776389.db2.gz CMIQDAUXTNZIOU-UHFFFAOYSA-N 0 1 255.299 0.536 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@@H](C)N1CCCCCC1 ZINC000358580139 328887596 /nfs/dbraw/zinc/88/75/96/328887596.db2.gz PXKKOACXQHOQPS-GFCCVEGCSA-N 0 1 281.356 0.776 20 30 CCEDMN CCc1cc(C(=O)N2CCN(C3CC3)[C@@H](C#N)C2)n[nH]1 ZINC000377673712 329023994 /nfs/dbraw/zinc/02/39/94/329023994.db2.gz NLTGNNNCUADBNG-LBPRGKRZSA-N 0 1 273.340 0.784 20 30 CCEDMN CC(C)(C#N)C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000174199185 329155250 /nfs/dbraw/zinc/15/52/50/329155250.db2.gz CUJJBEFNMGOYNY-GFCCVEGCSA-N 0 1 265.357 0.717 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000262693369 330027673 /nfs/dbraw/zinc/02/76/73/330027673.db2.gz WOBTXHYYHOXDIC-NXEZZACHSA-N 0 1 283.328 0.183 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1C[C@H](C)NC[C@@H]1C ZINC000423623219 330212956 /nfs/dbraw/zinc/21/29/56/330212956.db2.gz BOJMFBGJMWLOHT-RYUDHWBXSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](O)CC2(O)CCC2)C1=O ZINC000528498254 330300233 /nfs/dbraw/zinc/30/02/33/330300233.db2.gz VOJRXZBFEQLDAL-STQMWFEESA-N 0 1 282.384 0.371 20 30 CCEDMN C=CCCn1cc(C(=O)N2CCCN(C)CC2)nn1 ZINC000424530812 330367907 /nfs/dbraw/zinc/36/79/07/330367907.db2.gz HQKHKGWDARTZLR-UHFFFAOYSA-N 0 1 263.345 0.632 20 30 CCEDMN C=CCCn1cc(C(=O)NCc2cn[nH]c2C)nn1 ZINC000424565971 330378649 /nfs/dbraw/zinc/37/86/49/330378649.db2.gz IBXZLGJMAFBANR-UHFFFAOYSA-N 0 1 260.301 0.816 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)CC(C)(C)CO ZINC000451250080 331021941 /nfs/dbraw/zinc/02/19/41/331021941.db2.gz RKRNERHOBWTFDE-LBPRGKRZSA-N 0 1 269.389 0.945 20 30 CCEDMN C=CCCN(C)C(=O)NCCN1CCCOCC1 ZINC000455009242 331158787 /nfs/dbraw/zinc/15/87/87/331158787.db2.gz QWJGENMZVBFSEN-UHFFFAOYSA-N 0 1 255.362 0.926 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@@](C)(C(N)=O)C(C)C ZINC000459487583 331284605 /nfs/dbraw/zinc/28/46/05/331284605.db2.gz SUZHAVFXQAFSAQ-SMDDNHRTSA-N 0 1 282.388 0.484 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@](O)(CO)C1 ZINC000459647010 331292044 /nfs/dbraw/zinc/29/20/44/331292044.db2.gz JKBRVUZAMBPWSN-DZGCQCFKSA-N 0 1 284.400 0.619 20 30 CCEDMN C#CCN(CC)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000490574158 332092307 /nfs/dbraw/zinc/09/23/07/332092307.db2.gz HCTFKKJUUGJDIV-OAHLLOKOSA-N 0 1 294.443 0.923 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](CO)C2)CC1 ZINC000490575000 332092815 /nfs/dbraw/zinc/09/28/15/332092815.db2.gz JJXMKXJKFYVBAJ-CYBMUJFWSA-N 0 1 264.369 0.563 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCOCCOC ZINC000490617951 332096297 /nfs/dbraw/zinc/09/62/97/332096297.db2.gz STBNDYYJCMETIJ-ZDUSSCGKSA-N 0 1 269.341 0.680 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCNC(=O)[C@H]2CC)CC1 ZINC000490629639 332097223 /nfs/dbraw/zinc/09/72/23/332097223.db2.gz MPUNVDWKDPGYQY-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C2CCOCC2)CC1 ZINC000490741962 332112022 /nfs/dbraw/zinc/11/20/22/332112022.db2.gz UQOQPCUSACCIPB-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCN(C(=O)CN2C[C@H](C)S[C@@H](C)C2)CC1 ZINC000490761174 332113398 /nfs/dbraw/zinc/11/33/98/332113398.db2.gz RSCHUWIPXWIIIR-KBPBESRZSA-N 0 1 295.452 0.590 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H]2CCOC2)CC1 ZINC000490850440 332120350 /nfs/dbraw/zinc/12/03/50/332120350.db2.gz AYQWNVSJYPPUJL-GFCCVEGCSA-N 0 1 251.326 0.911 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@@H](C)C[S@@](C)=O)CC1 ZINC000490950842 332132720 /nfs/dbraw/zinc/13/27/20/332132720.db2.gz UAHICGAMNVJSNC-BBATYDOGSA-N 0 1 270.398 0.215 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCOC)C2CC2)CC1 ZINC000491021686 332139911 /nfs/dbraw/zinc/13/99/11/332139911.db2.gz NAXRZTVKDDRDFA-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H](C)C(=O)NC(C)(C)C)CC1 ZINC000491106367 332150669 /nfs/dbraw/zinc/15/06/69/332150669.db2.gz YEWPFBBVIWVRDN-GFCCVEGCSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000491202515 332164937 /nfs/dbraw/zinc/16/49/37/332164937.db2.gz OTDVKMRDWQANRG-NSHDSACASA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC2(CC1)OCCCO2 ZINC000491209155 332165909 /nfs/dbraw/zinc/16/59/09/332165909.db2.gz CJZBYLCXFCEYPS-GFCCVEGCSA-N 0 1 266.341 0.353 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CN1CCCCC1=O ZINC000491209160 332165949 /nfs/dbraw/zinc/16/59/49/332165949.db2.gz CKIGDUIUXYFVAX-ZDUSSCGKSA-N 0 1 278.352 0.640 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)CCc1ccn(C)n1 ZINC000491242401 332173004 /nfs/dbraw/zinc/17/30/04/332173004.db2.gz QCIKHDNONKKNCH-CQSZACIVSA-N 0 1 275.352 0.994 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCc1ccn(C)n1 ZINC000491242401 332173006 /nfs/dbraw/zinc/17/30/06/332173006.db2.gz QCIKHDNONKKNCH-CQSZACIVSA-N 0 1 275.352 0.994 20 30 CCEDMN C#CCN(C)CCCNC(=O)C1(C(=O)CC)CC1 ZINC000491375653 332200327 /nfs/dbraw/zinc/20/03/27/332200327.db2.gz XBYSAUNAMITSOT-UHFFFAOYSA-N 0 1 250.342 0.817 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)NCCCCN1CCOCC1 ZINC000491430896 332210597 /nfs/dbraw/zinc/21/05/97/332210597.db2.gz ZNCRUONPJHQIQC-CQSZACIVSA-N 0 1 295.383 0.142 20 30 CCEDMN C#CCNC(=O)CCN[C@H](c1ccccc1F)[C@@H](C)O ZINC000491452173 332213837 /nfs/dbraw/zinc/21/38/37/332213837.db2.gz JLLGPJKZSGFMBI-ABAIWWIYSA-N 0 1 278.327 0.977 20 30 CCEDMN C#C[C@H](CC)NC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000491554283 332236771 /nfs/dbraw/zinc/23/67/71/332236771.db2.gz HXDCZJHTRKPPQR-HUUCEWRRSA-N 0 1 294.443 0.969 20 30 CCEDMN C#CCNC(=O)CCN(CCC)[C@@H]1CCS(=O)(=O)C1 ZINC000491617852 332244532 /nfs/dbraw/zinc/24/45/32/332244532.db2.gz CYIIBMPGKPGXHM-GFCCVEGCSA-N 0 1 286.397 0.025 20 30 CCEDMN C#C[C@H](C)N(C)CCN1C(=O)NC2(CCCC2)C1=O ZINC000491629883 332252665 /nfs/dbraw/zinc/25/26/65/332252665.db2.gz MJOQXFHOMPTVTL-NSHDSACASA-N 0 1 263.341 0.805 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)Cc1cnn(C)c1C ZINC000491630856 332253430 /nfs/dbraw/zinc/25/34/30/332253430.db2.gz XSFAJCNYWSRNRI-AWEZNQCLSA-N 0 1 275.352 0.912 20 30 CCEDMN C#CCNC(=O)CCNCc1cccnc1OCC ZINC000491646133 332263429 /nfs/dbraw/zinc/26/34/29/332263429.db2.gz RIOVISOWHGNMCD-UHFFFAOYSA-N 0 1 261.325 0.709 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)C[C@H]1CCC(=O)NC1 ZINC000491658510 332269487 /nfs/dbraw/zinc/26/94/87/332269487.db2.gz BVUPLNSHEAQDLK-OLZOCXBDSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN(C)CCCNC(=O)C(F)(F)C(=O)C(C)C ZINC000491726781 332310216 /nfs/dbraw/zinc/31/02/16/332310216.db2.gz DQVNLYNTIWNPIS-UHFFFAOYSA-N 0 1 274.311 0.918 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)C2COC2)CC1 ZINC000491741642 332320312 /nfs/dbraw/zinc/32/03/12/332320312.db2.gz USGZQXQXWHJJRE-UHFFFAOYSA-N 0 1 250.342 0.579 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000491769001 332336324 /nfs/dbraw/zinc/33/63/24/332336324.db2.gz MAJIEXAOFQIQJW-ZDUSSCGKSA-N 0 1 282.303 0.946 20 30 CCEDMN C#CCN(C)CCCNC(=O)c1ccc(C(C)=O)[nH]1 ZINC000491790220 332352139 /nfs/dbraw/zinc/35/21/39/332352139.db2.gz XTVIIAURYZJHPE-UHFFFAOYSA-N 0 1 261.325 0.902 20 30 CCEDMN C#CCN1CCC(C(=O)N=c2ccnc3n(C)[nH]cc2-3)CC1 ZINC000491790373 332352405 /nfs/dbraw/zinc/35/24/05/332352405.db2.gz YVFLHVZMCFINPG-UHFFFAOYSA-N 0 1 297.362 0.626 20 30 CCEDMN CN(CC#N)CN1C[C@@]2(CN3CCC2CC3)OC1=O ZINC000496463515 332550294 /nfs/dbraw/zinc/55/02/94/332550294.db2.gz XKKXBABYIJPDPI-CYBMUJFWSA-N 0 1 264.329 0.316 20 30 CCEDMN CN(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000135165297 334118546 /nfs/dbraw/zinc/11/85/46/334118546.db2.gz UKFYMMXDLAHCBJ-NSHDSACASA-N 0 1 296.371 0.519 20 30 CCEDMN CC(C)(C(=O)N1CCN(CC#N)CC1)c1c[nH]cn1 ZINC000548747773 334169054 /nfs/dbraw/zinc/16/90/54/334169054.db2.gz AZYBSLZTTMHWJP-UHFFFAOYSA-N 0 1 261.329 0.355 20 30 CCEDMN C[C@H]1CCCN(C(=O)C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000549625406 334200235 /nfs/dbraw/zinc/20/02/35/334200235.db2.gz OEXWBIOWVYOBAF-LBPRGKRZSA-N 0 1 292.383 0.349 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)C[C@H](C)C(=O)NC ZINC000246265713 334247531 /nfs/dbraw/zinc/24/75/31/334247531.db2.gz VGRKZVUKUWIDEC-RYUDHWBXSA-N 0 1 282.388 0.308 20 30 CCEDMN C=CCNC(=O)CN[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000192844203 335310960 /nfs/dbraw/zinc/31/09/60/335310960.db2.gz MFTXTLKZZDMCFV-JTQLQIEISA-N 0 1 297.380 0.287 20 30 CCEDMN N#CCNC(=O)CN1CCS[C@@H]2CCCC[C@H]21 ZINC000177210388 335368324 /nfs/dbraw/zinc/36/83/24/335368324.db2.gz FFIPVPABVJBDDG-GHMZBOCLSA-N 0 1 253.371 0.986 20 30 CCEDMN C[C@@H](Cn1ccnc1)NC(=O)N1CCNC(=O)CC1 ZINC000329104578 534271557 /nfs/dbraw/zinc/27/15/57/534271557.db2.gz GQKFGACYLOPWGM-JTQLQIEISA-N 0 1 265.317 0.848 20 30 CCEDMN O=C(NC[C@H]1CCCO1)c1cccn2c(O)nnc12 ZINC000329074674 534754403 /nfs/dbraw/zinc/75/44/03/534754403.db2.gz AAQLXTFWFODXOE-MRVPVSSYSA-N 0 1 262.269 0.919 20 30 CCEDMN N#Cc1cccc(CCNC(=O)N2CCNCC2)c1 ZINC000418150755 534804862 /nfs/dbraw/zinc/80/48/62/534804862.db2.gz RKGVBKNTFGQAPU-UHFFFAOYSA-N 0 1 258.325 0.716 20 30 CCEDMN N#Cc1csc(CNCC(=O)N2CCOCC2)c1 ZINC000181033274 534830159 /nfs/dbraw/zinc/83/01/59/534830159.db2.gz KVWSKONMJHJKKT-UHFFFAOYSA-N 0 1 265.338 0.568 20 30 CCEDMN C[C@@H]1C[C@H](O)CN1CC(=O)NCc1ccc(C#N)cc1 ZINC000340887802 525454032 /nfs/dbraw/zinc/45/40/32/525454032.db2.gz DRRNNJOZUAQDQC-RISCZKNCSA-N 0 1 273.336 0.630 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCN2CCC[C@@H]2C(N)=O)o1 ZINC000340047924 526597078 /nfs/dbraw/zinc/59/70/78/526597078.db2.gz DKMAYRVMSJVXBI-LLVKDONJSA-N 0 1 290.323 0.221 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@@H]1CN2CCC[C@H]2CO1 ZINC000367188259 526683493 /nfs/dbraw/zinc/68/34/93/526683493.db2.gz RUZNXEIWEXZTKN-NWDGAFQWSA-N 0 1 274.386 0.345 20 30 CCEDMN N#Cc1ccsc1NC(=O)C(=O)NCCN1CCCC1 ZINC000340037756 526769870 /nfs/dbraw/zinc/76/98/70/526769870.db2.gz NPLFSKNIUOICOE-UHFFFAOYSA-N 0 1 292.364 0.770 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000355143699 526983235 /nfs/dbraw/zinc/98/32/35/526983235.db2.gz ZLXCSDVAOZMQBR-NSHDSACASA-N 0 1 297.424 0.375 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000667423057 545146725 /nfs/dbraw/zinc/14/67/25/545146725.db2.gz GFQMCCALBPEIJZ-ZFWWWQNUSA-N 0 1 282.384 0.637 20 30 CCEDMN CC(C)[C@](C)(NCC(=O)N[C@](C)(C#N)C1CC1)C(N)=O ZINC000347709840 527949810 /nfs/dbraw/zinc/94/98/10/527949810.db2.gz VCRMXQGMKPEAGX-KGLIPLIRSA-N 0 1 280.372 0.284 20 30 CCEDMN CCN(CCOC)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000347335958 528565380 /nfs/dbraw/zinc/56/53/80/528565380.db2.gz KBKDLUNHOQCVJI-NSHDSACASA-N 0 1 253.346 0.906 20 30 CCEDMN C=C[C@@H](C)NC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000674934377 545319033 /nfs/dbraw/zinc/31/90/33/545319033.db2.gz ZEEQJISYNGEHDL-ZIAGYGMSSA-N 0 1 296.415 0.267 20 30 CCEDMN C=C(C)CCN1CCN2C(=O)N(CC)C(=O)[C@H]2C1 ZINC000681403917 545452305 /nfs/dbraw/zinc/45/23/05/545452305.db2.gz HMMLFNXBEYAOKR-LLVKDONJSA-N 0 1 251.330 0.921 20 30 CCEDMN C=CCN(C)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000681783695 545462437 /nfs/dbraw/zinc/46/24/37/545462437.db2.gz CVPAIEHVKODSHJ-UHFFFAOYSA-N 0 1 268.405 0.840 20 30 CCEDMN C=CCOCCNC(=O)NCCN1CCCOCC1 ZINC000682122222 545473171 /nfs/dbraw/zinc/47/31/71/545473171.db2.gz BNIGEVNLVFHBMX-UHFFFAOYSA-N 0 1 271.361 0.211 20 30 CCEDMN N#CCCNC(=O)COC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777080612 581106139 /nfs/dbraw/zinc/10/61/39/581106139.db2.gz MSVPXNYPJVGCTF-UHFFFAOYSA-N 0 1 290.323 0.404 20 30 CCEDMN CC(=Nn1c(=O)c(C)n[nH]c1=S)c1cnn(C)c1C ZINC000778539131 581159283 /nfs/dbraw/zinc/15/92/83/581159283.db2.gz VYHLFRHDPNFNAV-UHFFFAOYSA-N 0 1 278.341 0.550 20 30 CCEDMN C#C[C@H](NC(=O)CN(C)[C@H]1CCSC1)[C@H]1CCCO1 ZINC000775342873 581176560 /nfs/dbraw/zinc/17/65/60/581176560.db2.gz WUVJVNKPDVRDAB-RWMBFGLXSA-N 0 1 282.409 0.721 20 30 CCEDMN Cc1cc([C@H](C#N)C(=O)CN2CCOCC2=O)nc(C)n1 ZINC000790768009 581197499 /nfs/dbraw/zinc/19/74/99/581197499.db2.gz PUBYTXADQUWKRM-NSHDSACASA-N 0 1 288.307 0.129 20 30 CCEDMN C[C@H](CNC(=O)[O-])[N@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000738938167 581204469 /nfs/dbraw/zinc/20/44/69/581204469.db2.gz IFBLAYPBCPXQNC-LLVKDONJSA-N 0 1 296.371 0.917 20 30 CCEDMN C[C@H](CNC(=O)[O-])[N@@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000738938167 581204470 /nfs/dbraw/zinc/20/44/70/581204470.db2.gz IFBLAYPBCPXQNC-LLVKDONJSA-N 0 1 296.371 0.917 20 30 CCEDMN Cc1cc(CNC(=O)[C@@H](O)c2ccc(C#N)cc2)n[nH]1 ZINC000780938107 581251026 /nfs/dbraw/zinc/25/10/26/581251026.db2.gz KRBWLSJJNBWOST-ZDUSSCGKSA-N 0 1 270.292 0.940 20 30 CCEDMN C#CCOCCNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000781016318 581256364 /nfs/dbraw/zinc/25/63/64/581256364.db2.gz LSRMXAMWARADIC-UHFFFAOYSA-N 0 1 273.296 0.190 20 30 CCEDMN Nc1ncc(C=[NH+][N-]c2nc(-c3ccncc3)no2)cn1 ZINC000781591575 581272302 /nfs/dbraw/zinc/27/23/02/581272302.db2.gz KWKSRYHXUVXYOR-UHFFFAOYSA-N 0 1 282.267 0.950 20 30 CCEDMN Nc1ncc(C=Nn2c(=O)c3ccccc3[nH]c2=S)cn1 ZINC000781586374 581272393 /nfs/dbraw/zinc/27/23/93/581272393.db2.gz KRWAIDOGSFIHAF-UHFFFAOYSA-N 0 1 298.331 0.940 20 30 CCEDMN CC[C@@H](N[NH+]=Cc1cnc(N2CCCC2)nc1)C(=O)[O-] ZINC000793073318 581283679 /nfs/dbraw/zinc/28/36/79/581283679.db2.gz SEWKVFIWYRTGKR-LLVKDONJSA-N 0 1 277.328 0.864 20 30 CCEDMN Cn1ccc(CC(=O)C(C#N)C(=O)NC2CCCC2)n1 ZINC000782583334 581309364 /nfs/dbraw/zinc/30/93/64/581309364.db2.gz ROFXMFYPCPYBMJ-GFCCVEGCSA-N 0 1 274.324 0.730 20 30 CCEDMN C#CCCCC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000793876873 581317389 /nfs/dbraw/zinc/31/73/89/581317389.db2.gz ZOMNZMHWZNVTEG-OLZOCXBDSA-N 0 1 252.358 0.703 20 30 CCEDMN N#CCNC(=O)C[NH+]1CCC([C@H]2CCCN2C(=O)[O-])CC1 ZINC000740305717 581322652 /nfs/dbraw/zinc/32/26/52/581322652.db2.gz UFBFHSBMKDHSHC-GFCCVEGCSA-N 0 1 294.355 0.481 20 30 CCEDMN COCCCNN=Cc1c(C)nn(C)c1N1CCOCC1 ZINC000794539992 581375278 /nfs/dbraw/zinc/37/52/78/581375278.db2.gz VZDQYNZIEMXSFT-UHFFFAOYSA-N 0 1 295.387 0.525 20 30 CCEDMN N#CC1(NC(=O)COC(=O)c2[nH]nc3c2CCC3)CCC1 ZINC000784210038 581376288 /nfs/dbraw/zinc/37/62/88/581376288.db2.gz ZOOKSHZFJPPFBX-UHFFFAOYSA-N 0 1 288.307 0.618 20 30 CCEDMN C=CCNN=Cc1c(C)nn(C)c1N1CCOCC1 ZINC000794992788 581394312 /nfs/dbraw/zinc/39/43/12/581394312.db2.gz IFBPWRQDZKBHFJ-UHFFFAOYSA-N 0 1 263.345 0.675 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000785411111 581408477 /nfs/dbraw/zinc/40/84/77/581408477.db2.gz IIGFATOLBUMORP-BXKDBHETSA-N 0 1 265.317 0.702 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC(C)(C)OC ZINC000786298437 581436620 /nfs/dbraw/zinc/43/66/20/581436620.db2.gz VGPDZDXPRNTCDU-JTQLQIEISA-N 0 1 270.329 0.663 20 30 CCEDMN N#Cc1ccc(OCCC[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])cc1 ZINC000739128692 581509083 /nfs/dbraw/zinc/50/90/83/581509083.db2.gz IBYLHALBPYFZAH-OCCSQVGLSA-N 0 1 290.319 0.847 20 30 CCEDMN Cc1nc(C(=O)NC2=NO[C@@H](C)C2)c(=O)[nH]c1C ZINC000765372450 581510122 /nfs/dbraw/zinc/51/01/22/581510122.db2.gz LKWXPMSJMHOQDV-YFKPBYRVSA-N 0 1 250.258 0.239 20 30 CCEDMN C[C@H]1CC(NC(=O)c2ccc([N+](=O)[O-])n2C)=NO1 ZINC000765386358 581510713 /nfs/dbraw/zinc/51/07/13/581510713.db2.gz YXKGNYJKZQNAJG-LURJTMIESA-N 0 1 252.230 0.785 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCCN(CCOC)CC2)cn1 ZINC000728861733 581513566 /nfs/dbraw/zinc/51/35/66/581513566.db2.gz VPTZTQWWTDWFJA-UHFFFAOYSA-N 0 1 287.363 0.857 20 30 CCEDMN CN(Cc1cccc(C#N)c1)[C@@H]1CCC(=O)NC1=O ZINC000765957171 581541032 /nfs/dbraw/zinc/54/10/32/581541032.db2.gz HNFROHJVAIGUAW-GFCCVEGCSA-N 0 1 257.293 0.795 20 30 CCEDMN C#CCNC(=O)C[N@H+](CCO)C1CCC(CC)CC1 ZINC000753816294 581559034 /nfs/dbraw/zinc/55/90/34/581559034.db2.gz ULMZJEHJRVNMIV-UHFFFAOYSA-N 0 1 266.385 0.999 20 30 CCEDMN C#CC(=O)NCc1nc(-c2ccc(OC)cc2)n[nH]1 ZINC000754011477 581570343 /nfs/dbraw/zinc/57/03/43/581570343.db2.gz AKNZXUBFWOLNLY-UHFFFAOYSA-N 0 1 256.265 0.730 20 30 CCEDMN C#CC(=O)NCc1n[nH]c(-c2ccc(OC)cc2)n1 ZINC000754011477 581570347 /nfs/dbraw/zinc/57/03/47/581570347.db2.gz AKNZXUBFWOLNLY-UHFFFAOYSA-N 0 1 256.265 0.730 20 30 CCEDMN CC(C)(C)[C@@H]1CCN(CC(=O)NCCC#N)C[C@@H]1O ZINC000754239287 581582690 /nfs/dbraw/zinc/58/26/90/581582690.db2.gz YITWQFNJOSCMAG-NEPJUHHUSA-N 0 1 267.373 0.745 20 30 CCEDMN C#CCNC(=O)CN[C@@H](CC)c1c(C)nn(C)c1C ZINC000754431747 581591613 /nfs/dbraw/zinc/59/16/13/581591613.db2.gz LXPLNBLZSYXRLQ-LBPRGKRZSA-N 0 1 262.357 0.827 20 30 CCEDMN C=CCNC(=O)COC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000767806134 581631696 /nfs/dbraw/zinc/63/16/96/581631696.db2.gz HLGQZDYXMVPCIC-UHFFFAOYSA-N 0 1 286.291 0.931 20 30 CCEDMN COC(=O)CNC(=O)CCN(CCC#N)CC(C)C ZINC000739913642 581634584 /nfs/dbraw/zinc/63/45/84/581634584.db2.gz CWNRSMGUGRMYCR-UHFFFAOYSA-N 0 1 269.345 0.537 20 30 CCEDMN C[C@H]1CN(C2CC2)CC1N=Nc1ccc(C(N)=O)nn1 ZINC000756839559 581680384 /nfs/dbraw/zinc/68/03/84/581680384.db2.gz UZMPPKOPTAQDHX-QMMMGPOBSA-N 0 1 274.328 0.458 20 30 CCEDMN Cc1ncc(CO)c(/C=N\CCN2CCN(C)CC2)c1O ZINC000769727929 581703494 /nfs/dbraw/zinc/70/34/94/581703494.db2.gz HYBBUGBQQNEFQR-YBEGLDIGSA-N 0 1 292.383 0.254 20 30 CCEDMN C[C@H]1CC(N=Nc2nccn(C)c2=O)CN1C1CC1 ZINC000753959102 581714819 /nfs/dbraw/zinc/71/48/19/581714819.db2.gz DECOELOFMJRSIP-VIFPVBQESA-N 0 1 261.329 0.805 20 30 CCEDMN CC(C)[C@@H]([NH2+][C@@H](C(=O)[O-])c1ccc(C#N)cc1)C(N)=O ZINC000736391372 581757356 /nfs/dbraw/zinc/75/73/56/581757356.db2.gz YJLAJULPJHFJTM-VXGBXAGGSA-N 0 1 275.308 0.783 20 30 CCEDMN CCN(CC)c1ccc(C=NNCC(N)=O)c(O)c1 ZINC000758889196 581773512 /nfs/dbraw/zinc/77/35/12/581773512.db2.gz MVLVLCOYBSYNOX-UHFFFAOYSA-N 0 1 264.329 0.647 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)c1[nH]nc2c1CCCC2 ZINC000731706796 581775806 /nfs/dbraw/zinc/77/58/06/581775806.db2.gz NUZVYDDJLMFTOQ-SECBINFHSA-N 0 1 275.308 0.583 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CCO[C@H](c3nn[nH]n3)C2)cc1 ZINC000732091607 581802607 /nfs/dbraw/zinc/80/26/07/581802607.db2.gz KHAAUZBCZRGORP-LBPRGKRZSA-N 0 1 298.306 0.214 20 30 CCEDMN COCCOC[C@@H]1CCN(CC(=O)NCCC#N)C1 ZINC000743859669 581828401 /nfs/dbraw/zinc/82/84/01/581828401.db2.gz ZFLPFLWHSMVLQR-GFCCVEGCSA-N 0 1 269.345 0.001 20 30 CCEDMN C=CCN(C(=O)NC[C@H]1CN(C)CCN1C)C(C)C ZINC000732474348 581830330 /nfs/dbraw/zinc/83/03/30/581830330.db2.gz AXWAEHKXGPXHPL-ZDUSSCGKSA-N 0 1 268.405 0.838 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(C3CC3)[C@H](C#N)C2)[nH]1 ZINC000732678979 581841883 /nfs/dbraw/zinc/84/18/83/581841883.db2.gz QPHUJWWNDBNKMH-LLVKDONJSA-N 0 1 259.313 0.531 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](C(N)=O)C(C)C)CC1 ZINC000772110099 581843738 /nfs/dbraw/zinc/84/37/38/581843738.db2.gz ONGJTZPTYCZBOG-LBPRGKRZSA-N 0 1 266.341 0.385 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](CNC(=O)C(C)(C)C)C1 ZINC000732987995 581857557 /nfs/dbraw/zinc/85/75/57/581857557.db2.gz CKFVESZYZRDFOO-ZDUSSCGKSA-N 0 1 293.411 0.610 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(C3CCOCC3)CC2)cn1 ZINC000747334823 581937319 /nfs/dbraw/zinc/93/73/19/581937319.db2.gz SUBBMJXZXYXHIX-UHFFFAOYSA-N 0 1 299.374 1.000 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@](C(=O)OCC)(C(C)C)C1 ZINC000773921628 581947098 /nfs/dbraw/zinc/94/70/98/581947098.db2.gz LTCQVMFHQCJTNM-HNNXBMFYSA-N 0 1 280.368 0.647 20 30 CCEDMN COc1cc(C(=O)C(C#N)C(=O)Nc2ccccn2)nn1C ZINC000773988714 581951677 /nfs/dbraw/zinc/95/16/77/581951677.db2.gz XDPBZCKDDICOTM-SECBINFHSA-N 0 1 299.290 0.785 20 30 CCEDMN C[C@@H]1COCC[C@@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC000774053850 581955772 /nfs/dbraw/zinc/95/57/72/581955772.db2.gz PXIQCCPNAXGVBH-DVVUODLYSA-N 0 1 250.298 0.646 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)CCNC(=O)[O-] ZINC000737492153 581965789 /nfs/dbraw/zinc/96/57/89/581965789.db2.gz AWOJDYBULXHQHK-JTQLQIEISA-N 0 1 254.286 0.285 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1nnc2ccccc2c1O ZINC000748342107 581969519 /nfs/dbraw/zinc/96/95/19/581969519.db2.gz KKBSIKFQDLRKBN-VIFPVBQESA-N 0 1 284.275 0.700 20 30 CCEDMN C=CCNC(=O)NC(=O)C[NH+]1CCC(N(C)C(=O)[O-])CC1 ZINC000737532592 581973867 /nfs/dbraw/zinc/97/38/67/581973867.db2.gz RGNUPWRGSKSREO-UHFFFAOYSA-N 0 1 298.343 0.072 20 30 CCEDMN C#CCNC(=O)COC(=O)c1ccc(O)c(Cl)c1 ZINC000748706749 581984375 /nfs/dbraw/zinc/98/43/75/581984375.db2.gz FYRHTFDNVAUBDM-UHFFFAOYSA-N 0 1 267.668 0.952 20 30 CCEDMN N#Cc1ccc([C@@H](O)CN2C[C@H](O)C[C@H](O)C2)cc1 ZINC000763413242 581998397 /nfs/dbraw/zinc/99/83/97/581998397.db2.gz IHZOTQHGLPQRRT-MJBXVCDLSA-N 0 1 262.309 0.019 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cnc(C)cn2)CC1 ZINC000749441780 582008686 /nfs/dbraw/zinc/00/86/86/582008686.db2.gz IEOPMWJETJINCG-UHFFFAOYSA-N 0 1 272.352 0.746 20 30 CCEDMN C#C[C@@H](NCc1ccc(OCC(N)=O)cc1)[C@@H]1CCCO1 ZINC000775351228 582020826 /nfs/dbraw/zinc/02/08/26/582020826.db2.gz SNPNMVKJHXGXME-CABCVRRESA-N 0 1 288.347 0.821 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NCc1cc(CC)[nH]n1 ZINC000775433880 582024081 /nfs/dbraw/zinc/02/40/81/582024081.db2.gz JKUIGUOMLQXXON-SNVBAGLBSA-N 0 1 266.345 0.956 20 30 CCEDMN CCN(CCC#N)C(=O)COC(=O)CCc1nc[nH]n1 ZINC000764009897 582026037 /nfs/dbraw/zinc/02/60/37/582026037.db2.gz BUFCDBTXTREJOJ-UHFFFAOYSA-N 0 1 279.300 0.043 20 30 CCEDMN C#CCNC(=O)CN1CCC(OC[C@@H]2CCCCO2)CC1 ZINC000750062893 582032623 /nfs/dbraw/zinc/03/26/23/582032623.db2.gz SPOVBCHSOUYMRV-HNNXBMFYSA-N 0 1 294.395 0.786 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCCCCCO)CC1 ZINC000750179111 582036943 /nfs/dbraw/zinc/03/69/43/582036943.db2.gz ZGJVSYHZUPYUJV-UHFFFAOYSA-N 0 1 283.416 0.459 20 30 CCEDMN C#C[C@H](N[C@@H]1CCN(C2CCOCC2)C1=O)[C@H]1CCCO1 ZINC000775804544 582040636 /nfs/dbraw/zinc/04/06/36/582040636.db2.gz ANIVYMROCDQLCX-RRFJBIMHSA-N 0 1 292.379 0.537 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000738461461 582053301 /nfs/dbraw/zinc/05/33/01/582053301.db2.gz SMDHJTBTVGGOQO-VWYCJHECSA-N 0 1 267.329 0.102 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000738490487 582055671 /nfs/dbraw/zinc/05/56/71/582055671.db2.gz VQIMEULDPINAHN-RYUDHWBXSA-N 0 1 281.356 0.917 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000738490487 582055674 /nfs/dbraw/zinc/05/56/74/582055674.db2.gz VQIMEULDPINAHN-RYUDHWBXSA-N 0 1 281.356 0.917 20 30 CCEDMN C=CCNC(=O)C[N@@H+](C1CC1)C1CCN(C(=O)[O-])CC1 ZINC000738495012 582055900 /nfs/dbraw/zinc/05/59/00/582055900.db2.gz HVOYPGPYNHCZOM-UHFFFAOYSA-N 0 1 281.356 0.895 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](CNC(=O)[O-])C1 ZINC000738599392 582064871 /nfs/dbraw/zinc/06/48/71/582064871.db2.gz FDQYJHPDGDJIFP-MNOVXSKESA-N 0 1 282.344 0.336 20 30 CCEDMN N#Cc1cc(N2CCC(c3nc[nH]n3)CC2)ncn1 ZINC000764852448 582071194 /nfs/dbraw/zinc/07/11/94/582071194.db2.gz CNBOPWYDGMGWSR-UHFFFAOYSA-N 0 1 255.285 0.850 20 30 CCEDMN CNC(=S)NN=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000751131326 582071425 /nfs/dbraw/zinc/07/14/25/582071425.db2.gz PHCHIWQMGGDLLE-UHFFFAOYSA-N 0 1 254.271 0.728 20 30 CCEDMN C#CCNC(=O)CN(C)CC(C)(C)CN1CCOCC1 ZINC000752088244 582100772 /nfs/dbraw/zinc/10/07/72/582100772.db2.gz XZTMSQBPCYCEOQ-UHFFFAOYSA-N 0 1 281.400 0.026 20 30 CCEDMN C=C(Br)CNC(=O)N1CCN[C@@H](C)C1 ZINC000841746061 613236662 /nfs/dbraw/zinc/23/66/62/613236662.db2.gz UYEHJEPDMFZPMC-QMMMGPOBSA-N 0 1 262.151 0.898 20 30 CCEDMN C=C(Br)CNC(=O)NCc1n[nH]c(C)n1 ZINC000892364166 613237517 /nfs/dbraw/zinc/23/75/17/613237517.db2.gz CGFBKDGYFRJWCV-UHFFFAOYSA-N 0 1 274.122 0.821 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC[C@H](CNS(C)(=O)=O)C1 ZINC000929875884 613360440 /nfs/dbraw/zinc/36/04/40/613360440.db2.gz DCUKULWRUOBMMS-VXGBXAGGSA-N 0 1 276.402 0.185 20 30 CCEDMN CCO[C@H](CC)C(=O)C(C#N)C(=O)NCCCOC ZINC000920106896 615479445 /nfs/dbraw/zinc/47/94/45/615479445.db2.gz HZZDFVOYEZPBNB-GHMZBOCLSA-N 0 1 270.329 0.663 20 30 CCEDMN CC[S@@](C)(=O)=NS(=O)(=O)NC[C@H](C)C#N ZINC000866895727 616011459 /nfs/dbraw/zinc/01/14/59/616011459.db2.gz YHGJLFBTUKKXJO-NXSYQRQQSA-N 0 1 253.349 0.098 20 30 CCEDMN CON=CC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000928083664 617105875 /nfs/dbraw/zinc/10/58/75/617105875.db2.gz AIJSIAWWRPGEPT-ZJUUUORDSA-N 0 1 257.359 0.315 20 30 CCEDMN C#CCCN(CCOC)[C@H](C)C(=O)NC(=O)NCC ZINC000852753059 612989870 /nfs/dbraw/zinc/98/98/70/612989870.db2.gz VIOHYPNTURNWHO-LLVKDONJSA-N 0 1 269.345 0.192 20 30 CCEDMN COc1cc(C)cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1O ZINC000834499619 617175110 /nfs/dbraw/zinc/17/51/10/617175110.db2.gz KQBDMTYOGCNHGI-MWLCHTKSSA-N 0 1 275.308 0.551 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1CCCN(CCOC)C1 ZINC000823152449 613003273 /nfs/dbraw/zinc/00/32/73/613003273.db2.gz SBBYSWBHFKKZDM-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000928083550 613003351 /nfs/dbraw/zinc/00/33/51/613003351.db2.gz UJSFBYGXEYZCPX-AGIUHOORSA-N 0 1 282.409 0.721 20 30 CCEDMN N#CCNC(=O)C[N@H+]1[C@H](C(=O)[O-])C[C@H]2CCCC[C@@H]21 ZINC000227221688 599826705 /nfs/dbraw/zinc/82/67/05/599826705.db2.gz ZHOZIXBLOBKURZ-VWYCJHECSA-N 0 1 265.313 0.344 20 30 CCEDMN C#CCSCC(=O)NC1(C#N)CCN(C)CC1 ZINC000889742204 613011370 /nfs/dbraw/zinc/01/13/70/613011370.db2.gz PPKGKZADOLQORD-UHFFFAOYSA-N 0 1 251.355 0.457 20 30 CCEDMN C#CCSCC(=O)NC(C)(C)CN1CCN(CC)CC1 ZINC000891375793 613011554 /nfs/dbraw/zinc/01/15/54/613011554.db2.gz VBXKRXSRZPMCBT-UHFFFAOYSA-N 0 1 297.468 0.885 20 30 CCEDMN C#CCSCCNC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000806845376 613016027 /nfs/dbraw/zinc/01/60/27/613016027.db2.gz LVPBYFPHIVZXCJ-OLZOCXBDSA-N 0 1 299.440 0.449 20 30 CCEDMN C[C@@]1(C(=O)[O-])CC[N@@H+](CC(=O)N(CCC#N)CCC#N)C1 ZINC000062935637 601951309 /nfs/dbraw/zinc/95/13/09/601951309.db2.gz GJJLRKSCXKYCLR-CQSZACIVSA-N 0 1 292.339 0.439 20 30 CCEDMN C#CCSCCNC(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000841832782 613016670 /nfs/dbraw/zinc/01/66/70/613016670.db2.gz SRPOGVCBYZDNFU-TXEJJXNPSA-N 0 1 267.398 0.889 20 30 CCEDMN C#CCSCCNC(=O)NC[C@H]1CCC[N@@H+]1CCOC ZINC000154384960 613017143 /nfs/dbraw/zinc/01/71/43/613017143.db2.gz ZOHHDTMKJZDMQY-CYBMUJFWSA-N 0 1 299.440 0.763 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N1CCN(CC2CC2)CC1 ZINC000875921195 613033699 /nfs/dbraw/zinc/03/36/99/613033699.db2.gz GBBJAQDQQANYBJ-AWEZNQCLSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000875927769 613033866 /nfs/dbraw/zinc/03/38/66/613033866.db2.gz HQZQDMCNBUIJBQ-ZDUSSCGKSA-N 0 1 297.399 0.123 20 30 CCEDMN C#CCN(Cc1c(CO)[nH]cc(OC)c1=O)C(C)C ZINC000902384753 634380373 /nfs/dbraw/zinc/38/03/73/634380373.db2.gz OHAXJEYZYZJPQR-UHFFFAOYSA-N 0 1 264.325 0.719 20 30 CCEDMN C[C@@H](C(=O)[O-])n1cccc1C=NN1CC[NH+](C)CC1 ZINC000833406397 605038095 /nfs/dbraw/zinc/03/80/95/605038095.db2.gz DIULXTJXKCRDGO-NSHDSACASA-N 0 1 264.329 0.715 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@@H](NC(=O)[O-])[C@H]2C1 ZINC000833965417 605454816 /nfs/dbraw/zinc/45/48/16/605454816.db2.gz LWBAUUSPHHUHFH-SDDRHHMPSA-N 0 1 294.355 0.384 20 30 CCEDMN C#CC[C@H](CO)NS(=O)(=O)c1cc(O)cc(F)c1 ZINC000882339864 613059085 /nfs/dbraw/zinc/05/90/85/613059085.db2.gz JVANBQYDDHKZJP-SECBINFHSA-N 0 1 273.285 0.194 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000875925523 613064157 /nfs/dbraw/zinc/06/41/57/613064157.db2.gz SEXKGPJCFUUBTN-VHSXEESVSA-N 0 1 279.344 0.766 20 30 CCEDMN C#CCN(C(=O)C1CN([C@H]2CCOC2)C1)C1CSC1 ZINC000912361582 613065265 /nfs/dbraw/zinc/06/52/65/613065265.db2.gz IQXWPNCTDQWSPI-LBPRGKRZSA-N 0 1 280.393 0.284 20 30 CCEDMN C#CC1(O)CN(C(=O)N[C@@H]2CCCc3cn[nH]c32)C1 ZINC000893735009 612956311 /nfs/dbraw/zinc/95/63/11/612956311.db2.gz JJNIZAGTOKTVOD-SNVBAGLBSA-N 0 1 260.297 0.177 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@]1(C(=O)[O-])CCSC1 ZINC000909036871 613077070 /nfs/dbraw/zinc/07/70/70/613077070.db2.gz BLVYJZPBINOSAG-CYBMUJFWSA-N 0 1 299.396 0.201 20 30 CCEDMN C#CCNCC(=O)NCc1cc(OC)c(OC)c(OC)c1 ZINC000105861673 612956745 /nfs/dbraw/zinc/95/67/45/612956745.db2.gz ADQGTFHAOUSWGZ-UHFFFAOYSA-N 0 1 292.335 0.551 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC000971635804 613084059 /nfs/dbraw/zinc/08/40/59/613084059.db2.gz COGMJHKPSCHEGX-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](OC)C2CC2)C1 ZINC000971442761 613091454 /nfs/dbraw/zinc/09/14/54/613091454.db2.gz OGOVJNPTYASTFD-STQMWFEESA-N 0 1 250.342 0.577 20 30 CCEDMN C#C[C@@H](NC(=O)N(C)CC1CN(C)C1)[C@H]1CCCO1 ZINC000852259851 613093141 /nfs/dbraw/zinc/09/31/41/613093141.db2.gz MVHLLWHZYXPRBQ-CHWSQXEVSA-N 0 1 265.357 0.370 20 30 CCEDMN C#CCN(CC#C)S(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914209699 613099349 /nfs/dbraw/zinc/09/93/49/613099349.db2.gz CJBPTTBCTCIGRI-AWEZNQCLSA-N 0 1 280.393 0.371 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)N1CCCCC1 ZINC000922027196 613098003 /nfs/dbraw/zinc/09/80/03/613098003.db2.gz SYGUNTLLXLHBHR-UHFFFAOYSA-N 0 1 299.440 0.747 20 30 CCEDMN COc1cc(NC(=O)NCC#CCN(C)C)ccn1 ZINC000931392791 617202863 /nfs/dbraw/zinc/20/28/63/617202863.db2.gz CAXWIMXRTDBMLC-UHFFFAOYSA-N 0 1 262.313 0.777 20 30 CCEDMN CC(C)CCO[C@H](C)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834494088 614057114 /nfs/dbraw/zinc/05/71/14/614057114.db2.gz KAYUNTDOHBTXLL-IJLUTSLNSA-N 0 1 253.346 0.665 20 30 CCEDMN C#CCCCCNC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000806959429 612977477 /nfs/dbraw/zinc/97/74/77/612977477.db2.gz NEPHDAPVJSIPMG-ZIAGYGMSSA-N 0 1 281.400 0.886 20 30 CCEDMN COc1ccc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1OC ZINC000834511506 617241900 /nfs/dbraw/zinc/24/19/00/617241900.db2.gz LSFNYWMZXJDGIB-NWDGAFQWSA-N 0 1 289.335 0.474 20 30 CCEDMN COc1ccc(COCC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000843464212 617261965 /nfs/dbraw/zinc/26/19/65/617261965.db2.gz ZWWBRRNTSVNBNL-GXTWGEPZSA-N 0 1 289.335 0.440 20 30 CCEDMN COc1ccc(NC(=O)[C@@]2(C)CNCCO2)cc1C#N ZINC000877270484 617270589 /nfs/dbraw/zinc/27/05/89/617270589.db2.gz HXBQHYYZYPPSDX-CQSZACIVSA-N 0 1 275.308 0.884 20 30 CCEDMN COc1cccc(OC)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000846676366 617305624 /nfs/dbraw/zinc/30/56/24/617305624.db2.gz DGJYRNLAPRPKHM-VHSXEESVSA-N 0 1 275.308 0.545 20 30 CCEDMN C#CC1CCN(CCNS(=O)(=O)CCCOC)CC1 ZINC000830326538 612964435 /nfs/dbraw/zinc/96/44/35/612964435.db2.gz UOPFAHVMSUVMST-UHFFFAOYSA-N 0 1 288.413 0.288 20 30 CCEDMN C#CC1CCN(CC(=O)N(C)CC(=O)NCCC)CC1 ZINC000830311249 612964584 /nfs/dbraw/zinc/96/45/84/612964584.db2.gz IRATWLDAATYXLV-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCC1(O)CCN(CCc2nnn(C(C)(C)C)n2)CC1 ZINC000880482431 612973549 /nfs/dbraw/zinc/97/35/49/612973549.db2.gz ISTGMPFOIKUWPC-UHFFFAOYSA-N 0 1 291.399 0.821 20 30 CCEDMN C#CCCc1cc(=O)n(CC(C)(C)S(C)(=O)=O)[nH]1 ZINC000899352702 612974413 /nfs/dbraw/zinc/97/44/13/612974413.db2.gz CCIGISXCOCRULP-UHFFFAOYSA-N 0 1 270.354 0.811 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CCOC)[C@H](CCC)C1 ZINC000128627318 612978235 /nfs/dbraw/zinc/97/82/35/612978235.db2.gz MDLKDMIXJRTSLA-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCOCCN1CCN(CCc2cnccn2)CC1 ZINC000879422214 612983698 /nfs/dbraw/zinc/98/36/98/612983698.db2.gz WJIGXNBUUCQCHZ-UHFFFAOYSA-N 0 1 274.368 0.287 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)[C@@H]1CN2CCC1CC2 ZINC000823256853 612993579 /nfs/dbraw/zinc/99/35/79/612993579.db2.gz RGDVYCUZYSTNMC-WCQYABFASA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCO[C@@H](C)C(=O)Nc1n[nH]c2cc(C)n(C)c(=O)c21 ZINC000910649270 612997766 /nfs/dbraw/zinc/99/77/66/612997766.db2.gz FDYHEIQSAJMRIK-VIFPVBQESA-N 0 1 288.307 0.547 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@]2(C)CCNC2=O)CC1 ZINC000981945202 613005316 /nfs/dbraw/zinc/00/53/16/613005316.db2.gz HOLGRGFCAJGGNB-OAHLLOKOSA-N 0 1 277.368 0.070 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2c(C)nnn2CC)CC1 ZINC000981317022 613005416 /nfs/dbraw/zinc/00/54/16/613005416.db2.gz HNPXMWGMMOARES-UHFFFAOYSA-N 0 1 289.383 0.778 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc(C)ncn2)CC1 ZINC000980989927 613005558 /nfs/dbraw/zinc/00/55/58/613005558.db2.gz QEZMIQVSQASXDE-UHFFFAOYSA-N 0 1 272.352 0.956 20 30 CCEDMN C#CCC[N@H+]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000981027461 613006874 /nfs/dbraw/zinc/00/68/74/613006874.db2.gz XBVIFFVYFJAESE-UHFFFAOYSA-N 0 1 273.336 0.958 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)c2ncccc2[O-])CC1 ZINC000981027461 613006875 /nfs/dbraw/zinc/00/68/75/613006875.db2.gz XBVIFFVYFJAESE-UHFFFAOYSA-N 0 1 273.336 0.958 20 30 CCEDMN C#CCOc1ccccc1CN1CC[C@](O)(C(=O)OC)C1 ZINC000895708918 613007871 /nfs/dbraw/zinc/00/78/71/613007871.db2.gz XNKGDPGROBECOP-MRXNPFEDSA-N 0 1 289.331 0.808 20 30 CCEDMN C#CCCN1CCN(C[C@@H](O)c2ccc(F)cn2)CC1 ZINC000799311663 613011719 /nfs/dbraw/zinc/01/17/19/613011719.db2.gz ZTSRXWDOSDUJPP-OAHLLOKOSA-N 0 1 277.343 0.895 20 30 CCEDMN C#CC[C@@H]1C(=O)N(c2ncnc3[nH]cnc32)N=C1C ZINC000899349137 613043410 /nfs/dbraw/zinc/04/34/10/613043410.db2.gz VPYSZQMTYRYZET-QMMMGPOBSA-N 0 1 254.253 0.715 20 30 CCEDMN C#CCCNC(=O)N[C@H]1CCC[N@H+]2CCSC[C@H]12 ZINC000925148938 613047654 /nfs/dbraw/zinc/04/76/54/613047654.db2.gz LSRNJRATSAFGDQ-NWDGAFQWSA-N 0 1 267.398 0.889 20 30 CCEDMN C#CCCNC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000925148938 613047655 /nfs/dbraw/zinc/04/76/55/613047655.db2.gz LSRNJRATSAFGDQ-NWDGAFQWSA-N 0 1 267.398 0.889 20 30 CCEDMN C#CCCOC(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000859097260 613049151 /nfs/dbraw/zinc/04/91/51/613049151.db2.gz XMSOJICXRVXHAS-UHFFFAOYSA-N 0 1 277.328 0.391 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)Cc1n[nH]c(C)n1 ZINC000863779075 613049773 /nfs/dbraw/zinc/04/97/73/613049773.db2.gz JLNMRPPCOPDBAN-SNVBAGLBSA-N 0 1 250.302 0.200 20 30 CCEDMN C#CCCS(=O)(=O)N1CCO[C@@H]2CCN(CC)C[C@@H]21 ZINC000815060280 613052198 /nfs/dbraw/zinc/05/21/98/613052198.db2.gz RVHOHCRREFCYNB-QWHCGFSZSA-N 0 1 286.397 0.135 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)C(C)(C)CN1CCOCC1 ZINC000822679600 613060183 /nfs/dbraw/zinc/06/01/83/613060183.db2.gz KLQVDBLRKWMMPE-ZDUSSCGKSA-N 0 1 282.384 0.235 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C)C(=O)OC ZINC000880270781 613069083 /nfs/dbraw/zinc/06/90/83/613069083.db2.gz FOWWBKRAHOTOPS-XDTLVQLUSA-N 0 1 289.335 0.757 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)N[C@@H]2CCN(CCOC)C2)C1 ZINC000888316745 613073543 /nfs/dbraw/zinc/07/35/43/613073543.db2.gz FMJLBBYXDVKUIT-UONOGXRCSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@@H]2CCc3[nH]cnc3C2)cn1 ZINC000834618521 613077238 /nfs/dbraw/zinc/07/72/38/613077238.db2.gz SVOHFKAPVGDUJM-GFCCVEGCSA-N 0 1 283.335 0.661 20 30 CCEDMN C#CCn1cc(CNC(=O)c2[nH]nc(C)c2C)cn1 ZINC000816372681 613078033 /nfs/dbraw/zinc/07/80/33/613078033.db2.gz LFGBNDZQTKSDBA-UHFFFAOYSA-N 0 1 257.297 0.786 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)C2(N(C)C)CC2)n1 ZINC000834709013 613078378 /nfs/dbraw/zinc/07/83/78/613078378.db2.gz JFIZTAXUFCRRTK-UHFFFAOYSA-N 0 1 274.368 0.959 20 30 CCEDMN C#CCn1ccc(CN(CC)CCc2c[nH]nn2)n1 ZINC000851996504 613078528 /nfs/dbraw/zinc/07/85/28/613078528.db2.gz TUIUFYYKZQFRGW-UHFFFAOYSA-N 0 1 258.329 0.699 20 30 CCEDMN C#C[C@@H](C)N(C)Cc1ccc(S(N)(=O)=O)cc1 ZINC000877480274 613079676 /nfs/dbraw/zinc/07/96/76/613079676.db2.gz QGLZIQAKXWEXCU-SNVBAGLBSA-N 0 1 252.339 0.788 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC000971539049 613083031 /nfs/dbraw/zinc/08/30/31/613083031.db2.gz JDLOQPXIHLMRDP-CHWSQXEVSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC000972453441 613083848 /nfs/dbraw/zinc/08/38/48/613083848.db2.gz LPOAGSTXRUQJCI-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC000972299349 613084130 /nfs/dbraw/zinc/08/41/30/613084130.db2.gz FMMFJTNPPGPLGJ-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cccc(C(=O)NC)c2)C1 ZINC000980440761 613086341 /nfs/dbraw/zinc/08/63/41/613086341.db2.gz SMLIBGKDKSQFKG-OAHLLOKOSA-N 0 1 299.374 0.826 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(C(C)C)nn2)C1 ZINC000972632559 613087267 /nfs/dbraw/zinc/08/72/67/613087267.db2.gz ULFIHFVJWKBMGC-GFCCVEGCSA-N 0 1 275.356 0.639 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc(C)cn2)C1 ZINC000971005785 613087382 /nfs/dbraw/zinc/08/73/82/613087382.db2.gz NUTHPWJFSJHRLD-GFCCVEGCSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(C)(C)c2cnn(C)c2)C1 ZINC000972638878 613088666 /nfs/dbraw/zinc/08/86/66/613088666.db2.gz YADVLQUJGWMDBC-AWEZNQCLSA-N 0 1 288.395 0.864 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COc2cccnc2)C1 ZINC000972556333 613089749 /nfs/dbraw/zinc/08/97/49/613089749.db2.gz WWGUPDYLOCLKAZ-ZDUSSCGKSA-N 0 1 273.336 0.626 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2cnccn2)C1 ZINC000972673346 613089866 /nfs/dbraw/zinc/08/98/66/613089866.db2.gz KLALPWNWPQKZSV-AWEZNQCLSA-N 0 1 272.352 0.575 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC000971670436 613090323 /nfs/dbraw/zinc/09/03/23/613090323.db2.gz ILMKLKIAUOMXAA-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC000971987635 613092363 /nfs/dbraw/zinc/09/23/63/613092363.db2.gz BRUCJRAOPPTIPZ-ZQDZILKHSA-N 0 1 292.379 0.204 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)n2cncn2)C1 ZINC000971372160 613092437 /nfs/dbraw/zinc/09/24/37/613092437.db2.gz VQGDHGMDTCIAFF-RYUDHWBXSA-N 0 1 261.329 0.005 20 30 CCEDMN C#C[C@@H](NC(=O)CSc1nnc(C)[nH]1)C1CCOCC1 ZINC000856108567 613092707 /nfs/dbraw/zinc/09/27/07/613092707.db2.gz CQTCGWKLWUSLFV-LLVKDONJSA-N 0 1 294.380 0.750 20 30 CCEDMN C#C[C@@H](NC(=O)CSc1nc(C)n[nH]1)C1CCOCC1 ZINC000856108567 613092709 /nfs/dbraw/zinc/09/27/09/613092709.db2.gz CQTCGWKLWUSLFV-LLVKDONJSA-N 0 1 294.380 0.750 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCOCC2)C1 ZINC000971432193 613093059 /nfs/dbraw/zinc/09/30/59/613093059.db2.gz HZGOQNGBSJIEBB-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC000972009284 613093169 /nfs/dbraw/zinc/09/31/69/613093169.db2.gz NZIOMLFTZAPOMQ-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#C[C@@H](NC(=O)N(C)Cc1cnc[nH]1)[C@@H]1CCCO1 ZINC000852259879 613093160 /nfs/dbraw/zinc/09/31/60/613093160.db2.gz NGXWLJBMIVPEIP-NEPJUHHUSA-N 0 1 262.313 0.732 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc3n(n2)CCCC3)C1 ZINC000971752495 613094305 /nfs/dbraw/zinc/09/43/05/613094305.db2.gz INXRSVXEZVWFQY-AWEZNQCLSA-N 0 1 286.379 0.999 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1n[nH]c(CC)n1)C1CCOCC1 ZINC000854095171 613096835 /nfs/dbraw/zinc/09/68/35/613096835.db2.gz PTPICTLQUVAVQS-LLVKDONJSA-N 0 1 291.355 0.595 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)N(C)CCC ZINC000922024676 613098099 /nfs/dbraw/zinc/09/80/99/613098099.db2.gz RXOUUXRPIMCRRL-UHFFFAOYSA-N 0 1 287.429 0.602 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)Cc1ncn[nH]1 ZINC000869248264 613104333 /nfs/dbraw/zinc/10/43/33/613104333.db2.gz RMDMWUBSOVLBGI-UHFFFAOYSA-N 0 1 278.312 0.151 20 30 CCEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)N(C)CC(=O)NC2CC2)C1 ZINC000847023213 613106814 /nfs/dbraw/zinc/10/68/14/613106814.db2.gz BLWDNWJPKMJSOJ-CHWSQXEVSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN(Cc1ccccc1)C(=O)NC[C@H]1COCCN1 ZINC000861685763 613108876 /nfs/dbraw/zinc/10/88/76/613108876.db2.gz YBJCIQHQERYFGC-HNNXBMFYSA-N 0 1 287.363 0.820 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC000950549658 613110771 /nfs/dbraw/zinc/11/07/71/613110771.db2.gz LGIWTFVOJATUBG-CHWSQXEVSA-N 0 1 272.352 0.679 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC000957108953 613111007 /nfs/dbraw/zinc/11/10/07/613111007.db2.gz RBLKGNKWOUTXFU-LBPRGKRZSA-N 0 1 286.379 0.681 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)c1cc(C(=O)N(C)[C@@H](C)C#C)n[nH]1 ZINC000834552271 613114068 /nfs/dbraw/zinc/11/40/68/613114068.db2.gz HMLKSLRAWXSKJT-PHIMTYICSA-N 0 1 286.335 0.597 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000854079092 613120854 /nfs/dbraw/zinc/12/08/54/613120854.db2.gz VSQIJRCDEISWHF-RWMBFGLXSA-N 0 1 267.373 0.495 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(N(CC)C(C)=O)C2)CC1 ZINC000967710529 613128754 /nfs/dbraw/zinc/12/87/54/613128754.db2.gz HTUSGOZKQZRRRX-UHFFFAOYSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C2CN(C(C)=O)C2)CC1 ZINC000954499914 613128775 /nfs/dbraw/zinc/12/87/75/613128775.db2.gz CQONVZOBHZJACB-UHFFFAOYSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CCC(C(=O)N2[C@H](C)C(=O)N[C@H](C)[C@@H]2C)CC1 ZINC000911785813 613129957 /nfs/dbraw/zinc/12/99/57/613129957.db2.gz JCHFNYRIXWMFAK-FRRDWIJNSA-N 0 1 291.395 0.456 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1ccc2cc[nH]c2c1 ZINC000905364301 613130402 /nfs/dbraw/zinc/13/04/02/613130402.db2.gz DCZQTKLFGYIKIX-OLZOCXBDSA-N 0 1 274.320 0.491 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H]2C[C@H]3COC[C@@H]3O2)CC1 ZINC000909008329 613132015 /nfs/dbraw/zinc/13/20/15/613132015.db2.gz RPMLXMAFFDKCOZ-KKUMJFAQSA-N 0 1 293.363 0.679 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2ccnc(C)n2)CC1 ZINC000928650490 613132915 /nfs/dbraw/zinc/13/29/15/613132915.db2.gz CVWCHRDLQCUMSD-UHFFFAOYSA-N 0 1 286.379 0.931 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H](C)COC)CC1 ZINC000928656199 613133230 /nfs/dbraw/zinc/13/32/30/613133230.db2.gz OOIAXBWWJOADMH-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H]2CCCC(=O)N2)CC1 ZINC000928651567 613133429 /nfs/dbraw/zinc/13/34/29/613133429.db2.gz WIMSYUUUOVWLRA-CYBMUJFWSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC(C)(C)OC)CC1 ZINC000920658095 613133865 /nfs/dbraw/zinc/13/38/65/613133865.db2.gz BSVWMFDWZRKCEX-UHFFFAOYSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCC[C@H]2CCO)CC1 ZINC000922099600 613134771 /nfs/dbraw/zinc/13/47/71/613134771.db2.gz LJAYUJDWMHQICY-DZGCQCFKSA-N 0 1 293.411 0.934 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCOC[C@H]2C)CC1 ZINC000922201748 613134844 /nfs/dbraw/zinc/13/48/44/613134844.db2.gz MJCLNFGKHYUBOV-TZMCWYRMSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCO[C@H]2C=C)CC1 ZINC000922719223 613134862 /nfs/dbraw/zinc/13/48/62/613134862.db2.gz CHTLWOTVNDEPPP-KGLIPLIRSA-N 0 1 277.368 0.727 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](COC)C2CC2)CC1 ZINC000921589570 613135561 /nfs/dbraw/zinc/13/55/61/613135561.db2.gz MHYLFUAGXJMEFI-CQSZACIVSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)n2cccn2)CC1 ZINC000928656719 613135785 /nfs/dbraw/zinc/13/57/85/613135785.db2.gz CGGKUWNMOHIUMG-GFCCVEGCSA-N 0 1 260.341 0.658 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](CC)[C@@H](C)O)CC1 ZINC000900666568 613136012 /nfs/dbraw/zinc/13/60/12/613136012.db2.gz HFXDRMYPTWWNNR-YPMHNXCESA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@](C)(O)C2CC2)CC1 ZINC000928653720 613136648 /nfs/dbraw/zinc/13/66/48/613136648.db2.gz YCMORNOMLXXHOB-CQSZACIVSA-N 0 1 250.342 0.361 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC000928660956 613136806 /nfs/dbraw/zinc/13/68/06/613136806.db2.gz VLTVDTBSYLWDQP-NEPJUHHUSA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](OCC)[C@@H]2CCOC2)CC1 ZINC000928660193 613136840 /nfs/dbraw/zinc/13/68/40/613136840.db2.gz LPMABWICGNNAMM-UKRRQHHQSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000928658893 613137057 /nfs/dbraw/zinc/13/70/57/613137057.db2.gz WYSOMSMPJAJXJD-UHFFFAOYSA-N 0 1 285.347 0.613 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc3n[nH]nc3n2)CC1 ZINC000928654158 613137316 /nfs/dbraw/zinc/13/73/16/613137316.db2.gz PJIQTHQMLMFHNZ-UHFFFAOYSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc(OC)nc2)CC1 ZINC000928655195 613137744 /nfs/dbraw/zinc/13/77/44/613137744.db2.gz NFSGOXSWCDPZDW-UHFFFAOYSA-N 0 1 274.324 0.313 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnc(C)n2)CC1 ZINC000928657680 613137825 /nfs/dbraw/zinc/13/78/25/613137825.db2.gz SVCKGWDBVSNIGO-UHFFFAOYSA-N 0 1 258.325 0.612 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H]3COC(=O)C3)C2)C1 ZINC000981715975 613141309 /nfs/dbraw/zinc/14/13/09/613141309.db2.gz XSXWZQALLPWTSI-ZDUSSCGKSA-N 0 1 290.363 0.497 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3ccon3)C2)C1 ZINC000981669919 613141442 /nfs/dbraw/zinc/14/14/42/613141442.db2.gz AKYFBHQDQCATLB-UHFFFAOYSA-N 0 1 273.336 0.775 20 30 CCEDMN C#C[C@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)[C@@H]1CCCO1 ZINC000832418292 613147634 /nfs/dbraw/zinc/14/76/34/613147634.db2.gz NRNQDEVHQLSZOD-MJVIPROJSA-N 0 1 273.336 0.812 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)C12CC(C(=O)OC)(C1)C2 ZINC000842709873 613176474 /nfs/dbraw/zinc/17/64/74/613176474.db2.gz AEUHEZUQWDHLGO-UWTIGNOOSA-N 0 1 291.347 0.971 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C\c2cnn(C)c2)C1 ZINC000923590880 613180738 /nfs/dbraw/zinc/18/07/38/613180738.db2.gz HAHHNTQWIXYOAZ-AFNCTOJWSA-N 0 1 272.352 0.647 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@@H]1CC(=O)[O-] ZINC000825619080 613194693 /nfs/dbraw/zinc/19/46/93/613194693.db2.gz JVWVIDCRHXWSOZ-NEPJUHHUSA-N 0 1 264.325 0.550 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@@H](C)[C@](C)(C(=O)[O-])C1 ZINC000910257108 613197801 /nfs/dbraw/zinc/19/78/01/613197801.db2.gz XBJKLWPVLNYGCK-TYNCELHUSA-N 0 1 278.352 0.653 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N2CCN[C@H](C)C2)c1 ZINC000842033571 613199147 /nfs/dbraw/zinc/19/91/47/613199147.db2.gz YAFQYQBUAVMEJB-GFCCVEGCSA-N 0 1 285.347 0.104 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)[C@@H](C)CC(=O)[O-] ZINC000833364238 613203267 /nfs/dbraw/zinc/20/32/67/613203267.db2.gz HYAKCDHCZZTCMO-QWRGUYRKSA-N 0 1 253.298 0.738 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC[C@H](C#C)C2)CC1 ZINC000847026170 613205174 /nfs/dbraw/zinc/20/51/74/613205174.db2.gz OUIBJZPXUZEPFD-HNNXBMFYSA-N 0 1 273.380 0.109 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC000965963173 613213983 /nfs/dbraw/zinc/21/39/83/613213983.db2.gz GXPUFWXGMWDFIX-OCCSQVGLSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC000964957792 613214370 /nfs/dbraw/zinc/21/43/70/613214370.db2.gz BPSKGODXZAQFQS-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@@H]2CCCCN2CCO)c1 ZINC000833553513 613217355 /nfs/dbraw/zinc/21/73/55/613217355.db2.gz VOKDXOVUCDILDO-HNNXBMFYSA-N 0 1 287.363 0.640 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)C2=CCOCC2)C1 ZINC000965936017 613218052 /nfs/dbraw/zinc/21/80/52/613218052.db2.gz LVFXTHHQXFGBIO-TZMCWYRMSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000967407497 613218660 /nfs/dbraw/zinc/21/86/60/613218660.db2.gz GPWIYTSPLOVKHH-TZMCWYRMSA-N 0 1 287.363 0.790 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H](C)[C@H]2CN(C)CCN2C)c1 ZINC000896542637 613221132 /nfs/dbraw/zinc/22/11/32/613221132.db2.gz UCBROGICAXJXFX-IUODEOHRSA-N 0 1 286.379 0.427 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2c(C)nn(C)c2F)[C@@H](C)C1 ZINC000944489954 613230335 /nfs/dbraw/zinc/23/03/35/613230335.db2.gz CBXHJVUPMXZABK-CMPLNLGQSA-N 0 1 292.358 0.941 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C)n(C)n2)[C@@H](C)C1 ZINC000941870867 613233075 /nfs/dbraw/zinc/23/30/75/613233075.db2.gz XVOLZEDLAUYQRM-WCQYABFASA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(OC)n(C)n2)C[C@H]1C ZINC000947533993 613233795 /nfs/dbraw/zinc/23/37/95/613233795.db2.gz WZWVYVQQGMOQCE-VXGBXAGGSA-N 0 1 290.367 0.645 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn3cccnc3n2)C[C@H]1C ZINC000947498840 613240411 /nfs/dbraw/zinc/24/04/11/613240411.db2.gz ZWCLMIDNXYLYAZ-CHWSQXEVSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cncc(OC)n2)[C@@H](C)C1 ZINC000943333282 613241317 /nfs/dbraw/zinc/24/13/17/613241317.db2.gz HYYYDZRCFMPALR-NWDGAFQWSA-N 0 1 288.351 0.559 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC000972072232 613241676 /nfs/dbraw/zinc/24/16/76/613241676.db2.gz HEMVGBCCHBZUKF-VXGBXAGGSA-N 0 1 281.400 0.995 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nc3ncccn3n2)[C@@H](C)C1 ZINC000943728521 613244072 /nfs/dbraw/zinc/24/40/72/613244072.db2.gz ZRZOGOQXYZCMEY-NWDGAFQWSA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)CC2OCCCO2)C1 ZINC000965946443 613246472 /nfs/dbraw/zinc/24/64/72/613246472.db2.gz NCAZBNGOTNDRCS-STQMWFEESA-N 0 1 280.368 0.599 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cn2cc(C)cn2)C1 ZINC000965474328 613247267 /nfs/dbraw/zinc/24/72/67/613247267.db2.gz GWJVLJJWGPORSU-KBPBESRZSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCOC2)C(C)(C)C1 ZINC000940611029 613262385 /nfs/dbraw/zinc/26/23/85/613262385.db2.gz VKPHURWRDOCTRC-OLZOCXBDSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000940855198 613263572 /nfs/dbraw/zinc/26/35/72/613263572.db2.gz AXVYRPBNDBXKDO-STQMWFEESA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc(OC)n2)C[C@H]1C ZINC000947533534 613272194 /nfs/dbraw/zinc/27/21/94/613272194.db2.gz SKTFXJGTFSIEEZ-NEPJUHHUSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2N)C[C@@H]1C ZINC000947226173 613276065 /nfs/dbraw/zinc/27/60/65/613276065.db2.gz NEBULKRODKDQMR-QWRGUYRKSA-N 0 1 275.356 0.218 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncccn2)C[C@@H]1C ZINC000947845919 613278065 /nfs/dbraw/zinc/27/80/65/613278065.db2.gz SAELZAQYSWOXBL-RYUDHWBXSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977315778 613280343 /nfs/dbraw/zinc/28/03/43/613280343.db2.gz KJWNNQOXOBSRAJ-VXGBXAGGSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccn(C)c(=O)c2)C(C)(C)C1 ZINC000974758667 613283827 /nfs/dbraw/zinc/28/38/27/613283827.db2.gz WODQSAIAJMDMCZ-CYBMUJFWSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)sn2)C1 ZINC000958009725 613286331 /nfs/dbraw/zinc/28/63/31/613286331.db2.gz IYAOYGWKWGGCAD-CMPLNLGQSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC000958155535 613286629 /nfs/dbraw/zinc/28/66/29/613286629.db2.gz CVLMSZARBQVVKG-GXTWGEPZSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccsc2)C1 ZINC000957837706 613287474 /nfs/dbraw/zinc/28/74/74/613287474.db2.gz CTXXTSKMQVPBGR-NWDGAFQWSA-N 0 1 264.350 0.404 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2csnc2C)C1 ZINC000957983810 613287889 /nfs/dbraw/zinc/28/78/89/613287889.db2.gz WDGCBJAIXQVZKL-CMPLNLGQSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(CF)cc2)[C@H](O)C1 ZINC000958735585 613288793 /nfs/dbraw/zinc/28/87/93/613288793.db2.gz DGLMPEULOVCHSC-HUUCEWRRSA-N 0 1 290.338 0.812 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)nc(C)c2)[C@H](O)C1 ZINC000958100963 613289059 /nfs/dbraw/zinc/28/90/59/613289059.db2.gz JWMCOTOAJABXPU-HUUCEWRRSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CC[N@@H+]1C[C@@]2(C)CN(C(=O)c3n[nH]nc3C)C[C@@]2(C)C1 ZINC000982430600 613293793 /nfs/dbraw/zinc/29/37/93/613293793.db2.gz AFJDCMGXFKQEJO-GASCZTMLSA-N 0 1 287.367 0.530 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3cn[nH]n3)C[C@]2(C)C1 ZINC000982333607 613293879 /nfs/dbraw/zinc/29/38/79/613293879.db2.gz PPQVTYOSEOUSRK-OKILXGFUSA-N 0 1 273.340 0.222 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C(C)C)on2)C1 ZINC000958287381 613294512 /nfs/dbraw/zinc/29/45/12/613294512.db2.gz DQSCJWUVFXSPRO-AAEUAGOBSA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2cccn2)C(C)(C)C1 ZINC000972808062 613298206 /nfs/dbraw/zinc/29/82/06/613298206.db2.gz JTPIJKJZOTXQRW-LBPRGKRZSA-N 0 1 260.341 0.343 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H](C)c2ccnn2C)C(C)(C)C1 ZINC000974603703 613298652 /nfs/dbraw/zinc/29/86/52/613298652.db2.gz PMBVBLYKZFDLML-OCCSQVGLSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc(F)c2)C1 ZINC000957826261 613303326 /nfs/dbraw/zinc/30/33/26/613303326.db2.gz OHPJRHLPGRQEFR-OCCSQVGLSA-N 0 1 276.311 0.481 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ncccc2CC)C1 ZINC000958186880 613304546 /nfs/dbraw/zinc/30/45/46/613304546.db2.gz HYGIKZDCNJDMIM-KGLIPLIRSA-N 0 1 287.363 0.300 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC000981789589 613309985 /nfs/dbraw/zinc/30/99/85/613309985.db2.gz GGDFSCKMKLHGJK-WDNDVIMCSA-N 0 1 264.369 0.989 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2C[C@H]2C(=O)N(C)C)CC1 ZINC000981964038 613311300 /nfs/dbraw/zinc/31/13/00/613311300.db2.gz SRPXMVHNEULXKW-KGLIPLIRSA-N 0 1 293.411 0.821 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cc(C2CC2)no1 ZINC000960249900 613314368 /nfs/dbraw/zinc/31/43/68/613314368.db2.gz UECCAXHMIDDJNE-VIKVFOODSA-N 0 1 285.347 0.774 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)c1ccnn1C ZINC000959954070 613316168 /nfs/dbraw/zinc/31/61/68/613316168.db2.gz OJCOMXBFQOJZKS-NMKXLXIOSA-N 0 1 272.352 0.203 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCn2nccc21 ZINC000960651860 613316603 /nfs/dbraw/zinc/31/66/03/613316603.db2.gz GSSQKSWTULMGMH-RMRHIDDWSA-N 0 1 284.363 0.440 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]c(C)cc1C ZINC000960597136 613317315 /nfs/dbraw/zinc/31/73/15/613317315.db2.gz RFGHXQWPEBISAG-IMRBUKKESA-N 0 1 257.337 0.925 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C(C)C)nn1C ZINC000962208271 613317813 /nfs/dbraw/zinc/31/78/13/613317813.db2.gz UNPRNCUDALMYDY-JYAVWHMHSA-N 0 1 286.379 0.837 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(F)nc1 ZINC000958388538 613319044 /nfs/dbraw/zinc/31/90/44/613319044.db2.gz WLFGVWJEMZRTJH-PJXYFTJBSA-N 0 1 259.284 0.514 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc(C#C)c1 ZINC000961723130 613321526 /nfs/dbraw/zinc/32/15/26/613321526.db2.gz QJWOIJFPFFFHKB-FICVDOATSA-N 0 1 265.316 0.356 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1conc1C1CC1 ZINC000961740137 613321920 /nfs/dbraw/zinc/32/19/20/613321920.db2.gz DTVHSFIUHXHXMX-YABSGUDNSA-N 0 1 271.320 0.845 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1OC ZINC000962414972 613321990 /nfs/dbraw/zinc/32/19/90/613321990.db2.gz VMDJQJKCEAKPEB-PJXYFTJBSA-N 0 1 271.320 0.383 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nccc2[nH]ccc21 ZINC000961819982 613322050 /nfs/dbraw/zinc/32/20/50/613322050.db2.gz TZIMMDDRWCKSTR-IMRBUKKESA-N 0 1 280.331 0.856 20 30 CCEDMN C=C(C)CNC(=O)c1cc(=O)cc(C(=O)NCC(=C)C)[nH]1 ZINC000935484109 613346493 /nfs/dbraw/zinc/34/64/93/613346493.db2.gz XBYAASDJIGSYFO-UHFFFAOYSA-N 0 1 289.335 0.987 20 30 CCEDMN C=C(C)CNC(=S)NCCCN1CCC[C@H]1C(N)=O ZINC000863212442 613346750 /nfs/dbraw/zinc/34/67/50/613346750.db2.gz YYWZGGBPWLQFPR-NSHDSACASA-N 0 1 284.429 0.366 20 30 CCEDMN C=C(C)COCCNC(=O)[C@]1(C)C[C@@H](O)CN1C ZINC000901082808 613352178 /nfs/dbraw/zinc/35/21/78/613352178.db2.gz YZGUNKZGZXWLOJ-YPMHNXCESA-N 0 1 256.346 0.150 20 30 CCEDMN C=C(C)CONC(=O)NCCN1CCC(O)CC1 ZINC000895578862 613353306 /nfs/dbraw/zinc/35/33/06/613353306.db2.gz DKAJKFXSQMMLJC-UHFFFAOYSA-N 0 1 257.334 0.250 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)NC[C@H]1CCN1CC ZINC000890055693 613354817 /nfs/dbraw/zinc/35/48/17/613354817.db2.gz DRLGZMGFCXKVOX-NWDGAFQWSA-N 0 1 255.362 0.707 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)C1=NC(=O)N(C)C1)C(=O)OCC ZINC000916717921 613356075 /nfs/dbraw/zinc/35/60/75/613356075.db2.gz ZYWKSIZEURFWEY-SECBINFHSA-N 0 1 281.312 0.753 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(C[C@H]2CCN2CC)C1=O ZINC000925098836 613357011 /nfs/dbraw/zinc/35/70/11/613357011.db2.gz WAZJLHKOGQUVHK-MNOVXSKESA-N 0 1 251.330 0.967 20 30 CCEDMN C=C(Cl)CN1CC[C@H](C)[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC000968516761 613377900 /nfs/dbraw/zinc/37/79/00/613377900.db2.gz FITDZYPMVCJOPP-GXSJLCMTSA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC000969271260 613384723 /nfs/dbraw/zinc/38/47/23/613384723.db2.gz LOGYLURDVOJLEG-NWDGAFQWSA-N 0 1 299.802 0.988 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)n2cncn2)C1 ZINC000968451155 613385132 /nfs/dbraw/zinc/38/51/32/613385132.db2.gz CZQAGIUOWCNHEE-WDEREUQCSA-N 0 1 283.763 0.782 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccc(=O)n2C)C1 ZINC000969893105 613385650 /nfs/dbraw/zinc/38/56/50/613385650.db2.gz HOWOCUQBVMPVPN-LLVKDONJSA-N 0 1 295.770 0.942 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2nnn(C)c2C)C1 ZINC000968240307 613386082 /nfs/dbraw/zinc/38/60/82/613386082.db2.gz ZNMAITHKEUFUGA-SNVBAGLBSA-N 0 1 283.763 0.680 20 30 CCEDMN C=CCSCCNC(=O)NCc1n[nH]c(C)n1 ZINC000892451515 613395833 /nfs/dbraw/zinc/39/58/33/613395833.db2.gz VIHUGSILPPZTLD-UHFFFAOYSA-N 0 1 255.347 0.832 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@@H](C(=O)OC)[C@H]1C ZINC000878495064 613412565 /nfs/dbraw/zinc/41/25/65/613412565.db2.gz HFBIOZLVNPVWHH-GMTAPVOTSA-N 0 1 255.314 0.988 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@@](C)(C(=O)OC)C1 ZINC000878191963 613412700 /nfs/dbraw/zinc/41/27/00/613412700.db2.gz WQXVNRIAFIDPOP-ZWNOBZJWSA-N 0 1 255.314 0.989 20 30 CCEDMN C=CC[C@H](C)N1CCN2C(=O)N(CC)C(=O)[C@@H]2C1 ZINC000878175435 613413653 /nfs/dbraw/zinc/41/36/53/613413653.db2.gz RRLTYHDXHMDHIW-QWRGUYRKSA-N 0 1 251.330 0.919 20 30 CCEDMN C=CC[C@H](CO)NC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000925160988 613417346 /nfs/dbraw/zinc/41/73/46/613417346.db2.gz YSDORMGWHRJEHM-AGIUHOORSA-N 0 1 299.440 0.802 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)[C@@H](CC)C(N)=O)C1 ZINC000972081124 613434659 /nfs/dbraw/zinc/43/46/59/613434659.db2.gz YYIPGOPTDHCVNR-NEPJUHHUSA-N 0 1 281.400 0.995 20 30 CCEDMN C=CC(C)(C)CC(=O)NCC(=O)N1CCNCC1 ZINC000884016385 613445668 /nfs/dbraw/zinc/44/56/68/613445668.db2.gz VBLQDYNGQIDTHF-UHFFFAOYSA-N 0 1 253.346 0.137 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCN1CCN(C)CC1 ZINC000900044126 613445691 /nfs/dbraw/zinc/44/56/91/613445691.db2.gz ZBDWWRGDOMQIJP-UHFFFAOYSA-N 0 1 253.390 0.952 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000845634985 613446706 /nfs/dbraw/zinc/44/67/06/613446706.db2.gz CZHWMJRZKFQICU-VXGBXAGGSA-N 0 1 270.377 0.428 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000827961454 613448158 /nfs/dbraw/zinc/44/81/58/613448158.db2.gz OOXXUNGVBLHHDU-UWVGGRQHSA-N 0 1 265.317 0.702 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(C2CN(CC)C2)CC1 ZINC000941574352 613463114 /nfs/dbraw/zinc/46/31/14/613463114.db2.gz BVJSJUSCELOSHO-CABCVRRESA-N 0 1 293.411 0.426 20 30 CCEDMN C=CCCC[C@H](C)NC(=O)C(=O)N1CCNC[C@H]1COC ZINC000911078719 613472268 /nfs/dbraw/zinc/47/22/68/613472268.db2.gz MEESSAHPOSMNHS-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCCN1CC(N(CC)C(=O)c2cnc[nH]c2=O)C1 ZINC000950589846 613481097 /nfs/dbraw/zinc/48/10/97/613481097.db2.gz LOHTZNFSKZDSCU-UHFFFAOYSA-N 0 1 276.340 0.905 20 30 CCEDMN C=C[C@@](C)(O)c1cn([C@H](C)c2n[nH]c(CC)n2)nn1 ZINC000881385671 613481261 /nfs/dbraw/zinc/48/12/61/613481261.db2.gz UBEIZDKEMFJAEV-PRHODGIISA-N 0 1 262.317 0.961 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN(C2CCOCC2)CC1 ZINC000845636248 613485569 /nfs/dbraw/zinc/48/55/69/613485569.db2.gz UXQITXAFBCZTEJ-LBPRGKRZSA-N 0 1 283.372 0.999 20 30 CCEDMN C=C[C@H](C)ONC(=O)NC[C@@H]1CN(C)CCN1C ZINC000845634663 613487012 /nfs/dbraw/zinc/48/70/12/613487012.db2.gz UZFUWVONOVAQCZ-WDEREUQCSA-N 0 1 256.350 0.038 20 30 CCEDMN C=C[C@H](C)ONC(=O)N[C@@H]1CCN(CCOC)C1 ZINC000845630636 613487385 /nfs/dbraw/zinc/48/73/85/613487385.db2.gz LHRBZRHHQFENNK-WDEREUQCSA-N 0 1 257.334 0.512 20 30 CCEDMN C=CCCN1CCN(C(=O)CCn2ccccc2=O)CC1 ZINC000948627890 613503077 /nfs/dbraw/zinc/50/30/77/613503077.db2.gz WFDPRAPLMBXOGO-UHFFFAOYSA-N 0 1 289.379 0.959 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCCc3nn[nH]c32)CC1 ZINC000949908986 613505942 /nfs/dbraw/zinc/50/59/42/613505942.db2.gz XNDHGVGWSDFQRF-GFCCVEGCSA-N 0 1 289.383 0.945 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCCN(C(C)=O)C2)CC1 ZINC000949173598 613506274 /nfs/dbraw/zinc/50/62/74/613506274.db2.gz QVXVATUZXNVERO-OAHLLOKOSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCCN1CCN(C(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC000950028463 613511265 /nfs/dbraw/zinc/51/12/65/613511265.db2.gz OPAQGSUMQDAXFO-UHFFFAOYSA-N 0 1 264.329 0.460 20 30 CCEDMN CC#CC(=O)N1CCC(C(N)=O)(N2CCCCC2)CC1 ZINC000915070368 613517482 /nfs/dbraw/zinc/51/74/82/613517482.db2.gz WLNVFKMPNCNMEI-UHFFFAOYSA-N 0 1 277.368 0.342 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CCOC2)C1 ZINC000969381491 613536744 /nfs/dbraw/zinc/53/67/44/613536744.db2.gz NGIUIXSWCGVBPU-RYUDHWBXSA-N 0 1 250.342 0.483 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)C3=COCCO3)C2)C1 ZINC000981604872 613541490 /nfs/dbraw/zinc/54/14/90/613541490.db2.gz JUYYHDZXFMSEOO-UHFFFAOYSA-N 0 1 290.363 0.822 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3ccn(C)n3)C2)C1 ZINC000982148963 613543777 /nfs/dbraw/zinc/54/37/77/613543777.db2.gz DCQYLDWBFUYXMC-UHFFFAOYSA-N 0 1 286.379 0.981 20 30 CCEDMN C=CCCNC(=O)C(=NOCC(=O)OCC)c1ccn[nH]1 ZINC000855383113 613568083 /nfs/dbraw/zinc/56/80/83/613568083.db2.gz PFGBCTHQLBXHDY-UHFFFAOYSA-N 0 1 294.311 0.386 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H](c2[nH]ncc2N)C1 ZINC000864382748 613572106 /nfs/dbraw/zinc/57/21/06/613572106.db2.gz OIRVQWUVDNBPQJ-JTQLQIEISA-N 0 1 264.329 0.901 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2ncn[n-]2)C1 ZINC000968439891 613574351 /nfs/dbraw/zinc/57/43/51/613574351.db2.gz OHDPVMLLOXTANE-MNOVXSKESA-N 0 1 261.329 0.268 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC000968361510 613574818 /nfs/dbraw/zinc/57/48/18/613574818.db2.gz YDUVSLQNAJUXLJ-TZMCWYRMSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966075711 613574887 /nfs/dbraw/zinc/57/48/87/613574887.db2.gz KOWHGXOSAIWFBS-UKRRQHHQSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC000968475686 613575149 /nfs/dbraw/zinc/57/51/49/613575149.db2.gz HZHGNDDSDXJBMO-CHWSQXEVSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCCO[N-]C(=O)C[NH+]1CCN(c2ccccn2)CC1 ZINC000856032714 613576790 /nfs/dbraw/zinc/57/67/90/613576790.db2.gz UFIOVPCYTBLIST-UHFFFAOYSA-N 0 1 290.367 0.828 20 30 CCEDMN C=CCC[C@@H](NC(=O)Cn1cc[nH+]c1C)C(=O)[O-] ZINC000909718540 613585101 /nfs/dbraw/zinc/58/51/01/613585101.db2.gz QAKYFTOBQQRBKZ-SNVBAGLBSA-N 0 1 251.286 0.727 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2ncn[n-]2)C1 ZINC000968439892 613592259 /nfs/dbraw/zinc/59/22/59/613592259.db2.gz OHDPVMLLOXTANE-QWRGUYRKSA-N 0 1 261.329 0.268 20 30 CCEDMN C=CCCn1cc(CN[C@H]2CC[C@@H](O)[C@@H](O)CC2)nn1 ZINC000886262120 613599043 /nfs/dbraw/zinc/59/90/43/613599043.db2.gz KPUIDZQNIOPQLC-UBSAEIQESA-N 0 1 280.372 0.608 20 30 CCEDMN C=CCCn1cc(CNCCc2ccnn2C)nn1 ZINC000850246593 613599107 /nfs/dbraw/zinc/59/91/07/613599107.db2.gz HHULSRIOZZSBNY-UHFFFAOYSA-N 0 1 260.345 0.920 20 30 CCEDMN C=CCCn1cc(CN[C@@H]2CC[C@@H](O)[C@@H](O)CC2)nn1 ZINC000886262119 613599384 /nfs/dbraw/zinc/59/93/84/613599384.db2.gz KPUIDZQNIOPQLC-DEZZGGKRSA-N 0 1 280.372 0.608 20 30 CCEDMN C=CCCn1cc(CNCCCN2CCOC2=O)nn1 ZINC000850118542 613599418 /nfs/dbraw/zinc/59/94/18/613599418.db2.gz SXMGPZYSVBJJRP-UHFFFAOYSA-N 0 1 279.344 0.786 20 30 CCEDMN C=CCN(C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1)[C@@H](C)COC ZINC000909954999 613604820 /nfs/dbraw/zinc/60/48/20/613604820.db2.gz PSWGBCMXYYHEKX-QWHCGFSZSA-N 0 1 298.383 0.833 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2CN(C)CCO2)C(C)(C)C1 ZINC000972990792 613609076 /nfs/dbraw/zinc/60/90/76/613609076.db2.gz AVRCRFALQDRGNV-UONOGXRCSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973029006 613609633 /nfs/dbraw/zinc/60/96/33/613609633.db2.gz VNBOUYRONSAIPP-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN C=CC[N@H+](C)Cc1cc(=O)n2ncc(C(=O)[O-])c2[nH]1 ZINC000846234649 613609679 /nfs/dbraw/zinc/60/96/79/613609679.db2.gz UBQGXNIYACBYCB-UHFFFAOYSA-N 0 1 262.269 0.751 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2[nH]c(C)nc2C)C1 ZINC000958417268 613612799 /nfs/dbraw/zinc/61/27/99/613612799.db2.gz JKYJVVLNQNPNOB-QWHCGFSZSA-N 0 1 290.367 0.072 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccncc2C)C1 ZINC000958057569 613612889 /nfs/dbraw/zinc/61/28/89/613612889.db2.gz WDOBVYLAHIPCCL-DZGCQCFKSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccccn2)C1 ZINC000957817245 613613186 /nfs/dbraw/zinc/61/31/86/613613186.db2.gz ZCMKEJOCLJLXIW-GXTWGEPZSA-N 0 1 273.336 0.127 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(F)cc2)C1 ZINC000957815898 613613288 /nfs/dbraw/zinc/61/32/88/613613288.db2.gz CXRNBBMTRWCFMO-DZGCQCFKSA-N 0 1 290.338 0.872 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)C2CCCCC2)[C@H](O)C1 ZINC000957820706 613614890 /nfs/dbraw/zinc/61/48/90/613614890.db2.gz JRHUIQKGVOPYLD-HUUCEWRRSA-N 0 1 278.396 0.999 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cnc(C)s2)[C@H](O)C1 ZINC000957918894 613615624 /nfs/dbraw/zinc/61/56/24/613615624.db2.gz OIRDVPKBTYSFDJ-VXGBXAGGSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2occc2C)[C@H](O)C1 ZINC000957881664 613616350 /nfs/dbraw/zinc/61/63/50/613616350.db2.gz CPNZNOMXGMZZPP-CHWSQXEVSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2c[nH]nc2CC)C1 ZINC000958202354 613618319 /nfs/dbraw/zinc/61/83/19/613618319.db2.gz DEOLOJQOUNLJSP-FZMZJTMJSA-N 0 1 290.367 0.018 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2[nH]cnc2CC)C1 ZINC000958631442 613618608 /nfs/dbraw/zinc/61/86/08/613618608.db2.gz QYHXNQMKYRAFRT-AAEUAGOBSA-N 0 1 290.367 0.018 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2COCCO2)C(C)(C)C1 ZINC000972827513 613622044 /nfs/dbraw/zinc/62/20/44/613622044.db2.gz PWFJFBQWBAHGFL-OLZOCXBDSA-N 0 1 280.368 0.252 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974862811 613622541 /nfs/dbraw/zinc/62/25/41/613622541.db2.gz JHLRJUWNMHOTPM-BLLLJJGKSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccon1 ZINC000958441844 613633853 /nfs/dbraw/zinc/63/38/53/613633853.db2.gz XUYLORDHZBXGMS-IMRBUKKESA-N 0 1 259.309 0.287 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCCO1 ZINC000958308391 613634420 /nfs/dbraw/zinc/63/44/20/613634420.db2.gz ZIHFJBMEFYNULO-RMRHIDDWSA-N 0 1 262.353 0.625 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCOC1 ZINC000958387347 613635057 /nfs/dbraw/zinc/63/50/57/613635057.db2.gz GUHFYNOIXVZOOV-MQYQWHSLSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)c1cnn(C)c1 ZINC000963197654 613636498 /nfs/dbraw/zinc/63/64/98/613636498.db2.gz XCXHDTCZXFMDRB-RZFFKMDDSA-N 0 1 286.379 0.593 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCOCC1 ZINC000958727791 613637174 /nfs/dbraw/zinc/63/71/74/613637174.db2.gz PFYGOHHENIUKRJ-BYNSBNAKSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCC(=O)N1C ZINC000962432104 613637960 /nfs/dbraw/zinc/63/79/60/613637960.db2.gz QGSXSENBZXYPCZ-COMQUAJESA-N 0 1 289.379 0.067 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)n[nH]c1C ZINC000962080632 613638260 /nfs/dbraw/zinc/63/82/60/613638260.db2.gz YYBQWHBIKVVBAD-IMRBUKKESA-N 0 1 272.352 0.710 20 30 CCEDMN C=CCN(CC(=O)N(C)CC(=O)NCCC)C(C)C ZINC000917069183 613641840 /nfs/dbraw/zinc/64/18/40/613641840.db2.gz JSPJHUVKCXCTKB-UHFFFAOYSA-N 0 1 269.389 0.867 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NCC[N@H+](CC)C(C)C ZINC000908929297 613642750 /nfs/dbraw/zinc/64/27/50/613642750.db2.gz ZHWGODKAPNPAOP-UHFFFAOYSA-N 0 1 271.361 0.999 20 30 CCEDMN C=CCN1CC(N(CC)C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC000957129398 613655992 /nfs/dbraw/zinc/65/59/92/613655992.db2.gz CQJDCIPNNKUXNX-NSHDSACASA-N 0 1 289.383 0.628 20 30 CCEDMN C=CCN1CC(N(CC)C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC000957129398 613655993 /nfs/dbraw/zinc/65/59/93/613655993.db2.gz CQJDCIPNNKUXNX-NSHDSACASA-N 0 1 289.383 0.628 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCCNC2=O)C1 ZINC000969885875 613662451 /nfs/dbraw/zinc/66/24/51/613662451.db2.gz ZOUOKQHMQYFFCM-PWSUYJOCSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnn3ncccc23)C1 ZINC000969854439 613667117 /nfs/dbraw/zinc/66/71/17/613667117.db2.gz DHTCSDZLQGRNHN-LLVKDONJSA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC000970814691 613669689 /nfs/dbraw/zinc/66/96/89/613669689.db2.gz DCKKAEVAXKBLGC-WCQYABFASA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000970432487 613671060 /nfs/dbraw/zinc/67/10/60/613671060.db2.gz ZCHPWMOEPRFBTE-VIFPVBQESA-N 0 1 276.340 0.350 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2nonc2C)C1 ZINC000970962085 613674210 /nfs/dbraw/zinc/67/42/10/613674210.db2.gz LUQCIPHOOQCDGI-QMMMGPOBSA-N 0 1 250.302 0.614 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@@H]2CCC(=O)N2C)CC1 ZINC000981736523 613688969 /nfs/dbraw/zinc/68/89/69/613688969.db2.gz YUKAUSGJZRSUIJ-ZDUSSCGKSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2cnn(C)c2)CC1 ZINC000980796254 613691101 /nfs/dbraw/zinc/69/11/01/613691101.db2.gz GKIQBDRVSFEALF-UHFFFAOYSA-N 0 1 262.357 0.683 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2nccn2)C1 ZINC000966385412 613728767 /nfs/dbraw/zinc/72/87/67/613728767.db2.gz JCESEIIHSBTZGE-NEPJUHHUSA-N 0 1 263.345 0.291 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000968661252 613729068 /nfs/dbraw/zinc/72/90/68/613729068.db2.gz WLTNYJIVYNQDAK-MFKMUULPSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2c[nH]cc3ncnc2-3)C1=O ZINC000897651210 613737667 /nfs/dbraw/zinc/73/76/67/613737667.db2.gz VLJIKVHHSGBEGF-GFCCVEGCSA-N 0 1 299.334 0.817 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H]2CCN(C(C)=O)C2)C1=O ZINC000970917114 613739020 /nfs/dbraw/zinc/73/90/20/613739020.db2.gz WMMCCOBWLPCLTR-QWHCGFSZSA-N 0 1 265.357 0.326 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@H](O)CC(C)(C)C2)C1=O ZINC000879624008 613739897 /nfs/dbraw/zinc/73/98/97/613739897.db2.gz KOBBOBFGSQOAMB-VXGBXAGGSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCN1CC[C@@H](OC(=O)c2cc(=O)[nH]c(OC)n2)C1=O ZINC000798652327 613765279 /nfs/dbraw/zinc/76/52/79/613765279.db2.gz BECCVPHHQSXLCD-SECBINFHSA-N 0 1 293.279 0.135 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cnnn2C)C1 ZINC000965979349 613770542 /nfs/dbraw/zinc/77/05/42/613770542.db2.gz DTZPBVYGYPAUPL-QWRGUYRKSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](O)C2(O)CCCC2)C1=O ZINC000930310496 613777308 /nfs/dbraw/zinc/77/73/08/613777308.db2.gz GXTYVJCVSGPYGA-STQMWFEESA-N 0 1 282.384 0.371 20 30 CCEDMN C=CCN1CC[C@H](NC2(C(=O)NC)CCCC2)C1=O ZINC000799139466 613801921 /nfs/dbraw/zinc/80/19/21/613801921.db2.gz UGJXGZOFODZPFR-NSHDSACASA-N 0 1 265.357 0.422 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cn(C)nn2)C(C)(C)C1 ZINC000974570473 613811924 /nfs/dbraw/zinc/81/19/24/613811924.db2.gz RVZLCLSQUSWDQJ-LLVKDONJSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1C[C@H](NC(=O)CN2CCCC2=O)C(C)(C)C1 ZINC000972795038 613826400 /nfs/dbraw/zinc/82/64/00/613826400.db2.gz WITDNKOGEAHWOE-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974648082 613827942 /nfs/dbraw/zinc/82/79/42/613827942.db2.gz ZSYODACZUMFVFX-RYUDHWBXSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2nccnc2N)C(C)(C)C1 ZINC000972968974 613830241 /nfs/dbraw/zinc/83/02/41/613830241.db2.gz BQTVCFQIPYPVET-JTQLQIEISA-N 0 1 275.356 0.685 20 30 CCEDMN C=CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)c(OC)co1 ZINC000961143577 613841072 /nfs/dbraw/zinc/84/10/72/613841072.db2.gz RVSSYUWBJFDOLT-MSRIBSCDSA-N 0 1 290.319 0.494 20 30 CCEDMN C=CCNC(=O)CN[C@H]1CCC2(C[C@H]1F)OCCO2 ZINC000893317357 613847156 /nfs/dbraw/zinc/84/71/56/613847156.db2.gz FUOKVCYGEDRWMU-MNOVXSKESA-N 0 1 272.320 0.512 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H]2CSC[C@H]2C1 ZINC000879556643 613849713 /nfs/dbraw/zinc/84/97/13/613849713.db2.gz VISGVPKAJRWETI-WDEREUQCSA-N 0 1 283.397 0.683 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCc2nccc(N)c2C1 ZINC000931507832 613853397 /nfs/dbraw/zinc/85/33/97/613853397.db2.gz CTNSJEJTVQKSPH-SNVBAGLBSA-N 0 1 260.341 0.713 20 30 CCEDMN C=CCONC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000922766337 613884195 /nfs/dbraw/zinc/88/41/95/613884195.db2.gz AFHVXRDUELNDRR-MNOVXSKESA-N 0 1 271.386 0.983 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COCc1cccnc1 ZINC000805856121 613930273 /nfs/dbraw/zinc/93/02/73/613930273.db2.gz ROQNZNFSFDOLCH-LBPRGKRZSA-N 0 1 275.308 0.832 20 30 CCEDMN CC(C)(C)N1CC[C@H]1C(=O)N1CCN(CCC#N)CC1 ZINC000899179051 613939247 /nfs/dbraw/zinc/93/92/47/613939247.db2.gz JUPJCCSJHQGXFU-ZDUSSCGKSA-N 0 1 278.400 0.917 20 30 CCEDMN CC(C)OC[C@@H](O)CON=C(N)CN1CCCCCC1 ZINC000133676501 614030433 /nfs/dbraw/zinc/03/04/33/614030433.db2.gz JIEJMIAVPSOQNK-CYBMUJFWSA-N 0 1 287.404 0.937 20 30 CCEDMN CC(C)(C)c1nc(C(=O)N[C@H]2CNC[C@H]2C#N)co1 ZINC000876801965 614053858 /nfs/dbraw/zinc/05/38/58/614053858.db2.gz KXXGFMRBWLWQJO-BDAKNGLRSA-N 0 1 262.313 0.813 20 30 CCEDMN CC(C)(C)c1nc(C(=O)N[C@@H]2CNC[C@H]2C#N)co1 ZINC000876801968 614053959 /nfs/dbraw/zinc/05/39/59/614053959.db2.gz KXXGFMRBWLWQJO-RKDXNWHRSA-N 0 1 262.313 0.813 20 30 CCEDMN CC(C)Oc1cccnc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834479503 614057545 /nfs/dbraw/zinc/05/75/45/614057545.db2.gz FBQBAVZPAKBIPR-QWRGUYRKSA-N 0 1 274.324 0.710 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000824057131 614180118 /nfs/dbraw/zinc/18/01/18/614180118.db2.gz OWYZOXSGWXPMRV-SMDDNHRTSA-N 0 1 296.371 0.725 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000824057131 614180120 /nfs/dbraw/zinc/18/01/20/614180120.db2.gz OWYZOXSGWXPMRV-SMDDNHRTSA-N 0 1 296.371 0.725 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)CN(C)CCCF)CC1 ZINC000887141259 614186570 /nfs/dbraw/zinc/18/65/70/614186570.db2.gz LPMUXFDMWANTBF-AWEZNQCLSA-N 0 1 298.406 0.970 20 30 CCEDMN CC(=O)Nc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834505495 614199423 /nfs/dbraw/zinc/19/94/23/614199423.db2.gz LRPQQRYUSBPLAQ-AAEUAGOBSA-N 0 1 272.308 0.486 20 30 CCEDMN CC(C)[C@H](NC(=O)N[C@@H]1CNC[C@@H]1C#N)C(F)(F)F ZINC000841209465 614232897 /nfs/dbraw/zinc/23/28/97/614232897.db2.gz RLJPIRQLOWIIOG-YIZRAAEISA-N 0 1 278.278 0.984 20 30 CCEDMN CC(=O)[C@@](C)(O)CN1CCC2(CC1)CC(=O)C=CO2 ZINC000933647396 614238267 /nfs/dbraw/zinc/23/82/67/614238267.db2.gz DWWMDMNCPVQTRQ-ZDUSSCGKSA-N 0 1 267.325 0.664 20 30 CCEDMN CC(=O)c1ncc(C(=O)NCC#CCN(C)C)s1 ZINC000913523181 614269734 /nfs/dbraw/zinc/26/97/34/614269734.db2.gz PBUUQJBMXNLSIO-UHFFFAOYSA-N 0 1 265.338 0.641 20 30 CCEDMN CC(C)c1cc(C(=O)N[C@H]2CNC[C@H]2C#N)cc(=O)[nH]1 ZINC000834485609 614304793 /nfs/dbraw/zinc/30/47/93/614304793.db2.gz ZEWPRMMYCIIANT-PWSUYJOCSA-N 0 1 274.324 0.752 20 30 CCEDMN CC(C)(C(=O)[O-])[C@@H]1CCC[N@@H+](CC(=O)NCC#N)C1 ZINC000135027710 614306689 /nfs/dbraw/zinc/30/66/89/614306689.db2.gz ZMHKCRWNIASZOC-SNVBAGLBSA-N 0 1 267.329 0.449 20 30 CCEDMN CC(C)(C)C#CC(=O)NC[C@H]1CN2CCN1CCC2 ZINC000854353757 614315625 /nfs/dbraw/zinc/31/56/25/614315625.db2.gz FKZXUZGOKCPBMF-ZDUSSCGKSA-N 0 1 263.385 0.542 20 30 CCEDMN CC(C)C#CC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000862648956 614327005 /nfs/dbraw/zinc/32/70/05/614327005.db2.gz NGRGSQCVBGDYAS-LBPRGKRZSA-N 0 1 265.357 0.102 20 30 CCEDMN CCCc1cc(NC(=O)N[C@H]2CNC[C@H]2C#N)n(C)n1 ZINC000841171022 614476272 /nfs/dbraw/zinc/47/62/72/614476272.db2.gz MQSFKGDPLYGJMD-KOLCDFICSA-N 0 1 276.344 0.606 20 30 CCEDMN CCCc1nnc(NC(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000841015530 614497338 /nfs/dbraw/zinc/49/73/38/614497338.db2.gz IPASGCKGGCIZKT-SFYZADRCSA-N 0 1 280.357 0.724 20 30 CCEDMN CC1(C)CN(CC#N)C[C@@H]1NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000974674090 614606845 /nfs/dbraw/zinc/60/68/45/614606845.db2.gz HTNXGEFMIAPZMB-WZRBSPASSA-N 0 1 287.367 0.863 20 30 CCEDMN CC1(C)CN(CC#N)C[C@H]1NC(=O)c1cncc2nc[nH]c21 ZINC000974621896 614610633 /nfs/dbraw/zinc/61/06/33/614610633.db2.gz PUZIBRMNCVKHGD-GFCCVEGCSA-N 0 1 298.350 0.922 20 30 CCEDMN CCCCCCCCNC(=O)C(=O)NC[C@@H]1COCCN1 ZINC000861773908 614900582 /nfs/dbraw/zinc/90/05/82/614900582.db2.gz SIHQXFDYCSGEAA-CYBMUJFWSA-N 0 1 299.415 0.568 20 30 CCEDMN CCCCCCCC(=O)NCC(=O)N1CCNCC1 ZINC000103663963 614900670 /nfs/dbraw/zinc/90/06/70/614900670.db2.gz TUWBTTGCWWPADR-UHFFFAOYSA-N 0 1 269.389 0.895 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CC[C@@H]3[C@H]2CCCN3CCO)C1 ZINC000889765342 615010866 /nfs/dbraw/zinc/01/08/66/615010866.db2.gz XRUIIPHDLZGPTR-MYMJJRTCSA-N 0 1 291.395 0.984 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@H]1C(=O)NCC1(CC)CC ZINC000933289293 615022350 /nfs/dbraw/zinc/02/23/50/615022350.db2.gz OWDAYXXIGAHNDM-ZDUSSCGKSA-N 0 1 294.399 0.643 20 30 CCEDMN CC[N@@H+](CCC(=O)N(C)CCC#N)C1CN(C(=O)[O-])C1 ZINC000833857382 615024081 /nfs/dbraw/zinc/02/40/81/615024081.db2.gz JXGCCNVIIASZMH-UHFFFAOYSA-N 0 1 282.344 0.433 20 30 CCEDMN CC[N@@H+](CCNC(=O)[C@](C)(C#N)CC(=O)[O-])C(C)C ZINC000820344007 615050894 /nfs/dbraw/zinc/05/08/94/615050894.db2.gz JTJLJQNVYDVZED-ZDUSSCGKSA-N 0 1 269.345 0.837 20 30 CCEDMN CCN1CC(N2CCN(C(=O)c3c[nH]c(C#N)c3)CC2)C1 ZINC000941383886 615088101 /nfs/dbraw/zinc/08/81/01/615088101.db2.gz MTDKSKRPVKFBFK-UHFFFAOYSA-N 0 1 287.367 0.348 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)CSCC#N ZINC000916867863 615244640 /nfs/dbraw/zinc/24/46/40/615244640.db2.gz HIHHZOZLAOIHKE-JTQLQIEISA-N 0 1 283.353 0.495 20 30 CCEDMN CCOC(=O)[C@@H](CC)C(=O)NCC#CCN(C)C ZINC000913523145 615270773 /nfs/dbraw/zinc/27/07/73/615270773.db2.gz NLTVLDQAMCAABW-NSHDSACASA-N 0 1 254.330 0.257 20 30 CCEDMN CCOC(=O)[C@@H]1C[C@H]1CN1CC[C@](O)(CC#N)C1 ZINC000930819704 615322428 /nfs/dbraw/zinc/32/24/28/615322428.db2.gz ZRUQEAIZRMZXOV-DMDPSCGWSA-N 0 1 252.314 0.536 20 30 CCEDMN CCO[C@@H](C(=O)NCC#CCN(C)C)C1CCOCC1 ZINC000913525053 615388770 /nfs/dbraw/zinc/38/87/70/615388770.db2.gz IJZSGSQUKHLJII-CQSZACIVSA-N 0 1 282.384 0.499 20 30 CCEDMN CCO[C@H](C(=O)NCC#CCN(C)C)C1CCOCC1 ZINC000913525052 615469296 /nfs/dbraw/zinc/46/92/96/615469296.db2.gz IJZSGSQUKHLJII-AWEZNQCLSA-N 0 1 282.384 0.499 20 30 CCEDMN CCOc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834489016 615513549 /nfs/dbraw/zinc/51/35/49/615513549.db2.gz IGGIIUJSNNQOPZ-WCQYABFASA-N 0 1 259.309 0.927 20 30 CCEDMN CCOc1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1Cl ZINC000834485448 615525310 /nfs/dbraw/zinc/52/53/10/615525310.db2.gz XCNAXNLABFAQPK-ONGXEEELSA-N 0 1 294.742 0.975 20 30 CCEDMN CCS(=O)(=O)C[C@@H](C)NCCNc1ccc(C#N)cn1 ZINC000927164253 615540486 /nfs/dbraw/zinc/54/04/86/615540486.db2.gz NPWAASCMKLDKFD-LLVKDONJSA-N 0 1 296.396 0.778 20 30 CCEDMN CC[C@@H](O)[C@H](C)C(=O)NC1(C#N)CCN(C)CC1 ZINC000900608304 615543426 /nfs/dbraw/zinc/54/34/26/615543426.db2.gz LAZAPEBVRWCRGW-WDEREUQCSA-N 0 1 253.346 0.498 20 30 CCEDMN CCc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc(=O)[nH]1 ZINC000834485532 615634823 /nfs/dbraw/zinc/63/48/23/615634823.db2.gz XYWNOEMTAZHUBP-ONGXEEELSA-N 0 1 260.297 0.191 20 30 CCEDMN CCn1nc(C)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1C ZINC000843458911 615646940 /nfs/dbraw/zinc/64/69/40/615646940.db2.gz BIQGXKWPHBATRT-GHMZBOCLSA-N 0 1 261.329 0.361 20 30 CCEDMN CCc1cccc(OCC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834504927 615684394 /nfs/dbraw/zinc/68/43/94/615684394.db2.gz GQQWVXWESUKVSO-OCCSQVGLSA-N 0 1 273.336 0.856 20 30 CCEDMN CN(C)CC#CCNC(=O)C#Cc1ccc2c(c1)OCO2 ZINC000913519555 615857699 /nfs/dbraw/zinc/85/76/99/615857699.db2.gz FIEVRZDLNWDCHK-UHFFFAOYSA-N 0 1 284.315 0.448 20 30 CCEDMN CN(C)CC#CCNC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000913516462 615858408 /nfs/dbraw/zinc/85/84/08/615858408.db2.gz QZDUEVJSQPTVEN-UHFFFAOYSA-N 0 1 291.307 0.655 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@H]1CC(C)(C)CO1 ZINC000913524947 615858522 /nfs/dbraw/zinc/85/85/22/615858522.db2.gz CVBYTAUCOKBDCV-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCCn2nccc21 ZINC000913523644 615859705 /nfs/dbraw/zinc/85/97/05/615859705.db2.gz HFRUEYIIBHEQGG-GFCCVEGCSA-N 0 1 260.341 0.442 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC000913520214 615859740 /nfs/dbraw/zinc/85/97/40/615859740.db2.gz INNKQUGJSBMBSM-LLVKDONJSA-N 0 1 260.341 0.196 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H](O)Cc1ccccc1Cl ZINC000913520959 615860442 /nfs/dbraw/zinc/86/04/42/615860442.db2.gz GDZRUCPTGHQGFX-CQSZACIVSA-N 0 1 294.782 0.925 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(C=O)s1 ZINC000913524127 615860800 /nfs/dbraw/zinc/86/08/00/615860800.db2.gz AMSHHYCLMLUHNT-UHFFFAOYSA-N 0 1 250.323 0.855 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2[nH]c(=O)oc21 ZINC000913520130 615861246 /nfs/dbraw/zinc/86/12/46/615861246.db2.gz DXZQDZJZLGKDCK-UHFFFAOYSA-N 0 1 273.292 0.828 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2nccnc2c1 ZINC000913515452 615861503 /nfs/dbraw/zinc/86/15/03/615861503.db2.gz XTANKMUOTCEPCE-UHFFFAOYSA-N 0 1 268.320 0.925 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc(-n2cc[nH]c2=O)c1 ZINC000913523755 615861522 /nfs/dbraw/zinc/86/15/22/615861522.db2.gz KWOSACJZIZODRR-UHFFFAOYSA-N 0 1 298.346 0.873 20 30 CCEDMN CCn1c2ccc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc2[nH]c1=O ZINC000852827959 615923529 /nfs/dbraw/zinc/92/35/29/615923529.db2.gz QTZJWQAFKAOKEH-CMPLNLGQSA-N 0 1 299.334 0.603 20 30 CCEDMN CC[C@H]1C[C@H](C(=O)NCC#CCN(C)C)CCO1 ZINC000913520197 615933814 /nfs/dbraw/zinc/93/38/14/615933814.db2.gz HYHYJGMXFIOZIE-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN CN(C)C(=O)OC[C@H]1CCCCN1C[C@H](O)CC#N ZINC000930467937 615991944 /nfs/dbraw/zinc/99/19/44/615991944.db2.gz UQIQVVZBNVINHM-VXGBXAGGSA-N 0 1 269.345 0.814 20 30 CCEDMN CC[S@@](C)(=O)=NS(=O)(=O)NC[C@@H](C)C#N ZINC000866895720 616011192 /nfs/dbraw/zinc/01/11/92/616011192.db2.gz YHGJLFBTUKKXJO-JKYUHCHBSA-N 0 1 253.349 0.098 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN1CC[C@@H](O)[C@@H](CO)C1 ZINC000877592026 616011847 /nfs/dbraw/zinc/01/18/47/616011847.db2.gz KJMAPLPAIUGENV-GDBMZVCRSA-N 0 1 289.379 0.799 20 30 CCEDMN CN(C)c1ccc(C=NN[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000916238700 616013985 /nfs/dbraw/zinc/01/39/85/616013985.db2.gz DSFZZISHIIUXGE-GFCCVEGCSA-N 0 1 281.381 0.863 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)C[C@H](O)c1ccc(C#N)cc1 ZINC000852189133 616109404 /nfs/dbraw/zinc/10/94/04/616109404.db2.gz XBSSRVNNEFWRMS-NSHDSACASA-N 0 1 274.280 0.812 20 30 CCEDMN CN(C[C@H](O)c1cccc(C#N)c1)C[C@@H]1COCCO1 ZINC000844372154 616147241 /nfs/dbraw/zinc/14/72/41/616147241.db2.gz VRSHDCJJROMNOZ-CABCVRRESA-N 0 1 276.336 0.939 20 30 CCEDMN CN1CCC2(CCN2S(=O)(=O)CC2(C#N)CC2)CC1 ZINC000907855018 616176994 /nfs/dbraw/zinc/17/69/94/616176994.db2.gz BLHHFBJRVWFACI-UHFFFAOYSA-N 0 1 283.397 0.790 20 30 CCEDMN CN1CCC[C@@H]2[C@@H]1CCN2S(=O)(=O)CCCC#N ZINC000872662318 616199547 /nfs/dbraw/zinc/19/95/47/616199547.db2.gz DFUVTHFTSFNDHO-NWDGAFQWSA-N 0 1 271.386 0.788 20 30 CCEDMN CN1CCN(C)CCN(C(=O)NCC2(CC#N)CC2)CC1 ZINC000894567375 616235524 /nfs/dbraw/zinc/23/55/24/616235524.db2.gz RIWJYMHKIRJCTE-UHFFFAOYSA-N 0 1 293.415 0.569 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)Nc2sccc2C#N)C1 ZINC000931847352 616238406 /nfs/dbraw/zinc/23/84/06/616238406.db2.gz PSZIBODWOJMHPX-LLVKDONJSA-N 0 1 293.396 0.987 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2sccc2CC#N)C1 ZINC000865705561 616238736 /nfs/dbraw/zinc/23/87/36/616238736.db2.gz AZGSPXIPNGQSNM-GFCCVEGCSA-N 0 1 292.408 0.790 20 30 CCEDMN C[NH+]1CCN(CC(=O)[N-]Oc2cccc(C#N)c2)CC1 ZINC000870692614 616239829 /nfs/dbraw/zinc/23/98/29/616239829.db2.gz ICBHFLSZPWLLLU-UHFFFAOYSA-N 0 1 274.324 0.216 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)Cc1cccc(OCC#N)c1 ZINC000928554686 616288446 /nfs/dbraw/zinc/28/84/46/616288446.db2.gz KFXIJVNJPWHRBE-CYBMUJFWSA-N 0 1 273.336 0.952 20 30 CCEDMN COC(=O)[C@@H]1CCC[C@@H](C(=O)NCC#CCN(C)C)C1 ZINC000913518986 616293276 /nfs/dbraw/zinc/29/32/76/616293276.db2.gz IVGBXNHVMUWVEM-CHWSQXEVSA-N 0 1 280.368 0.647 20 30 CCEDMN COC(=O)[C@@H]1CC[N@@H+](CCCC#N)[C@@H](C(=O)[O-])C1 ZINC000833710516 616305637 /nfs/dbraw/zinc/30/56/37/616305637.db2.gz WCBQGLFIKNFZNS-NXEZZACHSA-N 0 1 254.286 0.628 20 30 CCEDMN CN1C[C@@H](O)C[C@@H]1CNC(=O)c1ccsc1C#N ZINC000924988959 616308339 /nfs/dbraw/zinc/30/83/39/616308339.db2.gz JULJTPBMNUOTII-BDAKNGLRSA-N 0 1 265.338 0.415 20 30 CCEDMN COC(CN(CCCO)CC1(CC#N)CC1)OC ZINC000878396022 616316317 /nfs/dbraw/zinc/31/63/17/616316317.db2.gz IKWCQXNDTRKVGH-UHFFFAOYSA-N 0 1 256.346 0.984 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)[C@@H](C)N(C)C)CC1 ZINC000823364683 616344663 /nfs/dbraw/zinc/34/46/63/616344663.db2.gz BVAHQRSOAJWCSD-SNVBAGLBSA-N 0 1 267.329 0.242 20 30 CCEDMN COC(=O)C1(C)CN(C[C@H](O)CC2(C#N)CCC2)C1 ZINC000905961641 616356295 /nfs/dbraw/zinc/35/62/95/616356295.db2.gz SPWVHYMWCLCFMH-LLVKDONJSA-N 0 1 266.341 0.926 20 30 CCEDMN COCC[N@@H+]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@H]1C ZINC000820730698 616364980 /nfs/dbraw/zinc/36/49/80/616364980.db2.gz YIDJICWNDFGWBS-BXUZGUMPSA-N 0 1 297.355 0.170 20 30 CCEDMN COCC[N@H+]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@H]1C ZINC000820730698 616364984 /nfs/dbraw/zinc/36/49/84/616364984.db2.gz YIDJICWNDFGWBS-BXUZGUMPSA-N 0 1 297.355 0.170 20 30 CCEDMN CN([C@@H]1CCN(C(=O)C#CC2CC2)C1)[C@H]1CCN(C)C1=O ZINC000971511369 616403627 /nfs/dbraw/zinc/40/36/27/616403627.db2.gz LDBCFQHWXDYVOH-KGLIPLIRSA-N 0 1 289.379 0.163 20 30 CCEDMN COC(=O)C1=NO[C@]2(CCN(CCO[C@@H](C)C#N)C2)C1 ZINC000879490695 616440049 /nfs/dbraw/zinc/44/00/49/616440049.db2.gz UUVGSNYLZVTWFG-GXFFZTMASA-N 0 1 281.312 0.309 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N1CCC[C@@](C)(C#N)C1 ZINC000907335816 616470006 /nfs/dbraw/zinc/47/00/06/616470006.db2.gz OHJJNWHQGNKMIM-XBFCOCLRSA-N 0 1 265.357 0.594 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N1Cc2ccc(C#N)cc2C1 ZINC000912058219 616471840 /nfs/dbraw/zinc/47/18/40/616471840.db2.gz HPEZVCYLEUBVIJ-ZBFHGGJFSA-N 0 1 285.347 0.856 20 30 CCEDMN COC1(OC)CCOC[C@@H]1NCCO[C@@H](C)C#N ZINC000931674120 616477181 /nfs/dbraw/zinc/47/71/81/616477181.db2.gz DRKTUTJLOAGHQH-QWRGUYRKSA-N 0 1 258.318 0.283 20 30 CCEDMN COCCN1[C@H](C)CN(c2cc(C#N)ncn2)C[C@@H]1C ZINC000826633213 616481393 /nfs/dbraw/zinc/48/13/93/616481393.db2.gz PVPLFNFCBICKTB-TXEJJXNPSA-N 0 1 275.356 0.894 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)CC1(CSC)CC1 ZINC000845440635 616491488 /nfs/dbraw/zinc/49/14/88/616491488.db2.gz ISRBFWRYRKXOFM-JTQLQIEISA-N 0 1 284.381 0.991 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)CC1(COC)CC1 ZINC000842684211 616495141 /nfs/dbraw/zinc/49/51/41/616495141.db2.gz IZHRCWNLLYOXJV-SNVBAGLBSA-N 0 1 268.313 0.275 20 30 CCEDMN COCC#CCN1CCC2(CC1)C[C@H](O)CCO2 ZINC000933060427 616527827 /nfs/dbraw/zinc/52/78/27/616527827.db2.gz ULROAQIYYSKCEM-CYBMUJFWSA-N 0 1 253.342 0.642 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000871343136 616545463 /nfs/dbraw/zinc/54/54/63/616545463.db2.gz ZVDOPSGPQPAROV-SNVBAGLBSA-N 0 1 290.323 0.745 20 30 CCEDMN COC(=O)CC[C@H]1CCCN(CC(=O)NCC#N)C1 ZINC000880039464 616578504 /nfs/dbraw/zinc/57/85/04/616578504.db2.gz YBWWZZZUAZCINY-LLVKDONJSA-N 0 1 267.329 0.291 20 30 CCEDMN COC(=O)[C@H](CC1CCOCC1)NC(=O)C(C)C#N ZINC000881739930 616593480 /nfs/dbraw/zinc/59/34/80/616593480.db2.gz MKRXPVLXLGVSCX-ONGXEEELSA-N 0 1 268.313 0.621 20 30 CCEDMN COC(=O)CNC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000876598864 616606706 /nfs/dbraw/zinc/60/67/06/616606706.db2.gz RTXYYEPLWCLTEH-ZDUSSCGKSA-N 0 1 273.292 0.034 20 30 CCEDMN CO[C@@H]1CCC[C@@H](C(=O)NCC#CCN(C)C)C1 ZINC000913522806 616623679 /nfs/dbraw/zinc/62/36/79/616623679.db2.gz ZKGMYRXLAGDAHN-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1NCC(=O)Nc1ccc(C#N)cc1 ZINC000934042109 616673750 /nfs/dbraw/zinc/67/37/50/616673750.db2.gz SQVCOGHOSCRKPG-ZIAGYGMSSA-N 0 1 289.335 0.890 20 30 CCEDMN COCCc1ncc(C=NNC2=NC[C@H](C)N2)s1 ZINC000872364837 616702017 /nfs/dbraw/zinc/70/20/17/616702017.db2.gz UWJFJUOYIWEGPN-QMMMGPOBSA-N 0 1 267.358 0.603 20 30 CCEDMN COCCn1c(=O)cc(O)nc1S[C@@H](C)C#N ZINC000901656309 616714556 /nfs/dbraw/zinc/71/45/56/616714556.db2.gz YGMSNQXSNMMWOG-ZETCQYMHSA-N 0 1 255.299 0.599 20 30 CCEDMN COCC(C)(C)N1CCN(C[C@H](O)CC#N)CC1 ZINC000930758316 616721847 /nfs/dbraw/zinc/72/18/47/616721847.db2.gz AZTTVDUYYAEMCO-GFCCVEGCSA-N 0 1 255.362 0.304 20 30 CCEDMN COCCn1ncc(C(=O)NCC#CCN(C)C)c1C ZINC000913525145 616751226 /nfs/dbraw/zinc/75/12/26/616751226.db2.gz LWKFMLPEMUZBCS-UHFFFAOYSA-N 0 1 278.356 0.133 20 30 CCEDMN COC[C@@H](C)NC(=S)NN=C1C[N@H+]2C[C@@H]1CCC2 ZINC000863067562 616827360 /nfs/dbraw/zinc/82/73/60/616827360.db2.gz CAFQEUBTHOUARU-ZJUUUORDSA-N 0 1 270.402 0.567 20 30 CCEDMN COC[C@@H](C)NC(=S)NN=C1CN2C[C@@H]1CCC2 ZINC000863067562 616827364 /nfs/dbraw/zinc/82/73/64/616827364.db2.gz CAFQEUBTHOUARU-ZJUUUORDSA-N 0 1 270.402 0.567 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC1CCOCC1 ZINC000117531899 616897675 /nfs/dbraw/zinc/89/76/75/616897675.db2.gz GQDJONZAMYXFNX-LBPRGKRZSA-N 0 1 282.340 0.665 20 30 CCEDMN COCCCNC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000876545891 616904488 /nfs/dbraw/zinc/90/44/88/616904488.db2.gz AAQIRFAWNWJUIY-AWEZNQCLSA-N 0 1 273.336 0.898 20 30 CCEDMN COC(=O)c1ccc(CN[C@@H]2CCCN(O)C2=O)cc1 ZINC000895163133 616906324 /nfs/dbraw/zinc/90/63/24/616906324.db2.gz RKDOPHHSJOMRHJ-GFCCVEGCSA-N 0 1 278.308 0.943 20 30 CCEDMN COC(=O)c1ccc(NC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000841040215 616914782 /nfs/dbraw/zinc/91/47/82/616914782.db2.gz IRQWCJDHMJOOJM-PWSUYJOCSA-N 0 1 288.307 0.706 20 30 CCEDMN COC(=O)c1ccc(NC[C@H]2CCN2C)c(C#N)n1 ZINC000895769774 616916444 /nfs/dbraw/zinc/91/64/44/616916444.db2.gz IIMDLNZSIBWWKG-SECBINFHSA-N 0 1 260.297 0.856 20 30 CCEDMN COC(=O)Nc1ccccc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000843460975 616936855 /nfs/dbraw/zinc/93/68/55/616936855.db2.gz JGQGXQYIIOZSBT-SKDRFNHKSA-N 0 1 288.307 0.706 20 30 CCEDMN COCc1nccc(NC(=O)NCC#CCN(C)C)n1 ZINC000931955504 616959521 /nfs/dbraw/zinc/95/95/21/616959521.db2.gz CRJWOZDPCPJNOS-UHFFFAOYSA-N 0 1 277.328 0.310 20 30 CCEDMN COCCN(CC#N)C(=O)[C@H]1CCC[N@H+]1C(C)C ZINC000822283132 616974316 /nfs/dbraw/zinc/97/43/16/616974316.db2.gz IWLXDLVCMCKFJS-GFCCVEGCSA-N 0 1 253.346 0.858 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)NC[C@@H]1CCN1C ZINC000928568211 617153036 /nfs/dbraw/zinc/15/30/36/617153036.db2.gz NRRIKEXCMNKBQC-LBPRGKRZSA-N 0 1 289.335 0.766 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CC[C@@H](C)O1 ZINC001026006273 625320294 /nfs/dbraw/zinc/32/02/94/625320294.db2.gz RTKKJQWDLIHRPA-JYKNGBAOSA-N 0 1 262.353 0.624 20 30 CCEDMN C[C@H](c1ccccc1)[C@H](NC[C@H](O)CC#N)C(N)=O ZINC000930541464 625355731 /nfs/dbraw/zinc/35/57/31/625355731.db2.gz NETHKQILOJZBGB-RTXFEEFZSA-N 0 1 261.325 0.508 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC001026207883 625356858 /nfs/dbraw/zinc/35/68/58/625356858.db2.gz ZPLQCGVKQLQSQR-GARJFASQSA-N 0 1 259.313 0.373 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001008303609 625436518 /nfs/dbraw/zinc/43/65/18/625436518.db2.gz LMHGKFOQXUPHSU-JSGCOSHPSA-N 0 1 268.332 0.719 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)C2=NC(=O)N(C)C2)CCN1CC#N ZINC000947690144 625483485 /nfs/dbraw/zinc/48/34/85/625483485.db2.gz QWQOFMIBGCNUPC-NXEZZACHSA-N 0 1 277.328 0.232 20 30 CCEDMN C#CCOCCC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000161370031 622769579 /nfs/dbraw/zinc/76/95/79/622769579.db2.gz ZWWKENOMIPZQAW-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2cc(C(N)=O)co2)C1 ZINC001023588312 623071864 /nfs/dbraw/zinc/07/18/64/623071864.db2.gz WCAOPQGVEBKCAW-LLVKDONJSA-N 0 1 289.335 0.454 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCOC1 ZINC001024351497 623296421 /nfs/dbraw/zinc/29/64/21/623296421.db2.gz WUJKDQPCUFJNPX-OLZOCXBDSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1nnn(C)c1C ZINC001024373253 623304874 /nfs/dbraw/zinc/30/48/74/623304874.db2.gz KSHXISNLUCZGTB-GFCCVEGCSA-N 0 1 277.372 0.894 20 30 CCEDMN C=CC[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024390833 623309571 /nfs/dbraw/zinc/30/95/71/623309571.db2.gz MBIKOHLYXKWRCP-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024390833 623309577 /nfs/dbraw/zinc/30/95/77/623309577.db2.gz MBIKOHLYXKWRCP-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@H]1C[C@H]1C(N)=O ZINC001024658610 623411164 /nfs/dbraw/zinc/41/11/64/623411164.db2.gz PPSGOAIPVLPZMO-UPJWGTAASA-N 0 1 277.368 0.102 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(CC)CC(=O)[O-] ZINC000328138602 623866887 /nfs/dbraw/zinc/86/68/87/623866887.db2.gz ZIOPOOAGUIADNG-UHFFFAOYSA-N 0 1 255.318 0.058 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC[C@@H](OC)C1 ZINC001025835974 623892244 /nfs/dbraw/zinc/89/22/44/623892244.db2.gz QTMLTYRUAPCZRJ-XPABHHOTSA-N 0 1 276.380 0.871 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2ccc3cncn3c2)C1 ZINC001020396389 624465020 /nfs/dbraw/zinc/46/50/20/624465020.db2.gz RFSBKORMJYITAZ-HAQNSBGRSA-N 0 1 269.308 0.708 20 30 CCEDMN O=C(NCC#C[C@@H]1CCCCO1)[C@@H]1CC1[N+](=O)[O-] ZINC000891115046 624728301 /nfs/dbraw/zinc/72/83/01/624728301.db2.gz BPOLMMDMYBVDLY-HBNTYKKESA-N 0 1 252.270 0.340 20 30 CCEDMN N#CCN1CC[C@H]2[C@@H](CCCN2C(=O)CN2CCCC2)C1 ZINC001021738002 624816516 /nfs/dbraw/zinc/81/65/16/624816516.db2.gz YJKLBEZTGCDGHT-GJZGRUSLSA-N 0 1 290.411 0.919 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001022228564 624896111 /nfs/dbraw/zinc/89/61/11/624896111.db2.gz IFMRWYMACYUTPC-LMLFDSFASA-N 0 1 259.313 0.274 20 30 CCEDMN CN(CC#N)[C@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC001027342446 625841157 /nfs/dbraw/zinc/84/11/57/625841157.db2.gz DYAKVWMKYIBRSY-LBPRGKRZSA-N 0 1 261.329 0.399 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@@H]2CCN2C(=O)[C@H](C)C#N)[nH]1 ZINC000977463948 625942261 /nfs/dbraw/zinc/94/22/61/625942261.db2.gz DIBMSQNOOUYDDW-KOLCDFICSA-N 0 1 289.339 0.551 20 30 CCEDMN O[C@H](CNCC#Cc1ccccc1)C[C@]1(O)CCOC1 ZINC000905030713 634789084 /nfs/dbraw/zinc/78/90/84/634789084.db2.gz IMQKHOSAKBAAIK-JKSUJKDBSA-N 0 1 275.348 0.530 20 30 CCEDMN C=CCn1cc(C(=O)N2CCC[C@@H](N(C)CCF)C2)nn1 ZINC001027606467 630797745 /nfs/dbraw/zinc/79/77/45/630797745.db2.gz GBFISZFSQIOSNM-GFCCVEGCSA-N 0 1 295.362 0.970 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccnn1CC ZINC001027845538 630971782 /nfs/dbraw/zinc/97/17/82/630971782.db2.gz RXSQIIFQWBQOMI-LBPRGKRZSA-N 0 1 260.341 0.730 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccnn1CC ZINC001027845538 630971787 /nfs/dbraw/zinc/97/17/87/630971787.db2.gz RXSQIIFQWBQOMI-LBPRGKRZSA-N 0 1 260.341 0.730 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccnn1CC ZINC001027845537 630972265 /nfs/dbraw/zinc/97/22/65/630972265.db2.gz RXSQIIFQWBQOMI-GFCCVEGCSA-N 0 1 260.341 0.730 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccnn1CC ZINC001027845537 630972270 /nfs/dbraw/zinc/97/22/70/630972270.db2.gz RXSQIIFQWBQOMI-GFCCVEGCSA-N 0 1 260.341 0.730 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@@H](NC(=O)CN3CCCC3)C[C@@H]2C1 ZINC000980236880 631005196 /nfs/dbraw/zinc/00/51/96/631005196.db2.gz FWQAFPXMBYIZNZ-RRFJBIMHSA-N 0 1 290.411 0.822 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCCN(CCO)CC2)c1 ZINC000980917778 631080773 /nfs/dbraw/zinc/08/07/73/631080773.db2.gz YNINYTRCSIEWAY-UHFFFAOYSA-N 0 1 262.313 0.027 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CCC[C@@H](NCC#N)C2)n[nH]1 ZINC000981081010 631229275 /nfs/dbraw/zinc/22/92/75/631229275.db2.gz VXRGBFHMNCUBQM-MNOVXSKESA-N 0 1 276.344 0.196 20 30 CCEDMN Cc1nccnc1CN[C@@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000967976006 650006720 /nfs/dbraw/zinc/00/67/20/650006720.db2.gz RCZRNIQCUZQXAV-ZYHUDNBSSA-N 0 1 273.340 0.635 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC000968676432 650025628 /nfs/dbraw/zinc/02/56/28/650025628.db2.gz JQWIYMOJPJHKRB-NEPJUHHUSA-N 0 1 273.340 0.544 20 30 CCEDMN O=S1(=O)CC[C@@](O)(CNCC#Cc2ccccc2)C1 ZINC000894681798 631811343 /nfs/dbraw/zinc/81/13/43/631811343.db2.gz KZMYBXKNQBJGIB-CQSZACIVSA-N 0 1 279.361 0.177 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)[C@H]2CCCO2)C1 ZINC001006661372 650047094 /nfs/dbraw/zinc/04/70/94/650047094.db2.gz WIPKOJMHZLYQER-QWHCGFSZSA-N 0 1 250.342 0.769 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C2=COCCO2)C1 ZINC001006652713 650047311 /nfs/dbraw/zinc/04/73/11/650047311.db2.gz OJFTTZYUDRGQJQ-NSHDSACASA-N 0 1 252.314 0.641 20 30 CCEDMN C[C@H](Nc1cc(C#N)ncn1)[C@H]1CN(C)CCN1C ZINC000895245678 632032922 /nfs/dbraw/zinc/03/29/22/632032922.db2.gz HPXNJUODXUMIGY-CMPLNLGQSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@H](Nc1cc(C#N)cnn1)[C@H]1CN(C)CCN1C ZINC000895246392 632034969 /nfs/dbraw/zinc/03/49/69/632034969.db2.gz NYOHBTARLPXJGQ-CMPLNLGQSA-N 0 1 260.345 0.394 20 30 CCEDMN N#Cc1csc(C[N@@H+]2CC[C@@H](CS(N)(=O)=O)C2)c1 ZINC000897064534 632387660 /nfs/dbraw/zinc/38/76/60/632387660.db2.gz ZEHVXSUMIOELIF-SECBINFHSA-N 0 1 285.394 0.730 20 30 CCEDMN N#Cc1csc(CN2CC[C@@H](CS(N)(=O)=O)C2)c1 ZINC000897064534 632387671 /nfs/dbraw/zinc/38/76/71/632387671.db2.gz ZEHVXSUMIOELIF-SECBINFHSA-N 0 1 285.394 0.730 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cc2cncnc2)C1 ZINC001007234546 650071911 /nfs/dbraw/zinc/07/19/11/650071911.db2.gz NJQPJZMUHYSDLX-CYBMUJFWSA-N 0 1 260.341 0.786 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001007461922 650079387 /nfs/dbraw/zinc/07/93/87/650079387.db2.gz VDPLKFUWCRNKHO-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3nnnn3c2)C1 ZINC001007918712 650097208 /nfs/dbraw/zinc/09/72/08/650097208.db2.gz IOBJJMWOUMEHAX-LBPRGKRZSA-N 0 1 286.339 0.505 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2nnn(C)n2)[C@H]1C ZINC000986654001 632785901 /nfs/dbraw/zinc/78/59/01/632785901.db2.gz ANNAKIMRIWIDEG-DTWKUNHWSA-N 0 1 284.751 0.155 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccc2c(c1)OCO2 ZINC000840998943 632829887 /nfs/dbraw/zinc/82/98/87/632829887.db2.gz FZORWOQTAHTSRM-WPRPVWTQSA-N 0 1 274.280 0.648 20 30 CCEDMN C[C@H]1[C@H](NCC#N)CCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000987505899 632874450 /nfs/dbraw/zinc/87/44/50/632874450.db2.gz VFCUXAGSUSIGQQ-GXSJLCMTSA-N 0 1 284.323 0.674 20 30 CCEDMN N#Cc1cccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000841006442 633072636 /nfs/dbraw/zinc/07/26/36/633072636.db2.gz KTUHMTDMLLQKGR-JQWIXIFHSA-N 0 1 255.281 0.791 20 30 CCEDMN C[C@H]1C[C@@H](NCc2nccn2C)CN1C(=O)C#CC1CC1 ZINC000988824947 633116460 /nfs/dbraw/zinc/11/64/60/633116460.db2.gz JQVLURHPHDQXJR-GXTWGEPZSA-N 0 1 286.379 0.913 20 30 CCEDMN C[C@@H]1C[C@H](NCc2ccc(C#N)s2)CN1C(=O)C(N)=O ZINC000989381240 633205650 /nfs/dbraw/zinc/20/56/50/633205650.db2.gz UIHKYZZNKRRNSD-BDAKNGLRSA-N 0 1 292.364 0.184 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccc(OC)nn2)CC1 ZINC000989414605 633215220 /nfs/dbraw/zinc/21/52/20/633215220.db2.gz WZECWVUOQZHOBT-UHFFFAOYSA-N 0 1 288.351 0.656 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cc2nnc[nH]2)C1 ZINC000989658553 633340560 /nfs/dbraw/zinc/34/05/60/633340560.db2.gz ZLXLTAORMCATPN-UWVGGRQHSA-N 0 1 283.763 0.679 20 30 CCEDMN CC#CCN1CC[C@@]2(NC(=O)c3nccnc3N)CCC[C@@H]12 ZINC000990031677 633429294 /nfs/dbraw/zinc/42/92/94/633429294.db2.gz ZJYKHQVZTKYBNU-WBMJQRKESA-N 0 1 299.378 0.809 20 30 CCEDMN Cc1nonc1CNC1CCN(C(=O)[C@H](C)C#N)CC1 ZINC000990262981 633499069 /nfs/dbraw/zinc/49/90/69/633499069.db2.gz WDHSBGBNWHKLRZ-SECBINFHSA-N 0 1 277.328 0.618 20 30 CCEDMN C#CC[N@H+]1CC[C@]2(NC(=O)c3[nH]nc(C)c3[O-])CCC[C@@H]12 ZINC000990264346 633499346 /nfs/dbraw/zinc/49/93/46/633499346.db2.gz GGWMWLPSYMYNNI-IAQYHMDHSA-N 0 1 288.351 0.784 20 30 CCEDMN Cc1nnc(CNC2CCN(C(=O)[C@H](C)C#N)CC2)[nH]1 ZINC000990316100 633507353 /nfs/dbraw/zinc/50/73/53/633507353.db2.gz ZAXMBOJXMMKZIR-SECBINFHSA-N 0 1 276.344 0.353 20 30 CCEDMN CC#CCN1CC[C@]2(NC(=O)c3cnnn3C)CCC[C@H]12 ZINC000990496582 633543858 /nfs/dbraw/zinc/54/38/58/633543858.db2.gz OIPJCMFAOVJUBV-DZGCQCFKSA-N 0 1 287.367 0.565 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N1CC(N2CCCC2)C1 ZINC000898601436 633630913 /nfs/dbraw/zinc/63/09/13/633630913.db2.gz OBRQBDLPWUHVJA-UHFFFAOYSA-N 0 1 258.325 0.817 20 30 CCEDMN C#CC1(NC(=O)C2CN([C@H]3CCOC3)C2)CCCC1 ZINC000899223261 633763792 /nfs/dbraw/zinc/76/37/92/633763792.db2.gz DMCVUYSSHDXYJE-ZDUSSCGKSA-N 0 1 262.353 0.769 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001008494455 650117371 /nfs/dbraw/zinc/11/73/71/650117371.db2.gz UZKPRTYFAZJBNH-STQMWFEESA-N 0 1 279.384 0.812 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)CN2CCCC2)C1 ZINC001009527702 650147546 /nfs/dbraw/zinc/14/75/46/650147546.db2.gz IRKYHKXSNNMHKO-GFCCVEGCSA-N 0 1 250.346 0.186 20 30 CCEDMN N#CC[C@H](NS(=O)(=O)c1c[nH]cn1)C(F)(F)F ZINC000901772155 634275517 /nfs/dbraw/zinc/27/55/17/634275517.db2.gz LXOGPKMWGHVMFR-YFKPBYRVSA-N 0 1 268.220 0.533 20 30 CCEDMN O=C(C#CC1CC1)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907480723 635142597 /nfs/dbraw/zinc/14/25/97/635142597.db2.gz QPXLVXOUYOMCTF-SECBINFHSA-N 0 1 263.326 0.230 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C2=COCCO2)C1 ZINC001009993174 650176493 /nfs/dbraw/zinc/17/64/93/650176493.db2.gz HSAGHWHWOAEJSV-LLVKDONJSA-N 0 1 252.314 0.641 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@H]2CCOC2)C1 ZINC001010413372 650220560 /nfs/dbraw/zinc/22/05/60/650220560.db2.gz SQMFIVYRZYABMG-CHWSQXEVSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010643378 650246339 /nfs/dbraw/zinc/24/63/39/650246339.db2.gz UXGHAJFMKCRNAM-JTQLQIEISA-N 0 1 262.313 0.251 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010643378 650246341 /nfs/dbraw/zinc/24/63/41/650246341.db2.gz UXGHAJFMKCRNAM-JTQLQIEISA-N 0 1 262.313 0.251 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@H](C)n2cncn2)C1 ZINC001010688170 650252459 /nfs/dbraw/zinc/25/24/59/650252459.db2.gz HUJBCUCYKMYLOX-RYUDHWBXSA-N 0 1 263.345 0.606 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2OCCCO2)C1 ZINC001010757827 650261197 /nfs/dbraw/zinc/26/11/97/650261197.db2.gz FUQOXIYBBWFDQJ-GFCCVEGCSA-N 0 1 266.341 0.353 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)N1CC[C@H](N2CCC2)C1 ZINC000912916684 636701804 /nfs/dbraw/zinc/70/18/04/636701804.db2.gz ZSTBPIWEUWHYSW-LBPRGKRZSA-N 0 1 258.325 0.817 20 30 CCEDMN N#Cc1sccc1C(=O)NCCN1CC[C@@H](O)C1 ZINC000913171528 636763761 /nfs/dbraw/zinc/76/37/61/636763761.db2.gz OISGPHDKKZVTRX-SECBINFHSA-N 0 1 265.338 0.416 20 30 CCEDMN Cc1cc(C(=O)NCCN2CC[C@H](O)C2)cc(C)c1C#N ZINC000913352700 636801094 /nfs/dbraw/zinc/80/10/94/636801094.db2.gz XMHUHHDKCLQEIC-AWEZNQCLSA-N 0 1 287.363 0.972 20 30 CCEDMN C[C@@H](OCc1ccncc1)C(=O)NCC#CCN(C)C ZINC000913523261 636832692 /nfs/dbraw/zinc/83/26/92/636832692.db2.gz RZHOKXZHHASVCS-CYBMUJFWSA-N 0 1 275.352 0.668 20 30 CCEDMN Cc1cc(C)nc(SCC(=O)NCC#CCN(C)C)n1 ZINC000913515409 636833670 /nfs/dbraw/zinc/83/36/70/636833670.db2.gz WLRZTOVWCPDUGR-UHFFFAOYSA-N 0 1 292.408 0.867 20 30 CCEDMN C[C@H](NC(=O)C(C)(C)C)C(=O)NCC#CCN(C)C ZINC000913516464 636834248 /nfs/dbraw/zinc/83/42/48/636834248.db2.gz RABQXPSVXRVZJY-NSHDSACASA-N 0 1 267.373 0.218 20 30 CCEDMN C[C@H](NC(=O)C1CCCC1)C(=O)NCC#CCN(C)C ZINC000913517127 636834628 /nfs/dbraw/zinc/83/46/28/636834628.db2.gz UEHQRBDBPBNLPV-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN Cc1cc(C)n(CCC(=O)NCC#CCN(C)C)n1 ZINC000913516518 636834932 /nfs/dbraw/zinc/83/49/32/636834932.db2.gz SPYRYLRNFKHRHQ-UHFFFAOYSA-N 0 1 262.357 0.571 20 30 CCEDMN Cc1c(C(=O)NCC#CCN(C)C)nnn1-c1ccccc1 ZINC000913517561 636835168 /nfs/dbraw/zinc/83/51/68/636835168.db2.gz GRABLMJANIWUCT-UHFFFAOYSA-N 0 1 297.362 0.871 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cncn3C)CCC[C@H]12 ZINC000991653074 636969299 /nfs/dbraw/zinc/96/92/99/636969299.db2.gz HFRMFJVEXFRMIJ-DZGCQCFKSA-N 0 1 272.352 0.780 20 30 CCEDMN CC#CCN1CC[C@]2(NC(=O)c3cnon3)CCC[C@H]12 ZINC000992342221 637371488 /nfs/dbraw/zinc/37/14/88/637371488.db2.gz HNAWSOZGYKTEQS-GXTWGEPZSA-N 0 1 274.324 0.820 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H]3CCC(=O)N3)CCC[C@H]12 ZINC000992423520 637401648 /nfs/dbraw/zinc/40/16/48/637401648.db2.gz QSNNVRBBCSVBBR-SLEUVZQESA-N 0 1 275.352 0.011 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@H]2CCC[C@H](NCC#N)[C@H]2C1 ZINC000992775309 637571604 /nfs/dbraw/zinc/57/16/04/637571604.db2.gz HHDAQSJWOARHPS-SRVKXCTJSA-N 0 1 288.355 0.467 20 30 CCEDMN C[C@@H]1CN(C(=O)N[C@@H]2CCCN(C)C2)C[C@@H](C)N1CC#N ZINC000914313777 637589264 /nfs/dbraw/zinc/58/92/64/637589264.db2.gz FQVUIZDAKSXEEA-MGPQQGTHSA-N 0 1 293.415 0.708 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2cc(C#N)c[nH]2)CCC[N@H+]1CCO ZINC000993166515 637722517 /nfs/dbraw/zinc/72/25/17/637722517.db2.gz CSEOKLOMQQLFKH-PWSUYJOCSA-N 0 1 276.340 0.461 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001015611852 637743947 /nfs/dbraw/zinc/74/39/47/637743947.db2.gz MANBJONWTCZIFI-YPMHNXCESA-N 0 1 256.321 0.882 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](NC(=O)c2cnn[n-]2)[C@@H]1C ZINC000993542368 637816329 /nfs/dbraw/zinc/81/63/29/637816329.db2.gz OJPCVXKFCFEUTH-WDEREUQCSA-N 0 1 261.329 0.411 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cnoc2)[C@H]1C ZINC000993722436 637834999 /nfs/dbraw/zinc/83/49/99/637834999.db2.gz XRCMHWUAQLPBKY-DGCLKSJQSA-N 0 1 261.325 0.819 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](NC(=O)c2ncn[n-]2)[C@H]1C ZINC000994026384 637862135 /nfs/dbraw/zinc/86/21/35/637862135.db2.gz WUNJNVGSFLFBDE-MNOVXSKESA-N 0 1 261.329 0.411 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2nc[nH]n2)[C@@H]1C ZINC000994206066 637875714 /nfs/dbraw/zinc/87/57/14/637875714.db2.gz QBKSMJPZSBGLLK-NWDGAFQWSA-N 0 1 275.356 0.340 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@H]1C ZINC000994299719 637916691 /nfs/dbraw/zinc/91/66/91/637916691.db2.gz BBESBVFFHNLJGL-YPMHNXCESA-N 0 1 285.351 0.878 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cn2ccnc2)[C@H]1C ZINC000994506653 637955375 /nfs/dbraw/zinc/95/53/75/637955375.db2.gz LNFKGAWXSFZPRS-OLZOCXBDSA-N 0 1 260.341 0.485 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)[nH]1 ZINC000939397798 638358133 /nfs/dbraw/zinc/35/81/33/638358133.db2.gz QXPQQMIJSXGWDR-GXSJLCMTSA-N 0 1 289.339 0.551 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccc3[nH]nnc3c2)C[C@H]1NCC#N ZINC000939726907 638398001 /nfs/dbraw/zinc/39/80/01/638398001.db2.gz DXIKYXGPXWAEST-TVQRCGJNSA-N 0 1 284.323 0.532 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2CCN(C(=O)c3ccn[nH]3)C2)c[nH]1 ZINC000940094095 638436755 /nfs/dbraw/zinc/43/67/55/638436755.db2.gz BJHGUJAZAVVBOG-SNVBAGLBSA-N 0 1 298.306 0.254 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1cccc(F)c1C#N ZINC000070398302 638484578 /nfs/dbraw/zinc/48/45/78/638484578.db2.gz PSPJLCDGYMXLBE-UHFFFAOYSA-N 0 1 285.344 0.879 20 30 CCEDMN COCCOCCON=C(N)CN1CCCC[C@H]1C ZINC000183255456 638539165 /nfs/dbraw/zinc/53/91/65/638539165.db2.gz BDEACJCXQIGTBA-GFCCVEGCSA-N 0 1 273.377 0.813 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000185097809 638747712 /nfs/dbraw/zinc/74/77/12/638747712.db2.gz HCELXHNFFFHMSD-UHFFFAOYSA-N 0 1 279.384 0.268 20 30 CCEDMN C#C[C@H](C)NC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000186047983 638795350 /nfs/dbraw/zinc/79/53/50/638795350.db2.gz SDYQOTZKXLFOPI-QWHCGFSZSA-N 0 1 267.373 0.664 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1C[C@@H](NCC#N)C(C)(C)C1 ZINC000996399228 638817709 /nfs/dbraw/zinc/81/77/09/638817709.db2.gz QXDFJJBUKOWMHW-WCQYABFASA-N 0 1 289.383 0.938 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)Cn2cncn2)CC1(C)C ZINC000996580350 638823237 /nfs/dbraw/zinc/82/32/37/638823237.db2.gz CSTICWWHVSWMBF-LLVKDONJSA-N 0 1 297.790 0.857 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC(NC(=O)c2ccn3cncc3c2)C1 ZINC000996737014 638830628 /nfs/dbraw/zinc/83/06/28/638830628.db2.gz DRIDCUBHMFNHEC-SNVBAGLBSA-N 0 1 297.318 0.435 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001014442686 650452012 /nfs/dbraw/zinc/45/20/12/650452012.db2.gz XVDGDQGUOKWKNA-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN Cc1nnc(CNCC2CCN(C(=O)[C@@H](C)C#N)CC2)o1 ZINC000997617306 638878471 /nfs/dbraw/zinc/87/84/71/638878471.db2.gz HYZWCFVAUBSGIJ-JTQLQIEISA-N 0 1 291.355 0.866 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C2CN(C(C)=O)C2)C1 ZINC000999030529 638956072 /nfs/dbraw/zinc/95/60/72/638956072.db2.gz XSXQFUKLELMKBQ-CYBMUJFWSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC000999059534 638960692 /nfs/dbraw/zinc/96/06/92/638960692.db2.gz QWVDRZGKZSYBNI-UWVGGRQHSA-N 0 1 287.747 0.428 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cn[nH]n2)C1 ZINC000999577866 638987257 /nfs/dbraw/zinc/98/72/57/638987257.db2.gz FHIIXVPVIBGMCN-VIFPVBQESA-N 0 1 269.736 0.751 20 30 CCEDMN C#CCN1CC[C@@H](n2cc(CNC(=O)c3ccco3)nn2)C1 ZINC000999952460 639026027 /nfs/dbraw/zinc/02/60/27/639026027.db2.gz VENVIZILNMUDFU-CYBMUJFWSA-N 0 1 299.334 0.681 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001014592189 650465758 /nfs/dbraw/zinc/46/57/58/650465758.db2.gz ZGPTXPNJSAVGFA-LLVKDONJSA-N 0 1 283.335 0.785 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001000462164 639091782 /nfs/dbraw/zinc/09/17/82/639091782.db2.gz XDLLWOMGZWGQOD-NWDGAFQWSA-N 0 1 299.802 0.988 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001000563821 639116378 /nfs/dbraw/zinc/11/63/78/639116378.db2.gz MKJRVFDBVAGADK-UHFFFAOYSA-N 0 1 276.340 0.491 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC001014647556 650472862 /nfs/dbraw/zinc/47/28/62/650472862.db2.gz KONAZNCWROPECT-SNVBAGLBSA-N 0 1 284.323 0.180 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001014647556 650472866 /nfs/dbraw/zinc/47/28/66/650472866.db2.gz KONAZNCWROPECT-SNVBAGLBSA-N 0 1 284.323 0.180 20 30 CCEDMN N#CCN1CC=C(CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC001000789813 639166492 /nfs/dbraw/zinc/16/64/92/639166492.db2.gz DPGJYKDWSZXWAC-CHWSQXEVSA-N 0 1 285.351 0.785 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCc3cncn3C2)CC1 ZINC001000859695 639179070 /nfs/dbraw/zinc/17/90/70/639179070.db2.gz DHDMCHFFBPEQHX-OAHLLOKOSA-N 0 1 298.390 0.827 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001014700421 650479121 /nfs/dbraw/zinc/47/91/21/650479121.db2.gz GUPHGLDFCYNSAW-RYUDHWBXSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CC[N@@H+]1CC=C(CNC(=O)c2cccnn2)CC1 ZINC001001287380 639276134 /nfs/dbraw/zinc/27/61/34/639276134.db2.gz FBFFRGUITHIINT-UHFFFAOYSA-N 0 1 256.309 0.472 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cccnn2)CC1 ZINC001001287380 639276140 /nfs/dbraw/zinc/27/61/40/639276140.db2.gz FBFFRGUITHIINT-UHFFFAOYSA-N 0 1 256.309 0.472 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001014795965 650490124 /nfs/dbraw/zinc/49/01/24/650490124.db2.gz IMOBCWFXEATUKT-GFCCVEGCSA-N 0 1 262.357 0.891 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001014828255 650492320 /nfs/dbraw/zinc/49/23/20/650492320.db2.gz HLKGWAOPAKOQIQ-LLVKDONJSA-N 0 1 260.297 0.010 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001016304065 639408839 /nfs/dbraw/zinc/40/88/39/639408839.db2.gz DWPVCQBNILSLAN-DGCLKSJQSA-N 0 1 274.368 0.891 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccc(=O)n(C)c2)CC1 ZINC001003240727 639520779 /nfs/dbraw/zinc/52/07/79/639520779.db2.gz OLEVXUAHFMSNND-UHFFFAOYSA-N 0 1 287.363 0.603 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@@H]2CCCC(=O)N2)CC1 ZINC001003276345 639524120 /nfs/dbraw/zinc/52/41/20/639524120.db2.gz DVCOYNMIOAJLHC-AWEZNQCLSA-N 0 1 291.395 0.649 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001015079001 650526588 /nfs/dbraw/zinc/52/65/88/650526588.db2.gz VRNKDIAYNSYDIM-NSHDSACASA-N 0 1 296.330 0.856 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccn(CCOC)n2)C1 ZINC001015223412 650540928 /nfs/dbraw/zinc/54/09/28/650540928.db2.gz MVHZOIAOBUWWRR-CYBMUJFWSA-N 0 1 290.367 0.357 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnc(C)n2C)CC1 ZINC001005883032 640006652 /nfs/dbraw/zinc/00/66/52/640006652.db2.gz ASJYLLKKKFICGT-UHFFFAOYSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC001005942288 640040015 /nfs/dbraw/zinc/04/00/15/640040015.db2.gz BLHHTHQBNLXPFK-INIZCTEOSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc(C(N)=O)co2)CC1 ZINC001005976459 640048385 /nfs/dbraw/zinc/04/83/85/640048385.db2.gz XVNIDZUPBYPOSE-UHFFFAOYSA-N 0 1 289.335 0.548 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2OCC[C@H]2C)CC1 ZINC001006012421 640067422 /nfs/dbraw/zinc/06/74/22/640067422.db2.gz DEAGHYANVNYUNN-OCCSQVGLSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)C[C@H]2CCC(=O)N2)CC1 ZINC001006134799 640180876 /nfs/dbraw/zinc/18/08/76/640180876.db2.gz YEGXLDPRMLRNSA-CYBMUJFWSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001016442108 640217883 /nfs/dbraw/zinc/21/78/83/640217883.db2.gz BITVHSARNCZWCC-WCQYABFASA-N 0 1 262.357 0.900 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001006313726 640221959 /nfs/dbraw/zinc/22/19/59/640221959.db2.gz PIYKYUXWKLDLKB-ZDUSSCGKSA-N 0 1 277.368 0.211 20 30 CCEDMN C[C@@H]1CN(c2nccnc2C#N)CCN1C[C@@H](O)C1CC1 ZINC000838869974 640278702 /nfs/dbraw/zinc/27/87/02/640278702.db2.gz ZTRWPRIKFFWNLF-BXUZGUMPSA-N 0 1 287.367 0.630 20 30 CCEDMN Cc1nc[nH]c1C=NNc1nc2c(cnn2C)c(=O)[nH]1 ZINC000755716013 640334450 /nfs/dbraw/zinc/33/44/50/640334450.db2.gz ARMIBQGLRKELDZ-UHFFFAOYSA-N 0 1 272.272 0.546 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cn(C)nc2OC)C1 ZINC001015494576 650573392 /nfs/dbraw/zinc/57/33/92/650573392.db2.gz DCYYMDMGSYZGMN-NSHDSACASA-N 0 1 278.356 0.809 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C)c2cncnc2)C1 ZINC001015596724 650587809 /nfs/dbraw/zinc/58/78/09/650587809.db2.gz GEEYGBOTPOAQIQ-AAEUAGOBSA-N 0 1 260.341 0.957 20 30 CCEDMN OCC(=NNc1ncn[nH]1)c1ccc(F)cc1F ZINC000807996347 640843807 /nfs/dbraw/zinc/84/38/07/640843807.db2.gz QCYCQEFHYGVIPI-UHFFFAOYSA-N 0 1 253.212 0.891 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cn(C)nc2Cl)C1 ZINC001015700481 650611718 /nfs/dbraw/zinc/61/17/18/650611718.db2.gz IHRQHQGNAUFFCV-LLVKDONJSA-N 0 1 282.775 0.993 20 30 CCEDMN N=C(N)NN=Cc1cn2ccc(Br)cc2n1 ZINC000811638102 640983134 /nfs/dbraw/zinc/98/31/34/640983134.db2.gz GYAWNCRZKPEVAT-UHFFFAOYSA-N 0 1 281.117 0.914 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H]1CCN(CCO)C1 ZINC001015829718 650635606 /nfs/dbraw/zinc/63/56/06/650635606.db2.gz ZYSGBHXWLPAGDT-AVGNSLFASA-N 0 1 268.357 0.150 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001015870088 650641914 /nfs/dbraw/zinc/64/19/14/650641914.db2.gz XCRILUSQXIVTJP-LBPRGKRZSA-N 0 1 288.351 0.091 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+]1CC[C@@H](C(=O)[O-])C1)C1CC1 ZINC000817727667 641271863 /nfs/dbraw/zinc/27/18/63/641271863.db2.gz AFTYFVXOOKTODU-NOZJJQNGSA-N 0 1 265.313 0.201 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc3ncccn3n2)C1 ZINC001015881637 650647012 /nfs/dbraw/zinc/64/70/12/650647012.db2.gz XETJEDQPVYAUQA-LLVKDONJSA-N 0 1 271.324 0.719 20 30 CCEDMN N#CCNC(=O)CNC[C@H](O)c1ccc(F)cc1F ZINC000819311609 641400067 /nfs/dbraw/zinc/40/00/67/641400067.db2.gz ONMXLESMXAGKAP-NSHDSACASA-N 0 1 269.251 0.228 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(CCC)nn2)C1 ZINC001015915797 650656532 /nfs/dbraw/zinc/65/65/32/650656532.db2.gz WMEVXUGWEUNLOU-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN O=C(N[C@@H]1CCN(O)C1=O)c1cccc2nc[nH]c21 ZINC000820148841 641473351 /nfs/dbraw/zinc/47/33/51/641473351.db2.gz JVHOVJAAKBQZSJ-SECBINFHSA-N 0 1 260.253 0.283 20 30 CCEDMN O=C(N[C@H]1CCN(O)C1=O)c1ccc(O)c(Cl)c1 ZINC000820155904 641475465 /nfs/dbraw/zinc/47/54/65/641475465.db2.gz BHKJBIHFKCCSIV-QMMMGPOBSA-N 0 1 270.672 0.766 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn([C@@H]3CCOC3)nn2)C1 ZINC001015959382 650663584 /nfs/dbraw/zinc/66/35/84/650663584.db2.gz FEGFDVMMXXZKLP-NWDGAFQWSA-N 0 1 291.355 0.230 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@]2(C)CCN(C(C)=O)C2)C1 ZINC001015961609 650664061 /nfs/dbraw/zinc/66/40/61/650664061.db2.gz HXWCEMCLLNLEEZ-DZGCQCFKSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(C)nc2Cl)C1 ZINC001015980473 650668707 /nfs/dbraw/zinc/66/87/07/650668707.db2.gz SLGFGBLEOKQTLY-SNVBAGLBSA-N 0 1 280.759 0.901 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC001016102575 650681996 /nfs/dbraw/zinc/68/19/96/650681996.db2.gz XDIQNUHWQMGFMJ-ZIAGYGMSSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC001016102838 650682143 /nfs/dbraw/zinc/68/21/43/650682143.db2.gz ZJYPAVXNCUSROP-STQMWFEESA-N 0 1 279.384 0.812 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001016104442 650682453 /nfs/dbraw/zinc/68/24/53/650682453.db2.gz PEIPMWIKFUUUHI-SNVBAGLBSA-N 0 1 259.313 0.653 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@H]2CN(C(C)=O)CCO2)C1 ZINC001016143207 650689792 /nfs/dbraw/zinc/68/97/92/650689792.db2.gz AEFPMZRHYVVLIW-KGLIPLIRSA-N 0 1 295.383 0.000 20 30 CCEDMN Cc1[nH]ncc1CN(C)C(=O)[C@@]1(C#N)CCCOC1 ZINC000824061004 641802153 /nfs/dbraw/zinc/80/21/53/641802153.db2.gz ALAQMJFWHPVEQY-CYBMUJFWSA-N 0 1 262.313 0.997 20 30 CCEDMN C[C@@H](CNC(=O)C#Cc1cccs1)N1CCN(C)CC1 ZINC000825897261 641911772 /nfs/dbraw/zinc/91/17/72/641911772.db2.gz XJWFJXAHYSBGSN-ZDUSSCGKSA-N 0 1 291.420 0.852 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1ccccn1 ZINC000826383159 641929672 /nfs/dbraw/zinc/92/96/72/641929672.db2.gz NGMGVHGNFKZIQG-UHFFFAOYSA-N 0 1 252.241 0.378 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cccnc2C(N)=O)C1 ZINC001016319735 650717267 /nfs/dbraw/zinc/71/72/67/650717267.db2.gz KDDWCKMVMBWBQV-LLVKDONJSA-N 0 1 288.351 0.561 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001016331434 650718867 /nfs/dbraw/zinc/71/88/67/650718867.db2.gz JENKJBPKWYBFJU-OLZOCXBDSA-N 0 1 287.367 0.054 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001016349696 650720972 /nfs/dbraw/zinc/72/09/72/650720972.db2.gz OGKFARLIDLJJHV-CHWSQXEVSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@@H](CN(C)C(=O)C#Cc1cccs1)c1nn[nH]n1 ZINC000829165975 642148053 /nfs/dbraw/zinc/14/80/53/642148053.db2.gz LGEAGKLQVNJMOJ-VIFPVBQESA-N 0 1 275.337 0.875 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nonc2C)C1 ZINC001016412500 650727683 /nfs/dbraw/zinc/72/76/83/650727683.db2.gz ZAMOIMCYVUPNHG-JTQLQIEISA-N 0 1 250.302 0.758 20 30 CCEDMN N#CC[C@@]1(O)CC[N@H+](CCC[N-]C(=O)C(F)(F)F)C1 ZINC000829992322 642214905 /nfs/dbraw/zinc/21/49/05/642214905.db2.gz UXKHUJGARKPWHN-SNVBAGLBSA-N 0 1 279.262 0.405 20 30 CCEDMN N#CC[C@@]1(O)CC[N@@H+](CCC[N-]C(=O)C(F)(F)F)C1 ZINC000829992322 642214912 /nfs/dbraw/zinc/21/49/12/642214912.db2.gz UXKHUJGARKPWHN-SNVBAGLBSA-N 0 1 279.262 0.405 20 30 CCEDMN N#CC[C@]1(O)CC[N@H+](CCC[N-]C(=O)C(F)(F)F)C1 ZINC000829992321 642215303 /nfs/dbraw/zinc/21/53/03/642215303.db2.gz UXKHUJGARKPWHN-JTQLQIEISA-N 0 1 279.262 0.405 20 30 CCEDMN N#CC[C@]1(O)CC[N@@H+](CCC[N-]C(=O)C(F)(F)F)C1 ZINC000829992321 642215310 /nfs/dbraw/zinc/21/53/10/642215310.db2.gz UXKHUJGARKPWHN-JTQLQIEISA-N 0 1 279.262 0.405 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001016445688 650732994 /nfs/dbraw/zinc/73/29/94/650732994.db2.gz FUAABHYFJWKECF-NSHDSACASA-N 0 1 274.324 0.171 20 30 CCEDMN Cc1ccc(-c2cc(C(=O)N[C@H]3CNC[C@H]3C#N)[nH]n2)o1 ZINC000834480301 642572275 /nfs/dbraw/zinc/57/22/75/642572275.db2.gz NSTARMVGQMFKLR-SKDRFNHKSA-N 0 1 285.307 0.820 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)CCN2 ZINC000834484047 642574320 /nfs/dbraw/zinc/57/43/20/642574320.db2.gz CWPUYLZBUKKBMP-YPMHNXCESA-N 0 1 256.309 0.496 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1scnc1C1CC1 ZINC000834483879 642574580 /nfs/dbraw/zinc/57/45/80/642574580.db2.gz AUPJZIIEBUJWLS-BDAKNGLRSA-N 0 1 262.338 0.862 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccc(F)c(F)c1 ZINC000834481052 642575008 /nfs/dbraw/zinc/57/50/08/642575008.db2.gz ZMZMFAHNMHXDQD-SKDRFNHKSA-N 0 1 265.263 0.735 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000834488638 642577644 /nfs/dbraw/zinc/57/76/44/642577644.db2.gz FPCMFGFLJZWRCX-HTQZYQBOSA-N 0 1 266.282 0.498 20 30 CCEDMN Cc1nc(CCC(=O)N[C@@H]2CNC[C@H]2C#N)cs1 ZINC000834488191 642577989 /nfs/dbraw/zinc/57/79/89/642577989.db2.gz AFRPUEPTEFWWND-MWLCHTKSSA-N 0 1 264.354 0.612 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccnc(-n2ccnc2)c1 ZINC000834490098 642579436 /nfs/dbraw/zinc/57/94/36/642579436.db2.gz RVGJGZRTVHVSHB-NWDGAFQWSA-N 0 1 282.307 0.109 20 30 CCEDMN Cc1nn(C)c(C)c1C[C@H](C)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834489255 642579733 /nfs/dbraw/zinc/57/97/33/642579733.db2.gz LFJRWSOIABOMBB-WFBYXXMGSA-N 0 1 289.383 0.443 20 30 CCEDMN N#C[C@@H]1C[NH2+]C[C@H]1NC(=O)c1n[n-]c2ccccc2c1=O ZINC000834490755 642581691 /nfs/dbraw/zinc/58/16/91/642581691.db2.gz WWFAIWDRDTUFJD-LDYMZIIASA-N 0 1 283.291 0.177 20 30 CCEDMN Cn1nc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1C1CC1 ZINC000834490852 642581959 /nfs/dbraw/zinc/58/19/59/642581959.db2.gz XLERLSUABAKXBZ-ONGXEEELSA-N 0 1 259.313 0.139 20 30 CCEDMN Cn1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(-c2cccnc2)n1 ZINC000834494099 642583707 /nfs/dbraw/zinc/58/37/07/642583707.db2.gz KDQIQCVWZZFMGK-AAEUAGOBSA-N 0 1 296.334 0.323 20 30 CCEDMN C[C@H](Oc1ccc(F)c(F)c1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834495199 642585417 /nfs/dbraw/zinc/58/54/17/642585417.db2.gz SVQXNDBWEWWRMJ-MWODSPESSA-N 0 1 295.289 0.960 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(N)c([N+](=O)[O-])c1 ZINC000834494883 642585495 /nfs/dbraw/zinc/58/54/95/642585495.db2.gz QORARTCQSAXMKT-PSASIEDQSA-N 0 1 289.295 0.327 20 30 CCEDMN Cc1noc(C)c1[C@H](C)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834495708 642587257 /nfs/dbraw/zinc/58/72/57/642587257.db2.gz YHUXSWONVNEGEY-XROYCOCOSA-N 0 1 262.313 0.623 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCn1cc(Cl)cn1 ZINC000834499535 642587990 /nfs/dbraw/zinc/58/79/90/642587990.db2.gz JLLBOAPQQJKISS-PSASIEDQSA-N 0 1 267.720 0.154 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1nc(Cl)cs1 ZINC000834504869 642591927 /nfs/dbraw/zinc/59/19/27/642591927.db2.gz GGYXONKPPLEPDD-RITPCOANSA-N 0 1 256.718 0.638 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(Br)c[nH]1 ZINC000834511555 642596636 /nfs/dbraw/zinc/59/66/36/642596636.db2.gz MGMTXVHBKAWLGO-HZGVNTEJSA-N 0 1 283.129 0.619 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCc1ccccc1F ZINC000834511789 642597103 /nfs/dbraw/zinc/59/71/03/642597103.db2.gz NZKAEYUHXCPIFF-WCQYABFASA-N 0 1 261.300 0.986 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1c[nH]nc1-c1ccccc1 ZINC000834515908 642598081 /nfs/dbraw/zinc/59/80/81/642598081.db2.gz DEFBJUJPVGRYCC-YPMHNXCESA-N 0 1 281.319 0.918 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1coc(Br)c1 ZINC000834515993 642599278 /nfs/dbraw/zinc/59/92/78/642599278.db2.gz DNUZMYUSQZTZFJ-YUMQZZPRSA-N 0 1 284.113 0.883 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1c(Cl)nc2ccccn21 ZINC000834521239 642601921 /nfs/dbraw/zinc/60/19/21/642601921.db2.gz FNPHYFWMSZMJSD-IUCAKERBSA-N 0 1 289.726 0.829 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccccc1-n1cccn1 ZINC000834521553 642602172 /nfs/dbraw/zinc/60/21/72/642602172.db2.gz MWOVJAJQAYPIOS-AAEUAGOBSA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COCCOc1ccccc1 ZINC000834521881 642603284 /nfs/dbraw/zinc/60/32/84/642603284.db2.gz YBBALEILKLDGJL-GXTWGEPZSA-N 0 1 289.335 0.310 20 30 CCEDMN Cc1nc(CN2C[C@H]3CC[C@@H](C2)N3C(=O)C#CC2CC2)n[nH]1 ZINC001017541900 650810244 /nfs/dbraw/zinc/81/02/44/650810244.db2.gz LWOJKGCHZKVOAT-OKILXGFUSA-N 0 1 299.378 0.702 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCOc1ccccc1 ZINC000843462318 643066359 /nfs/dbraw/zinc/06/63/59/643066359.db2.gz PMKHFCBVATYMQE-AAEUAGOBSA-N 0 1 259.309 0.683 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCSCc1ccccn1 ZINC000844195057 643177514 /nfs/dbraw/zinc/17/75/14/643177514.db2.gz PMRMSAXUXQAEER-WCQYABFASA-N 0 1 290.392 0.933 20 30 CCEDMN Cc1cc(C)n([C@H](C)CC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000844195682 643179469 /nfs/dbraw/zinc/17/94/69/643179469.db2.gz XZLFIWNSLAMQOS-FRRDWIJNSA-N 0 1 275.356 0.679 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CCNC1=O ZINC001017936295 650835971 /nfs/dbraw/zinc/83/59/71/650835971.db2.gz GPEBINSHBCRLEK-ZENOOKHLSA-N 0 1 291.395 0.764 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CCN(C2CC2)[C@@H](C#N)C1 ZINC000847483934 643524801 /nfs/dbraw/zinc/52/48/01/643524801.db2.gz JCHPISYIMVEFTR-RISCZKNCSA-N 0 1 287.367 0.787 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccnc1 ZINC001018338383 650854283 /nfs/dbraw/zinc/85/42/83/650854283.db2.gz WBEGPXHICYCDDY-OKILXGFUSA-N 0 1 272.352 0.582 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccc2c(c1)CCNC2=O ZINC000850754124 643811320 /nfs/dbraw/zinc/81/13/20/643811320.db2.gz JRMRKXZUAQFUNM-GWCFXTLKSA-N 0 1 299.334 0.206 20 30 CCEDMN C=CCN(CCNC(=O)OC(C)(C)C)C[C@H](O)CO ZINC000852179244 643939150 /nfs/dbraw/zinc/93/91/50/643939150.db2.gz DBCDBLPUSPDYPX-NSHDSACASA-N 0 1 274.361 0.352 20 30 CCEDMN N#CCOc1ccc(CN2CCC(O)(CO)CC2)cc1 ZINC000852499625 643973024 /nfs/dbraw/zinc/97/30/24/643973024.db2.gz UHFLWYGCPYUFPK-UHFFFAOYSA-N 0 1 276.336 0.908 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccnn1C(F)F ZINC000852827729 644014195 /nfs/dbraw/zinc/01/41/95/644014195.db2.gz OIWYPGOHGAOBFY-NKWVEPMBSA-N 0 1 255.228 0.120 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C(=O)Nc1c(F)cccc1F ZINC000852874517 644036838 /nfs/dbraw/zinc/03/68/38/644036838.db2.gz AKPNTQMGFCXSIN-GMSGAONNSA-N 0 1 294.261 0.131 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1cc(C2CC2)no1 ZINC000852875877 644037226 /nfs/dbraw/zinc/03/72/26/644037226.db2.gz SAIQHDQWPZCIQK-SKDRFNHKSA-N 0 1 260.297 0.322 20 30 CCEDMN Cc1oncc1CN[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001018633359 650887211 /nfs/dbraw/zinc/88/72/11/650887211.db2.gz WTGYHLKGWZZICW-CABZTGNLSA-N 0 1 262.313 0.833 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2c(C3CCC3)cnn2C)N1 ZINC000853330244 644098618 /nfs/dbraw/zinc/09/86/18/644098618.db2.gz LDVSAKUGEAENPJ-SECBINFHSA-N 0 1 260.345 0.959 20 30 CCEDMN C[C@H](O)CNN=C1C(=O)Nc2cc(O)c(Cl)cc21 ZINC000853350204 644100779 /nfs/dbraw/zinc/10/07/79/644100779.db2.gz DOGKGKGBFAQVNA-YFKPBYRVSA-N 0 1 269.688 0.672 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCc2nnc[nH]2)C1 ZINC001018687142 650897192 /nfs/dbraw/zinc/89/71/92/650897192.db2.gz UWDRYCAPCQTPDC-JTQLQIEISA-N 0 1 283.763 0.680 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cn(CC)nn2)C1 ZINC001019177848 650944882 /nfs/dbraw/zinc/94/48/82/650944882.db2.gz HILYIEVXFPLXMO-NSHDSACASA-N 0 1 297.790 0.784 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cn2ccnc2)C1 ZINC001019869258 651012004 /nfs/dbraw/zinc/01/20/04/651012004.db2.gz XAKVNVKRFKTAFB-NSHDSACASA-N 0 1 268.748 0.826 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]C[C@H]2C[C@H]3CC[C@@H](C2)[NH2+]3)o1 ZINC000866809174 645607490 /nfs/dbraw/zinc/60/74/90/645607490.db2.gz HDVNSMJUIGUINU-JGPRNRPPSA-N 0 1 295.364 0.960 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001020223641 651051167 /nfs/dbraw/zinc/05/11/67/651051167.db2.gz WAULHEAYZWIGIS-XYPYZODXSA-N 0 1 296.334 0.846 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1cn2cc(F)ccc2n1 ZINC000870940292 646054251 /nfs/dbraw/zinc/05/42/51/646054251.db2.gz HYUGDXLCXUCRFJ-CABZTGNLSA-N 0 1 287.298 0.244 20 30 CCEDMN C[C@H](O)C[C@H]1CCCCN1CC(=O)NCCC#N ZINC000123616835 646142522 /nfs/dbraw/zinc/14/25/22/646142522.db2.gz QVACUVUBUPLTOA-NWDGAFQWSA-N 0 1 253.346 0.642 20 30 CCEDMN Cc1cnccc1NN=Cc1cn(C[C@@H]2CCOC2)nn1 ZINC000872392549 646255206 /nfs/dbraw/zinc/25/52/06/646255206.db2.gz OSEOQBKRDUYGEZ-LBPRGKRZSA-N 0 1 286.339 0.886 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NCc1cc[nH]n1 ZINC000876606168 646632410 /nfs/dbraw/zinc/63/24/10/646632410.db2.gz WPMPOVBUDXRDPY-AWEZNQCLSA-N 0 1 281.319 0.785 20 30 CCEDMN C[C@H]1CCCCN1CC(N)=NOCC1(CO)COC1 ZINC000127427547 647001741 /nfs/dbraw/zinc/00/17/41/647001741.db2.gz XUEQCZOPEFFWQY-NSHDSACASA-N 0 1 271.361 0.159 20 30 CCEDMN C=CCC[C@@H](C)NCc1nnc2c(=O)n(C)ccn12 ZINC000883334697 647513355 /nfs/dbraw/zinc/51/33/55/647513355.db2.gz FTMMJTUBEPXSCF-SNVBAGLBSA-N 0 1 261.329 0.872 20 30 CCEDMN C[C@@H]1CCc2n[nH]cc2[C@@H]1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000884250254 647680551 /nfs/dbraw/zinc/68/05/51/647680551.db2.gz AKNBZKOXUFIRMT-MNUQUWEESA-N 0 1 273.340 0.303 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccn2ccccc12 ZINC000884250364 647681359 /nfs/dbraw/zinc/68/13/59/647681359.db2.gz COKGJRMOFHKAPJ-PWSUYJOCSA-N 0 1 254.293 0.781 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ncccc1-c1ccccn1 ZINC000884250948 647682099 /nfs/dbraw/zinc/68/20/99/647682099.db2.gz SECHJCBDNYTSJI-SMDDNHRTSA-N 0 1 293.330 0.985 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N[C@H]2CNC[C@@H]2C#N)C1 ZINC000884251255 647682286 /nfs/dbraw/zinc/68/22/86/647682286.db2.gz ZAFWXSWJBQCCEW-KLHWPWHYSA-N 0 1 273.340 0.303 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)c2c[nH]cc3ncnc2-3)CCO1 ZINC000887690801 648144899 /nfs/dbraw/zinc/14/48/99/648144899.db2.gz UDJUWEGFHRFAJD-ZDUSSCGKSA-N 0 1 271.280 0.713 20 30 CCEDMN N#CC1(CCCCN2CCN(CC(N)=O)CC2)CCC1 ZINC000929194128 648712395 /nfs/dbraw/zinc/71/23/95/648712395.db2.gz LQXNZYMZKFKQFQ-UHFFFAOYSA-N 0 1 278.400 0.953 20 30 CCEDMN C[C@@H]1CN(Cc2cncc(C#N)c2)C[C@H](C)N1CCO ZINC000930645443 648885469 /nfs/dbraw/zinc/88/54/69/648885469.db2.gz UOPDZVPIUUUOKJ-BETUJISGSA-N 0 1 274.368 0.840 20 30 CCEDMN C[C@H]1CN(Cc2cncc(C#N)c2)[C@@H](C)CN1CCO ZINC000930744849 648898945 /nfs/dbraw/zinc/89/89/45/648898945.db2.gz ZWYTZMXNRADHFN-STQMWFEESA-N 0 1 274.368 0.840 20 30 CCEDMN C#CCN(C[C@@H]1C[C@@H]2COC[C@@H]2O1)C1CSC1 ZINC000930959646 648929891 /nfs/dbraw/zinc/92/98/91/648929891.db2.gz BINBVOPQLPAVNL-WXHSDQCUSA-N 0 1 253.367 0.841 20 30 CCEDMN COc1nnc(NC(=O)NCC#CCN(C)C)s1 ZINC000931032026 648936266 /nfs/dbraw/zinc/93/62/66/648936266.db2.gz LMAGWVWGKPNNSJ-UHFFFAOYSA-N 0 1 269.330 0.233 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCO[C@H](C)CC1 ZINC000932350974 649067834 /nfs/dbraw/zinc/06/78/34/649067834.db2.gz XMLIMZDDZHPKBX-VXGBXAGGSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@@H]1COC[C@H]1NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000932487433 649074914 /nfs/dbraw/zinc/07/49/14/649074914.db2.gz BCZWERRHSHXGKH-ZYHUDNBSSA-N 0 1 295.364 0.351 20 30 CCEDMN O=C1CCCN1CCN1CCC2(CC1)CC(=O)C=CO2 ZINC000933651400 649132660 /nfs/dbraw/zinc/13/26/60/649132660.db2.gz FRDIOPINMRKQTG-UHFFFAOYSA-N 0 1 278.352 0.947 20 30 CCEDMN N#CCN1C[C@@H](CNC(=O)c2n[nH]c3ccccc32)[C@H](O)C1 ZINC000958645554 649815881 /nfs/dbraw/zinc/81/58/81/649815881.db2.gz RJOLIVIQGDWZGI-ZWNOBZJWSA-N 0 1 299.334 0.109 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnnn2CC)C1 ZINC001007280256 649826987 /nfs/dbraw/zinc/82/69/87/649826987.db2.gz NGBQTNSYLYKJDU-GFCCVEGCSA-N 0 1 275.356 0.516 20 30 CCEDMN C[C@@H]1CN(C)[C@@H](C)CN1c1cnc(C#N)c(C#N)n1 ZINC001164632027 719242109 /nfs/dbraw/zinc/24/21/09/719242109.db2.gz HPIZJYJVSCVJCS-VHSXEESVSA-N 0 1 256.313 0.749 20 30 CCEDMN C[C@@H]1CN(c2cnc(C#N)c(C#N)n2)[C@H](C)CN1C ZINC001164632025 719242441 /nfs/dbraw/zinc/24/24/41/719242441.db2.gz HPIZJYJVSCVJCS-NXEZZACHSA-N 0 1 256.313 0.749 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncnc4[nH]cc(C#N)c43)C[C@H]21 ZINC001164665748 719369427 /nfs/dbraw/zinc/36/94/27/719369427.db2.gz CJJXREULHGZEIJ-MNOVXSKESA-N 0 1 284.323 0.349 20 30 CCEDMN Cc1cnc(N2C[C@@H]3OCCN(C)[C@H]3C2)c(C#N)c1 ZINC001164667924 719374617 /nfs/dbraw/zinc/37/46/17/719374617.db2.gz LMESGHVLCDCDEM-STQMWFEESA-N 0 1 258.325 0.781 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncc(F)cc3C#N)C[C@@H]21 ZINC001164668547 719378077 /nfs/dbraw/zinc/37/80/77/719378077.db2.gz OKAWSJUYGFWQAE-RYUDHWBXSA-N 0 1 262.288 0.612 20 30 CCEDMN C=C(C)C[N@@H+](C)CCNC(=O)COc1cc(C)on1 ZINC001266285419 790406724 /nfs/dbraw/zinc/40/67/24/790406724.db2.gz XZGNBRQPHOPAOG-UHFFFAOYSA-N 0 1 267.329 0.986 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnn(C)c1Cl ZINC001266288867 790422649 /nfs/dbraw/zinc/42/26/49/790422649.db2.gz SGLFCAYWAXQDPU-UHFFFAOYSA-N 0 1 268.748 0.758 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)CNc1cnc(C#N)cn1 ZINC001104226210 790451787 /nfs/dbraw/zinc/45/17/87/790451787.db2.gz LNWBHTQJDUERJB-SNVBAGLBSA-N 0 1 299.338 0.478 20 30 CCEDMN C#CC[N@@H+](C)CCNC(=O)c1cccc2n[nH]cc21 ZINC001266306259 790477928 /nfs/dbraw/zinc/47/79/28/790477928.db2.gz JZDJIGGOXXBODF-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cccc2n[nH]cc21 ZINC001266306259 790477933 /nfs/dbraw/zinc/47/79/33/790477933.db2.gz JZDJIGGOXXBODF-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCN(CCN2CCCC2=O)C1 ZINC001266319613 790496867 /nfs/dbraw/zinc/49/68/67/790496867.db2.gz OIZBAHUHVWOBQQ-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1CCN(CC(=C)C)C1 ZINC001266320107 790499381 /nfs/dbraw/zinc/49/93/81/790499381.db2.gz YVZRWGKJRZSUMT-STQMWFEESA-N 0 1 250.342 0.791 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@]2(CCN([C@H](C)C(N)=O)C2)C1 ZINC001041539206 790502848 /nfs/dbraw/zinc/50/28/48/790502848.db2.gz DVZREHSWUUYURU-WBMJQRKESA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H](C)Oc1cccnc1 ZINC001266323633 790506273 /nfs/dbraw/zinc/50/62/73/790506273.db2.gz IZBLIZDFTZYJSC-LBPRGKRZSA-N 0 1 261.325 0.530 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2nccn2C)C1 ZINC001266330383 790522200 /nfs/dbraw/zinc/52/22/00/790522200.db2.gz CKRVKOFVNUEFFY-LBPRGKRZSA-N 0 1 260.341 0.176 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H]1CC(=O)N(CC(C)C)C1 ZINC001266394586 790628007 /nfs/dbraw/zinc/62/80/07/790628007.db2.gz UPMGGDOHSTUYQJ-AWEZNQCLSA-N 0 1 293.411 0.562 20 30 CCEDMN N#CCN[C@H](CNC(=O)[C@@H]1CCCc2n[nH]nc21)C1CC1 ZINC001266426361 790675150 /nfs/dbraw/zinc/67/51/50/790675150.db2.gz JZSCBNIEVYSBHK-ZYHUDNBSSA-N 0 1 288.355 0.233 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CO[C@@H]1CCOC1 ZINC001266465645 790758800 /nfs/dbraw/zinc/75/88/00/790758800.db2.gz ZBMPEDHFXDPTCR-QWHCGFSZSA-N 0 1 266.341 0.006 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)c2ccco2)C1 ZINC001266497631 790789969 /nfs/dbraw/zinc/78/99/69/790789969.db2.gz JSLNRLLULDSODF-LBPRGKRZSA-N 0 1 291.351 0.634 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)c2ccco2)C1 ZINC001266497630 790790561 /nfs/dbraw/zinc/79/05/61/790790561.db2.gz JSLNRLLULDSODF-GFCCVEGCSA-N 0 1 291.351 0.634 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CCN([C@H](C)C(N)=O)C1 ZINC001266526713 790870193 /nfs/dbraw/zinc/87/01/93/790870193.db2.gz YJJJOJNKGZPFKR-NEPJUHHUSA-N 0 1 281.400 0.901 20 30 CCEDMN C#CCOCCC(=O)NCC[N@H+](CC#CC)C1CC1 ZINC001266592480 790996000 /nfs/dbraw/zinc/99/60/00/790996000.db2.gz QUQWFCTXRORKKG-UHFFFAOYSA-N 0 1 262.353 0.630 20 30 CCEDMN C#CCOCCC(=O)NCCN(CC#CC)C1CC1 ZINC001266592480 790996008 /nfs/dbraw/zinc/99/60/08/790996008.db2.gz QUQWFCTXRORKKG-UHFFFAOYSA-N 0 1 262.353 0.630 20 30 CCEDMN C#CCN(CCNC(=O)c1cccc(C(N)=O)c1)C1CC1 ZINC001266596949 791002323 /nfs/dbraw/zinc/00/23/23/791002323.db2.gz ROQLSWMPPJCCBG-UHFFFAOYSA-N 0 1 285.347 0.613 20 30 CCEDMN CN(CCNCC#Cc1ccccc1)C(=O)Cn1cccn1 ZINC001266641274 791073676 /nfs/dbraw/zinc/07/36/76/791073676.db2.gz WSFDACLNZXDDJS-UHFFFAOYSA-N 0 1 296.374 0.983 20 30 CCEDMN C#CC[N@@H+](C)CCOCCNC(=O)C1CCOCC1 ZINC001277461917 805644802 /nfs/dbraw/zinc/64/48/02/805644802.db2.gz WUHRXVHUBHHNDA-UHFFFAOYSA-N 0 1 268.357 0.111 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)Cn1cc(C)cn1 ZINC001230989949 805705173 /nfs/dbraw/zinc/70/51/73/805705173.db2.gz SZESOZRJWYUPGQ-AWEZNQCLSA-N 0 1 274.368 0.748 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cn1cc(C)cn1 ZINC001230989949 805705184 /nfs/dbraw/zinc/70/51/84/805705184.db2.gz SZESOZRJWYUPGQ-AWEZNQCLSA-N 0 1 274.368 0.748 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)CCOC ZINC001231045678 805714754 /nfs/dbraw/zinc/71/47/54/805714754.db2.gz VSCROPICJYHEQG-LBPRGKRZSA-N 0 1 285.388 0.152 20 30 CCEDMN Cc1cncc(CCNC(=O)NCC#CCN(C)C)n1 ZINC001231126874 805735493 /nfs/dbraw/zinc/73/54/93/805735493.db2.gz WOEHYJQZQOSBFY-UHFFFAOYSA-N 0 1 275.356 0.192 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C(C)(F)F ZINC001231177123 805745036 /nfs/dbraw/zinc/74/50/36/805745036.db2.gz SNBDQSFGSLLSPY-SNVBAGLBSA-N 0 1 289.326 0.218 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)CNC(=O)CC ZINC001231273107 805765556 /nfs/dbraw/zinc/76/55/56/805765556.db2.gz TZJOVAPEBABLTD-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN Cc1nc(CN2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)n[nH]1 ZINC001231294185 805771006 /nfs/dbraw/zinc/77/10/06/805771006.db2.gz VVKRLLQEDKNYHU-MWLCHTKSSA-N 0 1 276.344 0.306 20 30 CCEDMN C=C(C)CN1CC(N(C)C(=O)[C@@H](C)CN2CCOCC2)C1 ZINC001277699523 805968682 /nfs/dbraw/zinc/96/86/82/805968682.db2.gz HVCPNOPFQPSHAP-AWEZNQCLSA-N 0 1 295.427 0.673 20 30 CCEDMN CN1C[C@@H]2C[N@@H+](Cc3cc(C#N)ccc3[O-])C[C@@H]2C1=O ZINC001232675497 805970452 /nfs/dbraw/zinc/97/04/52/805970452.db2.gz ANQIUZQNXBJJSN-OLZOCXBDSA-N 0 1 271.320 0.784 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H](C)NCc1snnc1C ZINC001277771998 806028095 /nfs/dbraw/zinc/02/80/95/806028095.db2.gz UEOBIQHLBOLMLG-SNVBAGLBSA-N 0 1 298.412 0.986 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)[C@@H]1CCCN(CC(N)=O)C1 ZINC001266894693 791324816 /nfs/dbraw/zinc/32/48/16/791324816.db2.gz WXYPDMVAZGUHGQ-CHWSQXEVSA-N 0 1 279.384 0.492 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001267100217 791536899 /nfs/dbraw/zinc/53/68/99/791536899.db2.gz HSSCWDFCEJYECO-VXGBXAGGSA-N 0 1 267.373 0.749 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCC[C@H](C)NCc1cnon1 ZINC001267145512 791590030 /nfs/dbraw/zinc/59/00/30/791590030.db2.gz REDGBLJUWGRSAG-UHTWSYAYSA-N 0 1 294.355 0.645 20 30 CCEDMN C[C@@H](NCC#N)C1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC001267173843 791622898 /nfs/dbraw/zinc/62/28/98/791622898.db2.gz TYNLMLAYUUAETO-LLVKDONJSA-N 0 1 275.356 0.692 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccc(NC(C)=O)cn1 ZINC001267199345 791662030 /nfs/dbraw/zinc/66/20/30/791662030.db2.gz NSCJKPNEPXKXIV-UHFFFAOYSA-N 0 1 288.351 0.725 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccc(OC)nc1 ZINC001267206370 791671659 /nfs/dbraw/zinc/67/16/59/791671659.db2.gz XXDFFGXNTIFHGG-UHFFFAOYSA-N 0 1 291.351 0.402 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1c[nH]nc1C1CC1 ZINC001267225049 791701280 /nfs/dbraw/zinc/70/12/80/791701280.db2.gz OZPJEPPPHMJMEF-UHFFFAOYSA-N 0 1 290.367 0.599 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C[C@H](C)n1cccn1 ZINC001267225241 791702622 /nfs/dbraw/zinc/70/26/22/791702622.db2.gz RSMONYXZRCOAEZ-AWEZNQCLSA-N 0 1 292.383 0.532 20 30 CCEDMN C=CCC[N@H+]1CC[C@H]1CNC(=O)[C@H]1C[NH+](C)CCO1 ZINC001038141418 791703815 /nfs/dbraw/zinc/70/38/15/791703815.db2.gz KZNRQNVWLTYIHW-QWHCGFSZSA-N 0 1 267.373 0.084 20 30 CCEDMN C#CCN(C)CCNC(=O)Cn1c2ccccc2oc1=O ZINC001267229039 791707960 /nfs/dbraw/zinc/70/79/60/791707960.db2.gz FYWMGXIEVLIMIX-UHFFFAOYSA-N 0 1 287.319 0.276 20 30 CCEDMN C[C@@H](O)CN1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038141241 791708037 /nfs/dbraw/zinc/70/80/37/791708037.db2.gz UBZKPVMXLZMZQZ-KOLCDFICSA-N 0 1 262.313 0.071 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CCSC ZINC001233687373 806108073 /nfs/dbraw/zinc/10/80/73/806108073.db2.gz WLUZDVNMOGGHKC-GFCCVEGCSA-N 0 1 299.440 0.316 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)C[C@@H]1CCN1CCCO ZINC001233937083 806150700 /nfs/dbraw/zinc/15/07/00/806150700.db2.gz LDTLDELZTNBDIY-GXTWGEPZSA-N 0 1 270.373 0.229 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)O ZINC001234013765 806168939 /nfs/dbraw/zinc/16/89/39/806168939.db2.gz XNOFSLGPTZTFOJ-GFCCVEGCSA-N 0 1 252.358 0.703 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC(C)(C)O ZINC001234013765 806168941 /nfs/dbraw/zinc/16/89/41/806168941.db2.gz XNOFSLGPTZTFOJ-GFCCVEGCSA-N 0 1 252.358 0.703 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C(C)C ZINC001234075024 806177474 /nfs/dbraw/zinc/17/74/74/806177474.db2.gz OQBQRFBFFCVIGV-UHFFFAOYSA-N 0 1 255.362 0.383 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CCN1CCOCC=C ZINC001234267024 806215742 /nfs/dbraw/zinc/21/57/42/806215742.db2.gz VGHFIEVZGPQPKL-GJZGRUSLSA-N 0 1 294.395 0.760 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H](C)OCC ZINC001234312220 806223259 /nfs/dbraw/zinc/22/32/59/806223259.db2.gz SNJVJLPFYPUKJK-LBPRGKRZSA-N 0 1 285.388 0.152 20 30 CCEDMN N#CCN[C@@H]1C[C@@H](NC(=O)CCc2c[nH]nn2)C12CCC2 ZINC001203409884 793223203 /nfs/dbraw/zinc/22/32/03/793223203.db2.gz COAAADHOYJGQEY-VXGBXAGGSA-N 0 1 288.355 0.278 20 30 CCEDMN N#CCN[C@@H]1C[C@@H](NC(=O)CCc2cnn[nH]2)C12CCC2 ZINC001203409884 793223208 /nfs/dbraw/zinc/22/32/08/793223208.db2.gz COAAADHOYJGQEY-VXGBXAGGSA-N 0 1 288.355 0.278 20 30 CCEDMN C#CCCCC(=O)NCCN(CC)CC#CCOC ZINC001267240191 793227511 /nfs/dbraw/zinc/22/75/11/793227511.db2.gz CYIWDCACGIMOIF-UHFFFAOYSA-N 0 1 264.369 0.878 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1csnn1 ZINC001267246685 793238388 /nfs/dbraw/zinc/23/83/88/793238388.db2.gz KHNPFCATSQSHNB-UHFFFAOYSA-N 0 1 282.369 0.240 20 30 CCEDMN CCN(CC#N)CCNC(=O)[C@H](C)Cc1cnc[nH]1 ZINC001267252487 793247682 /nfs/dbraw/zinc/24/76/82/793247682.db2.gz QGVRVWKDFPSOKX-LLVKDONJSA-N 0 1 263.345 0.550 20 30 CCEDMN C=C(C)CCC(=O)N(C)C[C@@H]1CCN1C[C@@H](O)COC ZINC001234603552 806283449 /nfs/dbraw/zinc/28/34/49/806283449.db2.gz DZNHHRMZFHWJMT-UONOGXRCSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCOCC)[C@H](OC)C1 ZINC001211923071 814930135 /nfs/dbraw/zinc/93/01/35/814930135.db2.gz UDJRUXQMZRCTFW-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCC1(C(=O)N(C)C[C@@H]2CCN2CCO)CCC1 ZINC001235226851 806392708 /nfs/dbraw/zinc/39/27/08/806392708.db2.gz SPVYKHSKFQICKC-ZDUSSCGKSA-N 0 1 264.369 0.705 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCNC1=O ZINC001235280478 806401292 /nfs/dbraw/zinc/40/12/92/806401292.db2.gz KJMIQFKNXCTLSX-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)C[C@H](C)OC ZINC001235418898 806420435 /nfs/dbraw/zinc/42/04/35/806420435.db2.gz RZYDBHGWWSKBPP-STQMWFEESA-N 0 1 299.415 0.540 20 30 CCEDMN CN(C)S(=O)(=O)N1C[C@H]2C[C@@H](C1)N(CCCC#N)C2 ZINC001277945235 806422913 /nfs/dbraw/zinc/42/29/13/806422913.db2.gz SCJKQOXKSIXEMZ-RYUDHWBXSA-N 0 1 286.401 0.103 20 30 CCEDMN Cn1cc(CN2CC[C@H]3[C@@H]2CCN3CC(N)=O)cc1C#N ZINC001277982644 806589686 /nfs/dbraw/zinc/58/96/86/806589686.db2.gz ZMQLQMXABGYRIX-KBPBESRZSA-N 0 1 287.367 0.031 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)/C=C\C(C)(C)C ZINC001278053162 806639561 /nfs/dbraw/zinc/63/95/61/806639561.db2.gz CBZYRCGAZRHXBG-MEJMFZKBSA-N 0 1 282.384 0.305 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H](C)[C@H]2CCC(=O)N2)CC1 ZINC001278079514 806652887 /nfs/dbraw/zinc/65/28/87/806652887.db2.gz JMQZMUNEHMDEGC-QWHCGFSZSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1CCC(C)=C(C)C1 ZINC001278085241 806654110 /nfs/dbraw/zinc/65/41/10/806654110.db2.gz MVLXMXARJWPITE-KBPBESRZSA-N 0 1 264.369 0.823 20 30 CCEDMN C=C/C(C)=C/CC(=O)NC[C@H](CO)NCC#CCOC ZINC001278130321 806708166 /nfs/dbraw/zinc/70/81/66/806708166.db2.gz UUSQYRQEUYLJNY-WTWVRAIASA-N 0 1 280.368 0.225 20 30 CCEDMN C#CC[NH2+]CC(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC001121880888 799081975 /nfs/dbraw/zinc/08/19/75/799081975.db2.gz ZXCYGUXOXSGPPC-UHFFFAOYSA-N 0 1 256.309 0.710 20 30 CCEDMN C#CCNCC(=O)NCc1nc2cc(C)ccc2[nH]1 ZINC001121880888 799081983 /nfs/dbraw/zinc/08/19/83/799081983.db2.gz ZXCYGUXOXSGPPC-UHFFFAOYSA-N 0 1 256.309 0.710 20 30 CCEDMN C#CCNCC(=O)NCc1nc2ccc(C)cc2[nH]1 ZINC001121880888 799081990 /nfs/dbraw/zinc/08/19/90/799081990.db2.gz ZXCYGUXOXSGPPC-UHFFFAOYSA-N 0 1 256.309 0.710 20 30 CCEDMN C#CCNCC(=O)NCC1(CCOC)CCCC1 ZINC001121888685 799084732 /nfs/dbraw/zinc/08/47/32/799084732.db2.gz ASWJBSZWSVBQFF-UHFFFAOYSA-N 0 1 252.358 0.922 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1CCCO[C@H]1C(C)C ZINC001121894640 799086072 /nfs/dbraw/zinc/08/60/72/799086072.db2.gz JNIRJOMPCBVDOW-OCCSQVGLSA-N 0 1 252.358 0.777 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](O)COc1cccc(C)c1 ZINC001121936960 799101480 /nfs/dbraw/zinc/10/14/80/799101480.db2.gz BRYOOHYIESRXER-CYBMUJFWSA-N 0 1 276.336 0.074 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1coc([C@@H]2CCCN2)n1 ZINC001122032906 799127829 /nfs/dbraw/zinc/12/78/29/799127829.db2.gz LPHMAQULTROACG-VIFPVBQESA-N 0 1 278.312 0.131 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cccc2[nH]ccc21 ZINC001278154810 806727898 /nfs/dbraw/zinc/72/78/98/806727898.db2.gz HXXKAKBUGJHNIJ-LBPRGKRZSA-N 0 1 285.347 0.872 20 30 CCEDMN CNC(=O)C(C#N)C(=O)C1CCC(NC(C)=O)CC1 ZINC001122465516 799241494 /nfs/dbraw/zinc/24/14/94/799241494.db2.gz YJBPSHXGWDOWJQ-ILDUYXDCSA-N 0 1 265.313 0.136 20 30 CCEDMN Cn1cc(C(=O)C(C#N)C(=O)Nc2ccccn2)nn1 ZINC001122507326 799252504 /nfs/dbraw/zinc/25/25/04/799252504.db2.gz XMXCLQXROFSDSU-QMMMGPOBSA-N 0 1 270.252 0.171 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CSCC#N ZINC001122706860 799286945 /nfs/dbraw/zinc/28/69/45/799286945.db2.gz FAKIFFVMLMSLHG-SECBINFHSA-N 0 1 269.326 0.105 20 30 CCEDMN C=C(CC(C)C)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001123560526 799432902 /nfs/dbraw/zinc/43/29/02/799432902.db2.gz NQHUAYUNQYUDJT-SNVBAGLBSA-N 0 1 265.317 0.702 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C)C(C)C ZINC001123559876 799433177 /nfs/dbraw/zinc/43/31/77/799433177.db2.gz TVYQYVMUPCUXME-IHRRRGAJSA-N 0 1 280.416 0.578 20 30 CCEDMN Cc1cc(CNCCNC(=O)CSCC#N)sn1 ZINC001123799695 799477641 /nfs/dbraw/zinc/47/76/41/799477641.db2.gz ZNJVIPSRFGBBBN-UHFFFAOYSA-N 0 1 284.410 0.914 20 30 CCEDMN C=CCCC(=O)NCCNCc1ccnc(OC)n1 ZINC001124636739 799620509 /nfs/dbraw/zinc/62/05/09/799620509.db2.gz WRVYQWHOYXLTFV-UHFFFAOYSA-N 0 1 264.329 0.657 20 30 CCEDMN C=CCOCC(=O)NCCNCc1c(C)noc1C ZINC001124767589 799633898 /nfs/dbraw/zinc/63/38/98/799633898.db2.gz JCIVQDDSZDKGQO-UHFFFAOYSA-N 0 1 267.329 0.700 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCc1cnnn1-c1ccccc1 ZINC001124895001 799644895 /nfs/dbraw/zinc/64/48/95/799644895.db2.gz CBDWIDXMGMUYDC-GFCCVEGCSA-N 0 1 298.350 0.633 20 30 CCEDMN C=CCn1cc(CN2CCC(NC(=O)COC)CC2)cn1 ZINC001139770767 799839996 /nfs/dbraw/zinc/83/99/96/799839996.db2.gz ZXAXIOLZWCXCIB-UHFFFAOYSA-N 0 1 292.383 0.796 20 30 CCEDMN COCCN1CCN(Cc2ccc(C#N)nc2)CC1 ZINC001140541601 799921229 /nfs/dbraw/zinc/92/12/29/799921229.db2.gz MUAYIABXQNDNRY-UHFFFAOYSA-N 0 1 260.341 0.717 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N(CC#N)CC#N)cc1=O ZINC001143315681 800229497 /nfs/dbraw/zinc/22/94/97/800229497.db2.gz DGEIIJKTUCJESO-UHFFFAOYSA-N 0 1 275.312 0.251 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)COCC2CC2)C1 ZINC001149248594 800238928 /nfs/dbraw/zinc/23/89/28/800238928.db2.gz RAIYOTMYVMFIPF-AWEZNQCLSA-N 0 1 282.384 0.806 20 30 CCEDMN CN(CC(=O)NC[C@@H]1CN(CC#N)CCCO1)C1CCC1 ZINC001149848411 800303643 /nfs/dbraw/zinc/30/36/43/800303643.db2.gz MHFHPTGZWWGZNI-CQSZACIVSA-N 0 1 294.399 0.201 20 30 CCEDMN N#Cc1c(F)ccc(NC(=O)CCc2c[nH]nn2)c1N ZINC001149989304 800310341 /nfs/dbraw/zinc/31/03/41/800310341.db2.gz DLENXDQWNLIPBZ-UHFFFAOYSA-N 0 1 274.259 0.969 20 30 CCEDMN N#Cc1c(F)ccc(NC(=O)CCc2cnn[nH]2)c1N ZINC001149989304 800310345 /nfs/dbraw/zinc/31/03/45/800310345.db2.gz DLENXDQWNLIPBZ-UHFFFAOYSA-N 0 1 274.259 0.969 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CCCC(N)=O)C1 ZINC001150818236 800370064 /nfs/dbraw/zinc/37/00/64/800370064.db2.gz OWVUYLBKSSOSSX-GFCCVEGCSA-N 0 1 283.372 0.035 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)CSC)CC1 ZINC001150918727 800378851 /nfs/dbraw/zinc/37/88/51/800378851.db2.gz QSXDSLRPTQELTM-UHFFFAOYSA-N 0 1 284.425 0.970 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1ccn(C)n1 ZINC001151084942 800396112 /nfs/dbraw/zinc/39/61/12/800396112.db2.gz VRIFRGITQFZNLE-UHFFFAOYSA-N 0 1 287.161 0.648 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1nc(C2CC2)nn1C ZINC001151723417 800451143 /nfs/dbraw/zinc/45/11/43/800451143.db2.gz NONGQHPMNZNONY-UHFFFAOYSA-N 0 1 297.790 0.693 20 30 CCEDMN NC(=NC(=O)c1cc2c[nH]cnc-2n1)c1nccs1 ZINC001152996596 800578893 /nfs/dbraw/zinc/57/88/93/800578893.db2.gz OTNMLQHHHZZKRC-UHFFFAOYSA-N 0 1 272.293 0.912 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@@H](c3nn[nH]n3)C2)c[nH]1 ZINC001153000948 800578949 /nfs/dbraw/zinc/57/89/49/800578949.db2.gz HODRWUYXYVZIMS-SSDOTTSWSA-N 0 1 257.257 0.029 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccc2c(=O)[nH]c(=O)[nH]c2c1 ZINC001153792804 800667977 /nfs/dbraw/zinc/66/79/77/800667977.db2.gz JDDAJPDGHUWTPY-UHFFFAOYSA-N 0 1 296.246 0.888 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001153911063 800689551 /nfs/dbraw/zinc/68/95/51/800689551.db2.gz DLANGKCLOMCRRU-CYBMUJFWSA-N 0 1 287.791 0.894 20 30 CCEDMN O=C(C#Cc1ccc2c(c1)OCO2)NC[C@H](O)c1cnc[nH]1 ZINC001155082850 800905769 /nfs/dbraw/zinc/90/57/69/800905769.db2.gz DEGMKTOEAYCEGJ-LBPRGKRZSA-N 0 1 299.286 0.340 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2cncc(C#N)n2)CC1 ZINC001155153912 800919840 /nfs/dbraw/zinc/91/98/40/800919840.db2.gz ICVHHBIFMOYKOZ-GFCCVEGCSA-N 0 1 275.312 0.065 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2ncc(C#N)nc2C)CC1 ZINC001155160813 800921207 /nfs/dbraw/zinc/92/12/07/800921207.db2.gz YYGKIWPNFWTKRI-GFCCVEGCSA-N 0 1 289.339 0.373 20 30 CCEDMN C=CCCC(=O)NCCCNCc1ncnn1C ZINC001155580209 801015093 /nfs/dbraw/zinc/01/50/93/801015093.db2.gz WBKSRVALSUEOBE-UHFFFAOYSA-N 0 1 251.334 0.377 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1ccon1 ZINC001155711854 801042260 /nfs/dbraw/zinc/04/22/60/801042260.db2.gz CJDFKRPKNDEAMN-UHFFFAOYSA-N 0 1 253.302 0.473 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1nc(C2CC2)nn1C ZINC001155849112 801066324 /nfs/dbraw/zinc/06/63/24/801066324.db2.gz UMGCCLXQDVRIJT-SNVBAGLBSA-N 0 1 290.371 0.448 20 30 CCEDMN Cc1nc(-n2c(C)ncc(CN)c2=N)ccc1C#N ZINC001156830234 801245430 /nfs/dbraw/zinc/24/54/30/801245430.db2.gz DTOMWVQVUIMFMG-UHFFFAOYSA-N 0 1 254.297 0.694 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@H]1Cc2cc(OC)c(OC)cc21 ZINC001157420892 801376890 /nfs/dbraw/zinc/37/68/90/801376890.db2.gz CHWVBLBTGVIREA-BZNIZROVSA-N 0 1 288.347 0.810 20 30 CCEDMN CCn1ncnc1CNCCCNC(=O)C#CC(C)C ZINC001157632605 801441766 /nfs/dbraw/zinc/44/17/66/801441766.db2.gz HCNWIYSFAZVZKA-UHFFFAOYSA-N 0 1 277.372 0.553 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1COC(=O)N1 ZINC001157902799 801514627 /nfs/dbraw/zinc/51/46/27/801514627.db2.gz OUIWTRRINDFAKV-OLZOCXBDSA-N 0 1 293.367 0.431 20 30 CCEDMN CN(CC#N)C[C@H]1CCCCN1C(=O)CN1CCCC1 ZINC001158856519 801715009 /nfs/dbraw/zinc/71/50/09/801715009.db2.gz LPNDUSWQVUJTHZ-CQSZACIVSA-N 0 1 278.400 0.919 20 30 CCEDMN C#CC[N@@H+]1CC=C(CCNC(=O)[C@@H]2CCCO2)CC1 ZINC001159176814 801768494 /nfs/dbraw/zinc/76/84/94/801768494.db2.gz HRUXSRRVGIEPGH-AWEZNQCLSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2CCCO2)CC1 ZINC001159176814 801768508 /nfs/dbraw/zinc/76/85/08/801768508.db2.gz HRUXSRRVGIEPGH-AWEZNQCLSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cn[nH]c2)CC1 ZINC001159375269 801798182 /nfs/dbraw/zinc/79/81/82/801798182.db2.gz SDXMYZGOJVEZBS-UHFFFAOYSA-N 0 1 258.325 0.795 20 30 CCEDMN C#CCC1(C(=O)NCCCNCc2ncnn2C)CCC1 ZINC001159638133 801840351 /nfs/dbraw/zinc/84/03/51/801840351.db2.gz JEZYQLQUSJACJM-UHFFFAOYSA-N 0 1 289.383 0.605 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCC1=CCN(CC#C)CC1 ZINC001160112125 801909050 /nfs/dbraw/zinc/90/90/50/801909050.db2.gz HWUZRANBLAKAOO-AWEZNQCLSA-N 0 1 274.364 0.796 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](CC(C)C)NC(=O)CN ZINC001160690095 802000970 /nfs/dbraw/zinc/00/09/70/802000970.db2.gz YFNMEAGGVXMKER-LBPRGKRZSA-N 0 1 269.389 0.901 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@H](Oc2ncccc2C)C1 ZINC001161395040 802145715 /nfs/dbraw/zinc/14/57/15/802145715.db2.gz RKFJDBCDIRKDKM-WFASDCNBSA-N 0 1 273.336 0.720 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C(=O)N(C)C)C2)CC1 ZINC001161738965 802211852 /nfs/dbraw/zinc/21/18/52/802211852.db2.gz KSWQDXOZTBSZRA-CQSZACIVSA-N 0 1 291.395 0.268 20 30 CCEDMN COC(=O)C1(CNc2ccnc(CC#N)c2)CCOCC1 ZINC001161999979 802265599 /nfs/dbraw/zinc/26/55/99/802265599.db2.gz BMZDDQFERQVADQ-UHFFFAOYSA-N 0 1 289.335 0.951 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cc(C#N)ncn1 ZINC001162532150 802361098 /nfs/dbraw/zinc/36/10/98/802361098.db2.gz MSHGEDRLVMMHTR-UHFFFAOYSA-N 0 1 272.268 0.169 20 30 CCEDMN COc1cc(C#N)cnc1NCc1nnc2n1CCCNC2 ZINC001163011158 802455203 /nfs/dbraw/zinc/45/52/03/802455203.db2.gz DMLANSAWNLVSQY-UHFFFAOYSA-N 0 1 299.338 0.659 20 30 CCEDMN N#CCc1ccc(NCc2nnc3n2CCCNC3)nc1 ZINC001163010954 802455358 /nfs/dbraw/zinc/45/53/58/802455358.db2.gz ITCZDRWMUPPQJX-UHFFFAOYSA-N 0 1 283.339 0.845 20 30 CCEDMN O=C1C=C2CN(S(=O)(=O)C3CC3)CCC2S1 ZINC001259272150 808743535 /nfs/dbraw/zinc/74/35/35/808743535.db2.gz GABAXRHHLHPCSK-VIFPVBQESA-N 0 1 259.352 0.753 20 30 CCEDMN C=CCN1CCC[C@@H](NS(=O)(=O)C(F)F)C1=O ZINC001259962442 808825282 /nfs/dbraw/zinc/82/52/82/808825282.db2.gz KDJMVMNCUMECNQ-SSDOTTSWSA-N 0 1 268.285 0.305 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@](COC)(C(=O)[O-])C1 ZINC000387044493 809640724 /nfs/dbraw/zinc/64/07/24/809640724.db2.gz MKOJATLOOOEXFR-OAHLLOKOSA-N 0 1 296.367 0.610 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001163953584 802641471 /nfs/dbraw/zinc/64/14/71/802641471.db2.gz WNPGLNOKYCLZEX-JTQLQIEISA-N 0 1 280.376 0.579 20 30 CCEDMN C=CCCC(=O)NC[C@H]1C[C@@H](NCc2cn(C)nn2)C1 ZINC001264387098 809649522 /nfs/dbraw/zinc/64/95/22/809649522.db2.gz CJPBRZDLMOZZDT-TXEJJXNPSA-N 0 1 277.372 0.766 20 30 CCEDMN CN(CCCNC(=O)CC(N)=O)Cc1ccc(C#N)s1 ZINC001264973050 809682292 /nfs/dbraw/zinc/68/22/92/809682292.db2.gz IDOKEYVWGXSAJU-UHFFFAOYSA-N 0 1 294.380 0.433 20 30 CCEDMN CC(C)OCCN1CC[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001265277222 809742507 /nfs/dbraw/zinc/74/25/07/809742507.db2.gz NPMQSMHPHCQUFB-NEPJUHHUSA-N 0 1 253.346 0.762 20 30 CCEDMN CN(C1CN(c2nc(Cl)ncc2C#N)C1)[C@@H]1CCOC1 ZINC001165204141 802794520 /nfs/dbraw/zinc/79/45/20/802794520.db2.gz VIXZUVATCKBLII-SNVBAGLBSA-N 0 1 293.758 0.911 20 30 CCEDMN CN(C1CN(c2cncc(C#N)n2)C1)[C@@H]1CCOC1 ZINC001165203797 802794846 /nfs/dbraw/zinc/79/48/46/802794846.db2.gz GRPFLOJLCGKZDQ-LLVKDONJSA-N 0 1 259.313 0.258 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc2n[nH]c(=O)n2c1 ZINC001028200732 812359632 /nfs/dbraw/zinc/35/96/32/812359632.db2.gz SPQWYKXBHNIUTB-GFCCVEGCSA-N 0 1 299.334 0.262 20 30 CCEDMN COc1nc(N2CC[C@H](N)C(F)(F)C2)ncc1C#N ZINC001166959593 802994881 /nfs/dbraw/zinc/99/48/81/802994881.db2.gz AKGJKNZEACWIOV-QMMMGPOBSA-N 0 1 269.255 0.530 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@]2(F)CCOC2)C1 ZINC001276421269 803045154 /nfs/dbraw/zinc/04/51/54/803045154.db2.gz HVNFAEYNHFCAAJ-RISCZKNCSA-N 0 1 268.332 0.575 20 30 CCEDMN CCOC(=O)C(=O)COC1=C(C)O[C@@H](CC)C1=O ZINC001204845716 803104232 /nfs/dbraw/zinc/10/42/32/803104232.db2.gz IVIURAXECKQPDV-VIFPVBQESA-N 0 1 256.254 0.745 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)C2CCOCC2)C1 ZINC001205710331 803162103 /nfs/dbraw/zinc/16/21/03/803162103.db2.gz XBMMJOWERHXTQD-UKRRQHHQSA-N 0 1 294.395 0.499 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(Cc2n[nH]c(C)n2)C[C@H]1C ZINC001206613782 803274641 /nfs/dbraw/zinc/27/46/41/803274641.db2.gz DTDNSPQASOEIGA-ZYHUDNBSSA-N 0 1 293.371 0.252 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2C)[C@H]1CC ZINC001087814226 814220457 /nfs/dbraw/zinc/22/04/57/814220457.db2.gz DRMZBZBQPFTIPF-BFHYXJOUSA-N 0 1 291.395 0.600 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CCn2cncn2)C[C@H]1C ZINC001206947471 803314363 /nfs/dbraw/zinc/31/43/63/803314363.db2.gz XHHHXIANRXLPJJ-ZIAGYGMSSA-N 0 1 289.383 0.374 20 30 CCEDMN CC#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001207437554 803399758 /nfs/dbraw/zinc/39/97/58/803399758.db2.gz BNTSUJXYMCDBSU-TZMCWYRMSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001207437554 803399765 /nfs/dbraw/zinc/39/97/65/803399765.db2.gz BNTSUJXYMCDBSU-TZMCWYRMSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@H](COC)OC)C1 ZINC001208541050 803520624 /nfs/dbraw/zinc/52/06/24/803520624.db2.gz CLBRVJRAFFCBCZ-UTUOFQBUSA-N 0 1 256.346 0.270 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H](C)n2ccnc2)C1 ZINC001208985871 803562377 /nfs/dbraw/zinc/56/23/77/803562377.db2.gz ZSKXDLNXBPUDKP-MGPQQGTHSA-N 0 1 274.368 0.904 20 30 CCEDMN C=CCCCN1CCO[C@]2(C1)COCCN(C(C)=O)C2 ZINC001209161874 803576935 /nfs/dbraw/zinc/57/69/35/803576935.db2.gz OXXXMOQDFBSPJX-HNNXBMFYSA-N 0 1 282.384 0.902 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCCN(C)C(C)=O)C1 ZINC001210672228 803657319 /nfs/dbraw/zinc/65/73/19/803657319.db2.gz BBGPJYAWSPPZSR-UKRRQHHQSA-N 0 1 293.411 0.705 20 30 CCEDMN CN1CCN[C@@H](c2nc(-c3ccc(C#N)cn3)no2)C1 ZINC001211457620 803683638 /nfs/dbraw/zinc/68/36/38/803683638.db2.gz SGLROCQEKTUJRD-LLVKDONJSA-N 0 1 270.296 0.579 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](OC)C(C)C)[C@H](OC)C1 ZINC001213382861 803692666 /nfs/dbraw/zinc/69/26/66/803692666.db2.gz PLQOBAFVESCXNC-MCIONIFRSA-N 0 1 282.384 0.496 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1OC ZINC001213961672 803707593 /nfs/dbraw/zinc/70/75/93/803707593.db2.gz MEAPWRFALSKVAC-MGPQQGTHSA-N 0 1 282.384 0.969 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CCOC)[C@H]2C1 ZINC001217172659 803873806 /nfs/dbraw/zinc/87/38/06/803873806.db2.gz QTWAIDYDFYVMLU-QWHCGFSZSA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@H]2OCCN(C(=O)CCC)[C@H]2C1 ZINC001217185464 803876178 /nfs/dbraw/zinc/87/61/78/803876178.db2.gz FGJPQFIYNLYAMC-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCOCCN1C[C@H]2OCCN(C(=O)CCC)[C@H]2C1 ZINC001217185464 803876187 /nfs/dbraw/zinc/87/61/87/803876187.db2.gz FGJPQFIYNLYAMC-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)CSCC#N)[C@H]2C1 ZINC001217330775 803884685 /nfs/dbraw/zinc/88/46/85/803884685.db2.gz BAJAEABHLFLOSX-QWHCGFSZSA-N 0 1 295.408 0.731 20 30 CCEDMN COCCCCN1C[C@H]2OCCN(C(=O)[C@@H](C)C#N)[C@H]2C1 ZINC001217554952 803895729 /nfs/dbraw/zinc/89/57/29/803895729.db2.gz LCOJXXSKPUPTCO-MELADBBJSA-N 0 1 295.383 0.484 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001217771048 803913679 /nfs/dbraw/zinc/91/36/79/803913679.db2.gz UTUVUQCDXWZWCQ-SCRDCRAPSA-N 0 1 268.357 0.245 20 30 CCEDMN CCOCCCN1C[C@@H](NC(=O)[C@@H](C)C#N)[C@H](OC)C1 ZINC001212045376 814984644 /nfs/dbraw/zinc/98/46/44/814984644.db2.gz LULZHAYHOSOULQ-YNEHKIRRSA-N 0 1 283.372 0.388 20 30 CCEDMN N=C(c1ccccc1N)N(O)C(=O)C[C@H]1COCCN1 ZINC001218968403 804169375 /nfs/dbraw/zinc/16/93/75/804169375.db2.gz KXHZTDMKBVTQDF-VIFPVBQESA-N 0 1 278.312 0.190 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](NC(=O)CCn2cccn2)[C@@H](O)C1 ZINC001219210824 804238562 /nfs/dbraw/zinc/23/85/62/804238562.db2.gz XNRUIHALSVTVAI-KGLIPLIRSA-N 0 1 292.383 0.401 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C=C/C(C)(C)C)[C@@H](O)C1 ZINC001219385503 804294197 /nfs/dbraw/zinc/29/41/97/804294197.db2.gz OKYQGHOOTHETDJ-AFJNRPGYSA-N 0 1 296.411 0.953 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2ccoc2)[C@@H](O)C1 ZINC001219453814 804320229 /nfs/dbraw/zinc/32/02/29/804320229.db2.gz QEIPHJIWDIIKKS-KGLIPLIRSA-N 0 1 276.336 0.397 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@@H]1O ZINC001219490420 804330068 /nfs/dbraw/zinc/33/00/68/804330068.db2.gz GZNISVMOXXFDLX-MCIONIFRSA-N 0 1 282.384 0.376 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2n[nH]cc2C)[C@@H](O)C1 ZINC001083451250 815010560 /nfs/dbraw/zinc/01/05/60/815010560.db2.gz HHEMEVVRHLVDGG-MNOVXSKESA-N 0 1 264.329 0.069 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(C[C@H]2CCCCO2)C[C@@H]1O ZINC001219587480 804362016 /nfs/dbraw/zinc/36/20/16/804362016.db2.gz HKUSWYGIIZNTLE-KFWWJZLASA-N 0 1 294.395 0.376 20 30 CCEDMN N#CC1(NC(=O)[C@@H](N)Cc2c[nH]c3ncccc23)CCC1 ZINC001219608075 804374356 /nfs/dbraw/zinc/37/43/56/804374356.db2.gz NXHJWMKZKLNTSN-LBPRGKRZSA-N 0 1 283.335 0.995 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(C[C@H](C)O)C[C@@H]1O ZINC001219706950 804411203 /nfs/dbraw/zinc/41/12/03/804411203.db2.gz VYWSCKVPEVRDRL-TUAOUCFPSA-N 0 1 270.373 0.131 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(C[C@@H](C)OC)C[C@@H]2O)CCC1 ZINC001219785408 804424337 /nfs/dbraw/zinc/42/43/37/804424337.db2.gz NLQXPDARMPHSLZ-MCIONIFRSA-N 0 1 294.395 0.376 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2ccsc2)[C@@H](O)C1 ZINC001219810029 804427972 /nfs/dbraw/zinc/42/79/72/804427972.db2.gz AAPQEZVIFMXZQI-KGLIPLIRSA-N 0 1 292.404 0.865 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)C(C)(C)C)[C@@H](O)C1 ZINC001219883098 804439878 /nfs/dbraw/zinc/43/98/78/804439878.db2.gz NAINOBINLWEIJB-XQQFMLRXSA-N 0 1 266.385 0.853 20 30 CCEDMN CN(CC#N)CCN(C)C(=O)c1nnc2ccccc2c1O ZINC001272125597 815021751 /nfs/dbraw/zinc/02/17/51/815021751.db2.gz JNUOITKYMULRPE-UHFFFAOYSA-N 0 1 299.334 0.863 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCC2CC2)[C@@H](O)C1 ZINC001220187969 804532313 /nfs/dbraw/zinc/53/23/13/804532313.db2.gz RILHYQWLJFQCLF-KGLIPLIRSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCOC(C)C)C[C@@H]1O ZINC001220247559 804547915 /nfs/dbraw/zinc/54/79/15/804547915.db2.gz HQYZATXVKUJMQS-KGLIPLIRSA-N 0 1 284.400 0.929 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)O)C[C@@H]1O ZINC001220436486 804604313 /nfs/dbraw/zinc/60/43/13/804604313.db2.gz XIOYMPPDWCQFTM-TUAOUCFPSA-N 0 1 270.373 0.131 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)C(C)C)[C@@H](O)C1 ZINC001220461467 804608908 /nfs/dbraw/zinc/60/89/08/804608908.db2.gz TXUIQZJCMBFNAO-UPJWGTAASA-N 0 1 252.358 0.463 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2cn[nH]c2)[C@@H](O)C1 ZINC001220518301 804618224 /nfs/dbraw/zinc/61/82/24/804618224.db2.gz BXALNTPYRLHGPR-OLZOCXBDSA-N 0 1 278.356 0.080 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H](CC(=O)OC)C1CCCCC1 ZINC001220731707 804657794 /nfs/dbraw/zinc/65/77/94/804657794.db2.gz RRFASMAPMOMSFX-DOMZBBRYSA-N 0 1 280.368 0.965 20 30 CCEDMN CC[C@H](CC#N)NC(=O)[C@H](CC(C)C)NC(=O)CN ZINC001220898698 804697299 /nfs/dbraw/zinc/69/72/99/804697299.db2.gz SQGNRAPMGCOIAH-MNOVXSKESA-N 0 1 268.361 0.284 20 30 CCEDMN Cc1cnc(NC(=O)[C@@H](N)Cc2ccccc2C#N)c(=O)[nH]1 ZINC001221328382 804776989 /nfs/dbraw/zinc/77/69/89/804776989.db2.gz KOTZSFBCMDMEFU-LBPRGKRZSA-N 0 1 297.318 0.459 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C3(C(=O)N(C)C)CC3)[C@@H]2C1 ZINC001221936878 804853569 /nfs/dbraw/zinc/85/35/69/804853569.db2.gz VIRNWWFYAIGKHK-CHWSQXEVSA-N 0 1 291.395 0.574 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)C(C)C)CC1 ZINC001222393267 804897490 /nfs/dbraw/zinc/89/74/90/804897490.db2.gz BTUFTPCOOWCHJS-UHFFFAOYSA-N 0 1 279.384 0.220 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)[C@H]2CCOC2)CC1 ZINC001222543964 804914955 /nfs/dbraw/zinc/91/49/55/804914955.db2.gz ZMRPMTNLQXLPGS-HNNXBMFYSA-N 0 1 294.395 0.501 20 30 CCEDMN CC(C)NC(=O)CN1CCC(CNC(=O)[C@H](C)C#N)CC1 ZINC001222642063 804923395 /nfs/dbraw/zinc/92/33/95/804923395.db2.gz XTPPGVROSKFDRI-GFCCVEGCSA-N 0 1 294.399 0.499 20 30 CCEDMN CC(C)(C)OC(=O)N1C[C@H](OCc2nn[nH]n2)[C@H](C#N)C1 ZINC001223030333 804949606 /nfs/dbraw/zinc/94/96/06/804949606.db2.gz VYOBELAQWSUBGR-BDAKNGLRSA-N 0 1 294.315 0.475 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](CC)NC(C)=O)C[C@@H]21 ZINC001223548269 804979875 /nfs/dbraw/zinc/97/98/75/804979875.db2.gz BEBHRYBEBFVWNT-ILXRZTDVSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCC1(C(=O)NC[C@H]2CCN2C[C@@H](O)COC)CCC1 ZINC001276923556 804993507 /nfs/dbraw/zinc/99/35/07/804993507.db2.gz KGCBWOSVOCOHQW-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)C2CCC2)CC1 ZINC001276992104 805071208 /nfs/dbraw/zinc/07/12/08/805071208.db2.gz QWJTUSKNYHTZOE-NSHDSACASA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)CC(C)(C)O)CC1 ZINC001277050682 805136434 /nfs/dbraw/zinc/13/64/34/805136434.db2.gz YTNUSASYRDXKCC-LLVKDONJSA-N 0 1 297.399 0.077 20 30 CCEDMN COCC#CCN1CCC(NC(=O)COCC2CC2)CC1 ZINC001226246499 805210946 /nfs/dbraw/zinc/21/09/46/805210946.db2.gz WWUOQERBWVWLNF-UHFFFAOYSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCOCC(=O)NC1CCN([C@H](C)C(=O)N(C)C)CC1 ZINC001226599445 805253841 /nfs/dbraw/zinc/25/38/41/805253841.db2.gz WTTQGXBCPLLJDP-GFCCVEGCSA-N 0 1 297.399 0.246 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(CC)C[C@@H]1C)[C@H]1CCCO1 ZINC001226733840 805274779 /nfs/dbraw/zinc/27/47/79/805274779.db2.gz CENFVAHHHAHOMM-MELADBBJSA-N 0 1 279.384 0.903 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NCc1n[nH]c(C(C)C)n1 ZINC001226868107 805290763 /nfs/dbraw/zinc/29/07/63/805290763.db2.gz XFNYZTNUEKEBCN-VIFPVBQESA-N 0 1 267.333 0.928 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ncc[nH]2)CC1 ZINC001227211142 805331619 /nfs/dbraw/zinc/33/16/19/805331619.db2.gz KRHNMNXRCRBWJM-UHFFFAOYSA-N 0 1 276.340 0.254 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2CO[C@@H](C)C2)CC1 ZINC001228064092 805410579 /nfs/dbraw/zinc/41/05/79/805410579.db2.gz GTIUFTXXQFEJBV-UONOGXRCSA-N 0 1 294.395 0.642 20 30 CCEDMN C=C(C)C(=O)OC[C@H](C)Oc1nc(O)cc(=O)[nH]1 ZINC001228388504 805442636 /nfs/dbraw/zinc/44/26/36/805442636.db2.gz JPXKMVNKHHCQOA-ZETCQYMHSA-N 0 1 254.242 0.774 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](CC)NC(C)=O)CC1 ZINC001229321644 805521550 /nfs/dbraw/zinc/52/15/50/805521550.db2.gz MIGSMCURSPXXDG-CQSZACIVSA-N 0 1 279.384 0.505 20 30 CCEDMN CC#CCN1CCC(NC(=O)C(C)(C)NC(C)=O)CC1 ZINC001229633740 805545786 /nfs/dbraw/zinc/54/57/86/805545786.db2.gz JHCPYJGCPOEHKU-UHFFFAOYSA-N 0 1 279.384 0.505 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H]2CCO[C@H]2C)CC1 ZINC001229723562 805552099 /nfs/dbraw/zinc/55/20/99/805552099.db2.gz SSHJEFBGOVDRBF-DZGCQCFKSA-N 0 1 294.395 0.642 20 30 CCEDMN C[C@@H]1C(=O)N=C2N=CNC(c3ccncc3C#N)=C21 ZINC001244332087 807054860 /nfs/dbraw/zinc/05/48/60/807054860.db2.gz VJUCJAHVLBKMJA-ZETCQYMHSA-N 0 1 251.249 0.871 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)[C@H](CC)[NH+](C)C)C1 ZINC001278438907 807198980 /nfs/dbraw/zinc/19/89/80/807198980.db2.gz YKCZDOMQAICROC-JSGCOSHPSA-N 0 1 251.374 0.540 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H]2[C@@H](CCN2CC(N)=O)C1 ZINC001272208044 815099854 /nfs/dbraw/zinc/09/98/54/815099854.db2.gz TXSHVHYCUMIXAO-STQMWFEESA-N 0 1 277.368 0.054 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](N2CCC(C)(C#N)CC2)CN1 ZINC001246848962 807405189 /nfs/dbraw/zinc/40/51/89/807405189.db2.gz PDNCTVVMQVEWEJ-RYUDHWBXSA-N 0 1 265.357 0.906 20 30 CCEDMN N#Cc1ccc(-c2noc([C@@H]3CNCCO3)n2)nc1 ZINC001247730502 807484531 /nfs/dbraw/zinc/48/45/31/807484531.db2.gz KSQIYHBFCXXXSU-JTQLQIEISA-N 0 1 257.253 0.664 20 30 CCEDMN N#Cc1ccn2ncc(CN3CCC[C@H](C(N)=O)C3)c2c1 ZINC001249082051 807539600 /nfs/dbraw/zinc/53/96/00/807539600.db2.gz FSDAYNNQIUOROS-LBPRGKRZSA-N 0 1 283.335 0.903 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cn(C)nc1C ZINC001038114680 815134827 /nfs/dbraw/zinc/13/48/27/815134827.db2.gz SQHLVWDWKVHUDA-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)[C@H]1C ZINC001088757270 815136173 /nfs/dbraw/zinc/13/61/73/815136173.db2.gz QLFSHRNZFHWSSM-UQFQIWMKSA-N 0 1 274.364 0.622 20 30 CCEDMN COc1coc(CNCCNC(=O)C#CC(C)C)cc1=O ZINC001126822731 815136485 /nfs/dbraw/zinc/13/64/85/815136485.db2.gz ISIPHDMFLCZEGF-UHFFFAOYSA-N 0 1 292.335 0.514 20 30 CCEDMN CC(C)C[C@H](NC[C@@H](O)COc1ccccc1)C(=O)NO ZINC001251260410 807677704 /nfs/dbraw/zinc/67/77/04/807677704.db2.gz UEDZIERVJPMNDE-OCCSQVGLSA-N 0 1 296.367 0.936 20 30 CCEDMN Cc1ccccc1[C@@H](O)CNC(=O)NCC#CCN(C)C ZINC001251707719 807695775 /nfs/dbraw/zinc/69/57/75/807695775.db2.gz DIXAQRLKXCSPOC-HNNXBMFYSA-N 0 1 289.379 0.893 20 30 CCEDMN CO[C@H]1C[C@@H](N(C)C(=O)NCC#CCN(C)C)C1 ZINC001251708103 807697197 /nfs/dbraw/zinc/69/71/97/807697197.db2.gz KGCGPMNCKLMPQG-TXEJJXNPSA-N 0 1 253.346 0.370 20 30 CCEDMN C#CCOC[C@H](O)CNc1[nH]nc2nc(C)ccc21 ZINC001251823417 807710440 /nfs/dbraw/zinc/71/04/40/807710440.db2.gz FUKZIFLGZADAFB-SNVBAGLBSA-N 0 1 260.297 0.689 20 30 CCEDMN N#C[C@H]1CN(C[C@H](O)COc2ccc(F)cc2)CCC1=O ZINC001251925062 807746581 /nfs/dbraw/zinc/74/65/81/807746581.db2.gz HOPUZCKVACEDFP-AAEUAGOBSA-N 0 1 292.310 0.980 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(C[C@@H](O)COCC)C1 ZINC001252032962 807769035 /nfs/dbraw/zinc/76/90/35/807769035.db2.gz POTLEXIDYKJCFJ-CHWSQXEVSA-N 0 1 286.372 0.760 20 30 CCEDMN CCOC[C@H](O)CNC(=N)c1ccc(Cl)cn1 ZINC001252045351 807774287 /nfs/dbraw/zinc/77/42/87/807774287.db2.gz MUKFKISRSPJNCZ-SECBINFHSA-N 0 1 257.721 0.838 20 30 CCEDMN CC(C)S(=O)(=O)Nc1c(C#N)cnn1-c1ncccn1 ZINC001252427122 807841456 /nfs/dbraw/zinc/84/14/56/807841456.db2.gz KYWBKOVDBCMQRA-UHFFFAOYSA-N 0 1 292.324 0.684 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)N2C)[C@H]1C ZINC001088790182 815158984 /nfs/dbraw/zinc/15/89/84/815158984.db2.gz BYBSAPVWVNWUHO-UPJWGTAASA-N 0 1 277.368 0.210 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCC(O)(C(F)(F)F)CC1 ZINC001252461992 807875335 /nfs/dbraw/zinc/87/53/35/807875335.db2.gz XQBOTHQEFLRSNC-JTQLQIEISA-N 0 1 283.290 0.939 20 30 CCEDMN C=CCOC[C@H](O)CN1CCN(C[C@@H]2CCCO2)CC1 ZINC001252465125 807878135 /nfs/dbraw/zinc/87/81/35/807878135.db2.gz CJRVPARLKGSWHT-CABCVRRESA-N 0 1 284.400 0.347 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ncn2ccccc12 ZINC001252495120 807893972 /nfs/dbraw/zinc/89/39/72/807893972.db2.gz JWXKKGISYQSVRB-LBPRGKRZSA-N 0 1 261.325 0.987 20 30 CCEDMN C=C[C@](C)(O)CN1CC(N2CCOC(C)(C)C2)C1 ZINC001252560538 807910903 /nfs/dbraw/zinc/91/09/03/807910903.db2.gz TXCSSHDODDGKDF-AWEZNQCLSA-N 0 1 254.374 0.718 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001278673431 807914386 /nfs/dbraw/zinc/91/43/86/807914386.db2.gz SGMIFNRRVHXISZ-CJNGLKHVSA-N 0 1 291.395 0.316 20 30 CCEDMN C=C[C@H](O)CN1C[C@@H](NC(=O)CC2CC2)[C@H]2COC[C@H]21 ZINC001253576319 808083568 /nfs/dbraw/zinc/08/35/68/808083568.db2.gz BWIZMNVLLBQHEJ-REWJHTLYSA-N 0 1 280.368 0.149 20 30 CCEDMN C=C[C@H](O)CN1CCN(CCOC)c2ncccc2C1 ZINC001253578233 808088552 /nfs/dbraw/zinc/08/85/52/808088552.db2.gz XJAPCIWFTKBGAY-AWEZNQCLSA-N 0 1 277.368 0.897 20 30 CCEDMN C#Cc1cc(NC[C@@H](O)C(=O)OC(C)(C)C)ccn1 ZINC001253669196 808105821 /nfs/dbraw/zinc/10/58/21/808105821.db2.gz NFZPKNXKXMZPQH-GFCCVEGCSA-N 0 1 262.309 0.599 20 30 CCEDMN O=C(C#CC1CC1)NCCNCc1cc(CO)ccc1F ZINC001126881658 815181039 /nfs/dbraw/zinc/18/10/39/815181039.db2.gz XMXPXWNESMKCDG-UHFFFAOYSA-N 0 1 290.338 0.937 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)CSC)C1 ZINC001278766735 808194780 /nfs/dbraw/zinc/19/47/80/808194780.db2.gz MLODHNNIHAWRBQ-WCQYABFASA-N 0 1 270.398 0.172 20 30 CCEDMN C=CCC[N@@H+]1CC[C@](O)(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001278772088 808200450 /nfs/dbraw/zinc/20/04/50/808200450.db2.gz XBDVLHULYPEQIS-AWEZNQCLSA-N 0 1 294.355 0.166 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2[nH]c(C)cc2C)C1 ZINC001278787111 808248678 /nfs/dbraw/zinc/24/86/78/808248678.db2.gz ODSCHDIDRDJBLO-OAHLLOKOSA-N 0 1 275.352 0.431 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2(F)CCCCC2)C1 ZINC001278815804 808280642 /nfs/dbraw/zinc/28/06/42/808280642.db2.gz JNRUMBPUJMVDDJ-CQSZACIVSA-N 0 1 282.359 0.845 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H](C)C2CCCC2)C1 ZINC001278815437 808280757 /nfs/dbraw/zinc/28/07/57/808280757.db2.gz DKRCXANJWQCTPT-CZUORRHYSA-N 0 1 278.396 0.999 20 30 CCEDMN Cc1cc(NC(=O)CNCCNC(=O)C#CC2CC2)on1 ZINC001126899250 815203794 /nfs/dbraw/zinc/20/37/94/815203794.db2.gz KVANPLBLNYOKDL-UHFFFAOYSA-N 0 1 290.323 0.041 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)Cc1ccc(C)s1 ZINC001278882532 808424846 /nfs/dbraw/zinc/42/48/46/808424846.db2.gz JHJAELROYXZUKO-CYBMUJFWSA-N 0 1 294.420 0.983 20 30 CCEDMN COC(=O)C[C@@H]1CC[C@H](NC(=O)NCC#CCN(C)C)C1 ZINC001256204683 808480182 /nfs/dbraw/zinc/48/01/82/808480182.db2.gz ZGCUVPIJNOVBOA-OLZOCXBDSA-N 0 1 295.383 0.582 20 30 CCEDMN CC[C@H](C)[C@@H](O)CNC(=O)NCC#CCN(C)C ZINC001256579564 808534374 /nfs/dbraw/zinc/53/43/74/808534374.db2.gz MFMXZDIWIBIBEM-RYUDHWBXSA-N 0 1 255.362 0.258 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H]1CC[C@H](CO)CC1 ZINC001256585853 808538281 /nfs/dbraw/zinc/53/82/81/808538281.db2.gz WBUWKCGRFNGQKT-JOCQHMNTSA-N 0 1 267.373 0.402 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCC[C@H]1CNCc1cnon1 ZINC001272244814 815219212 /nfs/dbraw/zinc/21/92/12/815219212.db2.gz TUODAZYOVHZEOV-WCQYABFASA-N 0 1 294.355 0.647 20 30 CCEDMN C#CCN1CCN([C@@H]2CC[C@@H](C(=O)OCC)C2)CC1 ZINC001257062876 808587212 /nfs/dbraw/zinc/58/72/12/808587212.db2.gz FXHZRWKMBUTNCA-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN C[C@H]1COC(=O)C1N=Nc1nncc(N)c1Cl ZINC001257405705 808614756 /nfs/dbraw/zinc/61/47/56/808614756.db2.gz UGWLMEUVMKGHKP-BYPYZUCNSA-N 0 1 255.665 0.673 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C1CCN([C@@H](C)C(N)=O)CC1 ZINC001279079140 808659408 /nfs/dbraw/zinc/65/94/08/808659408.db2.gz FSQIRTVBDDGPLA-OLZOCXBDSA-N 0 1 293.411 0.736 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2CCN(C(=O)c3ncc(OC)cn3)[C@@H]2C1 ZINC001076020440 815234357 /nfs/dbraw/zinc/23/43/57/815234357.db2.gz GMWGIWMEJULQAW-WCQYABFASA-N 0 1 288.351 0.818 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ncc(OC)cn3)[C@@H]2C1 ZINC001076020440 815234358 /nfs/dbraw/zinc/23/43/58/815234358.db2.gz GMWGIWMEJULQAW-WCQYABFASA-N 0 1 288.351 0.818 20 30 CCEDMN C#CC[C@H](NS(=O)(=O)CCC(F)(F)F)C(=O)OC ZINC001259875429 808790249 /nfs/dbraw/zinc/79/02/49/808790249.db2.gz CQFIFXNAKLOVBZ-ZETCQYMHSA-N 0 1 287.259 0.423 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCC(=O)N3C)[C@@H]2C1 ZINC001076165058 815252579 /nfs/dbraw/zinc/25/25/79/815252579.db2.gz HFZQSTYCFFUSGK-BFHYXJOUSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2cc(C(=O)OC)no2)CC1 ZINC001262086138 809187139 /nfs/dbraw/zinc/18/71/39/809187139.db2.gz WLAMMUDWVFMTPU-UHFFFAOYSA-N 0 1 291.307 0.745 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)c1cc([C@@H]2CCC[N@H+]2C)[nH]n1 ZINC001262177497 809222925 /nfs/dbraw/zinc/22/29/25/809222925.db2.gz WLLNGJVNNONTQA-LBPRGKRZSA-N 0 1 292.339 0.889 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)c1cc([C@@H]2CCC[N@@H+]2C)[nH]n1 ZINC001262177497 809222929 /nfs/dbraw/zinc/22/29/29/809222929.db2.gz WLLNGJVNNONTQA-LBPRGKRZSA-N 0 1 292.339 0.889 20 30 CCEDMN C#CCNCC(=O)N1CCCN(c2ccccc2)CC1 ZINC001263096997 809442123 /nfs/dbraw/zinc/44/21/23/809442123.db2.gz IVBPJXUTWNFIOM-UHFFFAOYSA-N 0 1 271.364 0.948 20 30 CCEDMN C#CCNCC(=O)NCCc1cn2cccc(C)c2n1 ZINC001263101207 809443285 /nfs/dbraw/zinc/44/32/85/809443285.db2.gz LPAISDYFHPXATQ-UHFFFAOYSA-N 0 1 270.336 0.524 20 30 CCEDMN C#CCNCC(=O)NCCNc1cc(OC)cc(OC)c1 ZINC001263122234 809452910 /nfs/dbraw/zinc/45/29/10/809452910.db2.gz QXXBNEHRXSLQBO-UHFFFAOYSA-N 0 1 291.351 0.455 20 30 CCEDMN C#CCNCC(=O)N(C)CCc1cccc(C#N)c1 ZINC001263173027 809470532 /nfs/dbraw/zinc/47/05/32/809470532.db2.gz OBAWMMXYVFUBDJ-UHFFFAOYSA-N 0 1 255.321 0.782 20 30 CCEDMN N#CC(C(=O)CO[C@H]1CCOC1)C(=O)NC1CCCCC1 ZINC001263290808 809502875 /nfs/dbraw/zinc/50/28/75/809502875.db2.gz CVVGSFDVJADENY-QWHCGFSZSA-N 0 1 294.351 0.950 20 30 CCEDMN CC[C@](C)(C#N)NC(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001263723143 809557441 /nfs/dbraw/zinc/55/74/41/809557441.db2.gz OWIRJFKHYAMJHM-NTZNESFSSA-N 0 1 250.346 0.183 20 30 CCEDMN CCNc1cccnc1C(=O)NCC#CCN(C)C ZINC001263870980 809594435 /nfs/dbraw/zinc/59/44/35/809594435.db2.gz NXOVTNXOCSPLIQ-UHFFFAOYSA-N 0 1 260.341 0.808 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cccn(C)c3=O)[C@@H]2C1 ZINC001076474085 815302341 /nfs/dbraw/zinc/30/23/41/815302341.db2.gz YLIBPZJITYPZTA-GXTWGEPZSA-N 0 1 285.347 0.165 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cccn(C)c3=O)[C@@H]2C1 ZINC001076474085 815302348 /nfs/dbraw/zinc/30/23/48/815302348.db2.gz YLIBPZJITYPZTA-GXTWGEPZSA-N 0 1 285.347 0.165 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C#CC(C)C)C1 ZINC001264074705 809628610 /nfs/dbraw/zinc/62/86/10/809628610.db2.gz HQLFLUKSZQXKSV-OAHLLOKOSA-N 0 1 276.380 0.564 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C1(C(=O)NC)CCC1 ZINC001264378226 809646685 /nfs/dbraw/zinc/64/66/85/809646685.db2.gz FYBKIIRFPMXGDF-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N(C)C[C@@H]1CCN1CC#N ZINC001264375182 809647157 /nfs/dbraw/zinc/64/71/57/809647157.db2.gz VYFOIMBZTRFOPQ-AAEUAGOBSA-N 0 1 275.356 0.645 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)CCCF)n1 ZINC001264583004 809664636 /nfs/dbraw/zinc/66/46/36/809664636.db2.gz SYZYOYHVOARFER-UHFFFAOYSA-N 0 1 280.303 0.271 20 30 CCEDMN C#CCN(CCO)C1CCN(C(=O)CCCC(C)=O)CC1 ZINC001264755580 809678176 /nfs/dbraw/zinc/67/81/76/809678176.db2.gz VRHIJUVRABNRKQ-UHFFFAOYSA-N 0 1 294.395 0.664 20 30 CCEDMN N#CCSCC(=O)N[C@H]1CCCN(CCCO)C1 ZINC001265203492 809721785 /nfs/dbraw/zinc/72/17/85/809721785.db2.gz KWZIKNJVGHEBGX-NSHDSACASA-N 0 1 271.386 0.206 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2ccc(C)nc2)CC1 ZINC001265255874 809731872 /nfs/dbraw/zinc/73/18/72/809731872.db2.gz DTKVRWYKEZPDID-UHFFFAOYSA-N 0 1 288.395 0.923 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)Cc2ccc[nH]2)CC1 ZINC001265266648 809736671 /nfs/dbraw/zinc/73/66/71/809736671.db2.gz VZTNYRDGEODUNP-UHFFFAOYSA-N 0 1 290.411 0.867 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCc2cccnc2)C1 ZINC001265314539 809756009 /nfs/dbraw/zinc/75/60/09/809756009.db2.gz DBPYBNYWDRKXIW-AWEZNQCLSA-N 0 1 275.352 0.975 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@@H](CN(C)CC(=O)NC)C1 ZINC001265388345 809770234 /nfs/dbraw/zinc/77/02/34/809770234.db2.gz CADKRDHEQGHQGW-AWEZNQCLSA-N 0 1 293.411 0.706 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2ocnc2C)C1 ZINC001265422637 809776597 /nfs/dbraw/zinc/77/65/97/809776597.db2.gz UGQPNJJKNXGEHB-LBPRGKRZSA-N 0 1 279.340 0.876 20 30 CCEDMN Cc1ncc(C(=O)N2CC(NC(=O)c3ccc(C#N)[nH]3)C2)[nH]1 ZINC000990890933 815317077 /nfs/dbraw/zinc/31/70/77/815317077.db2.gz VFIRRYXYCQGNGV-UHFFFAOYSA-N 0 1 298.306 0.172 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OCC)[C@@H]1CCOC1 ZINC001265719534 809831135 /nfs/dbraw/zinc/83/11/35/809831135.db2.gz NSTQOKBHUUFEFV-MRLBHPIUSA-N 0 1 292.379 0.108 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)Cn1cccn1 ZINC001265752481 809839454 /nfs/dbraw/zinc/83/94/54/809839454.db2.gz HTNWOFFLPZHNME-JTQLQIEISA-N 0 1 256.737 0.730 20 30 CCEDMN CCc1nocc1CN[C@H](C)CNC(=O)[C@H](C)C#N ZINC001265758715 809843016 /nfs/dbraw/zinc/84/30/16/809843016.db2.gz ITXIRAPUCPXDNY-NXEZZACHSA-N 0 1 264.329 0.991 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1nccnc1N ZINC001265760988 809844286 /nfs/dbraw/zinc/84/42/86/809844286.db2.gz LBSGBNXXVHGSJF-MRVPVSSYSA-N 0 1 269.736 0.519 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H](C)NCc1ccccn1 ZINC001265774593 809849618 /nfs/dbraw/zinc/84/96/18/809849618.db2.gz HFSSBRYWSWJCMM-CHWSQXEVSA-N 0 1 275.352 0.714 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(C(N)=O)CC1 ZINC001265815711 809861484 /nfs/dbraw/zinc/86/14/84/809861484.db2.gz FVGHANRHKVIZKR-MRVPVSSYSA-N 0 1 259.737 0.099 20 30 CCEDMN C=CCNC(=O)CNC[C@@H](O)c1ccc(F)c(F)c1 ZINC000393824249 809915418 /nfs/dbraw/zinc/91/54/18/809915418.db2.gz CTFZYGFAZGCHCL-GFCCVEGCSA-N 0 1 270.279 0.890 20 30 CCEDMN CCN(CCCNC(=O)CC(C)(C)C)CC(=O)NCC#N ZINC001266019817 809919492 /nfs/dbraw/zinc/91/94/92/809919492.db2.gz SYPNWAJXFQIBPO-UHFFFAOYSA-N 0 1 296.415 0.891 20 30 CCEDMN C#CCCCC(=O)NCC[C@@H](C)N[C@H](C)c1nnnn1C ZINC001266141057 809946936 /nfs/dbraw/zinc/94/69/36/809946936.db2.gz WYNQGEWDRCWCAG-VXGBXAGGSA-N 0 1 292.387 0.559 20 30 CCEDMN CC1(C(=O)NCCN2CCC(NCC#N)CC2)CC1 ZINC001279431715 809977216 /nfs/dbraw/zinc/97/72/16/809977216.db2.gz RYQGNRBJXOFKMR-UHFFFAOYSA-N 0 1 264.373 0.480 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2=COCCC2)C1 ZINC001076792437 815374491 /nfs/dbraw/zinc/37/44/91/815374491.db2.gz VMOFKENSPKBEPS-CHWSQXEVSA-N 0 1 266.341 0.418 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2ccoc2)C1 ZINC001076919203 815410994 /nfs/dbraw/zinc/41/09/94/815410994.db2.gz AANWCUOHSQAKKE-CHWSQXEVSA-N 0 1 264.325 0.560 20 30 CCEDMN CC(C)C(=O)NCC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001102674555 815413500 /nfs/dbraw/zinc/41/35/00/815413500.db2.gz VGNNBDWLQCBEGB-CHWSQXEVSA-N 0 1 294.399 0.356 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)C(C)(C)CNC(C)=O ZINC001267278564 811084640 /nfs/dbraw/zinc/08/46/40/811084640.db2.gz MIUXFJPMVDMEHT-CYBMUJFWSA-N 0 1 279.384 0.363 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)CCN2CCOCC2)C1 ZINC001267304783 811126320 /nfs/dbraw/zinc/12/63/20/811126320.db2.gz MAUWKHAYIZRDOB-OAHLLOKOSA-N 0 1 293.411 0.170 20 30 CCEDMN COCC#CCN(CCNC(=O)CCOC)C1CC1 ZINC001267321068 811151128 /nfs/dbraw/zinc/15/11/28/811151128.db2.gz HPJAWFFPRXXTGH-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN C#CCN(CCNC(=O)COCC1CC1)C1CC1 ZINC001267322410 811155119 /nfs/dbraw/zinc/15/51/19/811155119.db2.gz ZDARTNZDFFCEPM-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN(CCNC(=O)CCc1ccnn1C)C1CC1 ZINC001267326840 811158962 /nfs/dbraw/zinc/15/89/62/811158962.db2.gz YGIDWQJIUMDCSS-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CCN(CCNC(=O)c1nn(CC)nc1C)C1CC1 ZINC001267338445 811174218 /nfs/dbraw/zinc/17/42/18/811174218.db2.gz LKKJOHPDLUVHJE-UHFFFAOYSA-N 0 1 289.383 0.824 20 30 CCEDMN CN(CCNCc1ccc(C#N)cc1F)C(=O)CC(N)=O ZINC001267355724 811206052 /nfs/dbraw/zinc/20/60/52/811206052.db2.gz BPLARLKRQDRPFR-UHFFFAOYSA-N 0 1 292.314 0.121 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1nnn(C)c1C ZINC001267358826 811209264 /nfs/dbraw/zinc/20/92/64/811209264.db2.gz OSRFKGQDUWXKTE-UHFFFAOYSA-N 0 1 271.752 0.538 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)C[C@@H]1CCC(=O)NC1 ZINC001267383458 811262466 /nfs/dbraw/zinc/26/24/66/811262466.db2.gz NVLVGAUHJPFMKG-NSHDSACASA-N 0 1 287.791 0.703 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](NC(=O)Cc3cnc[nH]3)C2)cn1 ZINC001058562576 811320895 /nfs/dbraw/zinc/32/08/95/811320895.db2.gz NJQJLGBSVNDZFG-GFCCVEGCSA-N 0 1 296.334 0.614 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)C1(C(=O)NC)CCC1 ZINC001267458593 811321428 /nfs/dbraw/zinc/32/14/28/811321428.db2.gz IGHCUORXBPDTNU-UHFFFAOYSA-N 0 1 287.791 0.703 20 30 CCEDMN Cc1ncoc1CNCCNC(=O)c1ccc(C#N)[nH]1 ZINC001125784139 811340951 /nfs/dbraw/zinc/34/09/51/811340951.db2.gz WYPKMVYFNPFWDO-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CCn2cnnn2)C1 ZINC001267487099 811369689 /nfs/dbraw/zinc/36/96/89/811369689.db2.gz AJRNXPPCYPSURP-CYBMUJFWSA-N 0 1 290.371 0.009 20 30 CCEDMN C#CCN1CC[C@H](N(CCC)C(=O)Cc2nnc[nH]2)C1 ZINC001267489272 811370454 /nfs/dbraw/zinc/37/04/54/811370454.db2.gz WODXRNYDAAQUHC-LBPRGKRZSA-N 0 1 275.356 0.293 20 30 CCEDMN C=C(C)CC[NH2+]CCN(CCO)C(=O)c1ncccc1[O-] ZINC001279695054 811402536 /nfs/dbraw/zinc/40/25/36/811402536.db2.gz HASMIWFAMXHPCA-UHFFFAOYSA-N 0 1 293.367 0.778 20 30 CCEDMN Cc1cnc(CNCCNC(=O)c2ccc(C#N)[nH]2)cn1 ZINC001125814544 811447271 /nfs/dbraw/zinc/44/72/71/811447271.db2.gz WRIPRTRJSWFTSH-UHFFFAOYSA-N 0 1 284.323 0.504 20 30 CCEDMN CN(CC#N)C[C@@H]1CCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001267582162 811479893 /nfs/dbraw/zinc/47/98/93/811479893.db2.gz HEOXEAASQZDBIJ-NSHDSACASA-N 0 1 298.350 0.875 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCC[N@@H+](CCN2CCNC2=O)C1 ZINC001267610067 811560684 /nfs/dbraw/zinc/56/06/84/811560684.db2.gz LTMYKJKADMCMAP-CYBMUJFWSA-N 0 1 294.399 0.558 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCCN(CCN2CCNC2=O)C1 ZINC001267610067 811560689 /nfs/dbraw/zinc/56/06/89/811560689.db2.gz LTMYKJKADMCMAP-CYBMUJFWSA-N 0 1 294.399 0.558 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)CNC(=O)OC)C1 ZINC001267637988 811598835 /nfs/dbraw/zinc/59/88/35/811598835.db2.gz QWYHNYIUZMIRSX-JTQLQIEISA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCN1CC(CNC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001267683158 811648078 /nfs/dbraw/zinc/64/80/78/811648078.db2.gz OUBKFDZODVBCCN-NSHDSACASA-N 0 1 265.357 0.137 20 30 CCEDMN C=CCN1CC(CNC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001267683155 811648286 /nfs/dbraw/zinc/64/82/86/811648286.db2.gz OUBKFDZODVBCCN-LLVKDONJSA-N 0 1 265.357 0.137 20 30 CCEDMN CC#CC[N@H+](C)C[C@@H]1CCN(C(=O)Cc2nnc[n-]2)C1 ZINC001267696384 811662140 /nfs/dbraw/zinc/66/21/40/811662140.db2.gz FDLNZMBHWMZKHB-LBPRGKRZSA-N 0 1 275.356 0.151 20 30 CCEDMN CC#CC[N@@H+](C)C[C@@H]1CCN(C(=O)Cc2nnc[n-]2)C1 ZINC001267696384 811662144 /nfs/dbraw/zinc/66/21/44/811662144.db2.gz FDLNZMBHWMZKHB-LBPRGKRZSA-N 0 1 275.356 0.151 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H](CC)NC(C)=O)C1 ZINC001267788919 811787413 /nfs/dbraw/zinc/78/74/13/811787413.db2.gz BCZOAVKWVSNVHG-GJZGRUSLSA-N 0 1 293.411 0.847 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCCN(CCn2cncn2)C1 ZINC001267913811 811867661 /nfs/dbraw/zinc/86/76/61/811867661.db2.gz BDXYBTKLSLKKOP-CYBMUJFWSA-N 0 1 293.371 0.061 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)n1cncn1 ZINC001125926135 811868688 /nfs/dbraw/zinc/86/86/88/811868688.db2.gz ZMJNWDUJDBBXLB-SECBINFHSA-N 0 1 257.725 0.297 20 30 CCEDMN C#CCNC(=O)CN1CCC(CCNC(=O)CC)CC1 ZINC001267940747 811880360 /nfs/dbraw/zinc/88/03/60/811880360.db2.gz NFZRVWFGUKDVKU-UHFFFAOYSA-N 0 1 279.384 0.364 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cnn(C)c3C)[C@@H]2C1 ZINC001075551458 811881858 /nfs/dbraw/zinc/88/18/58/811881858.db2.gz PQFMDDPQADVXSK-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C23CCC(CC2)C3)[C@@H](O)C1 ZINC001083574013 815485169 /nfs/dbraw/zinc/48/51/69/815485169.db2.gz DDVHXDJLCAOZOP-IQXANGCESA-N 0 1 276.380 0.751 20 30 CCEDMN C#CCN1CCO[C@H](CNC(=O)CN2CCC(C)CC2)C1 ZINC001268030638 812036319 /nfs/dbraw/zinc/03/63/19/812036319.db2.gz SXNAOPCOWPTODR-OAHLLOKOSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCNCC(=O)N1CCC(NC(=O)CCC)CC1 ZINC000092492849 812049460 /nfs/dbraw/zinc/04/94/60/812049460.db2.gz GFKPEBIHNLIDCT-UHFFFAOYSA-N 0 1 265.357 0.117 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001027882567 812114678 /nfs/dbraw/zinc/11/46/78/812114678.db2.gz ROMGKLZOEAKLQU-RYUDHWBXSA-N 0 1 287.367 0.934 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001027888074 812120417 /nfs/dbraw/zinc/12/04/17/812120417.db2.gz FKLYGHGUUUYLCV-MGPQQGTHSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cccc2n[nH]nc21 ZINC001027902744 812130793 /nfs/dbraw/zinc/13/07/93/812130793.db2.gz VLGKGGDUBDFJDK-NSHDSACASA-N 0 1 283.335 0.785 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H](C)CNCc1nccn1C ZINC001268056078 812151061 /nfs/dbraw/zinc/15/10/61/812151061.db2.gz ZRYRTHCXSHUYGT-QWRGUYRKSA-N 0 1 263.345 0.516 20 30 CCEDMN C[C@@H](CNCC#N)N(C)C(=O)c1c[nH]cc2ncnc1-2 ZINC001268062754 812171486 /nfs/dbraw/zinc/17/14/86/812171486.db2.gz DHZBDRJDEMESEI-VIFPVBQESA-N 0 1 272.312 0.532 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@@H]1CCCN1CC#N ZINC001027972191 812179645 /nfs/dbraw/zinc/17/96/45/812179645.db2.gz RLWBCXRLEHUREZ-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc2nnc(C)n2c1 ZINC001027980974 812192197 /nfs/dbraw/zinc/19/21/97/812192197.db2.gz HLQAURHFYBWMHM-AWEZNQCLSA-N 0 1 297.362 0.865 20 30 CCEDMN CC(C)N1CCO[C@@H](C(=O)NC[C@H]2CCCN2CC#N)C1 ZINC001028093384 812271941 /nfs/dbraw/zinc/27/19/41/812271941.db2.gz KVHUVYCRDZXOMG-ZIAGYGMSSA-N 0 1 294.399 0.200 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccnc2c1nnn2C ZINC001028099729 812276259 /nfs/dbraw/zinc/27/62/59/812276259.db2.gz WGODIGCHTGWMQG-NSHDSACASA-N 0 1 298.350 0.191 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CC[C@@H](C)O1 ZINC001028151298 812318469 /nfs/dbraw/zinc/31/84/69/812318469.db2.gz QEXRFLHFKIUCET-FRRDWIJNSA-N 0 1 250.342 0.768 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCOC3)[C@@H]2C1 ZINC001075605069 812332091 /nfs/dbraw/zinc/33/20/91/812332091.db2.gz NPIFTBZYINMLHO-SOUVJXGZSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc2nncn2c1 ZINC001028200244 812357692 /nfs/dbraw/zinc/35/76/92/812357692.db2.gz MOUOMPNSGDAUBM-CQSZACIVSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(OC)ccn1 ZINC001268244428 812412526 /nfs/dbraw/zinc/41/25/26/812412526.db2.gz CGLKIRRHJFBADE-UHFFFAOYSA-N 0 1 261.325 0.727 20 30 CCEDMN Cc1nnc(CNCC[C@H](C)NC(=O)C#CC2CC2)[nH]1 ZINC001268363894 812522611 /nfs/dbraw/zinc/52/26/11/812522611.db2.gz XHGFGNOJDAMDBX-JTQLQIEISA-N 0 1 275.356 0.511 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H](C)CCNCc1nonc1C ZINC001268364937 812524195 /nfs/dbraw/zinc/52/41/95/812524195.db2.gz GXNAKQLWAMSATP-JQWIXIFHSA-N 0 1 294.355 0.401 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001028261409 812526301 /nfs/dbraw/zinc/52/63/01/812526301.db2.gz XWXSFWMKCKQMEZ-WDEREUQCSA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001028261409 812526305 /nfs/dbraw/zinc/52/63/05/812526305.db2.gz XWXSFWMKCKQMEZ-WDEREUQCSA-N 0 1 288.355 0.014 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(C(N)=O)cc1 ZINC001028311991 812610927 /nfs/dbraw/zinc/61/09/27/812610927.db2.gz ZXYXTTSZXUWFBJ-AWEZNQCLSA-N 0 1 285.347 0.613 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccn(C)n1 ZINC001028313656 812610973 /nfs/dbraw/zinc/61/09/73/812610973.db2.gz JUDKOBDTCGSQDZ-GFCCVEGCSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCc2nncn2C1 ZINC001028333502 812625592 /nfs/dbraw/zinc/62/55/92/812625592.db2.gz MGZHLMCZXCMWKR-STQMWFEESA-N 0 1 287.367 0.054 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1c(C)noc1C ZINC001126361096 812634299 /nfs/dbraw/zinc/63/42/99/812634299.db2.gz XDISUIOCYZZNDF-AWEZNQCLSA-N 0 1 281.356 0.824 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001028484745 812753325 /nfs/dbraw/zinc/75/33/25/812753325.db2.gz BMIRLQHOYJFDML-NSHDSACASA-N 0 1 276.340 0.499 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC/C=C\CNCc1cnn(C)c1 ZINC001268533394 812777279 /nfs/dbraw/zinc/77/72/79/812777279.db2.gz POVZNIAEDVTUIT-IYKSTZQJSA-N 0 1 292.383 0.509 20 30 CCEDMN N#CCNC/C=C\CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001268581631 812865952 /nfs/dbraw/zinc/86/59/52/812865952.db2.gz JTWLOXRQKAWDKT-JWXWKVPASA-N 0 1 274.328 0.010 20 30 CCEDMN C[C@@H](F)CCN1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@@H](O)C1 ZINC001083392464 812934137 /nfs/dbraw/zinc/93/41/37/812934137.db2.gz JOZYCLYMGGYPOE-WQAKAFBOSA-N 0 1 294.330 0.409 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1cc(C)no1 ZINC001268720528 813031237 /nfs/dbraw/zinc/03/12/37/813031237.db2.gz JOPXJMIMAJTZAC-GFCCVEGCSA-N 0 1 279.340 0.683 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)C1CCOCC1 ZINC001268720254 813036595 /nfs/dbraw/zinc/03/65/95/813036595.db2.gz STHUMBLMNXOABG-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)Cc1nc(CC)c[nH]1 ZINC001268733766 813049524 /nfs/dbraw/zinc/04/95/24/813049524.db2.gz KODZPQJSDJVAHK-LBPRGKRZSA-N 0 1 276.384 0.974 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCNC(=O)C(C)(C)C ZINC001268740387 813056222 /nfs/dbraw/zinc/05/62/22/813056222.db2.gz JPPRAHYCVURROZ-GFCCVEGCSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccn3ccccc23)[C@@H](O)C1 ZINC001083631465 815573987 /nfs/dbraw/zinc/57/39/87/815573987.db2.gz UQVWNTGVZMPUOB-ZBFHGGJFSA-N 0 1 297.358 0.738 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001268758607 813069228 /nfs/dbraw/zinc/06/92/28/813069228.db2.gz YBRBMOGYVGGGTD-OLZOCXBDSA-N 0 1 288.395 0.974 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)Cc1nc(C2CC2)nn1C ZINC001268756729 813069341 /nfs/dbraw/zinc/06/93/41/813069341.db2.gz MKVMDKRQGPLKAA-LLVKDONJSA-N 0 1 289.383 0.305 20 30 CCEDMN C#CC(=O)N1CC2(C1)CCN(Cc1cc(C#N)n(C)c1)C2 ZINC001268941759 813151491 /nfs/dbraw/zinc/15/14/91/813151491.db2.gz FKBFQTUQNDVSSP-UHFFFAOYSA-N 0 1 282.347 0.564 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cn(C)nc2C)C1 ZINC001269009911 813176113 /nfs/dbraw/zinc/17/61/13/813176113.db2.gz RMBFFXHERSSLNI-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN N#CCCC(=O)N1CC2(C[C@H]2C(=O)NCc2cnc[nH]2)C1 ZINC001269024959 813181834 /nfs/dbraw/zinc/18/18/34/813181834.db2.gz ZQXAWEKDFKCELN-NSHDSACASA-N 0 1 287.323 0.178 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)C(C)(C)C#N)C2 ZINC001269072792 813204217 /nfs/dbraw/zinc/20/42/17/813204217.db2.gz VBKRBYSZDQGEQT-UHFFFAOYSA-N 0 1 275.312 0.503 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCN[C@@H](C)c1cnccn1 ZINC001127046646 815602985 /nfs/dbraw/zinc/60/29/85/815602985.db2.gz UEUMMVKILDRRSM-NWDGAFQWSA-N 0 1 276.340 0.282 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCCO3)[C@@H]2C1 ZINC001075689035 813271297 /nfs/dbraw/zinc/27/12/97/813271297.db2.gz GIGUCICOSMSXFG-BFHYXJOUSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CO[C@@H]1CCOC1 ZINC001269235349 813281686 /nfs/dbraw/zinc/28/16/86/813281686.db2.gz KBUQMZUNZKNMDO-CHWSQXEVSA-N 0 1 266.341 0.006 20 30 CCEDMN N#Cc1ccc2n[nH]c(C(=O)N3CC4(C[C@@H]4C(N)=O)C3)c2c1 ZINC001269243834 813286390 /nfs/dbraw/zinc/28/63/90/813286390.db2.gz YRUJCEFFQBNVEZ-SNVBAGLBSA-N 0 1 295.302 0.382 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)COCc2nccn2C)C1 ZINC001269252093 813291088 /nfs/dbraw/zinc/29/10/88/813291088.db2.gz VWFGTYACCBWPSG-CYBMUJFWSA-N 0 1 290.367 0.103 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001269263582 813295381 /nfs/dbraw/zinc/29/53/81/813295381.db2.gz RFEAGISZIMCRRA-UONOGXRCSA-N 0 1 292.383 0.826 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C(=O)NCC2CC2)C1 ZINC001269287201 813308012 /nfs/dbraw/zinc/30/80/12/813308012.db2.gz GZSSPKPDYXVNQZ-GFCCVEGCSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)c2coc(C)c2)CC1 ZINC001269402961 813357949 /nfs/dbraw/zinc/35/79/49/813357949.db2.gz QHJIKAWXJXSISL-UHFFFAOYSA-N 0 1 291.351 0.742 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1(N[C@@H](C)C(=O)N(C)C)CC1 ZINC001269465014 813380337 /nfs/dbraw/zinc/38/03/37/813380337.db2.gz SKNGKLNERLXKBH-NSHDSACASA-N 0 1 281.400 0.914 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN([C@H](C)C(N)=O)C2)cc1 ZINC001028731877 813397776 /nfs/dbraw/zinc/39/77/76/813397776.db2.gz PMSXDLDBEFUNQS-TZMCWYRMSA-N 0 1 299.374 0.593 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)Cc1c[nH]cn1 ZINC001269568739 813425050 /nfs/dbraw/zinc/42/50/50/813425050.db2.gz CSZCGGCALFUMAR-CHWSQXEVSA-N 0 1 288.351 0.730 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CNC(=O)c2c[nH]c(=O)cn2)C1 ZINC001028767378 813467561 /nfs/dbraw/zinc/46/75/61/813467561.db2.gz FHKHCWKCFNHEEM-JTQLQIEISA-N 0 1 296.758 0.574 20 30 CCEDMN C=CCCCC(=O)N1CC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001269737021 813486465 /nfs/dbraw/zinc/48/64/65/813486465.db2.gz PNTMLEYTOXJVKC-HNNXBMFYSA-N 0 1 279.384 0.752 20 30 CCEDMN COCC#CCN1CC[C@](C)(NC(=O)CCOC)C1 ZINC001270529889 813800794 /nfs/dbraw/zinc/80/07/94/813800794.db2.gz NWUMDFWSMYCWGZ-AWEZNQCLSA-N 0 1 268.357 0.253 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001149312081 813884324 /nfs/dbraw/zinc/88/43/24/813884324.db2.gz GVGXZQRVEVXPMH-NSHDSACASA-N 0 1 273.764 0.313 20 30 CCEDMN CC#CC[NH2+]C1(CNC(=O)c2[n-]nnc2C)CCCC1 ZINC001270778146 813923799 /nfs/dbraw/zinc/92/37/99/813923799.db2.gz YOAOMASYICRJSM-UHFFFAOYSA-N 0 1 275.356 0.769 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)CN2CCCC2=O)[C@H]1CC ZINC001087498046 813970736 /nfs/dbraw/zinc/97/07/36/813970736.db2.gz WGJLFHFLSCASDP-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CN2CCCC2=O)[C@H]1CC ZINC001087498046 813970743 /nfs/dbraw/zinc/97/07/43/813970743.db2.gz WGJLFHFLSCASDP-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCn2cccn2)[C@H](OC)C1 ZINC001211864726 814011242 /nfs/dbraw/zinc/01/12/42/814011242.db2.gz UXOPZEBGCSXMFV-ZIAGYGMSSA-N 0 1 292.383 0.665 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](C)OC)C1 ZINC001270940571 814094024 /nfs/dbraw/zinc/09/40/24/814094024.db2.gz LGOVHVXXNOSWSZ-OCCSQVGLSA-N 0 1 252.358 0.873 20 30 CCEDMN N#CCCN1CC(Oc2nc3[nH]cnc3c(=S)[nH]2)C1 ZINC001226784735 814145147 /nfs/dbraw/zinc/14/51/47/814145147.db2.gz IDVOSJWOQQOJLN-UHFFFAOYSA-N 0 1 276.325 0.618 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c[nH]c(=O)cn2)[C@H]1CC ZINC001087705272 814191492 /nfs/dbraw/zinc/19/14/92/814191492.db2.gz FXYVAOCUDUZXHL-WCQYABFASA-N 0 1 288.351 0.376 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC[C@H]2C[C@]21C(N)=O ZINC001271157638 814233923 /nfs/dbraw/zinc/23/39/23/814233923.db2.gz OKWVKCRRRSHJOR-PKFCDNJMSA-N 0 1 289.360 0.907 20 30 CCEDMN N#Cc1cnccc1N(CCNC(=O)c1ncn[nH]1)C1CC1 ZINC001101372219 814299473 /nfs/dbraw/zinc/29/94/73/814299473.db2.gz QWBWZUAXMLACAS-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cnccc1N(CCNC(=O)c1nc[nH]n1)C1CC1 ZINC001101372219 814299477 /nfs/dbraw/zinc/29/94/77/814299477.db2.gz QWBWZUAXMLACAS-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C[C@@H]2C(=O)NCc2cnc[nH]2)C1 ZINC001271220673 814340034 /nfs/dbraw/zinc/34/00/34/814340034.db2.gz JEUQIKRTGMVPMX-MWLCHTKSSA-N 0 1 287.323 0.034 20 30 CCEDMN C=CCN1CC(O)(CNC(=O)[C@H]2CCCCN2CC)C1 ZINC001271393325 814402533 /nfs/dbraw/zinc/40/25/33/814402533.db2.gz LOPSNDULEFSZAN-CYBMUJFWSA-N 0 1 281.400 0.210 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnc4[nH]cnc4c3)[C@@H]2C1 ZINC001075843150 814435071 /nfs/dbraw/zinc/43/50/71/814435071.db2.gz VNXVENMYKLTWDT-SMDDNHRTSA-N 0 1 295.346 0.737 20 30 CCEDMN N#CCN[C@H]1CCCCCN(C(=O)CCc2nc[nH]n2)C1 ZINC001088291382 814581982 /nfs/dbraw/zinc/58/19/82/814581982.db2.gz GCFIWTQGDUUJFI-LBPRGKRZSA-N 0 1 290.371 0.622 20 30 CCEDMN CCOCCC(=O)NCCN1CCC(NCC#N)CC1 ZINC001271905759 814627362 /nfs/dbraw/zinc/62/73/62/814627362.db2.gz VFDWKSXAIHSANV-UHFFFAOYSA-N 0 1 282.388 0.107 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)c2n[nH]cc2F)C1 ZINC001271984212 814662938 /nfs/dbraw/zinc/66/29/38/814662938.db2.gz ZXWNJPCDEGDZGI-CQSZACIVSA-N 0 1 296.346 0.682 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N[C@H](C)c1nnc[nH]1 ZINC001228508242 814717559 /nfs/dbraw/zinc/71/75/59/814717559.db2.gz YWOQXHNBNANMBT-HLTSFMKQSA-N 0 1 251.290 0.508 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H]2C[C@@H](NC(=O)c3ncn[nH]3)C2)n1 ZINC001059692222 814718646 /nfs/dbraw/zinc/71/86/46/814718646.db2.gz GXMBUUCUEQLZHI-PHIMTYICSA-N 0 1 297.322 0.753 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H]2C[C@@H](NC(=O)c3nc[nH]n3)C2)n1 ZINC001059692222 814718656 /nfs/dbraw/zinc/71/86/56/814718656.db2.gz GXMBUUCUEQLZHI-PHIMTYICSA-N 0 1 297.322 0.753 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2cccn2)[C@H]1C ZINC001088468812 814721734 /nfs/dbraw/zinc/72/17/34/814721734.db2.gz CQRCUOQFBRQRBQ-OLZOCXBDSA-N 0 1 260.341 0.485 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCN[C@H](C)c1cnc(C)cn1 ZINC001127046082 815601680 /nfs/dbraw/zinc/60/16/80/815601680.db2.gz LFDPLIUIQXTRLL-OLZOCXBDSA-N 0 1 290.367 0.590 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001212148917 815669715 /nfs/dbraw/zinc/66/97/15/815669715.db2.gz UWGHGVDTMMCYHS-ZIAGYGMSSA-N 0 1 282.384 0.378 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC2CN(CC3CCOCC3)C2)c1 ZINC001030194184 815878208 /nfs/dbraw/zinc/87/82/08/815878208.db2.gz FLNDZKZZHJKVHW-UHFFFAOYSA-N 0 1 288.351 0.727 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccnn1CCOC ZINC001038391459 815966261 /nfs/dbraw/zinc/96/62/61/815966261.db2.gz YZGJPDHJTIJEBI-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccnc(OC)c2)[C@H]1C ZINC001088861627 816095269 /nfs/dbraw/zinc/09/52/69/816095269.db2.gz RJHXEIDQBKJOAY-OCCSQVGLSA-N 0 1 287.363 0.845 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@H]2CCc3[nH]c(C)nc3C2)C1 ZINC001030552100 816103002 /nfs/dbraw/zinc/10/30/02/816103002.db2.gz FBIQXNHNESVONZ-LBPRGKRZSA-N 0 1 286.379 0.647 20 30 CCEDMN C#C[C@H](NC[C@H](O)C1(O)CCOCC1)c1ccccc1 ZINC001120590120 816152930 /nfs/dbraw/zinc/15/29/30/816152930.db2.gz WLKJQOZMFLRIQC-GJZGRUSLSA-N 0 1 275.348 0.853 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)Cn2ccc(C)cc2=O)[C@H]1C ZINC001088892649 816162435 /nfs/dbraw/zinc/16/24/35/816162435.db2.gz JZBOXFNDSGFEKA-KGLIPLIRSA-N 0 1 289.379 0.922 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCN(CC=C)[C@@H]2C)nn1 ZINC001088907125 816169950 /nfs/dbraw/zinc/16/99/50/816169950.db2.gz AHVYHQRRMZPBFJ-NEPJUHHUSA-N 0 1 275.356 0.843 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)[C@H]1C ZINC001088992262 816207171 /nfs/dbraw/zinc/20/71/71/816207171.db2.gz TXAVSDOYSCAPJE-XBFCOCLRSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCN1CC(NC(=O)[C@@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC001030697397 816230916 /nfs/dbraw/zinc/23/09/16/816230916.db2.gz RRTJHQVYBAAGDY-YGRLFVJLSA-N 0 1 272.352 0.509 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc3n[nH]cc32)C1 ZINC001077487882 816257191 /nfs/dbraw/zinc/25/71/91/816257191.db2.gz PJSPJULODJCTJS-HUUCEWRRSA-N 0 1 298.346 0.361 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H](CNCc2cnon2)[C@H](C)C1 ZINC001106102629 816304874 /nfs/dbraw/zinc/30/48/74/816304874.db2.gz SOHMHMGMSQYHMJ-CHWSQXEVSA-N 0 1 290.367 0.913 20 30 CCEDMN C#CCN1CC(NC(=O)c2occc2CN(C)C)C1 ZINC001030857544 816353636 /nfs/dbraw/zinc/35/36/36/816353636.db2.gz CAJBQCKFCBGXNQ-UHFFFAOYSA-N 0 1 261.325 0.388 20 30 CCEDMN C=CCN1CCC2(CCN(CC(=O)N(C)C)CC2)C1=O ZINC001272534963 816560413 /nfs/dbraw/zinc/56/04/13/816560413.db2.gz RALZCYMTDGCJAR-UHFFFAOYSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCN1C[C@@]2(CC1=O)COCCN(Cc1c[nH]nn1)C2 ZINC001272569385 816589879 /nfs/dbraw/zinc/58/98/79/816589879.db2.gz LFKQLBGYYQALLY-AWEZNQCLSA-N 0 1 291.355 0.042 20 30 CCEDMN C#CCNCC(=O)NCc1cccnc1N1CCCC1 ZINC001122135248 816592956 /nfs/dbraw/zinc/59/29/56/816592956.db2.gz HLTFKDIIFDBAPZ-UHFFFAOYSA-N 0 1 272.352 0.521 20 30 CCEDMN C#CCNCC(=O)NCc1c(F)cccc1OC ZINC001122266344 816625717 /nfs/dbraw/zinc/62/57/17/816625717.db2.gz ADUZRNBYUJSEJS-UHFFFAOYSA-N 0 1 250.273 0.673 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CCC(OC)CC2)[C@@H](O)C1 ZINC001083738253 816891483 /nfs/dbraw/zinc/89/14/83/816891483.db2.gz WDZUZTLEAJDVIL-CVSAEHQPSA-N 0 1 294.395 0.376 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC2(C1)CCN(CC(N)=O)CC2 ZINC001040799747 816928209 /nfs/dbraw/zinc/92/82/09/816928209.db2.gz DNPASCKCKHKEJR-UHFFFAOYSA-N 0 1 293.411 0.998 20 30 CCEDMN Cc1cc(CNCCNC(=O)CSCC#N)on1 ZINC001123786558 817064712 /nfs/dbraw/zinc/06/47/12/817064712.db2.gz BQTAQJKNUUTQJN-UHFFFAOYSA-N 0 1 268.342 0.446 20 30 CCEDMN C#CC[N@H+]1CC[C@H](NC(=O)c2[n-]nnc2C)CC(C)(C)C1 ZINC001089459275 817112526 /nfs/dbraw/zinc/11/25/26/817112526.db2.gz SKTODGUWOWBCCJ-LBPRGKRZSA-N 0 1 289.383 0.967 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2[n-]nnc2C)CC(C)(C)C1 ZINC001089459275 817112534 /nfs/dbraw/zinc/11/25/34/817112534.db2.gz SKTODGUWOWBCCJ-LBPRGKRZSA-N 0 1 289.383 0.967 20 30 CCEDMN CO[C@H](C)CN1C[C@@H](NC(=O)C#CC(C)C)[C@H](OC)C1 ZINC001212240873 817168336 /nfs/dbraw/zinc/16/83/36/817168336.db2.gz FOLPJGYOZUDKHP-MGPQQGTHSA-N 0 1 282.384 0.496 20 30 CCEDMN CO[C@@H]1CN(CCC[C@H](C)O)C[C@H]1NC(=O)C#CC(C)C ZINC001212241065 817169158 /nfs/dbraw/zinc/16/91/58/817169158.db2.gz IYYNAWUNBSYMBK-RRFJBIMHSA-N 0 1 296.411 0.622 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)C2=CCOCC2)C1 ZINC001031624337 817195056 /nfs/dbraw/zinc/19/50/56/817195056.db2.gz BNGWAWVAPFSXKN-UHFFFAOYSA-N 0 1 250.342 0.957 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C#CC2CC2)[C@H](OC)C1 ZINC001212250858 817235433 /nfs/dbraw/zinc/23/54/33/817235433.db2.gz JTPKVMOBOOVXCS-HUUCEWRRSA-N 0 1 292.379 0.418 20 30 CCEDMN CO[C@@H]1C[N@@H+](C[C@H](C)O)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212255186 817253164 /nfs/dbraw/zinc/25/31/64/817253164.db2.gz GRKBMFNLMDLCCS-YNEHKIRRSA-N 0 1 282.384 0.232 20 30 CCEDMN CO[C@@H]1CN(C[C@H](C)O)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001212255186 817253167 /nfs/dbraw/zinc/25/31/67/817253167.db2.gz GRKBMFNLMDLCCS-YNEHKIRRSA-N 0 1 282.384 0.232 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CC(=O)N(C(C)(C)C)C2)C1 ZINC001031711549 817275133 /nfs/dbraw/zinc/27/51/33/817275133.db2.gz HVEANUUWOVMZMX-CYBMUJFWSA-N 0 1 293.411 0.867 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnc3n2CCOC3)C1 ZINC001031745873 817310607 /nfs/dbraw/zinc/31/06/07/817310607.db2.gz VRBFEWUMPJANKY-UHFFFAOYSA-N 0 1 290.367 0.651 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(Cl)n(C)n2)C1 ZINC001031832261 817401619 /nfs/dbraw/zinc/40/16/19/817401619.db2.gz KJMLCODKTRETQN-UHFFFAOYSA-N 0 1 268.748 0.921 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CC(=O)N[C@@H](CC)C2)C1 ZINC001031868474 817430901 /nfs/dbraw/zinc/43/09/01/817430901.db2.gz YDTPVSMORQAOJW-KGLIPLIRSA-N 0 1 293.411 0.915 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ncn(C(C)(C)C)n2)C1 ZINC001031974135 817512103 /nfs/dbraw/zinc/51/21/03/817512103.db2.gz NXDIVQUKRFKHQN-UHFFFAOYSA-N 0 1 289.383 0.718 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCC1CN(CCOC)C1 ZINC001031987185 817523821 /nfs/dbraw/zinc/52/38/21/817523821.db2.gz WONRCYZUWMRHJY-OLZOCXBDSA-N 0 1 268.357 0.272 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(CC)nc2C)C1 ZINC001032014518 817549753 /nfs/dbraw/zinc/54/97/53/817549753.db2.gz DPKSNBDGPCFJMO-UHFFFAOYSA-N 0 1 274.368 0.896 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCc1cc(CO)ccc1F ZINC001124903884 817629384 /nfs/dbraw/zinc/62/93/84/817629384.db2.gz TZLWGFYJFUZWSZ-JTQLQIEISA-N 0 1 279.315 0.683 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc(OC)nc2)C1 ZINC001032099281 817630632 /nfs/dbraw/zinc/63/06/32/817630632.db2.gz DOWBVFAQDFBYLR-UHFFFAOYSA-N 0 1 262.313 0.333 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccc(N(C)C)nn2)C1 ZINC001032133288 817660692 /nfs/dbraw/zinc/66/06/92/817660692.db2.gz FAYGMZJAQXIFPL-UHFFFAOYSA-N 0 1 289.383 0.780 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001077581998 817714903 /nfs/dbraw/zinc/71/49/03/817714903.db2.gz AMWGWRQJSMYSAP-RBSFLKMASA-N 0 1 299.374 0.296 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCc3cccnc32)C1 ZINC001077581998 817714911 /nfs/dbraw/zinc/71/49/11/817714911.db2.gz AMWGWRQJSMYSAP-RBSFLKMASA-N 0 1 299.374 0.296 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2nn(CC)nc2C)C1 ZINC001032228530 817732008 /nfs/dbraw/zinc/73/20/08/817732008.db2.gz NTWGJJDCPPQTSR-UHFFFAOYSA-N 0 1 275.356 0.291 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnccn1 ZINC001032257544 817759167 /nfs/dbraw/zinc/75/91/67/817759167.db2.gz CAKKPXKQTJZWFM-RYUDHWBXSA-N 0 1 258.325 0.951 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cn1cccn1 ZINC001032279017 817777523 /nfs/dbraw/zinc/77/75/23/817777523.db2.gz VXFOFRILTFAVKG-STQMWFEESA-N 0 1 258.325 0.192 20 30 CCEDMN CCC(=O)NC[C@]12CCC[C@H]1N(CC(=O)NCC#N)CC2 ZINC001107246731 817815739 /nfs/dbraw/zinc/81/57/39/817815739.db2.gz LYQWORVTBQKVPU-IUODEOHRSA-N 0 1 292.383 0.397 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)CNc1ncnc2[nH]cnc21 ZINC001107664971 817932630 /nfs/dbraw/zinc/93/26/30/817932630.db2.gz CQWXCXCPSHZELX-SECBINFHSA-N 0 1 290.327 0.424 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc(C)n[nH]1 ZINC001032349370 817951311 /nfs/dbraw/zinc/95/13/11/817951311.db2.gz MOFFOZMHZBTUJX-KBPBESRZSA-N 0 1 272.352 0.569 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)C[NH+]2CC[C@@H](C)C2)C1 ZINC001077678984 817984824 /nfs/dbraw/zinc/98/48/24/817984824.db2.gz DJDCONJUZWXVBE-MGPQQGTHSA-N 0 1 281.400 0.066 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H]2COCCO2)C1 ZINC001107757928 817987839 /nfs/dbraw/zinc/98/78/39/817987839.db2.gz XZLSJXYMEJZFOZ-HIFRSBDPSA-N 0 1 298.383 0.185 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)CN2CCCC2)C12CCC2 ZINC001078813259 818056080 /nfs/dbraw/zinc/05/60/80/818056080.db2.gz YBOVLBFNHNWWKW-QWHCGFSZSA-N 0 1 276.384 0.623 20 30 CCEDMN COCC#CC(=O)N1C[C@H]2CN(C3CCCC3)C[C@@H](C1)O2 ZINC001272955149 818123608 /nfs/dbraw/zinc/12/36/08/818123608.db2.gz WIWLXWMNBABSMR-GASCZTMLSA-N 0 1 292.379 0.490 20 30 CCEDMN C=C(C)CCC(=O)NCCNCC(=O)Nc1cnccn1 ZINC001128405385 818220696 /nfs/dbraw/zinc/22/06/96/818220696.db2.gz XSRHHOZGPASEND-UHFFFAOYSA-N 0 1 291.355 0.477 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(F)F)[C@@H](O)C1 ZINC001089998776 818244816 /nfs/dbraw/zinc/24/48/16/818244816.db2.gz AAVNLTQWIMLPEW-UWVGGRQHSA-N 0 1 260.284 0.216 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnccn2)[C@@H](O)C1 ZINC001090031517 818294763 /nfs/dbraw/zinc/29/47/63/818294763.db2.gz OJCYEDIGJOWHPL-YPMHNXCESA-N 0 1 276.340 0.218 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)CN2CCCC2=O)C1 ZINC001032897161 818346458 /nfs/dbraw/zinc/34/64/58/818346458.db2.gz VPMDJLFFOIGPBD-CYBMUJFWSA-N 0 1 277.368 0.165 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CN2CCCC2=O)C1 ZINC001032897161 818346466 /nfs/dbraw/zinc/34/64/66/818346466.db2.gz VPMDJLFFOIGPBD-CYBMUJFWSA-N 0 1 277.368 0.165 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2cncnc2)C1 ZINC001033042208 818454321 /nfs/dbraw/zinc/45/43/21/818454321.db2.gz QYMGJWCHNAQERM-CQSZACIVSA-N 0 1 272.352 0.575 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCOCC2)C1 ZINC001033043096 818457731 /nfs/dbraw/zinc/45/77/31/818457731.db2.gz FQFLZFXUOKKBLM-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccon2)[C@@H](O)C1 ZINC001090096386 818506649 /nfs/dbraw/zinc/50/66/49/818506649.db2.gz PIUUGAMJJPJCHY-ONGXEEELSA-N 0 1 251.286 0.026 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncnc2C)[C@H](O)C1 ZINC001090096856 818507450 /nfs/dbraw/zinc/50/74/50/818507450.db2.gz VJZWKKRQJIDXLG-QWHCGFSZSA-N 0 1 276.340 0.136 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033124630 818552675 /nfs/dbraw/zinc/55/26/75/818552675.db2.gz OXZLFRDLJFRZAR-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001033136237 818560334 /nfs/dbraw/zinc/56/03/34/818560334.db2.gz UMSGILZWDKHABQ-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cn(C)nc2C)C1 ZINC001033148648 818573270 /nfs/dbraw/zinc/57/32/70/818573270.db2.gz MQFBTTPEBUBSHB-CQSZACIVSA-N 0 1 274.368 0.437 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cnc[nH]c2=O)C1 ZINC001033178988 818604630 /nfs/dbraw/zinc/60/46/30/818604630.db2.gz FUKKYAVPQMSQLL-NSHDSACASA-N 0 1 274.324 0.352 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCCNC2=O)CCC1 ZINC001273099888 818605540 /nfs/dbraw/zinc/60/55/40/818605540.db2.gz FQEPDQWPOYLMCU-NSHDSACASA-N 0 1 299.802 0.894 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cncs2)[C@H](O)C1 ZINC001090114507 818636026 /nfs/dbraw/zinc/63/60/26/818636026.db2.gz QJCLJBIBPRNYOI-GHMZBOCLSA-N 0 1 281.381 0.884 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CC[C@@H](C)SC)C2 ZINC001273114565 818644396 /nfs/dbraw/zinc/64/43/96/818644396.db2.gz AURUHQQIMWCGRY-GFCCVEGCSA-N 0 1 282.409 0.674 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)nn2C)[C@@H](O)C1 ZINC001090121544 818658641 /nfs/dbraw/zinc/65/86/41/818658641.db2.gz ZGTNWGNYINDCNS-YPMHNXCESA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2coc(C(N)=O)c2)C1 ZINC001033298054 818698654 /nfs/dbraw/zinc/69/86/54/818698654.db2.gz ZDRZBAZZLMFBOS-NSHDSACASA-N 0 1 277.324 0.711 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cc(C)on2)[C@H](O)C1 ZINC001090140140 818828430 /nfs/dbraw/zinc/82/84/30/818828430.db2.gz GFHLWMVZVDKEGZ-QWHCGFSZSA-N 0 1 279.340 0.263 20 30 CCEDMN CN(C(=O)[C@@H]1CCc2[nH]cnc2C1)[C@@H]1CCN(CC#N)C1 ZINC001033561803 818832334 /nfs/dbraw/zinc/83/23/34/818832334.db2.gz JVLZSOLIFSMJHE-VXGBXAGGSA-N 0 1 287.367 0.571 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ccc(=O)[nH]c2)C1 ZINC001033636223 818862399 /nfs/dbraw/zinc/86/23/99/818862399.db2.gz NPIUHXXCGGKBLS-GFCCVEGCSA-N 0 1 259.309 0.567 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cn2ccccc2=O)C1 ZINC001033654476 818868651 /nfs/dbraw/zinc/86/86/51/818868651.db2.gz AETDMGVYJOUZTF-ZDUSSCGKSA-N 0 1 273.336 0.014 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cn2ccccc2=O)C1 ZINC001033655997 818871235 /nfs/dbraw/zinc/87/12/35/818871235.db2.gz IALCOIMUWYEMDA-CYBMUJFWSA-N 0 1 275.352 0.567 20 30 CCEDMN C=CC[N@H+]1CC[C@H](N(C)C(=O)[C@H]2C[NH+](CC)CCO2)C1 ZINC001033681122 818883667 /nfs/dbraw/zinc/88/36/67/818883667.db2.gz RUQSTHRNIJSEHD-UONOGXRCSA-N 0 1 281.400 0.426 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001033687585 818886377 /nfs/dbraw/zinc/88/63/77/818886377.db2.gz ABYIOOHLGZFTNM-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001033740074 818910029 /nfs/dbraw/zinc/91/00/29/818910029.db2.gz QZLFIUDYOVBYLB-LLVKDONJSA-N 0 1 276.340 0.905 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)C2=COCCO2)C1 ZINC001033742566 818913480 /nfs/dbraw/zinc/91/34/80/818913480.db2.gz NEYJQCBVFSDMNZ-GFCCVEGCSA-N 0 1 264.325 0.431 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001033788861 818934709 /nfs/dbraw/zinc/93/47/09/818934709.db2.gz KPENPZMAYOWZRV-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033803508 818949243 /nfs/dbraw/zinc/94/92/43/818949243.db2.gz DRBHNHJLZMZWFU-AWEZNQCLSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(CC)c2)[C@@H](O)C1 ZINC001090145655 818955521 /nfs/dbraw/zinc/95/55/21/818955521.db2.gz ROOKOSDHNTUNQW-STQMWFEESA-N 0 1 278.356 0.254 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033867317 818973837 /nfs/dbraw/zinc/97/38/37/818973837.db2.gz RCIPZZRLKGLIQA-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ccn(C)c(=O)c2)C1 ZINC001033881558 818978530 /nfs/dbraw/zinc/97/85/30/818978530.db2.gz SYNVAZVSFWHUCS-CQSZACIVSA-N 0 1 287.363 0.555 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(C)[nH]2)[C@@H](O)C1 ZINC001090148348 818980268 /nfs/dbraw/zinc/98/02/68/818980268.db2.gz HSQMKEAHVXPBCJ-PWSUYJOCSA-N 0 1 264.329 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncoc2CC)[C@@H](O)C1 ZINC001090151649 818987254 /nfs/dbraw/zinc/98/72/54/818987254.db2.gz SOXYXQYQPAIRDU-MNOVXSKESA-N 0 1 279.340 0.588 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2oc(C)nc2C)[C@@H](O)C1 ZINC001090164821 819002957 /nfs/dbraw/zinc/00/29/57/819002957.db2.gz XEMGKYCULFAGHU-NEPJUHHUSA-N 0 1 279.340 0.642 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2oc(C)nc2C)[C@@H](O)C1 ZINC001090164821 819002960 /nfs/dbraw/zinc/00/29/60/819002960.db2.gz XEMGKYCULFAGHU-NEPJUHHUSA-N 0 1 279.340 0.642 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2CCC2)[C@H](O)C1 ZINC001090168349 819004856 /nfs/dbraw/zinc/00/48/56/819004856.db2.gz AHLVAUYNQGYGBJ-ZIAGYGMSSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc[nH]c2C)[C@@H](O)C1 ZINC001090173895 819021352 /nfs/dbraw/zinc/02/13/52/819021352.db2.gz GOKCJGZKFVVUDH-STQMWFEESA-N 0 1 263.341 0.674 20 30 CCEDMN C=CC[NH+]1CC[C@H](N(CC)C(=O)[C@H]2C[N@H+](CC)CCO2)C1 ZINC001034048258 819043582 /nfs/dbraw/zinc/04/35/82/819043582.db2.gz NRBMTHCJRKZLQX-LSDHHAIUSA-N 0 1 295.427 0.816 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cn(C)cn2)[C@@H](O)C1 ZINC001090188584 819067422 /nfs/dbraw/zinc/06/74/22/819067422.db2.gz FTZDCYUIHVFEHK-AAEUAGOBSA-N 0 1 278.356 0.161 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2=CCCC2)[C@H](O)C1 ZINC001090189556 819069601 /nfs/dbraw/zinc/06/96/01/819069601.db2.gz OKTLFCIFPGIFIQ-ZIAGYGMSSA-N 0 1 262.353 0.671 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cn2cc(C)cn2)C1 ZINC001034123783 819072686 /nfs/dbraw/zinc/07/26/86/819072686.db2.gz RQOXDEHZBJDKGS-CQSZACIVSA-N 0 1 274.368 0.795 20 30 CCEDMN CC(C)(O)CCN1CCN(C(=O)CCC#N)CC1 ZINC001143198610 819079612 /nfs/dbraw/zinc/07/96/12/819079612.db2.gz DTVKQROEBUAHMG-UHFFFAOYSA-N 0 1 253.346 0.595 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cn(C)cn2)C1 ZINC001034168869 819105617 /nfs/dbraw/zinc/10/56/17/819105617.db2.gz KRPFQJQKZYPACR-LBPRGKRZSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)CN2CCOCC2)C1 ZINC001034171927 819107117 /nfs/dbraw/zinc/10/71/17/819107117.db2.gz HDCUYOSQQNSGQD-OAHLLOKOSA-N 0 1 293.411 0.313 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cnnn2C)C1 ZINC001034186247 819110784 /nfs/dbraw/zinc/11/07/84/819110784.db2.gz BZHBWNJFYBSNPY-GFCCVEGCSA-N 0 1 275.356 0.423 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001034244940 819138871 /nfs/dbraw/zinc/13/88/71/819138871.db2.gz SPLWRFKOCNGBAX-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001034270302 819148068 /nfs/dbraw/zinc/14/80/68/819148068.db2.gz YYSMCCDSHBVSHV-CZUORRHYSA-N 0 1 291.395 0.507 20 30 CCEDMN C=C(C)C[N@@H+]1CCCC[C@@H](NC(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001034340485 819172171 /nfs/dbraw/zinc/17/21/71/819172171.db2.gz ORQOYEFCKDSMSN-OLZOCXBDSA-N 0 1 294.399 0.557 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnc(C)n2C)C1 ZINC001034372041 819181295 /nfs/dbraw/zinc/18/12/95/819181295.db2.gz ZJNYOMJAJJSWGJ-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccnn2CC)[C@@H](O)C1 ZINC001090219223 819228052 /nfs/dbraw/zinc/22/80/52/819228052.db2.gz MTVZLRTWEYLJFR-JSGCOSHPSA-N 0 1 290.367 0.091 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)ccn2C)[C@@H](O)C1 ZINC001090219812 819228634 /nfs/dbraw/zinc/22/86/34/819228634.db2.gz XLNQOESVJUMEFX-OLZOCXBDSA-N 0 1 277.368 0.685 20 30 CCEDMN CCCN1C[C@@H](NC(=O)C#CC2CC2)[C@@H](n2ccnn2)C1 ZINC001128979909 819259849 /nfs/dbraw/zinc/25/98/49/819259849.db2.gz FRZGNSIFCJZQIR-KGLIPLIRSA-N 0 1 287.367 0.443 20 30 CCEDMN C=CCN1CCO[C@@H](CNC(=O)[C@H]2CCCN2C)C1 ZINC001035306116 819457028 /nfs/dbraw/zinc/45/70/28/819457028.db2.gz HJJWAPIPDYIMPM-QWHCGFSZSA-N 0 1 267.373 0.084 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1ccnn1C)CC2 ZINC001035663875 819596228 /nfs/dbraw/zinc/59/62/28/819596228.db2.gz HSYVPXWZQOBLCY-UHFFFAOYSA-N 0 1 286.379 0.981 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cncnc1)CC2 ZINC001035690248 819604783 /nfs/dbraw/zinc/60/47/83/819604783.db2.gz OPEWDPVULMJPJS-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C(C)(C)C(N)=O)CC2 ZINC001035726197 819615185 /nfs/dbraw/zinc/61/51/85/819615185.db2.gz OODBNVYLQOXXOL-UHFFFAOYSA-N 0 1 277.368 0.056 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CC[C@@H]1C(N)=O)CC2 ZINC001035767471 819620902 /nfs/dbraw/zinc/62/09/02/819620902.db2.gz RBHAYBSCOMVIEW-QWHCGFSZSA-N 0 1 291.395 0.608 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CC[C@H]1C(N)=O)CC2 ZINC001035767469 819621185 /nfs/dbraw/zinc/62/11/85/819621185.db2.gz RBHAYBSCOMVIEW-OLZOCXBDSA-N 0 1 291.395 0.608 20 30 CCEDMN C#CCCS(=O)(=O)N(C)[C@@H]1CN2CCC1CC2 ZINC000711015706 819875127 /nfs/dbraw/zinc/87/51/27/819875127.db2.gz HVKJKJHYRSWXPU-GFCCVEGCSA-N 0 1 256.371 0.366 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H](C)CN1CCCCC1 ZINC000711046844 819877247 /nfs/dbraw/zinc/87/72/47/819877247.db2.gz QXICQYUQGAFNAF-GFCCVEGCSA-N 0 1 258.387 0.804 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C)nn2)[C@H](O)C1 ZINC001090259635 819973355 /nfs/dbraw/zinc/97/33/55/819973355.db2.gz VSEFHONCZMQKTD-WCQYABFASA-N 0 1 276.340 0.136 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nn(C)cc2C)[C@H](O)C1 ZINC001090261634 819979914 /nfs/dbraw/zinc/97/99/14/819979914.db2.gz OGKXHHIWFGAAPQ-CHWSQXEVSA-N 0 1 292.383 0.470 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nn(C)cc2C)[C@H](O)C1 ZINC001090261637 819980335 /nfs/dbraw/zinc/98/03/35/819980335.db2.gz OGKXHHIWFGAAPQ-QWHCGFSZSA-N 0 1 292.383 0.470 20 30 CCEDMN CNC(=O)CN1CC[C@H]2CN(C(=O)C#CC3CC3)CC[C@H]21 ZINC001036711773 820101544 /nfs/dbraw/zinc/10/15/44/820101544.db2.gz IDMCLVFPIOTJTI-UONOGXRCSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nn(C)cc2Cl)[C@H](O)C1 ZINC001090291896 820217033 /nfs/dbraw/zinc/21/70/33/820217033.db2.gz LCPSHBPHCDQDJO-WDEREUQCSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)[nH]nc2Cl)[C@@H](O)C1 ZINC001090313136 820247792 /nfs/dbraw/zinc/24/77/92/820247792.db2.gz PPRMLUHDWNHMOM-UWVGGRQHSA-N 0 1 298.774 0.723 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3n2CCC3)[C@@H](O)C1 ZINC001090334584 820273541 /nfs/dbraw/zinc/27/35/41/820273541.db2.gz ROEYGUFDYZDWQS-AAEUAGOBSA-N 0 1 290.367 0.180 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C3CC3)CC2)[C@H](O)C1 ZINC001090338457 820279647 /nfs/dbraw/zinc/27/96/47/820279647.db2.gz JLCGPDCTTDIMTI-UONOGXRCSA-N 0 1 276.380 0.751 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001079579401 820428992 /nfs/dbraw/zinc/42/89/92/820428992.db2.gz GZEJCRLYSJJXTF-MWLCHTKSSA-N 0 1 276.340 0.497 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC001079677561 820449022 /nfs/dbraw/zinc/44/90/22/820449022.db2.gz YBBJPCVUURGHSY-ZYHUDNBSSA-N 0 1 275.356 0.115 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3ccncc23)C1 ZINC001079857771 820479476 /nfs/dbraw/zinc/47/94/76/820479476.db2.gz FVILWXNQQBMSHV-DGCLKSJQSA-N 0 1 285.351 0.965 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnnc2C)C1 ZINC001080052352 820507070 /nfs/dbraw/zinc/50/70/70/820507070.db2.gz GVJNGLCSEBTIGS-BXUZGUMPSA-N 0 1 272.352 0.858 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001080253990 820539173 /nfs/dbraw/zinc/53/91/73/820539173.db2.gz AFNXWGALOFFRNT-DGCLKSJQSA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001080342216 820553716 /nfs/dbraw/zinc/55/37/16/820553716.db2.gz MJGCAWFBHMZJHL-NTZNESFSSA-N 0 1 287.367 0.294 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnc3c2ncn3C)C1 ZINC001080422745 820562811 /nfs/dbraw/zinc/56/28/11/820562811.db2.gz YDZQMMZAAAHEKG-DGCLKSJQSA-N 0 1 297.362 0.652 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2nc(C)c[nH]2)C1 ZINC001080586882 820597090 /nfs/dbraw/zinc/59/70/90/820597090.db2.gz VZAOPCPVBCLOPU-ZYHUDNBSSA-N 0 1 260.341 0.792 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCN(C(C)=O)C2)C1 ZINC001080738406 820628702 /nfs/dbraw/zinc/62/87/02/820628702.db2.gz GZTFYWIHKCIORJ-XPKDYRNWSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC001080772743 820634643 /nfs/dbraw/zinc/63/46/43/820634643.db2.gz GGAHTGXPCXSHAK-ZYHUDNBSSA-N 0 1 299.334 0.118 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cn2cccn2)[C@H](OC)C1 ZINC001081366695 820747349 /nfs/dbraw/zinc/74/73/49/820747349.db2.gz VSESVDIMDYJDIS-CHWSQXEVSA-N 0 1 278.356 0.275 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C)nc2)[C@H](OC)C1 ZINC001081375114 820748612 /nfs/dbraw/zinc/74/86/12/820748612.db2.gz MOTVSLFQLLWESZ-HUUCEWRRSA-N 0 1 287.363 0.842 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccn2C)[C@H](OC)C1 ZINC001081372539 820748959 /nfs/dbraw/zinc/74/89/59/820748959.db2.gz JZEJONIBYASFBK-TZMCWYRMSA-N 0 1 275.352 0.477 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cn(C)nc2C)[C@H](OC)C1 ZINC001081451383 820764007 /nfs/dbraw/zinc/76/40/07/820764007.db2.gz YWSCRSYXMVVZHV-ZIAGYGMSSA-N 0 1 292.383 0.734 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2n[nH]c(C)n2)C[C@H]1O ZINC001099729077 820840899 /nfs/dbraw/zinc/84/08/99/820840899.db2.gz BCWIUFLQBRCPEQ-VXGBXAGGSA-N 0 1 293.371 0.131 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2=CCOCC2)[C@H](OC)C1 ZINC001082104236 820903291 /nfs/dbraw/zinc/90/32/91/820903291.db2.gz WVWYFARZBFOZFD-HUUCEWRRSA-N 0 1 292.379 0.562 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001082227490 820921841 /nfs/dbraw/zinc/92/18/41/820921841.db2.gz OLMFEVJLNJEWRJ-DGAVXFQQSA-N 0 1 282.384 0.803 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2COC(=O)N2)C[C@H]1C ZINC001082497018 820987736 /nfs/dbraw/zinc/98/77/36/820987736.db2.gz UAUNZBMOGQMNOW-QNSHHTMESA-N 0 1 287.747 0.284 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)C(=O)C(C)(C)C)[C@H](O)C1 ZINC001099747179 820994521 /nfs/dbraw/zinc/99/45/21/820994521.db2.gz UUGUTRQXMGXINP-NWDGAFQWSA-N 0 1 280.368 0.176 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC001118957329 821007346 /nfs/dbraw/zinc/00/73/46/821007346.db2.gz DNENUAGFWNOKHK-GARJFASQSA-N 0 1 297.355 0.429 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1C[C@@H](C)[C@H](NCC#N)C1 ZINC001082796973 821033825 /nfs/dbraw/zinc/03/38/25/821033825.db2.gz XBDSVDBABFQAIP-NQBHXWOUSA-N 0 1 275.356 0.548 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CN3CCOCC3)[C@@H]2C1 ZINC001084288367 821252434 /nfs/dbraw/zinc/25/24/34/821252434.db2.gz SGOWUFGQSATZKL-ZIAGYGMSSA-N 0 1 279.384 0.037 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3cnn(C)c3N)[C@@H]2C1 ZINC001084296337 821253470 /nfs/dbraw/zinc/25/34/70/821253470.db2.gz HZBFEEGOTSJEGB-DGCLKSJQSA-N 0 1 289.383 0.725 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3cccc(=O)[nH]3)[C@@H]2C1 ZINC001084257471 821254978 /nfs/dbraw/zinc/25/49/78/821254978.db2.gz BFMGRLJTFPRWDC-TZMCWYRMSA-N 0 1 285.347 0.957 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)/C=C\c2ccc[nH]2)[C@H](O)C1 ZINC001099799181 821264665 /nfs/dbraw/zinc/26/46/65/821264665.db2.gz JGHQIXRUZDMAGT-MEWZEZIVSA-N 0 1 275.352 0.765 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CC(C)(C)O)[C@@H]2C1 ZINC001084313553 821268920 /nfs/dbraw/zinc/26/89/20/821268920.db2.gz DRLGKYVKJVPHPZ-CHWSQXEVSA-N 0 1 264.369 0.703 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3OCCO[C@H]3C)[C@@H]2C1 ZINC001084418887 821282682 /nfs/dbraw/zinc/28/26/82/821282682.db2.gz YLKPWISYXRBEEQ-DGAVXFQQSA-N 0 1 280.368 0.509 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCNC(=O)CC3)[C@@H]2C1 ZINC001084547424 821310415 /nfs/dbraw/zinc/31/04/15/821310415.db2.gz AERUHTCNXYNMPV-BFHYXJOUSA-N 0 1 291.395 0.621 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCC(=O)N3C)[C@@H]2C1 ZINC001084570909 821315067 /nfs/dbraw/zinc/31/50/67/821315067.db2.gz ZOXNEOSAJMVFLW-HZSPNIEDSA-N 0 1 291.395 0.716 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(C(N)=O)[nH]3)[C@@H]2C1 ZINC001084666011 821343245 /nfs/dbraw/zinc/34/32/45/821343245.db2.gz FRIYRVMDNBLHMG-ZWNOBZJWSA-N 0 1 288.351 0.446 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCC(=O)N3C)[C@@H]2C1 ZINC001084601084 821323519 /nfs/dbraw/zinc/32/35/19/821323519.db2.gz SYPLRIIKTSZNTQ-JHJVBQTASA-N 0 1 277.368 0.326 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3ncc[nH]3)[C@@H]2C1 ZINC001084740889 821370593 /nfs/dbraw/zinc/37/05/93/821370593.db2.gz DQEKTUZYTKCBIE-VXGBXAGGSA-N 0 1 260.341 0.671 20 30 CCEDMN C[C@H]1CN(C(=O)CN2CCCC2)C[C@H](C)N1CC#N ZINC000822439669 821484787 /nfs/dbraw/zinc/48/47/87/821484787.db2.gz WIOXHVGGMLJPDH-STQMWFEESA-N 0 1 264.373 0.527 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnc2c1ncn2C ZINC000823674989 821531113 /nfs/dbraw/zinc/53/11/13/821531113.db2.gz OQFGOAJIVRXGAM-UHFFFAOYSA-N 0 1 271.324 0.263 20 30 CCEDMN C[C@]1(NC(=O)c2cnn[nH]2)CCN(c2ncccc2C#N)C1 ZINC001065358341 821557955 /nfs/dbraw/zinc/55/79/55/821557955.db2.gz BMVIVIAASNJJOV-AWEZNQCLSA-N 0 1 297.322 0.470 20 30 CCEDMN O=C(C#CC1CC1)N1CCC([N@@H+](CCO)CCF)CC1 ZINC001098364579 821613927 /nfs/dbraw/zinc/61/39/27/821613927.db2.gz CALOCPBNXBOTFR-UHFFFAOYSA-N 0 1 282.359 0.655 20 30 CCEDMN C#CC[NH2+][C@@H]1CCCC[C@H]1NC(=O)c1[n-]nnc1C ZINC001085293986 821653571 /nfs/dbraw/zinc/65/35/71/821653571.db2.gz LWFOAKHRGYBLHW-GHMZBOCLSA-N 0 1 261.329 0.377 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCOC1 ZINC001085515547 821762342 /nfs/dbraw/zinc/76/23/42/821762342.db2.gz ZWFRJTZKHLKIPZ-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1nccnc1N ZINC001085523347 821763673 /nfs/dbraw/zinc/76/36/73/821763673.db2.gz KEZMQDMMNHQDNR-NSHDSACASA-N 0 1 273.340 0.228 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(=O)n(C)o1 ZINC001085569862 821804405 /nfs/dbraw/zinc/80/44/05/821804405.db2.gz VFXDHIGCNKOWOP-LLVKDONJSA-N 0 1 279.340 0.701 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cn[nH]c(=O)c1 ZINC001085657004 821875736 /nfs/dbraw/zinc/87/57/36/821875736.db2.gz GCVHFWRXRZLJMD-GFCCVEGCSA-N 0 1 274.324 0.352 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cccc(C(N)=O)c1 ZINC001085678833 821894823 /nfs/dbraw/zinc/89/48/23/821894823.db2.gz SELPOOJIJNHTHS-HNNXBMFYSA-N 0 1 299.374 0.955 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@H]2CCN2CCO)cc1 ZINC001085757965 821937175 /nfs/dbraw/zinc/93/71/75/821937175.db2.gz VUUVQDXYTFYDQY-OAHLLOKOSA-N 0 1 272.348 0.807 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCC(=O)N1C ZINC001085780988 821946685 /nfs/dbraw/zinc/94/66/85/821946685.db2.gz INVVKCVCNLBVHC-CHWSQXEVSA-N 0 1 279.384 0.716 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001085820760 821969932 /nfs/dbraw/zinc/96/99/32/821969932.db2.gz JKIDPLOIMVWOIP-MCIONIFRSA-N 0 1 291.395 0.267 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001085823028 821971333 /nfs/dbraw/zinc/97/13/33/821971333.db2.gz XVJDVFJSSBGHOA-HZSPNIEDSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCN1CCO[C@@](C)(CNC(=O)CN2CC[C@H](C)C2)C1 ZINC001108215576 821985918 /nfs/dbraw/zinc/98/59/18/821985918.db2.gz GCIAOIJDHBJJGG-HOCLYGCPSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnc2cccnn21 ZINC001085859754 821987924 /nfs/dbraw/zinc/98/79/24/821987924.db2.gz ILWKFOOGXDWUBG-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C1CC(=O)NC(=O)C1 ZINC001085870153 821988664 /nfs/dbraw/zinc/98/86/64/821988664.db2.gz KZXBPKCLSKKZMY-LBPRGKRZSA-N 0 1 293.367 0.148 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)C[C@@H]1CCN1CCO ZINC001085870142 821988988 /nfs/dbraw/zinc/98/89/88/821988988.db2.gz KJXNGFIVKPXMBB-IHRRRGAJSA-N 0 1 282.384 0.493 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)CCCF)C2)CC1 ZINC001273375716 822028078 /nfs/dbraw/zinc/02/80/78/822028078.db2.gz SAAGUZVNQZHUAN-HNNXBMFYSA-N 0 1 295.402 0.978 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H]2C[C@@H](NCC#N)C2)c1C ZINC001086046072 822086995 /nfs/dbraw/zinc/08/69/95/822086995.db2.gz JOEMNSDIOVHUGS-PHIMTYICSA-N 0 1 261.329 0.648 20 30 CCEDMN C=C(C)C[N@@H+]1CCO[C@](C)(CNC(=O)Cc2c[nH]cn2)C1 ZINC001108245103 822131843 /nfs/dbraw/zinc/13/18/43/822131843.db2.gz QQCHYWGEFIFLIX-OAHLLOKOSA-N 0 1 292.383 0.735 20 30 CCEDMN CNC(=O)[C@H](C)N1CCC[C@@H](NC(=O)C#CC2CC2)CC1 ZINC001273419539 822199829 /nfs/dbraw/zinc/19/98/29/822199829.db2.gz WRYLICBBDOBSJL-GXTWGEPZSA-N 0 1 291.395 0.505 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001086366247 822226525 /nfs/dbraw/zinc/22/65/25/822226525.db2.gz QZFKVHURANGIPM-SRVKXCTJSA-N 0 1 287.367 0.838 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1nn(CC)nc1C ZINC001155712592 822237102 /nfs/dbraw/zinc/23/71/02/822237102.db2.gz PTYHIDXUJSTZPC-UHFFFAOYSA-N 0 1 295.387 0.405 20 30 CCEDMN C[C@@H](CNC(=O)c1cnn[nH]1)N(C)c1ccncc1C#N ZINC001113970466 837387223 /nfs/dbraw/zinc/38/72/23/837387223.db2.gz QWPWUPLHUOZTFE-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN Cc1nc(N(C)[C@H](C)CNC(=O)c2cnn[nH]2)ccc1C#N ZINC001113969753 837387690 /nfs/dbraw/zinc/38/76/90/837387690.db2.gz AQIRBFQLKQUTKS-SECBINFHSA-N 0 1 299.338 0.635 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(F)(F)F ZINC001113978900 837393543 /nfs/dbraw/zinc/39/35/43/837393543.db2.gz AHNYUTJSFLWGBZ-IAZYJMLFSA-N 0 1 290.285 0.635 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114021574 837406001 /nfs/dbraw/zinc/40/60/01/837406001.db2.gz OIIMVJPMEBDMJZ-NHAGDIPZSA-N 0 1 262.353 0.649 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ocnc1C ZINC001114103981 837438823 /nfs/dbraw/zinc/43/88/23/837438823.db2.gz UGKVLYWDYWQHFM-ITGUQSILSA-N 0 1 289.335 0.293 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@@H]1CCOC1 ZINC001114134583 837449828 /nfs/dbraw/zinc/44/98/28/837449828.db2.gz MUZYLXVVBZPKMY-CXTNEJHOSA-N 0 1 262.353 0.483 20 30 CCEDMN COCC#CCN1C[C@@H]2C(NC(=O)/C=C\c3ccc[nH]3)[C@@H]2C1 ZINC001114184350 837467818 /nfs/dbraw/zinc/46/78/18/837467818.db2.gz NRAZDOBLFYHANQ-GUZDFHJESA-N 0 1 299.374 0.724 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OC)C1CC1 ZINC001114209081 837476232 /nfs/dbraw/zinc/47/62/32/837476232.db2.gz KKWSHRDJRSANBK-YJNKXOJESA-N 0 1 292.379 0.108 20 30 CCEDMN C#CC[NH2+]CCNC(=O)c1[n-]cnc1C(F)(F)F ZINC001129534883 837526938 /nfs/dbraw/zinc/52/69/38/837526938.db2.gz BSRYSWSGLFCWAB-UHFFFAOYSA-N 0 1 260.219 0.381 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H]2CCC[C@]2(NC(C)=O)C1 ZINC001111554972 837672024 /nfs/dbraw/zinc/67/20/24/837672024.db2.gz GRXAWIRLBKEMBU-WHOFXGATSA-N 0 1 279.384 0.668 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(CC#CC)C[C@H]2O)cc1 ZINC001090484154 837784806 /nfs/dbraw/zinc/78/48/06/837784806.db2.gz WRLZEGZZRQFIBE-DLBZAZTESA-N 0 1 296.370 0.856 20 30 CCEDMN N#CC(Cc1ccc(O)cc1)C(=O)N1CCO[C@H](C#N)C1 ZINC001183610337 844044063 /nfs/dbraw/zinc/04/40/63/844044063.db2.gz UWSTZNMITHHWJE-GXTWGEPZSA-N 0 1 285.303 0.825 20 30 CCEDMN N#CC(Cc1ccc(O)cc1)C(=O)N1CCO[C@@H](C#N)C1 ZINC001183610341 844044117 /nfs/dbraw/zinc/04/41/17/844044117.db2.gz UWSTZNMITHHWJE-OCCSQVGLSA-N 0 1 285.303 0.825 20 30 CCEDMN C[C@@H](CNc1cncc(C#N)n1)NC(=O)CN1CCCC1 ZINC001108384915 835997726 /nfs/dbraw/zinc/99/77/26/835997726.db2.gz JFMCAZQFGPCMJE-NSHDSACASA-N 0 1 288.355 0.361 20 30 CCEDMN COc1nc(-n2nnnc2CN)c(C#N)c(C)c1Cl ZINC001168918831 836049501 /nfs/dbraw/zinc/04/95/01/836049501.db2.gz MVAPRZULBIYEAU-UHFFFAOYSA-N 0 1 279.691 0.358 20 30 CCEDMN N#CCc1cc2ccccc2nc1-n1nnnc1CN ZINC001168920327 836053441 /nfs/dbraw/zinc/05/34/41/836053441.db2.gz DLCSNRWCHAGXNA-UHFFFAOYSA-N 0 1 265.280 0.735 20 30 CCEDMN C=CCNC(=O)c1ccc(-n2c(C)cnc2CN)nc1 ZINC001169028708 836105888 /nfs/dbraw/zinc/10/58/88/836105888.db2.gz UCWRHUCJWOBKKO-UHFFFAOYSA-N 0 1 271.324 0.950 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@H](C)NC(=O)c2ncn[nH]2)n1 ZINC001108475376 836272032 /nfs/dbraw/zinc/27/20/32/836272032.db2.gz FGKPFZZHGVOPPT-QMMMGPOBSA-N 0 1 286.299 0.005 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@H](C)NC(=O)c2nc[nH]n2)n1 ZINC001108475376 836272041 /nfs/dbraw/zinc/27/20/41/836272041.db2.gz FGKPFZZHGVOPPT-QMMMGPOBSA-N 0 1 286.299 0.005 20 30 CCEDMN C=CC(=NCOC)NC1(C(=O)OCC)CCN(C)CC1 ZINC001169492469 836322722 /nfs/dbraw/zinc/32/27/22/836322722.db2.gz OVADAYVCURRNEB-UHFFFAOYSA-N 0 1 283.372 0.792 20 30 CCEDMN CCOC(=O)C1(Nc2cncnc2C#N)CCN(C)CC1 ZINC001169491773 836322985 /nfs/dbraw/zinc/32/29/85/836322985.db2.gz OBEJNKCCACNTST-UHFFFAOYSA-N 0 1 289.339 0.788 20 30 CCEDMN CC(=O)N1CCC[C@@H](NC2(C#N)CCN(C)CC2)C1 ZINC001169593482 836367713 /nfs/dbraw/zinc/36/77/13/836367713.db2.gz FDWYDAISTQSIMB-CYBMUJFWSA-N 0 1 264.373 0.575 20 30 CCEDMN C[C@@H](CNc1ncccc1C#N)NC(=O)CCc1c[nH]nn1 ZINC001108708041 836516541 /nfs/dbraw/zinc/51/65/41/836516541.db2.gz WBRUJOGMFDSQQL-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@@H](CNc1ncccc1C#N)NC(=O)CCc1cnn[nH]1 ZINC001108708041 836516549 /nfs/dbraw/zinc/51/65/49/836516549.db2.gz WBRUJOGMFDSQQL-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@@H](CNc1nccnc1C#N)NC(=O)CCc1cnc[nH]1 ZINC001108762720 836520542 /nfs/dbraw/zinc/52/05/42/836520542.db2.gz AQGRLTLEQZTHCX-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2nc[nH]n2)CC[C@@H]1CNCC#N ZINC001184161947 844174201 /nfs/dbraw/zinc/17/42/01/844174201.db2.gz OSQMVTDEZFIBDJ-VXGBXAGGSA-N 0 1 290.371 0.335 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001109039871 836601863 /nfs/dbraw/zinc/60/18/63/836601863.db2.gz KKMJSNZCYIPCOB-CRWXNKLISA-N 0 1 282.384 0.681 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCNC(N)=O)C2 ZINC001109496724 836689558 /nfs/dbraw/zinc/68/95/58/836689558.db2.gz LPOZFSNJCSDPCM-AGIUHOORSA-N 0 1 292.383 0.180 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](CC)NC(C)=O)C2 ZINC001109745461 836721707 /nfs/dbraw/zinc/72/17/07/836721707.db2.gz PHMFKFTZEDMQHE-MQYQWHSLSA-N 0 1 279.384 0.809 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)NC(C)=O)C2 ZINC001109851875 836736703 /nfs/dbraw/zinc/73/67/03/836736703.db2.gz FAJMDZAROOSJFP-AGIUHOORSA-N 0 1 279.384 0.809 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)NC(C)=O)C2 ZINC001109851875 836736712 /nfs/dbraw/zinc/73/67/12/836736712.db2.gz FAJMDZAROOSJFP-AGIUHOORSA-N 0 1 279.384 0.809 20 30 CCEDMN N#CCc1nc(Cl)cc(NC[C@H]2COCCN2)n1 ZINC001170036359 836758583 /nfs/dbraw/zinc/75/85/83/836758583.db2.gz YKGHEBLRYARRAH-QMMMGPOBSA-N 0 1 267.720 0.596 20 30 CCEDMN C=C(CC(=O)OCCCC)C(=O)Nc1cn[nH]c1C(N)=O ZINC001184307118 844199271 /nfs/dbraw/zinc/19/92/71/844199271.db2.gz POOAJOIYCHUAGL-UHFFFAOYSA-N 0 1 294.311 0.737 20 30 CCEDMN C=CCOCC(=O)N1CCN(C[C@H]2C[C@@]23CCOC3)CC1 ZINC001112710449 836881160 /nfs/dbraw/zinc/88/11/60/836881160.db2.gz AXCYITJZNBLTPP-GDBMZVCRSA-N 0 1 294.395 0.760 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H](C)NC(N)=O)CC1 ZINC001112798086 836917293 /nfs/dbraw/zinc/91/72/93/836917293.db2.gz NFERRZZRNWZEPL-NEPJUHHUSA-N 0 1 282.388 0.542 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@@H]2CCCOC2)CC1 ZINC001112814304 836924481 /nfs/dbraw/zinc/92/44/81/836924481.db2.gz NACQOFPYJGBYIX-HOCLYGCPSA-N 0 1 296.411 0.884 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2ncn(C)n2)CC1 ZINC001112818339 836926761 /nfs/dbraw/zinc/92/67/61/836926761.db2.gz BCVMNIMLIZEVMM-GFCCVEGCSA-N 0 1 277.372 0.928 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC[C@@H]2CCCO2)CC1 ZINC001112846109 836937591 /nfs/dbraw/zinc/93/75/91/836937591.db2.gz GBTGQXHZKGUCBB-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1CCN(CC#N)CC1 ZINC001112908838 836961488 /nfs/dbraw/zinc/96/14/88/836961488.db2.gz GMDZFTGEJVIVBC-LBPRGKRZSA-N 0 1 270.352 0.334 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)nc1)[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001113151107 837038107 /nfs/dbraw/zinc/03/81/07/837038107.db2.gz VGMHWBBDSKBYAT-ZJUUUORDSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCCN1CCN(C(=O)COCC(F)F)CC1 ZINC001113151602 837038813 /nfs/dbraw/zinc/03/88/13/837038813.db2.gz HBDSYCUHAKTCRJ-UHFFFAOYSA-N 0 1 262.300 0.988 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H](COC)OC)CC1 ZINC001113329115 837089033 /nfs/dbraw/zinc/08/90/33/837089033.db2.gz XTQRLFRRULBMCB-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@@H](C)Nc1cnc(C#N)cn1 ZINC001113356740 837099623 /nfs/dbraw/zinc/09/96/23/837099623.db2.gz LUSCOHKYHYJHCL-HTQZYQBOSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCCCN1CCN(C(=O)C2(C(=O)N(C)C)CC2)CC1 ZINC001113523868 837146330 /nfs/dbraw/zinc/14/63/30/837146330.db2.gz PPNVWTWGNIFFGR-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C)o1 ZINC001113809916 837248970 /nfs/dbraw/zinc/24/89/70/837248970.db2.gz RLLBZLYABJEYEX-NHAGDIPZSA-N 0 1 288.347 0.898 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnc[nH]2)CC[C@H]1CNCC#N ZINC001184532478 844253325 /nfs/dbraw/zinc/25/33/25/844253325.db2.gz ABBDNMBUAVFKEY-STQMWFEESA-N 0 1 289.383 0.940 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)co2)[C@@H](O)C1 ZINC001090489527 837882545 /nfs/dbraw/zinc/88/25/45/837882545.db2.gz NILOEXSKTKBENC-RYUDHWBXSA-N 0 1 264.325 0.939 20 30 CCEDMN COC(=O)c1cc2[nH]cnc2c(N[C@@H](C#N)C(N)=O)c1 ZINC001170537496 837990815 /nfs/dbraw/zinc/99/08/15/837990815.db2.gz WBJJNXJUHGODEF-VIFPVBQESA-N 0 1 273.252 0.139 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)COCC)C[C@@H](C)O2 ZINC001131639379 838163174 /nfs/dbraw/zinc/16/31/74/838163174.db2.gz URXKRGIPSLYHCC-UKRRQHHQSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)CCOCC)C[C@H](C)O2 ZINC001131652595 838167122 /nfs/dbraw/zinc/16/71/22/838167122.db2.gz KZUNMZDZXNIILE-HOCLYGCPSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCn2cncn2)CC[C@@H]1C ZINC001131813279 838235147 /nfs/dbraw/zinc/23/51/47/838235147.db2.gz NRSWSKXYJRMECY-STQMWFEESA-N 0 1 275.356 0.271 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CNC(=O)OC)CC[C@H]1C ZINC001131843778 838240110 /nfs/dbraw/zinc/24/01/10/838240110.db2.gz NJKWGZLWJOCIAT-NEPJUHHUSA-N 0 1 281.356 0.335 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CC(=O)NCC)CC[C@@H]1C ZINC001131984862 838289564 /nfs/dbraw/zinc/28/95/64/838289564.db2.gz QDDFYIXKBGWXRE-STQMWFEESA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2ncc[nH]2)CC[C@@H]1C ZINC001132024479 838292730 /nfs/dbraw/zinc/29/27/30/838292730.db2.gz GUHJQKRUMBKCIA-QWHCGFSZSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2cnn(C)n2)CC[C@H]1C ZINC001132317806 838362574 /nfs/dbraw/zinc/36/25/74/838362574.db2.gz JIZONOLHDIKKNZ-TZMCWYRMSA-N 0 1 289.383 0.350 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c[nH]c(=O)n1C ZINC001132379725 838380627 /nfs/dbraw/zinc/38/06/27/838380627.db2.gz VNNMMTIABHIQIM-UHFFFAOYSA-N 0 1 258.709 0.198 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCN(C)C(C)=O)CC[C@@H]1C ZINC001132459532 838406267 /nfs/dbraw/zinc/40/62/67/838406267.db2.gz ASTDRDNKIQWIGT-ZFWWWQNUSA-N 0 1 293.411 0.847 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1cnnn1CC ZINC001132568701 838437459 /nfs/dbraw/zinc/43/74/59/838437459.db2.gz CGMNDMSRXGXKSA-NWDGAFQWSA-N 0 1 279.388 0.962 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCC(=O)Nc1ccon1 ZINC001132576866 838440251 /nfs/dbraw/zinc/44/02/51/838440251.db2.gz OQKSETAHSLJGNN-GHMZBOCLSA-N 0 1 294.355 0.777 20 30 CCEDMN O=C(Cc1cnoc1)NCCNCC#Cc1ccccc1 ZINC001132738491 838481536 /nfs/dbraw/zinc/48/15/36/838481536.db2.gz FSHZVWXZCNSSDK-UHFFFAOYSA-N 0 1 283.331 0.975 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@]1(F)CCOC1 ZINC001132867705 838510756 /nfs/dbraw/zinc/51/07/56/838510756.db2.gz MJWJVEWGYKQUSN-INIZCTEOSA-N 0 1 290.338 0.873 20 30 CCEDMN C[C@H]1CCN(C(=O)CCc2cnc[nH]2)C[C@H]1CNCC#N ZINC001132874592 838511942 /nfs/dbraw/zinc/51/19/42/838511942.db2.gz JZJXKQFIGYFKQT-QWHCGFSZSA-N 0 1 289.383 0.940 20 30 CCEDMN N#Cc1cccnc1NC1(CNC(=O)Cc2cnc[nH]2)CC1 ZINC001110356617 844338001 /nfs/dbraw/zinc/33/80/01/844338001.db2.gz FJDPPTJCGKMVHU-UHFFFAOYSA-N 0 1 296.334 0.980 20 30 CCEDMN C=CCCCC(=O)NCCNCCS(C)(=O)=O ZINC001133154076 838562221 /nfs/dbraw/zinc/56/22/21/838562221.db2.gz AYEGIMYEILOKNT-UHFFFAOYSA-N 0 1 262.375 0.093 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1ccc(OC)c(OC)c1 ZINC000105679390 838582171 /nfs/dbraw/zinc/58/21/71/838582171.db2.gz GAUDRQDEHCRFBT-UHFFFAOYSA-N 0 1 276.336 0.885 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H](C)CCCCNCC#N)n[nH]1 ZINC001170694449 838665639 /nfs/dbraw/zinc/66/56/39/838665639.db2.gz XLTYKBNLQVLVQW-JTQLQIEISA-N 0 1 278.360 0.444 20 30 CCEDMN Cc1[nH]c(NC(=O)[C@H]2CN(C)CCN2C)c(C#N)c1C ZINC001185242990 844369275 /nfs/dbraw/zinc/36/92/75/844369275.db2.gz CAIMMRROYAYHBH-GFCCVEGCSA-N 0 1 275.356 0.688 20 30 CCEDMN Cc1cc(CC(=O)N[C@H](C)C[C@@H](C)NCC#N)[nH]n1 ZINC001134055383 838838589 /nfs/dbraw/zinc/83/85/89/838838589.db2.gz IHJXTWVXPLGCBW-NXEZZACHSA-N 0 1 263.345 0.657 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)N[C@H](C#N)c2ccccc2)C1 ZINC001185246089 844377046 /nfs/dbraw/zinc/37/70/46/844377046.db2.gz ZKNIXNDCCSJHKQ-ZIAGYGMSSA-N 0 1 272.352 0.613 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ncccc2F)[C@@H](O)C1 ZINC001090517975 838928064 /nfs/dbraw/zinc/92/80/64/838928064.db2.gz AGTISVSKWHUCKX-JSGCOSHPSA-N 0 1 293.342 0.501 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C[C@@H](C)NCc1cn(C)nn1 ZINC001134346127 838956110 /nfs/dbraw/zinc/95/61/10/838956110.db2.gz ZOSNLXNMBNVCGW-CHWSQXEVSA-N 0 1 291.399 0.847 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001134412162 838971592 /nfs/dbraw/zinc/97/15/92/838971592.db2.gz XBEBIDNPKYTNFY-UHFFFAOYSA-N 0 1 265.361 0.921 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)N[C@H]2CC[C@H](C#N)CC2)C1 ZINC001185264172 844386415 /nfs/dbraw/zinc/38/64/15/844386415.db2.gz XHTHMNVEGPKBTB-AVGNSLFASA-N 0 1 264.373 0.431 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1ccncn1 ZINC001135542648 839283425 /nfs/dbraw/zinc/28/34/25/839283425.db2.gz NDJOONCXBYLJMJ-UHFFFAOYSA-N 0 1 285.145 0.705 20 30 CCEDMN CC[C@](N)(CO)Nc1nc2ncccc2cc1C#N ZINC001170856456 839435977 /nfs/dbraw/zinc/43/59/77/839435977.db2.gz BWOWEQNPZLFERW-CYBMUJFWSA-N 0 1 257.297 0.971 20 30 CCEDMN CC[C@@](N)(CO)Nc1nc(C)nc(OC)c1C#N ZINC001170857639 839437700 /nfs/dbraw/zinc/43/77/00/839437700.db2.gz GUUYOIXXXXMRLG-NSHDSACASA-N 0 1 251.290 0.135 20 30 CCEDMN CC[C@@](N)(CO)Nc1nccc(C#N)c1C(OC)OC ZINC001170860234 839452884 /nfs/dbraw/zinc/45/28/84/839452884.db2.gz UKVJFILUDZGRER-ZDUSSCGKSA-N 0 1 280.328 0.714 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCCC2)CC[C@H]1CNCC#N ZINC001185682030 844443437 /nfs/dbraw/zinc/44/34/37/844443437.db2.gz ONOHBAQXWJYEKP-KGLIPLIRSA-N 0 1 278.400 0.680 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCCN1CCOCC1 ZINC001136261054 839575268 /nfs/dbraw/zinc/57/52/68/839575268.db2.gz MDRFFRQAIWEWKS-KGLIPLIRSA-N 0 1 282.384 0.806 20 30 CCEDMN C=C1CCC(C(=O)N[C@H]2CCN(CCO)C[C@H]2O)CC1 ZINC001090548292 839630349 /nfs/dbraw/zinc/63/03/49/839630349.db2.gz WHHRXAGJFWDLTG-UONOGXRCSA-N 0 1 282.384 0.277 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2conc2CC)[C@@H](O)C1 ZINC001090556008 839639391 /nfs/dbraw/zinc/63/93/91/839639391.db2.gz RNWIUICQRSPWPA-STQMWFEESA-N 0 1 279.340 0.588 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2occc2C)[C@H](O)C1 ZINC001090562104 839644257 /nfs/dbraw/zinc/64/42/57/839644257.db2.gz HELLZQQBTIWAIV-UONOGXRCSA-N 0 1 290.363 0.705 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2[nH]c(C)nc2C)[C@H](O)C1 ZINC001090578803 839652298 /nfs/dbraw/zinc/65/22/98/839652298.db2.gz YSPMYPXOJLQOQK-VXGBXAGGSA-N 0 1 278.356 0.378 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NCc1nn[nH]n1 ZINC001136627533 839685031 /nfs/dbraw/zinc/68/50/31/839685031.db2.gz NGPUZPKMOFGGLX-UHFFFAOYSA-N 0 1 258.241 0.010 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cnn(CC)c2)[C@@H](O)C1 ZINC001090713723 839750777 /nfs/dbraw/zinc/75/07/77/839750777.db2.gz YJXPCHZQYGQRRL-KBPBESRZSA-N 0 1 292.383 0.183 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@@H](O)C1 ZINC001090764432 839786449 /nfs/dbraw/zinc/78/64/49/839786449.db2.gz RGRDYFNFVVEPJC-RYUDHWBXSA-N 0 1 289.339 0.013 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2ccc(=O)[nH]c2)[C@H](O)C1 ZINC001090778889 839805718 /nfs/dbraw/zinc/80/57/18/839805718.db2.gz AKLSEDSTKDRALK-VXGBXAGGSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(=O)[nH]c2)[C@H](O)C1 ZINC001090778889 839805727 /nfs/dbraw/zinc/80/57/27/839805727.db2.gz AKLSEDSTKDRALK-VXGBXAGGSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2csc(C)n2)[C@H](O)C1 ZINC001090790600 839813468 /nfs/dbraw/zinc/81/34/68/839813468.db2.gz MYZLOIFLMWYYLE-CHWSQXEVSA-N 0 1 295.408 0.731 20 30 CCEDMN O=C(CCS)N1C[C@H]2CN(CC3CC3)C[C@@H](C1)O2 ZINC001143978823 839910884 /nfs/dbraw/zinc/91/08/84/839910884.db2.gz IDQRBUKYMUYVOZ-TXEJJXNPSA-N 0 1 270.398 0.628 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCC1CC(NCC#N)C1 ZINC001090954665 839916213 /nfs/dbraw/zinc/91/62/13/839916213.db2.gz KYCVRKFJVNCUSR-HTAVTVPLSA-N 0 1 250.346 0.089 20 30 CCEDMN COc1cccc(C[C@@H](N)C(=O)N2CCO[C@H](C#N)C2)c1 ZINC001144935659 840197382 /nfs/dbraw/zinc/19/73/82/840197382.db2.gz HSUPOUKCIMBSJX-ZIAGYGMSSA-N 0 1 289.335 0.316 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)[C@H]1Cc2ccccc2CN1 ZINC001160933455 840280924 /nfs/dbraw/zinc/28/09/24/840280924.db2.gz KURPAZHYAKTICQ-UONOGXRCSA-N 0 1 271.320 0.452 20 30 CCEDMN C#CCSCCNC(=O)CCN1CC[C@H](F)C1 ZINC001147343504 840559788 /nfs/dbraw/zinc/55/97/88/840559788.db2.gz VRJALDBZMBMLSI-NSHDSACASA-N 0 1 258.362 0.903 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(O)C(=N)c1ccccc1N ZINC001147573617 840621593 /nfs/dbraw/zinc/62/15/93/840621593.db2.gz ZGTCWBTYFJGLGW-UHFFFAOYSA-N 0 1 260.257 0.552 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(N(C)C)nn1 ZINC001148148575 840748342 /nfs/dbraw/zinc/74/83/42/840748342.db2.gz DNAITNHLKOTCFN-UHFFFAOYSA-N 0 1 283.763 0.615 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)OCCOCC)C2)C1 ZINC001148827915 840891168 /nfs/dbraw/zinc/89/11/68/840891168.db2.gz RJZJFVIVCLWKMZ-AWEZNQCLSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CN(CC(=O)NC)C[C@@]2(C)C1 ZINC001091916569 840972369 /nfs/dbraw/zinc/97/23/69/840972369.db2.gz ILEXSCVUPIARKN-WBMJQRKESA-N 0 1 293.411 0.725 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](CNC(=O)c2cn(C(C)C)nn2)[C@H](C)C1 ZINC001093085940 841135423 /nfs/dbraw/zinc/13/54/23/841135423.db2.gz IFEPSSPETAMZDP-CHWSQXEVSA-N 0 1 289.383 0.790 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2ccon2)[C@@H](O)C1 ZINC001099923792 841182957 /nfs/dbraw/zinc/18/29/57/841182957.db2.gz JSXOVLLHJLMOPD-KBPBESRZSA-N 0 1 291.351 0.182 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001186986982 844623610 /nfs/dbraw/zinc/62/36/10/844623610.db2.gz NGBKDLIOXJQDTO-AAVRWANBSA-N 0 1 277.368 0.906 20 30 CCEDMN C=CCOCC(=O)NCCNc1ncnc2[nH]cnc21 ZINC001093555241 841329392 /nfs/dbraw/zinc/32/93/92/841329392.db2.gz IXVHBAGGIJFCFC-UHFFFAOYSA-N 0 1 276.300 0.035 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)c3c[nH]c(C)cc3=O)CC[C@@H]21 ZINC001036861180 841413549 /nfs/dbraw/zinc/41/35/49/841413549.db2.gz USAXGBWICDHCNQ-HIFRSBDPSA-N 0 1 299.374 0.853 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NCCNc1ccncc1C#N ZINC001094188757 841562693 /nfs/dbraw/zinc/56/26/93/841562693.db2.gz MQJKBDSLCMAMNU-NSHDSACASA-N 0 1 298.350 0.505 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCCNc1ccc(C#N)cn1 ZINC001094339968 841610173 /nfs/dbraw/zinc/61/01/73/841610173.db2.gz HOWNATGTPMXSJG-CYBMUJFWSA-N 0 1 287.367 0.966 20 30 CCEDMN CC(C)C#CC(=O)NCCCNc1ncnc2[nH]cnc21 ZINC001094398237 841626734 /nfs/dbraw/zinc/62/67/34/841626734.db2.gz RYPQJSXKVNCKQI-UHFFFAOYSA-N 0 1 286.339 0.882 20 30 CCEDMN N#Cc1cnccc1NCCCNC(=O)CN1CCCC1 ZINC001094434343 841633766 /nfs/dbraw/zinc/63/37/66/841633766.db2.gz DGFHNPPDUJCRQN-UHFFFAOYSA-N 0 1 287.367 0.389 20 30 CCEDMN COC(=O)c1cccc(NS(=O)(=O)CC#N)c1 ZINC000096470068 842074631 /nfs/dbraw/zinc/07/46/31/842074631.db2.gz RTSFMOCXADPWDI-UHFFFAOYSA-N 0 1 254.267 0.738 20 30 CCEDMN COC(=O)c1scc(C#N)c1NC(=O)Cc1nn[nH]n1 ZINC001176837877 842424154 /nfs/dbraw/zinc/42/41/54/842424154.db2.gz LNNSEGRWPREQRS-UHFFFAOYSA-N 0 1 292.280 0.101 20 30 CCEDMN N#CCCN(Cc1cccnc1)C(=O)Cc1nn[nH]n1 ZINC001176840928 842428736 /nfs/dbraw/zinc/42/87/36/842428736.db2.gz YGZBUFHPGMMUHC-UHFFFAOYSA-N 0 1 271.284 0.080 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001177172990 842511477 /nfs/dbraw/zinc/51/14/77/842511477.db2.gz PFRNVFPOQNGSNJ-SSDOTTSWSA-N 0 1 256.269 0.748 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1cn(C)nn1 ZINC001177273666 842542530 /nfs/dbraw/zinc/54/25/30/842542530.db2.gz VOXGOLOKOZHHMD-LLVKDONJSA-N 0 1 281.360 0.002 20 30 CCEDMN C#CCCC1(CCNC(=O)Cc2n[nH]c(C)n2)N=N1 ZINC001177444435 842610259 /nfs/dbraw/zinc/61/02/59/842610259.db2.gz TUGIXVUZBVXNJA-UHFFFAOYSA-N 0 1 260.301 0.737 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC[C@H](CO)[C@H](O)C1 ZINC001177907966 842706183 /nfs/dbraw/zinc/70/61/83/842706183.db2.gz HAOLOLKDXPMMFX-NTZNESFSSA-N 0 1 294.376 0.632 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001179893747 843068376 /nfs/dbraw/zinc/06/83/76/843068376.db2.gz CHZXKGXNMHYJMS-VHSXEESVSA-N 0 1 266.297 0.517 20 30 CCEDMN CCN(CC)CC(=O)Nc1c(C#N)cnc2c(C#N)cnn21 ZINC001180385657 843158137 /nfs/dbraw/zinc/15/81/37/843158137.db2.gz VIYZZGNIHMEJLD-UHFFFAOYSA-N 0 1 297.322 0.753 20 30 CCEDMN CC(=O)N1CCC[C@@H](C(=O)Nc2nc[nH]c2C#N)C1 ZINC001180680699 843258889 /nfs/dbraw/zinc/25/88/89/843258889.db2.gz UVWJAMSBVJIDLW-SECBINFHSA-N 0 1 261.285 0.478 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N1CCOC[C@H]1C#N ZINC001181997082 843668534 /nfs/dbraw/zinc/66/85/34/843668534.db2.gz VLSIJLIRNVPNOV-VXGBXAGGSA-N 0 1 251.330 0.612 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)n1cccn1 ZINC001115087737 843827156 /nfs/dbraw/zinc/82/71/56/843827156.db2.gz AHQZCHVBKRDNHI-RMRHIDDWSA-N 0 1 272.352 0.514 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)n1cccn1 ZINC001115087737 843827163 /nfs/dbraw/zinc/82/71/63/843827163.db2.gz AHQZCHVBKRDNHI-RMRHIDDWSA-N 0 1 272.352 0.514 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@H](NCC(=C)Cl)C1 ZINC001182496848 843846484 /nfs/dbraw/zinc/84/64/84/843846484.db2.gz SSSFMDZDEAFOME-NWDGAFQWSA-N 0 1 270.760 0.968 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H](C)NC(N)=O)C1 ZINC001182529826 843855725 /nfs/dbraw/zinc/85/57/25/843855725.db2.gz HRAIWSOQPSMJDJ-VHSXEESVSA-N 0 1 288.779 0.376 20 30 CCEDMN N#Cc1ccc(OCC(=O)Nc2cn[nH]c2C(N)=O)cc1 ZINC001182914513 843938097 /nfs/dbraw/zinc/93/80/97/843938097.db2.gz QUOIUAANZIUSAW-UHFFFAOYSA-N 0 1 285.263 0.398 20 30 CCEDMN C=C(C[NH+]1CCCC1)C(=O)Nc1[nH]c(=O)[n-]c(=O)c1N=O ZINC001183038677 843955614 /nfs/dbraw/zinc/95/56/14/843955614.db2.gz FHIZPHPXNXLTIT-UHFFFAOYSA-N 0 1 293.283 0.876 20 30 CCEDMN C=C(CN1CCCC1)C(=O)N[C@H]1C=CS(=O)(=O)C1 ZINC001183079526 843960312 /nfs/dbraw/zinc/96/03/12/843960312.db2.gz BOOQYBSABRVLOD-NSHDSACASA-N 0 1 270.354 0.065 20 30 CCEDMN N#Cc1cccnc1NC1(CNC(=O)c2cnn[nH]2)CC1 ZINC001110396091 844748560 /nfs/dbraw/zinc/74/85/60/844748560.db2.gz HZQTZRNYJRIEDE-UHFFFAOYSA-N 0 1 283.295 0.446 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCOCCOC)C1 ZINC001188326076 844867544 /nfs/dbraw/zinc/86/75/44/844867544.db2.gz RUCXURJETSMKQT-GFCCVEGCSA-N 0 1 290.791 0.983 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnc(C)cn2)C1 ZINC001188710378 844957024 /nfs/dbraw/zinc/95/70/24/844957024.db2.gz RECNQCYMYSXEGP-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)COCC)C1 ZINC001188763639 844971209 /nfs/dbraw/zinc/97/12/09/844971209.db2.gz DBPCBMKLEVUPDM-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)NC(C)=O)C1 ZINC001188766755 844972622 /nfs/dbraw/zinc/97/26/22/844972622.db2.gz ZDPUJOHISRLKOA-WCQYABFASA-N 0 1 265.357 0.067 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)CSC)C1 ZINC001188776406 844975306 /nfs/dbraw/zinc/97/53/06/844975306.db2.gz KZNUKGYVCGKMNJ-NEPJUHHUSA-N 0 1 299.440 0.573 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)Cn2cccn2)C1 ZINC001188861421 844984297 /nfs/dbraw/zinc/98/42/97/844984297.db2.gz CUICUELCGGXOOR-CQSZACIVSA-N 0 1 290.367 0.066 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C(C)C)C1 ZINC001188916124 844990477 /nfs/dbraw/zinc/99/04/77/844990477.db2.gz VGOAWGFTPLYFMY-CHWSQXEVSA-N 0 1 281.400 0.866 20 30 CCEDMN CCO[C@H](C)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189021257 845025581 /nfs/dbraw/zinc/02/55/81/845025581.db2.gz GKMJUNWTWAVWCZ-KGLIPLIRSA-N 0 1 282.384 0.594 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cn(C)cn2)C1 ZINC001189651469 845191094 /nfs/dbraw/zinc/19/10/94/845191094.db2.gz HXKGFRBIFBECHI-GFCCVEGCSA-N 0 1 260.341 0.590 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@H](N(C)[C@H]2CCNC2=O)C1 ZINC001189743579 845198521 /nfs/dbraw/zinc/19/85/21/845198521.db2.gz HAXPUTFWKJDMKN-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C[C@@H]1C[C@@]1(NC(=O)c1nnc(C)[nH]1)C(=O)OCC ZINC001189870449 845237434 /nfs/dbraw/zinc/23/74/34/845237434.db2.gz JKFPJBHUQFTHBS-PELKAZGASA-N 0 1 264.285 0.351 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)c1cn(C)c(=O)[nH]1 ZINC001273588547 845249451 /nfs/dbraw/zinc/24/94/51/845249451.db2.gz PLHDTODBFXUGRA-UHFFFAOYSA-N 0 1 286.763 0.930 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2nn(C)cc2C)C1 ZINC001190068211 845312900 /nfs/dbraw/zinc/31/29/00/845312900.db2.gz ZIZYMDJFKSKHMM-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C[C@H](C(=O)N(C)C)N(C)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001190035307 845314260 /nfs/dbraw/zinc/31/42/60/845314260.db2.gz CMDYLDNXMRGCNE-OCCSQVGLSA-N 0 1 291.395 0.409 20 30 CCEDMN C=CCCN(C)[C@H]1CCN(C(=O)C2CS(=O)(=O)C2)C1 ZINC001190336020 845390078 /nfs/dbraw/zinc/39/00/78/845390078.db2.gz DITRWSGGPGEYIB-LBPRGKRZSA-N 0 1 286.397 0.140 20 30 CCEDMN C=C[C@@H](CO)NS(=O)(=O)c1cc(F)cc(F)c1F ZINC001190410589 845401339 /nfs/dbraw/zinc/40/13/39/845401339.db2.gz DIFDZUGPZUIHON-ZETCQYMHSA-N 0 1 281.255 0.929 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COC[C@H]3CCCO3)[C@@H]2C1 ZINC001190508500 845411275 /nfs/dbraw/zinc/41/12/75/845411275.db2.gz LSTKDNYQNGVUPY-RRFJBIMHSA-N 0 1 292.379 0.348 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2cnn(C)c2C)C1 ZINC001190565371 845431153 /nfs/dbraw/zinc/43/11/53/845431153.db2.gz FHMLEIJRLYNAAB-HNNXBMFYSA-N 0 1 288.395 0.827 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2cn(C)nc2C)C1 ZINC001190683813 845463553 /nfs/dbraw/zinc/46/35/53/845463553.db2.gz BEPPSLUVWDQKIQ-HNNXBMFYSA-N 0 1 288.395 0.827 20 30 CCEDMN N#Cc1cccc(OCCNS(=O)(=O)c2ncc[nH]2)c1 ZINC001190755773 845471185 /nfs/dbraw/zinc/47/11/85/845471185.db2.gz ZWBJJLLWEHZMOR-UHFFFAOYSA-N 0 1 292.320 0.639 20 30 CCEDMN N#Cc1coc(CNS(=O)(=O)c2ncc[nH]2)c1 ZINC001190758711 845474488 /nfs/dbraw/zinc/47/44/88/845474488.db2.gz ZZYVFRZQURNMAC-UHFFFAOYSA-N 0 1 252.255 0.353 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)c1[nH]nc(C)c1C ZINC001191126306 845588999 /nfs/dbraw/zinc/58/89/99/845588999.db2.gz JCRLDCYFOZRJFA-UHFFFAOYSA-N 0 1 251.286 0.876 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@]2(COC)CCOC2)C1 ZINC001191569865 845695331 /nfs/dbraw/zinc/69/53/31/845695331.db2.gz SJARWLUOMHOVTD-HOCLYGCPSA-N 0 1 294.395 0.596 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCc2nc(C)no2)C1 ZINC001191632013 845698911 /nfs/dbraw/zinc/69/89/11/845698911.db2.gz ANXYOGNFMJEGMI-CYBMUJFWSA-N 0 1 290.367 0.867 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCC2CCC2)C[C@H]1O ZINC001191724825 845716969 /nfs/dbraw/zinc/71/69/69/845716969.db2.gz SDBOHSLELNLAFJ-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)CCCF)C1 ZINC001191781929 845736389 /nfs/dbraw/zinc/73/63/89/845736389.db2.gz YHGSGLPERNRLPP-OLZOCXBDSA-N 0 1 297.374 0.407 20 30 CCEDMN C=CCCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001192095036 845792968 /nfs/dbraw/zinc/79/29/68/845792968.db2.gz YLDMPTPISIPDNY-NWDGAFQWSA-N 0 1 267.373 0.749 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CC[C@H](C)F)C[C@H]1O ZINC001192760945 845904171 /nfs/dbraw/zinc/90/41/71/845904171.db2.gz AIPHAHRNNWFOFM-YNEHKIRRSA-N 0 1 270.348 0.555 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc3nncn3c2)C1 ZINC001192815960 845914891 /nfs/dbraw/zinc/91/48/91/845914891.db2.gz BQOXVFVBRMPNPI-AWEZNQCLSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1C[N@@H+](CC=C(C)C)C[C@H]1O ZINC001192844368 845922507 /nfs/dbraw/zinc/92/25/07/845922507.db2.gz QMXNFJIZQUJQIJ-BFHYXJOUSA-N 0 1 280.368 0.152 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1O ZINC001192844368 845922513 /nfs/dbraw/zinc/92/25/13/845922513.db2.gz QMXNFJIZQUJQIJ-BFHYXJOUSA-N 0 1 280.368 0.152 20 30 CCEDMN CC(=O)N1CCc2c1cccc2NS(=O)(=O)CC#N ZINC001192985341 845944727 /nfs/dbraw/zinc/94/47/27/845944727.db2.gz AKMROZUVSOPSTA-UHFFFAOYSA-N 0 1 279.321 0.861 20 30 CCEDMN N#CCS(=O)(=O)Nc1c(F)cccc1N1CCOCC1 ZINC001192986300 845945212 /nfs/dbraw/zinc/94/52/12/845945212.db2.gz WLBSZRCLAAHIEJ-UHFFFAOYSA-N 0 1 299.327 0.928 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccc2nc[nH]c(=O)c2c1 ZINC001193145992 846004582 /nfs/dbraw/zinc/00/45/82/846004582.db2.gz DWZMOZMLDXMMSF-ZETCQYMHSA-N 0 1 278.293 0.989 20 30 CCEDMN CCOc1cc(N)n(C(=O)c2ccc(O)c(C#N)c2)c(=N)n1 ZINC001193498974 846093249 /nfs/dbraw/zinc/09/32/49/846093249.db2.gz ODPLKTANHAYKCH-UHFFFAOYSA-N 0 1 299.290 0.609 20 30 CCEDMN N#Cc1cc(C(=O)N2CCOC[C@H]2C#N)ccc1O ZINC001193504465 846100990 /nfs/dbraw/zinc/10/09/90/846100990.db2.gz RYSZJKQPMIPYPH-LLVKDONJSA-N 0 1 257.249 0.628 20 30 CCEDMN CN1CCOC[C@@H]1CNC(=O)c1ccc(O)c(C#N)c1 ZINC001193514633 846102839 /nfs/dbraw/zinc/10/28/39/846102839.db2.gz FXVROVQJPZVBJE-LBPRGKRZSA-N 0 1 275.308 0.324 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2ccc(C(N)=O)cc2)C1 ZINC001193961264 846187952 /nfs/dbraw/zinc/18/79/52/846187952.db2.gz MUEAMJCOEJYPEV-HNNXBMFYSA-N 0 1 299.374 0.955 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(C(N)=O)cc2)C1 ZINC001193961264 846187955 /nfs/dbraw/zinc/18/79/55/846187955.db2.gz MUEAMJCOEJYPEV-HNNXBMFYSA-N 0 1 299.374 0.955 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)C(C)(C)C)C1 ZINC001193993047 846199747 /nfs/dbraw/zinc/19/97/47/846199747.db2.gz WGHPXAWNXLBCCR-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001194397043 846286381 /nfs/dbraw/zinc/28/63/81/846286381.db2.gz NNPPGZWIXKQFIL-CABCVRRESA-N 0 1 294.395 0.760 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COC[C@H]2CCCO2)C1 ZINC001194501489 846314606 /nfs/dbraw/zinc/31/46/06/846314606.db2.gz AKUNBVSMKIJICG-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COC[C@@H]2CCCO2)C1 ZINC001194522976 846316419 /nfs/dbraw/zinc/31/64/19/846316419.db2.gz NYJBFWASOLJLRR-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@H](C)NC(C)=O)CC1 ZINC001194754019 846377891 /nfs/dbraw/zinc/37/78/91/846377891.db2.gz ADICVKPSOPZREF-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)COCC(F)F)C1 ZINC001194975853 846432340 /nfs/dbraw/zinc/43/23/40/846432340.db2.gz LFMCSFLMVLPSJH-NXEZZACHSA-N 0 1 278.299 0.006 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1O ZINC001195301589 846497859 /nfs/dbraw/zinc/49/78/59/846497859.db2.gz MAVCAXYRZBLLLY-RBSFLKMASA-N 0 1 296.411 0.766 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1O ZINC001195306631 846500661 /nfs/dbraw/zinc/50/06/61/846500661.db2.gz CMOWBXOGKDUTSP-RRFJBIMHSA-N 0 1 296.411 0.766 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195313802 846503997 /nfs/dbraw/zinc/50/39/97/846503997.db2.gz DHGVBUNESCZKLU-ZDUSSCGKSA-N 0 1 265.357 0.883 20 30 CCEDMN Cn1ccnc1CNC(=O)c1ccc(C#N)cc1O ZINC001195733879 846604830 /nfs/dbraw/zinc/60/48/30/846604830.db2.gz XTZIUDZGDAXPNH-UHFFFAOYSA-N 0 1 256.265 0.927 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN([C@H](CC)C(N)=O)CC2 ZINC001273705488 846615289 /nfs/dbraw/zinc/61/52/89/846615289.db2.gz ZRJFMCVCGARQEK-NWDGAFQWSA-N 0 1 277.368 0.102 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCO[C@@H](C#N)C2)c(O)c1 ZINC001195750351 846620968 /nfs/dbraw/zinc/62/09/68/846620968.db2.gz INVMEEIJYQEQAP-JTQLQIEISA-N 0 1 257.249 0.628 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CCCN(CCCO)CC1 ZINC001195781586 846623165 /nfs/dbraw/zinc/62/31/65/846623165.db2.gz YWQYKQXMASEGBL-UHFFFAOYSA-N 0 1 266.385 0.953 20 30 CCEDMN C#CCOCCC(=O)N1CCC[N@H+](CCOC(C)C)CC1 ZINC001195798664 846628400 /nfs/dbraw/zinc/62/84/00/846628400.db2.gz KTXYVDFEEZHSIZ-UHFFFAOYSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCOCCC(=O)N1CCCN(CCOC(C)C)CC1 ZINC001195798664 846628407 /nfs/dbraw/zinc/62/84/07/846628407.db2.gz KTXYVDFEEZHSIZ-UHFFFAOYSA-N 0 1 296.411 0.986 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1CN(CCCO)C[C@H]1O ZINC001195924726 846649472 /nfs/dbraw/zinc/64/94/72/846649472.db2.gz YVEPMDLMEWMJKM-BZPMIXESSA-N 0 1 270.373 0.132 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)C(F)F)C1 ZINC001196068368 846680638 /nfs/dbraw/zinc/68/06/38/846680638.db2.gz QIDKWWLWNSBOAW-NXEZZACHSA-N 0 1 274.311 0.462 20 30 CCEDMN CN(C)c1cc(NC(=O)c2ncccc2C#N)n[nH]1 ZINC001196551114 846774505 /nfs/dbraw/zinc/77/45/05/846774505.db2.gz BMNCAZNSLVVZGK-UHFFFAOYSA-N 0 1 256.269 0.995 20 30 CCEDMN CC[C@@H]1CO[C@H](C)CN1C(=O)NCC#CCN(C)C ZINC001251703584 847027855 /nfs/dbraw/zinc/02/78/55/847027855.db2.gz DPUFUYJZIQBWQU-CHWSQXEVSA-N 0 1 267.373 0.760 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCNC(=O)NC)C2 ZINC001110526351 847204783 /nfs/dbraw/zinc/20/47/83/847204783.db2.gz FBTUKCKTUALOSN-UTUOFQBUSA-N 0 1 280.372 0.213 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1C[N@H+](CCC2CC2)C[C@H]1O ZINC001199292840 847284808 /nfs/dbraw/zinc/28/48/08/847284808.db2.gz TZXMGQBNCPNPQO-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCOCC(=O)N1CCC(NCc2ccon2)CC1 ZINC001199776914 847431662 /nfs/dbraw/zinc/43/16/62/847431662.db2.gz MDRDVTCNUFAWMF-UHFFFAOYSA-N 0 1 279.340 0.958 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOC ZINC001110547336 847541935 /nfs/dbraw/zinc/54/19/35/847541935.db2.gz IKLHHUIXKQQKJL-DGAVXFQQSA-N 0 1 280.368 0.393 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2Cc2cccc(=O)n2C)C1=O ZINC001273944052 847894530 /nfs/dbraw/zinc/89/45/30/847894530.db2.gz DXICESGGRBWGIT-KRWDZBQOSA-N 0 1 299.374 0.585 20 30 CCEDMN CCCCCCCC[C@@H](O)CN[C@@H](CO)C(N)=O ZINC001252134954 847919506 /nfs/dbraw/zinc/91/95/06/847919506.db2.gz UVWUMHGXOHXMFK-NEPJUHHUSA-N 0 1 260.378 0.534 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnn2cc[nH]c12 ZINC001149048228 848029748 /nfs/dbraw/zinc/02/97/48/848029748.db2.gz AIXVJGFGLKQBFN-UHFFFAOYSA-N 0 1 267.720 0.734 20 30 CCEDMN C=CCC[C@@H](O)C[NH2+]CCCC[P@](=O)([O-])O ZINC001252617871 848038761 /nfs/dbraw/zinc/03/87/61/848038761.db2.gz MGXHAXLZUJYITR-SNVBAGLBSA-N 0 1 251.263 0.861 20 30 CCEDMN C=CCC1(O)CCN([C@H](C)C(=O)NCCCOC)CC1 ZINC000717463918 848267262 /nfs/dbraw/zinc/26/72/62/848267262.db2.gz MJRYSDPBNXJROM-CYBMUJFWSA-N 0 1 284.400 0.931 20 30 CCEDMN CC[C@H](C(N)=O)N1CC[C@@]2(CCN(CCC#N)C2)C1=O ZINC001274031346 848310786 /nfs/dbraw/zinc/31/07/86/848310786.db2.gz ODDOQQUDCKJTPY-BXUZGUMPSA-N 0 1 278.356 0.088 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2c2cncn2C)C1=O ZINC001274758447 848561367 /nfs/dbraw/zinc/56/13/67/848561367.db2.gz IUBXRJGUKPPEGD-AWEZNQCLSA-N 0 1 258.325 0.625 20 30 CCEDMN C=CCN1C[C@@]2(F)CN(c3cncn3C)C[C@@]2(F)C1=O ZINC001274760031 848563033 /nfs/dbraw/zinc/56/30/33/848563033.db2.gz XXMVSMVUVKDJBB-CHWSQXEVSA-N 0 1 282.294 0.685 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cc(OC)no2)CC1 ZINC001274903894 848589174 /nfs/dbraw/zinc/58/91/74/848589174.db2.gz QIJFIIBVEPEWTL-UHFFFAOYSA-N 0 1 295.339 0.426 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)COCCC=C)CC1 ZINC001274942572 848598363 /nfs/dbraw/zinc/59/83/63/848598363.db2.gz ZHAUGAFLUIGLGA-UHFFFAOYSA-N 0 1 280.368 0.155 20 30 CCEDMN C=CCCCN1C[C@@H]2CN(COCCOC)C[C@H](C1)O2 ZINC001274964289 848600963 /nfs/dbraw/zinc/60/09/63/848600963.db2.gz HJGUYXKFEWIVDD-GASCZTMLSA-N 0 1 284.400 0.958 20 30 CCEDMN Cn1cc(CN2CCO[C@H]3CN(C)C[C@@H]32)cc1C#N ZINC001275045002 848620282 /nfs/dbraw/zinc/62/02/82/848620282.db2.gz JCQVWOBNICYZBN-KBPBESRZSA-N 0 1 260.341 0.412 20 30 CCEDMN C#Cc1ccc(CN2CC3(CN(CC(=O)N(C)C)C3)C2)cc1 ZINC001275131984 848645008 /nfs/dbraw/zinc/64/50/08/848645008.db2.gz LSYGDHIPYBULPO-UHFFFAOYSA-N 0 1 297.402 0.874 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CN(C)CCNC(=O)C1CC1 ZINC001275551412 848760012 /nfs/dbraw/zinc/76/00/12/848760012.db2.gz HPMNZFBDSLLHHA-ZDUSSCGKSA-N 0 1 293.411 0.609 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1ccnnc1 ZINC001275657081 848784427 /nfs/dbraw/zinc/78/44/27/848784427.db2.gz ARKZRCUOCFBFMR-GFCCVEGCSA-N 0 1 276.340 0.177 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCCC(=O)NCC ZINC001275696863 848795309 /nfs/dbraw/zinc/79/53/09/848795309.db2.gz BJGJNGQLKAIDBF-CYBMUJFWSA-N 0 1 281.400 0.753 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H](NC(C)=O)C(C)C ZINC001275976334 848879758 /nfs/dbraw/zinc/87/97/58/848879758.db2.gz BYBMYLHKYWCRKF-DGCLKSJQSA-N 0 1 267.373 0.217 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1nccn1)C2 ZINC001095473733 848934587 /nfs/dbraw/zinc/93/45/87/848934587.db2.gz NTMBEXKHKGLNEW-WOPDTQHZSA-N 0 1 261.329 0.186 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ncccn1)C2 ZINC001111168339 849084562 /nfs/dbraw/zinc/08/45/62/849084562.db2.gz OFDGSCLIBNXOEE-MCIONIFRSA-N 0 1 284.363 0.764 20 30 CCEDMN CN1CCN(CCOc2ccc(C#N)cc2)C[C@H]1CO ZINC000687596160 849126431 /nfs/dbraw/zinc/12/64/31/849126431.db2.gz WRZVEVRGWCFXFR-AWEZNQCLSA-N 0 1 275.352 0.545 20 30 CCEDMN CN(CCCNC(=O)Cc1cnc[nH]1)c1cncc(C#N)n1 ZINC001095749218 849134126 /nfs/dbraw/zinc/13/41/26/849134126.db2.gz XXLMEAPGRZMFKX-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CC(=O)NCCN1CCC(Nc2ccc(C#N)nc2)CC1 ZINC001111309123 849161660 /nfs/dbraw/zinc/16/16/60/849161660.db2.gz FIUVOBLJYDGXII-UHFFFAOYSA-N 0 1 287.367 0.966 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCNC(=O)CC1)C2 ZINC001095818315 849172977 /nfs/dbraw/zinc/17/29/77/849172977.db2.gz UBGKJKQPBKLUAI-CRWXNKLISA-N 0 1 291.395 0.810 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cncn1C)C2 ZINC001111340597 849175997 /nfs/dbraw/zinc/17/59/97/849175997.db2.gz BVKWIRPPFIOPCL-YUELXQCFSA-N 0 1 286.379 0.707 20 30 CCEDMN C#CCN(C1CCCC1)S(=O)(=O)c1ncc[nH]1 ZINC000688850719 849197976 /nfs/dbraw/zinc/19/79/76/849197976.db2.gz WHWHYOCVIOXPIQ-UHFFFAOYSA-N 0 1 253.327 0.976 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(=O)n1C)C2 ZINC001095871402 849206796 /nfs/dbraw/zinc/20/67/96/849206796.db2.gz KXXCAIWWUJPXTR-WOPDTQHZSA-N 0 1 288.351 0.484 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(COCC)CC1 ZINC001114490471 849212149 /nfs/dbraw/zinc/21/21/49/849212149.db2.gz CYGQAZPTHMZLNK-WDNDVIMCSA-N 0 1 276.380 0.873 20 30 CCEDMN C=CCN1CC[C@H]1CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001038648824 849227212 /nfs/dbraw/zinc/22/72/12/849227212.db2.gz XACGKEZEVNFXDW-WDEREUQCSA-N 0 1 275.356 0.601 20 30 CCEDMN C=CCN1CC[C@H]1CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001038648816 849227700 /nfs/dbraw/zinc/22/77/00/849227700.db2.gz XACGKEZEVNFXDW-QWRGUYRKSA-N 0 1 275.356 0.601 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000720020488 849332931 /nfs/dbraw/zinc/33/29/31/849332931.db2.gz DGHOWINXHLZZNM-UHFFFAOYSA-N 0 1 271.317 0.537 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)n1ccnc1 ZINC001114894536 849587660 /nfs/dbraw/zinc/58/76/60/849587660.db2.gz HDZOYVJYVSDGDT-RMRHIDDWSA-N 0 1 272.352 0.514 20 30 CCEDMN C=C[C@H](O)CNCc1cn(Cc2ccncc2)cn1 ZINC001253608451 849656687 /nfs/dbraw/zinc/65/66/87/849656687.db2.gz BZXLHIZMIHIGQL-AWEZNQCLSA-N 0 1 258.325 0.963 20 30 CCEDMN C=C[C@@H](O)CNCc1cn(Cc2ccccn2)cn1 ZINC001253610150 849658408 /nfs/dbraw/zinc/65/84/08/849658408.db2.gz QBNZXHWIVDCFJO-CQSZACIVSA-N 0 1 258.325 0.963 20 30 CCEDMN C=CCCC(=O)N(C)CCCN(C)C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001066985474 849696174 /nfs/dbraw/zinc/69/61/74/849696174.db2.gz PMDMEHFYOZHSPT-VXGBXAGGSA-N 0 1 297.355 0.925 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001038560915 849943464 /nfs/dbraw/zinc/94/34/64/849943464.db2.gz DTZIDUTTXWEYMI-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001038560915 849943472 /nfs/dbraw/zinc/94/34/72/849943472.db2.gz DTZIDUTTXWEYMI-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001038702430 849986553 /nfs/dbraw/zinc/98/65/53/849986553.db2.gz PSHGIWSQSVXAKZ-CHWSQXEVSA-N 0 1 279.384 0.812 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001038702944 849988565 /nfs/dbraw/zinc/98/85/65/849988565.db2.gz SHEYQGJZZRNDBQ-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CC[C@@H](C)O1 ZINC001038718165 849993612 /nfs/dbraw/zinc/99/36/12/849993612.db2.gz FVOWIVODVLUSIA-JHJVBQTASA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccnc(N(C)C)c1 ZINC001038765864 850009237 /nfs/dbraw/zinc/00/92/37/850009237.db2.gz DDPXJTFQKPDQCO-AWEZNQCLSA-N 0 1 286.379 0.975 20 30 CCEDMN C=CCN1CC[C@@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001038896269 850074021 /nfs/dbraw/zinc/07/40/21/850074021.db2.gz ZWPJJLIAKSCVKU-NWDGAFQWSA-N 0 1 274.368 0.891 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCCCN1C(N)=O ZINC001038897880 850074098 /nfs/dbraw/zinc/07/40/98/850074098.db2.gz XGMUMPZUXCNWQL-STQMWFEESA-N 0 1 294.399 0.686 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC001039006957 850117507 /nfs/dbraw/zinc/11/75/07/850117507.db2.gz FBXYFEGDTHSCET-LLVKDONJSA-N 0 1 269.308 0.395 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1COCCN1C(C)=O ZINC001039027133 850125816 /nfs/dbraw/zinc/12/58/16/850125816.db2.gz AHDYQTOYKPNIQW-ZIAGYGMSSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)[C@@]3(C)CNC(=O)C3)C2)C1 ZINC001041499609 850455569 /nfs/dbraw/zinc/45/55/69/850455569.db2.gz XNFUQZWWYYYMPG-HZPDHXFCSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnsn3)C[C@@H]21 ZINC001041956139 850539462 /nfs/dbraw/zinc/53/94/62/850539462.db2.gz ZDJBNORLLBVJNU-JQWIXIFHSA-N 0 1 276.365 0.708 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc(C)nn3C)C[C@@H]21 ZINC001041964705 850542020 /nfs/dbraw/zinc/54/20/20/850542020.db2.gz RCOADQCNSHKKHB-ZFWWWQNUSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnnc(C)c3)C[C@H]21 ZINC001042030349 850558874 /nfs/dbraw/zinc/55/88/74/850558874.db2.gz ABOVSDNKWKOBHW-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001042057119 850571355 /nfs/dbraw/zinc/57/13/55/850571355.db2.gz GMORUTGWUSEMSO-GJZGRUSLSA-N 0 1 256.349 0.956 20 30 CCEDMN CN1CC(C(=O)N2CC[C@@H]3CCN(CC#N)[C@@H]3C2)=NC1=O ZINC001042212614 850598151 /nfs/dbraw/zinc/59/81/51/850598151.db2.gz AFAKKMXXOAFVPU-CMPLNLGQSA-N 0 1 289.339 0.186 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccnc(C)n3)C[C@H]21 ZINC001042363328 850619599 /nfs/dbraw/zinc/61/95/99/850619599.db2.gz RGJMOZDBKOVJBR-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc(=O)n(C)o2)C1 ZINC001042660790 850726762 /nfs/dbraw/zinc/72/67/62/850726762.db2.gz UWGWMQREBSHWGO-UHFFFAOYSA-N 0 1 279.340 0.701 20 30 CCEDMN C#CCCN1CC(N(C)C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001042754069 850751176 /nfs/dbraw/zinc/75/11/76/850751176.db2.gz LQZPSFGAIIBDPO-UHFFFAOYSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001042974058 850791027 /nfs/dbraw/zinc/79/10/27/850791027.db2.gz ROUADSSMACUWFA-VXGBXAGGSA-N 0 1 258.325 0.289 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)N(C)C1CN(CC#N)C1)C2 ZINC001043139182 850820571 /nfs/dbraw/zinc/82/05/71/850820571.db2.gz FKPAUWNCFNUHKX-NSHDSACASA-N 0 1 287.367 0.489 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001043435023 850869125 /nfs/dbraw/zinc/86/91/25/850869125.db2.gz KQEVPDQBWPTVEC-HNNXBMFYSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@H]2CC(=O)NC2=O)C1 ZINC001044380930 851090432 /nfs/dbraw/zinc/09/04/32/851090432.db2.gz DGNCEDFLKSTDQZ-LLVKDONJSA-N 0 1 293.367 0.148 20 30 CCEDMN C[C@@H]1C[C@@H](NCC#N)CCN1C(=O)Cc1ccn[nH]1 ZINC001044518223 851115692 /nfs/dbraw/zinc/11/56/92/851115692.db2.gz POCHMQMZWJOOCV-MNOVXSKESA-N 0 1 261.329 0.445 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](C)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001046246939 851437202 /nfs/dbraw/zinc/43/72/02/851437202.db2.gz SQEQIAHYFGLYEM-CYBMUJFWSA-N 0 1 264.329 0.804 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cn3c(n2)CCC3)C1 ZINC001046326827 851466042 /nfs/dbraw/zinc/46/60/42/851466042.db2.gz WQTALQMIDGALKT-OAHLLOKOSA-N 0 1 272.352 0.657 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001046363940 851477506 /nfs/dbraw/zinc/47/75/06/851477506.db2.gz GXAMJHNIFVRLDS-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001046382545 851481525 /nfs/dbraw/zinc/48/15/25/851481525.db2.gz VFNQGYIUYCPAIW-AWEZNQCLSA-N 0 1 286.339 0.733 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001046512303 851529881 /nfs/dbraw/zinc/52/98/81/851529881.db2.gz CZUNCRQMAGRWBD-BBRMVZONSA-N 0 1 291.395 0.507 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](C[C@H](C)O)C2)cn1 ZINC001046779687 851611551 /nfs/dbraw/zinc/61/15/51/851611551.db2.gz XMQUZCSEHAOVFN-LRDDRELGSA-N 0 1 287.363 0.638 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@]2(C)CC[N@H+](C[C@H](C)O)C2)cn1 ZINC001046779686 851612068 /nfs/dbraw/zinc/61/20/68/851612068.db2.gz XMQUZCSEHAOVFN-BLLLJJGKSA-N 0 1 287.363 0.638 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001046806038 851619078 /nfs/dbraw/zinc/61/90/78/851619078.db2.gz NXPVMVVXDGEIHZ-ZBFHGGJFSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001046857783 851633219 /nfs/dbraw/zinc/63/32/19/851633219.db2.gz HUFRFVFQRJQOEJ-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001046890592 851641906 /nfs/dbraw/zinc/64/19/06/851641906.db2.gz QHUGSQBSUCQBFI-HNNXBMFYSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CC[N@@H+]1CCC(F)(F)[C@H](CNC(=O)[C@@H]2CCC[NH+]2C)C1 ZINC001046926623 851646499 /nfs/dbraw/zinc/64/64/99/851646499.db2.gz UPEBJMVCFRGSJH-OLZOCXBDSA-N 0 1 299.365 0.787 20 30 CCEDMN N#CCN1CCC(F)(F)[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001046980498 851652614 /nfs/dbraw/zinc/65/26/14/851652614.db2.gz NVNVGFCKVFWOOG-MRVPVSSYSA-N 0 1 284.270 0.015 20 30 CCEDMN N#CCN1CCC(F)(F)[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001046980498 851652620 /nfs/dbraw/zinc/65/26/20/851652620.db2.gz NVNVGFCKVFWOOG-MRVPVSSYSA-N 0 1 284.270 0.015 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001047087424 851668326 /nfs/dbraw/zinc/66/83/26/851668326.db2.gz XXVBCFJSMIHQEY-HOMQSWHASA-N 0 1 297.322 0.692 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)CC2CCOCC2)C1 ZINC001047327036 851716547 /nfs/dbraw/zinc/71/65/47/851716547.db2.gz BHWOTSAGQVBQSW-GJZGRUSLSA-N 0 1 294.395 0.330 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccn(C)c2)C1 ZINC001047347472 851731323 /nfs/dbraw/zinc/73/13/23/851731323.db2.gz WWOGEEMZEGYWIU-KBPBESRZSA-N 0 1 277.368 0.718 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(F)cn2)C1 ZINC001047357383 851735683 /nfs/dbraw/zinc/73/56/83/851735683.db2.gz AQTKFQXLXFPQGB-KBPBESRZSA-N 0 1 291.326 0.361 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C2=CCOCC2)C1 ZINC001047357524 851735988 /nfs/dbraw/zinc/73/59/88/851735988.db2.gz FBKJKDJVPGUEPS-KBPBESRZSA-N 0 1 280.368 0.413 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCCOCC2)C1 ZINC001047364474 851737745 /nfs/dbraw/zinc/73/77/45/851737745.db2.gz SITUFNXQTLSMDI-ILXRZTDVSA-N 0 1 296.411 0.883 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CC2(F)F)C1 ZINC001047375836 851742863 /nfs/dbraw/zinc/74/28/63/851742863.db2.gz JJZNZXWUSAYVGG-DCAQKATOSA-N 0 1 272.295 0.168 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2csc(C)n2)C1 ZINC001047499848 851791774 /nfs/dbraw/zinc/79/17/74/851791774.db2.gz UIVWXMQFKYTKDL-STQMWFEESA-N 0 1 293.392 0.592 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@H]2C[N@@H+](C(C)C)C[C@@H]2O)cn1 ZINC001047599166 851829415 /nfs/dbraw/zinc/82/94/15/851829415.db2.gz KJNHENXGFLEBOP-GJZGRUSLSA-N 0 1 287.363 0.588 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@H]2CCCOC2)C1 ZINC001047633319 851842747 /nfs/dbraw/zinc/84/27/47/851842747.db2.gz OHQWPWSJAAGYCW-ILXRZTDVSA-N 0 1 294.395 0.330 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]cnc2C)C1 ZINC001047651742 851846471 /nfs/dbraw/zinc/84/64/71/851846471.db2.gz JHIFQXDWVIPSHI-RYUDHWBXSA-N 0 1 278.356 0.411 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(CC)nn1)C2 ZINC001096256798 851870757 /nfs/dbraw/zinc/87/07/57/851870757.db2.gz CVKBQIIVHZTYPC-MDZLAQPJSA-N 0 1 275.356 0.819 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC(C)C)[C@H](O)C1 ZINC001099639674 898420298 /nfs/dbraw/zinc/42/02/98/898420298.db2.gz MYUYGFMEGKAKTA-CHWSQXEVSA-N 0 1 252.358 0.607 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CN(Cc3cncn3C)C[C@H]2C1 ZINC001048728187 852036536 /nfs/dbraw/zinc/03/65/36/852036536.db2.gz WUBPATRMCMJMDF-UPJWGTAASA-N 0 1 287.367 0.470 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1[nH]cnc1C)C2 ZINC001096432970 852087395 /nfs/dbraw/zinc/08/73/95/852087395.db2.gz IDKAVZDACZIELY-XBFCOCLRSA-N 0 1 272.352 0.615 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+]([C@H](C)C(=O)NC)C[C@@H]2C1 ZINC001048979713 852124822 /nfs/dbraw/zinc/12/48/22/852124822.db2.gz BFLDLVBFJWCTLX-UPJWGTAASA-N 0 1 293.411 0.723 20 30 CCEDMN C=C1CC(C)(C(=O)N2C[C@H]3CN(CC(N)=O)C[C@H]3C2)C1 ZINC001049045751 852147871 /nfs/dbraw/zinc/14/78/71/852147871.db2.gz BBFKDRAOHRNTGJ-TXEJJXNPSA-N 0 1 277.368 0.218 20 30 CCEDMN N#CCN1CC[C@H]2[C@H]1CCCN2C(=O)CCc1nc[nH]n1 ZINC001049409968 852262978 /nfs/dbraw/zinc/26/29/78/852262978.db2.gz FJWRPFAPFDJHMI-NEPJUHHUSA-N 0 1 288.355 0.326 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1n[nH]c(C)c1[O-] ZINC001049422326 852264482 /nfs/dbraw/zinc/26/44/82/852264482.db2.gz HTWPHVKTXJCPPH-NEPJUHHUSA-N 0 1 288.351 0.736 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1COCCN1C ZINC001049430064 852269705 /nfs/dbraw/zinc/26/97/05/852269705.db2.gz ZLRGTIRXAFMKMO-KFWWJZLASA-N 0 1 293.411 0.568 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnnn1C ZINC001049451177 852282093 /nfs/dbraw/zinc/28/20/93/852282093.db2.gz OZAWGKZZBDRCLP-QWHCGFSZSA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1nnc(C)o1 ZINC001049460274 852288895 /nfs/dbraw/zinc/28/88/95/852288895.db2.gz DPEAKYUGSLQHKX-STQMWFEESA-N 0 1 288.351 0.619 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cncn1C ZINC001049578524 852317819 /nfs/dbraw/zinc/31/78/19/852317819.db2.gz WXOQMMVTAIWNAS-STQMWFEESA-N 0 1 272.352 0.732 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049785718 852371587 /nfs/dbraw/zinc/37/15/87/852371587.db2.gz ZREFNBXGMXGFTJ-QWHCGFSZSA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnon1 ZINC001049802099 852377512 /nfs/dbraw/zinc/37/75/12/852377512.db2.gz LSYSMSZOCQVWLN-RYUDHWBXSA-N 0 1 260.297 0.382 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049835043 852383156 /nfs/dbraw/zinc/38/31/56/852383156.db2.gz NQUKPOVUBONILP-NWDGAFQWSA-N 0 1 273.340 0.046 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049835043 852383168 /nfs/dbraw/zinc/38/31/68/852383168.db2.gz NQUKPOVUBONILP-NWDGAFQWSA-N 0 1 273.340 0.046 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)Cn1ccccc1=O ZINC001049896059 852394048 /nfs/dbraw/zinc/39/40/48/852394048.db2.gz WRMYHYYCPTUPPH-LSDHHAIUSA-N 0 1 299.374 0.547 20 30 CCEDMN N#Cc1cnc(N[C@@H](CNC(=O)c2cnn[nH]2)C2CC2)cn1 ZINC001096853931 852457936 /nfs/dbraw/zinc/45/79/36/852457936.db2.gz PHFAHLCNKLHTQT-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCOC1)C2 ZINC001096900705 852464873 /nfs/dbraw/zinc/46/48/73/852464873.db2.gz IZMDYIMAUSKWFL-DGAVXFQQSA-N 0 1 262.353 0.768 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1COC(=O)C1)C2 ZINC001096956867 852476795 /nfs/dbraw/zinc/47/67/95/852476795.db2.gz DKOYANYIPUBBBL-SYQHCUMBSA-N 0 1 290.363 0.684 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1COC(=O)C1)C2 ZINC001096956866 852477029 /nfs/dbraw/zinc/47/70/29/852477029.db2.gz DKOYANYIPUBBBL-DGAVXFQQSA-N 0 1 290.363 0.684 20 30 CCEDMN N#C[C@@H]1C[C@H]2CC[C@@H](C1)N2[C@H]1CCS(=O)(=O)C1 ZINC001254341481 852533385 /nfs/dbraw/zinc/53/33/85/852533385.db2.gz NOHMETZYJGIVHR-YFKTTZPYSA-N 0 1 254.355 0.940 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)Nc1ncnc2[nH]cnc21 ZINC001097719741 852588884 /nfs/dbraw/zinc/58/88/84/852588884.db2.gz SUTYVSQMSIBIAG-VIFPVBQESA-N 0 1 290.327 0.472 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(C)CCNC1=O)C2 ZINC001097836434 852631175 /nfs/dbraw/zinc/63/11/75/852631175.db2.gz WOLKGXUWWDCXBV-FJJYHAOUSA-N 0 1 277.368 0.420 20 30 CCEDMN C=CCN1CC2(C1)CN(C(=O)c1cnc(C)[nH]1)CCO2 ZINC001053189799 852706191 /nfs/dbraw/zinc/70/61/91/852706191.db2.gz ACVVFFNESZALNY-UHFFFAOYSA-N 0 1 276.340 0.431 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(c3ccncc3C#N)CC2)[nH]1 ZINC001055746036 853112819 /nfs/dbraw/zinc/11/28/19/853112819.db2.gz IQKGKYIWNQINPX-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](Nc3ccc(C#N)nn3)C2)[nH]1 ZINC001056592898 853177410 /nfs/dbraw/zinc/17/74/10/853177410.db2.gz NUAQDSOKYJLGFC-LLVKDONJSA-N 0 1 297.322 0.706 20 30 CCEDMN CN(c1cnc(C#N)cn1)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001056868318 853235285 /nfs/dbraw/zinc/23/52/85/853235285.db2.gz AYESUIOOHMSDRF-LLVKDONJSA-N 0 1 297.322 0.422 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cncnc3)[C@@H]2C1 ZINC001050031636 853298640 /nfs/dbraw/zinc/29/86/40/853298640.db2.gz GLIBNEBSIJOPEG-GXTWGEPZSA-N 0 1 270.336 0.646 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cnc4n3CCC4)[C@@H]2C1 ZINC001050067621 853308260 /nfs/dbraw/zinc/30/82/60/853308260.db2.gz UGTIKNXXKBLQGR-DZGCQCFKSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3CCC(=O)NC3)[C@@H]2C1 ZINC001050082291 853308973 /nfs/dbraw/zinc/30/89/73/853308973.db2.gz FNZKBRTWYWLSEM-MELADBBJSA-N 0 1 289.379 0.069 20 30 CCEDMN Cc1oncc1CNC[C@H]1CN(C(=O)[C@@H](C)C#N)CCO1 ZINC001051494303 853597153 /nfs/dbraw/zinc/59/71/53/853597153.db2.gz HGHYVYQRTAPCNR-GWCFXTLKSA-N 0 1 292.339 0.460 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001052312458 853729261 /nfs/dbraw/zinc/72/92/61/853729261.db2.gz YNQLGCFVIYYREP-NSHDSACASA-N 0 1 276.340 0.641 20 30 CCEDMN N#Cc1ccc(N2CCC(NC(=O)c3cnn[nH]3)CC2)cn1 ZINC001057973678 853792778 /nfs/dbraw/zinc/79/27/78/853792778.db2.gz RPTISWQYJCKJSW-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cccnc1NC[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001068618149 853907710 /nfs/dbraw/zinc/90/77/10/853907710.db2.gz FRYOPSGYWNUHKO-HOMQSWHASA-N 0 1 297.322 0.692 20 30 CCEDMN C[C@H]1[C@H](Nc2ccncc2C#N)CCN1C(=O)c1ccn[nH]1 ZINC001068749725 853922899 /nfs/dbraw/zinc/92/28/99/853922899.db2.gz LWSCLRJDWKPGQL-CMPLNLGQSA-N 0 1 296.334 0.813 20 30 CCEDMN C[C@H]1C[C@@H](Nc2cncc(C#N)n2)CN1C(=O)c1ccn[nH]1 ZINC001069016387 853939281 /nfs/dbraw/zinc/93/92/81/853939281.db2.gz FXUGSTKROWEJFH-VHSXEESVSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C=C(\C)C2CC2)C[C@@H]1n1ccnn1 ZINC001070146830 854029706 /nfs/dbraw/zinc/02/97/06/854029706.db2.gz HRKIFCGCMNRFLE-NZETUCKYSA-N 0 1 299.378 0.609 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ccon2)C[C@H]1c1cn(C)cn1 ZINC001070471508 854063921 /nfs/dbraw/zinc/06/39/21/854063921.db2.gz PPKHXCYJJYIBLN-SMDDNHRTSA-N 0 1 299.334 0.239 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(CC)nn1)C2 ZINC001098121811 854075431 /nfs/dbraw/zinc/07/54/31/854075431.db2.gz SCRNMSFAHAACFU-BZPMIXESSA-N 0 1 287.367 0.656 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001070668939 854086539 /nfs/dbraw/zinc/08/65/39/854086539.db2.gz PVBCFRXINUZMAX-LLVKDONJSA-N 0 1 289.339 0.329 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)c1nc[nH]n1)C[C@@H](C)O2 ZINC001071217875 854136994 /nfs/dbraw/zinc/13/69/94/854136994.db2.gz FLNDZPAWKITNKY-RISCZKNCSA-N 0 1 291.355 0.296 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C2CN(C(C)=O)C2)CC[C@H]1C ZINC001071463843 854208186 /nfs/dbraw/zinc/20/81/86/854208186.db2.gz SOBVQEZELDHUDR-DOMZBBRYSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cnoc2)CC[C@@H]1C ZINC001071759377 854282891 /nfs/dbraw/zinc/28/28/91/854282891.db2.gz GYNGRMPZEATKDA-WCQYABFASA-N 0 1 261.325 0.819 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2ncc[nH]2)CC[C@@H]1C ZINC001071939195 854323784 /nfs/dbraw/zinc/32/37/84/854323784.db2.gz RFNDMFYGTYZTNW-STQMWFEESA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCCCC(=O)N1C[C@H](NC(=O)c2cnn[nH]2)[C@@H](C)C1 ZINC001071980156 854329328 /nfs/dbraw/zinc/32/93/28/854329328.db2.gz CQGPTDNZJYNXEZ-JQWIXIFHSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCCCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001071980157 854330147 /nfs/dbraw/zinc/33/01/47/854330147.db2.gz CQGPTDNZJYNXEZ-PWSUYJOCSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2ccnc2)CC[C@H]1C ZINC001072123745 854349164 /nfs/dbraw/zinc/34/91/64/854349164.db2.gz ULEBBJJXJITZTJ-OLZOCXBDSA-N 0 1 260.341 0.485 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC001072571353 854420155 /nfs/dbraw/zinc/42/01/55/854420155.db2.gz QYEKUODWTLQKKD-UHFFFAOYSA-N 0 1 259.313 0.010 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc4nc[nH]c4n3)C2)C1 ZINC001072843832 854478040 /nfs/dbraw/zinc/47/80/40/854478040.db2.gz QZVVTMGDHLKJOH-UHFFFAOYSA-N 0 1 295.346 0.739 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3ncccc3OC)C2)C1 ZINC001072914940 854495119 /nfs/dbraw/zinc/49/51/19/854495119.db2.gz DQXAXCAEADQYJH-UHFFFAOYSA-N 0 1 299.374 0.800 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001072944411 854500033 /nfs/dbraw/zinc/50/00/33/854500033.db2.gz BOSGGUWVLOGTAP-WFASDCNBSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccnc(N(C)C)c3)C2)C1 ZINC001073025073 854518381 /nfs/dbraw/zinc/51/83/81/854518381.db2.gz CSHVRMYITZEYIW-UHFFFAOYSA-N 0 1 298.390 0.929 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3ccco3)C2)C1 ZINC001073070224 854525337 /nfs/dbraw/zinc/52/53/37/854525337.db2.gz TXXGHFNJNISTDQ-UHFFFAOYSA-N 0 1 258.321 0.990 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc(N(C)C)cn3)C2)C1 ZINC001073470090 854562288 /nfs/dbraw/zinc/56/22/88/854562288.db2.gz XSWUQGCNGGCYHZ-UHFFFAOYSA-N 0 1 298.390 0.929 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cc(C)on2)C1 ZINC001073521206 854574779 /nfs/dbraw/zinc/57/47/79/854574779.db2.gz NUGGJRDKKHGQQE-LBPRGKRZSA-N 0 1 279.340 0.990 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cc[nH]c(=O)c2)C1 ZINC001073570517 854599529 /nfs/dbraw/zinc/59/95/29/854599529.db2.gz VIPAESMXSFXCCZ-CYBMUJFWSA-N 0 1 291.351 0.794 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H]2CCCCO2)C1 ZINC001073569946 854599991 /nfs/dbraw/zinc/59/99/91/854599991.db2.gz HODNKECSXJSJSR-ZIAGYGMSSA-N 0 1 282.384 0.949 20 30 CCEDMN C=C(Cl)CN1CCCO[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001073674949 854629296 /nfs/dbraw/zinc/62/92/96/854629296.db2.gz SWIALTQDUIULJH-JTQLQIEISA-N 0 1 299.762 0.378 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccn(CC)n2)C1 ZINC001073801155 854645610 /nfs/dbraw/zinc/64/56/10/854645610.db2.gz BXACBDKBERSQRT-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cccnn2)C1 ZINC001073833219 854652470 /nfs/dbraw/zinc/65/24/70/854652470.db2.gz YXPHRPSOUPYHGH-GFCCVEGCSA-N 0 1 276.340 0.483 20 30 CCEDMN C#CCN1CCO[C@@H]2CCN(C(=O)CN3CCCC3)C[C@@H]21 ZINC001074405056 854725727 /nfs/dbraw/zinc/72/57/27/854725727.db2.gz LLODSNFDMJVKBK-LSDHHAIUSA-N 0 1 291.395 0.017 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C[C@@H]1C ZINC001075104076 854812598 /nfs/dbraw/zinc/81/25/98/854812598.db2.gz PITPDXHUGWZSQP-QWRGUYRKSA-N 0 1 291.355 0.880 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C[C@@H]1C ZINC001075104076 854812605 /nfs/dbraw/zinc/81/26/05/854812605.db2.gz PITPDXHUGWZSQP-QWRGUYRKSA-N 0 1 291.355 0.880 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2COCCO2)C1 ZINC001098735138 854879550 /nfs/dbraw/zinc/87/95/50/854879550.db2.gz BGEKDNGGBOLSPY-YDHLFZDLSA-N 0 1 278.352 0.006 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CNC(=O)CC ZINC001098974906 854902114 /nfs/dbraw/zinc/90/21/14/854902114.db2.gz BLUMIOUZCWTWFE-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ccoc2)[C@H](O)C1 ZINC001099659186 854960125 /nfs/dbraw/zinc/96/01/25/854960125.db2.gz FMSMKHJOQIUDQB-ZIAGYGMSSA-N 0 1 294.351 0.647 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC(C)(C)C)[C@@H](O)C1 ZINC001099673480 854963457 /nfs/dbraw/zinc/96/34/57/854963457.db2.gz WZMBJKZNFDHWDC-OLZOCXBDSA-N 0 1 266.385 0.997 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCOCC)[C@H](O)C1 ZINC001099701652 854970627 /nfs/dbraw/zinc/97/06/27/854970627.db2.gz HZGLLYOIKZDMOP-ZIAGYGMSSA-N 0 1 282.384 0.378 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCC2CC2)[C@@H](O)C1 ZINC001099749063 854985675 /nfs/dbraw/zinc/98/56/75/854985675.db2.gz GNQXHECBHHYPPQ-STQMWFEESA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCCCC)[C@@H](O)C1 ZINC001099754575 854986235 /nfs/dbraw/zinc/98/62/35/854986235.db2.gz HKCDZOUUNAVVCG-STQMWFEESA-N 0 1 270.373 0.541 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001099807882 854999057 /nfs/dbraw/zinc/99/90/57/854999057.db2.gz XGVHXHQMCASMRO-KBPBESRZSA-N 0 1 294.395 0.520 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001099807882 854999062 /nfs/dbraw/zinc/99/90/62/854999062.db2.gz XGVHXHQMCASMRO-KBPBESRZSA-N 0 1 294.395 0.520 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COc2cc(C)on2)[C@H](O)C1 ZINC001099881623 855019505 /nfs/dbraw/zinc/01/95/05/855019505.db2.gz FPKISOJTNRZYJM-NWDGAFQWSA-N 0 1 295.339 0.099 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cncnc2)[C@H](O)C1 ZINC001099923392 855033201 /nfs/dbraw/zinc/03/32/01/855033201.db2.gz CRRYNLCOWZXZJG-ZIAGYGMSSA-N 0 1 290.367 0.147 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCn2ccnc2)[C@H](O)C1 ZINC001099940023 855040125 /nfs/dbraw/zinc/04/01/25/855040125.db2.gz PHVPKXVHAKVXKC-QWHCGFSZSA-N 0 1 278.356 0.011 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CCN(CCO)C[C@H]1O ZINC001099942006 855042253 /nfs/dbraw/zinc/04/22/53/855042253.db2.gz KYPBHUKVDWYKSJ-VXGBXAGGSA-N 0 1 270.373 0.132 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC(C)(F)F)[C@H](O)C1 ZINC001100003711 855068792 /nfs/dbraw/zinc/06/87/92/855068792.db2.gz KWRSUOZTRSUTLN-WDEREUQCSA-N 0 1 274.311 0.606 20 30 CCEDMN CN(CCNC(=O)CN1CCCC1)c1ncccc1C#N ZINC001100115310 855101089 /nfs/dbraw/zinc/10/10/89/855101089.db2.gz JQRDZCKCSGBMPP-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CC(NCc2nccn2C)C1 ZINC001100190034 855114674 /nfs/dbraw/zinc/11/46/74/855114674.db2.gz HTTJTNHTNBLAND-VOMCLLRMSA-N 0 1 275.356 0.564 20 30 CCEDMN Cc1cc(CNC2CC(CNC(=O)[C@@H](C)C#N)C2)nn1C ZINC001100191331 855115200 /nfs/dbraw/zinc/11/52/00/855115200.db2.gz HDXKESVONRDHOT-PKSQDBQZSA-N 0 1 289.383 0.873 20 30 CCEDMN CC(C)C#CC(=O)NCC1CC(NCc2nncn2C)C1 ZINC001100309071 855143269 /nfs/dbraw/zinc/14/32/69/855143269.db2.gz HLEPKLAHXBVCMH-UHFFFAOYSA-N 0 1 289.383 0.459 20 30 CCEDMN CN(CCNC(=O)CCc1cnc[nH]1)c1ccc(C#N)nc1 ZINC001100380415 855158431 /nfs/dbraw/zinc/15/84/31/855158431.db2.gz KXSHTHPSKNUHCO-UHFFFAOYSA-N 0 1 298.350 0.862 20 30 CCEDMN CCN(CCNC(=O)C#CC1CC1)c1ncnc2[nH]cnc21 ZINC001100523187 855182068 /nfs/dbraw/zinc/18/20/68/855182068.db2.gz HDHPCWWRMWUJOZ-UHFFFAOYSA-N 0 1 298.350 0.709 20 30 CCEDMN CCN(CCNC(=O)c1ncn[nH]1)c1ncccc1C#N ZINC001100584755 855193422 /nfs/dbraw/zinc/19/34/22/855193422.db2.gz LVYOGUIBNFUMMV-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN CCN(CCNC(=O)c1nc[nH]n1)c1ncccc1C#N ZINC001100584755 855193423 /nfs/dbraw/zinc/19/34/23/855193423.db2.gz LVYOGUIBNFUMMV-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CN(CC(=O)NCC)C[C@@]2(C)C1 ZINC001101167650 855271099 /nfs/dbraw/zinc/27/10/99/855271099.db2.gz NOOJRIOMTXMDQW-CJNGLKHVSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001101167630 855272332 /nfs/dbraw/zinc/27/23/32/855272332.db2.gz MRRLFSYHQCCZLR-RISCZKNCSA-N 0 1 265.357 0.218 20 30 CCEDMN C=CCCCC(=O)N1C[C@H]2CN(CC(=O)NC)C[C@@]2(C)C1 ZINC001101347096 855285081 /nfs/dbraw/zinc/28/50/81/855285081.db2.gz WEUJQGXRNFUMBG-CJNGLKHVSA-N 0 1 293.411 0.869 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@]12CCC[C@H]1CN(CC(=O)N(C)C)C2 ZINC001111666552 855587646 /nfs/dbraw/zinc/58/76/46/855587646.db2.gz FORVLDOCLZJLFA-XUJVJEKNSA-N 0 1 292.383 0.205 20 30 CCEDMN N#CCNC1(CNC(=O)c2cnn[nH]2)CCCCC1 ZINC001115613815 855681204 /nfs/dbraw/zinc/68/12/04/855681204.db2.gz HARUITBLLKOOGK-UHFFFAOYSA-N 0 1 262.317 0.351 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@@H](C)Nc2ccc(C#N)nn2)n[nH]1 ZINC001115622348 855682358 /nfs/dbraw/zinc/68/23/58/855682358.db2.gz MRDLNHJGRVZKCA-SNVBAGLBSA-N 0 1 299.338 0.952 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N2CCNC(C)(C)C2)c1 ZINC001118034168 856142253 /nfs/dbraw/zinc/14/22/53/856142253.db2.gz ASQVYQJOTJPYBU-UHFFFAOYSA-N 0 1 299.374 0.495 20 30 CCEDMN CCCN1CCCC[C@@H]1C(=O)N[C@H]1CCCN(O)C1=O ZINC001118317717 856257311 /nfs/dbraw/zinc/25/73/11/856257311.db2.gz YVUHOGQBUODCPQ-NWDGAFQWSA-N 0 1 283.372 0.747 20 30 CCEDMN C#CCN(CC)C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001118374950 856279249 /nfs/dbraw/zinc/27/92/49/856279249.db2.gz ZXIKGCDKTIHJSY-JTQLQIEISA-N 0 1 277.328 0.821 20 30 CCEDMN Cn1cc([C@@H](O)CNCc2cccc(C#N)n2)cn1 ZINC001119242826 856595204 /nfs/dbraw/zinc/59/52/04/856595204.db2.gz IAGGZCVIGDBOAE-ZDUSSCGKSA-N 0 1 257.297 0.510 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CC[C@H](OC)C2)CC1 ZINC001119679031 856831903 /nfs/dbraw/zinc/83/19/03/856831903.db2.gz XSNMMRCOFGDAAI-KBPBESRZSA-N 0 1 279.384 0.951 20 30 CCEDMN C[C@@H]1C[C@@H](NC2CCN(CC#N)CC2)c2ncnn21 ZINC001119690381 856838224 /nfs/dbraw/zinc/83/82/24/856838224.db2.gz YXBONNBJOREGAU-ZYHUDNBSSA-N 0 1 260.345 0.861 20 30 CCEDMN CC#CCN(C)CCNC(=O)C(C)(C)c1c[nH]cn1 ZINC001492816172 912248081 /nfs/dbraw/zinc/24/80/81/912248081.db2.gz BNJVNHLNCBKZLX-UHFFFAOYSA-N 0 1 262.357 0.759 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H](NC(N)=O)C(C)(C)C ZINC001323338438 912280053 /nfs/dbraw/zinc/28/00/53/912280053.db2.gz ZKUCQDHRKWWOQF-NEPJUHHUSA-N 0 1 296.415 0.836 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)CC2CCCC2)CC1 ZINC001323383263 912309125 /nfs/dbraw/zinc/30/91/25/912309125.db2.gz MPYSVMPOFFXGOU-UHFFFAOYSA-N 0 1 291.395 0.555 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2[nH]nnc2C)CC1 ZINC001323507778 912378318 /nfs/dbraw/zinc/37/83/18/912378318.db2.gz CCIQCCIRIMOICP-UHFFFAOYSA-N 0 1 269.736 0.718 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)C2(CF)CCC2)CC1 ZINC001323542277 912404550 /nfs/dbraw/zinc/40/45/50/912404550.db2.gz OOPQPFAGNFYJGH-UHFFFAOYSA-N 0 1 296.346 0.004 20 30 CCEDMN CCCNC(=O)CN1C[C@@H]2CN(C(=O)[C@H](C)C#N)C[C@@H]2C1 ZINC001393419676 912558559 /nfs/dbraw/zinc/55/85/59/912558559.db2.gz XCLIWNMQWFJATJ-UPJWGTAASA-N 0 1 292.383 0.062 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cc2cc(C)on2)C1 ZINC001324589360 912922627 /nfs/dbraw/zinc/92/26/27/912922627.db2.gz OESXRVMBQRCYII-CQSZACIVSA-N 0 1 261.325 0.739 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001324957459 913117542 /nfs/dbraw/zinc/11/75/42/913117542.db2.gz KZQSXDGEGUWELF-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cccc(F)c2)C1 ZINC001325067200 913179749 /nfs/dbraw/zinc/17/97/49/913179749.db2.gz ITYHPNBGFGGFKU-HNNXBMFYSA-N 0 1 276.311 0.626 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)CCOCC(C)C)C1 ZINC001325066678 913179930 /nfs/dbraw/zinc/17/99/30/913179930.db2.gz HHTQISMVCRKEMD-INIZCTEOSA-N 0 1 296.411 0.625 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccc(F)c(C)c2)C1 ZINC001325121801 913229468 /nfs/dbraw/zinc/22/94/68/913229468.db2.gz ACYSQDAZVHEVTI-INIZCTEOSA-N 0 1 290.338 0.934 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H]2CCC(C)(C)C2)C1 ZINC001325128306 913233215 /nfs/dbraw/zinc/23/32/15/913233215.db2.gz YMVNOPPAXQUPDE-CJNGLKHVSA-N 0 1 278.396 0.999 20 30 CCEDMN C#CCOCCC(=O)NCC1(O)CN(CCC(C)(C)C)C1 ZINC001325213690 913284527 /nfs/dbraw/zinc/28/45/27/913284527.db2.gz KKNJHXPJIHJXIY-UHFFFAOYSA-N 0 1 296.411 0.625 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC001325474441 913423688 /nfs/dbraw/zinc/42/36/88/913423688.db2.gz JXJGELRDCIRYGD-UTUOFQBUSA-N 0 1 295.383 0.045 20 30 CCEDMN C[C@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)C[C@H]1CNCC#N ZINC001325510520 913444646 /nfs/dbraw/zinc/44/46/46/913444646.db2.gz WLXXSWRTVPALPI-WDEREUQCSA-N 0 1 298.350 0.779 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001266327037 891446525 /nfs/dbraw/zinc/44/65/25/891446525.db2.gz VLMWPOMHMDBSLG-VXGBXAGGSA-N 0 1 260.341 0.205 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](CC(C)C)C(N)=O ZINC001282505259 891457388 /nfs/dbraw/zinc/45/73/88/891457388.db2.gz WXAPPEJLRUEWFI-STQMWFEESA-N 0 1 281.400 0.594 20 30 CCEDMN C=CC1CCN(C(=O)[C@H]2CN3CCN2C[C@@H]3C)CC1 ZINC001346426585 891490478 /nfs/dbraw/zinc/49/04/78/891490478.db2.gz NFTJWUMZPKFTCR-GXTWGEPZSA-N 0 1 263.385 0.799 20 30 CCEDMN CC(C)C#CC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001347808139 891598921 /nfs/dbraw/zinc/59/89/21/891598921.db2.gz ZZVUDBMMDISGLP-SNVBAGLBSA-N 0 1 262.313 0.932 20 30 CCEDMN C=C(CC)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC001347852914 891604415 /nfs/dbraw/zinc/60/44/15/891604415.db2.gz YIXMPWFRXIDBTO-NSHDSACASA-N 0 1 253.346 0.409 20 30 CCEDMN N#CCCCNC(=O)C(=O)NC[C@H]1CCCCN1C1CC1 ZINC001325800008 913580908 /nfs/dbraw/zinc/58/09/08/913580908.db2.gz WFOANKFJKAVIAW-CYBMUJFWSA-N 0 1 292.383 0.539 20 30 CCEDMN CC[C@@H]1C[C@H](C(=O)NCCN(C)CC#CCOC)CCO1 ZINC001480933298 891871224 /nfs/dbraw/zinc/87/12/24/891871224.db2.gz CJMWWQHRKLKGPK-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H](OCC)[C@@H]1CCOC1 ZINC001480991104 892026171 /nfs/dbraw/zinc/02/61/71/892026171.db2.gz AORSDEOFOJASJA-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCCN1[C@@H]1CCN(C)C1=O ZINC001481030397 892086972 /nfs/dbraw/zinc/08/69/72/892086972.db2.gz GJGSACYXZRKILQ-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)Cc1ccon1 ZINC001481031931 892093451 /nfs/dbraw/zinc/09/34/51/892093451.db2.gz HSGNSRKKRIJVKY-AWEZNQCLSA-N 0 1 291.351 0.448 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)[C@H](C)CCC)C1 ZINC001481082293 892141829 /nfs/dbraw/zinc/14/18/29/892141829.db2.gz CNYAAQYTRPQFAK-ZIAGYGMSSA-N 0 1 293.411 0.610 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN(CC(=O)N2CCC2)C1 ZINC001481105631 892167847 /nfs/dbraw/zinc/16/78/47/892167847.db2.gz LMGIBOZHDJUFSS-CYBMUJFWSA-N 0 1 293.411 0.869 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN(CC#CCOC)C1CC1 ZINC001481165798 892259103 /nfs/dbraw/zinc/25/91/03/892259103.db2.gz DDSHQVIJATXEHW-MRXNPFEDSA-N 0 1 294.395 0.544 20 30 CCEDMN C#CCN(CCNC(=O)[C@H](OC)c1cnn(C)c1)C1CC1 ZINC001481179847 892277310 /nfs/dbraw/zinc/27/73/10/892277310.db2.gz GSLAYEKKHUNJBB-CQSZACIVSA-N 0 1 290.367 0.321 20 30 CCEDMN CC(C)N1CCC[C@H]1C(=O)[C@H](C#N)C(=O)NC1CC1 ZINC001342049603 892661252 /nfs/dbraw/zinc/66/12/52/892661252.db2.gz SXTXBYIPBLEIRH-RYUDHWBXSA-N 0 1 263.341 0.847 20 30 CCEDMN C=CCOCCN1CC(NC(=O)c2[nH]nc(C)c2C)C1 ZINC001481550822 892813935 /nfs/dbraw/zinc/81/39/35/892813935.db2.gz UXDHMOAAVOJXEG-UHFFFAOYSA-N 0 1 278.356 0.643 20 30 CCEDMN C=CCN1CC(CNC(=O)Cc2cnn(C)c2C)C1 ZINC001481629117 892929838 /nfs/dbraw/zinc/92/98/38/892929838.db2.gz XTBOIXQRTOIVPL-UHFFFAOYSA-N 0 1 262.357 0.505 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H](OCC)[C@@H]2CCOC2)C1 ZINC001481637054 892945742 /nfs/dbraw/zinc/94/57/42/892945742.db2.gz UDAUNKKOROUHKX-KGLIPLIRSA-N 0 1 282.384 0.662 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)Cc2cnc[nH]2)C1 ZINC001481776338 893137448 /nfs/dbraw/zinc/13/74/48/893137448.db2.gz ZYCMMHFDDUXZMR-CYBMUJFWSA-N 0 1 260.341 0.508 20 30 CCEDMN CC[C@H](CNC(=O)[C@H](C)C#N)NCc1cc2n(n1)CCC2 ZINC001481798336 893159307 /nfs/dbraw/zinc/15/93/07/893159307.db2.gz NQOLABKHUOJWRR-VXGBXAGGSA-N 0 1 289.383 0.973 20 30 CCEDMN C=C(Cl)CNC[C@](C)(NC(=O)C(N)=O)C1CC1 ZINC001481940296 893278414 /nfs/dbraw/zinc/27/84/14/893278414.db2.gz VQZZJEXVOFCETC-NSHDSACASA-N 0 1 259.737 0.099 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)CCn1cccc1 ZINC001284515263 893597200 /nfs/dbraw/zinc/59/72/00/893597200.db2.gz BRJDAOOZGIHCTR-HNNXBMFYSA-N 0 1 291.395 0.653 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2cnnn2C)C1 ZINC001499660388 893635026 /nfs/dbraw/zinc/63/50/26/893635026.db2.gz UMKQSCLVRCVPQF-NSHDSACASA-N 0 1 277.372 0.926 20 30 CCEDMN CC(C)N(CCN(C)C(=O)c1ccn[nH]1)C(=O)[C@@H](C)C#N ZINC001396106921 913807389 /nfs/dbraw/zinc/80/73/89/913807389.db2.gz CJOFEKUYXPHRJD-NSHDSACASA-N 0 1 291.355 0.878 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C[C@H]1CCC(=O)N1 ZINC001482341621 893889859 /nfs/dbraw/zinc/88/98/59/893889859.db2.gz BUZHLKCEIVWZOB-GHMZBOCLSA-N 0 1 287.791 0.844 20 30 CCEDMN C=CCn1cc(CNC(=O)N[C@H](C)c2nnc[nH]2)nn1 ZINC001326227676 913835989 /nfs/dbraw/zinc/83/59/89/913835989.db2.gz YAUGAZVNYAUIAT-MRVPVSSYSA-N 0 1 276.304 0.143 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cccc(NC(N)=O)c1 ZINC001492846809 913867722 /nfs/dbraw/zinc/86/77/22/913867722.db2.gz NQQXXLRLQJCOMC-UHFFFAOYSA-N 0 1 274.324 0.472 20 30 CCEDMN CN(CC#N)CCN(C)C(=O)c1cc(C2CC2)[nH]n1 ZINC001482630120 894435401 /nfs/dbraw/zinc/43/54/01/894435401.db2.gz DHGBKBKXVKASCU-UHFFFAOYSA-N 0 1 261.329 0.814 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H]2CN(C(=O)C3CC3)CC[C@@H]21 ZINC001482654935 894455201 /nfs/dbraw/zinc/45/52/01/894455201.db2.gz FYPQQVUZCHAGRP-KGLIPLIRSA-N 0 1 289.379 0.069 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@H](C)CCNCc1nnnn1C ZINC001482868184 894659089 /nfs/dbraw/zinc/65/90/89/894659089.db2.gz QHUSUPPUMGKOPZ-RISCZKNCSA-N 0 1 294.403 0.797 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)C1(F)CCCC1 ZINC001483031293 894819947 /nfs/dbraw/zinc/81/99/47/894819947.db2.gz XTXHMNWDBOWHRF-AATRIKPKSA-N 0 1 297.374 0.833 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnc(C3CC3)o2)[C@@H](O)C1 ZINC001083535437 895005437 /nfs/dbraw/zinc/00/54/37/895005437.db2.gz QGOFSHHLMORVRQ-NEPJUHHUSA-N 0 1 291.351 0.903 20 30 CCEDMN COc1cncc(CNC[C@H](C)NC(=O)C#CC(C)C)n1 ZINC001483224617 895136725 /nfs/dbraw/zinc/13/67/25/895136725.db2.gz LLEQDTBAUINROS-LBPRGKRZSA-N 0 1 290.367 0.739 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CN(C)[C@H]1CCCNC1=O ZINC001483319218 895442820 /nfs/dbraw/zinc/44/28/20/895442820.db2.gz GVTAXWFBYNMDGK-RYUDHWBXSA-N 0 1 267.373 0.668 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCCCN1C(=O)CC ZINC001483341224 895480586 /nfs/dbraw/zinc/48/05/86/895480586.db2.gz IKQYTPZPPLYMCA-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccnc2n[nH]nc21 ZINC001483364390 895501838 /nfs/dbraw/zinc/50/18/38/895501838.db2.gz ICVRZVXYIVTDIG-SNVBAGLBSA-N 0 1 286.339 0.426 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H](C)n1cccn1 ZINC001483632301 895754590 /nfs/dbraw/zinc/75/45/90/895754590.db2.gz MCHQWTJSVDICJN-OLZOCXBDSA-N 0 1 260.341 0.658 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CCOC1CCOCC1 ZINC001483692324 895866753 /nfs/dbraw/zinc/86/67/53/895866753.db2.gz XSGVARAROSGTLK-AWEZNQCLSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnn(C)n3)CCC[C@H]12 ZINC000992333422 895907977 /nfs/dbraw/zinc/90/79/77/895907977.db2.gz WAMYSTVMEZBRFB-JSGCOSHPSA-N 0 1 273.340 0.175 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(=O)n(C)n2)CC1 ZINC001483789489 896053891 /nfs/dbraw/zinc/05/38/91/896053891.db2.gz OXUYUWXFGHBRGK-UHFFFAOYSA-N 0 1 296.758 0.385 20 30 CCEDMN C=CCCOCC(=O)NC1(C)CCN([C@H](C)C(N)=O)CC1 ZINC001483976966 896140911 /nfs/dbraw/zinc/14/09/11/896140911.db2.gz RNCFHCRIFHUNKB-GFCCVEGCSA-N 0 1 297.399 0.424 20 30 CCEDMN C#CCN(C(=O)[C@H]1CCC[N@@H+]1C)C1CCN(CC#N)CC1 ZINC001483980864 896142348 /nfs/dbraw/zinc/14/23/48/896142348.db2.gz PMQYKARVNACUAM-OAHLLOKOSA-N 0 1 288.395 0.530 20 30 CCEDMN C#CCN(C(=O)[C@H]1CCCN1C)C1CCN(CC#N)CC1 ZINC001483980864 896142355 /nfs/dbraw/zinc/14/23/55/896142355.db2.gz PMQYKARVNACUAM-OAHLLOKOSA-N 0 1 288.395 0.530 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)CNC(=O)C(C)(C)C)C1 ZINC001484070703 896182704 /nfs/dbraw/zinc/18/27/04/896182704.db2.gz IHGJBEZIGFWHPK-INIZCTEOSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CCc2ccco2)C1 ZINC001484203817 896235506 /nfs/dbraw/zinc/23/55/06/896235506.db2.gz LIPGKWYRJMGRFX-HNNXBMFYSA-N 0 1 276.336 0.398 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2coc(CC)n2)C1 ZINC001484213444 896247400 /nfs/dbraw/zinc/24/74/00/896247400.db2.gz LGOOMWZVVMMWBF-CQSZACIVSA-N 0 1 277.324 0.037 20 30 CCEDMN C#CC[N@@H+]1CC[C@](O)(CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001484225768 896251938 /nfs/dbraw/zinc/25/19/38/896251938.db2.gz PSNPVKXQSUTNMU-INIZCTEOSA-N 0 1 298.346 0.363 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cncc3[nH]ccc32)C1 ZINC001484225768 896251957 /nfs/dbraw/zinc/25/19/57/896251957.db2.gz PSNPVKXQSUTNMU-INIZCTEOSA-N 0 1 298.346 0.363 20 30 CCEDMN C#CCCCCC(=O)N[C@@]1(CO)CCCN(CCO)C1 ZINC001484434277 896407788 /nfs/dbraw/zinc/40/77/88/896407788.db2.gz OYLSKCHZQCXTAF-HNNXBMFYSA-N 0 1 282.384 0.115 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001484437182 896407818 /nfs/dbraw/zinc/40/78/18/896407818.db2.gz NJSBIVVXZPQZIS-SWLSCSKDSA-N 0 1 297.399 0.030 20 30 CCEDMN C[C@H](C#N)C(=O)NCc1ccc([C@H](C)NCC(N)=O)cc1 ZINC001484561113 896470626 /nfs/dbraw/zinc/47/06/26/896470626.db2.gz WFIHEZWIQRNQEG-MNOVXSKESA-N 0 1 288.351 0.598 20 30 CCEDMN CC#CCCCC(=O)N[C@H]1CCN(CC(=O)NC)[C@H](C)C1 ZINC001484979068 896700395 /nfs/dbraw/zinc/70/03/95/896700395.db2.gz XCZYUNLXVMWDEI-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@]12C[C@H]1COC2 ZINC001485057206 896746425 /nfs/dbraw/zinc/74/64/25/896746425.db2.gz WCOCFDWERZORRK-YDHLFZDLSA-N 0 1 262.353 0.579 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)COCCCOC ZINC001485072059 896754678 /nfs/dbraw/zinc/75/46/78/896754678.db2.gz BPMBHTBEZIABID-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN Cn1cnnc1CNCC1CC(NC(=O)C#CC2CC2)C1 ZINC001485092648 896775392 /nfs/dbraw/zinc/77/53/92/896775392.db2.gz QZTVDJNYIVTSLR-UHFFFAOYSA-N 0 1 287.367 0.213 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)C1(C(=O)NC)CC1 ZINC001485198543 896847163 /nfs/dbraw/zinc/84/71/63/896847163.db2.gz KKEGQNIZPMTBEC-ZJUUUORDSA-N 0 1 287.791 0.748 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cc(Cl)n(C)n1 ZINC001485326194 896967733 /nfs/dbraw/zinc/96/77/33/896967733.db2.gz DRIKTHVZHSCKSY-VIFPVBQESA-N 0 1 268.748 0.757 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1nccn2ccnc12 ZINC001485352390 896981510 /nfs/dbraw/zinc/98/15/10/896981510.db2.gz LFOQRGSXLILZRO-LLVKDONJSA-N 0 1 271.324 0.413 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)C(C)(C)CNC(C)=O ZINC001485363179 896989811 /nfs/dbraw/zinc/98/98/11/896989811.db2.gz XJPRODFFCQHQHK-GFCCVEGCSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)CO[C@@H]1CCOC1 ZINC001485477680 897069406 /nfs/dbraw/zinc/06/94/06/897069406.db2.gz XAUMNZSBIUGCFN-HUUCEWRRSA-N 0 1 294.395 0.786 20 30 CCEDMN C[C@@H](CCCNCC#N)NC(=O)[C@@H]1CCCCN1C ZINC001485727532 897210735 /nfs/dbraw/zinc/21/07/35/897210735.db2.gz MESKFDNFFSFKPF-STQMWFEESA-N 0 1 266.389 0.869 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1nc[nH]c1C(F)(F)F ZINC001032447885 897577248 /nfs/dbraw/zinc/57/72/48/897577248.db2.gz FGNXWJJDNZXNBO-YUMQZZPRSA-N 0 1 299.256 0.851 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1CN2CC ZINC001032453945 897594178 /nfs/dbraw/zinc/59/41/78/897594178.db2.gz WTDOOBRRZCUPDG-IHRRRGAJSA-N 0 1 261.369 0.389 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1nc(CC)c[nH]1 ZINC001032454764 897600622 /nfs/dbraw/zinc/60/06/22/897600622.db2.gz IMPBHNSARQCVTK-KBPBESRZSA-N 0 1 286.379 0.823 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCC(=O)N1C ZINC001032559996 897744819 /nfs/dbraw/zinc/74/48/19/897744819.db2.gz PIHGUUFRDNFUMP-IHRRRGAJSA-N 0 1 291.395 0.859 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001032675524 897918079 /nfs/dbraw/zinc/91/80/79/897918079.db2.gz ZPXGDMXAVXAEJQ-QEJZJMRPSA-N 0 1 286.379 0.897 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCC(=O)N1 ZINC001032678896 897922881 /nfs/dbraw/zinc/92/28/81/897922881.db2.gz TVOQBSMCTALFFQ-AVGNSLFASA-N 0 1 277.368 0.516 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2nocc2C)C1 ZINC001077957771 898108650 /nfs/dbraw/zinc/10/86/50/898108650.db2.gz WBYHVUXAAYMCGG-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCCCN2CC)C1 ZINC001078229358 898305984 /nfs/dbraw/zinc/30/59/84/898305984.db2.gz FBCYDUNTOLSAJX-RBSFLKMASA-N 0 1 293.411 0.045 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001032794760 898311698 /nfs/dbraw/zinc/31/16/98/898311698.db2.gz QGIQSMBSJIAYFK-SNPRPXQTSA-N 0 1 298.390 0.823 20 30 CCEDMN C[C@@H]1C[C@H]1C(=O)NC[C@H](CO)NCC#Cc1ccccc1 ZINC001486024807 898598269 /nfs/dbraw/zinc/59/82/69/898598269.db2.gz TYOLKCPJQGVUKQ-FVQBIDKESA-N 0 1 286.375 0.761 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCCc1ccsc1 ZINC001486004075 898598705 /nfs/dbraw/zinc/59/87/05/898598705.db2.gz FQJGXNFCYNBKRC-CYBMUJFWSA-N 0 1 280.393 0.771 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCCc1ccsc1 ZINC001486004076 898599181 /nfs/dbraw/zinc/59/91/81/898599181.db2.gz FQJGXNFCYNBKRC-ZDUSSCGKSA-N 0 1 280.393 0.771 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(F)c(F)c1 ZINC001486004922 898603275 /nfs/dbraw/zinc/60/32/75/898603275.db2.gz QQWJEVSCQQOIRN-NSHDSACASA-N 0 1 282.290 0.668 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccccc1CC ZINC001486029276 898616884 /nfs/dbraw/zinc/61/68/84/898616884.db2.gz FLHAMSGNTQWWFU-ZDUSSCGKSA-N 0 1 260.337 0.563 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)COc1cc(C)ccc1C ZINC001486061496 898629396 /nfs/dbraw/zinc/62/93/96/898629396.db2.gz NXWXPWZYDVLJPM-AWEZNQCLSA-N 0 1 290.363 0.382 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc2c(c1)CCC2 ZINC001486059921 898629440 /nfs/dbraw/zinc/62/94/40/898629440.db2.gz NRIZGYAKHPFFOK-INIZCTEOSA-N 0 1 286.375 0.879 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCOc1ccccc1C ZINC001486061718 898630354 /nfs/dbraw/zinc/63/03/54/898630354.db2.gz POWBIELIDYYDEX-AWEZNQCLSA-N 0 1 290.363 0.464 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1(C)C(C)(C)C1(C)C ZINC001486068133 898633102 /nfs/dbraw/zinc/63/31/02/898633102.db2.gz PEBCWHAGDFPWDO-LLVKDONJSA-N 0 1 266.385 0.759 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)C[C@@H](C)C1CC1 ZINC001486066564 898633446 /nfs/dbraw/zinc/63/34/46/898633446.db2.gz QJAWYKUSFPTFPK-TZMCWYRMSA-N 0 1 282.384 0.139 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc2c(s1)CCC2 ZINC001486058134 898643623 /nfs/dbraw/zinc/64/36/23/898643623.db2.gz CEIJKVVVTXNJCV-LBPRGKRZSA-N 0 1 292.404 0.940 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CNC(=O)C2CC2)C1 ZINC001486104115 898662244 /nfs/dbraw/zinc/66/22/44/898662244.db2.gz BUJVXBXZPUFVBB-PWSUYJOCSA-N 0 1 299.802 0.844 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H](C)OCC(C)C ZINC001486326112 898791432 /nfs/dbraw/zinc/79/14/32/898791432.db2.gz IJEZZIMPPOBTIS-HUUCEWRRSA-N 0 1 298.427 0.822 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C=C(C)C ZINC001486326021 898791575 /nfs/dbraw/zinc/79/15/75/898791575.db2.gz QURAVIKRBFEPNQ-CYBMUJFWSA-N 0 1 252.358 0.727 20 30 CCEDMN C=CCN(CCNC(=O)c1cc(C)ncn1)CCOC ZINC001486428185 898865767 /nfs/dbraw/zinc/86/57/67/898865767.db2.gz PZESCFMVQFFBTC-UHFFFAOYSA-N 0 1 278.356 0.649 20 30 CCEDMN C=CCN(CCNC(=O)c1cnn2c1CCC2)CCOC ZINC001486429424 898871086 /nfs/dbraw/zinc/87/10/86/898871086.db2.gz OJFBYSSSNFOXIU-UHFFFAOYSA-N 0 1 292.383 0.694 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@H](OC)[C@H]2CCOC2)CC1 ZINC001327085087 914363285 /nfs/dbraw/zinc/36/32/85/914363285.db2.gz LEWQRGLPXLYLBV-GJZGRUSLSA-N 0 1 295.379 0.926 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](NC(C)=O)CC1 ZINC001327095192 914367636 /nfs/dbraw/zinc/36/76/36/914367636.db2.gz PZLTYLQXTSFMIB-WCQYABFASA-N 0 1 265.357 0.115 20 30 CCEDMN Cc1oncc1CNC[C@H](O)CN(C)C(=O)[C@H](C)C#N ZINC001410981552 899649489 /nfs/dbraw/zinc/64/94/89/899649489.db2.gz MWEOOGGJKPNZKF-SKDRFNHKSA-N 0 1 280.328 0.052 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)N1CCCN(CCO)CC1 ZINC001196829007 900058126 /nfs/dbraw/zinc/05/81/26/900058126.db2.gz BSOPGFCYSDHWLO-QWHCGFSZSA-N 0 1 254.374 0.971 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H](C)NC(=O)[C@@H]1CCCN1C ZINC001487760336 900124174 /nfs/dbraw/zinc/12/41/74/900124174.db2.gz UWLTYQOWRMWQQY-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCC[N@H+](C)CC#CC ZINC001327212459 914420834 /nfs/dbraw/zinc/42/08/34/914420834.db2.gz HUDUMBDIUKITSF-AWEZNQCLSA-N 0 1 264.369 0.828 20 30 CCEDMN C=CCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)c2[nH]nnc2C)C1 ZINC001488203024 900246237 /nfs/dbraw/zinc/24/62/37/900246237.db2.gz OFZOMVUJJFVZLT-MWLCHTKSSA-N 0 1 291.355 0.799 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001489137629 900439750 /nfs/dbraw/zinc/43/97/50/900439750.db2.gz JJMZPEBNWKQGJY-UWVGGRQHSA-N 0 1 292.343 0.369 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CCCN(CC=C)C1 ZINC001490436870 900629733 /nfs/dbraw/zinc/62/97/33/900629733.db2.gz MUTQMKKJPMGUKB-QWHCGFSZSA-N 0 1 250.342 0.791 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C[C@@H]2CCCO2)CC1 ZINC001490481945 900637055 /nfs/dbraw/zinc/63/70/55/900637055.db2.gz KLNIECKQLDOREC-AWEZNQCLSA-N 0 1 281.400 0.475 20 30 CCEDMN C=CCN1CCN(CCNC(=O)CCCC(C)=O)CC1 ZINC001490480211 900637741 /nfs/dbraw/zinc/63/77/41/900637741.db2.gz ALCYKQJDHREHAD-UHFFFAOYSA-N 0 1 281.400 0.666 20 30 CCEDMN Cc1cc(C)n(CCN2CC[C@@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001490530195 900646099 /nfs/dbraw/zinc/64/60/99/900646099.db2.gz BVESXRINICPLSA-BXUZGUMPSA-N 0 1 289.383 0.850 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](NC(=O)c2cc(OC)no2)C1 ZINC001490537118 900653153 /nfs/dbraw/zinc/65/31/53/900653153.db2.gz FEBZBWASXJQAEC-NSHDSACASA-N 0 1 295.339 0.690 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cc(OC)no2)C1 ZINC001490537118 900653156 /nfs/dbraw/zinc/65/31/56/900653156.db2.gz FEBZBWASXJQAEC-NSHDSACASA-N 0 1 295.339 0.690 20 30 CCEDMN N#CCN[C@@H](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CC1 ZINC001490674357 900686787 /nfs/dbraw/zinc/68/67/87/900686787.db2.gz RAVCGQAEOSVEMI-UTUOFQBUSA-N 0 1 273.340 0.521 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCNC(=O)C(C)(C)c1cnc[nH]1 ZINC001397980607 914481855 /nfs/dbraw/zinc/48/18/55/914481855.db2.gz LXVLDYUWEJARFU-SNVBAGLBSA-N 0 1 291.355 0.422 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C/CNCC(=O)N1CCC1 ZINC001321187092 900965023 /nfs/dbraw/zinc/96/50/23/900965023.db2.gz QYBDEXQUYYCWCQ-AATRIKPKSA-N 0 1 279.384 0.693 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cnccn1 ZINC001321452623 900974372 /nfs/dbraw/zinc/97/43/72/900974372.db2.gz QKMFQQQRYKYHSA-SECBINFHSA-N 0 1 254.721 0.937 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@]1(C)CCC(=O)N1 ZINC001322239099 901001537 /nfs/dbraw/zinc/00/15/37/901001537.db2.gz YUGYSLOTNGKUPJ-RISCZKNCSA-N 0 1 265.357 0.115 20 30 CCEDMN C=CCCC(=O)N1CCCN(C(=O)c2[nH]nnc2C)CC1 ZINC001293720597 901053995 /nfs/dbraw/zinc/05/39/95/901053995.db2.gz GJMYZOXKVLVZSM-UHFFFAOYSA-N 0 1 291.355 0.754 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCO[C@H]1CC ZINC001275607364 901147505 /nfs/dbraw/zinc/14/75/05/901147505.db2.gz OWGUYVJVZHFLHJ-UPJWGTAASA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001275606754 901147886 /nfs/dbraw/zinc/14/78/86/901147886.db2.gz GZLHDSXIQUARTF-PRFQISJJSA-N 0 1 262.353 0.480 20 30 CCEDMN Cc1nn(C)c(N2CCN([C@H](C)[C@@H](C)O)CC2)c1C#N ZINC001412981173 902435172 /nfs/dbraw/zinc/43/51/72/902435172.db2.gz PCBVSORYFQFKRU-VXGBXAGGSA-N 0 1 277.372 0.492 20 30 CCEDMN C[N@H+]1C[C@H]2CCN(Cc3cc(=O)n4[n-]cc(C#N)c4n3)[C@H]2C1 ZINC001412992610 902443523 /nfs/dbraw/zinc/44/35/23/902443523.db2.gz KSJYCEMMAWRULZ-MFKMUULPSA-N 0 1 298.350 0.442 20 30 CCEDMN Cc1c(C#N)cccc1C(=O)NCc1n[nH]c(CO)n1 ZINC001413313748 902864558 /nfs/dbraw/zinc/86/45/58/902864558.db2.gz YCVOANQTVYCZML-UHFFFAOYSA-N 0 1 271.280 0.407 20 30 CCEDMN Cc1c(C#N)cccc1C(=O)NCc1nnc(CO)[nH]1 ZINC001413313748 902864568 /nfs/dbraw/zinc/86/45/68/902864568.db2.gz YCVOANQTVYCZML-UHFFFAOYSA-N 0 1 271.280 0.407 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001070704189 903168996 /nfs/dbraw/zinc/16/89/96/903168996.db2.gz IEAKUHRHGAZABB-LLVKDONJSA-N 0 1 289.339 0.185 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001070704189 903168999 /nfs/dbraw/zinc/16/89/99/903168999.db2.gz IEAKUHRHGAZABB-LLVKDONJSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCCN([C@@H](C)C(N)=O)C1 ZINC001491103398 903362987 /nfs/dbraw/zinc/36/29/87/903362987.db2.gz SZXWWLQSBJUSGI-QWHCGFSZSA-N 0 1 279.384 0.492 20 30 CCEDMN Cc1nc(CN2CCCC[C@H]2CNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001491202931 903443141 /nfs/dbraw/zinc/44/31/41/903443141.db2.gz DIJDVLMGSWFXCL-JQWIXIFHSA-N 0 1 290.371 0.744 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H](COC)OC ZINC001491222551 903448855 /nfs/dbraw/zinc/44/88/55/903448855.db2.gz BWKSZFCJEASZGN-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CC[C@@H](c3[nH]ncc3N)C2)cn1 ZINC001413788216 903655869 /nfs/dbraw/zinc/65/58/69/903655869.db2.gz IHUWUBUTDPUEBK-LLVKDONJSA-N 0 1 296.334 0.817 20 30 CCEDMN Cc1cc(O)c([N+](=O)[O-])c(O[C@H]2CCN(CC#N)C2)n1 ZINC001231265180 903935316 /nfs/dbraw/zinc/93/53/16/903935316.db2.gz ZSVUYIMORNMZNQ-VIFPVBQESA-N 0 1 278.268 0.981 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001281799972 903980396 /nfs/dbraw/zinc/98/03/96/903980396.db2.gz RUBZAVNAFOEXHG-LBPRGKRZSA-N 0 1 296.367 0.069 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)CN1CCC(CO)CC1 ZINC001262644749 904091164 /nfs/dbraw/zinc/09/11/64/904091164.db2.gz FNXFNJBCHCDXGI-AWEZNQCLSA-N 0 1 264.369 0.705 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCCN([C@H]2CCNC2=O)CC1 ZINC001280707483 904142816 /nfs/dbraw/zinc/14/28/16/904142816.db2.gz HBUIDQFZCOJPIU-STQMWFEESA-N 0 1 279.384 0.812 20 30 CCEDMN C#CCCCC(=O)NCCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001280885236 904165071 /nfs/dbraw/zinc/16/50/71/904165071.db2.gz ZARRESHOGFZTBP-NSHDSACASA-N 0 1 291.355 0.623 20 30 CCEDMN C#CCCCC(=O)NCCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001280885236 904165074 /nfs/dbraw/zinc/16/50/74/904165074.db2.gz ZARRESHOGFZTBP-NSHDSACASA-N 0 1 291.355 0.623 20 30 CCEDMN C=CCCC(=O)N1C[C@H](NCc2cnnn2C)[C@@H](C)C1 ZINC001281379032 904270457 /nfs/dbraw/zinc/27/04/57/904270457.db2.gz RIAROCGVTPDPPK-AAEUAGOBSA-N 0 1 277.372 0.718 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)CNC(=O)C1CC1 ZINC001281915762 904363653 /nfs/dbraw/zinc/36/36/53/904363653.db2.gz DOFZXCSTCNJJJC-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CNC(=O)C1CC1 ZINC001281915762 904363666 /nfs/dbraw/zinc/36/36/66/904363666.db2.gz DOFZXCSTCNJJJC-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ncc(OC)cn1 ZINC001281950146 904370707 /nfs/dbraw/zinc/37/07/07/904370707.db2.gz QXYVYYDZUFTTSZ-LBPRGKRZSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1C[C@@H](NCc2cn(C)nn2)C1 ZINC001316615705 904375390 /nfs/dbraw/zinc/37/53/90/904375390.db2.gz KTHVMSZEDOFHAQ-BETUJISGSA-N 0 1 289.383 0.603 20 30 CCEDMN CC(C)C#CC(=O)NC1CC(CNCc2cnn(C)n2)C1 ZINC001282003131 904384747 /nfs/dbraw/zinc/38/47/47/904384747.db2.gz YHBICFHWRXWFKN-UHFFFAOYSA-N 0 1 289.383 0.459 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)N(C)[C@@H](C)C(=O)NC(=O)NC ZINC001282363617 904456814 /nfs/dbraw/zinc/45/68/14/904456814.db2.gz CQUQYCGZSGEOQD-QWRGUYRKSA-N 0 1 298.387 0.233 20 30 CCEDMN C=CCOCC[N@H+](C)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001282371222 904460131 /nfs/dbraw/zinc/46/01/31/904460131.db2.gz FMBDURPJIAYFNT-SNVBAGLBSA-N 0 1 296.371 0.676 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1ccnnc1C ZINC001283388832 904834265 /nfs/dbraw/zinc/83/42/65/904834265.db2.gz SPSPAJWJFTWQAI-UHFFFAOYSA-N 0 1 290.367 0.487 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CC[C@H]1CCCO1 ZINC001283736280 904983488 /nfs/dbraw/zinc/98/34/88/904983488.db2.gz VFHSGZPMDZGGTB-QWHCGFSZSA-N 0 1 268.357 0.036 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H](C)CCCC ZINC001283744737 904989109 /nfs/dbraw/zinc/98/91/09/904989109.db2.gz NJUYABJKCCRFHS-STQMWFEESA-N 0 1 254.374 0.903 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C[C@H]1CC=CCC1 ZINC001283793484 904998167 /nfs/dbraw/zinc/99/81/67/904998167.db2.gz VHVBFDKBMWDFMK-STQMWFEESA-N 0 1 250.342 0.433 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C(C)(C)[C@@H]1CCCCO1 ZINC001283760665 905000282 /nfs/dbraw/zinc/00/02/82/905000282.db2.gz XQBYMGVCNSCHAM-KBPBESRZSA-N 0 1 296.411 0.672 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1nsc2ccccc21 ZINC001283760399 905002185 /nfs/dbraw/zinc/00/21/85/905002185.db2.gz WBAAPXNDEFEJRA-SNVBAGLBSA-N 0 1 289.360 0.610 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H](C)Cc1ccccc1 ZINC001283832614 905049708 /nfs/dbraw/zinc/04/97/08/905049708.db2.gz VNDBIXDOIQURGI-ZBFHGGJFSA-N 0 1 288.391 0.955 20 30 CCEDMN C#CCCCC(=O)N[C@@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001283946521 905093101 /nfs/dbraw/zinc/09/31/01/905093101.db2.gz IMHNUHVCFNUKTF-NSHDSACASA-N 0 1 289.339 0.233 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001284095724 905148875 /nfs/dbraw/zinc/14/88/75/905148875.db2.gz FWPSOOSBRRVWSX-XWLWVQCSSA-N 0 1 291.355 0.640 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1cscn1 ZINC001284482226 905304391 /nfs/dbraw/zinc/30/43/91/905304391.db2.gz KPMMTVCQJPDTMN-NSHDSACASA-N 0 1 281.381 0.531 20 30 CCEDMN CN(CCNC(=O)[C@@H]1CCCN1C)C(=O)C#CC1CC1 ZINC001284508626 905343064 /nfs/dbraw/zinc/34/30/64/905343064.db2.gz JQAMWBJGXHHIOS-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1oc(CC)nc1C ZINC001284517414 905352839 /nfs/dbraw/zinc/35/28/39/905352839.db2.gz VDVXZTQRGWENHU-GFCCVEGCSA-N 0 1 293.367 0.543 20 30 CCEDMN C#CCN1CC=C(CNC(=O)Cc2ccon2)CC1 ZINC001284899136 905477576 /nfs/dbraw/zinc/47/75/76/905477576.db2.gz GHODIEQVBWSIJY-UHFFFAOYSA-N 0 1 259.309 0.599 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC(NC(=O)c2ncn[nH]2)C1 ZINC001284932675 905492688 /nfs/dbraw/zinc/49/26/88/905492688.db2.gz QDUCKGGBYHHSFP-UHFFFAOYSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC(NC(=O)c2nc[nH]n2)C1 ZINC001284932675 905492699 /nfs/dbraw/zinc/49/26/99/905492699.db2.gz QDUCKGGBYHHSFP-UHFFFAOYSA-N 0 1 277.328 0.348 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H](C)CNC(=O)c2cnn[nH]2)C1 ZINC001285238320 905580498 /nfs/dbraw/zinc/58/04/98/905580498.db2.gz XCOOKCQXITUUHL-SNVBAGLBSA-N 0 1 291.355 0.643 20 30 CCEDMN C=C1CC(C)(C(=O)NCC=CCNC(=O)c2ncn[nH]2)C1 ZINC001285605558 905684664 /nfs/dbraw/zinc/68/46/64/905684664.db2.gz OSEAYLRJJFOMOO-ONEGZZNKSA-N 0 1 289.339 0.563 20 30 CCEDMN C=C1CC(C)(C(=O)NCC=CCNC(=O)c2nc[nH]n2)C1 ZINC001285605558 905684674 /nfs/dbraw/zinc/68/46/74/905684674.db2.gz OSEAYLRJJFOMOO-ONEGZZNKSA-N 0 1 289.339 0.563 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H]1CCCC(=O)N1 ZINC001378782710 905817590 /nfs/dbraw/zinc/81/75/90/905817590.db2.gz WRCMYBVFCZUXQP-GHMZBOCLSA-N 0 1 287.791 0.844 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1(NC(=O)Cc2nnc[nH]2)CC1 ZINC001285953021 905823366 /nfs/dbraw/zinc/82/33/66/905823366.db2.gz UVMITVMXDZDUAW-UHFFFAOYSA-N 0 1 291.355 0.324 20 30 CCEDMN C=CCCC(=O)NC[C@@]1(O)CCN(C(=O)c2ccn[nH]2)C1 ZINC001286175265 905860961 /nfs/dbraw/zinc/86/09/61/905860961.db2.gz RMNMLJKRZJRJTG-AWEZNQCLSA-N 0 1 292.339 0.069 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@H](CNCc1nccn1C)C1CC1 ZINC001378983374 905913524 /nfs/dbraw/zinc/91/35/24/905913524.db2.gz BIYAOOSHFHBBPO-WCQYABFASA-N 0 1 289.383 0.906 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N1CCN(CC(C)(C)O)CC1 ZINC001292515118 906254754 /nfs/dbraw/zinc/25/47/54/906254754.db2.gz MLWOQHYODIQASE-UHFFFAOYSA-N 0 1 298.383 0.411 20 30 CCEDMN COCCOCCc1ncc(C(=O)N(CC#N)CC#N)[nH]1 ZINC001292650863 906318042 /nfs/dbraw/zinc/31/80/42/906318042.db2.gz PWUUDRHAQFXPSQ-UHFFFAOYSA-N 0 1 291.311 0.105 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C(N)=O)[nH]1 ZINC001379554476 906347930 /nfs/dbraw/zinc/34/79/30/906347930.db2.gz WESDESIRFKZVHF-QMMMGPOBSA-N 0 1 284.747 0.574 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H]1CCC(=O)N1 ZINC001379569773 906353188 /nfs/dbraw/zinc/35/31/88/906353188.db2.gz ZCDIRSHDBDSMCY-VHSXEESVSA-N 0 1 273.764 0.502 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC001293640352 906500622 /nfs/dbraw/zinc/50/06/22/906500622.db2.gz IIGHWWKFXSZWDV-NSHDSACASA-N 0 1 292.339 0.285 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC001293640351 906502526 /nfs/dbraw/zinc/50/25/26/906502526.db2.gz IIGHWWKFXSZWDV-LLVKDONJSA-N 0 1 292.339 0.285 20 30 CCEDMN N#CC[C@H](NC(=O)c1cnncc1O)C(F)(F)F ZINC001337437538 921250554 /nfs/dbraw/zinc/25/05/54/921250554.db2.gz YDZIIOQEPWETSD-ZETCQYMHSA-N 0 1 260.175 0.757 20 30 CCEDMN C=CCCCC(=O)NC[C@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001294925674 906653865 /nfs/dbraw/zinc/65/38/65/906653865.db2.gz TXQQKLZUVMEKLU-NSHDSACASA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCCC(=O)NC[C@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001294925674 906653871 /nfs/dbraw/zinc/65/38/71/906653871.db2.gz TXQQKLZUVMEKLU-NSHDSACASA-N 0 1 291.355 0.786 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)c2ccn3nnnc3c2)c1 ZINC001295827614 906811672 /nfs/dbraw/zinc/81/16/72/906811672.db2.gz GLZZAXACSGMGPN-UHFFFAOYSA-N 0 1 280.247 0.954 20 30 CCEDMN C=C(CO)C(=O)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001295931122 906824672 /nfs/dbraw/zinc/82/46/72/906824672.db2.gz ZFYRSHUTGWCKQT-LLVKDONJSA-N 0 1 258.281 0.559 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)[C@H]2CCOC2)CCO1 ZINC001380902121 906986315 /nfs/dbraw/zinc/98/63/15/906986315.db2.gz JMKXSMFZUMZKDP-NWDGAFQWSA-N 0 1 288.775 0.592 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCN(C)C(=O)c1ccn[nH]1 ZINC001298037677 907146048 /nfs/dbraw/zinc/14/60/48/907146048.db2.gz KHXURTCHBRSFJB-SECBINFHSA-N 0 1 263.301 0.100 20 30 CCEDMN Cc1cc(CCC(=O)N[C@H]2CCCN(O)C2=O)n[nH]1 ZINC001298543321 907266100 /nfs/dbraw/zinc/26/61/00/907266100.db2.gz PATUJVGWAGNPFE-JTQLQIEISA-N 0 1 266.301 0.147 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)NC(=O)CCc1cnc[nH]1 ZINC001298622762 907291020 /nfs/dbraw/zinc/29/10/20/907291020.db2.gz SESKFCBFJFYGAP-GFCCVEGCSA-N 0 1 290.367 0.767 20 30 CCEDMN CC(C)[C@@H](CCNC(=O)[C@@H](C)C#N)NC(=O)c1cnn[nH]1 ZINC001381696549 907373281 /nfs/dbraw/zinc/37/32/81/907373281.db2.gz MTPZZXOHOHNJIF-VHSXEESVSA-N 0 1 292.343 0.225 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](COC)OC ZINC001491578421 907417954 /nfs/dbraw/zinc/41/79/54/907417954.db2.gz VNGKPKAXGKOQOP-VHSXEESVSA-N 0 1 264.753 0.495 20 30 CCEDMN C[C@H](Nc1c(C#N)cnn1C)[C@@H]1CN(C)CCN1C ZINC001337971432 921326058 /nfs/dbraw/zinc/32/60/58/921326058.db2.gz QTNIELDJZWIPDT-JQWIXIFHSA-N 0 1 262.361 0.338 20 30 CCEDMN CC#CCN(C)CCNC(=O)COCc1nnc(C)s1 ZINC001492324343 907850842 /nfs/dbraw/zinc/85/08/42/907850842.db2.gz WQOIXLINQQUDDY-UHFFFAOYSA-N 0 1 296.396 0.434 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@H]1CCOC[C@H]1OCC ZINC001492348751 907865782 /nfs/dbraw/zinc/86/57/82/907865782.db2.gz RBGLAXNRVUFVGX-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)CSc1nnc(C)[nH]1 ZINC001302617796 908031768 /nfs/dbraw/zinc/03/17/68/908031768.db2.gz JRPKMBPRTDEEDE-UHFFFAOYSA-N 0 1 297.340 0.121 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)CSc1nc(C)n[nH]1 ZINC001302617796 908031779 /nfs/dbraw/zinc/03/17/79/908031779.db2.gz JRPKMBPRTDEEDE-UHFFFAOYSA-N 0 1 297.340 0.121 20 30 CCEDMN C=C(C)[C@H](CC(=O)N[C@H](CO)Cc1cnc[nH]1)OCC ZINC001302758985 908039942 /nfs/dbraw/zinc/03/99/42/908039942.db2.gz DIXRKDWBCXOMRM-STQMWFEESA-N 0 1 281.356 0.801 20 30 CCEDMN Cc1nc(CC(=O)NCc2cncc(C#N)c2)n[nH]1 ZINC001303860441 908120461 /nfs/dbraw/zinc/12/04/61/908120461.db2.gz ANXXTDFMURMHSB-UHFFFAOYSA-N 0 1 256.269 0.239 20 30 CCEDMN C=CCC[C@H](O)CN(CCC(F)(F)F)C[C@H](O)CO ZINC001307565445 908320981 /nfs/dbraw/zinc/32/09/81/908320981.db2.gz NCGYNUXLBHSSPK-QWRGUYRKSA-N 0 1 285.306 0.921 20 30 CCEDMN C#Cc1cccc(NC(=O)NC(=O)CN(C)C[C@@H](C)O)c1 ZINC001307479845 908321066 /nfs/dbraw/zinc/32/10/66/908321066.db2.gz RGEDVTDMNFGCGC-LLVKDONJSA-N 0 1 289.335 0.629 20 30 CCEDMN C=C(C)[C@@H](CO)N[C@H]1CCO[C@H]1c1nc(C)no1 ZINC001307976718 908364037 /nfs/dbraw/zinc/36/40/37/908364037.db2.gz BOUJCWXKYPFLJW-HBNTYKKESA-N 0 1 253.302 0.735 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H](CC)OC)C1 ZINC001316743225 908509872 /nfs/dbraw/zinc/50/98/72/908509872.db2.gz DIMZHMJIVZJADX-ZIAGYGMSSA-N 0 1 282.384 0.330 20 30 CCEDMN C[C@H](CO[C@@H]1CCOC1)NC(=O)NCC#CCN(C)C ZINC001312272023 908592015 /nfs/dbraw/zinc/59/20/15/908592015.db2.gz CNLWVSPSFCUTRJ-CHWSQXEVSA-N 0 1 283.372 0.045 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001317527394 908595185 /nfs/dbraw/zinc/59/51/85/908595185.db2.gz LQYLPRDAHUVPNH-XQQFMLRXSA-N 0 1 279.384 0.383 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)Cc2ccc[nH]2)n1 ZINC001316720960 908759104 /nfs/dbraw/zinc/75/91/04/908759104.db2.gz VHFCKRFWCXYJPN-UHFFFAOYSA-N 0 1 299.334 0.092 20 30 CCEDMN CCN(CCCNC(=O)[C@H](C)C#N)CC(=O)NC1CC1 ZINC001316886526 908820710 /nfs/dbraw/zinc/82/07/10/908820710.db2.gz FWPRHTUHZLIZSB-LLVKDONJSA-N 0 1 280.372 0.253 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@H]2CC2(C)C)CC1 ZINC001316956922 908868046 /nfs/dbraw/zinc/86/80/46/908868046.db2.gz XJLRYPROWNYVLH-CQSZACIVSA-N 0 1 277.412 0.790 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)c2nonc2C)CC1 ZINC001316966189 908874614 /nfs/dbraw/zinc/87/46/14/908874614.db2.gz KYELOSJWDUDYFI-UHFFFAOYSA-N 0 1 293.371 0.302 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C2CC(C)C2)CC1 ZINC001316964301 908876306 /nfs/dbraw/zinc/87/63/06/908876306.db2.gz RJXPESPTMVLHTF-UHFFFAOYSA-N 0 1 265.401 0.952 20 30 CCEDMN C[C@H](NC(=O)CN1CCCC1)[C@@H]1CCCN(CC#N)C1 ZINC001317205074 909039885 /nfs/dbraw/zinc/03/98/85/909039885.db2.gz PCBHNAYPIQHEET-UONOGXRCSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CCN(C)CCNC(=O)c1scnc1COC ZINC001317463674 909243740 /nfs/dbraw/zinc/24/37/40/909243740.db2.gz GBNZZOBPWUYHAX-UHFFFAOYSA-N 0 1 267.354 0.584 20 30 CCEDMN C#CCN(CC)CCNC(=O)Cn1cc(C2CC2)nn1 ZINC001317479101 909250988 /nfs/dbraw/zinc/25/09/88/909250988.db2.gz AXWGKLXGNYHCQR-UHFFFAOYSA-N 0 1 275.356 0.227 20 30 CCEDMN C=C(C)CN(CCC)CCNC(=O)[C@H](C)S(C)(=O)=O ZINC001317541487 909306036 /nfs/dbraw/zinc/30/60/36/909306036.db2.gz XCRBFVPGFHXNBV-LBPRGKRZSA-N 0 1 290.429 0.824 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)[C@@H]1CCO[C@@H]1C ZINC001317544061 909308451 /nfs/dbraw/zinc/30/84/51/909308451.db2.gz GLGGPOLHGQGIIL-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H](OC)[C@@H]1CCOC1)C1CC1 ZINC001317553955 909325286 /nfs/dbraw/zinc/32/52/86/909325286.db2.gz FKOBTBHNTRTBEM-OCCSQVGLSA-N 0 1 280.368 0.252 20 30 CCEDMN CCn1nnc(C)c1CNCCN(C)C(=O)[C@@H](C)C#N ZINC001317572194 909361793 /nfs/dbraw/zinc/36/17/93/909361793.db2.gz BHKYWWNJZACAOG-JTQLQIEISA-N 0 1 278.360 0.314 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCC[C@@H]1CCN(CC#N)C1 ZINC001317786559 909493276 /nfs/dbraw/zinc/49/32/76/909493276.db2.gz ARRRLPRPPHQJGA-KGLIPLIRSA-N 0 1 278.400 0.822 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@H](C)NC(N)=O)C1 ZINC001318024534 909587337 /nfs/dbraw/zinc/58/73/37/909587337.db2.gz KXNFGCQNHWJPBU-QWRGUYRKSA-N 0 1 282.388 0.588 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2ccon2)C1 ZINC001318109062 909624478 /nfs/dbraw/zinc/62/44/78/909624478.db2.gz YEKMIKFZGJUKBJ-UHFFFAOYSA-N 0 1 265.313 0.539 20 30 CCEDMN C=CCNC(=O)NC(C)(C)C(=O)NCC1CN(CC=C)C1 ZINC001318176227 909652399 /nfs/dbraw/zinc/65/23/99/909652399.db2.gz LUBAQADIQVTAOE-UHFFFAOYSA-N 0 1 294.399 0.484 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2ccon2)C1 ZINC001318285098 909692578 /nfs/dbraw/zinc/69/25/78/909692578.db2.gz WIIYGGDEQQQTMZ-ZDUSSCGKSA-N 0 1 261.325 0.821 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC001318319234 909705633 /nfs/dbraw/zinc/70/56/33/909705633.db2.gz HTBCBRSMLQTBGS-CMPLNLGQSA-N 0 1 293.371 0.567 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CCc2cn(C)nn2)C1 ZINC001318452862 909764729 /nfs/dbraw/zinc/76/47/29/909764729.db2.gz ILCXMWPEOTWLAM-CQSZACIVSA-N 0 1 289.383 0.304 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001318454729 909766571 /nfs/dbraw/zinc/76/65/71/909766571.db2.gz WCJVMFKUBTYYCE-QWHCGFSZSA-N 0 1 281.400 0.853 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001318454729 909766587 /nfs/dbraw/zinc/76/65/87/909766587.db2.gz WCJVMFKUBTYYCE-QWHCGFSZSA-N 0 1 281.400 0.853 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CCNC1=O ZINC001318553628 909812826 /nfs/dbraw/zinc/81/28/26/909812826.db2.gz BBFZCYSVLQNVMU-ZJUUUORDSA-N 0 1 273.764 0.359 20 30 CCEDMN CCn1cc(CNCCNC(=O)C2N=CC=CC2=O)cn1 ZINC001318566261 909818011 /nfs/dbraw/zinc/81/80/11/909818011.db2.gz KMRAFUMMNJMFIL-YPKPFQOOSA-N 0 1 289.339 0.519 20 30 CCEDMN N#Cc1ccc(NCC(=O)NCCN2CC=CC2)cc1 ZINC001319327018 910096539 /nfs/dbraw/zinc/09/65/39/910096539.db2.gz QEKGVVRXRJBIKM-UHFFFAOYSA-N 0 1 270.336 0.958 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)NCc1cc2n(n1)CCC2 ZINC001390298082 910314739 /nfs/dbraw/zinc/31/47/39/910314739.db2.gz CCEDQDJDMVTDQN-MNOVXSKESA-N 0 1 275.356 0.583 20 30 CCEDMN Cc1nc(C(=O)NC2(C#N)CCN(C)CC2)c(=O)[nH]c1C ZINC001319842006 910315755 /nfs/dbraw/zinc/31/57/55/910315755.db2.gz BGTXFVFELOWJDH-UHFFFAOYSA-N 0 1 289.339 0.105 20 30 CCEDMN CC[C@H](CNC(=O)c1[nH]nc(C)c1C)NC(=O)[C@H](C)C#N ZINC001390339538 910362762 /nfs/dbraw/zinc/36/27/62/910362762.db2.gz YIZIEBAFLFPFLE-LDYMZIIASA-N 0 1 291.355 0.811 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](C)OC[C@H]1CCCO1 ZINC001320091666 910456168 /nfs/dbraw/zinc/45/61/68/910456168.db2.gz YOXCYHKZPVDQPW-ZIAGYGMSSA-N 0 1 282.384 0.594 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](OCC=C)C1 ZINC001320160530 910485814 /nfs/dbraw/zinc/48/58/14/910485814.db2.gz POFWAHROFFULAY-NWDGAFQWSA-N 0 1 281.356 0.664 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](O)CNCc1conc1C ZINC001320260266 910559854 /nfs/dbraw/zinc/55/98/54/910559854.db2.gz UHOWJIHVRPCWGY-LBPRGKRZSA-N 0 1 281.356 0.762 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H]3C[C@@]3(CO)C2)CC1 ZINC001320882605 910917549 /nfs/dbraw/zinc/91/75/49/910917549.db2.gz YIHCLENRMXKSFQ-HIFRSBDPSA-N 0 1 262.353 0.172 20 30 CCEDMN CC[C@H](C)NC(=O)CNC/C=C/CNC(=O)[C@H](C)C#N ZINC001320996391 910998951 /nfs/dbraw/zinc/99/89/51/910998951.db2.gz DRJXAIGVZGHAPV-AIIUZBJTSA-N 0 1 280.372 0.323 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)C1=CCCC1 ZINC001321023405 911024807 /nfs/dbraw/zinc/02/48/07/911024807.db2.gz IVQBGIMRRRKIKM-AATRIKPKSA-N 0 1 277.368 0.661 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)[C@H]1CC1(C)C ZINC001321031578 911030905 /nfs/dbraw/zinc/03/09/05/911030905.db2.gz NFVILUCMUXIVRV-FOQNGQEVSA-N 0 1 279.384 0.597 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCC=CC[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001321229910 911176210 /nfs/dbraw/zinc/17/62/10/911176210.db2.gz APAXRKISCDYUJP-AFNCTOJWSA-N 0 1 293.371 0.875 20 30 CCEDMN Cc1noc(CCCN2CC[C@@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001391588554 911251822 /nfs/dbraw/zinc/25/18/22/911251822.db2.gz SWOCXSUEAPZRNB-ZYHUDNBSSA-N 0 1 291.355 0.661 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CNCc1cnns1 ZINC001321500068 911330255 /nfs/dbraw/zinc/33/02/55/911330255.db2.gz NNZLVOZFFNWSIJ-BXKDBHETSA-N 0 1 284.385 0.460 20 30 CCEDMN Cc1nocc1CNC[C@H](C)NC(=O)[C@@H](C)C#N ZINC001321815545 911504889 /nfs/dbraw/zinc/50/48/89/911504889.db2.gz YBUXOIOQSBWJPE-IUCAKERBSA-N 0 1 250.302 0.737 20 30 CCEDMN C[C@@H](CNCc1cnnn1C)NC(=O)C#CC(C)(C)C ZINC001321841494 911521932 /nfs/dbraw/zinc/52/19/32/911521932.db2.gz AZTJMBRXDXWITM-NSHDSACASA-N 0 1 277.372 0.459 20 30 CCEDMN CCO[C@H](C(=O)N[C@@H](C)CN(C)CC#CCOC)C1CC1 ZINC001322161867 911689715 /nfs/dbraw/zinc/68/97/15/911689715.db2.gz WPPRGQKAQFLVPL-ZFWWWQNUSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cc2n(n1)CCCC2 ZINC001322184233 911699807 /nfs/dbraw/zinc/69/98/07/911699807.db2.gz ZECAWLRSUCLQLU-LBPRGKRZSA-N 0 1 274.368 0.903 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC001322549458 911854105 /nfs/dbraw/zinc/85/41/05/911854105.db2.gz CJVKBWALAJVKDQ-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)Cc1nnc(C)o1 ZINC001323231586 912204446 /nfs/dbraw/zinc/20/44/46/912204446.db2.gz LALFGHLTSCHURH-LLVKDONJSA-N 0 1 264.329 0.687 20 30 CCEDMN CC(C)(C)NS(=O)(=O)C1(C=Nn2cnnc2)CC1 ZINC001327985029 914904916 /nfs/dbraw/zinc/90/49/16/914904916.db2.gz QOTNNAWOFDLONR-UHFFFAOYSA-N 0 1 271.346 0.363 20 30 CCEDMN CC#CCN(C)C(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC001328281506 915076016 /nfs/dbraw/zinc/07/60/16/915076016.db2.gz LFSDZJCEKFOYIY-LBPRGKRZSA-N 0 1 265.357 0.021 20 30 CCEDMN Cn1c(-c2c[nH]nn2)nnc1N1CCC(C#N)CC1 ZINC001339530813 921751989 /nfs/dbraw/zinc/75/19/89/921751989.db2.gz QOEGBUHBLGRHLG-UHFFFAOYSA-N 0 1 258.289 0.340 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)[C@@H](C)CC#N)n1 ZINC001350857498 925297643 /nfs/dbraw/zinc/29/76/43/925297643.db2.gz NAOXFHAIYRPUBL-ZETCQYMHSA-N 0 1 253.331 0.834 20 30 CCEDMN CSCc1nnc(CNC(=O)[C@@H](C)CC#N)[nH]1 ZINC001350857498 925297649 /nfs/dbraw/zinc/29/76/49/925297649.db2.gz NAOXFHAIYRPUBL-ZETCQYMHSA-N 0 1 253.331 0.834 20 30 CCEDMN C#C[C@H](C)N(C)c1nnc(C2=NO[C@@H](CO)C2)n1CC ZINC001339568045 921762947 /nfs/dbraw/zinc/76/29/47/921762947.db2.gz YGBYDQYOTJWHEF-VHSXEESVSA-N 0 1 277.328 0.241 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@H]1CCN(C)C1=O ZINC001328734507 915395928 /nfs/dbraw/zinc/39/59/28/915395928.db2.gz XMRPSORVUDYILT-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN N#CC[C@@]1(O)CCN(CCOC2CCOCC2)C1 ZINC001328803904 915441826 /nfs/dbraw/zinc/44/18/26/915441826.db2.gz QIKFDAYCWSKBES-CYBMUJFWSA-N 0 1 254.330 0.532 20 30 CCEDMN COC(=O)[C@]1(N2CCN(CCCCC#N)CC2)CCOC1 ZINC001328818359 915449251 /nfs/dbraw/zinc/44/92/51/915449251.db2.gz DPYSJANZWKYLPP-HNNXBMFYSA-N 0 1 295.383 0.630 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H](C(C)C)N1CCCC1=O ZINC001492889136 915595943 /nfs/dbraw/zinc/59/59/43/915595943.db2.gz JSYIWQAHFYVMCJ-OAHLLOKOSA-N 0 1 293.411 0.705 20 30 CCEDMN CCn1cc(CN[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)nn1 ZINC001418050359 921789679 /nfs/dbraw/zinc/78/96/79/921789679.db2.gz LKRSPSGMVQNYOI-GMTAPVOTSA-N 0 1 276.344 0.194 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC001329120098 915686762 /nfs/dbraw/zinc/68/67/62/915686762.db2.gz YPHIMSRWJLQXCP-APPZFPTMSA-N 0 1 253.306 0.674 20 30 CCEDMN C=CCOCC[NH+]1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001329208933 915745393 /nfs/dbraw/zinc/74/53/93/915745393.db2.gz XKLFGBQLTCQVBH-CQSZACIVSA-N 0 1 284.356 0.050 20 30 CCEDMN C=C[C@@H](COC)NC(=O)C(=O)N(CCC)[C@@H]1CCN(C)C1 ZINC001329352484 915833989 /nfs/dbraw/zinc/83/39/89/915833989.db2.gz SSTQQYXDOFSTKE-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NCc1n[nH]c(COC)n1 ZINC001329382272 915858636 /nfs/dbraw/zinc/85/86/36/915858636.db2.gz FUCPSBCDIYWXBO-LLVKDONJSA-N 0 1 251.290 0.507 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NCc1nnc(COC)[nH]1 ZINC001329382272 915858652 /nfs/dbraw/zinc/85/86/52/915858652.db2.gz FUCPSBCDIYWXBO-LLVKDONJSA-N 0 1 251.290 0.507 20 30 CCEDMN CCO[C@@H](CC)C(=O)NC[C@@H](C)N(C)CC#CCOC ZINC001329393347 915872521 /nfs/dbraw/zinc/87/25/21/915872521.db2.gz NZFGDPXFJCZBSD-KGLIPLIRSA-N 0 1 284.400 0.888 20 30 CCEDMN Cc1nc(CN(C)[C@H](C)CNC(=O)[C@H](C)C#N)n[nH]1 ZINC001329400287 915882201 /nfs/dbraw/zinc/88/22/01/915882201.db2.gz YWTWOIFBGRXIGR-RKDXNWHRSA-N 0 1 264.333 0.209 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)COCc1nnc(C)s1 ZINC001329487822 915956640 /nfs/dbraw/zinc/95/66/40/915956640.db2.gz VBNIWUSCGMJGHM-JTQLQIEISA-N 0 1 296.396 0.433 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCc1ncccn1 ZINC001329576471 916030691 /nfs/dbraw/zinc/03/06/91/916030691.db2.gz KSQRSNSJKMEHEF-CYBMUJFWSA-N 0 1 274.368 0.869 20 30 CCEDMN C[C@@H](C#N)CNC[C@H](O)CC1(C#N)CCOCC1 ZINC001329594510 916049047 /nfs/dbraw/zinc/04/90/47/916049047.db2.gz BMOZYPQIZAVCBQ-NWDGAFQWSA-N 0 1 251.330 0.807 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccnc(C)n1 ZINC001329612360 916062734 /nfs/dbraw/zinc/06/27/34/916062734.db2.gz BTYWYQVMVGRFTK-NSHDSACASA-N 0 1 260.341 0.858 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)C(=O)N1CCC[C@](C)(C#N)C1 ZINC001329674472 916114274 /nfs/dbraw/zinc/11/42/74/916114274.db2.gz BORHQMYBNUOGPO-OAHLLOKOSA-N 0 1 292.383 0.159 20 30 CCEDMN C=CC[C@H]1CCCN(CCS(=O)(=O)CCC(N)=O)C1 ZINC001329910795 916271452 /nfs/dbraw/zinc/27/14/52/916271452.db2.gz HTBSKCBEZFONRT-LBPRGKRZSA-N 0 1 288.413 0.565 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H](C)NC(=O)CC ZINC001329922490 916278432 /nfs/dbraw/zinc/27/84/32/916278432.db2.gz BZWXZEYPYUEYLL-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1nnc(C)o1 ZINC001401622742 916400866 /nfs/dbraw/zinc/40/08/66/916400866.db2.gz STSQRUPMFHAJJO-MRVPVSSYSA-N 0 1 272.736 0.767 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)CC(N)=O)C1CC1 ZINC001401847148 916631946 /nfs/dbraw/zinc/63/19/46/916631946.db2.gz GNSSQPVDTCVCNE-KWQFWETISA-N 0 1 287.791 0.735 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)c1cn(C)c(=O)[nH]1)C1CC1 ZINC001401858312 916636068 /nfs/dbraw/zinc/63/60/68/916636068.db2.gz BPZHCDJANLYKGJ-JTQLQIEISA-N 0 1 298.774 0.976 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)Cn1ncnn1 ZINC001402047505 916774472 /nfs/dbraw/zinc/77/44/72/916774472.db2.gz KGVUPSIUPMBJRX-JTQLQIEISA-N 0 1 284.751 0.006 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)NCc2n[nH]c(COC)n2)C1 ZINC001330723766 916816359 /nfs/dbraw/zinc/81/63/59/916816359.db2.gz BQXTXZTZDGXSAW-JTQLQIEISA-N 0 1 295.343 0.438 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)NCc2nnc(COC)[nH]2)C1 ZINC001330723766 916816383 /nfs/dbraw/zinc/81/63/83/916816383.db2.gz BQXTXZTZDGXSAW-JTQLQIEISA-N 0 1 295.343 0.438 20 30 CCEDMN CNC(=O)CN(C)C[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001402366112 916979876 /nfs/dbraw/zinc/97/98/76/916979876.db2.gz CBPILXGDYDYSRA-NWDGAFQWSA-N 0 1 280.372 0.062 20 30 CCEDMN C=C(Cl)CN1CCOC[C@@H]1CNC(=O)Cc1cnc[nH]1 ZINC001402524313 917074361 /nfs/dbraw/zinc/07/43/61/917074361.db2.gz HLIQYZNJINASQC-LBPRGKRZSA-N 0 1 298.774 0.522 20 30 CCEDMN N#CCCN1CCN(CC[C@@H]2CCOC2=O)CC1 ZINC001331113096 917096543 /nfs/dbraw/zinc/09/65/43/917096543.db2.gz ZOIZRLGNBCLDDV-GFCCVEGCSA-N 0 1 251.330 0.471 20 30 CCEDMN C=C(Cl)CN[C@@]1(CO)CCCN(C(=O)c2cocn2)C1 ZINC001402718412 917189810 /nfs/dbraw/zinc/18/98/10/917189810.db2.gz JILXRIQUIWOAEW-ZDUSSCGKSA-N 0 1 299.758 0.984 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CNC(=O)OC)C[C@H]1C ZINC001402771691 917218385 /nfs/dbraw/zinc/21/83/85/917218385.db2.gz JGSWTGCOLOQDKU-SCZZXKLOSA-N 0 1 289.763 0.531 20 30 CCEDMN C=C[C@H](COC)NCc1ccc(S(N)(=O)=O)cc1 ZINC001331257708 917222444 /nfs/dbraw/zinc/22/24/44/917222444.db2.gz OMSQGBVBCIPKRW-LLVKDONJSA-N 0 1 270.354 0.625 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cn1ccccc1=O ZINC001403140024 917522018 /nfs/dbraw/zinc/52/20/18/917522018.db2.gz PBINRFRBYNULFV-LLVKDONJSA-N 0 1 283.759 0.695 20 30 CCEDMN C=CCC1(C(=O)NC[C@H](CO)NCC#CC)CCC1 ZINC001331786635 917607340 /nfs/dbraw/zinc/60/73/40/917607340.db2.gz DQZDHBVBLOZVLL-CYBMUJFWSA-N 0 1 264.369 0.823 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1c[nH]c2c1cccc2C ZINC001331805337 917621848 /nfs/dbraw/zinc/62/18/48/917621848.db2.gz RSPFHVVCAMVZIM-CQSZACIVSA-N 0 1 299.374 0.719 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H](CO)NCCF ZINC001331804702 917622943 /nfs/dbraw/zinc/62/29/43/917622943.db2.gz OWIOGYXGKFRIND-WOPDTQHZSA-N 0 1 274.336 0.004 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](C)Cc1ccco1 ZINC001331804194 917623060 /nfs/dbraw/zinc/62/30/60/917623060.db2.gz LKIKYQMCVKOCPB-NWDGAFQWSA-N 0 1 264.325 0.158 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1snnc1C(C)C ZINC001331806479 917625890 /nfs/dbraw/zinc/62/58/90/917625890.db2.gz WGSPMHMPJMJFTI-SNVBAGLBSA-N 0 1 296.396 0.365 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(F)cc(F)c1 ZINC001331818497 917636967 /nfs/dbraw/zinc/63/69/67/917636967.db2.gz HENURYGAFHEBCJ-ZDUSSCGKSA-N 0 1 282.290 0.668 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@@H](C(=O)[O-])[C@@H](C)C2)C1=O ZINC001331849797 917660632 /nfs/dbraw/zinc/66/06/32/917660632.db2.gz JZVZXYKUAAUUPA-TUAOUCFPSA-N 0 1 266.341 0.816 20 30 CCEDMN CC(C)(F)C(=O)NC[C@@H](CO)NCc1cccc(C#N)c1 ZINC001403658696 917900223 /nfs/dbraw/zinc/90/02/23/917900223.db2.gz VPYLQZHXVSHFKS-ZDUSSCGKSA-N 0 1 293.342 0.873 20 30 CCEDMN C#CCN(CC1CC1)C(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001332209915 917960356 /nfs/dbraw/zinc/96/03/56/917960356.db2.gz WWZDFTNWCWNSRM-ZDUSSCGKSA-N 0 1 278.352 0.655 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cc(C)n[nH]1 ZINC001403838749 918054054 /nfs/dbraw/zinc/05/40/54/918054054.db2.gz XOFLXWGDWDSAGN-JTQLQIEISA-N 0 1 286.763 0.493 20 30 CCEDMN C=CC[C@@H](NC(=O)NC[C@@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC001332595603 918296626 /nfs/dbraw/zinc/29/66/26/918296626.db2.gz DSDUQUDDHYIWHX-CMPLNLGQSA-N 0 1 281.356 0.799 20 30 CCEDMN C=C(C)C[N@@H+](C)C[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001332633374 918335466 /nfs/dbraw/zinc/33/54/66/918335466.db2.gz MPDMTVAJUMDPTH-GFCCVEGCSA-N 0 1 293.367 0.728 20 30 CCEDMN C=C(C)C[N@H+](C)C[C@@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001332633374 918335481 /nfs/dbraw/zinc/33/54/81/918335481.db2.gz MPDMTVAJUMDPTH-GFCCVEGCSA-N 0 1 293.367 0.728 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H]1CCCC12CC2 ZINC001332647747 918348551 /nfs/dbraw/zinc/34/85/51/918348551.db2.gz NXNYCVMOJGFTEK-KGLIPLIRSA-N 0 1 278.396 0.951 20 30 CCEDMN CC[C@H](C(N)=O)N(CC)CCNC(=O)c1ccc(C#N)[nH]1 ZINC001492939309 918605392 /nfs/dbraw/zinc/60/53/92/918605392.db2.gz OCAWYYIYRSIAMI-GFCCVEGCSA-N 0 1 291.355 0.202 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1COC(=O)N1 ZINC001405219261 918672108 /nfs/dbraw/zinc/67/21/08/918672108.db2.gz JVYGYJXCSQXDJE-DTWKUNHWSA-N 0 1 275.736 0.332 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CCS(C)(=O)=O ZINC001405278300 918707691 /nfs/dbraw/zinc/70/76/91/918707691.db2.gz ZMPFDZHLUFCIGN-SNVBAGLBSA-N 0 1 296.820 0.658 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)CC[NH+]1CCN(CC)CC1 ZINC001333332126 918808105 /nfs/dbraw/zinc/80/81/05/918808105.db2.gz QAFTXPKNEYKHFT-UHFFFAOYSA-N 0 1 283.372 0.113 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)CNC(=O)CC ZINC001405587350 918812376 /nfs/dbraw/zinc/81/23/76/918812376.db2.gz HZRKAGGTMHSFER-LLVKDONJSA-N 0 1 287.791 0.846 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)C[C@@H](C)NC(N)=O)CC1 ZINC001333342625 918818394 /nfs/dbraw/zinc/81/83/94/918818394.db2.gz KAUGBBCNBOLVEB-GFCCVEGCSA-N 0 1 292.383 0.205 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H](C)NC(=O)CC)CC1 ZINC001333342700 918819778 /nfs/dbraw/zinc/81/97/78/918819778.db2.gz LYDAQPBTSTYOCV-GFCCVEGCSA-N 0 1 277.368 0.283 20 30 CCEDMN CCCn1ncnc1CNC[C@H](C)CNC(=O)[C@H](C)C#N ZINC001405637998 918836529 /nfs/dbraw/zinc/83/65/29/918836529.db2.gz IHWUCIDDOFNXLZ-NWDGAFQWSA-N 0 1 292.387 0.690 20 30 CCEDMN CC(C)NS(=O)(=O)NCCNCC#Cc1ccccc1 ZINC001333718747 919117184 /nfs/dbraw/zinc/11/71/84/919117184.db2.gz LCQFVIGWHYXYRZ-UHFFFAOYSA-N 0 1 295.408 0.460 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H](C)CSC ZINC001406219219 919122171 /nfs/dbraw/zinc/12/21/71/919122171.db2.gz OBWLAKAWRZRRNW-WCBMZHEXSA-N 0 1 280.821 0.805 20 30 CCEDMN C=CCN(C(=O)Cc1c[nH]cn1)[C@@H]1CCS(=O)(=O)C1 ZINC001333992708 919301044 /nfs/dbraw/zinc/30/10/44/919301044.db2.gz DLEOPXUQSCGCIC-LLVKDONJSA-N 0 1 283.353 0.154 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)N[C@@H]1CCN(CCOC)C1 ZINC001334199199 919417462 /nfs/dbraw/zinc/41/74/62/919417462.db2.gz WBAKOSFJVVOGLZ-KGLIPLIRSA-N 0 1 279.384 0.904 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)c1cn(C)nn1)C1CC1 ZINC001406759696 919460924 /nfs/dbraw/zinc/46/09/24/919460924.db2.gz LXAMGSJIHSQPJK-JTQLQIEISA-N 0 1 283.763 0.666 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCC(=O)N2C)CC1 ZINC001406954024 919550821 /nfs/dbraw/zinc/55/08/21/919550821.db2.gz KFZLWICLNDJZJP-SNVBAGLBSA-N 0 1 285.775 0.598 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@H](NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001407377170 919726440 /nfs/dbraw/zinc/72/64/40/919726440.db2.gz HAMRJFJZHXITIX-KOLCDFICSA-N 0 1 289.339 0.599 20 30 CCEDMN C=C1CCC(CNC(=O)C(=O)N2CCN[C@H](C)C2)CC1 ZINC001335269717 920081068 /nfs/dbraw/zinc/08/10/68/920081068.db2.gz QQYCJWFGRAIBEK-GFCCVEGCSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCn1cc(CNC[C@H](C)S(C)(=O)=O)cn1 ZINC001335447458 920200010 /nfs/dbraw/zinc/20/00/10/920200010.db2.gz HJFLJUUBEBMNNS-JTQLQIEISA-N 0 1 255.343 0.039 20 30 CCEDMN COCC[C@@H]1CNCCN1C(=O)NCC1(C#N)CC1 ZINC001335522053 920249842 /nfs/dbraw/zinc/24/98/42/920249842.db2.gz NBQREYMMHDSSCO-LLVKDONJSA-N 0 1 266.345 0.310 20 30 CCEDMN CCCCCCCNC(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001336126299 920624380 /nfs/dbraw/zinc/62/43/80/920624380.db2.gz PBTQZKMYIUKQMR-UHFFFAOYSA-N 0 1 281.360 0.816 20 30 CCEDMN N#CC[C@@H](O)CN1CCC(N2CCOC2=O)CC1 ZINC001336133441 920628418 /nfs/dbraw/zinc/62/84/18/920628418.db2.gz SNUIYGXCUJMSLM-LLVKDONJSA-N 0 1 253.302 0.178 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)NCCN(C)CCOC)C1 ZINC001336304502 920709702 /nfs/dbraw/zinc/70/97/02/920709702.db2.gz FAKANEODNSUARR-CYBMUJFWSA-N 0 1 297.399 0.105 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)C(=O)CCc1cnc[nH]1 ZINC001415596421 920779004 /nfs/dbraw/zinc/77/90/04/920779004.db2.gz CAZIFYNFPUQLJF-SNVBAGLBSA-N 0 1 277.328 0.077 20 30 CCEDMN Cc1nc([C@H]2CCN(c3nnccc3C#N)C2)n[nH]1 ZINC001336649017 920899949 /nfs/dbraw/zinc/89/99/49/920899949.db2.gz HURCUZLISXEIJM-JTQLQIEISA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@H]2CCN(c3nnccc3C#N)C2)[nH]1 ZINC001336649017 920899960 /nfs/dbraw/zinc/89/99/60/920899960.db2.gz HURCUZLISXEIJM-JTQLQIEISA-N 0 1 255.285 0.769 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CN(C(=O)c2ccn3cncc3c2)C1 ZINC001416045302 920905102 /nfs/dbraw/zinc/90/51/02/920905102.db2.gz FIEYAJISVQIBPI-JTQLQIEISA-N 0 1 297.318 0.435 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1ccns1 ZINC001336710977 920944100 /nfs/dbraw/zinc/94/41/00/920944100.db2.gz UJHQCVVZSFZUBO-SNVBAGLBSA-N 0 1 254.359 0.439 20 30 CCEDMN N#CC1(C[C@@H](O)CNCc2nncn2C2CC2)CC1 ZINC001336756631 920984306 /nfs/dbraw/zinc/98/43/06/920984306.db2.gz XUMSMCNMLMQRBM-LLVKDONJSA-N 0 1 261.329 0.757 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC(C)(C)C(=O)NC)CC1 ZINC001339773032 921825512 /nfs/dbraw/zinc/82/55/12/921825512.db2.gz WBHLNTNWILUOPH-UHFFFAOYSA-N 0 1 294.399 0.155 20 30 CCEDMN C#CCNCC(=O)NCCCN(C)CC(F)(F)F ZINC001340044302 921931112 /nfs/dbraw/zinc/93/11/12/921931112.db2.gz KUHZTQJJDUNXGZ-UHFFFAOYSA-N 0 1 265.279 0.210 20 30 CCEDMN C#CCNCC(=O)NCCc1nc(C(C)C)no1 ZINC001340066079 921944552 /nfs/dbraw/zinc/94/45/52/921944552.db2.gz VXUKVSUEXMIEQO-UHFFFAOYSA-N 0 1 250.302 0.075 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1Cc2cccnc2C1 ZINC001340132235 921971866 /nfs/dbraw/zinc/97/18/66/921971866.db2.gz GHLYNTDQBWCWOO-WZRBSPASSA-N 0 1 256.309 0.024 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1Cc2cccnc2C1 ZINC001340132230 921972983 /nfs/dbraw/zinc/97/29/83/921972983.db2.gz GHLYNTDQBWCWOO-LOWVWBTDSA-N 0 1 256.309 0.024 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1CNc2ccccc21 ZINC001340266610 922032387 /nfs/dbraw/zinc/03/23/87/922032387.db2.gz NQMJUSGKWLHYOR-XWIASGKRSA-N 0 1 256.309 0.423 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCO[C@@H](C)C1 ZINC001340599397 922135300 /nfs/dbraw/zinc/13/53/00/922135300.db2.gz GKYNAPIHXCGOJQ-VIFPVBQESA-N 0 1 275.316 0.474 20 30 CCEDMN C#CCNCC(=O)N[C@H]1COc2cc(F)ccc2C1 ZINC001341367179 922447974 /nfs/dbraw/zinc/44/79/74/922447974.db2.gz LFVJXGQHPZQOAI-GFCCVEGCSA-N 0 1 262.284 0.468 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H]1COCCO1 ZINC001419657389 922976562 /nfs/dbraw/zinc/97/65/62/922976562.db2.gz SCXFDNQSGQEYMT-WDEREUQCSA-N 0 1 276.764 0.591 20 30 CCEDMN CNC(=O)C(C#N)C(=O)[C@H]1CC12CCSCC2 ZINC001342761014 923082337 /nfs/dbraw/zinc/08/23/37/923082337.db2.gz JPFVLYFVKZXGAC-DTWKUNHWSA-N 0 1 252.339 0.975 20 30 CCEDMN N#CCN1CCC(OC(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001342880579 923119996 /nfs/dbraw/zinc/11/99/96/923119996.db2.gz PSAGAQNTBASMIE-NXEZZACHSA-N 0 1 253.258 0.183 20 30 CCEDMN C#CCNCC(=O)NC1CCN(c2ccccc2)CC1 ZINC001343407968 923301581 /nfs/dbraw/zinc/30/15/81/923301581.db2.gz RNPVUOWWJBAQNL-UHFFFAOYSA-N 0 1 271.364 0.994 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1CC12CC2 ZINC001343473364 923334068 /nfs/dbraw/zinc/33/40/68/923334068.db2.gz JRTICSSVDXDYGQ-UWVGGRQHSA-N 0 1 250.298 0.648 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(OC)n(C)n1 ZINC001420309164 923528917 /nfs/dbraw/zinc/52/89/17/923528917.db2.gz UGLDXQVRFFZBLO-SECBINFHSA-N 0 1 286.763 0.889 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCCN(C)[C@@H]1CCNC1=O ZINC001479794522 923574325 /nfs/dbraw/zinc/57/43/25/923574325.db2.gz MVUSTPHYORRUSV-IUODEOHRSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCNCC(=O)N(CC(C)C)CC(C)(C)C(=O)OC ZINC001344556731 923637927 /nfs/dbraw/zinc/63/79/27/923637927.db2.gz IPSZMDNOUNNMHI-UHFFFAOYSA-N 0 1 282.384 0.893 20 30 CCEDMN Cc1nc(CNC(=O)[C@@H](O)c2ccc(C#N)cc2)n[nH]1 ZINC001420452828 923677277 /nfs/dbraw/zinc/67/72/77/923677277.db2.gz SHGLAQSDIFLQMA-LBPRGKRZSA-N 0 1 271.280 0.335 20 30 CCEDMN CC(C)[C@@H](C)NC(=O)CNC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001420920206 924025347 /nfs/dbraw/zinc/02/53/47/924025347.db2.gz KMRGRXCJVUMJKH-VXGBXAGGSA-N 0 1 294.399 0.545 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001346438403 924212101 /nfs/dbraw/zinc/21/21/01/924212101.db2.gz WEVVPKYQLFCBQO-UONOGXRCSA-N 0 1 280.416 0.723 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)CN1CCC(CO)CC1 ZINC001346750822 924304491 /nfs/dbraw/zinc/30/44/91/924304491.db2.gz WMLCURBTMAZNJK-NSHDSACASA-N 0 1 253.346 0.309 20 30 CCEDMN N#C[C@]1(NC(=O)c2cnncc2O)CCSC1 ZINC001346788217 924311626 /nfs/dbraw/zinc/31/16/26/924311626.db2.gz QBKNVMVWFKOQFT-SNVBAGLBSA-N 0 1 250.283 0.311 20 30 CCEDMN CC#CCCNC(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC001347055255 924361331 /nfs/dbraw/zinc/36/13/31/924361331.db2.gz CGDTWPDJVANAFC-RYUDHWBXSA-N 0 1 277.368 0.257 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)NC[C@H](C)N2CCN(C)CC2)C1 ZINC001347102848 924371262 /nfs/dbraw/zinc/37/12/62/924371262.db2.gz SUOYAXOZPLQXJA-LSDHHAIUSA-N 0 1 292.427 0.677 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)NC[C@@H](C)N2CCN(C)CC2)C1 ZINC001347102843 924371741 /nfs/dbraw/zinc/37/17/41/924371741.db2.gz SUOYAXOZPLQXJA-CABCVRRESA-N 0 1 292.427 0.677 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCC[C@H](Cc3nn[nH]n3)C2)c1 ZINC001347307291 924418284 /nfs/dbraw/zinc/41/82/84/924418284.db2.gz RXUGUSJGDAGXSH-GFCCVEGCSA-N 0 1 296.334 0.671 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CC(N2CCN(CC)CC2)C1 ZINC001347440988 924448187 /nfs/dbraw/zinc/44/81/87/924448187.db2.gz LHKORJQHIDBJRT-LBPRGKRZSA-N 0 1 264.373 0.384 20 30 CCEDMN C=CC[C@@H]1CCN(CCS(=O)(=O)CCC(N)=O)C1 ZINC001348206767 924618790 /nfs/dbraw/zinc/61/87/90/924618790.db2.gz OCFCSKOWNNUKCF-LLVKDONJSA-N 0 1 274.386 0.175 20 30 CCEDMN C[C@H](Nc1nnccc1C#N)[C@@H]1C[N@@H+](C)CCN1C ZINC001348311270 924647435 /nfs/dbraw/zinc/64/74/35/924647435.db2.gz HVWPEALUMNWLMY-JQWIXIFHSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@H](Nc1nnccc1C#N)[C@@H]1CN(C)CCN1C ZINC001348311270 924647439 /nfs/dbraw/zinc/64/74/39/924647439.db2.gz HVWPEALUMNWLMY-JQWIXIFHSA-N 0 1 260.345 0.394 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H](C)NC(=O)OC)CC1 ZINC001349770544 925049332 /nfs/dbraw/zinc/04/93/32/925049332.db2.gz UUYIBTOSLGBHEC-JTQLQIEISA-N 0 1 268.313 0.372 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001349770088 925051250 /nfs/dbraw/zinc/05/12/50/925051250.db2.gz CBQKNLCAECXUCA-LLVKDONJSA-N 0 1 264.325 0.153 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001349878244 925082821 /nfs/dbraw/zinc/08/28/21/925082821.db2.gz BPDWFGJTYHFUIE-ZIAGYGMSSA-N 0 1 298.431 0.106 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncc(C(N)=O)cc1Cl ZINC001350836173 925292195 /nfs/dbraw/zinc/29/21/95/925292195.db2.gz IFYKESZJZREVRP-UHFFFAOYSA-N 0 1 294.742 0.129 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)C(=O)c1cc(C)[nH]n1 ZINC001351320882 925402879 /nfs/dbraw/zinc/40/28/79/925402879.db2.gz NHTWLKLBQZCXTM-UHFFFAOYSA-N 0 1 294.355 0.499 20 30 CCEDMN C=CC[C@H](NC(=O)CCc1c[nH]nn1)C(=O)OCC ZINC001352901731 925669557 /nfs/dbraw/zinc/66/95/57/925669557.db2.gz XODFADYLJBTLKK-JTQLQIEISA-N 0 1 266.301 0.361 20 30 CCEDMN C=CC[C@H](NC(=O)CCc1cnn[nH]1)C(=O)OCC ZINC001352901731 925669560 /nfs/dbraw/zinc/66/95/60/925669560.db2.gz XODFADYLJBTLKK-JTQLQIEISA-N 0 1 266.301 0.361 20 30 CCEDMN C=CCN(CCC#N)C(=O)CN1CCC(CO)CC1 ZINC001353689184 925904075 /nfs/dbraw/zinc/90/40/75/925904075.db2.gz LLOKBLQYAJTKHD-UHFFFAOYSA-N 0 1 265.357 0.619 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N1CCC[N@@H+](CC(=O)[O-])CC1 ZINC001354071037 926006227 /nfs/dbraw/zinc/00/62/27/926006227.db2.gz AZFMSPYHVMSFTH-OAHLLOKOSA-N 0 1 298.383 0.834 20 30 CCEDMN O=C(c1cccc(C#CCO)c1)N1CC[C@H](c2nc[nH]n2)C1 ZINC001354071872 926006453 /nfs/dbraw/zinc/00/64/53/926006453.db2.gz GTWNPJOSGOYCRD-AWEZNQCLSA-N 0 1 296.330 0.778 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CNC(=O)[C@H]1CCCCN1C ZINC001354531492 926124781 /nfs/dbraw/zinc/12/47/81/926124781.db2.gz PAJUPWZEOKQFDP-ZIAGYGMSSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCNCC(=O)NCCc1nc2c(s1)CCCC2 ZINC001357392964 926524044 /nfs/dbraw/zinc/52/40/44/926524044.db2.gz ZZWWEDQXYTZJKN-UHFFFAOYSA-N 0 1 277.393 0.903 20 30 CCEDMN C#CCNCC(=O)NCCCc1nc2ccccc2n1C ZINC001357413039 926527460 /nfs/dbraw/zinc/52/74/60/926527460.db2.gz FWMBQJMKOZYHOX-UHFFFAOYSA-N 0 1 284.363 0.845 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1ncc(CC)s1 ZINC001357429230 926528820 /nfs/dbraw/zinc/52/88/20/926528820.db2.gz YCRCRGXLFYWJAU-UHFFFAOYSA-N 0 1 251.355 0.887 20 30 CCEDMN C#CCCN(CCOC)C(=O)CN1CCC(CO)CC1 ZINC001358437273 926695195 /nfs/dbraw/zinc/69/51/95/926695195.db2.gz OLIXLOFETDLGTL-UHFFFAOYSA-N 0 1 282.384 0.189 20 30 CCEDMN CCn1cc(CNC[C@@H](C)CNC(=O)[C@H](C)C#N)nn1 ZINC001421677786 926847943 /nfs/dbraw/zinc/84/79/43/926847943.db2.gz QFMRRWFSACXAHY-GHMZBOCLSA-N 0 1 278.360 0.300 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)c1cc(C#N)ccc1C ZINC001361493540 927103682 /nfs/dbraw/zinc/10/36/82/927103682.db2.gz FODDNWKNDZQTEN-UHFFFAOYSA-N 0 1 284.279 0.597 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cn(C)c(=O)[nH]1 ZINC001422369278 927245127 /nfs/dbraw/zinc/24/51/27/927245127.db2.gz CCINRJMBYKMXKE-DTWKUNHWSA-N 0 1 286.763 0.975 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1cccnc1C ZINC001422370322 927248752 /nfs/dbraw/zinc/24/87/52/927248752.db2.gz QGNQRYKXTULKMB-CYBMUJFWSA-N 0 1 297.786 0.752 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H]1CN(C(=O)c2nc[nH]n2)CCO1 ZINC001422861035 927558673 /nfs/dbraw/zinc/55/86/73/927558673.db2.gz RRWLWWCDHNCRJK-VHSXEESVSA-N 0 1 299.762 0.376 20 30 CCEDMN N#Cc1c(NCc2cc[nH]n2)n[nH]c1N1CCOCC1 ZINC001422917908 927590194 /nfs/dbraw/zinc/59/01/94/927590194.db2.gz UVIZXZBZLBRCJI-UHFFFAOYSA-N 0 1 273.300 0.453 20 30 CCEDMN N#C[C@H]1CSCCN1C(=O)CCN1CC[C@H](F)C1 ZINC001362251259 927781894 /nfs/dbraw/zinc/78/18/94/927781894.db2.gz IQUSJVZNRVIZGV-QWRGUYRKSA-N 0 1 271.361 0.888 20 30 CCEDMN N#Cc1ccc(CN[C@H](CO)CNC(=O)[C@H]2CC23CC3)cc1 ZINC001423275517 927783477 /nfs/dbraw/zinc/78/34/77/927783477.db2.gz VWZBSUCXOOINBF-LSDHHAIUSA-N 0 1 299.374 0.925 20 30 CCEDMN CCOC(=O)c1cn[nH]c1[C@@H]1CCN(C(=O)/C=N/OC)C1 ZINC001548396312 927997810 /nfs/dbraw/zinc/99/78/10/927997810.db2.gz KLTCYNPFEJFFOO-NEFPKRPYSA-N 0 1 294.311 0.535 20 30 CCEDMN Cc1cncc(CN[C@H](CO)CNC(=O)[C@H](C)C#N)c1 ZINC001425717582 928637363 /nfs/dbraw/zinc/63/73/63/928637363.db2.gz YFNPNHVNJCGLHL-YPMHNXCESA-N 0 1 276.340 0.116 20 30 CCEDMN COCc1nc(CNC(=O)Nc2ccc(C#N)cn2)n[nH]1 ZINC001426042569 928737669 /nfs/dbraw/zinc/73/76/69/928737669.db2.gz RSBWXAYADSYHCJ-UHFFFAOYSA-N 0 1 287.283 0.539 20 30 CCEDMN COCc1nnc(CNC(=O)Nc2ccc(C#N)cn2)[nH]1 ZINC001426042569 928737676 /nfs/dbraw/zinc/73/76/76/928737676.db2.gz RSBWXAYADSYHCJ-UHFFFAOYSA-N 0 1 287.283 0.539 20 30 CCEDMN Cn1c(CNC(=O)c2ccc(CC#N)cc2)n[nH]c1=O ZINC001363142294 928914269 /nfs/dbraw/zinc/91/42/69/928914269.db2.gz PJAWOBSFCGXZBH-UHFFFAOYSA-N 0 1 271.280 0.517 20 30 CCEDMN CN1C(=O)CC[C@@H]1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001363166161 928937625 /nfs/dbraw/zinc/93/76/25/928937625.db2.gz CUSQWMLDHQMPAM-LLVKDONJSA-N 0 1 283.291 0.994 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCN(C(=O)[C@@H]2CCCCN2C)C1 ZINC001430117943 929560863 /nfs/dbraw/zinc/56/08/63/929560863.db2.gz BERZPWWGPWNMJN-AVGNSLFASA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CN(Cc2ccc3nonc3c2)C1 ZINC001443567117 929646673 /nfs/dbraw/zinc/64/66/73/929646673.db2.gz BUQVWNDSSPRNFE-SNVBAGLBSA-N 0 1 299.334 0.930 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2csc(C#N)c2)C1 ZINC001443897499 929734664 /nfs/dbraw/zinc/73/46/64/929734664.db2.gz MWRQYGMOIDXTFW-LLVKDONJSA-N 0 1 278.381 0.595 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](NC(=O)c1[nH]ncc1F)C1CC1 ZINC001372441614 929837197 /nfs/dbraw/zinc/83/71/97/929837197.db2.gz GCRSLUZEBIVPHU-XCBNKYQSSA-N 0 1 293.302 0.333 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](C)S(C)(=O)=O ZINC001374247099 930466392 /nfs/dbraw/zinc/46/63/92/930466392.db2.gz SKMBAIVZFUFWGB-UWVGGRQHSA-N 0 1 296.820 0.609 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](O)CNCc1cc(C2CC2)no1 ZINC001374589642 930578577 /nfs/dbraw/zinc/57/85/77/930578577.db2.gz IQOPCADUFVRPAS-ONGXEEELSA-N 0 1 292.339 0.278 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCCN(CC(=O)N2CCC2)CC1 ZINC001447304948 930601427 /nfs/dbraw/zinc/60/14/27/930601427.db2.gz PAZNDSYTUVKYJJ-STQMWFEESA-N 0 1 292.383 0.349 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@]1(C)CCC(=O)NC1 ZINC001374930008 930727591 /nfs/dbraw/zinc/72/75/91/930727591.db2.gz XHJUDLQZAVZFOV-GXFFZTMASA-N 0 1 287.791 0.750 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)CCn1ccnn1)C1CC1 ZINC001375216968 930820560 /nfs/dbraw/zinc/82/05/60/930820560.db2.gz JCMHMJITRAFYTP-GFCCVEGCSA-N 0 1 297.790 0.905 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1CCCC(=O)N1 ZINC001448677582 930944726 /nfs/dbraw/zinc/94/47/26/930944726.db2.gz ZGORDSBXUXPYIM-WDEREUQCSA-N 0 1 287.791 0.844 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H](CO)NCc2cccnc2)[nH]1 ZINC001448814599 930992600 /nfs/dbraw/zinc/99/26/00/930992600.db2.gz GCSTVUNNURDAEO-ZDUSSCGKSA-N 0 1 299.334 0.162 20 30 CCEDMN C=CCN(C)CCN(CC)C(=O)Cn1cc(C(C)=O)nn1 ZINC001448872507 931012742 /nfs/dbraw/zinc/01/27/42/931012742.db2.gz KOCNGTXKJRKFNS-UHFFFAOYSA-N 0 1 293.371 0.447 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CN(CCO)CCC1(F)F ZINC001375995690 931014558 /nfs/dbraw/zinc/01/45/58/931014558.db2.gz XBQDHMAGBZENLC-VHSXEESVSA-N 0 1 275.299 0.212 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001448979933 931042179 /nfs/dbraw/zinc/04/21/79/931042179.db2.gz UXBCICSCRAHGSP-HBNTYKKESA-N 0 1 289.339 0.247 20 30 CCEDMN CCN(CC)C(=O)CN(C)CCCN(C)C(=O)[C@H](C)C#N ZINC001376907837 931323016 /nfs/dbraw/zinc/32/30/16/931323016.db2.gz BQYAFTJXFWREAY-CYBMUJFWSA-N 0 1 296.415 0.795 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1ccc(CN(C)C)nc1 ZINC001451195141 931396162 /nfs/dbraw/zinc/39/61/62/931396162.db2.gz MROPVPWWUBYCFL-CQSZACIVSA-N 0 1 273.336 0.617 20 30 CCEDMN C[N@H+]1CC[C@]2(CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C2)C1 ZINC001610950795 970803150 /nfs/dbraw/zinc/80/31/50/970803150.db2.gz UZTDBRZKBXVSIX-KBPBESRZSA-N 0 1 279.340 0.545 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@@H](C(=O)[O-])C1CC1 ZINC001602679324 971259272 /nfs/dbraw/zinc/25/92/72/971259272.db2.gz NZTHVFFMTNZHSU-NSHDSACASA-N 0 1 252.314 0.169 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(-c3nn[nH]n3)[nH]2)C1 ZINC001573282489 946693248 /nfs/dbraw/zinc/69/32/48/946693248.db2.gz JOOBJHMGTSDISD-CQSZACIVSA-N 0 1 299.338 0.022 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCC[N@@H+]1CCC(C)(C)C1 ZINC001602686214 971286684 /nfs/dbraw/zinc/28/66/84/971286684.db2.gz VOTCWAANLBTHRH-UHFFFAOYSA-N 0 1 281.356 0.448 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCC[C@H]1C(=O)[O-] ZINC001602702878 971332189 /nfs/dbraw/zinc/33/21/89/971332189.db2.gz VDSGCWGDQHKXOO-WOPDTQHZSA-N 0 1 264.325 0.454 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CCC[C@H]1C(=O)[O-] ZINC001602702878 971332192 /nfs/dbraw/zinc/33/21/92/971332192.db2.gz VDSGCWGDQHKXOO-WOPDTQHZSA-N 0 1 264.325 0.454 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CC[N@@H+](CCO[C@H](C)C#N)C1 ZINC000385754702 951284729 /nfs/dbraw/zinc/28/47/29/951284729.db2.gz SJOZQSJPFBSLOI-ZYHUDNBSSA-N 0 1 256.302 0.338 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1C[C@@H](C)C[C@H](C(=O)[O-])C1 ZINC000399049482 951628537 /nfs/dbraw/zinc/62/85/37/951628537.db2.gz FZVPLJNRNLANJW-DCAQKATOSA-N 0 1 254.330 0.720 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCC[N@@H+]1CCCC[C@@H]1CC ZINC001588408362 958107477 /nfs/dbraw/zinc/10/74/77/958107477.db2.gz JHGBVBAZIRGSQT-ZDUSSCGKSA-N 0 1 295.383 0.980 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@H]1CCC[N@H+]2CCCC[C@@H]12 ZINC001588410722 958141826 /nfs/dbraw/zinc/14/18/26/958141826.db2.gz ZFUYPNAOGLJEIR-STQMWFEESA-N 0 1 293.367 0.733 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H]1CCCC[C@H]1C(=O)OC ZINC001588423992 958211536 /nfs/dbraw/zinc/21/15/36/958211536.db2.gz IOCGTELIFFHKSY-VXGBXAGGSA-N 0 1 267.325 0.986 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H]1CCCC[C@H]1C(=O)OC ZINC001588423992 958211544 /nfs/dbraw/zinc/21/15/44/958211544.db2.gz IOCGTELIFFHKSY-VXGBXAGGSA-N 0 1 267.325 0.986 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)[C@H](C(=O)[O-])C1CC1 ZINC001588430969 958264986 /nfs/dbraw/zinc/26/49/86/958264986.db2.gz RKQACJRVZIZNCA-RYUDHWBXSA-N 0 1 265.309 0.738 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)c2ccc(C(=O)[O-])cn2)CC1 ZINC001588431412 958268778 /nfs/dbraw/zinc/26/87/78/958268778.db2.gz YGSKDYXSQJBAJA-UHFFFAOYSA-N 0 1 287.319 0.561 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)c2ccc(C(=O)[O-])cn2)CC1 ZINC001588431412 958268797 /nfs/dbraw/zinc/26/87/97/958268797.db2.gz YGSKDYXSQJBAJA-UHFFFAOYSA-N 0 1 287.319 0.561 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001588463429 958485000 /nfs/dbraw/zinc/48/50/00/958485000.db2.gz RBTDXHUZUHAAGV-LBPRGKRZSA-N 0 1 283.372 0.112 20 30 CCEDMN C=CCN(C)CC[N@@H+](CC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001588463429 958485009 /nfs/dbraw/zinc/48/50/09/958485009.db2.gz RBTDXHUZUHAAGV-LBPRGKRZSA-N 0 1 283.372 0.112 20 30 CCEDMN C=CCN(C)CC[N@H+](CC)[C@H]1CCN(CC(=O)[O-])C1=O ZINC001588463429 958485016 /nfs/dbraw/zinc/48/50/16/958485016.db2.gz RBTDXHUZUHAAGV-LBPRGKRZSA-N 0 1 283.372 0.112 20 30 CCEDMN CC(=O)NCC[N@H+](C[C@@H](C)CCC#N)[C@H](C)C(=O)[O-] ZINC001573348490 958554086 /nfs/dbraw/zinc/55/40/86/958554086.db2.gz FKCMFXYJRIJERO-WDEREUQCSA-N 0 1 269.345 0.837 20 30 CCEDMN Cc1ccc(NCCNC(=O)[C@](C)(C#N)CC(=O)[O-])[nH+]c1 ZINC001594373437 958564501 /nfs/dbraw/zinc/56/45/01/958564501.db2.gz AULWWAOQXNSBDX-AWEZNQCLSA-N 0 1 290.323 0.923 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)NCc1c[nH+]c2n1CCCC2 ZINC001588542312 958971425 /nfs/dbraw/zinc/97/14/25/958971425.db2.gz WMUZOWRXOAHPEP-CQSZACIVSA-N 0 1 290.323 0.840 20 30 CCEDMN CC(C)(C#N)CC[N@H+]1C[C@@H]2COC[C@]2(C(=O)[O-])C1 ZINC001588607652 959492070 /nfs/dbraw/zinc/49/20/70/959492070.db2.gz OVIUFCHFIVHKOI-ZWNOBZJWSA-N 0 1 252.314 0.959 20 30 CCEDMN N#CCC[C@@H](C#N)C[N@@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001574481280 961671559 /nfs/dbraw/zinc/67/15/59/961671559.db2.gz YCMMDJZQLLMIAE-GVXVVHGQSA-N 0 1 263.297 0.463 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@]2(CCN(C(=O)CNC(=O)[O-])C2)C1 ZINC001573301801 962638100 /nfs/dbraw/zinc/63/81/00/962638100.db2.gz KDJFUNRQGWXLDJ-HNNXBMFYSA-N 0 1 293.367 0.592 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)CNC(=O)[O-])[C@H]1C ZINC001573302693 962658841 /nfs/dbraw/zinc/65/88/41/962658841.db2.gz ZCHRMDCCJWTESC-MNOVXSKESA-N 0 1 267.329 0.246 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]2[C@@H]1C(C)(C)[C@H]2NC(=O)CNC(=O)[O-] ZINC001573311605 962707444 /nfs/dbraw/zinc/70/74/44/962707444.db2.gz UTRHOBWNDFGYBV-KGYLQXTDSA-N 0 1 293.367 0.492 20 30 CCEDMN C=C(C)CC[NH+]1CC(NC(=O)[C@@H]2C[C@H]2C(=O)[O-])C1 ZINC001573324557 962786872 /nfs/dbraw/zinc/78/68/72/962786872.db2.gz UXEONNGMJSTKEJ-GHMZBOCLSA-N 0 1 252.314 0.474 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2CC[C@@H](NC(=O)CNC(=O)[O-])C[C@@H]2C1 ZINC001573369031 963091287 /nfs/dbraw/zinc/09/12/87/963091287.db2.gz VOSLONLUPNXUGY-QJPTWQEYSA-N 0 1 281.356 0.657 20 30 CCEDMN C#CCC[N@@H+]1CC=C(CNC(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588447734 964089740 /nfs/dbraw/zinc/08/97/40/964089740.db2.gz OWAVBKAJZXJIRR-CHWSQXEVSA-N 0 1 276.336 0.479 20 30 CCEDMN C#CCC[N@@H+](CC)CCCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001588448212 964094319 /nfs/dbraw/zinc/09/43/19/964094319.db2.gz YHQBWDBTQORSJK-RYUDHWBXSA-N 0 1 266.341 0.559 20 30 CCEDMN C[C@H]1C[C@@H](C(=O)[O-])C[N@@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC001603367793 972872535 /nfs/dbraw/zinc/87/25/35/972872535.db2.gz JKYIOQOJSMATLV-WDEREUQCSA-N 0 1 279.340 0.591 20 30 CCEDMN N#Cc1cccc(C[N@H+]2C[C@@H](O)C[C@H](C(=O)[O-])C2)c1 ZINC000401822910 973421446 /nfs/dbraw/zinc/42/14/46/973421446.db2.gz ANOLBRQCPYEDJN-STQMWFEESA-N 0 1 260.293 0.826 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)CCSCC(=O)[O-])C1 ZINC001588475978 983510229 /nfs/dbraw/zinc/51/02/29/983510229.db2.gz KGGWBDOPVWZZTL-NSHDSACASA-N 0 1 284.381 0.408 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)CCSCC(=O)[O-])C1 ZINC001588475978 983510232 /nfs/dbraw/zinc/51/02/32/983510232.db2.gz KGGWBDOPVWZZTL-NSHDSACASA-N 0 1 284.381 0.408 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H]1COC(=O)c1cc(C(=O)[O-])nn1C ZINC001588476459 983511429 /nfs/dbraw/zinc/51/14/29/983511429.db2.gz CGVBLHOBONJIFL-SNVBAGLBSA-N 0 1 291.307 0.373 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1cc(C(=O)[O-])nn1C ZINC001588476459 983511434 /nfs/dbraw/zinc/51/14/34/983511434.db2.gz CGVBLHOBONJIFL-SNVBAGLBSA-N 0 1 291.307 0.373 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@@H+]1CCc2cc(C(=O)[O-])ccc2C1 ZINC001588479428 983519507 /nfs/dbraw/zinc/51/95/07/983519507.db2.gz NQZFOCVRSNXUIW-NSHDSACASA-N 0 1 286.331 0.881 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCc2cc(C(=O)[O-])ccc2C1 ZINC001588479428 983519508 /nfs/dbraw/zinc/51/95/08/983519508.db2.gz NQZFOCVRSNXUIW-NSHDSACASA-N 0 1 286.331 0.881 20 30 CCEDMN C#CCO[C@H](C)C(=O)O[C@@H]1C[N@@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001588490517 983532539 /nfs/dbraw/zinc/53/25/39/983532539.db2.gz OJNMOPDDDXDIDW-GBIKHYSHSA-N 0 1 269.297 0.115 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N(C)CCC[NH+]1CCN(C)CC1 ZINC001588606788 983698620 /nfs/dbraw/zinc/69/86/20/983698620.db2.gz JNYLROCNLJKTTD-UHFFFAOYSA-N 0 1 297.399 0.503 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1C[C@H](C)[N@H+](CCO)C[C@H]1C ZINC001588612298 983702554 /nfs/dbraw/zinc/70/25/54/983702554.db2.gz LSXSCNOMZBZRHP-NWDGAFQWSA-N 0 1 284.356 0.321 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[N@H+](C)[C@H](C)[C@H]1C ZINC001588615245 983711128 /nfs/dbraw/zinc/71/11/28/983711128.db2.gz OEZKQPVYXVPHJF-GHMZBOCLSA-N 0 1 254.330 0.958 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NCCCN1CCC[N@H+](C)CC1 ZINC001588624440 983732271 /nfs/dbraw/zinc/73/22/71/983732271.db2.gz IRIADXJSKJCADL-UHFFFAOYSA-N 0 1 297.399 0.551 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NCCC[N@H+]1CCCN(C)CC1 ZINC001588624440 983732277 /nfs/dbraw/zinc/73/22/77/983732277.db2.gz IRIADXJSKJCADL-UHFFFAOYSA-N 0 1 297.399 0.551 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NCCC[N@@H+]1CCCN(C)CC1 ZINC001588624440 983732280 /nfs/dbraw/zinc/73/22/80/983732280.db2.gz IRIADXJSKJCADL-UHFFFAOYSA-N 0 1 297.399 0.551 20 30 CCEDMN C=C[C@H]([NH2+][C@H]1Cc2ccccc2N(C)C1=O)C(=O)[O-] ZINC001588696410 983903115 /nfs/dbraw/zinc/90/31/15/983903115.db2.gz NOYKNAGUOBGVIU-QWRGUYRKSA-N 0 1 260.293 0.803 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(N)=O ZINC001588728872 983984979 /nfs/dbraw/zinc/98/49/79/983984979.db2.gz MDXURJMLNDYKJJ-LNFKQOIKSA-N 0 1 252.314 0.602 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@H](CS(C)(=O)=O)C1 ZINC001588729655 983991929 /nfs/dbraw/zinc/99/19/29/983991929.db2.gz MJNHHAMSBMZJJW-WDEREUQCSA-N 0 1 275.370 0.772 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@H]1C[N@@H+]2CCN1C[C@@H]2C)C(=O)[O-] ZINC001588789959 984203032 /nfs/dbraw/zinc/20/30/32/984203032.db2.gz NVZCOBLZMSXCLA-RWMBFGLXSA-N 0 1 295.383 0.300 20 30 CCEDMN C=CC[N@H+](CCC(=O)NCC(=O)[O-])[C@H](C)COC ZINC001588826589 984305240 /nfs/dbraw/zinc/30/52/40/984305240.db2.gz LMHIGTUZXQHSGD-SNVBAGLBSA-N 0 1 258.318 0.100 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2C[C@@H]3CCC[C@]3(C(=O)[O-])C2)C1=O ZINC001588839437 984347112 /nfs/dbraw/zinc/34/71/12/984347112.db2.gz RJCNHOVHWFYOHJ-ZOWXZIJZSA-N 0 1 278.352 0.960 20 30 CCEDMN C=CCOC[C@H](NC(=O)CC[N@H+]1CC[C@@H](F)C1)C(=O)[O-] ZINC001588854013 984388168 /nfs/dbraw/zinc/38/81/68/984388168.db2.gz NXYOJEBFTKQWPG-MNOVXSKESA-N 0 1 288.319 0.192 20 30 CCEDMN CC#CCC[N@H+]1C[C@H](C(=O)OC)CC[C@@H]1C(=O)[O-] ZINC001588926874 984594435 /nfs/dbraw/zinc/59/44/35/984594435.db2.gz BCYNLQQHFSCCIE-GHMZBOCLSA-N 0 1 253.298 0.738 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1cc(C#N)c[nH]1 ZINC001594519795 985967366 /nfs/dbraw/zinc/96/73/66/985967366.db2.gz FHJHSWGELMJMBI-JTQLQIEISA-N 0 1 290.323 0.507 20 30 CCEDMN C[N@H+](CC(=O)NCCC(=O)[O-])Cc1ccc(C#N)cc1 ZINC001598391576 991632201 /nfs/dbraw/zinc/63/22/01/991632201.db2.gz ODTCQKZXSVLKDP-UHFFFAOYSA-N 0 1 275.308 0.581 20 30 CCEDMN C[N@@H+](CC(=O)NCCC(=O)[O-])Cc1ccc(C#N)cc1 ZINC001598391576 991632203 /nfs/dbraw/zinc/63/22/03/991632203.db2.gz ODTCQKZXSVLKDP-UHFFFAOYSA-N 0 1 275.308 0.581 20 30 CCEDMN C[N@@H+](CCNC(=O)c1cccc(F)c1C#N)CC(=O)[O-] ZINC001598492667 992320368 /nfs/dbraw/zinc/32/03/68/992320368.db2.gz JLAMSTGAKAHDFV-UHFFFAOYSA-N 0 1 279.271 0.444 20 30 CCEDMN C[N@@H+](CCNC(=O)c1cscc1C#N)CC(=O)[O-] ZINC001598495022 992347151 /nfs/dbraw/zinc/34/71/51/992347151.db2.gz FIEHTOGKOQOBDU-UHFFFAOYSA-N 0 1 267.310 0.366 20 30 CCEDMN C[N@H+]1CCN(c2ccnc(C#N)c2)C[C@@H](C(=O)[O-])C1 ZINC001598622929 994037463 /nfs/dbraw/zinc/03/74/63/994037463.db2.gz LHXMJUOOMDEDDB-JTQLQIEISA-N 0 1 260.297 0.406 20 30 CCEDMN C[C@](O)(C[N@@H+]1CCO[C@H](c2ccc(C#N)cc2)C1)C(=O)[O-] ZINC001593396214 995138475 /nfs/dbraw/zinc/13/84/75/995138475.db2.gz IYENNQTYCKCRPJ-ZFWWWQNUSA-N 0 1 290.319 0.767 20 30 CCEDMN C[C@](O)(C[N@H+]1CCO[C@H](c2ccc(C#N)cc2)C1)C(=O)[O-] ZINC001593396214 995138478 /nfs/dbraw/zinc/13/84/78/995138478.db2.gz IYENNQTYCKCRPJ-ZFWWWQNUSA-N 0 1 290.319 0.767 20 30 CCEDMN C[C@@](O)(C[N@@H+]1CCO[C@H](c2ccc(C#N)cc2)C1)C(=O)[O-] ZINC001593396209 995138528 /nfs/dbraw/zinc/13/85/28/995138528.db2.gz IYENNQTYCKCRPJ-DZGCQCFKSA-N 0 1 290.319 0.767 20 30 CCEDMN C[C@@](O)(C[N@H+]1CCO[C@H](c2ccc(C#N)cc2)C1)C(=O)[O-] ZINC001593396209 995138531 /nfs/dbraw/zinc/13/85/31/995138531.db2.gz IYENNQTYCKCRPJ-DZGCQCFKSA-N 0 1 290.319 0.767 20 30 CCEDMN CO[C@H]1CC[N@@H+](CCOCCC#N)[C@H](C(=O)[O-])C1 ZINC001599123136 997779540 /nfs/dbraw/zinc/77/95/40/997779540.db2.gz HNUWBLOTDQARHI-QWRGUYRKSA-N 0 1 256.302 0.481 20 30 CCEDMN COCC#CCN1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC001599137720 998071116 /nfs/dbraw/zinc/07/11/16/998071116.db2.gz AQVPPBHMYFOHNR-LBPRGKRZSA-N 0 1 254.330 0.117 20 30 CCEDMN N#CCc1ccc(NC(=O)NCCN2CC[C@@H](O)C2)cc1 ZINC000599706116 361793788 /nfs/dbraw/zinc/79/37/88/361793788.db2.gz CSWVWJCMTIWYEN-CQSZACIVSA-N 0 1 288.351 0.941 20 30 CCEDMN C[C@@H]1CCN(CCNS(=O)(=O)c2cccnc2C#N)C1 ZINC000617473404 365647750 /nfs/dbraw/zinc/64/77/50/365647750.db2.gz LAUYTOVPEVIBIX-LLVKDONJSA-N 0 1 294.380 0.573 20 30 CCEDMN C#CC[N@H+](C)CCCN[C@H](c1nnc[n-]1)c1cccnc1 ZINC000294971151 199277078 /nfs/dbraw/zinc/27/70/78/199277078.db2.gz JYBYCKLYRZMMRN-AWEZNQCLSA-N 0 1 284.367 0.834 20 30 CCEDMN C#CC[N@@H+](C)CCCN[C@H](c1nnc[n-]1)c1cccnc1 ZINC000294971151 199277080 /nfs/dbraw/zinc/27/70/80/199277080.db2.gz JYBYCKLYRZMMRN-AWEZNQCLSA-N 0 1 284.367 0.834 20 30 CCEDMN N#CCCCCCC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000600234879 361898576 /nfs/dbraw/zinc/89/85/76/361898576.db2.gz TXSPUPORJFXFTQ-ZDUSSCGKSA-N 0 1 294.399 0.916 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CCN(C3CC3)C2)nc1 ZINC000104225894 349712803 /nfs/dbraw/zinc/71/28/03/349712803.db2.gz SLRPIPRHELWUTH-NSHDSACASA-N 0 1 256.309 0.920 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)C(=O)N(C)C[C@@H](C)C#N ZINC000600799314 362062044 /nfs/dbraw/zinc/06/20/44/362062044.db2.gz ZETWRFAAUOKKDL-NWDGAFQWSA-N 0 1 280.372 0.061 20 30 CCEDMN N#Cc1cccc(F)c1CC(=O)NCCN1CC[C@H](O)C1 ZINC000600808778 362065874 /nfs/dbraw/zinc/06/58/74/362065874.db2.gz QMDRYCGEFYUJSS-LBPRGKRZSA-N 0 1 291.326 0.423 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ncc3n2CCCC3)CC1 ZINC000601042852 362140292 /nfs/dbraw/zinc/14/02/92/362140292.db2.gz LMFPEDNUYYXESK-UHFFFAOYSA-N 0 1 287.367 0.937 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2ccccc2CC#N)C1 ZINC000601151181 362160463 /nfs/dbraw/zinc/16/04/63/362160463.db2.gz RAAOTLZXGUKLJO-AWEZNQCLSA-N 0 1 286.379 0.728 20 30 CCEDMN N#Cc1ccc(CN[C@@H]2COCC[C@H]2O)c(F)c1 ZINC000601159684 362162433 /nfs/dbraw/zinc/16/24/33/362162433.db2.gz NWTNTOAVDWBICT-CHWSQXEVSA-N 0 1 250.273 0.937 20 30 CCEDMN CN1CC[C@@H]2CN(S(=O)(=O)CCCC#N)C[C@@H]21 ZINC000331476765 529798002 /nfs/dbraw/zinc/79/80/02/529798002.db2.gz WXTHXMSVIJUFOQ-MNOVXSKESA-N 0 1 257.359 0.256 20 30 CCEDMN CN(CC(=O)N(CC#N)C1CC1)[C@H]1CCSC1 ZINC000347086998 529825765 /nfs/dbraw/zinc/82/57/65/529825765.db2.gz VSHVYXJKEGFJPV-NSHDSACASA-N 0 1 253.371 0.938 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)[C@H]1CCC[C@H]1O ZINC000352658108 529837214 /nfs/dbraw/zinc/83/72/14/529837214.db2.gz CYXPKSZXLPUDII-OUCADQQQSA-N 0 1 265.357 0.640 20 30 CCEDMN CC(=O)N[C@H]1C(C)=NN(Cc2cccc(C#N)c2)C1=O ZINC000601944644 362431761 /nfs/dbraw/zinc/43/17/61/362431761.db2.gz NPGJVMLWUQWVAU-ZDUSSCGKSA-N 0 1 270.292 0.781 20 30 CCEDMN N#Cc1c(F)cccc1CNC[C@@]1(O)CCOC1 ZINC000602016722 362457488 /nfs/dbraw/zinc/45/74/88/362457488.db2.gz YBIIYWBCWPTDDX-ZDUSSCGKSA-N 0 1 250.273 0.938 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@H]2CCN2C2CCCC2)CCO1 ZINC000602099721 362486966 /nfs/dbraw/zinc/48/69/66/362486966.db2.gz YFSHFYJPZQPGRZ-CHWSQXEVSA-N 0 1 263.341 0.754 20 30 CCEDMN C[C@H](C#N)CNC(=O)C(C)(C)CN1CCOCC1 ZINC000602099516 362487146 /nfs/dbraw/zinc/48/71/46/362487146.db2.gz XIVHYXUARKHHJS-LLVKDONJSA-N 0 1 253.346 0.621 20 30 CCEDMN CN1CCC(NS(=O)(=O)CC2(CC#N)CC2)CC1 ZINC000329586645 530050503 /nfs/dbraw/zinc/05/05/03/530050503.db2.gz MOSKBZZQHNCESN-UHFFFAOYSA-N 0 1 271.386 0.694 20 30 CCEDMN CN1CC[N@@H+](C)C[C@@H]1CNC(=O)N=c1cn[n-]s1 ZINC000330204984 530070223 /nfs/dbraw/zinc/07/02/23/530070223.db2.gz CBCQZWJNGYNIBN-QMMMGPOBSA-N 0 1 270.362 0.110 20 30 CCEDMN N#CCC1(CNC(=O)NCCN2CC[C@H](O)C2)CC1 ZINC000602307991 362582016 /nfs/dbraw/zinc/58/20/16/362582016.db2.gz WRRVQNLQHVNBCP-NSHDSACASA-N 0 1 266.345 0.046 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2C[C@@H](C)O[C@H]2C)[nH]n1C ZINC000602347553 362594026 /nfs/dbraw/zinc/59/40/26/362594026.db2.gz HZGIDGCMVGCDFI-FKTZTGRPSA-N 0 1 262.313 0.774 20 30 CCEDMN N#CC1(CNC(=O)NCCN2CC[C@@H](O)C2)CCCC1 ZINC000602335693 362590643 /nfs/dbraw/zinc/59/06/43/362590643.db2.gz MBEPSNPNOTUFCI-GFCCVEGCSA-N 0 1 280.372 0.436 20 30 CCEDMN CN(C(=O)C[C@@H]1COCCN1)[C@@H]1CCC[C@@H]1C#N ZINC000602603422 362707214 /nfs/dbraw/zinc/70/72/14/362707214.db2.gz RWEGPHZQKMKEMP-IJLUTSLNSA-N 0 1 251.330 0.516 20 30 CCEDMN Cc1nc(CN2CCN(CC3(CC#N)CC3)CC2)n[nH]1 ZINC000602803074 362809556 /nfs/dbraw/zinc/80/95/56/362809556.db2.gz ZHDUSLHFBAKPPL-UHFFFAOYSA-N 0 1 274.372 0.925 20 30 CCEDMN C[C@H]1CN(CCOCCC#N)C[C@H](C)N1CC#N ZINC000602847423 362834782 /nfs/dbraw/zinc/83/47/82/362834782.db2.gz BFPHFDGWJKBMNN-STQMWFEESA-N 0 1 250.346 0.835 20 30 CCEDMN C[C@@H]1CN(CCc2cnccn2)C[C@H](C)N1CC#N ZINC000602848977 362836087 /nfs/dbraw/zinc/83/60/87/362836087.db2.gz IZVDFJDZPVCKHW-BETUJISGSA-N 0 1 259.357 0.937 20 30 CCEDMN C[C@@H]1CN(CCN2CCO[C@@H](C)C2)C[C@H](C)N1CC#N ZINC000602855730 362841744 /nfs/dbraw/zinc/84/17/44/362841744.db2.gz WICAQCPTJPFEDF-ZNMIVQPWSA-N 0 1 280.416 0.625 20 30 CCEDMN N#Cc1cccc(CN2CCN(Cc3nnc[nH]3)CC2)c1 ZINC000602863943 362849860 /nfs/dbraw/zinc/84/98/60/362849860.db2.gz JDHFQPNUAHKRNR-UHFFFAOYSA-N 0 1 282.351 0.994 20 30 CCEDMN N#Cc1ccc(NC(=O)C(=O)N2CCNCC2)cc1Cl ZINC000037393526 348187257 /nfs/dbraw/zinc/18/72/57/348187257.db2.gz KHMOUPMXBWMHBO-UHFFFAOYSA-N 0 1 292.726 0.582 20 30 CCEDMN Cn1cc(CNCc2cccc(C#N)c2)c(=O)n(C)c1=O ZINC000037748774 348201015 /nfs/dbraw/zinc/20/10/15/348201015.db2.gz FIOQWOOSFZKBSL-UHFFFAOYSA-N 0 1 284.319 0.245 20 30 CCEDMN C[C@H]1SCC[C@@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602889673 362867302 /nfs/dbraw/zinc/86/73/02/362867302.db2.gz ZDNUXNYHPSWCEJ-APPZFPTMSA-N 0 1 265.342 0.729 20 30 CCEDMN CO[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H]1C ZINC000602880836 362860554 /nfs/dbraw/zinc/86/05/54/362860554.db2.gz MVWOGEGGRXQBRW-MWLCHTKSSA-N 0 1 277.328 0.602 20 30 CCEDMN COc1cc(C#N)ccc1CN1C[C@@H](O)C[C@]1(C)CO ZINC000602901519 362875065 /nfs/dbraw/zinc/87/50/65/362875065.db2.gz ZHECVJSMTQWBHP-DZGCQCFKSA-N 0 1 276.336 0.884 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](C)O[C@@H](C)C2)CC1 ZINC000045943949 348346243 /nfs/dbraw/zinc/34/62/43/348346243.db2.gz CWUCFMZAYPWTOV-STQMWFEESA-N 0 1 264.369 0.967 20 30 CCEDMN CN(CCCC(=O)NC(N)=O)[C@H]1CCC[C@H]1C#N ZINC000602977125 362918637 /nfs/dbraw/zinc/91/86/37/362918637.db2.gz YFZXQFZIGQNPAM-UWVGGRQHSA-N 0 1 252.318 0.586 20 30 CCEDMN CN1CC[C@H](NS(=O)(=O)Cc2ccc(C#N)cc2)C1 ZINC000048742681 348424703 /nfs/dbraw/zinc/42/47/03/348424703.db2.gz WZXZPYSCRXGASB-ZDUSSCGKSA-N 0 1 279.365 0.682 20 30 CCEDMN CC(=O)NC1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000050991795 348482485 /nfs/dbraw/zinc/48/24/85/348482485.db2.gz PKVRCBQAOVQASE-NSHDSACASA-N 0 1 280.372 0.347 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCCOC2)CC1 ZINC000062235301 348666498 /nfs/dbraw/zinc/66/64/98/348666498.db2.gz PFZCRCXYIBKGIF-NSHDSACASA-N 0 1 251.330 0.517 20 30 CCEDMN C#CCNC(=O)CCNCc1nc2ccccc2n1C ZINC000066991149 348764421 /nfs/dbraw/zinc/76/44/21/348764421.db2.gz MRAGDVQBPBQRJR-UHFFFAOYSA-N 0 1 270.336 0.802 20 30 CCEDMN CC(C)(O)CN1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000071380681 348908878 /nfs/dbraw/zinc/90/88/78/348908878.db2.gz LCJXCLKTQYOUNC-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN CN1CC[C@H]2OCCN(C(=O)CSCC#N)[C@H]2C1 ZINC000332699914 290235314 /nfs/dbraw/zinc/23/53/14/290235314.db2.gz VARGEQYGFZSGGU-WDEREUQCSA-N 0 1 269.370 0.175 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCCCC)CC1 ZINC000077281210 349105353 /nfs/dbraw/zinc/10/53/53/349105353.db2.gz CZESMOQMONRWLR-UHFFFAOYSA-N 0 1 265.401 0.934 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)CN(C)CCC#N)C1 ZINC000078646136 349148616 /nfs/dbraw/zinc/14/86/16/349148616.db2.gz VVDVFILPCXVEMX-AWEZNQCLSA-N 0 1 296.415 0.305 20 30 CCEDMN COC(=O)CCN1CCN(c2ccc(C#N)nn2)[C@H](C)C1 ZINC000089265842 349380528 /nfs/dbraw/zinc/38/05/28/349380528.db2.gz DUDMPEZEUGJPAD-LLVKDONJSA-N 0 1 289.339 0.422 20 30 CCEDMN CN1CC[N@@H+](C)C[C@H]1CNCc1ccc(C#N)s1 ZINC000135691624 350406319 /nfs/dbraw/zinc/40/63/19/350406319.db2.gz JHYQDBOMYKSLLS-LLVKDONJSA-N 0 1 264.398 0.955 20 30 CCEDMN CC(C)(C#N)CCN1CCC[C@H](NS(C)(=O)=O)C1 ZINC000157671539 350648180 /nfs/dbraw/zinc/64/81/80/350648180.db2.gz ZDTFNPJMDMSFTN-NSHDSACASA-N 0 1 273.402 0.940 20 30 CCEDMN N#CC1(NC(=O)CCNC(=O)c2n[nH]c3ccccc32)CC1 ZINC000160714816 350705140 /nfs/dbraw/zinc/70/51/40/350705140.db2.gz GXDGFTOPIFMWKX-UHFFFAOYSA-N 0 1 297.318 0.855 20 30 CCEDMN CCOC(=O)CC[C@@H]1CCCN(CC(=O)NCC#N)C1 ZINC000162139161 350737624 /nfs/dbraw/zinc/73/76/24/350737624.db2.gz JIAWCPYXQGSCRB-LBPRGKRZSA-N 0 1 281.356 0.681 20 30 CCEDMN CN(CCN1CCCC1)S(=O)(=O)c1ccc(C#N)nc1 ZINC000169099568 350836313 /nfs/dbraw/zinc/83/63/13/350836313.db2.gz ONENGIWDXORHSA-UHFFFAOYSA-N 0 1 294.380 0.670 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)CCC(=O)NC ZINC000170782321 350860560 /nfs/dbraw/zinc/86/05/60/350860560.db2.gz NNMDPPZCIRKOAJ-LBPRGKRZSA-N 0 1 267.373 0.643 20 30 CCEDMN CN[C@@H](C)c1cn(CC(=O)N[C@@](C)(C#N)C2CC2)nn1 ZINC000603183700 362988801 /nfs/dbraw/zinc/98/88/01/362988801.db2.gz SLSJPOGCYFXMMP-ZANVPECISA-N 0 1 276.344 0.367 20 30 CCEDMN COc1cc(C#N)ccc1OCCNC1(C(N)=O)CC1 ZINC000181729524 351334383 /nfs/dbraw/zinc/33/43/83/351334383.db2.gz SHBIKPJLDCSQFT-UHFFFAOYSA-N 0 1 275.308 0.553 20 30 CCEDMN CNC(=O)CCN(C)Cc1cnc2ccc(C#N)cn12 ZINC000179409348 351266206 /nfs/dbraw/zinc/26/62/06/351266206.db2.gz OGCJIRXDYIDZJF-UHFFFAOYSA-N 0 1 271.324 0.774 20 30 CCEDMN N#Cc1ccc(CC(=O)NCCN2CCCOCC2)cc1 ZINC000189456684 351681702 /nfs/dbraw/zinc/68/17/02/351681702.db2.gz SEFMUFBQAIMZNL-UHFFFAOYSA-N 0 1 287.363 0.939 20 30 CCEDMN CC(C)N(CCN(C)C)S(=O)(=O)c1ccc(C#N)nc1 ZINC000123420452 187091292 /nfs/dbraw/zinc/09/12/92/187091292.db2.gz SZJUMDDWKVLJID-UHFFFAOYSA-N 0 1 296.396 0.914 20 30 CCEDMN COc1cc(CN2CCN(CCO)CC2)ccc1C#N ZINC000234983718 352179239 /nfs/dbraw/zinc/17/92/39/352179239.db2.gz UGVYSDFPQWQMOF-UHFFFAOYSA-N 0 1 275.352 0.677 20 30 CCEDMN COc1cc(CN[C@H]2CCS(=O)(=O)C2)ccc1C#N ZINC000234956500 352179484 /nfs/dbraw/zinc/17/94/84/352179484.db2.gz BUYPZNBZPFHCIA-LBPRGKRZSA-N 0 1 280.349 0.844 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCCO[C@@H](C)C1 ZINC000245407007 352319952 /nfs/dbraw/zinc/31/99/52/352319952.db2.gz ZFZBUYHPLHBBJF-NWDGAFQWSA-N 0 1 253.346 0.858 20 30 CCEDMN CN1CCC[C@@H](NC(=O)C(=O)Nc2cccc(C#N)c2)C1 ZINC000604227460 363182932 /nfs/dbraw/zinc/18/29/32/363182932.db2.gz FFBDTCSHMLOKMU-CYBMUJFWSA-N 0 1 286.335 0.707 20 30 CCEDMN CN1CCO[C@@H]2CCN(c3nccnc3C#N)C[C@@H]21 ZINC000253394581 352532099 /nfs/dbraw/zinc/53/20/99/352532099.db2.gz MYXFLKHNQBCOLW-NWDGAFQWSA-N 0 1 259.313 0.258 20 30 CCEDMN C=C(Cl)CNC(=O)NC[C@H]1CN(C)CCN1C ZINC000265494700 352642301 /nfs/dbraw/zinc/64/23/01/352642301.db2.gz XMBZZBKQHCFDGO-JTQLQIEISA-N 0 1 260.769 0.284 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](N3CC[C@@H](CO)C3)C2=O)cc1 ZINC000266951626 352735740 /nfs/dbraw/zinc/73/57/40/352735740.db2.gz UIUBORRETIXDQO-UKRRQHHQSA-N 0 1 285.347 0.978 20 30 CCEDMN C#CCNC(=O)c1nc(C)n(-c2nnc(C(F)(F)F)[nH]2)n1 ZINC000267058838 352741667 /nfs/dbraw/zinc/74/16/67/352741667.db2.gz OYQVYLQSOJGSRV-UHFFFAOYSA-N 0 1 299.216 0.076 20 30 CCEDMN CN(CCO)[C@@H]1CCCN(c2ccc(C#N)cc2)C1=O ZINC000272354597 353055898 /nfs/dbraw/zinc/05/58/98/353055898.db2.gz RDHCYCJDXFRHFS-CQSZACIVSA-N 0 1 273.336 0.978 20 30 CCEDMN CN1CCN(C)[C@H](CNc2nc3ccccn3c2C#N)C1 ZINC000272912804 353105588 /nfs/dbraw/zinc/10/55/88/353105588.db2.gz OODHNEVQOHKFFB-GFCCVEGCSA-N 0 1 284.367 0.864 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)cn2)[C@@H](C)CN1CCO ZINC000418997292 234281919 /nfs/dbraw/zinc/28/19/19/234281919.db2.gz LDHJRKABHNDXGV-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN CC#CC(=O)NCCN1CCN(Cc2ccccc2)CC1 ZINC000277405381 353241795 /nfs/dbraw/zinc/24/17/95/353241795.db2.gz LHVNYQHNRPCUQL-UHFFFAOYSA-N 0 1 285.391 0.944 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@@H](c2cnn(C)c2)[C@H](CO)C1 ZINC000277821211 353267570 /nfs/dbraw/zinc/26/75/70/353267570.db2.gz QXADNKLITNUTFJ-XBFCOCLRSA-N 0 1 292.383 0.119 20 30 CCEDMN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1ccc(C#N)c(F)c1 ZINC000290505108 353731456 /nfs/dbraw/zinc/73/14/56/353731456.db2.gz AHYHCYQQZNBBCB-QMMMGPOBSA-N 0 1 299.327 0.916 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](CC(C)(C)C(N)=O)C1 ZINC000295721834 353914347 /nfs/dbraw/zinc/91/43/47/353914347.db2.gz VIXUVTCRUAAXTB-QWHCGFSZSA-N 0 1 293.411 0.738 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)c1ncnc2[nH]cnc21 ZINC000301102244 354069586 /nfs/dbraw/zinc/06/95/86/354069586.db2.gz QSFKINBCAKJHCP-UHFFFAOYSA-N 0 1 297.326 0.599 20 30 CCEDMN CCc1nc(C#N)cc(NCCc2n[nH]c(C)n2)n1 ZINC000308271523 354183549 /nfs/dbraw/zinc/18/35/49/354183549.db2.gz AJJVUTIQAHRLDO-UHFFFAOYSA-N 0 1 257.301 0.992 20 30 CCEDMN CCc1nc(C#N)cc(NCCc2nnc(C)[nH]2)n1 ZINC000308271523 354183553 /nfs/dbraw/zinc/18/35/53/354183553.db2.gz AJJVUTIQAHRLDO-UHFFFAOYSA-N 0 1 257.301 0.992 20 30 CCEDMN Cc1ccc(NC[C@H]2CN(C)CCN2C)nc1C#N ZINC000329824379 354261609 /nfs/dbraw/zinc/26/16/09/354261609.db2.gz BLRQKYXZRDARIG-LBPRGKRZSA-N 0 1 259.357 0.341 20 30 CCEDMN N#Cc1cc(F)c(C(=O)NCCc2nc[nH]n2)c(F)c1 ZINC000336835008 355207152 /nfs/dbraw/zinc/20/71/52/355207152.db2.gz SYWYTIBYCPAMDK-UHFFFAOYSA-N 0 1 277.234 0.927 20 30 CCEDMN C[C@H](O)CCN1CCN(c2cc(C#N)ccn2)CC1 ZINC000336804795 355180803 /nfs/dbraw/zinc/18/08/03/355180803.db2.gz LCIPOQFVDZHNIW-LBPRGKRZSA-N 0 1 260.341 0.846 20 30 CCEDMN CC(C)CN1CCN(C(=O)[C@H](C)n2cnc(C#N)n2)CC1 ZINC000336886547 355220090 /nfs/dbraw/zinc/22/00/90/355220090.db2.gz KAHJGSAFQIVFEP-LBPRGKRZSA-N 0 1 290.371 0.511 20 30 CCEDMN CN(CCC(=O)Nc1ccccc1C#N)[C@@H]1CCNC1=O ZINC000340223103 355529512 /nfs/dbraw/zinc/52/95/12/355529512.db2.gz SSIXPUHZDGPXAM-CYBMUJFWSA-N 0 1 286.335 0.707 20 30 CCEDMN C[C@@H]1[C@@H](NS(=O)(=O)N(C)CCC#N)CCCN1C ZINC000343976692 355780875 /nfs/dbraw/zinc/78/08/75/355780875.db2.gz JMPFDVRHFKLUHL-MNOVXSKESA-N 0 1 274.390 0.149 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)NCC(=O)NCC#N ZINC000344170814 355787360 /nfs/dbraw/zinc/78/73/60/355787360.db2.gz HKHKTKXOVGVXPJ-UHFFFAOYSA-N 0 1 263.301 0.211 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](CO)C[C@H]1C ZINC000344185311 355788962 /nfs/dbraw/zinc/78/89/62/355788962.db2.gz VEOJVTVLMNHVEQ-MNOVXSKESA-N 0 1 269.345 0.091 20 30 CCEDMN N#Cc1ncn(CCNCc2ccc(C#N)cc2F)n1 ZINC000353020780 356139629 /nfs/dbraw/zinc/13/96/29/356139629.db2.gz QWPXAFYICDOKJR-UHFFFAOYSA-N 0 1 270.271 0.950 20 30 CCEDMN CC(=O)N1CCC[C@H]1C(=O)N=c1[nH]n(C(C)C)cc1C#N ZINC000361913227 138037918 /nfs/dbraw/zinc/03/79/18/138037918.db2.gz IEZWPEBWSMCXPM-LBPRGKRZSA-N 0 1 289.339 0.707 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NC1(c2nn[nH]n2)CC1 ZINC000560411104 291100187 /nfs/dbraw/zinc/10/01/87/291100187.db2.gz ZDXAVXTYVHLXRU-UHFFFAOYSA-N 0 1 269.268 0.194 20 30 CCEDMN N#Cc1cccc(N2CCN(C(=O)c3cnc[nH]3)CC2)n1 ZINC000354903076 356525475 /nfs/dbraw/zinc/52/54/75/356525475.db2.gz UGXCJFUUYHIXEN-UHFFFAOYSA-N 0 1 282.307 0.639 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@@H](CCO)C1 ZINC000609204278 363478045 /nfs/dbraw/zinc/47/80/45/363478045.db2.gz ZOVJJPDWMJCSJZ-NEPJUHHUSA-N 0 1 283.372 0.481 20 30 CCEDMN N#Cc1ccc([C@H](O)CN2CCN3CCOC[C@H]3C2)cc1 ZINC000368326007 283188609 /nfs/dbraw/zinc/18/86/09/283188609.db2.gz DGGUHTZGAYONSK-HZPDHXFCSA-N 0 1 287.363 0.608 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000609919804 363528117 /nfs/dbraw/zinc/52/81/17/363528117.db2.gz QHUZSFGLSNYIHF-CHWSQXEVSA-N 0 1 265.357 0.859 20 30 CCEDMN N#Cc1cc([N+](=O)[O-])cnc1Nc1ccn(CCO)n1 ZINC000610277290 363547781 /nfs/dbraw/zinc/54/77/81/363547781.db2.gz NVBQWJBPDJKQCI-UHFFFAOYSA-N 0 1 274.240 0.216 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)NCc1cc(C)[nH]n1 ZINC000619710286 366330288 /nfs/dbraw/zinc/33/02/88/366330288.db2.gz DFHHVHVUMRGPPW-UHFFFAOYSA-N 0 1 271.346 0.288 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N[C@H]1CCCN(C)C1 ZINC000619749374 366347625 /nfs/dbraw/zinc/34/76/25/366347625.db2.gz ZYYCNNOVZJOWCJ-MNOVXSKESA-N 0 1 274.390 0.149 20 30 CCEDMN Cn1cc(CNC[C@@H]2CCCCS2(=O)=O)cc1C#N ZINC000359670729 356867106 /nfs/dbraw/zinc/86/71/06/356867106.db2.gz FDXXYSQZGABENQ-ZDUSSCGKSA-N 0 1 281.381 0.954 20 30 CCEDMN N#Cc1ccccc1OCC(=O)N1CCc2[nH]nnc2C1 ZINC000359742863 356882550 /nfs/dbraw/zinc/88/25/50/356882550.db2.gz LFRITZACZISALJ-UHFFFAOYSA-N 0 1 283.291 0.640 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCN(CC(F)F)CC2)[nH]1 ZINC000610528111 363572859 /nfs/dbraw/zinc/57/28/59/363572859.db2.gz OJEHTSBRRDSQFA-UHFFFAOYSA-N 0 1 298.297 0.128 20 30 CCEDMN CO[C@H](C)CCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610561111 363576409 /nfs/dbraw/zinc/57/64/09/363576409.db2.gz PFAWIJONYZQMMQ-MRVPVSSYSA-N 0 1 251.290 0.260 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)C[N@H+]2C[C@H](O)C3(CC3)C2)cc1 ZINC000366629824 357227522 /nfs/dbraw/zinc/22/75/22/357227522.db2.gz KEBGQEPFCMJHPR-ZFWWWQNUSA-N 0 1 288.347 0.755 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC2(CO)CCCC2)[nH]1 ZINC000610584878 363581530 /nfs/dbraw/zinc/58/15/30/363581530.db2.gz DLUTYIQEEGDQRN-UHFFFAOYSA-N 0 1 263.301 0.140 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN([C@@H]3CCC[C@H]3O)CC2)c[nH]1 ZINC000376161308 357439777 /nfs/dbraw/zinc/43/97/77/357439777.db2.gz HLZPWLIAQNWPKG-ZIAGYGMSSA-N 0 1 288.351 0.558 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H]1COC ZINC000610605265 363585890 /nfs/dbraw/zinc/58/58/90/363585890.db2.gz UFLQDJRIFDSRHZ-LBPRGKRZSA-N 0 1 253.346 0.859 20 30 CCEDMN CC[C@@H](C#N)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000394797207 357758825 /nfs/dbraw/zinc/75/88/25/357758825.db2.gz LXBLXGOXMHWKHO-RYUDHWBXSA-N 0 1 250.346 0.042 20 30 CCEDMN CNS(=O)(=O)NC1CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC000407991954 357855672 /nfs/dbraw/zinc/85/56/72/357855672.db2.gz AJKSTSFYYKTSHB-CMPLNLGQSA-N 0 1 286.401 0.197 20 30 CCEDMN C[C@H]1CN(CCO)CCN1c1ccc([N+](=O)[O-])c(C#N)c1 ZINC000450955059 236125804 /nfs/dbraw/zinc/12/58/04/236125804.db2.gz PBKONJMODHUEHD-NSHDSACASA-N 0 1 290.323 0.969 20 30 CCEDMN C[C@H](CO[C@@H]1CCOC1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610655675 363591592 /nfs/dbraw/zinc/59/15/92/363591592.db2.gz GSDFSGOPRIGHMP-MWLCHTKSSA-N 0 1 293.327 0.029 20 30 CCEDMN N#Cc1nccnc1N1CCC[C@H](c2nc[nH]n2)C1 ZINC000408344602 358043602 /nfs/dbraw/zinc/04/36/02/358043602.db2.gz YVOXDQGYPNMWEP-VIFPVBQESA-N 0 1 255.285 0.850 20 30 CCEDMN C#CCN1CCN(C(=O)CN(CC)CC(=C)C)CC1 ZINC000490780641 358291540 /nfs/dbraw/zinc/29/15/40/358291540.db2.gz NEZLFWGIWSRKOE-UHFFFAOYSA-N 0 1 263.385 0.662 20 30 CCEDMN CNC(=O)NC(=O)CN[C@@H](C)c1ccc(C#N)cc1 ZINC000271652155 283357677 /nfs/dbraw/zinc/35/76/77/283357677.db2.gz JFZWOAVOLPTNMQ-VIFPVBQESA-N 0 1 260.297 0.664 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCC[C@]1(C)CO ZINC000544760984 358549943 /nfs/dbraw/zinc/54/99/43/358549943.db2.gz AJDAQMNKAJGXID-KGLIPLIRSA-N 0 1 267.373 0.888 20 30 CCEDMN N#Cc1csc(CNC[C@H](CO)[C@@H]2CCOC2)n1 ZINC000570011735 358813926 /nfs/dbraw/zinc/81/39/26/358813926.db2.gz LSVJRHARYYRYBM-NXEZZACHSA-N 0 1 267.354 0.749 20 30 CCEDMN C[C@H]1CN(c2ccc(C#N)c(N)n2)CCN1CCO ZINC000569623944 358781280 /nfs/dbraw/zinc/78/12/80/358781280.db2.gz UFDJZHVZWFPCSZ-JTQLQIEISA-N 0 1 261.329 0.038 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1N=c1ccc(C(=O)NC)n[nH]1 ZINC000571732467 358925143 /nfs/dbraw/zinc/92/51/43/358925143.db2.gz JOCJXYBEQOJZIU-KOLCDFICSA-N 0 1 262.313 0.404 20 30 CCEDMN C[C@@H]1C[C@H](O)C[N@H+]1Cc1cnc2c(C#N)cnn2c1 ZINC000573120171 358940534 /nfs/dbraw/zinc/94/05/34/358940534.db2.gz GMEVPUREUSWRJD-SKDRFNHKSA-N 0 1 257.297 0.556 20 30 CCEDMN C[C@@H]1C[C@H](O)CN1Cc1cnc2c(C#N)cnn2c1 ZINC000573120171 358940535 /nfs/dbraw/zinc/94/05/35/358940535.db2.gz GMEVPUREUSWRJD-SKDRFNHKSA-N 0 1 257.297 0.556 20 30 CCEDMN C[C@@H]1C[C@@H](O)C[N@H+]1Cc1cnc2c(C#N)cnn2c1 ZINC000573120168 358940587 /nfs/dbraw/zinc/94/05/87/358940587.db2.gz GMEVPUREUSWRJD-BXKDBHETSA-N 0 1 257.297 0.556 20 30 CCEDMN C[C@@H]1C[C@@H](O)CN1Cc1cnc2c(C#N)cnn2c1 ZINC000573120168 358940589 /nfs/dbraw/zinc/94/05/89/358940589.db2.gz GMEVPUREUSWRJD-BXKDBHETSA-N 0 1 257.297 0.556 20 30 CCEDMN Cc1cc(C#N)cc(NC[C@H](C)N2CCN(C)CC2)n1 ZINC000587803204 359301636 /nfs/dbraw/zinc/30/16/36/359301636.db2.gz YHLUDAZWBZLQDP-ZDUSSCGKSA-N 0 1 273.384 0.731 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@@H](C)N2CCN(C)CC2)n1 ZINC000587802794 359302190 /nfs/dbraw/zinc/30/21/90/359302190.db2.gz AWOTZEXGFUMAEL-GFCCVEGCSA-N 0 1 274.372 0.126 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1CC[C@@H](CC(N)=O)C1 ZINC000588977085 359355014 /nfs/dbraw/zinc/35/50/14/359355014.db2.gz ADXUIOBVNBEKHP-XUJVJEKNSA-N 0 1 294.399 0.627 20 30 CCEDMN CCOC(=O)CCC1CCN(CC(=O)NCC#N)CC1 ZINC000610997943 363645715 /nfs/dbraw/zinc/64/57/15/363645715.db2.gz PPJKEJVBUKNUFK-UHFFFAOYSA-N 0 1 281.356 0.681 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H](CO)C2CCCC2)[nH]1 ZINC000589332550 359379163 /nfs/dbraw/zinc/37/91/63/359379163.db2.gz LCJVALXEQICFMP-NSHDSACASA-N 0 1 277.328 0.386 20 30 CCEDMN N#Cc1ccc(CNC(=O)CN2CCc3cn[nH]c3C2)cc1 ZINC000611009281 363648503 /nfs/dbraw/zinc/64/85/03/363648503.db2.gz WUCPFMDKPLJWIQ-UHFFFAOYSA-N 0 1 295.346 0.956 20 30 CCEDMN C[C@@H](C#N)CN(C(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000611097974 363659468 /nfs/dbraw/zinc/65/94/68/363659468.db2.gz REAGYBBBXLUPHY-VIFPVBQESA-N 0 1 272.312 0.870 20 30 CCEDMN N#CCCOCCN1CCN(C[C@@H]2CCCO2)CC1 ZINC000590153318 359426515 /nfs/dbraw/zinc/42/65/15/359426515.db2.gz XOCRJHPQHUOAHH-AWEZNQCLSA-N 0 1 267.373 0.713 20 30 CCEDMN CCN1C(=O)[C@@H]2CN([C@@H]3CC[C@@H](C#N)C3)CCN2C1=O ZINC000590161203 359427675 /nfs/dbraw/zinc/42/76/75/359427675.db2.gz JSZJLQIMPQJWOL-UTUOFQBUSA-N 0 1 276.340 0.647 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)CC2(C#N)CC2)[C@@H](C)C1 ZINC000590332267 359440697 /nfs/dbraw/zinc/44/06/97/359440697.db2.gz BBRXTFTYIHBPSI-NWDGAFQWSA-N 0 1 265.357 0.594 20 30 CCEDMN CC[C@@H]1CN(C)CCN1C(=O)NC1CCN(CC#N)CC1 ZINC000590925299 359538699 /nfs/dbraw/zinc/53/86/99/359538699.db2.gz BEKJOIBGMGGBAA-CQSZACIVSA-N 0 1 293.415 0.710 20 30 CCEDMN CC[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)C(F)F ZINC000590819888 359527641 /nfs/dbraw/zinc/52/76/41/359527641.db2.gz ZGTAYCFPIBDUIG-ZETCQYMHSA-N 0 1 257.244 0.879 20 30 CCEDMN C[C@H]1CC[C@@H](CNC(=O)N=c2[nH]n(C)cc2C#N)O1 ZINC000590822326 359527668 /nfs/dbraw/zinc/52/76/68/359527668.db2.gz NRHVVUZTHXKBNQ-WPRPVWTQSA-N 0 1 263.301 0.403 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N2CC[C@](O)(CC#N)C2)n[nH]1 ZINC000591989229 359721444 /nfs/dbraw/zinc/72/14/44/359721444.db2.gz AQBSCMZGYCCZOD-IINYFYTJSA-N 0 1 291.355 0.709 20 30 CCEDMN C[C@@H](CO)CCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611162111 363668340 /nfs/dbraw/zinc/66/83/40/363668340.db2.gz WEGVZMJWLPBCHK-SECBINFHSA-N 0 1 265.317 0.244 20 30 CCEDMN N#CCCN1CCN(CCC(=O)NC2CCCC2)CC1 ZINC000611175482 363672617 /nfs/dbraw/zinc/67/26/17/363672617.db2.gz ZDHFFQLWFICIQW-UHFFFAOYSA-N 0 1 278.400 0.967 20 30 CCEDMN CCc1ocnc1C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592645895 359908274 /nfs/dbraw/zinc/90/82/74/359908274.db2.gz JFEOHUYQSUDNFZ-UHFFFAOYSA-N 0 1 259.269 0.825 20 30 CCEDMN C=CCCOCC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000592791206 359936286 /nfs/dbraw/zinc/93/62/86/359936286.db2.gz BLRZGSZFNJTIJD-ZDUSSCGKSA-N 0 1 269.389 0.331 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)[C@@H]2CCCN2C2CC2)C1 ZINC000592850652 359955174 /nfs/dbraw/zinc/95/51/74/359955174.db2.gz XMHPCCNYVOMONS-GXTWGEPZSA-N 0 1 263.341 0.490 20 30 CCEDMN CCOC(=O)C(NC(=O)C(C#N)CC)C(=O)OCC ZINC000593393694 360076959 /nfs/dbraw/zinc/07/69/59/360076959.db2.gz DEIXHJUCHXGNOK-QMMMGPOBSA-N 0 1 270.285 0.147 20 30 CCEDMN CC[C@@H](C#N)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000593555628 360112735 /nfs/dbraw/zinc/11/27/35/360112735.db2.gz GHDBUOJMMAFHCV-WPRPVWTQSA-N 0 1 264.285 0.160 20 30 CCEDMN COC[C@]1(CO)CCN(Cc2ccc(C#N)cn2)C1 ZINC000563365259 291217986 /nfs/dbraw/zinc/21/79/86/291217986.db2.gz BPMRLFNLAPSNEV-CQSZACIVSA-N 0 1 261.325 0.784 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CCN(Cc3nnc[nH]3)CC2)C1 ZINC000593859166 360178302 /nfs/dbraw/zinc/17/83/02/360178302.db2.gz QFXIYVPZFWDLCO-UHFFFAOYSA-N 0 1 288.355 0.389 20 30 CCEDMN CNC(=O)CCCN1CCN([C@H](C#N)C(C)C)CC1 ZINC000593968011 360223831 /nfs/dbraw/zinc/22/38/31/360223831.db2.gz GMSAFHVDWKQAJU-CYBMUJFWSA-N 0 1 266.389 0.678 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)CCCCC#N)[C@@H](C)C1 ZINC000594344475 360277909 /nfs/dbraw/zinc/27/79/09/360277909.db2.gz CLHLNWJNJZABEQ-QWHCGFSZSA-N 0 1 267.373 0.984 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(C(=O)CCCCC#N)C1 ZINC000594368992 360284984 /nfs/dbraw/zinc/28/49/84/360284984.db2.gz VIUDBZRLIHNDJD-LBPRGKRZSA-N 0 1 281.356 0.634 20 30 CCEDMN Cc1nc(CN2CCN(C[C@@H](C#N)CCC#N)CC2)n[nH]1 ZINC000611431237 363718502 /nfs/dbraw/zinc/71/85/02/363718502.db2.gz DALBXTGZQNSRAQ-CYBMUJFWSA-N 0 1 287.371 0.674 20 30 CCEDMN CC1(C)CN(c2ccnc(C#N)n2)CCN1CCO ZINC000594572493 360332911 /nfs/dbraw/zinc/33/29/11/360332911.db2.gz JWHOSFABLMSENU-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN N#CCCCC[C@H](N)C(=O)N1CC(=O)Nc2cnccc21 ZINC000594438639 360307234 /nfs/dbraw/zinc/30/72/34/360307234.db2.gz PDTLZCPIOQNKJE-JTQLQIEISA-N 0 1 287.323 0.778 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@H]2CC[C@@H](C[NH3+])C2)o1 ZINC000595109716 360438228 /nfs/dbraw/zinc/43/82/28/360438228.db2.gz JYRZGIFSDDRRAQ-BDAKNGLRSA-N 0 1 269.326 0.557 20 30 CCEDMN N#Cc1ccnc(N2CCN(CCc3c[nH]nn3)CC2)c1 ZINC000595291338 360483705 /nfs/dbraw/zinc/48/37/05/360483705.db2.gz QQSAABLFHWCHCF-UHFFFAOYSA-N 0 1 283.339 0.436 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)CC2(C#N)CCC2)CCN1 ZINC000595410073 360524212 /nfs/dbraw/zinc/52/42/12/360524212.db2.gz AOXCVVGDWUTWSH-LBPRGKRZSA-N 0 1 285.413 0.940 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)Cc1cn(C)cn1 ZINC000595399399 360519277 /nfs/dbraw/zinc/51/92/77/360519277.db2.gz WDYADHDRMCXDKE-UHFFFAOYSA-N 0 1 273.344 0.492 20 30 CCEDMN N#CC1(NC(=O)CN(C2CC2)C2(CO)CCC2)CCC1 ZINC000595532759 360568526 /nfs/dbraw/zinc/56/85/26/360568526.db2.gz AQEBDPWFZCAJHT-UHFFFAOYSA-N 0 1 277.368 0.928 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N(C)Cc1n[nH]c(=O)o1 ZINC000595547781 360575965 /nfs/dbraw/zinc/57/59/65/360575965.db2.gz RWRDXGJGDHANMA-ZANVPECISA-N 0 1 295.343 0.650 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000595473189 360545676 /nfs/dbraw/zinc/54/56/76/360545676.db2.gz UOFFOIXDGQNRFP-KGLIPLIRSA-N 0 1 282.384 0.901 20 30 CCEDMN CCS(=O)(=O)NCCCN1CCC[C@@H](CC#N)C1 ZINC000595621049 360601174 /nfs/dbraw/zinc/60/11/74/360601174.db2.gz ROWOCGBJPDUNFQ-LBPRGKRZSA-N 0 1 273.402 0.941 20 30 CCEDMN C[C@]12COC[C@@H]1CN(CC(=O)NC1(C#N)CCC1)C2 ZINC000595756979 360642978 /nfs/dbraw/zinc/64/29/78/360642978.db2.gz IBTUIFHXWUTSFY-AAEUAGOBSA-N 0 1 263.341 0.517 20 30 CCEDMN C=CCC[C@@H](O)CN1CCC[C@@]1(COC)C(=O)OC ZINC000595805442 360660593 /nfs/dbraw/zinc/66/05/93/360660593.db2.gz RAPGDOIQHAHMKH-TZMCWYRMSA-N 0 1 271.357 0.968 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)NCC1(C#N)CCC1 ZINC000596632987 360934674 /nfs/dbraw/zinc/93/46/74/360934674.db2.gz SNDQTABWMNIICV-UHFFFAOYSA-N 0 1 250.346 0.883 20 30 CCEDMN N#Cc1ccc2ncc(CN3CCc4n[nH]nc4C3)n2c1 ZINC000597248558 361041518 /nfs/dbraw/zinc/04/15/18/361041518.db2.gz AHLUYYRKKWPNPC-UHFFFAOYSA-N 0 1 279.307 0.882 20 30 CCEDMN CCN(C)[C@@H](C(=O)N[C@H](C#N)CO)c1ccccc1 ZINC000597747421 361231891 /nfs/dbraw/zinc/23/18/91/361231891.db2.gz QLGWEAWAASUJII-CHWSQXEVSA-N 0 1 261.325 0.680 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(C(=O)[C@H](C)CC#N)C1 ZINC000597772009 361239122 /nfs/dbraw/zinc/23/91/22/361239122.db2.gz BCKCGPWSLXSSHF-GHMZBOCLSA-N 0 1 267.329 0.099 20 30 CCEDMN COCc1nc(CNC(=O)c2cc(C)c(C#N)cn2)n[nH]1 ZINC000598049921 361323927 /nfs/dbraw/zinc/32/39/27/361323927.db2.gz JVAOGFLXKHMCJS-UHFFFAOYSA-N 0 1 286.295 0.456 20 30 CCEDMN COCc1nnc(CNC(=O)c2cc(C)c(C#N)cn2)[nH]1 ZINC000598049921 361323933 /nfs/dbraw/zinc/32/39/33/361323933.db2.gz JVAOGFLXKHMCJS-UHFFFAOYSA-N 0 1 286.295 0.456 20 30 CCEDMN Cc1cc(C(=O)N(C)CC2CN(C)C2)ncc1C#N ZINC000598161248 361346701 /nfs/dbraw/zinc/34/67/01/361346701.db2.gz OKBIWAFGSOJGOT-UHFFFAOYSA-N 0 1 258.325 0.895 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CC[C@](C)(C#N)C1 ZINC000598418351 361409566 /nfs/dbraw/zinc/40/95/66/361409566.db2.gz UQKOMHCRIJNAKR-TZMCWYRMSA-N 0 1 265.357 0.717 20 30 CCEDMN N#Cc1cccc(NC(=O)NCCCc2nc[nH]n2)n1 ZINC000564327878 291274715 /nfs/dbraw/zinc/27/47/15/291274715.db2.gz BSPRDZYDHFIOHG-UHFFFAOYSA-N 0 1 271.284 0.826 20 30 CCEDMN CO[C@@H]1CC[C@@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)C1 ZINC000598843104 361524879 /nfs/dbraw/zinc/52/48/79/361524879.db2.gz JRINWKXIIYMCDH-NXEZZACHSA-N 0 1 262.313 0.776 20 30 CCEDMN CCN(CC#N)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599155307 361590428 /nfs/dbraw/zinc/59/04/28/361590428.db2.gz YGONGKDGHPWFBW-UHFFFAOYSA-N 0 1 282.325 0.439 20 30 CCEDMN N#CC1(C[C@H](O)CN(C2CC2)C2COC2)CCOCC1 ZINC000599431141 361697487 /nfs/dbraw/zinc/69/74/87/361697487.db2.gz RYWWQRPYZNAMMZ-AWEZNQCLSA-N 0 1 280.368 0.921 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CCC[C@](C)(C#N)C2)C1 ZINC000612121466 363851103 /nfs/dbraw/zinc/85/11/03/363851103.db2.gz KLWCWFKAYVXYBJ-UKRRQHHQSA-N 0 1 293.415 0.567 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CCC[C@@](C)(C#N)C2)C1 ZINC000612121465 363851476 /nfs/dbraw/zinc/85/14/76/363851476.db2.gz KLWCWFKAYVXYBJ-HIFRSBDPSA-N 0 1 293.415 0.567 20 30 CCEDMN C[C@H]1OCC[C@]1(C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000612313484 363883456 /nfs/dbraw/zinc/88/34/56/363883456.db2.gz KFSAADBCZPMZKD-PELKAZGASA-N 0 1 263.301 0.403 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@]2(C)CO)[nH]1 ZINC000612752046 364008151 /nfs/dbraw/zinc/00/81/51/364008151.db2.gz OCACSPLGVQYGOY-LBPRGKRZSA-N 0 1 263.301 0.092 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000613473208 364260680 /nfs/dbraw/zinc/26/06/80/364260680.db2.gz XYVBZGUGAQFWBI-ONGXEEELSA-N 0 1 294.359 0.233 20 30 CCEDMN CC(C)(CO)N1CCN(c2cnc(C#N)cn2)CC1 ZINC000613878937 364424982 /nfs/dbraw/zinc/42/49/82/364424982.db2.gz VZJVISZEUQTCRW-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCN(C2CCOCC2)C[C@H]1C ZINC000614135149 364511351 /nfs/dbraw/zinc/51/13/51/364511351.db2.gz STCZFPUFFBIOKQ-DOMZBBRYSA-N 0 1 282.384 0.635 20 30 CCEDMN CCO[C@@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)[C@@H]1OC ZINC000614325637 364570921 /nfs/dbraw/zinc/57/09/21/364570921.db2.gz AIEQGTSFYDIXTD-MXWKQRLJSA-N 0 1 293.327 0.028 20 30 CCEDMN CCC#C[C@H](C)N1CCCN(C(=O)CNC(C)=O)CC1 ZINC000625961838 368376864 /nfs/dbraw/zinc/37/68/64/368376864.db2.gz XNGDFHGEEFMJOP-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000626448902 368548074 /nfs/dbraw/zinc/54/80/74/368548074.db2.gz PZZGSUDTMOMDQB-JTQLQIEISA-N 0 1 299.352 0.120 20 30 CCEDMN C=CC[C@H](CO)NS(=O)(=O)c1cc(O)cc(F)c1 ZINC000632207482 370922150 /nfs/dbraw/zinc/92/21/50/370922150.db2.gz JCLRJDOKFCOTOY-SECBINFHSA-N 0 1 275.301 0.747 20 30 CCEDMN C=C(C)CS(=O)(=O)N(C)[C@@H]1CN2CCC1CC2 ZINC000632478860 371096190 /nfs/dbraw/zinc/09/61/90/371096190.db2.gz SBCNQVRBISTKFC-GFCCVEGCSA-N 0 1 258.387 0.918 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)Cc1ccc(OC)cn1 ZINC000491265527 261394153 /nfs/dbraw/zinc/39/41/53/261394153.db2.gz LFIYYNVGPDJSBV-UHFFFAOYSA-N 0 1 275.352 0.704 20 30 CCEDMN C=CCn1cc(C(=O)N2CCNC[C@H]2C(C)C)nn1 ZINC000638491752 375084136 /nfs/dbraw/zinc/08/41/36/375084136.db2.gz IZHAJHNOVDMEAS-LBPRGKRZSA-N 0 1 263.345 0.534 20 30 CCEDMN CN1CCN(CC#Cc2ccc(F)cc2)[C@H](CO)C1 ZINC000639869229 376100592 /nfs/dbraw/zinc/10/05/92/376100592.db2.gz ALALDBUYIXQATH-HNNXBMFYSA-N 0 1 262.328 0.786 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H](OC)C[C@]1(C)CO ZINC000639997112 376172614 /nfs/dbraw/zinc/17/26/14/376172614.db2.gz HBOBIPUONBTSOB-DZGCQCFKSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCNC(=O)[C@H](C)n1cc([C@@H](N)[C@H]2CCCO2)nn1 ZINC000640883327 376596539 /nfs/dbraw/zinc/59/65/39/376596539.db2.gz GGAOEJXATGJITB-MVWJERBFSA-N 0 1 279.344 0.320 20 30 CCEDMN C=CCOCCn1cc([C@@H](N)[C@H]2CCCO2)nn1 ZINC000641030206 376687614 /nfs/dbraw/zinc/68/76/14/376687614.db2.gz UJTGNZDOJGYMAG-VXGBXAGGSA-N 0 1 252.318 0.660 20 30 CCEDMN C=C(C)Cn1c(COC)n[n-]c1=[NH+]c1cnn(C)c1 ZINC000642707023 377612740 /nfs/dbraw/zinc/61/27/40/377612740.db2.gz DEJOKDLCDDRDGN-UHFFFAOYSA-N 0 1 262.317 0.900 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000280977405 195054506 /nfs/dbraw/zinc/05/45/06/195054506.db2.gz CQZYZBMRSWZICN-SSDOTTSWSA-N 0 1 274.284 0.849 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)NCCN(C)CCOC ZINC000285786971 388797152 /nfs/dbraw/zinc/79/71/52/388797152.db2.gz CWXLBGFGOKXWTM-UHFFFAOYSA-N 0 1 285.388 0.105 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@H](C)CN1CCN(C)CC1 ZINC000184435975 388774121 /nfs/dbraw/zinc/77/41/21/388774121.db2.gz ZRANRORUMPSHMR-ZDUSSCGKSA-N 0 1 289.445 0.365 20 30 CCEDMN C#CCNc1nc(NCCF)nc(NCCF)n1 ZINC000287947562 388856781 /nfs/dbraw/zinc/85/67/81/388856781.db2.gz YEHIUNWEUCYYBS-UHFFFAOYSA-N 0 1 256.260 0.680 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC000288833458 388866163 /nfs/dbraw/zinc/86/61/63/388866163.db2.gz CQHBGNXKZPFEHP-QWRGUYRKSA-N 0 1 265.357 0.372 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@](CC)(CO)C1 ZINC000187627392 388888786 /nfs/dbraw/zinc/88/87/86/388888786.db2.gz AFLFZOYAGYMEPM-WFASDCNBSA-N 0 1 297.399 0.871 20 30 CCEDMN C=C[C@H](CO)N[C@H]1CCCc2ccc(S(N)(=O)=O)cc21 ZINC000289904083 388897263 /nfs/dbraw/zinc/89/72/63/388897263.db2.gz UAKPBYGBYMMDTF-RISCZKNCSA-N 0 1 296.392 0.848 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2cccnc2C#N)CC1 ZINC000290480511 388905347 /nfs/dbraw/zinc/90/53/47/388905347.db2.gz HWNSMGBJFRAOPV-UHFFFAOYSA-N 0 1 273.340 0.163 20 30 CCEDMN C=CCN(C(=O)c1cc(C)[nH]n1)[C@@H]1CCS(=O)(=O)C1 ZINC000048967831 388912916 /nfs/dbraw/zinc/91/29/16/388912916.db2.gz LQLPQHKSGOINQR-SNVBAGLBSA-N 0 1 283.353 0.533 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000054298841 388930360 /nfs/dbraw/zinc/93/03/60/388930360.db2.gz WXCHGEHTGFDHEO-WDEREUQCSA-N 0 1 254.330 0.864 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCCSCC1 ZINC000089984114 389018534 /nfs/dbraw/zinc/01/85/34/389018534.db2.gz GSBCRZAOEYYGLS-SNVBAGLBSA-N 0 1 271.386 0.826 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](OCCOCC)C2)C1=O ZINC000337180786 389050609 /nfs/dbraw/zinc/05/06/09/389050609.db2.gz MDOMGUCCEMGTKP-ZIAGYGMSSA-N 0 1 282.384 0.901 20 30 CCEDMN CC1(C)CN(c2cnc(C#N)cn2)CCN1CCO ZINC000302567035 389074179 /nfs/dbraw/zinc/07/41/79/389074179.db2.gz WWEMOWABHWBHPL-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN CCN(C[C@H](C)C#N)C[C@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000304019005 389081906 /nfs/dbraw/zinc/08/19/06/389081906.db2.gz XMFDHJGDOSNILJ-KBXIAJHMSA-N 0 1 283.416 0.938 20 30 CCEDMN CCN(CCC#N)C[C@@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000304734517 389083185 /nfs/dbraw/zinc/08/31/85/389083185.db2.gz RVXLRVDVRXGDKW-HZSPNIEDSA-N 0 1 269.389 0.692 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N(C)[C@@H]1CCCN(C)C1 ZINC000337056654 389039891 /nfs/dbraw/zinc/03/98/91/389039891.db2.gz CMZUQYRGHBBTOW-GFCCVEGCSA-N 0 1 288.417 0.493 20 30 CCEDMN COC[C@H](C)CC(=O)NC1(C#N)CCN(C)CC1 ZINC000094817315 389040631 /nfs/dbraw/zinc/04/06/31/389040631.db2.gz NWTHQZAQGCMPEW-LLVKDONJSA-N 0 1 253.346 0.763 20 30 CCEDMN C=CCNC(=O)CN1CCCC[C@@H]1CN1CCOCC1 ZINC000109818886 389100062 /nfs/dbraw/zinc/10/00/62/389100062.db2.gz FPRFZTUSDNAXQG-CQSZACIVSA-N 0 1 281.400 0.475 20 30 CCEDMN CNC(=O)[C@H]1CCCN(CC(=O)N[C@](C)(C#N)C(C)C)C1 ZINC000111270116 389103887 /nfs/dbraw/zinc/10/38/87/389103887.db2.gz KDLXUTLDFOUYOB-SWLSCSKDSA-N 0 1 294.399 0.499 20 30 CCEDMN CC(C)N(CCOCCO)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000314543611 389117596 /nfs/dbraw/zinc/11/75/96/389117596.db2.gz RFZYFQUZGLLIQL-HNNXBMFYSA-N 0 1 297.399 0.514 20 30 CCEDMN Cn1nc2c(cc1=O)CN(CCOCCC#N)CC2 ZINC000339009439 389121772 /nfs/dbraw/zinc/12/17/72/389121772.db2.gz DGVOKVUANZAGEU-UHFFFAOYSA-N 0 1 262.313 0.069 20 30 CCEDMN C[C@@H]1CN(CCOCCC#N)CCN1CCOCCC#N ZINC000339026775 389122168 /nfs/dbraw/zinc/12/21/68/389122168.db2.gz WMOFKQPTPFWACA-OAHLLOKOSA-N 0 1 294.399 0.853 20 30 CCEDMN CN(C)C(=O)C(C)(C)NCC(=O)NC1(C#N)CCCCC1 ZINC000114458192 389130752 /nfs/dbraw/zinc/13/07/52/389130752.db2.gz FCCOIISOIIRTNH-UHFFFAOYSA-N 0 1 294.399 0.785 20 30 CCEDMN Cc1nccn1CCNC(=O)N1C[C@@H]2COC[C@H](C1)O2 ZINC000328729060 389134222 /nfs/dbraw/zinc/13/42/22/389134222.db2.gz HDPVVYZVDJPPBA-TXEJJXNPSA-N 0 1 280.328 0.205 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1C[C@@H](C)N(C)[C@H](C)C1 ZINC000339617235 389135979 /nfs/dbraw/zinc/13/59/79/389135979.db2.gz QYVSCHFZPWOSMD-JHJVBQTASA-N 0 1 266.389 0.771 20 30 CCEDMN O[C@@H]1CCCN(CC#CC[N@@H+]2CCC[C@H](O)CC2)CC1 ZINC000339782860 389139735 /nfs/dbraw/zinc/13/97/35/389139735.db2.gz ZRYWDIGFXOTBDE-IYBDPMFKSA-N 0 1 280.412 0.683 20 30 CCEDMN COC[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3[nH]cnc3C2)CCO1 ZINC000329687987 389188204 /nfs/dbraw/zinc/18/82/04/389188204.db2.gz FYJVWIRYNINQIZ-MNOVXSKESA-N 0 1 294.355 0.528 20 30 CCEDMN O=C1CCN(C([O-])=[NH+][C@@H]2CCc3[nH]cnc3C2)CCN1 ZINC000329694090 389189357 /nfs/dbraw/zinc/18/93/57/389189357.db2.gz AXDLVYVTHVOBFC-SECBINFHSA-N 0 1 277.328 0.843 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+][C@H](C)[C@@H]1CCOC1)CC2 ZINC000329711010 389191381 /nfs/dbraw/zinc/19/13/81/389191381.db2.gz NZHSFTDIOHAAGX-YUSALJHKSA-N 0 1 293.371 0.830 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N[C@H](C)[C@@H]1CCOC1)CC2 ZINC000329711010 389191382 /nfs/dbraw/zinc/19/13/82/389191382.db2.gz NZHSFTDIOHAAGX-YUSALJHKSA-N 0 1 293.371 0.830 20 30 CCEDMN CCN1CCN(CC(=O)N(C)[C@H](C)CC#N)CC1 ZINC000360120537 389191477 /nfs/dbraw/zinc/19/14/77/389191477.db2.gz XAAPDCYUGJSWAN-GFCCVEGCSA-N 0 1 252.362 0.384 20 30 CCEDMN Cc1cnn(CC[NH+]=C([O-])N2C[C@@H]3CC[C@H](O)[C@@H]3C2)c1 ZINC000329730696 389193525 /nfs/dbraw/zinc/19/35/25/389193525.db2.gz GHXQEGOERVCQFY-XQQFMLRXSA-N 0 1 278.356 0.808 20 30 CCEDMN C[C@@H](Cn1cccn1)NC(=O)N1C[C@H]2OCCN(C)[C@H]2C1 ZINC000329742699 389195055 /nfs/dbraw/zinc/19/50/55/389195055.db2.gz WSJBIPUSJCBQNJ-RWMBFGLXSA-N 0 1 293.371 0.200 20 30 CCEDMN C[C@H]1C[C@@H](NS(=O)(=O)CCCC#N)CCN1C ZINC000360654734 389200952 /nfs/dbraw/zinc/20/09/52/389200952.db2.gz VXXWDSFEWYCWCS-QWRGUYRKSA-N 0 1 259.375 0.692 20 30 CCEDMN C[C@@H]1CN(CCCC(C)(C)C#N)C[C@H](C(N)=O)O1 ZINC000122665749 389153352 /nfs/dbraw/zinc/15/33/52/389153352.db2.gz YZIANHMZJHBWHB-GHMZBOCLSA-N 0 1 253.346 0.891 20 30 CCEDMN Cn1cc(C[NH+]=C([O-])N[C@@H]2CCc3cn[nH]c3C2)cn1 ZINC000329303360 389155323 /nfs/dbraw/zinc/15/53/23/389155323.db2.gz XHAVHXFXKCDYHL-LLVKDONJSA-N 0 1 274.328 0.704 20 30 CCEDMN C=C(CC)CN[C@@H]1CS(=O)(=O)C[C@H]1N1CCOCC1 ZINC000358621285 389156573 /nfs/dbraw/zinc/15/65/73/389156573.db2.gz UMUUVZYWPJFRFB-CHWSQXEVSA-N 0 1 288.413 0.040 20 30 CCEDMN O=C(N[C@H]1CCc2ncnn2C1)N1CCOCC2(CC2)C1 ZINC000329341825 389158311 /nfs/dbraw/zinc/15/83/11/389158311.db2.gz SHNLDGMMWCSTIN-NSHDSACASA-N 0 1 291.355 0.619 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@@](C)(O)C1 ZINC000124530788 389161434 /nfs/dbraw/zinc/16/14/34/389161434.db2.gz HNKMYLAICFLJKN-ZWNOBZJWSA-N 0 1 269.345 0.234 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)N(C)C1CC(O)C1)CC2 ZINC000329440285 389163971 /nfs/dbraw/zinc/16/39/71/389163971.db2.gz ADBUFRRVNZBYRC-WHXUTIOJSA-N 0 1 279.344 0.270 20 30 CCEDMN C[C@@H]1C[C@@H](O)CN1CC(=O)NCc1cccc(C#N)c1 ZINC000340907257 389165033 /nfs/dbraw/zinc/16/50/33/389165033.db2.gz LRTNONQTOYXXON-BXUZGUMPSA-N 0 1 273.336 0.630 20 30 CCEDMN C[C@@H](NC(=O)Nc1ccc(C2CC2)nn1)c1nnc[nH]1 ZINC000329462957 389165145 /nfs/dbraw/zinc/16/51/45/389165145.db2.gz UEOFMCHDYYCEFF-SSDOTTSWSA-N 0 1 273.300 0.981 20 30 CCEDMN C[C@H](C(=O)NC1CC1)N1CCn2c(n[nH]c2=O)C1 ZINC000329545793 389170140 /nfs/dbraw/zinc/17/01/40/389170140.db2.gz GXSZDQUIQWFPMD-SSDOTTSWSA-N 0 1 251.290 0.307 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)CC1(C)C ZINC000330573830 389242728 /nfs/dbraw/zinc/24/27/28/389242728.db2.gz PDZWGVVGEIMTAZ-UHFFFAOYSA-N 0 1 268.361 0.229 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](C)N(C)C[C@@H]1C ZINC000330584167 389243172 /nfs/dbraw/zinc/24/31/72/389243172.db2.gz KQULZEBHGQKMME-STQMWFEESA-N 0 1 266.389 0.773 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)C(=O)NCCCCC#N)CCCN1C ZINC000343307039 389263038 /nfs/dbraw/zinc/26/30/38/389263038.db2.gz XOXXUFVXGXNSNK-VXGBXAGGSA-N 0 1 280.372 0.395 20 30 CCEDMN [O-]C(N[C@@H]1CCC[C@@H]2OCC[C@H]21)=[NH+]CCn1cncn1 ZINC000329889846 389208386 /nfs/dbraw/zinc/20/83/86/389208386.db2.gz FEKZISSKMUKIJI-TUAOUCFPSA-N 0 1 279.344 0.739 20 30 CCEDMN O=C(NCCn1cncn1)N[C@@H]1CCC[C@@H]2OCC[C@H]21 ZINC000329889846 389208391 /nfs/dbraw/zinc/20/83/91/389208391.db2.gz FEKZISSKMUKIJI-TUAOUCFPSA-N 0 1 279.344 0.739 20 30 CCEDMN CN(CCN(C)C1CC1)C(=O)N[C@@H]1CC[S@@](=O)C1 ZINC000329924283 389211425 /nfs/dbraw/zinc/21/14/25/389211425.db2.gz IZBFTBASWFNBGX-MLCYQJTMSA-N 0 1 273.402 0.447 20 30 CCEDMN CNC(=O)N[C@H]1CCN(CCOc2ccccc2C#N)C1 ZINC000342351872 389212383 /nfs/dbraw/zinc/21/23/83/389212383.db2.gz HIBPLXWPZRGKKX-ZDUSSCGKSA-N 0 1 288.351 0.940 20 30 CCEDMN C=CCCN(C)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000342449649 389218505 /nfs/dbraw/zinc/21/85/05/389218505.db2.gz BGOKFQFQBZVVPD-GFCCVEGCSA-N 0 1 255.362 0.925 20 30 CCEDMN CSCCN(C)CC(=O)N(CCC#N)CCC#N ZINC000361573268 389219928 /nfs/dbraw/zinc/21/99/28/389219928.db2.gz YOBXFXOCKFXAEV-UHFFFAOYSA-N 0 1 268.386 0.937 20 30 CCEDMN N#Cc1cnn(C(=O)[C@@H]2CCCc3[nH]ncc32)c1N ZINC000136344157 389265747 /nfs/dbraw/zinc/26/57/47/389265747.db2.gz IXUCNVLCJPKQOR-MRVPVSSYSA-N 0 1 256.269 0.820 20 30 CCEDMN CC1(C)NC(=O)CC[C@H]1NC(=O)NCCn1cccn1 ZINC000330433857 389228747 /nfs/dbraw/zinc/22/87/47/389228747.db2.gz LIVDPOHSKFIBAZ-SNVBAGLBSA-N 0 1 279.344 0.444 20 30 CCEDMN CC1(C)NC(=O)CC[C@H]1NC([O-])=[NH+]CCn1cccn1 ZINC000330433857 389228749 /nfs/dbraw/zinc/22/87/49/389228749.db2.gz LIVDPOHSKFIBAZ-SNVBAGLBSA-N 0 1 279.344 0.444 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)[C@H](CO)C1 ZINC000362078730 389228993 /nfs/dbraw/zinc/22/89/93/389228993.db2.gz RMKVOPWGXLRJMM-UTUOFQBUSA-N 0 1 265.357 0.498 20 30 CCEDMN Cc1cnc(C(=O)NC[C@@H](C)N2CCN(C)CC2)cn1 ZINC000330465263 389232413 /nfs/dbraw/zinc/23/24/13/389232413.db2.gz WLLOCRAWPWOSLW-GFCCVEGCSA-N 0 1 277.372 0.726 20 30 CCEDMN C[C@@H]1CCCN(CCNS(=O)(=O)N(C)CCC#N)C1 ZINC000330496025 389235664 /nfs/dbraw/zinc/23/56/64/389235664.db2.gz UGVDUUNJTADUOB-GFCCVEGCSA-N 0 1 288.417 0.398 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCN(C[C@H](C)O)[C@@H](C)C1 ZINC000247065281 389236295 /nfs/dbraw/zinc/23/62/95/389236295.db2.gz GDWUNAXWFDWKFF-MELADBBJSA-N 0 1 296.415 0.134 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@]2(C1)CCCOC2 ZINC000367053126 389315937 /nfs/dbraw/zinc/31/59/37/389315937.db2.gz DHGSWVRGOZLRFO-CQSZACIVSA-N 0 1 281.356 0.501 20 30 CCEDMN COCCN1CC[C@H](Nc2ncc([N+](=O)[O-])cc2C#N)C1 ZINC000264456789 389329428 /nfs/dbraw/zinc/32/94/28/389329428.db2.gz JFXIRYHYRAKLQM-NSHDSACASA-N 0 1 291.311 0.994 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)[C@@H](O)C1 ZINC000346553208 389331800 /nfs/dbraw/zinc/33/18/00/389331800.db2.gz GCFOJDCRBDCQRS-VWYCJHECSA-N 0 1 251.330 0.250 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC000346539330 389331846 /nfs/dbraw/zinc/33/18/46/389331846.db2.gz WNAKQEKQCJYYTR-AAEUAGOBSA-N 0 1 279.384 0.620 20 30 CCEDMN C#CCN1CCC(c2nc(-c3nc[nH]n3)no2)CC1 ZINC000264589817 389337802 /nfs/dbraw/zinc/33/78/02/389337802.db2.gz FKKYQORRFXEOFU-UHFFFAOYSA-N 0 1 258.285 0.667 20 30 CCEDMN C=CCNC(=O)CNC(=O)N1C[C@@H](C)N(CC)C[C@H]1C ZINC000346835227 389339260 /nfs/dbraw/zinc/33/92/60/389339260.db2.gz UEUZOLUPNDODGW-VXGBXAGGSA-N 0 1 282.388 0.413 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](CCC)CC(=O)[O-] ZINC000262390871 389285825 /nfs/dbraw/zinc/28/58/25/389285825.db2.gz RFGOXSAOONOBBI-SECBINFHSA-N 0 1 271.317 0.183 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](CCC)CC(=O)[O-] ZINC000262390871 389285829 /nfs/dbraw/zinc/28/58/29/389285829.db2.gz RFGOXSAOONOBBI-SECBINFHSA-N 0 1 271.317 0.183 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000348274678 389372620 /nfs/dbraw/zinc/37/26/20/389372620.db2.gz LQDWTUWVWCPJDJ-LBPRGKRZSA-N 0 1 286.401 0.245 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N([C@@H](C)Cc2cc(C)[nH]n2)C1=O ZINC000348575975 389383218 /nfs/dbraw/zinc/38/32/18/389383218.db2.gz RYSXMPQTDFCIAN-JQWIXIFHSA-N 0 1 292.339 0.772 20 30 CCEDMN Cc1cccc2c1O[C@@H](C(=O)N=c1[nH]n(C)cc1C#N)C2 ZINC000410543651 389385638 /nfs/dbraw/zinc/38/56/38/389385638.db2.gz YAKXGHGBQMYLAE-GFCCVEGCSA-N 0 1 282.303 0.964 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1[C@H](C)CCC[C@H]1CO ZINC000159100936 389359155 /nfs/dbraw/zinc/35/91/55/389359155.db2.gz YFQCASJLMRLMLH-MNOVXSKESA-N 0 1 269.345 0.234 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](O)C(C)(C)C2)C1=O ZINC000334250380 389463596 /nfs/dbraw/zinc/46/35/96/389463596.db2.gz HTFJEDCOFMKAGI-RYUDHWBXSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CC1CCN(C(=O)NC[C@H]2CN(C)CCN2C)CC1 ZINC000334410209 389488554 /nfs/dbraw/zinc/48/85/54/389488554.db2.gz JNYBJCGQGYZYEY-AWEZNQCLSA-N 0 1 280.416 0.840 20 30 CCEDMN CN(Cc1nnc[nH]1)C[C@H](O)COc1ccc(C#N)cc1 ZINC000174817019 389531003 /nfs/dbraw/zinc/53/10/03/389531003.db2.gz DCYJOYIVORHHIT-LBPRGKRZSA-N 0 1 287.323 0.548 20 30 CCEDMN C=CC(C)(C)CNC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000413595877 389538083 /nfs/dbraw/zinc/53/80/83/389538083.db2.gz SKYDLQPWOJUFPX-GFCCVEGCSA-N 0 1 297.399 0.945 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(CC)[nH]n2)C1=O ZINC000281866607 389629909 /nfs/dbraw/zinc/62/99/09/389629909.db2.gz ZAOVYYSYWBEERX-GFCCVEGCSA-N 0 1 276.340 0.831 20 30 CCEDMN N#CC1(NC(=O)CN2CCc3n[nH]nc3C2)CCCCC1 ZINC000351617360 389635526 /nfs/dbraw/zinc/63/55/26/389635526.db2.gz YCVJGVFYEQKPOY-UHFFFAOYSA-N 0 1 288.355 0.505 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)CN2CCc3n[nH]nc3C2)cc1 ZINC000351618577 389637049 /nfs/dbraw/zinc/63/70/49/389637049.db2.gz JWNVHMSTJQVPKF-GFCCVEGCSA-N 0 1 299.334 0.474 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000425312973 389644671 /nfs/dbraw/zinc/64/46/71/389644671.db2.gz ZSVUUMLQEHCLDL-YUMQZZPRSA-N 0 1 250.258 0.641 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(C(=O)CC(C)(C)C#N)C1 ZINC000413893916 389576691 /nfs/dbraw/zinc/57/66/91/389576691.db2.gz BUACPDAHTQUTNY-NSHDSACASA-N 0 1 281.356 0.489 20 30 CCEDMN C=CCCCNC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000279482176 389581584 /nfs/dbraw/zinc/58/15/84/389581584.db2.gz GYAOZYLWJKOMIX-GFCCVEGCSA-N 0 1 282.388 0.592 20 30 CCEDMN C[C@@H]1CN([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)C[C@H]1O ZINC000351945587 389721250 /nfs/dbraw/zinc/72/12/50/389721250.db2.gz ROWQYKRXILWHCW-KCPJHIHWSA-N 0 1 285.347 0.976 20 30 CCEDMN C=C[C@H](CO)NC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000426859602 389679245 /nfs/dbraw/zinc/67/92/45/389679245.db2.gz RBYZWHBJASWCBR-GFCCVEGCSA-N 0 1 290.367 0.110 20 30 CCEDMN CN(CCC(=O)N(C)CCC#N)C[C@H](O)C(F)(F)F ZINC000181331199 389684367 /nfs/dbraw/zinc/68/43/67/389684367.db2.gz BGAXZBFVRAQENC-VIFPVBQESA-N 0 1 281.278 0.604 20 30 CCEDMN COC(=O)[C@H]1CCN(CC(=O)NCC#N)[C@H]1C(C)C ZINC000429391696 389753987 /nfs/dbraw/zinc/75/39/87/389753987.db2.gz DOVFYZVIPRSIOO-JQWIXIFHSA-N 0 1 267.329 0.146 20 30 CCEDMN CN1CCN(C(=O)CCN(CCC#N)CC2CC2)CC1 ZINC000429673928 389764100 /nfs/dbraw/zinc/76/41/00/389764100.db2.gz STYVAZNKUBEPMS-UHFFFAOYSA-N 0 1 278.400 0.776 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000416305512 389730519 /nfs/dbraw/zinc/73/05/19/389730519.db2.gz IXWVHFMOMMNJGW-BZPMIXESSA-N 0 1 270.373 0.227 20 30 CCEDMN C#CCCCS(=O)(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000376314040 389733375 /nfs/dbraw/zinc/73/33/75/389733375.db2.gz MMHOYTGMLOLUSA-UHFFFAOYSA-N 0 1 282.369 0.727 20 30 CCEDMN CN(CC(=O)NCC1(C#N)CCC1)c1ncnc2[nH]cnc21 ZINC000416360541 389736567 /nfs/dbraw/zinc/73/65/67/389736567.db2.gz UFVMSGNFVOGWHV-UHFFFAOYSA-N 0 1 299.338 0.599 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C(C)(C)COC ZINC000429262858 389747887 /nfs/dbraw/zinc/74/78/87/389747887.db2.gz KIOCAPYRTFGHMF-UHFFFAOYSA-N 0 1 257.334 0.355 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000352439188 389810551 /nfs/dbraw/zinc/81/05/51/389810551.db2.gz OPWHVPUXSLQLQF-ZBFHGGJFSA-N 0 1 297.443 0.967 20 30 CCEDMN N#CCSCCCN1C[C@H]2[C@@H](CCS2(=O)=O)C1 ZINC000352973310 389843872 /nfs/dbraw/zinc/84/38/72/389843872.db2.gz ACXUXBDGJDYGFY-QWRGUYRKSA-N 0 1 274.411 0.752 20 30 CCEDMN COC(=O)[C@@H]1CCCN(C(=O)[C@@H](C)C#N)[C@H]1C(=O)OC ZINC000442126264 389844489 /nfs/dbraw/zinc/84/44/89/389844489.db2.gz IMKXTUBKWIBPRN-IVZWLZJFSA-N 0 1 282.296 0.099 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2cnn(CC(N)=O)c2)cc1O ZINC000442620222 389851145 /nfs/dbraw/zinc/85/11/45/389851145.db2.gz LPGFHJHSTCLMOQ-UHFFFAOYSA-N 0 1 285.263 0.198 20 30 CCEDMN CCN(CC(=O)N1CCN(CC#N)CC1)C1CC1 ZINC000442724587 389859781 /nfs/dbraw/zinc/85/97/81/389859781.db2.gz ZUVQCVQLTNPWEO-UHFFFAOYSA-N 0 1 250.346 0.138 20 30 CCEDMN COC(=O)[C@@H](NC(=O)C(C)C#N)c1ccc(OC)c(O)c1 ZINC000354104050 389859819 /nfs/dbraw/zinc/85/98/19/389859819.db2.gz KXWXUNCNJBPLNA-UFBFGSQYSA-N 0 1 292.291 0.891 20 30 CCEDMN C=C(C)COCCNC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000419493673 389892947 /nfs/dbraw/zinc/89/29/47/389892947.db2.gz NBLWZQRFSCGRNM-KBPBESRZSA-N 0 1 299.415 0.676 20 30 CCEDMN C=CCOCC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000444582191 389909721 /nfs/dbraw/zinc/90/97/21/389909721.db2.gz YLJVMCHRXPSSBP-GFCCVEGCSA-N 0 1 270.373 0.493 20 30 CCEDMN CC1(C)CN(CC(=O)NCc2cccc(C#N)c2)C[C@@H]1O ZINC000447867539 389966932 /nfs/dbraw/zinc/96/69/32/389966932.db2.gz DHYCXUDLUQUMBT-AWEZNQCLSA-N 0 1 287.363 0.877 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCC1(CC#N)CC1 ZINC000435956190 389968016 /nfs/dbraw/zinc/96/80/16/389968016.db2.gz GJYFFJJJAHEVDM-GFCCVEGCSA-N 0 1 265.357 0.765 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](C)C[C@H](CO)C1 ZINC000420936040 390038846 /nfs/dbraw/zinc/03/88/46/390038846.db2.gz IDWRIMYZYKLVMO-STQMWFEESA-N 0 1 267.373 0.699 20 30 CCEDMN Cc1cc(C(=O)NC2(c3nn[nH]n3)CCCC2)ncc1C#N ZINC000457589258 390046953 /nfs/dbraw/zinc/04/69/53/390046953.db2.gz GVKSQRLPYKUCRA-UHFFFAOYSA-N 0 1 297.322 0.974 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H]3CC[C@@H](O)[C@@H]3C2)CC1 ZINC000490933671 390108839 /nfs/dbraw/zinc/10/88/39/390108839.db2.gz FZRNWUJMIXNUPJ-RRFJBIMHSA-N 0 1 276.380 0.561 20 30 CCEDMN CN1CC[C@H](NS(=O)(=O)Cc2ccc(CC#N)cc2)C1 ZINC000578985737 390131182 /nfs/dbraw/zinc/13/11/82/390131182.db2.gz YMVQNIZJVIFHPE-AWEZNQCLSA-N 0 1 293.392 0.876 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(C(C)(C)C(=O)OC)CC1 ZINC000494420033 390172001 /nfs/dbraw/zinc/17/20/01/390172001.db2.gz TYFUHDCTRHWZSM-ZDUSSCGKSA-N 0 1 284.400 0.883 20 30 CCEDMN COCC[N@@H+](C)C[C@@H](O)CSc1cc(C#N)ccn1 ZINC000582132510 390264612 /nfs/dbraw/zinc/26/46/12/390264612.db2.gz OTGAVAWIEMTMCI-GFCCVEGCSA-N 0 1 281.381 0.984 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N1CC(CC#N)C1 ZINC000594825649 390367631 /nfs/dbraw/zinc/36/76/31/390367631.db2.gz NVQOKTUPINYXKW-UHFFFAOYSA-N 0 1 259.375 0.503 20 30 CCEDMN N#Cc1ccncc1C(=O)N1CCN2CCC1CC2 ZINC000584013814 390334070 /nfs/dbraw/zinc/33/40/70/390334070.db2.gz QNQAIFYLTSZJHU-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN C=CCOCC[NH+]1CCN([C@@H]2CCC[N@@H+](C)C2)CC1 ZINC000645095065 390369950 /nfs/dbraw/zinc/36/99/50/390369950.db2.gz VOKNCPCXBWEWMH-OAHLLOKOSA-N 0 1 267.417 0.901 20 30 CCEDMN C=CCN(C)CN1C[C@@]2(CN3CCC2CC3)OC1=O ZINC000496295223 390335074 /nfs/dbraw/zinc/33/50/74/390335074.db2.gz OLQLVXUPCAQLIB-CQSZACIVSA-N 0 1 265.357 0.978 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1ccc2cncn2c1 ZINC000649181729 390511454 /nfs/dbraw/zinc/51/14/54/390511454.db2.gz HPLZPGHEWYRZPA-GFCCVEGCSA-N 0 1 257.293 0.838 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC000643522792 390460668 /nfs/dbraw/zinc/46/06/68/390460668.db2.gz GVZXWIVZQZWIAC-CYBMUJFWSA-N 0 1 281.400 0.867 20 30 CCEDMN CCOCCO[C@H](C)C(=O)NC1(C#N)CCN(C)CC1 ZINC000520711941 390588187 /nfs/dbraw/zinc/58/81/87/390588187.db2.gz IAFQGIIKBMRHOI-GFCCVEGCSA-N 0 1 283.372 0.532 20 30 CCEDMN CCc1nc(CNC(=O)N[C@@H]2CC[C@H](C#N)C2)n[nH]1 ZINC000566006146 390566663 /nfs/dbraw/zinc/56/66/63/390566663.db2.gz GPJALLLCVRTSMN-DTWKUNHWSA-N 0 1 262.317 0.859 20 30 CCEDMN COC(=O)c1ccc(N(C)Cc2nnc[nH]2)c(C#N)n1 ZINC000570943141 390726178 /nfs/dbraw/zinc/72/61/78/390726178.db2.gz YVGXXFYQYBKEGC-UHFFFAOYSA-N 0 1 272.268 0.494 20 30 CCEDMN N#CCN1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000527108409 390691496 /nfs/dbraw/zinc/69/14/96/390691496.db2.gz NHDWEDCPHKYYKM-UHFFFAOYSA-N 0 1 270.296 0.239 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cn[nH]c2-c2ccccn2)CCO1 ZINC000578806949 390903134 /nfs/dbraw/zinc/90/31/34/390903134.db2.gz YJOAKISRORIPQV-SNVBAGLBSA-N 0 1 283.291 0.836 20 30 CCEDMN CCN1CCN(C(=O)[C@H](C)n2cnc(C#N)n2)[C@H](C)C1 ZINC000341792778 130031417 /nfs/dbraw/zinc/03/14/17/130031417.db2.gz VOHAMLBOEXRXGP-MNOVXSKESA-N 0 1 276.344 0.263 20 30 CCEDMN C#CCNC(=O)[C@H](C)N[C@H](C)c1ccc2c(c1)CC(=O)N2C ZINC000264020945 131380437 /nfs/dbraw/zinc/38/04/37/131380437.db2.gz WJWSTCLQUZPSRO-NEPJUHHUSA-N 0 1 299.374 0.994 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)C[C@H](C)C(=O)OC ZINC000264994428 131535493 /nfs/dbraw/zinc/53/54/93/131535493.db2.gz RSNLZTFROWRCFA-UWVGGRQHSA-N 0 1 285.344 0.128 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(C(C)(C)COC)CC1 ZINC000644945611 397601994 /nfs/dbraw/zinc/60/19/94/397601994.db2.gz OSYDZWLOYDZWOV-UHFFFAOYSA-N 0 1 299.415 0.941 20 30 CCEDMN C=CCN(C(=O)C[C@H]1COCCN1)[C@@H](C)COC ZINC000659338828 413435397 /nfs/dbraw/zinc/43/53/97/413435397.db2.gz BESXAMLZQVRSCL-RYUDHWBXSA-N 0 1 256.346 0.414 20 30 CCEDMN CN1CCC[C@H]1CNS(=O)(=O)c1ccccc1C#N ZINC000221254039 411738005 /nfs/dbraw/zinc/73/80/05/411738005.db2.gz AITAGNIJDWUYHP-LBPRGKRZSA-N 0 1 279.365 0.931 20 30 CCEDMN N#Cc1ccc(NC(=O)CCN2CCC[C@@H]2C(N)=O)cc1 ZINC000020294692 171135437 /nfs/dbraw/zinc/13/54/37/171135437.db2.gz BZMRPHLRDJSHSB-CYBMUJFWSA-N 0 1 286.335 0.837 20 30 CCEDMN CNS(=O)(=O)N(CCN(C)C)Cc1ccc(C#N)cc1 ZINC000285015209 196178009 /nfs/dbraw/zinc/17/80/09/196178009.db2.gz FADLVBKYEIVHGM-UHFFFAOYSA-N 0 1 296.396 0.386 20 30 CCEDMN C[N@@H+](CCC(=O)Nc1sccc1C#N)CC(=O)[O-] ZINC000035305492 172120092 /nfs/dbraw/zinc/12/00/92/172120092.db2.gz PWLJZLKXXDWFEL-UHFFFAOYSA-N 0 1 267.310 0.965 20 30 CCEDMN C=CCN(CCOC)CCN1C(=O)CN(C)C1=O ZINC000660403058 414297672 /nfs/dbraw/zinc/29/76/72/414297672.db2.gz NOACLCGQROFFIR-UHFFFAOYSA-N 0 1 255.318 0.015 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](COC)OC)C1=O ZINC000660463383 414336381 /nfs/dbraw/zinc/33/63/81/414336381.db2.gz BYCFZUOEIUTYJW-NWDGAFQWSA-N 0 1 256.346 0.367 20 30 CCEDMN C=CCCn1cc(CN(C)Cc2n[nH]c(=O)o2)nn1 ZINC000660844656 414504400 /nfs/dbraw/zinc/50/44/00/414504400.db2.gz RYBXACGWSLJXSC-UHFFFAOYSA-N 0 1 264.289 0.575 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@](CO)(OC)C2)C1=O ZINC000661390089 414615187 /nfs/dbraw/zinc/61/51/87/414615187.db2.gz PUZXALUSDBMZNV-TZMCWYRMSA-N 0 1 268.357 0.247 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](CN2CCCC2=O)C1 ZINC000662058598 414689160 /nfs/dbraw/zinc/68/91/60/414689160.db2.gz CPJFGJDPJSROSC-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC[C@@H]1Cc2ccccc2O1 ZINC000662167004 414695093 /nfs/dbraw/zinc/69/50/93/414695093.db2.gz NDMXOQKSNGGHDW-OLZOCXBDSA-N 0 1 276.336 0.243 20 30 CCEDMN C=C[C@H](CO)NCc1cc(=O)n2cccc(C)c2n1 ZINC000662168080 414695330 /nfs/dbraw/zinc/69/53/30/414695330.db2.gz SOTSWWLEDNTGOF-LLVKDONJSA-N 0 1 259.309 0.639 20 30 CCEDMN C=C[C@H](CO)NCc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000662168467 414695350 /nfs/dbraw/zinc/69/53/50/414695350.db2.gz HMJVOBGGZALIQP-GFCCVEGCSA-N 0 1 284.381 0.573 20 30 CCEDMN C=C[C@H](CO)N[C@@H]1CCN(c2ccccc2OC)C1=O ZINC000662167228 414695380 /nfs/dbraw/zinc/69/53/80/414695380.db2.gz VPLMUZLTSQBNJH-VXGBXAGGSA-N 0 1 276.336 0.937 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)C(=O)N2CCN[C@H](C)C2)C1 ZINC000662953140 414913560 /nfs/dbraw/zinc/91/35/60/414913560.db2.gz KDNCMZKPZWRNDR-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)C(=O)N2CCN[C@@H](C)C2)C1 ZINC000662955925 414915052 /nfs/dbraw/zinc/91/50/52/414915052.db2.gz KDNCMZKPZWRNDR-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN(C(=O)NC[C@H]1CN(C)CCN1C)[C@H](C)COC ZINC000664083184 415236558 /nfs/dbraw/zinc/23/65/58/415236558.db2.gz OQALDJMKHYHLDV-KGLIPLIRSA-N 0 1 298.431 0.465 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCc1n[nH]c(C2CC2)n1 ZINC000664989086 415506888 /nfs/dbraw/zinc/50/68/88/415506888.db2.gz LGMOFSVOVDTBSC-MRVPVSSYSA-N 0 1 251.290 0.028 20 30 CCEDMN C[C@@H]1CN(C(=O)N[C@H]2[C@@H]3COC[C@@H]32)CCN1CC(C)(C)O ZINC000330003751 228014046 /nfs/dbraw/zinc/01/40/46/228014046.db2.gz AMZVEQZCTCPYBN-NDBYEHHHSA-N 0 1 297.399 0.322 20 30 CCEDMN O=C(CNC(=O)[C@H]1CCCN1C1CC1)N1CCOCC1 ZINC000330217015 228069575 /nfs/dbraw/zinc/06/95/75/228069575.db2.gz IDPUVAXATAJMDL-GFCCVEGCSA-N 0 1 281.356 0.429 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@H](C)N1C ZINC000331933844 228139814 /nfs/dbraw/zinc/13/98/14/228139814.db2.gz WWBNXXJQVRBPBF-TYNCELHUSA-N 0 1 278.400 0.819 20 30 CCEDMN N#CCCCN1CCC([C@@H](O)C(=O)NC2CC2)CC1 ZINC000332154295 228150620 /nfs/dbraw/zinc/15/06/20/228150620.db2.gz PKGGZIFENVLFNF-CYBMUJFWSA-N 0 1 265.357 0.642 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)N2CC[C@@H](c3nc[nH]n3)C2)C1 ZINC000333396670 228199757 /nfs/dbraw/zinc/19/97/57/228199757.db2.gz NDCIGHBOWDUKII-HBNTYKKESA-N 0 1 274.328 0.996 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N1CC[C@H](N2CC=CC2)C1 ZINC000297934483 260138224 /nfs/dbraw/zinc/13/82/24/260138224.db2.gz SPNRLTJXIYLPPV-AWEZNQCLSA-N 0 1 291.395 0.884 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)[C@H](C)CN1C ZINC000408075328 260214469 /nfs/dbraw/zinc/21/44/69/260214469.db2.gz YVLXAUDHEIBTQF-LALPHHSUSA-N 0 1 278.400 0.819 20 30 CCEDMN Cn1c(C(=O)NC2(C#N)CCN(C)CC2)ccc1[N+](=O)[O-] ZINC000518299860 260371537 /nfs/dbraw/zinc/37/15/37/260371537.db2.gz MIEPYUNPEODRQJ-UHFFFAOYSA-N 0 1 291.311 0.651 20 30 CCEDMN CN(CCC(=O)N(C)CCC#N)Cc1cnn(C)c1 ZINC000048972026 260657734 /nfs/dbraw/zinc/65/77/34/260657734.db2.gz OTZHRJZMCIFFNT-UHFFFAOYSA-N 0 1 263.345 0.614 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@H](C#N)C2)CC1 ZINC000490615484 261168751 /nfs/dbraw/zinc/16/87/51/261168751.db2.gz FIZJPOXUEHAURD-CYBMUJFWSA-N 0 1 261.325 0.083 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)[C@H]1CO ZINC000248967667 261227048 /nfs/dbraw/zinc/22/70/48/261227048.db2.gz RZZREDRIHYVKRD-OSMZGAPFSA-N 0 1 265.357 0.498 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC([C@@H](C)NC(C)=O)CC1 ZINC000491037259 261301392 /nfs/dbraw/zinc/30/13/92/261301392.db2.gz CPTAQDBPSMJUCQ-VXGBXAGGSA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC(C)(COC)CC2)C1=O ZINC000491682617 261795181 /nfs/dbraw/zinc/79/51/81/261795181.db2.gz SUTSOGOOXFAJAW-GFCCVEGCSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)c1c(C)cnn1C ZINC000491744101 261979785 /nfs/dbraw/zinc/97/97/85/261979785.db2.gz VAPXWHXSCUFCPJ-LBPRGKRZSA-N 0 1 261.325 0.983 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCCCC(=O)N1 ZINC000491810417 262082156 /nfs/dbraw/zinc/08/21/56/262082156.db2.gz IHYJQOLBIJNJHN-OLZOCXBDSA-N 0 1 278.352 0.686 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cn(C)nc2-c2cnn(C)c2)=NO1 ZINC000364156320 262159995 /nfs/dbraw/zinc/15/99/95/262159995.db2.gz NGFZQLNCIBCJKN-QMMMGPOBSA-N 0 1 288.311 0.673 20 30 CCEDMN C[C@@H](C#N)CNC[C@@H](O)CN(C)C(=O)OC(C)(C)C ZINC000497009285 262362173 /nfs/dbraw/zinc/36/21/73/262362173.db2.gz RYUDBRPPPVPNBN-WDEREUQCSA-N 0 1 271.361 0.963 20 30 CCEDMN C[C@H](CC#N)NC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000112280176 262488088 /nfs/dbraw/zinc/48/80/88/262488088.db2.gz KFEDOFQXKRBOQB-GFCCVEGCSA-N 0 1 287.367 0.865 20 30 CCEDMN C=CCNC(=O)CN(CCNC(C)=O)C1CCSCC1 ZINC000338586429 262550387 /nfs/dbraw/zinc/55/03/87/262550387.db2.gz BUBHDWZILNNVRP-UHFFFAOYSA-N 0 1 299.440 0.622 20 30 CCEDMN C=CCC[C@H](O)CN(CCNS(C)(=O)=O)C1CC1 ZINC000340219267 263096753 /nfs/dbraw/zinc/09/67/53/263096753.db2.gz PSNFBTDLKCKORO-LBPRGKRZSA-N 0 1 276.402 0.327 20 30 CCEDMN N#C[C@@H]1CC[C@H](N2CCN(c3ccc(C(N)=O)cn3)CC2)C1 ZINC000292877590 263135933 /nfs/dbraw/zinc/13/59/33/263135933.db2.gz TWINHIVBOZMFKA-OCCSQVGLSA-N 0 1 299.378 0.995 20 30 CCEDMN CCO[C@H]1C[C@@H]([N@@H+](C)CC(=O)NCC#N)C1(C)C ZINC000120590481 263287720 /nfs/dbraw/zinc/28/77/20/263287720.db2.gz TVAWYWNJQGAKCZ-MNOVXSKESA-N 0 1 253.346 0.762 20 30 CCEDMN CCO[C@H]1C[C@@H](N(C)CC(=O)NCC#N)C1(C)C ZINC000120590481 263287721 /nfs/dbraw/zinc/28/77/21/263287721.db2.gz TVAWYWNJQGAKCZ-MNOVXSKESA-N 0 1 253.346 0.762 20 30 CCEDMN CC#CCNC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000121529195 263294906 /nfs/dbraw/zinc/29/49/06/263294906.db2.gz JLSCRWJTSUIWGR-UHFFFAOYSA-N 0 1 284.319 0.822 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2ncc(C(N)=O)s2)CC1 ZINC000122376316 263302249 /nfs/dbraw/zinc/30/22/49/263302249.db2.gz LUAYBTASJJWNGZ-UHFFFAOYSA-N 0 1 292.364 0.526 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCN(C(C)C)CC1 ZINC000045779857 263302845 /nfs/dbraw/zinc/30/28/45/263302845.db2.gz AJRQSEQPUXEKJB-GFCCVEGCSA-N 0 1 282.388 0.413 20 30 CCEDMN CCN(CC(=O)N1CCN(C2CC2)[C@H](C#N)C1)C1CC1 ZINC000366712214 263303541 /nfs/dbraw/zinc/30/35/41/263303541.db2.gz VMEIFHLLIHTSBW-CQSZACIVSA-N 0 1 276.384 0.669 20 30 CCEDMN CN(C)C(=O)C[C@H](NCC(=O)NCC#N)c1ccccc1 ZINC000341450830 263335601 /nfs/dbraw/zinc/33/56/01/263335601.db2.gz JORLQBMFMRFTRV-ZDUSSCGKSA-N 0 1 288.351 0.435 20 30 CCEDMN COCC1(C#N)CCN(CCN2CCOCC2)CC1 ZINC000341986654 263380827 /nfs/dbraw/zinc/38/08/27/263380827.db2.gz ZULUGEORZOGPTI-UHFFFAOYSA-N 0 1 267.373 0.571 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000342033897 263385941 /nfs/dbraw/zinc/38/59/41/263385941.db2.gz BXIWVYFUUZDBOA-STQMWFEESA-N 0 1 253.390 0.951 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1CCN(C)CC[C@@H]1C ZINC000342479861 263431763 /nfs/dbraw/zinc/43/17/63/263431763.db2.gz MTAXBXSFXFPJEQ-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN C[C@H](Cn1ccnc1)[NH+]=C([O-])N1CC[C@@H](CO)C1 ZINC000330830250 264050060 /nfs/dbraw/zinc/05/00/60/264050060.db2.gz UFLHWDGKZCNBSV-GHMZBOCLSA-N 0 1 252.318 0.500 20 30 CCEDMN CC[C@@H]1COCCN1C(=O)NCCn1cncn1 ZINC000330832474 264050479 /nfs/dbraw/zinc/05/04/79/264050479.db2.gz YWJORPCAVCFJSU-SNVBAGLBSA-N 0 1 253.306 0.303 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N(C)Cc2cc[nH]n2)C1 ZINC000330859443 264055515 /nfs/dbraw/zinc/05/55/15/264055515.db2.gz UISWWUPUSGCJKO-LLVKDONJSA-N 0 1 281.360 0.476 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N[C@H](C)CCS(C)(=O)=O ZINC000330846657 264067052 /nfs/dbraw/zinc/06/70/52/264067052.db2.gz OZENGSYMRMAUTH-MRVPVSSYSA-N 0 1 288.373 0.545 20 30 CCEDMN CC(C)N(C[C@H](C)O)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000330952375 264086886 /nfs/dbraw/zinc/08/68/86/264086886.db2.gz IQZZHWYURZSVBK-QWHCGFSZSA-N 0 1 286.420 0.237 20 30 CCEDMN CCOCCNC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000331110627 264127289 /nfs/dbraw/zinc/12/72/89/264127289.db2.gz BQRSYXCJAWQMML-ZDUSSCGKSA-N 0 1 285.388 0.591 20 30 CCEDMN COc1ccc(O)cc1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000331265328 264185797 /nfs/dbraw/zinc/18/57/97/264185797.db2.gz BJUPVYZQAWTYEP-LLVKDONJSA-N 0 1 293.367 0.951 20 30 CCEDMN CCCNC(=O)NC(=O)CN1CC[C@H](SC)C1 ZINC000331349747 264206817 /nfs/dbraw/zinc/20/68/17/264206817.db2.gz XYWLOEAYHZEMPB-VIFPVBQESA-N 0 1 259.375 0.864 20 30 CCEDMN N#CCCCCN1CCN(CC(=O)N2CCOCC2)CC1 ZINC000072980139 264282606 /nfs/dbraw/zinc/28/26/06/264282606.db2.gz VEOPUFFPJYCTGG-UHFFFAOYSA-N 0 1 294.399 0.157 20 30 CCEDMN C=CCC[C@@H](O)CN1CCc2c(ncn(CC)c2=O)C1 ZINC000281131595 264467440 /nfs/dbraw/zinc/46/74/40/264467440.db2.gz YKVXKMOECMQNFN-GFCCVEGCSA-N 0 1 277.368 0.948 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@H](C)N1CCCCCC1 ZINC000181680650 264477085 /nfs/dbraw/zinc/47/70/85/264477085.db2.gz GZVWFWICXCCCMQ-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN C[C@@]1(CO)CCCN1CC(=O)NC1(C#N)CCC1 ZINC000525885700 265031839 /nfs/dbraw/zinc/03/18/39/265031839.db2.gz DYXCJDCDMINIOQ-LBPRGKRZSA-N 0 1 251.330 0.396 20 30 CCEDMN C[C@]1(CO)CCCN1CC(=O)NC1(C#N)CCC1 ZINC000525885699 265032140 /nfs/dbraw/zinc/03/21/40/265032140.db2.gz DYXCJDCDMINIOQ-GFCCVEGCSA-N 0 1 251.330 0.396 20 30 CCEDMN N#CCCCCS(=O)(=O)NCCN1CCCC1 ZINC000353057065 266026609 /nfs/dbraw/zinc/02/66/09/266026609.db2.gz UYOOPCFIMHGYFF-UHFFFAOYSA-N 0 1 259.375 0.695 20 30 CCEDMN C=CCOCC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000354305648 266044120 /nfs/dbraw/zinc/04/41/20/266044120.db2.gz RTJJRBFLRRXQFZ-BETUJISGSA-N 0 1 270.373 0.805 20 30 CCEDMN N#CCCCCNC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000368987708 267216643 /nfs/dbraw/zinc/21/66/43/267216643.db2.gz SXDSUHLLLBROJD-UONOGXRCSA-N 0 1 294.399 0.921 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@@]2(CNC(=O)C2)C1 ZINC000369380203 267238768 /nfs/dbraw/zinc/23/87/68/267238768.db2.gz RYVOQTAOWFODML-SWLSCSKDSA-N 0 1 292.383 0.207 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cc(C#N)c[nH]2)CC1 ZINC000531122579 267331895 /nfs/dbraw/zinc/33/18/95/267331895.db2.gz GUVPDWVDRTXVLR-UHFFFAOYSA-N 0 1 257.297 0.604 20 30 CCEDMN N#CCC(=O)NC[C@H]1C[C@@H](O)CN1Cc1ccccc1 ZINC000376923154 268030596 /nfs/dbraw/zinc/03/05/96/268030596.db2.gz OQQUVNQKKIQGRE-ZIAGYGMSSA-N 0 1 273.336 0.652 20 30 CCEDMN N#CCC(=O)NC[C@@H]1C[C@@H](O)CN1Cc1ccccc1 ZINC000376923153 268030700 /nfs/dbraw/zinc/03/07/00/268030700.db2.gz OQQUVNQKKIQGRE-UONOGXRCSA-N 0 1 273.336 0.652 20 30 CCEDMN CN1CCCN(C(=O)C(=O)Nc2ccc(C#N)cn2)CC1 ZINC000340230308 277047194 /nfs/dbraw/zinc/04/71/94/277047194.db2.gz KFWHGDIFFVZFRH-UHFFFAOYSA-N 0 1 287.323 0.056 20 30 CCEDMN CN1C[C@H](NC(=O)[C@H]2CCCN2C2CC2)CC1=O ZINC000330271773 277201440 /nfs/dbraw/zinc/20/14/40/277201440.db2.gz WMZXPDTVOSVGSA-MWLCHTKSSA-N 0 1 251.330 0.800 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2nccc(C)c2C#N)C1 ZINC000413498967 277362694 /nfs/dbraw/zinc/36/26/94/277362694.db2.gz NAUXDGMVSOLFLN-GFCCVEGCSA-N 0 1 288.351 0.803 20 30 CCEDMN C=CCCS(=O)(=O)N1C[C@H](SC)[C@@H](N(C)C)C1 ZINC000348344409 277835737 /nfs/dbraw/zinc/83/57/37/277835737.db2.gz WRQJIINAASADNU-QWRGUYRKSA-N 0 1 278.443 0.870 20 30 CCEDMN O=C(NC1CCOCC1)N1CCn2cncc2C1 ZINC000329621048 295377117 /nfs/dbraw/zinc/37/71/17/295377117.db2.gz RJVNZDMQSXPQHD-UHFFFAOYSA-N 0 1 250.302 0.792 20 30 CCEDMN CC1(C)CCN(CC(=O)N[C@]2(C#N)CCOC2)C1 ZINC000563390931 328060374 /nfs/dbraw/zinc/06/03/74/328060374.db2.gz HOGSJMZIOJIBOS-ZDUSSCGKSA-N 0 1 251.330 0.517 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1C[C@H](O)C[C@H](C)C1 ZINC000412044257 328102693 /nfs/dbraw/zinc/10/26/93/328102693.db2.gz WOMGVSFOHDCWLD-RWMBFGLXSA-N 0 1 267.373 0.697 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H](CO)[C@H]1C ZINC000412083293 328102850 /nfs/dbraw/zinc/10/28/50/328102850.db2.gz PTGNHWUQCSMPMG-GHMZBOCLSA-N 0 1 269.345 0.091 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2cnccn2)CC1 ZINC000122520694 328396791 /nfs/dbraw/zinc/39/67/91/328396791.db2.gz NYRYLQSKPYWKBW-CYBMUJFWSA-N 0 1 262.357 0.926 20 30 CCEDMN CCc1nc(C(=O)NCCN2CCN(C)CC2)co1 ZINC000331048568 328475772 /nfs/dbraw/zinc/47/57/72/328475772.db2.gz HGWJFXZTKNPHCM-UHFFFAOYSA-N 0 1 266.345 0.789 20 30 CCEDMN COc1cnccc1[C@H](C)NC[C@@H](O)CN(C)CCC#N ZINC000568620046 327005457 /nfs/dbraw/zinc/00/54/57/327005457.db2.gz FYKPSSUUHAFBSE-QWHCGFSZSA-N 0 1 292.383 0.947 20 30 CCEDMN N#CCC[C@H](C#N)CNCCNC(=O)c1cnccn1 ZINC000558506867 327099724 /nfs/dbraw/zinc/09/97/24/327099724.db2.gz RTZZQFLYHMMCRW-LLVKDONJSA-N 0 1 272.312 0.240 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCc1nc[nH]n1 ZINC000560710667 327338371 /nfs/dbraw/zinc/33/83/71/327338371.db2.gz KEERHTKDDHLXLJ-SNVBAGLBSA-N 0 1 252.318 0.835 20 30 CCEDMN COc1cccc(OC)c1OC[C@H](O)CNCC#CCO ZINC000578989175 327354099 /nfs/dbraw/zinc/35/40/99/327354099.db2.gz YTZUCNKEYOHGIE-GFCCVEGCSA-N 0 1 295.335 0.029 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN2CC[C@@H](C)C2)C1=O ZINC000566459211 327376655 /nfs/dbraw/zinc/37/66/55/327376655.db2.gz HJUIJGDGALYGRO-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@@H](OCCO)C1 ZINC000172294467 329084452 /nfs/dbraw/zinc/08/44/52/329084452.db2.gz MQUFEGPUBNVNCV-CQSZACIVSA-N 0 1 284.400 0.884 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NCCC(C)(C)C(=O)[O-] ZINC000392828639 329388244 /nfs/dbraw/zinc/38/82/44/329388244.db2.gz DNSSRCFJWVTWMI-UHFFFAOYSA-N 0 1 283.372 0.742 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(OCCO)CC1 ZINC000135392968 329666259 /nfs/dbraw/zinc/66/62/59/329666259.db2.gz RUHKSURNNZPMAY-NSHDSACASA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1C[C@@H](C)NC[C@H]1C ZINC000419066171 329707254 /nfs/dbraw/zinc/70/72/54/329707254.db2.gz QGHVLWHUVSZSFC-GHMZBOCLSA-N 0 1 253.346 0.278 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1 ZINC000419066882 329707310 /nfs/dbraw/zinc/70/73/10/329707310.db2.gz RHYCSPRPIHMHCU-QWRGUYRKSA-N 0 1 253.346 0.278 20 30 CCEDMN C=CCOc1ccccc1CNC(=O)[C@@H](N)CC(=O)OC ZINC000423189215 330175740 /nfs/dbraw/zinc/17/57/40/330175740.db2.gz QNVIZPGXIOPRGH-LBPRGKRZSA-N 0 1 292.335 0.758 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CCNC[C@H]1CCC ZINC000423718544 330214659 /nfs/dbraw/zinc/21/46/59/330214659.db2.gz LIWKKDDHAKYEJF-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN N#CCCCS(=O)(=O)NC[C@H]1CCCCN1CCO ZINC000451673463 331038368 /nfs/dbraw/zinc/03/83/68/331038368.db2.gz VRZXLBSTNHDFKV-GFCCVEGCSA-N 0 1 289.401 0.056 20 30 CCEDMN N#CCCCS(=O)(=O)NC[C@@H]1CCCCN1CCO ZINC000451673465 331038371 /nfs/dbraw/zinc/03/83/71/331038371.db2.gz VRZXLBSTNHDFKV-LBPRGKRZSA-N 0 1 289.401 0.056 20 30 CCEDMN C=CC(C)(C)CNC(=O)NC[C@H]1CN(C)CCN1C ZINC000454477824 331147036 /nfs/dbraw/zinc/14/70/36/331147036.db2.gz DCHGYEUWGLFTPR-LBPRGKRZSA-N 0 1 268.405 0.744 20 30 CCEDMN C=C(C)CCNC(=O)NCCN1CCCOCC1 ZINC000455014980 331159017 /nfs/dbraw/zinc/15/90/17/331159017.db2.gz ZTRDOWKVWXGFKR-UHFFFAOYSA-N 0 1 255.362 0.974 20 30 CCEDMN C#CCN(CC)C(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000490650653 332099834 /nfs/dbraw/zinc/09/98/34/332099834.db2.gz OUEMCIBDELEMRK-UHFFFAOYSA-N 0 1 286.379 0.929 20 30 CCEDMN C#CCN1CCN(C(=O)CN(CCO)CCCCC)CC1 ZINC000490739698 332110638 /nfs/dbraw/zinc/11/06/38/332110638.db2.gz GFVMXYRWIFMYLI-UHFFFAOYSA-N 0 1 295.427 0.248 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@@H]2C#N)CC1 ZINC000490800510 332116314 /nfs/dbraw/zinc/11/63/14/332116314.db2.gz SHPBARZKTULRHO-ZDUSSCGKSA-N 0 1 261.325 0.083 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(C2(C)OCCO2)CC1 ZINC000490865332 332121443 /nfs/dbraw/zinc/12/14/43/332121443.db2.gz AUALTXHFFATMEZ-LBPRGKRZSA-N 0 1 280.368 0.599 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(OCCOCC)C2)CC1 ZINC000490924670 332129820 /nfs/dbraw/zinc/12/98/20/332129820.db2.gz QZMAMGABVXZOTO-UHFFFAOYSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H]3CC[C@H](O)[C@@H]3C2)CC1 ZINC000490933673 332130360 /nfs/dbraw/zinc/13/03/60/332130360.db2.gz FZRNWUJMIXNUPJ-ZNMIVQPWSA-N 0 1 276.380 0.561 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CC(=O)N[C@@H](C)C1 ZINC000490949920 332132396 /nfs/dbraw/zinc/13/23/96/332132396.db2.gz MXUJMGHCXFGXKF-YNEHKIRRSA-N 0 1 278.352 0.542 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C)C[C@@H]2C(N)=O)CC1 ZINC000491047647 332143175 /nfs/dbraw/zinc/14/31/75/332143175.db2.gz VAUXWSLFPQTELN-TZMCWYRMSA-N 0 1 291.395 0.444 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N=c1[nH]n(C)cc1C(=O)OCC ZINC000491142892 332155516 /nfs/dbraw/zinc/15/55/16/332155516.db2.gz DLMNYHRJXRKHRC-SNVBAGLBSA-N 0 1 292.339 0.942 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)N(C)C2CC2)CC1 ZINC000491191786 332162828 /nfs/dbraw/zinc/16/28/28/332162828.db2.gz HUQJLKSWIXCXFY-UHFFFAOYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CC[N@H+](C)CCCN=c1nc(CCOC)[n-]s1 ZINC000491202232 332164988 /nfs/dbraw/zinc/16/49/88/332164988.db2.gz MWBXUWHDDUGPFE-UHFFFAOYSA-N 0 1 268.386 0.516 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@](C)(C(=O)OC)C1 ZINC000491408896 332207045 /nfs/dbraw/zinc/20/70/45/332207045.db2.gz XPBIAIZBFFMKLR-BXUZGUMPSA-N 0 1 266.341 0.399 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)CCCSC)CC1 ZINC000491450776 332213249 /nfs/dbraw/zinc/21/32/49/332213249.db2.gz CFDQGLALYJOTJR-UHFFFAOYSA-N 0 1 283.441 0.449 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1ccc(SC)cc1 ZINC000491452968 332214375 /nfs/dbraw/zinc/21/43/75/332214375.db2.gz OWICNHZTGBDQFB-NWDGAFQWSA-N 0 1 281.377 0.732 20 30 CCEDMN C#CCN1CCN(C(=O)CN(CC)C[C@@H](C)OC)CC1 ZINC000491460698 332215618 /nfs/dbraw/zinc/21/56/18/332215618.db2.gz ASMBKJUAMXNCQA-CQSZACIVSA-N 0 1 281.400 0.121 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@@H](SC)C2)CC1 ZINC000491644539 332262863 /nfs/dbraw/zinc/26/28/63/332262863.db2.gz KKXGUKNQLDFYEN-CYBMUJFWSA-N 0 1 281.425 0.201 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC(C)(C)CO)CC1 ZINC000491677324 332282268 /nfs/dbraw/zinc/28/22/68/332282268.db2.gz YCJGPSDLDFBUQU-UHFFFAOYSA-N 0 1 266.385 0.809 20 30 CCEDMN C#C[C@H](CC)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000491697010 332292220 /nfs/dbraw/zinc/29/22/20/332292220.db2.gz PDZFLWLIBQLFEI-SSDOTTSWSA-N 0 1 264.247 0.972 20 30 CCEDMN C#CCn1ccc(CN(CC)CCCC(=O)NC)n1 ZINC000491772685 332338840 /nfs/dbraw/zinc/33/88/40/332338840.db2.gz UEPOPQWKABGMFX-UHFFFAOYSA-N 0 1 262.357 0.864 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(C2CCOCC2)CC1 ZINC000491804403 332358152 /nfs/dbraw/zinc/35/81/52/332358152.db2.gz LTSWFDONMVBVSD-CYBMUJFWSA-N 0 1 279.384 0.904 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](C)c1cn[nH]c1 ZINC000494637651 332481151 /nfs/dbraw/zinc/48/11/51/332481151.db2.gz MMKXRHNSMAQELU-MRVPVSSYSA-N 0 1 251.290 0.072 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(C)(CO)CC2)CC1 ZINC000183716979 333121795 /nfs/dbraw/zinc/12/17/95/333121795.db2.gz RTNZZEOQUNKFLJ-UHFFFAOYSA-N 0 1 278.396 0.953 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](C2(C)OCCO2)C1 ZINC000183887624 333123195 /nfs/dbraw/zinc/12/31/95/333123195.db2.gz UZONYQXUKPHRGG-STQMWFEESA-N 0 1 280.368 0.599 20 30 CCEDMN CCN(CCC(=O)N(C)CCC#N)C[C@@H](O)C(F)(F)F ZINC000189459462 333193253 /nfs/dbraw/zinc/19/32/53/333193253.db2.gz YYYURNUCZPXZRY-SNVBAGLBSA-N 0 1 295.305 0.994 20 30 CCEDMN Cn1ccnc1N1CCN(C[C@H](O)CC2(C#N)CC2)CC1 ZINC000528426606 333436338 /nfs/dbraw/zinc/43/63/38/333436338.db2.gz VGCLIASNNPHKAX-CYBMUJFWSA-N 0 1 289.383 0.597 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000529909960 333513636 /nfs/dbraw/zinc/51/36/36/333513636.db2.gz RHSKGQRMERXHNJ-HNNXBMFYSA-N 0 1 282.384 0.637 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C(N)=O)CC[C@H]2C)CC1 ZINC000068096388 333670614 /nfs/dbraw/zinc/67/06/14/333670614.db2.gz RZTIFWLOSNVJQE-OCCSQVGLSA-N 0 1 291.395 0.444 20 30 CCEDMN CN(CCNS(=O)(=O)CC1(C#N)CC1)C1CC1 ZINC000546619899 334040700 /nfs/dbraw/zinc/04/07/00/334040700.db2.gz YISPUBOWWVOGCY-UHFFFAOYSA-N 0 1 257.359 0.304 20 30 CCEDMN C[C@@H]1CC[C@H](C)N1CC(=O)N[C@]1(C#N)CCOC1 ZINC000547488335 334092968 /nfs/dbraw/zinc/09/29/68/334092968.db2.gz PFEXTBLRMQWXMF-LOWVWBTDSA-N 0 1 251.330 0.658 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCCN([C@@H]2CC[C@H](C#N)C2)C1 ZINC000549919410 334208512 /nfs/dbraw/zinc/20/85/12/334208512.db2.gz IFRHCZDSNGYLLK-QJPTWQEYSA-N 0 1 271.386 0.692 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)N1CCNCC1 ZINC000553614941 334393462 /nfs/dbraw/zinc/39/34/62/334393462.db2.gz BMRDGFBUAUJAPG-UHFFFAOYSA-N 0 1 275.308 0.377 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NCC(=O)Nc1cc(C)on1 ZINC000566544104 334654820 /nfs/dbraw/zinc/65/48/20/334654820.db2.gz URZLNVJZEXJCRS-ZJUUUORDSA-N 0 1 251.286 0.277 20 30 CCEDMN N#CCc1ccc(OC[C@H](O)CNCC#CCO)cc1 ZINC000576943905 335267552 /nfs/dbraw/zinc/26/75/52/335267552.db2.gz MJARCGMYFAZARZ-CQSZACIVSA-N 0 1 274.320 0.078 20 30 CCEDMN CC(=O)c1ccc(OC[C@@H](O)CNCC#CCO)cc1 ZINC000579353893 335738236 /nfs/dbraw/zinc/73/82/36/335738236.db2.gz LGPYOCCEAOHHTL-AWEZNQCLSA-N 0 1 277.320 0.214 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000182848594 335846741 /nfs/dbraw/zinc/84/67/41/335846741.db2.gz RQVGIBUMEUNXSF-LLVKDONJSA-N 0 1 268.361 0.244 20 30 CCEDMN C=CCCCCCNC(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000580845006 335975138 /nfs/dbraw/zinc/97/51/38/335975138.db2.gz WQHTUNCMMFBHIR-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN CC[C@H](CS(=O)(=O)Nc1cc(C)c(C#N)cn1)OC ZINC000581835013 336149898 /nfs/dbraw/zinc/14/98/98/336149898.db2.gz JSMWKLQEKFLBNC-LLVKDONJSA-N 0 1 283.353 0.850 20 30 CCEDMN N#CCC1(CS(=O)(=O)NCCN2CCCC2)CC1 ZINC000333630562 534588479 /nfs/dbraw/zinc/58/84/79/534588479.db2.gz AELOUXQYHPGGEA-UHFFFAOYSA-N 0 1 271.386 0.695 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)NCc1n[nH]c(C2CC2)n1 ZINC000452430145 534675910 /nfs/dbraw/zinc/67/59/10/534675910.db2.gz ICTGAROBJDDPCO-UHFFFAOYSA-N 0 1 270.296 0.822 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC[C@@H](C)F)CC1 ZINC000352097254 526536290 /nfs/dbraw/zinc/53/62/90/526536290.db2.gz QAHMQFPVAHHFON-GFCCVEGCSA-N 0 1 257.353 0.654 20 30 CCEDMN C=CCCCNC(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC000669289705 545204570 /nfs/dbraw/zinc/20/45/70/545204570.db2.gz QDHBFAHIDDTXLF-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN CCN(CCC(=O)OC)CC(=O)N(CC)C[C@H](C)C#N ZINC000352802073 528404231 /nfs/dbraw/zinc/40/42/31/528404231.db2.gz CYEOBATZGZYMRN-GFCCVEGCSA-N 0 1 283.372 0.880 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC000671698850 545257981 /nfs/dbraw/zinc/25/79/81/545257981.db2.gz NRSFKENCDCHKKM-STQMWFEESA-N 0 1 290.429 0.527 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC[C@H](CN(C)S(C)(=O)=O)C1 ZINC000671698847 545258169 /nfs/dbraw/zinc/25/81/69/545258169.db2.gz NRSFKENCDCHKKM-CHWSQXEVSA-N 0 1 290.429 0.527 20 30 CCEDMN C=CCCOCCNC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000672982793 545276659 /nfs/dbraw/zinc/27/66/59/545276659.db2.gz UQEWUAFKRHGTRB-UHFFFAOYSA-N 0 1 299.415 0.677 20 30 CCEDMN CC[C@@H]1CN(C(=O)N[C@H]2[C@@H]3COC[C@@H]32)CCN1C[C@@H](C)O ZINC000329994875 529346072 /nfs/dbraw/zinc/34/60/72/529346072.db2.gz MPCNFXQJMOXPCT-POQQGIQPSA-N 0 1 297.399 0.322 20 30 CCEDMN CCO[C@@H]1C[C@H](O)C12CCN(CC#CCOC)CC2 ZINC000677133464 545358517 /nfs/dbraw/zinc/35/85/17/545358517.db2.gz XCZRCMWHPXWZKQ-UONOGXRCSA-N 0 1 267.369 0.888 20 30 CCEDMN C=CCOCCNC(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000679629280 545412512 /nfs/dbraw/zinc/41/25/12/545412512.db2.gz VGIIRKIUYNUECR-VIFPVBQESA-N 0 1 267.333 0.930 20 30 CCEDMN COCCC[C@@H](C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000349190918 536649668 /nfs/dbraw/zinc/64/96/68/536649668.db2.gz LDLGTYNNXRZMTA-SECBINFHSA-N 0 1 250.302 0.715 20 30 CCEDMN C=CCCOCC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000682026162 545470343 /nfs/dbraw/zinc/47/03/43/545470343.db2.gz GNKIOSGHGBDOOG-CYBMUJFWSA-N 0 1 284.400 0.883 20 30 CCEDMN N#CCNC(=O)COC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777079785 581105963 /nfs/dbraw/zinc/10/59/63/581105963.db2.gz HDYBBTBPNHCCJO-UHFFFAOYSA-N 0 1 276.296 0.014 20 30 CCEDMN CC[C@@]1(CO)CCCN(CC(=O)NCCC#N)C1 ZINC000778329157 581152563 /nfs/dbraw/zinc/15/25/63/581152563.db2.gz SLQSEGKGQOQSLD-CYBMUJFWSA-N 0 1 253.346 0.501 20 30 CCEDMN CC(C)(C#N)CS(=O)(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000790032368 581172421 /nfs/dbraw/zinc/17/24/21/581172421.db2.gz SOOPEPSMOPMDJP-UHFFFAOYSA-N 0 1 297.384 0.864 20 30 CCEDMN CC(=NNC1=[NH+]C[C@@H](C)N1)c1ccc([O-])c([N+](=O)[O-])c1 ZINC000779797094 581206097 /nfs/dbraw/zinc/20/60/97/581206097.db2.gz ACQDAKBRYFDOSR-SSDOTTSWSA-N 0 1 277.284 0.962 20 30 CCEDMN C#C[C@@H](C)NC(=O)C(=O)Nc1cc2cn[nH]c2cc1C ZINC000792285555 581256904 /nfs/dbraw/zinc/25/69/04/581256904.db2.gz BLJBSYQJRVTZLQ-SECBINFHSA-N 0 1 270.292 0.948 20 30 CCEDMN CCNC(=O)C[C@H]1CCCCN1CC(=O)NCCC#N ZINC000792930934 581276426 /nfs/dbraw/zinc/27/64/26/581276426.db2.gz MRIPCNKSOCNIDJ-GFCCVEGCSA-N 0 1 280.372 0.397 20 30 CCEDMN CCN1CCN(CC(=O)NCc2ccc(C#N)cn2)CC1 ZINC000782018563 581285415 /nfs/dbraw/zinc/28/54/15/581285415.db2.gz MZAYLWSOJLXJAU-UHFFFAOYSA-N 0 1 287.367 0.207 20 30 CCEDMN N#CCOc1ccc(C[N@@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000738426154 581317896 /nfs/dbraw/zinc/31/78/96/581317896.db2.gz NDURZDRVKQPYJW-ZDUSSCGKSA-N 0 1 276.292 0.874 20 30 CCEDMN N#CCOc1ccc(C[N@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000738426154 581317898 /nfs/dbraw/zinc/31/78/98/581317898.db2.gz NDURZDRVKQPYJW-ZDUSSCGKSA-N 0 1 276.292 0.874 20 30 CCEDMN C#CCN1CCN(C(=O)CN[C@H](C)c2cccnc2)CC1 ZINC000782978245 581330861 /nfs/dbraw/zinc/33/08/61/581330861.db2.gz FJHHGYDPRHBKSV-CQSZACIVSA-N 0 1 286.379 0.510 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H](NC(=O)[O-])[C@@H](C)CC)CC1 ZINC000738456933 581331048 /nfs/dbraw/zinc/33/10/48/581331048.db2.gz GOWUGBLPBOZMCU-QWHCGFSZSA-N 0 1 295.383 0.836 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CC[C@H](NC(=O)[O-])[C@H](C)C1 ZINC000738495715 581362704 /nfs/dbraw/zinc/36/27/04/581362704.db2.gz ODPFCUPBOJTEQE-ZJUUUORDSA-N 0 1 255.318 0.267 20 30 CCEDMN C#CCNC(=O)CN[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000784358986 581381086 /nfs/dbraw/zinc/38/10/86/581381086.db2.gz FNPKNLGIACPUAN-CYBMUJFWSA-N 0 1 269.308 0.233 20 30 CCEDMN N#CCCNC(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000787926579 581481873 /nfs/dbraw/zinc/48/18/73/581481873.db2.gz ZIWNHORXLQNZGA-UHFFFAOYSA-N 0 1 288.332 0.715 20 30 CCEDMN CC(C)N1CC(N=Nc2nc3[nH][nH]cc-3c(=O)n2)[C@H](C)C1 ZINC000754259198 581583600 /nfs/dbraw/zinc/58/36/00/581583600.db2.gz MQVNPPSKVSAUCB-MRVPVSSYSA-N 0 1 289.343 0.560 20 30 CCEDMN C#CCCCN1CCN([C@@H](C)C(=O)NCCCOC)CC1 ZINC000766896603 581585744 /nfs/dbraw/zinc/58/57/44/581585744.db2.gz USCIWSFASFRUBR-HNNXBMFYSA-N 0 1 295.427 0.559 20 30 CCEDMN N#CCCNC(=O)CN[C@H](CCO)c1cccs1 ZINC000754397248 581590280 /nfs/dbraw/zinc/59/02/80/581590280.db2.gz XCBGLWGSOAMBBY-SNVBAGLBSA-N 0 1 267.354 0.791 20 30 CCEDMN CCC[C@@H]1CN(CC(=O)NCCC#N)CCN1CCOC ZINC000766975032 581591030 /nfs/dbraw/zinc/59/10/30/581591030.db2.gz ZFIODHVYNZRKHR-CQSZACIVSA-N 0 1 296.415 0.449 20 30 CCEDMN C#CCNC(=O)CNC1(C(=O)NCCC)CCCCC1 ZINC000754666730 581604417 /nfs/dbraw/zinc/60/44/17/581604417.db2.gz YOSAKWMAUNLQRD-UHFFFAOYSA-N 0 1 279.384 0.555 20 30 CCEDMN CON=Cc1ccc(C(=O)NCc2c[nH]nn2)cc1 ZINC000754863094 581613359 /nfs/dbraw/zinc/61/33/59/581613359.db2.gz IVEJEIRZKCSZSC-UHFFFAOYSA-N 0 1 259.269 0.715 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H](C)NC(=O)CC ZINC000729808889 581615886 /nfs/dbraw/zinc/61/58/86/581615886.db2.gz GKMCCUFGADPERR-NWDGAFQWSA-N 0 1 266.341 0.542 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCn1ccccc1=O ZINC000756541122 581670320 /nfs/dbraw/zinc/67/03/20/581670320.db2.gz GEQKTJAEDRXMCV-AWEZNQCLSA-N 0 1 288.347 0.879 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N(CC)CCC(=O)[O-] ZINC000736080305 581687994 /nfs/dbraw/zinc/68/79/94/581687994.db2.gz SRPPEZJJKNJFGD-NSHDSACASA-N 0 1 252.314 0.407 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)[N-]O[C@@H]1CCCCO1 ZINC000730284458 581692534 /nfs/dbraw/zinc/69/25/34/581692534.db2.gz PYWHTDATMTZEDA-NWDGAFQWSA-N 0 1 252.314 0.658 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)[N-]O[C@@H]1CCCCO1 ZINC000730284458 581692535 /nfs/dbraw/zinc/69/25/35/581692535.db2.gz PYWHTDATMTZEDA-NWDGAFQWSA-N 0 1 252.314 0.658 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000758134256 581723308 /nfs/dbraw/zinc/72/33/08/581723308.db2.gz CJDGNRCJJOSPJN-NXEZZACHSA-N 0 1 275.308 0.511 20 30 CCEDMN C#CCNC(=S)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000758187653 581725581 /nfs/dbraw/zinc/72/55/81/581725581.db2.gz YJYIIZJAZNVQOE-RYUDHWBXSA-N 0 1 269.414 0.583 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)cc1 ZINC000731854919 581784124 /nfs/dbraw/zinc/78/41/24/581784124.db2.gz LKEDFXYBMMKHAU-LLVKDONJSA-N 0 1 284.279 0.285 20 30 CCEDMN C#CCOCCNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000771471933 581804629 /nfs/dbraw/zinc/80/46/29/581804629.db2.gz UDOADIXLYHVUOU-UHFFFAOYSA-N 0 1 270.292 0.851 20 30 CCEDMN C[C@@H]1CN(c2ccc([N+](=O)[O-])c(C#N)c2)CCN1CCO ZINC000302490712 581868626 /nfs/dbraw/zinc/86/86/26/581868626.db2.gz ZRGUQGQHBQHWFL-LLVKDONJSA-N 0 1 290.323 0.969 20 30 CCEDMN C#CCNCC(=O)N1CCC(NC(=O)c2ccccc2)CC1 ZINC000746512947 581917213 /nfs/dbraw/zinc/91/72/13/581917213.db2.gz ARUIKQCXLYPWAD-UHFFFAOYSA-N 0 1 299.374 0.630 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cnc(C3OCCO3)s2)N1 ZINC000761834470 581921881 /nfs/dbraw/zinc/92/18/81/581921881.db2.gz QATHGUSJSRGEAG-ZETCQYMHSA-N 0 1 281.341 0.460 20 30 CCEDMN C#CCNC(=O)CON=C(N)CN1CCCC[C@H]1C ZINC000747834721 581954171 /nfs/dbraw/zinc/95/41/71/581954171.db2.gz PNXGJGUOVRWERT-LLVKDONJSA-N 0 1 266.345 0.109 20 30 CCEDMN C#CCNC(=O)CN1CCC(C(=O)N[C@@H](C)C(C)C)CC1 ZINC000748988515 581993395 /nfs/dbraw/zinc/99/33/95/581993395.db2.gz BDUOKPKEWDCMQY-ZDUSSCGKSA-N 0 1 293.411 0.609 20 30 CCEDMN Cc1cc(CNC[C@@H]2CC(C(N)=O)=NO2)ccc1C#N ZINC000775097721 582011399 /nfs/dbraw/zinc/01/13/99/582011399.db2.gz LLZGUMVQOZPEBH-LBPRGKRZSA-N 0 1 272.308 0.587 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)[C@@H]1CCCN1C(=O)[O-] ZINC000738459398 582053159 /nfs/dbraw/zinc/05/31/59/582053159.db2.gz ATGONLOLTQULCU-RYUDHWBXSA-N 0 1 280.324 0.770 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC1CCC(NC(=O)[O-])CC1 ZINC000738461500 582053228 /nfs/dbraw/zinc/05/32/28/582053228.db2.gz TZQMNDPFQWKQLN-BPCQOVAHSA-N 0 1 293.367 0.779 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738495705 582056540 /nfs/dbraw/zinc/05/65/40/582056540.db2.gz OBDRYIIKGWNLPL-QWRGUYRKSA-N 0 1 269.345 0.751 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738495705 582056541 /nfs/dbraw/zinc/05/65/41/582056541.db2.gz OBDRYIIKGWNLPL-QWRGUYRKSA-N 0 1 269.345 0.751 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1CC[C@@H](C)C1 ZINC000776518200 582075661 /nfs/dbraw/zinc/07/56/61/582075661.db2.gz VDTGRJBGMBSRQF-OUAUKWLOSA-N 0 1 252.314 0.894 20 30 CCEDMN C=C(Br)CNC(=O)NC[C@H]1COCCN1 ZINC000861566037 613237555 /nfs/dbraw/zinc/23/75/55/613237555.db2.gz HLWCZQSOOSPVIA-QMMMGPOBSA-N 0 1 278.150 0.183 20 30 CCEDMN C#CCOCCN1CCC(N(CC)S(C)(=O)=O)CC1 ZINC000851842173 612982292 /nfs/dbraw/zinc/98/22/92/612982292.db2.gz QFPSQNLAELUNGS-UHFFFAOYSA-N 0 1 288.413 0.382 20 30 CCEDMN C#CCOCCN1CCC[C@H](NC(=O)N(C)C)C1 ZINC000852147640 612983157 /nfs/dbraw/zinc/98/31/57/612983157.db2.gz GUPQFHLANWRLQH-LBPRGKRZSA-N 0 1 253.346 0.372 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC000062134466 597531321 /nfs/dbraw/zinc/53/13/21/597531321.db2.gz YTHDJPGTTLYDBW-NSHDSACASA-N 0 1 254.330 0.818 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N[C@H]1CC[N@H+]2CCC[C@H]2C1 ZINC000819835501 597634216 /nfs/dbraw/zinc/63/42/16/597634216.db2.gz VUCYNNBXGDQHHF-COPLHBTASA-N 0 1 279.340 0.734 20 30 CCEDMN C[C@@H]1CCC[N@@H+](CCNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820237745 597635136 /nfs/dbraw/zinc/63/51/36/597635136.db2.gz CXMLZFLJLJWUFK-BXUZGUMPSA-N 0 1 281.356 0.839 20 30 CCEDMN C[N@H+]1CC[C@@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820620553 597637014 /nfs/dbraw/zinc/63/70/14/597637014.db2.gz OTHOJMIKFLHLSC-JOYOIKCWSA-N 0 1 253.302 0.059 20 30 CCEDMN C#CCO[C@@H](C)C(=O)Nc1[nH]c(C)nc1C(=O)OCC ZINC000876486344 612997680 /nfs/dbraw/zinc/99/76/80/612997680.db2.gz PZNILFOWUQNSKF-QMMMGPOBSA-N 0 1 279.296 0.872 20 30 CCEDMN C#CC(=O)NCCCN1CCN(Cc2ccccc2)CC1 ZINC000753947634 612945552 /nfs/dbraw/zinc/94/55/52/612945552.db2.gz RNRQUFIKMBNDOJ-UHFFFAOYSA-N 0 1 285.391 0.944 20 30 CCEDMN C#CCSCC(=O)N1CC[C@H](N2CC[C@@H](O)C2)C1 ZINC000908203933 613010767 /nfs/dbraw/zinc/01/07/67/613010767.db2.gz SKRQMDPVOFCOGW-NWDGAFQWSA-N 0 1 268.382 0.020 20 30 CCEDMN C#CCCN1CCN(C[C@@H](O)C[C@@](C)(O)C2CC2)CC1 ZINC000878314688 613011621 /nfs/dbraw/zinc/01/16/21/613011621.db2.gz ZSQLUFRODDPJEF-JKSUJKDBSA-N 0 1 280.412 0.539 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)O[C@@H](C#N)C2CC2)cn1 ZINC000860577432 617178433 /nfs/dbraw/zinc/17/84/33/617178433.db2.gz LDYNMVNKVINDMQ-JTQLQIEISA-N 0 1 295.320 0.912 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)O[C@H](C#N)C2CC2)cn1 ZINC000860577433 617178335 /nfs/dbraw/zinc/17/83/35/617178335.db2.gz LDYNMVNKVINDMQ-SNVBAGLBSA-N 0 1 295.320 0.912 20 30 CCEDMN N#Cc1ccc([C@@H](O)C[N@H+]2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000819095752 601958738 /nfs/dbraw/zinc/95/87/38/601958738.db2.gz KIYWZTLPULEEKP-OLZOCXBDSA-N 0 1 260.293 0.998 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000875918088 613021391 /nfs/dbraw/zinc/02/13/91/613021391.db2.gz LJPDNOBORQEZFW-UWVGGRQHSA-N 0 1 265.317 0.593 20 30 CCEDMN C#CC1(O)CCN(C(=O)CSc2nnc(C)[nH]2)CC1 ZINC000899115832 612950836 /nfs/dbraw/zinc/95/08/36/612950836.db2.gz YCXLPDCTUOAPJS-UHFFFAOYSA-N 0 1 280.353 0.192 20 30 CCEDMN C#CC1(O)CCN(C(=O)CSc2nc(C)n[nH]2)CC1 ZINC000899115832 612950838 /nfs/dbraw/zinc/95/08/38/612950838.db2.gz YCXLPDCTUOAPJS-UHFFFAOYSA-N 0 1 280.353 0.192 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2cc(CCC)[nH]n2)CC1 ZINC000899115479 612951715 /nfs/dbraw/zinc/95/17/15/612951715.db2.gz MMKAUCNNNOSGEP-UHFFFAOYSA-N 0 1 261.325 0.963 20 30 CCEDMN C[C@@H]1C[N@@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C[C@@H]1O ZINC000833621769 604569338 /nfs/dbraw/zinc/56/93/38/604569338.db2.gz JTEZLLYINHPMGV-ICCXJUOJSA-N 0 1 260.293 0.997 20 30 CCEDMN C[C@@H]1C[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C[C@@H]1O ZINC000833621769 604569339 /nfs/dbraw/zinc/56/93/39/604569339.db2.gz JTEZLLYINHPMGV-ICCXJUOJSA-N 0 1 260.293 0.997 20 30 CCEDMN C#CCNC(=S)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000780207620 612953228 /nfs/dbraw/zinc/95/32/28/612953228.db2.gz HIUVHZCISGDVAI-LLVKDONJSA-N 0 1 269.414 0.271 20 30 CCEDMN C#CC[C@@H](NS(=O)(=O)C[C@@]1(C)CC1(F)F)C(=O)OC ZINC000882251127 613043146 /nfs/dbraw/zinc/04/31/46/613043146.db2.gz GGHKYHNMQCCZJN-PSASIEDQSA-N 0 1 295.307 0.516 20 30 CCEDMN Cn1cc(CCC[NH2+][C@@H]2CN(C(=O)[O-])C[C@@H]2C#N)cn1 ZINC000833946605 605684672 /nfs/dbraw/zinc/68/46/72/605684672.db2.gz IVYKMZCTBNNESQ-NWDGAFQWSA-N 0 1 277.328 0.444 20 30 CCEDMN C#CCCOC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000859054911 613049225 /nfs/dbraw/zinc/04/92/25/613049225.db2.gz FXGYKYBVOPALCO-CYBMUJFWSA-N 0 1 266.341 0.800 20 30 CCEDMN C#CCCS(=O)(=O)N(CC)C1CCN(C)CC1 ZINC000867348029 613051511 /nfs/dbraw/zinc/05/15/11/613051511.db2.gz CCOOWUPUOGAHCX-UHFFFAOYSA-N 0 1 258.387 0.756 20 30 CCEDMN C#CCC[C@@H](O)CNS(=O)(=O)CCN(CC)CC ZINC000810076509 613059636 /nfs/dbraw/zinc/05/96/36/613059636.db2.gz FLHKEXPOAAXKAD-GFCCVEGCSA-N 0 1 276.402 0.022 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000875925521 613064701 /nfs/dbraw/zinc/06/47/01/613064701.db2.gz SEXKGPJCFUUBTN-NXEZZACHSA-N 0 1 279.344 0.766 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H](C/C=C\C)C(=O)[O-] ZINC000909038232 613075859 /nfs/dbraw/zinc/07/58/59/613075859.db2.gz VENKSKPDOCMYGN-RNZFLTOJSA-N 0 1 281.356 0.660 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCOC2)C1 ZINC000971250641 613081122 /nfs/dbraw/zinc/08/11/22/613081122.db2.gz PBMAWZIMGMUKME-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn(C)c2Cl)C1 ZINC000971786615 613087850 /nfs/dbraw/zinc/08/78/50/613087850.db2.gz CCMFROVJKRLYSE-SNVBAGLBSA-N 0 1 280.759 0.853 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc(C)cn2)C1 ZINC000971005788 613096399 /nfs/dbraw/zinc/09/63/99/613096399.db2.gz NUTHPWJFSJHRLD-LBPRGKRZSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H]1CCCN1C ZINC000855493353 613104285 /nfs/dbraw/zinc/10/42/85/613104285.db2.gz AFAIDOUVJVBUKG-LBPRGKRZSA-N 0 1 280.368 0.884 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC000847315038 613104369 /nfs/dbraw/zinc/10/43/69/613104369.db2.gz CLPVZCQKSKGDAJ-ZIAGYGMSSA-N 0 1 278.400 0.287 20 30 CCEDMN C#CCN(CC)C(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000823415282 613106432 /nfs/dbraw/zinc/10/64/32/613106432.db2.gz VMGBZEDXXRDONI-UHFFFAOYSA-N 0 1 282.321 0.135 20 30 CCEDMN C#CC[N@@H+](CC)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000825614075 613106437 /nfs/dbraw/zinc/10/64/37/613106437.db2.gz CXLKBLMUGYXYRK-UHFFFAOYSA-N 0 1 266.341 0.845 20 30 CCEDMN C#CC[N@H+](CC)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC000825614075 613106439 /nfs/dbraw/zinc/10/64/39/613106439.db2.gz CXLKBLMUGYXYRK-UHFFFAOYSA-N 0 1 266.341 0.845 20 30 CCEDMN C#CCN(C[C@@H]1CN=C(c2cnn(C)c2)O1)C(C)C ZINC000932384264 613108404 /nfs/dbraw/zinc/10/84/04/613108404.db2.gz OWPBOUBPIYHSHY-ZDUSSCGKSA-N 0 1 260.341 0.909 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@H](C)CCS(C)(=O)=O ZINC000876693035 613390280 /nfs/dbraw/zinc/39/02/80/613390280.db2.gz NTJVZVAWNTUYKY-BDAKNGLRSA-N 0 1 282.793 0.396 20 30 CCEDMN CC(C)[C@@H]([NH2+][C@@H](C(=O)[O-])c1cccc(C#N)c1)C(N)=O ZINC000826715812 614129395 /nfs/dbraw/zinc/12/93/95/614129395.db2.gz GOZVEECMNDQQNH-VXGBXAGGSA-N 0 1 275.308 0.783 20 30 CCEDMN CC(C)C#CC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000832678078 614325541 /nfs/dbraw/zinc/32/55/41/614325541.db2.gz OKRSVSYVJSFOIV-CYBMUJFWSA-N 0 1 266.385 0.949 20 30 CCEDMN CC(C)c1nn(C)cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834499071 614331600 /nfs/dbraw/zinc/33/16/00/614331600.db2.gz FANTUUHQKGUVRS-GXSJLCMTSA-N 0 1 261.329 0.385 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000928095098 612980877 /nfs/dbraw/zinc/98/08/77/612980877.db2.gz DFQOPCYJYHGBJM-QWHCGFSZSA-N 0 1 282.409 0.722 20 30 CCEDMN COc1ccc(CC(=O)NCC#CCN(C)C)cc1OC ZINC000913514534 617241307 /nfs/dbraw/zinc/24/13/07/617241307.db2.gz TUONMHMTFFPBFE-UHFFFAOYSA-N 0 1 290.363 0.928 20 30 CCEDMN COc1ccc(CNC[C@H](O)CS(C)(=O)=O)cc1C#N ZINC000922823182 617258309 /nfs/dbraw/zinc/25/83/09/617258309.db2.gz PWXXCBIELRZUOY-LBPRGKRZSA-N 0 1 298.364 0.062 20 30 CCEDMN COc1ccc(F)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1OC ZINC000834500283 617264053 /nfs/dbraw/zinc/26/40/53/617264053.db2.gz RRCZEFKQYQFWJN-WPRPVWTQSA-N 0 1 293.298 0.684 20 30 CCEDMN COc1ccc(OCC(=O)N[C@H]2CNC[C@@H]2C#N)cc1C ZINC000834480425 617276403 /nfs/dbraw/zinc/27/64/03/617276403.db2.gz PXVABDRBJZXFGF-AAEUAGOBSA-N 0 1 289.335 0.610 20 30 CCEDMN COc1ccc(O)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834499383 617272225 /nfs/dbraw/zinc/27/22/25/617272225.db2.gz HYSDNUBTFWHYOC-GZMMTYOYSA-N 0 1 261.281 0.242 20 30 CCEDMN C#CC1(O)CN(C(=O)C2CCC(N3CCOCC3)CC2)C1 ZINC000890527358 612953275 /nfs/dbraw/zinc/95/32/75/612953275.db2.gz DVWSHQKTYNKEOP-UHFFFAOYSA-N 0 1 292.379 0.084 20 30 CCEDMN C#CC1(O)CN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC000890527394 612960086 /nfs/dbraw/zinc/96/00/86/612960086.db2.gz RUNVGANQHKPPMZ-UHFFFAOYSA-N 0 1 259.187 0.249 20 30 CCEDMN C#CC1CCN(C(=O)[C@]2(C)C[C@H](O)CN2C)CC1 ZINC000911548004 612964256 /nfs/dbraw/zinc/96/42/56/612964256.db2.gz SYCYTDDNHRINMF-JSGCOSHPSA-N 0 1 250.342 0.313 20 30 CCEDMN C#CC1CCN(CC(=O)N(CC)CC(=O)NC(C)C)CC1 ZINC000830305471 612964531 /nfs/dbraw/zinc/96/45/31/612964531.db2.gz OJIUQIYKIRWYLQ-UHFFFAOYSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)Cn1cncn1 ZINC000960334000 612971295 /nfs/dbraw/zinc/97/12/95/612971295.db2.gz HEGOEEMLTCHGIZ-GDBMZVCRSA-N 0 1 295.346 0.283 20 30 CCEDMN C#CCC1(O)CCN(C[C@H]2[C@H](C(=O)OC)C2(F)F)CC1 ZINC000895670468 612973571 /nfs/dbraw/zinc/97/35/71/612973571.db2.gz REHGYHXEWXHKNY-WDEREUQCSA-N 0 1 287.306 0.891 20 30 CCEDMN C#CCCCCCN1CCN(C(=O)COC)CC1 ZINC000877968295 612976946 /nfs/dbraw/zinc/97/69/46/612976946.db2.gz WDYRBKFUZQUWFK-UHFFFAOYSA-N 0 1 252.358 0.971 20 30 CCEDMN C#CCCCCNC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833361649 612977367 /nfs/dbraw/zinc/97/73/67/612977367.db2.gz FXELJUSZBGYGOF-CYBMUJFWSA-N 0 1 295.383 0.980 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC2CC2)CC1 ZINC000121032852 612978317 /nfs/dbraw/zinc/97/83/17/612978317.db2.gz ODQXHHJXWCYZSH-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN C#CCCC[N@@H+](CCN1CCOCC1)CC(=O)[O-] ZINC000833362586 612978521 /nfs/dbraw/zinc/97/85/21/612978521.db2.gz ZJDLZHIHBOZGDE-UHFFFAOYSA-N 0 1 254.330 0.119 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(C)C[C@@H]1C(C)C ZINC000825981013 612999564 /nfs/dbraw/zinc/99/95/64/612999564.db2.gz NYQUNJZTJNGSAJ-CHWSQXEVSA-N 0 1 252.358 0.823 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC(C)(C)CN1CCN(CC)CC1 ZINC000837133013 613001006 /nfs/dbraw/zinc/00/10/06/613001006.db2.gz MAHJLGPMCAWCJZ-CQSZACIVSA-N 0 1 295.427 0.557 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc(COC)on2)CC1 ZINC000982112163 613005421 /nfs/dbraw/zinc/00/54/21/613005421.db2.gz LKDSTUUJPUZDQF-UHFFFAOYSA-N 0 1 291.351 0.992 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ncccn2)CC1 ZINC000982370839 613006707 /nfs/dbraw/zinc/00/67/07/613006707.db2.gz XJOCVHZOYRCPCW-UHFFFAOYSA-N 0 1 258.325 0.648 20 30 CCEDMN C#CCCN1CCN(CCn2c(C)ncc2[N+](=O)[O-])CC1 ZINC000878312678 613011488 /nfs/dbraw/zinc/01/14/88/613011488.db2.gz OOKDCBUUAZOTRP-UHFFFAOYSA-N 0 1 291.355 0.741 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NC[C@H]1CCN1CC ZINC000883747643 613021086 /nfs/dbraw/zinc/02/10/86/613021086.db2.gz NZTVIJLIOHPNLZ-QWHCGFSZSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000875916291 613021472 /nfs/dbraw/zinc/02/14/72/613021472.db2.gz FIUSJIIYQUHBEL-ONGXEEELSA-N 0 1 279.344 0.902 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2ncccn2)C1 ZINC000968450413 613025550 /nfs/dbraw/zinc/02/55/50/613025550.db2.gz UMWXGQISTTZPSG-QWHCGFSZSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C(C)(C)C1 ZINC000973042614 613033271 /nfs/dbraw/zinc/03/32/71/613033271.db2.gz JFZINZCJCKUOBD-LLVKDONJSA-N 0 1 290.367 0.887 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)[C@H](C)CN2CCOCC2)C1 ZINC000862570258 613046510 /nfs/dbraw/zinc/04/65/10/613046510.db2.gz GNAZNAUFEIEDSE-ZIAGYGMSSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CCCNC(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000858217984 613047204 /nfs/dbraw/zinc/04/72/04/613047204.db2.gz JSAFJSAJSDGJAK-UHFFFAOYSA-N 0 1 279.384 0.906 20 30 CCEDMN C#CC[C@H](CCOC)NCc1cn(C[C@H]2CCOC2)nn1 ZINC000865645984 613052558 /nfs/dbraw/zinc/05/25/58/613052558.db2.gz DQXPFPJHVBDHSK-ZIAGYGMSSA-N 0 1 292.383 0.833 20 30 CCEDMN C#CC[C@H](CCOC)NCc1cn(C[C@H]2CCCO2)nn1 ZINC000865646603 613052681 /nfs/dbraw/zinc/05/26/81/613052681.db2.gz WUSUFCVKRISKRF-UKRRQHHQSA-N 0 1 292.383 0.975 20 30 CCEDMN C#CCC[C@@H](O)CNS(=O)(=O)c1ccccc1O ZINC000849391060 613059747 /nfs/dbraw/zinc/05/97/47/613059747.db2.gz GPMCGAMHWUUSQH-SNVBAGLBSA-N 0 1 269.322 0.445 20 30 CCEDMN C#CC[C@H](COC)NC(=O)CCCCc1cn[nH]n1 ZINC000863803464 613060684 /nfs/dbraw/zinc/06/06/84/613060684.db2.gz WADKTMDDPASPIP-GFCCVEGCSA-N 0 1 264.329 0.672 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCc1cc(C)[nH]n1 ZINC000858237262 613063854 /nfs/dbraw/zinc/06/38/54/613063854.db2.gz IHIUYIIOPDOENF-SNVBAGLBSA-N 0 1 250.302 0.556 20 30 CCEDMN C#CC[C@H](Cc1ccccc1)NC(=O)CCc1nn[nH]n1 ZINC000868277939 613067221 /nfs/dbraw/zinc/06/72/21/613067221.db2.gz WUEPOJGZDRXUCM-CYBMUJFWSA-N 0 1 283.335 0.883 20 30 CCEDMN C#CCN(C(=O)NC[C@H]1COCCN1)C1CCCCC1 ZINC000861681013 613067581 /nfs/dbraw/zinc/06/75/81/613067581.db2.gz DFCYFZGJMHUPFX-ZDUSSCGKSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CC[C@H](NC(=O)C[C@@H](C)n1cc[nH+]c1CC)C(=O)[O-] ZINC000910051728 613068009 /nfs/dbraw/zinc/06/80/09/613068009.db2.gz ZOHHEPZSNVFFRL-MNOVXSKESA-N 0 1 277.324 0.989 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000898084574 613071795 /nfs/dbraw/zinc/07/17/95/613071795.db2.gz KYPZIUJYHOCTMX-ZFWWWQNUSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC2CCOCC2)C1 ZINC000971237575 613080154 /nfs/dbraw/zinc/08/01/54/613080154.db2.gz YMWULONBAJRFSZ-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC000971982194 613082722 /nfs/dbraw/zinc/08/27/22/613082722.db2.gz MNTKFTOPGQAHLF-MCIONIFRSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](OC)C2CCC2)C1 ZINC000972027618 613083546 /nfs/dbraw/zinc/08/35/46/613083546.db2.gz UHUWOSNFQHTHRX-ZIAGYGMSSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc3n2CCC3)C1 ZINC000971704780 613087593 /nfs/dbraw/zinc/08/75/93/613087593.db2.gz XECBVGODEMFTMR-GFCCVEGCSA-N 0 1 272.352 0.609 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC000980265189 613090432 /nfs/dbraw/zinc/09/04/32/613090432.db2.gz SLFSWCVNAGTYQG-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2c(C)noc2C)C1 ZINC000980368723 613090578 /nfs/dbraw/zinc/09/05/78/613090578.db2.gz CIIXEUNWDIIYRF-ZDUSSCGKSA-N 0 1 275.352 1.000 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cccnc2)C1 ZINC000971346558 613091160 /nfs/dbraw/zinc/09/11/60/613091160.db2.gz NAHOYELCUQLIHY-AWEZNQCLSA-N 0 1 257.337 0.790 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC000980282698 613092864 /nfs/dbraw/zinc/09/28/64/613092864.db2.gz KRYJSIZORXSWQR-KBPBESRZSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc3nnn(C)c3c2)C1 ZINC000980277190 613095366 /nfs/dbraw/zinc/09/53/66/613095366.db2.gz ZWFXJAZRPDEUEX-ZDUSSCGKSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(C)nc2CC)C1 ZINC000971742192 613096203 /nfs/dbraw/zinc/09/62/03/613096203.db2.gz ANORHIIUCLCLOT-LBPRGKRZSA-N 0 1 274.368 0.762 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc3n2CCCC3)C1 ZINC000972157619 613096355 /nfs/dbraw/zinc/09/63/55/613096355.db2.gz FAXSEFSYMDAPNP-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)[C@@H](C)CN2CCOCC2)C1 ZINC000848280653 613105146 /nfs/dbraw/zinc/10/51/46/613105146.db2.gz KJGUJXQJJXGNIK-UONOGXRCSA-N 0 1 264.369 0.827 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2CCOC[C@@H]2C2CC2)C1 ZINC000847021779 613105910 /nfs/dbraw/zinc/10/59/10/613105910.db2.gz PBWASCLKIRZUQP-UKRRQHHQSA-N 0 1 276.380 0.969 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)NCCCC(=O)OCC)C1 ZINC000847031739 613106205 /nfs/dbraw/zinc/10/62/05/613106205.db2.gz UOWSWUVUEPEUDT-CYBMUJFWSA-N 0 1 280.368 0.791 20 30 CCEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)NCC(=O)OC)C1 ZINC000847033603 613106860 /nfs/dbraw/zinc/10/68/60/613106860.db2.gz YXYKMAHENNUKEY-GHMZBOCLSA-N 0 1 252.314 0.009 20 30 CCEDMN C#CCN1CC([C@@H](C)NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC000970338153 613117434 /nfs/dbraw/zinc/11/74/34/613117434.db2.gz JCPWMCPLZNJCSZ-PWSUYJOCSA-N 0 1 287.367 0.294 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000854079091 613120705 /nfs/dbraw/zinc/12/07/05/613120705.db2.gz VSQIJRCDEISWHF-JHJVBQTASA-N 0 1 267.373 0.495 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000820666031 613123661 /nfs/dbraw/zinc/12/36/61/613123661.db2.gz FNHSINOGEHVWNG-BFHYXJOUSA-N 0 1 281.400 0.885 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NC[C@@]1(O)CC[N@@H+](C)C1 ZINC000820654742 613123745 /nfs/dbraw/zinc/12/37/45/613123745.db2.gz SPKYVWNCGOZDRH-YPMHNXCESA-N 0 1 253.346 0.154 20 30 CCEDMN C#C[C@H](CCC)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000834363568 613124035 /nfs/dbraw/zinc/12/40/35/613124035.db2.gz GXIIIPVKRMFEMM-SECBINFHSA-N 0 1 296.348 0.571 20 30 CCEDMN C#CCN1CC([C@H](C)NC(=O)c2ncccc2O)C1 ZINC000969546699 613127919 /nfs/dbraw/zinc/12/79/19/613127919.db2.gz PTBYJLPWXPDFJU-JTQLQIEISA-N 0 1 259.309 0.471 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)[C@](C)(CO)C2)CC1 ZINC000856247140 613129776 /nfs/dbraw/zinc/12/97/76/613129776.db2.gz KWWAISQZVGEEIF-CJNGLKHVSA-N 0 1 278.396 0.809 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(O)CCSCC2)CC1 ZINC000928654982 613132402 /nfs/dbraw/zinc/13/24/02/613132402.db2.gz OXRXKECMLANZKB-UHFFFAOYSA-N 0 1 282.409 0.458 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2cncn2C)CC1 ZINC000928652688 613132888 /nfs/dbraw/zinc/13/28/88/613132888.db2.gz IONLMGLQTOPQQD-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN1CCC(NC(=O)CCNC(=O)CC(C)C)CC1 ZINC000928655617 613133052 /nfs/dbraw/zinc/13/30/52/613133052.db2.gz UOQLHAQAWXMJNX-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC2CCOCC2)CC1 ZINC000915614634 613133768 /nfs/dbraw/zinc/13/37/68/613133768.db2.gz JBHZMOCDNFLKNO-UHFFFAOYSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H](CC)OC)CC1 ZINC000922542913 613133971 /nfs/dbraw/zinc/13/39/71/613133971.db2.gz GSNHMTGZDGXGJR-CYBMUJFWSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)Cn2ccnc2)CC1 ZINC000905145250 613134950 /nfs/dbraw/zinc/13/49/50/613134950.db2.gz MLQUAPATYPHMGK-CYBMUJFWSA-N 0 1 289.383 0.668 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)Cn2ccnc2)CC1 ZINC000905145251 613134976 /nfs/dbraw/zinc/13/49/76/613134976.db2.gz MLQUAPATYPHMGK-ZDUSSCGKSA-N 0 1 289.383 0.668 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)[C@H]2CCOC2)CC1 ZINC000920474293 613135504 /nfs/dbraw/zinc/13/55/04/613135504.db2.gz XOOWAYDOZCWIPB-OLZOCXBDSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](CCC)NC(N)=O)CC1 ZINC000928656410 613135732 /nfs/dbraw/zinc/13/57/32/613135732.db2.gz TYHSGVADXLRNIS-GFCCVEGCSA-N 0 1 280.372 0.037 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(Cl)n(C)n2)CC1 ZINC000928651663 613137227 /nfs/dbraw/zinc/13/72/27/613137227.db2.gz ZDKKFDACZNPSGT-UHFFFAOYSA-N 0 1 280.759 0.901 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C(=O)OC)[nH]2)CC1 ZINC000928651210 613137339 /nfs/dbraw/zinc/13/73/39/613137339.db2.gz RAXAGJFFNBYWHE-UHFFFAOYSA-N 0 1 289.335 0.629 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc(C)cn2)CC1 ZINC000928660449 613137493 /nfs/dbraw/zinc/13/74/93/613137493.db2.gz FJUYKDHNSAVGKG-UHFFFAOYSA-N 0 1 258.325 0.612 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c[nH]c(=O)cc2C)CC1 ZINC000928656076 613137640 /nfs/dbraw/zinc/13/76/40/613137640.db2.gz JXWDAOHLYUNMIG-UHFFFAOYSA-N 0 1 273.336 0.923 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ccon3)C2)C1 ZINC000981632860 613144542 /nfs/dbraw/zinc/14/45/42/613144542.db2.gz MKGUOSJGCLLUGE-UHFFFAOYSA-N 0 1 259.309 0.846 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnns3)C2)C1 ZINC000981643442 613145025 /nfs/dbraw/zinc/14/50/25/613145025.db2.gz MSJNHMGNWMVVHB-UHFFFAOYSA-N 0 1 276.365 0.709 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1COCCO1 ZINC000968758884 613146208 /nfs/dbraw/zinc/14/62/08/613146208.db2.gz UZRUYCQMOJUUAE-ZIAGYGMSSA-N 0 1 280.368 0.396 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)[C@@H](C)CN2CCOCC2)C1 ZINC000848280651 613157866 /nfs/dbraw/zinc/15/78/66/613157866.db2.gz KJGUJXQJJXGNIK-KBPBESRZSA-N 0 1 264.369 0.827 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)NC2CCOCC2)C1 ZINC000847022992 613158756 /nfs/dbraw/zinc/15/87/56/613158756.db2.gz KJGRPOUYFKSLAX-LBPRGKRZSA-N 0 1 250.342 0.627 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)N[C@H]1CCCN(C)C1 ZINC000837785745 613161501 /nfs/dbraw/zinc/16/15/01/613161501.db2.gz ZSFKVKJIPPZOTJ-RYUDHWBXSA-N 0 1 251.330 0.124 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C/Cn2cncn2)C1 ZINC000923555257 613164695 /nfs/dbraw/zinc/16/46/95/613164695.db2.gz SCRZPIKJSQFMJE-DIECRNLCSA-N 0 1 273.340 0.048 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC000923559945 613173352 /nfs/dbraw/zinc/17/33/52/613173352.db2.gz WDEHAVVOWFEQCF-NEPJUHHUSA-N 0 1 287.367 0.438 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H](COC)OC ZINC000850825960 613176580 /nfs/dbraw/zinc/17/65/80/613176580.db2.gz HTXJUQJWAUXIEC-VXGBXAGGSA-N 0 1 255.314 0.289 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](N(C)C(=O)c2cc[nH]n2)CC1 ZINC000948208339 613179238 /nfs/dbraw/zinc/17/92/38/613179238.db2.gz KDSCAPJZLQOLBD-LBPRGKRZSA-N 0 1 260.341 0.969 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)NCc2cc(C)[nH]n2)C1 ZINC000884163853 613186244 /nfs/dbraw/zinc/18/62/44/613186244.db2.gz RACKVXISLURCLT-LBPRGKRZSA-N 0 1 275.356 0.615 20 30 CCEDMN C#CCN1CCC[C@H](N[C@@H](c2nnc[nH]2)c2cccnc2)C1 ZINC000886662694 613193689 /nfs/dbraw/zinc/19/36/89/613193689.db2.gz KEOABYVRGXYVTI-LSDHHAIUSA-N 0 1 296.378 0.976 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N2CCNC[C@H]2C)c1 ZINC000842033574 613198932 /nfs/dbraw/zinc/19/89/32/613198932.db2.gz YATRFICQAOWFJG-GFCCVEGCSA-N 0 1 285.347 0.104 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)[N-]OCCCC(=O)OC ZINC000811562215 613200371 /nfs/dbraw/zinc/20/03/71/613200371.db2.gz ALODEGGJNZIORO-NSHDSACASA-N 0 1 268.313 0.085 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC000965890342 613218023 /nfs/dbraw/zinc/21/80/23/613218023.db2.gz FYQOMVILVPSFHI-BXUZGUMPSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000965890342 613218024 /nfs/dbraw/zinc/21/80/24/613218024.db2.gz FYQOMVILVPSFHI-BXUZGUMPSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC000968591743 613218067 /nfs/dbraw/zinc/21/80/67/613218067.db2.gz FDKXBBFXFLBOJD-BXUZGUMPSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CN2CCOCC2)C(C)(C)C1 ZINC000940672161 613225994 /nfs/dbraw/zinc/22/59/94/613225994.db2.gz GSPFPHWOHOABEP-CQSZACIVSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C(N)=O)co2)C[C@H]1C ZINC000947709560 613231792 /nfs/dbraw/zinc/23/17/92/613231792.db2.gz DUMTZCMIBPRMHW-ZYHUDNBSSA-N 0 1 289.335 0.594 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(OC)ncn2)C[C@@H]1C ZINC000947318332 613233381 /nfs/dbraw/zinc/23/33/81/613233381.db2.gz HPXVWRXOYSKROO-NWDGAFQWSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc3n(n2)CCO3)C[C@H]1C ZINC000947394650 613234619 /nfs/dbraw/zinc/23/46/19/613234619.db2.gz HSTCIZLVXGXGSG-VXGBXAGGSA-N 0 1 288.351 0.491 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc3ncccn3n2)C[C@H]1C ZINC000947836765 613234708 /nfs/dbraw/zinc/23/47/08/613234708.db2.gz JYJJSYWPTURHPL-CHWSQXEVSA-N 0 1 297.362 0.945 20 30 CCEDMN C=C(Br)CNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000895906209 613236101 /nfs/dbraw/zinc/23/61/01/613236101.db2.gz QPQAXINLZPFPDK-SNVBAGLBSA-N 0 1 289.173 0.732 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc(C)cn2)[C@H](C)C1 ZINC000941772079 613240669 /nfs/dbraw/zinc/24/06/69/613240669.db2.gz KNMMZAAHRJUKKC-DGCLKSJQSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2)C(C)(C)C1 ZINC000940566561 613242388 /nfs/dbraw/zinc/24/23/88/613242388.db2.gz PUPJFGSUOLGTDI-CYBMUJFWSA-N 0 1 274.368 0.884 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CN(C)CCO2)C1 ZINC000949830268 613244921 /nfs/dbraw/zinc/24/49/21/613244921.db2.gz HROGZHQSMUGCMF-HNNXBMFYSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC000967332394 613251721 /nfs/dbraw/zinc/25/17/21/613251721.db2.gz DQYIDXMGMQLMDU-SWLSCSKDSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cn2cc(C)cn2)C1 ZINC000965474331 613252700 /nfs/dbraw/zinc/25/27/00/613252700.db2.gz GWJVLJJWGPORSU-UONOGXRCSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(=O)[nH]n2)C(C)(C)C1 ZINC000940551642 613268976 /nfs/dbraw/zinc/26/89/76/613268976.db2.gz VZHSDFMAXJPMCN-LBPRGKRZSA-N 0 1 288.351 0.646 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc(OC)nc2)C[C@@H]1C ZINC000947891447 613274243 /nfs/dbraw/zinc/27/42/43/613274243.db2.gz QVZPYFLJZSVFIV-AAEUAGOBSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3ncccc23)C[C@H]1C ZINC000947400520 613275655 /nfs/dbraw/zinc/27/56/55/613275655.db2.gz YGNVLXRCAIYGND-OLZOCXBDSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnsn2)C[C@@H]1C ZINC000947080115 613276878 /nfs/dbraw/zinc/27/68/78/613276878.db2.gz HBPZSYZHTKQKDJ-UWVGGRQHSA-N 0 1 264.354 0.754 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C[C@H]1C ZINC000947205397 613277108 /nfs/dbraw/zinc/27/71/08/613277108.db2.gz PUMCFFZPRSKGBK-KOLCDFICSA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncn(C)n2)C[C@@H]1C ZINC000947277589 613278241 /nfs/dbraw/zinc/27/82/41/613278241.db2.gz QNKKEKFKANRWEA-QWRGUYRKSA-N 0 1 261.329 0.031 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nnn(C)c2C)[C@@H](C)C1 ZINC000941914735 613278452 /nfs/dbraw/zinc/27/84/52/613278452.db2.gz XMAHDBUZRASCPC-JQWIXIFHSA-N 0 1 275.356 0.197 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nonc2C)C(C)(C)C1 ZINC000941249766 613278525 /nfs/dbraw/zinc/27/85/25/613278525.db2.gz AJIVQISZOQQAKW-NSHDSACASA-N 0 1 276.340 0.842 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C)o2)C1 ZINC000957852318 613286239 /nfs/dbraw/zinc/28/62/39/613286239.db2.gz VTIZGWKQXAVZKY-NWDGAFQWSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc3ccccc3[nH]2)C1 ZINC000958653239 613286260 /nfs/dbraw/zinc/28/62/60/613286260.db2.gz ILDDZPLEQGNJMS-XJKSGUPXSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccnc2C)C1 ZINC000958364098 613286696 /nfs/dbraw/zinc/28/66/96/613286696.db2.gz HBHUNDCOLOEDCS-GXTWGEPZSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2CCC3(CC3)CC2)[C@H](O)C1 ZINC000958272310 613288533 /nfs/dbraw/zinc/28/85/33/613288533.db2.gz LNLJFKYVMDHJTN-HUUCEWRRSA-N 0 1 290.407 0.999 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c(C)nsc2C)[C@H](O)C1 ZINC000958736995 613288603 /nfs/dbraw/zinc/28/86/03/613288603.db2.gz WHYWTXNMVWKROV-VXGBXAGGSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)ccc2C)[C@H](O)C1 ZINC000958625854 613288624 /nfs/dbraw/zinc/28/86/24/613288624.db2.gz MKJAYHKYPNHTFO-GDBMZVCRSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2oc(CC)nc2C)[C@H](O)C1 ZINC000958571107 613290647 /nfs/dbraw/zinc/29/06/47/613290647.db2.gz RKASKBPPWLYHDH-VXGBXAGGSA-N 0 1 291.351 0.201 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC(CO)(CO)CC1 ZINC000879967393 613292834 /nfs/dbraw/zinc/29/28/34/613292834.db2.gz SWSBWTQMGVSVCX-UHFFFAOYSA-N 0 1 284.400 0.478 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3cnn(C)c3)C[C@]2(C)C1 ZINC000982203862 613293641 /nfs/dbraw/zinc/29/36/41/613293641.db2.gz RESDNFKJTMTVMR-IYBDPMFKSA-N 0 1 286.379 0.837 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(CO)(CO)CC1 ZINC000879967632 613294019 /nfs/dbraw/zinc/29/40/19/613294019.db2.gz BIKUJZJZKNHYMW-AWEZNQCLSA-N 0 1 298.427 0.866 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C)o2)C1 ZINC000957852319 613295156 /nfs/dbraw/zinc/29/51/56/613295156.db2.gz VTIZGWKQXAVZKY-RYUDHWBXSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2coc(C)c2)C1 ZINC000958011159 613296007 /nfs/dbraw/zinc/29/60/07/613296007.db2.gz UEBYIPTYRGUILJ-STQMWFEESA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974821758 613297052 /nfs/dbraw/zinc/29/70/52/613297052.db2.gz NTTPZUYGQUUSOA-STQMWFEESA-N 0 1 291.395 0.505 20 30 CCEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cnn(C)n2)C1 ZINC000970583627 613300857 /nfs/dbraw/zinc/30/08/57/613300857.db2.gz PFQZNLYUDVLNKE-SNVBAGLBSA-N 0 1 263.345 0.441 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2=CCCCCC2)C1 ZINC000958005040 613301847 /nfs/dbraw/zinc/30/18/47/613301847.db2.gz JNGAUFPHDFQOTR-CABCVRRESA-N 0 1 276.380 0.919 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC000958395187 613302439 /nfs/dbraw/zinc/30/24/39/613302439.db2.gz PLRIRCMJHTYWSW-KCJUWKMLSA-N 0 1 298.293 0.003 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C)cc2C)C1 ZINC000958644549 613302785 /nfs/dbraw/zinc/30/27/85/613302785.db2.gz JQTRLXAFHUDSPF-ZBFHGGJFSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(Cl)cn2C)C1 ZINC000958243777 613303218 /nfs/dbraw/zinc/30/32/18/613303218.db2.gz MFVCTEMMEBLEAZ-MFKMUULPSA-N 0 1 295.770 0.334 20 30 CCEDMN C=C(C)CN1CC([C@H](C)NC(=O)c2nnn(C)c2C)C1 ZINC000969433723 613304246 /nfs/dbraw/zinc/30/42/46/613304246.db2.gz QZWPOPZFCJVNOQ-JTQLQIEISA-N 0 1 277.372 0.750 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC000981968746 613312221 /nfs/dbraw/zinc/31/22/21/613312221.db2.gz BHDRWYYYFJIALN-UHFFFAOYSA-N 0 1 290.367 0.838 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc(C(N)=O)cc1 ZINC000962942680 613314399 /nfs/dbraw/zinc/31/43/99/613314399.db2.gz FMMUDFNCDPCEQU-FOLVSLTJSA-N 0 1 297.358 0.008 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C1CCOCC1 ZINC000963141486 613314743 /nfs/dbraw/zinc/31/47/43/613314743.db2.gz PQSCBRFDTXJMNP-SPWCGHHHSA-N 0 1 276.380 0.729 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cnn2ccccc12 ZINC000960324104 613315238 /nfs/dbraw/zinc/31/52/38/613315238.db2.gz ZHYYHIBZFSVQKW-HALDLXJZSA-N 0 1 294.358 0.556 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCc2nc[nH]c21 ZINC000961738081 613315778 /nfs/dbraw/zinc/31/57/78/613315778.db2.gz SUGBDUUOZUOASI-NMKXLXIOSA-N 0 1 284.363 0.509 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2nccnc21 ZINC000962125680 613320455 /nfs/dbraw/zinc/32/04/55/613320455.db2.gz ZQHBTGGERKCAJQ-NHAGDIPZSA-N 0 1 292.342 0.923 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnn1CC1CCC1 ZINC000959906418 613320681 /nfs/dbraw/zinc/32/06/81/613320681.db2.gz BEXYMURVMCDTMM-FOLVSLTJSA-N 0 1 298.390 0.976 20 30 CCEDMN C#CCNC(=O)CCN(C)Cc1ccc(C(=O)OC)cn1 ZINC000859333135 613323944 /nfs/dbraw/zinc/32/39/44/613323944.db2.gz XJGMGXMYSUFGAC-UHFFFAOYSA-N 0 1 289.335 0.440 20 30 CCEDMN C#CCNC(=O)CN(CCC(=O)OCC)C(C)C ZINC000847085788 613328315 /nfs/dbraw/zinc/32/83/15/613328315.db2.gz RGVQGVVWWPSADE-UHFFFAOYSA-N 0 1 254.330 0.399 20 30 CCEDMN C#CCNC(=O)CN1CCN(C[C@H](OCC)C2CC2)CC1 ZINC000859119731 613329610 /nfs/dbraw/zinc/32/96/10/613329610.db2.gz VPVPBNPEQKZMEL-HNNXBMFYSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC[C@@H]2C[C@H]2C2CC2)CC1 ZINC000885986086 613329713 /nfs/dbraw/zinc/32/97/13/613329713.db2.gz XVPFPMKZMGNCGQ-CVEARBPZSA-N 0 1 289.423 0.790 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC/C=C/C=C)CC1 ZINC000878050143 613329747 /nfs/dbraw/zinc/32/97/47/613329747.db2.gz RDEPEWJNYYIKIT-AATRIKPKSA-N 0 1 261.369 0.486 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C(=O)OC)[C@@H]2CCCC[C@@H]21 ZINC000852494956 613329993 /nfs/dbraw/zinc/32/99/93/613329993.db2.gz HTJISZNUGXAYBJ-IHRRRGAJSA-N 0 1 292.379 0.790 20 30 CCEDMN C#CCNC(=O)COC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000885266912 613332731 /nfs/dbraw/zinc/33/27/31/613332731.db2.gz AUMVDHBDDUVNBB-NOZJJQNGSA-N 0 1 275.308 0.368 20 30 CCEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2nnn(C)n2)C1 ZINC000967748666 613333488 /nfs/dbraw/zinc/33/34/88/613333488.db2.gz OJIJGLMYEYKXKT-QWRGUYRKSA-N 0 1 278.360 0.226 20 30 CCEDMN C=C(C)CNS(=O)(=O)c1occc1C(=O)OC ZINC000867128307 613347360 /nfs/dbraw/zinc/34/73/60/613347360.db2.gz LEYXLEVZXVJPHJ-UHFFFAOYSA-N 0 1 259.283 0.921 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000883347540 613354907 /nfs/dbraw/zinc/35/49/07/613354907.db2.gz UYIFDCUDMJXWHG-KBPBESRZSA-N 0 1 298.431 0.249 20 30 CCEDMN C=C(C)C[C@@H](CO)NS(=O)(=O)N=S(=O)(CC)CC ZINC000882846184 613355578 /nfs/dbraw/zinc/35/55/78/613355578.db2.gz HFLNDORRWVEMLB-JTQLQIEISA-N 0 1 298.430 0.656 20 30 CCEDMN C=C(Cl)CNC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833370160 613383657 /nfs/dbraw/zinc/38/36/57/613383657.db2.gz LLEPXPZVTVJVDJ-JTQLQIEISA-N 0 1 289.763 0.929 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCOC2)C1 ZINC000967475553 613385090 /nfs/dbraw/zinc/38/50/90/613385090.db2.gz DEONMHKGKMPESE-GHMZBOCLSA-N 0 1 258.749 0.966 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn(CC)nn2)C1 ZINC000970362835 613385815 /nfs/dbraw/zinc/38/58/15/613385815.db2.gz YOUPPXJTGFINHE-SNVBAGLBSA-N 0 1 283.763 0.855 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N[C@@H]1CCS(=O)(=O)[C@@H]1C ZINC000877042326 613388364 /nfs/dbraw/zinc/38/83/64/613388364.db2.gz PGGNNKKGVGGRRV-IWSPIJDZSA-N 0 1 280.777 0.148 20 30 CCEDMN C=C(Cl)C[C@@H]1NC(=O)N(Cc2n[nH]c(CC)n2)C1=O ZINC000865309899 613388945 /nfs/dbraw/zinc/38/89/45/613388945.db2.gz PEHPQJIEYQEDFN-ZETCQYMHSA-N 0 1 283.719 0.930 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(C)[C@@H](C)CS(=O)(=O)CC ZINC000876663094 613389033 /nfs/dbraw/zinc/38/90/33/613389033.db2.gz WOYLKJWVHRMBEO-UWVGGRQHSA-N 0 1 296.820 0.738 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(C)CC(C)(C)S(C)(=O)=O ZINC000877232934 613389095 /nfs/dbraw/zinc/38/90/95/613389095.db2.gz GUMFWOQKKMKLNK-VIFPVBQESA-N 0 1 296.820 0.738 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000878292439 613397274 /nfs/dbraw/zinc/39/72/74/613397274.db2.gz JODRQHCEWKOGMY-LBPRGKRZSA-N 0 1 293.371 0.348 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2[C@H]3CN([C@@H](C)C(=O)NC)C[C@H]32)C1 ZINC000961736472 613402411 /nfs/dbraw/zinc/40/24/11/613402411.db2.gz WXXAYNQTTWRXJR-WUHRBBMRSA-N 0 1 291.395 0.524 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN(CCO)[C@@H](C)C1 ZINC000878464691 613411281 /nfs/dbraw/zinc/41/12/81/613411281.db2.gz FIJGXHBTOUGLOE-NWDGAFQWSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1C[C@H](O)CC2(CCOCC2)C1 ZINC000880579069 613413155 /nfs/dbraw/zinc/41/31/55/613413155.db2.gz OXHJXUFIUNZVPR-CHWSQXEVSA-N 0 1 283.368 0.968 20 30 CCEDMN C=CC[C@H](CO)CNCc1cn(C[C@H]2CCCO2)nn1 ZINC000865520618 613416351 /nfs/dbraw/zinc/41/63/51/613416351.db2.gz UYTANTMBJFHJOE-GXTWGEPZSA-N 0 1 280.372 0.731 20 30 CCEDMN C=C1c2ccccc2C(=O)N1CC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000846678445 613419522 /nfs/dbraw/zinc/41/95/22/613419522.db2.gz UMPOYFXKZILQOB-FZMZJTMJSA-N 0 1 296.330 0.341 20 30 CCEDMN C=CCN1C(=O)N=NC1SCCNC(=O)C1CC1 ZINC000901506491 613424657 /nfs/dbraw/zinc/42/46/57/613424657.db2.gz ANVUXJFSJZWCFN-UHFFFAOYSA-N 0 1 268.342 0.788 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H](C)C2CN(CCOC)C2)nn1 ZINC000970544108 613429311 /nfs/dbraw/zinc/42/93/11/613429311.db2.gz HDYJLRODQAWXNO-NSHDSACASA-N 0 1 293.371 0.161 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@H]1CN(C)CCN1C ZINC000878810054 613446143 /nfs/dbraw/zinc/44/61/43/613446143.db2.gz RSGJEYOWRWXTIO-LBPRGKRZSA-N 0 1 253.390 0.951 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CC[C@H](c2[nH]ncc2C(=O)OCC)C1 ZINC000912493822 613450530 /nfs/dbraw/zinc/45/05/30/613450530.db2.gz LYTVOHYQAXYKOC-GXSJLCMTSA-N 0 1 293.323 0.449 20 30 CCEDMN C=CC1CCN(C(=O)[C@]2(C)C[C@H](O)CN2C)CC1 ZINC000900655709 613452619 /nfs/dbraw/zinc/45/26/19/613452619.db2.gz JYNTUUPLKYOWKI-JSGCOSHPSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCC(CC=C)C(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC000798145840 613453312 /nfs/dbraw/zinc/45/33/12/613453312.db2.gz RFYJGDLZLGTHLH-UHFFFAOYSA-N 0 1 291.355 0.778 20 30 CCEDMN C=C[C@@H](OC(=O)CCc1nc[nH]n1)C(=O)OCC ZINC000842978968 613454810 /nfs/dbraw/zinc/45/48/10/613454810.db2.gz MMWWJOXATHXTMM-MRVPVSSYSA-N 0 1 253.258 0.398 20 30 CCEDMN C=CCC1(O)CCN(C(=O)[C@@]2(C)C[C@@H](O)CN2C)CC1 ZINC000924440399 613458744 /nfs/dbraw/zinc/45/87/44/613458744.db2.gz SHCLLUQWAZVUTC-TZMCWYRMSA-N 0 1 282.384 0.371 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000896538957 613467115 /nfs/dbraw/zinc/46/71/15/613467115.db2.gz IJSZJIVMRJYXSH-MQYQWHSLSA-N 0 1 281.400 0.328 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NC[C@@H]1CCN1C ZINC000922952450 613469410 /nfs/dbraw/zinc/46/94/10/613469410.db2.gz BXRQXBRPKHVLCY-SRVKXCTJSA-N 0 1 253.346 0.581 20 30 CCEDMN C=CCCC[C@@H]([NH3+])C(=O)[N-]S(=O)(=O)C1(C=C)CC1 ZINC000901058639 613470023 /nfs/dbraw/zinc/47/00/23/613470023.db2.gz GKCWTYHKXCDWKK-SNVBAGLBSA-N 0 1 272.370 0.835 20 30 CCEDMN C=CCCC[C@@H]1NC(=O)N(CCN2CCCOCC2)C1=O ZINC000925346646 613471899 /nfs/dbraw/zinc/47/18/99/613471899.db2.gz CGWLJSUBXFZUSR-ZDUSSCGKSA-N 0 1 295.383 0.985 20 30 CCEDMN C=C[C@@](C)(O)c1cn(Cc2n[nH]c(C(C)C)n2)nn1 ZINC000881377066 613481101 /nfs/dbraw/zinc/48/11/01/613481101.db2.gz MYMQLWNNQLNYOX-GFCCVEGCSA-N 0 1 262.317 0.961 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)[C@H](C)OC)CC2)C1 ZINC000941330711 613482138 /nfs/dbraw/zinc/48/21/38/613482138.db2.gz SQLDEKDFWIPGCH-ZDUSSCGKSA-N 0 1 281.400 0.426 20 30 CCEDMN C=C[C@H](C)NS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914241137 613483421 /nfs/dbraw/zinc/48/34/21/613483421.db2.gz DPQKFTBJEORJEZ-RYUDHWBXSA-N 0 1 258.387 0.966 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CN(C)CCN1C ZINC000932526989 613489875 /nfs/dbraw/zinc/48/98/75/613489875.db2.gz NSUYCWSKAOQEBE-OLZOCXBDSA-N 0 1 253.390 0.951 20 30 CCEDMN C=CCCN1CCN(C(=O)Cn2c(C)csc2=O)CC1 ZINC000957627332 613505582 /nfs/dbraw/zinc/50/55/82/613505582.db2.gz LLPGFUJZNVABOU-UHFFFAOYSA-N 0 1 295.408 0.939 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CN(CC)CCO2)CC1 ZINC000957724627 613507116 /nfs/dbraw/zinc/50/71/16/613507116.db2.gz ZSCUTNASMDYERD-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@]2(C)CCNC2=O)CC1 ZINC000950470762 613509233 /nfs/dbraw/zinc/50/92/33/613509233.db2.gz RJMMRTIKXRWUJL-CQSZACIVSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCCN1CCN(C(=O)c2cc(=O)n(C)cn2)CC1 ZINC000948835245 613509391 /nfs/dbraw/zinc/50/93/91/613509391.db2.gz LPFKLMBLWJVVRE-UHFFFAOYSA-N 0 1 276.340 0.114 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)c3cnon3)C2)C1 ZINC000972610020 613513837 /nfs/dbraw/zinc/51/38/37/613513837.db2.gz ZKRUSPDFJYDJDR-AWEZNQCLSA-N 0 1 292.339 0.563 20 30 CCEDMN CC#CC(=O)N1CC[C@](C)(NC(=O)C(F)(F)F)C1 ZINC000830807162 613518294 /nfs/dbraw/zinc/51/82/94/613518294.db2.gz DABHAUPPDAVNCH-JTQLQIEISA-N 0 1 262.231 0.679 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CCOC2)C1 ZINC000969381492 613530316 /nfs/dbraw/zinc/53/03/16/613530316.db2.gz NGIUIXSWCGVBPU-VXGBXAGGSA-N 0 1 250.342 0.483 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC000970411912 613530726 /nfs/dbraw/zinc/53/07/26/613530726.db2.gz LIZJQGSUUADKDU-OCCSQVGLSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3CCNC3=O)C2)C1 ZINC000981934876 613542348 /nfs/dbraw/zinc/54/23/48/613542348.db2.gz HLSJGRKPTGOOJX-CYBMUJFWSA-N 0 1 289.379 0.070 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3cc[n+]([O-])cc3)C2)C1 ZINC000981604393 613543283 /nfs/dbraw/zinc/54/32/83/613543283.db2.gz AFQIHSRKRRZNJK-UHFFFAOYSA-N 0 1 299.374 0.881 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@]34C[C@H]3COC4)C2)C1 ZINC000981782951 613543435 /nfs/dbraw/zinc/54/34/35/613543435.db2.gz QMJIXCQXPOODRN-YOEHRIQHSA-N 0 1 288.391 0.971 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC000968361509 613571419 /nfs/dbraw/zinc/57/14/19/613571419.db2.gz YDUVSLQNAJUXLJ-OCCSQVGLSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2nonc2C)C1 ZINC000968493792 613571879 /nfs/dbraw/zinc/57/18/79/613571879.db2.gz XKNWWPNSPFXVFA-RISCZKNCSA-N 0 1 290.367 0.770 20 30 CCEDMN CC(=O)N1CCc2cc(C(=O)N[C@@H]3CNC[C@H]3C#N)ccc21 ZINC000834511975 613588079 /nfs/dbraw/zinc/58/80/79/613588079.db2.gz QJHCMKIHVYBXBR-ZIAGYGMSSA-N 0 1 298.346 0.437 20 30 CCEDMN C=CCC[C@H](NC(=O)Cn1cc[nH+]c1C)C(=O)[O-] ZINC000909718539 613590020 /nfs/dbraw/zinc/59/00/20/613590020.db2.gz QAKYFTOBQQRBKZ-JTQLQIEISA-N 0 1 251.286 0.727 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cn2ccnc2)C1 ZINC000968703325 613590877 /nfs/dbraw/zinc/59/08/77/613590877.db2.gz UNBQLWIQOLUBQT-KBPBESRZSA-N 0 1 274.368 0.733 20 30 CCEDMN C=CCC[C@H]1NC(=O)N(CCN2CCCOCC2)C1=O ZINC000925346132 613591607 /nfs/dbraw/zinc/59/16/07/613591607.db2.gz ACZMPHAXCFTCSE-GFCCVEGCSA-N 0 1 281.356 0.595 20 30 CCEDMN C=CCCn1cc(C(=O)N2CC[C@@H](N3CCC3)C2)nn1 ZINC000912903387 613593176 /nfs/dbraw/zinc/59/31/76/613593176.db2.gz KLSWEVHDYPDDAD-GFCCVEGCSA-N 0 1 275.356 0.774 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc(C)n2)C1 ZINC000957878193 613613010 /nfs/dbraw/zinc/61/30/10/613613010.db2.gz IETDZKYQSLUCEP-DZGCQCFKSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)C2=CCCC2)[C@H](O)C1 ZINC000957964848 613614464 /nfs/dbraw/zinc/61/44/64/613614464.db2.gz GGAUIAZLMQRSDB-ZIAGYGMSSA-N 0 1 262.353 0.529 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccncc2C)[C@H](O)C1 ZINC000958057571 613615387 /nfs/dbraw/zinc/61/53/87/613615387.db2.gz WDOBVYLAHIPCCL-UKRRQHHQSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2nccs2)[C@H](O)C1 ZINC000958520151 613615593 /nfs/dbraw/zinc/61/55/93/613615593.db2.gz UPGZUTUTKAAVED-GHMZBOCLSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(CC)o2)C1 ZINC000958191039 613618498 /nfs/dbraw/zinc/61/84/98/613618498.db2.gz RJOLPYBKCCLJJM-JSGCOSHPSA-N 0 1 290.363 0.888 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccccn2)C1 ZINC000957817247 613624775 /nfs/dbraw/zinc/62/47/75/613624775.db2.gz ZCMKEJOCLJLXIW-OCCSQVGLSA-N 0 1 273.336 0.127 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)c1c[nH]cn1 ZINC000962320893 613632781 /nfs/dbraw/zinc/63/27/81/613632781.db2.gz ZLMFAIIGHCWKCT-IMRBUKKESA-N 0 1 286.379 0.757 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc[nH]1 ZINC000962676301 613634037 /nfs/dbraw/zinc/63/40/37/613634037.db2.gz DVBMFUQMKPHEEZ-NHAGDIPZSA-N 0 1 257.337 0.627 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCCO1 ZINC000958583656 613635187 /nfs/dbraw/zinc/63/51/87/613635187.db2.gz NBVFMLJHABXIPW-RFQIPJPRSA-N 0 1 262.353 0.625 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cc(Cl)cn1 ZINC000961924012 613635229 /nfs/dbraw/zinc/63/52/29/613635229.db2.gz NPDWZJXLMQBXCB-IMRBUKKESA-N 0 1 292.770 0.606 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCc2c[nH]nc21 ZINC000959916678 613636017 /nfs/dbraw/zinc/63/60/17/613636017.db2.gz WCEWCDGQICBKNI-NYTXWWLZSA-N 0 1 298.390 0.899 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2nncn2c1 ZINC000962022274 613639987 /nfs/dbraw/zinc/63/99/87/613639987.db2.gz RSHNROQFHBROGZ-NHAGDIPZSA-N 0 1 295.346 0.413 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(N(C)C)nc1 ZINC000960700407 613640091 /nfs/dbraw/zinc/64/00/91/613640091.db2.gz CUSBDRLYRKDBAI-FOLVSLTJSA-N 0 1 298.390 0.831 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2n1CCC2 ZINC000960337549 613642122 /nfs/dbraw/zinc/64/21/22/613642122.db2.gz PIHYFYFCKZSLJK-JYAVWHMHSA-N 0 1 284.363 0.513 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1snnc1CC ZINC000960884375 613643242 /nfs/dbraw/zinc/64/32/42/613643242.db2.gz MMWBOKIXXJUYAO-IAZYJMLFSA-N 0 1 290.392 0.784 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)[C@@H]1CCOC[C@@H]1OC ZINC000880426264 613646485 /nfs/dbraw/zinc/64/64/85/613646485.db2.gz SOPFVHJSAQCSHG-KGLIPLIRSA-N 0 1 282.384 0.923 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@H](C(N)=O)[C@@H](C)CC ZINC000887063249 613647224 /nfs/dbraw/zinc/64/72/24/613647224.db2.gz WCXNQWHSAHWVTK-AAEUAGOBSA-N 0 1 267.373 0.677 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@@H]1COCC[C@H]1OC ZINC000934044046 613648576 /nfs/dbraw/zinc/64/85/76/613648576.db2.gz SGMORHXZMFFVRS-BFHYXJOUSA-N 0 1 282.384 0.969 20 30 CCEDMN CC#CC[C@H](CO)NCc1cccc(S(N)(=O)=O)c1 ZINC000895103051 613652687 /nfs/dbraw/zinc/65/26/87/613652687.db2.gz LBEKEAGKIAMYMK-GFCCVEGCSA-N 0 1 282.365 0.198 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC000969479229 613663468 /nfs/dbraw/zinc/66/34/68/613663468.db2.gz JNFMKUMWASPSQQ-MNOVXSKESA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC000969787259 613669355 /nfs/dbraw/zinc/66/93/55/613669355.db2.gz CFRVLNLHELDBPH-RYUDHWBXSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCC[C@H](C(N)=O)C2)CC1 ZINC000982062304 613691622 /nfs/dbraw/zinc/69/16/22/613691622.db2.gz JNUVDGQFZFMGTL-UONOGXRCSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCCNC2=O)CC1 ZINC000981391670 613694401 /nfs/dbraw/zinc/69/44/01/613694401.db2.gz SMKYDPMUCZGDDK-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC000980825611 613694464 /nfs/dbraw/zinc/69/44/64/613694464.db2.gz IYJZWRAOWKEABF-RYUDHWBXSA-N 0 1 266.341 0.516 20 30 CCEDMN C=CCN1CCN(CN(C)CCCC(=O)NC)C1=O ZINC000840033932 613719489 /nfs/dbraw/zinc/71/94/89/613719489.db2.gz VAEVUGCCYNUOET-UHFFFAOYSA-N 0 1 268.361 0.326 20 30 CCEDMN C=CCN1CCO[C@@]2(CCN(C(=O)[C@H]3CCCN3C)C2)C1 ZINC000972294144 613724567 /nfs/dbraw/zinc/72/45/67/613724567.db2.gz IHQWLPOYXXXHDY-ZBFHGGJFSA-N 0 1 293.411 0.570 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cn2cccn2)C1 ZINC000965153770 613733691 /nfs/dbraw/zinc/73/36/91/613733691.db2.gz ROZSJYKTRFHLCO-CHWSQXEVSA-N 0 1 262.357 0.896 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cnn(C)n2)C1 ZINC000968413753 613736292 /nfs/dbraw/zinc/73/62/92/613736292.db2.gz MUHVZZUUXFJOPU-ZYHUDNBSSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)CN2CCCNC2=O)C1 ZINC000967207574 613766825 /nfs/dbraw/zinc/76/68/25/613766825.db2.gz QOWQLOBDOGBQQY-STQMWFEESA-N 0 1 294.399 0.414 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC000968084919 613767722 /nfs/dbraw/zinc/76/77/22/613767722.db2.gz ZMEIMEHQPSSVBF-JQWIXIFHSA-N 0 1 276.340 0.396 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(OC(C)=O)CC2)C1=O ZINC000799144512 613777828 /nfs/dbraw/zinc/77/78/28/613777828.db2.gz BHDKEOVAZOFOQL-ZDUSSCGKSA-N 0 1 266.341 0.801 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C(C)(C)C1 ZINC000974598200 613809252 /nfs/dbraw/zinc/80/92/52/613809252.db2.gz UTGQRMGDLUQNKR-JHJVBQTASA-N 0 1 293.411 0.914 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cn(C)c(=O)n2C)C(C)(C)C1 ZINC000977639975 613811897 /nfs/dbraw/zinc/81/18/97/613811897.db2.gz YQZUYXYIEGDYGL-GFCCVEGCSA-N 0 1 292.383 0.350 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cn2cccn2)C(C)(C)C1 ZINC000972807569 613827100 /nfs/dbraw/zinc/82/71/00/613827100.db2.gz CKYZVHJHAZGWPQ-LBPRGKRZSA-N 0 1 262.357 0.896 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2[C@@H](CNC(=O)[C@H]3CCCS3(=O)=O)[C@@H]2C1 ZINC000979076628 613834966 /nfs/dbraw/zinc/83/49/66/613834966.db2.gz ZFVYBCIMMHOJFW-FVCCEPFGSA-N 0 1 298.408 0.044 20 30 CCEDMN C=CCN1C[C@@H]2[C@@H](CNC(=O)[C@H]3CCCS3(=O)=O)[C@@H]2C1 ZINC000979076628 613834967 /nfs/dbraw/zinc/83/49/67/613834967.db2.gz ZFVYBCIMMHOJFW-FVCCEPFGSA-N 0 1 298.408 0.044 20 30 CCEDMN C=CCNC(=O)C(C#N)=C(O)[C@@H]1Cc2ccccc2C(=O)O1 ZINC000916921515 613852932 /nfs/dbraw/zinc/85/29/32/613852932.db2.gz UOZDADJTEKWODO-STQMWFEESA-N 0 1 298.298 0.779 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@H](C(=O)OCC)C1 ZINC000932650973 613853382 /nfs/dbraw/zinc/85/33/82/613853382.db2.gz XLJRQCDOKHHEDM-MNOVXSKESA-N 0 1 254.330 0.562 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(C(=O)NOC)CC1 ZINC000879922688 613854868 /nfs/dbraw/zinc/85/48/68/613854868.db2.gz IUSFHLMXZLIJJX-JTQLQIEISA-N 0 1 269.345 0.067 20 30 CCEDMN C=CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C(N)=O ZINC000960300130 613870896 /nfs/dbraw/zinc/87/08/96/613870896.db2.gz RYAJVDWLGYGDPL-VXGBXAGGSA-N 0 1 259.309 0.030 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCC[N@H+]2CCSC[C@H]12 ZINC000928094329 613879521 /nfs/dbraw/zinc/87/95/21/613879521.db2.gz KOHPOYDSBVLLNZ-NWDGAFQWSA-N 0 1 270.398 0.885 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000928094329 613879522 /nfs/dbraw/zinc/87/95/22/613879522.db2.gz KOHPOYDSBVLLNZ-NWDGAFQWSA-N 0 1 270.398 0.885 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)C(C)(C)c1cnc[nH]1 ZINC000857176159 613885035 /nfs/dbraw/zinc/88/50/35/613885035.db2.gz OXXJRIDNIDQWCX-UHFFFAOYSA-N 0 1 285.369 0.404 20 30 CCEDMN CC(C)(C)N1CCC[C@H](C(=O)N[C@@H]2CNC[C@@H]2C#N)C1=O ZINC000884249059 613925576 /nfs/dbraw/zinc/92/55/76/613925576.db2.gz CGMZKVROCNEXKS-QJPTWQEYSA-N 0 1 292.383 0.251 20 30 CCEDMN CC(C)(C)n1cc(C(=O)C(=O)N[C@@H]2CNC[C@H]2C#N)cn1 ZINC000834489189 614059238 /nfs/dbraw/zinc/05/92/38/614059238.db2.gz KMMGKHKVJTUJHO-MWLCHTKSSA-N 0 1 289.339 0.049 20 30 CCEDMN CC(C)(C)n1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000848418671 614062570 /nfs/dbraw/zinc/06/25/70/614062570.db2.gz LUQGXGDRVUIHEP-MWLCHTKSSA-N 0 1 261.329 0.479 20 30 CCEDMN CC(C)CCn1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)nn1 ZINC000834490580 614063106 /nfs/dbraw/zinc/06/31/06/614063106.db2.gz VXLDWJAXJUNASE-QWRGUYRKSA-N 0 1 276.344 0.166 20 30 CCEDMN CC(C)[C@@H]1CN(C)CCN1CC(=O)NCCC#N ZINC000823184968 614162447 /nfs/dbraw/zinc/16/24/47/614162447.db2.gz LSYKWJPVRCXPCB-LBPRGKRZSA-N 0 1 252.362 0.288 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N2CC(C#N)C2)cc1=O ZINC000820507099 614234758 /nfs/dbraw/zinc/23/47/58/614234758.db2.gz ONKFZQQICXRMAC-UHFFFAOYSA-N 0 1 262.313 0.357 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N(C)[C@@H](C)C#N)cc1=O ZINC000905728765 614234848 /nfs/dbraw/zinc/23/48/48/614234848.db2.gz PMJCHOHVRPYXPC-JTQLQIEISA-N 0 1 264.329 0.745 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N(C)CC#N)cc1=O ZINC000931238949 614235175 /nfs/dbraw/zinc/23/51/75/614235175.db2.gz AUJGFGUVUKHCBH-UHFFFAOYSA-N 0 1 250.302 0.357 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)NCC2(C#N)CC2)cc1=O ZINC000935783476 614235933 /nfs/dbraw/zinc/23/59/33/614235933.db2.gz JLTHYSIEYZAJQG-UHFFFAOYSA-N 0 1 276.340 0.795 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)NCCCC#N)cc1=O ZINC000935338414 614236145 /nfs/dbraw/zinc/23/61/45/614236145.db2.gz IDILSZJEMWCSBV-UHFFFAOYSA-N 0 1 264.329 0.795 20 30 CCEDMN CC(=O)c1cncc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000884249756 614267932 /nfs/dbraw/zinc/26/79/32/614267932.db2.gz QVIUWHBXUVWBDT-RYUDHWBXSA-N 0 1 258.281 0.126 20 30 CCEDMN CC(C)c1noc(CCC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000834521860 614339760 /nfs/dbraw/zinc/33/97/60/614339760.db2.gz WONCYROBXLGZFH-UWVGGRQHSA-N 0 1 277.328 0.353 20 30 CCEDMN CC(C)C(=O)N1CCCC[C@@H]1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834489293 614353784 /nfs/dbraw/zinc/35/37/84/614353784.db2.gz LMNCRQWXNDPBEN-RWMBFGLXSA-N 0 1 292.383 0.251 20 30 CCEDMN CC(C)n1cc(C=NNc2ncnc3nc[nH]c32)nn1 ZINC000807965363 614355236 /nfs/dbraw/zinc/35/52/36/614355236.db2.gz UEBRYZCXBCIVGX-UHFFFAOYSA-N 0 1 271.288 0.971 20 30 CCEDMN CC(C)N1CCO[C@@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000972297726 614364572 /nfs/dbraw/zinc/36/45/72/614364572.db2.gz MHBVZNZHCYIFLC-JSGCOSHPSA-N 0 1 265.357 0.858 20 30 CCEDMN CCC(C#N)(CC)C(=O)NC[C@H]1C[C@@H](O)CN1C ZINC000924995045 614470242 /nfs/dbraw/zinc/47/02/42/614470242.db2.gz CKXAMHJMEJXTMW-GHMZBOCLSA-N 0 1 253.346 0.498 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N1CCN(C(=O)[O-])C[C@H]1C#N ZINC000826893650 614514432 /nfs/dbraw/zinc/51/44/32/614514432.db2.gz XKPIBSNZKKZIRJ-SNVBAGLBSA-N 0 1 268.317 0.043 20 30 CCEDMN CCC1(C(=O)NC2(C#N)CCN(C)CC2)COC1 ZINC000755696961 614659395 /nfs/dbraw/zinc/65/93/95/614659395.db2.gz YAENCINPYXUQMR-UHFFFAOYSA-N 0 1 251.330 0.517 20 30 CCEDMN CC1=C(C(=O)[O-])C[C@@H](C[NH+]2CCN(CCC#N)CC2)O1 ZINC000833578578 614959195 /nfs/dbraw/zinc/95/91/95/614959195.db2.gz KALNHGKUZWRECJ-LBPRGKRZSA-N 0 1 279.340 0.665 20 30 CCEDMN CCN(CC)C(=O)CCC(=O)NCC#CCN(C)C ZINC000913519517 614960849 /nfs/dbraw/zinc/96/08/49/614960849.db2.gz CXBLIOUNKBRRPH-UHFFFAOYSA-N 0 1 267.373 0.316 20 30 CCEDMN C[C@@H](NC(=O)C1(C#N)CC(C)C1)[C@@H]1C[N@@H+](C)CCN1C ZINC000896556080 615011040 /nfs/dbraw/zinc/01/10/40/615011040.db2.gz NZUGPGXPWKPPRH-ICRTXTNUSA-N 0 1 278.400 0.677 20 30 CCEDMN C[C@@H](NC(=O)C1(C#N)CC(C)C1)[C@@H]1CN(C)CCN1C ZINC000896556080 615011044 /nfs/dbraw/zinc/01/10/44/615011044.db2.gz NZUGPGXPWKPPRH-ICRTXTNUSA-N 0 1 278.400 0.677 20 30 CCEDMN CCN(CCC(N)=O)CC(=O)N(CC)C[C@@H](C)C#N ZINC000932480093 615040083 /nfs/dbraw/zinc/04/00/83/615040083.db2.gz ANHKKFHQNRWTCU-NSHDSACASA-N 0 1 268.361 0.192 20 30 CCEDMN CC[N@@H+]1CCO[C@@H](C(=O)[N-]Oc2cccc(C#N)c2)C1 ZINC000870692353 615171001 /nfs/dbraw/zinc/17/10/01/615171001.db2.gz BBDWSOROYCWRTR-CYBMUJFWSA-N 0 1 275.308 0.689 20 30 CCEDMN CC[N@H+]1CCO[C@@H](C(=O)[N-]Oc2cccc(C#N)c2)C1 ZINC000870692353 615171002 /nfs/dbraw/zinc/17/10/02/615171002.db2.gz BBDWSOROYCWRTR-CYBMUJFWSA-N 0 1 275.308 0.689 20 30 CCEDMN CCOC(=O)CON=C(C(=O)N1CC[C@@H]1C)c1cc[nH]n1 ZINC000914156016 615200432 /nfs/dbraw/zinc/20/04/32/615200432.db2.gz PDJOCJGDGHEZCT-VIFPVBQESA-N 0 1 294.311 0.314 20 30 CCEDMN CCOCCN1CCN(CCO[C@H](C)C#N)CC1 ZINC000801918601 615255291 /nfs/dbraw/zinc/25/52/91/615255291.db2.gz SHCHYLLOHTWLCP-CYBMUJFWSA-N 0 1 255.362 0.569 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)[C@H](O)c1ccc(C#N)cc1 ZINC000922158150 615256538 /nfs/dbraw/zinc/25/65/38/615256538.db2.gz FDSQZCCXEDBFIJ-ZIAGYGMSSA-N 0 1 273.336 0.802 20 30 CCEDMN CC[N@H+]1CC[C@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820347947 615271505 /nfs/dbraw/zinc/27/15/05/615271505.db2.gz BCEIWGSVIUMBJN-MFKMUULPSA-N 0 1 267.329 0.449 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCN(C)CCN(C)CC1 ZINC000888980821 615365319 /nfs/dbraw/zinc/36/53/19/615365319.db2.gz QVYKBOYFEDLNBF-LBPRGKRZSA-N 0 1 252.362 0.242 20 30 CCEDMN CCOC(=O)[C@H]1CCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000932647973 615379727 /nfs/dbraw/zinc/37/97/27/615379727.db2.gz BLXSOKUVLJFXHE-RYUDHWBXSA-N 0 1 281.356 0.632 20 30 CCEDMN CCO[C@@H](CNC(=O)c1cc([O-])cc(C#N)c1)C[NH+](C)C ZINC000831731738 615408898 /nfs/dbraw/zinc/40/88/98/615408898.db2.gz SOQVLJAUGLNUNW-AWEZNQCLSA-N 0 1 291.351 0.960 20 30 CCEDMN CC[C@@H](CC#N)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897400920 615470313 /nfs/dbraw/zinc/47/03/13/615470313.db2.gz XJJIHIXMORVWFB-NWDGAFQWSA-N 0 1 251.330 0.516 20 30 CCEDMN CCOc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834489005 615513372 /nfs/dbraw/zinc/51/33/72/615513372.db2.gz IGGIIUJSNNQOPZ-AAEUAGOBSA-N 0 1 259.309 0.927 20 30 CCEDMN CCOc1ccccc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834512291 615517788 /nfs/dbraw/zinc/51/77/88/615517788.db2.gz UOJAXXIYXXTFSB-CMPLNLGQSA-N 0 1 259.309 0.927 20 30 CCEDMN CC[C@@H](O)CNN=Cc1ccnn1-c1ccncc1 ZINC000853346561 615542224 /nfs/dbraw/zinc/54/22/24/615542224.db2.gz FIXUPBIUCRCIPO-CYBMUJFWSA-N 0 1 259.313 0.962 20 30 CCEDMN CC[C@@H]1C[C@H](C(=O)N[C@H]2CNC[C@@H]2C#N)CCO1 ZINC000834499887 615571186 /nfs/dbraw/zinc/57/11/86/615571186.db2.gz NTLDWNSFCPHGDV-KXNHARMFSA-N 0 1 251.330 0.419 20 30 CCEDMN CCc1cc(CNC(=O)N2CCN(CCC#N)CC2)n[nH]1 ZINC000868291186 615647321 /nfs/dbraw/zinc/64/73/21/615647321.db2.gz DXVCQELCMZGBDO-UHFFFAOYSA-N 0 1 290.371 0.713 20 30 CCEDMN CCn1ncn(N=Cc2cc(C(F)(F)F)n[nH]2)c1=O ZINC000814234164 615729061 /nfs/dbraw/zinc/72/90/61/615729061.db2.gz STEJJAFJGLEGNQ-UHFFFAOYSA-N 0 1 274.206 0.689 20 30 CCEDMN CCc1nc(CN(CC#N)CCC(=O)OC)n[nH]1 ZINC000879474231 615741552 /nfs/dbraw/zinc/74/15/52/615741552.db2.gz JNKXNABKQFINEP-UHFFFAOYSA-N 0 1 251.290 0.256 20 30 CCEDMN CCc1nc(CNC(=O)NCC#C[C@@H]2CCCCO2)n[nH]1 ZINC000895061892 615745167 /nfs/dbraw/zinc/74/51/67/615745167.db2.gz MYBJHWFJFTUQSV-NSHDSACASA-N 0 1 291.355 0.739 20 30 CCEDMN CCc1ncsc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834483842 615791182 /nfs/dbraw/zinc/79/11/82/615791182.db2.gz AGZHTAMZUOXRKR-IONNQARKSA-N 0 1 250.327 0.547 20 30 CCEDMN CCc1nn(C)cc1NC(=O)NCC#CCN(C)C ZINC000930692672 615797476 /nfs/dbraw/zinc/79/74/76/615797476.db2.gz CBXSINHLOAUTNM-UHFFFAOYSA-N 0 1 263.345 0.669 20 30 CCEDMN CN(C(=O)COC(=O)c1[nH]nc2c1CCC2)C(C)(C)C#N ZINC000919332862 615818259 /nfs/dbraw/zinc/81/82/59/615818259.db2.gz KJOPZRRWQUNLOK-UHFFFAOYSA-N 0 1 290.323 0.816 20 30 CCEDMN CCc1noc(CCCC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000834490607 615836600 /nfs/dbraw/zinc/83/66/00/615836600.db2.gz WBJBKVXTFRVDAU-UWVGGRQHSA-N 0 1 277.328 0.182 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C\COC1CCOCC1 ZINC000913525111 615856853 /nfs/dbraw/zinc/85/68/53/615856853.db2.gz KMYCERXADFQWDV-WAYWQWQTSA-N 0 1 280.368 0.419 20 30 CCEDMN CN(C)CC#CCNC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000913515345 615858223 /nfs/dbraw/zinc/85/82/23/615858223.db2.gz SXSMAPJNLAEFEU-UHFFFAOYSA-N 0 1 291.307 0.655 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc([S@@](C)=O)cc1 ZINC000913521677 615858450 /nfs/dbraw/zinc/85/84/50/615858450.db2.gz JBKOIEIPJVRSNG-HXUWFJFHSA-N 0 1 292.404 0.648 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CC(=O)N[C@H]2CCCC[C@@H]12 ZINC000913523847 615859389 /nfs/dbraw/zinc/85/93/89/615859389.db2.gz OPXMFBTYBHMPKM-MJBXVCDLSA-N 0 1 291.395 0.363 20 30 CCEDMN C[NH+](C)CC#CCNC(=O)c1c(F)ccc([O-])c1F ZINC000913521592 615860267 /nfs/dbraw/zinc/86/02/67/615860267.db2.gz FSNZCXBYWPEYTD-UHFFFAOYSA-N 0 1 268.263 0.965 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)N(C)CCO2 ZINC000913521857 615861470 /nfs/dbraw/zinc/86/14/70/615861470.db2.gz PULAKYBTHQISFF-UHFFFAOYSA-N 0 1 287.363 0.810 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc2n1CCCCC2 ZINC000913524137 615861636 /nfs/dbraw/zinc/86/16/36/615861636.db2.gz ARTXPFOUKQTISR-UHFFFAOYSA-N 0 1 274.368 0.904 20 30 CCEDMN CN(C(=O)[C@@H]1NCCc2cc(C#N)ccc21)[C@H]1CCOC1 ZINC000867944643 615868495 /nfs/dbraw/zinc/86/84/95/615868495.db2.gz YTXGBVSYXLZAOH-DZGCQCFKSA-N 0 1 285.347 0.992 20 30 CCEDMN CN(C(=O)[C@H]1NCCc2cc(C#N)ccc21)[C@H]1CCOC1 ZINC000867944660 615889705 /nfs/dbraw/zinc/88/97/05/615889705.db2.gz YTXGBVSYXLZAOH-ZFWWWQNUSA-N 0 1 285.347 0.992 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1ccc(C#N)cn1 ZINC000907016576 615894000 /nfs/dbraw/zinc/89/40/00/615894000.db2.gz JFKAWVVWRLKXOF-UHFFFAOYSA-N 0 1 268.342 0.135 20 30 CCEDMN CN(C)S(=O)(=O)NCCNCc1csc(C#N)c1 ZINC000894418261 615968438 /nfs/dbraw/zinc/96/84/38/615968438.db2.gz CAGIXQQOWNVRDQ-UHFFFAOYSA-N 0 1 288.398 0.105 20 30 CCEDMN CN(C)C(=O)CON=C(N)c1ccc(N(C)C)cc1 ZINC000809995746 615974681 /nfs/dbraw/zinc/97/46/81/615974681.db2.gz VQTULABFEXQQEH-UHFFFAOYSA-N 0 1 264.329 0.478 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN1CCC(O)(CO)CC1 ZINC000877629945 616012015 /nfs/dbraw/zinc/01/20/15/616012015.db2.gz ZQACXCBSBLMQDA-UHFFFAOYSA-N 0 1 289.379 0.943 20 30 CCEDMN CN(C)c1ccc(C(N)=NOC[C@@H]2COCCO2)cc1 ZINC000853657876 616013475 /nfs/dbraw/zinc/01/34/75/616013475.db2.gz YCBAXHWOOILXNC-ZDUSSCGKSA-N 0 1 279.340 0.805 20 30 CCEDMN CN(C)c1ccc2c(c1)NC(=O)C2=NNc1cnnn1C ZINC000814983681 616020115 /nfs/dbraw/zinc/02/01/15/616020115.db2.gz MKHFQIDOYMAGHD-UHFFFAOYSA-N 0 1 285.311 0.649 20 30 CCEDMN CN(CC(=O)NC1CC1)C[C@@H](O)CC1(C#N)CCC1 ZINC000885946296 616046666 /nfs/dbraw/zinc/04/66/66/616046666.db2.gz HHGCWFQLUSHHNL-LBPRGKRZSA-N 0 1 265.357 0.642 20 30 CCEDMN CN(CC(=O)C(C#N)C(=O)NC1CC1)[C@@H]1CCSC1 ZINC000123635186 616050834 /nfs/dbraw/zinc/05/08/34/616050834.db2.gz JGWYWYCLIUGZCR-MNOVXSKESA-N 0 1 281.381 0.411 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)N[C@H](CC#N)C(F)(F)F ZINC000932902570 616103497 /nfs/dbraw/zinc/10/34/97/616103497.db2.gz GTAXMCKYTADRHB-ZCFIWIBFSA-N 0 1 276.222 0.791 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc(F)nc2)CC1 ZINC000219999903 616166084 /nfs/dbraw/zinc/16/60/84/616166084.db2.gz PMSQCQADLJJXJO-UHFFFAOYSA-N 0 1 262.288 0.938 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N1CC[C@H]2[C@@H]1CCCN2C ZINC000872666656 616216114 /nfs/dbraw/zinc/21/61/14/616216114.db2.gz XVLDDNBRZYGJCD-RYUDHWBXSA-N 0 1 286.401 0.245 20 30 CCEDMN CNc1snc(C)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834499429 616280297 /nfs/dbraw/zinc/28/02/97/616280297.db2.gz IIDQAYLEVJRGIA-SFYZADRCSA-N 0 1 265.342 0.335 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)N1CCN(C2CC2)[C@H](C#N)C1 ZINC000925409650 616291036 /nfs/dbraw/zinc/29/10/36/616291036.db2.gz GKWCBUWSKTYKOY-CHWSQXEVSA-N 0 1 277.372 0.072 20 30 CCEDMN CN1C[C@@H](O)C[C@@H]1CNC(=O)C#Cc1ccccc1 ZINC000924991606 616299026 /nfs/dbraw/zinc/29/90/26/616299026.db2.gz YHPCDPMCMHYSQC-KGLIPLIRSA-N 0 1 258.321 0.219 20 30 CCEDMN COC(=O)/C=C\c1ccc(C(=O)NCC#CCN(C)C)o1 ZINC000913524510 616320312 /nfs/dbraw/zinc/32/03/12/616320312.db2.gz PGAXFJPBWGXSOW-CLFYSBASSA-N 0 1 290.319 0.761 20 30 CCEDMN CN1C[C@@H](O)C[C@H]1CNC(=O)C#Cc1cccs1 ZINC000924978971 616324647 /nfs/dbraw/zinc/32/46/47/616324647.db2.gz LXZXLLWGZINPSR-QWRGUYRKSA-N 0 1 264.350 0.281 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)c2coc(C#N)c2)C1 ZINC000865195673 616352537 /nfs/dbraw/zinc/35/25/37/616352537.db2.gz OHGAYUMTHSZIRF-LBPRGKRZSA-N 0 1 277.324 0.992 20 30 CCEDMN CN1C[C@H]2CC[C@@H](C1)N2CC(=O)N[C@](C)(C#N)C1CC1 ZINC000931398005 616369835 /nfs/dbraw/zinc/36/98/35/616369835.db2.gz YOEUOPGTTHAPQH-VNHYZAJKSA-N 0 1 276.384 0.573 20 30 CCEDMN COC(=O)C1(O)CCN(C[C@@H](O)CC2(C#N)CCC2)CC1 ZINC000886103125 616372612 /nfs/dbraw/zinc/37/26/12/616372612.db2.gz ZHNHORKRZRMPDU-LBPRGKRZSA-N 0 1 296.367 0.431 20 30 CCEDMN COC(=O)C1(O)CCN(C[C@H](O)CC2(C#N)CCC2)CC1 ZINC000886103124 616372889 /nfs/dbraw/zinc/37/28/89/616372889.db2.gz ZHNHORKRZRMPDU-GFCCVEGCSA-N 0 1 296.367 0.431 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N2CCC[C@H](C#N)C2)C1 ZINC000919634235 616393732 /nfs/dbraw/zinc/39/37/32/616393732.db2.gz GYVXGXMUMIAKDT-CHWSQXEVSA-N 0 1 280.372 0.652 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1CCC1(C)C ZINC000850757381 616492108 /nfs/dbraw/zinc/49/21/08/616492108.db2.gz JSWGYFGRXIJIBE-VHSXEESVSA-N 0 1 252.314 0.894 20 30 CCEDMN COC(=O)CCN(CC#N)[C@@H](C)c1n[nH]c(C)n1 ZINC000879471749 616548330 /nfs/dbraw/zinc/54/83/30/616548330.db2.gz DOCZQRYCWQTVTD-QMMMGPOBSA-N 0 1 251.290 0.563 20 30 CCEDMN COC(=O)[C@H](C)Oc1ccc(C=NNC2=NCCN2)cc1 ZINC000841661990 616586546 /nfs/dbraw/zinc/58/65/46/616586546.db2.gz UWEKIOGYOJQJBJ-JTQLQIEISA-N 0 1 290.323 0.510 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1NCC(=O)N(CCC#N)CCC#N ZINC000934046003 616672936 /nfs/dbraw/zinc/67/29/36/616672936.db2.gz UYFAMHUOFAGRAN-CHWSQXEVSA-N 0 1 294.355 0.036 20 30 CCEDMN COC(=O)Cc1occc1C(=O)NCC#CCN(C)C ZINC000913521543 616728025 /nfs/dbraw/zinc/72/80/25/616728025.db2.gz DGNMJKZLMZKOJY-UHFFFAOYSA-N 0 1 278.308 0.290 20 30 CCEDMN CNC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC000870154621 616776784 /nfs/dbraw/zinc/77/67/84/616776784.db2.gz NNARLRZTBXOLRJ-AXFHLTTASA-N 0 1 250.298 0.504 20 30 CCEDMN COC(=O)[C@]1(O)CCN(CCOc2ccc(C#N)cc2)C1 ZINC000880560028 616783531 /nfs/dbraw/zinc/78/35/31/616783531.db2.gz TYEZLMSNSYWXMX-HNNXBMFYSA-N 0 1 290.319 0.547 20 30 CCEDMN CO[C@H]1C[C@@H](CN2CCN(C(=O)CC#N)CC2)C1 ZINC000878671270 616861380 /nfs/dbraw/zinc/86/13/80/616861380.db2.gz DYGCMVWQHPKLFF-TXEJJXNPSA-N 0 1 251.330 0.469 20 30 CCEDMN COCc1ncc(C(=O)NCC#CCN(C)C)s1 ZINC000913522246 616951338 /nfs/dbraw/zinc/95/13/38/616951338.db2.gz BHAJQGMKLAETHF-UHFFFAOYSA-N 0 1 267.354 0.584 20 30 CCEDMN COCCN(C)c1ccc(C=NNC[C@@H](C)O)cn1 ZINC000814964638 616972455 /nfs/dbraw/zinc/97/24/55/616972455.db2.gz ANTFVBVQPLZOAN-LLVKDONJSA-N 0 1 266.345 0.469 20 30 CCEDMN CO[C@H](C(=O)N[C@H]1CNC[C@H]1C#N)c1ccccc1 ZINC000834490512 616987899 /nfs/dbraw/zinc/98/78/99/616987899.db2.gz VONABGNAJSIRFD-AGIUHOORSA-N 0 1 259.309 0.602 20 30 CCEDMN COC(=O)[C@@H](NC(=O)C(C)C#N)C1CCOCC1 ZINC000881764418 617011177 /nfs/dbraw/zinc/01/11/77/617011177.db2.gz JCCQMANHGDLGGB-WPRPVWTQSA-N 0 1 254.286 0.230 20 30 CCEDMN CON=CC(=O)NCc1nc(-c2ccc(F)cc2)n[nH]1 ZINC000809667613 617105646 /nfs/dbraw/zinc/10/56/46/617105646.db2.gz ZQGWDYWXTUXEEP-UHFFFAOYSA-N 0 1 277.259 0.859 20 30 CCEDMN CON=CC(=O)NCc1n[nH]c(-c2ccc(F)cc2)n1 ZINC000809667613 617105648 /nfs/dbraw/zinc/10/56/48/617105648.db2.gz ZQGWDYWXTUXEEP-UHFFFAOYSA-N 0 1 277.259 0.859 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)c3ccn[nH]3)C[C@H]12 ZINC001026207886 625356673 /nfs/dbraw/zinc/35/66/73/625356673.db2.gz ZPLQCGVKQLQSQR-OUAUKWLOSA-N 0 1 259.313 0.373 20 30 CCEDMN C#CC[NH2+][C@@H]1CC[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001026248117 625372858 /nfs/dbraw/zinc/37/28/58/625372858.db2.gz LGLNYLJWWNHRSH-YNEHKIRRSA-N 0 1 285.347 0.861 20 30 CCEDMN Cc1cc([C@H]2CCCN(C(=O)NCC#CCO)C2)n[nH]1 ZINC000923769164 625399083 /nfs/dbraw/zinc/39/90/83/625399083.db2.gz YINZBTGTFJISMU-LBPRGKRZSA-N 0 1 276.340 0.603 20 30 CCEDMN C[C@@](Cn1cccn1)(NCc1ccc(CC#N)cc1)C(N)=O ZINC000934218789 622597006 /nfs/dbraw/zinc/59/70/06/622597006.db2.gz BTNQLXFPSQPEQA-INIZCTEOSA-N 0 1 297.362 0.983 20 30 CCEDMN Cc1nnc(CNC[C@H]2CCN(C(=O)[C@H](C)C#N)C2)o1 ZINC001026732817 625580115 /nfs/dbraw/zinc/58/01/15/625580115.db2.gz NXSVMHDXLUZTSX-MWLCHTKSSA-N 0 1 277.328 0.476 20 30 CCEDMN O=C(C#CC1CC1)N1C[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC000972074965 622648085 /nfs/dbraw/zinc/64/80/85/622648085.db2.gz BPJJFYYQVLMVHP-TZMCWYRMSA-N 0 1 298.346 0.496 20 30 CCEDMN C=CC[C@@H]1CCN1[C@@H](C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000934469168 622713328 /nfs/dbraw/zinc/71/33/28/622713328.db2.gz POWSUICOEVENGH-YNEHKIRRSA-N 0 1 279.384 0.749 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@]2(C1)CN(CC1CC1)CCO2 ZINC000972297417 622747715 /nfs/dbraw/zinc/74/77/15/622747715.db2.gz IXEJBZYSYRVFCL-DOMZBBRYSA-N 0 1 277.368 0.859 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)c2cnn(CC)n2)C1 ZINC001023351546 623009981 /nfs/dbraw/zinc/00/99/81/623009981.db2.gz ALRPLPWLUZFING-GFCCVEGCSA-N 0 1 275.356 0.373 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2cnn(CC)n2)C1 ZINC001023351546 623009988 /nfs/dbraw/zinc/00/99/88/623009988.db2.gz ALRPLPWLUZFING-GFCCVEGCSA-N 0 1 275.356 0.373 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@H](CNC(=O)c2[n-]nnc2C)C1 ZINC001023529193 623051181 /nfs/dbraw/zinc/05/11/81/623051181.db2.gz ZEAWWBXHOLRBOE-GFCCVEGCSA-N 0 1 275.356 0.578 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](CNC(=O)c2[n-]nnc2C)C1 ZINC001023529193 623051193 /nfs/dbraw/zinc/05/11/93/623051193.db2.gz ZEAWWBXHOLRBOE-GFCCVEGCSA-N 0 1 275.356 0.578 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001023682475 623105040 /nfs/dbraw/zinc/10/50/40/623105040.db2.gz AUGJJZHDNWVCFU-CYBMUJFWSA-N 0 1 287.363 0.758 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2conc2COC)C1 ZINC001023698384 623106429 /nfs/dbraw/zinc/10/64/29/623106429.db2.gz YSNYSZBMUOMJIF-LBPRGKRZSA-N 0 1 291.351 0.896 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](CNCc2ncnn2C)C1 ZINC001023894881 623167513 /nfs/dbraw/zinc/16/75/13/623167513.db2.gz HIMXPESCTXYURK-RYUDHWBXSA-N 0 1 290.371 0.303 20 30 CCEDMN Cn1cnnc1CNC[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001026834529 625632006 /nfs/dbraw/zinc/63/20/06/625632006.db2.gz GKKAHVSHIFQTDJ-ZDUSSCGKSA-N 0 1 287.367 0.167 20 30 CCEDMN C=CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024390730 623308688 /nfs/dbraw/zinc/30/86/88/623308688.db2.gz MBIKOHLYXKWRCP-CHWSQXEVSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024390730 623308693 /nfs/dbraw/zinc/30/86/93/623308693.db2.gz MBIKOHLYXKWRCP-CHWSQXEVSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001024416771 623317972 /nfs/dbraw/zinc/31/79/72/623317972.db2.gz MPYYZNNIMBRNNH-LLVKDONJSA-N 0 1 277.324 0.196 20 30 CCEDMN C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024520128 623344556 /nfs/dbraw/zinc/34/45/56/623344556.db2.gz DGGRETPIYCOOOU-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001024651740 623409976 /nfs/dbraw/zinc/40/99/76/623409976.db2.gz DBLKVQOGRGAIFY-NSHDSACASA-N 0 1 290.367 0.884 20 30 CCEDMN N#CCCCCNC(=O)N1CC[C@H]2[C@H]1CCC[N@@H+]2CCO ZINC000892794604 623841278 /nfs/dbraw/zinc/84/12/78/623841278.db2.gz FNMMSHOXUOGQCL-UONOGXRCSA-N 0 1 294.399 0.921 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnsn2)C1 ZINC001006801884 624544047 /nfs/dbraw/zinc/54/40/47/624544047.db2.gz XMLDFMKENPALRK-JTQLQIEISA-N 0 1 264.354 0.756 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N2CC[C@@H](NCC#N)C2)cn1 ZINC000970448489 624687928 /nfs/dbraw/zinc/68/79/28/624687928.db2.gz VDMHTNVKEGJOBO-LLVKDONJSA-N 0 1 299.338 0.138 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@H]2CN(CC#N)CC[C@@H]21 ZINC001021649125 624802995 /nfs/dbraw/zinc/80/29/95/624802995.db2.gz OZXWXNXQMWPYHQ-RYUDHWBXSA-N 0 1 288.355 0.563 20 30 CCEDMN Cc1nc(CN(C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC000971210240 624827979 /nfs/dbraw/zinc/82/79/79/624827979.db2.gz ZBNCZDDUOIKWMP-KOLCDFICSA-N 0 1 276.344 0.306 20 30 CCEDMN CC(C)(C#N)CCCN1CCN2[C@H](CNS2(=O)=O)C1 ZINC000933106434 624832879 /nfs/dbraw/zinc/83/28/79/624832879.db2.gz LGNCTZSFWOZQFE-LLVKDONJSA-N 0 1 286.401 0.151 20 30 CCEDMN Cn1ccnc1CN[C@H]1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001021981189 624858499 /nfs/dbraw/zinc/85/84/99/624858499.db2.gz QUZJTPMVUZAVAR-TXEJJXNPSA-N 0 1 298.350 0.670 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001009357174 625812116 /nfs/dbraw/zinc/81/21/16/625812116.db2.gz CLXKTZUUKFEHES-LBPRGKRZSA-N 0 1 290.367 0.646 20 30 CCEDMN CN(CC#N)[C@@H]1CCCN(C(=O)[C@@H]2CCCCN2C)C1 ZINC001027464442 625897856 /nfs/dbraw/zinc/89/78/56/625897856.db2.gz GIWOCBIOQMQGGA-KGLIPLIRSA-N 0 1 278.400 0.917 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccnn1C1CCC1 ZINC000904563594 634756673 /nfs/dbraw/zinc/75/66/73/634756673.db2.gz LRHDCQCSTOIAEJ-GXSJLCMTSA-N 0 1 274.328 0.841 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)C1CCOCC1 ZINC001027720451 630875632 /nfs/dbraw/zinc/87/56/32/630875632.db2.gz VPPDKIKHPPWSOD-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN Cc1cc(CN[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)ncn1 ZINC000967975678 650005895 /nfs/dbraw/zinc/00/58/95/650005895.db2.gz PHOWJSWLNOWJQD-ZYHUDNBSSA-N 0 1 273.340 0.635 20 30 CCEDMN Cc1cc(N)nc(N2CCN(c3cnccc3C#N)CC2)n1 ZINC000893954977 631491651 /nfs/dbraw/zinc/49/16/51/631491651.db2.gz KJGNVNJKAGMTIY-UHFFFAOYSA-N 0 1 295.350 0.961 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@H]1NC(=O)CN1CCCC1 ZINC000968651428 650025243 /nfs/dbraw/zinc/02/52/43/650025243.db2.gz IQULHQFFXPHTGB-QWHCGFSZSA-N 0 1 264.373 0.432 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000968633665 650024421 /nfs/dbraw/zinc/02/44/21/650024421.db2.gz ZOIHFARPBADOFE-QMTHXVAHSA-N 0 1 298.350 0.922 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnccn2)C1 ZINC001006613107 650045340 /nfs/dbraw/zinc/04/53/40/650045340.db2.gz GLDFXJHWICKWCB-LBPRGKRZSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnccn2)C1 ZINC001006613103 650045627 /nfs/dbraw/zinc/04/56/27/650045627.db2.gz GLDFXJHWICKWCB-GFCCVEGCSA-N 0 1 258.325 0.694 20 30 CCEDMN O=C1[C@H](NCc2ccc3c(c2)OCCO3)CCCN1O ZINC000895167476 632003044 /nfs/dbraw/zinc/00/30/44/632003044.db2.gz ZOSISBUNRGEGRI-LLVKDONJSA-N 0 1 278.308 0.928 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)cn1)[C@H]1CN(C)CC[N@@H+]1C ZINC000895246997 632037766 /nfs/dbraw/zinc/03/77/66/632037766.db2.gz FUCGLADQYLTQSO-DGCLKSJQSA-N 0 1 259.357 0.999 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)cn1)[C@H]1CN(C)CCN1C ZINC000895246997 632037771 /nfs/dbraw/zinc/03/77/71/632037771.db2.gz FUCGLADQYLTQSO-DGCLKSJQSA-N 0 1 259.357 0.999 20 30 CCEDMN Cc1nc(C#N)cc(N[C@@H](C)[C@@H]2CN(C)CCN2C)n1 ZINC000895247476 632038395 /nfs/dbraw/zinc/03/83/95/632038395.db2.gz UNGKPFPEYHPPLZ-GWCFXTLKSA-N 0 1 274.372 0.703 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(C[C@@H](C)CCC#N)CC1 ZINC000895920090 632177077 /nfs/dbraw/zinc/17/70/77/632177077.db2.gz DECAOGKXLCBTHL-ZDUSSCGKSA-N 0 1 298.412 0.011 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2nccnc2N)C1 ZINC001006944832 650057746 /nfs/dbraw/zinc/05/77/46/650057746.db2.gz JXIOKLJIKCRLKR-LLVKDONJSA-N 0 1 275.356 0.829 20 30 CCEDMN C[C@H](NC(=O)c1coc(C#N)c1)[C@@H]1CN(C)CCN1C ZINC000896529388 632261997 /nfs/dbraw/zinc/26/19/97/632261997.db2.gz YWAQXYTZDOHWFA-GWCFXTLKSA-N 0 1 276.340 0.515 20 30 CCEDMN C[C@H](NC(=O)c1cccc(C#N)c1)[C@@H]1CN(C)CCN1C ZINC000896582904 632295761 /nfs/dbraw/zinc/29/57/61/632295761.db2.gz WOXOGXAKRBAPFS-WFASDCNBSA-N 0 1 286.379 0.922 20 30 CCEDMN C[C@@H](CC#N)N(C)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000896885160 632343351 /nfs/dbraw/zinc/34/33/51/632343351.db2.gz XVPUDLMCLAPGBY-CMPLNLGQSA-N 0 1 251.330 0.468 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)n2cncn2)C1 ZINC001007163965 650068701 /nfs/dbraw/zinc/06/87/01/650068701.db2.gz MUQQYJZGHNRGNZ-OLZOCXBDSA-N 0 1 277.372 0.996 20 30 CCEDMN C=C(Cl)CN(C)C1CCN(C(=O)C2(C(N)=O)CC2)CC1 ZINC000985607666 632589701 /nfs/dbraw/zinc/58/97/01/632589701.db2.gz ZXLWXJVDSSBTPU-UHFFFAOYSA-N 0 1 299.802 0.927 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCn2cncn2)[C@@H]1C ZINC000986003086 632690920 /nfs/dbraw/zinc/69/09/20/632690920.db2.gz NWIFMYPMUBZACS-VXGBXAGGSA-N 0 1 297.790 1.000 20 30 CCEDMN C=C(C)C[N@H+]1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001007801097 650094524 /nfs/dbraw/zinc/09/45/24/650094524.db2.gz NUQAXWDOGPXBQW-SECBINFHSA-N 0 1 293.327 0.053 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001007806003 650095065 /nfs/dbraw/zinc/09/50/65/650095065.db2.gz RWJCJCFBBQLNPP-GFCCVEGCSA-N 0 1 290.367 0.504 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2[nH]nnc2C)C1 ZINC001008141163 650103138 /nfs/dbraw/zinc/10/31/38/650103138.db2.gz KCSMPKQWGDVLDM-LLVKDONJSA-N 0 1 261.329 0.331 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001008164971 650103874 /nfs/dbraw/zinc/10/38/74/650103874.db2.gz VRFZFOQGZWSYJZ-NWDGAFQWSA-N 0 1 280.372 0.167 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001008278289 650108895 /nfs/dbraw/zinc/10/88/95/650108895.db2.gz XHYIAWFSMGGWQU-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2ncn(C)n2)C1 ZINC000988787402 633113181 /nfs/dbraw/zinc/11/31/81/633113181.db2.gz UYWDIRLSPHSWRG-NXEZZACHSA-N 0 1 283.763 0.760 20 30 CCEDMN C[C@H]1C[C@H](NCC#N)CN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000988870820 633122341 /nfs/dbraw/zinc/12/23/41/633122341.db2.gz NVXVHDLWYZBNEA-UWVGGRQHSA-N 0 1 284.323 0.674 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cn2nccn2)C1 ZINC000988883446 633125072 /nfs/dbraw/zinc/12/50/72/633125072.db2.gz CLZRDAWWGPJSNM-MNOVXSKESA-N 0 1 283.763 0.610 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)Cn2ccccc2=O)CC1 ZINC000989636340 633338064 /nfs/dbraw/zinc/33/80/64/633338064.db2.gz ITNVLCYVOIORSK-UHFFFAOYSA-N 0 1 289.379 0.959 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)[C@H]2CCCN2C(C)=O)CC1 ZINC000989757326 633350883 /nfs/dbraw/zinc/35/08/83/633350883.db2.gz WWQMLJUFGPDXHM-OAHLLOKOSA-N 0 1 291.395 0.555 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001014446842 633367589 /nfs/dbraw/zinc/36/75/89/633367589.db2.gz JFYISZXVVQSXQP-QWRGUYRKSA-N 0 1 273.340 0.544 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cn(C)cn3)CCC[C@@H]12 ZINC000990237627 633489873 /nfs/dbraw/zinc/48/98/73/633489873.db2.gz ORLARCAPWFQMLS-HIFRSBDPSA-N 0 1 272.352 0.780 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(NCc2nncn2C)CC1 ZINC000990262721 633498724 /nfs/dbraw/zinc/49/87/24/633498724.db2.gz UQOQAEBEUSZOPP-SNVBAGLBSA-N 0 1 276.344 0.055 20 30 CCEDMN CCn1ncc(CNC2CCN(C(=O)[C@@H](C)C#N)CC2)n1 ZINC000990261707 633498728 /nfs/dbraw/zinc/49/87/28/633498728.db2.gz HZPLXKUKUZWHMR-NSHDSACASA-N 0 1 290.371 0.538 20 30 CCEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)c3[nH]nc(C)c3[O-])CCC[C@@H]12 ZINC000990264345 633499218 /nfs/dbraw/zinc/49/92/18/633499218.db2.gz GGWMWLPSYMYNNI-ABAIWWIYSA-N 0 1 288.351 0.784 20 30 CCEDMN Cc1cc(CC(=O)NC2CN(C(=O)C#CC3CC3)C2)[nH]n1 ZINC000990778844 633812529 /nfs/dbraw/zinc/81/25/29/633812529.db2.gz MAWVBLAPRZBBJA-UHFFFAOYSA-N 0 1 286.335 0.001 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@]34C[C@H]3COC4)CCC[C@H]12 ZINC000990790860 633833803 /nfs/dbraw/zinc/83/38/03/633833803.db2.gz MFNWHNKTMYCFSX-SDADXPQNSA-N 0 1 274.364 0.769 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cn2nccc2C)C1 ZINC001008740698 650126792 /nfs/dbraw/zinc/12/67/92/650126792.db2.gz VFHRZSAXDHVMPF-ZDUSSCGKSA-N 0 1 262.357 0.958 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3ncccn3n2)C1 ZINC001008941450 650133782 /nfs/dbraw/zinc/13/37/82/650133782.db2.gz KUSBEBGOXINZRJ-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN Cc1cc(C#N)cc(C)c1C(=O)NCC[N@@H+](C)CCO ZINC000899968939 633931439 /nfs/dbraw/zinc/93/14/39/633931439.db2.gz JNOJECIUVOMVGS-UHFFFAOYSA-N 0 1 275.352 0.829 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001008858612 650129544 /nfs/dbraw/zinc/12/95/44/650129544.db2.gz ZHQHXFGIHLTNPT-OLZOCXBDSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cc2cncn2C)C1 ZINC001009208236 650139862 /nfs/dbraw/zinc/13/98/62/650139862.db2.gz NCIVRJKWLZLGGS-GFCCVEGCSA-N 0 1 262.357 0.729 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001009619309 650151971 /nfs/dbraw/zinc/15/19/71/650151971.db2.gz PKUATYSMUBUZIX-OLZOCXBDSA-N 0 1 289.383 0.607 20 30 CCEDMN N#Cc1ccc(CNC[C@@H](O)C2(O)CCCC2)nc1 ZINC000905263328 634830613 /nfs/dbraw/zinc/83/06/13/634830613.db2.gz UQXVYEFEQSSAHM-CYBMUJFWSA-N 0 1 261.325 0.709 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@H](C)n2cncn2)C1 ZINC001010688168 650254042 /nfs/dbraw/zinc/25/40/42/650254042.db2.gz HUJBCUCYKMYLOX-NWDGAFQWSA-N 0 1 263.345 0.606 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CC[C@@H](N3CCC3)C2)c1 ZINC000912910052 636697816 /nfs/dbraw/zinc/69/78/16/636697816.db2.gz WYZLTNZUJGEAKG-GFCCVEGCSA-N 0 1 256.309 0.873 20 30 CCEDMN Cc1nnc(CC(=O)NCC#CCN(C)C)s1 ZINC000913523414 636832420 /nfs/dbraw/zinc/83/24/20/636832420.db2.gz ZFPLLHOCPXDCCB-UHFFFAOYSA-N 0 1 252.343 0.070 20 30 CCEDMN C[C@@H](c1ccccc1F)[C@@H](O)C(=O)NCC#CCN(C)C ZINC000913525190 636833771 /nfs/dbraw/zinc/83/37/71/636833771.db2.gz NHIJCHIWCMJKNY-SWLSCSKDSA-N 0 1 292.354 0.971 20 30 CCEDMN Cc1ccn([C@@H](C)CC(=O)NCC#CCN(C)C)n1 ZINC000913524017 636833833 /nfs/dbraw/zinc/83/38/33/636833833.db2.gz WOADGAVZKBNBHC-ZDUSSCGKSA-N 0 1 262.357 0.824 20 30 CCEDMN Cc1c(CCC(=O)NCC#CCN(C)C)cnn1C ZINC000913520225 636835669 /nfs/dbraw/zinc/83/56/69/636835669.db2.gz IVZXMHVZKNRNHT-UHFFFAOYSA-N 0 1 262.357 0.342 20 30 CCEDMN C[C@@H](C(=O)NCC#CCN(C)C)N(C)CC(F)(F)F ZINC000913521979 636835791 /nfs/dbraw/zinc/83/57/91/636835791.db2.gz VFEHQPJURMCMBR-JTQLQIEISA-N 0 1 279.306 0.550 20 30 CCEDMN N#CC1(CS(=O)(=O)NC[C@H]2CN3CCC[C@H]3CO2)CC1 ZINC000164971242 636891819 /nfs/dbraw/zinc/89/18/19/636891819.db2.gz ZBGGKSWFZJTCDK-RYUDHWBXSA-N 0 1 299.396 0.073 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(C(=O)[C@H]2CCCCN2C)CC1 ZINC001011404396 650301258 /nfs/dbraw/zinc/30/12/58/650301258.db2.gz BQAZPQLRCXZXCI-CHWSQXEVSA-N 0 1 292.383 0.301 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@](CC)(C(=O)[O-])C1 ZINC000062877505 637186705 /nfs/dbraw/zinc/18/67/05/637186705.db2.gz BWRVZHMLGJMHEZ-AWEZNQCLSA-N 0 1 297.355 0.575 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3c[nH]c(=O)cn3)CCC[C@H]12 ZINC000992149592 637321633 /nfs/dbraw/zinc/32/16/33/637321633.db2.gz UNDIGHPLDBGEGF-WFASDCNBSA-N 0 1 286.335 0.130 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnon3)CCC[C@H]12 ZINC000992367003 637376301 /nfs/dbraw/zinc/37/63/01/637376301.db2.gz QKVUKYJFIXNAQE-WCQYABFASA-N 0 1 260.297 0.430 20 30 CCEDMN CC(C)(C#N)CNS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914349025 637602386 /nfs/dbraw/zinc/60/23/86/637602386.db2.gz ICOPZXPEQZPISZ-ZDUSSCGKSA-N 0 1 285.413 0.941 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001015500844 637676244 /nfs/dbraw/zinc/67/62/44/637676244.db2.gz XYGPZHGKPKBQDU-NSHDSACASA-N 0 1 272.312 0.114 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000993551276 637817793 /nfs/dbraw/zinc/81/77/93/637817793.db2.gz QVYDUGSXLZQTNF-RKDXNWHRSA-N 0 1 293.327 0.052 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[C@@H]1C ZINC000993704221 637838895 /nfs/dbraw/zinc/83/88/95/637838895.db2.gz MIHDIMIKALQNIS-SBJFKYEJSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cn2ccc(C)n2)[C@H]1C ZINC000993858556 637850659 /nfs/dbraw/zinc/85/06/59/637850659.db2.gz RPQIXDNUXFUZBM-KGLIPLIRSA-N 0 1 274.368 0.794 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2nonc2C)[C@@H]1C ZINC000994130877 637869953 /nfs/dbraw/zinc/86/99/53/637869953.db2.gz XWAJBRNQEJUWPF-STQMWFEESA-N 0 1 290.367 0.913 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CCn2ccnn2)c1 ZINC000179730050 638241565 /nfs/dbraw/zinc/24/15/65/638241565.db2.gz JYZDDBJTOGUQRQ-UHFFFAOYSA-N 0 1 257.253 0.884 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@H](NCC#N)C(C)(C)C2)[nH]1 ZINC000995548295 638774887 /nfs/dbraw/zinc/77/48/87/638774887.db2.gz OUGPBCOLIDXARC-NSHDSACASA-N 0 1 261.329 0.682 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@H](NCC#N)C(C)(C)C1 ZINC000996120158 638810011 /nfs/dbraw/zinc/81/00/11/638810011.db2.gz MFFRZSLMRKHFGW-VIFPVBQESA-N 0 1 262.317 0.077 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(N)=O)CC1(C)C ZINC000996212075 638811851 /nfs/dbraw/zinc/81/18/51/638811851.db2.gz AFHQJZVGFQKALL-MRVPVSSYSA-N 0 1 259.737 0.051 20 30 CCEDMN C=C(C)C[N@@H+]1CCC[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC001007171470 638817318 /nfs/dbraw/zinc/81/73/18/638817318.db2.gz FHJUJIATBODFQT-NSHDSACASA-N 0 1 277.372 0.773 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC001007171470 638817321 /nfs/dbraw/zinc/81/73/21/638817321.db2.gz FHJUJIATBODFQT-NSHDSACASA-N 0 1 277.372 0.773 20 30 CCEDMN CCc1cc(C(=O)N(C)C2CC(NCC#N)C2)n[nH]1 ZINC000998478311 638922526 /nfs/dbraw/zinc/92/25/26/638922526.db2.gz AWCYWPLRGIPULP-UHFFFAOYSA-N 0 1 261.329 0.688 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](NCc2cnnn2C)C1 ZINC000998744806 638938549 /nfs/dbraw/zinc/93/85/49/638938549.db2.gz CYORRXOECMAFAW-MNOVXSKESA-N 0 1 276.344 0.055 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000999166929 638963934 /nfs/dbraw/zinc/96/39/34/638963934.db2.gz YEHCQFLUYAQQMJ-RYUDHWBXSA-N 0 1 287.367 0.934 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)CCc2cnc[nH]2)C1 ZINC000999317119 638974225 /nfs/dbraw/zinc/97/42/25/638974225.db2.gz NIZALMCZBMJKEA-GFCCVEGCSA-N 0 1 261.329 0.446 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC1(C2CCN(CC#N)CC2)CC1 ZINC001000102357 639038479 /nfs/dbraw/zinc/03/84/79/639038479.db2.gz UKTZQSOJONAOPI-CQSZACIVSA-N 0 1 290.411 0.965 20 30 CCEDMN N#CCN1CCC(C2(NC(=O)[C@@H]3CC3[N+](=O)[O-])CC2)CC1 ZINC001000153272 639045775 /nfs/dbraw/zinc/04/57/75/639045775.db2.gz JNPLKKXFUFGVHA-VXGBXAGGSA-N 0 1 292.339 0.536 20 30 CCEDMN C[C@@H]1CCC[N@H+]1CC(=O)N1CCC[C@H](NCC#N)C1 ZINC001000183641 639049740 /nfs/dbraw/zinc/04/97/40/639049740.db2.gz JXZQTQVPIWHYNO-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(C)on2)CC1 ZINC001000424004 639083441 /nfs/dbraw/zinc/08/34/41/639083441.db2.gz PIKNOKJSLCTFCQ-UHFFFAOYSA-N 0 1 259.309 0.978 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnsn2)CC1 ZINC001000493050 639102668 /nfs/dbraw/zinc/10/26/68/639102668.db2.gz YGUIZVUEHHAUKS-UHFFFAOYSA-N 0 1 262.338 0.533 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2COCCN2C)CC1 ZINC001000594154 639120361 /nfs/dbraw/zinc/12/03/61/639120361.db2.gz KTIPZRBERWAQKJ-OAHLLOKOSA-N 0 1 291.395 0.089 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001000688381 639152488 /nfs/dbraw/zinc/15/24/88/639152488.db2.gz PCPZSMWKXMKLFX-GHMZBOCLSA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001000688381 639152493 /nfs/dbraw/zinc/15/24/93/639152493.db2.gz PCPZSMWKXMKLFX-GHMZBOCLSA-N 0 1 288.355 0.014 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCn3cncc3C2)CC1 ZINC001000854042 639177501 /nfs/dbraw/zinc/17/75/01/639177501.db2.gz FCHXRGBWJIYCKI-OAHLLOKOSA-N 0 1 298.390 0.827 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cccc(=O)n2C)CC1 ZINC001001135413 639243141 /nfs/dbraw/zinc/24/31/41/639243141.db2.gz AKYJYJHMRLXIPX-UHFFFAOYSA-N 0 1 299.374 0.771 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)c2cnn(C)n2)CC1 ZINC001001258665 639268557 /nfs/dbraw/zinc/26/85/57/639268557.db2.gz HRDJDVPSFPOIJD-UHFFFAOYSA-N 0 1 261.329 0.363 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2nonc2C)CC1 ZINC001001489407 639306749 /nfs/dbraw/zinc/30/67/49/639306749.db2.gz RVBAHNAIBFIXTL-UHFFFAOYSA-N 0 1 260.297 0.373 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2cnc3[n-]nnc3c2)C1 ZINC001014751105 650486014 /nfs/dbraw/zinc/48/60/14/650486014.db2.gz DPBBFFBDVWMNGI-LLVKDONJSA-N 0 1 284.323 0.180 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001014751105 650486016 /nfs/dbraw/zinc/48/60/16/650486016.db2.gz DPBBFFBDVWMNGI-LLVKDONJSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2csc3nccn23)C1 ZINC001016270023 639329258 /nfs/dbraw/zinc/32/92/58/639329258.db2.gz UKSJEZZVBJNNTC-LLVKDONJSA-N 0 1 288.376 0.762 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001014789156 650488854 /nfs/dbraw/zinc/48/88/54/650488854.db2.gz MDNWMDJXDUXXEX-XQQFMLRXSA-N 0 1 268.357 0.557 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(=O)[nH]n2)CC1 ZINC001002289549 639389676 /nfs/dbraw/zinc/38/96/76/639389676.db2.gz PNQIINGRIAXMRF-UHFFFAOYSA-N 0 1 260.297 0.010 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cc(C)nn2C)CC1 ZINC001002423723 639410985 /nfs/dbraw/zinc/41/09/85/639410985.db2.gz LOIFBTQRQSUUMB-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cccn3nnnc23)CC1 ZINC001002906668 639477347 /nfs/dbraw/zinc/47/73/47/639477347.db2.gz NOWCGAACPICDMH-UHFFFAOYSA-N 0 1 298.350 0.342 20 30 CCEDMN C=CCCN1CCC(NC(=O)C2CS(=O)(=O)C2)CC1 ZINC001002907709 639477594 /nfs/dbraw/zinc/47/75/94/639477594.db2.gz UHMBBOAGYFFUGN-UHFFFAOYSA-N 0 1 286.397 0.188 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001014918517 650502674 /nfs/dbraw/zinc/50/26/74/650502674.db2.gz BBFCZTQRLGFIEO-SMDDNHRTSA-N 0 1 265.357 0.279 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@]2(C)CCNC2=O)CC1 ZINC001003615000 639578127 /nfs/dbraw/zinc/57/81/27/639578127.db2.gz GNOUAUXRRRCSCI-OAHLLOKOSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001015001902 650513346 /nfs/dbraw/zinc/51/33/46/650513346.db2.gz XBHBMIUQPMSTPG-KGLIPLIRSA-N 0 1 286.379 0.659 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2[nH]cnc2C)CC1 ZINC001004054797 639670341 /nfs/dbraw/zinc/67/03/41/639670341.db2.gz BOHGGKOVZYFOTC-UHFFFAOYSA-N 0 1 274.368 0.865 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001015091566 650527238 /nfs/dbraw/zinc/52/72/38/650527238.db2.gz OXOJCMOXKMJCPB-CYBMUJFWSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2cnn(C)c2)CC1 ZINC001005163957 639883612 /nfs/dbraw/zinc/88/36/12/639883612.db2.gz YVKGXCWIYPLGME-UHFFFAOYSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2nccnc2N)CC1 ZINC001005193751 639887569 /nfs/dbraw/zinc/88/75/69/639887569.db2.gz VTVPRSNEJIVUTO-UHFFFAOYSA-N 0 1 273.340 0.228 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@H]2COC(=O)C2)CC1 ZINC001005251860 639893654 /nfs/dbraw/zinc/89/36/54/639893654.db2.gz PAXREJURTKJQRV-GFCCVEGCSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC001005595203 639942319 /nfs/dbraw/zinc/94/23/19/639942319.db2.gz RISUBPXAUDVOTB-ZDUSSCGKSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001015212290 650539845 /nfs/dbraw/zinc/53/98/45/650539845.db2.gz OECLBSDNCRKMHY-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC001005827830 639980072 /nfs/dbraw/zinc/98/00/72/639980072.db2.gz XECWEZQFAIVXPW-ZDUSSCGKSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc3n(n2)CCCO3)C1 ZINC001015235197 650542143 /nfs/dbraw/zinc/54/21/43/650542143.db2.gz YRXZLTCKPRXHOP-LBPRGKRZSA-N 0 1 288.351 0.493 20 30 CCEDMN O=S1(=O)CC[C@H](NN=Cc2cc(C(F)(F)F)n[nH]2)C1 ZINC000799462460 640572264 /nfs/dbraw/zinc/57/22/64/640572264.db2.gz PHRKEQJMXQVJTF-LURJTMIESA-N 0 1 296.274 0.539 20 30 CCEDMN Cc1ccc(C(=O)NC[C@@H]2CN(C)CCN2C)cc1C#N ZINC000799626962 640588785 /nfs/dbraw/zinc/58/87/85/640588785.db2.gz LAOWWKSCLYURRD-OAHLLOKOSA-N 0 1 286.379 0.842 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C(N)=O)co2)C1 ZINC001015685237 650609077 /nfs/dbraw/zinc/60/90/77/650609077.db2.gz AYWSOOIPXZSCIQ-NSHDSACASA-N 0 1 277.324 0.759 20 30 CCEDMN C#CCCS(=O)(=O)NCCCN1CCCO[C@@H](C)C1 ZINC000808309172 640859375 /nfs/dbraw/zinc/85/93/75/640859375.db2.gz IFDZOKYGUSQKET-ZDUSSCGKSA-N 0 1 288.413 0.430 20 30 CCEDMN N#Cc1ncccc1COC(=O)CCc1nc[nH]n1 ZINC000813167192 641025384 /nfs/dbraw/zinc/02/53/84/641025384.db2.gz XBKGYOOFNHTORX-UHFFFAOYSA-N 0 1 257.253 0.747 20 30 CCEDMN Cc1nccc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)n1 ZINC000814886346 641079603 /nfs/dbraw/zinc/07/96/03/641079603.db2.gz YSIDNVLFTKJLGM-VXGBXAGGSA-N 0 1 258.329 0.979 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H]2CCN(C[C@H](C)O)C2)nn1 ZINC001015821491 650634521 /nfs/dbraw/zinc/63/45/21/650634521.db2.gz WEAWFVKRVXVGFV-RYUDHWBXSA-N 0 1 293.371 0.039 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001015834866 650636599 /nfs/dbraw/zinc/63/65/99/650636599.db2.gz MMPLDUKHZMPGSF-WDEREUQCSA-N 0 1 251.330 0.032 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001015989146 650670980 /nfs/dbraw/zinc/67/09/80/650670980.db2.gz MWBCXLYEPYXZNK-VXGBXAGGSA-N 0 1 287.367 0.123 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001016128113 650683405 /nfs/dbraw/zinc/68/34/05/650683405.db2.gz MSGKNBWBZGCQDI-ZIAGYGMSSA-N 0 1 277.368 0.069 20 30 CCEDMN N#Cc1cccc(ONC(=O)C[C@@H]2CCCS2(=O)=O)c1 ZINC000825268720 641877629 /nfs/dbraw/zinc/87/76/29/641877629.db2.gz WLTQMZMGXVNTDA-LBPRGKRZSA-N 0 1 294.332 0.936 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1cc(C#N)ccc1F ZINC000826385318 641934146 /nfs/dbraw/zinc/93/41/46/641934146.db2.gz GWHXEFSWLSUVAR-UHFFFAOYSA-N 0 1 294.253 0.994 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CCN1C1CC1 ZINC000827384676 642003951 /nfs/dbraw/zinc/00/39/51/642003951.db2.gz XMUCSVIAIUXVOH-DGCLKSJQSA-N 0 1 299.378 0.713 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001016374990 650722590 /nfs/dbraw/zinc/72/25/90/650722590.db2.gz KNVSRTLAEOAZML-CQSZACIVSA-N 0 1 285.347 0.152 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1csc([N+](=O)[O-])c1 ZINC000834488640 642578622 /nfs/dbraw/zinc/57/86/22/642578622.db2.gz FPCMFGFLJZWRCX-SFYZADRCSA-N 0 1 266.282 0.498 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCCc1nc(C2CC2)no1 ZINC000834489083 642579062 /nfs/dbraw/zinc/57/90/62/642579062.db2.gz JGDDYBOPBIIQGQ-GHMZBOCLSA-N 0 1 289.339 0.497 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CN1CCCCCCC1=O ZINC000834490137 642579089 /nfs/dbraw/zinc/57/90/89/642579089.db2.gz SBJTZHGQLJPQEP-RYUDHWBXSA-N 0 1 278.356 0.007 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccnc(-n2ccnc2)c1 ZINC000834490100 642580244 /nfs/dbraw/zinc/58/02/44/642580244.db2.gz RVGJGZRTVHVSHB-VXGBXAGGSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(Cl)cn1 ZINC000834490861 642581223 /nfs/dbraw/zinc/58/12/23/642581223.db2.gz XPZAFNIGHINRJK-GMSGAONNSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1scnc1Cl ZINC000834499067 642586597 /nfs/dbraw/zinc/58/65/97/642586597.db2.gz DZKDBFCGEIFKPI-NTSWFWBYSA-N 0 1 256.718 0.638 20 30 CCEDMN Cc1noc(Cl)c1CCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834495719 642586799 /nfs/dbraw/zinc/58/67/99/642586799.db2.gz YLFTYCWLTXBFHQ-WCBMZHEXSA-N 0 1 282.731 0.797 20 30 CCEDMN COc1cccc2c1OCC(C(=O)N[C@@H]1CNC[C@@H]1C#N)=C2 ZINC000834500472 642588596 /nfs/dbraw/zinc/58/85/96/642588596.db2.gz UBXBRNKEPCQBBT-QWHCGFSZSA-N 0 1 299.330 0.699 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(-c2ccncc2)on1 ZINC000834501152 642590243 /nfs/dbraw/zinc/59/02/43/642590243.db2.gz ZRLLAYOUDSDWKY-JQWIXIFHSA-N 0 1 283.291 0.578 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCC(=O)c1cccs1 ZINC000834505072 642591373 /nfs/dbraw/zinc/59/13/73/642591373.db2.gz HQGLJBWOTVAFIX-UWVGGRQHSA-N 0 1 277.349 0.939 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COc1ccccc1F ZINC000834512341 642597293 /nfs/dbraw/zinc/59/72/93/642597293.db2.gz VDHJMNKLQVAQOA-MWLCHTKSSA-N 0 1 263.272 0.432 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000834515961 642598338 /nfs/dbraw/zinc/59/83/38/642598338.db2.gz DKWQKSZODHGTJH-SKDRFNHKSA-N 0 1 290.279 0.201 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(-n2cccn2)nc1 ZINC000834516213 642599232 /nfs/dbraw/zinc/59/92/32/642599232.db2.gz GJVILULVOPJZGP-NEPJUHHUSA-N 0 1 282.307 0.109 20 30 CCEDMN Cc1cc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)nn1C ZINC000841633559 642827110 /nfs/dbraw/zinc/82/71/10/642827110.db2.gz POIXEJQLQJSDQC-TXEJJXNPSA-N 0 1 260.345 0.922 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCNc2ncccc2C#N)CCN1 ZINC000841737814 642834609 /nfs/dbraw/zinc/83/46/09/642834609.db2.gz NEDCDVAKYKUJFA-LLVKDONJSA-N 0 1 288.355 0.368 20 30 CCEDMN CC#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nc[nH]n1 ZINC001017455189 650803654 /nfs/dbraw/zinc/80/36/54/650803654.db2.gz SQYQFNPDHOFSLD-BETUJISGSA-N 0 1 287.367 0.436 20 30 CCEDMN Cc1cccnc1/C=C/C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000843461366 643064564 /nfs/dbraw/zinc/06/45/64/643064564.db2.gz UKPRVGFQLHOBMP-SOHMCZBRSA-N 0 1 256.309 0.631 20 30 CCEDMN Cc1cc(C)nc(SCC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000843461646 643066411 /nfs/dbraw/zinc/06/64/11/643066411.db2.gz MNWVGKCYHRURAE-GHMZBOCLSA-N 0 1 291.380 0.413 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCCc1nccs1 ZINC000843461942 643066835 /nfs/dbraw/zinc/06/68/35/643066835.db2.gz YCTMZCCSHGUROH-VHSXEESVSA-N 0 1 264.354 0.694 20 30 CCEDMN CC#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nnc1C ZINC001017784446 650822436 /nfs/dbraw/zinc/82/24/36/650822436.db2.gz HZDOTSPQXZKZKR-TXEJJXNPSA-N 0 1 273.340 0.425 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CC1CCSCC1 ZINC000846677281 643441495 /nfs/dbraw/zinc/44/14/95/643441495.db2.gz KXRPSZGJAXUPFQ-WDEREUQCSA-N 0 1 253.371 0.747 20 30 CCEDMN N#CCc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000846676304 643441519 /nfs/dbraw/zinc/44/15/19/643441519.db2.gz CKIMQPSEARPENG-QWHCGFSZSA-N 0 1 254.293 0.594 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H]1CCC[N@H+]2CCCC[C@H]12 ZINC000848509793 643647052 /nfs/dbraw/zinc/64/70/52/643647052.db2.gz YMZLAJJUBAMMDS-CHWSQXEVSA-N 0 1 270.398 0.946 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H]1CCCN2CCCC[C@H]12 ZINC000848509793 643647061 /nfs/dbraw/zinc/64/70/61/643647061.db2.gz YMZLAJJUBAMMDS-CHWSQXEVSA-N 0 1 270.398 0.946 20 30 CCEDMN C=C(Br)CN[C@H]1CCN(C(=O)COC)C1 ZINC001018387913 650858777 /nfs/dbraw/zinc/85/87/77/650858777.db2.gz JIAWGBFOCBUAEB-VIFPVBQESA-N 0 1 277.162 0.732 20 30 CCEDMN N#CCCNC(=O)CN(C1CC1)C1(CO)CCC1 ZINC000852158961 643936223 /nfs/dbraw/zinc/93/62/23/643936223.db2.gz MLUSTTCFQPITRT-UHFFFAOYSA-N 0 1 251.330 0.396 20 30 CCEDMN Cc1cnc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)C2)cn1 ZINC001018630794 650886219 /nfs/dbraw/zinc/88/62/19/650886219.db2.gz SCHPTAVLVMBVLM-PWSUYJOCSA-N 0 1 273.340 0.635 20 30 CCEDMN Cc1nnc(N=NCc2c(O)cc(O)c(C)c2O)n1C ZINC000853432522 644105025 /nfs/dbraw/zinc/10/50/25/644105025.db2.gz XGWYABJBJRKPCJ-UHFFFAOYSA-N 0 1 277.284 0.995 20 30 CCEDMN N#Cc1ccc(CNC(=O)[C@H]2CCCc3n[nH]nc32)nc1 ZINC000854474696 644210314 /nfs/dbraw/zinc/21/03/14/644210314.db2.gz GIMJHPUJQNUBLW-NSHDSACASA-N 0 1 282.307 0.808 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC001018901429 650919940 /nfs/dbraw/zinc/91/99/40/650919940.db2.gz RODMLBUHCXHVBH-VIFPVBQESA-N 0 1 270.296 0.286 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001018956762 650924124 /nfs/dbraw/zinc/92/41/24/650924124.db2.gz MZPVALAWBIZGEN-RYUDHWBXSA-N 0 1 299.802 0.846 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CC[C@H](NCC#N)C1 ZINC001019037422 650932852 /nfs/dbraw/zinc/93/28/52/650932852.db2.gz JYTKDSLXOVLHRK-ZDUSSCGKSA-N 0 1 294.399 0.059 20 30 CCEDMN N#Cc1ccccc1CN[C@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC001019079989 650936747 /nfs/dbraw/zinc/93/67/47/650936747.db2.gz RJZXAKRZKKJLIB-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN Cc1nc[nH]c1C=NN[C@H]1CCCS(=O)(=O)C1 ZINC000863132509 645062181 /nfs/dbraw/zinc/06/21/81/645062181.db2.gz FBROHAKFQFCLFZ-VIFPVBQESA-N 0 1 256.331 0.219 20 30 CCEDMN Cn1ncc(CN[C@@H]2Cc3ccc(C#N)cc3C2)n1 ZINC000865847292 645427307 /nfs/dbraw/zinc/42/73/07/645427307.db2.gz JXGFLFUKLFGQJP-CYBMUJFWSA-N 0 1 253.309 0.944 20 30 CCEDMN N#C[C@]1(C(=O)NC[C@H]2CCC[N@@H+]2CCCO)CCCOC1 ZINC000868629580 645796232 /nfs/dbraw/zinc/79/62/32/645796232.db2.gz QMSYGCXZRNSCNR-UKRRQHHQSA-N 0 1 295.383 0.270 20 30 CCEDMN C[C@H]1C(=NN=C2NS(=O)(=O)c3ccccc32)CCN1C ZINC000872341805 646249218 /nfs/dbraw/zinc/24/92/18/646249218.db2.gz BYIFMBWKKMPDJK-VIFPVBQESA-N 0 1 292.364 0.805 20 30 CCEDMN Cn1cc(CNC(=O)[C@@H]2NCCc3cc(C#N)ccc32)cn1 ZINC000876618645 646633508 /nfs/dbraw/zinc/63/35/08/646633508.db2.gz BBGDQUPLWHYWFL-OAHLLOKOSA-N 0 1 295.346 0.795 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc2c([nH]1)CCCC2 ZINC000876801574 646668924 /nfs/dbraw/zinc/66/89/24/646668924.db2.gz FOZVLQFKROFGSY-GWCFXTLKSA-N 0 1 258.325 0.735 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1COc2cc(F)ccc2C1 ZINC000876801810 646669268 /nfs/dbraw/zinc/66/92/68/646669268.db2.gz JAUFNOFNSNPXFM-NQBHXWOUSA-N 0 1 289.310 0.605 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1scnc1C(F)F ZINC000876803388 646669970 /nfs/dbraw/zinc/66/99/70/646669970.db2.gz WEOMLQRXMAXQIS-WDSKDSINSA-N 0 1 272.280 0.922 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)c2nccn2c1 ZINC000876803476 646670803 /nfs/dbraw/zinc/67/08/03/646670803.db2.gz WQZJBLWCSJQQPI-PWSUYJOCSA-N 0 1 269.308 0.484 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnn(CC2CCCC2)c1 ZINC000876803847 646671742 /nfs/dbraw/zinc/67/17/42/646671742.db2.gz YCTDUFAVFLTMHN-TZMCWYRMSA-N 0 1 287.367 0.915 20 30 CCEDMN C[C@]1(C(=O)N[C@H]2Cc3ccc(C#N)cc3C2)CNCCO1 ZINC000877265917 646772963 /nfs/dbraw/zinc/77/29/63/646772963.db2.gz LMWOLGKKRPYIDR-GOEBONIOSA-N 0 1 285.347 0.520 20 30 CCEDMN N#Cc1ccc(CN2C[C@H](CO)[C@H](CO)C2)s1 ZINC000877764447 646839717 /nfs/dbraw/zinc/83/97/17/646839717.db2.gz FFRCSACIFWIQJS-AOOOYVTPSA-N 0 1 252.339 0.652 20 30 CCEDMN C[C@H](C#N)OCCN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000878773521 646977303 /nfs/dbraw/zinc/97/73/03/646977303.db2.gz YZSONQCQMIFVCN-JHJVBQTASA-N 0 1 250.346 0.833 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]C[C@]23CCC[C@H]2[NH2+]CC3)o1 ZINC000923678735 646978545 /nfs/dbraw/zinc/97/85/45/646978545.db2.gz TUCBSLKWNZXBMS-DGCLKSJQSA-N 0 1 295.364 0.962 20 30 CCEDMN N#CCCNC(=O)CN1CCCCCCNC(=O)CC1 ZINC000879253705 647040161 /nfs/dbraw/zinc/04/01/61/647040161.db2.gz BXZALUOSOFXFQL-UHFFFAOYSA-N 0 1 280.372 0.399 20 30 CCEDMN N#Cc1ccc(N2CCN(C[C@@H]3CCC(=O)N3)CC2)cc1 ZINC000879586913 647078716 /nfs/dbraw/zinc/07/87/16/647078716.db2.gz YVHFAKHOTYCHKX-AWEZNQCLSA-N 0 1 284.363 0.959 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1C[C@H](O)CC(C)(C)C1 ZINC000879618221 647087188 /nfs/dbraw/zinc/08/71/88/647087188.db2.gz WOPDZKVVZIYRHO-NWDGAFQWSA-N 0 1 267.373 0.840 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CCCOC(F)(F)F)c1=O ZINC000882179616 647379568 /nfs/dbraw/zinc/37/95/68/647379568.db2.gz VYZOIAOEKIYSFI-UHFFFAOYSA-N 0 1 263.175 0.335 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnc2cc[nH]c2c1 ZINC000884250920 647682473 /nfs/dbraw/zinc/68/24/73/647682473.db2.gz ROWGJQLWCXZACX-JOYOIKCWSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cncc2[nH]ccc21 ZINC000884251149 647682746 /nfs/dbraw/zinc/68/27/46/647682746.db2.gz WOLINQLJZWHYHX-LDYMZIIASA-N 0 1 255.281 0.404 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN3CCOC[C@@H]3C2)CCC1 ZINC000886131956 647906561 /nfs/dbraw/zinc/90/65/61/647906561.db2.gz LAUQOLPAAJBNBH-KBPBESRZSA-N 0 1 279.384 0.448 20 30 CCEDMN N#CCC(=O)N1CCN(C[C@@H]2C[C@@]23CCOC3)CC1 ZINC000886230018 647924513 /nfs/dbraw/zinc/92/45/13/647924513.db2.gz ZNSMXXFUUWPNGY-GXTWGEPZSA-N 0 1 263.341 0.471 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)[C@@H]2CCCN2C2CC2)CCO1 ZINC000887684331 648141436 /nfs/dbraw/zinc/14/14/36/648141436.db2.gz GNAAFGFTWNRHFU-JSGCOSHPSA-N 0 1 263.341 0.754 20 30 CCEDMN N#C[C@@H]1CCCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000928212334 648623032 /nfs/dbraw/zinc/62/30/32/648623032.db2.gz YWBRYXUERFCHIG-AAEUAGOBSA-N 0 1 263.341 0.469 20 30 CCEDMN N#CC1CCC(CN2CCO[C@H](C(N)=O)C2)CC1 ZINC000929425021 648737260 /nfs/dbraw/zinc/73/72/60/648737260.db2.gz QHZXNSUSKOAJKT-MCIGGMRASA-N 0 1 251.330 0.502 20 30 CCEDMN N#CC[C@@H](O)CN1CC[C@@H](OCc2ccncc2)C1 ZINC000930293100 648845333 /nfs/dbraw/zinc/84/53/33/648845333.db2.gz QBSCCHQIVAYENO-ZIAGYGMSSA-N 0 1 261.325 0.947 20 30 CCEDMN C[C@@]1(C2CCN(C[C@H](O)CC#N)CC2)COC(=O)N1 ZINC000932100774 649049813 /nfs/dbraw/zinc/04/98/13/649049813.db2.gz ZHSJKJGFMNVFJR-YPMHNXCESA-N 0 1 267.329 0.471 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(NC(=O)Cc2cnc[nH]2)CC1 ZINC000948102576 649363798 /nfs/dbraw/zinc/36/37/98/649363798.db2.gz PBYBJPONPYSHER-JTQLQIEISA-N 0 1 289.339 0.219 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ccc4[nH]c(C#N)cc4n3)C[C@H]21 ZINC001164672977 719430126 /nfs/dbraw/zinc/43/01/26/719430126.db2.gz SCIMRUBFJZHWAW-ZIAGYGMSSA-N 0 1 283.335 0.954 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1N1C[C@H]2OCCN(C)[C@H]2C1 ZINC001164667998 719476220 /nfs/dbraw/zinc/47/62/20/719476220.db2.gz NLUIAXDRBWHPNY-UONOGXRCSA-N 0 1 298.350 0.302 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1N1C[C@@H]2[C@H](C1)OCCN2C ZINC001164667995 719476332 /nfs/dbraw/zinc/47/63/32/719476332.db2.gz NLUIAXDRBWHPNY-KGLIPLIRSA-N 0 1 298.350 0.302 20 30 CCEDMN C=CCCOCC(=O)NC1CCN([C@@H](CC)C(N)=O)CC1 ZINC001230411544 805599677 /nfs/dbraw/zinc/59/96/77/805599677.db2.gz LUAKEWVDOWOEBK-ZDUSSCGKSA-N 0 1 297.399 0.424 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)C[C@H]2CCCO2)CC1 ZINC001266257534 790339856 /nfs/dbraw/zinc/33/98/56/790339856.db2.gz LANCNASWIAOBGG-OAHLLOKOSA-N 0 1 295.427 0.865 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2n[nH]cc2C)CC1 ZINC001266283746 790398420 /nfs/dbraw/zinc/39/84/20/790398420.db2.gz UVTKGZNPKISURJ-UHFFFAOYSA-N 0 1 277.372 0.252 20 30 CCEDMN C=CCN1CCN(CCNC(=O)CC[C@H](C)OC)CC1 ZINC001266283370 790398686 /nfs/dbraw/zinc/39/86/86/790398686.db2.gz OEAUBGJNGBAYPE-AWEZNQCLSA-N 0 1 283.416 0.721 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cn2c(n1)COCC2 ZINC001266741340 791203828 /nfs/dbraw/zinc/20/38/28/791203828.db2.gz QVWTVJLJBHLEKM-UHFFFAOYSA-N 0 1 298.774 0.827 20 30 CCEDMN C=CCN1CCN(CCNC(=O)Cn2nccc2C)CC1 ZINC001266294572 790443733 /nfs/dbraw/zinc/44/37/33/790443733.db2.gz CRKIMUCXYXTRBV-UHFFFAOYSA-N 0 1 291.399 0.111 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cnnn2CC)C1 ZINC001266316614 790491966 /nfs/dbraw/zinc/49/19/66/790491966.db2.gz HBDZWZYFDDVOLR-LBPRGKRZSA-N 0 1 293.371 0.305 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@H+](CC#CC)C1 ZINC001266317527 790495063 /nfs/dbraw/zinc/49/50/63/790495063.db2.gz RHAAITWJPBJRFE-OCCSQVGLSA-N 0 1 250.342 0.527 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CCN(CC#CC)C1 ZINC001266317527 790495069 /nfs/dbraw/zinc/49/50/69/790495069.db2.gz RHAAITWJPBJRFE-OCCSQVGLSA-N 0 1 250.342 0.527 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCCCO1 ZINC001038171449 790506455 /nfs/dbraw/zinc/50/64/55/790506455.db2.gz NTPDRECZWJVVQN-STQMWFEESA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccc(-n2ccnc2)nn1 ZINC001266337834 790537784 /nfs/dbraw/zinc/53/77/84/790537784.db2.gz RFCFOJYDUPWSIJ-UHFFFAOYSA-N 0 1 298.350 0.347 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CCN(CCn2cncn2)C1 ZINC001266338876 790540122 /nfs/dbraw/zinc/54/01/22/790540122.db2.gz FJADKRHIJJBJOU-CYBMUJFWSA-N 0 1 277.372 0.825 20 30 CCEDMN C=CCNC(=O)NC(C)(C)C(=O)N[C@H]1CCN(CC=C)C1 ZINC001266360913 790586658 /nfs/dbraw/zinc/58/66/58/790586658.db2.gz FJBHWPRBFXPYMW-LBPRGKRZSA-N 0 1 294.399 0.627 20 30 CCEDMN C#CCCCN1CCCn2nnc(CNC(C)=O)c2C1 ZINC001209266833 790595709 /nfs/dbraw/zinc/59/57/09/790595709.db2.gz DNXBKCTXJUWSPT-UHFFFAOYSA-N 0 1 275.356 0.533 20 30 CCEDMN C#CCN(CC)CCNC(=O)Cc1cnn2c1CCCC2 ZINC001266397237 790632309 /nfs/dbraw/zinc/63/23/09/790632309.db2.gz UVTDIMVJHHACAU-UHFFFAOYSA-N 0 1 288.395 0.833 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1COCCN1C ZINC001038177672 790643817 /nfs/dbraw/zinc/64/38/17/790643817.db2.gz NJIAIXVWLOLUHP-QWHCGFSZSA-N 0 1 267.373 0.084 20 30 CCEDMN C=CCN(CC)CCNC(=O)c1cc(=O)c(OC)co1 ZINC001266403497 790645160 /nfs/dbraw/zinc/64/51/60/790645160.db2.gz VFPNUMQKBPFFJJ-UHFFFAOYSA-N 0 1 280.324 0.886 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](C)N(C)CC(F)(F)F ZINC001266411144 790653431 /nfs/dbraw/zinc/65/34/31/790653431.db2.gz OIAPDXODFNTBRD-LLVKDONJSA-N 0 1 293.333 0.940 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)Cc1cscn1 ZINC001266421043 790667896 /nfs/dbraw/zinc/66/78/96/790667896.db2.gz QPXYPRUTTDYZQV-UHFFFAOYSA-N 0 1 295.408 0.774 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1ccnc(C)n1 ZINC001266437566 790703132 /nfs/dbraw/zinc/70/31/32/790703132.db2.gz DSVYKVADPPSJHB-UHFFFAOYSA-N 0 1 260.341 0.860 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)Cc1nnc(C)o1 ZINC001266461256 790754721 /nfs/dbraw/zinc/75/47/21/790754721.db2.gz MOBPGYOMGVYGST-GFCCVEGCSA-N 0 1 276.340 0.524 20 30 CCEDMN C#Cc1cccc(NC(=O)CN[C@H]2CCN(C(C)=O)C2)c1 ZINC001266504496 790809949 /nfs/dbraw/zinc/80/99/49/790809949.db2.gz FEQDPLKGZGLDQT-HNNXBMFYSA-N 0 1 285.347 0.817 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)[C@H]1CCCO1 ZINC001266554279 790921489 /nfs/dbraw/zinc/92/14/89/790921489.db2.gz WQZCYSDBPZHYNN-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN C=C(Br)C[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC000706469655 790959226 /nfs/dbraw/zinc/95/92/26/790959226.db2.gz XTBLNAIVOCOOCI-QMMMGPOBSA-N 0 1 250.092 0.416 20 30 CCEDMN C=C(Br)C[N@@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC000706469655 790959231 /nfs/dbraw/zinc/95/92/31/790959231.db2.gz XTBLNAIVOCOOCI-QMMMGPOBSA-N 0 1 250.092 0.416 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)c2ccnn2C)C1 ZINC001279471468 791074012 /nfs/dbraw/zinc/07/40/12/791074012.db2.gz ONIJFOQTERKRKU-OAHLLOKOSA-N 0 1 292.383 0.553 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](C)NC(C)=O ZINC001230697729 805651122 /nfs/dbraw/zinc/65/11/22/805651122.db2.gz LJZDRBWHDCGHAU-YPMHNXCESA-N 0 1 265.357 0.067 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)c1ccn[nH]1 ZINC001230812122 805671211 /nfs/dbraw/zinc/67/12/11/805671211.db2.gz UJAOHVVNILNUSB-LBPRGKRZSA-N 0 1 278.356 0.759 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)c1ccn[nH]1 ZINC001230812117 805671779 /nfs/dbraw/zinc/67/17/79/805671779.db2.gz UJAOHVVNILNUSB-GFCCVEGCSA-N 0 1 278.356 0.759 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CNC(=O)CCC ZINC001231016030 805709835 /nfs/dbraw/zinc/70/98/35/805709835.db2.gz XYDUNFGAONVAID-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C(C)(F)F ZINC001231177120 805744632 /nfs/dbraw/zinc/74/46/32/805744632.db2.gz SNBDQSFGSLLSPY-JTQLQIEISA-N 0 1 289.326 0.218 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@H](C)COC ZINC001231247789 805759754 /nfs/dbraw/zinc/75/97/54/805759754.db2.gz RIVWUEHNYOMESW-OLZOCXBDSA-N 0 1 270.373 0.757 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CCN1[C@H](C)COC ZINC001231247789 805759762 /nfs/dbraw/zinc/75/97/62/805759762.db2.gz RIVWUEHNYOMESW-OLZOCXBDSA-N 0 1 270.373 0.757 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H]1CCN1CCc1cnn(C)c1 ZINC001231293951 805772082 /nfs/dbraw/zinc/77/20/82/805772082.db2.gz SVRUNMPKKPMLEZ-OCCSQVGLSA-N 0 1 289.383 0.655 20 30 CCEDMN CN(CCC#N)c1ccc(CN2CC(C(N)=O)C2)cc1 ZINC001231820546 805859785 /nfs/dbraw/zinc/85/97/85/805859785.db2.gz ODJWWORMLRSDMJ-UHFFFAOYSA-N 0 1 272.352 0.954 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001277617852 805902272 /nfs/dbraw/zinc/90/22/72/805902272.db2.gz KOBYFAHKTHXFEI-CYBMUJFWSA-N 0 1 293.411 0.964 20 30 CCEDMN C[C@@]1(CO)C[N@@H+](Cc2cc(C#N)ccc2[O-])CC[C@@H]1O ZINC001232673115 805968223 /nfs/dbraw/zinc/96/82/23/805968223.db2.gz AHRNCNKYGNTMKM-GJZGRUSLSA-N 0 1 276.336 0.829 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](C)S(C)(=O)=O ZINC001266745942 791206095 /nfs/dbraw/zinc/20/60/95/791206095.db2.gz PLFFCZQWGFZCDX-SECBINFHSA-N 0 1 282.793 0.220 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)C(C)(C)C#N)CC1 ZINC001143398525 791270136 /nfs/dbraw/zinc/27/01/36/791270136.db2.gz QJNOCXPJXFPBMT-JTQLQIEISA-N 0 1 280.324 0.907 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@H]1CCC(=O)N1 ZINC001266856117 791294508 /nfs/dbraw/zinc/29/45/08/791294508.db2.gz JTHPEKJVDLLBAE-OLZOCXBDSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](CNCc2nonc2C)C1 ZINC001267036459 791468578 /nfs/dbraw/zinc/46/85/78/791468578.db2.gz UFVRQIUZPMXQJB-LBPRGKRZSA-N 0 1 294.355 0.519 20 30 CCEDMN COC(=O)[C@H](CS)Nc1nc2[nH]cnc2c(OC)n1 ZINC001167620617 791641813 /nfs/dbraw/zinc/64/18/13/791641813.db2.gz FCUAVKIMQPFSEL-YFKPBYRVSA-N 0 1 283.313 0.245 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCN(C)CCn1cccn1 ZINC001267218116 791688742 /nfs/dbraw/zinc/68/87/42/791688742.db2.gz XWTFNLXQIXTBPC-KGLIPLIRSA-N 0 1 292.383 0.522 20 30 CCEDMN Cc1ccc(C(=O)NCCCN(C)CC(=O)NCC#N)o1 ZINC001233599112 806089890 /nfs/dbraw/zinc/08/98/90/806089890.db2.gz XODNHRCQVNPDNS-UHFFFAOYSA-N 0 1 292.339 0.280 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)cn1 ZINC001059880307 814929063 /nfs/dbraw/zinc/92/90/63/814929063.db2.gz BHHCENSDHHRSTD-PHIMTYICSA-N 0 1 283.295 0.444 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CCCO ZINC001233947107 806153508 /nfs/dbraw/zinc/15/35/08/806153508.db2.gz JDINNCBQWAQBFX-ZDUSSCGKSA-N 0 1 252.358 0.705 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1CCCO ZINC001233947107 806153515 /nfs/dbraw/zinc/15/35/15/806153515.db2.gz JDINNCBQWAQBFX-ZDUSSCGKSA-N 0 1 252.358 0.705 20 30 CCEDMN C[C@H](CN(C)C(=O)C#CC1CC1)NCc1cnnn1C ZINC001277819901 806154382 /nfs/dbraw/zinc/15/43/82/806154382.db2.gz RVZCIKJOOPIOGT-LLVKDONJSA-N 0 1 275.356 0.165 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@H]1CCN1CCOCC ZINC001234246598 806211074 /nfs/dbraw/zinc/21/10/74/806211074.db2.gz QVZQQTKZSWJBAF-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](C)OCC#C ZINC001234264468 806213078 /nfs/dbraw/zinc/21/30/78/806213078.db2.gz BIJLOFBHEMLNKZ-KBPBESRZSA-N 0 1 262.353 0.581 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CO[C@@H]1CCOC1 ZINC001234286398 806218665 /nfs/dbraw/zinc/21/86/65/806218665.db2.gz NCGMYRAZTBXPJG-ZIAGYGMSSA-N 0 1 282.384 0.901 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CC1(O)CCC1 ZINC001267240894 793227315 /nfs/dbraw/zinc/22/73/15/793227315.db2.gz CEEVAJUANZNAIZ-UHFFFAOYSA-N 0 1 252.358 0.753 20 30 CCEDMN CCN(CCNC(=O)C#CC(C)C)CCNC(=O)C1CC1 ZINC001267241191 793229266 /nfs/dbraw/zinc/22/92/66/793229266.db2.gz NJFDTBYJYTUPJV-UHFFFAOYSA-N 0 1 293.411 0.610 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)Cn1nccn1 ZINC001234574501 806276612 /nfs/dbraw/zinc/27/66/12/806276612.db2.gz SZOYWHVTSXTMQM-ZDUSSCGKSA-N 0 1 293.371 0.013 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@@H](C)OC ZINC001234622395 806288966 /nfs/dbraw/zinc/28/89/66/806288966.db2.gz JAVRIGFZCZSDJA-NWDGAFQWSA-N 0 1 285.388 0.150 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CN(C)C(=O)C1CC1 ZINC001234988074 806333568 /nfs/dbraw/zinc/33/35/68/806333568.db2.gz KJSPNYABISZINH-CQSZACIVSA-N 0 1 293.411 0.964 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](NCc2cccc(C#N)c2)CN1 ZINC001246077688 807143956 /nfs/dbraw/zinc/14/39/56/807143956.db2.gz UBAQAUNLBIVQDY-STQMWFEESA-N 0 1 259.309 0.551 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)C(C)(C)C(N)=O ZINC001235615515 806472406 /nfs/dbraw/zinc/47/24/06/806472406.db2.gz FHHKKTSAPALTDC-GFCCVEGCSA-N 0 1 297.399 0.233 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C=C1CCCCC1 ZINC001278054480 806640918 /nfs/dbraw/zinc/64/09/18/806640918.db2.gz RKXZQAAUDMLBAA-ZDUSSCGKSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)COC[C@@H]2CCCO2)CC1 ZINC001278094440 806661744 /nfs/dbraw/zinc/66/17/44/806661744.db2.gz JEOLJYSIVNZUAR-AWEZNQCLSA-N 0 1 294.395 0.786 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ncc(F)cc1F ZINC001278104867 806673741 /nfs/dbraw/zinc/67/37/41/806673741.db2.gz AVSCVMYHYOPUTH-SNVBAGLBSA-N 0 1 283.278 0.063 20 30 CCEDMN C#CCN(C(C)=O)C1CCN([C@H]2CCCNC2=O)CC1 ZINC001278107122 806676966 /nfs/dbraw/zinc/67/69/66/806676966.db2.gz DTMYMUPHNRAHHD-AWEZNQCLSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001278120767 806701327 /nfs/dbraw/zinc/70/13/27/806701327.db2.gz XWGCOQZICSWNNW-XJFOESAGSA-N 0 1 264.369 0.513 20 30 CCEDMN C=CCC1(O)CCN(C(=O)CN2CCC(CO)CC2)CC1 ZINC001120773261 798933560 /nfs/dbraw/zinc/93/35/60/798933560.db2.gz VINRKVMQIIFFQY-UHFFFAOYSA-N 0 1 296.411 0.620 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CO)N[C@@H](C)C(=O)N(C)C ZINC001278130687 806708353 /nfs/dbraw/zinc/70/83/53/806708353.db2.gz WYMGGEJSSUVJGJ-RYUDHWBXSA-N 0 1 299.415 0.132 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCSCC1 ZINC001121650690 799063776 /nfs/dbraw/zinc/06/37/76/799063776.db2.gz MSQHKJJNANQEOV-UHFFFAOYSA-N 0 1 277.357 0.802 20 30 CCEDMN C#CCNCC(=O)N1Cc2ccccc2C[C@H]1C(=O)OC ZINC001121899278 799086998 /nfs/dbraw/zinc/08/69/98/799086998.db2.gz DDULKJGUPHOCTM-AWEZNQCLSA-N 0 1 286.331 0.336 20 30 CCEDMN C#CCNCC(=O)N1CCn2c(Br)cnc2C1 ZINC001121972250 799110324 /nfs/dbraw/zinc/11/03/24/799110324.db2.gz ZDRVTJJUGGTQMF-UHFFFAOYSA-N 0 1 297.156 0.211 20 30 CCEDMN C#CCNCC(=O)NCCc1nc2ccccc2[nH]1 ZINC001121982299 799113480 /nfs/dbraw/zinc/11/34/80/799113480.db2.gz RQOHMVPPVGWJDR-UHFFFAOYSA-N 0 1 256.309 0.444 20 30 CCEDMN C#Cc1cccc(NC(=O)CNCCNC(=O)[C@@H]2C[C@H]2C)c1 ZINC001122932820 799315473 /nfs/dbraw/zinc/31/54/73/799315473.db2.gz WTWDBOOWTTZEJZ-IUODEOHRSA-N 0 1 299.374 0.968 20 30 CCEDMN C#CC1(O)CCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CC1 ZINC001123650820 799448419 /nfs/dbraw/zinc/44/84/19/799448419.db2.gz FOKQPJJQTUMHBK-LLVKDONJSA-N 0 1 288.351 0.437 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001123694268 799455782 /nfs/dbraw/zinc/45/57/82/799455782.db2.gz JBVRTRCCDSJXAG-RBSFLKMASA-N 0 1 292.427 0.818 20 30 CCEDMN C#CC1(O)CCN(C(=O)NC[C@@H]2CCN2CC)CC1 ZINC001123703037 799457975 /nfs/dbraw/zinc/45/79/75/799457975.db2.gz UQXWLBWMZJWYRM-LBPRGKRZSA-N 0 1 265.357 0.250 20 30 CCEDMN C=CCN(C)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001123787517 799473801 /nfs/dbraw/zinc/47/38/01/799473801.db2.gz GBKWEIGXAXJHNH-LBPRGKRZSA-N 0 1 254.378 0.450 20 30 CCEDMN C#Cc1cccc(CNC(=O)[C@H]2CN3CCN2C[C@H]3C)c1 ZINC001123898803 799498859 /nfs/dbraw/zinc/49/88/59/799498859.db2.gz WZAWLYMHWAZYIO-CZUORRHYSA-N 0 1 283.375 0.672 20 30 CCEDMN C#CCC1(NC(=O)[C@@H]2CN3CCN2C[C@H]3C)CCC1 ZINC001124052400 799545342 /nfs/dbraw/zinc/54/53/42/799545342.db2.gz WGDHRZRZKYBRMW-OLZOCXBDSA-N 0 1 261.369 0.437 20 30 CCEDMN C#CCC1(NC(=O)[C@@H]2CN3CCN2C[C@@H]3C)CCC1 ZINC001124052402 799545693 /nfs/dbraw/zinc/54/56/93/799545693.db2.gz WGDHRZRZKYBRMW-STQMWFEESA-N 0 1 261.369 0.437 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)COc1cc(C)cc(C)c1 ZINC001278193623 806755791 /nfs/dbraw/zinc/75/57/91/806755791.db2.gz AKBAXOUFFSLJJL-AWEZNQCLSA-N 0 1 290.363 0.382 20 30 CCEDMN COc1ccc(C#N)cc1CNCCNC(=O)[C@@H](C)OC ZINC001124273315 799587097 /nfs/dbraw/zinc/58/70/97/799587097.db2.gz MTHQGJRGHHNIGA-LLVKDONJSA-N 0 1 291.351 0.808 20 30 CCEDMN C=CCOCC(=O)NCCNCc1ccns1 ZINC001124767303 799634010 /nfs/dbraw/zinc/63/40/10/799634010.db2.gz BJWFWAMXTWXVHV-UHFFFAOYSA-N 0 1 255.343 0.552 20 30 CCEDMN CC(C)(C#N)CC(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC001137730206 799684277 /nfs/dbraw/zinc/68/42/77/799684277.db2.gz CMRPQBSFVOQUPA-SECBINFHSA-N 0 1 262.317 0.846 20 30 CCEDMN C#CCOc1ccc(CN2C[C@H](O)[C@H](CO)C2)cc1OC ZINC001138343980 799722129 /nfs/dbraw/zinc/72/21/29/799722129.db2.gz UHAMBSQZQPEIOD-KBPBESRZSA-N 0 1 291.347 0.492 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CN(CC)c1ccccc1 ZINC001278203082 806771375 /nfs/dbraw/zinc/77/13/75/806771375.db2.gz YCVHNKVLRHHLHF-AWEZNQCLSA-N 0 1 289.379 0.213 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C(C)(C)c1ccccc1C ZINC001278212213 806775048 /nfs/dbraw/zinc/77/50/48/806775048.db2.gz IJPYJYMQGBHBLI-CQSZACIVSA-N 0 1 288.391 0.973 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)CN2CCCC2=O)C1 ZINC001149160304 799839866 /nfs/dbraw/zinc/83/98/66/799839866.db2.gz RNTTWOMJVBIRGW-CYBMUJFWSA-N 0 1 295.383 0.002 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CN2CCCC2=O)C1 ZINC001149160304 799839872 /nfs/dbraw/zinc/83/98/72/799839872.db2.gz RNTTWOMJVBIRGW-CYBMUJFWSA-N 0 1 295.383 0.002 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001149398269 800254983 /nfs/dbraw/zinc/25/49/83/800254983.db2.gz KIQZHWRAAHTPPH-VXGBXAGGSA-N 0 1 251.330 0.539 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001149398269 800254987 /nfs/dbraw/zinc/25/49/87/800254987.db2.gz KIQZHWRAAHTPPH-VXGBXAGGSA-N 0 1 251.330 0.539 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@@H]2CCOC2)C1 ZINC001149448530 800265053 /nfs/dbraw/zinc/26/50/53/800265053.db2.gz RPXZNCJSXHQKFY-UONOGXRCSA-N 0 1 282.384 0.806 20 30 CCEDMN N#CCN1CCCO[C@H](CNC(=O)CCc2cnc[nH]2)C1 ZINC001149827193 800301592 /nfs/dbraw/zinc/30/15/92/800301592.db2.gz MACPNLIQCMFBLW-CYBMUJFWSA-N 0 1 291.355 0.073 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1nc2nccc(C)n2n1 ZINC001149858145 800303609 /nfs/dbraw/zinc/30/36/09/800303609.db2.gz AKHAKXGUMQQLDE-UHFFFAOYSA-N 0 1 294.746 0.505 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CCCCC(N)=O)C1 ZINC001150554797 800345972 /nfs/dbraw/zinc/34/59/72/800345972.db2.gz WITXPAWMWILMEL-CYBMUJFWSA-N 0 1 297.399 0.425 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC[C@H]1CN(CC#CC)CCCO1 ZINC001150810747 800369119 /nfs/dbraw/zinc/36/91/19/800369119.db2.gz HVTWHVUEXZNOIA-CABCVRRESA-N 0 1 294.395 0.808 20 30 CCEDMN Cc1nn(C)cc1CN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001206641657 800457703 /nfs/dbraw/zinc/45/77/03/800457703.db2.gz XRUURDCLHZVZKJ-MISXGVKJSA-N 0 1 289.383 0.825 20 30 CCEDMN CCC(C#N)(CC)C(=O)N[C@H](CO)Cc1cnc[nH]1 ZINC001152489906 800529658 /nfs/dbraw/zinc/52/96/58/800529658.db2.gz IAGBXHFDQCXJCB-NSHDSACASA-N 0 1 264.329 0.759 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cnc2cccnc2c1O ZINC001153855449 800679549 /nfs/dbraw/zinc/67/95/49/800679549.db2.gz CBRIDRTZBKHCJT-SECBINFHSA-N 0 1 284.275 0.288 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)C1=NC(=O)N(C)C1 ZINC001155046349 800897079 /nfs/dbraw/zinc/89/70/79/800897079.db2.gz WHKBDYQIUMKRBL-SECBINFHSA-N 0 1 265.317 0.089 20 30 CCEDMN N#Cc1cnc(NCc2nnc3n2CCCNC3)cn1 ZINC001155425503 800973831 /nfs/dbraw/zinc/97/38/31/800973831.db2.gz ZLGVSOAEVZJSAL-UHFFFAOYSA-N 0 1 270.300 0.045 20 30 CCEDMN Cc1cnc(CNCCCNC(=O)[C@@H](C)C#N)nc1 ZINC001155837292 801062774 /nfs/dbraw/zinc/06/27/74/801062774.db2.gz XUJROAYOUSTAIV-NSHDSACASA-N 0 1 261.329 0.541 20 30 CCEDMN COC(=O)[C@@H](CO)Nc1nccc2[nH]cc(C#N)c21 ZINC001155927837 801080339 /nfs/dbraw/zinc/08/03/39/801080339.db2.gz ASBXREOUSBNIRU-SECBINFHSA-N 0 1 260.253 0.380 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1cccnn1 ZINC001155974429 801087191 /nfs/dbraw/zinc/08/71/91/801087191.db2.gz KPDIVUBVDUSJLI-CYBMUJFWSA-N 0 1 290.367 0.567 20 30 CCEDMN N#C[C@H]1CNCCN1c1nc(Cl)nc2c1CCNC2 ZINC001156320939 801150144 /nfs/dbraw/zinc/15/01/44/801150144.db2.gz JEJQJKHRXWMQSK-QMMMGPOBSA-N 0 1 278.747 0.077 20 30 CCEDMN C#CCCCCC(=O)NCCCNCc1cnn(C)n1 ZINC001156811213 801239372 /nfs/dbraw/zinc/23/93/72/801239372.db2.gz CSLZFFOXZXWLNX-UHFFFAOYSA-N 0 1 277.372 0.605 20 30 CCEDMN CN1CCN(C(=O)c2n[nH]c3cc(C#N)ccc32)CC1=O ZINC001156876873 801253089 /nfs/dbraw/zinc/25/30/89/801253089.db2.gz UUTLOSIFDPLZDS-UHFFFAOYSA-N 0 1 283.291 0.349 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)n1cc(N)c(=O)nc1N ZINC001156890342 801255007 /nfs/dbraw/zinc/25/50/07/801255007.db2.gz VNCOEGQESNLSBU-UHFFFAOYSA-N 0 1 295.262 0.087 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1cnon1 ZINC001157170134 801317203 /nfs/dbraw/zinc/31/72/03/801317203.db2.gz BEARHFWJIFAKIT-UHFFFAOYSA-N 0 1 250.302 0.469 20 30 CCEDMN COCC#CCN(C)C[C@H]1CCCCN1C(=O)COC ZINC001157388888 801366074 /nfs/dbraw/zinc/36/60/74/801366074.db2.gz GIXNCBAZEDXSOW-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)C[C@H]1CCCCN1C(=O)CC ZINC001157423020 801377097 /nfs/dbraw/zinc/37/70/97/801377097.db2.gz SCHUCYGTVKYOJS-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN Cc1nonc1CNCCCNC(=O)C#CC1CC1 ZINC001157705005 801459143 /nfs/dbraw/zinc/45/91/43/801459143.db2.gz HCRBLWNXBVXRPW-UHFFFAOYSA-N 0 1 262.313 0.387 20 30 CCEDMN N#CCC(=O)NC[C@H](c1nc[nH]n1)c1ccccc1 ZINC001157831869 801496855 /nfs/dbraw/zinc/49/68/55/801496855.db2.gz IUEBQNIDERRCKC-NSHDSACASA-N 0 1 255.281 0.966 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CCC(=O)NC1 ZINC001158179973 801584656 /nfs/dbraw/zinc/58/46/56/801584656.db2.gz CODRZFQKNYQWMC-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1CNC(=O)N1 ZINC001158426106 801645349 /nfs/dbraw/zinc/64/53/49/801645349.db2.gz HVFYMRAEEOPNOK-CHWSQXEVSA-N 0 1 292.383 0.004 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](COC)OC ZINC001158458633 801655169 /nfs/dbraw/zinc/65/51/69/801655169.db2.gz XYHNTYDNWJJUSR-CABCVRRESA-N 0 1 296.411 0.984 20 30 CCEDMN COC(=O)n1ncc(C#N)c1Nc1nccc(OC)n1 ZINC001158966813 801729373 /nfs/dbraw/zinc/72/93/73/801729373.db2.gz CECPXSMFNVJQML-UHFFFAOYSA-N 0 1 274.240 0.911 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@H]2[C@@H]1CCC(=O)N2CC1CC1 ZINC001159228288 801777511 /nfs/dbraw/zinc/77/75/11/801777511.db2.gz NHQFAHYWDFVNGX-XEZPLFJOSA-N 0 1 289.379 0.339 20 30 CCEDMN CCOC(=O)[C@H](C#N)Nc1n[nH]c(Cl)c2ncnc1-2 ZINC001159329019 801792914 /nfs/dbraw/zinc/79/29/14/801792914.db2.gz OQGSCSNAJNIKAW-YFKPBYRVSA-N 0 1 280.675 0.873 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCO[C@@H](c2ccccc2)C1 ZINC001160530691 801962899 /nfs/dbraw/zinc/96/28/99/801962899.db2.gz NJBXBFAHGUTSIW-HIFRSBDPSA-N 0 1 258.321 0.937 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)NCCCNCC#N)C2 ZINC001161323212 802125350 /nfs/dbraw/zinc/12/53/50/802125350.db2.gz FUHDVLNCQYSGDT-LLVKDONJSA-N 0 1 275.356 0.443 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@@H]2CC[C@H]([S@](C)=O)C2)CC1 ZINC001161877729 802237592 /nfs/dbraw/zinc/23/75/92/802237592.db2.gz MTOVSZGEOIAEOL-CKNLXJGOSA-N 0 1 296.436 0.747 20 30 CCEDMN C[Si](C)(C)C#CNCc1nnc2n1CCCNC2 ZINC001163017249 802460886 /nfs/dbraw/zinc/46/08/86/802460886.db2.gz BCRSXDCCCCFXCK-UHFFFAOYSA-N 0 1 263.421 0.699 20 30 CCEDMN Cn1ccc(S(=O)(=O)N2CCC3SC(=O)C=C3C2)n1 ZINC001259939449 808814185 /nfs/dbraw/zinc/81/41/85/808814185.db2.gz CNWVTZIUMMGGLI-VIFPVBQESA-N 0 1 299.377 0.383 20 30 CCEDMN C#Cc1cnc(NS(=O)(=O)[C@@H](C)CC)c(C#C)n1 ZINC001260725353 808877776 /nfs/dbraw/zinc/87/77/76/808877776.db2.gz CEPIKZJJUXMFOM-VIFPVBQESA-N 0 1 263.322 0.979 20 30 CCEDMN Cc1nnc(CN)n1-c1ncnc2ccc(C#N)cc21 ZINC001163346931 802540699 /nfs/dbraw/zinc/54/06/99/802540699.db2.gz WCNAPWRBDSYPCX-UHFFFAOYSA-N 0 1 265.280 0.849 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@](COC)(C(=O)[O-])C1 ZINC000387044492 809640972 /nfs/dbraw/zinc/64/09/72/809640972.db2.gz MKOJATLOOOEXFR-HNNXBMFYSA-N 0 1 296.367 0.610 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CCN(CCc2ccnn2C)C1 ZINC001265282339 809745150 /nfs/dbraw/zinc/74/51/50/809745150.db2.gz SKHIAAMWHADEDG-AWEZNQCLSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](CC)NC(C)=O ZINC001279577446 809987482 /nfs/dbraw/zinc/98/74/82/809987482.db2.gz SUMWUVMDOPPEGS-SOUVJXGZSA-N 0 1 291.395 0.600 20 30 CCEDMN N#CNC(=Nc1nccc2c1OCC(=O)N2)c1ccncc1 ZINC001164502821 802751568 /nfs/dbraw/zinc/75/15/68/802751568.db2.gz MBFDDVHZRFLQOX-UHFFFAOYSA-N 0 1 294.274 0.956 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1nc(Cl)cc(C)c1C#N ZINC001165436128 802840297 /nfs/dbraw/zinc/84/02/97/802840297.db2.gz HTGHTMHKHGXYSE-SNVBAGLBSA-N 0 1 294.742 0.866 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1cc(Cl)cc(C#N)n1 ZINC001165438105 802842935 /nfs/dbraw/zinc/84/29/35/802842935.db2.gz CYXARKFRHCGVSD-JTQLQIEISA-N 0 1 280.715 0.558 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1nc(C)c(C)cc1C#N ZINC001165440289 802844232 /nfs/dbraw/zinc/84/42/32/802844232.db2.gz ZEGRIPCHWYNMOD-LBPRGKRZSA-N 0 1 274.324 0.521 20 30 CCEDMN Cc1ncc(C#N)c(N2CC[C@@H](N)C(F)(F)C2)n1 ZINC001166959956 802995984 /nfs/dbraw/zinc/99/59/84/802995984.db2.gz DXSVEOGGUYOVDK-SECBINFHSA-N 0 1 253.256 0.829 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@H]2CCCNC2=O)C[C@H]1C ZINC001206537381 803262937 /nfs/dbraw/zinc/26/29/37/803262937.db2.gz RWWDSMSINOZVOC-UPJWGTAASA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@H](CC)C(N)=O)C[C@H]1C ZINC001206544715 803266166 /nfs/dbraw/zinc/26/61/66/803266166.db2.gz KMGFGIDZMPGFSD-IJLUTSLNSA-N 0 1 267.373 0.653 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)CCCCNC(N)=O)C1 ZINC001270608063 813836476 /nfs/dbraw/zinc/83/64/76/813836476.db2.gz VALQFRHBAOXDSE-HNNXBMFYSA-N 0 1 294.399 0.429 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)CC(C)(C)O)C1 ZINC001206924846 803307952 /nfs/dbraw/zinc/30/79/52/803307952.db2.gz VJMFGGRTEMSUNA-CHWSQXEVSA-N 0 1 282.384 0.234 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CC(C)(C)O)C1 ZINC001206920485 803309607 /nfs/dbraw/zinc/30/96/07/803309607.db2.gz RAOGLNJPGCLANR-VXGBXAGGSA-N 0 1 252.358 0.607 20 30 CCEDMN C[C@@H]1CN(CCOCCO)C[C@H]1NC(=O)C#CC(C)(C)C ZINC001206949750 803315628 /nfs/dbraw/zinc/31/56/28/803315628.db2.gz OLHNUYJSIIVNLU-ZIAGYGMSSA-N 0 1 296.411 0.481 20 30 CCEDMN Cn1cc(CN2CCc3nncn3CC2)cc1C#N ZINC001207102741 803353766 /nfs/dbraw/zinc/35/37/66/803353766.db2.gz GISRLGABJWAGAG-UHFFFAOYSA-N 0 1 256.313 0.547 20 30 CCEDMN Cn1cc(CN2CC[C@H](O)[C@](C)(CO)C2)cc1C#N ZINC001207103580 803354104 /nfs/dbraw/zinc/35/41/04/803354104.db2.gz YBYJPZKWKMEQAT-KBPBESRZSA-N 0 1 263.341 0.462 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2(COC)CCOCC2)C1 ZINC001207407212 803393094 /nfs/dbraw/zinc/39/30/94/803393094.db2.gz ZMZIGMJPWLLDOW-ZIAGYGMSSA-N 0 1 294.395 0.499 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001207847675 803455155 /nfs/dbraw/zinc/45/51/55/803455155.db2.gz PUPKYBFYOLBCHH-ZYHUDNBSSA-N 0 1 263.345 0.821 20 30 CCEDMN C=CCN(CCN1CC[C@@H](CO)[C@H](O)C1)C(=O)OCC ZINC001209017134 803564331 /nfs/dbraw/zinc/56/43/31/803564331.db2.gz LDDXAQJNYQFGGW-QWHCGFSZSA-N 0 1 286.372 0.306 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1nc(C)[nH]c(=O)c1[N+](=O)[O-] ZINC001229380180 814951614 /nfs/dbraw/zinc/95/16/14/814951614.db2.gz ZIQOOEJWUNNXSL-QMMMGPOBSA-N 0 1 295.251 0.733 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001210636478 803655999 /nfs/dbraw/zinc/65/59/99/803655999.db2.gz RJQPKLNJGKDNNW-JHJVBQTASA-N 0 1 294.399 0.283 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001213320060 803689881 /nfs/dbraw/zinc/68/98/81/803689881.db2.gz LJGSPQYHAHBJAL-YNEHKIRRSA-N 0 1 270.373 0.396 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](c3nn[nH]n3)C2)cc1 ZINC000720620919 803770879 /nfs/dbraw/zinc/77/08/79/803770879.db2.gz KWVMOZWAWTWVER-LLVKDONJSA-N 0 1 268.280 0.701 20 30 CCEDMN C=CCCC(=O)N1CCO[C@@H]2CN(CCCO)C[C@@H]21 ZINC001217492969 803891988 /nfs/dbraw/zinc/89/19/88/803891988.db2.gz DMRLTQCODFZLFG-QWHCGFSZSA-N 0 1 268.357 0.247 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CCCCOC)[C@H]2C1 ZINC001217481887 803893858 /nfs/dbraw/zinc/89/38/58/803893858.db2.gz ULZZQPBZVGRHJD-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C[C@H](C)OC)[C@H]2C1 ZINC001217504158 803893943 /nfs/dbraw/zinc/89/39/43/803893943.db2.gz VGRRPHAESCRYHH-MELADBBJSA-N 0 1 280.368 0.346 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H](C)CSC)[C@H]2C1 ZINC001217544715 803896247 /nfs/dbraw/zinc/89/62/47/803896247.db2.gz WKTGVRCTKVJPFO-HZSPNIEDSA-N 0 1 296.436 0.920 20 30 CCEDMN CC1=C(Oc2ccc(C[C@H](N)C(N)=O)cc2)C(=O)[C@@H](C)O1 ZINC001218292172 803946265 /nfs/dbraw/zinc/94/62/65/803946265.db2.gz WFVRMCAYDMYTFX-PELKAZGASA-N 0 1 290.319 0.640 20 30 CCEDMN C#Cc1cnc(NC(=O)[C@@H](N)COC(C)(C)C)c(C#C)n1 ZINC001218657278 804068074 /nfs/dbraw/zinc/06/80/74/804068074.db2.gz HQPNGMPRMCCTFH-NSHDSACASA-N 0 1 286.335 0.520 20 30 CCEDMN N=C(c1ccccc1N)N([O-])C(=O)C1CC2(C[NH2+]C2)C1 ZINC001218964962 804166909 /nfs/dbraw/zinc/16/69/09/804166909.db2.gz GKXFPNMECZSVAF-UHFFFAOYSA-N 0 1 274.324 0.812 20 30 CCEDMN N#Cc1cc(NC(=O)[C@@H]([NH3+])Cc2cnc[nH]2)c([O-])cc1F ZINC001219068596 804189387 /nfs/dbraw/zinc/18/93/87/804189387.db2.gz ZNAHXQUMLUMFNO-JTQLQIEISA-N 0 1 289.270 0.635 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)CSC(C)C)[C@@H](O)C1 ZINC001219217838 804241718 /nfs/dbraw/zinc/24/17/18/804241718.db2.gz BXOCAORIJMRAHF-NEPJUHHUSA-N 0 1 272.414 0.865 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1CN(CCC)C[C@@H]1O ZINC001219312538 804266652 /nfs/dbraw/zinc/26/66/52/804266652.db2.gz KRWZNYQYKMQEKQ-UPJWGTAASA-N 0 1 270.373 0.539 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CO[C@@H](C)CC)[C@@H](O)C1 ZINC001219477773 804327484 /nfs/dbraw/zinc/32/74/84/804327484.db2.gz ATPISYORCZKMTF-XQQFMLRXSA-N 0 1 270.373 0.539 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CC[C@H](C)F)C[C@@H]1O ZINC001219587318 804361201 /nfs/dbraw/zinc/36/12/01/804361201.db2.gz AIPHAHRNNWFOFM-XQQFMLRXSA-N 0 1 270.348 0.555 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001219686220 804403116 /nfs/dbraw/zinc/40/31/16/804403116.db2.gz JBCOSPLSEUQHHR-CABCVRRESA-N 0 1 296.411 0.953 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CC(C)(C)C=C)[C@@H](O)C1 ZINC001219706592 804411029 /nfs/dbraw/zinc/41/10/29/804411029.db2.gz FUNPPYZQENTIRJ-KGLIPLIRSA-N 0 1 296.411 0.953 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H](C)c1cnc2ccccn21 ZINC001219704053 804411665 /nfs/dbraw/zinc/41/16/65/804411665.db2.gz VVDSQGPVNPXKHX-YGRLFVJLSA-N 0 1 256.309 0.862 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](CC)CC(F)F)[C@@H](O)C1 ZINC001219781985 804423804 /nfs/dbraw/zinc/42/38/04/804423804.db2.gz IKQUMLKZVDIWPW-TUAOUCFPSA-N 0 1 288.338 0.852 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001220147058 804514257 /nfs/dbraw/zinc/51/42/57/804514257.db2.gz ZGHLGPIVIAHLAK-OLZOCXBDSA-N 0 1 252.358 0.751 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H]2C[C@@H]2C)[C@@H](O)C1 ZINC001220144827 804513092 /nfs/dbraw/zinc/51/30/92/804513092.db2.gz NPLTVQRRVZHHEN-RVMXOQNASA-N 0 1 268.357 0.006 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(CCCO)C[C@@H]1O ZINC001220146450 804514837 /nfs/dbraw/zinc/51/48/37/804514837.db2.gz GZMIVSFASQBNEK-KGLIPLIRSA-N 0 1 282.384 0.114 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCCC#CC)[C@@H](O)C1 ZINC001220185590 804530285 /nfs/dbraw/zinc/53/02/85/804530285.db2.gz HEQHLYZXQURNQV-CABCVRRESA-N 0 1 294.395 0.544 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C(C)(C)C2CC2)[C@@H](O)C1 ZINC001220196153 804534071 /nfs/dbraw/zinc/53/40/71/804534071.db2.gz FKGLYCAHAAAKKR-KGLIPLIRSA-N 0 1 296.411 0.787 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCCNC(=O)Nc1ccccc1 ZINC001220341048 804578449 /nfs/dbraw/zinc/57/84/49/804578449.db2.gz TVYXRYMSYUYNED-AWEZNQCLSA-N 0 1 274.324 0.275 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H](C)C(C)C)[C@@H](O)C1 ZINC001220461492 804609330 /nfs/dbraw/zinc/60/93/30/804609330.db2.gz UPEFGMIRKNSMJQ-MJBXVCDLSA-N 0 1 284.400 0.642 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)[C@@H]1Cc2ccccc2CN1 ZINC001220498862 804615014 /nfs/dbraw/zinc/61/50/14/804615014.db2.gz MJRBJGBGRKQPIX-STQMWFEESA-N 0 1 287.319 0.272 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC1CCN(C(=O)C(C)(C)C)CC1 ZINC001220494969 804615178 /nfs/dbraw/zinc/61/51/78/804615178.db2.gz SFKUTVHWTGILGN-INIZCTEOSA-N 0 1 293.411 0.738 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@](C)(Cc1ccccc1)C(=O)OC ZINC001220627714 804638952 /nfs/dbraw/zinc/63/89/52/804638952.db2.gz HQHBOQMINACFMI-JKSUJKDBSA-N 0 1 288.347 0.628 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H](CC(=O)OC)c1ccccn1 ZINC001220900990 804696932 /nfs/dbraw/zinc/69/69/32/804696932.db2.gz ROWXSHUMXVLTIQ-RISCZKNCSA-N 0 1 275.308 0.153 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(C2CC2)C2CC2)[C@@H](O)C1 ZINC001221112822 804731492 /nfs/dbraw/zinc/73/14/92/804731492.db2.gz NFGCRJZMEOKAQQ-CVEARBPZSA-N 0 1 290.407 0.997 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1C[N@@H+](CCC(C)C)C[C@@H]1O ZINC001221141551 804733378 /nfs/dbraw/zinc/73/33/78/804733378.db2.gz VTTOARHHEZGOJX-KGLIPLIRSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)OCCC(C)C)[C@@H](O)C1 ZINC001221147975 804735434 /nfs/dbraw/zinc/73/54/34/804735434.db2.gz MZBLQPAPSGBOJU-ZNMIVQPWSA-N 0 1 296.411 0.622 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)OCCC(C)C)[C@@H](O)C1 ZINC001221147974 804735563 /nfs/dbraw/zinc/73/55/63/804735563.db2.gz MZBLQPAPSGBOJU-KFWWJZLASA-N 0 1 296.411 0.622 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CNC(=O)CC)[C@@H]2C1 ZINC001221451377 804819406 /nfs/dbraw/zinc/81/94/06/804819406.db2.gz HITMPZJWACSGLT-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C1CN(C(=O)N[C@@H](C)CN2CCN(C)CC2)C1 ZINC001221816742 804846977 /nfs/dbraw/zinc/84/69/77/804846977.db2.gz DKZLANARCDDFHK-LBPRGKRZSA-N 0 1 252.362 0.204 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)COC[C@@H]1CCCO1 ZINC001272186028 815039837 /nfs/dbraw/zinc/03/98/37/815039837.db2.gz WZGLGXKAKKIQRY-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCCCCC(=O)NCC1CCN(CC(=O)NC)CC1 ZINC001222873381 804936463 /nfs/dbraw/zinc/93/64/63/804936463.db2.gz WOBHKVDSULAHLK-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN CN(C)C(=O)[C@H]1CC12CN(C(=O)c1ccc(C#N)cc1O)C2 ZINC001276864686 804954865 /nfs/dbraw/zinc/95/48/65/804954865.db2.gz GEQVVBWAUUWEAJ-GFCCVEGCSA-N 0 1 299.330 0.814 20 30 CCEDMN C=CCCCCC[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CNC(=O)N1 ZINC001276909770 804984393 /nfs/dbraw/zinc/98/43/93/804984393.db2.gz SMAQJFGQHXNQMS-STQMWFEESA-N 0 1 294.399 0.605 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCNC2=O)[C@H]1C ZINC001088639122 815045184 /nfs/dbraw/zinc/04/51/84/815045184.db2.gz GCMCMGMVWDABCQ-AGIUHOORSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)[C@H](F)CC)CC1 ZINC001224074595 805007391 /nfs/dbraw/zinc/00/73/91/805007391.db2.gz IJEUWDXZZDYBNO-CYBMUJFWSA-N 0 1 297.374 0.312 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224165922 805013201 /nfs/dbraw/zinc/01/32/01/805013201.db2.gz VMDLIQGCOIIXAR-NWDGAFQWSA-N 0 1 281.400 0.758 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CC[C@H]2CC[N@@H+](CCO)[C@H]2C1 ZINC001224289615 805022368 /nfs/dbraw/zinc/02/23/68/805022368.db2.gz RBCSLPRWTBZAHJ-MCIONIFRSA-N 0 1 282.384 0.493 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1OCCN1CCN(C)CC1 ZINC001224551782 805051073 /nfs/dbraw/zinc/05/10/73/805051073.db2.gz LCTPZHLYMVRMON-JTQLQIEISA-N 0 1 254.330 0.470 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H](NCc2cnnn2C)C[C@@H]1C ZINC001278371191 807043444 /nfs/dbraw/zinc/04/34/44/807043444.db2.gz OALYYMQEWYBERZ-QWHCGFSZSA-N 0 1 289.383 0.554 20 30 CCEDMN CCN1CC[C@H](NC2(CNC(=O)C#CC3CC3)CC2)C1=O ZINC001277055377 805141470 /nfs/dbraw/zinc/14/14/70/805141470.db2.gz OTEWHYFFHVQYBE-ZDUSSCGKSA-N 0 1 289.379 0.259 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ccnn2C)CC1 ZINC001226403696 805226411 /nfs/dbraw/zinc/22/64/11/805226411.db2.gz QFONICQNIKQUHG-UHFFFAOYSA-N 0 1 290.367 0.264 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C[C@@H](C)OC)CC1 ZINC001226503558 805239680 /nfs/dbraw/zinc/23/96/80/805239680.db2.gz WSIZVGPRXOTJJO-CYBMUJFWSA-N 0 1 282.384 0.642 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001226649889 805259515 /nfs/dbraw/zinc/25/95/15/805259515.db2.gz XWBLMUHGYPTBLC-MNOVXSKESA-N 0 1 292.383 0.394 20 30 CCEDMN CN(CCN(C)c1cnc(C#N)cn1)C(=O)Cc1c[nH]cn1 ZINC001105576202 815063860 /nfs/dbraw/zinc/06/38/60/815063860.db2.gz SBLIOXLBKHJNNE-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H](OC)C2CC2)CC1 ZINC001227161463 805328691 /nfs/dbraw/zinc/32/86/91/805328691.db2.gz HZDKCIBPYFQUGI-OAHLLOKOSA-N 0 1 294.395 0.642 20 30 CCEDMN C[N+](C)(C)C[C@@H](CC#N)Oc1nc2[nH]c(=O)[nH]c(=O)c2[n-]1 ZINC001227429857 805349794 /nfs/dbraw/zinc/34/97/94/805349794.db2.gz GNZCAVQMPHQDNN-SSDOTTSWSA-O 0 1 293.307 0.131 20 30 CCEDMN C[N+](C)(C)C[C@@H](CC#N)Oc1nc2c([n-]1)[nH]c(=O)[nH]c2=O ZINC001227429857 805349802 /nfs/dbraw/zinc/34/98/02/805349802.db2.gz GNZCAVQMPHQDNN-SSDOTTSWSA-O 0 1 293.307 0.131 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](C)CC(N)=O)CC1 ZINC001228613622 805460240 /nfs/dbraw/zinc/46/02/40/805460240.db2.gz IJJRXBJJJUOHBR-LLVKDONJSA-N 0 1 265.357 0.102 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CNC(=O)OC ZINC001277332686 805482472 /nfs/dbraw/zinc/48/24/72/805482472.db2.gz LGSVGZZFBHHWLC-OLZOCXBDSA-N 0 1 293.367 0.431 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H](OC)C(C)C)CC1 ZINC001229024517 805498335 /nfs/dbraw/zinc/49/83/35/805498335.db2.gz LFLGEQVVMUJMMZ-HNNXBMFYSA-N 0 1 296.411 0.888 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1CCCSC1 ZINC001229111292 805503534 /nfs/dbraw/zinc/50/35/34/805503534.db2.gz QBHATXSPOCVWGC-LLVKDONJSA-N 0 1 255.387 0.746 20 30 CCEDMN C=C1O[C@@H](CC)C(=O)C1Oc1ncc(F)nc1C(N)=O ZINC001229727871 805552670 /nfs/dbraw/zinc/55/26/70/805552670.db2.gz UIXZAIOKCWRASQ-LURJTMIESA-N 0 1 281.243 0.703 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H](C)Cc2cnn(C)c2)C1 ZINC001278434363 807176728 /nfs/dbraw/zinc/17/67/28/807176728.db2.gz QBGDFAQWRKSYLU-CZUORRHYSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCN(C(C)=O)C2)[C@H]1C ZINC001088720560 815117656 /nfs/dbraw/zinc/11/76/56/815117656.db2.gz QIAYNGKQTSTNMO-SNPRPXQTSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCc2cn[nH]c2)[C@@H]1C ZINC001278522320 807563962 /nfs/dbraw/zinc/56/39/62/807563962.db2.gz WNOAUNGIAXWJMZ-JSGCOSHPSA-N 0 1 274.368 0.945 20 30 CCEDMN COc1cccc([C@@H](O)CN[C@@H]2C(=O)N(O)C[C@@H]2C)c1 ZINC001250733830 807610403 /nfs/dbraw/zinc/61/04/03/807610403.db2.gz AAZGYNOQFCGADN-XDTLVQLUSA-N 0 1 280.324 0.554 20 30 CCEDMN CN(C)S(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001251063923 807660451 /nfs/dbraw/zinc/66/04/51/807660451.db2.gz DQKYLJGDKRSVBR-MRVPVSSYSA-N 0 1 262.356 0.067 20 30 CCEDMN C[C@H](CCCCO)NC(=O)NCC#CCN(C)C ZINC001251708787 807697191 /nfs/dbraw/zinc/69/71/91/807697191.db2.gz XPHJRMVKRYYTCF-GFCCVEGCSA-N 0 1 255.362 0.402 20 30 CCEDMN C#CCOC[C@H](O)CN1CCC(=O)[C@H]2CCCC[C@H]21 ZINC001251821267 807708870 /nfs/dbraw/zinc/70/88/70/807708870.db2.gz KYTJVQNJBHHZHS-HZSPNIEDSA-N 0 1 265.353 0.831 20 30 CCEDMN C#CCOC[C@H](O)CN1CCC[C@@H]1c1cnccn1 ZINC001251847837 807718665 /nfs/dbraw/zinc/71/86/65/807718665.db2.gz NRDGJMQDNVBYQF-TZMCWYRMSA-N 0 1 261.325 0.624 20 30 CCEDMN C#CCOC[C@@H](O)CN1CC2(C1)CC(F)(F)CS2 ZINC001251855223 807724012 /nfs/dbraw/zinc/72/40/12/807724012.db2.gz UAWDCGILLPYDKC-JTQLQIEISA-N 0 1 277.336 0.824 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ccn(CC(F)(F)F)n1 ZINC001251900353 807742178 /nfs/dbraw/zinc/74/21/78/807742178.db2.gz OSDAJLJUSKBKHH-LLVKDONJSA-N 0 1 291.273 0.546 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cn(CC)nn2)[C@H]1C ZINC001088764157 815144996 /nfs/dbraw/zinc/14/49/96/815144996.db2.gz OPUNQRCHAVHVGK-OCCSQVGLSA-N 0 1 289.383 0.443 20 30 CCEDMN N#C[C@@H]1CN(C[C@@H](O)COCc2ccccc2)CCC1=O ZINC001252283287 807802325 /nfs/dbraw/zinc/80/23/25/807802325.db2.gz DAVLWSUJPZZKNO-HUUCEWRRSA-N 0 1 288.347 0.979 20 30 CCEDMN C=CCOC[C@@H](O)CNc1ncnc2[nH]c(C)nc21 ZINC001252458249 807872339 /nfs/dbraw/zinc/87/23/39/807872339.db2.gz GUHJJGUNZSYINP-VIFPVBQESA-N 0 1 263.301 0.637 20 30 CCEDMN C=CCOC[C@H](O)CN1CC[C@](O)(C(F)(F)F)C1 ZINC001252461340 807872447 /nfs/dbraw/zinc/87/24/47/807872447.db2.gz PJRYVSARTDZWDJ-NXEZZACHSA-N 0 1 269.263 0.549 20 30 CCEDMN C=CCOC[C@H](O)CN1CCN(C(C)=O)[C@@H](C)C1 ZINC001252473802 807886198 /nfs/dbraw/zinc/88/61/98/807886198.db2.gz QFJFERASUMQXEB-WCQYABFASA-N 0 1 256.346 0.103 20 30 CCEDMN C=CCOC[C@H](O)CN[C@H](CO)c1ccc(OCC)nc1 ZINC001252486252 807889714 /nfs/dbraw/zinc/88/97/14/807889714.db2.gz WCGVGKYLINFNJL-ZIAGYGMSSA-N 0 1 296.367 0.667 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1nnc2cc(C)ccn21 ZINC001252485368 807889943 /nfs/dbraw/zinc/88/99/43/807889943.db2.gz KISCLJZKSOLHTA-LBPRGKRZSA-N 0 1 276.340 0.691 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ccc(C(=O)OC)cn1 ZINC001252490121 807890460 /nfs/dbraw/zinc/89/04/60/807890460.db2.gz DMUGFYFCJDKFLQ-CYBMUJFWSA-N 0 1 280.324 0.521 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cccc(OC)n1 ZINC001252490594 807892385 /nfs/dbraw/zinc/89/23/85/807892385.db2.gz BDGBDPRXKWGKMP-LBPRGKRZSA-N 0 1 252.314 0.743 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ncn2ccccc12 ZINC001252495119 807893775 /nfs/dbraw/zinc/89/37/75/807893775.db2.gz JWXKKGISYQSVRB-GFCCVEGCSA-N 0 1 261.325 0.987 20 30 CCEDMN C=CCOC[C@H](O)CNCC(=O)Nc1ccc(F)cc1 ZINC001252496062 807894692 /nfs/dbraw/zinc/89/46/92/807894692.db2.gz WZLZKLJJVSSMPS-CYBMUJFWSA-N 0 1 282.315 0.917 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ccnc(OCCOC)n1 ZINC001252497150 807895043 /nfs/dbraw/zinc/89/50/43/807895043.db2.gz KYZMXUGLZGVXBI-CYBMUJFWSA-N 0 1 297.355 0.155 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(c2ncccc2C(N)=O)CC1 ZINC001252556829 807909706 /nfs/dbraw/zinc/90/97/06/807909706.db2.gz FERLFEBZJINBGF-OAHLLOKOSA-N 0 1 290.367 0.240 20 30 CCEDMN C=CCC[C@@H](O)CN[C@@H](Cc1cnc[nH]1)C(=O)OCC ZINC001252624375 807921344 /nfs/dbraw/zinc/92/13/44/807921344.db2.gz DNGNIWLGCHKGRZ-OLZOCXBDSA-N 0 1 281.356 0.801 20 30 CCEDMN C=CCC[C@H](O)CN[C@H](Cc1cnc[nH]1)C(=O)OC ZINC001252625078 807922028 /nfs/dbraw/zinc/92/20/28/807922028.db2.gz QYGVUDGKSCQZEQ-NWDGAFQWSA-N 0 1 267.329 0.411 20 30 CCEDMN C=CCN1CCN(C[C@H](O)COCc2ccco2)CC1 ZINC001252638625 807924762 /nfs/dbraw/zinc/92/47/62/807924762.db2.gz VGZGDPIYQDYCSS-AWEZNQCLSA-N 0 1 280.368 0.961 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@@H]2OCCC[C@H]2C1 ZINC001253182518 808021643 /nfs/dbraw/zinc/02/16/43/808021643.db2.gz QGQFZUFUYLBQOC-RYUDHWBXSA-N 0 1 251.330 0.517 20 30 CCEDMN CCOC(=O)C[C@H](O)CNCc1ccc(C#N)nc1 ZINC001253812065 808151496 /nfs/dbraw/zinc/15/14/96/808151496.db2.gz SXWRBAFINZUNLT-LBPRGKRZSA-N 0 1 263.297 0.357 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN(C)C(C)C)C(=O)NO ZINC001142590626 815182364 /nfs/dbraw/zinc/18/23/64/815182364.db2.gz JHDOMTFATJKMMA-JTQLQIEISA-N 0 1 259.350 0.363 20 30 CCEDMN COCC#CCN1CC[C@](O)(CNC(=O)C2CC=CC2)C1 ZINC001278767676 808195934 /nfs/dbraw/zinc/19/59/34/808195934.db2.gz VBQYHRURGFXWAB-INIZCTEOSA-N 0 1 292.379 0.155 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C[C@H](C)CC ZINC001278855482 808339251 /nfs/dbraw/zinc/33/92/51/808339251.db2.gz QSPIUGRDSBEAQZ-OLZOCXBDSA-N 0 1 254.374 0.807 20 30 CCEDMN C=CCOC(=O)C[C@H](N[C@@H]1CCN(C)C1)C(=O)OCC=C ZINC001255419944 808359212 /nfs/dbraw/zinc/35/92/12/808359212.db2.gz JTVLPKOKHILBBT-OLZOCXBDSA-N 0 1 296.367 0.497 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)NCc1n[nH]c(C)n1 ZINC001256124345 808471555 /nfs/dbraw/zinc/47/15/55/808471555.db2.gz KPIJXDWRJGSTBZ-VIFPVBQESA-N 0 1 253.306 0.239 20 30 CCEDMN N#CC1CCC(n2cnc(C[C@H](N)C(N)=O)c2)CC1 ZINC001256965291 808572428 /nfs/dbraw/zinc/57/24/28/808572428.db2.gz PSKKFDYQVFKKFD-NHNAUAITSA-N 0 1 261.329 0.493 20 30 CCEDMN C=CCC[C@H](C)N1CC(N2CCN(C)C(=O)C2)C1 ZINC001258734986 808700131 /nfs/dbraw/zinc/70/01/31/808700131.db2.gz LWQLYADCHZRJJI-LBPRGKRZSA-N 0 1 251.374 0.799 20 30 CCEDMN N#Cc1cnc(NS(=O)(=O)C2CCOCC2)cn1 ZINC001259907163 808796512 /nfs/dbraw/zinc/79/65/12/808796512.db2.gz CDCZAAUQKBCAKQ-UHFFFAOYSA-N 0 1 268.298 0.269 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc4nnnn4c3)[C@@H]2C1 ZINC001076034849 815237034 /nfs/dbraw/zinc/23/70/34/815237034.db2.gz HREWGPTWOHGLGU-WCQYABFASA-N 0 1 298.350 0.457 20 30 CCEDMN C#CCCNS(=O)(=O)c1nc2nc(Cl)ccn2n1 ZINC001260180165 808855270 /nfs/dbraw/zinc/85/52/70/808855270.db2.gz IHDWOHAAPFKPHD-UHFFFAOYSA-N 0 1 285.716 0.079 20 30 CCEDMN C#Cc1cnc(NS(=O)(=O)[C@H](C)CC)c(C#C)n1 ZINC001260725342 808876749 /nfs/dbraw/zinc/87/67/49/808876749.db2.gz CEPIKZJJUXMFOM-SECBINFHSA-N 0 1 263.322 0.979 20 30 CCEDMN Cc1cc(CC(=O)NCC[C@H](C)NC(=O)[C@@H](C)C#N)[nH]n1 ZINC001076116739 815245440 /nfs/dbraw/zinc/24/54/40/815245440.db2.gz GHANDTIPPYNWIE-UWVGGRQHSA-N 0 1 291.355 0.431 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccnc(N(C)C)c3)[C@@H]2C1 ZINC001076314468 815277235 /nfs/dbraw/zinc/27/72/35/815277235.db2.gz BAUIXSSISMJSNQ-LSDHHAIUSA-N 0 1 298.390 0.927 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC001263065741 809431064 /nfs/dbraw/zinc/43/10/64/809431064.db2.gz OGWVMMJNPMDFOQ-LLVKDONJSA-N 0 1 285.347 0.971 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1CSc2ccccc2O1 ZINC001263134223 809456081 /nfs/dbraw/zinc/45/60/81/809456081.db2.gz OKNRWUPHUVPSNM-NSHDSACASA-N 0 1 276.361 0.879 20 30 CCEDMN C#CCNCC(=O)N1CCN(C(=O)C(CC)CC)CC1 ZINC001263144749 809459322 /nfs/dbraw/zinc/45/93/22/809459322.db2.gz WZFZJSFCFILMCZ-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3nonc3C)[C@@H]2C1 ZINC001076415193 815292845 /nfs/dbraw/zinc/29/28/45/815292845.db2.gz CDRRMPNLICAMHG-WCQYABFASA-N 0 1 274.324 0.086 20 30 CCEDMN N#CC(C(=O)CO[C@@H]1CCOC1)C(=O)NC1CCCCC1 ZINC001263290807 809503581 /nfs/dbraw/zinc/50/35/81/809503581.db2.gz CVVGSFDVJADENY-OLZOCXBDSA-N 0 1 294.351 0.950 20 30 CCEDMN C=CCC1(C(=O)OCc2nn[nH]n2)CCOCC1 ZINC001263528601 809534319 /nfs/dbraw/zinc/53/43/19/809534319.db2.gz HXHBCIJIUCOZFW-UHFFFAOYSA-N 0 1 252.274 0.616 20 30 CCEDMN CCn1ccnc1[C@H](C#N)C(=O)[C@H]1CCN(C)C1=O ZINC001263616774 809541379 /nfs/dbraw/zinc/54/13/79/809541379.db2.gz IKVMBKCWEHTMSI-NXEZZACHSA-N 0 1 260.297 0.558 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)[C@H]1C ZINC001264169349 809636837 /nfs/dbraw/zinc/63/68/37/809636837.db2.gz CXDLIRIRCBPKJN-KWCYVHTRSA-N 0 1 279.384 0.359 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N(C)C[C@@H]1CCN1CC#N ZINC001264375185 809646525 /nfs/dbraw/zinc/64/65/25/809646525.db2.gz VYFOIMBZTRFOPQ-YPMHNXCESA-N 0 1 275.356 0.645 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)Cc2ccoc2)C1 ZINC001264630108 809666818 /nfs/dbraw/zinc/66/68/18/809666818.db2.gz LKINRDBXGREBBN-HNNXBMFYSA-N 0 1 290.363 0.741 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)Cc2ccoc2)C1 ZINC001264630109 809667242 /nfs/dbraw/zinc/66/72/42/809667242.db2.gz LKINRDBXGREBBN-OAHLLOKOSA-N 0 1 290.363 0.741 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CCC(=O)NC1 ZINC001264741477 809676961 /nfs/dbraw/zinc/67/69/61/809676961.db2.gz CODRZFQKNYQWMC-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccn(C)n3)[C@@H]2C1 ZINC001076569094 815311298 /nfs/dbraw/zinc/31/12/98/815311298.db2.gz JBCJYWUWOIPSRZ-WCQYABFASA-N 0 1 260.341 0.752 20 30 CCEDMN CC#CCCCC(=O)N[C@H]1CCCN(CCO)C1 ZINC001265222970 809727979 /nfs/dbraw/zinc/72/79/79/809727979.db2.gz OGYBRUBDCHATHF-ZDUSSCGKSA-N 0 1 252.358 0.753 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)CCCF)CC1 ZINC001265247829 809730439 /nfs/dbraw/zinc/73/04/39/809730439.db2.gz QRKFKBFSGDOPPP-UHFFFAOYSA-N 0 1 269.364 0.493 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)C[C@@](C)(O)C=C)CC1 ZINC001265258747 809733270 /nfs/dbraw/zinc/73/32/70/809733270.db2.gz PGYTYIWMUZVBJC-INIZCTEOSA-N 0 1 293.411 0.071 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@H]2CO[C@@H](C)C2)CC1 ZINC001265259766 809735082 /nfs/dbraw/zinc/73/50/82/809735082.db2.gz KUUNMRLPSAMHFL-LSDHHAIUSA-N 0 1 295.427 0.721 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@H](C)c2cnn(C)c2)C1 ZINC001265294888 809748979 /nfs/dbraw/zinc/74/89/79/809748979.db2.gz LTKVMTNFOFKNOH-GXTWGEPZSA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2ccnc(C)n2)C1 ZINC001265295790 809749828 /nfs/dbraw/zinc/74/98/28/809749828.db2.gz ZSTDNARZQCPLOU-AWEZNQCLSA-N 0 1 272.352 0.541 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ncc4n3CCCC4)[C@@H]2C1 ZINC001076590443 815317241 /nfs/dbraw/zinc/31/72/41/815317241.db2.gz KZNSYRJVKQOHEP-DZGCQCFKSA-N 0 1 298.390 0.999 20 30 CCEDMN C=C(C)CCC(=O)N[C@H]1C[C@@H](NCc2nncn2C)C1 ZINC001265541696 809801719 /nfs/dbraw/zinc/80/17/19/809801719.db2.gz MWTHEPLZJINNPT-TXEJJXNPSA-N 0 1 277.372 0.908 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCCN(C(=O)[C@@H]2CCCO2)C1 ZINC001266089958 809934065 /nfs/dbraw/zinc/93/40/65/809934065.db2.gz BFYXFLVQEDJUFD-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C(C)(C)NC(C)=O)CC1 ZINC001266193643 809959130 /nfs/dbraw/zinc/95/91/30/809959130.db2.gz NBMYSWTXSVNERW-UHFFFAOYSA-N 0 1 279.384 0.457 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)[C@@H]2CCOC2)C1 ZINC001279470653 809980924 /nfs/dbraw/zinc/98/09/24/809980924.db2.gz HFHQIWFBGPWUCN-HIFRSBDPSA-N 0 1 282.384 0.542 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)c2ccc[nH]2)C1 ZINC001279463392 809981581 /nfs/dbraw/zinc/98/15/81/809981581.db2.gz ZGVYPTQWUZKNMZ-AWEZNQCLSA-N 0 1 263.341 0.757 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2CCCC2)C1 ZINC001076666065 815337398 /nfs/dbraw/zinc/33/73/98/815337398.db2.gz NCKJYIOKJZCVAR-CHWSQXEVSA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccccc2O)C1 ZINC001076758304 815362063 /nfs/dbraw/zinc/36/20/63/815362063.db2.gz SXYLSVBFWQBCLP-TZMCWYRMSA-N 0 1 274.320 0.190 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2scnc2C)C1 ZINC001076770859 815366982 /nfs/dbraw/zinc/36/69/82/815366982.db2.gz MDYMHXBVSQYJCK-GHMZBOCLSA-N 0 1 281.381 0.803 20 30 CCEDMN C=C(C)CCC(=O)NCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001076794800 815378170 /nfs/dbraw/zinc/37/81/70/815378170.db2.gz SRVAOYSVKGUCOB-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C=C(C)CCC(=O)NCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001076794800 815378176 /nfs/dbraw/zinc/37/81/76/815378176.db2.gz SRVAOYSVKGUCOB-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C=C(C)CCC(=O)NCC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001076801823 815378465 /nfs/dbraw/zinc/37/84/65/815378465.db2.gz BYGNEWJXDSUDCJ-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN Cn1cncc1CN1CC[C@@H](CNC(=O)C#CC2CC2)C1 ZINC001267292975 811109415 /nfs/dbraw/zinc/10/94/15/811109415.db2.gz JPTWAEVOJXNMNR-AWEZNQCLSA-N 0 1 286.379 0.772 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)CCCCc2cn[nH]n2)C1 ZINC001267298093 811114922 /nfs/dbraw/zinc/11/49/22/811114922.db2.gz JGTCOPPGMXHHBH-LBPRGKRZSA-N 0 1 290.371 0.479 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cnc2ccc(C#N)cc2n1 ZINC001167671755 811116433 /nfs/dbraw/zinc/11/64/33/811116433.db2.gz AYZXPZWDWVCCLL-UHFFFAOYSA-N 0 1 291.318 0.934 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@@H](C)CNc2ccnc(C#N)n2)n[nH]1 ZINC001104487496 811134014 /nfs/dbraw/zinc/13/40/14/811134014.db2.gz VDLAIFSUSIXLSB-JTQLQIEISA-N 0 1 299.338 0.374 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)c2cnn(CCF)c2)C1 ZINC001023455951 811146114 /nfs/dbraw/zinc/14/61/14/811146114.db2.gz VBYHZPBWJULOLP-CYBMUJFWSA-N 0 1 292.358 0.928 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cncc(CC#N)c1 ZINC001167674847 811204780 /nfs/dbraw/zinc/20/47/80/811204780.db2.gz XSSOBWIURVQAQB-UHFFFAOYSA-N 0 1 254.297 0.580 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001267387406 811269367 /nfs/dbraw/zinc/26/93/67/811269367.db2.gz CXLLFJUCGCZVLB-LLVKDONJSA-N 0 1 287.791 0.655 20 30 CCEDMN Cc1nnc(CNC[C@@H]2CCCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC001023895552 811279843 /nfs/dbraw/zinc/27/98/43/811279843.db2.gz PQKLUVWXSFMBCC-JQWIXIFHSA-N 0 1 291.355 0.866 20 30 CCEDMN C=CCOCC(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@@H](C)O ZINC001267397097 811284598 /nfs/dbraw/zinc/28/45/98/811284598.db2.gz LVQOGQFXNVXBFF-UPJWGTAASA-N 0 1 268.357 0.245 20 30 CCEDMN N#Cc1ccc(C(=O)NCCNCc2ccc(F)cn2)[nH]1 ZINC001125776694 811320718 /nfs/dbraw/zinc/32/07/18/811320718.db2.gz VDYOGIGIZXGZCV-UHFFFAOYSA-N 0 1 287.298 0.940 20 30 CCEDMN N#Cc1ccc(C(=O)NCCNCc2ccns2)[nH]1 ZINC001125782202 811333385 /nfs/dbraw/zinc/33/33/85/811333385.db2.gz ASHJEGATVNDLBB-UHFFFAOYSA-N 0 1 275.337 0.862 20 30 CCEDMN CC(C)N(CC#N)CCCNC(=O)c1cnn[nH]1 ZINC001267503828 811382820 /nfs/dbraw/zinc/38/28/20/811382820.db2.gz GOAUEXDNWJYHHS-UHFFFAOYSA-N 0 1 250.306 0.159 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001038311340 811400111 /nfs/dbraw/zinc/40/01/11/811400111.db2.gz OUMFKYUDESAKKF-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2COC(=O)N2)C1 ZINC001267539133 811419019 /nfs/dbraw/zinc/41/90/19/811419019.db2.gz DXGLLKFEKBPZFJ-NEPJUHHUSA-N 0 1 281.356 0.594 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)Cn2ncnn2)C1 ZINC001267541693 811424076 /nfs/dbraw/zinc/42/40/76/811424076.db2.gz GNIWIOHKDSRHDU-LBPRGKRZSA-N 0 1 278.360 0.172 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)C2(F)CCOCC2)C1 ZINC001077106968 815446849 /nfs/dbraw/zinc/44/68/49/815446849.db2.gz KFLLHUDIIOXSAK-VXGBXAGGSA-N 0 1 286.347 0.243 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@]23C[C@H]2COC3)CC1 ZINC001267572351 811463170 /nfs/dbraw/zinc/46/31/70/811463170.db2.gz JNQIIKGFKQFAQY-HOCLYGCPSA-N 0 1 293.411 0.285 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@H](CN(C)CC(N)=O)C1 ZINC001267580827 811475572 /nfs/dbraw/zinc/47/55/72/811475572.db2.gz DUFZYZHWUWEYCE-CYBMUJFWSA-N 0 1 279.384 0.446 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@H](CN(C)CC(=O)NC)C1 ZINC001267587665 811488846 /nfs/dbraw/zinc/48/88/46/811488846.db2.gz PXQZDFJPEDNTBM-CQSZACIVSA-N 0 1 293.411 0.706 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)Cc3ccc[nH]3)[C@H]2C1 ZINC001083280947 811549486 /nfs/dbraw/zinc/54/94/86/811549486.db2.gz NRDQWSYNXRRKEC-LSDHHAIUSA-N 0 1 287.363 0.492 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCC23CC3)C1 ZINC001077225464 815464188 /nfs/dbraw/zinc/46/41/88/815464188.db2.gz YKHMOXPADMXTOL-BFHYXJOUSA-N 0 1 276.380 0.751 20 30 CCEDMN CCOCCN1CC(CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001267679495 811645062 /nfs/dbraw/zinc/64/50/62/811645062.db2.gz OUXQIQQHDSUMPJ-UHFFFAOYSA-N 0 1 276.340 0.584 20 30 CCEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)C2(C(N)=O)CC2)C1 ZINC001267682192 811648496 /nfs/dbraw/zinc/64/84/96/811648496.db2.gz DQFOJFQZFGNBPP-LLVKDONJSA-N 0 1 299.802 0.785 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H](F)C[NH+](CC)CC)C1 ZINC001267684300 811649655 /nfs/dbraw/zinc/64/96/55/811649655.db2.gz YKNHMUGBBXZDSG-CYBMUJFWSA-N 0 1 271.380 0.900 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCc2nnc(C)s2)C1 ZINC001267726971 811695273 /nfs/dbraw/zinc/69/52/73/811695273.db2.gz ZMVMMMIAMAKYEU-NSHDSACASA-N 0 1 296.396 0.740 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CCN(CCOCC(C)C)C1 ZINC001267741691 811725641 /nfs/dbraw/zinc/72/56/41/811725641.db2.gz JBLHFQXBETVGKG-HUUCEWRRSA-N 0 1 296.411 0.888 20 30 CCEDMN CN(CCN(C)c1ccnc(C#N)n1)C(=O)c1ccn[nH]1 ZINC001105338871 812003245 /nfs/dbraw/zinc/00/32/45/812003245.db2.gz HELNFWULNVNFMT-UHFFFAOYSA-N 0 1 285.311 0.280 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COc1cccnc1 ZINC001114979957 812031039 /nfs/dbraw/zinc/03/10/39/812031039.db2.gz HXQBCYYOVJZYCU-FOLVSLTJSA-N 0 1 285.347 0.530 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001027917110 812140696 /nfs/dbraw/zinc/14/06/96/812140696.db2.gz APJWKEOJRNTYRD-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001027917110 812140701 /nfs/dbraw/zinc/14/07/01/812140701.db2.gz APJWKEOJRNTYRD-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001027918973 812140789 /nfs/dbraw/zinc/14/07/89/812140789.db2.gz RHELVBGKZADHDW-JTQLQIEISA-N 0 1 286.339 0.733 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCc2nccn21 ZINC001027931757 812148919 /nfs/dbraw/zinc/14/89/19/812148919.db2.gz KCWAMDKJWHAUKG-KBPBESRZSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)[C@H](C)CNCc1ccn(C)n1 ZINC001268064571 812177088 /nfs/dbraw/zinc/17/70/88/812177088.db2.gz VENWDPCRPDBTRA-OLZOCXBDSA-N 0 1 292.383 0.395 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1COCCN1CC ZINC001027982417 812193364 /nfs/dbraw/zinc/19/33/64/812193364.db2.gz VYRPMZJLLBRAGK-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001027995249 812204788 /nfs/dbraw/zinc/20/47/88/812204788.db2.gz JOBSNAQZTNNYAX-JTQLQIEISA-N 0 1 273.340 0.616 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccnc(OC)n1 ZINC001028019738 812219292 /nfs/dbraw/zinc/21/92/92/812219292.db2.gz DHQQNXVWIAPGLX-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001028116041 812289803 /nfs/dbraw/zinc/28/98/03/812289803.db2.gz AITIRPONPJIXLA-LLVKDONJSA-N 0 1 276.340 0.343 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001028209418 812371909 /nfs/dbraw/zinc/37/19/09/812371909.db2.gz KGXWMDDQBMRPSZ-SECBINFHSA-N 0 1 269.736 0.751 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC001028209418 812371919 /nfs/dbraw/zinc/37/19/19/812371919.db2.gz KGXWMDDQBMRPSZ-SECBINFHSA-N 0 1 269.736 0.751 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001028209790 812373261 /nfs/dbraw/zinc/37/32/61/812373261.db2.gz OTFKYUDZDWGJRD-GFCCVEGCSA-N 0 1 288.351 0.091 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001028209790 812373267 /nfs/dbraw/zinc/37/32/67/812373267.db2.gz OTFKYUDZDWGJRD-GFCCVEGCSA-N 0 1 288.351 0.091 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CC[C@H]2CN(CC#N)C[C@H]21 ZINC001075613605 812403454 /nfs/dbraw/zinc/40/34/54/812403454.db2.gz IRCGJCJUIZVYJQ-RWMBFGLXSA-N 0 1 262.357 0.137 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)C1(NC(C)=O)CCCCC1 ZINC001268241027 812405923 /nfs/dbraw/zinc/40/59/23/812405923.db2.gz UEWWZLKXNPMEHF-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ccnc2c1ncn2C ZINC001268249472 812417883 /nfs/dbraw/zinc/41/78/83/812417883.db2.gz UQNVIZNUSQZYDK-UHFFFAOYSA-N 0 1 299.378 0.995 20 30 CCEDMN CC#CC[NH+](C)CCN(C)C(=O)C[N@H+](C)C(C)C ZINC001268259659 812427598 /nfs/dbraw/zinc/42/75/98/812427598.db2.gz OMUHOSHRXZTJHK-UHFFFAOYSA-N 0 1 253.390 0.740 20 30 CCEDMN C#CCCN1CC(NC(=O)C(C)(C)CN2CCOCC2)C1 ZINC001268333490 812497507 /nfs/dbraw/zinc/49/75/07/812497507.db2.gz RJNIIMQVGJITAB-UHFFFAOYSA-N 0 1 293.411 0.169 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H](C)CCNCc1cnon1 ZINC001268357811 812517509 /nfs/dbraw/zinc/51/75/09/812517509.db2.gz JEZUJNMAVLTESE-GXFFZTMASA-N 0 1 282.344 0.381 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cnn(CCOC)c1 ZINC001028291480 812589275 /nfs/dbraw/zinc/58/92/75/812589275.db2.gz GDOLYNDXUMRFLM-CQSZACIVSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(=O)[nH]c1 ZINC001028297053 812598796 /nfs/dbraw/zinc/59/87/96/812598796.db2.gz GRQQTESGXDAOSE-LBPRGKRZSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc2n[nH]nc2c1 ZINC001028310867 812609083 /nfs/dbraw/zinc/60/90/83/812609083.db2.gz AYWCYYWCORVALC-LBPRGKRZSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001028327684 812620292 /nfs/dbraw/zinc/62/02/92/812620292.db2.gz AYIPHSWGUXBYOK-JSGCOSHPSA-N 0 1 286.379 0.728 20 30 CCEDMN CCN(CCNCc1ccc(C#N)cc1F)C(=O)C(N)=O ZINC001268476191 812634218 /nfs/dbraw/zinc/63/42/18/812634218.db2.gz QAMHEQGHSGLIKH-UHFFFAOYSA-N 0 1 292.314 0.121 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2cnc(C)[nH]2)C1 ZINC001028451585 812717130 /nfs/dbraw/zinc/71/71/30/812717130.db2.gz VSPGGTGHMYURGA-GFCCVEGCSA-N 0 1 260.341 0.793 20 30 CCEDMN C/C(=C/C(=O)NC/C=C\CNCC(=O)NCC#N)C1CC1 ZINC001268515996 812759022 /nfs/dbraw/zinc/75/90/22/812759022.db2.gz HZSDTTOQCKLSNP-PXLUKKALSA-N 0 1 290.367 0.244 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)[C@H]1CCCCO1 ZINC001268529111 812771303 /nfs/dbraw/zinc/77/13/03/812771303.db2.gz PJRZXEWNNZIAKE-MUBLQREKSA-N 0 1 295.383 0.120 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1c(C)noc1CC ZINC001127023187 815559596 /nfs/dbraw/zinc/55/95/96/815559596.db2.gz DDYCOJKNAGOBMO-UHFFFAOYSA-N 0 1 293.367 0.791 20 30 CCEDMN CC1(C)CC[C@H]1C(=O)NC/C=C\CNCC(=O)NCC#N ZINC001268581346 812867246 /nfs/dbraw/zinc/86/72/46/812867246.db2.gz XIEZAHUVSJQXEP-MVZIDQBPSA-N 0 1 292.383 0.324 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(C)no2)[C@@H](O)C1 ZINC001083336278 812884611 /nfs/dbraw/zinc/88/46/11/812884611.db2.gz SHGPYLYZEUDYJI-MNOVXSKESA-N 0 1 265.313 0.334 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)C[C@@H]1CCCO1 ZINC001268722511 813038735 /nfs/dbraw/zinc/03/87/35/813038735.db2.gz UNUVNXPNQPNPAL-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@](C)(O)C2CC2)[C@H](OC)C1 ZINC001212137131 815571668 /nfs/dbraw/zinc/57/16/68/815571668.db2.gz WLWRUWPQKJSGBA-IIAWOOMASA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CN(C)Cc1n[nH]c(C)n1 ZINC001268728058 813046672 /nfs/dbraw/zinc/04/66/72/813046672.db2.gz ADNFVQBNRKAADE-NSHDSACASA-N 0 1 277.372 0.853 20 30 CCEDMN C[C@H](CN(C)CC#N)NC(=O)c1[nH]nc2c1CCCC2 ZINC001268736815 813051084 /nfs/dbraw/zinc/05/10/84/813051084.db2.gz WAQHYHGKMZLMNY-SNVBAGLBSA-N 0 1 275.356 0.862 20 30 CCEDMN C#CC(=O)N1CCc2[nH]nc(C(=O)NC3(C#N)CCC3)c2C1 ZINC001268855663 813116503 /nfs/dbraw/zinc/11/65/03/813116503.db2.gz WFHXZWWIFZTCNR-UHFFFAOYSA-N 0 1 297.318 0.104 20 30 CCEDMN C=CC(=O)N1CCc2n[nH]c(C(=O)N3CC(CC#N)C3)c2C1 ZINC001268862704 813121119 /nfs/dbraw/zinc/12/11/19/813121119.db2.gz NHWOZDCYGOYIBJ-UHFFFAOYSA-N 0 1 299.334 0.466 20 30 CCEDMN C=CCN1CC(CNC(=O)Cn2nnc3c2CCCC3)C1 ZINC001268879288 813130425 /nfs/dbraw/zinc/13/04/25/813130425.db2.gz PGGYPIIAFGBHLN-UHFFFAOYSA-N 0 1 289.383 0.391 20 30 CCEDMN CC(C)(C#N)C(=O)N1Cc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001268933959 813146987 /nfs/dbraw/zinc/14/69/87/813146987.db2.gz RKNITPOZCSDCPC-UHFFFAOYSA-N 0 1 299.334 0.814 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](O)COC ZINC001268960307 813161274 /nfs/dbraw/zinc/16/12/74/813161274.db2.gz LZPIRHNVDBHFFO-QPSCCSFWSA-N 0 1 296.411 0.881 20 30 CCEDMN C=C1CC(C)(C(=O)N2C[C@@H]3C[C@H]2CN3C[C@H](O)COC)C1 ZINC001268965088 813161832 /nfs/dbraw/zinc/16/18/32/813161832.db2.gz JIBCUNHYLGICAP-IHRRRGAJSA-N 0 1 294.395 0.635 20 30 CCEDMN CC#CCCCC(=O)N[C@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001269056741 813195611 /nfs/dbraw/zinc/19/56/11/813195611.db2.gz JNEXZSJGLSRVDE-ZDUSSCGKSA-N 0 1 289.383 0.997 20 30 CCEDMN C=CCNC(=O)[C@@H]1CC12CCN(C(=O)c1ccn[nH]1)CC2 ZINC001269131399 813230852 /nfs/dbraw/zinc/23/08/52/813230852.db2.gz AGHSHXRKGKYKKM-NSHDSACASA-N 0 1 288.351 0.954 20 30 CCEDMN C=CCCCN1CC[C@@H](NC(=O)CCS(C)(=O)=O)C1 ZINC001269143109 813237829 /nfs/dbraw/zinc/23/78/29/813237829.db2.gz ZRDDWKYBZVIKRC-GFCCVEGCSA-N 0 1 288.413 0.578 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CNC(=O)Cc1ncccc1OC ZINC001269278690 813304762 /nfs/dbraw/zinc/30/47/62/813304762.db2.gz NSBIEPZOSFYESP-ZDUSSCGKSA-N 0 1 287.363 0.847 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)Cc1ncccc1OC ZINC001269278690 813304770 /nfs/dbraw/zinc/30/47/70/813304770.db2.gz NSBIEPZOSFYESP-ZDUSSCGKSA-N 0 1 287.363 0.847 20 30 CCEDMN CCCCCCCN1CC[C@H]1CNC(=O)C(N)=O ZINC001269286116 813307495 /nfs/dbraw/zinc/30/74/95/813307495.db2.gz LQSCQAULAWFSMJ-NSHDSACASA-N 0 1 255.362 0.633 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CCN1CCCC1=O ZINC001269343793 813333304 /nfs/dbraw/zinc/33/33/04/813333304.db2.gz OFDWRWWOHNNTRS-CYBMUJFWSA-N 0 1 277.368 0.213 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2C(=O)c2[nH]nnc2C)C1=O ZINC001269351771 813334795 /nfs/dbraw/zinc/33/47/95/813334795.db2.gz RZZANLUVAXRNJK-AWEZNQCLSA-N 0 1 289.339 0.506 20 30 CCEDMN CC[C@H](CNC(=O)CSCC#N)NCc1ccn(C)n1 ZINC001269372629 813345833 /nfs/dbraw/zinc/34/58/33/813345833.db2.gz ZMWXYUQIBMYJNI-LLVKDONJSA-N 0 1 295.412 0.661 20 30 CCEDMN N#CCNC1(CNC(=O)c2cccc(-c3nnc[nH]3)c2)CC1 ZINC001269465365 813380323 /nfs/dbraw/zinc/38/03/23/813380323.db2.gz XDJNPGLCVAVRHR-UHFFFAOYSA-N 0 1 296.334 0.847 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc(C#N)[nH]3)[C@@H]2C1 ZINC001075699082 813461970 /nfs/dbraw/zinc/46/19/70/813461970.db2.gz SDGFWEKOVOWSPA-SMDDNHRTSA-N 0 1 268.320 0.666 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2C(=O)[C@H](C)N(C)C)C1=O ZINC001269797147 813514267 /nfs/dbraw/zinc/51/42/67/813514267.db2.gz QOWWTVSKCMQBDJ-WFASDCNBSA-N 0 1 279.384 0.716 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@](C)(O)C3CC3)[C@@H]2C1 ZINC001075703652 813514507 /nfs/dbraw/zinc/51/45/07/813514507.db2.gz KGNIRAFAJQBJTG-BJJXKVORSA-N 0 1 276.380 0.703 20 30 CCEDMN C=CCN1CC(N(C)C(=O)[C@@H](C)CN2CCOCC2)C1 ZINC001269886781 813546671 /nfs/dbraw/zinc/54/66/71/813546671.db2.gz MUBAJLCKGKWQRD-ZDUSSCGKSA-N 0 1 281.400 0.283 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cnnn3C)[C@@H]2C1 ZINC001075747990 813706744 /nfs/dbraw/zinc/70/67/44/813706744.db2.gz KJCDUXOWIVFXHU-CMPLNLGQSA-N 0 1 261.329 0.147 20 30 CCEDMN C#CCN(C(=O)[C@@H]1C[C@@H]1OCC)C1CCN(CC#C)CC1 ZINC001270290652 813710699 /nfs/dbraw/zinc/71/06/99/813710699.db2.gz NWJZILVDUPBUQM-CVEARBPZSA-N 0 1 288.391 0.971 20 30 CCEDMN CC(C)(O)[C@H]1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000721788019 813735714 /nfs/dbraw/zinc/73/57/14/813735714.db2.gz JTKOXTUATZQLML-WFASDCNBSA-N 0 1 279.384 0.888 20 30 CCEDMN Cc1nnc(CNC[C@@H](C)CNC(=O)C#CC2CC2)[nH]1 ZINC001270401980 813751058 /nfs/dbraw/zinc/75/10/58/813751058.db2.gz MKJCTAMKKKBXBX-SNVBAGLBSA-N 0 1 275.356 0.368 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](O)C(C)C)[C@@H]2C1 ZINC001075758142 813808459 /nfs/dbraw/zinc/80/84/59/813808459.db2.gz GRFAJQPTAAHWOZ-BFHYXJOUSA-N 0 1 264.369 0.559 20 30 CCEDMN COCCOCCN1CC[C@](C)(NC(=O)C#CC2CC2)C1 ZINC001270584892 813823806 /nfs/dbraw/zinc/82/38/06/813823806.db2.gz WOVXPWHKVHGMKZ-INIZCTEOSA-N 0 1 294.395 0.643 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@@H](C)CC(N)=O)C1 ZINC001270666133 813881411 /nfs/dbraw/zinc/88/14/11/813881411.db2.gz RVSUZJVGMIJRBH-STQMWFEESA-N 0 1 297.399 0.281 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001059210044 813942024 /nfs/dbraw/zinc/94/20/24/813942024.db2.gz ZGPYQYQMOOXFFH-GHMZBOCLSA-N 0 1 289.339 0.538 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cn(C)nc1COC ZINC001038432615 813974040 /nfs/dbraw/zinc/97/40/40/813974040.db2.gz QHERTZOOARNRES-LBPRGKRZSA-N 0 1 292.383 0.947 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nccnc2N)[C@H]1CC ZINC001087523816 814058845 /nfs/dbraw/zinc/05/88/45/814058845.db2.gz NQPOKBVREPSXMI-NWDGAFQWSA-N 0 1 287.367 0.665 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001270974513 814104524 /nfs/dbraw/zinc/10/45/24/814104524.db2.gz QLWGIYADTBAOJV-XJKSGUPXSA-N 0 1 291.395 0.364 20 30 CCEDMN N#CCN1CC[C@H](Oc2n[nH]c(=O)cc2Br)C1 ZINC001226848803 814181266 /nfs/dbraw/zinc/18/12/66/814181266.db2.gz HMFQVFUHVYPTHB-ZETCQYMHSA-N 0 1 299.128 0.921 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)[C@H]1CC ZINC001087627981 814177959 /nfs/dbraw/zinc/17/79/59/814177959.db2.gz VLFGMZCDWJLOON-MELADBBJSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(=O)n(C)c2)[C@H]1CC ZINC001087636776 814180012 /nfs/dbraw/zinc/18/00/12/814180012.db2.gz HRKOJAHSXLFCHY-UONOGXRCSA-N 0 1 287.363 0.601 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@H](C)CCC)C1 ZINC001271133258 814192296 /nfs/dbraw/zinc/19/22/96/814192296.db2.gz XOGMQAIQDWURTE-UKRRQHHQSA-N 0 1 266.385 0.999 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@]2(C)CCNC2=O)[C@H]1CC ZINC001087723116 814196901 /nfs/dbraw/zinc/19/69/01/814196901.db2.gz CKKCSIXLZZTEKH-ZENOOKHLSA-N 0 1 291.395 0.505 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCCO)c1 ZINC001029466534 814279694 /nfs/dbraw/zinc/27/96/94/814279694.db2.gz DQTHUVNTJHAPJM-IYBDPMFKSA-N 0 1 299.374 0.734 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CCN(CC(N)=O)C[C@H]2C1 ZINC001088066831 814326748 /nfs/dbraw/zinc/32/67/48/814326748.db2.gz AYOYBEWEJSNQJJ-NEPJUHHUSA-N 0 1 279.384 0.464 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H](C)c2cccnc2)C1 ZINC001271207340 814334209 /nfs/dbraw/zinc/33/42/09/814334209.db2.gz BXQXPQCJKWNWJS-BBRMVZONSA-N 0 1 287.363 0.371 20 30 CCEDMN C[C@@H](C#N)C(=O)N1Cc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001271221412 814340167 /nfs/dbraw/zinc/34/01/67/814340167.db2.gz NGVGYWVPZBSUGO-ZETCQYMHSA-N 0 1 261.285 0.113 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001029509697 814344319 /nfs/dbraw/zinc/34/43/19/814344319.db2.gz DCYFEDRKPNPYGJ-BNOWGMLFSA-N 0 1 287.367 0.787 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[C@H]2C[C@@H](C1)N(CC(N)=O)C2 ZINC001271414850 814409024 /nfs/dbraw/zinc/40/90/24/814409024.db2.gz MRMWSKFETWVATK-XQQFMLRXSA-N 0 1 277.368 0.244 20 30 CCEDMN C=CC[N@H+](CCCS(=O)(=O)C(C)C)CC(=O)[O-] ZINC000696816976 814415612 /nfs/dbraw/zinc/41/56/12/814415612.db2.gz XZULSADYKCSDLP-UHFFFAOYSA-N 0 1 263.359 0.772 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnn(C)c1CC ZINC001038459501 814441939 /nfs/dbraw/zinc/44/19/39/814441939.db2.gz OIKMXJSEBHBVHC-GFCCVEGCSA-N 0 1 274.368 0.810 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(Cc2c[nH]nn2)C1 ZINC001203212086 814442681 /nfs/dbraw/zinc/44/26/81/814442681.db2.gz FCIJLELQFXGMGP-JTQLQIEISA-N 0 1 265.317 0.681 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CN3CCCC3)C[C@@H]2C1 ZINC001088201155 814445377 /nfs/dbraw/zinc/44/53/77/814445377.db2.gz NYCALHFUDUXAFE-KGLIPLIRSA-N 0 1 276.384 0.386 20 30 CCEDMN C#C[C@@H](Oc1[nH]c(=O)nc2cc[nH]c21)C(=O)OCC ZINC001227810081 814533357 /nfs/dbraw/zinc/53/33/57/814533357.db2.gz SMNKHXPFCURAGE-MRVPVSSYSA-N 0 1 261.237 0.607 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CN(C)Cc2ccn(C)n2)C1 ZINC001029677093 814534582 /nfs/dbraw/zinc/53/45/82/814534582.db2.gz IVEMXZCLACPMOX-CHWSQXEVSA-N 0 1 289.383 0.860 20 30 CCEDMN Cc1nc(CN(C)C[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001029676424 814534927 /nfs/dbraw/zinc/53/49/27/814534927.db2.gz AQCQVUNAKODUDS-JQWIXIFHSA-N 0 1 290.371 0.553 20 30 CCEDMN Cc1nc(CN(C)C[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001029676426 814535239 /nfs/dbraw/zinc/53/52/39/814535239.db2.gz AQCQVUNAKODUDS-ZYHUDNBSSA-N 0 1 290.371 0.553 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)c2cocn2)C1 ZINC001271948956 814642972 /nfs/dbraw/zinc/64/29/72/814642972.db2.gz FMDJJNTUBNKKNT-CQSZACIVSA-N 0 1 279.340 0.807 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@]1(CO)CCCN(CC(=C)C)C1 ZINC001271956879 814649138 /nfs/dbraw/zinc/64/91/38/814649138.db2.gz GIYNUNOJIQWGCC-GDBMZVCRSA-N 0 1 294.395 0.544 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)COC1CCCC1 ZINC001271970142 814657392 /nfs/dbraw/zinc/65/73/92/814657392.db2.gz UVWSQKKZMLRBEG-UHFFFAOYSA-N 0 1 252.358 0.969 20 30 CCEDMN N#CCN[C@H]1CCCCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001088385808 814666016 /nfs/dbraw/zinc/66/60/16/814666016.db2.gz UJPGWDDFENHWSF-ZDUSSCGKSA-N 0 1 290.371 0.622 20 30 CCEDMN N#CCN[C@H]1CCCCCN(C(=O)CCc2cnn[nH]2)C1 ZINC001088385808 814666019 /nfs/dbraw/zinc/66/60/19/814666019.db2.gz UJPGWDDFENHWSF-ZDUSSCGKSA-N 0 1 290.371 0.622 20 30 CCEDMN C=CC[NH+]1CCC[C@@](CO)(NC(=O)C[N@@H+](C)CCC)C1 ZINC001271988635 814666305 /nfs/dbraw/zinc/66/63/05/814666305.db2.gz WKHUFIITJPUXAA-OAHLLOKOSA-N 0 1 283.416 0.457 20 30 CCEDMN C#CCC[N@H+](C)CCN(C)C(=O)c1cnnc(C)c1 ZINC001271997679 814672559 /nfs/dbraw/zinc/67/25/59/814672559.db2.gz CSBMMYFNZZYBDK-UHFFFAOYSA-N 0 1 260.341 0.812 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cn3cc(C4CC4)nn3)[C@@H]2C1 ZINC001075878862 814698128 /nfs/dbraw/zinc/69/81/28/814698128.db2.gz OEVLAUSNDPXQMR-DZGCQCFKSA-N 0 1 299.378 0.321 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCCN2C)CCN1CC#N ZINC001088494433 814743935 /nfs/dbraw/zinc/74/39/35/814743935.db2.gz ZUYLTNDWLGQHNK-WOPDTQHZSA-N 0 1 250.346 0.183 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCNc1ncnc2[nH]cnc21 ZINC001101544153 814865470 /nfs/dbraw/zinc/86/54/70/814865470.db2.gz NVTYYCYMWBOHAN-MRVPVSSYSA-N 0 1 273.300 0.334 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001059844819 814895453 /nfs/dbraw/zinc/89/54/53/814895453.db2.gz CGUDXQBGBJYACT-TXEJJXNPSA-N 0 1 296.334 0.978 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)Cc3cnc[nH]3)C2)nn1 ZINC001059848109 814895969 /nfs/dbraw/zinc/89/59/69/814895969.db2.gz YBCWXASLPASDQJ-PHIMTYICSA-N 0 1 297.322 0.373 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cn(C(C)C)cn2)C1 ZINC001077447951 815660329 /nfs/dbraw/zinc/66/03/29/815660329.db2.gz VLQWIRCAORUFQU-TZMCWYRMSA-N 0 1 290.367 0.262 20 30 CCEDMN Cc1nc([C@H](C)N2CC(NC(=O)c3ccc(C#N)[nH]3)C2)n[nH]1 ZINC001030224354 815909057 /nfs/dbraw/zinc/90/90/57/815909057.db2.gz MMZLZUOFXACYHW-QMMMGPOBSA-N 0 1 299.338 0.488 20 30 CCEDMN N#CCN1CC(NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001030314202 815971302 /nfs/dbraw/zinc/97/13/02/815971302.db2.gz RDLPCYQMUJGYMS-SNVBAGLBSA-N 0 1 259.313 0.154 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001030492961 816064354 /nfs/dbraw/zinc/06/43/54/816064354.db2.gz GPOJBYSIOMVTCM-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccn3c(=O)[nH]nc23)[C@H]1C ZINC001088977333 816200492 /nfs/dbraw/zinc/20/04/92/816200492.db2.gz TZLYPYBOQJPAKS-PWSUYJOCSA-N 0 1 299.334 0.261 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001212194115 816211551 /nfs/dbraw/zinc/21/15/51/816211551.db2.gz OQYBBSQAZDLXBZ-NQBHXWOUSA-N 0 1 256.346 0.149 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@](C)(O)C=C)[C@H](OC)C1 ZINC001212193850 816211633 /nfs/dbraw/zinc/21/16/33/816211633.db2.gz KTYWTHDTFFDUQU-UMVBOHGHSA-N 0 1 280.368 0.152 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001212194118 816212245 /nfs/dbraw/zinc/21/22/45/816212245.db2.gz OQYBBSQAZDLXBZ-WZRBSPASSA-N 0 1 256.346 0.149 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCc3nncn3C2)[C@H]1C ZINC001089058097 816228899 /nfs/dbraw/zinc/22/88/99/816228899.db2.gz ZTMRJHIXZNKDCF-AGIUHOORSA-N 0 1 287.367 0.053 20 30 CCEDMN COCC#CCN1CCC2(CC1)CN(C(C)=O)C[C@H](C)O2 ZINC001111532348 816248077 /nfs/dbraw/zinc/24/80/77/816248077.db2.gz WCKFUETXFYDMLS-AWEZNQCLSA-N 0 1 294.395 0.738 20 30 CCEDMN CC#CCN1CC(NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001030780034 816284853 /nfs/dbraw/zinc/28/48/53/816284853.db2.gz OXZQZKBNCGJNBF-UHFFFAOYSA-N 0 1 295.346 0.909 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCOC)C[C@H]2O)cc1 ZINC001077493646 816289004 /nfs/dbraw/zinc/28/90/04/816289004.db2.gz DJZNEORFJFRFPJ-HUUCEWRRSA-N 0 1 288.347 0.089 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@](C)(NC(=O)C3CC3)C2)C1=O ZINC001046092420 816345559 /nfs/dbraw/zinc/34/55/59/816345559.db2.gz IUSMLXOJZSMOGZ-XJKSGUPXSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCN1C(=O)COCC12CN(C[C@@H](C)CCC)C2 ZINC001272433601 816424584 /nfs/dbraw/zinc/42/45/84/816424584.db2.gz WXBGEFKVTAQOMM-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H]2CC=CCC2)[C@@H](O)C1 ZINC001083702323 816479673 /nfs/dbraw/zinc/47/96/73/816479673.db2.gz CLTBESYURPOLOB-ZNMIVQPWSA-N 0 1 276.380 0.917 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccnn2CC(F)F)C1 ZINC001046315853 816500523 /nfs/dbraw/zinc/50/05/23/816500523.db2.gz ZXZGHKUZCNYUIL-AWEZNQCLSA-N 0 1 296.321 0.976 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(Cc1c[nH]nn1)CC2 ZINC001272569389 816590216 /nfs/dbraw/zinc/59/02/16/816590216.db2.gz LGFNNJYYUGEVGW-LBPRGKRZSA-N 0 1 273.340 0.156 20 30 CCEDMN C#CCN1C(=O)C[C@@]2(CCCN(Cc3cccn3C)C2)C1=O ZINC001272573062 816598750 /nfs/dbraw/zinc/59/87/50/816598750.db2.gz VZZUPFPGASXPRS-QGZVFWFLSA-N 0 1 299.374 0.999 20 30 CCEDMN CC1CCN(CC(=O)NC2CN(CC#N)C2)CC1 ZINC001031136926 816689837 /nfs/dbraw/zinc/68/98/37/816689837.db2.gz OSBPUFPFQUKSGK-UHFFFAOYSA-N 0 1 250.346 0.042 20 30 CCEDMN C[C@@H](CCNc1ccc(C#N)nn1)NC(=O)c1cnn[nH]1 ZINC001106638516 816828372 /nfs/dbraw/zinc/82/83/72/816828372.db2.gz PKYAKGKBOLSKQI-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN CC#CCN1CC(NC(=O)C(C)(C)c2cnc[nH]2)C1 ZINC001031262059 816912231 /nfs/dbraw/zinc/91/22/31/816912231.db2.gz OQOOUXVIKUZXLN-UHFFFAOYSA-N 0 1 260.341 0.511 20 30 CCEDMN CC#CCN1CC(NC(=O)c2cnccc2N(C)C)C1 ZINC001031267347 816915347 /nfs/dbraw/zinc/91/53/47/816915347.db2.gz CSPLDMFDIZAOCM-UHFFFAOYSA-N 0 1 272.352 0.585 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001077542986 816948552 /nfs/dbraw/zinc/94/85/52/816948552.db2.gz WLBFXECKFBJVDN-MBJXGIAVSA-N 0 1 264.369 0.770 20 30 CCEDMN C[C@@H](NC(=O)NC[C@H](C)C#N)[C@@H]1CN(C)CCN1C ZINC001123546642 816973549 /nfs/dbraw/zinc/97/35/49/816973549.db2.gz OQZMRPNWTLYHLJ-UTUOFQBUSA-N 0 1 267.377 0.080 20 30 CCEDMN CC#CCN1CC(NC(=O)Cc2[nH]nc3ccccc32)C1 ZINC001031432234 817049710 /nfs/dbraw/zinc/04/97/10/817049710.db2.gz MKZYRPQTXCKFKP-UHFFFAOYSA-N 0 1 282.347 0.929 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc[nH]c2C(C)C)C1 ZINC001077550020 817077841 /nfs/dbraw/zinc/07/78/41/817077841.db2.gz NLFFQPHDHRXSHZ-ZIAGYGMSSA-N 0 1 289.379 0.936 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnsn2)C1 ZINC001031560794 817138374 /nfs/dbraw/zinc/13/83/74/817138374.db2.gz RXWXKGYJGXOILG-UHFFFAOYSA-N 0 1 252.343 0.776 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2C[C@@H]2C(=O)OC)C1 ZINC001031580985 817162088 /nfs/dbraw/zinc/16/20/88/817162088.db2.gz YAQADJUOCGEBLY-RYUDHWBXSA-N 0 1 266.341 0.420 20 30 CCEDMN CCOCCCN1C[C@@H](NC(=O)C#CC(C)C)[C@H](OC)C1 ZINC001212240740 817166412 /nfs/dbraw/zinc/16/64/12/817166412.db2.gz CIIGVLQJIDOSEE-HUUCEWRRSA-N 0 1 296.411 0.888 20 30 CCEDMN CO[C@@H]1CN(CCC[C@@H](C)O)C[C@H]1NC(=O)C#CC(C)C ZINC001212241063 817170191 /nfs/dbraw/zinc/17/01/91/817170191.db2.gz IYYNAWUNBSYMBK-RBSFLKMASA-N 0 1 296.411 0.622 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnc(N(C)C)cn2)C1 ZINC001031670645 817233079 /nfs/dbraw/zinc/23/30/79/817233079.db2.gz PSIGKOAYYYHHFY-UHFFFAOYSA-N 0 1 289.383 0.780 20 30 CCEDMN CC1(C)CC[C@H](CNC(=O)c2cnn[nH]2)N(CC#N)C1 ZINC001089663842 817298220 /nfs/dbraw/zinc/29/82/20/817298220.db2.gz WMCXSECFTKTWKL-SNVBAGLBSA-N 0 1 276.344 0.549 20 30 CCEDMN COC(=O)NCC(=O)NCCNCC#Cc1ccccc1 ZINC001124187159 817330634 /nfs/dbraw/zinc/33/06/34/817330634.db2.gz ZPIFMWNPYQEBGY-UHFFFAOYSA-N 0 1 289.335 0.100 20 30 CCEDMN C=CCN1CC(CNC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001031749250 817332642 /nfs/dbraw/zinc/33/26/42/817332642.db2.gz BYPICYHLLLACFH-UHFFFAOYSA-N 0 1 250.302 0.271 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc3n(n2)CCCC3)C1 ZINC001031755178 817340552 /nfs/dbraw/zinc/34/05/52/817340552.db2.gz AJTPOMNRQTUMRK-UHFFFAOYSA-N 0 1 286.379 0.904 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2(C)CC2)[C@H](OC)C1 ZINC001212307182 817361553 /nfs/dbraw/zinc/36/15/53/817361553.db2.gz WMSCCFFPKNFXFV-CHWSQXEVSA-N 0 1 282.384 0.805 20 30 CCEDMN N#CCN1CC(CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001031808361 817384607 /nfs/dbraw/zinc/38/46/07/817384607.db2.gz CAZWVYPCLSSZSE-UHFFFAOYSA-N 0 1 259.313 0.084 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnc3c2CC(=O)N3)C1 ZINC001031813933 817389654 /nfs/dbraw/zinc/38/96/54/817389654.db2.gz JVMOECBAXXSSAW-UHFFFAOYSA-N 0 1 286.335 0.424 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001031823469 817396477 /nfs/dbraw/zinc/39/64/77/817396477.db2.gz GFFBTMQDRWRATB-AWEZNQCLSA-N 0 1 279.384 0.479 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001031907281 817454784 /nfs/dbraw/zinc/45/47/84/817454784.db2.gz CIHXVRJFZIMENC-GFCCVEGCSA-N 0 1 265.357 0.089 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2n[nH]cc2F)C1 ZINC001031954533 817491136 /nfs/dbraw/zinc/49/11/36/817491136.db2.gz DHPTZCCYPAXPSM-UHFFFAOYSA-N 0 1 252.293 0.787 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)c2ccco2)[C@@H](O)C1 ZINC001083773500 817526230 /nfs/dbraw/zinc/52/62/30/817526230.db2.gz QSUHPKSPZOSWHX-XQQFMLRXSA-N 0 1 276.336 0.568 20 30 CCEDMN C=CCCC(=O)NCCN[C@H](C)c1n[nH]c(C)n1 ZINC001124640933 817529083 /nfs/dbraw/zinc/52/90/83/817529083.db2.gz UQJSMIUAHIFOSR-SECBINFHSA-N 0 1 251.334 0.846 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(-n3ccnc3)nn2)C1 ZINC001032049750 817580152 /nfs/dbraw/zinc/58/01/52/817580152.db2.gz UYBIFLSOXWHLOU-UHFFFAOYSA-N 0 1 298.350 0.510 20 30 CCEDMN C=CCN1CC(CNC(=O)c2coc(COC)n2)C1 ZINC001032082161 817612262 /nfs/dbraw/zinc/61/22/62/817612262.db2.gz RNLHQIQGZOUULV-UHFFFAOYSA-N 0 1 265.313 0.669 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn(C)c2OC)C1 ZINC001032154899 817668790 /nfs/dbraw/zinc/66/87/90/817668790.db2.gz KIUMZYQESDDBFF-UHFFFAOYSA-N 0 1 264.329 0.276 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccc(=O)n(C)n2)C1 ZINC001032173108 817675993 /nfs/dbraw/zinc/67/59/93/817675993.db2.gz NXVJAKPEQXAXPR-UHFFFAOYSA-N 0 1 276.340 0.018 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCCN2C(=O)C(C)C)C1 ZINC001032195141 817690357 /nfs/dbraw/zinc/69/03/57/817690357.db2.gz KRMMHIBOBFTCLF-CQSZACIVSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC(CNC(=O)c2noc3c2COCC3)C1 ZINC001032197046 817693350 /nfs/dbraw/zinc/69/33/50/817693350.db2.gz DJAGEUXMGDSJNK-UHFFFAOYSA-N 0 1 277.324 0.595 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)c2occc2CN(C)C)C1 ZINC001077582780 817734032 /nfs/dbraw/zinc/73/40/32/817734032.db2.gz SMMBDTBPRWMZLR-CHWSQXEVSA-N 0 1 293.367 0.302 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1CCOCC1 ZINC001032245944 817749336 /nfs/dbraw/zinc/74/93/36/817749336.db2.gz BDMALPPTCGJOSN-KBPBESRZSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H](C)OC)CC2(CCOCC2)C1 ZINC001099566310 817869826 /nfs/dbraw/zinc/86/98/26/817869826.db2.gz OFDTWPYRSWXPQY-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN Cc1cc(NCC=CCNC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001107587162 817891055 /nfs/dbraw/zinc/89/10/55/817891055.db2.gz QHVXIRXOXRQVTJ-IHWYPQMZSA-N 0 1 297.322 0.200 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032337501 817901792 /nfs/dbraw/zinc/90/17/92/817901792.db2.gz CLEKGNUCFZKQSY-STQMWFEESA-N 0 1 268.320 0.808 20 30 CCEDMN C[C@H](CNc1ncccc1C#N)NC(=O)CCc1nc[nH]n1 ZINC001107671192 817929249 /nfs/dbraw/zinc/92/92/49/817929249.db2.gz LKNXITCNXROXFT-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2cn[nH]c2)C1 ZINC001107766939 817993306 /nfs/dbraw/zinc/99/33/06/817993306.db2.gz CVTDNFUVPUENEE-CQSZACIVSA-N 0 1 278.356 0.807 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H]2CCOC2)C1 ZINC001107793006 818010201 /nfs/dbraw/zinc/01/02/01/818010201.db2.gz NDBCVNJYUOUYFP-ZFWWWQNUSA-N 0 1 282.384 0.806 20 30 CCEDMN C#CC[NH2+][C@@H]1C[C@H](NC(=O)c2[n-]nnc2C)C12CCC2 ZINC001078695914 818034446 /nfs/dbraw/zinc/03/44/46/818034446.db2.gz SDQFDNZPMILYHT-MNOVXSKESA-N 0 1 273.340 0.377 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2nccnc2N)C1 ZINC001032965675 818394074 /nfs/dbraw/zinc/39/40/74/818394074.db2.gz PAQAIARDFKODLG-SNVBAGLBSA-N 0 1 261.329 0.391 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001032991622 818412089 /nfs/dbraw/zinc/41/20/89/818412089.db2.gz GYKSUXUZBKXKMJ-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCN1C[C@@]2(CC1=O)COCCN(Cc1cnc[nH]1)C2 ZINC001273024403 818460407 /nfs/dbraw/zinc/46/04/07/818460407.db2.gz SEAVPJNPDYBKPM-HNNXBMFYSA-N 0 1 290.367 0.647 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H]2CNC(=O)c2cnn[nH]2)nc1 ZINC001061482794 818524403 /nfs/dbraw/zinc/52/44/03/818524403.db2.gz BWEZCTZLRVDLPA-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN C=CCn1cccc1C(=O)N(C)[C@@H]1CC[N@@H+](CCO)C1 ZINC001033144746 818570042 /nfs/dbraw/zinc/57/00/42/818570042.db2.gz BHNJFPIVAGLGPR-CYBMUJFWSA-N 0 1 277.368 0.813 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCn3nccc32)C1 ZINC001033201856 818649333 /nfs/dbraw/zinc/64/93/33/818649333.db2.gz IANWLQAEMMXQLY-ZIAGYGMSSA-N 0 1 286.379 0.926 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C)nc2C)[C@@H](O)C1 ZINC001090122738 818665353 /nfs/dbraw/zinc/66/53/53/818665353.db2.gz JJPOPTBFZHDWHE-OLZOCXBDSA-N 0 1 278.356 0.080 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cc(C)nn2C)C1 ZINC001033268181 818684312 /nfs/dbraw/zinc/68/43/12/818684312.db2.gz QMXPXMUADDSGMO-CYBMUJFWSA-N 0 1 274.368 0.437 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cn(C)c(=O)cn2)C1 ZINC001033274722 818687253 /nfs/dbraw/zinc/68/72/53/818687253.db2.gz AFRLIXFCXFDRHV-NSHDSACASA-N 0 1 276.340 0.113 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cocn2)[C@H](O)C1 ZINC001090131361 818695362 /nfs/dbraw/zinc/69/53/62/818695362.db2.gz ZPBJMXKYMXZEDE-CMPLNLGQSA-N 0 1 265.313 0.416 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2csnn2)C1 ZINC001033312368 818701885 /nfs/dbraw/zinc/70/18/85/818701885.db2.gz OUQIVRMJQGVBOX-SNVBAGLBSA-N 0 1 264.354 0.708 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2csnn2)C1 ZINC001108103096 818702217 /nfs/dbraw/zinc/70/22/17/818702217.db2.gz IURZWCIGXAJPSX-CYBMUJFWSA-N 0 1 296.396 0.935 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2csnn2)C1 ZINC001108103097 818702410 /nfs/dbraw/zinc/70/24/10/818702410.db2.gz IURZWCIGXAJPSX-ZDUSSCGKSA-N 0 1 296.396 0.935 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2n[nH]nc2C)C1 ZINC001033317422 818706894 /nfs/dbraw/zinc/70/68/94/818706894.db2.gz DKCWTWYDHZERGY-LLVKDONJSA-N 0 1 263.345 0.836 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001033336146 818724170 /nfs/dbraw/zinc/72/41/70/818724170.db2.gz LUUICBSDOPOPKX-NEPJUHHUSA-N 0 1 265.357 0.326 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033343497 818728028 /nfs/dbraw/zinc/72/80/28/818728028.db2.gz MHBYTOCUGNHZFR-LBPRGKRZSA-N 0 1 288.351 0.660 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2cc(C(N)=O)co2)C1 ZINC001033411811 818761855 /nfs/dbraw/zinc/76/18/55/818761855.db2.gz CVXHAJCDVFQQIQ-GFCCVEGCSA-N 0 1 289.335 0.548 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001033425308 818772845 /nfs/dbraw/zinc/77/28/45/818772845.db2.gz IIAPOAGGJOXSAF-ZBFHGGJFSA-N 0 1 283.375 0.962 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001033425308 818772853 /nfs/dbraw/zinc/77/28/53/818772853.db2.gz IIAPOAGGJOXSAF-ZBFHGGJFSA-N 0 1 283.375 0.962 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033428918 818774466 /nfs/dbraw/zinc/77/44/66/818774466.db2.gz HVTHTERDWQYMLV-NSHDSACASA-N 0 1 288.351 0.283 20 30 CCEDMN CN1C[C@@H](C(=O)NCCNCC#Cc2ccccc2)CC1=O ZINC001128666051 818786849 /nfs/dbraw/zinc/78/68/49/818786849.db2.gz YOEOFHSDZAPELH-HNNXBMFYSA-N 0 1 299.374 0.222 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C(=O)N2CCC[C@H](C)C2)C1 ZINC001033478388 818792612 /nfs/dbraw/zinc/79/26/12/818792612.db2.gz BPHURJUWPYPKHC-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCn1cc(C(=O)N(C)[C@@H]2CCN(CCOC)C2)nn1 ZINC001033483960 818794010 /nfs/dbraw/zinc/79/40/10/818794010.db2.gz MJLCSCNTHJVWGZ-GFCCVEGCSA-N 0 1 293.371 0.257 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(=O)n(CC)n2)C1 ZINC001033509002 818799086 /nfs/dbraw/zinc/79/90/86/818799086.db2.gz FODCETNGHIAVQD-LBPRGKRZSA-N 0 1 290.367 0.596 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2n[nH]c(C)c2C)[C@@H](O)C1 ZINC001090138642 818809048 /nfs/dbraw/zinc/80/90/48/818809048.db2.gz XCXUCAMTVUGHAL-STQMWFEESA-N 0 1 292.383 0.768 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001033571792 818840216 /nfs/dbraw/zinc/84/02/16/818840216.db2.gz QTERVYMYKRSOTO-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2c(OC)cc[nH]c2=O)C1 ZINC001033589227 818841140 /nfs/dbraw/zinc/84/11/40/818841140.db2.gz PXEHQAWUVNZOOZ-LLVKDONJSA-N 0 1 289.335 0.575 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cncn2C)C1 ZINC001033594994 818843543 /nfs/dbraw/zinc/84/35/43/818843543.db2.gz PKGCSRWQNHVSGS-LBPRGKRZSA-N 0 1 260.341 0.129 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001033599643 818847677 /nfs/dbraw/zinc/84/76/77/818847677.db2.gz RIGZKGCICAUWLT-CABCVRRESA-N 0 1 291.395 0.553 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CN(CC)CCO2)C1 ZINC001033670398 818878276 /nfs/dbraw/zinc/87/82/76/818878276.db2.gz BCKSBTUBKQPVFP-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)c2cn(C)c(=O)n2C)C1 ZINC001033691958 818890125 /nfs/dbraw/zinc/89/01/25/818890125.db2.gz DRILWBRYMPXSNA-LLVKDONJSA-N 0 1 278.356 0.056 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cn(C)c(=O)n2C)C1 ZINC001033691958 818890129 /nfs/dbraw/zinc/89/01/29/818890129.db2.gz DRILWBRYMPXSNA-LLVKDONJSA-N 0 1 278.356 0.056 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cn2ccnc2)C1 ZINC001033704041 818892330 /nfs/dbraw/zinc/89/23/30/818892330.db2.gz NIOIIVSZHCKLAW-ZDUSSCGKSA-N 0 1 260.341 0.439 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001033739645 818909621 /nfs/dbraw/zinc/90/96/21/818909621.db2.gz NVEHPDOVIHOZFQ-NSHDSACASA-N 0 1 274.324 0.352 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC001033803154 818948748 /nfs/dbraw/zinc/94/87/48/818948748.db2.gz FFJGUFAPJGCOKR-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ncccc2O)C1 ZINC001033803154 818948753 /nfs/dbraw/zinc/94/87/53/818948753.db2.gz FFJGUFAPJGCOKR-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033863069 818972824 /nfs/dbraw/zinc/97/28/24/818972824.db2.gz SEGYSKCBLULULO-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnccc2C)[C@H](O)C1 ZINC001090159261 819000718 /nfs/dbraw/zinc/00/07/18/819000718.db2.gz RUUHDUDOGJYVBU-ZIAGYGMSSA-N 0 1 275.352 0.741 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc[nH]c2C)[C@H](O)C1 ZINC001090176434 819030325 /nfs/dbraw/zinc/03/03/25/819030325.db2.gz ZLPWTIGZYWQFHP-ZIAGYGMSSA-N 0 1 275.352 0.511 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](N3CCC3)C2)nc1 ZINC000702296789 819092819 /nfs/dbraw/zinc/09/28/19/819092819.db2.gz LQGWBBRZUZHJCL-GFCCVEGCSA-N 0 1 256.309 0.873 20 30 CCEDMN C=CCN1CCCC[C@H](NC(=O)c2ncn(C)n2)C1 ZINC001034195369 819121471 /nfs/dbraw/zinc/12/14/71/819121471.db2.gz HBQNZRPQHYSAAN-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2c[nH]c(C#N)c2)[C@@H](O)C1 ZINC001090194855 819123503 /nfs/dbraw/zinc/12/35/03/819123503.db2.gz NSYJVUYURSJTON-KGLIPLIRSA-N 0 1 286.335 0.075 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](O)C1 ZINC001090201963 819145852 /nfs/dbraw/zinc/14/58/52/819145852.db2.gz VAGFMGSEGWCKRQ-MNOVXSKESA-N 0 1 294.355 0.165 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccc(C)o2)[C@@H](O)C1 ZINC001090204035 819151432 /nfs/dbraw/zinc/15/14/32/819151432.db2.gz MFQCLLVSQBOOAV-KGLIPLIRSA-N 0 1 278.352 0.868 20 30 CCEDMN C=CC[N@H+]1CCCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001034293140 819156862 /nfs/dbraw/zinc/15/68/62/819156862.db2.gz VPVOXYCJLXNNFK-VIFPVBQESA-N 0 1 293.327 0.053 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc3nnnn3c2)C1 ZINC001034310214 819163315 /nfs/dbraw/zinc/16/33/15/819163315.db2.gz SMQNYJNHVRCZKK-ZDUSSCGKSA-N 0 1 298.350 0.342 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC001034348638 819179010 /nfs/dbraw/zinc/17/90/10/819179010.db2.gz PLNXGTRLWLEAEH-NSHDSACASA-N 0 1 276.340 0.343 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)C2=CCOCC2)[C@H](O)C1 ZINC001090213580 819193246 /nfs/dbraw/zinc/19/32/46/819193246.db2.gz MTDLNQUMEDVNQB-QWHCGFSZSA-N 0 1 266.341 0.071 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2=CCOCC2)[C@H](O)C1 ZINC001090213580 819193251 /nfs/dbraw/zinc/19/32/51/819193251.db2.gz MTDLNQUMEDVNQB-QWHCGFSZSA-N 0 1 266.341 0.071 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090214881 819196618 /nfs/dbraw/zinc/19/66/18/819196618.db2.gz AKLCDCAZXUZQOW-JQWIXIFHSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090214881 819196621 /nfs/dbraw/zinc/19/66/21/819196621.db2.gz AKLCDCAZXUZQOW-JQWIXIFHSA-N 0 1 277.324 0.138 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001034504926 819223935 /nfs/dbraw/zinc/22/39/35/819223935.db2.gz GDYKDNCXLIOGLT-LBPRGKRZSA-N 0 1 285.351 0.880 20 30 CCEDMN CCN1C[C@@H](NC(=O)C#CC2CC2)[C@@H](n2ccnn2)C1 ZINC001128985446 819284339 /nfs/dbraw/zinc/28/43/39/819284339.db2.gz NWSYNWVMQSLEJN-OLZOCXBDSA-N 0 1 273.340 0.053 20 30 CCEDMN C#CCN1CCO[C@H](CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001035323721 819471023 /nfs/dbraw/zinc/47/10/23/819471023.db2.gz NGSZOXZNVGKYGN-GFCCVEGCSA-N 0 1 276.340 0.090 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@H]1CN(CC#N)CCO1 ZINC001035610507 819588613 /nfs/dbraw/zinc/58/86/13/819588613.db2.gz XLASJOOWSIQKBD-UONOGXRCSA-N 0 1 294.399 0.201 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CN1CCCC1=O)CC2 ZINC001035648306 819591978 /nfs/dbraw/zinc/59/19/78/819591978.db2.gz YOACEIHWPJJGLY-UHFFFAOYSA-N 0 1 289.379 0.166 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cc(C)n[nH]1)CC2 ZINC001035663288 819597178 /nfs/dbraw/zinc/59/71/78/819597178.db2.gz WSSKETJLPPOMMN-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cc(C)[nH]n1)CC2 ZINC001035663288 819597182 /nfs/dbraw/zinc/59/71/82/819597182.db2.gz WSSKETJLPPOMMN-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@]13C[C@H]1COC3)CC2 ZINC001035713574 819613339 /nfs/dbraw/zinc/61/33/39/819613339.db2.gz USZOLWKOWHPWET-YOEHRIQHSA-N 0 1 288.391 0.971 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)CCn1cnnn1)CC2 ZINC001035823385 819630146 /nfs/dbraw/zinc/63/01/46/819630146.db2.gz FBURHVFGAZPPHZ-UHFFFAOYSA-N 0 1 290.371 0.174 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccc(=O)[nH]c1)CC2 ZINC001035846044 819633334 /nfs/dbraw/zinc/63/33/34/819633334.db2.gz WRJMHMNPYFGERF-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN C#CC1(O)CCN(CC(=O)N2CCC(C)CC2)CC1 ZINC000708088030 819758100 /nfs/dbraw/zinc/75/81/00/819758100.db2.gz KVCCZCNOXNGGHD-UHFFFAOYSA-N 0 1 264.369 0.705 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@@H]1CC[N@H+](C2CC2)C1 ZINC000711011102 819875283 /nfs/dbraw/zinc/87/52/83/819875283.db2.gz QKTNDYDDRBGVQT-NSHDSACASA-N 0 1 256.371 0.413 20 30 CCEDMN C=CCCCCCN1CCO[C@@](C)(CNC(=O)C(N)=O)C1 ZINC001108172529 820020908 /nfs/dbraw/zinc/02/09/08/820020908.db2.gz DZZSTGRRDHSUKZ-HNNXBMFYSA-N 0 1 297.399 0.425 20 30 CCEDMN C#CC[N@H+]1CC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC001036696022 820035084 /nfs/dbraw/zinc/03/50/84/820035084.db2.gz MVFUOIMNQPBOMK-STQMWFEESA-N 0 1 285.347 0.957 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC001036696022 820035095 /nfs/dbraw/zinc/03/50/95/820035095.db2.gz MVFUOIMNQPBOMK-STQMWFEESA-N 0 1 285.347 0.957 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC001036696019 820036163 /nfs/dbraw/zinc/03/61/63/820036163.db2.gz MVFUOIMNQPBOMK-CHWSQXEVSA-N 0 1 285.347 0.957 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC001036696019 820036174 /nfs/dbraw/zinc/03/61/74/820036174.db2.gz MVFUOIMNQPBOMK-CHWSQXEVSA-N 0 1 285.347 0.957 20 30 CCEDMN CC(C)C#CC(=O)NC1(CNC(=O)c2ncn[nH]2)CCC1 ZINC001063999771 820175720 /nfs/dbraw/zinc/17/57/20/820175720.db2.gz MHDMLOLGXRKWGN-UHFFFAOYSA-N 0 1 289.339 0.233 20 30 CCEDMN CC(C)C#CC(=O)NC1(CNC(=O)c2nc[nH]n2)CCC1 ZINC001063999771 820175727 /nfs/dbraw/zinc/17/57/27/820175727.db2.gz MHDMLOLGXRKWGN-UHFFFAOYSA-N 0 1 289.339 0.233 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NC(=O)CN2CCCC2)CCC1 ZINC001064308514 820192648 /nfs/dbraw/zinc/19/26/48/820192648.db2.gz IZXXZXKLSFUNGP-LBPRGKRZSA-N 0 1 292.383 0.397 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2ccnn2CCC)[C@@H](O)C1 ZINC001090296884 820219470 /nfs/dbraw/zinc/21/94/70/820219470.db2.gz DESGAQPNQAXXCW-OCCSQVGLSA-N 0 1 292.383 0.644 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnn2CCC)[C@@H](O)C1 ZINC001090296884 820219477 /nfs/dbraw/zinc/21/94/77/820219477.db2.gz DESGAQPNQAXXCW-OCCSQVGLSA-N 0 1 292.383 0.644 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(F)CCOCC2)[C@@H](O)C1 ZINC001090315392 820248563 /nfs/dbraw/zinc/24/85/63/820248563.db2.gz ISRFTSLUWTVNRV-RYUDHWBXSA-N 0 1 286.347 0.243 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H]1CNc1ncnc2[nH]cnc21 ZINC001064875620 820263722 /nfs/dbraw/zinc/26/37/22/820263722.db2.gz RIXSLWNMPKUYII-UWVGGRQHSA-N 0 1 299.338 0.867 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(C3CC3)o2)[C@H](O)C1 ZINC001090330004 820268195 /nfs/dbraw/zinc/26/81/95/820268195.db2.gz POVYDIDNBLRQNI-NWDGAFQWSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3n2CCC3)[C@H](O)C1 ZINC001090334585 820273346 /nfs/dbraw/zinc/27/33/46/820273346.db2.gz ROEYGUFDYZDWQS-DGCLKSJQSA-N 0 1 290.367 0.180 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccc(F)cn2)[C@H](O)C1 ZINC001090358639 820297556 /nfs/dbraw/zinc/29/75/56/820297556.db2.gz NVNDJQGWLUNZSR-UONOGXRCSA-N 0 1 293.342 0.501 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2[nH]c(C)cc2C)[C@H](O)C1 ZINC001090363442 820300865 /nfs/dbraw/zinc/30/08/65/820300865.db2.gz OIXLRAWFGAIHEF-ZIAGYGMSSA-N 0 1 289.379 0.820 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCOC2)C1 ZINC001079480414 820400224 /nfs/dbraw/zinc/40/02/24/820400224.db2.gz QPIKYJRUZSTDIU-FRRDWIJNSA-N 0 1 250.342 0.483 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2nccn2CC)C1 ZINC001079635497 820439963 /nfs/dbraw/zinc/43/99/63/820439963.db2.gz AMFMYXZMOBMIRI-CHWSQXEVSA-N 0 1 274.368 0.976 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC001079658703 820445717 /nfs/dbraw/zinc/44/57/17/820445717.db2.gz IXBUOVYXGFCPJA-ZYHUDNBSSA-N 0 1 276.340 0.380 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(OCC)n[nH]2)C1 ZINC001079938457 820493805 /nfs/dbraw/zinc/49/38/05/820493805.db2.gz XWWXZXRKNOHVRK-DGCLKSJQSA-N 0 1 290.367 0.882 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(CCF)c2)C1 ZINC001080013458 820499402 /nfs/dbraw/zinc/49/94/02/820499402.db2.gz JEXNNULBBPLFOE-TZMCWYRMSA-N 0 1 292.358 0.926 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc3n(n2)CCCO3)C1 ZINC001080104827 820514946 /nfs/dbraw/zinc/51/49/46/820514946.db2.gz ONIGZBCLAHKUKK-DGCLKSJQSA-N 0 1 290.367 0.902 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(OC)n(C)n2)C1 ZINC001080094721 820516058 /nfs/dbraw/zinc/51/60/58/820516058.db2.gz JUUSEBFZENZARH-ZYHUDNBSSA-N 0 1 278.356 0.665 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cn(C)nc2OC)C1 ZINC001080254152 820538888 /nfs/dbraw/zinc/53/88/88/820538888.db2.gz JLGJEMJARCTJLE-ZYHUDNBSSA-N 0 1 278.356 0.665 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC(F)(F)F)[C@@H](O)C1 ZINC001099712221 820559894 /nfs/dbraw/zinc/55/98/94/820559894.db2.gz MAPWESUMURYRHX-IUCAKERBSA-N 0 1 266.263 0.676 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001080424542 820562313 /nfs/dbraw/zinc/56/23/13/820562313.db2.gz VBIRUBMVAKYHFB-JHJVBQTASA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001080424541 820564099 /nfs/dbraw/zinc/56/40/99/820564099.db2.gz VBIRUBMVAKYHFB-FRRDWIJNSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001080925862 820655950 /nfs/dbraw/zinc/65/59/50/820655950.db2.gz OKIRSAANTLRZNF-QMTHXVAHSA-N 0 1 283.335 0.641 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)nn3cccnc23)C1 ZINC001080963960 820665681 /nfs/dbraw/zinc/66/56/81/820665681.db2.gz YMXNZYLBEQJURA-DGCLKSJQSA-N 0 1 297.362 0.721 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C[C@H]2CC(=O)NC2=O)C1 ZINC001081064035 820672027 /nfs/dbraw/zinc/67/20/27/820672027.db2.gz XWLJKDYCMXIJGA-GRYCIOLGSA-N 0 1 293.367 0.052 20 30 CCEDMN CC#CCCCC(=O)NCCCN[C@H](C)c1nnnn1C ZINC001164244795 820707394 /nfs/dbraw/zinc/70/73/94/820707394.db2.gz NYNIEOGEBGQSAW-GFCCVEGCSA-N 0 1 292.387 0.561 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2n[nH]c(C)c2C)[C@H](OC)C1 ZINC001081458368 820766664 /nfs/dbraw/zinc/76/66/64/820766664.db2.gz JPRYFUFMORVZMY-CHWSQXEVSA-N 0 1 290.367 0.479 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ocnc2C)[C@H](OC)C1 ZINC001081493699 820777322 /nfs/dbraw/zinc/77/73/22/820777322.db2.gz SQKHATFBWILPKI-VXGBXAGGSA-N 0 1 279.340 0.988 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COC(C)C)[C@H](O)C1 ZINC001099725778 820781203 /nfs/dbraw/zinc/78/12/03/820781203.db2.gz YXLOUGNKMOKDDV-NWDGAFQWSA-N 0 1 256.346 0.149 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnnc(C)c2)[C@H](OC)C1 ZINC001081595813 820805314 /nfs/dbraw/zinc/80/53/14/820805314.db2.gz XGICPYBLBCVPHO-ZIAGYGMSSA-N 0 1 288.351 0.237 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c[nH]nc2CC)[C@H](OC)C1 ZINC001081887218 820864342 /nfs/dbraw/zinc/86/43/42/820864342.db2.gz SQSJSXLKLAEUFP-ZIAGYGMSSA-N 0 1 290.367 0.424 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c(C)cnn2C)[C@H](OC)C1 ZINC001082038512 820882939 /nfs/dbraw/zinc/88/29/39/820882939.db2.gz KPFSIMLIVHJZFU-CHWSQXEVSA-N 0 1 290.367 0.181 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2C[C@@H]2C)[C@H](OC)C1 ZINC001082032732 820887066 /nfs/dbraw/zinc/88/70/66/820887066.db2.gz AGDWVPXRPCDFSO-WUHRBBMRSA-N 0 1 250.342 0.481 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnon2)[C@H](OC)C1 ZINC001082258583 820925492 /nfs/dbraw/zinc/92/54/92/820925492.db2.gz WLLTVBBMOJSCDM-GHMZBOCLSA-N 0 1 266.301 0.075 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CCCCN2CC)[C@H](OC)C1 ZINC001082384507 820953414 /nfs/dbraw/zinc/95/34/14/820953414.db2.gz APACVYJGJFUBEZ-QLFBSQMISA-N 0 1 293.411 0.309 20 30 CCEDMN Cc1nnsc1CN[C@@H]1CN(C(=O)[C@@H](C)C#N)C[C@H]1C ZINC001082450967 820972826 /nfs/dbraw/zinc/97/28/26/820972826.db2.gz MMCKMZFTQCSXQW-IQJOONFLSA-N 0 1 293.396 0.943 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncccc2CC)[C@@H](O)C1 ZINC001090375198 821002140 /nfs/dbraw/zinc/00/21/40/821002140.db2.gz RIXYGTWBADNCJX-KGLIPLIRSA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc(OC)c2)[C@@H](O)C1 ZINC001090377349 821017524 /nfs/dbraw/zinc/01/75/24/821017524.db2.gz JGUWRFPDCVLAIB-OLZOCXBDSA-N 0 1 291.351 0.441 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](NC(=O)/C=C\C2CC2)[C@@H](O)C1 ZINC001099769520 821050676 /nfs/dbraw/zinc/05/06/76/821050676.db2.gz SZLVVGZUJCKDIS-KFBXHMBNSA-N 0 1 262.353 0.527 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)[C@H]3CCCc4c[nH]nc43)[C@@H]2C1 ZINC001084345973 821275758 /nfs/dbraw/zinc/27/57/58/821275758.db2.gz WDHSHOGVAHGVMA-HZSPNIEDSA-N 0 1 299.378 0.886 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1C[C@H]2CCN(CC=C)C[C@H]21 ZINC001084417779 821283470 /nfs/dbraw/zinc/28/34/70/821283470.db2.gz DIPINXGNGNWTOM-OWCLPIDISA-N 0 1 287.407 0.803 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3c(C)nnn3CC)[C@@H]2C1 ZINC001084400804 821289502 /nfs/dbraw/zinc/28/95/02/821289502.db2.gz HPXSUOFFQRJCLQ-CHWSQXEVSA-N 0 1 289.383 0.939 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cn[nH]c(=O)c3)[C@@H]2C1 ZINC001084431905 821296073 /nfs/dbraw/zinc/29/60/73/821296073.db2.gz WWZRJZABIXEXBA-ZYHUDNBSSA-N 0 1 274.324 0.515 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3coc(OC)n3)[C@@H]2C1 ZINC001084550649 821310661 /nfs/dbraw/zinc/31/06/61/821310661.db2.gz MIXIPFOADHJFOU-DGCLKSJQSA-N 0 1 289.335 0.853 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cn(CC)nn3)[C@@H]2C1 ZINC001084746287 821372338 /nfs/dbraw/zinc/37/23/38/821372338.db2.gz SFJCLIJKKFFABN-DGCLKSJQSA-N 0 1 275.356 0.630 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2(COC)CC2)[C@@H](O)C1 ZINC001099832533 821397894 /nfs/dbraw/zinc/39/78/94/821397894.db2.gz IRMXDDFNFJOGJA-KGLIPLIRSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](OC)C3CC3)C[C@@H]21 ZINC001084932729 821434908 /nfs/dbraw/zinc/43/49/08/821434908.db2.gz LXACQOPGECYDSM-VHDGCEQUSA-N 0 1 276.380 0.967 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN2CC[C@@H](O)C2)cc1 ZINC000820381388 821441271 /nfs/dbraw/zinc/44/12/71/821441271.db2.gz OIRWJKDLGKZZDI-CQSZACIVSA-N 0 1 258.321 0.464 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(Cc1cccnn1)CC2 ZINC001273267937 821513341 /nfs/dbraw/zinc/51/33/41/821513341.db2.gz ACVBJPQHRHMQHM-AWEZNQCLSA-N 0 1 284.363 0.828 20 30 CCEDMN CN(CCCNC(=O)c1cccs1)CC(=O)NCC#N ZINC001231398126 821542323 /nfs/dbraw/zinc/54/23/23/821542323.db2.gz XZYRZHZKKAHLDI-UHFFFAOYSA-N 0 1 294.380 0.440 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1ccnc(NC)n1 ZINC001273281634 821548163 /nfs/dbraw/zinc/54/81/63/821548163.db2.gz JMZKWXKDITUUEE-ZIAGYGMSSA-N 0 1 299.378 0.717 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccnc(NC)n1 ZINC001273281634 821548167 /nfs/dbraw/zinc/54/81/67/821548167.db2.gz JMZKWXKDITUUEE-ZIAGYGMSSA-N 0 1 299.378 0.717 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnn(C)c1C ZINC001085488946 821736353 /nfs/dbraw/zinc/73/63/53/821736353.db2.gz MZNOIYFRFMAKLP-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCCC(=O)N1 ZINC001085657663 821875361 /nfs/dbraw/zinc/87/53/61/821875361.db2.gz WZUWKXLPKOLLBG-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1OCCO[C@H]1C ZINC001085649373 821879628 /nfs/dbraw/zinc/87/96/28/821879628.db2.gz NKPYNQREWQFIMO-IHRRRGAJSA-N 0 1 282.384 0.899 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)c1ccn(C)c(=O)c1 ZINC001085678502 821893485 /nfs/dbraw/zinc/89/34/85/821893485.db2.gz LTBXLFYMKQBACQ-ZDUSSCGKSA-N 0 1 275.352 0.718 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1COCCN1CC ZINC001085681808 821893885 /nfs/dbraw/zinc/89/38/85/821893885.db2.gz HEWUKVBIWHUHOU-GJZGRUSLSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cccc2ncnn21 ZINC001085702346 821909459 /nfs/dbraw/zinc/90/94/59/821909459.db2.gz NQWQQYUZMNXRQB-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCNC(=O)CC1 ZINC001085744656 821930206 /nfs/dbraw/zinc/93/02/06/821930206.db2.gz PIAUMEDMELHDTJ-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C(C)C)CC2)[C@H](O)C1 ZINC001099994542 821952397 /nfs/dbraw/zinc/95/23/97/821952397.db2.gz SIEKSRGDYFFYIO-ZIAGYGMSSA-N 0 1 278.396 0.997 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(C(N)=O)[nH]1 ZINC001085820837 821968802 /nfs/dbraw/zinc/96/88/02/821968802.db2.gz LJZYUUZHZJUMAU-NSHDSACASA-N 0 1 290.367 0.836 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@@H]2CCN2CCCO)nc1 ZINC001085933506 822022524 /nfs/dbraw/zinc/02/25/24/822022524.db2.gz CTZXSBVWMCNBEJ-AWEZNQCLSA-N 0 1 287.363 0.592 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)c1cccn(C)c1=O ZINC001085930649 822022814 /nfs/dbraw/zinc/02/28/14/822022814.db2.gz CECMXGNCLLWDQM-LBPRGKRZSA-N 0 1 275.352 0.718 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N2CCN(CCOC)CC2)C1 ZINC001273374792 822024586 /nfs/dbraw/zinc/02/45/86/822024586.db2.gz MINCMVHTAFEKHK-OAHLLOKOSA-N 0 1 295.427 0.818 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnn(C)c1OC ZINC001085948604 822031107 /nfs/dbraw/zinc/03/11/07/822031107.db2.gz MHAFAMMQJBWALB-GFCCVEGCSA-N 0 1 290.367 0.598 20 30 CCEDMN CCC[N@H+]1CCC[C@H]1C(=O)NC[C@H]1C[C@@H](NCC#N)C1 ZINC001086102234 822114208 /nfs/dbraw/zinc/11/42/08/822114208.db2.gz NZFZFPUHSLNTIB-MJBXVCDLSA-N 0 1 278.400 0.869 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C(C)(C)CCOC)[C@H](O)C1 ZINC001100058078 822187024 /nfs/dbraw/zinc/18/70/24/822187024.db2.gz MQIOAQSXBOZXDJ-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCOC1 ZINC001114088217 837432935 /nfs/dbraw/zinc/43/29/35/837432935.db2.gz KMVXOTVZSNYTIU-TTZDDIAXSA-N 0 1 292.379 0.109 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cccnc1 ZINC001114138032 837450979 /nfs/dbraw/zinc/45/09/79/837450979.db2.gz AROLSLHUOZPEJH-QLPKVWCKSA-N 0 1 299.374 0.320 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c(C)ncn1C ZINC001129960049 837595783 /nfs/dbraw/zinc/59/57/83/837595783.db2.gz XSUBXDRLGDRXHA-UHFFFAOYSA-N 0 1 256.737 0.800 20 30 CCEDMN Cc1[nH]c(=O)[nH]c1C(=O)NCCNCC#Cc1ccccc1 ZINC001129995132 837603504 /nfs/dbraw/zinc/60/35/04/837603504.db2.gz AAWHURJSIUQDDX-UHFFFAOYSA-N 0 1 298.346 0.795 20 30 CCEDMN CN1CCN(C(=N)Nc2nccc(F)c2C#N)CC1 ZINC001157915292 837695424 /nfs/dbraw/zinc/69/54/24/837695424.db2.gz PQFNUNGEZKRWOJ-UHFFFAOYSA-N 0 1 262.292 0.686 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCC2N=NC(=O)N2C1 ZINC001130442969 837796932 /nfs/dbraw/zinc/79/69/32/837796932.db2.gz SEWGDEWVYZHISP-VIFPVBQESA-N 0 1 299.762 0.004 20 30 CCEDMN Cc1[nH]c2ccc(C#N)cc2c1CC(=O)NCc1nn[nH]n1 ZINC001183889003 844105901 /nfs/dbraw/zinc/10/59/01/844105901.db2.gz BOQPAOHTNGIOCA-UHFFFAOYSA-N 0 1 295.306 0.720 20 30 CCEDMN C=CCn1ccc2c1ncnc2-n1nnnc1CN ZINC001168918495 836047115 /nfs/dbraw/zinc/04/71/15/836047115.db2.gz DXEPSXGORLQANS-UHFFFAOYSA-N 0 1 256.273 0.052 20 30 CCEDMN C=CCCCN1CC(N2C[C@@H](NC(=O)COC)CC2=O)C1 ZINC001108493907 836310702 /nfs/dbraw/zinc/31/07/02/836310702.db2.gz AWUYIRCZAIWGBQ-LBPRGKRZSA-N 0 1 295.383 0.000 20 30 CCEDMN N#C[C@H]1CNCCN1c1n[nH]c(Cl)c2ncnc1-2 ZINC001156327969 836320844 /nfs/dbraw/zinc/32/08/44/836320844.db2.gz VXEPQFJRSYXFSM-LURJTMIESA-N 0 1 263.692 0.308 20 30 CCEDMN CC(C)CN1CC(N2C[C@H](NC(=O)[C@@H](C)C#N)CC2=O)C1 ZINC001108528153 836422886 /nfs/dbraw/zinc/42/28/86/836422886.db2.gz USKURFOCXVBNIZ-NWDGAFQWSA-N 0 1 292.383 0.203 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCCCNCc1cnon1 ZINC001169822241 836453009 /nfs/dbraw/zinc/45/30/09/836453009.db2.gz SRFVCEGCLSRZHJ-GHMZBOCLSA-N 0 1 279.344 0.994 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCCCNCc1cnon1 ZINC001169822243 836454450 /nfs/dbraw/zinc/45/44/50/836454450.db2.gz SRFVCEGCLSRZHJ-QWRGUYRKSA-N 0 1 279.344 0.994 20 30 CCEDMN C[C@H](CCCCNCc1nnnn1C)NC(=O)[C@@H](C)C#N ZINC001169848415 836460053 /nfs/dbraw/zinc/46/00/53/836460053.db2.gz OIJKSAQMMGGBCV-WDEREUQCSA-N 0 1 293.375 0.134 20 30 CCEDMN COC(=O)n1ncc(C#N)c1Nc1nnccc1C#N ZINC001169962532 836489849 /nfs/dbraw/zinc/48/98/49/836489849.db2.gz RQSPFSHKBWAOGW-UHFFFAOYSA-N 0 1 269.224 0.775 20 30 CCEDMN C[C@@H](CNc1ccnc(C#N)n1)NC(=O)CCc1cnc[nH]1 ZINC001108763005 836520303 /nfs/dbraw/zinc/52/03/03/836520303.db2.gz MGCACBGVXGIYLC-JTQLQIEISA-N 0 1 299.338 0.043 20 30 CCEDMN Cc1cc(C(=O)N(C)CCCNc2ccncc2C#N)n[nH]1 ZINC001109376775 836665985 /nfs/dbraw/zinc/66/59/85/836665985.db2.gz HGCIPQBTVQGFQW-UHFFFAOYSA-N 0 1 298.350 0.981 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)CC(N)=O)C2 ZINC001109597242 836702343 /nfs/dbraw/zinc/70/23/43/836702343.db2.gz FFQVAOKHFLAYFA-USZNOCQGSA-N 0 1 265.357 0.405 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCOCCCOC)C2 ZINC001109947019 836748458 /nfs/dbraw/zinc/74/84/58/836748458.db2.gz JYELIZQGYXFQKZ-ILXRZTDVSA-N 0 1 294.395 0.784 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCC(N)=O)C2 ZINC001109953543 836749886 /nfs/dbraw/zinc/74/98/86/836749886.db2.gz OWLLWDXEBOQTCU-RDBSUJKOSA-N 0 1 291.395 0.777 20 30 CCEDMN N#Cc1nc(NC[C@@H]2COCCN2)cc2nc[nH]c21 ZINC001170035807 836755024 /nfs/dbraw/zinc/75/50/24/836755024.db2.gz PPTASJMCDAZDRN-MRVPVSSYSA-N 0 1 258.285 0.230 20 30 CCEDMN COC(=O)c1ccc(NC[C@@H]2COCCN2)c(C#N)n1 ZINC001170044905 836781655 /nfs/dbraw/zinc/78/16/55/836781655.db2.gz ORGDFGVYGQGDCX-SECBINFHSA-N 0 1 276.296 0.140 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H](C)NC(C)=O)CC1 ZINC001112603171 836816332 /nfs/dbraw/zinc/81/63/32/836816332.db2.gz KCBHNRKZGHGNND-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2COCCO2)CC1 ZINC001112619940 836827271 /nfs/dbraw/zinc/82/72/71/836827271.db2.gz UBUSGQGCVXRTCS-ZIAGYGMSSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCCCN1CCN(C(=O)CNC(=O)C2CC2)CC1 ZINC001112649585 836846031 /nfs/dbraw/zinc/84/60/31/836846031.db2.gz JSCNHZKYXVVUNX-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCCCN1CCN(C(=O)Cc2nnc(C)[nH]2)CC1 ZINC001112831158 836934788 /nfs/dbraw/zinc/93/47/88/836934788.db2.gz SYSKLBYBJIHSDJ-UHFFFAOYSA-N 0 1 277.372 0.766 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H](C)NC(=O)COC)CC1 ZINC001112873130 836949026 /nfs/dbraw/zinc/94/90/26/836949026.db2.gz AINCVFZTAYHTJR-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCCCN1CCN(C(=O)Cc2cn(CC)nn2)CC1 ZINC001113133996 837032095 /nfs/dbraw/zinc/03/20/95/837032095.db2.gz MRWHHFJMEDUTKG-UHFFFAOYSA-N 0 1 291.399 0.951 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC001113187081 837052638 /nfs/dbraw/zinc/05/26/38/837052638.db2.gz PGZHBJZFTAKVIA-OLZOCXBDSA-N 0 1 279.384 0.608 20 30 CCEDMN C[C@@H](NC(=O)Cc1cnc[nH]1)[C@H](C)Nc1ccnc(C#N)n1 ZINC001113313352 837085212 /nfs/dbraw/zinc/08/52/12/837085212.db2.gz HFTXAPRFENDXFV-VHSXEESVSA-N 0 1 299.338 0.041 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C(C)C)cn2)[C@@H](O)C1 ZINC001090451009 837105745 /nfs/dbraw/zinc/10/57/45/837105745.db2.gz DNJQMDFPJFODBF-JSGCOSHPSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC1 ZINC001113761395 837219599 /nfs/dbraw/zinc/21/95/99/837219599.db2.gz CJPYMJPHLKAGOW-FTYKPCCVSA-N 0 1 277.368 0.134 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@H](O)[C@@H]1C ZINC001170325168 837303355 /nfs/dbraw/zinc/30/33/55/837303355.db2.gz WOEYOEFDNPHFEU-SRVKXCTJSA-N 0 1 253.346 0.450 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCC ZINC001113871100 837350443 /nfs/dbraw/zinc/35/04/43/837350443.db2.gz PDQGLLIPKGUBNA-RMRHIDDWSA-N 0 1 293.411 0.914 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)N(C)c1ccncc1C#N ZINC001113898412 837358964 /nfs/dbraw/zinc/35/89/64/837358964.db2.gz UAZXOSLDWMEOPS-LLVKDONJSA-N 0 1 298.350 0.860 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCC1CC1 ZINC001113925164 837364746 /nfs/dbraw/zinc/36/47/46/837364746.db2.gz MIJWPYPJYORRST-NHAGDIPZSA-N 0 1 262.353 0.483 20 30 CCEDMN N#Cc1nc(-n2nnnc2CN)c(Cl)cc1Cl ZINC001158080551 837923064 /nfs/dbraw/zinc/92/30/64/837923064.db2.gz SHXCXBDSORRUOC-UHFFFAOYSA-N 0 1 270.083 0.694 20 30 CCEDMN C=CCCC(=O)NCc1n[nH]c([C@@H]2COCCN2CC)n1 ZINC001130831711 837937768 /nfs/dbraw/zinc/93/77/68/837937768.db2.gz NBIVRPFDQCWCSA-NSHDSACASA-N 0 1 293.371 0.780 20 30 CCEDMN C=CCCC(=O)NCc1nnc([C@@H]2COCCN2CC)[nH]1 ZINC001130831711 837937775 /nfs/dbraw/zinc/93/77/75/837937775.db2.gz NBIVRPFDQCWCSA-NSHDSACASA-N 0 1 293.371 0.780 20 30 CCEDMN Cn1cncc1C(=O)NCCNCc1ccccc1C#N ZINC001130897202 837950138 /nfs/dbraw/zinc/95/01/38/837950138.db2.gz YWFOXIJTWOLVHK-UHFFFAOYSA-N 0 1 283.335 0.811 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@@H]1CCCC(=O)N1 ZINC001131104299 837994433 /nfs/dbraw/zinc/99/44/33/837994433.db2.gz WNNJTCXCAMIOAD-JTQLQIEISA-N 0 1 273.764 0.504 20 30 CCEDMN CN(C(=O)c1ccn(-c2cccc(C#N)c2)n1)c1nn[nH]n1 ZINC001131170343 838012242 /nfs/dbraw/zinc/01/22/42/838012242.db2.gz YFCWUTHNVTZFDR-UHFFFAOYSA-N 0 1 294.278 0.534 20 30 CCEDMN C=CCCC(=O)NCC1CC(N[C@@H](C)c2nnnn2C)C1 ZINC001100176969 838058812 /nfs/dbraw/zinc/05/88/12/838058812.db2.gz OQNVHWOMONUNMX-UNXYVOJBSA-N 0 1 292.387 0.722 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001131360768 838068772 /nfs/dbraw/zinc/06/87/72/838068772.db2.gz NTXAOHXJWRUWET-UHFFFAOYSA-N 0 1 285.731 0.455 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)CCOC)C[C@@H](C)O2 ZINC001131620994 838159148 /nfs/dbraw/zinc/15/91/48/838159148.db2.gz NMGDPQVNVSYOQK-UKRRQHHQSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)COCC)C[C@@H](C)O2 ZINC001131639377 838163700 /nfs/dbraw/zinc/16/37/00/838163700.db2.gz URXKRGIPSLYHCC-HIFRSBDPSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COCCOC)CC[C@@H]1C ZINC001131908059 838264359 /nfs/dbraw/zinc/26/43/59/838264359.db2.gz GFLZLYKCDXYFQO-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN C=CC[N@@H+]1C[C@H](NC(=O)C2(C(N)=O)CC2)CC[C@H]1C ZINC001132253148 838344220 /nfs/dbraw/zinc/34/42/20/838344220.db2.gz OUYPWNXUBIRGEW-GHMZBOCLSA-N 0 1 265.357 0.407 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C2(C(N)=O)CC2)CC[C@H]1C ZINC001132253148 838344223 /nfs/dbraw/zinc/34/42/23/838344223.db2.gz OUYPWNXUBIRGEW-GHMZBOCLSA-N 0 1 265.357 0.407 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCNCCS(C)(=O)=O ZINC001132301600 838356075 /nfs/dbraw/zinc/35/60/75/838356075.db2.gz BCGYPIZZDAVOBI-UHFFFAOYSA-N 0 1 276.402 0.339 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C2(C(=O)NC)CC2)CC[C@@H]1C ZINC001132348811 838370025 /nfs/dbraw/zinc/37/00/25/838370025.db2.gz SRVQJAQBYUCPGT-NWDGAFQWSA-N 0 1 279.384 0.668 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCC(=O)N(C)C)CC[C@H]1C ZINC001132429448 838395602 /nfs/dbraw/zinc/39/56/02/838395602.db2.gz LZVXLYBEZTYLFW-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCC(N)=O)CC[C@H]1C ZINC001132536615 838430324 /nfs/dbraw/zinc/43/03/24/838430324.db2.gz DDBYNDYGMGRMHP-VXGBXAGGSA-N 0 1 265.357 0.244 20 30 CCEDMN C#Cc1cc(NC(=O)c2c(OC)cc[nH]c2=O)ccn1 ZINC001185067597 844337859 /nfs/dbraw/zinc/33/78/59/844337859.db2.gz LMMUWFUXCQWVCH-UHFFFAOYSA-N 0 1 269.260 0.846 20 30 CCEDMN C=C1CCC(C(=O)NCCNCc2cnnn2C)CC1 ZINC001133362331 838610330 /nfs/dbraw/zinc/61/03/30/838610330.db2.gz AOIFXYCPEFOJCB-UHFFFAOYSA-N 0 1 277.372 0.767 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cccc(=O)n1C ZINC001133621660 838680017 /nfs/dbraw/zinc/68/00/17/838680017.db2.gz LXCBFVRMLBCBJJ-UHFFFAOYSA-N 0 1 269.732 0.457 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@@H](C)NCc1nnc(C)[nH]1 ZINC001133909007 838773535 /nfs/dbraw/zinc/77/35/35/838773535.db2.gz FYAPKGDNYREBDM-MNOVXSKESA-N 0 1 295.387 0.689 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C[C@@H](C)NCc1nncn1C ZINC001134341328 838944610 /nfs/dbraw/zinc/94/46/10/838944610.db2.gz NEOMYWIBKDECCH-CHWSQXEVSA-N 0 1 291.399 0.847 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C[C@H](C)NCc1nncn1C ZINC001134341330 838947299 /nfs/dbraw/zinc/94/72/99/838947299.db2.gz NEOMYWIBKDECCH-QWHCGFSZSA-N 0 1 291.399 0.847 20 30 CCEDMN O=C(Cc1c[nH]cn1)NCCNCC#Cc1ccccc1 ZINC001134406791 838962321 /nfs/dbraw/zinc/96/23/21/838962321.db2.gz BHEYVONRNMZNMT-UHFFFAOYSA-N 0 1 282.347 0.710 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCNCC(=O)Nc1ccon1 ZINC001134428476 838968687 /nfs/dbraw/zinc/96/86/87/838968687.db2.gz OIFGUEXODYOSFM-UHFFFAOYSA-N 0 1 294.355 0.921 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(C)n2C)[C@H](O)C1 ZINC001090519037 838980077 /nfs/dbraw/zinc/98/00/77/838980077.db2.gz FHIVUJHKJCILGM-WCQYABFASA-N 0 1 278.356 0.080 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)OCCOC ZINC001134659391 839045249 /nfs/dbraw/zinc/04/52/49/839045249.db2.gz ATOOPTORLYEJJL-JTQLQIEISA-N 0 1 264.753 0.496 20 30 CCEDMN Cc1nn(CCC#N)c(C)c1OC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001134703579 839052922 /nfs/dbraw/zinc/05/29/22/839052922.db2.gz XROQYNUIJXCIIF-NXEZZACHSA-N 0 1 278.268 0.984 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc2ncccn2n1 ZINC001135582722 839303036 /nfs/dbraw/zinc/30/30/36/839303036.db2.gz SJAVJRNNEKPXSW-UHFFFAOYSA-N 0 1 279.731 0.801 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](COC)OC)C1 ZINC001185505040 844425887 /nfs/dbraw/zinc/42/58/87/844425887.db2.gz SVXXFXRBNKHGQP-MNOVXSKESA-N 0 1 276.764 0.591 20 30 CCEDMN CN1CC(C(=O)NC[C@H]2CC[C@@H](NCC#N)C2)=NC1=O ZINC001086794267 839423711 /nfs/dbraw/zinc/42/37/11/839423711.db2.gz JVTNEFAYCHFPDX-VHSXEESVSA-N 0 1 277.328 0.137 20 30 CCEDMN C=C[C@@H]1C[C@@]1(Nc1ncc2c(n1)CNC2)C(=O)OCC ZINC001159801468 839588302 /nfs/dbraw/zinc/58/83/02/839588302.db2.gz KNPZJHAVRMOZBM-YGRLFVJLSA-N 0 1 274.324 1.000 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccn(C)c2C)[C@H](O)C1 ZINC001090558385 839642345 /nfs/dbraw/zinc/64/23/45/839642345.db2.gz DHVXBOWREJMOAH-LSDHHAIUSA-N 0 1 289.379 0.522 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)nn2C)[C@H](O)C1 ZINC001090671466 839725199 /nfs/dbraw/zinc/72/51/99/839725199.db2.gz KEFYLUFOHRZVCC-GXTWGEPZSA-N 0 1 292.383 0.334 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(C(C)C)c2)[C@@H](O)C1 ZINC001090721025 839753226 /nfs/dbraw/zinc/75/32/26/839753226.db2.gz DKEQLNRCIOMFAC-KGLIPLIRSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cn(C)nc2Cl)[C@@H](O)C1 ZINC001090718878 839754965 /nfs/dbraw/zinc/75/49/65/839754965.db2.gz JLMOHLUCFAHIQT-MNOVXSKESA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C)nc2Cl)[C@@H](O)C1 ZINC001090718878 839754975 /nfs/dbraw/zinc/75/49/75/839754975.db2.gz JLMOHLUCFAHIQT-MNOVXSKESA-N 0 1 298.774 0.425 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cn(CC)cn2)[C@H](O)C1 ZINC001090732202 839762891 /nfs/dbraw/zinc/76/28/91/839762891.db2.gz AJGQVJXYDQICTB-TZMCWYRMSA-N 0 1 292.383 0.644 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccc[nH]2)[C@H](O)C1 ZINC001090824404 839839041 /nfs/dbraw/zinc/83/90/41/839839041.db2.gz PORANUAEEPVUSM-ZIAGYGMSSA-N 0 1 275.352 0.132 20 30 CCEDMN C#CC(=O)N1CCN2C[C@@H](OCc3cccnc3)C[C@H]2C1 ZINC001143906873 839890486 /nfs/dbraw/zinc/89/04/86/839890486.db2.gz BKPVHNXSGKWXJY-GJZGRUSLSA-N 0 1 285.347 0.517 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCCC[C@@H]1C(=O)OCC ZINC001160582767 840026744 /nfs/dbraw/zinc/02/67/44/840026744.db2.gz QXDOYYIYKYQHFR-MFKMUULPSA-N 0 1 252.314 0.281 20 30 CCEDMN C#CCN1CC[C@@H](Oc2ccnc(CNC(C)=O)c2)C1 ZINC001091397167 840199361 /nfs/dbraw/zinc/19/93/61/840199361.db2.gz RDNYBCWBZVETHI-OAHLLOKOSA-N 0 1 273.336 0.804 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C2([NH+](C)C)CCC2)[C@H](O)C1 ZINC001099875070 840263166 /nfs/dbraw/zinc/26/31/66/840263166.db2.gz VDHOBNZCPYUGQQ-UONOGXRCSA-N 0 1 293.411 0.045 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)CN1CCCC1)NCC#N ZINC001146340930 840290265 /nfs/dbraw/zinc/29/02/65/840290265.db2.gz UWINDNPHWQKMFI-NEPJUHHUSA-N 0 1 252.362 0.479 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)c2ccn[nH]2)CC1 ZINC001146839078 840397508 /nfs/dbraw/zinc/39/75/08/840397508.db2.gz BGWQBMGMVVIUMR-UHFFFAOYSA-N 0 1 262.269 0.329 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@H](CC)C(N)=O)C2 ZINC001147187712 840514845 /nfs/dbraw/zinc/51/48/45/840514845.db2.gz OGCARCDTPZQPQJ-GFCCVEGCSA-N 0 1 279.384 0.751 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@H](CC)C(N)=O)C2 ZINC001147187712 840514856 /nfs/dbraw/zinc/51/48/56/840514856.db2.gz OGCARCDTPZQPQJ-GFCCVEGCSA-N 0 1 279.384 0.751 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@@H](C)C(=O)NC)C2 ZINC001147186777 840528235 /nfs/dbraw/zinc/52/82/35/840528235.db2.gz CGQHDZWHZWLHCA-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCCCC(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001148096024 840742511 /nfs/dbraw/zinc/74/25/11/840742511.db2.gz TVFMGJQZTRMWFC-CYBMUJFWSA-N 0 1 291.395 0.588 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001148131534 840751360 /nfs/dbraw/zinc/75/13/60/840751360.db2.gz UZYKIOCUSSUYCO-CHWSQXEVSA-N 0 1 293.411 0.997 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001148131536 840751694 /nfs/dbraw/zinc/75/16/94/840751694.db2.gz UZYKIOCUSSUYCO-OLZOCXBDSA-N 0 1 293.411 0.997 20 30 CCEDMN CS(=O)(=O)c1ccc(C(=O)Nc2nc[nH]c2C#N)cc1 ZINC001148344645 840788626 /nfs/dbraw/zinc/78/86/26/840788626.db2.gz MNTCPGBGNUPAHA-UHFFFAOYSA-N 0 1 290.304 0.937 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCCC(=O)NCC)C2)C1 ZINC001148629538 840870491 /nfs/dbraw/zinc/87/04/91/840870491.db2.gz WIKQBVLQARFOTM-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2CN(C(=O)c3cnon3)C[C@]2(C)C1 ZINC001092113691 840990184 /nfs/dbraw/zinc/99/01/84/840990184.db2.gz QHZPZCYYXMSALT-MFKMUULPSA-N 0 1 262.313 0.650 20 30 CCEDMN C#CC[N@H+]1C[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])[C@H](C)C1 ZINC001092548151 841037306 /nfs/dbraw/zinc/03/73/06/841037306.db2.gz IKSPUWRVSPBNOD-MWLCHTKSSA-N 0 1 276.340 0.355 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CCc3cnc[nH]3)CC[C@@H]21 ZINC001036734109 841151406 /nfs/dbraw/zinc/15/14/06/841151406.db2.gz MVBAVWFGJUPYJL-OCCSQVGLSA-N 0 1 287.367 0.789 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)[C@@H]2C[C@@H]2C#N)CC1 ZINC001186976303 844630166 /nfs/dbraw/zinc/63/01/66/844630166.db2.gz YXLNMPGRMLCBGF-OUAUKWLOSA-N 0 1 278.308 0.517 20 30 CCEDMN Cc1cc(C#N)nc(NCCNC(=O)CN2CCCC2)n1 ZINC001093652215 841404569 /nfs/dbraw/zinc/40/45/69/841404569.db2.gz JPDLNGIKIRYBNT-UHFFFAOYSA-N 0 1 288.355 0.281 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]2CN(C(=O)Cc3nnc[nH]3)CC[C@@H]21 ZINC001036866072 841423152 /nfs/dbraw/zinc/42/31/52/841423152.db2.gz YLLUEWAIMZNXJI-OLZOCXBDSA-N 0 1 287.367 0.293 20 30 CCEDMN N#Cc1cnccc1NCCNC(=O)c1[nH]ncc1F ZINC001094104228 841546037 /nfs/dbraw/zinc/54/60/37/841546037.db2.gz FSBTVXLCSKDCFX-UHFFFAOYSA-N 0 1 274.259 0.079 20 30 CCEDMN N#Cc1cnc(NCCNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)cn1 ZINC001094220210 841559595 /nfs/dbraw/zinc/55/95/95/841559595.db2.gz LROCQEKJCFIJEX-GHMZBOCLSA-N 0 1 297.322 0.403 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)Cc2cnc[nH]2)nc1 ZINC001094808362 841728875 /nfs/dbraw/zinc/72/88/75/841728875.db2.gz LLKBJRGVRAAVJD-UHFFFAOYSA-N 0 1 284.323 0.837 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)c2cnn[nH]2)cn1 ZINC001094881560 841866091 /nfs/dbraw/zinc/86/60/91/841866091.db2.gz NTJCZPNLFQBRMF-UHFFFAOYSA-N 0 1 271.284 0.303 20 30 CCEDMN C[C@H](CCCC#N)N1CCN2C(=O)COC[C@H]2C1 ZINC001172499555 841935365 /nfs/dbraw/zinc/93/53/65/841935365.db2.gz YFVSSCCEIBTCRB-VXGBXAGGSA-N 0 1 251.330 0.612 20 30 CCEDMN COC(=O)N1CCC(NC2(C#N)CCN(C)CC2)CC1 ZINC001172735140 841959994 /nfs/dbraw/zinc/95/99/94/841959994.db2.gz TTYDDHTTZYRKAC-UHFFFAOYSA-N 0 1 280.372 0.795 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001095240830 842122663 /nfs/dbraw/zinc/12/26/63/842122663.db2.gz GMUAQXSTAVWMFX-MROQNXINSA-N 0 1 262.357 0.326 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001095240830 842122669 /nfs/dbraw/zinc/12/26/69/842122669.db2.gz GMUAQXSTAVWMFX-MROQNXINSA-N 0 1 262.357 0.326 20 30 CCEDMN Cn1cc(NC(=O)[C@H]2CCc3[nH]cnc3C2)c(C#N)n1 ZINC001177172709 842510160 /nfs/dbraw/zinc/51/01/60/842510160.db2.gz LCZPCGYOYHCRDC-QMMMGPOBSA-N 0 1 270.296 0.758 20 30 CCEDMN CC(C)C[C@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)C(=O)NO ZINC001177182786 842518427 /nfs/dbraw/zinc/51/84/27/842518427.db2.gz RFJDELZBFLAVHP-CABZTGNLSA-N 0 1 294.355 0.551 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1nncs1 ZINC001177272943 842541498 /nfs/dbraw/zinc/54/14/98/842541498.db2.gz CBRWFNLILQBIDI-JTQLQIEISA-N 0 1 284.385 0.725 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC001177917695 842707069 /nfs/dbraw/zinc/70/70/69/842707069.db2.gz WHQABQDWQKHXIB-UWVGGRQHSA-N 0 1 298.389 0.734 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@H]1CNC(=O)C1 ZINC001177914880 842707933 /nfs/dbraw/zinc/70/79/33/842707933.db2.gz GTRFUJKOTPVCNC-NXEZZACHSA-N 0 1 277.349 0.683 20 30 CCEDMN C#CCC(C)(C)C(=O)NCc1n[nH]c(CS(C)(=O)=O)n1 ZINC001179020902 842952577 /nfs/dbraw/zinc/95/25/77/842952577.db2.gz KEQKUZFTVCFYHR-UHFFFAOYSA-N 0 1 298.368 0.015 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CC[C@H](NC(=O)CN(C)C)CC1 ZINC001179901922 843078085 /nfs/dbraw/zinc/07/80/85/843078085.db2.gz TZZHLNUIIFPBIR-SRVKXCTJSA-N 0 1 280.372 0.251 20 30 CCEDMN CC(C)N1CCN(CC(=O)Nc2cccc(C#N)n2)CC1 ZINC001180342732 843146772 /nfs/dbraw/zinc/14/67/72/843146772.db2.gz GLDRLIUARFJPPG-UHFFFAOYSA-N 0 1 287.367 0.918 20 30 CCEDMN N#Cc1cccc(CCC(=O)NCc2nnc[nH]2)c1 ZINC001182341526 843774981 /nfs/dbraw/zinc/77/49/81/843774981.db2.gz QIPMUWNBDSMIPD-UHFFFAOYSA-N 0 1 255.281 0.925 20 30 CCEDMN C[C@@H]1CN(C(=O)C2=NC(=O)N(C)C2)C[C@@]1(C)CNCC#N ZINC001182493535 843846460 /nfs/dbraw/zinc/84/64/60/843846460.db2.gz VTVYNTXNTFUJSR-QMTHXVAHSA-N 0 1 291.355 0.337 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@H](NCc2ccn(C)n2)C1 ZINC001182504866 843847701 /nfs/dbraw/zinc/84/77/01/843847701.db2.gz MPZPQRFAACBLFN-GXTWGEPZSA-N 0 1 290.367 0.149 20 30 CCEDMN N#C[C@@H]1CN(C(=O)Cc2ccc3nc[nH]c3c2)CCO1 ZINC001182844964 843920482 /nfs/dbraw/zinc/92/04/82/843920482.db2.gz SHZLYQORWYHVNK-LLVKDONJSA-N 0 1 270.292 0.856 20 30 CCEDMN C=C(C[NH+]1CCCC1)C(=O)Nc1c(N)[nH]c(=O)[n-]c1=O ZINC001183039681 843955755 /nfs/dbraw/zinc/95/57/55/843955755.db2.gz XUTPSKFNUYROKV-UHFFFAOYSA-N 0 1 279.300 0.061 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)COC(C)C)[C@@H]2C1 ZINC001187182852 844661249 /nfs/dbraw/zinc/66/12/49/844661249.db2.gz YSEBTVSCTXWJGI-UONOGXRCSA-N 0 1 264.369 0.967 20 30 CCEDMN CCOCCS(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001187256044 844689827 /nfs/dbraw/zinc/68/98/27/844689827.db2.gz DEWBQNXWSNARCT-JTQLQIEISA-N 0 1 291.394 0.627 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@H](O)C(C)C)[C@@H]2C1 ZINC001187744374 844762496 /nfs/dbraw/zinc/76/24/96/844762496.db2.gz XJXZWPFVUDALHD-RRFJBIMHSA-N 0 1 294.395 0.186 20 30 CCEDMN COCCn1ccc(C(=O)Nc2nc[nH]c2C#N)n1 ZINC001187893227 844806770 /nfs/dbraw/zinc/80/67/70/844806770.db2.gz CWBWJRFPTMJLDX-UHFFFAOYSA-N 0 1 260.257 0.377 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C2CC2)C1 ZINC001188572421 844905141 /nfs/dbraw/zinc/90/51/41/844905141.db2.gz IFZRQPTVXDRJNZ-AAEUAGOBSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C(F)F)C1 ZINC001188566616 844907845 /nfs/dbraw/zinc/90/78/45/844907845.db2.gz SPYPVDXGBBYYEQ-NXEZZACHSA-N 0 1 289.326 0.475 20 30 CCEDMN N#Cc1ccccc1OCCCNC(=O)c1ncn[nH]1 ZINC001188699274 844952993 /nfs/dbraw/zinc/95/29/93/844952993.db2.gz MGKANEUNFSPWOH-UHFFFAOYSA-N 0 1 271.280 0.875 20 30 CCEDMN N#Cc1ccccc1OCCCNC(=O)c1nc[nH]n1 ZINC001188699274 844953000 /nfs/dbraw/zinc/95/30/00/844953000.db2.gz MGKANEUNFSPWOH-UHFFFAOYSA-N 0 1 271.280 0.875 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCO2)C1 ZINC001188739813 844964811 /nfs/dbraw/zinc/96/48/11/844964811.db2.gz ZWMUWKLSASGHMF-QWHCGFSZSA-N 0 1 250.342 0.721 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCO2)C1 ZINC001188738655 844965663 /nfs/dbraw/zinc/96/56/63/844965663.db2.gz UBVBVNRKQCFFAK-KGLIPLIRSA-N 0 1 280.368 0.348 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)CSC)C1 ZINC001188747184 844966902 /nfs/dbraw/zinc/96/69/02/844966902.db2.gz NFHXGSZZNIZOMP-LBPRGKRZSA-N 0 1 270.398 0.532 20 30 CCEDMN CCOCCCC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189039858 845027748 /nfs/dbraw/zinc/02/77/48/845027748.db2.gz FZGCAEWQQUKWQU-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COCC2CC2)C1 ZINC001189048967 845029655 /nfs/dbraw/zinc/02/96/55/845029655.db2.gz JVWCYULDNQLUCQ-ZDUSSCGKSA-N 0 1 250.342 0.579 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CNC(=O)CCC)C1 ZINC001189169425 845078844 /nfs/dbraw/zinc/07/88/44/845078844.db2.gz IKIVLUWDXIMWSY-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C2=COCCC2)C1 ZINC001189271011 845103281 /nfs/dbraw/zinc/10/32/81/845103281.db2.gz WZWRDYLHTKWGCL-HNNXBMFYSA-N 0 1 292.379 0.863 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@@H](CC)C(N)=O)C1 ZINC001189318436 845107722 /nfs/dbraw/zinc/10/77/22/845107722.db2.gz LSQWZNPCAOJMLX-NEPJUHHUSA-N 0 1 267.373 0.749 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](N(C)[C@@H](C)C(=O)N(C)C)C1 ZINC001189363373 845128506 /nfs/dbraw/zinc/12/85/06/845128506.db2.gz MSNZFHFWMVMYBP-STQMWFEESA-N 0 1 297.399 0.198 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001189674706 845185181 /nfs/dbraw/zinc/18/51/81/845185181.db2.gz RKROOGRDBJPZLL-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cncnc2)C1 ZINC001189777651 845204147 /nfs/dbraw/zinc/20/41/47/845204147.db2.gz GPTAIDNFXFOKPF-AWEZNQCLSA-N 0 1 288.351 0.273 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2coc(C)n2)C1 ZINC001189810230 845214153 /nfs/dbraw/zinc/21/41/53/845214153.db2.gz UTDYSIKWLUVXIB-ZDUSSCGKSA-N 0 1 291.351 0.779 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@H](N(C)CC#CC)C1 ZINC001189917063 845268468 /nfs/dbraw/zinc/26/84/68/845268468.db2.gz CHERPWADQOPKLN-DZGCQCFKSA-N 0 1 264.369 0.870 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](OC)C2CC2)C1 ZINC001189917634 845268586 /nfs/dbraw/zinc/26/85/86/845268586.db2.gz ITMYUUSUFHGBPI-ZIAGYGMSSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@H](C)C(=O)NC)C1 ZINC001189927588 845273892 /nfs/dbraw/zinc/27/38/92/845273892.db2.gz ZWTDYPBHRONIFO-OLZOCXBDSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)CC(C)(C)O)C1 ZINC001189893524 845279589 /nfs/dbraw/zinc/27/95/89/845279589.db2.gz NEZGCSQPQRMJJO-LBPRGKRZSA-N 0 1 252.358 0.703 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CC(C)(C)O)C1 ZINC001189893524 845279598 /nfs/dbraw/zinc/27/95/98/845279598.db2.gz NEZGCSQPQRMJJO-LBPRGKRZSA-N 0 1 252.358 0.703 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CC2(F)F)C1 ZINC001189996282 845307855 /nfs/dbraw/zinc/30/78/55/845307855.db2.gz SXKWMNAYKHFNST-NEPJUHHUSA-N 0 1 286.322 0.824 20 30 CCEDMN C[C@@H](CC#N)NS(=O)(=O)c1ncc(F)cc1F ZINC001190205498 845365112 /nfs/dbraw/zinc/36/51/12/845365112.db2.gz ULROKNGEXVGXOD-LURJTMIESA-N 0 1 261.253 0.940 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1cc(C#N)ccc1F ZINC001190611805 845446857 /nfs/dbraw/zinc/44/68/57/845446857.db2.gz VKHLDQGTXGLHJH-UHFFFAOYSA-N 0 1 285.344 0.879 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)/C=C\c2ccco2)C1 ZINC001190768416 845494329 /nfs/dbraw/zinc/49/43/29/845494329.db2.gz JCRRVNRLIJELHN-YOIVVXPQSA-N 0 1 274.320 0.477 20 30 CCEDMN CC(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)CSCC#N)C1 ZINC001191157121 845599237 /nfs/dbraw/zinc/59/92/37/845599237.db2.gz ZXTWMOFXRKRVOT-GHMZBOCLSA-N 0 1 271.386 0.060 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1[nH]nc(C)c1C ZINC001191130456 845605334 /nfs/dbraw/zinc/60/53/34/845605334.db2.gz ZWZYWGXKJYWHLA-QMMMGPOBSA-N 0 1 250.258 0.212 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)/C=C(\C)C2CC2)C1 ZINC001191410066 845644911 /nfs/dbraw/zinc/64/49/11/845644911.db2.gz SMELXLJCMUWEFG-ILGUYDGLSA-N 0 1 250.342 0.690 20 30 CCEDMN C#CCNC(=O)c1nc(Br)ccc1O ZINC001191749449 845731456 /nfs/dbraw/zinc/73/14/56/845731456.db2.gz UFKMOCOMOISAJI-UHFFFAOYSA-N 0 1 255.071 0.913 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001191860192 845743642 /nfs/dbraw/zinc/74/36/42/845743642.db2.gz YDBSYMPJFWKMNF-OLZOCXBDSA-N 0 1 279.384 0.587 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1O ZINC001192053679 845783479 /nfs/dbraw/zinc/78/34/79/845783479.db2.gz CRCOUYGXBGBUGU-ZIAGYGMSSA-N 0 1 282.384 0.707 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)N1CC2(CN(C)C2)C1 ZINC001192256405 845804632 /nfs/dbraw/zinc/80/46/32/845804632.db2.gz QEUJMFPDXSYJKU-UHFFFAOYSA-N 0 1 271.320 0.954 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001192578267 845878683 /nfs/dbraw/zinc/87/86/83/845878683.db2.gz OBGXPVALQRYNEY-VXGBXAGGSA-N 0 1 281.400 0.995 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CCCOC(C)C)C[C@H]1O ZINC001192761856 845904421 /nfs/dbraw/zinc/90/44/21/845904421.db2.gz PFQXFHLEFDATJS-HUUCEWRRSA-N 0 1 296.411 0.622 20 30 CCEDMN COCCCCN1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192813337 845914717 /nfs/dbraw/zinc/91/47/17/845914717.db2.gz IMSKSESHYSVJPK-ZIAGYGMSSA-N 0 1 296.411 0.624 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](OCC)C(C)C)C1 ZINC001192853016 845923637 /nfs/dbraw/zinc/92/36/37/845923637.db2.gz VTVZXCCWYCZEGZ-MGPQQGTHSA-N 0 1 282.384 0.232 20 30 CCEDMN N#CCS(=O)(=O)Nc1cnc2c(c1)COCC2 ZINC001192929327 845939461 /nfs/dbraw/zinc/93/94/61/845939461.db2.gz UCSLIEOSQARXRW-UHFFFAOYSA-N 0 1 253.283 0.420 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc(-n2ccc(=O)[nH]2)cc1 ZINC001192988836 845946399 /nfs/dbraw/zinc/94/63/99/845946399.db2.gz QOUBQJPXHJOGQY-UHFFFAOYSA-N 0 1 278.293 0.843 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2nccs2)C1 ZINC001193000404 845951819 /nfs/dbraw/zinc/95/18/19/845951819.db2.gz YZQXRDSPDIAXEA-LBPRGKRZSA-N 0 1 293.392 0.939 20 30 CCEDMN COc1ncnc(Cl)c1NS(=O)(=O)[C@@H](C)C#N ZINC001193104283 845979367 /nfs/dbraw/zinc/97/93/67/845979367.db2.gz GZYFEDHKBXAZOI-YFKPBYRVSA-N 0 1 276.705 0.792 20 30 CCEDMN CSc1nc(NS(=O)(=O)[C@@H](C)C#N)cc(=O)n1C ZINC001193172594 845994284 /nfs/dbraw/zinc/99/42/84/845994284.db2.gz DROPKBROXZBZGM-LURJTMIESA-N 0 1 288.354 0.156 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001193240495 846032316 /nfs/dbraw/zinc/03/23/16/846032316.db2.gz JBCOSPLSEUQHHR-HUUCEWRRSA-N 0 1 296.411 0.953 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2nocc2C)C1 ZINC001193302303 846049015 /nfs/dbraw/zinc/04/90/15/846049015.db2.gz TUSVVOWNYGOESD-ZDUSSCGKSA-N 0 1 291.351 0.779 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(CO)cn1 ZINC001193323539 846054585 /nfs/dbraw/zinc/05/45/85/846054585.db2.gz LZOIKGJLSYYIIP-ZDUSSCGKSA-N 0 1 275.352 0.954 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCn2cccn2)C[C@H]1O ZINC001193376753 846065700 /nfs/dbraw/zinc/06/57/00/846065700.db2.gz JIFOXTVLUFGPIA-ZIAGYGMSSA-N 0 1 292.383 0.401 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@H]1O ZINC001193399919 846072612 /nfs/dbraw/zinc/07/26/12/846072612.db2.gz FWNBXEAZJAEZSY-BFHYXJOUSA-N 0 1 284.400 0.665 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H](CO)C(F)(F)F)ccc1O ZINC001193515699 846103120 /nfs/dbraw/zinc/10/31/20/846103120.db2.gz QJUCXWXOIMNTLK-VIFPVBQESA-N 0 1 274.198 0.917 20 30 CCEDMN CC(C)[C@](C)(NC(=O)c1ccc(O)c(C#N)c1)C(N)=O ZINC001193513069 846103355 /nfs/dbraw/zinc/10/33/55/846103355.db2.gz ZCOLFODYFMCDGA-AWEZNQCLSA-N 0 1 275.308 0.894 20 30 CCEDMN COc1ccnc(C(=O)Nc2nnccc2C#N)c1O ZINC001193524891 846104562 /nfs/dbraw/zinc/10/45/62/846104562.db2.gz OREPMJJEYOGKNC-UHFFFAOYSA-N 0 1 271.236 0.710 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001193788020 846152623 /nfs/dbraw/zinc/15/26/23/846152623.db2.gz WFZFGJBIDPKKIC-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)c1cncc(C#N)c1 ZINC001194122173 846217404 /nfs/dbraw/zinc/21/74/04/846217404.db2.gz ZUGXTCRVGHUFCM-UHFFFAOYSA-N 0 1 299.290 0.783 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N1CC[C@@H](N(C)CC#N)C1 ZINC001194284366 846266854 /nfs/dbraw/zinc/26/68/54/846266854.db2.gz GVRQMGLNCRWWIG-GFCCVEGCSA-N 0 1 252.362 0.383 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COC[C@H]2CCCO2)C1 ZINC001194522979 846315640 /nfs/dbraw/zinc/31/56/40/846315640.db2.gz NYJBFWASOLJLRR-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CCN(C)CC2)cc1F ZINC001194542692 846320248 /nfs/dbraw/zinc/32/02/48/846320248.db2.gz SPVBRXAUSZAKFK-UHFFFAOYSA-N 0 1 275.327 0.941 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CCC(F)F)C1 ZINC001194760438 846391529 /nfs/dbraw/zinc/39/15/29/846391529.db2.gz QRNJPXKXEVVTGW-GHMZBOCLSA-N 0 1 292.326 0.396 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1O ZINC001195255576 846485246 /nfs/dbraw/zinc/48/52/46/846485246.db2.gz VRMGTVCLFDNKDZ-IJLUTSLNSA-N 0 1 270.373 0.131 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001195467231 846545466 /nfs/dbraw/zinc/54/54/66/846545466.db2.gz LPCCNIFNGCKXEB-CHWSQXEVSA-N 0 1 270.348 0.701 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2ncn(C)n2)CC1 ZINC001195710642 846605933 /nfs/dbraw/zinc/60/59/33/846605933.db2.gz ZSNUCOZLZFHSFC-UHFFFAOYSA-N 0 1 293.371 0.166 20 30 CCEDMN N#Cc1ccc(C(=O)NCCc2cc[nH]n2)c(O)c1 ZINC001195764029 846613417 /nfs/dbraw/zinc/61/34/17/846613417.db2.gz FXNDEGQQWUYCHH-UHFFFAOYSA-N 0 1 256.265 0.959 20 30 CCEDMN CN(C)C(=O)CN(C)C(=O)c1ccc(C#N)cc1O ZINC001195741242 846619344 /nfs/dbraw/zinc/61/93/44/846619344.db2.gz KMKWFRYOOHAQJQ-UHFFFAOYSA-N 0 1 261.281 0.424 20 30 CCEDMN C#CCCN1CCC[C@H](NC[C@@H](O)C(F)(F)F)C1=O ZINC001250992315 846728882 /nfs/dbraw/zinc/72/88/82/846728882.db2.gz FCNFUBVRSGBGCP-VHSXEESVSA-N 0 1 278.274 0.514 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001196454300 846752105 /nfs/dbraw/zinc/75/21/05/846752105.db2.gz XFFONJJENMTNBJ-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCN1CCCN(C(=O)CCCN(C)C(C)=O)CC1 ZINC001197975084 847014657 /nfs/dbraw/zinc/01/46/57/847014657.db2.gz ZYTZLVAJRJVBFZ-UHFFFAOYSA-N 0 1 281.400 0.965 20 30 CCEDMN C=CCN1CCCN(C(=O)C(C)(C)NC(=O)NC)CC1 ZINC001198251157 847075855 /nfs/dbraw/zinc/07/58/55/847075855.db2.gz FIOFGKKLMAFRCG-UHFFFAOYSA-N 0 1 282.388 0.414 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2CC(=O)N1CCCC1 ZINC001273765292 847095882 /nfs/dbraw/zinc/09/58/82/847095882.db2.gz KFMZLZWSIXEMIE-ZIAGYGMSSA-N 0 1 291.395 0.860 20 30 CCEDMN C=CCCN[C@@H](CNC(=O)C(N)=O)c1ccccc1OC ZINC001198864685 847183764 /nfs/dbraw/zinc/18/37/64/847183764.db2.gz FQRUPSAKGBZPLL-LBPRGKRZSA-N 0 1 291.351 0.504 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CCOC[C@@H]1C#N ZINC001199351231 847292791 /nfs/dbraw/zinc/29/27/91/847292791.db2.gz CEOLJGTUCFQAGJ-JTQLQIEISA-N 0 1 260.297 0.512 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)OCCC(C)C)C1 ZINC001199331322 847305643 /nfs/dbraw/zinc/30/56/43/847305643.db2.gz MZBLQPAPSGBOJU-RRFJBIMHSA-N 0 1 296.411 0.622 20 30 CCEDMN CN(C)c1cccc(C(=O)Nc2nc[nH]c2C#N)n1 ZINC001199789264 847433612 /nfs/dbraw/zinc/43/36/12/847433612.db2.gz ZDGUCZNOGYCRGX-UHFFFAOYSA-N 0 1 256.269 0.995 20 30 CCEDMN C=CCNC(=O)[C@@H]1CC12CCN(CC(=O)NC1CC1)CC2 ZINC001273829908 847619546 /nfs/dbraw/zinc/61/95/46/847619546.db2.gz RCBSUIGBMIYXPX-ZDUSSCGKSA-N 0 1 291.395 0.669 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1[nH]nc2c1CCC2 ZINC001201242465 847747414 /nfs/dbraw/zinc/74/74/14/847747414.db2.gz BVHXBVRHFYJFFZ-SECBINFHSA-N 0 1 262.269 0.083 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)NC(=O)CC)C2 ZINC001110587470 847908605 /nfs/dbraw/zinc/90/86/05/847908605.db2.gz PSIRFGXNVHYHPE-SYQHCUMBSA-N 0 1 291.395 0.646 20 30 CCEDMN C=C[C@](C)(O)CNCc1ccc(S(C)(=O)=O)cn1 ZINC001252569669 848010435 /nfs/dbraw/zinc/01/04/35/848010435.db2.gz VBHQWPPPUAKHGN-LBPRGKRZSA-N 0 1 270.354 0.512 20 30 CCEDMN C=CCNC(=O)N1CCN(CCCCOC)CC1 ZINC001202569742 848179048 /nfs/dbraw/zinc/17/90/48/848179048.db2.gz OPFURCFIEAUCFT-UHFFFAOYSA-N 0 1 255.362 0.926 20 30 CCEDMN COCCOCN1CC[C@]2(CCN(CCCC#N)C2=O)C1 ZINC001273996173 848239290 /nfs/dbraw/zinc/23/92/90/848239290.db2.gz VASJGXZAKQCAJP-HNNXBMFYSA-N 0 1 295.383 0.835 20 30 CCEDMN C=C(C)CN1Cc2ccc(CNC(=O)C(N)=O)cc2C1 ZINC001274036643 848313285 /nfs/dbraw/zinc/31/32/85/848313285.db2.gz OZWONDRQQJPBCS-UHFFFAOYSA-N 0 1 273.336 0.680 20 30 CCEDMN Cn1cncc1CN1CC[C@@]2(CCN(CCC#N)C2)C1=O ZINC001274032880 848314073 /nfs/dbraw/zinc/31/40/73/848314073.db2.gz YDLXFDHIJVUZJM-OAHLLOKOSA-N 0 1 287.367 0.758 20 30 CCEDMN CC[C@H](C(N)=O)N1C(=O)CC[C@@H]2[C@H]1CCN2CCCC#N ZINC001274039407 848314454 /nfs/dbraw/zinc/31/44/54/848314454.db2.gz DSFZIUGWUPTCSV-JHJVBQTASA-N 0 1 292.383 0.619 20 30 CCEDMN N#CCCCN1CC[C@]2(CCCN(CC(N)=O)CC2)C1=O ZINC001274061702 848334825 /nfs/dbraw/zinc/33/48/25/848334825.db2.gz FUSLEVOPKVPAST-OAHLLOKOSA-N 0 1 292.383 0.480 20 30 CCEDMN C=C(C)CN1CC[C@@]2(CCN(Cc3nnc[nH]3)C2)C1=O ZINC001274356749 848460126 /nfs/dbraw/zinc/46/01/26/848460126.db2.gz XYIVLIWVFUHVMD-CQSZACIVSA-N 0 1 275.356 0.805 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cccc(=O)n1C ZINC001275143271 848649071 /nfs/dbraw/zinc/64/90/71/848649071.db2.gz KRVVNBQMNMJUJG-CYBMUJFWSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)CNCC(=C)Cl ZINC001275150153 848651856 /nfs/dbraw/zinc/65/18/56/848651856.db2.gz XLTCODFWBJORRY-NSHDSACASA-N 0 1 258.749 0.873 20 30 CCEDMN C#CC[NH2+]C[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001275335125 848691508 /nfs/dbraw/zinc/69/15/08/848691508.db2.gz YEKVXTYXFMISCC-VIFPVBQESA-N 0 1 274.324 0.401 20 30 CCEDMN C=CCCC[N@H+](C)C[C@H](C)NC(=O)CS(C)(=O)=O ZINC001275512664 848746010 /nfs/dbraw/zinc/74/60/10/848746010.db2.gz MLFPPEXZPYDAIS-NSHDSACASA-N 0 1 276.402 0.434 20 30 CCEDMN C=CCCCN(C)C[C@H](C)NC(=O)CS(C)(=O)=O ZINC001275512664 848746016 /nfs/dbraw/zinc/74/60/16/848746016.db2.gz MLFPPEXZPYDAIS-NSHDSACASA-N 0 1 276.402 0.434 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnn(CCF)c1 ZINC001275599397 848771372 /nfs/dbraw/zinc/77/13/72/848771372.db2.gz GSLNJOUEOOWCHG-NSHDSACASA-N 0 1 266.320 0.536 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cncc(OC)n1 ZINC001275665980 848786963 /nfs/dbraw/zinc/78/69/63/848786963.db2.gz QNKOTJJGTHEFMA-NSHDSACASA-N 0 1 276.340 0.559 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)Cc1cnn(CC)c1 ZINC001275697371 848794714 /nfs/dbraw/zinc/79/47/14/848794714.db2.gz IDCNNUDEPITHON-GFCCVEGCSA-N 0 1 262.357 0.515 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@H](C)n1cccn1 ZINC001275772450 848816979 /nfs/dbraw/zinc/81/69/79/848816979.db2.gz FURXZTFKYNDULG-KBPBESRZSA-N 0 1 292.383 0.530 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cncc(OC)n1 ZINC001275947264 848871048 /nfs/dbraw/zinc/87/10/48/848871048.db2.gz VWVVYPPIMCQTAD-LLVKDONJSA-N 0 1 276.340 0.559 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)Cc1nonc1C ZINC001275962146 848875199 /nfs/dbraw/zinc/87/51/99/848875199.db2.gz CAICJEDYDUDMMZ-LLVKDONJSA-N 0 1 294.355 0.007 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCCCC(N)=O ZINC001275971226 848877759 /nfs/dbraw/zinc/87/77/59/848877759.db2.gz ZZANIQDQLANULH-GFCCVEGCSA-N 0 1 267.373 0.492 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CC(=O)N(C)C1)C2 ZINC001095492275 848950064 /nfs/dbraw/zinc/95/00/64/848950064.db2.gz XOYPEXPQWJCYFF-MQYQWHSLSA-N 0 1 289.379 0.210 20 30 CCEDMN Cc1nc(N(C)CCCNC(=O)c2ncn[nH]2)ccc1C#N ZINC001095608432 848996449 /nfs/dbraw/zinc/99/64/49/848996449.db2.gz FBIYQDZWXDPVJS-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN Cc1nc(N(C)CCCNC(=O)c2nc[nH]n2)ccc1C#N ZINC001095608432 848996456 /nfs/dbraw/zinc/99/64/56/848996456.db2.gz FBIYQDZWXDPVJS-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCCC(=O)N1)C2 ZINC001095730403 849092577 /nfs/dbraw/zinc/09/25/77/849092577.db2.gz HLNKQOFGKZYUMH-MQYQWHSLSA-N 0 1 289.379 0.400 20 30 CCEDMN CN(CCCNC(=O)Cc1cnc[nH]1)c1ccc(C#N)cn1 ZINC001095748885 849134599 /nfs/dbraw/zinc/13/45/99/849134599.db2.gz DCWPNHUHLBCUAJ-UHFFFAOYSA-N 0 1 298.350 0.862 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000718142701 849230935 /nfs/dbraw/zinc/23/09/35/849230935.db2.gz FGSYZPFIMZSAHA-JQWIXIFHSA-N 0 1 250.254 0.212 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)COC ZINC001114562650 849245091 /nfs/dbraw/zinc/24/50/91/849245091.db2.gz KQZPVIGVMDLXDV-ITGUQSILSA-N 0 1 264.369 0.729 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)CC(F)F ZINC001114581741 849260256 /nfs/dbraw/zinc/26/02/56/849260256.db2.gz LCHRNQIJFIFONG-SVDPJWKOSA-N 0 1 256.296 0.957 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@]1(COC)CCOC1 ZINC001114624406 849331536 /nfs/dbraw/zinc/33/15/36/849331536.db2.gz RDDNRATZSVLHLX-NHIYQJMISA-N 0 1 292.379 0.109 20 30 CCEDMN C=C[C@@H](O)CN1CCN(c2ccc(Cl)nn2)CC1 ZINC001253587073 849567293 /nfs/dbraw/zinc/56/72/93/849567293.db2.gz YKOKWAHNGWRXOM-SNVBAGLBSA-N 0 1 268.748 0.799 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NC1(C(=O)[O-])CCC1 ZINC000380830848 849693153 /nfs/dbraw/zinc/69/31/53/849693153.db2.gz JRHMXOJKTQSDQI-UHFFFAOYSA-N 0 1 267.329 0.248 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@]3(CCN(CC#N)C3)C2)n[nH]1 ZINC001041112750 849761337 /nfs/dbraw/zinc/76/13/37/849761337.db2.gz WCVLLLNDCCHPDL-AWEZNQCLSA-N 0 1 273.340 0.780 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccnc2ccnn21 ZINC001038329766 849854143 /nfs/dbraw/zinc/85/41/43/849854143.db2.gz CSJGBESLAMYTGL-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001038348078 849861162 /nfs/dbraw/zinc/86/11/62/849861162.db2.gz BTPTVSIDUIBOIG-LLVKDONJSA-N 0 1 276.340 0.656 20 30 CCEDMN C=CCN1CC[C@H]1CNC(=O)[C@H]1CCCCN1C ZINC001038420041 849890967 /nfs/dbraw/zinc/89/09/67/849890967.db2.gz YKEHTVYPCQYHBE-QWHCGFSZSA-N 0 1 251.374 0.847 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCCN(C(C)=O)C1 ZINC001038474172 849915228 /nfs/dbraw/zinc/91/52/28/849915228.db2.gz DIOWYAXSJABOMD-LSDHHAIUSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccn2nnnc2c1 ZINC001038587715 849951530 /nfs/dbraw/zinc/95/15/30/849951530.db2.gz XCZSWFZNNDHIHU-LBPRGKRZSA-N 0 1 286.339 0.505 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1c(C)c(C)n[nH]c1=O ZINC001038586711 849952244 /nfs/dbraw/zinc/95/22/44/849952244.db2.gz WIBSQZVTBFAMKV-GFCCVEGCSA-N 0 1 288.351 0.626 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(C(N)=O)co1 ZINC001038693870 849984449 /nfs/dbraw/zinc/98/44/49/849984449.db2.gz OBOBDGXLXORUSY-LLVKDONJSA-N 0 1 277.324 0.759 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@@H]1CCN1CCOC ZINC001038796362 850019611 /nfs/dbraw/zinc/01/96/11/850019611.db2.gz FVRIIUITINITDZ-IHRRRGAJSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001038921141 850083770 /nfs/dbraw/zinc/08/37/70/850083770.db2.gz KSBFJVGOUFPHMI-SECBINFHSA-N 0 1 288.307 0.919 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]1CNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC001038921141 850083775 /nfs/dbraw/zinc/08/37/75/850083775.db2.gz KSBFJVGOUFPHMI-SECBINFHSA-N 0 1 288.307 0.919 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC[C@@H]1CCN1CC#N ZINC001038920400 850084534 /nfs/dbraw/zinc/08/45/34/850084534.db2.gz CLXUDYIIDGPCNT-NSHDSACASA-N 0 1 273.340 0.475 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001039048352 850136164 /nfs/dbraw/zinc/13/61/64/850136164.db2.gz SFCFEGOVSKTVGU-GXTWGEPZSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(N(C)C)cn1 ZINC001039060480 850142964 /nfs/dbraw/zinc/14/29/64/850142964.db2.gz JUCYBLLMKBVOSU-CQSZACIVSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(C)c1[O-] ZINC001039360672 850178792 /nfs/dbraw/zinc/17/87/92/850178792.db2.gz RINIFAMCCCCCEI-NEPJUHHUSA-N 0 1 288.351 0.736 20 30 CCEDMN C#CC[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]c(C)c1[O-] ZINC001039360672 850178798 /nfs/dbraw/zinc/17/87/98/850178798.db2.gz RINIFAMCCCCCEI-NEPJUHHUSA-N 0 1 288.351 0.736 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnn2cc[nH]c12 ZINC001039464674 850195483 /nfs/dbraw/zinc/19/54/83/850195483.db2.gz RNAFZIGFCVYECD-OLZOCXBDSA-N 0 1 297.362 0.975 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCc3n[nH]nc31)C2 ZINC001095934968 850251510 /nfs/dbraw/zinc/25/15/10/850251510.db2.gz XPGMJZSMRYYYPM-RFHZTLPTSA-N 0 1 299.378 0.579 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC2(C1)CCN([C@@H](C)C(N)=O)CC2 ZINC001040636911 850309839 /nfs/dbraw/zinc/30/98/39/850309839.db2.gz UJTLLAQXLJCHRA-NEPJUHHUSA-N 0 1 292.383 0.334 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)C[C@@H]3CCNC3=O)C2)C1 ZINC001041353634 850434117 /nfs/dbraw/zinc/43/41/17/850434117.db2.gz OYDPKQAIIBOQNC-BBRMVZONSA-N 0 1 289.379 0.070 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cc[n+]([O-])cc3)C[C@H]21 ZINC001041921115 850530584 /nfs/dbraw/zinc/53/05/84/850530584.db2.gz RTEFSKDTFMVZJC-GOEBONIOSA-N 0 1 299.374 0.880 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnn(C)c3C)C[C@@H]21 ZINC001041938090 850532927 /nfs/dbraw/zinc/53/29/27/850532927.db2.gz AKRSKMKCDIFNPR-ZFWWWQNUSA-N 0 1 286.379 0.898 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC001041959484 850542976 /nfs/dbraw/zinc/54/29/76/850542976.db2.gz ZWUMGKZMDZRUQB-JQWIXIFHSA-N 0 1 259.313 0.470 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccnn3C)C[C@H]21 ZINC001041971851 850543918 /nfs/dbraw/zinc/54/39/18/850543918.db2.gz HIDNRJIKMCKJPP-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cocn3)C[C@H]21 ZINC001041972106 850545341 /nfs/dbraw/zinc/54/53/41/850545341.db2.gz JMMOQLLNIMKWDZ-WCQYABFASA-N 0 1 259.309 0.844 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3n[nH]cc3F)C[C@H]21 ZINC001042218251 850599001 /nfs/dbraw/zinc/59/90/01/850599001.db2.gz IRJOSGYGNOFSGO-CMPLNLGQSA-N 0 1 276.315 0.718 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cn3nccc3C)C[C@@H]21 ZINC001042249885 850602864 /nfs/dbraw/zinc/60/28/64/850602864.db2.gz RAWHJKCLNNMCGS-CABCVRRESA-N 0 1 286.379 0.748 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccnc(C)n3)C[C@H]21 ZINC001042363330 850619948 /nfs/dbraw/zinc/61/99/48/850619948.db2.gz RGJMOZDBKOVJBR-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001042903533 850777553 /nfs/dbraw/zinc/77/75/53/850777553.db2.gz BMDLJBLPEZHWIM-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@@H]2CCCCN2C)C1 ZINC001043100357 850813266 /nfs/dbraw/zinc/81/32/66/850813266.db2.gz XZJNMDQJFBTZLV-AWEZNQCLSA-N 0 1 263.385 0.637 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001043099071 850814409 /nfs/dbraw/zinc/81/44/09/850814409.db2.gz KPONCUZNOFLYEM-OPRDCNLKSA-N 0 1 299.338 0.771 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2ccn3cncc3c2)C1 ZINC001043231171 850839707 /nfs/dbraw/zinc/83/97/07/850839707.db2.gz VGHLQBNXMVZTKI-UHFFFAOYSA-N 0 1 268.320 0.724 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)Cc2cc(C)nn2C)C1 ZINC001043333071 850854618 /nfs/dbraw/zinc/85/46/18/850854618.db2.gz CXSYRKJBDGFWSH-UHFFFAOYSA-N 0 1 276.384 0.990 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2cn(CC)nn2)C1 ZINC001043428186 850870885 /nfs/dbraw/zinc/87/08/85/850870885.db2.gz XMCSMHHGLWKUGQ-UHFFFAOYSA-N 0 1 291.399 0.949 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001044042178 850991981 /nfs/dbraw/zinc/99/19/81/850991981.db2.gz SFYSFEXGICQGEA-GFCCVEGCSA-N 0 1 279.384 0.764 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]ncc1F)C2 ZINC001095977521 851102939 /nfs/dbraw/zinc/10/29/39/851102939.db2.gz HYIKVYLMNSWXHC-JEZHCXPESA-N 0 1 263.276 0.407 20 30 CCEDMN C[C@@H]1C[C@H](NCC#N)CCN1C(=O)CCc1nc[nH]n1 ZINC001044533623 851119379 /nfs/dbraw/zinc/11/93/79/851119379.db2.gz AUQDWWAKXYWAIU-GHMZBOCLSA-N 0 1 276.344 0.230 20 30 CCEDMN N#Cc1ccccc1CNC[C@@H]1CCCN1C(=O)C(N)=O ZINC001045179092 851220584 /nfs/dbraw/zinc/22/05/84/851220584.db2.gz VKAOFMIDONTZLA-ZDUSSCGKSA-N 0 1 286.335 0.124 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2coc(C(N)=O)c2)CC1 ZINC001045511576 851269384 /nfs/dbraw/zinc/26/93/84/851269384.db2.gz SQPZBHHVCZPXAV-UHFFFAOYSA-N 0 1 289.335 0.596 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2csnn2)CC1 ZINC001045514667 851269589 /nfs/dbraw/zinc/26/95/89/851269589.db2.gz SLRDMPCZGHNHNT-UHFFFAOYSA-N 0 1 264.354 0.756 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC001045543453 851278149 /nfs/dbraw/zinc/27/81/49/851278149.db2.gz ISYGXRYPLVJBES-UHFFFAOYSA-N 0 1 276.340 0.343 20 30 CCEDMN C=C(Cl)CN1CC[C@]2(C1)CCCCN(C(=O)C(N)=O)C2 ZINC001045961867 851335910 /nfs/dbraw/zinc/33/59/10/851335910.db2.gz CCBBHOHOPROBTN-AWEZNQCLSA-N 0 1 299.802 0.929 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)C2=COCCO2)C1 ZINC001046114100 851382388 /nfs/dbraw/zinc/38/23/88/851382388.db2.gz UXJVXNVZMGUYJJ-ZDUSSCGKSA-N 0 1 252.314 0.641 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnn(C)c2C)C1 ZINC001046141639 851392711 /nfs/dbraw/zinc/39/27/11/851392711.db2.gz LWQYMVONZXWVRN-HNNXBMFYSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnn(C)c2C)C1 ZINC001046141640 851393384 /nfs/dbraw/zinc/39/33/84/851393384.db2.gz LWQYMVONZXWVRN-OAHLLOKOSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C2CCC(O)CC2)C1 ZINC001046270902 851445856 /nfs/dbraw/zinc/44/58/56/851445856.db2.gz BPAPAMGWDLXQHY-PIMMBPRGSA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cccn3nnnc23)C1 ZINC001046355987 851474501 /nfs/dbraw/zinc/47/45/01/851474501.db2.gz REBWZXBNGUPCEV-OAHLLOKOSA-N 0 1 298.350 0.342 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001046383030 851482414 /nfs/dbraw/zinc/48/24/14/851482414.db2.gz DKBDXEWJCZRYCT-SGMGOOAPSA-N 0 1 266.341 0.004 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001046388076 851483907 /nfs/dbraw/zinc/48/39/07/851483907.db2.gz OTDCNHAYWURUHB-KCQAQPDRSA-N 0 1 280.368 0.394 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001046434568 851502695 /nfs/dbraw/zinc/50/26/95/851502695.db2.gz NWGKSELYAVMOOL-XJKSGUPXSA-N 0 1 286.379 0.659 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cn3cccnc3n2)C1 ZINC001046446192 851508639 /nfs/dbraw/zinc/50/86/39/851508639.db2.gz OIHVMFDWFUEYND-MRXNPFEDSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(C(N)=O)co2)C1 ZINC001046616922 851567622 /nfs/dbraw/zinc/56/76/22/851567622.db2.gz XYQYFVNZGOIKGP-AWEZNQCLSA-N 0 1 277.324 0.759 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccnc(N(C)C)c2)C1 ZINC001046672860 851581454 /nfs/dbraw/zinc/58/14/54/851581454.db2.gz ZTKRBWOKKDJCFY-MRXNPFEDSA-N 0 1 286.379 0.975 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccco2)C1 ZINC001047282950 851697148 /nfs/dbraw/zinc/69/71/48/851697148.db2.gz HLFYMOXPFMSIAJ-RYUDHWBXSA-N 0 1 264.325 0.973 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cccnc2)C1 ZINC001047282069 851697249 /nfs/dbraw/zinc/69/72/49/851697249.db2.gz DBSPUTMOMLTRAA-KBPBESRZSA-N 0 1 273.336 0.222 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2oc(C)nc2C)C1 ZINC001047332690 851721334 /nfs/dbraw/zinc/72/13/34/851721334.db2.gz YUQUQUCEOFHZHU-STQMWFEESA-N 0 1 293.367 0.985 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001047338304 851725917 /nfs/dbraw/zinc/72/59/17/851725917.db2.gz UIIMNXGWCZZAEH-KBPBESRZSA-N 0 1 286.335 0.027 20 30 CCEDMN CCN1C[C@H](O)[C@@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001047353576 851733240 /nfs/dbraw/zinc/73/32/40/851733240.db2.gz GMFDFKGQNLGCNN-RYUDHWBXSA-N 0 1 262.313 0.023 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccoc2)C1 ZINC001047355564 851734123 /nfs/dbraw/zinc/73/41/23/851734123.db2.gz DHOGEVBBCUNUSJ-KBPBESRZSA-N 0 1 278.352 0.902 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)n(C)c2C)C1 ZINC001047623373 851838811 /nfs/dbraw/zinc/83/88/11/851838811.db2.gz WDRJKOVOMCKBDJ-GJZGRUSLSA-N 0 1 291.395 0.945 20 30 CCEDMN C[C@@H](NCc1cnon1)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001047837257 851867476 /nfs/dbraw/zinc/86/74/76/851867476.db2.gz VJCFIMLKIRCPBE-YPMHNXCESA-N 0 1 288.351 0.810 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cncn1C)C2 ZINC001096349982 851946368 /nfs/dbraw/zinc/94/63/68/851946368.db2.gz PERFVSSUMSOXPY-SNPRPXQTSA-N 0 1 286.379 0.707 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+](CC(=O)NC)C[C@@H]2C1 ZINC001048978553 852125901 /nfs/dbraw/zinc/12/59/01/852125901.db2.gz HDWMCMOXKFWJJF-TXEJJXNPSA-N 0 1 279.384 0.335 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CN(CC(=O)NC)C[C@H]2C1 ZINC001048978553 852125906 /nfs/dbraw/zinc/12/59/06/852125906.db2.gz HDWMCMOXKFWJJF-TXEJJXNPSA-N 0 1 279.384 0.335 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1=COCCO1 ZINC001049314585 852225945 /nfs/dbraw/zinc/22/59/45/852225945.db2.gz VVEOVSUBIQQQPT-UONOGXRCSA-N 0 1 290.363 0.963 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1COCCO1 ZINC001049346422 852239266 /nfs/dbraw/zinc/23/92/66/852239266.db2.gz LRDYHLMGVICEHW-KFWWJZLASA-N 0 1 292.379 0.490 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnn(C)c1N ZINC001049436787 852272422 /nfs/dbraw/zinc/27/24/22/852272422.db2.gz KONCHDDDUURHMX-QWHCGFSZSA-N 0 1 287.367 0.314 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnn(C)c1N ZINC001049436787 852272432 /nfs/dbraw/zinc/27/24/32/852272432.db2.gz KONCHDDDUURHMX-QWHCGFSZSA-N 0 1 287.367 0.314 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)Cn1nccc1C ZINC001049759897 852367445 /nfs/dbraw/zinc/36/74/45/852367445.db2.gz SULNMRBPLDKUDU-LSDHHAIUSA-N 0 1 286.379 0.890 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049785713 852370846 /nfs/dbraw/zinc/37/08/46/852370846.db2.gz ZREFNBXGMXGFTJ-CHWSQXEVSA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1nc[nH]n1 ZINC001049800080 852375801 /nfs/dbraw/zinc/37/58/01/852375801.db2.gz YRCKNLXXMCSNOR-MNOVXSKESA-N 0 1 259.313 0.117 20 30 CCEDMN C=CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)[C@@H]1CCC(=O)N1 ZINC001049857064 852385572 /nfs/dbraw/zinc/38/55/72/852385572.db2.gz FUWHXSTWKZKCNX-XQQFMLRXSA-N 0 1 277.368 0.516 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCC(=O)N(C)C1)C2 ZINC001096614796 852407175 /nfs/dbraw/zinc/40/71/75/852407175.db2.gz AVRWFMOPDCUMDZ-MQYQWHSLSA-N 0 1 291.395 0.762 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN1CCCC1=O)C2 ZINC001096786881 852438842 /nfs/dbraw/zinc/43/88/42/852438842.db2.gz FUJRZTOFQZWMOM-MCIONIFRSA-N 0 1 289.379 0.354 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1COCCN1C)C2 ZINC001096978856 852475794 /nfs/dbraw/zinc/47/57/94/852475794.db2.gz OMTBYNQMNYDYKQ-MQYQWHSLSA-N 0 1 279.384 0.225 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CC(=O)N(C)C1)C2 ZINC001097171580 852510276 /nfs/dbraw/zinc/51/02/76/852510276.db2.gz RGAMVISVHAPPJU-LPWJVIDDSA-N 0 1 277.368 0.372 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H](C)Nc2ccnc(C#N)n2)c1C ZINC001097760637 852600914 /nfs/dbraw/zinc/60/09/14/852600914.db2.gz SZZBUWALALSHGL-MRVPVSSYSA-N 0 1 299.338 0.341 20 30 CCEDMN C[C@H](CNC(=O)CCc1nc[nH]n1)Nc1ccc(C#N)nc1 ZINC001097779918 852609662 /nfs/dbraw/zinc/60/96/62/852609662.db2.gz QSMHWBFTBYWVMM-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@H](CNC(=O)CN1CCCC1)Nc1ccc(C#N)nc1 ZINC001097862398 852633103 /nfs/dbraw/zinc/63/31/03/852633103.db2.gz AGJNAPYJWQIGDW-GFCCVEGCSA-N 0 1 287.367 0.966 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CCC[C@]2(CCN(CC#N)C2)C1 ZINC001054100642 852884753 /nfs/dbraw/zinc/88/47/53/852884753.db2.gz GFGNUOFGEOBWMS-GOEBONIOSA-N 0 1 290.411 0.919 20 30 CCEDMN C=C(Cl)CN1CC[C@@]2(C1)CCCN(C(=O)C(N)=O)C2 ZINC001054188458 852893409 /nfs/dbraw/zinc/89/34/09/852893409.db2.gz NPGKVKHTNVDWOC-CYBMUJFWSA-N 0 1 285.775 0.539 20 30 CCEDMN C#CC[N@@H+]1Cc2ccc(CNC(=O)c3cnn[n-]3)cc2C1 ZINC001054272522 852899608 /nfs/dbraw/zinc/89/96/08/852899608.db2.gz WRJZESQLZRMDEK-UHFFFAOYSA-N 0 1 281.319 0.684 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cn(C)nn2)C[C@H]1C ZINC001054638688 852986357 /nfs/dbraw/zinc/98/63/57/852986357.db2.gz XDPZUSZIYKRMEZ-PSASIEDQSA-N 0 1 283.763 0.618 20 30 CCEDMN C[C@@H]1CN(C(=O)C#CC2CC2)C[C@@H]1NCc1cnnn1C ZINC001054640359 852986946 /nfs/dbraw/zinc/98/69/46/852986946.db2.gz YJJMQBORQOCLDB-RISCZKNCSA-N 0 1 287.367 0.165 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cn[nH]c(=O)c2)C[C@@H]1C ZINC001054765204 853010536 /nfs/dbraw/zinc/01/05/36/853010536.db2.gz MAZJYFOCASUEKL-KWQFWETISA-N 0 1 296.758 0.985 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H](NCc2cnnn2C)[C@@H](C)C1 ZINC001054977368 853047918 /nfs/dbraw/zinc/04/79/18/853047918.db2.gz XGLKLRMLRJKSAI-AAEUAGOBSA-N 0 1 291.399 0.964 20 30 CCEDMN CCC(=O)NCCN1CCC(NC(=O)C#CC2CC2)CC1 ZINC001055473665 853075456 /nfs/dbraw/zinc/07/54/56/853075456.db2.gz YYPUDAONZHGDES-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](Nc2ncnc3[nH]cnc32)C1 ZINC001056587815 853175369 /nfs/dbraw/zinc/17/53/69/853175369.db2.gz USTSYFKFKWEQIG-DTWKUNHWSA-N 0 1 285.311 0.525 20 30 CCEDMN CN(c1nccnc1C#N)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001056868920 853236649 /nfs/dbraw/zinc/23/66/49/853236649.db2.gz IUYIQPZZRRKHJG-JTQLQIEISA-N 0 1 297.322 0.422 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cn(CC=C)nn3)[C@@H]2C1 ZINC001050160003 853327213 /nfs/dbraw/zinc/32/72/13/853327213.db2.gz NUUZLBDLESPROZ-DZGCQCFKSA-N 0 1 299.378 0.634 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cc3nonc3C)[C@@H]2C1 ZINC001050173606 853332095 /nfs/dbraw/zinc/33/20/95/853332095.db2.gz NSRUQYOCKKJDPP-GXTWGEPZSA-N 0 1 288.351 0.477 20 30 CCEDMN CNC(=O)CN1CCC2(CN(C(=O)C#CC3CC3)C2)CC1 ZINC001050515822 853389534 /nfs/dbraw/zinc/38/95/34/853389534.db2.gz OYTFCAZXEWXSJY-UHFFFAOYSA-N 0 1 289.379 0.070 20 30 CCEDMN N#Cc1nccnc1N[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001057590902 853434930 /nfs/dbraw/zinc/43/49/30/853434930.db2.gz HKOLGDMZZGHPMK-JTQLQIEISA-N 0 1 297.322 0.788 20 30 CCEDMN N#Cc1ccc(N[C@@H]2CCCN(C(=O)c3ccn[nH]3)C2)nn1 ZINC001057590766 853435037 /nfs/dbraw/zinc/43/50/37/853435037.db2.gz GXWDJXOHRQCZCM-LLVKDONJSA-N 0 1 297.322 0.788 20 30 CCEDMN C=C(C)CN1CCOC[C@@H]1CNC(=O)c1[nH]ncc1F ZINC001051104673 853524675 /nfs/dbraw/zinc/52/46/75/853524675.db2.gz ZSMXKATWCFTNQG-JTQLQIEISA-N 0 1 282.319 0.556 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@@H]1COCCN1CC#N ZINC001051254264 853555571 /nfs/dbraw/zinc/55/55/71/853555571.db2.gz GJIKEHVFYGLBDS-KGLIPLIRSA-N 0 1 294.399 0.201 20 30 CCEDMN N#Cc1nccnc1NC1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001051983347 853669704 /nfs/dbraw/zinc/66/97/04/853669704.db2.gz WDSJRCJXQBFAQY-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1nccnc1NC1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001051983347 853669709 /nfs/dbraw/zinc/66/97/09/853669709.db2.gz WDSJRCJXQBFAQY-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cnccc1N1CC[C@@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001058443937 853847263 /nfs/dbraw/zinc/84/72/63/853847263.db2.gz XGYMLRBCGAOPLT-LLVKDONJSA-N 0 1 297.322 0.009 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CC2(C)CC2)C[C@@H]1n1ccnn1 ZINC001070236786 854037069 /nfs/dbraw/zinc/03/70/69/854037069.db2.gz JQWTZUNHTKDMMO-OLZOCXBDSA-N 0 1 287.367 0.443 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cocn2)C[C@H]1c1cn(C)cn1 ZINC001070474972 854064320 /nfs/dbraw/zinc/06/43/20/854064320.db2.gz XIVMZCABQGHJMA-WCQYABFASA-N 0 1 299.334 0.239 20 30 CCEDMN C#CCN1C[C@@H](F)C[C@@H]1c1nc(CNC(=O)C2CC2)n[nH]1 ZINC001070724875 854090056 /nfs/dbraw/zinc/09/00/56/854090056.db2.gz HLHVNRDCHBWROL-WDEREUQCSA-N 0 1 291.330 0.549 20 30 CCEDMN C#CCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(=O)C2CC2)[nH]1 ZINC001070724875 854090058 /nfs/dbraw/zinc/09/00/58/854090058.db2.gz HLHVNRDCHBWROL-WDEREUQCSA-N 0 1 291.330 0.549 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)C(C)C)C[C@H](C)O2 ZINC001071134831 854126982 /nfs/dbraw/zinc/12/69/82/854126982.db2.gz YGSXXDFASFFGBG-DZGCQCFKSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2=COCCO2)CC[C@@H]1C ZINC001071317868 854155581 /nfs/dbraw/zinc/15/55/81/854155581.db2.gz ZTVUMVVHQCTMFW-NWDGAFQWSA-N 0 1 264.325 0.477 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NC1(C(=O)[O-])CCCC1 ZINC000394261026 854160235 /nfs/dbraw/zinc/16/02/35/854160235.db2.gz IHAYBVVAMVILCW-UHFFFAOYSA-N 0 1 281.356 0.638 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2=CCOCC2)CC[C@H]1C ZINC001071455362 854203544 /nfs/dbraw/zinc/20/35/44/854203544.db2.gz ZJTMPTLVOIPTCF-TZMCWYRMSA-N 0 1 262.353 0.935 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cn(C)nc2C)CC[C@@H]1C ZINC001071571641 854238848 /nfs/dbraw/zinc/23/88/48/854238848.db2.gz JSJJAPRDQPOYJK-SWLSCSKDSA-N 0 1 288.395 0.873 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cnc[nH]c2=O)CC[C@H]1C ZINC001071601132 854245890 /nfs/dbraw/zinc/24/58/90/854245890.db2.gz WSHAPCFIXUHSJC-GHMZBOCLSA-N 0 1 274.324 0.398 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN2CCCCC2=O)CC[C@@H]1C ZINC001071632181 854253052 /nfs/dbraw/zinc/25/30/52/854253052.db2.gz VFWBAHFNUZXBTP-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2c(C)nc[nH]c2=O)CC[C@@H]1C ZINC001071762946 854282997 /nfs/dbraw/zinc/28/29/97/854282997.db2.gz RIGXKTKITSLUCZ-JQWIXIFHSA-N 0 1 288.351 0.707 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CC[C@@H](C)N(CCO)C2)nn1 ZINC001071884039 854312372 /nfs/dbraw/zinc/31/23/72/854312372.db2.gz WRJULUOZBWMMNW-NEPJUHHUSA-N 0 1 293.371 0.039 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2nc[nH]n2)CC[C@H]1C ZINC001071977066 854329606 /nfs/dbraw/zinc/32/96/06/854329606.db2.gz MFYWCBWTFZJIHD-VXGBXAGGSA-N 0 1 275.356 0.340 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2ccccc2=O)CC[C@H]1C ZINC001072064524 854342601 /nfs/dbraw/zinc/34/26/01/854342601.db2.gz ZUTWFNMFQRJINB-KGLIPLIRSA-N 0 1 287.363 0.451 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3C[C@@H]3OCC)C2)C1 ZINC001072493178 854398991 /nfs/dbraw/zinc/39/89/91/854398991.db2.gz UMBIYUOUVAEGRB-OLZOCXBDSA-N 0 1 262.353 0.579 20 30 CCEDMN Cc1ncc(C(=O)N2CC3(C2)CCN(CC#N)C3)[nH]1 ZINC001072547456 854412731 /nfs/dbraw/zinc/41/27/31/854412731.db2.gz BCXVOHRRVTYKSX-UHFFFAOYSA-N 0 1 259.313 0.390 20 30 CCEDMN C[C@H](C(=O)N(C)C)N1CCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001072552288 854414843 /nfs/dbraw/zinc/41/48/43/854414843.db2.gz NRCOKHBXXFDLJQ-NWDGAFQWSA-N 0 1 292.383 0.157 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)n3cncn3)C2)C1 ZINC001072645057 854436136 /nfs/dbraw/zinc/43/61/36/854436136.db2.gz YNCYGNFSLCXEIF-GFCCVEGCSA-N 0 1 273.340 0.007 20 30 CCEDMN C=CCCC(=O)N[C@H]1CN(C(=O)Cc2c[nH]cn2)C[C@@H]1C ZINC001072799930 854464234 /nfs/dbraw/zinc/46/42/34/854464234.db2.gz ZVABZOVRIAESEZ-AAEUAGOBSA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@]3(C)CCNC(=O)C3)C2)C1 ZINC001072851056 854480950 /nfs/dbraw/zinc/48/09/50/854480950.db2.gz IVGGFWZUADEGAO-HNNXBMFYSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCc4cncn4C3)C2)C1 ZINC001072870222 854483658 /nfs/dbraw/zinc/48/36/58/854483658.db2.gz BTMKOJQHRYNYPZ-AWEZNQCLSA-N 0 1 298.390 0.613 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ncnc4[nH]ccc43)C2)C1 ZINC001072897019 854488510 /nfs/dbraw/zinc/48/85/10/854488510.db2.gz UDWCDTOHXHPUNE-UHFFFAOYSA-N 0 1 295.346 0.691 20 30 CCEDMN CC#CC[N@H+]1CCC2(CN(C(=O)CCc3cncnc3)C2)C1 ZINC001072905200 854491220 /nfs/dbraw/zinc/49/12/20/854491220.db2.gz ZCYKTSIXNSOVTB-UHFFFAOYSA-N 0 1 298.390 0.967 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(CC)nn3)C2)C1 ZINC001073086094 854527038 /nfs/dbraw/zinc/52/70/38/854527038.db2.gz ZGUDZGWFRWXLSY-UHFFFAOYSA-N 0 1 273.340 0.079 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnsn2)C1 ZINC001073539680 854584335 /nfs/dbraw/zinc/58/43/35/854584335.db2.gz QHPGQSYPDZVERP-SNVBAGLBSA-N 0 1 282.369 0.545 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cc(C)n(C)n2)C1 ZINC001073547272 854588803 /nfs/dbraw/zinc/58/88/03/854588803.db2.gz FMXVSKMWODZERE-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001073550556 854590949 /nfs/dbraw/zinc/59/09/49/854590949.db2.gz OFADAMOQDJHTMA-KBPBESRZSA-N 0 1 280.368 0.253 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H]2CC2(F)F)C1 ZINC001073595417 854607690 /nfs/dbraw/zinc/60/76/90/854607690.db2.gz MWPVTGKNEAKBAP-NWDGAFQWSA-N 0 1 286.322 0.872 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnnn2CC)C1 ZINC001073595885 854608932 /nfs/dbraw/zinc/60/89/32/854608932.db2.gz XYNIQKCJAKPTJT-LBPRGKRZSA-N 0 1 293.371 0.305 20 30 CCEDMN C[C@@H](CCNC(=O)c1nc[nH]n1)Nc1ccc(C#N)cn1 ZINC001099381755 854929437 /nfs/dbraw/zinc/92/94/37/854929437.db2.gz FTDUXKXDQZUCDU-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@@H](CCNC(=O)c1ncn[nH]1)Nc1ccc(C#N)cn1 ZINC001099381755 854929434 /nfs/dbraw/zinc/92/94/34/854929434.db2.gz FTDUXKXDQZUCDU-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCCC)[C@H](O)C1 ZINC001099731804 854979186 /nfs/dbraw/zinc/97/91/86/854979186.db2.gz DFKJGHPTODICJN-NWDGAFQWSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCSC)[C@H](O)C1 ZINC001099654623 854957048 /nfs/dbraw/zinc/95/70/48/854957048.db2.gz PUSMDPADEQJSCP-GHMZBOCLSA-N 0 1 258.387 0.477 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCSC)[C@H](O)C1 ZINC001099663271 854962725 /nfs/dbraw/zinc/96/27/25/854962725.db2.gz MAQLZSPCVFPTQV-VXGBXAGGSA-N 0 1 270.398 0.314 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CSC(C)C)[C@H](O)C1 ZINC001099689652 854968880 /nfs/dbraw/zinc/96/88/80/854968880.db2.gz HLRLWMYQKRCAIE-CHWSQXEVSA-N 0 1 284.425 0.703 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCC(F)(F)F)[C@@H](O)C1 ZINC001099764387 854988696 /nfs/dbraw/zinc/98/86/96/854988696.db2.gz VEFJRYSCQRAYMZ-MNOVXSKESA-N 0 1 292.301 0.904 20 30 CCEDMN C[C@@H](CCNC(=O)c1cnn[nH]1)Nc1ccncc1C#N ZINC001099777669 854993360 /nfs/dbraw/zinc/99/33/60/854993360.db2.gz SHVFTBUVDKCHFV-VIFPVBQESA-N 0 1 285.311 0.114 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCN(CCOC(C)C)C[C@@H]1O ZINC001099820326 855001893 /nfs/dbraw/zinc/00/18/93/855001893.db2.gz CWACNNPQEYPHGG-CABCVRRESA-N 0 1 296.411 0.622 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COc2cc(C)on2)[C@@H](O)C1 ZINC001099881624 855018467 /nfs/dbraw/zinc/01/84/67/855018467.db2.gz FPKISOJTNRZYJM-RYUDHWBXSA-N 0 1 295.339 0.099 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001099996515 855065657 /nfs/dbraw/zinc/06/56/57/855065657.db2.gz MEVGCUSGGQGPIP-STQMWFEESA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001099996515 855065659 /nfs/dbraw/zinc/06/56/59/855065659.db2.gz MEVGCUSGGQGPIP-STQMWFEESA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)CCCOC(C)C)[C@@H](O)C1 ZINC001100142621 855106768 /nfs/dbraw/zinc/10/67/68/855106768.db2.gz FVTQYSJQXXMRQO-GJZGRUSLSA-N 0 1 296.411 0.766 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCOC(C)C)[C@@H](O)C1 ZINC001100142621 855106770 /nfs/dbraw/zinc/10/67/70/855106770.db2.gz FVTQYSJQXXMRQO-GJZGRUSLSA-N 0 1 296.411 0.766 20 30 CCEDMN CC(C)(C(=O)NCC1CC(NCC#N)C1)c1cnc[nH]1 ZINC001100874781 855235515 /nfs/dbraw/zinc/23/55/15/855235515.db2.gz XYEKANMVTPDLDH-UHFFFAOYSA-N 0 1 275.356 0.695 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H]2CN([C@@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001101253719 855277631 /nfs/dbraw/zinc/27/76/31/855277631.db2.gz UBKIVFMAVZOBIV-ZENOOKHLSA-N 0 1 293.411 0.997 20 30 CCEDMN N#Cc1cccnc1N(CCNC(=O)c1ncn[nH]1)C1CC1 ZINC001101371868 855287632 /nfs/dbraw/zinc/28/76/32/855287632.db2.gz GBLXMFVYNXUAFY-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cccnc1N(CCNC(=O)c1nc[nH]n1)C1CC1 ZINC001101371868 855287642 /nfs/dbraw/zinc/28/76/42/855287642.db2.gz GBLXMFVYNXUAFY-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)CC2CC2)[C@H](C)C1 ZINC001101672655 855339816 /nfs/dbraw/zinc/33/98/16/855339816.db2.gz XIKMOPFESUBILS-TZMCWYRMSA-N 0 1 293.411 0.773 20 30 CCEDMN CN(CCNc1ncccc1C#N)C(=O)Cc1ncn[nH]1 ZINC001101772033 855364630 /nfs/dbraw/zinc/36/46/30/855364630.db2.gz OUGGTBCCKZRFRN-UHFFFAOYSA-N 0 1 285.311 0.184 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)[C@@H](C)OC)[C@H](C)C1 ZINC001101774847 855364776 /nfs/dbraw/zinc/36/47/76/855364776.db2.gz XIDIYSAEYCBFDS-JHJVBQTASA-N 0 1 297.399 0.008 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)[C@H](F)CC)[C@H](C)C1 ZINC001102231429 855421179 /nfs/dbraw/zinc/42/11/79/855421179.db2.gz JLUKWGNZFDDRJA-JHJVBQTASA-N 0 1 297.374 0.168 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC[C@@H]1CN(CC(=O)NC)C[C@H]1C ZINC001102609721 855448812 /nfs/dbraw/zinc/44/88/12/855448812.db2.gz JJPNTDBVKIKWFT-JHJVBQTASA-N 0 1 297.399 0.008 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)CCc1cnc[nH]1 ZINC001103070414 855495025 /nfs/dbraw/zinc/49/50/25/855495025.db2.gz IFOWIEIBBVCRQN-CHWSQXEVSA-N 0 1 289.383 0.940 20 30 CCEDMN C=CCOCC(=O)N[C@]12CCC[C@H]1CN([C@H](C)C(N)=O)C2 ZINC001111660339 855585074 /nfs/dbraw/zinc/58/50/74/855585074.db2.gz FJOFHNVKBPQQTQ-XUJVJEKNSA-N 0 1 295.383 0.034 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OC)C1CCCC1 ZINC001115178016 855650590 /nfs/dbraw/zinc/65/05/90/855650590.db2.gz HAFIBRHTDOJUCP-CBBWQLFWSA-N 0 1 276.380 0.871 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CNC(=O)[C@@H](C)CC ZINC001115253424 855655174 /nfs/dbraw/zinc/65/51/74/855655174.db2.gz AWIATTFHECMVFI-RMRHIDDWSA-N 0 1 291.395 0.218 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)CCOC ZINC001115265749 855656533 /nfs/dbraw/zinc/65/65/33/855656533.db2.gz OPULCYCBBQDYCI-WUHRBBMRSA-N 0 1 250.342 0.339 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCOc1cccnc1 ZINC001115271193 855657448 /nfs/dbraw/zinc/65/74/48/855657448.db2.gz DCUOXEULJBWXNN-QLPKVWCKSA-N 0 1 299.374 0.920 20 30 CCEDMN CN1CCC(C#N)(NC(=O)/C=C\c2ccncn2)CC1 ZINC001116558297 855787661 /nfs/dbraw/zinc/78/76/61/855787661.db2.gz RORWBTIZAVYWMU-IHWYPQMZSA-N 0 1 271.324 0.594 20 30 CCEDMN C=C(CO)C(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC001116854794 855848750 /nfs/dbraw/zinc/84/87/50/855848750.db2.gz OROPISHYBXQBFW-UHFFFAOYSA-N 0 1 277.324 0.334 20 30 CCEDMN C=C[C@H](COC)NC(=O)NC[C@@H]1CCCC[N@H+]1CCO ZINC001117863241 856093258 /nfs/dbraw/zinc/09/32/58/856093258.db2.gz KVMHFDXVLRWIEX-OLZOCXBDSA-N 0 1 285.388 0.333 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)C(=O)NCc1cn[nH]c1C ZINC001118110437 856165257 /nfs/dbraw/zinc/16/52/57/856165257.db2.gz DCOYTSNPSKZJMS-JQWIXIFHSA-N 0 1 292.339 0.042 20 30 CCEDMN COCc1nc(CNC(=O)NCC(C)(C)C#N)n[nH]1 ZINC001118601541 856362691 /nfs/dbraw/zinc/36/26/91/856362691.db2.gz CDSBEXVEUQAHBV-UHFFFAOYSA-N 0 1 266.305 0.300 20 30 CCEDMN COCc1nnc(CNC(=O)NCC(C)(C)C#N)[nH]1 ZINC001118601541 856362699 /nfs/dbraw/zinc/36/26/99/856362699.db2.gz CDSBEXVEUQAHBV-UHFFFAOYSA-N 0 1 266.305 0.300 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCN(C(C)(C)CO)CC1 ZINC001119647559 856809262 /nfs/dbraw/zinc/80/92/62/856809262.db2.gz IMMSIOVBTSUVMK-UHFFFAOYSA-N 0 1 280.376 0.142 20 30 CCEDMN Cc1cc(CNC(=O)N2CCO[C@@](C)(C#N)C2)n[nH]1 ZINC001120035611 856965940 /nfs/dbraw/zinc/96/59/40/856965940.db2.gz YGXNQKHHVZKLCI-LBPRGKRZSA-N 0 1 263.301 0.542 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC001392892310 912242970 /nfs/dbraw/zinc/24/29/70/912242970.db2.gz BNRXJBRVUGOHGW-NXEZZACHSA-N 0 1 286.759 0.786 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@H]2CCC2(C)C)CC1 ZINC001323521036 912389691 /nfs/dbraw/zinc/38/96/91/912389691.db2.gz XQMQEJTXPNMBAB-GFCCVEGCSA-N 0 1 293.411 0.963 20 30 CCEDMN C#CCN(C(=O)c1cn(C)cn1)C1CCN(CC#CC)CC1 ZINC001324385024 912815977 /nfs/dbraw/zinc/81/59/77/912815977.db2.gz SMVMQHHSWKDSCQ-UHFFFAOYSA-N 0 1 298.390 0.983 20 30 CCEDMN C#CCN(C(=O)[C@H]1CO[C@@H](C)C1)C1CCN(CC#C)CC1 ZINC001324397133 912823243 /nfs/dbraw/zinc/82/32/43/912823243.db2.gz VIIMGBFDIRAMPH-LSDHHAIUSA-N 0 1 288.391 0.971 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)COC2CCCC2)C1 ZINC001325070297 913186139 /nfs/dbraw/zinc/18/61/39/913186139.db2.gz XENLWMFYLHKCEW-MRXNPFEDSA-N 0 1 294.395 0.522 20 30 CCEDMN Cc1cc(CN[C@H](CO)CNC(=O)[C@@H](C)C#N)cs1 ZINC001394585976 913292938 /nfs/dbraw/zinc/29/29/38/913292938.db2.gz ZWYKGEYHHZCIFP-CABZTGNLSA-N 0 1 281.381 0.783 20 30 CCEDMN CCn1ncnc1CNC1CC(N(C)C(=O)[C@H](C)C#N)C1 ZINC001394850224 913464019 /nfs/dbraw/zinc/46/40/19/913464019.db2.gz DALUHIFTAADWKY-VOMCLLRMSA-N 0 1 290.371 0.537 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CC(C)(C)CNC(=O)c1ncn[nH]1 ZINC001395020975 913514458 /nfs/dbraw/zinc/51/44/58/913514458.db2.gz OAMONJOLMWLODT-SECBINFHSA-N 0 1 292.343 0.179 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CC(C)(C)CNC(=O)c1nc[nH]n1 ZINC001395020975 913514468 /nfs/dbraw/zinc/51/44/68/913514468.db2.gz OAMONJOLMWLODT-SECBINFHSA-N 0 1 292.343 0.179 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCCN(C(=O)CC)C1 ZINC001480867898 891434772 /nfs/dbraw/zinc/43/47/72/891434772.db2.gz WMBXRKXFJPALOO-CYBMUJFWSA-N 0 1 279.384 0.316 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@@H]2CC3(CC3)C(=O)O2)C1 ZINC001345781291 891460078 /nfs/dbraw/zinc/46/00/78/891460078.db2.gz OYRZBYFSVDXQEA-GXFFZTMASA-N 0 1 250.298 0.433 20 30 CCEDMN CC#CCN(C)CCNC(=O)Cn1cc(C2CC2)nn1 ZINC001480874152 891489637 /nfs/dbraw/zinc/48/96/37/891489637.db2.gz SZLRZAZJHZZESZ-UHFFFAOYSA-N 0 1 275.356 0.227 20 30 CCEDMN C=CC1CCN(C(=O)[C@H]2CN3CCN2C[C@H]3C)CC1 ZINC001346426631 891490289 /nfs/dbraw/zinc/49/02/89/891490289.db2.gz NFTJWUMZPKFTCR-TZMCWYRMSA-N 0 1 263.385 0.799 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)[C@@H]2CN3CCN2C[C@H]3C)C1 ZINC001347303701 891560185 /nfs/dbraw/zinc/56/01/85/891560185.db2.gz ABJMUZORPMHYMP-MCIONIFRSA-N 0 1 261.369 0.247 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)COCc1nccn1C ZINC001481045567 892112116 /nfs/dbraw/zinc/11/21/16/892112116.db2.gz NJTACUIATOCGRX-ZDUSSCGKSA-N 0 1 290.367 0.151 20 30 CCEDMN CCCN(CCNC(=O)c1c[nH]c(C#N)c1)[C@H](C)C(N)=O ZINC001481134531 892220449 /nfs/dbraw/zinc/22/04/49/892220449.db2.gz NQTGIEPKFGGSGJ-SNVBAGLBSA-N 0 1 291.355 0.202 20 30 CCEDMN COCC#CCN(CCNC(=O)c1cnccn1)C1CC1 ZINC001481158707 892249333 /nfs/dbraw/zinc/24/93/33/892249333.db2.gz XJVHQGWXKGFMQF-UHFFFAOYSA-N 0 1 288.351 0.321 20 30 CCEDMN CC[C@H](OC)C(=O)NCCN(CC#CCOC)C1CC1 ZINC001481160835 892252122 /nfs/dbraw/zinc/25/21/22/892252122.db2.gz RGNVYQPILLKUTG-AWEZNQCLSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CCNC2=O)C1 ZINC001481404795 892616625 /nfs/dbraw/zinc/61/66/25/892616625.db2.gz SQRFECFOAYTEHG-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN CC(C)(N)c1noc(COc2cnc(C#N)nc2)n1 ZINC001326017708 913699673 /nfs/dbraw/zinc/69/96/73/913699673.db2.gz HCDKYUJJEZQNPR-UHFFFAOYSA-N 0 1 260.257 0.504 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(C(N)=O)co1 ZINC001028127449 892872980 /nfs/dbraw/zinc/87/29/80/892872980.db2.gz IPCGJIWQOMLYJG-LLVKDONJSA-N 0 1 277.324 0.759 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCOCC(C)C)C1 ZINC001481685215 893002231 /nfs/dbraw/zinc/00/22/31/893002231.db2.gz DPSWEWURLRIISV-ZDUSSCGKSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2ccnnc2)C1 ZINC001481693414 893020026 /nfs/dbraw/zinc/02/00/26/893020026.db2.gz WMPHYOKQJOKESN-ZDUSSCGKSA-N 0 1 276.340 0.483 20 30 CCEDMN C=CCOCCN1CC[C@H](N(C)C(=O)c2n[nH]nc2C)C1 ZINC001481734270 893102645 /nfs/dbraw/zinc/10/26/45/893102645.db2.gz DXZIREXDTVOOEK-LBPRGKRZSA-N 0 1 293.371 0.462 20 30 CCEDMN CC[C@@H](CNC(=O)c1[nH]nc2c1CCCC2)NCC#N ZINC001481827722 893191493 /nfs/dbraw/zinc/19/14/93/893191493.db2.gz IHKARACKVAVYLZ-JTQLQIEISA-N 0 1 275.356 0.910 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1nonc1C ZINC001481876418 893235142 /nfs/dbraw/zinc/23/51/42/893235142.db2.gz LEAIMSXXNITEGD-OLZOCXBDSA-N 0 1 288.351 0.619 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H](C)CNCc1nonc1C ZINC001482101092 893394488 /nfs/dbraw/zinc/39/44/88/893394488.db2.gz KSTJWQOIFHLIPN-ZYHUDNBSSA-N 0 1 294.355 0.258 20 30 CCEDMN C=CCOCC(=O)N(C)[C@H](C)CNCc1nccn1C ZINC001482266431 893710791 /nfs/dbraw/zinc/71/07/91/893710791.db2.gz XGMZAVYKUTTWPC-GFCCVEGCSA-N 0 1 280.372 0.559 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)CNC(=O)CC ZINC001501383537 893935178 /nfs/dbraw/zinc/93/51/78/893935178.db2.gz VCFKOLLQEZJSAX-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN CC(C)C#CC(=O)NCC1(C)CCN([C@@H](C)C(N)=O)CC1 ZINC001270879173 893948341 /nfs/dbraw/zinc/94/83/41/893948341.db2.gz JYIAQTHNUJEJTP-ZDUSSCGKSA-N 0 1 293.411 0.738 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cnc(N(C)C)cn1 ZINC001272020392 894300721 /nfs/dbraw/zinc/30/07/21/894300721.db2.gz MFPYTVXYQYHMDU-UHFFFAOYSA-N 0 1 289.383 0.570 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ccnc2[nH]cnc21 ZINC001482599759 894385633 /nfs/dbraw/zinc/38/56/33/894385633.db2.gz BUSXALCQGMERSS-UHFFFAOYSA-N 0 1 285.351 0.985 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnn(-c2ccccn2)c1 ZINC001496793539 894710579 /nfs/dbraw/zinc/71/05/79/894710579.db2.gz PEXASZDDEJMEQC-UHFFFAOYSA-N 0 1 297.362 0.904 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)[C@@H](C)CC ZINC001482982467 894755660 /nfs/dbraw/zinc/75/56/60/894755660.db2.gz YLWHXRUIYPCETH-SYTKJHMZSA-N 0 1 265.357 0.044 20 30 CCEDMN CC(C)=C(C)CC(=O)NC/C=C/CNCC(=O)NCC#N ZINC001483046224 894844252 /nfs/dbraw/zinc/84/42/52/894844252.db2.gz LBWYQQMIAPMDLD-SNAWJCMRSA-N 0 1 292.383 0.635 20 30 CCEDMN CNc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC001326375149 913923099 /nfs/dbraw/zinc/92/30/99/913923099.db2.gz HILQTFUYTLMCEL-UFBFGSQYSA-N 0 1 289.295 0.478 20 30 CCEDMN CCn1nncc1CN[C@@H](C)CN(C)C(=O)[C@@H](C)C#N ZINC001507058297 895136857 /nfs/dbraw/zinc/13/68/57/895136857.db2.gz ABOZOWJUBJXESI-QWRGUYRKSA-N 0 1 278.360 0.394 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(=O)n(C)cn1 ZINC001483236445 895276552 /nfs/dbraw/zinc/27/65/52/895276552.db2.gz FYLOPRCOFFQOQR-VIFPVBQESA-N 0 1 284.747 0.241 20 30 CCEDMN C=C(C)CCN(C)C[C@@H](C)NC(=O)[C@H](C)S(C)(=O)=O ZINC001483351599 895488622 /nfs/dbraw/zinc/48/86/22/895488622.db2.gz ALFZQECROSZORK-NEPJUHHUSA-N 0 1 290.429 0.822 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CN(C)[C@H]1CCCNC1=O ZINC001483373040 895512221 /nfs/dbraw/zinc/51/22/21/895512221.db2.gz SVVFDLSDGQLDHL-RYUDHWBXSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnc(OCC)cn1 ZINC001483425435 895559652 /nfs/dbraw/zinc/55/96/52/895559652.db2.gz ALUMVOMMUCQZDN-LLVKDONJSA-N 0 1 276.340 0.559 20 30 CCEDMN C=CCn1cc(CNC2CCN(C(C)=O)CC2)nn1 ZINC001326486411 913985406 /nfs/dbraw/zinc/98/54/06/913985406.db2.gz MUEGYHAHBVEUAL-UHFFFAOYSA-N 0 1 263.345 0.565 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)CNC(=O)C1CC1)C1CC1 ZINC001483546623 895640406 /nfs/dbraw/zinc/64/04/06/895640406.db2.gz NCQGZGOCENZGJD-LBPRGKRZSA-N 0 1 299.802 0.750 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@@H]1CCN1C[C@@H](O)COC ZINC001483652209 895802323 /nfs/dbraw/zinc/80/23/23/895802323.db2.gz BXNZRGKPDAIMBX-QWHCGFSZSA-N 0 1 284.400 0.787 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC(C)(NC(=O)C#CC(C)C)CC1 ZINC001483968309 896138016 /nfs/dbraw/zinc/13/80/16/896138016.db2.gz ZKARRCVMJGWSEX-CYBMUJFWSA-N 0 1 293.411 0.880 20 30 CCEDMN C#CCN(C(=O)CCC)C1CCN([C@H](CC)C(N)=O)CC1 ZINC001483978221 896141610 /nfs/dbraw/zinc/14/16/10/896141610.db2.gz WAVHSHIMKXLEBD-CQSZACIVSA-N 0 1 293.411 0.977 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001484075847 896185325 /nfs/dbraw/zinc/18/53/25/896185325.db2.gz NKSSGMOFTRNIEO-IUODEOHRSA-N 0 1 274.368 0.737 20 30 CCEDMN C=CCOCC(=O)N1CC[C@](C)(CNCc2cnon2)C1 ZINC001484083018 896192543 /nfs/dbraw/zinc/19/25/43/896192543.db2.gz MPMCOXOAVLLMAR-CQSZACIVSA-N 0 1 294.355 0.600 20 30 CCEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)Cc2nc[nH]n2)C1 ZINC001484196560 896229012 /nfs/dbraw/zinc/22/90/12/896229012.db2.gz VAZGRVPTLRUIDW-JTQLQIEISA-N 0 1 299.325 0.607 20 30 CCEDMN C=CCOCC(=O)NC[C@]1(O)CCN(C/C=C/Cl)C1 ZINC001484208174 896237174 /nfs/dbraw/zinc/23/71/74/896237174.db2.gz MJECJPFBEGXTKV-MASHWEEQSA-N 0 1 288.775 0.495 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H](C)CCCC)C1 ZINC001484214705 896248547 /nfs/dbraw/zinc/24/85/47/896248547.db2.gz AKDJLRHIKYBRIV-HIFRSBDPSA-N 0 1 266.385 0.999 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](O)(CNC(=O)[C@H]2CCC2(F)F)C1 ZINC001484223148 896254265 /nfs/dbraw/zinc/25/42/65/896254265.db2.gz GKRQBLJSAGHBQW-ZYHUDNBSSA-N 0 1 272.295 0.218 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H]2CCC2(F)F)C1 ZINC001484223148 896254279 /nfs/dbraw/zinc/25/42/79/896254279.db2.gz GKRQBLJSAGHBQW-ZYHUDNBSSA-N 0 1 272.295 0.218 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2cocc2C)C1 ZINC001484232336 896265183 /nfs/dbraw/zinc/26/51/83/896265183.db2.gz SFLHWMVLXASKED-OAHLLOKOSA-N 0 1 276.336 0.778 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H]2Cc3ccccc32)C1 ZINC001484233267 896265218 /nfs/dbraw/zinc/26/52/18/896265218.db2.gz ANDXUYRWQSVMOD-DOTOQJQBSA-N 0 1 284.359 0.513 20 30 CCEDMN CC#CC[N@H+]1CC[C@](O)(CNC(=O)c2cc(F)c[nH]2)C1 ZINC001484231462 896266504 /nfs/dbraw/zinc/26/65/04/896266504.db2.gz NWNAPELPELOZCI-AWEZNQCLSA-N 0 1 279.315 0.344 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2cc(F)c[nH]2)C1 ZINC001484231462 896266516 /nfs/dbraw/zinc/26/65/16/896266516.db2.gz NWNAPELPELOZCI-AWEZNQCLSA-N 0 1 279.315 0.344 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]([C@H](C)NCC#N)C2)n[nH]1 ZINC001484332838 896347207 /nfs/dbraw/zinc/34/72/07/896347207.db2.gz PWQUSCQLIDWMAN-QWRGUYRKSA-N 0 1 261.329 0.682 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)c2cnccn2)C1 ZINC001484426682 896392360 /nfs/dbraw/zinc/39/23/60/896392360.db2.gz SSLLONCRRKGFII-HNNXBMFYSA-N 0 1 290.367 0.609 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)COCCCOC ZINC001484464051 896418388 /nfs/dbraw/zinc/41/83/88/896418388.db2.gz IZDGFGHKNFHDRF-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCCC(=O)N(C)CCCN(C)[C@H](C)C(=O)NC(N)=O ZINC001484735196 896579897 /nfs/dbraw/zinc/57/98/97/896579897.db2.gz PIDWLYFHOCTYLJ-LLVKDONJSA-N 0 1 298.387 0.316 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](NC(C)=O)C[C@H]1C ZINC001484969104 896686917 /nfs/dbraw/zinc/68/69/17/896686917.db2.gz KWZNLIINTHZFKG-TZMCWYRMSA-N 0 1 294.399 0.738 20 30 CCEDMN C=CCn1cc(CNC(=O)N[C@@H](C)c2n[nH]c(C)n2)nn1 ZINC001326679476 914092359 /nfs/dbraw/zinc/09/23/59/914092359.db2.gz LAMRSEFJBAEXRQ-QMMMGPOBSA-N 0 1 290.331 0.451 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@H]2CC2(F)F)CC1 ZINC001485018339 896718871 /nfs/dbraw/zinc/71/88/71/896718871.db2.gz DPYGJTSRYCFPFJ-LLVKDONJSA-N 0 1 286.322 0.608 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H]2CCC[C@@H]2OC)CC1 ZINC001485020528 896723515 /nfs/dbraw/zinc/72/35/15/896723515.db2.gz PIFNDKMFQKRXHG-KBPBESRZSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H]2CCC[C@H]2OC)CC1 ZINC001485020534 896724687 /nfs/dbraw/zinc/72/46/87/896724687.db2.gz PIFNDKMFQKRXHG-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC001485056128 896731611 /nfs/dbraw/zinc/73/16/11/896731611.db2.gz PONDZNXBCSOWLK-KGLIPLIRSA-N 0 1 291.395 0.587 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H](C)OCC ZINC001485047222 896732149 /nfs/dbraw/zinc/73/21/49/896732149.db2.gz JEQGEDWDXFFWAY-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)c1cnc2n[nH]nc2c1 ZINC001485061766 896749842 /nfs/dbraw/zinc/74/98/42/896749842.db2.gz WYYUEUPFGZTKMZ-GFCCVEGCSA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cnc2n[nH]nc2c1 ZINC001485061766 896749850 /nfs/dbraw/zinc/74/98/50/896749850.db2.gz WYYUEUPFGZTKMZ-GFCCVEGCSA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cnc[nH]c1=O ZINC001485064049 896750178 /nfs/dbraw/zinc/75/01/78/896750178.db2.gz XTYFBKJMDSPQII-LLVKDONJSA-N 0 1 274.324 0.352 20 30 CCEDMN C[C@@H](NC(=O)CSCC#N)[C@H](C)NCc1cnns1 ZINC001485124863 896801073 /nfs/dbraw/zinc/80/10/73/896801073.db2.gz NWANZPDNMLAVSN-DTWKUNHWSA-N 0 1 299.425 0.778 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](C)[C@H]1CCC(=O)NC1=O ZINC001485296841 896927731 /nfs/dbraw/zinc/92/77/31/896927731.db2.gz FEBCVYYRKMQYQU-NEPJUHHUSA-N 0 1 293.367 0.032 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)N(C)[C@H]1CCC(=O)NC1=O ZINC001485296841 896927743 /nfs/dbraw/zinc/92/77/43/896927743.db2.gz FEBCVYYRKMQYQU-NEPJUHHUSA-N 0 1 293.367 0.032 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)N(C)[C@@H]1CCCNC1=O ZINC001485302842 896944036 /nfs/dbraw/zinc/94/40/36/896944036.db2.gz GWPYXMFFBQHBFI-DGCLKSJQSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H](C)n2cncn2)C1 ZINC001485501220 897091447 /nfs/dbraw/zinc/09/14/47/897091447.db2.gz WCOKMVUSYQTAFB-NEPJUHHUSA-N 0 1 275.356 0.299 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)CCc2nc(C)no2)C1 ZINC001485517828 897098469 /nfs/dbraw/zinc/09/84/69/897098469.db2.gz NTFIOHXIEAMWGI-SNVBAGLBSA-N 0 1 278.356 0.933 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1C[N@H+](CC#CC)CC1(C)C ZINC001485569728 897119371 /nfs/dbraw/zinc/11/93/71/897119371.db2.gz NSDQYTTUVRSAGJ-KGLIPLIRSA-N 0 1 276.380 0.875 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1CN(CC#CC)CC1(C)C ZINC001485569728 897119383 /nfs/dbraw/zinc/11/93/83/897119383.db2.gz NSDQYTTUVRSAGJ-KGLIPLIRSA-N 0 1 276.380 0.875 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2cn[nH]c2)C(C)(C)C1 ZINC001485576545 897135447 /nfs/dbraw/zinc/13/54/47/897135447.db2.gz BSGHZGYFRVSRTN-CYBMUJFWSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cncnc1 ZINC001032377467 897364667 /nfs/dbraw/zinc/36/46/67/897364667.db2.gz AGTRQJIFIUGGPE-KBPBESRZSA-N 0 1 270.336 0.328 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2coc(C3CC3)n2)C1 ZINC001077791049 897505614 /nfs/dbraw/zinc/50/56/14/897505614.db2.gz QLCKOJBITBUACP-DGCLKSJQSA-N 0 1 291.351 0.903 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2nn[nH]c21 ZINC001032419273 897535784 /nfs/dbraw/zinc/53/57/84/897535784.db2.gz RFQSZFGKWUVEDM-RYUDHWBXSA-N 0 1 295.346 0.880 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCC(=O)NC1 ZINC001032441530 897575103 /nfs/dbraw/zinc/57/51/03/897575103.db2.gz QRKDBYGPCUIJEA-IHRRRGAJSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCC(=O)NC1 ZINC001032441530 897575112 /nfs/dbraw/zinc/57/51/12/897575112.db2.gz QRKDBYGPCUIJEA-IHRRRGAJSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1nc[nH]c1C(F)(F)F ZINC001032448543 897582264 /nfs/dbraw/zinc/58/22/64/897582264.db2.gz SUSLVCFOZITOOP-IUCAKERBSA-N 0 1 298.268 0.960 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]c(=O)[nH]c1C ZINC001032461982 897599146 /nfs/dbraw/zinc/59/91/46/897599146.db2.gz BKYCKOWQXMIRRD-QWRGUYRKSA-N 0 1 274.324 0.346 20 30 CCEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cn[nH]n1 ZINC001032493870 897648025 /nfs/dbraw/zinc/64/80/25/897648025.db2.gz QHFULVKIZPGMCR-QWRGUYRKSA-N 0 1 261.329 0.670 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CN1CCCCC1=O ZINC001032495598 897652956 /nfs/dbraw/zinc/65/29/56/897652956.db2.gz QBUYBDJKGJAEGM-KBPBESRZSA-N 0 1 289.379 0.307 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C2CC2)[nH]n1 ZINC001032644498 897893271 /nfs/dbraw/zinc/89/32/71/897893271.db2.gz HDNQVTCFXZSTSB-RYUDHWBXSA-N 0 1 270.336 0.819 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1C[C@@H]2C[C@H]1CN2CC#N ZINC001032673676 897923403 /nfs/dbraw/zinc/92/34/03/897923403.db2.gz DIZTWMULCFHYEE-DRZSPHRISA-N 0 1 273.340 0.397 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3C[C@H](C)OC)cn1 ZINC001032739389 898047763 /nfs/dbraw/zinc/04/77/63/898047763.db2.gz BHCXAMZFQSWMHV-RCBQFDQVSA-N 0 1 299.374 0.997 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2c[nH]c3cccnc32)C1 ZINC001078010555 898180432 /nfs/dbraw/zinc/18/04/32/898180432.db2.gz ABJQCCXRCBIOFU-ZIAGYGMSSA-N 0 1 298.346 0.361 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cnn(C(C)(C)C)c1C ZINC001486002018 898562854 /nfs/dbraw/zinc/56/28/54/898562854.db2.gz LMKGLMGVPDUFEN-LBPRGKRZSA-N 0 1 292.383 0.260 20 30 CCEDMN Cc1cc(CN[C@H]2C[C@@H](CNC(=O)[C@@H](C)C#N)C2)nn1C ZINC001397563350 914270573 /nfs/dbraw/zinc/27/05/73/914270573.db2.gz HDXKESVONRDHOT-WCFLWFBJSA-N 0 1 289.383 0.873 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc2nc(C)ccc2o1 ZINC001486054758 898642693 /nfs/dbraw/zinc/64/26/93/898642693.db2.gz DLBQHRBVKUEQHA-NSHDSACASA-N 0 1 287.319 0.450 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)CCc3cnc[nH]3)CCC[C@@H]12 ZINC001486149871 898688394 /nfs/dbraw/zinc/68/83/94/898688394.db2.gz UZAFFCMINKHQNI-UKRRQHHQSA-N 0 1 287.367 0.979 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@@H](N[C@H](C)c2nncn2C)C1 ZINC001397568610 914278905 /nfs/dbraw/zinc/27/89/05/914278905.db2.gz LFQJHPRGYADQAF-KKOKHZNYSA-N 0 1 290.371 0.520 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H]1C[C@H]1C1CC1 ZINC001486350710 898806862 /nfs/dbraw/zinc/80/68/62/898806862.db2.gz LEESGQJKFUFAMT-QLFBSQMISA-N 0 1 278.396 0.807 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H](OC)C1CCCC1 ZINC001486357759 898817028 /nfs/dbraw/zinc/81/70/28/898817028.db2.gz UAFVYNDZRMFGOV-GJZGRUSLSA-N 0 1 296.411 0.576 20 30 CCEDMN C#CCN(C)CCN(C(=O)c1cc(OC)ncn1)C(C)C ZINC001486368921 898839275 /nfs/dbraw/zinc/83/92/75/898839275.db2.gz BZZLUQKMACWWGZ-UHFFFAOYSA-N 0 1 290.367 0.901 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CC(NCc2cnns2)C1 ZINC001486457492 898885587 /nfs/dbraw/zinc/88/55/87/898885587.db2.gz FIIPVXWQHWMVAF-XNWIYYODSA-N 0 1 279.369 0.777 20 30 CCEDMN C=CCCC(=O)NCC1=CCN([C@@H]2CCCNC2=O)CC1 ZINC001486504470 898907138 /nfs/dbraw/zinc/90/71/38/898907138.db2.gz ZDSVASVHPOXKIM-CQSZACIVSA-N 0 1 291.395 0.980 20 30 CCEDMN C[C@@H](N[C@@H]1C[C@@H](CNC(=O)[C@@H](C)C#N)C1)c1nncn1C ZINC001397624378 914306824 /nfs/dbraw/zinc/30/68/24/914306824.db2.gz LFQJHPRGYADQAF-USZNOCQGSA-N 0 1 290.371 0.520 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)Cc3ncc[nH]3)[C@@H]2C1 ZINC001410108480 899231192 /nfs/dbraw/zinc/23/11/92/899231192.db2.gz GYSQSVRYALDNIH-CNDDSTCGSA-N 0 1 294.786 0.999 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@H](NC(=O)COC)CC1 ZINC001327093654 914366472 /nfs/dbraw/zinc/36/64/72/914366472.db2.gz FFVKFJWWUZNXGT-OLZOCXBDSA-N 0 1 297.399 0.294 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001415293930 899769283 /nfs/dbraw/zinc/76/92/83/899769283.db2.gz WZRXPUUNFPJEFJ-UWVGGRQHSA-N 0 1 275.312 0.148 20 30 CCEDMN C[C@@H](CNc1ccncc1C#N)NC(=O)CCc1nc[nH]n1 ZINC001108327133 899773785 /nfs/dbraw/zinc/77/37/85/899773785.db2.gz NJMCBEJEPUKGOF-JTQLQIEISA-N 0 1 299.338 0.043 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCNC(=O)C1)C2 ZINC001095305355 899945015 /nfs/dbraw/zinc/94/50/15/899945015.db2.gz KNHIPHVRFBQOIN-CRWXNKLISA-N 0 1 289.379 0.257 20 30 CCEDMN C[C@@H](CCCCNCC#N)NC(=O)CCc1c[nH]nn1 ZINC001175335261 899962386 /nfs/dbraw/zinc/96/23/86/899962386.db2.gz YSSKUMUGQVOKJG-NSHDSACASA-N 0 1 278.360 0.525 20 30 CCEDMN C[C@@H](CCCCNCC#N)NC(=O)CCc1cnn[nH]1 ZINC001175335261 899962395 /nfs/dbraw/zinc/96/23/95/899962395.db2.gz YSSKUMUGQVOKJG-NSHDSACASA-N 0 1 278.360 0.525 20 30 CCEDMN C[C@H](CN1CCC(C)CC1)NS(=O)(=O)CC#N ZINC001192994665 900028384 /nfs/dbraw/zinc/02/83/84/900028384.db2.gz PULWVWQTXQUDLU-LLVKDONJSA-N 0 1 259.375 0.550 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)CCCC(N)=O ZINC001488703357 900363415 /nfs/dbraw/zinc/36/34/15/900363415.db2.gz QCWDGJYBICPXJW-GFCCVEGCSA-N 0 1 267.373 0.751 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCCC(N)=O ZINC001488703357 900363422 /nfs/dbraw/zinc/36/34/22/900363422.db2.gz QCWDGJYBICPXJW-GFCCVEGCSA-N 0 1 267.373 0.751 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CN(C)C(=O)C2CC2)[C@H]1C ZINC001489266982 900456996 /nfs/dbraw/zinc/45/69/96/900456996.db2.gz AQAZJEHNUNIRAY-YPMHNXCESA-N 0 1 277.368 0.067 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCCN(C)CC(N)=O ZINC001490195572 900566260 /nfs/dbraw/zinc/56/62/60/900566260.db2.gz OHZCBEXAQRJDQL-UHFFFAOYSA-N 0 1 255.362 0.512 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCc2cn[nH]c2)C1 ZINC001490450315 900622662 /nfs/dbraw/zinc/62/26/62/900622662.db2.gz BIHUQTFJXCWIGQ-CQSZACIVSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2cn(C)cn2)C1 ZINC001490434890 900630763 /nfs/dbraw/zinc/63/07/63/900630763.db2.gz UZNNVFAUXJDWNU-CYBMUJFWSA-N 0 1 292.383 0.817 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2c(C)nc[nH]c2=O)C1 ZINC001490550531 900655330 /nfs/dbraw/zinc/65/53/30/900655330.db2.gz SZARCTPONJRVDK-LBPRGKRZSA-N 0 1 290.367 0.800 20 30 CCEDMN C=CCC[NH2+]C[C@H]1CN(C(=O)c2ncccc2[O-])CCO1 ZINC001280582082 900953521 /nfs/dbraw/zinc/95/35/21/900953521.db2.gz UUMIWIJLJNSODO-LBPRGKRZSA-N 0 1 291.351 0.794 20 30 CCEDMN CCC[C@@H](OC)C(=O)N[C@H](C)CN(C)CC#CCOC ZINC001322219458 901000519 /nfs/dbraw/zinc/00/05/19/901000519.db2.gz VSPCPGPDCYGEFI-ZIAGYGMSSA-N 0 1 284.400 0.888 20 30 CCEDMN C=CCCC(=O)N1CCC(C)(NC(=O)c2ncn[nH]2)CC1 ZINC001299441058 901002098 /nfs/dbraw/zinc/00/20/98/901002098.db2.gz VTYQJVYOFFKNRS-UHFFFAOYSA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCCC(=O)N1CCC(C)(NC(=O)c2nc[nH]n2)CC1 ZINC001299441058 901002112 /nfs/dbraw/zinc/00/21/12/901002112.db2.gz VTYQJVYOFFKNRS-UHFFFAOYSA-N 0 1 291.355 0.882 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC001411994033 901383118 /nfs/dbraw/zinc/38/31/18/901383118.db2.gz YUXORFQSBQKTIH-ZETCQYMHSA-N 0 1 273.300 0.584 20 30 CCEDMN C[C@@H](C(=O)Nc1nc2ccc(C#N)cc2[nH]1)S(C)(=O)=O ZINC001412247446 901593915 /nfs/dbraw/zinc/59/39/15/901593915.db2.gz YUHJQWQECCJRCA-ZETCQYMHSA-N 0 1 292.320 0.806 20 30 CCEDMN Cc1cc(C#N)cc(C)c1CC(=O)NCc1n[nH]c(=O)n1C ZINC001412285254 901619239 /nfs/dbraw/zinc/61/92/39/901619239.db2.gz KLBXFRVPDPMSCO-UHFFFAOYSA-N 0 1 299.334 0.868 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@@H]2CNC(=O)[C@H](C)C#N)n[nH]1 ZINC001398216925 914572863 /nfs/dbraw/zinc/57/28/63/914572863.db2.gz SAKSLYLTKQKIJR-MWLCHTKSSA-N 0 1 289.339 0.599 20 30 CCEDMN CCNC(=O)CN1CC[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001493203670 902155259 /nfs/dbraw/zinc/15/52/59/902155259.db2.gz UWLFVMOGAPYTBK-CYBMUJFWSA-N 0 1 293.411 0.610 20 30 CCEDMN C#CC[NH2+][C@@]1(CO)CCCN(C(=O)[C@H]2CCCCN2C)C1 ZINC001327575872 914629386 /nfs/dbraw/zinc/62/93/86/914629386.db2.gz DCQMDODRHQQKBW-ZBFHGGJFSA-N 0 1 293.411 0.047 20 30 CCEDMN Cc1nc([C@H](C)NS(=O)(=O)CC(C)(C)C#N)n[nH]1 ZINC001413351862 902896431 /nfs/dbraw/zinc/89/64/31/902896431.db2.gz WTSKYQDVGXMNEZ-ZETCQYMHSA-N 0 1 271.346 0.643 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CCCN1C(=O)CCC ZINC001492872390 914670228 /nfs/dbraw/zinc/67/02/28/914670228.db2.gz VVECZHPDFXXQDE-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)Cn1ccc(C)n1 ZINC001049739905 903044136 /nfs/dbraw/zinc/04/41/36/903044136.db2.gz IAKDKPOJUKFOHV-GJZGRUSLSA-N 0 1 286.379 0.890 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCCN([C@@H](C)C(N)=O)C1 ZINC001491103400 903365480 /nfs/dbraw/zinc/36/54/80/903365480.db2.gz SZXWWLQSBJUSGI-STQMWFEESA-N 0 1 279.384 0.492 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H](COC)OC ZINC001491222549 903448987 /nfs/dbraw/zinc/44/89/87/903448987.db2.gz BWKSZFCJEASZGN-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN C[C@@H](NC(=O)Cc1nnc[nH]1)[C@@H]1CCCN(CC#N)C1 ZINC001491298591 903488252 /nfs/dbraw/zinc/48/82/52/903488252.db2.gz WGLAHNORMYBPGX-GHMZBOCLSA-N 0 1 276.344 0.087 20 30 CCEDMN C[C@H](NC(=O)Cc1nnc[nH]1)[C@@H]1CCCN(CC#N)C1 ZINC001491298594 903488761 /nfs/dbraw/zinc/48/87/61/903488761.db2.gz WGLAHNORMYBPGX-WDEREUQCSA-N 0 1 276.344 0.087 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNC(=O)c1ncn[nH]1 ZINC001491510621 903633965 /nfs/dbraw/zinc/63/39/65/903633965.db2.gz UFAWQOVEPWBGHP-DTWKUNHWSA-N 0 1 265.317 0.109 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNC(=O)c1nc[nH]n1 ZINC001491510621 903633974 /nfs/dbraw/zinc/63/39/74/903633974.db2.gz UFAWQOVEPWBGHP-DTWKUNHWSA-N 0 1 265.317 0.109 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCNC(=O)c1ncn[nH]1 ZINC001491510274 903634135 /nfs/dbraw/zinc/63/41/35/903634135.db2.gz PHRZSVOOPPTBBS-SECBINFHSA-N 0 1 265.317 0.253 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCNC(=O)c1nc[nH]n1 ZINC001491510274 903634148 /nfs/dbraw/zinc/63/41/48/903634148.db2.gz PHRZSVOOPPTBBS-SECBINFHSA-N 0 1 265.317 0.253 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)Cn2nccc2C)C1 ZINC001330052668 903878772 /nfs/dbraw/zinc/87/87/72/903878772.db2.gz BAZYEYGAGHQPQN-LBPRGKRZSA-N 0 1 262.357 0.814 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H]1CCN(C)C1=O ZINC001491558179 903930368 /nfs/dbraw/zinc/93/03/68/903930368.db2.gz KYVNRQVHEXCWPR-NXEZZACHSA-N 0 1 273.764 0.312 20 30 CCEDMN C=CCC1(O)CCN(C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001332365690 904106958 /nfs/dbraw/zinc/10/69/58/904106958.db2.gz PGAPPNFFAFNWGG-LBPRGKRZSA-N 0 1 273.398 0.824 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](NC(=O)CC)CC1 ZINC001280689955 904139324 /nfs/dbraw/zinc/13/93/24/904139324.db2.gz ANUPJHTWTZMWAP-OLZOCXBDSA-N 0 1 279.384 0.505 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)CCc1cnc[nH]1)CO2 ZINC001280944068 904180326 /nfs/dbraw/zinc/18/03/26/904180326.db2.gz GTUNKWWBESHQMU-CYBMUJFWSA-N 0 1 290.367 0.488 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)C[C@@H]2CCCO2)CC1 ZINC001281798568 904349868 /nfs/dbraw/zinc/34/98/68/904349868.db2.gz GDURTBANBCYQLP-ZDUSSCGKSA-N 0 1 280.368 0.132 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cccnn1 ZINC001281965886 904377716 /nfs/dbraw/zinc/37/77/16/904377716.db2.gz YFYVQOONUDDJBF-LBPRGKRZSA-N 0 1 258.325 0.646 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cc(COC)on1 ZINC001281955852 904377863 /nfs/dbraw/zinc/37/78/63/904377863.db2.gz QXCJNNISHFDTKG-GFCCVEGCSA-N 0 1 291.351 0.991 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)N(C)[C@@H]1CCCNC1=O ZINC001282385578 904464229 /nfs/dbraw/zinc/46/42/29/904464229.db2.gz XEFHRZKIOCNGGS-CHWSQXEVSA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnn(CCCOC)c1 ZINC001282478612 904486145 /nfs/dbraw/zinc/48/61/45/904486145.db2.gz RYNKANFICQLKLO-CYBMUJFWSA-N 0 1 292.383 0.603 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCCC(N)=O ZINC001282505104 904494858 /nfs/dbraw/zinc/49/48/58/904494858.db2.gz WLINJTMNZDUGHG-NSHDSACASA-N 0 1 253.346 0.102 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H](C)N(C)[C@H]1CCCNC1=O ZINC001282504941 904495861 /nfs/dbraw/zinc/49/58/61/904495861.db2.gz UNTCDWAZDDRWBO-OLZOCXBDSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)CNC(=O)N2CCCC2)C1 ZINC001282713956 904527950 /nfs/dbraw/zinc/52/79/50/904527950.db2.gz BUZRKUIDKYJCQQ-GFCCVEGCSA-N 0 1 294.399 0.414 20 30 CCEDMN Cc1nonc1CNCCC[C@@H](C)NC(=O)[C@@H](C)C#N ZINC001283146540 904709740 /nfs/dbraw/zinc/70/97/40/904709740.db2.gz RJNFCCJLBMQEOL-VHSXEESVSA-N 0 1 279.344 0.912 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN(C)C(=O)c1ccn[nH]1 ZINC001283541882 904898409 /nfs/dbraw/zinc/89/84/09/904898409.db2.gz FHYYNVSIXYIPCG-LLVKDONJSA-N 0 1 292.339 0.026 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@H]1CCN(C)C1=O ZINC001377476353 904922152 /nfs/dbraw/zinc/92/21/52/904922152.db2.gz QUOINXIZGUAPIP-RYUDHWBXSA-N 0 1 280.372 0.205 20 30 CCEDMN C=CCCC(=O)NC[C@H](CO)N[C@@H](C)c1n[nH]c(C)n1 ZINC001283744248 904986639 /nfs/dbraw/zinc/98/66/39/904986639.db2.gz FARRPKRCPVVKTP-GXSJLCMTSA-N 0 1 281.360 0.207 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](CC)CC(F)F ZINC001283765406 905003014 /nfs/dbraw/zinc/00/30/14/905003014.db2.gz UDJPTMXSIGSURE-NXEZZACHSA-N 0 1 262.300 0.368 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc2ccccc2n1C ZINC001283797952 905025148 /nfs/dbraw/zinc/02/51/48/905025148.db2.gz SXIPELJXJKGSAV-CYBMUJFWSA-N 0 1 285.347 0.492 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H]1C[C@@H]1c1ccccc1 ZINC001283813358 905030049 /nfs/dbraw/zinc/03/00/49/905030049.db2.gz OHZWROHVZKVOAV-OAGGEKHMSA-N 0 1 286.375 0.880 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C(C)(C)CC(C)C ZINC001283816670 905031070 /nfs/dbraw/zinc/03/10/70/905031070.db2.gz KJCSYRIJWIOYDD-LBPRGKRZSA-N 0 1 254.374 0.759 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ncoc1C(C)(C)C ZINC001283817366 905038167 /nfs/dbraw/zinc/03/81/67/905038167.db2.gz NDPQUNAJGDEGRI-JTQLQIEISA-N 0 1 279.340 0.286 20 30 CCEDMN C=C(Cl)CN1CC(CNC(=O)CCc2c[nH]nn2)C1 ZINC001377995103 905313695 /nfs/dbraw/zinc/31/36/95/905313695.db2.gz IECZCNSNXDMBOC-UHFFFAOYSA-N 0 1 283.763 0.538 20 30 CCEDMN C=C(Cl)CN1CC(CNC(=O)CCc2cnn[nH]2)C1 ZINC001377995103 905313708 /nfs/dbraw/zinc/31/37/08/905313708.db2.gz IECZCNSNXDMBOC-UHFFFAOYSA-N 0 1 283.763 0.538 20 30 CCEDMN C#CCC1(C(=O)N(C)CCNC(=O)c2cnn[nH]2)CCC1 ZINC001284548927 905372153 /nfs/dbraw/zinc/37/21/53/905372153.db2.gz GHLQCMCGXGXEPQ-UHFFFAOYSA-N 0 1 289.339 0.187 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)C(N)=O)C(C)(C)C ZINC001284626022 905403522 /nfs/dbraw/zinc/40/35/22/905403522.db2.gz VVEZKXUYJUDPNK-QMMMGPOBSA-N 0 1 261.753 0.345 20 30 CCEDMN C#CCN1CC=C(CNC(=O)COC(C)C)CC1 ZINC001284890416 905466396 /nfs/dbraw/zinc/46/63/96/905466396.db2.gz UKZNCWTUPALPOH-UHFFFAOYSA-N 0 1 250.342 0.793 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H](C)C#N)NCC(=O)Nc1ccon1 ZINC001378239450 905526821 /nfs/dbraw/zinc/52/68/21/905526821.db2.gz BYABWZHUWKSPLT-ZJUUUORDSA-N 0 1 293.327 0.257 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](CC)CNC(=O)c1cnn[nH]1 ZINC001285073737 905541590 /nfs/dbraw/zinc/54/15/90/905541590.db2.gz SOSKVDKEQHYIDX-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001378260031 905543250 /nfs/dbraw/zinc/54/32/50/905543250.db2.gz WDLNRODYZAIHJV-WDEREUQCSA-N 0 1 287.791 0.702 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1ccn[nH]1)NC(=O)CSCC#N ZINC001337029103 921161037 /nfs/dbraw/zinc/16/10/37/921161037.db2.gz KZIHUUPFSCGRBV-VIFPVBQESA-N 0 1 295.368 0.243 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1[C@H]2CN(Cc3ccnn3C)C[C@H]21 ZINC001378681294 905756100 /nfs/dbraw/zinc/75/61/00/905756100.db2.gz BWTUJJPJSQHXBK-ZRJCITRHSA-N 0 1 287.367 0.374 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](COC)OC ZINC001378807460 905827108 /nfs/dbraw/zinc/82/71/08/905827108.db2.gz DDGZRUIOWSPQJX-QWRGUYRKSA-N 0 1 278.780 0.837 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](C)NC(C)=O ZINC001379058505 905953321 /nfs/dbraw/zinc/95/33/21/905953321.db2.gz PYMYDDVCEBQDQO-VHSXEESVSA-N 0 1 275.780 0.700 20 30 CCEDMN COCC#CC(=O)Nc1n[nH]c2cc(C)n(C)c(=O)c21 ZINC001333349572 905977039 /nfs/dbraw/zinc/97/70/39/905977039.db2.gz HSRKISSBBADGQW-UHFFFAOYSA-N 0 1 274.280 0.158 20 30 CCEDMN CCCC(=O)NC[C@@H](O)CNCc1ccccc1C#N ZINC001379154151 906020831 /nfs/dbraw/zinc/02/08/31/906020831.db2.gz KQBBPRLFYLPHCW-AWEZNQCLSA-N 0 1 275.352 0.925 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](O)CNCc1cccc(F)c1F ZINC001379190484 906051933 /nfs/dbraw/zinc/05/19/33/906051933.db2.gz QHCWWEYWPKGLKQ-GXSJLCMTSA-N 0 1 297.305 0.691 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccnn1CC ZINC001379202171 906063407 /nfs/dbraw/zinc/06/34/07/906063407.db2.gz QQDHFKOSYPWURB-JTQLQIEISA-N 0 1 286.763 0.336 20 30 CCEDMN C=CCn1cc(C(=O)Nc2nc(-c3ccccn3)n[nH]2)nn1 ZINC001291919198 906185197 /nfs/dbraw/zinc/18/51/97/906185197.db2.gz KKSSFWUHRRIVFN-UHFFFAOYSA-N 0 1 296.294 0.897 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)NCc1cc(C)[nH]n1 ZINC001293380559 906467404 /nfs/dbraw/zinc/46/74/04/906467404.db2.gz VRDUPQSOCQXKJY-UHFFFAOYSA-N 0 1 251.286 0.844 20 30 CCEDMN C#CCCCC(=O)N(C)CCCNC(=O)Cc1nnc[nH]1 ZINC001294335353 906583349 /nfs/dbraw/zinc/58/33/49/906583349.db2.gz ZDZWURTXUYSJGO-UHFFFAOYSA-N 0 1 291.355 0.115 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C2=COCCO2)CC1 ZINC001380052190 906609651 /nfs/dbraw/zinc/60/96/51/906609651.db2.gz ILRKISWKWUWFOK-UHFFFAOYSA-N 0 1 272.732 0.866 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001295131037 906679976 /nfs/dbraw/zinc/67/99/76/906679976.db2.gz DJUJZTFYSXCXPE-GMTAPVOTSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001295131037 906679985 /nfs/dbraw/zinc/67/99/85/906679985.db2.gz DJUJZTFYSXCXPE-GMTAPVOTSA-N 0 1 291.355 0.784 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)NC(=O)[C@@H]1CCCN1C ZINC001295470209 906741266 /nfs/dbraw/zinc/74/12/66/906741266.db2.gz FBACIWCKYICUCI-STQMWFEESA-N 0 1 279.384 0.505 20 30 CCEDMN C=CCCC(=O)N1CC(CNC(=O)CCc2c[nH]nn2)C1 ZINC001297267678 907048373 /nfs/dbraw/zinc/04/83/73/907048373.db2.gz BETGMFRNYRUVLU-UHFFFAOYSA-N 0 1 291.355 0.278 20 30 CCEDMN C=CCCC(=O)N1CC(CNC(=O)CCc2cnn[nH]2)C1 ZINC001297267678 907048387 /nfs/dbraw/zinc/04/83/87/907048387.db2.gz BETGMFRNYRUVLU-UHFFFAOYSA-N 0 1 291.355 0.278 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H](CC)CNC(=O)c2cnn[nH]2)C1 ZINC001297443578 907076904 /nfs/dbraw/zinc/07/69/04/907076904.db2.gz VTYLEMFEDVPSSL-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN N#Cc1ncn(CC(=O)NCc2ccc3nc[nH]c3c2)n1 ZINC001298225626 907190585 /nfs/dbraw/zinc/19/05/85/907190585.db2.gz AZSJOLBHCFYCBV-UHFFFAOYSA-N 0 1 281.279 0.342 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@]1(F)CCOC1 ZINC001382413882 907729208 /nfs/dbraw/zinc/72/92/08/907729208.db2.gz FNZIDEYMJRJONW-PWSUYJOCSA-N 0 1 294.754 0.276 20 30 CCEDMN CCNC(=O)CN1CCC([C@@H](C)NC(=O)[C@H](C)C#N)CC1 ZINC001382555197 907788769 /nfs/dbraw/zinc/78/87/69/907788769.db2.gz AZDPZOKSTMKCTL-VXGBXAGGSA-N 0 1 294.399 0.499 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cn(-c2ccccc2)nn1 ZINC001492317820 907845136 /nfs/dbraw/zinc/84/51/36/907845136.db2.gz PREMOMHVFWIARS-UHFFFAOYSA-N 0 1 297.362 0.952 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H]1CC[C@@H](F)C1 ZINC001492389904 907896335 /nfs/dbraw/zinc/89/63/35/907896335.db2.gz SQKFLDSZBPMUEF-CHWSQXEVSA-N 0 1 270.348 0.822 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H]1CC12CC2 ZINC001492432393 907921455 /nfs/dbraw/zinc/92/14/55/907921455.db2.gz IAESMIRINZOFEX-GFCCVEGCSA-N 0 1 250.342 0.484 20 30 CCEDMN CC(C)c1nc(CN[C@@H](C)CNC(=O)C#CC2CC2)n[nH]1 ZINC001317238553 907990493 /nfs/dbraw/zinc/99/04/93/907990493.db2.gz KTXVHFZDDNNEBW-NSHDSACASA-N 0 1 289.383 0.936 20 30 CCEDMN C#CCC(C)(C)C(=O)N[C@H](Cc1cnc[nH]1)C(=O)OC ZINC001304091024 908143935 /nfs/dbraw/zinc/14/39/35/908143935.db2.gz RRIPCDRRMZYABZ-LLVKDONJSA-N 0 1 277.324 0.660 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H](F)C(C)C ZINC001317456698 908250750 /nfs/dbraw/zinc/25/07/50/908250750.db2.gz NJAJRNLREGKJPN-GFCCVEGCSA-N 0 1 258.337 0.678 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cccnc1OC ZINC001317458845 908257196 /nfs/dbraw/zinc/25/71/96/908257196.db2.gz IGUSUHPYWRGYII-UHFFFAOYSA-N 0 1 261.325 0.775 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)C(=O)N1CCC[C@H](C#N)C1 ZINC001338198264 921396592 /nfs/dbraw/zinc/39/65/92/921396592.db2.gz GXDYJUJSRFMYKK-SNVBAGLBSA-N 0 1 275.312 0.130 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CC[C@]2(CCOC2=O)C1 ZINC001308171376 908395333 /nfs/dbraw/zinc/39/53/33/908395333.db2.gz VKDHJBHOBAOIAK-GWCFXTLKSA-N 0 1 253.298 0.743 20 30 CCEDMN C=C(C)CN(C)C(=O)Nc1nn[nH]c1C(=O)NC ZINC001311172492 908540018 /nfs/dbraw/zinc/54/00/18/908540018.db2.gz MLPINZQCBZGMSW-UHFFFAOYSA-N 0 1 252.278 0.204 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H]1COc2ccccc2C1 ZINC001311489346 908554583 /nfs/dbraw/zinc/55/45/83/908554583.db2.gz ZWAOOKNPAPUYLA-CQSZACIVSA-N 0 1 287.363 0.854 20 30 CCEDMN COC(=O)[C@@H]1CN(C(=O)NCCCCC#N)CC[N@@H+](C)C1 ZINC001311915478 908574618 /nfs/dbraw/zinc/57/46/18/908574618.db2.gz WOHFZQDEPOFPBP-LBPRGKRZSA-N 0 1 296.371 0.426 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(C(=O)NCCCCC#N)C1 ZINC001311915478 908574631 /nfs/dbraw/zinc/57/46/31/908574631.db2.gz WOHFZQDEPOFPBP-LBPRGKRZSA-N 0 1 296.371 0.426 20 30 CCEDMN COC(=O)[C@H]1CN(C(=O)NCCCCC#N)CC[N@@H+](C)C1 ZINC001311915477 908574774 /nfs/dbraw/zinc/57/47/74/908574774.db2.gz WOHFZQDEPOFPBP-GFCCVEGCSA-N 0 1 296.371 0.426 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(C(=O)NCCCCC#N)C1 ZINC001311915477 908574787 /nfs/dbraw/zinc/57/47/87/908574787.db2.gz WOHFZQDEPOFPBP-GFCCVEGCSA-N 0 1 296.371 0.426 20 30 CCEDMN CCOC(=O)CC[C@H](C)NC(=O)NCC#CCN(C)C ZINC001312325265 908595151 /nfs/dbraw/zinc/59/51/51/908595151.db2.gz XRGOFAQLNDCSDQ-LBPRGKRZSA-N 0 1 283.372 0.582 20 30 CCEDMN CCOC(=O)CC[C@@H](C)NC(=O)NCC#CCN(C)C ZINC001312325264 908595313 /nfs/dbraw/zinc/59/53/13/908595313.db2.gz XRGOFAQLNDCSDQ-GFCCVEGCSA-N 0 1 283.372 0.582 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@@H]1CCN(CC(=O)NC)C1 ZINC001317533976 908609840 /nfs/dbraw/zinc/60/98/40/908609840.db2.gz BNBGHUMMFHISGE-OLZOCXBDSA-N 0 1 281.400 0.773 20 30 CCEDMN CC(C)c1nc([C@H](C)NC(=O)NCC#CCO)n[nH]1 ZINC001313190384 908654032 /nfs/dbraw/zinc/65/40/32/908654032.db2.gz CEXLBNKYPFOJSZ-VIFPVBQESA-N 0 1 265.317 0.284 20 30 CCEDMN CC#CC[N@H+](CCO)[C@@H]1CCCN(C(=O)[C@H](CC)OC)C1 ZINC001316745227 908661419 /nfs/dbraw/zinc/66/14/19/908661419.db2.gz VXFKJAAHEIGWTN-CABCVRRESA-N 0 1 296.411 0.720 20 30 CCEDMN CC#CCN(CCO)[C@@H]1CCCN(C(=O)[C@H](CC)OC)C1 ZINC001316745227 908661424 /nfs/dbraw/zinc/66/14/24/908661424.db2.gz VXFKJAAHEIGWTN-CABCVRRESA-N 0 1 296.411 0.720 20 30 CCEDMN CCN(CC)C(=O)CN(C)CCCNC(=O)C#CC(C)C ZINC001316821642 908752950 /nfs/dbraw/zinc/75/29/50/908752950.db2.gz GUKLWGPVGXQXEP-UHFFFAOYSA-N 0 1 295.427 0.952 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H]2CCN(CC(N)=O)C[C@H]2C1 ZINC001316753201 908766322 /nfs/dbraw/zinc/76/63/22/908766322.db2.gz DPXZOXOGQDSRCK-OLZOCXBDSA-N 0 1 279.384 0.608 20 30 CCEDMN C=C(C)C[N@@H+](C)C[C@@H]1CCCCN1C(=O)C(N)=O ZINC001316804461 908779083 /nfs/dbraw/zinc/77/90/83/908779083.db2.gz LYEQFCNXPLISSL-NSHDSACASA-N 0 1 253.346 0.361 20 30 CCEDMN COC[C@@H](O)CN1CCC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001316944448 908862427 /nfs/dbraw/zinc/86/24/27/908862427.db2.gz LILKDBGHFCRGCQ-KGLIPLIRSA-N 0 1 296.411 0.624 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2cnc(C)[nH]2)CC1 ZINC001316964293 908875415 /nfs/dbraw/zinc/87/54/15/908875415.db2.gz QWDBBCAXUBVASN-UHFFFAOYSA-N 0 1 291.399 0.642 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H]2CC2(C)C)CC1 ZINC001316963781 908876634 /nfs/dbraw/zinc/87/66/34/908876634.db2.gz LESFXAWXZDHFOR-ZDUSSCGKSA-N 0 1 265.401 0.952 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@H](NCC(=C)Cl)C1 ZINC001317058134 908945885 /nfs/dbraw/zinc/94/58/85/908945885.db2.gz SSSFMDZDEAFOME-RYUDHWBXSA-N 0 1 270.760 0.968 20 30 CCEDMN COCC#CCN(C)[C@H]1CCCN(C(=O)c2ncc[nH]2)C1 ZINC001317374172 909162427 /nfs/dbraw/zinc/16/24/27/909162427.db2.gz UEMKRJZDYQUOAN-ZDUSSCGKSA-N 0 1 290.367 0.596 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](O)[C@H](C)C2)CC1 ZINC001338465576 921473463 /nfs/dbraw/zinc/47/34/63/921473463.db2.gz QPDANIIZJSCJTO-TZMCWYRMSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1c(Cl)cnn1C ZINC001317478377 909248875 /nfs/dbraw/zinc/24/88/75/909248875.db2.gz IHRBDKZFMRCGHN-UHFFFAOYSA-N 0 1 268.748 0.758 20 30 CCEDMN C=CCN(CC)CCNC(=O)c1cn(C)ccc1=O ZINC001317484054 909253678 /nfs/dbraw/zinc/25/36/78/909253678.db2.gz HULBAWTVZRWXHC-UHFFFAOYSA-N 0 1 263.341 0.623 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)CC(C)C)C1 ZINC001317518336 909288605 /nfs/dbraw/zinc/28/86/05/909288605.db2.gz RAJRSSBOEJVWJD-KBPBESRZSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](CNC(=O)/C(C)=C\C)C1 ZINC001317525809 909293844 /nfs/dbraw/zinc/29/38/44/909293844.db2.gz ZHYMWEMNXOUNTQ-PJWHXWNJSA-N 0 1 291.395 0.529 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@@H]1CO[C@@H](C)C1)C1CC1 ZINC001317553275 909324057 /nfs/dbraw/zinc/32/40/57/909324057.db2.gz IJXJEBWORDTJSN-KBPBESRZSA-N 0 1 294.395 0.642 20 30 CCEDMN COCC#CCN(CCNC(=O)CC(C)(C)O)C1CC1 ZINC001317550289 909327336 /nfs/dbraw/zinc/32/73/36/909327336.db2.gz YPOYJJRGKIZRBX-UHFFFAOYSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCN(CCNC(=O)Cc1ccc(OC)cn1)C1CC1 ZINC001317555587 909331469 /nfs/dbraw/zinc/33/14/69/909331469.db2.gz SVDUGOWMJKQJSG-UHFFFAOYSA-N 0 1 287.363 0.847 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@H](O)COC ZINC001317739827 909479944 /nfs/dbraw/zinc/47/99/44/909479944.db2.gz OVSTXDBJRDKBSO-MJBXVCDLSA-N 0 1 296.411 0.881 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@H]1CCN(C(=O)C(C)C)C1 ZINC001317825680 909517737 /nfs/dbraw/zinc/51/77/37/909517737.db2.gz CRPVUKXHIDEYKU-CYBMUJFWSA-N 0 1 281.400 0.725 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@H](C)NC(N)=O)C1 ZINC001318024535 909588195 /nfs/dbraw/zinc/58/81/95/909588195.db2.gz KXNFGCQNHWJPBU-WDEREUQCSA-N 0 1 282.388 0.588 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)COCCC ZINC001318190279 909656936 /nfs/dbraw/zinc/65/69/36/909656936.db2.gz JIONGJCSDWAIOS-STQMWFEESA-N 0 1 250.342 0.721 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CN2CCCC2=O)C1 ZINC001318458288 909767246 /nfs/dbraw/zinc/76/72/46/909767246.db2.gz JZEUBZRFWLEAMI-CQSZACIVSA-N 0 1 291.395 0.555 20 30 CCEDMN C=CCOCCN1CC[C@H](N(C)C(=O)c2nonc2C)C1 ZINC001318453222 909767384 /nfs/dbraw/zinc/76/73/84/909767384.db2.gz JZBFVQWKDTXXMM-LBPRGKRZSA-N 0 1 294.355 0.727 20 30 CCEDMN C#CC[NH+]1CC[C@@H](N(CC)C(=O)C[N@@H+]2CC[C@H](C)C2)C1 ZINC001318482617 909775122 /nfs/dbraw/zinc/77/51/22/909775122.db2.gz KOVJHRGYWZTGDG-LSDHHAIUSA-N 0 1 277.412 0.884 20 30 CCEDMN C#CC[NH2+]C[C@](C)(NC(=O)c1ncccc1[O-])C1CC1 ZINC001318691028 909863565 /nfs/dbraw/zinc/86/35/65/909863565.db2.gz VNGHOOCLUHMDBM-HNNXBMFYSA-N 0 1 273.336 0.909 20 30 CCEDMN N#Cc1ccc(CN2CCC(OCC(N)=O)CC2)o1 ZINC001319829496 910308176 /nfs/dbraw/zinc/30/81/76/910308176.db2.gz XWEHMEUXEOPTOC-UHFFFAOYSA-N 0 1 263.297 0.618 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cnc2n1CCOC2 ZINC001390409405 910401562 /nfs/dbraw/zinc/40/15/62/910401562.db2.gz ZNVCOZNNTJYTEA-SNVBAGLBSA-N 0 1 298.774 0.874 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H]1CCc2nncn2C1 ZINC001390538017 910503270 /nfs/dbraw/zinc/50/32/70/910503270.db2.gz ZCEPCFIEEBBARK-QWRGUYRKSA-N 0 1 297.790 0.687 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1COCCO1 ZINC001320980183 910976068 /nfs/dbraw/zinc/97/60/68/910976068.db2.gz UTVJDDIOWAJBMI-VUDBWIFFSA-N 0 1 274.748 0.416 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC/C=C/CNCc1cncs1 ZINC001321056185 911055999 /nfs/dbraw/zinc/05/59/99/911055999.db2.gz BXXVFAOZCBETNN-ITKZLYELSA-N 0 1 293.392 0.943 20 30 CCEDMN C=C(C)CCC(=O)NC/C=C/CNCC(=O)NC ZINC001321078635 911066714 /nfs/dbraw/zinc/06/67/14/911066714.db2.gz ZDAREKWWKMLPQY-SNAWJCMRSA-N 0 1 253.346 0.351 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CN(C)CCN2C)cc1 ZINC001339052615 921637790 /nfs/dbraw/zinc/63/77/90/921637790.db2.gz ADGPFIUYJOXVOV-OAHLLOKOSA-N 0 1 271.364 0.644 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)c1ccn(-c2ccncc2)n1 ZINC001321198477 911156150 /nfs/dbraw/zinc/15/61/50/911156150.db2.gz BCVJEHNTQWSQDZ-NSHDSACASA-N 0 1 272.308 0.934 20 30 CCEDMN C=CC[C@H](CO)NC(=O)c1ccn(-c2ccncc2)n1 ZINC001321198476 911156690 /nfs/dbraw/zinc/15/66/90/911156690.db2.gz BCVJEHNTQWSQDZ-LLVKDONJSA-N 0 1 272.308 0.934 20 30 CCEDMN Cc1ccncc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001321539939 911360503 /nfs/dbraw/zinc/36/05/03/911360503.db2.gz GOBRRCURXVYCAS-UHFFFAOYSA-N 0 1 261.310 0.821 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1ccccn1 ZINC001322102123 911655649 /nfs/dbraw/zinc/65/56/49/911655649.db2.gz AFLBGBLNMGASCA-ZDUSSCGKSA-N 0 1 275.352 0.782 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CN1CCCCCCC1=O ZINC001322167812 911693996 /nfs/dbraw/zinc/69/39/96/911693996.db2.gz GDYSCFRXOGCWHC-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC001322198011 911707263 /nfs/dbraw/zinc/70/72/63/911707263.db2.gz ZBPLGJXNDWBMLX-GXTWGEPZSA-N 0 1 279.384 0.315 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)C(=O)N1CCC[C@@H](C#N)C1 ZINC001339224789 921686452 /nfs/dbraw/zinc/68/64/52/921686452.db2.gz QHBGDMGFXRBICJ-QWHCGFSZSA-N 0 1 292.383 0.205 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cccc(=O)n1C ZINC001322245709 911725527 /nfs/dbraw/zinc/72/55/27/911725527.db2.gz ZRYRGHCYBUEROS-LBPRGKRZSA-N 0 1 275.352 0.459 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CN1CCCNC1=O ZINC001392490935 911856602 /nfs/dbraw/zinc/85/66/02/911856602.db2.gz HSSKUKKXPPEHPQ-SNVBAGLBSA-N 0 1 288.779 0.249 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N[C@H](CNCC#N)C1CC1 ZINC001323112004 912124191 /nfs/dbraw/zinc/12/41/91/912124191.db2.gz FFGMEHQJBYDFBG-ZIAGYGMSSA-N 0 1 278.400 0.869 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@@](C)(O)C=C ZINC001323232131 912207796 /nfs/dbraw/zinc/20/77/96/912207796.db2.gz NQPRDYGKBYWMIE-JSGCOSHPSA-N 0 1 250.342 0.527 20 30 CCEDMN COCC#CC(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC001328003744 914915566 /nfs/dbraw/zinc/91/55/66/914915566.db2.gz RBCKNMUMFIRQNW-UHFFFAOYSA-N 0 1 289.335 0.435 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C2CN(CCC)C2)CC1 ZINC001328115799 914977699 /nfs/dbraw/zinc/97/76/99/914977699.db2.gz GKWPCUQXBFEZOG-UHFFFAOYSA-N 0 1 293.411 0.265 20 30 CCEDMN CCn1cc(CN[C@@H](C)CCNC(=O)C#CC(C)C)nn1 ZINC001328342640 915124784 /nfs/dbraw/zinc/12/47/84/915124784.db2.gz IGPNTWCONOGKLS-ZDUSSCGKSA-N 0 1 291.399 0.942 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](C)OC ZINC001328707496 915371312 /nfs/dbraw/zinc/37/13/12/915371312.db2.gz QAUAZDISYNFBIP-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN C=C[C@H](COC)NC(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC001329120102 915685757 /nfs/dbraw/zinc/68/57/57/915685757.db2.gz YPHIMSRWJLQXCP-VXNVDRBHSA-N 0 1 253.306 0.674 20 30 CCEDMN O=C(NCCNC[C@@H](O)C(F)(F)F)C1N=CC=CC1=O ZINC001329140065 915700208 /nfs/dbraw/zinc/70/02/08/915700208.db2.gz DMBBZFHWWZJDMF-SREPSJJZSA-N 0 1 293.245 0.026 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1nnn(C(C)(C)C)n1 ZINC001329442735 915920503 /nfs/dbraw/zinc/92/05/03/915920503.db2.gz UCXBYHZDIMRPFJ-NSHDSACASA-N 0 1 292.387 0.502 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N(CCC)[C@H]1CCN(C)C1 ZINC001329458280 915933255 /nfs/dbraw/zinc/93/32/55/915933255.db2.gz ZBHUFBDTCWGXHW-ZDUSSCGKSA-N 0 1 281.400 0.964 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCc2ncncc2C1 ZINC001329462623 915936208 /nfs/dbraw/zinc/93/62/08/915936208.db2.gz ZLGUGLGKJGHYSW-CHWSQXEVSA-N 0 1 286.379 0.651 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@]2(F)CCOC2)CC1 ZINC001329505250 915970190 /nfs/dbraw/zinc/97/01/90/915970190.db2.gz YZYDVPZCAVZLBP-LBPRGKRZSA-N 0 1 255.293 0.219 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](NC(C)=O)[C@@H](C)CC ZINC001329622682 916072739 /nfs/dbraw/zinc/07/27/39/916072739.db2.gz FFLSXDHSSBBLIP-GUTXKFCHSA-N 0 1 295.427 0.997 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H](C)c1cnn(C)c1 ZINC001329626649 916073834 /nfs/dbraw/zinc/07/38/34/916073834.db2.gz AIBVQVRBBKKUFQ-NWDGAFQWSA-N 0 1 262.357 0.593 20 30 CCEDMN C#CCCCS(=O)(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001329939369 916296943 /nfs/dbraw/zinc/29/69/43/916296943.db2.gz IQOYGUXYFLDELO-SNVBAGLBSA-N 0 1 298.368 0.489 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)C1 ZINC001329988869 916340777 /nfs/dbraw/zinc/34/07/77/916340777.db2.gz CEJKCKVWWREHSS-CHWSQXEVSA-N 0 1 289.379 0.211 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CS(=O)(=O)C(C)C ZINC001401724738 916516856 /nfs/dbraw/zinc/51/68/56/916516856.db2.gz SBHZIHWQMZLZSE-JTQLQIEISA-N 0 1 296.820 0.656 20 30 CCEDMN C#CCC1(O)CCN(CC[C@H]2CCS(=O)(=O)C2)CC1 ZINC001330733414 916824205 /nfs/dbraw/zinc/82/42/05/916824205.db2.gz RVBXCLPCIHGZKM-ZDUSSCGKSA-N 0 1 285.409 0.661 20 30 CCEDMN Cc1nc(CN(C)CCCN(C)C(=O)[C@@H](C)C#N)n[nH]1 ZINC001402643567 917150830 /nfs/dbraw/zinc/15/08/30/917150830.db2.gz ZLASAAOJOGAWKP-JTQLQIEISA-N 0 1 278.360 0.553 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@H](CNCC#N)C2CC2)[nH]1 ZINC001331258683 917222374 /nfs/dbraw/zinc/22/23/74/917222374.db2.gz MNNQBZWHVYPFRO-GFCCVEGCSA-N 0 1 261.329 0.682 20 30 CCEDMN C#CC[C@H](NC(=O)C(=O)N1CCNCC1)c1ccccc1 ZINC001331477336 917362605 /nfs/dbraw/zinc/36/26/05/917362605.db2.gz WGHSWDCZYDHJQR-AWEZNQCLSA-N 0 1 285.347 0.299 20 30 CCEDMN C=CCCC(=O)NC[C@H](CO)N[C@H](C)C(=O)NC(C)(C)C ZINC001331686012 917512331 /nfs/dbraw/zinc/51/23/31/917512331.db2.gz VDSYSOPXJAOFDO-VXGBXAGGSA-N 0 1 299.415 0.323 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C[C@H](C)c1ccncc1 ZINC001331738002 917560831 /nfs/dbraw/zinc/56/08/31/917560831.db2.gz DPSOIKDSFBTKQU-DZGCQCFKSA-N 0 1 289.379 0.665 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1cccc(Cl)c1 ZINC001331774901 917596259 /nfs/dbraw/zinc/59/62/59/917596259.db2.gz OWFOPRFDCGFLJC-ZDUSSCGKSA-N 0 1 280.755 0.582 20 30 CCEDMN CN(C)CC#CCNC(=O)COCc1ccncc1 ZINC001332230313 917973502 /nfs/dbraw/zinc/97/35/02/917973502.db2.gz YNZSSTXVQBWRQO-UHFFFAOYSA-N 0 1 261.325 0.279 20 30 CCEDMN N#CCNC[C@@H]1CC[C@H](NC(=O)CCc2c[nH]nn2)C1 ZINC001332303015 918033274 /nfs/dbraw/zinc/03/32/74/918033274.db2.gz SUTJKKDHIWLQCH-MNOVXSKESA-N 0 1 276.344 0.135 20 30 CCEDMN N#CCNC[C@@H]1CC[C@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001332303015 918033284 /nfs/dbraw/zinc/03/32/84/918033284.db2.gz SUTJKKDHIWLQCH-MNOVXSKESA-N 0 1 276.344 0.135 20 30 CCEDMN C=CCC1(O)CCN(C[C@H]2CCS(=O)(=O)C2)CC1 ZINC001332365688 918094391 /nfs/dbraw/zinc/09/43/91/918094391.db2.gz PGAPPNFFAFNWGG-GFCCVEGCSA-N 0 1 273.398 0.824 20 30 CCEDMN O=C(NCCN1CC=CC1)c1cccc(C#CCO)c1 ZINC001332394511 918120335 /nfs/dbraw/zinc/12/03/35/918120335.db2.gz XIRMBZMJVOZLRF-UHFFFAOYSA-N 0 1 270.332 0.632 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H](O)CNCc1cnoc1C ZINC001332503194 918227237 /nfs/dbraw/zinc/22/72/37/918227237.db2.gz FEBHESLACXYWOG-CQSZACIVSA-N 0 1 293.367 0.695 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H]1CCCOC1 ZINC001332619370 918321104 /nfs/dbraw/zinc/32/11/04/918321104.db2.gz OEGQKOIUEBHCME-ZIAGYGMSSA-N 0 1 282.384 0.187 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)C[C@H](O)CN(C)CC#CC ZINC001332646122 918349219 /nfs/dbraw/zinc/34/92/19/918349219.db2.gz COJYAJJMOAWQDF-CYBMUJFWSA-N 0 1 266.385 0.973 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc(C2CC2)n[nH]1 ZINC001332664951 918363785 /nfs/dbraw/zinc/36/37/85/918363785.db2.gz ORTMSEOZHHEMTP-GFCCVEGCSA-N 0 1 290.367 0.285 20 30 CCEDMN C#CCC[N@H+](C)CCN(C(=O)c1cn(C)nn1)C(C)C ZINC001332700818 918390634 /nfs/dbraw/zinc/39/06/34/918390634.db2.gz YCWIWEKVJYTELL-UHFFFAOYSA-N 0 1 277.372 0.621 20 30 CCEDMN C=CCN(CCNC(=O)c1c(C)nn(C)c1C)CCOC ZINC001332923293 918530671 /nfs/dbraw/zinc/53/06/71/918530671.db2.gz SCWRJXGUQDRNAJ-UHFFFAOYSA-N 0 1 294.399 0.901 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1CN(C)C(=O)N1 ZINC001405260837 918694068 /nfs/dbraw/zinc/69/40/68/918694068.db2.gz ABXLRRDOEUPQDS-UWVGGRQHSA-N 0 1 288.779 0.247 20 30 CCEDMN CCn1ccc(CNC[C@@H](C)N(C)C(=O)[C@H](C)C#N)n1 ZINC001405791514 918900739 /nfs/dbraw/zinc/90/07/39/918900739.db2.gz HOOZUEZERSFMFL-VXGBXAGGSA-N 0 1 277.372 0.999 20 30 CCEDMN C#CCOCCN(C)CC[S@](=O)C(F)(F)F ZINC001333872828 919220153 /nfs/dbraw/zinc/22/01/53/919220153.db2.gz DUPWWZBAIRYFEA-INIZCTEOSA-N 0 1 257.277 0.837 20 30 CCEDMN COc1cc(C)cnc1C(=O)NCC#CCN(C)C ZINC001334251895 919458136 /nfs/dbraw/zinc/45/81/36/919458136.db2.gz JRECWWAJLCCSEN-UHFFFAOYSA-N 0 1 261.325 0.693 20 30 CCEDMN COC(=O)COCC(=O)Nc1cc(C#N)ccc1O ZINC001334341195 919508948 /nfs/dbraw/zinc/50/89/48/919508948.db2.gz XNSGWUZUDIFFSV-UHFFFAOYSA-N 0 1 264.237 0.392 20 30 CCEDMN COCC#CC(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC001334419643 919573146 /nfs/dbraw/zinc/57/31/46/919573146.db2.gz BSOFLGCNCRMHEI-CYBMUJFWSA-N 0 1 280.368 0.348 20 30 CCEDMN C=CCCC(=O)N(C)[C@H](C)CNC(=O)CCc1c[nH]nn1 ZINC001334545100 919647253 /nfs/dbraw/zinc/64/72/53/919647253.db2.gz PDEBVZDTCWVIBK-LLVKDONJSA-N 0 1 293.371 0.667 20 30 CCEDMN C=CCCC(=O)N(C)[C@H](C)CNC(=O)CCc1cnn[nH]1 ZINC001334545100 919647265 /nfs/dbraw/zinc/64/72/65/919647265.db2.gz PDEBVZDTCWVIBK-LLVKDONJSA-N 0 1 293.371 0.667 20 30 CCEDMN CN1CCN(C2CN(C(=O)C#Cc3ccccc3)C2)CC1 ZINC001334933796 919847471 /nfs/dbraw/zinc/84/74/71/919847471.db2.gz CMDBTBNXWYPMKE-UHFFFAOYSA-N 0 1 283.375 0.496 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H](C)[C@@H](C)COC ZINC001492966361 919853128 /nfs/dbraw/zinc/85/31/28/919853128.db2.gz SZSATALTLIFWDG-QWHCGFSZSA-N 0 1 254.374 0.976 20 30 CCEDMN C#CC1(O)CCN(C(=O)[C@H](C)Cc2cnc[nH]2)CC1 ZINC001335063603 919925907 /nfs/dbraw/zinc/92/59/07/919925907.db2.gz DOPSNQJSSRNTKG-LLVKDONJSA-N 0 1 261.325 0.575 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001407941487 919963421 /nfs/dbraw/zinc/96/34/21/919963421.db2.gz MEGZKEDNNPVXCX-GHMZBOCLSA-N 0 1 289.339 0.538 20 30 CCEDMN CC#CCN(CC)CCNC(=O)C[C@@H]1CCN(C)C1=O ZINC001492973444 920020016 /nfs/dbraw/zinc/02/00/16/920020016.db2.gz PGZPHRKREQQIQW-ZDUSSCGKSA-N 0 1 279.384 0.316 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](CNC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001408376144 920172075 /nfs/dbraw/zinc/17/20/75/920172075.db2.gz ZPNRAIWKECQHPW-MWLCHTKSSA-N 0 1 289.339 0.456 20 30 CCEDMN C=CC[NH+]1CCC(NC(=O)CN2CCC(CO)CC2)CC1 ZINC001336342158 920725706 /nfs/dbraw/zinc/72/57/06/920725706.db2.gz SFTCCMFWYDVWRY-UHFFFAOYSA-N 0 1 295.427 0.457 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1C[C@H]2C[C@@H](C(=O)[O-])C[C@H]21 ZINC001336392508 920751469 /nfs/dbraw/zinc/75/14/69/920751469.db2.gz IRPJTPCMUYEBJS-POQQGIQPSA-N 0 1 290.363 0.700 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1C[C@H]2C[C@@H](C(=O)[O-])C[C@H]21 ZINC001336392508 920751476 /nfs/dbraw/zinc/75/14/76/920751476.db2.gz IRPJTPCMUYEBJS-POQQGIQPSA-N 0 1 290.363 0.700 20 30 CCEDMN C[C@H](C#N)C(=O)N(CCNC(=O)Cc1cnc[nH]1)C1CC1 ZINC001415889826 920855769 /nfs/dbraw/zinc/85/57/69/920855769.db2.gz CNMMSIWUSBOGOK-SNVBAGLBSA-N 0 1 289.339 0.219 20 30 CCEDMN CCN(OC)C(=O)CNC[C@@H](O)c1ccc(C#N)cc1 ZINC001336833033 921046616 /nfs/dbraw/zinc/04/66/16/921046616.db2.gz CXKPJSBPCLDLQA-CYBMUJFWSA-N 0 1 277.324 0.591 20 30 CCEDMN COC[C@H]1CNCCN1C(=O)NCC(C)(C)C#N ZINC001336871174 921070484 /nfs/dbraw/zinc/07/04/84/921070484.db2.gz HKZQZBHMRXTBHJ-SNVBAGLBSA-N 0 1 254.334 0.166 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](C)NCc1n[nH]c(C2CC2)n1 ZINC001418218293 921932785 /nfs/dbraw/zinc/93/27/85/921932785.db2.gz ZOUBUWIZKZGZPB-IUCAKERBSA-N 0 1 276.344 0.436 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(N(C)C)cc1C ZINC001340095327 921954351 /nfs/dbraw/zinc/95/43/51/921954351.db2.gz WSFOJTYMXXZYKE-UHFFFAOYSA-N 0 1 259.353 0.900 20 30 CCEDMN C#CCNCC(=O)N1CCc2ccc(C(=O)OC)cc2C1 ZINC001340369541 922067991 /nfs/dbraw/zinc/06/79/91/922067991.db2.gz YBYXHZXPTWUPGR-UHFFFAOYSA-N 0 1 286.331 0.581 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H]1[C@@H]1CCCOC1 ZINC001340396839 922075157 /nfs/dbraw/zinc/07/51/57/922075157.db2.gz BHRYGHJUIIMHBT-OLZOCXBDSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCNCC(=O)N1CCC([C@@H](O)C(F)(F)F)CC1 ZINC001340523755 922107989 /nfs/dbraw/zinc/10/79/89/922107989.db2.gz HNOUWKZUWALXRP-LLVKDONJSA-N 0 1 278.274 0.371 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)N1CCC[C@](C)(C#N)C1 ZINC001340615205 922142781 /nfs/dbraw/zinc/14/27/81/922142781.db2.gz DMMMMKPLYHGFJG-CQSZACIVSA-N 0 1 289.339 0.487 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCC[C@]1(C)C(=O)OCC ZINC001340656560 922158005 /nfs/dbraw/zinc/15/80/05/922158005.db2.gz GCWUWMOCMVMICG-RISCZKNCSA-N 0 1 266.341 0.447 20 30 CCEDMN C#CCNCC(=O)N1CCc2ccc([N+](=O)[O-])cc2C1 ZINC001340678531 922162937 /nfs/dbraw/zinc/16/29/37/922162937.db2.gz LVNKAEONIQSAEZ-UHFFFAOYSA-N 0 1 273.292 0.702 20 30 CCEDMN Cc1nc([C@H](C)NS(=O)(=O)CC2(C#N)CC2)n[nH]1 ZINC001340976138 922299766 /nfs/dbraw/zinc/29/97/66/922299766.db2.gz JXTXNNOOLQQAIF-ZETCQYMHSA-N 0 1 269.330 0.397 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](CC(C)C)C(=O)OC ZINC001341485282 922507040 /nfs/dbraw/zinc/50/70/40/922507040.db2.gz XNIOEZKKTRYWOJ-LLVKDONJSA-N 0 1 254.330 0.161 20 30 CCEDMN C#CCNCC(=O)NCCCNC(=O)c1ccc(F)cc1 ZINC001341552193 922547977 /nfs/dbraw/zinc/54/79/77/922547977.db2.gz MMRWXGRLZVXBPN-UHFFFAOYSA-N 0 1 291.326 0.285 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cccc(=O)n2C)CC1 ZINC001341650814 922604841 /nfs/dbraw/zinc/60/48/41/922604841.db2.gz XRDSZEUZEDYOCH-UHFFFAOYSA-N 0 1 274.324 0.103 20 30 CCEDMN C#CCNCC(=O)NCCc1nc2ccccc2n1CC ZINC001341677604 922623330 /nfs/dbraw/zinc/62/33/30/922623330.db2.gz PYHUUFMFEZMDJV-UHFFFAOYSA-N 0 1 284.363 0.938 20 30 CCEDMN C#CCNCC(=O)N[C@H](CS(C)(=O)=O)c1ccccc1 ZINC001341786478 922672581 /nfs/dbraw/zinc/67/25/81/922672581.db2.gz OKZHZYFRUHCWLG-CYBMUJFWSA-N 0 1 294.376 0.111 20 30 CCEDMN CCO[C@@H](C(=O)C(C#N)C(=O)NC)C1CCOCC1 ZINC001341848474 922693596 /nfs/dbraw/zinc/69/35/96/922693596.db2.gz DBVKILBOMDCYCQ-CMPLNLGQSA-N 0 1 268.313 0.273 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)C1CCN(C(=O)OCC)CC1 ZINC001341859284 922699052 /nfs/dbraw/zinc/69/90/52/922699052.db2.gz ZFAGLCBFFXSCNW-GFCCVEGCSA-N 0 1 295.383 0.582 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)C2CNCCS2(=O)=O)C1 ZINC001342203345 922860651 /nfs/dbraw/zinc/86/06/51/922860651.db2.gz LYUXHUDCTRZNGZ-NWDGAFQWSA-N 0 1 286.397 0.188 20 30 CCEDMN C#CCNCC(=O)NCCc1nc2c(s1)CCC2 ZINC001342578201 923010640 /nfs/dbraw/zinc/01/06/40/923010640.db2.gz NGDSOQHOKDBPSJ-UHFFFAOYSA-N 0 1 263.366 0.513 20 30 CCEDMN N#C[C@@H](C(=O)[C@H]1CCC(=O)O1)C(=O)N1CCCC1 ZINC001342632199 923032530 /nfs/dbraw/zinc/03/25/30/923032530.db2.gz GUTAYFPVSMWPKW-DTWKUNHWSA-N 0 1 250.254 0.023 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1CC(OC)C1 ZINC001420132373 923335029 /nfs/dbraw/zinc/33/50/29/923335029.db2.gz GFKQZQMPDCDNQH-HSOILSAZSA-N 0 1 276.764 0.231 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC1CC1)[C@H]1CCOC1 ZINC001343492099 923348412 /nfs/dbraw/zinc/34/84/12/923348412.db2.gz RFTRJROPOWIIOB-PEXQALLHSA-N 0 1 266.297 0.025 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)c1cc(=O)n(C)cn1 ZINC001343506419 923355003 /nfs/dbraw/zinc/35/50/03/923355003.db2.gz QJCGMEBZSJTHMR-NSHDSACASA-N 0 1 275.308 0.035 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCCN(C)[C@H]1CCNC1=O ZINC001479794523 923576412 /nfs/dbraw/zinc/57/64/12/923576412.db2.gz MVUSTPHYORRUSV-SWLSCSKDSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2C[C@@H](C(=O)OC)C2)CC1 ZINC001344373839 923588028 /nfs/dbraw/zinc/58/80/28/923588028.db2.gz WMYSOMRMVVXKQV-TXEJJXNPSA-N 0 1 279.336 0.826 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H]2CCC[C@@H](C(N)=O)C2)CC1 ZINC001344373849 923588101 /nfs/dbraw/zinc/58/81/01/923588101.db2.gz WVUHRKIWUHPFKC-CHWSQXEVSA-N 0 1 292.379 0.919 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@H]1CC(=O)[O-] ZINC001344582996 923646937 /nfs/dbraw/zinc/64/69/37/923646937.db2.gz OUBKWWDLVHDTBK-XQQFMLRXSA-N 0 1 278.352 0.844 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@H]1CC(=O)[O-] ZINC001344582996 923646945 /nfs/dbraw/zinc/64/69/45/923646945.db2.gz OUBKWWDLVHDTBK-XQQFMLRXSA-N 0 1 278.352 0.844 20 30 CCEDMN Cc1nccnc1CN1CC[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001420514553 923731565 /nfs/dbraw/zinc/73/15/65/923731565.db2.gz JDJIPKMZWLSCLI-AAEUAGOBSA-N 0 1 287.367 0.883 20 30 CCEDMN C=CCN(C)c1nnc(-c2c[nH]nn2)n1C[C@@H]1CCOC1 ZINC001345428369 923881033 /nfs/dbraw/zinc/88/10/33/923881033.db2.gz RSNBWUOMWMXMCU-JTQLQIEISA-N 0 1 289.343 0.722 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC[C@](C)(C#N)C2)[C@@H](C)CN1 ZINC001345483398 923902310 /nfs/dbraw/zinc/90/23/10/923902310.db2.gz KMCARCQUASEBDC-TYNCELHUSA-N 0 1 292.383 0.347 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2COC(=O)N2)CC1 ZINC001420927885 924033097 /nfs/dbraw/zinc/03/30/97/924033097.db2.gz BLRZZTSEYLJAEW-QMMMGPOBSA-N 0 1 273.720 0.086 20 30 CCEDMN C#C[C@H](NC(=O)[C@H]1CN2CCN1C[C@@H]2C)C(C)(C)C ZINC001347067340 924362814 /nfs/dbraw/zinc/36/28/14/924362814.db2.gz UISYLTKERVURFL-XQQFMLRXSA-N 0 1 263.385 0.539 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)[C@@H]2CN3CCN2C[C@H]3C)CC1 ZINC001347229477 924403397 /nfs/dbraw/zinc/40/33/97/924403397.db2.gz KXLNPXSJDOLLPL-TUVASFSCSA-N 0 1 275.396 0.683 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001349951492 925104372 /nfs/dbraw/zinc/10/43/72/925104372.db2.gz PAJLMHARBPOCGS-XUXIUFHCSA-N 0 1 279.384 0.082 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)N(C)Cc1cnc[nH]1 ZINC001350249511 925155930 /nfs/dbraw/zinc/15/59/30/925155930.db2.gz NSEZNXRKLDLVFY-LBPRGKRZSA-N 0 1 276.340 0.935 20 30 CCEDMN C=CC[C@@H](NC(=O)N[C@@H]1CC[N@@H+](CC2CC2)C1)C(=O)[O-] ZINC001350758789 925270907 /nfs/dbraw/zinc/27/09/07/925270907.db2.gz KXWJOZZZZGPSOI-VXGBXAGGSA-N 0 1 281.356 0.799 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)C[N@@H+]2CCC[C@@H](C(=O)[O-])C2)C1 ZINC001350767325 925272274 /nfs/dbraw/zinc/27/22/74/925272274.db2.gz CXSCWAJYVGRJNC-OLZOCXBDSA-N 0 1 296.367 0.587 20 30 CCEDMN C=CCn1c(C[C@@H](C)O)nnc1N1CCN(CC)[C@H](C)C1 ZINC001351704655 925458839 /nfs/dbraw/zinc/45/88/39/925458839.db2.gz MOHGTFYBHQLKNJ-CHWSQXEVSA-N 0 1 293.415 0.918 20 30 CCEDMN C=C[C@H](C)NC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001351787671 925472706 /nfs/dbraw/zinc/47/27/06/925472706.db2.gz OCYUJQIQMSOBBI-QJPTWQEYSA-N 0 1 254.378 0.494 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)c1 ZINC001351856097 925487596 /nfs/dbraw/zinc/48/75/96/925487596.db2.gz YIGRVINCNUJPJK-SECBINFHSA-N 0 1 256.269 0.634 20 30 CCEDMN C#CCNCC(=O)NCc1ccc2c(c1)OCCCO2 ZINC001353236632 925769551 /nfs/dbraw/zinc/76/95/51/925769551.db2.gz GEMHLKYFXUGNEJ-UHFFFAOYSA-N 0 1 274.320 0.687 20 30 CCEDMN C[C@@H](NC[C@H](O)CC1(C#N)CCC1)c1nncn1C ZINC001353353381 925808341 /nfs/dbraw/zinc/80/83/41/925808341.db2.gz HFYZOXICIRCAFD-GHMZBOCLSA-N 0 1 263.345 0.911 20 30 CCEDMN N#CCCCCC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001353379632 925818258 /nfs/dbraw/zinc/81/82/58/925818258.db2.gz FYMGPVGQKGDNKC-DZGCQCFKSA-N 0 1 295.383 0.412 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)N1CCC[C@H](C#N)C1 ZINC001353942386 925967731 /nfs/dbraw/zinc/96/77/31/925967731.db2.gz DJFYIOBMDLIQGB-OLZOCXBDSA-N 0 1 292.383 0.349 20 30 CCEDMN C=CCC1(O)CCN(C(=O)CCc2nn[nH]n2)CC1 ZINC001354193037 926037291 /nfs/dbraw/zinc/03/72/91/926037291.db2.gz CDICIOHIIDWBDS-UHFFFAOYSA-N 0 1 265.317 0.062 20 30 CCEDMN COC(=O)[C@H]1CN(CCCC#N)C[C@@H]1C(=O)OC ZINC001356061658 926340677 /nfs/dbraw/zinc/34/06/77/926340677.db2.gz HAKOZDKEIGOGIW-UWVGGRQHSA-N 0 1 254.286 0.184 20 30 CCEDMN CC(C)(C)C#CC(=O)NC1CN(C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001356759315 926433788 /nfs/dbraw/zinc/43/37/88/926433788.db2.gz UWPLYRLRKVBRQR-GHMZBOCLSA-N 0 1 293.323 0.028 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001357030320 926477979 /nfs/dbraw/zinc/47/79/79/926477979.db2.gz BUDKFPFRAJFFPC-VIFPVBQESA-N 0 1 279.344 0.499 20 30 CCEDMN C=C(CC)CNC(=O)N1CCNC[C@@H]1CCOC ZINC001357435909 926533110 /nfs/dbraw/zinc/53/31/10/926533110.db2.gz WDHRHXXNVVKSBK-LBPRGKRZSA-N 0 1 255.362 0.973 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CNC(=O)CC)C[C@@H]1C ZINC001422050443 927049338 /nfs/dbraw/zinc/04/93/38/927049338.db2.gz AUVOBWYTCGFCES-GXSJLCMTSA-N 0 1 287.791 0.702 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2CCO[C@@H](C)C#N)n[nH]1 ZINC001422444318 927280532 /nfs/dbraw/zinc/28/05/32/927280532.db2.gz MKTUCPXUMZPNHC-AXFHLTTASA-N 0 1 279.344 0.403 20 30 CCEDMN C[C@H](CC#N)NC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001361949702 927470936 /nfs/dbraw/zinc/47/09/36/927470936.db2.gz NFIGCGVTAXJQPR-SECBINFHSA-N 0 1 277.328 0.660 20 30 CCEDMN CCN1CC[C@H]1C(=O)N(C)CCCc1[nH]nc(N)c1C#N ZINC001362252511 927782301 /nfs/dbraw/zinc/78/23/01/927782301.db2.gz HKWZSEQHMLWNOS-LBPRGKRZSA-N 0 1 290.371 0.349 20 30 CCEDMN CCN1CCN(C(=O)CNc2ccc(C#N)cn2)C[C@@H]1C ZINC001362303403 927851248 /nfs/dbraw/zinc/85/12/48/927851248.db2.gz XUIHYSSOKOGHBJ-LBPRGKRZSA-N 0 1 287.367 0.918 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2ccn(CCn3cccn3)n2)NO1 ZINC001362310752 927859385 /nfs/dbraw/zinc/85/93/85/927859385.db2.gz NHYMGQQTRQRQLM-JTQLQIEISA-N 0 1 288.311 0.632 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCc1ccnc(C#N)c1 ZINC001362421188 927994766 /nfs/dbraw/zinc/99/47/66/927994766.db2.gz RVBUPGVFXKURJD-JSGCOSHPSA-N 0 1 274.324 0.025 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCNC(N)=O)C[C@@H]1C ZINC001424490537 928199323 /nfs/dbraw/zinc/19/93/23/928199323.db2.gz SIQYMCHIUWAEFZ-WCBMZHEXSA-N 0 1 288.779 0.234 20 30 CCEDMN COCc1nc(CNC(=O)Cc2ccc(C#N)nc2)n[nH]1 ZINC001363023357 928773055 /nfs/dbraw/zinc/77/30/55/928773055.db2.gz KJAWRTYLOCZFTL-UHFFFAOYSA-N 0 1 286.295 0.077 20 30 CCEDMN COCc1nnc(CNC(=O)Cc2ccc(C#N)nc2)[nH]1 ZINC001363023357 928773065 /nfs/dbraw/zinc/77/30/65/928773065.db2.gz KJAWRTYLOCZFTL-UHFFFAOYSA-N 0 1 286.295 0.077 20 30 CCEDMN N#Cc1cc(F)c(C(=O)NCc2n[nH]c(CO)n2)c(F)c1 ZINC001363106265 928875026 /nfs/dbraw/zinc/87/50/26/928875026.db2.gz BIRHFNICJLWFTN-UHFFFAOYSA-N 0 1 293.233 0.377 20 30 CCEDMN N#Cc1cc(F)c(C(=O)NCc2nnc(CO)[nH]2)c(F)c1 ZINC001363106265 928875033 /nfs/dbraw/zinc/87/50/33/928875033.db2.gz BIRHFNICJLWFTN-UHFFFAOYSA-N 0 1 293.233 0.377 20 30 CCEDMN N#C[C@@]1(C(=O)NCc2n[nH]c(CO)n2)CC12CCCC2 ZINC001363129608 928903459 /nfs/dbraw/zinc/90/34/59/928903459.db2.gz URUTWFIHWYLPHQ-CYBMUJFWSA-N 0 1 275.312 0.387 20 30 CCEDMN N#C[C@@]1(C(=O)NCc2nnc(CO)[nH]2)CC12CCCC2 ZINC001363129608 928903469 /nfs/dbraw/zinc/90/34/69/928903469.db2.gz URUTWFIHWYLPHQ-CYBMUJFWSA-N 0 1 275.312 0.387 20 30 CCEDMN COC(=O)C1(C#N)CCN(CCCN2CCOCC2)CC1 ZINC001363241425 929016882 /nfs/dbraw/zinc/01/68/82/929016882.db2.gz GSGCFJVEDHJCRT-UHFFFAOYSA-N 0 1 295.383 0.487 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)c1coc(C#N)c1 ZINC001363637993 929439483 /nfs/dbraw/zinc/43/94/83/929439483.db2.gz AHDZKVBDYNMBQU-LLVKDONJSA-N 0 1 288.263 0.388 20 30 CCEDMN N#CCCN(Cc1ccccn1)C(=O)CCCc1nn[nH]n1 ZINC001443575330 929650022 /nfs/dbraw/zinc/65/00/22/929650022.db2.gz QJDSAIFXGXIJNW-UHFFFAOYSA-N 0 1 299.338 0.860 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc(C)n[nH]1 ZINC001445140379 930047396 /nfs/dbraw/zinc/04/73/96/930047396.db2.gz VZAMTNUHAWQZAJ-SECBINFHSA-N 0 1 272.736 0.151 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@](C)(CNCc2cn(C)nn2)C1 ZINC001446578655 930441886 /nfs/dbraw/zinc/44/18/86/930441886.db2.gz GBMCJSDPIFFPLQ-FZMZJTMJSA-N 0 1 290.371 0.303 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@H](C)C#N)NCc1cnns1 ZINC001374414232 930504242 /nfs/dbraw/zinc/50/42/42/930504242.db2.gz ILZNTCCUVXEPMN-KOLCDFICSA-N 0 1 281.385 0.928 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H]1C[C@H]1C(N)=O ZINC001447165868 930579047 /nfs/dbraw/zinc/57/90/47/930579047.db2.gz SPJDPUCSVWICTC-UTUOFQBUSA-N 0 1 299.802 0.831 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1[nH]ccc1C ZINC001374605154 930588213 /nfs/dbraw/zinc/58/82/13/930588213.db2.gz WSKUKGQREZAVFY-JTQLQIEISA-N 0 1 271.748 0.756 20 30 CCEDMN CC[C@H](F)C(=O)NC[C@@H](CO)NCc1cccc(C#N)c1 ZINC001448876790 931013471 /nfs/dbraw/zinc/01/34/71/931013471.db2.gz BRUVUTKVHOCCSP-KBPBESRZSA-N 0 1 293.342 0.873 20 30 CCEDMN CC(C)c1nc(CNC(=O)[C@H](C)n2cnc(C#N)n2)n[nH]1 ZINC001450154788 931238880 /nfs/dbraw/zinc/23/88/80/931238880.db2.gz ZMKLXGYCCJTROS-QMMMGPOBSA-N 0 1 288.315 0.269 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001376750823 931256557 /nfs/dbraw/zinc/25/65/57/931256557.db2.gz MHIGOWIPIUUBDM-SSDOTTSWSA-N 0 1 272.736 0.884 20 30 CCEDMN N#Cc1cccn(C[N@H+]2CCC[C@@H](CC(=O)[O-])C2)c1=O ZINC001611299699 970982532 /nfs/dbraw/zinc/98/25/32/970982532.db2.gz PEBAYTMBQGGGQU-NSHDSACASA-N 0 1 275.308 0.864 20 30 CCEDMN N#Cc1cccn(C[N@@H+]2CCC[C@@H](CC(=O)[O-])C2)c1=O ZINC001611299699 970982536 /nfs/dbraw/zinc/98/25/36/970982536.db2.gz PEBAYTMBQGGGQU-NSHDSACASA-N 0 1 275.308 0.864 20 30 CCEDMN C#CC[N@@H+](C)CCCNCc1cc(C(=O)[O-])nn1C ZINC001602678882 971258199 /nfs/dbraw/zinc/25/81/99/971258199.db2.gz IOKMEDWNVDFNIS-UHFFFAOYSA-N 0 1 264.329 0.163 20 30 CCEDMN C#CC[N@H+](C)CCCNCc1cc(C(=O)[O-])nn1C ZINC001602678882 971258207 /nfs/dbraw/zinc/25/82/07/971258207.db2.gz IOKMEDWNVDFNIS-UHFFFAOYSA-N 0 1 264.329 0.163 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C ZINC001602682103 971265527 /nfs/dbraw/zinc/26/55/27/971265527.db2.gz GEGPJWNEPKXHHM-PHIMTYICSA-N 0 1 252.314 0.406 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])CC(C)(C)N1CCOCC1 ZINC001602695534 971308936 /nfs/dbraw/zinc/30/89/36/971308936.db2.gz ISOPPBPOIAWSPF-UHFFFAOYSA-N 0 1 254.330 0.117 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])CC(C)(C)N1CCOCC1 ZINC001602695534 971308939 /nfs/dbraw/zinc/30/89/39/971308939.db2.gz ISOPPBPOIAWSPF-UHFFFAOYSA-N 0 1 254.330 0.117 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N(CCC#N)CCC#N ZINC000044620125 948898976 /nfs/dbraw/zinc/89/89/76/948898976.db2.gz CBKMGVBYAFSWKY-NSHDSACASA-N 0 1 280.328 0.437 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N(CCC#N)CCC#N ZINC000044620125 948898992 /nfs/dbraw/zinc/89/89/92/948898992.db2.gz CBKMGVBYAFSWKY-NSHDSACASA-N 0 1 280.328 0.437 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC001589280151 953490844 /nfs/dbraw/zinc/49/08/44/953490844.db2.gz WPMIFBHUTDTBOM-GRYCIOLGSA-N 0 1 293.367 0.980 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)[C@]2(C(=O)[O-])CC2(C)C)C1 ZINC001588430159 958252939 /nfs/dbraw/zinc/25/29/39/958252939.db2.gz ISVSEWAZWKLERQ-NHYWBVRUSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)[C@]2(C(=O)[O-])CC2(C)C)C1 ZINC001588430159 958252953 /nfs/dbraw/zinc/25/29/53/958252953.db2.gz ISVSEWAZWKLERQ-NHYWBVRUSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@@]2(C(=O)[O-])CC2(C)C)CC1 ZINC001588431207 958266921 /nfs/dbraw/zinc/26/69/21/958266921.db2.gz UJROQETYLHSFCA-OAHLLOKOSA-N 0 1 278.352 0.655 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@@]2(C(=O)[O-])CC2(C)C)CC1 ZINC001588431207 958266931 /nfs/dbraw/zinc/26/69/31/958266931.db2.gz UJROQETYLHSFCA-OAHLLOKOSA-N 0 1 278.352 0.655 20 30 CCEDMN N#CC1(C[C@@H](O)C[N@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)CC1 ZINC001574480616 961666136 /nfs/dbraw/zinc/66/61/36/961666136.db2.gz NIHCUQJJDAEMHF-WDMOLILDSA-N 0 1 280.324 0.074 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@]2(CCN(C(=O)CNC(=O)[O-])C2)C1 ZINC001573326525 962804724 /nfs/dbraw/zinc/80/47/24/962804724.db2.gz XVBUVZQDKKAHGB-CQSZACIVSA-N 0 1 281.356 0.755 20 30 CCEDMN C=C(Cl)C[N@@H+](C)C[C@H]1CCN(C(=O)CNC(=O)[O-])C1 ZINC001573338455 962875279 /nfs/dbraw/zinc/87/52/79/962875279.db2.gz BKFKNYGZTOUFOP-SNVBAGLBSA-N 0 1 289.763 0.787 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000087612288 967583584 /nfs/dbraw/zinc/58/35/84/967583584.db2.gz FHGQJFDKVVSRON-GFCCVEGCSA-N 0 1 273.292 0.310 20 30 CCEDMN N#CCNC(=O)C[N@H+]1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000087612288 967583589 /nfs/dbraw/zinc/58/35/89/967583589.db2.gz FHGQJFDKVVSRON-GFCCVEGCSA-N 0 1 273.292 0.310 20 30 CCEDMN COCC#CC[N@H+]1C[C@H](C(=O)[O-])C[C@H](c2cnn(C)c2)C1 ZINC001604505195 973433300 /nfs/dbraw/zinc/43/33/00/973433300.db2.gz QNOCSLJBVVIAQN-QWHCGFSZSA-N 0 1 291.351 0.560 20 30 CCEDMN C#CC[C@H]1CC[N@H+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])C1 ZINC001588434654 983471426 /nfs/dbraw/zinc/47/14/26/983471426.db2.gz PMNRMMSKEIPCSQ-STQMWFEESA-N 0 1 280.368 0.947 20 30 CCEDMN C#CC[N@@H+](CCC(C)(C)C(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC001588469715 983502768 /nfs/dbraw/zinc/50/27/68/983502768.db2.gz OJNAKKNBMBFELH-LLVKDONJSA-N 0 1 287.381 0.610 20 30 CCEDMN C#CC[N@H+](CCC(C)(C)C(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC001588469715 983502770 /nfs/dbraw/zinc/50/27/70/983502770.db2.gz OJNAKKNBMBFELH-LLVKDONJSA-N 0 1 287.381 0.610 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC/C=C(\C)C(=O)[O-] ZINC001588477637 983517257 /nfs/dbraw/zinc/51/72/57/983517257.db2.gz XHLMWPFIQOIJNN-RUYJGKKWSA-N 0 1 250.298 0.231 20 30 CCEDMN C#CCn1ccc(C[N@H+](CCOC)CCC(=O)[O-])n1 ZINC001588510643 983556363 /nfs/dbraw/zinc/55/63/63/983556363.db2.gz JIYMJDFYVKTNTN-UHFFFAOYSA-N 0 1 265.313 0.439 20 30 CCEDMN C#CCn1ccc(C[N@@H+](CCOC)CCC(=O)[O-])n1 ZINC001588510643 983556365 /nfs/dbraw/zinc/55/63/65/983556365.db2.gz JIYMJDFYVKTNTN-UHFFFAOYSA-N 0 1 265.313 0.439 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@]1(COC)C(=O)[O-] ZINC001588563392 983645216 /nfs/dbraw/zinc/64/52/16/983645216.db2.gz SZSLDXKXSANWRB-HNNXBMFYSA-N 0 1 298.383 0.977 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@]1(COC)C(=O)[O-] ZINC001588563392 983645220 /nfs/dbraw/zinc/64/52/20/983645220.db2.gz SZSLDXKXSANWRB-HNNXBMFYSA-N 0 1 298.383 0.977 20 30 CCEDMN C=C[C@H](C(=O)[O-])N1CCN(C[C@H]2CCCC[N@@H+]2C)CC1 ZINC001588669679 983813265 /nfs/dbraw/zinc/81/32/65/983813265.db2.gz MPAGUPLQYFNULE-ZIAGYGMSSA-N 0 1 281.400 0.728 20 30 CCEDMN C=C[C@H](C(=O)[O-])n1cc(C[N@H+]2CCC[C@H]2CO)nn1 ZINC001588672492 983825256 /nfs/dbraw/zinc/82/52/56/983825256.db2.gz SXPLBYNAHSHKRJ-WDEREUQCSA-N 0 1 266.301 0.047 20 30 CCEDMN C=C[C@H](C(=O)[O-])n1cc(C[N@@H+]2CCC[C@H]2CO)nn1 ZINC001588672492 983825258 /nfs/dbraw/zinc/82/52/58/983825258.db2.gz SXPLBYNAHSHKRJ-WDEREUQCSA-N 0 1 266.301 0.047 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](N2CCOCC2)C1 ZINC001588730102 983996561 /nfs/dbraw/zinc/99/65/61/983996561.db2.gz PJJKICVXUKVSHO-STQMWFEESA-N 0 1 268.357 0.812 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC001588730364 983998651 /nfs/dbraw/zinc/99/86/51/983998651.db2.gz YSAVVTFVIVOAIM-ZJUUUORDSA-N 0 1 261.343 0.382 20 30 CCEDMN C=CC[C@@H]1CCC[N@H+](CC(=O)NCCC(=O)[O-])C1 ZINC001588771120 984137899 /nfs/dbraw/zinc/13/78/99/984137899.db2.gz OOJKDDFOCGMNIR-LLVKDONJSA-N 0 1 254.330 0.865 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)C(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001588822959 984293943 /nfs/dbraw/zinc/29/39/43/984293943.db2.gz ZZKLJTNXBYVNOC-WDEREUQCSA-N 0 1 254.330 0.673 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CCSC[C@H]2CC(=O)[O-])C1=O ZINC001588839620 984348492 /nfs/dbraw/zinc/34/84/92/984348492.db2.gz TZDCLGHAEGYXHU-GHMZBOCLSA-N 0 1 284.381 0.665 20 30 CCEDMN C=CCNC(=O)C[NH2+]Cc1ccccc1OCC(=O)[O-] ZINC001588844840 984360870 /nfs/dbraw/zinc/36/08/70/984360870.db2.gz WVRMZXAYQHNOKZ-UHFFFAOYSA-N 0 1 278.308 0.542 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)C#CC1CC1 ZINC001594509517 985777243 /nfs/dbraw/zinc/77/72/43/985777243.db2.gz UDTFGYAAEQVFPH-LLVKDONJSA-N 0 1 264.325 0.407 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC001589389092 986500355 /nfs/dbraw/zinc/50/03/55/986500355.db2.gz VHPGGXGVLMGUCX-ZWNOBZJWSA-N 0 1 279.340 0.734 20 30 CCEDMN CCC#C[C@H](C)[N@H+]1C[C@@H](C(=O)[O-])[C@H](C(=O)OC)C1 ZINC001594690833 987084075 /nfs/dbraw/zinc/08/40/75/987084075.db2.gz CWJHYHOPMHPISP-HBNTYKKESA-N 0 1 253.298 0.594 20 30 CCEDMN CC[C@@H]1[C@@H](C(=O)N(CC#N)CC(=O)[O-])CC[N@H+]1C ZINC001597309194 987428951 /nfs/dbraw/zinc/42/89/51/987428951.db2.gz SHINCARYNKNQES-VHSXEESVSA-N 0 1 253.302 0.153 20 30 CCEDMN C[N@@H+](CCNC(=O)c1ccsc1C#N)CC(=O)[O-] ZINC001598493250 992333971 /nfs/dbraw/zinc/33/39/71/992333971.db2.gz UIRLCUOYJPLIBE-UHFFFAOYSA-N 0 1 267.310 0.366 20 30 CCEDMN C[N@H+]1CCC[C@@H]1Cn1cc(C(=O)[O-])cc(C#N)c1=O ZINC001598594170 993479808 /nfs/dbraw/zinc/47/98/08/993479808.db2.gz OPULEACVPGLEAU-LLVKDONJSA-N 0 1 261.281 0.512 20 30 CCEDMN C[N@H+]1CCN(c2ccc(C#N)cn2)C[C@H](C(=O)[O-])C1 ZINC001598622749 994031649 /nfs/dbraw/zinc/03/16/49/994031649.db2.gz XVMUAXMZUXMYSI-LLVKDONJSA-N 0 1 260.297 0.406 20 30 CCEDMN CCCCc1cc(=NC(=O)N=c2[nH]n(C)cc2C#N)[nH][nH]1 ZINC000617277126 365588792 /nfs/dbraw/zinc/58/87/92/365588792.db2.gz OZBYURXMYLEZKK-UHFFFAOYSA-N 0 1 287.327 0.845 20 30 CCEDMN CN(CC(=O)Nc1ccc(C#N)cc1)[C@H]1C[C@@H](C(N)=O)C1 ZINC000599644669 361769958 /nfs/dbraw/zinc/76/99/58/361769958.db2.gz MCVXRRXESYEDLX-BJHJDKERSA-N 0 1 286.335 0.692 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)C[C@H]1C[C@@H](O)C1 ZINC000599674095 361782000 /nfs/dbraw/zinc/78/20/00/361782000.db2.gz XBETYOKOPVBAFJ-RWMBFGLXSA-N 0 1 267.373 0.697 20 30 CCEDMN CC[C@@H](CC#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599760246 361807606 /nfs/dbraw/zinc/80/76/06/361807606.db2.gz MPQUWLPJAJRABD-JTQLQIEISA-N 0 1 296.352 0.875 20 30 CCEDMN C[C@]1(C#N)CCCN(C(=O)NC[C@@H]2COCCN2)C1 ZINC000599938139 361835242 /nfs/dbraw/zinc/83/52/42/361835242.db2.gz HDSOMUSWLUFJGL-DGCLKSJQSA-N 0 1 266.345 0.310 20 30 CCEDMN C=CCCSCCNC(=O)NC[C@@H]1COCCN1 ZINC000599921968 361829637 /nfs/dbraw/zinc/82/96/37/361829637.db2.gz HMAUQQWUDTUDJQ-LLVKDONJSA-N 0 1 273.402 0.583 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](C(=O)OC)N(C(=O)[C@@H](C)C#N)C1 ZINC000600322039 361930863 /nfs/dbraw/zinc/93/08/63/361930863.db2.gz DBIXWVNGSWRBMO-IVZWLZJFSA-N 0 1 282.296 0.099 20 30 CCEDMN N#C[C@]1(C(=O)NCCN2CC[C@H](O)C2)CC12CCCC2 ZINC000600808018 362065116 /nfs/dbraw/zinc/06/51/16/362065116.db2.gz GIQUFGQTILBWRU-WFASDCNBSA-N 0 1 277.368 0.643 20 30 CCEDMN CN(C)C1(C(=O)NC[C@@H]2CCCN(CC#N)C2)CC1 ZINC000600816438 362068090 /nfs/dbraw/zinc/06/80/90/362068090.db2.gz DKPLJMCBVKYBIE-LBPRGKRZSA-N 0 1 264.373 0.432 20 30 CCEDMN N#Cc1nc(NC[C@@H]2COCCN2)ccc1Cl ZINC000600954330 362099756 /nfs/dbraw/zinc/09/97/56/362099756.db2.gz YIHJBCVPZPLRMF-MRVPVSSYSA-N 0 1 252.705 0.429 20 30 CCEDMN CN1CC[C@H]2OCCN(CC(=O)Nc3nncs3)[C@@H]2C1 ZINC000329026224 529808092 /nfs/dbraw/zinc/80/80/92/529808092.db2.gz OASTWJDHVBZYHF-NXEZZACHSA-N 0 1 297.384 0.531 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2ncc[nH]2)C[C@H](C)N1CC#N ZINC000601492975 362290014 /nfs/dbraw/zinc/29/00/14/362290014.db2.gz WJLYOMOLQMAZMX-AOOOYVTPSA-N 0 1 283.357 0.017 20 30 CCEDMN COCC(COC)n1[nH]c(C)c(CCC#N)c1=O ZINC000601956079 362437566 /nfs/dbraw/zinc/43/75/66/362437566.db2.gz XRIZCXFSRIYVFE-NSHDSACASA-N 0 1 253.302 0.786 20 30 CCEDMN CN(CCc1ccccc1)CC(=O)N1CCOC[C@@H]1C#N ZINC000602112378 362496059 /nfs/dbraw/zinc/49/60/59/362496059.db2.gz ZKMZDSCFOSFWFQ-HNNXBMFYSA-N 0 1 287.363 0.912 20 30 CCEDMN N#CC1CCC(CNC(=O)NCCN2CC[C@@H](O)C2)CC1 ZINC000602306359 362582415 /nfs/dbraw/zinc/58/24/15/362582415.db2.gz KBQBLAUAZOZYHM-JXQTWKCFSA-N 0 1 294.399 0.682 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CCN(CCC#N)CC1 ZINC000602235644 362566268 /nfs/dbraw/zinc/56/62/68/362566268.db2.gz FSEMKJMOJFVLNA-UHFFFAOYSA-N 0 1 276.344 0.459 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2C[C@H](C)O[C@@H]2C)[nH]n1C ZINC000602347558 362593689 /nfs/dbraw/zinc/59/36/89/362593689.db2.gz HZGIDGCMVGCDFI-FXBDTBDDSA-N 0 1 262.313 0.774 20 30 CCEDMN N#Cc1cccc(CN2CCC(OCCO)CC2)n1 ZINC000602485155 362640179 /nfs/dbraw/zinc/64/01/79/362640179.db2.gz PLJAOTBZFMMYRW-UHFFFAOYSA-N 0 1 261.325 0.927 20 30 CCEDMN C[C@H](Oc1ccc(C#N)cc1)C(=O)NC[C@@H]1COCCN1 ZINC000602549147 362673825 /nfs/dbraw/zinc/67/38/25/362673825.db2.gz CHGREKQXQADDFH-WCQYABFASA-N 0 1 289.335 0.430 20 30 CCEDMN CS(=O)(=O)CC1(CNCc2cccc(C#N)n2)CC1 ZINC000602571973 362686198 /nfs/dbraw/zinc/68/61/98/362686198.db2.gz IEQLKLQHMWMKOF-UHFFFAOYSA-N 0 1 279.365 0.868 20 30 CCEDMN C=CCC[C@@H](CO)NCc1cc(C(=O)OC)no1 ZINC000602682243 362746371 /nfs/dbraw/zinc/74/63/71/362746371.db2.gz PCJVALLGOINTBW-VIFPVBQESA-N 0 1 254.286 0.878 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CC[N@H+](CC2(CC#N)CC2)C1 ZINC000602839149 362828302 /nfs/dbraw/zinc/82/83/02/362828302.db2.gz HVSJLWSLEAPOFH-GFCCVEGCSA-N 0 1 265.357 0.717 20 30 CCEDMN CC(C)OC[C@@H](O)CN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602865658 362849076 /nfs/dbraw/zinc/84/90/76/362849076.db2.gz UKNLYFYHMSEJQM-MJBXVCDLSA-N 0 1 269.389 0.690 20 30 CCEDMN Cc1cc(CNCC(=O)N2CCN(C)CC2)ccc1C#N ZINC000618191990 365923811 /nfs/dbraw/zinc/92/38/11/365923811.db2.gz CDZMXSYVGSHTKB-UHFFFAOYSA-N 0 1 286.379 0.730 20 30 CCEDMN C[C@@H]1C[C@@H](NS(=O)(=O)c2cncc(C#N)c2)CCN1C ZINC000312607287 137077267 /nfs/dbraw/zinc/07/72/67/137077267.db2.gz FLGXGCGZBZVYSB-PWSUYJOCSA-N 0 1 294.380 0.714 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1ccc(C#N)o1 ZINC000343930994 137167439 /nfs/dbraw/zinc/16/74/39/137167439.db2.gz KESYLXACVCRQCO-JTQLQIEISA-N 0 1 288.263 0.388 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)N=c1ncn(C(C)(C)C)[nH]1 ZINC000344052113 137175464 /nfs/dbraw/zinc/17/54/64/137175464.db2.gz RLZSEZCXQPRUSX-UHFFFAOYSA-N 0 1 272.312 0.917 20 30 CCEDMN C[C@H](C(N)=O)N(C)CCOCc1ccc(C#N)cc1 ZINC000344078703 137176014 /nfs/dbraw/zinc/17/60/14/137176014.db2.gz YODRRKQOZHHQSI-LLVKDONJSA-N 0 1 261.325 0.880 20 30 CCEDMN C[C@H](Oc1ccc(C#N)cc1)C(=O)NCc1nnc[nH]1 ZINC000037920727 348219233 /nfs/dbraw/zinc/21/92/33/348219233.db2.gz FYFYXZDEHQLIAS-VIFPVBQESA-N 0 1 271.280 0.760 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)c2cccn(C)c2=O)[nH]1 ZINC000345176209 137238029 /nfs/dbraw/zinc/23/80/29/137238029.db2.gz YHXGHTWKBXGVBP-UHFFFAOYSA-N 0 1 285.307 0.709 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H]2CCOC2(C)C)[nH]1 ZINC000602889179 362867480 /nfs/dbraw/zinc/86/74/80/362867480.db2.gz XZGYMWZJOFARTM-JTQLQIEISA-N 0 1 277.328 0.650 20 30 CCEDMN CC[C@@H]1[C@H](CO)CCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000602880233 362860528 /nfs/dbraw/zinc/86/05/28/362860528.db2.gz JSHROVSOKFMRPH-GXSJLCMTSA-N 0 1 277.328 0.338 20 30 CCEDMN C=CCNC(=O)CN1CCC(C(=O)N(C)C(C)C)CC1 ZINC000042147674 348267316 /nfs/dbraw/zinc/26/73/16/348267316.db2.gz KOQODTPRTYXUOX-UHFFFAOYSA-N 0 1 281.400 0.867 20 30 CCEDMN CNC(=O)[C@H]1CCCN1C[C@H](O)c1ccc(C#N)cc1 ZINC000042393769 348278286 /nfs/dbraw/zinc/27/82/86/348278286.db2.gz XFYXEGHABVFAFC-KGLIPLIRSA-N 0 1 273.336 0.802 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCN(CCc2ccccc2)CC1 ZINC000042564541 348285528 /nfs/dbraw/zinc/28/55/28/348285528.db2.gz ABJIEVWBDVXMHF-INIZCTEOSA-N 0 1 299.418 0.985 20 30 CCEDMN Cc1ccc(CN2CCN(C)C[C@H]2CO)cc1C#N ZINC000602904109 362876962 /nfs/dbraw/zinc/87/69/62/362876962.db2.gz IZECFSKOOXNJDF-HNNXBMFYSA-N 0 1 259.353 0.975 20 30 CCEDMN Cc1nnc(N2CCN(CCCCC#N)CC2)n1C ZINC000602941862 362899804 /nfs/dbraw/zinc/89/98/04/362899804.db2.gz LIXUQHYTDPGULC-UHFFFAOYSA-N 0 1 262.361 0.939 20 30 CCEDMN COC[C@](C)(CO)NCC(=O)Nc1cccc(C#N)c1 ZINC000602932205 362893317 /nfs/dbraw/zinc/89/33/17/362893317.db2.gz ODVPJRGVOKNIKH-AWEZNQCLSA-N 0 1 277.324 0.484 20 30 CCEDMN Cc1n[nH]cc1CN(C)CCC(=O)N(C)CCC#N ZINC000602943108 362901160 /nfs/dbraw/zinc/90/11/60/362901160.db2.gz OQJCOAZHVVJWIS-UHFFFAOYSA-N 0 1 263.345 0.912 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](OC)C[C@@]1(C)CO ZINC000602965047 362912109 /nfs/dbraw/zinc/91/21/09/362912109.db2.gz YHPHVMITYVISHF-OCCSQVGLSA-N 0 1 283.372 0.220 20 30 CCEDMN CN(CCCSCC#N)CC(=O)N1CCN(C)CC1 ZINC000602989067 362925095 /nfs/dbraw/zinc/92/50/95/362925095.db2.gz CEBJJFUTMXTQQY-UHFFFAOYSA-N 0 1 284.429 0.339 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@](O)(CC)C1 ZINC000602982840 362922651 /nfs/dbraw/zinc/92/26/51/362922651.db2.gz YYFDGPNXKVGKAD-CQSZACIVSA-N 0 1 267.373 0.985 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)C(=O)c2ccc(F)cc2)[nH]1 ZINC000347554952 137342467 /nfs/dbraw/zinc/34/24/67/137342467.db2.gz DKEGRHCVFSARGD-UHFFFAOYSA-N 0 1 272.239 0.674 20 30 CCEDMN C=C(C)CN(CC)CC(=O)N1CCN(C(=O)C2CC2)CC1 ZINC000051559943 348489972 /nfs/dbraw/zinc/48/99/72/348489972.db2.gz OKSQGKROVGCFML-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN CC(C)N(CC(N)=O)C[C@@H](O)COc1ccc(C#N)cc1 ZINC000049932620 348461992 /nfs/dbraw/zinc/46/19/92/348461992.db2.gz BXQVLRBWXUMBLQ-CYBMUJFWSA-N 0 1 291.351 0.494 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCCOC)CCOC)CC1 ZINC000068115682 348799329 /nfs/dbraw/zinc/79/93/29/348799329.db2.gz QJBXIRTYSNXRIT-UHFFFAOYSA-N 0 1 296.411 0.843 20 30 CCEDMN Cc1nnc(SCC(=O)N(C)C[C@H](C)C#N)[nH]1 ZINC000073031248 348942160 /nfs/dbraw/zinc/94/21/60/348942160.db2.gz QGKNTOUSAGULMJ-SSDOTTSWSA-N 0 1 253.331 0.823 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N(C)C[C@H](C)C#N)n1 ZINC000073031248 348942164 /nfs/dbraw/zinc/94/21/64/348942164.db2.gz QGKNTOUSAGULMJ-SSDOTTSWSA-N 0 1 253.331 0.823 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCCSC)CC1 ZINC000075734369 349049415 /nfs/dbraw/zinc/04/94/15/349049415.db2.gz YWIITPMRSIYHAG-UHFFFAOYSA-N 0 1 271.430 0.659 20 30 CCEDMN Cc1nc([C@H]2CN(C(=O)C3(C#N)CCC3)CCO2)n[nH]1 ZINC000076044805 349064380 /nfs/dbraw/zinc/06/43/80/349064380.db2.gz SBKJMPCQVWJGQM-SNVBAGLBSA-N 0 1 275.312 0.707 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)NCCCCN1CCOCC1 ZINC000079398744 349176301 /nfs/dbraw/zinc/17/63/01/349176301.db2.gz WFKIIHDXJQHQAK-ZDUSSCGKSA-N 0 1 282.388 0.900 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H](CO)CN2CCCC2)s1 ZINC000603051414 362947034 /nfs/dbraw/zinc/94/70/34/362947034.db2.gz CSPALGALQVATFV-JTQLQIEISA-N 0 1 279.365 0.806 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@@H](OCC)C1 ZINC000122587714 350067085 /nfs/dbraw/zinc/06/70/85/350067085.db2.gz CHTZREXJWDUDMD-NWDGAFQWSA-N 0 1 283.372 0.888 20 30 CCEDMN CCCCNC(=O)CN(C)CCCc1[nH]nc(N)c1C#N ZINC000153256730 350555144 /nfs/dbraw/zinc/55/51/44/350555144.db2.gz JJJPJDMRMAYOGO-UHFFFAOYSA-N 0 1 292.387 0.644 20 30 CCEDMN CC(C)NC(=O)CN(C)CCCc1[nH]nc(N)c1C#N ZINC000153255502 350555957 /nfs/dbraw/zinc/55/59/57/350555957.db2.gz BHKRBAJJFHCPOI-UHFFFAOYSA-N 0 1 278.360 0.253 20 30 CCEDMN CN(C)Cc1ccnc(NC(=O)N2CCO[C@@H](C#N)C2)c1 ZINC000603147477 362975255 /nfs/dbraw/zinc/97/52/55/362975255.db2.gz YGYZNOXCKXFOAB-LBPRGKRZSA-N 0 1 289.339 0.899 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCN[C@H]2CCOC2)cc1 ZINC000166377670 350798709 /nfs/dbraw/zinc/79/87/09/350798709.db2.gz JRGSTAWYXFPHNQ-LBPRGKRZSA-N 0 1 280.349 0.710 20 30 CCEDMN CN[C@@H](C)c1cn([C@H](C)C(=O)N(C)CCC#N)nn1 ZINC000603189045 362991120 /nfs/dbraw/zinc/99/11/20/362991120.db2.gz PUYZBTUUFFFPHG-VHSXEESVSA-N 0 1 264.333 0.492 20 30 CCEDMN CCNCc1cn([C@@H](C)C(=O)N(C)CCC#N)nn1 ZINC000603189468 362991185 /nfs/dbraw/zinc/99/11/85/362991185.db2.gz SBEBJPYGTPUYBE-JTQLQIEISA-N 0 1 264.333 0.321 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(CC(=O)NC)CC1 ZINC000175038443 351115254 /nfs/dbraw/zinc/11/52/54/351115254.db2.gz YCFOGFCVFXINRV-LLVKDONJSA-N 0 1 267.373 0.525 20 30 CCEDMN C=CCC1(C(=O)N2CCN(C(=O)CN(C)C)CC2)CCC1 ZINC000188516410 351603660 /nfs/dbraw/zinc/60/36/60/351603660.db2.gz RCQHCRXAINEQNH-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN CSC[C@H](O)CN1CCN(c2ccc(C#N)cn2)CC1 ZINC000187841437 351559313 /nfs/dbraw/zinc/55/93/13/351559313.db2.gz YHGSMYDBYNYPSU-CYBMUJFWSA-N 0 1 292.408 0.799 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1ccc(C#N)nc1 ZINC000122084897 187014183 /nfs/dbraw/zinc/01/41/83/187014183.db2.gz DYNKJHUXYKUAPE-VIFPVBQESA-N 0 1 268.342 0.182 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)C[C@H](C)C(=O)OC ZINC000264994432 352612719 /nfs/dbraw/zinc/61/27/19/352612719.db2.gz RSNLZTFROWRCFA-VHSXEESVSA-N 0 1 285.344 0.128 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2noc(C)n2)CC1 ZINC000265532136 352644265 /nfs/dbraw/zinc/64/42/65/352644265.db2.gz PMBCCTMZYSEPTK-UHFFFAOYSA-N 0 1 263.297 0.766 20 30 CCEDMN CN1CCN(C)[C@@H](CNc2nc3ccccn3c2C#N)C1 ZINC000272912806 353105675 /nfs/dbraw/zinc/10/56/75/353105675.db2.gz OODHNEVQOHKFFB-LBPRGKRZSA-N 0 1 284.367 0.864 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](C(=O)NCC(F)(F)F)C1 ZINC000275072732 353183162 /nfs/dbraw/zinc/18/31/62/353183162.db2.gz APSKWLSGNLKVMR-VIFPVBQESA-N 0 1 293.289 0.289 20 30 CCEDMN CC[C@@H](O)CN1CCN(c2nc(C)cc(C#N)n2)CC1 ZINC000281103036 353389677 /nfs/dbraw/zinc/38/96/77/353389677.db2.gz FNZPZIRETKPARI-CYBMUJFWSA-N 0 1 275.356 0.550 20 30 CCEDMN Cc1cc(C#N)nc(N2CCC[C@H](c3nc(=O)[nH][nH]3)C2)n1 ZINC000286573104 353596478 /nfs/dbraw/zinc/59/64/78/353596478.db2.gz VVDLKRKOQBAQKQ-VIFPVBQESA-N 0 1 285.311 0.452 20 30 CCEDMN CN1CCCN(C(=O)C(=O)Nc2sccc2C#N)CC1 ZINC000293731098 353834622 /nfs/dbraw/zinc/83/46/22/353834622.db2.gz MYXXJEYPFKZIEW-UHFFFAOYSA-N 0 1 292.364 0.722 20 30 CCEDMN C=CCOCCCC(=O)NCc1n[nH]c(CC)n1 ZINC000295443774 353894540 /nfs/dbraw/zinc/89/45/40/353894540.db2.gz VYLQDTBVLXDDCZ-UHFFFAOYSA-N 0 1 252.318 0.966 20 30 CCEDMN C[C@H](O)[C@H]1CCCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000305209526 354139115 /nfs/dbraw/zinc/13/91/15/354139115.db2.gz ZJDWTMQFQMOMSP-SLEUVZQESA-N 0 1 279.384 0.888 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N1CCNC(=O)CC1)CC2 ZINC000329106412 354252826 /nfs/dbraw/zinc/25/28/26/354252826.db2.gz HLCCXWCNNFHDBP-SNVBAGLBSA-N 0 1 292.343 0.478 20 30 CCEDMN N#Cc1ccnc(C(=O)N[C@H]2CCN(C3CC3)C2)c1 ZINC000331448575 354577473 /nfs/dbraw/zinc/57/74/73/354577473.db2.gz FJRCFPJARLLMJF-NSHDSACASA-N 0 1 256.309 0.920 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)CC1(C#N)CCC1 ZINC000349867738 295886270 /nfs/dbraw/zinc/88/62/70/295886270.db2.gz BDTBJYXBUQRKFV-JTQLQIEISA-N 0 1 259.375 0.550 20 30 CCEDMN CCN(CCOCCO)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000336828649 355204030 /nfs/dbraw/zinc/20/40/30/355204030.db2.gz CAWWQSNQJJZMDO-CQSZACIVSA-N 0 1 283.372 0.126 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)[C@H](C)n2cnc(C#N)n2)C[C@H]1C ZINC000337000293 355244293 /nfs/dbraw/zinc/24/42/93/355244293.db2.gz RXTOFRWUOIUIDQ-WOPDTQHZSA-N 0 1 290.371 0.652 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cc(F)cc2nn[nH]c21 ZINC000337489536 355303281 /nfs/dbraw/zinc/30/32/81/355303281.db2.gz CRVQOCLCBJOWGZ-UHFFFAOYSA-N 0 1 291.242 0.236 20 30 CCEDMN C[C@@H](CC#N)N(C)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000338284532 355311965 /nfs/dbraw/zinc/31/19/65/355311965.db2.gz TWHGBTXTJLYYBA-WDEREUQCSA-N 0 1 259.375 0.502 20 30 CCEDMN C[C@@H]1[C@H](NS(=O)(=O)CCCC#N)CCCN1C ZINC000344017143 355782406 /nfs/dbraw/zinc/78/24/06/355782406.db2.gz FBMQEWMBZRBKAQ-GHMZBOCLSA-N 0 1 259.375 0.692 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000684005875 545602001 /nfs/dbraw/zinc/60/20/01/545602001.db2.gz DHZFIMQMUXOQDB-CHWSQXEVSA-N 0 1 288.413 0.423 20 30 CCEDMN C[C@H](NS(=O)(=O)c1ccccc1CC#N)c1nnc[nH]1 ZINC000619573045 366286891 /nfs/dbraw/zinc/28/68/91/366286891.db2.gz CJBSNWGXQQMCSU-VIFPVBQESA-N 0 1 291.336 0.910 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)N1CCc2cn[nH]c2C1 ZINC000619712475 366331278 /nfs/dbraw/zinc/33/12/78/366331278.db2.gz FVTUGTUNCFBXJD-JTQLQIEISA-N 0 1 297.384 0.494 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000619715879 366333156 /nfs/dbraw/zinc/33/31/56/366333156.db2.gz XQGDQGUOADTHMW-QWHCGFSZSA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCC(C)(C)O)CC1 ZINC000360453289 357015419 /nfs/dbraw/zinc/01/54/19/357015419.db2.gz CVYLWVRRHINIMX-UHFFFAOYSA-N 0 1 269.389 0.067 20 30 CCEDMN CCOCCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610527980 363572803 /nfs/dbraw/zinc/57/28/03/363572803.db2.gz DHPJMSHEXPDABT-UHFFFAOYSA-N 0 1 251.290 0.262 20 30 CCEDMN CCO[C@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610527791 363572485 /nfs/dbraw/zinc/57/24/85/363572485.db2.gz JIJMQSHXUGEAMW-NSHDSACASA-N 0 1 277.328 0.746 20 30 CCEDMN C[C@@H](Cn1cccn1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610561831 363576907 /nfs/dbraw/zinc/57/69/07/363576907.db2.gz RYCZSHNWWANXTD-VIFPVBQESA-N 0 1 273.300 0.120 20 30 CCEDMN Cc1cnc(CNC(=O)N=c2[nH]n(C)cc2C#N)s1 ZINC000610565084 363579435 /nfs/dbraw/zinc/57/94/35/363579435.db2.gz VYLWNFWRPHPCLK-UHFFFAOYSA-N 0 1 276.325 0.800 20 30 CCEDMN CN(C[C@@H]1CCOC1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610564943 363579713 /nfs/dbraw/zinc/57/97/13/363579713.db2.gz UIWVYJNIFJXVKE-VIFPVBQESA-N 0 1 263.301 0.214 20 30 CCEDMN Cc1noc(CCCNC(=O)N=c2[nH]n(C)cc2C#N)n1 ZINC000610564716 363579826 /nfs/dbraw/zinc/57/98/26/363579826.db2.gz UWDWHMMDRIKNEA-UHFFFAOYSA-N 0 1 289.299 0.159 20 30 CCEDMN C=CCN1CC(=O)N([C@@H]2CCc3nc[nH]c3C2)C1=O ZINC000368117088 357323297 /nfs/dbraw/zinc/32/32/97/357323297.db2.gz WMNUPLKCWMKBFC-SECBINFHSA-N 0 1 260.297 0.717 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H]1COC ZINC000610605264 363586310 /nfs/dbraw/zinc/58/63/10/363586310.db2.gz UFLQDJRIFDSRHZ-GFCCVEGCSA-N 0 1 253.346 0.859 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CCCN(CCCO)C2)o1 ZINC000452386349 236242876 /nfs/dbraw/zinc/24/28/76/236242876.db2.gz WYVGUMZHLYDQDY-NSHDSACASA-N 0 1 277.324 0.728 20 30 CCEDMN C#CCN(C)CCCNC(=O)NCC1([S@@](C)=O)CCC1 ZINC000491661163 358295815 /nfs/dbraw/zinc/29/58/15/358295815.db2.gz AREHVGBCZXCMFP-HXUWFJFHSA-N 0 1 299.440 0.542 20 30 CCEDMN C[C@@H]1[C@H](C(=O)NC2(C#N)CCN(C)CC2)C1(F)F ZINC000545183052 358549405 /nfs/dbraw/zinc/54/94/05/358549405.db2.gz PUWXBAGVZDFCDX-RKDXNWHRSA-N 0 1 257.284 0.992 20 30 CCEDMN C[C@H]1CCN(CCNS(=O)(=O)c2cncc(C#N)c2)C1 ZINC000573253188 358952239 /nfs/dbraw/zinc/95/22/39/358952239.db2.gz GJANBRCGULLCFG-NSHDSACASA-N 0 1 294.380 0.573 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@H]([C@@H]3CCOC3)C2)[nH]1 ZINC000611007142 363647711 /nfs/dbraw/zinc/64/77/11/363647711.db2.gz MVGGKGAKIVIRAB-WDEREUQCSA-N 0 1 289.339 0.604 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1cccc(C#N)n1 ZINC000589398736 359379904 /nfs/dbraw/zinc/37/99/04/359379904.db2.gz CFEAKRWWNBCTPA-LBPRGKRZSA-N 0 1 287.367 0.319 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3cncc(C#N)c3)[C@@H]2C1 ZINC000589928831 359410265 /nfs/dbraw/zinc/41/02/65/359410265.db2.gz GDFYNHNSCMZTND-DGCLKSJQSA-N 0 1 292.364 0.278 20 30 CCEDMN CC(C)[C@@H](O)C(C)(C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611097959 363659622 /nfs/dbraw/zinc/65/96/22/363659622.db2.gz PMDCLMQPRCKTRK-LLVKDONJSA-N 0 1 293.371 0.878 20 30 CCEDMN CCOCC(C)(C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000590819573 359527511 /nfs/dbraw/zinc/52/75/11/359527511.db2.gz NFOODAHZFZVFDP-UHFFFAOYSA-N 0 1 265.317 0.650 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2COCC2(C)C)[nH]1 ZINC000590819893 359527682 /nfs/dbraw/zinc/52/76/82/359527682.db2.gz ZKVHRUGSMJXAED-SECBINFHSA-N 0 1 263.301 0.260 20 30 CCEDMN C[C@@H]1CN(Cc2ccnc(C#N)c2)[C@@H](C)CN1CCO ZINC000591377862 359587366 /nfs/dbraw/zinc/58/73/66/359587366.db2.gz QRFYZHDNPHBWRQ-OLZOCXBDSA-N 0 1 274.368 0.840 20 30 CCEDMN CO[C@@H]1CN(c2ccc(C#N)c(C)n2)C[C@H]1c1cn[nH]n1 ZINC000591629304 359616994 /nfs/dbraw/zinc/61/69/94/359616994.db2.gz JPPXJLYGTQUFSU-WCQYABFASA-N 0 1 284.323 0.999 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)Nc2cccc(C#N)n2)C1 ZINC000563098889 291192878 /nfs/dbraw/zinc/19/28/78/291192878.db2.gz SCKWREQBPPZAGP-GFCCVEGCSA-N 0 1 288.355 0.321 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000592094783 359753221 /nfs/dbraw/zinc/75/32/21/359753221.db2.gz UCUYXYGKAPYOKE-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CCCN(CCOC)C1 ZINC000592094016 359753581 /nfs/dbraw/zinc/75/35/81/359753581.db2.gz RZEHSVQHWJCMPO-CYBMUJFWSA-N 0 1 270.373 0.806 20 30 CCEDMN CC#CC[C@H](CO)Nc1nc(C(=O)OC)c(C)s1 ZINC000592000788 359725140 /nfs/dbraw/zinc/72/51/40/359725140.db2.gz WPZJDQKMVHSJHD-SECBINFHSA-N 0 1 268.338 0.846 20 30 CCEDMN C#CCOc1ccc(CN2CC(C(=O)NC)C2)cc1 ZINC000297468572 200200899 /nfs/dbraw/zinc/20/08/99/200200899.db2.gz SGPGIGMHYGEEMI-UHFFFAOYSA-N 0 1 258.321 0.876 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H](O)COc2ccccc2F)C1 ZINC000592148526 359766828 /nfs/dbraw/zinc/76/68/28/359766828.db2.gz WEDSTUGHIAWVBC-DOMZBBRYSA-N 0 1 294.326 0.916 20 30 CCEDMN CCOC(=O)CC[C@@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000611169558 363669217 /nfs/dbraw/zinc/66/92/17/363669217.db2.gz XGLYVDKDZQARQP-SECBINFHSA-N 0 1 293.327 0.567 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC2(CCOC2)CC1 ZINC000611177430 363673587 /nfs/dbraw/zinc/67/35/87/363673587.db2.gz FAHBTDNNSOCNGA-UHFFFAOYSA-N 0 1 281.356 0.501 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)C[C@@H](O)C1CC1 ZINC000611207195 363681975 /nfs/dbraw/zinc/68/19/75/363681975.db2.gz MWIZNGABDXOXEK-MWLCHTKSSA-N 0 1 269.345 0.089 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H](C)Oc2cccnc2)[nH]n1C ZINC000592646542 359908113 /nfs/dbraw/zinc/90/81/13/359908113.db2.gz PZTAJSSILKGNKB-SNVBAGLBSA-N 0 1 285.307 0.823 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)c2cc(F)cc3nn[nH]c32)C1 ZINC000592850359 359955445 /nfs/dbraw/zinc/95/54/45/359955445.db2.gz SXFHRDOLFLTAKF-CYBMUJFWSA-N 0 1 289.270 0.588 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)cn1 ZINC000593859660 360178519 /nfs/dbraw/zinc/17/85/19/360178519.db2.gz WWZKXEYMMSTUEU-UHFFFAOYSA-N 0 1 297.322 0.029 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCN(C)CC1)N1CC(C)C1 ZINC000594282632 360267760 /nfs/dbraw/zinc/26/77/60/360267760.db2.gz UYUUHBNHHRVWCF-GFCCVEGCSA-N 0 1 264.373 0.431 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)c2cccc3n[nH]cc32)CC1 ZINC000594316542 360273072 /nfs/dbraw/zinc/27/30/72/360273072.db2.gz YHSGOCVCJJUXIN-UHFFFAOYSA-N 0 1 297.318 0.761 20 30 CCEDMN N#Cc1cnccc1NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000594589513 360336007 /nfs/dbraw/zinc/33/60/07/360336007.db2.gz LSMFGHPHMDBFKR-NSHDSACASA-N 0 1 270.296 0.957 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCCOCC2)[nH]1 ZINC000611453436 363719561 /nfs/dbraw/zinc/71/95/61/363719561.db2.gz JPXWXDCANLHNHK-JTQLQIEISA-N 0 1 263.301 0.404 20 30 CCEDMN Cc1cc(=NC(=O)NCCc2nc[nH]n2)[nH]n1CCC#N ZINC000611481520 363721119 /nfs/dbraw/zinc/72/11/19/363721119.db2.gz GUUYAWLDZXZVJF-UHFFFAOYSA-N 0 1 288.315 0.009 20 30 CCEDMN N#Cc1nccc(N2CCN([C@@H]3CCC[C@@H]3O)CC2)n1 ZINC000594548800 360329028 /nfs/dbraw/zinc/32/90/28/360329028.db2.gz FOBQDSZODHNTMJ-NEPJUHHUSA-N 0 1 273.340 0.384 20 30 CCEDMN C[C@H](C#N)CNS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594756460 360376926 /nfs/dbraw/zinc/37/69/26/360376926.db2.gz GSCMAVBNEPHVSJ-SSDOTTSWSA-N 0 1 270.270 0.428 20 30 CCEDMN CN(Cc1cnc[nH]1)S(=O)(=O)CC1(C#N)CC1 ZINC000594794504 360384251 /nfs/dbraw/zinc/38/42/51/360384251.db2.gz MMTOGNOGNAJTAX-UHFFFAOYSA-N 0 1 254.315 0.475 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@H]2CCC[C@@H]([NH3+])C2)o1 ZINC000595108651 360436820 /nfs/dbraw/zinc/43/68/20/360436820.db2.gz NRJROARRNHTBED-BDAKNGLRSA-N 0 1 269.326 0.699 20 30 CCEDMN N#Cc1sccc1N1CCN(C[C@H]2CNC(=O)C2)CC1 ZINC000595630413 360602058 /nfs/dbraw/zinc/60/20/58/360602058.db2.gz XNDPZHNSCMNALM-LLVKDONJSA-N 0 1 290.392 0.878 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@]2(C)COC[C@]2(C)C1 ZINC000595692279 360622902 /nfs/dbraw/zinc/62/29/02/360622902.db2.gz VZHKWYYVRIIKHP-OKILXGFUSA-N 0 1 281.356 0.357 20 30 CCEDMN C=C[C@H](CO)N[C@@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595873163 360687114 /nfs/dbraw/zinc/68/71/14/360687114.db2.gz MEOZXKSRXJYUMG-GHMZBOCLSA-N 0 1 284.356 0.066 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H]2COC[C@H]2C1 ZINC000595833906 360672780 /nfs/dbraw/zinc/67/27/80/360672780.db2.gz SUHYGHOPEWZDPS-JHJVBQTASA-N 0 1 277.368 0.906 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC2(COC2)C1 ZINC000595836224 360674478 /nfs/dbraw/zinc/67/44/78/360674478.db2.gz VVHGTNFJTXNZRF-JTQLQIEISA-N 0 1 267.329 0.109 20 30 CCEDMN C[C@H](NC[C@H](O)CN(C)CCC#N)c1nccn1C ZINC000596069131 360787325 /nfs/dbraw/zinc/78/73/25/360787325.db2.gz KIWZGDYRIBWOAP-RYUDHWBXSA-N 0 1 265.361 0.277 20 30 CCEDMN C[C@@H](NC[C@@H](O)CN(C)CCC#N)c1nccn1C ZINC000596069132 360787769 /nfs/dbraw/zinc/78/77/69/360787769.db2.gz KIWZGDYRIBWOAP-VXGBXAGGSA-N 0 1 265.361 0.277 20 30 CCEDMN CO[C@](C)(CO)CNc1nc(C#N)c(Cl)s1 ZINC000596291113 360871565 /nfs/dbraw/zinc/87/15/65/360871565.db2.gz GUKYTALJVXXCQA-VIFPVBQESA-N 0 1 261.734 0.899 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)N2CC(CC#N)C2)[nH]1 ZINC000596763890 360955051 /nfs/dbraw/zinc/95/50/51/360955051.db2.gz CEHCDYAMGXAXLH-UHFFFAOYSA-N 0 1 276.344 0.244 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000597543875 361134248 /nfs/dbraw/zinc/13/42/48/361134248.db2.gz DKQZQLASORESNR-NEPJUHHUSA-N 0 1 267.373 0.840 20 30 CCEDMN CC1(C#N)CN(C(=O)c2cc(S(N)(=O)=O)ccc2O)C1 ZINC000597605325 361158337 /nfs/dbraw/zinc/15/83/37/361158337.db2.gz UTFAASACGNEZMO-UHFFFAOYSA-N 0 1 295.320 0.025 20 30 CCEDMN CC(C)(C#N)CC(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000597623870 361165550 /nfs/dbraw/zinc/16/55/50/361165550.db2.gz CTQPXHYPIFTQMH-GFCCVEGCSA-N 0 1 264.373 0.432 20 30 CCEDMN CN(CC(=O)N1CC(CC#N)C1)[C@H]1CCSC1 ZINC000598032580 361318903 /nfs/dbraw/zinc/31/89/03/361318903.db2.gz CMULSFGZTPNJEF-NSHDSACASA-N 0 1 253.371 0.796 20 30 CCEDMN COCc1nc(CNC(=O)c2ccc(CC#N)cc2)n[nH]1 ZINC000598051650 361324899 /nfs/dbraw/zinc/32/48/99/361324899.db2.gz YJCHIDSLLQTTMN-UHFFFAOYSA-N 0 1 285.307 0.947 20 30 CCEDMN COCc1nnc(CNC(=O)c2ccc(CC#N)cc2)[nH]1 ZINC000598051650 361324904 /nfs/dbraw/zinc/32/49/04/361324904.db2.gz YJCHIDSLLQTTMN-UHFFFAOYSA-N 0 1 285.307 0.947 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](C)[C@H](CO)C1 ZINC000598644956 361471405 /nfs/dbraw/zinc/47/14/05/361471405.db2.gz BOWAXGFRXMXNOC-SRVKXCTJSA-N 0 1 283.372 0.337 20 30 CCEDMN C[C@H]1CCN(CC(=O)NC2(C#N)CCC2)C[C@H]1CO ZINC000598647029 361472329 /nfs/dbraw/zinc/47/23/29/361472329.db2.gz MUYPEZAUIWEKAI-RYUDHWBXSA-N 0 1 265.357 0.499 20 30 CCEDMN CC(C)NC(=O)CN(C)C[C@H](O)CC(C)(C)C#N ZINC000598587771 361450345 /nfs/dbraw/zinc/45/03/45/361450345.db2.gz VUVSAZPYDQECCF-LLVKDONJSA-N 0 1 255.362 0.744 20 30 CCEDMN CCOC(=O)C1(O)CCN(C[C@H](O)CC(C)(C)C#N)CC1 ZINC000598596104 361453837 /nfs/dbraw/zinc/45/38/37/361453837.db2.gz QRKVIGBMVVBFDV-GFCCVEGCSA-N 0 1 298.383 0.677 20 30 CCEDMN C[C@@H]1CC(=O)NCCN1C[C@H](O)CC(C)(C)C#N ZINC000598600659 361456848 /nfs/dbraw/zinc/45/68/48/361456848.db2.gz WJQZWAVXUCIXER-GHMZBOCLSA-N 0 1 253.346 0.498 20 30 CCEDMN CC1CC(C#N)(C(=O)N(C)Cc2n[nH]c(=O)o2)C1 ZINC000598744180 361506246 /nfs/dbraw/zinc/50/62/46/361506246.db2.gz GOOZDPWLJHCVNW-UHFFFAOYSA-N 0 1 250.258 0.673 20 30 CCEDMN N#Cc1cc2c(nc1NCCN1CC[C@@H](O)C1)CCC2 ZINC000599175936 361600208 /nfs/dbraw/zinc/60/02/08/361600208.db2.gz JYNFRXOYBNYNGC-CYBMUJFWSA-N 0 1 272.352 0.920 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCCCC(=O)OC)CC1 ZINC000599251858 361620545 /nfs/dbraw/zinc/62/05/45/361620545.db2.gz ZQVCOTCIZYMCJO-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)Nc1ccc(C#N)nn1 ZINC000301967339 201280723 /nfs/dbraw/zinc/28/07/23/201280723.db2.gz LHBRQFYPFYKPJM-NSHDSACASA-N 0 1 260.345 0.396 20 30 CCEDMN CN1CCN(C[C@@H](O)CC2(C#N)CCOCC2)CCC1=O ZINC000599470261 361712352 /nfs/dbraw/zinc/71/23/52/361712352.db2.gz ZYKZNMYNTMVZHY-ZDUSSCGKSA-N 0 1 295.383 0.222 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCC(N2CCOCC2)CC1 ZINC000599471870 361713979 /nfs/dbraw/zinc/71/39/79/361713979.db2.gz FHIDAENJCMRQQJ-ZDUSSCGKSA-N 0 1 268.357 0.511 20 30 CCEDMN C[C@]1(C#N)CCCN(CCCC(=O)NC(N)=O)C1 ZINC000612165465 363859100 /nfs/dbraw/zinc/85/91/00/363859100.db2.gz NCGRKOIRIYHDEV-GFCCVEGCSA-N 0 1 252.318 0.587 20 30 CCEDMN N#CCCCNC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000612380497 363898237 /nfs/dbraw/zinc/89/82/37/363898237.db2.gz VSJAQGXOYLCDCQ-CHWSQXEVSA-N 0 1 280.372 0.531 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCCN1CCC1 ZINC000612842534 364022229 /nfs/dbraw/zinc/02/22/29/364022229.db2.gz KDWVFFSQYMCZNI-GFCCVEGCSA-N 0 1 253.346 0.279 20 30 CCEDMN CC(C)OC(=O)CCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000613205002 364156924 /nfs/dbraw/zinc/15/69/24/364156924.db2.gz FCUZNMKYCMUJGR-UHFFFAOYSA-N 0 1 293.327 0.567 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCC2(CN(C)C2)C1 ZINC000614034462 364467240 /nfs/dbraw/zinc/46/72/40/364467240.db2.gz XJXMEUCLXPAKHY-CYBMUJFWSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCCN1CC=CCC1 ZINC000614258390 364550599 /nfs/dbraw/zinc/55/05/99/364550599.db2.gz BGVVJYKMVSDBNU-CQSZACIVSA-N 0 1 279.384 0.835 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000614431550 364604458 /nfs/dbraw/zinc/60/44/58/364604458.db2.gz FGTWDJZLOGCJJF-ZFWWWQNUSA-N 0 1 282.384 0.637 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)C[C@@H](O)C1CC1 ZINC000615227725 364889345 /nfs/dbraw/zinc/88/93/45/364889345.db2.gz IYSJIASVOBZZSN-LLVKDONJSA-N 0 1 251.330 0.252 20 30 CCEDMN CC[C@]1(CO)CCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000615253026 364896522 /nfs/dbraw/zinc/89/65/22/364896522.db2.gz BICXPYITKKDDRU-CYBMUJFWSA-N 0 1 277.328 0.482 20 30 CCEDMN CN(CC(F)(F)F)C(=O)N=c1[nH]n(C)cc1C#N ZINC000615539447 364997999 /nfs/dbraw/zinc/99/79/99/364997999.db2.gz YKMGROAENYHVJL-UHFFFAOYSA-N 0 1 261.207 0.740 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NC[C@@H](c1nc[nH]n1)c1ccccc1 ZINC000615999710 365154054 /nfs/dbraw/zinc/15/40/54/365154054.db2.gz GHMRUWBCOSDFIX-IUODEOHRSA-N 0 1 286.335 0.990 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000616099870 365177592 /nfs/dbraw/zinc/17/75/92/365177592.db2.gz DVVZSSZIFJQLEO-MNOVXSKESA-N 0 1 263.341 0.958 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@@H](OCCOC)C1 ZINC000275474178 193052905 /nfs/dbraw/zinc/05/29/05/193052905.db2.gz DARJXJWPZBRVBA-CQSZACIVSA-N 0 1 282.384 0.924 20 30 CCEDMN N#CCCN1CCN(CCC(=O)Nc2cccnc2)CC1 ZINC000616768713 365446612 /nfs/dbraw/zinc/44/66/12/365446612.db2.gz DDKGEIWHZBCWOO-UHFFFAOYSA-N 0 1 287.367 0.941 20 30 CCEDMN C=C[C@@H](C)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000626066540 368419185 /nfs/dbraw/zinc/41/91/85/368419185.db2.gz KJEXRIJJLMEQQW-MRVPVSSYSA-N 0 1 269.326 0.757 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2ccc(C#N)c(C)n2)C1 ZINC000330660617 203091227 /nfs/dbraw/zinc/09/12/27/203091227.db2.gz YULAVCIEWJPALF-ZDUSSCGKSA-N 0 1 288.351 0.712 20 30 CCEDMN C=CCOCC(=O)N1CCC(N2CCOCC2)CC1 ZINC000628126445 369187126 /nfs/dbraw/zinc/18/71/26/369187126.db2.gz RDRJWCLMXNACLE-UHFFFAOYSA-N 0 1 268.357 0.512 20 30 CCEDMN COCCN1CC[C@H](NC(=O)Cc2noc(C)c2C#N)C1 ZINC000330773594 203217303 /nfs/dbraw/zinc/21/73/03/203217303.db2.gz XIJTZVGGTFVNPA-NSHDSACASA-N 0 1 292.339 0.234 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCCN1CC[C@@H](O)C1 ZINC000629171655 369546803 /nfs/dbraw/zinc/54/68/03/369546803.db2.gz HJACHNDNFCJRRA-OCCSQVGLSA-N 0 1 270.373 0.398 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)CCc1nn[nH]n1 ZINC000632840599 371295939 /nfs/dbraw/zinc/29/59/39/371295939.db2.gz HJBSDODETYWTAM-JOYOIKCWSA-N 0 1 265.317 0.230 20 30 CCEDMN C#C[C@@H](NC(=O)N[C@@H](C)CN1CCN(C)CC1)C(C)C ZINC000490994857 261284842 /nfs/dbraw/zinc/28/48/42/261284842.db2.gz GJXWRBGOQOZZND-UONOGXRCSA-N 0 1 280.416 0.579 20 30 CCEDMN C=CC[NH+]1CCC(NC(=O)CCCc2nn[n-]n2)CC1 ZINC000635531129 372592902 /nfs/dbraw/zinc/59/29/02/372592902.db2.gz OKFAFMGIOYZVIB-UHFFFAOYSA-N 0 1 278.360 0.289 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1=O ZINC000635892301 373061686 /nfs/dbraw/zinc/06/16/86/373061686.db2.gz WPFUISCFBYSKIC-WZRBSPASSA-N 0 1 288.351 0.759 20 30 CCEDMN C=CCOCCCNC(=O)CCCc1nn[nH]n1 ZINC000636200549 373444321 /nfs/dbraw/zinc/44/43/21/373444321.db2.gz OFJYEZQRDVVGLI-UHFFFAOYSA-N 0 1 253.306 0.231 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC[C@H](C)F)CC1 ZINC000491209607 261371189 /nfs/dbraw/zinc/37/11/89/261371189.db2.gz JFPZYTBOTSJDMM-LBPRGKRZSA-N 0 1 255.337 0.102 20 30 CCEDMN C=CC[C@H](CC)NC(=O)NCCN1CC[C@@H](O)C1 ZINC000637118223 373939113 /nfs/dbraw/zinc/93/91/13/373939113.db2.gz ITWQUELFTRTFAP-NWDGAFQWSA-N 0 1 255.362 0.707 20 30 CCEDMN C=CCN(C(=O)c1cnc(CN)nc1)[C@H](C)COC ZINC000638406675 375005687 /nfs/dbraw/zinc/00/56/87/375005687.db2.gz JXWAKDNQEXKCCC-SNVBAGLBSA-N 0 1 264.329 0.598 20 30 CCEDMN C=CCn1cc(CNC[C@H](OC)[C@@H]2CCOC2)nn1 ZINC000639276541 375690893 /nfs/dbraw/zinc/69/08/93/375690893.db2.gz ZJQXYITXTAXWTJ-YPMHNXCESA-N 0 1 266.345 0.605 20 30 CCEDMN C=CCC[C@H](O)CN(C)CC(=O)N1CCN(C)CC1 ZINC000640059061 376221766 /nfs/dbraw/zinc/22/17/66/376221766.db2.gz PEFTUEGPXCYLGZ-ZDUSSCGKSA-N 0 1 269.389 0.019 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@@H]2C[C@]2(O)C1 ZINC000640476384 376387304 /nfs/dbraw/zinc/38/73/04/376387304.db2.gz IUXWIEABXSHBEW-OBJOEFQTSA-N 0 1 252.358 0.866 20 30 CCEDMN O=C1c2ccccc2C(=O)N1CC#CCN1C[C@@H]2C[C@]2(O)C1 ZINC000640489354 376399486 /nfs/dbraw/zinc/39/94/86/376399486.db2.gz XFVUAXFOSUPRDE-SJCJKPOMSA-N 0 1 296.326 0.353 20 30 CCEDMN C=CCN(CC=C)C(=O)Cn1cc(CNC2CC2)nn1 ZINC000640792103 376543193 /nfs/dbraw/zinc/54/31/93/376543193.db2.gz PCKRICGINJNFRD-UHFFFAOYSA-N 0 1 275.356 0.731 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CCCN(CCCO)C2)nc1 ZINC000515207266 249123969 /nfs/dbraw/zinc/12/39/69/249123969.db2.gz FNUGYTHTCVRNHA-ZDUSSCGKSA-N 0 1 288.351 0.530 20 30 CCEDMN C=CCOCCNC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000642749901 377625321 /nfs/dbraw/zinc/62/53/21/377625321.db2.gz OBYASVJGGPAGJF-STQMWFEESA-N 0 1 285.388 0.286 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+]C[C@@](C)(O)C1CC1)CC2 ZINC000329226529 227364896 /nfs/dbraw/zinc/36/48/96/227364896.db2.gz AMFADXHOBIMQRH-BXUZGUMPSA-N 0 1 293.371 0.566 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NC[C@@](C)(O)C1CC1)CC2 ZINC000329226529 227364901 /nfs/dbraw/zinc/36/49/01/227364901.db2.gz AMFADXHOBIMQRH-BXUZGUMPSA-N 0 1 293.371 0.566 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)c2cnc[nH]2)n1 ZINC000279135249 194298373 /nfs/dbraw/zinc/29/83/73/194298373.db2.gz NSDGZGZWBHHHIL-UHFFFAOYSA-N 0 1 257.297 0.902 20 30 CCEDMN C=CCCn1cc(CNC2CCC(C(N)=O)CC2)nn1 ZINC000657365674 412976087 /nfs/dbraw/zinc/97/60/87/412976087.db2.gz FAHAEXVGUOXKPW-UHFFFAOYSA-N 0 1 277.372 0.988 20 30 CCEDMN C=CCCn1cc(CNCCc2cn(C)cn2)nn1 ZINC000657489667 413007142 /nfs/dbraw/zinc/00/71/42/413007142.db2.gz YUTOVRJNJVDFOY-UHFFFAOYSA-N 0 1 260.345 0.920 20 30 CCEDMN C=CCC[C@H](O)C[NH+]1CCC(c2n[n-]c(=O)o2)CC1 ZINC000284362435 388776542 /nfs/dbraw/zinc/77/65/42/388776542.db2.gz SXFCGDQYFLVUGG-NSHDSACASA-N 0 1 267.329 0.869 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CC[N@@H+](CC(C)(C)O)[C@H](C)C1 ZINC000186623479 388843356 /nfs/dbraw/zinc/84/33/56/388843356.db2.gz AXAWSUUXYVLSMP-NWDGAFQWSA-N 0 1 282.388 0.633 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000186623479 388843360 /nfs/dbraw/zinc/84/33/60/388843360.db2.gz AXAWSUUXYVLSMP-NWDGAFQWSA-N 0 1 282.388 0.633 20 30 CCEDMN C=CCNC(=O)CN[C@H](C)c1ccc2c(c1)OCC(=O)N2 ZINC000286193565 388838686 /nfs/dbraw/zinc/83/86/86/388838686.db2.gz QYCKNPZQMJTVQI-SNVBAGLBSA-N 0 1 289.335 0.970 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N(C)CCC(N)=O ZINC000286381136 388840415 /nfs/dbraw/zinc/84/04/15/388840415.db2.gz ILBINLXYRFGBAC-LLVKDONJSA-N 0 1 255.362 0.607 20 30 CCEDMN C[C@@H]1CN(CCCNC(=O)CSCC#N)C[C@@H](C)O1 ZINC000042475209 388866242 /nfs/dbraw/zinc/86/62/42/388866242.db2.gz GUUWYJASZJOLPT-VXGBXAGGSA-N 0 1 285.413 0.859 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC(C(N)=O)CC1 ZINC000048544780 388893375 /nfs/dbraw/zinc/89/33/75/388893375.db2.gz JAYITVQVUOBOBQ-NSHDSACASA-N 0 1 280.372 0.192 20 30 CCEDMN C=C[C@@H](CO)NC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000290894026 388914614 /nfs/dbraw/zinc/91/46/14/388914614.db2.gz BVEJOIZMZDJBHW-SCZZXKLOSA-N 0 1 252.318 0.495 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN1CCSCC1 ZINC000291299423 388920326 /nfs/dbraw/zinc/92/03/26/388920326.db2.gz NBYOCAPOAHAMEI-GFCCVEGCSA-N 0 1 258.387 0.479 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000290457245 388904614 /nfs/dbraw/zinc/90/46/14/388904614.db2.gz CDPCRHLOUSQFQD-VIFPVBQESA-N 0 1 261.285 0.087 20 30 CCEDMN C#CCNC(=O)CC[N@H+](CC(=O)OCC)C(C)(C)C ZINC000292686636 388954301 /nfs/dbraw/zinc/95/43/01/388954301.db2.gz CBXMACQNHMPWNL-UHFFFAOYSA-N 0 1 268.357 0.790 20 30 CCEDMN C#CCNC(=O)CCN(CC(=O)OCC)C(C)(C)C ZINC000292686636 388954303 /nfs/dbraw/zinc/95/43/03/388954303.db2.gz CBXMACQNHMPWNL-UHFFFAOYSA-N 0 1 268.357 0.790 20 30 CCEDMN C#CCNC(=O)CCN(C)C1(C(=O)OC)CCCC1 ZINC000293760408 388987553 /nfs/dbraw/zinc/98/75/53/388987553.db2.gz JXWIHKVGPLBJNJ-UHFFFAOYSA-N 0 1 266.341 0.544 20 30 CCEDMN C#CCNC(=O)CCN(CC(N)=O)[C@H](C)c1ccccc1 ZINC000293936203 388990469 /nfs/dbraw/zinc/99/04/69/388990469.db2.gz ZHPHJOVNRYRRKX-CYBMUJFWSA-N 0 1 287.363 0.674 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)NC[C@H]1CCCN1CC ZINC000336881909 389027725 /nfs/dbraw/zinc/02/77/25/389027725.db2.gz BKHBDZWSKCAIBL-GFCCVEGCSA-N 0 1 288.417 0.541 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)CCC#N)C[C@H](C(N)=O)O1 ZINC000092008515 389027029 /nfs/dbraw/zinc/02/70/29/389027029.db2.gz DHKDTHJWLPMMAU-GHMZBOCLSA-N 0 1 253.346 0.891 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)CCC#N)C[C@@H](C(N)=O)O1 ZINC000092008519 389027115 /nfs/dbraw/zinc/02/71/15/389027115.db2.gz DHKDTHJWLPMMAU-MNOVXSKESA-N 0 1 253.346 0.891 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(N(C)C(C)=O)CC2)C1=O ZINC000337142805 389047020 /nfs/dbraw/zinc/04/70/20/389047020.db2.gz UNAMUNAZEHSXPF-CQSZACIVSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](C)N(C)C[C@@H]2C)C1=O ZINC000337183141 389051591 /nfs/dbraw/zinc/05/15/91/389051591.db2.gz NMELPOZTCGOUTG-AGIUHOORSA-N 0 1 251.374 0.798 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N[C@@H]1CCCN(C)[C@@H]1C ZINC000337779288 389068261 /nfs/dbraw/zinc/06/82/61/389068261.db2.gz RWXPLBBRMNOYGH-QJPTWQEYSA-N 0 1 288.417 0.537 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC([C@H](C)O)CC1 ZINC000304018447 389080895 /nfs/dbraw/zinc/08/08/95/389080895.db2.gz CYRVOTJHPMSFES-NEPJUHHUSA-N 0 1 267.373 0.840 20 30 CCEDMN CC[C@H](CO)N1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000304302292 389082516 /nfs/dbraw/zinc/08/25/16/389082516.db2.gz IIKQCISZMGZUHK-UONOGXRCSA-N 0 1 296.415 0.135 20 30 CCEDMN CCN(CCC#N)C[C@H](O)CN1C[C@H](C)O[C@@H](C)C1 ZINC000304734519 389082872 /nfs/dbraw/zinc/08/28/72/389082872.db2.gz RVXLRVDVRXGDKW-IHRRRGAJSA-N 0 1 269.389 0.692 20 30 CCEDMN CCN(CCC#N)C[C@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000304734523 389082955 /nfs/dbraw/zinc/08/29/55/389082955.db2.gz RVXLRVDVRXGDKW-MJBXVCDLSA-N 0 1 269.389 0.692 20 30 CCEDMN C[C@@H]1CCC[C@@H](CO)N1CC(=O)N(CCC#N)CCC#N ZINC000305429887 389086286 /nfs/dbraw/zinc/08/62/86/389086286.db2.gz DLPQMBXGMITASN-KGLIPLIRSA-N 0 1 292.383 0.878 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CCO1 ZINC000106051390 389090414 /nfs/dbraw/zinc/09/04/14/389090414.db2.gz YEMWSZVTVRGYKN-SECBINFHSA-N 0 1 257.253 0.322 20 30 CCEDMN C[C@@H]1OCC[C@@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000094177401 389039222 /nfs/dbraw/zinc/03/92/22/389039222.db2.gz DQHUEBYNYBUEAS-QWRGUYRKSA-N 0 1 251.330 0.516 20 30 CCEDMN C=CC1CCN(CC(=O)NC(=O)N[C@@H](C)COC)CC1 ZINC000111258269 389103994 /nfs/dbraw/zinc/10/39/94/389103994.db2.gz YDOGYBLMMCJPPL-NSHDSACASA-N 0 1 283.372 0.745 20 30 CCEDMN Cc1ncc(S(=O)(=O)N2C[C@H](C)NC[C@@H]2C)cc1C#N ZINC000313111437 389110872 /nfs/dbraw/zinc/11/08/72/389110872.db2.gz OHDWSEVTFSRJQB-UWVGGRQHSA-N 0 1 294.380 0.633 20 30 CCEDMN CCN(CC#N)C(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000112277939 389121542 /nfs/dbraw/zinc/12/15/42/389121542.db2.gz UZBDBPKKRPDFDJ-UHFFFAOYSA-N 0 1 287.367 0.819 20 30 CCEDMN C=CCOCCN1CCC(CS(N)(=O)=O)CC1 ZINC000339056180 389123329 /nfs/dbraw/zinc/12/33/29/389123329.db2.gz PIYCVBBMUICWNK-UHFFFAOYSA-N 0 1 262.375 0.190 20 30 CCEDMN CCNC(=O)[C@H](NCC(=O)N(CC)CCC#N)C(C)C ZINC000339336673 389130672 /nfs/dbraw/zinc/13/06/72/389130672.db2.gz WLWXWDBKARJFGH-CYBMUJFWSA-N 0 1 282.388 0.499 20 30 CCEDMN N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CC[C@@H](O)C1 ZINC000227053255 389149381 /nfs/dbraw/zinc/14/93/81/389149381.db2.gz MKBHRLYQNJFXLX-OLZOCXBDSA-N 0 1 262.309 0.365 20 30 CCEDMN CCN(CC(=O)N[C@](C)(C#N)C1CC1)[C@H](C)CO ZINC000339633041 389136447 /nfs/dbraw/zinc/13/64/47/389136447.db2.gz YTVWGZJOADRNMT-ZWNOBZJWSA-N 0 1 253.346 0.498 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)C[C@](C)(O)C2CC2)C1 ZINC000328795425 389139051 /nfs/dbraw/zinc/13/90/51/389139051.db2.gz TZUZQMALXBBQFD-OCCSQVGLSA-N 0 1 269.389 0.740 20 30 CCEDMN C[C@H](C(=O)N(C)CC(=O)NC1CC1)N1CCC[C@@H](O)CC1 ZINC000328824624 389139826 /nfs/dbraw/zinc/13/98/26/389139826.db2.gz RQEJNXLQPQCWFP-DGCLKSJQSA-N 0 1 297.399 0.799 20 30 CCEDMN CCN1CCN(CC(=O)NCC(C)(C)C#N)CC1 ZINC000359635163 389179959 /nfs/dbraw/zinc/17/99/59/389179959.db2.gz MAULHIFXCPTOKN-UHFFFAOYSA-N 0 1 252.362 0.290 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC000329651653 389183071 /nfs/dbraw/zinc/18/30/71/389183071.db2.gz CXKOBOGTLAONAU-JTQLQIEISA-N 0 1 290.327 0.378 20 30 CCEDMN Cc1noc(CNC([O-])=[NH+][C@@H]2CCc3cn[nH]c3C2)n1 ZINC000329847805 389205769 /nfs/dbraw/zinc/20/57/69/389205769.db2.gz REDHGNJEUZUVOX-SECBINFHSA-N 0 1 276.300 0.662 20 30 CCEDMN Cc1noc(CNC(=O)N[C@@H]2CCc3c[nH]nc3C2)n1 ZINC000329847805 389205773 /nfs/dbraw/zinc/20/57/73/389205773.db2.gz REDHGNJEUZUVOX-SECBINFHSA-N 0 1 276.300 0.662 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000360505329 389199047 /nfs/dbraw/zinc/19/90/47/389199047.db2.gz UILPLTARZNSRJZ-MNOVXSKESA-N 0 1 278.356 0.751 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)c1ccc2[nH]nnc2c1 ZINC000342172467 389199580 /nfs/dbraw/zinc/19/95/80/389199580.db2.gz AXHBIUDOGHQJRX-SECBINFHSA-N 0 1 260.297 0.872 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NC[C@@H]2CCCCO2)C[C@H]1C ZINC000329782018 389200157 /nfs/dbraw/zinc/20/01/57/389200157.db2.gz NPJFPDATCXNCBG-RDBSUJKOSA-N 0 1 299.415 0.856 20 30 CCEDMN C=CCOCC(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000358521601 389153517 /nfs/dbraw/zinc/15/35/17/389153517.db2.gz OWEMHCHKEFBREA-UHFFFAOYSA-N 0 1 291.351 0.988 20 30 CCEDMN CCC(=O)N1CC[C@H](NC(=O)[C@H](C)CN2CCOCC2)C1 ZINC000329340400 389158156 /nfs/dbraw/zinc/15/81/56/389158156.db2.gz RPZINYWGKMUWAC-OLZOCXBDSA-N 0 1 297.399 0.922 20 30 CCEDMN Cc1cc(CNC(=O)NC[C@@H]2CN(C)CCN2C)on1 ZINC000329356192 389159026 /nfs/dbraw/zinc/15/90/26/389159026.db2.gz GWOBJUCFGSEZMH-LLVKDONJSA-N 0 1 281.360 0.232 20 30 CCEDMN Cc1nsc(N2C[C@H]3OCCN(C)[C@H]3C2)c1C#N ZINC000329413642 389162584 /nfs/dbraw/zinc/16/25/84/389162584.db2.gz YPWTXTLZNJMLAO-WDEREUQCSA-N 0 1 264.354 0.842 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCCOC2CCCC2)C1 ZINC000329432270 389163513 /nfs/dbraw/zinc/16/35/13/389163513.db2.gz IAVIBKJCFHOBOV-CYBMUJFWSA-N 0 1 298.431 0.695 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000358979885 389164115 /nfs/dbraw/zinc/16/41/15/389164115.db2.gz PLSSBLHTIIALIY-QWHCGFSZSA-N 0 1 281.404 0.423 20 30 CCEDMN C[C@@H](C#N)NC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000125400348 389165257 /nfs/dbraw/zinc/16/52/57/389165257.db2.gz QKUYMTPEIIAGTK-VIFPVBQESA-N 0 1 298.302 0.698 20 30 CCEDMN C[C@H](Cn1cncn1)NC(=O)N[C@]1(C)CCO[C@H]1C ZINC000329573438 389171846 /nfs/dbraw/zinc/17/18/46/389171846.db2.gz RJAWCCXSDAPCOO-JFGNBEQYSA-N 0 1 267.333 0.738 20 30 CCEDMN CCN(CCCO)CC(=O)N[C@](C)(C#N)C(C)C ZINC000135427546 389257073 /nfs/dbraw/zinc/25/70/73/389257073.db2.gz LBOKZVRQTKXNQL-CYBMUJFWSA-N 0 1 255.362 0.745 20 30 CCEDMN CO[C@]1(C(=O)N[C@H](C)CN2CCN(C)CC2)CCOC1 ZINC000329941384 389213566 /nfs/dbraw/zinc/21/35/66/389213566.db2.gz UZVMTYFTYAWGMZ-TZMCWYRMSA-N 0 1 285.388 0.384 20 30 CCEDMN CO[C@@H]1CCC[C@H]1CNC(=O)N1CCn2ncnc2C1 ZINC000329956546 389214191 /nfs/dbraw/zinc/21/41/91/389214191.db2.gz LHXBQJZIHMVHHA-WDEREUQCSA-N 0 1 279.344 0.823 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NCCN1CCCCC1 ZINC000330403988 389225057 /nfs/dbraw/zinc/22/50/57/389225057.db2.gz CLBDTMVCSHTDLA-GFCCVEGCSA-N 0 1 288.417 0.541 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC([C@H](O)C(=O)OC)CC2)C1=O ZINC000330410604 389225860 /nfs/dbraw/zinc/22/58/60/389225860.db2.gz YUUMTWFOXZOGED-OLZOCXBDSA-N 0 1 296.367 0.019 20 30 CCEDMN N#CCN(CC#N)C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000362406571 389235613 /nfs/dbraw/zinc/23/56/13/389235613.db2.gz BUVREIBUIFTXAK-UHFFFAOYSA-N 0 1 266.264 0.961 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000362416404 389236670 /nfs/dbraw/zinc/23/66/70/389236670.db2.gz QPLWQQWAOQFVCG-SWLSCSKDSA-N 0 1 292.383 0.349 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@]2(CNC(=O)C2)C1 ZINC000362411910 389236782 /nfs/dbraw/zinc/23/67/82/389236782.db2.gz WQKAFAGSAXRXLB-OAHLLOKOSA-N 0 1 292.383 0.351 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000330539687 389239419 /nfs/dbraw/zinc/23/94/19/389239419.db2.gz UZFPEUVEVVGDFQ-UWVGGRQHSA-N 0 1 261.329 0.918 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000330539687 389239421 /nfs/dbraw/zinc/23/94/21/389239421.db2.gz UZFPEUVEVVGDFQ-UWVGGRQHSA-N 0 1 261.329 0.918 20 30 CCEDMN C=C(C)COCCNC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000330549215 389240254 /nfs/dbraw/zinc/24/02/54/389240254.db2.gz MELUNBBDJGAUJQ-JTQLQIEISA-N 0 1 264.329 0.619 20 30 CCEDMN C=C(C)COCCNC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000330549215 389240256 /nfs/dbraw/zinc/24/02/56/389240256.db2.gz MELUNBBDJGAUJQ-JTQLQIEISA-N 0 1 264.329 0.619 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)[C@@H](CO)C(C)(C)C ZINC000346988166 389343026 /nfs/dbraw/zinc/34/30/26/389343026.db2.gz RMOFVLUPZDFMFB-NSHDSACASA-N 0 1 267.373 0.888 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000345915101 389318277 /nfs/dbraw/zinc/31/82/77/389318277.db2.gz WMVJJZLTLYKFFU-KFWWJZLASA-N 0 1 295.427 0.720 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCc1nc(C)n[nH]1 ZINC000264322108 389321374 /nfs/dbraw/zinc/32/13/74/389321374.db2.gz HPCAHMODTDGDNF-SECBINFHSA-N 0 1 252.318 0.753 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCN(CCCSCC#N)C1 ZINC000155907502 389321983 /nfs/dbraw/zinc/32/19/83/389321983.db2.gz UNYYPLHYEWYRCX-SNVBAGLBSA-N 0 1 277.415 0.257 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@](C)(O)C1 ZINC000346421503 389328336 /nfs/dbraw/zinc/32/83/36/389328336.db2.gz AMWGDFXHIHKOSL-PWSUYJOCSA-N 0 1 251.330 0.394 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC000346539334 389331613 /nfs/dbraw/zinc/33/16/13/389331613.db2.gz WNAKQEKQCJYYTR-YPMHNXCESA-N 0 1 279.384 0.620 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN([C@@H](CC)CO)CC1 ZINC000330998725 389278105 /nfs/dbraw/zinc/27/81/05/389278105.db2.gz VNZOSWSFJYGTIB-JSGCOSHPSA-N 0 1 270.373 0.229 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1CC[C@@H](CO)C1 ZINC000331014980 389283937 /nfs/dbraw/zinc/28/39/37/389283937.db2.gz XOJSLIRNKGFADM-BZPMIXESSA-N 0 1 267.373 0.744 20 30 CCEDMN Cc1cc(C(=O)NC[C@@H]2CCCCN2CCO)cnn1 ZINC000331320234 389302224 /nfs/dbraw/zinc/30/22/24/389302224.db2.gz KKIQECVWSCCHCK-ZDUSSCGKSA-N 0 1 278.356 0.936 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C2CCOCC2)CC1 ZINC000408389462 389345797 /nfs/dbraw/zinc/34/57/97/389345797.db2.gz KONQIVXIEMTFFI-HNNXBMFYSA-N 0 1 282.384 0.637 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](O)CC[C@H]1C ZINC000421023566 389348519 /nfs/dbraw/zinc/34/85/19/389348519.db2.gz RILWUVARFGDVLT-NEPJUHHUSA-N 0 1 253.346 0.594 20 30 CCEDMN CC(C)(O)CN(CC(=O)NC1(C#N)CCC1)C1CC1 ZINC000347242998 389351216 /nfs/dbraw/zinc/35/12/16/389351216.db2.gz YITXDGXWJDDACF-UHFFFAOYSA-N 0 1 265.357 0.784 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2(C#N)CCC2)C[C@@H]1C ZINC000332439666 389351844 /nfs/dbraw/zinc/35/18/44/389351844.db2.gz DKDKSNXOBBSNCX-STQMWFEESA-N 0 1 294.399 0.777 20 30 CCEDMN CC[N@H+]1CC[C@H]2OCCN(C(=O)C(C)(C)C#N)[C@H]2C1 ZINC000332712106 389359529 /nfs/dbraw/zinc/35/95/29/389359529.db2.gz OYRIWUOYVRWSHW-NWDGAFQWSA-N 0 1 265.357 0.858 20 30 CCEDMN CCN1CC[C@H]2OCCN(C(=O)C(C)(C)C#N)[C@H]2C1 ZINC000332712106 389359531 /nfs/dbraw/zinc/35/95/31/389359531.db2.gz OYRIWUOYVRWSHW-NWDGAFQWSA-N 0 1 265.357 0.858 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)CCC(=O)NC ZINC000170781704 389461658 /nfs/dbraw/zinc/46/16/58/389461658.db2.gz SMYHYPOMNAKQQU-LLVKDONJSA-N 0 1 268.361 0.062 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](c2nccn2C)C1 ZINC000373108699 389463797 /nfs/dbraw/zinc/46/37/97/389463797.db2.gz DICSWGHBZALFFX-OLZOCXBDSA-N 0 1 274.368 0.737 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(C(=O)NCCCC#N)C1 ZINC000413448718 389511672 /nfs/dbraw/zinc/51/16/72/389511672.db2.gz WTEUQAHYKHBUBO-LLVKDONJSA-N 0 1 282.344 0.036 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N[C@H](CO)CN1CCCCC1 ZINC000413793285 389558297 /nfs/dbraw/zinc/55/82/97/389558297.db2.gz ALIAWEYTKGPXBN-JSGCOSHPSA-N 0 1 267.373 0.889 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@@]2(CCSC2)C1 ZINC000334655344 389500354 /nfs/dbraw/zinc/50/03/54/389500354.db2.gz MIYIPZXOUXQVMM-GFCCVEGCSA-N 0 1 253.371 0.845 20 30 CCEDMN C#C[C@@H](NC(=O)NCCCN1CCC[C@@H]1C(N)=O)C(C)C ZINC000281548459 389619641 /nfs/dbraw/zinc/61/96/41/389619641.db2.gz YVIZPICBPATURE-CHWSQXEVSA-N 0 1 294.399 0.283 20 30 CCEDMN C=CCn1cc(CNC(=O)CN2CCC(C)CC2)nn1 ZINC000424908142 389635254 /nfs/dbraw/zinc/63/52/54/389635254.db2.gz NDESUIFYTGTSQR-UHFFFAOYSA-N 0 1 277.372 0.812 20 30 CCEDMN C=CCN1CC[C@H](NC2(C(N)=O)CCCCC2)C1=O ZINC000281936232 389636726 /nfs/dbraw/zinc/63/67/26/389636726.db2.gz IKQZUSJOFYVSIQ-NSHDSACASA-N 0 1 265.357 0.551 20 30 CCEDMN C[C@@H](NC(=O)CNc1cccc(C#N)c1)c1nnc[nH]1 ZINC000351636144 389643107 /nfs/dbraw/zinc/64/31/07/389643107.db2.gz MQADLPVYTYSBMB-SECBINFHSA-N 0 1 270.296 0.966 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC000375151424 389644139 /nfs/dbraw/zinc/64/41/39/389644139.db2.gz JCPKHAURELZQDY-NSHDSACASA-N 0 1 262.313 0.725 20 30 CCEDMN N#Cc1ccc(N2CCN([C@H]3CCC[C@@H]3O)CC2)nc1 ZINC000375777563 389711748 /nfs/dbraw/zinc/71/17/48/389711748.db2.gz UCXDAKCOWRYYOS-KBPBESRZSA-N 0 1 272.352 0.989 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000426315767 389662778 /nfs/dbraw/zinc/66/27/78/389662778.db2.gz YCVJCEXUAROLPF-MGPQQGTHSA-N 0 1 281.400 0.330 20 30 CCEDMN C=CCNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000351804272 389686201 /nfs/dbraw/zinc/68/62/01/389686201.db2.gz RRPJUFFWZQLRAY-LBPRGKRZSA-N 0 1 278.356 0.785 20 30 CCEDMN N#Cc1ccc(CN2CC[C@](O)(C(N)=O)C2)cc1F ZINC000375700957 389702030 /nfs/dbraw/zinc/70/20/30/389702030.db2.gz KXGYMIFQYZHEMM-CYBMUJFWSA-N 0 1 263.272 0.119 20 30 CCEDMN Cc1noc(C[C@H](NCC(=O)NCC#N)c2ccccc2)n1 ZINC000429553463 389760379 /nfs/dbraw/zinc/76/03/79/389760379.db2.gz FBTPWJNYCDAMQE-ZDUSSCGKSA-N 0 1 299.334 0.891 20 30 CCEDMN C=C(C)CCN1CCN([C@H](C)C(=O)N2CCOCC2)CC1 ZINC000352008078 389734267 /nfs/dbraw/zinc/73/42/67/389734267.db2.gz ZSKDLXDXJZVFLS-OAHLLOKOSA-N 0 1 295.427 0.818 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)C1(CO)CCC1 ZINC000429238798 389746608 /nfs/dbraw/zinc/74/66/08/389746608.db2.gz OUYWZUTUFNMOFQ-ZDUSSCGKSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@@H]1[C@@H](C)N(C(=O)N=c2ccn(CCC#N)[nH]2)CCN1C ZINC000408207046 389835008 /nfs/dbraw/zinc/83/50/08/389835008.db2.gz QKTGPYWHYSMYKL-VXGBXAGGSA-N 0 1 290.371 0.775 20 30 CCEDMN N#Cc1cn(Cc2nn[nH]n2)c2ccccc2c1=O ZINC000432533872 389883219 /nfs/dbraw/zinc/88/32/19/389883219.db2.gz ALKUOJIQSUJSIF-UHFFFAOYSA-N 0 1 252.237 0.435 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)NCC(C)(C)CC#N)[C@@H](C)CN1 ZINC000418969551 389885657 /nfs/dbraw/zinc/88/56/57/389885657.db2.gz FZACNSNHPHRESO-QWRGUYRKSA-N 0 1 280.372 0.251 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1C(=O)C(=O)NCC(C)(C)CC#N ZINC000418969552 389885713 /nfs/dbraw/zinc/88/57/13/389885713.db2.gz FZACNSNHPHRESO-WDEREUQCSA-N 0 1 280.372 0.251 20 30 CCEDMN CC#CCCN1CCC[C@H]1C(=O)NCC(=O)OC ZINC000419363800 389891869 /nfs/dbraw/zinc/89/18/69/389891869.db2.gz VSIJUNYPVBWBEM-NSHDSACASA-N 0 1 252.314 0.153 20 30 CCEDMN COCCN1CC[C@H](NS(=O)(=O)CCCCC#N)C1 ZINC000444176514 389894989 /nfs/dbraw/zinc/89/49/89/389894989.db2.gz PGUMBQOKZPQPQO-LBPRGKRZSA-N 0 1 289.401 0.320 20 30 CCEDMN CCc1nnc(NC(=O)CNc2ccc(C#N)cn2)[nH]1 ZINC000479194025 390081402 /nfs/dbraw/zinc/08/14/02/390081402.db2.gz NXXHPPWKKPWADO-UHFFFAOYSA-N 0 1 271.284 0.106 20 30 CCEDMN CCc1n[nH]c(NC(=O)CNc2ccc(C#N)cn2)n1 ZINC000479194025 390081403 /nfs/dbraw/zinc/08/14/03/390081403.db2.gz NXXHPPWKKPWADO-UHFFFAOYSA-N 0 1 271.284 0.106 20 30 CCEDMN C=CCCn1cc(C(=O)NCc2cc(C)[nH]n2)nn1 ZINC000644639103 390272092 /nfs/dbraw/zinc/27/20/92/390272092.db2.gz DPMXKOJOXFMEGM-UHFFFAOYSA-N 0 1 260.301 0.816 20 30 CCEDMN CN(C)C(=O)O[C@H]1CCN(CCOCCC#N)C1 ZINC000495972492 390276481 /nfs/dbraw/zinc/27/64/81/390276481.db2.gz VFXVWTSOTQDQPV-NSHDSACASA-N 0 1 255.318 0.689 20 30 CCEDMN C=CCOCCN1CCc2nn(CC)c(=O)cc2C1 ZINC000645090118 390370103 /nfs/dbraw/zinc/37/01/03/390370103.db2.gz BYXHISUWJZMCIQ-UHFFFAOYSA-N 0 1 263.341 0.824 20 30 CCEDMN C=CCn1cc(C(=O)NCCN2CC=C(C)CC2)nn1 ZINC000648862281 390427368 /nfs/dbraw/zinc/42/73/68/390427368.db2.gz HXFVHLRTEQSTKW-UHFFFAOYSA-N 0 1 275.356 0.846 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)NCCCc1cn[nH]c1C ZINC000645147582 390377731 /nfs/dbraw/zinc/37/77/31/390377731.db2.gz LFABECDWFKZLTG-ZDUSSCGKSA-N 0 1 278.356 0.724 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)NCc1n[nH]c(C(C)C)n1 ZINC000645523300 390441028 /nfs/dbraw/zinc/44/10/28/390441028.db2.gz CVESRNFLIHJAGL-SNVBAGLBSA-N 0 1 279.344 0.502 20 30 CCEDMN C=CCC[C@@H]1NC(=O)N(Cc2n[nH]c(CC)n2)C1=O ZINC000562830719 390491168 /nfs/dbraw/zinc/49/11/68/390491168.db2.gz PTYNQFXBOBJBRK-QMMMGPOBSA-N 0 1 263.301 0.754 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC000643540254 390461550 /nfs/dbraw/zinc/46/15/50/390461550.db2.gz MBOFDDLMNHERRT-GFCCVEGCSA-N 0 1 267.373 0.477 20 30 CCEDMN N#Cc1ccncc1N1CCN(C(=O)c2ccn[nH]2)CC1 ZINC000565531083 390521661 /nfs/dbraw/zinc/52/16/61/390521661.db2.gz DBBZKIXMOQHGGT-UHFFFAOYSA-N 0 1 282.307 0.639 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CN2CC(C)(C#N)C2)C[C@H](C)O1 ZINC000569678112 390716241 /nfs/dbraw/zinc/71/62/41/390716241.db2.gz QLQPLVLJTQHUMU-XQQFMLRXSA-N 0 1 267.373 0.302 20 30 CCEDMN N#Cc1csc(CNCC2(N3CCOCC3)CC2)n1 ZINC000571248358 390727474 /nfs/dbraw/zinc/72/74/74/390727474.db2.gz JSIUXQGFSJTZRW-UHFFFAOYSA-N 0 1 278.381 0.969 20 30 CCEDMN CC(C)[C@H]1CNCCN1S(=O)(=O)c1ccc(C#N)o1 ZINC000528049561 390729544 /nfs/dbraw/zinc/72/95/44/390729544.db2.gz QIQUEBYPNYKOEN-LLVKDONJSA-N 0 1 283.353 0.770 20 30 CCEDMN C=C[C@H](O)C(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000646573528 390696082 /nfs/dbraw/zinc/69/60/82/390696082.db2.gz IQJTWBTWXWDHFZ-KBPBESRZSA-N 0 1 278.352 0.961 20 30 CCEDMN C[N@H+](Cc1ccc(C#N)cn1)C[C@@H](O)CN1CCOCC1 ZINC000572801027 390803903 /nfs/dbraw/zinc/80/39/03/390803903.db2.gz FJXXDCGHIKDJJI-OAHLLOKOSA-N 0 1 290.367 0.078 20 30 CCEDMN CN(Cc1ccc(C#N)cn1)C[C@@H](O)CN1CCOCC1 ZINC000572801027 390803906 /nfs/dbraw/zinc/80/39/06/390803906.db2.gz FJXXDCGHIKDJJI-OAHLLOKOSA-N 0 1 290.367 0.078 20 30 CCEDMN COC(=O)C1CCC(NC(=O)C(C)C#N)(C(=O)OC)CC1 ZINC000355007979 533278648 /nfs/dbraw/zinc/27/86/48/533278648.db2.gz FYVGUKWWXRJXQU-IPWFMCSPSA-N 0 1 296.323 0.537 20 30 CCEDMN Cn1cc(CNCC(=O)NCC(F)(F)F)cc1C#N ZINC000190791098 130147356 /nfs/dbraw/zinc/14/73/56/130147356.db2.gz XJVYLMLAXPPTOH-UHFFFAOYSA-N 0 1 274.246 0.665 20 30 CCEDMN CC[C@H](O)CN1CCN(c2ccc(C#N)nc2)CC1 ZINC000267438987 131871735 /nfs/dbraw/zinc/87/17/35/131871735.db2.gz YGPXTLLQJQDESD-AWEZNQCLSA-N 0 1 260.341 0.846 20 30 CCEDMN COCCN1CC[C@@H](Nc2nccc(C#N)c2[N+](=O)[O-])C1 ZINC000268490320 132016778 /nfs/dbraw/zinc/01/67/78/132016778.db2.gz NLWXLWAABZLTQW-LLVKDONJSA-N 0 1 291.311 0.994 20 30 CCEDMN C=C[C@H](CO)NCc1ccc(S(N)(=O)=O)c(Cl)c1 ZINC000657791330 413061743 /nfs/dbraw/zinc/06/17/43/413061743.db2.gz DVPXYBDVOSIWPJ-SECBINFHSA-N 0 1 290.772 0.624 20 30 CCEDMN C[C@@H]1CN(c2nccnc2C#N)CCN1CC(C)(C)O ZINC000271502243 135098727 /nfs/dbraw/zinc/09/87/27/135098727.db2.gz GUVZXFTYCONUFW-LLVKDONJSA-N 0 1 275.356 0.630 20 30 CCEDMN N#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1ncn[nH]1 ZINC000960316762 649853241 /nfs/dbraw/zinc/85/32/41/649853241.db2.gz IIUHSGGICLGELV-VXGBXAGGSA-N 0 1 282.307 0.314 20 30 CCEDMN N#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1nc[nH]n1 ZINC000960316762 649853242 /nfs/dbraw/zinc/85/32/42/649853242.db2.gz IIUHSGGICLGELV-VXGBXAGGSA-N 0 1 282.307 0.314 20 30 CCEDMN C[C@@H](CO)N1CCCN(C(=O)c2cc(C#N)ccn2)CC1 ZINC000356971385 144047031 /nfs/dbraw/zinc/04/70/31/144047031.db2.gz FIIGIITUGJYEKO-LBPRGKRZSA-N 0 1 288.351 0.482 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2[C@H](C)CC[C@@H]2C(=O)[O-])C1=O ZINC000650501231 397725082 /nfs/dbraw/zinc/72/50/82/397725082.db2.gz SYDLQCMUVHYCQP-GMTAPVOTSA-N 0 1 252.314 0.711 20 30 CCEDMN C[C@@H](Oc1ccc(C#N)cc1)C(=O)NCc1nn[nH]n1 ZINC000044928533 411632393 /nfs/dbraw/zinc/63/23/93/411632393.db2.gz XNWPXFGMLLAIAT-MRVPVSSYSA-N 0 1 272.268 0.155 20 30 CCEDMN CC(=O)N(C)CC(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000049424490 411672871 /nfs/dbraw/zinc/67/28/71/411672871.db2.gz XSDFZDBGGNPOGS-UHFFFAOYSA-N 0 1 274.284 0.933 20 30 CCEDMN C=CCN1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000344129041 411763705 /nfs/dbraw/zinc/76/37/05/411763705.db2.gz XKFNKPUSGDPBEW-NSHDSACASA-N 0 1 258.387 0.966 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC000654982842 412456760 /nfs/dbraw/zinc/45/67/60/412456760.db2.gz IFMHEJDEWGBIIV-WDEREUQCSA-N 0 1 251.330 0.889 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@H](OC)C2CCC2)CC1 ZINC000659810791 413883148 /nfs/dbraw/zinc/88/31/48/413883148.db2.gz OIMXRFFTWYGOJV-OAHLLOKOSA-N 0 1 295.427 0.721 20 30 CCEDMN C=CCOc1ccccc1CNCCNS(C)(=O)=O ZINC000037241424 173057454 /nfs/dbraw/zinc/05/74/54/173057454.db2.gz WJAKKFAWZUUUPM-UHFFFAOYSA-N 0 1 284.381 0.890 20 30 CCEDMN CN(CCC(=O)Nc1ccc(C#N)cc1)CC(N)=O ZINC000037268544 173080271 /nfs/dbraw/zinc/08/02/71/173080271.db2.gz VQDJLSJWVXGNPU-UHFFFAOYSA-N 0 1 260.297 0.304 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+](CCC)CC(=O)[O-] ZINC000038021884 174001978 /nfs/dbraw/zinc/00/19/78/174001978.db2.gz YKBQUZULKKNJLT-UHFFFAOYSA-N 0 1 254.330 0.984 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+](CCC)CC(=O)[O-] ZINC000038021884 174001979 /nfs/dbraw/zinc/00/19/79/174001979.db2.gz YKBQUZULKKNJLT-UHFFFAOYSA-N 0 1 254.330 0.984 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H]2NC(=O)CC[C@@H]2C1 ZINC000661604816 414650236 /nfs/dbraw/zinc/65/02/36/414650236.db2.gz BYJRMGZVDKKHNG-QJPTWQEYSA-N 0 1 265.357 0.278 20 30 CCEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)N1CCNC(=O)C1 ZINC000662078424 414690008 /nfs/dbraw/zinc/69/00/08/414690008.db2.gz BVJLKOGXZVWRLH-TXEJJXNPSA-N 0 1 263.341 0.128 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N1CCc2sccc2C1 ZINC000662163521 414694937 /nfs/dbraw/zinc/69/49/37/414694937.db2.gz DXGPOUXBARTPAK-LLVKDONJSA-N 0 1 266.366 0.769 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)NCc1ccccc1OC ZINC000662165301 414694967 /nfs/dbraw/zinc/69/49/67/414694967.db2.gz DNYDYDMDNDZVLZ-WCQYABFASA-N 0 1 278.352 0.836 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC000662936279 414905882 /nfs/dbraw/zinc/90/58/82/414905882.db2.gz DPZIKFKDAMRWJX-GHMZBOCLSA-N 0 1 271.336 0.309 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)C(=O)N2CCN[C@@H](C)C2)C1 ZINC000662955923 414914994 /nfs/dbraw/zinc/91/49/94/414914994.db2.gz KDNCMZKPZWRNDR-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN CCN(C)CCNS(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000071140762 176039060 /nfs/dbraw/zinc/03/90/60/176039060.db2.gz FRFOTIXZTPNHPR-UHFFFAOYSA-N 0 1 285.344 0.927 20 30 CCEDMN Cc1ncc(NC(=O)N2CCOC[C@@H]2c2nnc[nH]2)cn1 ZINC000329061578 227277171 /nfs/dbraw/zinc/27/71/71/227277171.db2.gz ZILAYJKYCGRRMI-SNVBAGLBSA-N 0 1 289.299 0.522 20 30 CCEDMN O=C(NCCN1C(=O)CCC1=O)[C@@H]1CCCN1C1CC1 ZINC000330217940 228069335 /nfs/dbraw/zinc/06/93/35/228069335.db2.gz IYLAMGPCBZZFFN-NSHDSACASA-N 0 1 279.340 0.719 20 30 CCEDMN O=C(N[C@H]1CC[S@@](=O)C1)c1csc(=NC2CC2)[nH]1 ZINC000330232538 228074182 /nfs/dbraw/zinc/07/41/82/228074182.db2.gz RQFGSNAFWCYWRC-ASCAZGACSA-N 0 1 285.394 0.965 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCC2(CC#N)CC2)C[C@H]1C ZINC000332101411 228148420 /nfs/dbraw/zinc/14/84/20/228148420.db2.gz BIOYJFLKRFRFNH-CHWSQXEVSA-N 0 1 294.399 0.777 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@H](NS(C)(=O)=O)C1 ZINC000305078922 544987998 /nfs/dbraw/zinc/98/79/98/544987998.db2.gz LXJSAIYMYBHACA-RYUDHWBXSA-N 0 1 276.402 0.327 20 30 CCEDMN O=C(NCCN1CCC1)N[C@@H]1CCCn2ncnc21 ZINC000328712729 545018832 /nfs/dbraw/zinc/01/88/32/545018832.db2.gz NMFZHFRGSFJLCQ-SNVBAGLBSA-N 0 1 264.333 0.322 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)[C@]2(O)CCSC2)C1 ZINC000328937792 545020267 /nfs/dbraw/zinc/02/02/67/545020267.db2.gz DAZYIRJHNZNPIG-PWSUYJOCSA-N 0 1 273.402 0.057 20 30 CCEDMN CN1CCC[C@H](NC(=O)CCc2cnc[nH]2)C1=O ZINC000329301754 545021934 /nfs/dbraw/zinc/02/19/34/545021934.db2.gz WKUWQOKITJSHRV-JTQLQIEISA-N 0 1 250.302 0.920 20 30 CCEDMN CCCn1nccc1NC(=O)NC[C@H]1CN(C)CCN1C ZINC000329385046 545022307 /nfs/dbraw/zinc/02/23/07/545022307.db2.gz RWBYOQFFRBTBMR-LBPRGKRZSA-N 0 1 294.403 0.865 20 30 CCEDMN CN(C(=O)N[C@H]1CCc2nc[nH]c2C1)[C@H]1CCN(C)C1=O ZINC000329699537 545024805 /nfs/dbraw/zinc/02/48/05/545024805.db2.gz DEQIGSPDGWDFLU-CABZTGNLSA-N 0 1 291.355 0.344 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]([C@@H](C)O)C2)CC1 ZINC000490866479 261231310 /nfs/dbraw/zinc/23/13/10/261231310.db2.gz PJRYJRCTXXJUHV-UKRRQHHQSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H]([C@H](C)O)C2)CC1 ZINC000490866480 261231413 /nfs/dbraw/zinc/23/14/13/261231413.db2.gz PJRYJRCTXXJUHV-ZFWWWQNUSA-N 0 1 278.396 0.951 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@H](C)C(=O)N(C)CCC#N)C[C@@H]1C ZINC000249056212 261239338 /nfs/dbraw/zinc/23/93/38/261239338.db2.gz IWFQAHPTXZWKKO-YNEHKIRRSA-N 0 1 295.383 0.878 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](O)[C@@H](C)C1 ZINC000249540665 261254637 /nfs/dbraw/zinc/25/46/37/261254637.db2.gz DVALVERLFBOFOP-GARJFASQSA-N 0 1 269.345 0.089 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1COCCN1CC ZINC000490934636 261254645 /nfs/dbraw/zinc/25/46/45/261254645.db2.gz JTNYGYINHDOREW-KBPBESRZSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)[C@@H](CO)CC(C)C)CC1 ZINC000491021310 261299984 /nfs/dbraw/zinc/29/99/84/261299984.db2.gz LOMZUHRKFGSBGT-OAHLLOKOSA-N 0 1 295.427 0.103 20 30 CCEDMN CC[C@@](C)(NCC(=O)N[C@](C)(C#N)C(C)C)C(N)=O ZINC000177134521 261334853 /nfs/dbraw/zinc/33/48/53/261334853.db2.gz JEKPOWSCYYMILL-CHWSQXEVSA-N 0 1 268.361 0.284 20 30 CCEDMN CC[C@@](C)(NCC(=O)NC1(C#N)CCCCC1)C(N)=O ZINC000177137727 261334708 /nfs/dbraw/zinc/33/47/08/261334708.db2.gz DKVCQNAKPODHPX-CYBMUJFWSA-N 0 1 280.372 0.573 20 30 CCEDMN CCN(CC(=O)NC1(C#N)CCC1)C[C@@H](C)OC ZINC000361557472 261334732 /nfs/dbraw/zinc/33/47/32/261334732.db2.gz AJTQRAOZQKDPJZ-LLVKDONJSA-N 0 1 253.346 0.906 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2cnccc2C)CC1 ZINC000491264346 261392831 /nfs/dbraw/zinc/39/28/31/261392831.db2.gz GBPZIEOCEBNJQD-UHFFFAOYSA-N 0 1 286.379 0.652 20 30 CCEDMN N#Cc1cc(NC(=O)NC[C@@H]2COCCN2)ccc1F ZINC000528678564 269845830 /nfs/dbraw/zinc/84/58/30/269845830.db2.gz GAPUICSLFRMNRE-LLVKDONJSA-N 0 1 278.287 0.807 20 30 CCEDMN CCN1C(=O)[C@@H]2CN(CCCC#N)CCN2C1=O ZINC000364038090 262113161 /nfs/dbraw/zinc/11/31/61/262113161.db2.gz BZHRSBIAXWTUJT-JTQLQIEISA-N 0 1 250.302 0.258 20 30 CCEDMN CSc1nn(CC(=O)N[C@](C)(C#N)C(C)C)c(=O)[nH]1 ZINC000188167999 262232023 /nfs/dbraw/zinc/23/20/23/262232023.db2.gz DKDZTQVHYILAEO-LLVKDONJSA-N 0 1 283.357 0.348 20 30 CCEDMN CN(CCCO)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000311413720 262249686 /nfs/dbraw/zinc/24/96/86/262249686.db2.gz KMJYSZTYQUNXPA-UHFFFAOYSA-N 0 1 267.373 0.985 20 30 CCEDMN CN1CC[C@H]2CCN(S(=O)(=O)CCCC#N)[C@H]2C1 ZINC000364854550 262262915 /nfs/dbraw/zinc/26/29/15/262262915.db2.gz ONNOBRWLQIHZDQ-RYUDHWBXSA-N 0 1 271.386 0.646 20 30 CCEDMN CO/N=C/C(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000282918793 263079616 /nfs/dbraw/zinc/07/96/16/263079616.db2.gz CBTBFRZRIMLBJW-VIZOYTHASA-N 0 1 278.312 0.418 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1 ZINC000340216893 263096259 /nfs/dbraw/zinc/09/62/59/263096259.db2.gz DACXMCJDRRWFCM-STQMWFEESA-N 0 1 284.400 0.881 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)NCC#Cc1ccccc1 ZINC000340352859 263117995 /nfs/dbraw/zinc/11/79/95/263117995.db2.gz LJYNJOJOZBBQOA-UHFFFAOYSA-N 0 1 269.308 0.998 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCOCCC#N)C1=O ZINC000294019066 263154678 /nfs/dbraw/zinc/15/46/78/263154678.db2.gz HGZGHRPCQICQSJ-LBPRGKRZSA-N 0 1 251.330 0.635 20 30 CCEDMN N#CCCCCNC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000341124381 263322940 /nfs/dbraw/zinc/32/29/40/263322940.db2.gz VRERQLQJSGSZQS-GFCCVEGCSA-N 0 1 295.387 0.319 20 30 CCEDMN C[C@@H]1CC(=O)N[C@H](C(=O)NCCN2CCN(C)CC2)C1 ZINC000328706616 263847451 /nfs/dbraw/zinc/84/74/51/263847451.db2.gz PRXAUXWZIBXLSG-RYUDHWBXSA-N 0 1 282.388 0.945 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCNC(=O)N(C)C)[nH]1 ZINC000330630415 264000498 /nfs/dbraw/zinc/00/04/98/264000498.db2.gz CVLHVMQZRRHVLE-UHFFFAOYSA-N 0 1 253.306 0.266 20 30 CCEDMN CN(C)c1cnc(C(=O)NCCN2CCN(C)CC2)cn1 ZINC000330740368 264039094 /nfs/dbraw/zinc/03/90/94/264039094.db2.gz AOCKVFAIRDGNBO-UHFFFAOYSA-N 0 1 292.387 0.095 20 30 CCEDMN NC(=O)NC[C@H]1CCCN(C([O-])=[NH+]CCn2cccn2)C1 ZINC000330814894 264045585 /nfs/dbraw/zinc/04/55/85/264045585.db2.gz MZVXORHTJMYWLN-LLVKDONJSA-N 0 1 294.359 0.591 20 30 CCEDMN CN(C)CCNS(=O)(=O)CC1(C#N)CCCCC1 ZINC000330888088 264063614 /nfs/dbraw/zinc/06/36/14/264063614.db2.gz UANCEYWQQURWSR-UHFFFAOYSA-N 0 1 273.402 0.941 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N[C@@H](C)CCS(C)(=O)=O ZINC000330846658 264067123 /nfs/dbraw/zinc/06/71/23/264067123.db2.gz OZENGSYMRMAUTH-QMMMGPOBSA-N 0 1 288.373 0.545 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000330951162 264086426 /nfs/dbraw/zinc/08/64/26/264086426.db2.gz KMTPWDJWMQTDTQ-DZGCQCFKSA-N 0 1 282.384 0.494 20 30 CCEDMN CNC(=O)N[C@H]1CC[N@@H+](CCCn2cc[nH+]c2C)C1 ZINC000330961591 264089173 /nfs/dbraw/zinc/08/91/73/264089173.db2.gz YCAVZFAOBJSOOY-LBPRGKRZSA-N 0 1 265.361 0.789 20 30 CCEDMN CCc1nc2n(n1)C[C@H](NC(=O)N(C)CCCCO)CC2 ZINC000331019108 264098134 /nfs/dbraw/zinc/09/81/34/264098134.db2.gz WJSAUMIZOOXSRM-LLVKDONJSA-N 0 1 295.387 0.774 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N(C)C[C@@H](O)C(F)(F)F ZINC000331003717 264106020 /nfs/dbraw/zinc/10/60/20/264106020.db2.gz RRQZNXLOJSBKRG-MRVPVSSYSA-N 0 1 280.250 0.987 20 30 CCEDMN CCNC(=O)NC(=O)CN(CCO)[C@@H]1CCCSC1 ZINC000331277299 264170399 /nfs/dbraw/zinc/17/03/99/264170399.db2.gz YIAPYMLZDGFHIR-SNVBAGLBSA-N 0 1 289.401 0.226 20 30 CCEDMN O=C(NC[C@@H]1CCCCN1CCO)c1cnc[nH]1 ZINC000331328230 264191505 /nfs/dbraw/zinc/19/15/05/264191505.db2.gz NLPRREZDNFCZRX-JTQLQIEISA-N 0 1 252.318 0.561 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N1CC(O)(CC#N)C1 ZINC000356556777 266137513 /nfs/dbraw/zinc/13/75/13/266137513.db2.gz YUSQOYUJMXGEFU-NSHDSACASA-N 0 1 251.330 0.348 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC000357803786 266367600 /nfs/dbraw/zinc/36/76/00/266367600.db2.gz QLGQBUNOTTXPDX-NEPJUHHUSA-N 0 1 253.346 0.619 20 30 CCEDMN CN(Cc1cccc(C#N)c1)C(=O)NC[C@H]1COCCN1 ZINC000529374456 267317538 /nfs/dbraw/zinc/31/75/38/267317538.db2.gz MBHHDZZNOGLFDN-AWEZNQCLSA-N 0 1 288.351 0.688 20 30 CCEDMN N#Cc1ccc(CNC(=O)NC[C@@H]2COCCN2)cc1F ZINC000529330262 268229792 /nfs/dbraw/zinc/22/97/92/268229792.db2.gz LCMYQOMMGPLQJU-GFCCVEGCSA-N 0 1 292.314 0.485 20 30 CCEDMN N#Cc1cccc(CC(=O)NCCN2CCCOCC2)c1 ZINC000525878160 268274255 /nfs/dbraw/zinc/27/42/55/268274255.db2.gz FAHWCOOODGZUSU-UHFFFAOYSA-N 0 1 287.363 0.939 20 30 CCEDMN CC(C)[C@](C)(NCC(=O)Nc1ccc(C#N)cc1)C(N)=O ZINC000459485114 273559065 /nfs/dbraw/zinc/55/90/65/273559065.db2.gz QKVKYYXKFQNLFU-HNNXBMFYSA-N 0 1 288.351 0.986 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nc4ccccn4c3C#N)C[C@H]21 ZINC000329433188 277125261 /nfs/dbraw/zinc/12/52/61/277125261.db2.gz ICUGLEYHHQQOLO-CHWSQXEVSA-N 0 1 283.335 0.725 20 30 CCEDMN CS(=O)(=O)CC(=O)Nc1cc(C#N)ccc1O ZINC000748411215 581974188 /nfs/dbraw/zinc/97/41/88/581974188.db2.gz MLCUWSZFYRSUCZ-UHFFFAOYSA-N 0 1 254.267 0.247 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCC3(C2)OCCO3)CC1 ZINC000152266045 328083617 /nfs/dbraw/zinc/08/36/17/328083617.db2.gz JPWIWLLXJOMIKC-UHFFFAOYSA-N 0 1 292.379 0.697 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000285953145 328248329 /nfs/dbraw/zinc/24/83/29/328248329.db2.gz COGILFMFSHSAFY-KWQFWETISA-N 0 1 250.306 0.701 20 30 CCEDMN C=CCNC(=O)CN1CCC([C@H]2NC(=O)C2(C)C)CC1 ZINC000583121905 329320000 /nfs/dbraw/zinc/32/00/00/329320000.db2.gz KNNSSDNAYCFYCV-CYBMUJFWSA-N 0 1 279.384 0.525 20 30 CCEDMN C#CCCN1CCN(c2nccn(C(F)F)c2=O)CC1 ZINC000288220093 328524625 /nfs/dbraw/zinc/52/46/25/328524625.db2.gz VKPWTTGJGDABRH-UHFFFAOYSA-N 0 1 282.294 0.784 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N[C@](C)(C#N)C(C)C)C[C@@H]1O ZINC000341023892 327023323 /nfs/dbraw/zinc/02/33/23/327023323.db2.gz XSDZVCJFFXFTKM-MBNYWOFBSA-N 0 1 267.373 0.744 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@H]2CC)CC1 ZINC000115310160 327235576 /nfs/dbraw/zinc/23/55/76/327235576.db2.gz BYVKMAAYCVCVEY-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN CN1CCC(C#N)(NC(=O)COC[C@H]2CCCO2)CC1 ZINC000536498279 327241562 /nfs/dbraw/zinc/24/15/62/327241562.db2.gz SZYAEBGSLXZWRH-GFCCVEGCSA-N 0 1 281.356 0.286 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCCC[C@H]1CCC(=O)[O-] ZINC000050924485 327395595 /nfs/dbraw/zinc/39/55/95/327395595.db2.gz CIDRCPNULCMXBB-NSHDSACASA-N 0 1 297.355 0.718 20 30 CCEDMN CNC(=O)CC1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000175032830 329162370 /nfs/dbraw/zinc/16/23/70/329162370.db2.gz BFOGWZGLWSYJGH-LBPRGKRZSA-N 0 1 294.399 0.595 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@H](CO)C1 ZINC000177667556 329188708 /nfs/dbraw/zinc/18/87/08/329188708.db2.gz UUYAZULFCQDOBW-OLZOCXBDSA-N 0 1 267.373 0.699 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)C1CCN(OC)CC1 ZINC000459595211 329341083 /nfs/dbraw/zinc/34/10/83/329341083.db2.gz ZWMONEKYWCUTBI-ZDUSSCGKSA-N 0 1 296.415 0.952 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@](C)(CC)C(N)=O ZINC000133176125 329651230 /nfs/dbraw/zinc/65/12/30/329651230.db2.gz VVLYIENSCUTLJX-ZWNOBZJWSA-N 0 1 268.361 0.238 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1 ZINC000423366566 330194566 /nfs/dbraw/zinc/19/45/66/330194566.db2.gz XWZUDKOJVIRCDY-VXGBXAGGSA-N 0 1 267.373 0.620 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCN(C)CC1)N1CCSCC1 ZINC000533089074 330332756 /nfs/dbraw/zinc/33/27/56/330332756.db2.gz XMEPDLWQMNWPAY-LBPRGKRZSA-N 0 1 296.440 0.528 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000533113801 330345441 /nfs/dbraw/zinc/34/54/41/330345441.db2.gz JDDDITPQFPWGLD-MNOVXSKESA-N 0 1 279.344 0.887 20 30 CCEDMN C=CCCCS(=O)(=O)N[C@H]1CCCN(CCCO)C1 ZINC000451663845 331038138 /nfs/dbraw/zinc/03/81/38/331038138.db2.gz BFGCNMCITDURIF-ZDUSSCGKSA-N 0 1 290.429 0.719 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1[C@@H]2CC[C@H]1CN(C)C(=O)C2 ZINC000451858759 331044984 /nfs/dbraw/zinc/04/49/84/331044984.db2.gz JRAOUAMPHYXUIA-KGLIPLIRSA-N 0 1 291.395 0.882 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NC[C@@H]1CCCC[N@H+]1CCO ZINC000452368142 331063257 /nfs/dbraw/zinc/06/32/57/331063257.db2.gz CFJISKWIHGFJRM-JSGCOSHPSA-N 0 1 267.373 0.889 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NC[C@@H]1CCCCN1CCO ZINC000452368142 331063258 /nfs/dbraw/zinc/06/32/58/331063258.db2.gz CFJISKWIHGFJRM-JSGCOSHPSA-N 0 1 267.373 0.889 20 30 CCEDMN C=CC(C)(C)CNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000454477823 331147049 /nfs/dbraw/zinc/14/70/49/331147049.db2.gz DCHGYEUWGLFTPR-GFCCVEGCSA-N 0 1 268.405 0.744 20 30 CCEDMN C=CC[C@@H](C)NC(=O)NCC[N@H+]1CCCOCC1 ZINC000454533345 331148214 /nfs/dbraw/zinc/14/82/14/331148214.db2.gz TWASTPLPISGZRH-GFCCVEGCSA-N 0 1 255.362 0.973 20 30 CCEDMN C=CC[C@@H](C)NC(=O)NCCN1CCCOCC1 ZINC000454533345 331148215 /nfs/dbraw/zinc/14/82/15/331148215.db2.gz TWASTPLPISGZRH-GFCCVEGCSA-N 0 1 255.362 0.973 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@H]1COC[C@H]1OC ZINC000459824909 331302186 /nfs/dbraw/zinc/30/21/86/331302186.db2.gz KOLZMZKJRCTGLC-NWDGAFQWSA-N 0 1 254.330 0.190 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@H]2COC(=O)O2)CC1 ZINC000490853473 332121085 /nfs/dbraw/zinc/12/10/85/332121085.db2.gz RMEQZACIMNMUDX-NSHDSACASA-N 0 1 267.281 0.410 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=C)C(=O)OCC)CC1 ZINC000490866424 332122170 /nfs/dbraw/zinc/12/21/70/332122170.db2.gz OULLVYYVAFTZNM-UHFFFAOYSA-N 0 1 279.336 0.994 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CC(=O)N[C@@H](C)C1 ZINC000490949917 332132314 /nfs/dbraw/zinc/13/23/14/332132314.db2.gz MXUJMGHCXFGXKF-RWMBFGLXSA-N 0 1 278.352 0.542 20 30 CCEDMN C#CCN(CC#N)C(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000490986524 332136201 /nfs/dbraw/zinc/13/62/01/332136201.db2.gz UBRMTDFVIZEVAH-UHFFFAOYSA-N 0 1 259.221 0.899 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)CCNC(=O)OCC ZINC000491125881 332153530 /nfs/dbraw/zinc/15/35/30/332153530.db2.gz IELCAWAZYBAXQN-GFCCVEGCSA-N 0 1 282.340 0.763 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCNC(=O)OCC ZINC000491125881 332153532 /nfs/dbraw/zinc/15/35/32/332153532.db2.gz IELCAWAZYBAXQN-GFCCVEGCSA-N 0 1 282.340 0.763 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCOC(C)C)CC1 ZINC000491165315 332159676 /nfs/dbraw/zinc/15/96/76/332159676.db2.gz JVIFJCQAZIDQGM-UHFFFAOYSA-N 0 1 281.400 0.169 20 30 CCEDMN C#CCN(C(=O)NCCCCN1CCOCC1)C1CC1 ZINC000491349949 332195005 /nfs/dbraw/zinc/19/50/05/332195005.db2.gz LFKXBFHTBJBVAJ-UHFFFAOYSA-N 0 1 279.384 0.906 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000491538294 332233055 /nfs/dbraw/zinc/23/30/55/332233055.db2.gz XXGBBAMZBXZIKY-MNOVXSKESA-N 0 1 259.309 0.690 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@H](c3cccnc3)C2)C1=O ZINC000491664461 332273338 /nfs/dbraw/zinc/27/33/38/332273338.db2.gz SZAIVFLBXDHFDT-KBPBESRZSA-N 0 1 283.331 0.632 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C1CCC1 ZINC000491680798 332284357 /nfs/dbraw/zinc/28/43/57/332284357.db2.gz KANWLYXQSIJMFF-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCCCC(N)=O ZINC000491693835 332290124 /nfs/dbraw/zinc/29/01/24/332290124.db2.gz USXMOFOEELIDIJ-LBPRGKRZSA-N 0 1 266.341 0.673 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(C)C[C@H](C)OC ZINC000491724074 332308536 /nfs/dbraw/zinc/30/85/36/332308536.db2.gz FBSXZDFUJZXCPS-LBPRGKRZSA-N 0 1 255.362 0.618 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1cccc2[nH]ccc21 ZINC000491741126 332319992 /nfs/dbraw/zinc/31/99/92/332319992.db2.gz YUCLSALDLOQOFV-RYUDHWBXSA-N 0 1 274.320 0.491 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NCCN1CCCOCC1 ZINC000491742733 332321224 /nfs/dbraw/zinc/32/12/24/332321224.db2.gz QQWDIPBEQBURGN-ZDUSSCGKSA-N 0 1 267.373 0.810 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)c1cc(OC)ccc1OC ZINC000491743101 332321408 /nfs/dbraw/zinc/32/14/08/332321408.db2.gz MDMYLLGVYFJDOO-GWCFXTLKSA-N 0 1 265.309 0.321 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)c1cc(OC)ccc1OC ZINC000491743109 332321538 /nfs/dbraw/zinc/32/15/38/332321538.db2.gz MDMYLLGVYFJDOO-ZWNOBZJWSA-N 0 1 265.309 0.321 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCCC[C@@H]2COC)CC1 ZINC000491754637 332329380 /nfs/dbraw/zinc/32/93/80/332329380.db2.gz ULQGHYQRODMNTF-OAHLLOKOSA-N 0 1 293.411 0.265 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)CN1CCC(C)CC1 ZINC000491763601 332332553 /nfs/dbraw/zinc/33/25/53/332332553.db2.gz AFZXXYYHWVEGHG-ZDUSSCGKSA-N 0 1 250.342 0.579 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000491810994 332363712 /nfs/dbraw/zinc/36/37/12/332363712.db2.gz AVISWWHBNNUPSU-HUUCEWRRSA-N 0 1 294.443 0.922 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1COC(=O)C1 ZINC000491820029 332369958 /nfs/dbraw/zinc/36/99/58/332369958.db2.gz WMYMBMIQKOKIIT-MNOVXSKESA-N 0 1 251.282 0.190 20 30 CCEDMN N#CCN(CN1C[C@@]2(CN3CCC2CC3)OC1=O)C1CC1 ZINC000495856522 332532084 /nfs/dbraw/zinc/53/20/84/332532084.db2.gz FSIQYTLNISUWEH-OAHLLOKOSA-N 0 1 290.367 0.848 20 30 CCEDMN CC[C@](C)(C#N)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000523670916 333336785 /nfs/dbraw/zinc/33/67/85/333336785.db2.gz REZNQLWNKLMVDN-CYBMUJFWSA-N 0 1 287.327 0.598 20 30 CCEDMN N#CC1CCC(CNC(=O)NC[C@@H]2COCCN2)CC1 ZINC000529332902 333482795 /nfs/dbraw/zinc/48/27/95/333482795.db2.gz XSMOOWTVGFVXRH-WXRRBKDZSA-N 0 1 280.372 0.604 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCN([C@H]2CC[C@@H](C#N)C2)C1 ZINC000549915259 334208370 /nfs/dbraw/zinc/20/83/70/334208370.db2.gz CPYQGVIALUEEKA-OUAUKWLOSA-N 0 1 257.359 0.302 20 30 CCEDMN C=CCn1cc(CNC[C@@H](O)CC(F)(F)F)nn1 ZINC000576339712 335191194 /nfs/dbraw/zinc/19/11/94/335191194.db2.gz GJYYLRXIYHHTFN-VIFPVBQESA-N 0 1 264.251 0.867 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H](O)C(C)(C)C)CC1 ZINC000764996768 582081397 /nfs/dbraw/zinc/08/13/97/582081397.db2.gz ATOMSISNGUFBJM-JTQLQIEISA-N 0 1 253.346 0.498 20 30 CCEDMN C#CCCN1CCN([C@@H](C)C(=O)OCCC)CC1 ZINC000776594006 582083523 /nfs/dbraw/zinc/08/35/23/582083523.db2.gz QRVHLMGREAYKLV-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCn2cccn2)C[C@]2(CCOC2)O1 ZINC000329976969 534272460 /nfs/dbraw/zinc/27/24/60/534272460.db2.gz ZEMSJHNEZPICAB-OCCSQVGLSA-N 0 1 294.355 0.677 20 30 CCEDMN COC(=O)c1ncsc1S(=O)(=O)N[C@H](C)C#N ZINC000347321635 534368740 /nfs/dbraw/zinc/36/87/40/534368740.db2.gz NUFQAQPUGNMCNW-RXMQYKEDSA-N 0 1 275.311 0.120 20 30 CCEDMN Cn1c(SCC(=O)NC2(C#N)CCC2)n[nH]c1=O ZINC000333440901 534703473 /nfs/dbraw/zinc/70/34/73/534703473.db2.gz LUGQWOWUQMTEEN-UHFFFAOYSA-N 0 1 267.314 0.175 20 30 CCEDMN N#Cc1cc(NC(=O)NCCN2CC[C@H](O)C2)ccc1F ZINC000599735761 545102917 /nfs/dbraw/zinc/10/29/17/545102917.db2.gz VXTTTWSPRPEYRI-LBPRGKRZSA-N 0 1 292.314 0.885 20 30 CCEDMN C=CCNC(=O)N1CCC[C@@H](C(=O)N2CCNCC2)C1 ZINC000334599480 526582709 /nfs/dbraw/zinc/58/27/09/526582709.db2.gz NVJRASXQKHXYKA-GFCCVEGCSA-N 0 1 280.372 0.026 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@@H]1CCCN(C)[C@@H]1C ZINC000347756081 527304320 /nfs/dbraw/zinc/30/43/20/527304320.db2.gz FPCQNEFATAXWBQ-VXGBXAGGSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCCN1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000352734186 527325495 /nfs/dbraw/zinc/32/54/95/527325495.db2.gz JOABHPNWYJXOBY-UHFFFAOYSA-N 0 1 261.391 0.377 20 30 CCEDMN C=CCCOCCNC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000354610120 527350212 /nfs/dbraw/zinc/35/02/12/527350212.db2.gz JQWCIHDTJKNTEU-ZIAGYGMSSA-N 0 1 299.415 0.676 20 30 CCEDMN CCN1CCOC[C@@H]1C(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000329218090 527716910 /nfs/dbraw/zinc/71/69/10/527716910.db2.gz RHLGFDJGGUXJBQ-WCBMZHEXSA-N 0 1 267.333 0.851 20 30 CCEDMN C=CCCOCC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000673140673 545280304 /nfs/dbraw/zinc/28/03/04/545280304.db2.gz DGDYIOFQKWHHNE-ZDUSSCGKSA-N 0 1 284.400 0.883 20 30 CCEDMN CCN1CCN(C(=O)[C@@H](C)n2cnc(C#N)n2)[C@H](C)C1 ZINC000341792777 535630463 /nfs/dbraw/zinc/63/04/63/535630463.db2.gz VOHAMLBOEXRXGP-GHMZBOCLSA-N 0 1 276.344 0.263 20 30 CCEDMN C=CCOCCNC(=O)[C@H](C)CN1CCOCC1 ZINC000679649735 545413960 /nfs/dbraw/zinc/41/39/60/545413960.db2.gz ZIJCWDMOJCQXGS-GFCCVEGCSA-N 0 1 256.346 0.274 20 30 CCEDMN COCC(C)(C)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000343752836 536796829 /nfs/dbraw/zinc/79/68/29/536796829.db2.gz GJPYOUUQGAWUGH-UHFFFAOYSA-N 0 1 297.380 0.742 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@H](N3CCCC3=O)C2)C1=O ZINC000685001255 545782171 /nfs/dbraw/zinc/78/21/71/545782171.db2.gz VSLDXJYUBSIFMN-KGLIPLIRSA-N 0 1 291.395 0.860 20 30 CCEDMN C[NH+]1CCN(N=Cc2ccc(O)c(C(=O)[O-])c2)CC1 ZINC000777418548 581114880 /nfs/dbraw/zinc/11/48/80/581114880.db2.gz MXCCPDMDMMAGKW-UHFFFAOYSA-N 0 1 263.297 0.672 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@H](CNC(=O)[O-])C1 ZINC000739404255 581131630 /nfs/dbraw/zinc/13/16/30/581131630.db2.gz PUJKOMBYIPGIKW-VXGBXAGGSA-N 0 1 296.371 0.584 20 30 CCEDMN CN([C@H]1CCCN(C)C1)S(=O)(=O)CC(C)(C)C#N ZINC000777819844 581135684 /nfs/dbraw/zinc/13/56/84/581135684.db2.gz TWNTUIRULAINEQ-NSHDSACASA-N 0 1 273.402 0.892 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)c1[nH]nc2c1CCCCC2 ZINC000789171916 581136142 /nfs/dbraw/zinc/13/61/42/581136142.db2.gz TVEXUVJKJJSTJW-SNVBAGLBSA-N 0 1 289.335 0.973 20 30 CCEDMN C#CCCN1CCN(CC(=O)OC(C)(C)C)CC1 ZINC000778951597 581171275 /nfs/dbraw/zinc/17/12/75/581171275.db2.gz UFMUSSJFZSFIPW-UHFFFAOYSA-N 0 1 252.358 0.969 20 30 CCEDMN CC(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1ccc(=O)[nH]n1 ZINC000790172919 581179229 /nfs/dbraw/zinc/17/92/29/581179229.db2.gz YYSHCYRBOYPWAW-QWRGUYRKSA-N 0 1 274.328 0.766 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2ccc(N3CCCC3)nc2)N1 ZINC000779793318 581205703 /nfs/dbraw/zinc/20/57/03/581205703.db2.gz SFUCMVWRISZAIH-NSHDSACASA-N 0 1 272.356 0.953 20 30 CCEDMN COCCOc1ccc(C=NNC2=NC[C@@H](C)N2)cc1 ZINC000779798228 581206009 /nfs/dbraw/zinc/20/60/09/581206009.db2.gz AOCKBIQVSUJGRB-LLVKDONJSA-N 0 1 276.340 0.983 20 30 CCEDMN C#CCCCCCC(=O)NC[C@@H]1CN(C)CCN1C ZINC000780500582 581231299 /nfs/dbraw/zinc/23/12/99/581231299.db2.gz HAFVHVZMUVULPN-CQSZACIVSA-N 0 1 265.401 0.932 20 30 CCEDMN C#CCCN1CCN([C@H](C)c2nnnn2C2CC2)CC1 ZINC000792263862 581255518 /nfs/dbraw/zinc/25/55/18/581255518.db2.gz CURALVXZYSHNFC-GFCCVEGCSA-N 0 1 274.372 0.710 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000781018444 581256284 /nfs/dbraw/zinc/25/62/84/581256284.db2.gz FIPPZEVPNXESEB-RYUDHWBXSA-N 0 1 267.373 0.495 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC([C@H]3CCOC3)C2)CC1 ZINC000792602887 581266536 /nfs/dbraw/zinc/26/65/36/581266536.db2.gz BHTFYEXIRLDMFW-AWEZNQCLSA-N 0 1 276.380 0.827 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@H](C)c1c[nH]nc1C ZINC000783536249 581349029 /nfs/dbraw/zinc/34/90/29/581349029.db2.gz JRSIVUXRHUZQEO-SECBINFHSA-N 0 1 257.359 0.970 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@@H](C3OCCO3)C2)CCC1 ZINC000784337795 581380552 /nfs/dbraw/zinc/38/05/52/581380552.db2.gz SGHYLYSHZAFEPC-GFCCVEGCSA-N 0 1 293.367 0.634 20 30 CCEDMN CC[C@H](C)[C@H](OC)C(=O)C(C#N)C(=O)NCCCOC ZINC000796634151 581446689 /nfs/dbraw/zinc/44/66/89/581446689.db2.gz XMLDDDGOWOSGLM-GVXVVHGQSA-N 0 1 284.356 0.909 20 30 CCEDMN N#CCCNC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000787359106 581469073 /nfs/dbraw/zinc/46/90/73/581469073.db2.gz XBNNWNUDPDIWGY-NWDGAFQWSA-N 0 1 266.345 0.141 20 30 CCEDMN C#CCn1cc(CNC(=O)CCCCc2cn[nH]n2)cn1 ZINC000788211495 581485544 /nfs/dbraw/zinc/48/55/44/581485544.db2.gz GYMDFTMYEYDTJG-UHFFFAOYSA-N 0 1 286.339 0.664 20 30 CCEDMN CCOC[C@@H]1CCCN(CC(=O)NCCC#N)C1 ZINC000733797369 581525739 /nfs/dbraw/zinc/52/57/39/581525739.db2.gz UWFZMXWQRFNOPW-GFCCVEGCSA-N 0 1 253.346 0.765 20 30 CCEDMN C#CCNC(=O)CN1CCC(C(N)=O)(c2ccccc2)CC1 ZINC000734487950 581565946 /nfs/dbraw/zinc/56/59/46/581565946.db2.gz WHEFIJZRVSABQY-UHFFFAOYSA-N 0 1 299.374 0.255 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000767101552 581596485 /nfs/dbraw/zinc/59/64/85/581596485.db2.gz DBDPXGTUUZGRII-SNVBAGLBSA-N 0 1 261.329 0.955 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC000767436891 581613640 /nfs/dbraw/zinc/61/36/40/581613640.db2.gz ZRKABYJLRPXKGE-CHWSQXEVSA-N 0 1 260.341 0.515 20 30 CCEDMN CCNc1nc(=NN=Cc2cncn2C)nc(NCC)[nH]1 ZINC000755512719 581643407 /nfs/dbraw/zinc/64/34/07/581643407.db2.gz TTWFVRDVSCHUPT-UHFFFAOYSA-N 0 1 289.347 0.915 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NC(C)[C@@H]2CCOC2)o1 ZINC000735450864 581645864 /nfs/dbraw/zinc/64/58/64/581645864.db2.gz HEWANTPQMSNJNM-MRVPVSSYSA-N 0 1 277.288 0.987 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)c1c[nH]c(C(N)=O)c1 ZINC000756556507 581671432 /nfs/dbraw/zinc/67/14/32/581671432.db2.gz VKMUHXUIGUNGHH-LLVKDONJSA-N 0 1 275.308 0.368 20 30 CCEDMN C[C@@H](C#N)Oc1ccc(CN2C[C@H](O)C[C@H](O)C2)cc1 ZINC000769565448 581696119 /nfs/dbraw/zinc/69/61/19/581696119.db2.gz WVPKASXMVGVBEG-FPMFFAJLSA-N 0 1 276.336 0.905 20 30 CCEDMN C=CC[N@@H+](CCCC(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000736108604 581698313 /nfs/dbraw/zinc/69/83/13/581698313.db2.gz IYPMRMLKGJGCKA-SNVBAGLBSA-N 0 1 261.343 0.526 20 30 CCEDMN C=CC[N@H+](CCCC(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000736108604 581698317 /nfs/dbraw/zinc/69/83/17/581698317.db2.gz IYPMRMLKGJGCKA-SNVBAGLBSA-N 0 1 261.343 0.526 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1)C1CC1 ZINC000736204137 581714847 /nfs/dbraw/zinc/71/48/47/581714847.db2.gz YYLRWVQFWPBHGM-IINYFYTJSA-N 0 1 279.340 0.591 20 30 CCEDMN N#CCCNC(=O)COC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000758131953 581722511 /nfs/dbraw/zinc/72/25/11/581722511.db2.gz JADWVXHMRXNCEP-VIFPVBQESA-N 0 1 276.296 0.403 20 30 CCEDMN C=CCNC(=O)COC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000758141215 581723313 /nfs/dbraw/zinc/72/33/13/581723313.db2.gz YRYHSVUKWZNIQG-VIFPVBQESA-N 0 1 263.297 0.675 20 30 CCEDMN C[C@@H]1CCCN1CCNS(=O)(=O)c1cccnc1C#N ZINC000758161906 581723785 /nfs/dbraw/zinc/72/37/85/581723785.db2.gz YJUVFRYKOSNYEL-LLVKDONJSA-N 0 1 294.380 0.716 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000770580451 581754566 /nfs/dbraw/zinc/75/45/66/581754566.db2.gz ZPTXWJFFYBWPTE-SNVBAGLBSA-N 0 1 298.302 0.766 20 30 CCEDMN N#Cc1cnn(CCOC(=O)c2ccc([N+](=O)[O-])[nH]2)c1N ZINC000731773192 581781255 /nfs/dbraw/zinc/78/12/55/581781255.db2.gz JSSWQWDTXVNEEM-UHFFFAOYSA-N 0 1 290.239 0.430 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1nnc(-c2ccccn2)[n-]1 ZINC000743311022 581807771 /nfs/dbraw/zinc/80/77/71/581807771.db2.gz INVQEIAGPVNEOJ-LBPRGKRZSA-N 0 1 296.334 0.903 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)Nc1n[nH]c(-c2ccccn2)n1 ZINC000743311022 581807773 /nfs/dbraw/zinc/80/77/73/581807773.db2.gz INVQEIAGPVNEOJ-LBPRGKRZSA-N 0 1 296.334 0.903 20 30 CCEDMN C#CCNC(=O)C1CCN(C(=O)[C@@H]2CCCCN2C)CC1 ZINC000732279875 581815780 /nfs/dbraw/zinc/81/57/80/581815780.db2.gz RJKVTPWIPHRWFC-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCNC(=O)CN1CCC(OCC2CC2)CC1 ZINC000743872990 581827795 /nfs/dbraw/zinc/82/77/95/581827795.db2.gz ISVYBVFGUDTEGF-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN COC(=O)C(C)(C)[C@@H]1CCCN(CC(=O)NCCC#N)C1 ZINC000772375954 581855395 /nfs/dbraw/zinc/85/53/95/581855395.db2.gz VQDNHNLMWTZVIK-GFCCVEGCSA-N 0 1 295.383 0.927 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCN(C)[C@@H](C)C1 ZINC000761079924 581886401 /nfs/dbraw/zinc/88/64/01/581886401.db2.gz RWINICWINFZRAG-WDEREUQCSA-N 0 1 268.361 0.023 20 30 CCEDMN COc1cccc([C@@H](C#N)NC(=O)c2ncn[nH]2)c1OC ZINC000746162733 581896774 /nfs/dbraw/zinc/89/67/74/581896774.db2.gz OLDYIOVQWDAWAO-SECBINFHSA-N 0 1 287.279 0.817 20 30 CCEDMN COc1cccc([C@@H](C#N)NC(=O)c2nc[nH]n2)c1OC ZINC000746162733 581896775 /nfs/dbraw/zinc/89/67/75/581896775.db2.gz OLDYIOVQWDAWAO-SECBINFHSA-N 0 1 287.279 0.817 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H]1C(=O)[O-] ZINC000737094529 581903082 /nfs/dbraw/zinc/90/30/82/581903082.db2.gz RVQRJFYADQRARH-SNVBAGLBSA-N 0 1 253.302 0.298 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000737094529 581903084 /nfs/dbraw/zinc/90/30/84/581903084.db2.gz RVQRJFYADQRARH-SNVBAGLBSA-N 0 1 253.302 0.298 20 30 CCEDMN Cn1cc(N=NCc2ccc(OCC(N)=O)cc2)cn1 ZINC000761859933 581923076 /nfs/dbraw/zinc/92/30/76/581923076.db2.gz DAEUKANWCGJHFT-UHFFFAOYSA-N 0 1 273.296 0.730 20 30 CCEDMN C#CCNC(=O)CN1CC[C@](C(=O)OCC)(C(C)C)C1 ZINC000773921629 581947057 /nfs/dbraw/zinc/94/70/57/581947057.db2.gz LTCQVMFHQCJTNM-OAHLLOKOSA-N 0 1 280.368 0.647 20 30 CCEDMN CON=CC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000774436395 581973804 /nfs/dbraw/zinc/97/38/04/581973804.db2.gz QQIFYMDGDRFEGH-GFCCVEGCSA-N 0 1 270.377 0.007 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCCNc1ccc(C#N)cn1 ZINC000748557973 581980092 /nfs/dbraw/zinc/98/00/92/581980092.db2.gz MNWAIDMYGFDXMC-LBPRGKRZSA-N 0 1 273.340 0.576 20 30 CCEDMN N#Cc1cccc(CC(=O)N2CCO[C@H](c3nn[nH]n3)C2)c1 ZINC000749385429 582006263 /nfs/dbraw/zinc/00/62/63/582006263.db2.gz KNFHRLYLCYKHEG-LBPRGKRZSA-N 0 1 298.306 0.214 20 30 CCEDMN C#C[C@H](NC(=O)CCSc1nnc(C)[nH]1)[C@H]1CCCO1 ZINC000775328805 582018527 /nfs/dbraw/zinc/01/85/27/582018527.db2.gz KADDDZSJLDVMNC-WDEREUQCSA-N 0 1 294.380 0.892 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CNC1(C(N)=O)CCCC1 ZINC000750049381 582032076 /nfs/dbraw/zinc/03/20/76/582032076.db2.gz ZZPPYQSJZUOQQM-LLVKDONJSA-N 0 1 280.372 0.382 20 30 CCEDMN C#C[C@@H](NCCn1nc2ccccn2c1=O)[C@H]1CCCO1 ZINC000775800099 582040311 /nfs/dbraw/zinc/04/03/11/582040311.db2.gz QUVXJCNIHMKRRK-CHWSQXEVSA-N 0 1 286.335 0.266 20 30 CCEDMN COCCCOCC(=O)C(C#N)C(=O)NC1CC1 ZINC000776076465 582050549 /nfs/dbraw/zinc/05/05/49/582050549.db2.gz GJWMXPJLPZPNFL-SNVBAGLBSA-N 0 1 254.286 0.027 20 30 CCEDMN CCc1cc(CNC(=O)Nc2nn(C)cc2C#N)n[nH]1 ZINC000750613561 582052750 /nfs/dbraw/zinc/05/27/50/582052750.db2.gz LIZOOWFFJDQURK-UHFFFAOYSA-N 0 1 273.300 0.899 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000738496361 582056549 /nfs/dbraw/zinc/05/65/49/582056549.db2.gz WUYDUXPZMGVVDN-OUAUKWLOSA-N 0 1 269.345 0.655 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCCC[C@H]1[C@@H](C)NC(=O)[O-] ZINC000738496395 582056594 /nfs/dbraw/zinc/05/65/94/582056594.db2.gz XKVPDWWOWILDMF-MNOVXSKESA-N 0 1 269.345 0.799 20 30 CCEDMN O=[N+]([O-])c1ccc(O)cc1C=NN1CCOCC1 ZINC000751131053 582070746 /nfs/dbraw/zinc/07/07/46/582070746.db2.gz KFIPZTBUPABMQK-UHFFFAOYSA-N 0 1 251.242 0.967 20 30 CCEDMN C#CCN1CCN(Cc2cc3c(cc2O)OC[C@H]3O)CC1 ZINC000768442330 582106599 /nfs/dbraw/zinc/10/65/99/582106599.db2.gz ROFGWHLGLJLIME-OAHLLOKOSA-N 0 1 288.347 0.569 20 30 CCEDMN C#CCCCS(=O)(=O)N1CCN[C@@H](C(C)C)C1 ZINC000851899025 612983648 /nfs/dbraw/zinc/98/36/48/612983648.db2.gz ZMVIYBSLCJCEKL-GFCCVEGCSA-N 0 1 258.387 0.659 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@@H]1CCN(CCOC)C1 ZINC000805072223 612984930 /nfs/dbraw/zinc/98/49/30/612984930.db2.gz GCUNEGYPMOWITP-GFCCVEGCSA-N 0 1 274.386 0.040 20 30 CCEDMN C#CCOCCNC(=O)N1CCNC[C@@H]1CCC ZINC000841873916 612986298 /nfs/dbraw/zinc/98/62/98/612986298.db2.gz CEQSEHPOWQURHA-LBPRGKRZSA-N 0 1 253.346 0.420 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N1CC[N@H+]2CCC[C@@H]2C1 ZINC000819823371 597639074 /nfs/dbraw/zinc/63/90/74/597639074.db2.gz SXQHZQBLJPRRSO-MFKMUULPSA-N 0 1 265.313 0.298 20 30 CCEDMN C[C@@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])[N@@H+](C)C1CC1 ZINC000820095294 597643436 /nfs/dbraw/zinc/64/34/36/597643436.db2.gz CMOYVAUHZZTVKF-ZANVPECISA-N 0 1 267.329 0.590 20 30 CCEDMN N#Cc1ccc(C(=O)OC[C@H]2CCC[N@@H+]2CC(=O)[O-])cn1 ZINC000821329731 598061710 /nfs/dbraw/zinc/06/17/10/598061710.db2.gz HWJSABHZWCEYMV-GFCCVEGCSA-N 0 1 289.291 0.659 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@H](c2[nH]ncc2N)C1 ZINC000907416718 613000059 /nfs/dbraw/zinc/00/00/59/613000059.db2.gz JPLFGLJVKLBPMV-NXEZZACHSA-N 0 1 262.313 0.346 20 30 CCEDMN C#CCO[C@H](C)C(=O)Nc1nc(C(=O)OCC)c[nH]1 ZINC000841221867 613003855 /nfs/dbraw/zinc/00/38/55/613003855.db2.gz MFWVXGISKKXNPZ-MRVPVSSYSA-N 0 1 265.269 0.563 20 30 CCEDMN C#CCOc1ccc(CN2CCOC[C@H](O)C2)cc1 ZINC000824624930 613005742 /nfs/dbraw/zinc/00/57/42/613005742.db2.gz CWSHBHNFSLJCIN-CQSZACIVSA-N 0 1 261.321 0.892 20 30 CCEDMN COc1cc(C=NN(C)[C@H](C)CO)cc([N+](=O)[O-])c1O ZINC000842544151 617179114 /nfs/dbraw/zinc/17/91/14/617179114.db2.gz FVNJARQPLRDOIH-MRVPVSSYSA-N 0 1 283.284 0.956 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)OC(C)(C)C ZINC000125233413 612949766 /nfs/dbraw/zinc/94/97/66/612949766.db2.gz LCEHUFVQBUVIFR-LBPRGKRZSA-N 0 1 297.399 0.971 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@@H](C)[C@H](CC(=O)OC)C1 ZINC000932110601 612950157 /nfs/dbraw/zinc/95/01/57/612950157.db2.gz AQGSRFUFIPJWHE-GRYCIOLGSA-N 0 1 266.341 0.255 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N(C)[C@H]1CCC[N@@H+](C)C1 ZINC000896063351 613033011 /nfs/dbraw/zinc/03/30/11/613033011.db2.gz DZZNIKHNSYHWLZ-STQMWFEESA-N 0 1 267.373 0.760 20 30 CCEDMN C[C@H]1CCN(CCO)C[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000965886794 649939923 /nfs/dbraw/zinc/93/99/23/649939923.db2.gz OCGZLNPTLWBTOO-GXFFZTMASA-N 0 1 276.340 0.319 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](NC(=O)[C@H]2CCCN2C)C1 ZINC000965988760 649945674 /nfs/dbraw/zinc/94/56/74/649945674.db2.gz LNHKYYJHQKNKTQ-JHJVBQTASA-N 0 1 292.383 0.347 20 30 CCEDMN COc1cc(CNC(=O)[C@@H]2CC2[N+](=O)[O-])ccc1C#N ZINC000839777783 617188621 /nfs/dbraw/zinc/18/86/21/617188621.db2.gz SJASHIRBSPZAQV-GHMZBOCLSA-N 0 1 275.264 0.848 20 30 CCEDMN C#CCCNC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833365340 613047207 /nfs/dbraw/zinc/04/72/07/613047207.db2.gz POPJPMMLFJPJSK-NSHDSACASA-N 0 1 267.329 0.200 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@@H]1CCCCN1CC ZINC000799079653 613062562 /nfs/dbraw/zinc/06/25/62/613062562.db2.gz VBQSONKNEJEGLX-STQMWFEESA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCNCC(=O)N1CCC(C(=O)NCCCCC)CC1 ZINC000912380768 612956379 /nfs/dbraw/zinc/95/63/79/612956379.db2.gz BVAQBUNLEXVUIA-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN C[C@H](NCC(=O)NCCC#N)c1ccc(-c2nn[nH]n2)cc1 ZINC000824563163 608465206 /nfs/dbraw/zinc/46/52/06/608465206.db2.gz MTVITNQDKGMOIG-JTQLQIEISA-N 0 1 299.338 0.547 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2=COCCO2)C1 ZINC000971013882 613080374 /nfs/dbraw/zinc/08/03/74/613080374.db2.gz AENHAPFGLFQBMA-LLVKDONJSA-N 0 1 250.298 0.041 20 30 CCEDMN C#CC1(O)CN(C(=O)Nc2cc(CN(C)C)ccn2)C1 ZINC000932169910 612957125 /nfs/dbraw/zinc/95/71/25/612957125.db2.gz XNFHTZAKRHQINJ-UHFFFAOYSA-N 0 1 274.324 0.355 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnsn2)C1 ZINC000971137231 613087658 /nfs/dbraw/zinc/08/76/58/613087658.db2.gz IWXRBENRGAZNFU-SECBINFHSA-N 0 1 250.327 0.318 20 30 CCEDMN C#C[C@@H](NC(=O)Cc1n[nH]c(C)n1)C1CCOCC1 ZINC000856118363 613092867 /nfs/dbraw/zinc/09/28/67/613092867.db2.gz DOJLXDLTNTXFAL-LLVKDONJSA-N 0 1 262.313 0.200 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)C(C)C#N ZINC000855493795 613104299 /nfs/dbraw/zinc/10/42/99/613104299.db2.gz JYUUWQAAUHZNBO-SNVBAGLBSA-N 0 1 250.298 0.950 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2CCN(C(C)=O)CC2)C1 ZINC000847028870 613105859 /nfs/dbraw/zinc/10/58/59/613105859.db2.gz KZWVFBUQUJDWAH-CQSZACIVSA-N 0 1 277.368 0.022 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(CCS(C)(=O)=O)C(C)C ZINC000876884898 613387158 /nfs/dbraw/zinc/38/71/58/613387158.db2.gz HCZBLUICCFJCIE-SNVBAGLBSA-N 0 1 296.820 0.738 20 30 CCEDMN C#CC1CCN(C(=O)[C@@]2(C)C[C@H](O)CN2C)CC1 ZINC000911548003 612963929 /nfs/dbraw/zinc/96/39/29/612963929.db2.gz SYCYTDDNHRINMF-GXTWGEPZSA-N 0 1 250.342 0.313 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC)C[C@@H]1CC ZINC000836947272 612978054 /nfs/dbraw/zinc/97/80/54/612978054.db2.gz HAPGXXZESMEDND-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN COc1ccc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1F ZINC000843462561 617241961 /nfs/dbraw/zinc/24/19/61/617241961.db2.gz RDHMNLRTSDTPGF-CMPLNLGQSA-N 0 1 277.299 0.605 20 30 CCEDMN COc1ccc(NC(=O)NCC#CCN(C)C)cn1 ZINC000905152077 617269654 /nfs/dbraw/zinc/26/96/54/617269654.db2.gz UNRPAKWNQHJQOL-UHFFFAOYSA-N 0 1 262.313 0.777 20 30 CCEDMN COc1ccc(OC)c(CC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834512089 617274974 /nfs/dbraw/zinc/27/49/74/617274974.db2.gz RRABVMWJVHLNNU-AAEUAGOBSA-N 0 1 289.335 0.474 20 30 CCEDMN COc1ccc2[nH]cc(CC(=O)N[C@@H]3CNC[C@H]3C#N)c2c1 ZINC000846677541 617282893 /nfs/dbraw/zinc/28/28/93/617282893.db2.gz NOIMJYLWVRXIMQ-IAQYHMDHSA-N 0 1 298.346 0.947 20 30 CCEDMN COc1ccc(O[C@H](C)C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834517326 617277105 /nfs/dbraw/zinc/27/71/05/617277105.db2.gz UNIWYKCCQAEUKE-JTNHKYCSSA-N 0 1 289.335 0.690 20 30 CCEDMN C[C@@H](F)CCN1CC(N2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000941349570 617290535 /nfs/dbraw/zinc/29/05/35/617290535.db2.gz YSEYQLWFTQYRDI-QWHCGFSZSA-N 0 1 296.390 0.723 20 30 CCEDMN COc1cccc(Cn2cnc(-c3nn[nH]n3)c2C#N)c1 ZINC000826216780 617302165 /nfs/dbraw/zinc/30/21/65/617302165.db2.gz NBQKAZUQJFGKDX-UHFFFAOYSA-N 0 1 281.279 0.992 20 30 CCEDMN C#CC1(O)CCN(C(=O)CN(CC)C2CC2)CC1 ZINC000899117620 612950731 /nfs/dbraw/zinc/95/07/31/612950731.db2.gz HDXLINKCELAKRH-UHFFFAOYSA-N 0 1 250.342 0.457 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2cn[nH]c2-c2cnn(C)c2)CC1 ZINC000899120003 612951720 /nfs/dbraw/zinc/95/17/20/612951720.db2.gz OPHKKRRUVTXFTM-UHFFFAOYSA-N 0 1 299.334 0.411 20 30 CCEDMN C#CC1(O)CN(C(=O)NC[C@@H](c2cccs2)N(C)C)C1 ZINC000891510630 612955064 /nfs/dbraw/zinc/95/50/64/612955064.db2.gz ZPQCIEPUUFCYOH-NSHDSACASA-N 0 1 293.392 0.740 20 30 CCEDMN C#CC1(O)CN(C(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC000890527975 612958622 /nfs/dbraw/zinc/95/86/22/612958622.db2.gz SVSTUHHHPBVDAZ-BXKDBHETSA-N 0 1 259.309 0.282 20 30 CCEDMN C#CCC(CC#C)C(=O)N[C@H]1CCCN(CCOC)C1 ZINC000806807999 612967689 /nfs/dbraw/zinc/96/76/89/612967689.db2.gz ROAZBWXGOVZGAS-HNNXBMFYSA-N 0 1 276.380 0.876 20 30 CCEDMN C#CCCCCCC(=O)Nc1nn[nH]c1C(=O)NC ZINC000839445462 612976251 /nfs/dbraw/zinc/97/62/51/612976251.db2.gz FSWUGONBPVAWCF-UHFFFAOYSA-N 0 1 263.301 0.687 20 30 CCEDMN C#CCCCNC(=O)C(=O)NC[C@H]1CCCCN1C1CC1 ZINC000806917301 612980262 /nfs/dbraw/zinc/98/02/62/612980262.db2.gz INCVUNSOUKQJJZ-CQSZACIVSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCOCCC(=O)Nc1[nH]c(C)nc1C(=O)OCC ZINC000876486860 612981129 /nfs/dbraw/zinc/98/11/29/612981129.db2.gz PDKZLVAPEYMFAY-UHFFFAOYSA-N 0 1 279.296 0.873 20 30 CCEDMN C#CCCCNC(=O)NCCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000823444982 612981755 /nfs/dbraw/zinc/98/17/55/612981755.db2.gz SDNSSVKSNYVABF-UHFFFAOYSA-N 0 1 296.371 0.385 20 30 CCEDMN C#CCCN(CCOC)C(=O)NCc1cc(C)[nH]n1 ZINC000853765422 612987692 /nfs/dbraw/zinc/98/76/92/612987692.db2.gz DPPNCNFISHNBRB-UHFFFAOYSA-N 0 1 264.329 0.899 20 30 CCEDMN C#CCONC(=O)Cc1csc(N2CCCC2=O)n1 ZINC000812782827 612992352 /nfs/dbraw/zinc/99/23/52/612992352.db2.gz KPKIEAFCXZKKAU-UHFFFAOYSA-N 0 1 279.321 0.493 20 30 CCEDMN C#CCONC(=O)[C@H]1CC(=O)N(CC)[C@@H]1c1cccnc1 ZINC000832328553 612992983 /nfs/dbraw/zinc/99/29/83/612992983.db2.gz NZDPBNVHKVOLCT-GXTWGEPZSA-N 0 1 287.319 0.672 20 30 CCEDMN C#CCCN1CCCN(C(=O)C2=COCCO2)CC1 ZINC000980662350 613002191 /nfs/dbraw/zinc/00/21/91/613002191.db2.gz ZQRTZGBCUPKQIS-UHFFFAOYSA-N 0 1 264.325 0.432 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc(C)n(C)n2)CC1 ZINC000980787561 613005333 /nfs/dbraw/zinc/00/53/33/613005333.db2.gz DICUTYCSZOCLFF-UHFFFAOYSA-N 0 1 274.368 0.900 20 30 CCEDMN C#CCCN1CCN(C(=O)c2cc(C)[nH]n2)C[C@H]1C ZINC000839117207 613010639 /nfs/dbraw/zinc/01/06/39/613010639.db2.gz YOVJSYRJZKLYFY-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2cnon2)C1 ZINC000968444042 613014719 /nfs/dbraw/zinc/01/47/19/613014719.db2.gz FABGGNWRRGJFAM-PWSUYJOCSA-N 0 1 262.313 0.533 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)Cc1[nH]nc2ccccc21 ZINC000820060095 613024357 /nfs/dbraw/zinc/02/43/57/613024357.db2.gz UHDPOEKQQVFBES-JTQLQIEISA-N 0 1 257.293 0.606 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N1CCN(C2CCC2)CC1 ZINC000875922916 613033956 /nfs/dbraw/zinc/03/39/56/613033956.db2.gz SQLRUKVAODTVKD-ZDUSSCGKSA-N 0 1 279.384 0.904 20 30 CCEDMN C#CC[C@@H](NC(=O)c1[nH]nc2c1CCCCC2)C(=O)OC ZINC000837949583 613042512 /nfs/dbraw/zinc/04/25/12/613042512.db2.gz XYQFBJVSVOGVCB-GFCCVEGCSA-N 0 1 289.335 0.973 20 30 CCEDMN C#CCCOC(=O)N1CCN([C@@H]2CCNC(=O)CC2)CC1 ZINC000859183287 613049132 /nfs/dbraw/zinc/04/91/32/613049132.db2.gz QDTKCHNADJWVPQ-ZDUSSCGKSA-N 0 1 293.367 0.433 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000875918089 613051405 /nfs/dbraw/zinc/05/14/05/613051405.db2.gz LJPDNOBORQEZFW-VHSXEESVSA-N 0 1 265.317 0.593 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000838755124 613057254 /nfs/dbraw/zinc/05/72/54/613057254.db2.gz VNMRYVHPQBTPBH-SNVBAGLBSA-N 0 1 293.371 0.676 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCc1n[nH]c(CC)n1 ZINC000875626454 613063863 /nfs/dbraw/zinc/06/38/63/613063863.db2.gz UJFLXEHDLTWZAN-SECBINFHSA-N 0 1 265.317 0.205 20 30 CCEDMN C#CCN(C)C(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000925164532 613071567 /nfs/dbraw/zinc/07/15/67/613071567.db2.gz OJRJGUOVNUIWJV-NEPJUHHUSA-N 0 1 267.398 0.841 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)C(=O)NCc2cc(C)[nH]n2)C1 ZINC000834727295 613071631 /nfs/dbraw/zinc/07/16/31/613071631.db2.gz GMLCGXQKNAZOER-LBPRGKRZSA-N 0 1 288.351 0.596 20 30 CCEDMN C#CCN(C)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000861271007 613072237 /nfs/dbraw/zinc/07/22/37/613072237.db2.gz MGHRDWMTSRJEFW-UHFFFAOYSA-N 0 1 267.310 0.158 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@@H](C)Cc2cnc[nH]2)cn1 ZINC000834603007 613077113 /nfs/dbraw/zinc/07/71/13/613077113.db2.gz HRZRQMMLTJLTID-NSHDSACASA-N 0 1 271.324 0.734 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(=O)N2CCC[C@H]2CC)C1 ZINC000972690400 613079863 /nfs/dbraw/zinc/07/98/63/613079863.db2.gz JXCYYNRZKPMVRM-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2COC(=O)C2)C1 ZINC000971344781 613081243 /nfs/dbraw/zinc/08/12/43/613081243.db2.gz VMZOEKGTCWKHGB-VXGBXAGGSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](OC)C2CCC2)C1 ZINC000972027616 613082304 /nfs/dbraw/zinc/08/23/04/613082304.db2.gz UHUWOSNFQHTHRX-KGLIPLIRSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC000972762965 613082785 /nfs/dbraw/zinc/08/27/85/613082785.db2.gz BQZUBPAECBLPLE-KGLIPLIRSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC000971777244 613083405 /nfs/dbraw/zinc/08/34/05/613083405.db2.gz CNPPLEFCYZFHGH-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc(N(C)C)cn2)C1 ZINC000971537825 613087568 /nfs/dbraw/zinc/08/75/68/613087568.db2.gz PYHVFXGACXXBAP-GFCCVEGCSA-N 0 1 287.367 0.322 20 30 CCEDMN C#C[C@@H](NC(=O)C(C)(C)CN1CCOCC1)[C@H]1CCCO1 ZINC000822072230 613091411 /nfs/dbraw/zinc/09/14/11/613091411.db2.gz GFOQTFUDEIDYMI-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN[C@@H](C)C1)[C@@H]1CCCO1 ZINC000841879751 613094239 /nfs/dbraw/zinc/09/42/39/613094239.db2.gz RJOFYHGGHXTSHM-TUAOUCFPSA-N 0 1 251.330 0.171 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc3nnc(C)n3c2)C1 ZINC000971829207 613095157 /nfs/dbraw/zinc/09/51/57/613095157.db2.gz QDSQTEKDBVISQM-AWEZNQCLSA-N 0 1 297.362 0.817 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cccc(OC)n2)C1 ZINC000972651874 613095484 /nfs/dbraw/zinc/09/54/84/613095484.db2.gz UMSPMUWXSUYOFX-LBPRGKRZSA-N 0 1 273.336 0.870 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc[nH]c(=O)c2)C1 ZINC000971331991 613095960 /nfs/dbraw/zinc/09/59/60/613095960.db2.gz VTNSPWIVEFRAIE-LBPRGKRZSA-N 0 1 259.309 0.567 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc3[nH]c(=O)[nH]c3c2)C1 ZINC000971827051 613096185 /nfs/dbraw/zinc/09/61/85/613096185.db2.gz AMJHFWJJWFGBSI-NSHDSACASA-N 0 1 299.334 0.443 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn3ccncc23)C1 ZINC000971689509 613096725 /nfs/dbraw/zinc/09/67/25/613096725.db2.gz JVBFZGDNVHZAHS-LBPRGKRZSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn(CC)c2C)C1 ZINC000972599150 613096737 /nfs/dbraw/zinc/09/67/37/613096737.db2.gz VWXVCNHHYCRMGI-ZDUSSCGKSA-N 0 1 274.368 0.991 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nn(CC)nc2C)C1 ZINC000980552349 613097145 /nfs/dbraw/zinc/09/71/45/613097145.db2.gz AZQBYDBOANZPOI-LBPRGKRZSA-N 0 1 275.356 0.386 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)NCCCN2CCCC2=O)C1 ZINC000847029707 613106030 /nfs/dbraw/zinc/10/60/30/613106030.db2.gz NIHCAPAFADFEFN-CQSZACIVSA-N 0 1 291.395 0.460 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)NC2CCN(C(C)=O)CC2)C1 ZINC000847027999 613106142 /nfs/dbraw/zinc/10/61/42/613106142.db2.gz FGWYCZVQYCXQDL-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN COc1ccccc1NCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000844195141 617316375 /nfs/dbraw/zinc/31/63/75/617316375.db2.gz QEFUBUZZTWYFMQ-CMPLNLGQSA-N 0 1 274.324 0.335 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000820411132 613115935 /nfs/dbraw/zinc/11/59/35/613115935.db2.gz CZZJBLNXGYVHRA-SRVKXCTJSA-N 0 1 253.346 0.105 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000820429253 613116004 /nfs/dbraw/zinc/11/60/04/613116004.db2.gz WDXHFRMYAZWRJU-QWHCGFSZSA-N 0 1 265.357 0.372 20 30 CCEDMN C#C[C@H](CC)NCc1cccc(S(N)(=O)=O)c1 ZINC000877512858 613122072 /nfs/dbraw/zinc/12/20/72/613122072.db2.gz DQCFEZUUMPTDFP-LLVKDONJSA-N 0 1 252.339 0.835 20 30 CCEDMN C#CCN1CCC(NC(=O)C2CCN(OC)CC2)CC1 ZINC000928649818 613132913 /nfs/dbraw/zinc/13/29/13/613132913.db2.gz RWYYKSAEZCBROY-UHFFFAOYSA-N 0 1 279.384 0.474 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)C(C)(C)C)CC1 ZINC000928658117 613132999 /nfs/dbraw/zinc/13/29/99/613132999.db2.gz BCMREDUSFWKXBG-UHFFFAOYSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2ncc3cccnc32)CC1 ZINC000928660525 613133395 /nfs/dbraw/zinc/13/33/95/613133395.db2.gz PYROMMMEOXDBAS-UHFFFAOYSA-N 0 1 297.362 0.645 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H](COC)OC)CC1 ZINC000921876046 613133840 /nfs/dbraw/zinc/13/38/40/613133840.db2.gz FQSNWWGQLMFYQT-ZDUSSCGKSA-N 0 1 283.372 0.045 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCc2ccnn2C)CC1 ZINC000921974502 613133908 /nfs/dbraw/zinc/13/39/08/613133908.db2.gz IBJMOJVNBUEJBP-UHFFFAOYSA-N 0 1 289.383 0.359 20 30 CCEDMN C#CCN1CCC(NC(=O)NC/C=C(/C)C(=O)OC)CC1 ZINC000925013085 613133949 /nfs/dbraw/zinc/13/39/49/613133949.db2.gz SXAPWSMRPZKHRR-XGICHPGQSA-N 0 1 293.367 0.503 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)C(=O)N(C)CC)CC1 ZINC000922159896 613134262 /nfs/dbraw/zinc/13/42/62/613134262.db2.gz IXQIGVSMRXCDEQ-LBPRGKRZSA-N 0 1 294.399 0.250 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2C[C@@H](C(=O)OC)C2)CC1 ZINC000922904763 613134755 /nfs/dbraw/zinc/13/47/55/613134755.db2.gz ZHPNGESGSRRXPB-BJHJDKERSA-N 0 1 293.367 0.335 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)[C@@H]2CCCO2)CC1 ZINC000914170535 613134886 /nfs/dbraw/zinc/13/48/86/613134886.db2.gz NOFJWERVKHFAQN-JSGCOSHPSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CO[C@@H](C3CC3)C2)CC1 ZINC000923463736 613135034 /nfs/dbraw/zinc/13/50/34/613135034.db2.gz SBSHCQADZLHFOJ-LSDHHAIUSA-N 0 1 291.395 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ccnc(OC)n2)CC1 ZINC000930940213 613135299 /nfs/dbraw/zinc/13/52/99/613135299.db2.gz CVEVUVBGBBRFKZ-UHFFFAOYSA-N 0 1 289.339 0.704 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2COC[C@H]2C)CC1 ZINC000926028839 613135333 /nfs/dbraw/zinc/13/53/33/613135333.db2.gz FUOFYVHNDJYIAP-YPMHNXCESA-N 0 1 265.357 0.418 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)[C@@H]2CCCO2)CC1 ZINC000914170536 613135353 /nfs/dbraw/zinc/13/53/53/613135353.db2.gz NOFJWERVKHFAQN-OCCSQVGLSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cnns2)CC1 ZINC000930996653 613135398 /nfs/dbraw/zinc/13/53/98/613135398.db2.gz DPLFZUFETZEQSR-UHFFFAOYSA-N 0 1 265.342 0.757 20 30 CCEDMN C#CCN1CCC(NC(=O)O[C@H](C)COC)CC1 ZINC000921437088 613135817 /nfs/dbraw/zinc/13/58/17/613135817.db2.gz MJICNHJIFNKWLP-LLVKDONJSA-N 0 1 254.330 0.845 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCOC[C@@H]2C)CC1 ZINC000928652734 613135949 /nfs/dbraw/zinc/13/59/49/613135949.db2.gz KKWNCBRNCHNJEQ-GXTWGEPZSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCn3ccnc3C2)CC1 ZINC000928649923 613136308 /nfs/dbraw/zinc/13/63/08/613136308.db2.gz WGCCNZUURUXNKB-CYBMUJFWSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2[C@H](C)[C@@H]2OCC)CC1 ZINC000928661573 613136450 /nfs/dbraw/zinc/13/64/50/613136450.db2.gz ZDOWCOVMLCTKQZ-YUTCNCBUSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCc3n[nH]cc3C2)CC1 ZINC000928654685 613136855 /nfs/dbraw/zinc/13/68/55/613136855.db2.gz MGVSXSFDKMPCRA-LBPRGKRZSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C)nnc2C)CC1 ZINC000928654593 613137343 /nfs/dbraw/zinc/13/73/43/613137343.db2.gz IUQLGGYKHFHDPM-UHFFFAOYSA-N 0 1 272.352 0.921 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(C)nc2C)CC1 ZINC000928654919 613137540 /nfs/dbraw/zinc/13/75/40/613137540.db2.gz HVKPNSBKIPHOBP-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCC(Nc2cncc(-n3cncn3)n2)CC1 ZINC000895807225 613138741 /nfs/dbraw/zinc/13/87/41/613138741.db2.gz LNKGCBNXCWQCIH-UHFFFAOYSA-N 0 1 283.339 0.567 20 30 CCEDMN C#CCN1CCC(Nc2nc(N)nc(C3CC3)n2)CC1 ZINC000895810380 613138957 /nfs/dbraw/zinc/13/89/57/613138957.db2.gz ATMLVUFRMAHIRO-UHFFFAOYSA-N 0 1 272.356 0.841 20 30 CCEDMN C#CCN1CCC(Nc2ncc(C(=O)OC)cn2)CC1 ZINC000895808879 613139014 /nfs/dbraw/zinc/13/90/14/613139014.db2.gz VWYABDPKHLOBOP-UHFFFAOYSA-N 0 1 274.324 0.773 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CCC1)C1CCOCC1 ZINC000823178667 613139278 /nfs/dbraw/zinc/13/92/78/613139278.db2.gz UELHHNXUDWEMLK-ZDUSSCGKSA-N 0 1 265.357 0.420 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@@H]1CCOC1)CCO2 ZINC000949044899 613139906 /nfs/dbraw/zinc/13/99/06/613139906.db2.gz BMTLSFFITJHSCV-CQSZACIVSA-N 0 1 292.379 0.350 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CSC)C2)C1 ZINC000981608752 613140342 /nfs/dbraw/zinc/14/03/42/613140342.db2.gz WMIZLNQLHGJAHJ-UHFFFAOYSA-N 0 1 252.383 0.907 20 30 CCEDMN C#C[C@H](NC(=O)NCc1n[nH]c(COC)n1)C(C)(C)C ZINC000821159646 613141915 /nfs/dbraw/zinc/14/19/15/613141915.db2.gz FTIAFFDXWVLZKG-VIFPVBQESA-N 0 1 279.344 0.798 20 30 CCEDMN C#C[C@H](NC(=O)NCc1nnc(COC)[nH]1)C(C)(C)C ZINC000821159646 613141917 /nfs/dbraw/zinc/14/19/17/613141917.db2.gz FTIAFFDXWVLZKG-VIFPVBQESA-N 0 1 279.344 0.798 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@]3(C)CNC(=O)C3)C2)C1 ZINC000981913467 613143514 /nfs/dbraw/zinc/14/35/14/613143514.db2.gz RISVXNVILCNCFR-HNNXBMFYSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ncccn3)C2)C1 ZINC000982078238 613145257 /nfs/dbraw/zinc/14/52/57/613145257.db2.gz YYMODFDICYCWFL-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#C[C@H]([C@@H]1CCCO1)N1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000804178712 613153802 /nfs/dbraw/zinc/15/38/02/613153802.db2.gz VPNTUKMIBHBPHR-TUAOUCFPSA-N 0 1 288.307 0.053 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)NC2CCN(C(C)=O)CC2)C1 ZINC000847027997 613158946 /nfs/dbraw/zinc/15/89/46/613158946.db2.gz FGWYCZVQYCXQDL-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2ccc3nccnc3n2)C1 ZINC000884613902 613165105 /nfs/dbraw/zinc/16/51/05/613165105.db2.gz YMJOVCNTVSLMDC-GFCCVEGCSA-N 0 1 267.336 0.908 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@@H](N(C)[C@@H](C)C(N)=O)C2)cc1 ZINC000972058129 613171754 /nfs/dbraw/zinc/17/17/54/613171754.db2.gz FWGAYGJMGHLLNF-SWLSCSKDSA-N 0 1 299.374 0.688 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCCN1C(C)=O ZINC000870194145 613176989 /nfs/dbraw/zinc/17/69/89/613176989.db2.gz JTQKRZSBMUOUNH-ZIAGYGMSSA-N 0 1 278.352 0.638 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C\[C@H]2CCOC2)C1 ZINC000923556027 613180688 /nfs/dbraw/zinc/18/06/88/613180688.db2.gz BGPREOZALNZABR-LAWSMPECSA-N 0 1 262.353 0.793 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](CO)CN2CCCCC2)nc1 ZINC000840457641 613183359 /nfs/dbraw/zinc/18/33/59/613183359.db2.gz RPMNGEMGLHOKRM-CQSZACIVSA-N 0 1 287.363 0.640 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCC[C@@]1(C)C(=O)[O-] ZINC000909998524 613203000 /nfs/dbraw/zinc/20/30/00/613203000.db2.gz GIZKPDBWFISNMS-SLEUVZQESA-N 0 1 278.352 0.844 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CCC[C@@]1(C)C(=O)[O-] ZINC000909998524 613203002 /nfs/dbraw/zinc/20/30/02/613203002.db2.gz GIZKPDBWFISNMS-SLEUVZQESA-N 0 1 278.352 0.844 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N[C@H]2CCCN(C)C2)c1 ZINC000918476977 613203137 /nfs/dbraw/zinc/20/31/37/613203137.db2.gz AYJYKWUOUDFKSM-AWEZNQCLSA-N 0 1 285.347 0.817 20 30 CCEDMN C#CCN1CCN(C(=O)CN(CC)CCSC)CC1 ZINC000830999623 613205432 /nfs/dbraw/zinc/20/54/32/613205432.db2.gz PWDXBDKPRCPXDI-UHFFFAOYSA-N 0 1 283.441 0.449 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCC#CCN(C)C ZINC000913522764 613206099 /nfs/dbraw/zinc/20/60/99/613206099.db2.gz XSIXAQHYSOTWCY-UHFFFAOYSA-N 0 1 254.333 0.892 20 30 CCEDMN C#CCN1CCN(C(=O)c2cc(-c3ccn(C)c3)[nH]n2)CC1 ZINC000847489468 613208120 /nfs/dbraw/zinc/20/81/20/613208120.db2.gz OLOKSRUEPIFVBK-UHFFFAOYSA-N 0 1 297.362 0.806 20 30 CCEDMN C#Cc1cccnc1N1CCN(CCO)C(C)(C)C1 ZINC000853511526 613208399 /nfs/dbraw/zinc/20/83/99/613208399.db2.gz QIJUICBTMZQKNC-UHFFFAOYSA-N 0 1 259.353 0.956 20 30 CCEDMN C#CCN1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000112920728 613208696 /nfs/dbraw/zinc/20/86/96/613208696.db2.gz HYJHRSDUNAVNIA-UHFFFAOYSA-N 0 1 269.308 0.349 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC000967332393 613218195 /nfs/dbraw/zinc/21/81/95/613218195.db2.gz DQYIDXMGMQLMDU-IUODEOHRSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000968609182 613220261 /nfs/dbraw/zinc/22/02/61/613220261.db2.gz BXGOXDAAXHGNJH-DGCLKSJQSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC000967918838 613221224 /nfs/dbraw/zinc/22/12/24/613221224.db2.gz JFYLADBIVIUXDJ-NXEZZACHSA-N 0 1 279.365 0.922 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000940942595 613229252 /nfs/dbraw/zinc/22/92/52/613229252.db2.gz FPGDNYVDYISINJ-QWHCGFSZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@]2(F)CCOC2)C(C)(C)C1 ZINC000940980115 613229647 /nfs/dbraw/zinc/22/96/47/613229647.db2.gz NSKLGGOVCLJOCA-DOMZBBRYSA-N 0 1 282.359 0.965 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(OC)no2)[C@@H](C)C1 ZINC000941971717 613233811 /nfs/dbraw/zinc/23/38/11/613233811.db2.gz SAEXYQHRCQRUQA-WDEREUQCSA-N 0 1 277.324 0.757 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn(C)n2)C[C@@H]1C ZINC000948036729 613238559 /nfs/dbraw/zinc/23/85/59/613238559.db2.gz XIVADROPAUFFGU-NWDGAFQWSA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CC=C)nn2)C[C@H]1C ZINC000947791880 613240873 /nfs/dbraw/zinc/24/08/73/613240873.db2.gz HCONWCJDGLYMGG-CHWSQXEVSA-N 0 1 287.367 0.680 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnnn2CC)C(C)(C)C1 ZINC000940718802 613242398 /nfs/dbraw/zinc/24/23/98/613242398.db2.gz RJSPGKLIPGVFCM-CYBMUJFWSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC000967332397 613246591 /nfs/dbraw/zinc/24/65/91/613246591.db2.gz DQYIDXMGMQLMDU-WFASDCNBSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC000965845145 613249129 /nfs/dbraw/zinc/24/91/29/613249129.db2.gz JOAQMBVKUQLRJO-AAEUAGOBSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967718844 613250238 /nfs/dbraw/zinc/25/02/38/613250238.db2.gz FQJTYLVDPVTEOJ-QWRGUYRKSA-N 0 1 276.340 0.199 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC000966896362 613250352 /nfs/dbraw/zinc/25/03/52/613250352.db2.gz PXUITAPMHVVEFV-JQWIXIFHSA-N 0 1 274.324 0.256 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC000967282880 613251970 /nfs/dbraw/zinc/25/19/70/613251970.db2.gz CJGCVSBQASWXIM-SWLSCSKDSA-N 0 1 288.395 0.960 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC000948614919 613259896 /nfs/dbraw/zinc/25/98/96/613259896.db2.gz OBGVWEMPMMTROY-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncnc2)C[C@@H]1C ZINC000947231574 613274891 /nfs/dbraw/zinc/27/48/91/613274891.db2.gz NASMOQXHUCSVIW-AAEUAGOBSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnnn2CC)C[C@H]1C ZINC000947260990 613275624 /nfs/dbraw/zinc/27/56/24/613275624.db2.gz BRJOROVEBZXWSF-NEPJUHHUSA-N 0 1 275.356 0.514 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2coc(C(N)=O)c2)C[C@@H]1C ZINC000947563667 613276306 /nfs/dbraw/zinc/27/63/06/613276306.db2.gz JCFQFIFZFYHHLS-JQWIXIFHSA-N 0 1 289.335 0.594 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ccnn2C)C(C)(C)C1 ZINC000974497481 613280981 /nfs/dbraw/zinc/28/09/81/613280981.db2.gz DVRZYQCGFVDVKJ-CYBMUJFWSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C)c(F)c2)C1 ZINC000958235211 613286157 /nfs/dbraw/zinc/28/61/57/613286157.db2.gz HKRAVRRKZDEPFS-DZGCQCFKSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C)cc2C)C1 ZINC000958644547 613286221 /nfs/dbraw/zinc/28/62/21/613286221.db2.gz JQTRLXAFHUDSPF-GOEBONIOSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2coc(CCC)n2)C1 ZINC000958583469 613287940 /nfs/dbraw/zinc/28/79/40/613287940.db2.gz HQLXMMJDPIQKSE-WCQYABFASA-N 0 1 291.351 0.283 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)co2)[C@H](O)C1 ZINC000958324225 613288387 /nfs/dbraw/zinc/28/83/87/613288387.db2.gz HQVZBPKIHSRIPD-VXGBXAGGSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ncc(F)cc2F)[C@H](O)C1 ZINC000958182990 613290877 /nfs/dbraw/zinc/29/08/77/613290877.db2.gz JLKIPKJATFPTJR-BXKDBHETSA-N 0 1 295.289 0.016 20 30 CCEDMN C=C(C)CN(CC)C(=O)CNC1(C(=O)NC)CCOCC1 ZINC000887083248 613293442 /nfs/dbraw/zinc/29/34/42/613293442.db2.gz VFJTYCJQYHWTSV-UHFFFAOYSA-N 0 1 297.399 0.296 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c[nH]c3ccccc23)C1 ZINC000958232653 613294283 /nfs/dbraw/zinc/29/42/83/613294283.db2.gz VJXOIDHEVWSYRG-LRDDRELGSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(F)ccc2F)C1 ZINC000958145302 613294809 /nfs/dbraw/zinc/29/48/09/613294809.db2.gz JFTUIZILAKCYSC-HZMBPMFUSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccccc2F)C1 ZINC000957811293 613295162 /nfs/dbraw/zinc/29/51/62/613295162.db2.gz CQHOQBQKRGAWMG-FZMZJTMJSA-N 0 1 276.311 0.481 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccn2C(C)C)C1 ZINC000958682794 613295235 /nfs/dbraw/zinc/29/52/35/613295235.db2.gz CUKCUPWGXOPZNM-ZFWWWQNUSA-N 0 1 289.379 0.725 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2csc(C)c2)C1 ZINC000958486290 613296201 /nfs/dbraw/zinc/29/62/01/613296201.db2.gz YUCNQLJOESISAZ-STQMWFEESA-N 0 1 278.377 0.712 20 30 CCEDMN C#CC[N@H+]1C[C@H](NC(=O)c2ccc3nn[n-]c3n2)C(C)(C)C1 ZINC000974646660 613300385 /nfs/dbraw/zinc/30/03/85/613300385.db2.gz WQTBYZAQYKDLAO-LBPRGKRZSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2ccc3n[nH]nc3n2)C(C)(C)C1 ZINC000974646660 613300387 /nfs/dbraw/zinc/30/03/87/613300387.db2.gz WQTBYZAQYKDLAO-LBPRGKRZSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c[nH]nc2C(C)C)C1 ZINC000958202320 613302198 /nfs/dbraw/zinc/30/21/98/613302198.db2.gz CTFGCVBAWVPUCD-YPMHNXCESA-N 0 1 290.367 0.189 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C)o2)C1 ZINC000957852317 613302712 /nfs/dbraw/zinc/30/27/12/613302712.db2.gz VTIZGWKQXAVZKY-NEPJUHHUSA-N 0 1 262.309 0.244 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2COCCN2C)CC1 ZINC000981010202 613310222 /nfs/dbraw/zinc/31/02/22/613310222.db2.gz NLIXCLRFTADBCU-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2cnn(C)c2N)CC1 ZINC000981022443 613312898 /nfs/dbraw/zinc/31/28/98/613312898.db2.gz KHMZPTFAZHGGBR-UHFFFAOYSA-N 0 1 277.372 0.726 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)c1cncnc1 ZINC000961560339 613314859 /nfs/dbraw/zinc/31/48/59/613314859.db2.gz RTRCVORHUYQVOM-SCUASFONSA-N 0 1 270.336 0.260 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)c1cnn(C)c1 ZINC000963186071 613316458 /nfs/dbraw/zinc/31/64/58/613316458.db2.gz GGJCRHKGPMJKMA-ZZVYKPCYSA-N 0 1 272.352 0.203 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)nn(CC)c1C ZINC000962054589 613317611 /nfs/dbraw/zinc/31/76/11/613317611.db2.gz AFWOFXBVJPYKLF-NHAGDIPZSA-N 0 1 286.379 0.813 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C2CC2)n(C)n1 ZINC000960189337 613317826 /nfs/dbraw/zinc/31/78/26/613317826.db2.gz KRSBMRSLFBAXQT-JYAVWHMHSA-N 0 1 284.363 0.591 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)c(C)cn1 ZINC000961328140 613317889 /nfs/dbraw/zinc/31/78/89/613317889.db2.gz PHEGBIQJFFHNHH-NHAGDIPZSA-N 0 1 269.348 0.992 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]nc1CC ZINC000960744003 613318036 /nfs/dbraw/zinc/31/80/36/613318036.db2.gz SMTBPLMOYHJUFT-PJXYFTJBSA-N 0 1 258.325 0.265 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)n(C)c1C ZINC000962498807 613318137 /nfs/dbraw/zinc/31/81/37/613318137.db2.gz WTNTYKCLAAETQS-FICVDOATSA-N 0 1 271.364 0.935 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C)nc1OC ZINC000961964040 613319173 /nfs/dbraw/zinc/31/91/73/613319173.db2.gz LZUTUQUYWNKYDI-WDNDVIMCSA-N 0 1 285.347 0.692 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2ccncc2n1 ZINC000961172910 613319892 /nfs/dbraw/zinc/31/98/92/613319892.db2.gz RIPOJJAMGQGNHP-VIKVFOODSA-N 0 1 292.342 0.923 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2cc[nH]c2n1 ZINC000960391427 613319924 /nfs/dbraw/zinc/31/99/24/613319924.db2.gz IUYCGWCEDSYMNV-IMRBUKKESA-N 0 1 280.331 0.856 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(C2CC2)n1 ZINC000961914603 613320483 /nfs/dbraw/zinc/32/04/83/613320483.db2.gz PNVKYTLXNQCGEK-IMRBUKKESA-N 0 1 282.347 0.647 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnn1C1CCC1 ZINC000959930709 613320887 /nfs/dbraw/zinc/32/08/87/613320887.db2.gz IXBXDXMANFVVSU-NHAGDIPZSA-N 0 1 284.363 0.901 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(COC)n1 ZINC000962173801 613321993 /nfs/dbraw/zinc/32/19/93/613321993.db2.gz UZUUWXJHIOJPCK-IWIIMEHWSA-N 0 1 275.308 0.114 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc(C)n2ccccc12 ZINC000961106521 613322145 /nfs/dbraw/zinc/32/21/45/613322145.db2.gz QAASIVMCGGGZQE-NHAGDIPZSA-N 0 1 294.358 0.936 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncnc2sccc21 ZINC000959975612 613322392 /nfs/dbraw/zinc/32/23/92/613322392.db2.gz TYTZSMOOFFWRKF-GDNZZTSVSA-N 0 1 298.371 0.985 20 30 CCEDMN C#CCNC(=O)CCNCc1cc(C#N)ccc1F ZINC000905518600 613326662 /nfs/dbraw/zinc/32/66/62/613326662.db2.gz MJGOLNGSYXVFOA-UHFFFAOYSA-N 0 1 259.284 0.926 20 30 CCEDMN C#CCNC(=O)CN(C)[C@@H]1C[C@@H](OC)C12CCC2 ZINC000832204407 613327565 /nfs/dbraw/zinc/32/75/65/613327565.db2.gz LLWFKSWOKKPEDT-VXGBXAGGSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](CCC(=O)OC)C1 ZINC000880038236 613329360 /nfs/dbraw/zinc/32/93/60/613329360.db2.gz SZPPLLUSGHNNTQ-GFCCVEGCSA-N 0 1 266.341 0.401 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](N(C)c2ccccc2)C1 ZINC000852654259 613330311 /nfs/dbraw/zinc/33/03/11/613330311.db2.gz HKHZAGDGNNFKOK-HNNXBMFYSA-N 0 1 271.364 0.947 20 30 CCEDMN C=C(C)CO[N-]C(=O)[C@H]1CC(=O)N(CC[NH+](C)C)C1 ZINC000846538946 613353839 /nfs/dbraw/zinc/35/38/39/613353839.db2.gz MMVIBTPOOSCMMZ-NSHDSACASA-N 0 1 269.345 0.020 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)CCCCc1cn[nH]n1 ZINC000882898680 613354461 /nfs/dbraw/zinc/35/44/61/613354461.db2.gz RIHZUCNMFDHYEI-LBPRGKRZSA-N 0 1 266.345 0.961 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)Cc1cnc[nH]1)C(=O)OCC ZINC000916704291 613355841 /nfs/dbraw/zinc/35/58/41/613355841.db2.gz IZYVHFTTWUZPLU-LLVKDONJSA-N 0 1 265.313 0.966 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N1CCOC[C@@H]1c1nn[nH]n1)OCC ZINC000913495968 613360156 /nfs/dbraw/zinc/36/01/56/613360156.db2.gz QGQHCIJXYCIQAA-GHMZBOCLSA-N 0 1 295.343 0.471 20 30 CCEDMN C=C(CO)C(=O)N1CC(N(C)Cc2ccccc2)C1 ZINC000804535992 613367076 /nfs/dbraw/zinc/36/70/76/613367076.db2.gz ZPHCPWGDYDXSOB-UHFFFAOYSA-N 0 1 260.337 0.878 20 30 CCEDMN C=C(Cl)CN1CC[C@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000967157768 613378217 /nfs/dbraw/zinc/37/82/17/613378217.db2.gz SPUUHBLARRAPHJ-GZMMTYOYSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC000970812380 613385202 /nfs/dbraw/zinc/38/52/02/613385202.db2.gz OBIQYIYZKZOGNM-NWDGAFQWSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N1CC(=O)Nc2cnccc21 ZINC000876746382 613387186 /nfs/dbraw/zinc/38/71/86/613387186.db2.gz LFGJAPAZOOPMGO-MRVPVSSYSA-N 0 1 280.715 0.837 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(CC)[C@H](C)CS(C)(=O)=O ZINC000876662534 613387312 /nfs/dbraw/zinc/38/73/12/613387312.db2.gz NKBLCNVMUZUYSQ-NXEZZACHSA-N 0 1 296.820 0.738 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000876555958 613388203 /nfs/dbraw/zinc/38/82/03/613388203.db2.gz IMRDENLVHWCFBB-SCZZXKLOSA-N 0 1 280.777 0.150 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)[C@@H]1CNCCO1)C(=O)OCC ZINC000877144564 613388552 /nfs/dbraw/zinc/38/85/52/613388552.db2.gz PRWLOIRMDIOYQF-ZJUUUORDSA-N 0 1 290.747 0.165 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)N[C@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC000908872479 613390637 /nfs/dbraw/zinc/39/06/37/613390637.db2.gz ZIIAKPGFTLZQRT-UWVGGRQHSA-N 0 1 289.763 0.976 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)[C@@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000910268396 613390646 /nfs/dbraw/zinc/39/06/46/613390646.db2.gz YEBOMFOYEYKTLC-UWVGGRQHSA-N 0 1 290.747 0.419 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)[C@@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000910268396 613390647 /nfs/dbraw/zinc/39/06/47/613390647.db2.gz YEBOMFOYEYKTLC-UWVGGRQHSA-N 0 1 290.747 0.419 20 30 CCEDMN C=C1CCC(C(=O)N2CCOC[C@@H]2c2nn[nH]n2)CC1 ZINC000913493828 613405612 /nfs/dbraw/zinc/40/56/12/613405612.db2.gz JTQFKYLHOZQOAI-LLVKDONJSA-N 0 1 277.328 0.846 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1C[C@@H](O)CC2(CCOCC2)C1 ZINC000880579074 613413209 /nfs/dbraw/zinc/41/32/09/613413209.db2.gz OXHJXUFIUNZVPR-QWHCGFSZSA-N 0 1 283.368 0.968 20 30 CCEDMN C=CCn1cc(C(=O)N2CC(N3CCCC3)C2)nn1 ZINC000898599029 613425651 /nfs/dbraw/zinc/42/56/51/613425651.db2.gz HLNRBIXVNNJUNI-UHFFFAOYSA-N 0 1 261.329 0.384 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000951538121 613432105 /nfs/dbraw/zinc/43/21/05/613432105.db2.gz VPWNOKZPAOETEJ-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@](C)(NC(=O)c2cnn[nH]2)C1 ZINC000957187169 613438162 /nfs/dbraw/zinc/43/81/62/613438162.db2.gz DMGJCWVSCYZKLV-CQSZACIVSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@@H](C)O[N-]C(=O)[C@@H]1CC(=O)N(CC[NH+](C)C)C1 ZINC000846543933 613447272 /nfs/dbraw/zinc/44/72/72/613447272.db2.gz DDNGURXRWNFNJN-GHMZBOCLSA-N 0 1 269.345 0.019 20 30 CCEDMN C=CC1(S(=O)(=O)[N-]C(=O)[C@@H]([NH3+])CC2CC2)CC1 ZINC000901060514 613450869 /nfs/dbraw/zinc/45/08/69/613450869.db2.gz ZAWYSZSQTJHMFT-VIFPVBQESA-N 0 1 258.343 0.278 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CC[C@H](N2CC[C@H](O)C2)C1 ZINC000861713766 613454575 /nfs/dbraw/zinc/45/45/75/613454575.db2.gz DUSDPGBYDRXVGU-QWRGUYRKSA-N 0 1 274.311 0.865 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)C(=O)N1CCNCC1 ZINC000884855226 613463829 /nfs/dbraw/zinc/46/38/29/613463829.db2.gz ANOYTKUBCQRIPU-UHFFFAOYSA-N 0 1 267.373 0.527 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCCN1CCC(O)CC1 ZINC000895180665 613470771 /nfs/dbraw/zinc/47/07/71/613470771.db2.gz CVTBUHIQHKJGFG-OLZOCXBDSA-N 0 1 283.372 0.086 20 30 CCEDMN C=CCCC[C@@H](NC(=O)c1ncn[nH]1)C(=O)OC ZINC000928315806 613471562 /nfs/dbraw/zinc/47/15/62/613471562.db2.gz DZSWBZGPAHBGIC-MRVPVSSYSA-N 0 1 252.274 0.432 20 30 CCEDMN C=CCCC[C@@H](NC(=O)c1nc[nH]n1)C(=O)OC ZINC000928315806 613471563 /nfs/dbraw/zinc/47/15/63/613471563.db2.gz DZSWBZGPAHBGIC-MRVPVSSYSA-N 0 1 252.274 0.432 20 30 CCEDMN C=CCCC[C@H](NC(=O)C[C@@]1(O)CCC[N@H+](C)C1)C(=O)[O-] ZINC000910925649 613473210 /nfs/dbraw/zinc/47/32/10/613473210.db2.gz MWDQWBOQCCFBLY-WFASDCNBSA-N 0 1 298.383 0.759 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NC[C@H](N)c1ccccc1OC ZINC000911663144 613478619 /nfs/dbraw/zinc/47/86/19/613478619.db2.gz BIRNJJQPNFMQNX-SMDDNHRTSA-N 0 1 264.325 0.748 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H]1CC[N@H+]1C1CCOCC1 ZINC000885882255 613480255 /nfs/dbraw/zinc/48/02/55/613480255.db2.gz GLVZOUTXSRMHMF-UKRRQHHQSA-N 0 1 282.384 0.683 20 30 CCEDMN C=C[C@H](C)NC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC000833372349 613482855 /nfs/dbraw/zinc/48/28/55/613482855.db2.gz QLDZZUYVHYKXFG-WDEREUQCSA-N 0 1 269.345 0.751 20 30 CCEDMN C=C[C@H](CO)NC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000925175840 613491571 /nfs/dbraw/zinc/49/15/71/613491571.db2.gz INNOIALYDKRKEU-IJLUTSLNSA-N 0 1 285.413 0.412 20 30 CCEDMN C=C[C@H](O)C(=O)N1CC[C@@H](c2[nH]ncc2C(=O)OCC)C1 ZINC000912493823 613494862 /nfs/dbraw/zinc/49/48/62/613494862.db2.gz LYTVOHYQAXYKOC-KOLCDFICSA-N 0 1 293.323 0.449 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@]2(C)CCN(C(C)=O)C2)CC1 ZINC000957104915 613508934 /nfs/dbraw/zinc/50/89/34/613508934.db2.gz MLVIOJHYVDISPH-MRXNPFEDSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)c3cn[nH]c3)C2)C1 ZINC000972269528 613513831 /nfs/dbraw/zinc/51/38/31/613513831.db2.gz PGCYANOLKONUDI-HNNXBMFYSA-N 0 1 290.367 0.903 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccnn2C)C1 ZINC000969373387 613533534 /nfs/dbraw/zinc/53/35/34/613533534.db2.gz RESLABDZKACCRP-LLVKDONJSA-N 0 1 260.341 0.494 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC000969851347 613539684 /nfs/dbraw/zinc/53/96/84/613539684.db2.gz GWRCMWSJFHUFLY-JTQLQIEISA-N 0 1 298.350 0.426 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)CN2CCOCC2)C1 ZINC000965921996 613571697 /nfs/dbraw/zinc/57/16/97/613571697.db2.gz RYHIPLIKKIRXDH-CABCVRRESA-N 0 1 293.411 0.169 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H]1CC[N@H+]1C1CCOCC1 ZINC000885865341 613572163 /nfs/dbraw/zinc/57/21/63/613572163.db2.gz CYYCGCCPUGAZCH-AWEZNQCLSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913743287 613577775 /nfs/dbraw/zinc/57/77/75/613577775.db2.gz OFYZLNXTBKUCDS-JTQLQIEISA-N 0 1 279.344 0.887 20 30 CCEDMN C=CCC[C@@H](NC(=O)C1=NC(=O)N(C)C1)C(=O)OCC ZINC000854850451 613584906 /nfs/dbraw/zinc/58/49/06/613584906.db2.gz GNIXMRULKOAPTK-SECBINFHSA-N 0 1 281.312 0.753 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ncn(C)n2)C[C@@H]1C ZINC000947280517 613588874 /nfs/dbraw/zinc/58/88/74/613588874.db2.gz BRBLTULRIYXQAL-NWDGAFQWSA-N 0 1 275.356 0.421 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)CC2OCCCO2)C1 ZINC000965946698 613593211 /nfs/dbraw/zinc/59/32/11/613593211.db2.gz QMVIOXACSGTUNR-UONOGXRCSA-N 0 1 294.395 0.989 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnn(C)n2)C(C)(C)C1 ZINC000941100420 613605156 /nfs/dbraw/zinc/60/51/56/613605156.db2.gz XGAUXAFAQIESDS-ZDUSSCGKSA-N 0 1 289.383 0.669 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cnc[nH]c2=O)C(C)(C)C1 ZINC000974720178 613611700 /nfs/dbraw/zinc/61/17/00/613611700.db2.gz WALBLAWQLFKRON-GFCCVEGCSA-N 0 1 288.351 0.646 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccn2CC)C1 ZINC000958683752 613612666 /nfs/dbraw/zinc/61/26/66/613612666.db2.gz HJYBEHFTQUPUFL-DZGCQCFKSA-N 0 1 289.379 0.554 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2c(C)noc2C)[C@H](O)C1 ZINC000957864258 613614692 /nfs/dbraw/zinc/61/46/92/613614692.db2.gz PVVAPFBNPSJMRR-CHWSQXEVSA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cncc(F)c2)[C@H](O)C1 ZINC000957970041 613615576 /nfs/dbraw/zinc/61/55/76/613615576.db2.gz FLLACUDSLNJGHX-TZMCWYRMSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cccnc2C)[C@H](O)C1 ZINC000958359009 613615793 /nfs/dbraw/zinc/61/57/93/613615793.db2.gz ACQKOLQISACKIM-UKRRQHHQSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C(C)(C)C1 ZINC000975020279 613622284 /nfs/dbraw/zinc/62/22/84/613622284.db2.gz UOBBIEDBQQEHKO-LRDDRELGSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(CC)n[nH]2)C1 ZINC000957893352 613625209 /nfs/dbraw/zinc/62/52/09/613625209.db2.gz BDUASZWCFDTIOW-RISCZKNCSA-N 0 1 290.367 0.018 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(CC)CCC(N)=O ZINC000932481018 613646510 /nfs/dbraw/zinc/64/65/10/613646510.db2.gz GNLFAWVGQBNCKE-UHFFFAOYSA-N 0 1 253.346 0.384 20 30 CCEDMN C=CCN(CCC#N)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000897823852 613648933 /nfs/dbraw/zinc/64/89/33/613648933.db2.gz NHAQQJSYQDYJAS-ZDUSSCGKSA-N 0 1 263.341 0.635 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1nnc2ccccc2c1O ZINC000844119342 613649998 /nfs/dbraw/zinc/64/99/98/613649998.db2.gz XZIDXSYSXGPRRW-JTQLQIEISA-N 0 1 285.303 0.840 20 30 CCEDMN CC(=NNC(=S)N[C@@H](C)C1CC1)c1c[nH]c(N)n1 ZINC000901197534 613653976 /nfs/dbraw/zinc/65/39/76/613653976.db2.gz AMWSQLGVAUTPGK-LURJTMIESA-N 0 1 266.374 0.979 20 30 CCEDMN CC(=NNC1=NC[C@@H](C)N1)c1cc2n(n1)CCCC2 ZINC000842529615 613654492 /nfs/dbraw/zinc/65/44/92/613654492.db2.gz FFSXGKRGSYSRDW-SECBINFHSA-N 0 1 260.345 0.881 20 30 CCEDMN C=CCN1CC(N(CC)C(=O)C2=NC(=O)N(C)C2)C1 ZINC000951616307 613658535 /nfs/dbraw/zinc/65/85/35/613658535.db2.gz HVQHOHIAEUISSR-UHFFFAOYSA-N 0 1 264.329 0.458 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC000970090676 613662162 /nfs/dbraw/zinc/66/21/62/613662162.db2.gz TZOHCGXGYGRWQU-TZMCWYRMSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC000970412611 613662389 /nfs/dbraw/zinc/66/23/89/613662389.db2.gz PMUNONYFWPOWIN-YPMHNXCESA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@]23C[C@H]2COC3)C1 ZINC000969705844 613662641 /nfs/dbraw/zinc/66/26/41/613662641.db2.gz GDDVODJXRNCZBA-OSMZGAPFSA-N 0 1 250.342 0.645 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC000970291787 613669839 /nfs/dbraw/zinc/66/98/39/613669839.db2.gz FUCFSHBHDJEMRG-CMPLNLGQSA-N 0 1 265.357 0.087 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnc(C)n2C)C1 ZINC000970329467 613673402 /nfs/dbraw/zinc/67/34/02/613673402.db2.gz RAPWFZFXFRGTRO-JTQLQIEISA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ncc(OC)cn2)C1 ZINC000970118169 613673633 /nfs/dbraw/zinc/67/36/33/613673633.db2.gz VMIMDCJEQBNTIN-JTQLQIEISA-N 0 1 276.340 0.721 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC000981518397 613691045 /nfs/dbraw/zinc/69/10/45/613691045.db2.gz XYIMGWDRCILINQ-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCCN(C(=O)c2c[nH]c(=O)n2C)CC1 ZINC000981753929 613699008 /nfs/dbraw/zinc/69/90/08/613699008.db2.gz PCIYNTUICIPOCI-UHFFFAOYSA-N 0 1 264.329 0.460 20 30 CCEDMN C=CCN1CCN(CN2CCN(C)C[C@H]2CC)C1=O ZINC000840090765 613720202 /nfs/dbraw/zinc/72/02/02/613720202.db2.gz GOGXVBWICMZYIT-CYBMUJFWSA-N 0 1 266.389 0.894 20 30 CCEDMN C=CCN1CCN(CN2C[C@H](C)[C@@](C)(C(=O)OC)C2)C1=O ZINC000872634831 613721658 /nfs/dbraw/zinc/72/16/58/613721658.db2.gz CMSOLFFWXMDGMM-WFASDCNBSA-N 0 1 295.383 0.999 20 30 CCEDMN C=CCN1CCO[C@]2(CCN(C(=O)c3ccn[nH]3)C2)C1 ZINC000972277077 613726742 /nfs/dbraw/zinc/72/67/42/613726742.db2.gz VBBNRSCJTFOGJI-CQSZACIVSA-N 0 1 276.340 0.513 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cn(CC)nn2)C1 ZINC000968481064 613730492 /nfs/dbraw/zinc/73/04/92/613730492.db2.gz XLVOYVFXSXGNRU-NEPJUHHUSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CC[C@H]2CCOC2=O)C1=O ZINC000799177481 613738339 /nfs/dbraw/zinc/73/83/39/613738339.db2.gz QVPZKEAWYKENET-NWDGAFQWSA-N 0 1 266.341 0.658 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cn(C)c(=O)n2C)C1 ZINC000968685710 613770265 /nfs/dbraw/zinc/77/02/65/613770265.db2.gz YAIYPURMBRKPLX-RYUDHWBXSA-N 0 1 292.383 0.350 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967726451 613770704 /nfs/dbraw/zinc/77/07/04/613770704.db2.gz VMLJPHWNSCBJFK-QWRGUYRKSA-N 0 1 278.356 0.752 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968628174 613772372 /nfs/dbraw/zinc/77/23/72/613772372.db2.gz UEDNUOBNCCXDBW-UONOGXRCSA-N 0 1 289.379 0.861 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCO[C@H](C)C#N)C1=O ZINC000801953195 613776484 /nfs/dbraw/zinc/77/64/84/613776484.db2.gz KUPSCLSDUNIQAQ-NEPJUHHUSA-N 0 1 251.330 0.634 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCC2OCCCO2)C1=O ZINC000799177400 613776817 /nfs/dbraw/zinc/77/68/17/613776817.db2.gz NIVBZCWXZWPKSM-LBPRGKRZSA-N 0 1 268.357 0.858 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CCCC[C@@H]2C(=O)[O-])C1=O ZINC000873646305 613778043 /nfs/dbraw/zinc/77/80/43/613778043.db2.gz HLBMDFNDAOHWIN-WDEREUQCSA-N 0 1 252.314 0.712 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCCC[C@@H]2C(=O)[O-])C1=O ZINC000873646305 613778044 /nfs/dbraw/zinc/77/80/44/613778044.db2.gz HLBMDFNDAOHWIN-WDEREUQCSA-N 0 1 252.314 0.712 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cc2cnn(C)c2)C(C)(C)C1 ZINC000972844979 613827080 /nfs/dbraw/zinc/82/70/80/613827080.db2.gz XXNDCCNAGFJIQZ-ZDUSSCGKSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2ccc(=O)n(C)n2)C(C)(C)C1 ZINC000977572869 613828763 /nfs/dbraw/zinc/82/87/63/613828763.db2.gz YNZDSVQYGWRSGJ-LBPRGKRZSA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCNC(=O)C(C#N)=C(O)[C@H]1Cc2ccccc2C(=O)O1 ZINC000916921514 613852957 /nfs/dbraw/zinc/85/29/57/613852957.db2.gz UOZDADJTEKWODO-QWHCGFSZSA-N 0 1 298.298 0.779 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N[C@H]1C(=O)NCC1(CC)CC ZINC000933293502 613853448 /nfs/dbraw/zinc/85/34/48/613853448.db2.gz OPNWJBGBZPWZOT-MNOVXSKESA-N 0 1 267.373 0.572 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CC1)C[C@@H](O)CCO2 ZINC000933063629 613854936 /nfs/dbraw/zinc/85/49/36/613854936.db2.gz OSBNVIZVYDKQHH-STQMWFEESA-N 0 1 282.384 0.683 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H]1CCC[N@@H+]1CCC)C(=O)[O-] ZINC000909765729 613881783 /nfs/dbraw/zinc/88/17/83/613881783.db2.gz DJTQCELMXQQPCA-VXGBXAGGSA-N 0 1 284.356 0.633 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H]1CCC[N@@H+]1C(C)C)C(=O)[O-] ZINC000909767581 613881798 /nfs/dbraw/zinc/88/17/98/613881798.db2.gz PTGOTXHEUALFCC-VXGBXAGGSA-N 0 1 284.356 0.631 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CC[C@@H]2CCCC[N@H+]21)C(=O)[O-] ZINC000909771830 613882571 /nfs/dbraw/zinc/88/25/71/613882571.db2.gz QYOUDLFNUXTMDZ-AVGNSLFASA-N 0 1 296.367 0.775 20 30 CCEDMN C=CCONC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000922766341 613884327 /nfs/dbraw/zinc/88/43/27/613884327.db2.gz AFHVXRDUELNDRR-WDEREUQCSA-N 0 1 271.386 0.983 20 30 CCEDMN CC(C)(C)n1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)cn1 ZINC000834489210 614059996 /nfs/dbraw/zinc/05/99/96/614059996.db2.gz KSWOZMWZFTZTFJ-ONGXEEELSA-N 0 1 261.329 0.479 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)C2=NC(=O)N(C)C2)CC1 ZINC000825132116 614071432 /nfs/dbraw/zinc/07/14/32/614071432.db2.gz WXEACMYEDXZFBJ-GFCCVEGCSA-N 0 1 291.355 0.432 20 30 CCEDMN CC(C)CS(=O)(=O)C=C(O)NC1=NO[C@@H](C)C1 ZINC000765381956 614175267 /nfs/dbraw/zinc/17/52/67/614175267.db2.gz VDGAJJCWNAKFBA-QMMMGPOBSA-N 0 1 262.331 0.296 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828431679 614180155 /nfs/dbraw/zinc/18/01/55/614180155.db2.gz JXALURMUTFNZLW-UHIISALHSA-N 0 1 281.356 0.693 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000824057990 614180198 /nfs/dbraw/zinc/18/01/98/614180198.db2.gz UOTKMPZCAOLUCX-BXUZGUMPSA-N 0 1 296.371 0.773 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000824057990 614180199 /nfs/dbraw/zinc/18/01/99/614180199.db2.gz UOTKMPZCAOLUCX-BXUZGUMPSA-N 0 1 296.371 0.773 20 30 CCEDMN CC(=O)Nc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1F ZINC000834499689 614199605 /nfs/dbraw/zinc/19/96/05/614199605.db2.gz LJDADDSYBZEVKY-GWCFXTLKSA-N 0 1 290.298 0.625 20 30 CCEDMN CC(=O)c1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834520938 614252441 /nfs/dbraw/zinc/25/24/41/614252441.db2.gz AAESWDBRLUKCMF-CHWSQXEVSA-N 0 1 257.293 0.731 20 30 CCEDMN CCCCn1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1C ZINC000834480078 614494490 /nfs/dbraw/zinc/49/44/90/614494490.db2.gz KWBOYTPIDGDVHY-AAEUAGOBSA-N 0 1 275.356 0.833 20 30 CCEDMN CCN(C(=O)c1ccn[nH]1)C1CN(C(=O)[C@H](C)C#N)C1 ZINC000967804541 614722859 /nfs/dbraw/zinc/72/28/59/614722859.db2.gz ICVHGEKELXHPQO-SECBINFHSA-N 0 1 275.312 0.242 20 30 CCEDMN CC[N@@H+](C)C[C@H]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820333103 614881028 /nfs/dbraw/zinc/88/10/28/614881028.db2.gz RNEIETOKXTYHFR-RISCZKNCSA-N 0 1 281.356 0.791 20 30 CCEDMN CC1=C(C(=O)[O-])C[C@H](C[NH+]2CCN(CCC#N)CC2)O1 ZINC000833578577 614960859 /nfs/dbraw/zinc/96/08/59/614960859.db2.gz KALNHGKUZWRECJ-GFCCVEGCSA-N 0 1 279.340 0.665 20 30 CCEDMN CCc1cc(C(=O)NCC#CCN(C)C)cc(=O)[nH]1 ZINC000913520458 615622995 /nfs/dbraw/zinc/62/29/95/615622995.db2.gz PQLBDJRDJPBBCK-UHFFFAOYSA-N 0 1 261.325 0.644 20 30 CCEDMN CCc1csc(NC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000841132165 615717659 /nfs/dbraw/zinc/71/76/59/615717659.db2.gz UYXJFSVOPCCHOP-APPZFPTMSA-N 0 1 265.342 0.939 20 30 CCEDMN CCc1nc(COC(=O)c2cnccc2C#N)n[nH]1 ZINC000805718516 615749695 /nfs/dbraw/zinc/74/96/95/615749695.db2.gz FJUZTNOPJWLINU-UHFFFAOYSA-N 0 1 257.253 0.991 20 30 CCEDMN CN(C)CC#CCNC(=O)C1(c2ccccn2)CC1 ZINC000913524709 615857354 /nfs/dbraw/zinc/85/73/54/615857354.db2.gz ZGQNYYJLCBPGTD-UHFFFAOYSA-N 0 1 257.337 0.794 20 30 CCEDMN CN(C)CC#CCNC(=O)COCCOc1ccccc1 ZINC000913516840 615858410 /nfs/dbraw/zinc/85/84/10/615858410.db2.gz IPPLDTTVFBQMQI-UHFFFAOYSA-N 0 1 290.363 0.763 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1nn(C)c2ccccc12 ZINC000913522964 615859095 /nfs/dbraw/zinc/85/90/95/615859095.db2.gz GYFUIJVZHDWJMD-UHFFFAOYSA-N 0 1 284.363 0.797 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CC(=O)N(C2CCCC2)C1 ZINC000913515912 615859528 /nfs/dbraw/zinc/85/95/28/615859528.db2.gz SICGOPGFFDNTPZ-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1nnn(C)c1C(F)F ZINC000934806813 615859716 /nfs/dbraw/zinc/85/97/16/615859716.db2.gz KKZHNABYVLZIQA-UHFFFAOYSA-N 0 1 286.286 0.439 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CC(c2ccccc2)=NO1 ZINC000913516853 615860004 /nfs/dbraw/zinc/86/00/04/615860004.db2.gz JJNQJCSSGVFOTP-OAHLLOKOSA-N 0 1 285.347 0.861 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(-c2cccnc2)nn1C ZINC000913524683 615860109 /nfs/dbraw/zinc/86/01/09/615860109.db2.gz YELOSOMFARLUSO-UHFFFAOYSA-N 0 1 297.362 0.777 20 30 CCEDMN C[NH+](C)CC#CCNC(=O)c1cnc2ccccc2c1[O-] ZINC000913516429 615860188 /nfs/dbraw/zinc/86/01/88/615860188.db2.gz PMEMYLVVWHRCOO-UHFFFAOYSA-N 0 1 283.331 0.823 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@]1(C)COc2ccccc2O1 ZINC000913524184 615860250 /nfs/dbraw/zinc/86/02/50/615860250.db2.gz CQSMJLQIPJXKDV-INIZCTEOSA-N 0 1 288.347 0.898 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCCN1C(=O)C(C)(C)C ZINC000913516783 615860257 /nfs/dbraw/zinc/86/02/57/615860257.db2.gz FTLZPQCJABCFIQ-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(N(C)C)ccn1 ZINC000913517545 615860820 /nfs/dbraw/zinc/86/08/20/615860820.db2.gz GEDDKZMJHCQZIZ-UHFFFAOYSA-N 0 1 260.341 0.442 20 30 CCEDMN C[NH+](C)C[C@@](C)(CN=NC1=NCC(=O)[N-]1)c1ccccc1 ZINC000863176886 615935635 /nfs/dbraw/zinc/93/56/35/615935635.db2.gz FUAQLHVPQZPAOC-OAHLLOKOSA-N 0 1 287.367 0.567 20 30 CCEDMN CN(C)c1ccc(C(N)=NOCCN2CCOC2=O)cc1 ZINC000882958534 616013465 /nfs/dbraw/zinc/01/34/65/616013465.db2.gz CUMMAVQPTJYCDC-UHFFFAOYSA-N 0 1 292.339 0.842 20 30 CCEDMN CN(C)c1ccc(CCC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834512114 616014010 /nfs/dbraw/zinc/01/40/10/616014010.db2.gz RXGWDQRGUGNRLL-HIFRSBDPSA-N 0 1 286.379 0.913 20 30 CCEDMN CN(CC(=O)N1CCO[C@@](C)(C#N)C1)[C@H]1CCSC1 ZINC000887680574 616044854 /nfs/dbraw/zinc/04/48/54/616044854.db2.gz IYFXSKBGKFYSQI-AAEUAGOBSA-N 0 1 283.397 0.565 20 30 CCEDMN C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828102870 616049359 /nfs/dbraw/zinc/04/93/59/616049359.db2.gz QNLQKUBMKKAEHO-SMDDNHRTSA-N 0 1 294.355 0.479 20 30 CCEDMN C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)[C@H]1CCN(C(=O)[O-])C1 ZINC000828102870 616049360 /nfs/dbraw/zinc/04/93/60/616049360.db2.gz QNLQKUBMKKAEHO-SMDDNHRTSA-N 0 1 294.355 0.479 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)[C@@]1(C)C[C@@H](O)CN1C ZINC000908401650 616050968 /nfs/dbraw/zinc/05/09/68/616050968.db2.gz VUXWQMGGWIYQHM-ZWNOBZJWSA-N 0 1 253.346 0.450 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1cccc(F)n1 ZINC000819182934 616067720 /nfs/dbraw/zinc/06/77/20/616067720.db2.gz JMSLTYLGAMODFV-LBPRGKRZSA-N 0 1 266.320 0.517 20 30 CCEDMN CN(CCN(C)S(=O)(=O)CC(C)(C)C#N)C1CC1 ZINC000914387288 616084220 /nfs/dbraw/zinc/08/42/20/616084220.db2.gz WNKNAOGYYFDBJX-UHFFFAOYSA-N 0 1 273.402 0.892 20 30 CCEDMN CN(CCN1CCOC1=O)C[C@H](O)c1cccc(C#N)c1 ZINC000842151931 616089231 /nfs/dbraw/zinc/08/92/31/616089231.db2.gz FMCXPPGPSLLQIR-AWEZNQCLSA-N 0 1 289.335 0.976 20 30 CCEDMN CN(CCc1cccc(C#N)c1)C(=O)[C@]1(C)CNCCO1 ZINC000877223309 616124970 /nfs/dbraw/zinc/12/49/70/616124970.db2.gz DNROWNVBZSBREZ-INIZCTEOSA-N 0 1 287.363 0.938 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC[C@@H](NCC#N)C1 ZINC000969098874 616183289 /nfs/dbraw/zinc/18/32/89/616183289.db2.gz IMDNFEHWABJIEL-NEPJUHHUSA-N 0 1 250.346 0.185 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCC#C[C@H]1CCCCO1 ZINC000891105769 616210999 /nfs/dbraw/zinc/21/09/99/616210999.db2.gz CPVHVXZCTACRRU-OLZOCXBDSA-N 0 1 250.342 0.769 20 30 CCEDMN CN1CCN(C)CCN(C(=O)NCC(C)(C)C#N)CC1 ZINC000894112328 616235506 /nfs/dbraw/zinc/23/55/06/616235506.db2.gz BMTWBBHPZSZUKB-UHFFFAOYSA-N 0 1 281.404 0.425 20 30 CCEDMN CN1CCN(Cc2ccc(OCC#N)cc2)[C@@H](CO)C1 ZINC000879002675 616245264 /nfs/dbraw/zinc/24/52/64/616245264.db2.gz LDDUXWFUEYLGLT-CQSZACIVSA-N 0 1 275.352 0.697 20 30 CCEDMN COCCN1CCCN(C(=O)c2coc(C#N)c2)CC1 ZINC000865009840 616340489 /nfs/dbraw/zinc/34/04/89/616340489.db2.gz HWIGJYYIIHTWTK-UHFFFAOYSA-N 0 1 277.324 0.946 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)[C@@]2(C#N)CCCOC2)C1 ZINC000865195420 616351162 /nfs/dbraw/zinc/35/11/62/616351162.db2.gz KAVVVXBMJWXJES-DZGCQCFKSA-N 0 1 295.383 0.534 20 30 CCEDMN CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccc(C#N)nc1 ZINC000913531368 616366824 /nfs/dbraw/zinc/36/68/24/616366824.db2.gz MJSVNTUVUXOYQQ-OKILXGFUSA-N 0 1 270.336 0.801 20 30 CCEDMN COC(=O)C12CCC(C(=O)NCC#CCN(C)C)(CC1)C2 ZINC000913521587 616401537 /nfs/dbraw/zinc/40/15/37/616401537.db2.gz FPEGOPVRITXDMT-UHFFFAOYSA-N 0 1 292.379 0.791 20 30 CCEDMN CN(C[C@H](O)CC1(C#N)CCC1)[C@H]1CCN(C)C1=O ZINC000886017278 616403996 /nfs/dbraw/zinc/40/39/96/616403996.db2.gz WRGPSBJJSVYCHH-NEPJUHHUSA-N 0 1 265.357 0.594 20 30 CCEDMN COC1(OC)CCN(CC2(CC#N)CC2)C[C@H]1O ZINC000931637083 616468668 /nfs/dbraw/zinc/46/86/68/616468668.db2.gz HAPVXQOQAKREFQ-LLVKDONJSA-N 0 1 254.330 0.736 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N1Cc2cccc(C#N)c2C1 ZINC000911923170 616472208 /nfs/dbraw/zinc/47/22/08/616472208.db2.gz MACAQFHCZQHPRK-CJNGLKHVSA-N 0 1 285.347 0.856 20 30 CCEDMN COCC#CCN1CCC(CN2CCNC2=O)CC1 ZINC000933587379 616527497 /nfs/dbraw/zinc/52/74/97/616527497.db2.gz ZMSNAASGJSCVGI-UHFFFAOYSA-N 0 1 265.357 0.373 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000871345288 616545384 /nfs/dbraw/zinc/54/53/84/616545384.db2.gz SWZGYZCQBHXPMR-SNVBAGLBSA-N 0 1 290.323 0.430 20 30 CCEDMN COCCOc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834491082 616591122 /nfs/dbraw/zinc/59/11/22/616591122.db2.gz ZUCVGPVTFIVVIO-OCCSQVGLSA-N 0 1 289.335 0.553 20 30 CCEDMN COC(=O)COc1ccccc1C=NNC1=NC[C@@H](C)N1 ZINC000901217304 616618303 /nfs/dbraw/zinc/61/83/03/616618303.db2.gz WCXITALRFGNZAR-SNVBAGLBSA-N 0 1 290.323 0.510 20 30 CCEDMN COC[C@H](C)[C@H](C)C(=O)C(C#N)C(=O)NC1CC1 ZINC000813060040 616639056 /nfs/dbraw/zinc/63/90/56/616639056.db2.gz OFYZLSBKBHFTGD-ATZCPNFKSA-N 0 1 252.314 0.892 20 30 CCEDMN CNC(=O)[C@H](C)N1CCCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000981669517 616844786 /nfs/dbraw/zinc/84/47/86/616844786.db2.gz OCKLPPMHJYTWIW-RYUDHWBXSA-N 0 1 292.383 0.205 20 30 CCEDMN COCCCNC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000876545892 616901007 /nfs/dbraw/zinc/90/10/07/616901007.db2.gz AAQIRFAWNWJUIY-CQSZACIVSA-N 0 1 273.336 0.898 20 30 CCEDMN COC(=O)c1ccc(N2CC[N@H+]3CC[C@H]2C3)c(C#N)n1 ZINC000599084457 616910673 /nfs/dbraw/zinc/91/06/73/616910673.db2.gz XWYGHZOXDFTLDV-JTQLQIEISA-N 0 1 272.308 0.634 20 30 CCEDMN CNc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1F ZINC000876801272 617063596 /nfs/dbraw/zinc/06/35/96/617063596.db2.gz AFXYWICMHQHYOE-SKDRFNHKSA-N 0 1 262.288 0.709 20 30 CCEDMN CO[C@H](C)CS(=O)(=O)Nc1cccc(CC#N)n1 ZINC000886435978 617077027 /nfs/dbraw/zinc/07/70/27/617077027.db2.gz NTNURQIGEFNOKN-SECBINFHSA-N 0 1 269.326 0.924 20 30 CCEDMN CON=CC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000809803526 617103669 /nfs/dbraw/zinc/10/36/69/617103669.db2.gz UVDIBYCVSOMXKY-GFCCVEGCSA-N 0 1 269.345 0.189 20 30 CCEDMN CONC(=O)C1CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000879922453 617109142 /nfs/dbraw/zinc/10/91/42/617109142.db2.gz ALOUSJGKUQCKHP-LLVKDONJSA-N 0 1 296.371 0.137 20 30 CCEDMN CO[C@@H](C(=O)N[C@H]1CNC[C@H]1C#N)c1ccccc1 ZINC000834500654 617127556 /nfs/dbraw/zinc/12/75/56/617127556.db2.gz VONABGNAJSIRFD-FRRDWIJNSA-N 0 1 259.309 0.602 20 30 CCEDMN CO[C@@H](Cc1ccccc1)C(=O)NCC#CCN(C)C ZINC000913523107 617170787 /nfs/dbraw/zinc/17/07/87/617170787.db2.gz MIVZBDVFJUGVRA-HNNXBMFYSA-N 0 1 274.364 0.925 20 30 CCEDMN C[C@H](OCC1CC1)C(=O)C(C#N)C(=O)NC1CC1 ZINC000120263998 625428598 /nfs/dbraw/zinc/42/85/98/625428598.db2.gz NSBDXUUDJRBBLB-GZMMTYOYSA-N 0 1 250.298 0.789 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001008303670 625436599 /nfs/dbraw/zinc/43/65/99/625436599.db2.gz LMHGKFOQXUPHSU-TZMCWYRMSA-N 0 1 268.332 0.719 20 30 CCEDMN C[C@@H]1CN(C[C@H]2CCC3(COC3)O2)C[C@H](C)N1CC#N ZINC000930828627 625438280 /nfs/dbraw/zinc/43/82/80/625438280.db2.gz LVTZKFZQUUGCSO-HZSPNIEDSA-N 0 1 279.384 0.853 20 30 CCEDMN Cc1nnc(CNC[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC001026732819 625579400 /nfs/dbraw/zinc/57/94/00/625579400.db2.gz NXSVMHDXLUZTSX-ONGXEEELSA-N 0 1 277.328 0.476 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001022692920 622660984 /nfs/dbraw/zinc/66/09/84/622660984.db2.gz SKMMFCOVZQOBRC-AXFHLTTASA-N 0 1 273.340 0.590 20 30 CCEDMN N#Cc1ccc(OS(=O)(=O)c2c[nH]cn2)c([N+](=O)[O-])c1 ZINC000121802787 622715815 /nfs/dbraw/zinc/71/58/15/622715815.db2.gz WXTVDBREKKOORB-UHFFFAOYSA-N 0 1 294.248 0.957 20 30 CCEDMN CN1CC(C(=O)NC[C@@H]2CCCN(CC#N)C2)=NC1=O ZINC001023571226 623065984 /nfs/dbraw/zinc/06/59/84/623065984.db2.gz KDXLVARXBLRFOV-JTQLQIEISA-N 0 1 277.328 0.091 20 30 CCEDMN N#CCN1CCC[C@@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001023572607 623068710 /nfs/dbraw/zinc/06/87/10/623068710.db2.gz ISLHPRQRBYHNIB-VIFPVBQESA-N 0 1 265.292 0.514 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2nccn3ccnc23)C1 ZINC001023595399 623073064 /nfs/dbraw/zinc/07/30/64/623073064.db2.gz AIHFUCDMYCPWRY-ZDUSSCGKSA-N 0 1 297.362 0.804 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2cn(CCC)nn2)C1 ZINC001023675034 623101349 /nfs/dbraw/zinc/10/13/49/623101349.db2.gz VFLGWHPMJWVQPB-CYBMUJFWSA-N 0 1 289.383 0.763 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2cn(CC)nn2)C1 ZINC001023673542 623101610 /nfs/dbraw/zinc/10/16/10/623101610.db2.gz SXVRALGQXICELE-GFCCVEGCSA-N 0 1 275.356 0.373 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2nn(CC)nc2C)C1 ZINC001023776935 623137763 /nfs/dbraw/zinc/13/77/63/623137763.db2.gz CJCUEYIIFHLDMM-CYBMUJFWSA-N 0 1 289.383 0.681 20 30 CCEDMN Cc1csc([C@@H](C#N)C(=O)c2cn(C)c(=O)n2C)n1 ZINC000892418052 623195362 /nfs/dbraw/zinc/19/53/62/623195362.db2.gz OTFUBNVLVDKYQN-QMMMGPOBSA-N 0 1 276.321 0.979 20 30 CCEDMN Cc1csc(C(C#N)C(=O)c2cn(C)c(=O)n2C)n1 ZINC000892418052 623195377 /nfs/dbraw/zinc/19/53/77/623195377.db2.gz OTFUBNVLVDKYQN-QMMMGPOBSA-N 0 1 276.321 0.979 20 30 CCEDMN C=CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024520028 623344585 /nfs/dbraw/zinc/34/45/85/623344585.db2.gz BUBCOEQQFHPHAP-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024520028 623344588 /nfs/dbraw/zinc/34/45/88/623344588.db2.gz BUBCOEQQFHPHAP-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN C[C@H]1CN2CCCC[C@@H]2CN1C(=O)NCC#CCO ZINC000923780191 623420000 /nfs/dbraw/zinc/42/00/00/623420000.db2.gz HYRUHAQQEUBVQR-QWHCGFSZSA-N 0 1 265.357 0.250 20 30 CCEDMN N#Cc1ccccc1CN[C@H]1CCN(C(=O)CC(N)=O)C1 ZINC001018646687 623703122 /nfs/dbraw/zinc/70/31/22/623703122.db2.gz LTUNEWRLTAZUFU-ZDUSSCGKSA-N 0 1 286.335 0.124 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2ncc[nH]2)C1 ZINC001025416898 623770125 /nfs/dbraw/zinc/77/01/25/623770125.db2.gz LWMNTTRGHKOZBX-VXGBXAGGSA-N 0 1 260.341 0.873 20 30 CCEDMN C[C@H](NC(=O)C1=NC(=O)N(C)C1)[C@@H]1CCCN(CC#N)C1 ZINC001025525483 623822798 /nfs/dbraw/zinc/82/27/98/623822798.db2.gz OPMATTDWUPFINR-WDEREUQCSA-N 0 1 291.355 0.480 20 30 CCEDMN Cc1cc(CC(=O)NC2C[C@H]3CC[C@@H](C2)N3CC#N)[nH]n1 ZINC001021226050 624683167 /nfs/dbraw/zinc/68/31/67/624683167.db2.gz HQBBJEGTJLUFGD-QXMXGUDHSA-N 0 1 287.367 0.896 20 30 CCEDMN N#CCN1CC[C@@H]2[C@@H](CCCN2C(=O)Cc2ccn[nH]2)C1 ZINC001021549867 624768629 /nfs/dbraw/zinc/76/86/29/624768629.db2.gz CMJPXBPQCYECRV-GXTWGEPZSA-N 0 1 287.367 0.789 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001022081626 624871607 /nfs/dbraw/zinc/87/16/07/624871607.db2.gz JSQCZIIMAHVRRA-OUAUKWLOSA-N 0 1 273.340 0.590 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)N[C@H]1C[C@@H](NCC#N)C1)C2 ZINC001022323093 624925111 /nfs/dbraw/zinc/92/51/11/624925111.db2.gz WMFDEHJZUKYINS-UTUOFQBUSA-N 0 1 287.367 0.583 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001022411404 624954453 /nfs/dbraw/zinc/95/44/53/624954453.db2.gz KXGKZUAGMPMFEZ-TXEJJXNPSA-N 0 1 296.334 0.641 20 30 CCEDMN N#Cc1ccccc1OC[C@@H](O)CN1CC[C@H]1CO ZINC000934010233 625001809 /nfs/dbraw/zinc/00/18/09/625001809.db2.gz BFNRNCZQPHGSIP-STQMWFEESA-N 0 1 262.309 0.365 20 30 CCEDMN C=CC[N@H+](C)[C@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001027479341 625909077 /nfs/dbraw/zinc/90/90/77/625909077.db2.gz CRBPMOYYOBZLLG-VIFPVBQESA-N 0 1 293.327 0.005 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001027521384 625937211 /nfs/dbraw/zinc/93/72/11/625937211.db2.gz BZBAVBFFZMNVFU-VXGBXAGGSA-N 0 1 281.400 0.995 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@H](Nc2ncccn2)C1 ZINC000903024371 634528263 /nfs/dbraw/zinc/52/82/63/634528263.db2.gz UXVBNIYMUYNNDE-RYUDHWBXSA-N 0 1 261.329 0.891 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1cnn(C2CCC2)c1 ZINC000904558411 634754885 /nfs/dbraw/zinc/75/48/85/634754885.db2.gz PJBKSVLAWPCWAD-CABZTGNLSA-N 0 1 274.328 0.841 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001027734560 630883815 /nfs/dbraw/zinc/88/38/15/630883815.db2.gz BHLYINYLSQIPQC-CQSZACIVSA-N 0 1 273.336 0.538 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000967154054 649991215 /nfs/dbraw/zinc/99/12/15/649991215.db2.gz HGQLYNBZQQTJGP-GWCFXTLKSA-N 0 1 287.367 0.862 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1nccn1CC ZINC001027846386 630974921 /nfs/dbraw/zinc/97/49/21/630974921.db2.gz MKMUEEJJBIIINR-LBPRGKRZSA-N 0 1 260.341 0.730 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)c2[nH]nc3c2CNCC3)C1 ZINC000424216562 630980421 /nfs/dbraw/zinc/98/04/21/630980421.db2.gz IUBGJCDMNJLXHI-DTWKUNHWSA-N 0 1 259.313 0.477 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCN(CCn2cccn2)CC1 ZINC000980839583 631065375 /nfs/dbraw/zinc/06/53/75/631065375.db2.gz JZJCTHJXBOWFFU-ZDUSSCGKSA-N 0 1 275.356 0.577 20 30 CCEDMN N#CCN1CCCN(C(=O)[C@H]2CCCc3c[nH]nc32)CC1 ZINC000981165724 631242304 /nfs/dbraw/zinc/24/23/04/631242304.db2.gz YXAYBGWNVYXQOE-ZDUSSCGKSA-N 0 1 287.367 0.887 20 30 CCEDMN Cc1ncc(C(=O)N2CC3(C2)CCCN(CC#N)C3)[nH]1 ZINC000981669653 631302140 /nfs/dbraw/zinc/30/21/40/631302140.db2.gz SISXHJLRACDPRI-UHFFFAOYSA-N 0 1 273.340 0.780 20 30 CCEDMN Cn1cc(C=[NH+][N-]c2ccc([N+](=O)[O-])cn2)c(=O)[nH]1 ZINC000814219518 631593238 /nfs/dbraw/zinc/59/32/38/631593238.db2.gz ZNAKFZWIHUWHSL-UHFFFAOYSA-N 0 1 262.229 0.875 20 30 CCEDMN O=C1[C@@H](NCc2ccccc2-n2cncn2)CCCN1O ZINC000895167524 632003322 /nfs/dbraw/zinc/00/33/22/632003322.db2.gz XADQUQBVQLGFJA-LBPRGKRZSA-N 0 1 287.323 0.737 20 30 CCEDMN C[C@@H](Nc1cnc(C#N)cn1)[C@@H]1CN(C)CCN1C ZINC000895244979 632032042 /nfs/dbraw/zinc/03/20/42/632032042.db2.gz BNXHZNSKUKKZCS-PWSUYJOCSA-N 0 1 260.345 0.394 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H](C)[C@H]2CN(C)CCN2C)n1 ZINC000895248244 632032980 /nfs/dbraw/zinc/03/29/80/632032980.db2.gz ZBTMQFVBHBJJNY-DGCLKSJQSA-N 0 1 274.372 0.703 20 30 CCEDMN C[C@@H](Nc1cncc(C#N)n1)[C@@H]1C[N@@H+](C)CCN1C ZINC000895249975 632033952 /nfs/dbraw/zinc/03/39/52/632033952.db2.gz YPIDREBKZVWTSG-PWSUYJOCSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@@H](Nc1cc(C#N)cnn1)[C@H]1CN(C)CCN1C ZINC000895246395 632034819 /nfs/dbraw/zinc/03/48/19/632034819.db2.gz NYOHBTARLPXJGQ-ZYHUDNBSSA-N 0 1 260.345 0.394 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CC2(C)CCCC2)CC1 ZINC000895919950 632177827 /nfs/dbraw/zinc/17/78/27/632177827.db2.gz XKAZEGSNIGHXQF-UHFFFAOYSA-N 0 1 299.440 0.652 20 30 CCEDMN N#CCN1CCC(CNC(=O)c2cncc3nc[nH]c32)CC1 ZINC000896667625 632309258 /nfs/dbraw/zinc/30/92/58/632309258.db2.gz JODAUXMEWSDWSX-UHFFFAOYSA-N 0 1 298.350 0.923 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2CN(C)CCO2)C1 ZINC001007004646 650062087 /nfs/dbraw/zinc/06/20/87/650062087.db2.gz WCQZZXBHNQESGG-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897307671 632476053 /nfs/dbraw/zinc/47/60/53/632476053.db2.gz UZYHKUTYXPWSNM-WCQYABFASA-N 0 1 268.357 0.008 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)c2cncc3nc[nH]c32)C1 ZINC001007382422 650076816 /nfs/dbraw/zinc/07/68/16/650076816.db2.gz NCZMVUKVKNUYJK-SNVBAGLBSA-N 0 1 284.323 0.676 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001007482864 650079788 /nfs/dbraw/zinc/07/97/88/650079788.db2.gz DVYLZUAEYFPGTR-ZDUSSCGKSA-N 0 1 289.383 0.824 20 30 CCEDMN N#CC[C@H](NC(=O)C1CN([C@@H]2CCOC2)C1)C(F)(F)F ZINC000928799290 632590967 /nfs/dbraw/zinc/59/09/67/632590967.db2.gz AHAHGAGLDVVBFN-ZJUUUORDSA-N 0 1 291.273 0.668 20 30 CCEDMN C=C(Cl)CN(C)C1CCN(C(=O)Cn2cncn2)CC1 ZINC000985780553 632640517 /nfs/dbraw/zinc/64/05/17/632640517.db2.gz PSONJJYJSIOEQW-UHFFFAOYSA-N 0 1 297.790 0.953 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cn2nccn2)C1 ZINC000988883447 633124850 /nfs/dbraw/zinc/12/48/50/633124850.db2.gz CLZRDAWWGPJSNM-QWRGUYRKSA-N 0 1 283.763 0.610 20 30 CCEDMN Cc1nc(CNC(=O)NCC#C[C@H]2CCCCO2)n[nH]1 ZINC000898344796 633306373 /nfs/dbraw/zinc/30/63/73/633306373.db2.gz LCJIABMVGRBBQD-LLVKDONJSA-N 0 1 277.328 0.485 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2nc[nH]n2)C1 ZINC000989569437 633328205 /nfs/dbraw/zinc/32/82/05/633328205.db2.gz SZWVZSVFJIDIMB-BDAKNGLRSA-N 0 1 269.736 0.750 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2[nH]cnc2C)CC1 ZINC000989564863 633328364 /nfs/dbraw/zinc/32/83/64/633328364.db2.gz QYEGFNQXBXNNGA-UHFFFAOYSA-N 0 1 262.357 0.981 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCn2cnnn2)C1 ZINC000989600021 633335012 /nfs/dbraw/zinc/33/50/12/633335012.db2.gz DQXKFIAVWQWTCC-MNOVXSKESA-N 0 1 298.778 0.395 20 30 CCEDMN C=C(C)C[N@H+]1CCCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000989630322 633337645 /nfs/dbraw/zinc/33/76/45/633337645.db2.gz XBYMDTSECTXSKT-UHFFFAOYSA-N 0 1 293.367 0.246 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000989630322 633337649 /nfs/dbraw/zinc/33/76/49/633337649.db2.gz XBYMDTSECTXSKT-UHFFFAOYSA-N 0 1 293.367 0.246 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(NCc2ccon2)CC1 ZINC000990263078 633499125 /nfs/dbraw/zinc/49/91/25/633499125.db2.gz ZOXYNXRMHRWWPD-JTQLQIEISA-N 0 1 262.313 0.915 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cc(=O)n(C)o3)CCC[C@@H]12 ZINC000990434888 633531476 /nfs/dbraw/zinc/53/14/76/633531476.db2.gz HOALUXUYEAIWKK-DOMZBBRYSA-N 0 1 289.335 0.338 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnn(CC)n3)CCC[C@@H]12 ZINC000990565414 633554236 /nfs/dbraw/zinc/55/42/36/633554236.db2.gz DBHVOIVFVIPETQ-UKRRQHHQSA-N 0 1 287.367 0.658 20 30 CCEDMN Cc1nc(CC(=O)N[C@@]23CCC[C@H]2N(CC#N)CC3)n[nH]1 ZINC000990638060 633572494 /nfs/dbraw/zinc/57/24/94/633572494.db2.gz KYFVMZKCKOGNPQ-BXUZGUMPSA-N 0 1 288.355 0.292 20 30 CCEDMN C#CC[N@H+]1CC[C@]2(NC(=O)Cc3nnc(C)[nH]3)CCC[C@H]12 ZINC000990638727 633573555 /nfs/dbraw/zinc/57/35/55/633573555.db2.gz OEMNNEQGMFPJPU-SWLSCSKDSA-N 0 1 287.367 0.402 20 30 CCEDMN N#CC[C@@H]1CCCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000898580041 633626478 /nfs/dbraw/zinc/62/64/78/633626478.db2.gz AKKKZLMHIGWEAZ-JSGCOSHPSA-N 0 1 277.368 0.859 20 30 CCEDMN N#C[C@@]1(C(=O)N2CC(N3CCCC3)C2)CCCOC1 ZINC000898597008 633628321 /nfs/dbraw/zinc/62/83/21/633628321.db2.gz BISVJYCYYGIRKX-AWEZNQCLSA-N 0 1 263.341 0.613 20 30 CCEDMN N#C[C@H]1CN(C(=O)Cc2c[nH]cn2)CCN1C1CC1 ZINC000900671609 634067539 /nfs/dbraw/zinc/06/75/39/634067539.db2.gz JLXCBUOLWKJGMF-LBPRGKRZSA-N 0 1 259.313 0.151 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001009145415 650138757 /nfs/dbraw/zinc/13/87/57/650138757.db2.gz KRHVKDQQHWQYNK-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cncn2C)C1 ZINC001009205562 650140183 /nfs/dbraw/zinc/14/01/83/650140183.db2.gz APZNJYSWNYXLAE-ZDUSSCGKSA-N 0 1 274.368 0.567 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(=O)n(C)n2)C1 ZINC001009415902 650144532 /nfs/dbraw/zinc/14/45/32/650144532.db2.gz VLHHSJBMQMVXOI-NSHDSACASA-N 0 1 276.340 0.161 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC001009472075 650145654 /nfs/dbraw/zinc/14/56/54/650145654.db2.gz RCFHSGGBHKBEBX-AWEZNQCLSA-N 0 1 287.363 0.452 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)CN2CCCC2)C1 ZINC001009527708 650147555 /nfs/dbraw/zinc/14/75/55/650147555.db2.gz IRKYHKXSNNMHKO-LBPRGKRZSA-N 0 1 250.346 0.186 20 30 CCEDMN COc1nn(C)cc1CNC[C@H](O)CC(C)(C)C#N ZINC000905674234 634894575 /nfs/dbraw/zinc/89/45/75/634894575.db2.gz GGUABMRWAQYFIG-LLVKDONJSA-N 0 1 266.345 0.819 20 30 CCEDMN Cn1cnc(CNC[C@H](O)COc2ccc(C#N)cc2)c1 ZINC000905683158 634896069 /nfs/dbraw/zinc/89/60/69/634896069.db2.gz OCWBROKSOQPMTH-AWEZNQCLSA-N 0 1 286.335 0.821 20 30 CCEDMN CN(C)C[C@H]1CCCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000907051337 635067887 /nfs/dbraw/zinc/06/78/87/635067887.db2.gz CTBQPCPEZIXZPQ-GFCCVEGCSA-N 0 1 294.380 0.668 20 30 CCEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)c3[nH]c(=O)[nH]c3C)CCC[C@H]12 ZINC000991202621 635622225 /nfs/dbraw/zinc/62/22/25/635622225.db2.gz AQKULOZEOPYFPZ-NHYWBVRUSA-N 0 1 288.351 0.784 20 30 CCEDMN Cc1nn(C)c(C)c1OCC(=O)C(C#N)C(=O)NC(C)C ZINC000151203437 636781516 /nfs/dbraw/zinc/78/15/16/636781516.db2.gz XHUFRMSUIXQSSM-NSHDSACASA-N 0 1 292.339 0.649 20 30 CCEDMN C[C@@H](CC(=O)NCC#CCN(C)C)[C@@H]1CCCO1 ZINC000913523832 636831910 /nfs/dbraw/zinc/83/19/10/636831910.db2.gz OADWSHAJFUNBTA-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN Cc1cccc(-n2cc(C(=O)NCC#CCN(C)C)nn2)c1 ZINC000913517982 636834573 /nfs/dbraw/zinc/83/45/73/636834573.db2.gz YOYGCVQCOUPAKU-UHFFFAOYSA-N 0 1 297.362 0.871 20 30 CCEDMN Cc1nc2c([nH]1)C[C@@H](C(=O)NCC#CC[NH+](C)C)CC2 ZINC000913522300 636835594 /nfs/dbraw/zinc/83/55/94/636835594.db2.gz CTWHNHHGKUEHRV-LBPRGKRZSA-N 0 1 274.368 0.504 20 30 CCEDMN C#CC[N@H+]1CC[C@]2(NC(=O)Cn3c[nH+]cc3C)CCC[C@@H]12 ZINC000991864122 637104288 /nfs/dbraw/zinc/10/42/88/637104288.db2.gz UOXINTLXGBAKQK-GDBMZVCRSA-N 0 1 286.379 0.938 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)CN3CCCC3)CCC[C@H]12 ZINC000992484869 637448115 /nfs/dbraw/zinc/44/81/15/637448115.db2.gz HTMKYTOGICRNOE-ZFWWWQNUSA-N 0 1 276.384 0.719 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn(CCOC)n2)C1 ZINC001015223957 637460525 /nfs/dbraw/zinc/46/05/25/637460525.db2.gz RMRBZQLHWXMUFA-LBPRGKRZSA-N 0 1 278.356 0.520 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2nnc3ccccc3n2)C1 ZINC001015291437 637545118 /nfs/dbraw/zinc/54/51/18/637545118.db2.gz TXTXXXATMKYLNU-GFCCVEGCSA-N 0 1 295.346 0.852 20 30 CCEDMN C[C@@H]1CN(C(=O)NC[C@@H]2CCC[N@H+]2C)C[C@@H](C)N1CC#N ZINC000914391710 637614735 /nfs/dbraw/zinc/61/47/35/637614735.db2.gz MCTSRNRXRRERST-MCIONIFRSA-N 0 1 293.415 0.708 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnnn2C)[C@H]1C ZINC000993295520 637767674 /nfs/dbraw/zinc/76/76/74/637767674.db2.gz QANPKMIKSMPFGQ-GHMZBOCLSA-N 0 1 263.345 0.584 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cn[nH]c(=O)c2)[C@@H]1C ZINC000993468095 637810740 /nfs/dbraw/zinc/81/07/40/637810740.db2.gz VKHQVHLMKNMCDY-AAEUAGOBSA-N 0 1 288.351 0.788 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CN2CCCCC2=O)[C@@H]1C ZINC000993512894 637816429 /nfs/dbraw/zinc/81/64/29/637816429.db2.gz AYOHYRDIVIKXLE-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C2CC(=O)NC(=O)C2)[C@@H]1C ZINC000993972272 637858754 /nfs/dbraw/zinc/85/87/54/637858754.db2.gz LXZAWDWXPFTASL-CMPLNLGQSA-N 0 1 293.367 0.194 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2cnon2)[C@@H]1C ZINC000994046168 637863240 /nfs/dbraw/zinc/86/32/40/637863240.db2.gz XCGUEQDSEUIAPH-WDEREUQCSA-N 0 1 262.313 0.676 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnon2)[C@@H]1C ZINC000994046168 637863241 /nfs/dbraw/zinc/86/32/41/637863241.db2.gz XCGUEQDSEUIAPH-WDEREUQCSA-N 0 1 262.313 0.676 20 30 CCEDMN Cn1cc(C=NNc2ccc(-n3cccn3)nn2)cn1 ZINC000915972099 637881166 /nfs/dbraw/zinc/88/11/66/637881166.db2.gz CDHUMDKFISGYAD-UHFFFAOYSA-N 0 1 268.284 0.842 20 30 CCEDMN Cc1cc(CNC(=O)N2C[C@@H](C)N(CC#N)[C@@H](C)C2)n[nH]1 ZINC000919565568 638086787 /nfs/dbraw/zinc/08/67/87/638086787.db2.gz PATLKWOEVQLXJE-TXEJJXNPSA-N 0 1 290.371 0.846 20 30 CCEDMN CCS(=O)(=O)CCNC[C@H](O)c1ccc(C#N)cc1 ZINC000233824370 638208280 /nfs/dbraw/zinc/20/82/80/638208280.db2.gz YGENDZCZFACAAO-ZDUSSCGKSA-N 0 1 282.365 0.616 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NC(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC000938466404 638223465 /nfs/dbraw/zinc/22/34/65/638223465.db2.gz KQLDFSUPFYQTDF-AVGNSLFASA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NC(=O)[C@@H]2CCCCN2C)C1 ZINC000938466404 638223471 /nfs/dbraw/zinc/22/34/71/638223471.db2.gz KQLDFSUPFYQTDF-AVGNSLFASA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC000939395056 638358294 /nfs/dbraw/zinc/35/82/94/638358294.db2.gz CABJHZLIMBXDIT-ZJUUUORDSA-N 0 1 275.312 0.242 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCN(C(=O)Cc2ccn[nH]2)CC1 ZINC000940577770 638478299 /nfs/dbraw/zinc/47/82/99/638478299.db2.gz RXDIIGNNHIGJQH-LLVKDONJSA-N 0 1 289.339 0.173 20 30 CCEDMN C[C@@H](F)CCN1CC(N2CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000941349568 638528407 /nfs/dbraw/zinc/52/84/07/638528407.db2.gz YSEYQLWFTQYRDI-CHWSQXEVSA-N 0 1 296.390 0.723 20 30 CCEDMN C=C1CCC(C(=O)N2CC(NC(=O)c3cnn[nH]3)C2)CC1 ZINC000995176215 638570128 /nfs/dbraw/zinc/57/01/28/638570128.db2.gz BYFZWFIUXBDHAH-UHFFFAOYSA-N 0 1 289.339 0.492 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)C(=O)N2CCC[C@@H]2CC)C1 ZINC001015963827 638823212 /nfs/dbraw/zinc/82/32/12/638823212.db2.gz OOKVVLNNOFEQIR-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)Cc2n[nH]c3c2CCCC3)C1 ZINC001014448222 650453101 /nfs/dbraw/zinc/45/31/01/650453101.db2.gz SULNBUUATUYKOK-LLVKDONJSA-N 0 1 287.367 0.545 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(CCOC)nc2C)C1 ZINC001014404050 650448746 /nfs/dbraw/zinc/44/87/46/650448746.db2.gz UMPQHVZCMRZSJX-ZDUSSCGKSA-N 0 1 292.383 0.828 20 30 CCEDMN N#CCNC1CCN(C(=O)[C@H]2CCc3[nH]nnc3C2)CC1 ZINC000997195014 638859026 /nfs/dbraw/zinc/85/90/26/638859026.db2.gz YEZFJLOAKDBBMW-JTQLQIEISA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCNC1CCN(C(=O)[C@H]2CCc3nn[nH]c3C2)CC1 ZINC000997195014 638859027 /nfs/dbraw/zinc/85/90/27/638859027.db2.gz YEZFJLOAKDBBMW-JTQLQIEISA-N 0 1 288.355 0.014 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)C1CCN(CC#N)CC1 ZINC000997197578 638859393 /nfs/dbraw/zinc/85/93/93/638859393.db2.gz CLHQTBLNTLAFFE-SECBINFHSA-N 0 1 262.317 0.159 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](C)c2ccnn2C)C1 ZINC001014473370 650454989 /nfs/dbraw/zinc/45/49/89/650454989.db2.gz RQVBSEOXPWVDLC-NWDGAFQWSA-N 0 1 262.357 0.900 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001014490550 650455746 /nfs/dbraw/zinc/45/57/46/650455746.db2.gz CVXGGHYARXOLRB-OBJOEFQTSA-N 0 1 250.342 0.790 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cn(C)nn2)C1 ZINC000999077588 638957890 /nfs/dbraw/zinc/95/78/90/638957890.db2.gz DRRPTEMBAIIZLY-JTQLQIEISA-N 0 1 283.763 0.762 20 30 CCEDMN C[C@H](ON=C(N)CN1CCCC[C@@H]1C)C(=O)NC1CC1 ZINC000113250176 650459759 /nfs/dbraw/zinc/45/97/59/650459759.db2.gz JVLLJXAVXBHKJD-QWRGUYRKSA-N 0 1 282.388 0.817 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CCNC2=O)C1 ZINC000999883423 639016190 /nfs/dbraw/zinc/01/61/90/639016190.db2.gz QPJNPJRVBLYMAW-MNOVXSKESA-N 0 1 285.775 0.456 20 30 CCEDMN C#CCN1CC[C@@H](n2cc(CNC(=O)C3CCC3)nn2)C1 ZINC000999948195 639024330 /nfs/dbraw/zinc/02/43/30/639024330.db2.gz DNBPJWNBRGTLKE-CQSZACIVSA-N 0 1 287.367 0.574 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2ncn(C)n2)CC1 ZINC001000651299 639148463 /nfs/dbraw/zinc/14/84/63/639148463.db2.gz LZTVTSSDXHSDBQ-UHFFFAOYSA-N 0 1 273.340 0.200 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(C(C)(C)C)nn2)C1 ZINC001014659519 650475363 /nfs/dbraw/zinc/47/53/63/650475363.db2.gz PKMUETCYKNNGGV-LBPRGKRZSA-N 0 1 289.383 0.861 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ncc(OC)cn2)CC1 ZINC001000933015 639200040 /nfs/dbraw/zinc/20/00/40/639200040.db2.gz UPZGMNXSQGDKSP-UHFFFAOYSA-N 0 1 286.335 0.480 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccnc3n[nH]nc32)CC1 ZINC001000933375 639200093 /nfs/dbraw/zinc/20/00/93/639200093.db2.gz BAQCAILHYAWCKT-UHFFFAOYSA-N 0 1 296.334 0.348 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001014740889 650483473 /nfs/dbraw/zinc/48/34/73/650483473.db2.gz BDXBLNYHRHAAQC-NSHDSACASA-N 0 1 286.339 0.733 20 30 CCEDMN N#CCN1CC=C(CNC(=O)[C@H]2CCc3[nH]cnc3C2)CC1 ZINC001001352081 639286370 /nfs/dbraw/zinc/28/63/70/639286370.db2.gz WSSXMIDMQBIBBK-ZDUSSCGKSA-N 0 1 299.378 0.786 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(C(N)=O)ccn2)CC1 ZINC001001506041 639307048 /nfs/dbraw/zinc/30/70/48/639307048.db2.gz YUKKCAJTSQFZAK-UHFFFAOYSA-N 0 1 298.346 0.176 20 30 CCEDMN C=CCn1cc(C(=O)NCC2CCN(CCF)CC2)nn1 ZINC001001918439 639347423 /nfs/dbraw/zinc/34/74/23/639347423.db2.gz HDEGWLJNKGTJLB-UHFFFAOYSA-N 0 1 295.362 0.875 20 30 CCEDMN CC#CCN1CCC(NC(=O)C2CN(C(C)=O)C2)CC1 ZINC001002683833 639440920 /nfs/dbraw/zinc/44/09/20/639440920.db2.gz AXNJKHCWCBYKNB-UHFFFAOYSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2COCCN2CC)CC1 ZINC001003206910 639516968 /nfs/dbraw/zinc/51/69/68/639516968.db2.gz VPQZLKQYGINVGJ-HNNXBMFYSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCn3cncc3C2)C1 ZINC001014983033 650511218 /nfs/dbraw/zinc/51/12/18/650511218.db2.gz HKLZUYAYMHNVEA-QWHCGFSZSA-N 0 1 274.368 0.822 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCCn3nccc32)C1 ZINC001014990948 650512006 /nfs/dbraw/zinc/51/20/06/650512006.db2.gz MTVINVSSVQYHPT-KGLIPLIRSA-N 0 1 286.379 0.974 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001014990952 650512263 /nfs/dbraw/zinc/51/22/63/650512263.db2.gz MTVINVSSVQYHPT-ZIAGYGMSSA-N 0 1 286.379 0.974 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001015001329 650513351 /nfs/dbraw/zinc/51/33/51/650513351.db2.gz VKVNWDWJEUGPQN-STQMWFEESA-N 0 1 274.368 0.822 20 30 CCEDMN N#Cc1c(NC[C@H]2CCCOC2)n[nH]c1N1CCOCC1 ZINC000922359946 639668333 /nfs/dbraw/zinc/66/83/33/639668333.db2.gz NJYLEVOICSWTFC-LLVKDONJSA-N 0 1 291.355 0.956 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(C)nn(C)c2F)CC1 ZINC001004065377 639670523 /nfs/dbraw/zinc/67/05/23/639670523.db2.gz UVJBMHPHMJDDIA-UHFFFAOYSA-N 0 1 278.331 0.695 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccn(C)n2)CC1 ZINC001004137380 639687289 /nfs/dbraw/zinc/68/72/89/639687289.db2.gz ADWALTNMOYHGPW-UHFFFAOYSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc(=O)n(C)o2)CC1 ZINC001005324637 639898975 /nfs/dbraw/zinc/89/89/75/639898975.db2.gz OQUQSCPGFNNFLI-UHFFFAOYSA-N 0 1 277.324 0.148 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccc(C(N)=O)o2)CC1 ZINC001005689647 639951393 /nfs/dbraw/zinc/95/13/93/639951393.db2.gz TXNITQCSFNPMLP-UHFFFAOYSA-N 0 1 289.335 0.548 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001014841860 640039871 /nfs/dbraw/zinc/03/98/71/640039871.db2.gz ZBGOKHLOJXPSMB-SNVBAGLBSA-N 0 1 262.313 0.251 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001015472350 650570673 /nfs/dbraw/zinc/57/06/73/650570673.db2.gz WTUUSBWVQXYSDC-GFCCVEGCSA-N 0 1 288.351 0.626 20 30 CCEDMN Cn1ccnc(N=NCc2cc(C(N)=O)cs2)c1=O ZINC000755892648 640339334 /nfs/dbraw/zinc/33/93/34/640339334.db2.gz YKQHNGUORFODQA-UHFFFAOYSA-N 0 1 277.309 0.387 20 30 CCEDMN C[C@H]1CN(C2CC2)CC1N=Nc1nccn(C)c1=O ZINC000755892012 640339461 /nfs/dbraw/zinc/33/94/61/640339461.db2.gz PJQVXSXVOUXDGN-VIFPVBQESA-N 0 1 261.329 0.662 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000797864378 640468893 /nfs/dbraw/zinc/46/88/93/640468893.db2.gz GSQHQQQIGBVDNI-JTQLQIEISA-N 0 1 288.267 0.187 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001015579851 650584203 /nfs/dbraw/zinc/58/42/03/650584203.db2.gz FJQAQTAYSCJXHX-VIFPVBQESA-N 0 1 265.338 0.676 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2c(C)cc(C)[nH]c2=O)C1 ZINC001015599487 650588543 /nfs/dbraw/zinc/58/85/43/650588543.db2.gz OMPIBINBJDEHBN-CYBMUJFWSA-N 0 1 287.363 0.770 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NCCN1CCC[C@@H]1C ZINC000800936231 640626082 /nfs/dbraw/zinc/62/60/82/640626082.db2.gz HYLRZYZNHMDUHE-NEPJUHHUSA-N 0 1 288.417 0.539 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2nnn(CC)c2CC)C1 ZINC001015691750 650610586 /nfs/dbraw/zinc/61/05/86/650610586.db2.gz DNCVZHJAAYYMEA-LBPRGKRZSA-N 0 1 289.383 0.688 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC000808301503 640859581 /nfs/dbraw/zinc/85/95/81/640859581.db2.gz QCQWKPVMOJOXFK-PWSUYJOCSA-N 0 1 256.371 0.412 20 30 CCEDMN N#Cc1ncccc1N1CCN(CCCCO)CC1 ZINC000811807726 640987544 /nfs/dbraw/zinc/98/75/44/640987544.db2.gz HGRYVQKIGKSUDN-UHFFFAOYSA-N 0 1 260.341 0.848 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CN2C(=O)Cc3ccccc32)C1 ZINC001015759281 650623766 /nfs/dbraw/zinc/62/37/66/650623766.db2.gz SECDPCAWYGXOJY-CQSZACIVSA-N 0 1 299.374 0.952 20 30 CCEDMN Cc1nn2c([nH]c3ccccc3c2=O)c1C=[NH+]NCC(=O)[O-] ZINC000814959064 641084548 /nfs/dbraw/zinc/08/45/48/641084548.db2.gz JXCWRXJHOCQUSL-UHFFFAOYSA-N 0 1 299.290 0.492 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)C(=O)N2CCC[C@H](C)C2)C1 ZINC001015798940 650631230 /nfs/dbraw/zinc/63/12/30/650631230.db2.gz AYVZSWGJSXWNDO-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN N#Cc1cscc1C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000815519940 641114873 /nfs/dbraw/zinc/11/48/73/641114873.db2.gz MHNRLHLNYBNFPJ-VIFPVBQESA-N 0 1 290.308 0.347 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001015809376 650633182 /nfs/dbraw/zinc/63/31/82/650633182.db2.gz NGJIOMWEHBFJTA-JTQLQIEISA-N 0 1 287.323 0.013 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001015840507 650639279 /nfs/dbraw/zinc/63/92/79/650639279.db2.gz XZHLFWZAQHBMIJ-ZDUSSCGKSA-N 0 1 281.400 0.474 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)c1[nH]nc2c1CCC2 ZINC000816757857 641213393 /nfs/dbraw/zinc/21/33/93/641213393.db2.gz CMOFGFMXUZNRJC-SECBINFHSA-N 0 1 264.285 0.008 20 30 CCEDMN N#CCN1CCC(CNC(=O)CN2CCCC2)CC1 ZINC000820257749 641489116 /nfs/dbraw/zinc/48/91/16/641489116.db2.gz BXFVSDISJAOECN-UHFFFAOYSA-N 0 1 264.373 0.434 20 30 CCEDMN O=C(Cc1[nH]nc2ccccc21)N[C@H]1CCCN(O)C1=O ZINC000820470581 641508088 /nfs/dbraw/zinc/50/80/88/641508088.db2.gz CEVRZDDTZFRMII-NSHDSACASA-N 0 1 288.307 0.602 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2C(=O)Nc3ccccc32)C1 ZINC001016393827 650724156 /nfs/dbraw/zinc/72/41/56/650724156.db2.gz ZIIRKZWCFLXZHN-JSGCOSHPSA-N 0 1 297.358 0.936 20 30 CCEDMN C#CC[C@@H]1CCCN(CC(=O)NCC(=O)N2CCCC2)C1 ZINC000829778389 642191120 /nfs/dbraw/zinc/19/11/20/642191120.db2.gz BNHLPIIJHDYEFY-CQSZACIVSA-N 0 1 291.395 0.460 20 30 CCEDMN COC1CCN(CCNC(=O)C#CC(C)C)CC1 ZINC000829796223 642193843 /nfs/dbraw/zinc/19/38/43/642193843.db2.gz XQVAADYUILYRNC-UHFFFAOYSA-N 0 1 252.358 0.873 20 30 CCEDMN N#Cc1ccc(OCCCn2nnnc2CN)cc1 ZINC000830463159 642264582 /nfs/dbraw/zinc/26/45/82/642264582.db2.gz JSDAMMKWFFYLBP-UHFFFAOYSA-N 0 1 258.285 0.473 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1cccc(F)c1F ZINC000834479310 642571537 /nfs/dbraw/zinc/57/15/37/642571537.db2.gz CCVBRUKTTKDPTR-KOLCDFICSA-N 0 1 265.263 0.735 20 30 CCEDMN Cn1cnc2cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)ccc21 ZINC000834480879 642573604 /nfs/dbraw/zinc/57/36/04/642573604.db2.gz XOBOKJVRYZFRAP-CMPLNLGQSA-N 0 1 269.308 0.415 20 30 CCEDMN Cn1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(-c2ccccc2)n1 ZINC000834484456 642576897 /nfs/dbraw/zinc/57/68/97/642576897.db2.gz JABDSJQURVUCAI-TZMCWYRMSA-N 0 1 295.346 0.928 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1coc(=O)c2ccccc12 ZINC000834485054 642576941 /nfs/dbraw/zinc/57/69/41/642576941.db2.gz RCDPYPZNOAOAJK-TVQRCGJNSA-N 0 1 283.287 0.634 20 30 CCEDMN Cc1nc(CCC(=O)N[C@H]2CNC[C@@H]2C#N)cs1 ZINC000834488192 642577655 /nfs/dbraw/zinc/57/76/55/642577655.db2.gz AFRPUEPTEFWWND-ONGXEEELSA-N 0 1 264.354 0.612 20 30 CCEDMN Cc1cccc(C)c1NCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834488286 642577751 /nfs/dbraw/zinc/57/77/51/642577751.db2.gz BGFWRHLRHGCKJZ-OLZOCXBDSA-N 0 1 272.352 0.943 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccnc(-n2cccn2)c1 ZINC000834488315 642578114 /nfs/dbraw/zinc/57/81/14/642578114.db2.gz BMOCXMIHQWNEMY-RYUDHWBXSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccnc(-n2cccn2)c1 ZINC000834488313 642578662 /nfs/dbraw/zinc/57/86/62/642578662.db2.gz BMOCXMIHQWNEMY-NEPJUHHUSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C[C@H]1OCCc2ccccc21 ZINC000834489175 642579846 /nfs/dbraw/zinc/57/98/46/642579846.db2.gz KGGNVLATFREMOM-BPLDGKMQSA-N 0 1 285.347 0.918 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCc1nccs1 ZINC000834495274 642585443 /nfs/dbraw/zinc/58/54/43/642585443.db2.gz TUSLWUDWRFIOAA-DTWKUNHWSA-N 0 1 250.327 0.303 20 30 CCEDMN Cc1nn(C)c(C)c1[C@H](C)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834494909 642585782 /nfs/dbraw/zinc/58/57/82/642585782.db2.gz QTQRWNYHLDFLMY-UWJYBYFXSA-N 0 1 275.356 0.368 20 30 CCEDMN COc1cccc(OCC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834494987 642585902 /nfs/dbraw/zinc/58/59/02/642585902.db2.gz RLGWTZWSWBJVOJ-MFKMUULPSA-N 0 1 275.308 0.302 20 30 CCEDMN Cc1noc(C)c1[C@H](C)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834495707 642586974 /nfs/dbraw/zinc/58/69/74/642586974.db2.gz YHUXSWONVNEGEY-WHGOUJPWSA-N 0 1 262.313 0.623 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1OCCc2ccccc21 ZINC000834504363 642590066 /nfs/dbraw/zinc/59/00/66/642590066.db2.gz ALNHBQRSKLOOHW-BNOWGMLFSA-N 0 1 271.320 0.528 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1c(F)ccc(F)c1F ZINC000834500932 642590273 /nfs/dbraw/zinc/59/02/73/642590273.db2.gz YEPVUHYIUJHLHT-MUWHJKNJSA-N 0 1 269.226 0.945 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnn(-c2ccc(F)cc2)c1 ZINC000834505179 642592092 /nfs/dbraw/zinc/59/20/92/642592092.db2.gz IXZSQJIDNJJJGY-HZMBPMFUSA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1nc(Cl)cs1 ZINC000834504867 642592557 /nfs/dbraw/zinc/59/25/57/642592557.db2.gz GGYXONKPPLEPDD-NTSWFWBYSA-N 0 1 256.718 0.638 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccc2c(c1)OCCO2 ZINC000834506745 642594131 /nfs/dbraw/zinc/59/41/31/642594131.db2.gz VMYAZQHFLXQEJB-NWDGAFQWSA-N 0 1 287.319 0.228 20 30 CCEDMN Cc1ncc([N+](=O)[O-])cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834510894 642595309 /nfs/dbraw/zinc/59/53/09/642595309.db2.gz GPFUXMUXHXYWEA-LDYMZIIASA-N 0 1 275.268 0.140 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCNC(=O)c1cccs1 ZINC000834511936 642597334 /nfs/dbraw/zinc/59/73/34/642597334.db2.gz QBGPZTMWSJFUFY-NXEZZACHSA-N 0 1 292.364 0.096 20 30 CCEDMN C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834515838 642599136 /nfs/dbraw/zinc/59/91/36/642599136.db2.gz CDYRWTVABNHAJU-MIJXAVMKSA-N 0 1 287.319 0.443 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1c(F)cccc1F ZINC000834516467 642599802 /nfs/dbraw/zinc/59/98/02/642599802.db2.gz ITHMLCCFQPFHAM-XVKPBYJWSA-N 0 1 251.236 0.806 20 30 CCEDMN COC(=O)Nc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834517398 642600176 /nfs/dbraw/zinc/60/01/76/642600176.db2.gz VALOPDSYIJNFOM-JQWIXIFHSA-N 0 1 288.307 0.706 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cn(-c2ccccc2)nn1 ZINC000834516657 642600642 /nfs/dbraw/zinc/60/06/42/642600642.db2.gz KJGRAPFMUWBMQI-JQWIXIFHSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1C[C@H]2CCC[C@@H](C1)C2=O ZINC000834517439 642601039 /nfs/dbraw/zinc/60/10/39/642601039.db2.gz VJFUCXUXEVYMCU-NRUFJFEESA-N 0 1 275.352 0.610 20 30 CCEDMN COC(=O)Cn1cc(CN=Nc2ccc(C)cn2)nn1 ZINC000834954179 642644904 /nfs/dbraw/zinc/64/49/04/642644904.db2.gz KLVZKCFFQSXAEC-UHFFFAOYSA-N 0 1 274.284 0.601 20 30 CCEDMN COC(=O)Cn1cc(C=NNc2ccc(C)cn2)nn1 ZINC000834954179 642644911 /nfs/dbraw/zinc/64/49/11/642644911.db2.gz KLVZKCFFQSXAEC-UHFFFAOYSA-N 0 1 274.284 0.601 20 30 CCEDMN C[C@H]1CN(C(=O)NCCNc2ccc(C#N)cn2)CCN1 ZINC000841702131 642832588 /nfs/dbraw/zinc/83/25/88/642832588.db2.gz YFSPIVGSWINCHZ-NSHDSACASA-N 0 1 288.355 0.368 20 30 CCEDMN Cc1cccc(OCCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000843459448 643063540 /nfs/dbraw/zinc/06/35/40/643063540.db2.gz FGTVNKJDKOMOOW-GXTWGEPZSA-N 0 1 273.336 0.992 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cn1cnc(-c2ccccc2)n1 ZINC000843461347 643064615 /nfs/dbraw/zinc/06/46/15/643064615.db2.gz UHSDIJBQZPYGQZ-CHWSQXEVSA-N 0 1 296.334 0.173 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCCc1nccs1 ZINC000843461926 643066892 /nfs/dbraw/zinc/06/68/92/643066892.db2.gz YCTMZCCSHGUROH-NXEZZACHSA-N 0 1 264.354 0.694 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1c(F)cc(F)cc1F ZINC000843463996 643067945 /nfs/dbraw/zinc/06/79/45/643067945.db2.gz YSFXLPBKWCEFDJ-LHLIQPBNSA-N 0 1 269.226 0.945 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cn1cnc2ccccc21 ZINC000844193263 643178217 /nfs/dbraw/zinc/17/82/17/643178217.db2.gz CQJDIMMWYDEREQ-CMPLNLGQSA-N 0 1 269.308 0.264 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(=O)cn1 ZINC001017858869 650826949 /nfs/dbraw/zinc/82/69/49/650826949.db2.gz NOPPJQOZZIWUHA-TXEJJXNPSA-N 0 1 286.335 0.082 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc(-n2cc[nH]c2=O)c1 ZINC000846677041 643441984 /nfs/dbraw/zinc/44/19/84/643441984.db2.gz JLXGPTGBNCUGER-YPMHNXCESA-N 0 1 297.318 0.419 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1CC(c2ccccc2)=NO1 ZINC000846678573 643442808 /nfs/dbraw/zinc/44/28/08/643442808.db2.gz VGDKUWLFUIPUGP-XBFCOCLRSA-N 0 1 284.319 0.407 20 30 CCEDMN Cn1cc([C@@H]2CN(CC(=O)NCCC#N)CC2(C)C)cn1 ZINC000846928086 643469161 /nfs/dbraw/zinc/46/91/61/643469161.db2.gz KAJCKEKEPCNOEX-ZDUSSCGKSA-N 0 1 289.383 0.875 20 30 CCEDMN O=C(Cc1n[nH]c2c1CCCC2)N[C@H]1CCCN(O)C1=O ZINC000848134690 643603519 /nfs/dbraw/zinc/60/35/19/643603519.db2.gz XXNXXHCDLYMPAX-NSHDSACASA-N 0 1 292.339 0.327 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccc2c(c1)CCOC2 ZINC000850764231 643812210 /nfs/dbraw/zinc/81/22/10/643812210.db2.gz PDBZWUWGHWKXLX-GXTWGEPZSA-N 0 1 286.335 0.992 20 30 CCEDMN C[C@@H]1Cc2cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)ccc2O1 ZINC000852827246 644014067 /nfs/dbraw/zinc/01/40/67/644014067.db2.gz FAORRJDMFNPTPG-JIMOISOXSA-N 0 1 271.320 0.851 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(C)n1-c1ccon1 ZINC000852875729 644037253 /nfs/dbraw/zinc/03/72/53/644037253.db2.gz OMUUZWZKSLQNIY-AAEUAGOBSA-N 0 1 299.334 0.924 20 30 CCEDMN C[C@@H]1C[N@H+](Cc2ccccc2)CCC1N=NC1=NCC(=O)[N-]1 ZINC000863174761 645065571 /nfs/dbraw/zinc/06/55/71/645065571.db2.gz BKLAIXRLZXBBRH-GFCCVEGCSA-N 0 1 299.378 0.960 20 30 CCEDMN C[C@@H]1C[N@@H+](Cc2ccccc2)CCC1N=NC1=NCC(=O)[N-]1 ZINC000863174761 645065574 /nfs/dbraw/zinc/06/55/74/645065574.db2.gz BKLAIXRLZXBBRH-GFCCVEGCSA-N 0 1 299.378 0.960 20 30 CCEDMN COc1cc(C(=O)NCCN2CC[C@@H](O)C2)ccc1C#N ZINC000863315657 645076824 /nfs/dbraw/zinc/07/68/24/645076824.db2.gz BMZREWFPGDCNQK-CYBMUJFWSA-N 0 1 289.335 0.363 20 30 CCEDMN N#Cc1ccc(F)c(C(=O)NCCN2CC[C@H](O)C2)c1 ZINC000863834057 645134437 /nfs/dbraw/zinc/13/44/37/645134437.db2.gz BINQFNOQHJMUOY-NSHDSACASA-N 0 1 277.299 0.494 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnn(C)c2OC)C1 ZINC001019712103 651000290 /nfs/dbraw/zinc/00/02/90/651000290.db2.gz WZSCYUZQIOUCRX-JTQLQIEISA-N 0 1 298.774 0.985 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001019713105 651000437 /nfs/dbraw/zinc/00/04/37/651000437.db2.gz OIXXDQBJYNOVJG-STQMWFEESA-N 0 1 299.802 0.798 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2[nH]nc3ccccc32)C1 ZINC001019728509 651001798 /nfs/dbraw/zinc/00/17/98/651001798.db2.gz MYIPUGOEROSKGT-JTQLQIEISA-N 0 1 269.308 0.891 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)N1CC(N2CCCC2)C1 ZINC000866106948 645482161 /nfs/dbraw/zinc/48/21/61/645482161.db2.gz KBIFHWKEPJLEAK-UHFFFAOYSA-N 0 1 258.325 0.817 20 30 CCEDMN Cn1cnnc1CN[C@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001020084737 651036559 /nfs/dbraw/zinc/03/65/59/651036559.db2.gz WQEKZPBOJFINRI-XYPYZODXSA-N 0 1 299.338 0.065 20 30 CCEDMN C[C@H](C#N)OC(=O)[C@H](CN(C)C)NC(=O)OC(C)(C)C ZINC000869832570 645928923 /nfs/dbraw/zinc/92/89/23/645928923.db2.gz VTRMBPKEFRAPKL-ZJUUUORDSA-N 0 1 285.344 0.897 20 30 CCEDMN C[C@@H]1C(=NN=C2NS(=O)(=O)c3ccccc32)CCN1C ZINC000872341804 646248888 /nfs/dbraw/zinc/24/88/88/646248888.db2.gz BYIFMBWKKMPDJK-SECBINFHSA-N 0 1 292.364 0.805 20 30 CCEDMN C[NH+](C)C1(C)CCC(N=NC2=NCC(=O)[N-]2)CC1 ZINC000872394699 646256394 /nfs/dbraw/zinc/25/63/94/646256394.db2.gz YYDIKYJCOFNNMX-UHFFFAOYSA-N 0 1 251.334 0.312 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NCc1ncc[nH]1 ZINC000876765722 646660854 /nfs/dbraw/zinc/66/08/54/646660854.db2.gz ZALBRRGMZHRYMD-CQSZACIVSA-N 0 1 281.319 0.785 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1([C@@H]2CCCCO2)CCC1 ZINC000876801300 646667859 /nfs/dbraw/zinc/66/78/59/646667859.db2.gz ASYKMHPLFFRNAY-AGIUHOORSA-N 0 1 277.368 0.954 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccccc1-c1cn[nH]c1 ZINC000876801414 646668399 /nfs/dbraw/zinc/66/83/99/646668399.db2.gz BXHMJCMXNJHJAG-QMTHXVAHSA-N 0 1 281.319 0.918 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)/C=C/c1ccc(Cl)nc1 ZINC000876801374 646669257 /nfs/dbraw/zinc/66/92/57/646669257.db2.gz BREZMCQAWHXRQN-JTNORFRNSA-N 0 1 276.727 0.976 20 30 CCEDMN COc1cncc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1C ZINC000876802210 646670609 /nfs/dbraw/zinc/67/06/09/646670609.db2.gz NAKGTIJAVKHXTA-MWLCHTKSSA-N 0 1 260.297 0.240 20 30 CCEDMN Cc1cccc2c1C[C@H](C(=O)N[C@H]1CNC[C@H]1C#N)N2 ZINC000876802825 646670703 /nfs/dbraw/zinc/67/07/03/646670703.db2.gz SOTTYXKLNXQPJS-HONMWMINSA-N 0 1 270.336 0.559 20 30 CCEDMN Cc1ccc2nc(C(=O)N[C@H]3CNC[C@@H]3C#N)cn2c1 ZINC000876802238 646670742 /nfs/dbraw/zinc/67/07/42/646670742.db2.gz NFSVHSYDVKORHB-QWRGUYRKSA-N 0 1 269.308 0.484 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc2nc[nH]c2cc1F ZINC000876802256 646670772 /nfs/dbraw/zinc/67/07/72/646670772.db2.gz NNMJUJHLAMNFRL-JMCQJSRRSA-N 0 1 273.271 0.543 20 30 CCEDMN COc1cccc2c1CC[C@]2(CO)NCC(=O)NCC#N ZINC000880381022 647187557 /nfs/dbraw/zinc/18/75/57/647187557.db2.gz NGBJHPBVUIUTCG-OAHLLOKOSA-N 0 1 289.335 0.058 20 30 CCEDMN N#CCc1ccc(OC[C@@H](O)CN2CC=C[C@@H]2CO)cc1 ZINC000880483501 647206861 /nfs/dbraw/zinc/20/68/61/647206861.db2.gz HCTYDTNVCZQRHS-CABCVRRESA-N 0 1 288.347 0.725 20 30 CCEDMN Cc1nc(C)c(CC(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000884249880 647680886 /nfs/dbraw/zinc/68/08/86/647680886.db2.gz SVECTRLPCSHMJW-UWVGGRQHSA-N 0 1 264.354 0.530 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(C(F)(F)F)ccn1 ZINC000884250387 647681427 /nfs/dbraw/zinc/68/14/27/647681427.db2.gz CYRFNIAXDNOBRU-GMSGAONNSA-N 0 1 284.241 0.942 20 30 CCEDMN Cc1ccnc(N(C)CC(=O)N2CCNCC2)c1C#N ZINC000135195595 647770940 /nfs/dbraw/zinc/77/09/40/647770940.db2.gz HJUBTOFJULPZLM-UHFFFAOYSA-N 0 1 273.340 0.130 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CC[C@@](F)(CO)C2)CCC1 ZINC000886194212 647916200 /nfs/dbraw/zinc/91/62/00/647916200.db2.gz LCQLBMWOGLKIIJ-YPMHNXCESA-N 0 1 256.321 0.838 20 30 CCEDMN N#Cc1ccc(CNCCN2CCNC2=O)c(F)c1 ZINC000886323667 647963726 /nfs/dbraw/zinc/96/37/26/647963726.db2.gz DONLKOIOZNDKAH-UHFFFAOYSA-N 0 1 262.288 0.812 20 30 CCEDMN N#CCSCC(=O)N1CC[C@H]2[C@H]1CCCN2CCO ZINC000889777472 648251069 /nfs/dbraw/zinc/25/10/69/648251069.db2.gz ZIYURYCTYIXRDQ-NWDGAFQWSA-N 0 1 283.397 0.301 20 30 CCEDMN N#Cc1cncc(CN2CC[C@]3(CNC(=O)C3)C2)c1 ZINC000930265011 648841507 /nfs/dbraw/zinc/84/15/07/648841507.db2.gz CCAKLXZPDASEGN-AWEZNQCLSA-N 0 1 256.309 0.665 20 30 CCEDMN C#CC[N@@H+](C[C@H]1CN=C(c2cnn(C)c2)O1)C(C)C ZINC000932384263 649069758 /nfs/dbraw/zinc/06/97/58/649069758.db2.gz OWPBOUBPIYHSHY-CYBMUJFWSA-N 0 1 260.341 0.909 20 30 CCEDMN C#CCN(C[C@H]1CN=C(c2cnn(C)c2)O1)C(C)C ZINC000932384263 649069761 /nfs/dbraw/zinc/06/97/61/649069761.db2.gz OWPBOUBPIYHSHY-CYBMUJFWSA-N 0 1 260.341 0.909 20 30 CCEDMN C[C@@H]1COC[C@@H]1NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000932487432 649075088 /nfs/dbraw/zinc/07/50/88/649075088.db2.gz BCZWERRHSHXGKH-PWSUYJOCSA-N 0 1 295.364 0.351 20 30 CCEDMN C[C@@]1(C(=O)NCCNC(O)=C2N=CC=CC2=O)C=CCC1 ZINC000932530756 649079185 /nfs/dbraw/zinc/07/91/85/649079185.db2.gz JIYSDMXMPMDAFF-WIDZWVOGSA-N 0 1 289.335 0.985 20 30 CCEDMN N#Cc1ccc(CN2CC[C@H](CS(N)(=O)=O)C2)cc1 ZINC000932950529 649104941 /nfs/dbraw/zinc/10/49/41/649104941.db2.gz BWCASNYYGDYZSX-ZDUSSCGKSA-N 0 1 279.365 0.669 20 30 CCEDMN CC#CCNC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000155906209 649294063 /nfs/dbraw/zinc/29/40/63/649294063.db2.gz RJDUPJQDJLEHIY-UHFFFAOYSA-N 0 1 273.317 0.965 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000192072120 649497468 /nfs/dbraw/zinc/49/74/68/649497468.db2.gz KIGRPHRZLPYZKE-ZDUSSCGKSA-N 0 1 251.374 0.542 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cn(C)nn2)C1 ZINC001007269631 649737448 /nfs/dbraw/zinc/73/74/48/649737448.db2.gz VXPGLJROXPOTOT-LLVKDONJSA-N 0 1 261.329 0.033 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncc(F)cc3C#N)C[C@H]21 ZINC001164668548 719377969 /nfs/dbraw/zinc/37/79/69/719377969.db2.gz OKAWSJUYGFWQAE-VXGBXAGGSA-N 0 1 262.288 0.612 20 30 CCEDMN Cc1nc(C#N)cc(N2C[C@@H]3[C@H](C2)OCCN3C)n1 ZINC001164667740 719481722 /nfs/dbraw/zinc/48/17/22/719481722.db2.gz MOIWGAYOLXABCS-NEPJUHHUSA-N 0 1 259.313 0.176 20 30 CCEDMN Cc1nc(C#N)cc(N2C[C@H]3CN(CC4CC4)C[C@@H](C2)O3)n1 ZINC001164967633 722077762 /nfs/dbraw/zinc/07/77/62/722077762.db2.gz HCVPDQZZEURJDC-GASCZTMLSA-N 0 1 299.378 0.956 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001211487107 790320893 /nfs/dbraw/zinc/32/08/93/790320893.db2.gz KFSJAIKJLUNEPM-HZSPNIEDSA-N 0 1 293.411 0.594 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)[C@H]2CC2(C)C)CC1 ZINC001266283173 790398656 /nfs/dbraw/zinc/39/86/56/790398656.db2.gz HMYRDNCOYXRYFA-CQSZACIVSA-N 0 1 277.412 0.790 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)CNc1ccncc1C#N ZINC001104226621 790452269 /nfs/dbraw/zinc/45/22/69/790452269.db2.gz WYCHQASRLOSPAN-LLVKDONJSA-N 0 1 298.350 0.505 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@H](NC(=O)CCn2cncn2)C1 ZINC001266302658 790469915 /nfs/dbraw/zinc/46/99/15/790469915.db2.gz SSQLHQJVPJZENU-ZDUSSCGKSA-N 0 1 293.371 0.061 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC001266314000 790490957 /nfs/dbraw/zinc/49/09/57/790490957.db2.gz QRHFPTAJFSGZRS-ZDUSSCGKSA-N 0 1 290.367 0.946 20 30 CCEDMN C[C@@H]1SCC[C@@H]1NC(=O)NCC#CCN(C)C ZINC001230650631 805641535 /nfs/dbraw/zinc/64/15/35/805641535.db2.gz XZDTUPJDSILDAF-QWRGUYRKSA-N 0 1 255.387 0.745 20 30 CCEDMN C=CC[N@@H+](CC)CCNC(=O)CCn1cnccc1=O ZINC001266425213 790672398 /nfs/dbraw/zinc/67/23/98/790672398.db2.gz GEDZEYZWCRTKMO-UHFFFAOYSA-N 0 1 278.356 0.258 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CN(C)C(=O)C1CC1 ZINC001266465007 790759873 /nfs/dbraw/zinc/75/98/73/790759873.db2.gz NERNCYXCXFTXIC-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN CSc1nc(C)c(C#N)c(N[C@H]2CCN(C)C2)n1 ZINC001167270010 790768030 /nfs/dbraw/zinc/76/80/30/790768030.db2.gz IPZDQQYXLFIQTL-VIFPVBQESA-N 0 1 263.370 0.917 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cnn(C)c1N ZINC001038190262 790871442 /nfs/dbraw/zinc/87/14/42/790871442.db2.gz MESQNUOOILFDFZ-SNVBAGLBSA-N 0 1 263.345 0.383 20 30 CCEDMN CCn1nncc1CNCCNC(=O)c1cc(C#N)c[nH]1 ZINC001125390995 790872042 /nfs/dbraw/zinc/87/20/42/790872042.db2.gz MYQJEXBVFOGBRB-UHFFFAOYSA-N 0 1 287.327 0.017 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)[C@@H]1C[C@@H](C)CO1 ZINC001266566407 790938102 /nfs/dbraw/zinc/93/81/02/790938102.db2.gz CDKWIYHMQWPREI-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)[C@H]1C[C@@H](C)CO1 ZINC001266566409 790938778 /nfs/dbraw/zinc/93/87/78/790938778.db2.gz CDKWIYHMQWPREI-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN CCO[C@@H]1C[C@@H]1C(=O)NCCN(CC#CCOC)C1CC1 ZINC001266583947 790981485 /nfs/dbraw/zinc/98/14/85/790981485.db2.gz PHULJJLTAZFVRB-LSDHHAIUSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N(C)C[C@@H]1CCN1CCCO ZINC001231062724 805720216 /nfs/dbraw/zinc/72/02/16/805720216.db2.gz SHRZNOIRFARTLB-KGLIPLIRSA-N 0 1 284.400 0.883 20 30 CCEDMN CN(CCCNC(=O)C1CCCC1)CC(=O)NCC#N ZINC001231099559 805727487 /nfs/dbraw/zinc/72/74/87/805727487.db2.gz MMWMXLVZEBGILC-UHFFFAOYSA-N 0 1 280.372 0.254 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@H](C)COC ZINC001231247787 805759062 /nfs/dbraw/zinc/75/90/62/805759062.db2.gz RIVWUEHNYOMESW-CHWSQXEVSA-N 0 1 270.373 0.757 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CCN1[C@H](C)COC ZINC001231247787 805759066 /nfs/dbraw/zinc/75/90/66/805759066.db2.gz RIVWUEHNYOMESW-CHWSQXEVSA-N 0 1 270.373 0.757 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CNC(=O)CC ZINC001231273599 805766183 /nfs/dbraw/zinc/76/61/83/805766183.db2.gz VMCGXSRZBOLINC-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@H]1CCN1CCc1cnn(C)c1 ZINC001231293949 805771848 /nfs/dbraw/zinc/77/18/48/805771848.db2.gz SVRUNMPKKPMLEZ-GXTWGEPZSA-N 0 1 289.383 0.655 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)Cc1cc[nH]n1 ZINC001231408283 805794962 /nfs/dbraw/zinc/79/49/62/805794962.db2.gz ZOBSFINNSDFJTB-CQSZACIVSA-N 0 1 292.383 0.688 20 30 CCEDMN CN1CCN(C2CN(Cc3cc(C#N)ccn3)C2)CC1 ZINC001232481533 805946146 /nfs/dbraw/zinc/94/61/46/805946146.db2.gz FSNHMMVGDARRSE-UHFFFAOYSA-N 0 1 271.368 0.385 20 30 CCEDMN CS(=O)(=O)C1CN(Cc2cc(C#N)ccc2O)C1 ZINC001232679806 805970390 /nfs/dbraw/zinc/97/03/90/805970390.db2.gz SGWFOWDBEVRPRI-UHFFFAOYSA-N 0 1 266.322 0.493 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1coc(C(N)=O)c1 ZINC001266788090 791239181 /nfs/dbraw/zinc/23/91/81/791239181.db2.gz TUKFQIPFGUCRPU-UHFFFAOYSA-N 0 1 285.731 0.793 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](OC)[C@H]1CCOC1 ZINC001266789452 791240044 /nfs/dbraw/zinc/24/00/44/791240044.db2.gz YUTUTVNOQJVVJT-NWDGAFQWSA-N 0 1 290.791 0.838 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCc1cn[nH]c1 ZINC001266855730 791293921 /nfs/dbraw/zinc/29/39/21/791293921.db2.gz GIEUUYBMCOJFTL-CQSZACIVSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H](CC)NC(C)=O ZINC001266855590 791294119 /nfs/dbraw/zinc/29/41/19/791294119.db2.gz FHRNPYWMWBIRKO-KBPBESRZSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)CCc1cn(C)nn1 ZINC001266860035 791297935 /nfs/dbraw/zinc/29/79/35/791297935.db2.gz VQMPPWGMKLTCRO-AWEZNQCLSA-N 0 1 289.383 0.352 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H](C)N[C@@H](C)c1cnccn1 ZINC001266937209 791372429 /nfs/dbraw/zinc/37/24/29/791372429.db2.gz DSOCYQANDVLYDG-OLZOCXBDSA-N 0 1 290.367 0.672 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1c(C)noc1C ZINC001267183821 791633486 /nfs/dbraw/zinc/63/34/86/791633486.db2.gz DNMZRNRPPIDNBZ-UHFFFAOYSA-N 0 1 279.340 0.603 20 30 CCEDMN COC(=O)[C@H](CS)Nc1cccc2[nH]nnc21 ZINC001167621751 791644105 /nfs/dbraw/zinc/64/41/05/791644105.db2.gz MQEURPHWJFQNQH-QMMMGPOBSA-N 0 1 252.299 0.841 20 30 CCEDMN C#CCN(C)CCNC(=O)c1nncc2ccccc21 ZINC001267206585 791672464 /nfs/dbraw/zinc/67/24/64/791672464.db2.gz HOLJAAVYNCKRAM-UHFFFAOYSA-N 0 1 268.320 0.925 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN(C)CCNC(=O)NC(C)C ZINC001267211969 791680888 /nfs/dbraw/zinc/68/08/88/791680888.db2.gz IKPJVPHESWQJQZ-UHFFFAOYSA-N 0 1 298.431 0.954 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CC(F)(F)C(F)F ZINC001267217209 791688825 /nfs/dbraw/zinc/68/88/25/791688825.db2.gz AVDBPBJPPSMRLG-UHFFFAOYSA-N 0 1 298.280 0.975 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@@H]1CCCO1 ZINC001233654577 806101794 /nfs/dbraw/zinc/10/17/94/806101794.db2.gz AVBFMCMCTMUCAD-OLZOCXBDSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CSC ZINC001233665720 806104195 /nfs/dbraw/zinc/10/41/95/806104195.db2.gz LMNNJIUTOBJIQW-LLVKDONJSA-N 0 1 287.429 0.478 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cc1cc(C)n[nH]1 ZINC001233675204 806105726 /nfs/dbraw/zinc/10/57/26/806105726.db2.gz WJKUIICIOYYMRY-CQSZACIVSA-N 0 1 274.368 0.817 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC(=O)NCC ZINC001233829316 806127354 /nfs/dbraw/zinc/12/73/54/806127354.db2.gz SOCAVMXOCAUEKQ-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCn1ccnn1 ZINC001233906632 806145579 /nfs/dbraw/zinc/14/55/79/806145579.db2.gz KIEIKYLJZYAMJQ-GFCCVEGCSA-N 0 1 263.345 0.387 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@H](C)NCc1cc(C)no1 ZINC001277819640 806152321 /nfs/dbraw/zinc/15/23/21/806152321.db2.gz PFMNAZUFYHOPSY-STQMWFEESA-N 0 1 293.367 0.958 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)c1ccn[nH]1 ZINC001234262038 806213134 /nfs/dbraw/zinc/21/31/34/806213134.db2.gz UMQHHQJEVJVLHK-NSHDSACASA-N 0 1 293.371 0.152 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1ccc(F)cn1 ZINC001267240376 793227924 /nfs/dbraw/zinc/22/79/24/793227924.db2.gz KAECEGTZYPBMHM-UHFFFAOYSA-N 0 1 293.342 0.922 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCc1nccn1C ZINC001267246559 793238524 /nfs/dbraw/zinc/23/85/24/793238524.db2.gz FIOFOFWPXBETDI-UHFFFAOYSA-N 0 1 262.357 0.424 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccc2[nH]c(=O)[nH]c2n1 ZINC001267249849 793243201 /nfs/dbraw/zinc/24/32/01/793243201.db2.gz XQFOARLYBMNDQT-UHFFFAOYSA-N 0 1 287.323 0.348 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](C)c1cnn(C)c1 ZINC001267259078 793259047 /nfs/dbraw/zinc/25/90/47/793259047.db2.gz NSSOJBBWYRTEAM-LBPRGKRZSA-N 0 1 262.357 0.595 20 30 CCEDMN N#CCCC[N@@H+]1C[C@@H]2[C@H](C1)OCCN2CCn1ccnc1 ZINC001277945019 806421584 /nfs/dbraw/zinc/42/15/84/806421584.db2.gz JNESNPSUKCTSAZ-CABCVRRESA-N 0 1 289.383 0.572 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)NC1CC1 ZINC001235437252 806422631 /nfs/dbraw/zinc/42/26/31/806422631.db2.gz XKRTYNHEJABGEU-UHFFFAOYSA-N 0 1 267.373 0.669 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)CC(=O)N(C)CC ZINC001235698896 806494534 /nfs/dbraw/zinc/49/45/34/806494534.db2.gz ORIWTLBEJPYLPJ-UHFFFAOYSA-N 0 1 285.388 0.105 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)CC(=O)N(C)CC ZINC001235698896 806494540 /nfs/dbraw/zinc/49/45/40/806494540.db2.gz ORIWTLBEJPYLPJ-UHFFFAOYSA-N 0 1 285.388 0.105 20 30 CCEDMN C=CCCC(=O)NC[C@H](CO)NCc1cncc(F)c1 ZINC001278054057 806640819 /nfs/dbraw/zinc/64/08/19/806640819.db2.gz MYHQDVJOSYFQBN-CYBMUJFWSA-N 0 1 281.331 0.754 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1(COC)CCCCC1 ZINC001278094752 806660913 /nfs/dbraw/zinc/66/09/13/806660913.db2.gz YSXMVKRYMPQRSK-CYBMUJFWSA-N 0 1 282.384 0.283 20 30 CCEDMN CN1CC2(C1)SCC[C@H]2C(=O)NC1(C#N)CCC1 ZINC001278098004 806665613 /nfs/dbraw/zinc/66/56/13/806665613.db2.gz OBMBUDMCOLITJZ-JTQLQIEISA-N 0 1 265.382 0.986 20 30 CCEDMN N#Cc1ccccc1N1CCN(Cc2nnc[nH]2)CC1 ZINC001237600868 806695214 /nfs/dbraw/zinc/69/52/14/806695214.db2.gz CGWPUPXSWBDGCO-UHFFFAOYSA-N 0 1 268.324 0.999 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC[C@H](O)C2(O)CCC2)c1 ZINC001120573873 798871752 /nfs/dbraw/zinc/87/17/52/798871752.db2.gz TYMLBYLSXUEGIL-AWEZNQCLSA-N 0 1 288.347 0.472 20 30 CCEDMN C=C[C@H](C)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC001120582976 798876957 /nfs/dbraw/zinc/87/69/57/798876957.db2.gz LTANVHNCKWPRJO-JTQLQIEISA-N 0 1 265.338 0.891 20 30 CCEDMN CNc1ncccc1C(=O)NC1(C#N)CCN(C)CC1 ZINC001120601763 798885207 /nfs/dbraw/zinc/88/52/07/798885207.db2.gz VQUCWZKPQKNYJO-UHFFFAOYSA-N 0 1 273.340 0.841 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)CN2CCC(CO)CC2)C1 ZINC001120755683 798929949 /nfs/dbraw/zinc/92/99/49/798929949.db2.gz QKLMAZRCUFKPIL-ZDUSSCGKSA-N 0 1 264.369 0.563 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](CO)N[C@H](C)C(=O)N(C)C ZINC001278130685 806708270 /nfs/dbraw/zinc/70/82/70/806708270.db2.gz WYMGGEJSSUVJGJ-NEPJUHHUSA-N 0 1 299.415 0.132 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)C[C@@H](O)c1ccc(F)cc1 ZINC001121895570 799085898 /nfs/dbraw/zinc/08/58/98/799085898.db2.gz FFLCIURJHOBAIF-BXUZGUMPSA-N 0 1 278.327 0.977 20 30 CCEDMN C#CCNCC(=O)NCc1ccn(-c2ccc(OC)cc2)n1 ZINC001121933326 799099968 /nfs/dbraw/zinc/09/99/68/799099968.db2.gz QGPIZNNHAZSICW-UHFFFAOYSA-N 0 1 298.346 0.720 20 30 CCEDMN C#CCN(C(=O)c1cnnn1C)C1CCN(CC#CC)CC1 ZINC001278143882 806716646 /nfs/dbraw/zinc/71/66/46/806716646.db2.gz BBNVCZZLJUIYTD-UHFFFAOYSA-N 0 1 299.378 0.378 20 30 CCEDMN C#CCNCC(=O)N1CCC(c2nncn2C(C)C)CC1 ZINC001122026419 799127149 /nfs/dbraw/zinc/12/71/49/799127149.db2.gz CASGWZJSRMSJMI-UHFFFAOYSA-N 0 1 289.383 0.788 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NCCCO ZINC001122066603 799134479 /nfs/dbraw/zinc/13/44/79/799134479.db2.gz ZXACKNLOYISXOL-ZDUSSCGKSA-N 0 1 259.309 0.244 20 30 CCEDMN N#CC1(CC(=O)OCCc2cnn[nH]2)CCOCC1 ZINC001122655052 799281237 /nfs/dbraw/zinc/28/12/37/799281237.db2.gz DWRQGCOZQMSRRF-UHFFFAOYSA-N 0 1 264.285 0.601 20 30 CCEDMN N#CC1(CC(=O)OCCc2c[nH]nn2)CCOCC1 ZINC001122655052 799281232 /nfs/dbraw/zinc/28/12/32/799281232.db2.gz DWRQGCOZQMSRRF-UHFFFAOYSA-N 0 1 264.285 0.601 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(C)ccc1F ZINC001278164337 806735558 /nfs/dbraw/zinc/73/55/58/806735558.db2.gz CHWKULXVZRLBTH-LBPRGKRZSA-N 0 1 278.327 0.838 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](CC)Cc1ccccc1 ZINC001278165075 806737198 /nfs/dbraw/zinc/73/71/98/806737198.db2.gz SUVWBTFTWXKSKX-HOTGVXAUSA-N 0 1 288.391 0.955 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1(CC)CCCC1 ZINC001278179235 806742728 /nfs/dbraw/zinc/74/27/28/806742728.db2.gz OMNSDCSHTUMXTQ-GFCCVEGCSA-N 0 1 252.358 0.657 20 30 CCEDMN C=CCN(C)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001123787516 799473568 /nfs/dbraw/zinc/47/35/68/799473568.db2.gz GBKWEIGXAXJHNH-GFCCVEGCSA-N 0 1 254.378 0.450 20 30 CCEDMN N#CCSCC(=O)NCCNCc1ccc(F)cn1 ZINC001123787692 799475372 /nfs/dbraw/zinc/47/53/72/799475372.db2.gz SLPJZFUZNJLRFP-UHFFFAOYSA-N 0 1 282.344 0.683 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cnc(C)[nH]1 ZINC001124818329 799638171 /nfs/dbraw/zinc/63/81/71/799638171.db2.gz CZKBRTXWWLMGTG-UHFFFAOYSA-N 0 1 287.161 0.946 20 30 CCEDMN Cc1cc(C(=O)N[C@H](C)c2nnc[nH]2)ncc1C#N ZINC001137627479 799679160 /nfs/dbraw/zinc/67/91/60/799679160.db2.gz QLKKYEJJPAILIS-MRVPVSSYSA-N 0 1 256.269 0.871 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C(C)(CC)CC ZINC001278203080 806771066 /nfs/dbraw/zinc/77/10/66/806771066.db2.gz XZMCJVVVASXDEG-LBPRGKRZSA-N 0 1 254.374 0.903 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N1CC2(CN(C3CCCC3)C2)C1 ZINC001278257164 806794194 /nfs/dbraw/zinc/79/41/94/806794194.db2.gz OGCWQEWCKWRUIW-NSHDSACASA-N 0 1 283.397 0.788 20 30 CCEDMN C#CC[C@H](NC(=O)c1cccc(F)c1O)C(=O)OC ZINC001141998023 800054869 /nfs/dbraw/zinc/05/48/69/800054869.db2.gz FUYOEQDTRXYOJG-JTQLQIEISA-N 0 1 265.240 0.826 20 30 CCEDMN C=CCn1cc(CNC(=O)c2ccc3[nH]nnc3c2)nn1 ZINC001142655685 800144097 /nfs/dbraw/zinc/14/40/97/800144097.db2.gz QZMQSHBPKJNAAD-UHFFFAOYSA-N 0 1 283.295 0.665 20 30 CCEDMN C[N@H+](Cc1c[nH]c2cc(C#N)ccc12)C[C@H](O)CO ZINC001142915475 800172799 /nfs/dbraw/zinc/17/27/99/800172799.db2.gz AZHIKMZDKOTNGF-LBPRGKRZSA-N 0 1 259.309 0.825 20 30 CCEDMN Cc1nc(C#N)c(NC(=O)CCN2CCN(C)CC2)o1 ZINC001143225245 800210407 /nfs/dbraw/zinc/21/04/07/800210407.db2.gz WIFKFZDJJPVYDE-UHFFFAOYSA-N 0 1 277.328 0.431 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C[C@H]2CCCO2)C1 ZINC001149227757 800237546 /nfs/dbraw/zinc/23/75/46/800237546.db2.gz NJKAYZYLYDHMKZ-HUUCEWRRSA-N 0 1 294.395 0.786 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H](C)OC)C1 ZINC001149294552 800244507 /nfs/dbraw/zinc/24/45/07/800244507.db2.gz VUEMREVYJKVXNG-NEPJUHHUSA-N 0 1 256.346 0.414 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@H](CNC(=O)[C@H](CC)OC)C1 ZINC001149355862 800251123 /nfs/dbraw/zinc/25/11/23/800251123.db2.gz NVMWTBVTCCBGQP-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H](CC)OC)C1 ZINC001149355862 800251126 /nfs/dbraw/zinc/25/11/26/800251126.db2.gz NVMWTBVTCCBGQP-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@@H]2CCOC2)C1 ZINC001149448528 800264742 /nfs/dbraw/zinc/26/47/42/800264742.db2.gz RPXZNCJSXHQKFY-KBPBESRZSA-N 0 1 282.384 0.806 20 30 CCEDMN CC(C)(C)C#CC(=O)NC[C@H]1CN(CCO)CCCO1 ZINC001149688527 800291232 /nfs/dbraw/zinc/29/12/32/800291232.db2.gz XUPVPNWCKFHDRC-ZDUSSCGKSA-N 0 1 282.384 0.235 20 30 CCEDMN C#CCOCCC(=O)NC[C@H]1CN(CC=C)CCCO1 ZINC001149722038 800294501 /nfs/dbraw/zinc/29/45/01/800294501.db2.gz VVPADTWGUTVRLL-AWEZNQCLSA-N 0 1 280.368 0.419 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2[nH]cnc2C)C1 ZINC001150663586 800357335 /nfs/dbraw/zinc/35/73/35/800357335.db2.gz MOBOTAYIHXVZPA-CYBMUJFWSA-N 0 1 292.383 0.654 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001151723715 800451300 /nfs/dbraw/zinc/45/13/00/800451300.db2.gz SYEHGYOYDSSABM-SNVBAGLBSA-N 0 1 282.775 0.973 20 30 CCEDMN C#CCNC(=O)CC(=O)NC[C@@H](C)N(CC)C[C@@H](F)CC ZINC001152854967 800561797 /nfs/dbraw/zinc/56/17/97/800561797.db2.gz QKYKQGANSJDRHZ-OLZOCXBDSA-N 0 1 299.390 0.701 20 30 CCEDMN C=CCCOCC(=O)NCCN[C@@H](C)c1n[nH]c(C)n1 ZINC001153086495 800594862 /nfs/dbraw/zinc/59/48/62/800594862.db2.gz ZHSRXKCYPQLBSA-JTQLQIEISA-N 0 1 281.360 0.473 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1cnnn1CC ZINC001153134894 800600229 /nfs/dbraw/zinc/60/02/29/800600229.db2.gz JDEIIXPTNHSSLX-NSHDSACASA-N 0 1 281.360 0.095 20 30 CCEDMN CC[N@H+](Cc1n[nH]c(=O)[n-]1)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207276 800609924 /nfs/dbraw/zinc/60/99/24/800609924.db2.gz FBJUCQWMNIBMAQ-LLVKDONJSA-N 0 1 293.371 0.496 20 30 CCEDMN CC[N@@H+](Cc1n[nH]c(=O)[n-]1)[C@H](C)CNC(=O)C#CC(C)C ZINC001153207276 800609926 /nfs/dbraw/zinc/60/99/26/800609926.db2.gz FBJUCQWMNIBMAQ-LLVKDONJSA-N 0 1 293.371 0.496 20 30 CCEDMN CC#CCN(CC)[C@H](C)CNC(=O)C(=O)NCC(F)F ZINC001154112864 800722701 /nfs/dbraw/zinc/72/27/01/800722701.db2.gz VBISNVFWVVPKLZ-SNVBAGLBSA-N 0 1 289.326 0.218 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1nc(C)ncc1C#N ZINC001155077806 800904374 /nfs/dbraw/zinc/90/43/74/800904374.db2.gz IVWNIHSYRSSJBW-UHFFFAOYSA-N 0 1 286.295 0.478 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2ccc(C)c(C#N)n2)CC1 ZINC001155154371 800920237 /nfs/dbraw/zinc/92/02/37/800920237.db2.gz WBVIWGJWFBDREN-CQSZACIVSA-N 0 1 288.351 0.978 20 30 CCEDMN CC(C)n1nnnc1CNCCCNC(=O)[C@H](C)C#N ZINC001155827845 801059133 /nfs/dbraw/zinc/05/91/33/801059133.db2.gz HLYOVOQJSVAWBK-SNVBAGLBSA-N 0 1 279.348 0.010 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1nncs1 ZINC001155848639 801067134 /nfs/dbraw/zinc/06/71/34/801067134.db2.gz NZUTVFGSJQNDTO-MRVPVSSYSA-N 0 1 253.331 0.294 20 30 CCEDMN COC(=O)c1cnc(NC(=NC#N)c2ccncc2)cn1 ZINC001156318105 801148510 /nfs/dbraw/zinc/14/85/10/801148510.db2.gz QGQIRJPPFQRFBI-UHFFFAOYSA-N 0 1 282.263 0.998 20 30 CCEDMN C#CCCCCC(=O)NCCCNCc1cnon1 ZINC001156827713 801244326 /nfs/dbraw/zinc/24/43/26/801244326.db2.gz HVUYXAICZJRVQH-UHFFFAOYSA-N 0 1 264.329 0.859 20 30 CCEDMN C=C(C)CN(CC)[C@H](C)CNC(=O)CS(=O)(=O)CC ZINC001157098464 801300144 /nfs/dbraw/zinc/30/01/44/801300144.db2.gz HGQGEQPAKZCJJI-GFCCVEGCSA-N 0 1 290.429 0.824 20 30 CCEDMN CC1([NH3+])CN(C(=O)c2cc(=O)c3cc(C#N)ccc3[n-]2)C1 ZINC001157665374 801449303 /nfs/dbraw/zinc/44/93/03/801449303.db2.gz QRSFUSXMPJFLJQ-UHFFFAOYSA-N 0 1 282.303 0.573 20 30 CCEDMN CCn1ncnc1CNCCCNC(=O)C#CC1CC1 ZINC001157705297 801459130 /nfs/dbraw/zinc/45/91/30/801459130.db2.gz VJEFRABUWHPSOY-UHFFFAOYSA-N 0 1 275.356 0.307 20 30 CCEDMN C#CCOCCC(=O)N1CCCC[C@@H]1CN(C)CC#C ZINC001157950589 801536811 /nfs/dbraw/zinc/53/68/11/801536811.db2.gz APCGHCUGTFULNR-OAHLLOKOSA-N 0 1 276.380 0.972 20 30 CCEDMN Cc1cnc(CN)n1-c1nc2c(cc1C#N)COCC2 ZINC001158169064 801581645 /nfs/dbraw/zinc/58/16/45/801581645.db2.gz DYFKNVUKFOZUBK-UHFFFAOYSA-N 0 1 269.308 0.979 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cn[nH]n1 ZINC001158171829 801583287 /nfs/dbraw/zinc/58/32/87/801583287.db2.gz KTXSVLGGCHJEOA-LLVKDONJSA-N 0 1 261.329 0.364 20 30 CCEDMN COc1cc(C#N)nc(-n2[n-]c(=O)c(CC[NH3+])c2C)c1 ZINC001159299847 801786516 /nfs/dbraw/zinc/78/65/16/801786516.db2.gz COWYQILNUWODNF-UHFFFAOYSA-N 0 1 273.296 0.663 20 30 CCEDMN C[C@H](C#N)C(=O)NCCC1=CCN([C@@H]2CCNC2=O)CC1 ZINC001159587013 801835459 /nfs/dbraw/zinc/83/54/59/801835459.db2.gz FVVXIBNRPANCQB-DGCLKSJQSA-N 0 1 290.367 0.173 20 30 CCEDMN CC(C)C#CC(=O)NCCC1=CCN([C@@H](C)C(N)=O)CC1 ZINC001160055272 801902387 /nfs/dbraw/zinc/90/23/87/801902387.db2.gz XKSXXRMHJHBTBA-ZDUSSCGKSA-N 0 1 291.395 0.658 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@]2(C1)CCCCN2C(C)=O ZINC001160424224 801938126 /nfs/dbraw/zinc/93/81/26/801938126.db2.gz QCIJFBSNFYNPNQ-GJZGRUSLSA-N 0 1 277.368 0.341 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)N1CCC[C@@H](C#N)C1 ZINC001160642853 801987983 /nfs/dbraw/zinc/98/79/83/801987983.db2.gz PQJOLCBGBXQRMJ-RYUDHWBXSA-N 0 1 280.372 0.238 20 30 CCEDMN C#C[C@](C)(N)C(=O)N(CC(=O)OC)Cc1ccccc1 ZINC001160657858 801993015 /nfs/dbraw/zinc/99/30/15/801993015.db2.gz MUCWCJTZQPYBNR-HNNXBMFYSA-N 0 1 274.320 0.539 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@@H](C(=O)OC)[C@H]1C ZINC001161117232 802094423 /nfs/dbraw/zinc/09/44/23/802094423.db2.gz BKVOCPVNFIEADA-BREBYQMCSA-N 0 1 252.314 0.137 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@H](C(=O)NCCCNCC#N)C2 ZINC001161323213 802125083 /nfs/dbraw/zinc/12/50/83/802125083.db2.gz FUHDVLNCQYSGDT-NSHDSACASA-N 0 1 275.356 0.443 20 30 CCEDMN CCC(O)(CC)CNC(=O)NCC#CCN(C)C ZINC001251708649 807696512 /nfs/dbraw/zinc/69/65/12/807696512.db2.gz VVPADFRYAZWJBK-UHFFFAOYSA-N 0 1 255.362 0.402 20 30 CCEDMN Cc1cc(C(N)=O)cc(NC2(C#N)CCN(C)CC2)n1 ZINC001162231594 802293866 /nfs/dbraw/zinc/29/38/66/802293866.db2.gz VYBCLDCXAMFVGU-UHFFFAOYSA-N 0 1 273.340 0.889 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CCCC#CC)C1 ZINC001278800030 808267683 /nfs/dbraw/zinc/26/76/83/808267683.db2.gz KCERCTCQHGWCOL-HNNXBMFYSA-N 0 1 262.353 0.366 20 30 CCEDMN C/C=C(\C)C(=O)N(C)C[C@H](O)CN(C)CC#CCOC ZINC001278851003 808331889 /nfs/dbraw/zinc/33/18/89/808331889.db2.gz RLVDXFPRBKQOFD-YGLIYXGISA-N 0 1 282.384 0.354 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)CC[C@H](C)OC ZINC001278854357 808335985 /nfs/dbraw/zinc/33/59/85/808335985.db2.gz BKSCVWKQLDZSJW-KBPBESRZSA-N 0 1 284.400 0.576 20 30 CCEDMN C=CCn1cnc2nc(Nc3cc(CN)ncn3)[nH]c(=O)c21 ZINC001163494590 802563167 /nfs/dbraw/zinc/56/31/67/802563167.db2.gz OHLXNBZFKAXWEH-UHFFFAOYSA-N 0 1 298.310 0.710 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCC1(CNCc2ccn(C)n2)CC1 ZINC001163853525 802625406 /nfs/dbraw/zinc/62/54/06/802625406.db2.gz BTZYKPCEHPSJSA-LBPRGKRZSA-N 0 1 289.383 0.956 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCC1(CNCc2nccn2C)CC1 ZINC001163853914 802625919 /nfs/dbraw/zinc/62/59/19/802625919.db2.gz HFWDNBSSAIEVMR-LBPRGKRZSA-N 0 1 289.383 0.956 20 30 CCEDMN C[C@H](C#N)C(=O)NCCC1(CNCc2ncnn2C)CC1 ZINC001163854675 802626052 /nfs/dbraw/zinc/62/60/52/802626052.db2.gz RRHFGPAVWUWVRF-LLVKDONJSA-N 0 1 290.371 0.351 20 30 CCEDMN Cc1nccnc1CN(C)CCCNC(=O)[C@H](C)C#N ZINC001264970957 809682432 /nfs/dbraw/zinc/68/24/32/809682432.db2.gz DJAQDODCTAULHG-LLVKDONJSA-N 0 1 275.356 0.883 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@H](C)n2ccnc2)C1 ZINC001265306423 809753054 /nfs/dbraw/zinc/75/30/54/809753054.db2.gz PQQUHFBFCSITER-QWHCGFSZSA-N 0 1 260.341 0.658 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCNCc1cn(C)nn1 ZINC001164221511 802690877 /nfs/dbraw/zinc/69/08/77/802690877.db2.gz BWCRNHHDGPKOHH-NWDGAFQWSA-N 0 1 279.388 0.869 20 30 CCEDMN CC#CCCCC(=O)NCCCNCc1nncn1C ZINC001164262421 802699309 /nfs/dbraw/zinc/69/93/09/802699309.db2.gz CZMZFJUFSXWEFP-UHFFFAOYSA-N 0 1 277.372 0.605 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001164346649 802726863 /nfs/dbraw/zinc/72/68/63/802726863.db2.gz VCDIKIOLDQXPLC-LLVKDONJSA-N 0 1 295.387 0.307 20 30 CCEDMN COCc1nn(-c2cncc(C#N)n2)c2c1CNC2 ZINC001165631681 802858068 /nfs/dbraw/zinc/85/80/68/802858068.db2.gz FMULAYHXOJPYEO-UHFFFAOYSA-N 0 1 256.269 0.284 20 30 CCEDMN COCc1nn(-c2ccc(C#N)cn2)c2c1CNC2 ZINC001165635274 802858790 /nfs/dbraw/zinc/85/87/90/802858790.db2.gz ZCCIQXGLKZXFGS-UHFFFAOYSA-N 0 1 255.281 0.889 20 30 CCEDMN C#Cc1cncc(C(=O)NCCCNCc2ncnn2C)c1 ZINC001166299584 802899207 /nfs/dbraw/zinc/89/92/07/802899207.db2.gz UCTNHYUBOUQLBK-UHFFFAOYSA-N 0 1 298.350 0.101 20 30 CCEDMN Cc1cnc(N2CC[C@H](N)C(F)(F)C2)c(C#N)n1 ZINC001166959500 802995726 /nfs/dbraw/zinc/99/57/26/802995726.db2.gz YLRBAGGJPPDPDK-VIFPVBQESA-N 0 1 253.256 0.829 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1N1CC[C@H](N)C(F)(F)C1 ZINC001166961304 802996627 /nfs/dbraw/zinc/99/66/27/802996627.db2.gz NRBRJFNASADDNX-NSHDSACASA-N 0 1 292.293 0.955 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCNCc1cn(C)nn1 ZINC001166981459 803006727 /nfs/dbraw/zinc/00/67/27/803006727.db2.gz CXIHRWJOZILSCJ-OLZOCXBDSA-N 0 1 293.371 0.002 20 30 CCEDMN C[C@@H](O)[C@H](C)N1CCN(c2cc(C#N)ccn2)CC1 ZINC001167016675 803011171 /nfs/dbraw/zinc/01/11/71/803011171.db2.gz OAAOZZPMFSEALD-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)CCCCC(=O)NC)C1 ZINC001276468855 803086565 /nfs/dbraw/zinc/08/65/65/803086565.db2.gz NPABOUXTARIWSC-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C#C[C@@H](OCCCN1CC[NH+](C)CC1)C(=O)OCC ZINC001206589922 803271877 /nfs/dbraw/zinc/27/18/77/803271877.db2.gz IIRCOHPHPJXVDJ-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2ncc[nH]2)C1 ZINC001206921603 803309498 /nfs/dbraw/zinc/30/94/98/803309498.db2.gz YSZFQCKSGINVGQ-VXGBXAGGSA-N 0 1 260.341 0.412 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCCn2ccnn2)C1 ZINC001207499688 803412376 /nfs/dbraw/zinc/41/23/76/803412376.db2.gz NSTGHLVOBGZIFU-ZIAGYGMSSA-N 0 1 289.383 0.518 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](OC)C(C)C)C1 ZINC001208500232 803518936 /nfs/dbraw/zinc/51/89/36/803518936.db2.gz KHFMWMUVSFZLFM-UPJWGTAASA-N 0 1 252.358 0.727 20 30 CCEDMN CC1(C)O[C@H]([C@H](O)CO)[C@H](CN2CCC(C#N)CC2)O1 ZINC001209268716 803584749 /nfs/dbraw/zinc/58/47/49/803584749.db2.gz OTPAPORJFMUVFJ-FRRDWIJNSA-N 0 1 284.356 0.095 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H](COC)OC)C1 ZINC001209542699 803609673 /nfs/dbraw/zinc/60/96/73/803609673.db2.gz ALUQJODHTFCJIS-NWDGAFQWSA-N 0 1 290.791 0.981 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCc2ncccn2)C1 ZINC001209672721 803621943 /nfs/dbraw/zinc/62/19/43/803621943.db2.gz WANLGJJRCGSHMK-ZIAGYGMSSA-N 0 1 286.379 0.869 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@](C)(NC(C)=O)C2CC2)C1 ZINC001210243285 803643159 /nfs/dbraw/zinc/64/31/59/803643159.db2.gz ICWZRJSNVTZMSC-XFJVYGCCSA-N 0 1 293.411 0.914 20 30 CCEDMN CC1=C(OCC(=O)N2CCOCC2)C(=O)[C@H](C)O1 ZINC001210260935 803643736 /nfs/dbraw/zinc/64/37/36/803643736.db2.gz KBYMVDRCNYLIQO-QMMMGPOBSA-N 0 1 255.270 0.081 20 30 CCEDMN COc1cnccc1Nc1cc(=O)[nH]c2c(C#N)cnn21 ZINC001211259765 803673866 /nfs/dbraw/zinc/67/38/66/803673866.db2.gz GIIIEUMHUULWKN-UHFFFAOYSA-N 0 1 282.263 0.876 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCNC(=O)CC(C)C)C1 ZINC001211470862 803682699 /nfs/dbraw/zinc/68/26/99/803682699.db2.gz FCGPXNPRGJFNFD-ZIAGYGMSSA-N 0 1 293.411 0.609 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2CC23CC3)[C@H](OC)C1 ZINC001213487320 803696251 /nfs/dbraw/zinc/69/62/51/803696251.db2.gz AXOGOWPIKNJFCC-BFHYXJOUSA-N 0 1 294.395 0.805 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCNC(N)=O)C[C@H]1C ZINC001216715796 803851884 /nfs/dbraw/zinc/85/18/84/803851884.db2.gz SIQYMCHIUWAEFZ-PSASIEDQSA-N 0 1 288.779 0.234 20 30 CCEDMN C#CCCCC(=O)N1CCO[C@@H]2CN(CCOC)C[C@@H]21 ZINC001217759107 803912516 /nfs/dbraw/zinc/91/25/16/803912516.db2.gz YZVLDEMWKOTHAW-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H](CCC)OC)[C@H]2C1 ZINC001218440690 803956331 /nfs/dbraw/zinc/95/63/31/803956331.db2.gz WRORYOHUAYGKOM-RRFJBIMHSA-N 0 1 294.395 0.736 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCC(=O)NCC)[C@H](OC)C1 ZINC001212026263 814980013 /nfs/dbraw/zinc/98/00/13/814980013.db2.gz HUIOYFZQNXIMQL-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN CN[C@H](C(=O)n1nc(N)c(C#N)c1N)[C@@H](C)OC(C)(C)C ZINC001218659716 804068218 /nfs/dbraw/zinc/06/82/18/804068218.db2.gz KKGWNELPZQGJRE-APPZFPTMSA-N 0 1 294.359 0.351 20 30 CCEDMN Cc1coc(NC(=O)[C@H](N)CCCNC(N)=O)c1C#N ZINC001218763648 804114663 /nfs/dbraw/zinc/11/46/63/804114663.db2.gz BQYHDZRNHDKWJR-SECBINFHSA-N 0 1 279.300 0.174 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CC(C)C)[C@@H](O)C1 ZINC001219125203 804210352 /nfs/dbraw/zinc/21/03/52/804210352.db2.gz WZWLMQBTRMKEDD-OLZOCXBDSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C[C@H]2C=CCC2)[C@@H](O)C1 ZINC001219155320 804219826 /nfs/dbraw/zinc/21/98/26/804219826.db2.gz CSMBYLQFIGTONV-ZNMIVQPWSA-N 0 1 294.395 0.707 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](C)CCC)[C@@H](O)C1 ZINC001219342943 804276485 /nfs/dbraw/zinc/27/64/85/804276485.db2.gz LOMVLEJGDQNUEN-MCIONIFRSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@H]2CC(C)(C)CO2)C[C@@H]1O ZINC001219344202 804279498 /nfs/dbraw/zinc/27/94/98/804279498.db2.gz FBFQIOKBVOGBNN-MCIONIFRSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2CC=CC2)[C@@H](O)C1 ZINC001219400669 804299366 /nfs/dbraw/zinc/29/93/66/804299366.db2.gz ANJZBCFTJZRAHW-KGLIPLIRSA-N 0 1 280.368 0.317 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C(C)=C/C)[C@@H](O)C1 ZINC001219443196 804315576 /nfs/dbraw/zinc/31/55/76/804315576.db2.gz LDNRHIRFAOKSPU-NRBOULDASA-N 0 1 268.357 0.317 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC[C@H](C)OC)[C@@H](O)C1 ZINC001219493719 804332363 /nfs/dbraw/zinc/33/23/63/804332363.db2.gz CNVMOPDJONBVNM-XQQFMLRXSA-N 0 1 270.373 0.539 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001219702388 804409326 /nfs/dbraw/zinc/40/93/26/804409326.db2.gz KVGBWULAAMUCAL-MNOVXSKESA-N 0 1 290.791 0.573 20 30 CCEDMN C=C(C)[C@H](CC(=O)N[C@@H]1CN(CC)C[C@@H]1O)OCC ZINC001219732021 804414529 /nfs/dbraw/zinc/41/45/29/804414529.db2.gz MXJVSNOWPNRPAM-AGIUHOORSA-N 0 1 270.373 0.539 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](OCC)C(C)C)[C@@H](O)C1 ZINC001219798139 804425518 /nfs/dbraw/zinc/42/55/18/804425518.db2.gz FYWPDQOEMFAIJG-QLFBSQMISA-N 0 1 296.411 0.622 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H](CCSC)C(C)(C)O ZINC001220145941 804512861 /nfs/dbraw/zinc/51/28/61/804512861.db2.gz XCWNXBGAYMYNRP-SKDRFNHKSA-N 0 1 258.387 0.346 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C/C=C(\C)C=C)[C@@H](O)C1 ZINC001220172271 804525956 /nfs/dbraw/zinc/52/59/56/804525956.db2.gz OKXXSODFWJEATH-UOTLJSKWSA-N 0 1 294.395 0.873 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2CCC2(C)C)[C@@H](O)C1 ZINC001220178417 804526622 /nfs/dbraw/zinc/52/66/22/804526622.db2.gz JZFPDZBKRMBFFN-MJBXVCDLSA-N 0 1 296.411 0.787 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)C(C)(F)F)[C@@H](O)C1 ZINC001220380142 804590334 /nfs/dbraw/zinc/59/03/34/804590334.db2.gz RMSCXZSKMJRQDT-AXFHLTTASA-N 0 1 274.311 0.462 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@@H](N)Cc1c[nH]c2ncccc12 ZINC001220393137 804594224 /nfs/dbraw/zinc/59/42/24/804594224.db2.gz SDSSEPDJQPVTKH-JQWIXIFHSA-N 0 1 274.324 0.096 20 30 CCEDMN CC1(C)CC(=O)C=C(NC(=O)[C@@H]2CCCN2C(=O)CN)C1 ZINC001220414005 804598360 /nfs/dbraw/zinc/59/83/60/804598360.db2.gz VEMAGHKICDNWMU-LBPRGKRZSA-N 0 1 293.367 0.325 20 30 CCEDMN N#Cc1ccc2[n-]c(C(=O)N[C@@H]3CCC[NH2+]C3)cc(=O)c2c1 ZINC001220898301 804695882 /nfs/dbraw/zinc/69/58/82/804695882.db2.gz FDSRWLSNRDJSOA-LLVKDONJSA-N 0 1 296.330 0.882 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)CC#Cc2ccccc2)[C@@H](O)C1 ZINC001221046367 804723312 /nfs/dbraw/zinc/72/33/12/804723312.db2.gz KFJXOPJRSIHFPU-SJORKVTESA-N 0 1 296.370 0.613 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC#Cc2ccccc2)[C@@H](O)C1 ZINC001221046367 804723319 /nfs/dbraw/zinc/72/33/19/804723319.db2.gz KFJXOPJRSIHFPU-SJORKVTESA-N 0 1 296.370 0.613 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC1(c2ccncc2)CCOCC1 ZINC001221174586 804741310 /nfs/dbraw/zinc/74/13/10/804741310.db2.gz IMOGVYIILUIFRN-HNNXBMFYSA-N 0 1 287.363 0.597 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CC(=O)NCC)[C@@H]2C1 ZINC001221497215 804823482 /nfs/dbraw/zinc/82/34/82/804823482.db2.gz MZLBFBZPCQUCKE-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCCC(=O)N(C)C)[C@@H]2C1 ZINC001221952882 804853502 /nfs/dbraw/zinc/85/35/02/804853502.db2.gz PAQAQXWPQACNHY-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CNC(=O)[C@H](C)CC)[C@@H]2C1 ZINC001222027081 804858543 /nfs/dbraw/zinc/85/85/43/804858543.db2.gz KCDYASCDANTGKA-MGPQQGTHSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CNC(=O)C3CCC3)[C@@H]2C1 ZINC001222048226 804860925 /nfs/dbraw/zinc/86/09/25/804860925.db2.gz CWDRTMIUZYQTIX-ZIAGYGMSSA-N 0 1 291.395 0.621 20 30 CCEDMN C=CCCC(=O)NCC1CC[NH+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001222609921 804920282 /nfs/dbraw/zinc/92/02/82/804920282.db2.gz UDLZFCBZOVQXGR-UHFFFAOYSA-N 0 1 293.371 0.805 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1OCCN1C(=O)CCC1=O ZINC001224556121 805052050 /nfs/dbraw/zinc/05/20/50/805052050.db2.gz FBKIQKXREOOXQK-ZETCQYMHSA-N 0 1 253.254 0.371 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCC1(NCC#N)CC1 ZINC001277094012 805186926 /nfs/dbraw/zinc/18/69/26/805186926.db2.gz IKFFYZOJRUJUSC-NSHDSACASA-N 0 1 250.346 0.233 20 30 CCEDMN CC#CCN1CCC(NC(=O)CSCC#N)CC1 ZINC001226152933 805198246 /nfs/dbraw/zinc/19/82/46/805198246.db2.gz VDYUFEQRAMZIKA-UHFFFAOYSA-N 0 1 265.382 0.847 20 30 CCEDMN CC[C@@H](OC)C(=O)NC1CCN(CC#CCOC)CC1 ZINC001226463323 805234100 /nfs/dbraw/zinc/23/41/00/805234100.db2.gz NJLLHYCPXAIYAW-CQSZACIVSA-N 0 1 282.384 0.642 20 30 CCEDMN Cc1nn(C)cc1CN1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226624623 805257166 /nfs/dbraw/zinc/25/71/66/805257166.db2.gz BHNNMYSZSLCLOC-NSHDSACASA-N 0 1 289.383 0.969 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1nc2[nH]cnc2c(=S)[nH]1 ZINC001226786402 805281791 /nfs/dbraw/zinc/28/17/91/805281791.db2.gz QLMWRDQEMNPLKN-SSDOTTSWSA-N 0 1 292.320 0.975 20 30 CCEDMN C#CCNC(=O)CC(=O)NC1CCN(C/C=C\Cl)CC1 ZINC001226993788 805306279 /nfs/dbraw/zinc/30/62/79/805306279.db2.gz LVMUGCBGWPONMO-UTCJRWHESA-N 0 1 297.786 0.459 20 30 CCEDMN COc1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)cc(=O)[n-]1 ZINC001227001136 805307955 /nfs/dbraw/zinc/30/79/55/805307955.db2.gz YIGNDCHBRSRWFH-NTBMCGCHSA-N 0 1 279.296 0.182 20 30 CCEDMN COc1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)cc(=O)[n-]1 ZINC001227001136 805307964 /nfs/dbraw/zinc/30/79/64/805307964.db2.gz YIGNDCHBRSRWFH-NTBMCGCHSA-N 0 1 279.296 0.182 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC(NC(=O)C#CC(C)C)CC1 ZINC001227265224 805338574 /nfs/dbraw/zinc/33/85/74/805338574.db2.gz FTVVCFIHMMXMPA-CYBMUJFWSA-N 0 1 279.384 0.490 20 30 CCEDMN CC#CCN1CCC(NC(=O)CO[C@@H]2CCOC2)CC1 ZINC001227380694 805344497 /nfs/dbraw/zinc/34/44/97/805344497.db2.gz LQMOEVNWVJBNDL-CQSZACIVSA-N 0 1 280.368 0.396 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@]2(CC)CCNC2=O)CC1 ZINC001227884658 805400342 /nfs/dbraw/zinc/40/03/42/805400342.db2.gz HJJQQLDYFUUGRI-MRXNPFEDSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCCN1CCC(NC(=O)[C@H](C)S(C)(=O)=O)CC1 ZINC001228023647 805408348 /nfs/dbraw/zinc/40/83/48/805408348.db2.gz OAZAYRBISCIWIB-NSHDSACASA-N 0 1 288.413 0.576 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCO ZINC001277425726 805560757 /nfs/dbraw/zinc/56/07/57/805560757.db2.gz LRTHWJYJJNSNBN-GUTXKFCHSA-N 0 1 282.384 0.371 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H](C)Cc2cnn(C)c2)C1 ZINC001278434364 807177051 /nfs/dbraw/zinc/17/70/51/807177051.db2.gz QBGDFAQWRKSYLU-XJKSGUPXSA-N 0 1 288.395 0.813 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)C(N)=O)[C@H]1C ZINC001278511460 807536462 /nfs/dbraw/zinc/53/64/62/807536462.db2.gz VFZIDECAVFARKL-MNOVXSKESA-N 0 1 267.373 0.653 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCN(C)C(=O)C3)[C@@H]2C1 ZINC001075959620 815126654 /nfs/dbraw/zinc/12/66/54/815126654.db2.gz KYEJQKSRYXYUHG-HZSPNIEDSA-N 0 1 289.379 0.021 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCc2cn[nH]c2)[C@@H]1C ZINC001278522319 807564092 /nfs/dbraw/zinc/56/40/92/807564092.db2.gz WNOAUNGIAXWJMZ-GXTWGEPZSA-N 0 1 274.368 0.945 20 30 CCEDMN N#CC1(CNC[C@H](O)CN2CCOCC2)CCCC1 ZINC001251033463 807649981 /nfs/dbraw/zinc/64/99/81/807649981.db2.gz KSTXKLQZXDJYQU-ZDUSSCGKSA-N 0 1 267.373 0.353 20 30 CCEDMN N#C[C@H]1CN(C[C@H](O)COc2ccccc2)CCC1=O ZINC001251189414 807673360 /nfs/dbraw/zinc/67/33/60/807673360.db2.gz KRZDQPOJJYUVJX-STQMWFEESA-N 0 1 274.320 0.841 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(Cc2cccnc2)CC1 ZINC001251821633 807710389 /nfs/dbraw/zinc/71/03/89/807710389.db2.gz UJHYTPLDKWOETO-INIZCTEOSA-N 0 1 289.379 0.210 20 30 CCEDMN C#CCOC[C@@H](O)CN1Cc2n[nH]c(COCC=C)c2C1 ZINC001251840660 807717407 /nfs/dbraw/zinc/71/74/07/807717407.db2.gz RUKZXFYFBUHBEM-LBPRGKRZSA-N 0 1 291.351 0.439 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)[C@H]1C ZINC001088765864 815146048 /nfs/dbraw/zinc/14/60/48/815146048.db2.gz RUPFVRJZAOWGTA-UHIISALHSA-N 0 1 265.357 0.278 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cc(OCC)ncn1 ZINC001252497195 807894473 /nfs/dbraw/zinc/89/44/73/807894473.db2.gz LORIGLGUGJKLGB-LBPRGKRZSA-N 0 1 267.329 0.528 20 30 CCEDMN CCCCOC[C@@H](O)CNC1(C#N)CCN(C)CC1 ZINC001252536164 807904822 /nfs/dbraw/zinc/90/48/22/807904822.db2.gz ILHMOGPUGMUOIR-ZDUSSCGKSA-N 0 1 269.389 0.742 20 30 CCEDMN C=C[C@](C)(O)CN1CC(N2C[C@@H](C)O[C@@H](C)C2)C1 ZINC001252561413 807910702 /nfs/dbraw/zinc/91/07/02/807910702.db2.gz FNWXEWBJDQQFDP-SCRDCRAPSA-N 0 1 254.374 0.717 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(C)[C@H](C(=O)OC)C1 ZINC001252602100 807916807 /nfs/dbraw/zinc/91/68/07/807916807.db2.gz LWBNRKXQZJWANF-NEPJUHHUSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CCOCCCNC(=O)NCC#CCN(C)C ZINC001253135365 808010639 /nfs/dbraw/zinc/01/06/39/808010639.db2.gz XKQSRIOBTPHBFX-UHFFFAOYSA-N 0 1 253.346 0.443 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@@H]2OCCC[C@@H]2C1 ZINC001253182516 808021092 /nfs/dbraw/zinc/02/10/92/808021092.db2.gz QGQFZUFUYLBQOC-NEPJUHHUSA-N 0 1 251.330 0.517 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1NC[C@@H](O)c1ccc(F)c(F)c1 ZINC001253379047 808051326 /nfs/dbraw/zinc/05/13/26/808051326.db2.gz VAIQZBOEKBISRX-BPTDKIDVSA-N 0 1 286.278 0.824 20 30 CCEDMN C=C[C@@H](O)CN1CCN(c2nc(C)cc(C)n2)CC1 ZINC001253580068 808088392 /nfs/dbraw/zinc/08/83/92/808088392.db2.gz VZORFXWINDKAFT-CYBMUJFWSA-N 0 1 262.357 0.762 20 30 CCEDMN C=C[C@H](O)CN1CCCn2cnc(Cn3cccn3)c2C1 ZINC001253582902 808090729 /nfs/dbraw/zinc/09/07/29/808090729.db2.gz UYAMKVXSIBMHQX-ZDUSSCGKSA-N 0 1 287.367 0.881 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)[C@H]1C ZINC001088809274 815178656 /nfs/dbraw/zinc/17/86/56/815178656.db2.gz LPLZQBBXRYWBPX-DVOMOZLQSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@@H](CC)OCC)C1 ZINC001278758978 808170893 /nfs/dbraw/zinc/17/08/93/808170893.db2.gz BTFLNIGWEQXRKU-UKRRQHHQSA-N 0 1 282.384 0.378 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@H](C)OCC2CC2)C1 ZINC001278767673 808196206 /nfs/dbraw/zinc/19/62/06/808196206.db2.gz UZXDGZPCLRLHNI-XJKSGUPXSA-N 0 1 294.395 0.378 20 30 CCEDMN COCC#CCN1CC[C@](O)(CNC(=O)C2CC(C)C2)C1 ZINC001278771219 808200402 /nfs/dbraw/zinc/20/04/02/808200402.db2.gz MHBPCEUNZJNOGH-XUJLQICISA-N 0 1 294.395 0.235 20 30 CCEDMN O=C(C#CC1CC1)NC[C@@]1(O)CCN(Cc2cccnc2)C1 ZINC001278776745 808207316 /nfs/dbraw/zinc/20/73/16/808207316.db2.gz MYQNOJRCODVCBK-KRWDZBQOSA-N 0 1 299.374 0.548 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccc3c(c2)CCC3)C1 ZINC001278822814 808295166 /nfs/dbraw/zinc/29/51/66/808295166.db2.gz VBILTZZLYVKJTL-SFHVURJKSA-N 0 1 298.386 0.975 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC001255361319 808349325 /nfs/dbraw/zinc/34/93/25/808349325.db2.gz VEVAQFSLCLFWQY-CHWSQXEVSA-N 0 1 299.415 0.674 20 30 CCEDMN C=CCCN(C)CCN(C(=O)CS(C)(=O)=O)C(C)C ZINC001278892956 808436296 /nfs/dbraw/zinc/43/62/96/808436296.db2.gz CDSYEITXBRJWMA-UHFFFAOYSA-N 0 1 290.429 0.776 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ncccc2OC)[C@H]1C ZINC001088819273 815205487 /nfs/dbraw/zinc/20/54/87/815205487.db2.gz CVMSINHJQOTRFX-OLZOCXBDSA-N 0 1 287.363 0.845 20 30 CCEDMN C[C@H]1CN(C(=O)NCC#CCN(C)C)CCC1=O ZINC001256585224 808537051 /nfs/dbraw/zinc/53/70/51/808537051.db2.gz NXNWJYSKDCJQIB-NSHDSACASA-N 0 1 251.330 0.172 20 30 CCEDMN CC[C@H]1COCCN1C(=O)NCC#CCN(C)C ZINC001256584422 808537879 /nfs/dbraw/zinc/53/78/79/808537879.db2.gz DFGABMYPIGHKLQ-LBPRGKRZSA-N 0 1 253.346 0.372 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC[C@H]1c1nncn1C ZINC001256585866 808538168 /nfs/dbraw/zinc/53/81/68/808538168.db2.gz WKWDWXOZXPFYFQ-LBPRGKRZSA-N 0 1 290.371 0.227 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC001257595191 808624875 /nfs/dbraw/zinc/62/48/75/808624875.db2.gz GKTKSOJZULGVDV-UHFFFAOYSA-N 0 1 299.334 0.732 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC001257595191 808624878 /nfs/dbraw/zinc/62/48/78/808624878.db2.gz GKTKSOJZULGVDV-UHFFFAOYSA-N 0 1 299.334 0.732 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@H]2COC(=O)C2)CC1 ZINC001258285783 808669799 /nfs/dbraw/zinc/66/97/99/808669799.db2.gz ZUOPMIWKKQAVAH-GFCCVEGCSA-N 0 1 266.341 0.660 20 30 CCEDMN C=CCS(=O)(=O)Nc1csc(N2CCOCC2)n1 ZINC001259923098 808805378 /nfs/dbraw/zinc/80/53/78/808805378.db2.gz RRQCKSSDKVGDSB-UHFFFAOYSA-N 0 1 289.382 0.907 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCNC(=O)CC3)[C@@H]2C1 ZINC001076086979 815239594 /nfs/dbraw/zinc/23/95/94/815239594.db2.gz BCMFMYSJHYYSBQ-HZSPNIEDSA-N 0 1 289.379 0.069 20 30 CCEDMN Cc1cc(CC(=O)NCC[C@H](C)NC(=O)[C@H](C)C#N)[nH]n1 ZINC001076116740 815245927 /nfs/dbraw/zinc/24/59/27/815245927.db2.gz GHANDTIPPYNWIE-ZJUUUORDSA-N 0 1 291.355 0.431 20 30 CCEDMN C=CCOC[C@@H](NC(=O)N[C@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC001262176553 809221596 /nfs/dbraw/zinc/22/15/96/809221596.db2.gz RGXNZFUIIZGSFC-CMPLNLGQSA-N 0 1 297.355 0.178 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cnn(C)n3)[C@@H]2C1 ZINC001076358740 815283643 /nfs/dbraw/zinc/28/36/43/815283643.db2.gz NDXPFBUIAXQTJR-CMPLNLGQSA-N 0 1 261.329 0.147 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H]1c1cn(C)nc1C ZINC001263103199 809444184 /nfs/dbraw/zinc/44/41/84/809444184.db2.gz RWRDJPJGBYIOSS-ZDUSSCGKSA-N 0 1 260.341 0.615 20 30 CCEDMN CO[C@@H]1COCC[C@@H]1CC(=O)C(C#N)C(=O)NC1CC1 ZINC001263307066 809506780 /nfs/dbraw/zinc/50/67/80/809506780.db2.gz QJIQGZYYKJVZDK-SUZMYJTESA-N 0 1 280.324 0.415 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)C(=O)N3CC[C@H](C)C3)[C@@H]2C1 ZINC001076443256 815297083 /nfs/dbraw/zinc/29/70/83/815297083.db2.gz CPJXAQPNLKKOHE-MELADBBJSA-N 0 1 291.395 0.574 20 30 CCEDMN CCn1ccnc1[C@H](C#N)C(=O)[C@@H]1CCN(C)C1=O ZINC001263616776 809541849 /nfs/dbraw/zinc/54/18/49/809541849.db2.gz IKVMBKCWEHTMSI-VHSXEESVSA-N 0 1 260.297 0.558 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cccn(C)c2=O)CC1 ZINC001263621273 809542798 /nfs/dbraw/zinc/54/27/98/809542798.db2.gz WOCFCNARRRCDPV-UHFFFAOYSA-N 0 1 274.320 0.640 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H](CC)NC(C)=O)CC1 ZINC001263621322 809542984 /nfs/dbraw/zinc/54/29/84/809542984.db2.gz YPMAKISKURJWRG-ZDUSSCGKSA-N 0 1 266.341 0.542 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc(C#C)nc3)[C@@H]2C1 ZINC001076477037 815302154 /nfs/dbraw/zinc/30/21/54/815302154.db2.gz NBNKLDMRJFJLMP-GOEBONIOSA-N 0 1 279.343 0.842 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001263896162 809602230 /nfs/dbraw/zinc/60/22/30/809602230.db2.gz QJIZYIQIYKXESD-SMDDNHRTSA-N 0 1 278.356 0.785 20 30 CCEDMN CC(C)(C#N)C(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001263911135 809605360 /nfs/dbraw/zinc/60/53/60/809605360.db2.gz BJZQOKNHZKTLJF-SECBINFHSA-N 0 1 262.317 0.531 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3Cc4cccnc4C3)[C@@H]2C1 ZINC001076499601 815303580 /nfs/dbraw/zinc/30/35/80/815303580.db2.gz MFKXRUZQJJKZDH-ZMSDIMECSA-N 0 1 295.386 0.962 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CN(C)C(C)=O)[C@H]1CC ZINC001264045853 809625351 /nfs/dbraw/zinc/62/53/51/809625351.db2.gz RHRPXOSQDKCZPC-QWHCGFSZSA-N 0 1 265.357 0.067 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)[C@@H](C)C#N)CCN1CC#CCOC ZINC001264047866 809625872 /nfs/dbraw/zinc/62/58/72/809625872.db2.gz TVYPFPMUGKFOAJ-MELADBBJSA-N 0 1 277.368 0.765 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)c2cnn[n-]2)CC[N@H+]1CC#CCOC ZINC001264057040 809626721 /nfs/dbraw/zinc/62/67/21/809626721.db2.gz MKHHOJYKBAWDGF-WCQYABFASA-N 0 1 291.355 0.037 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@H](OC)C2CC2)C1 ZINC001264075592 809628387 /nfs/dbraw/zinc/62/83/87/809628387.db2.gz YWEDIRFEOWFCON-HUUCEWRRSA-N 0 1 294.395 0.330 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C(C)(C)CNC(C)=O ZINC001264374840 809645968 /nfs/dbraw/zinc/64/59/68/809645968.db2.gz OZJUZDIJZXXAMG-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1CC(N)=O ZINC001264588676 809664493 /nfs/dbraw/zinc/66/44/93/809664493.db2.gz KEVAWAFSRNYCPI-CRWXNKLISA-N 0 1 291.395 0.607 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CN(C)C(=O)C2CC2)[C@H]1C ZINC001264704340 809673476 /nfs/dbraw/zinc/67/34/76/809673476.db2.gz WIJRBORKTLDLMR-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN CNC(=O)CN(C)CCCNC(=O)C#CC(C)(C)C ZINC001264985406 809687907 /nfs/dbraw/zinc/68/79/07/809687907.db2.gz XLGZHAMVERJUHD-UHFFFAOYSA-N 0 1 267.373 0.220 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H]1C[C@H]1C(C)C ZINC001265054440 809701391 /nfs/dbraw/zinc/70/13/91/809701391.db2.gz MHMKXGVFNCILIR-UONOGXRCSA-N 0 1 293.411 0.466 20 30 CCEDMN C[C@H](NCC#N)[C@@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001265091257 809705991 /nfs/dbraw/zinc/70/59/91/809705991.db2.gz DFFJFIHCJPGJQL-QWRGUYRKSA-N 0 1 276.344 0.230 20 30 CCEDMN CCN(CCCNC(=O)C#CC(C)(C)C)CC(=O)NC ZINC001265113422 809710657 /nfs/dbraw/zinc/71/06/57/809710657.db2.gz MQHUOGIMHHVFKB-UHFFFAOYSA-N 0 1 281.400 0.610 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN([C@@H](C)C(N)=O)C1 ZINC001265589053 809808959 /nfs/dbraw/zinc/80/89/59/809808959.db2.gz MNAJNEQPXBBNMQ-RYUDHWBXSA-N 0 1 281.400 0.901 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H](C)NC(N)=O ZINC001265643623 809819068 /nfs/dbraw/zinc/81/90/68/809819068.db2.gz PWLYLMYDAKHOJR-NWDGAFQWSA-N 0 1 280.372 0.037 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C[C@H]1CCC(=O)N1 ZINC001265654563 809819942 /nfs/dbraw/zinc/81/99/42/809819942.db2.gz XLVOTKFXZITFHB-ZIAGYGMSSA-N 0 1 291.395 0.649 20 30 CCEDMN COCC#CC[N@@H+](C)CCCNC(=O)c1ncccc1[O-] ZINC001265831644 809868763 /nfs/dbraw/zinc/86/87/63/809868763.db2.gz MZNVXQYHCUHRCK-UHFFFAOYSA-N 0 1 291.351 0.489 20 30 CCEDMN CC(C)N(C)C(=O)CN(C)CCCNC(=O)C#CC1CC1 ZINC001265842879 809873120 /nfs/dbraw/zinc/87/31/20/809873120.db2.gz NCJTWJYASIXVGE-UHFFFAOYSA-N 0 1 293.411 0.705 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)N[C@@H](C)c1nnnn1C ZINC001266143738 809946874 /nfs/dbraw/zinc/94/68/74/809946874.db2.gz IHNXLAIUKCXIMT-RYUDHWBXSA-N 0 1 292.387 0.415 20 30 CCEDMN C#CCOCCC(=O)N(C)C1CCN(CC#C)CC1 ZINC001266181724 809956903 /nfs/dbraw/zinc/95/69/03/809956903.db2.gz NJWJAGYEPIBWEY-UHFFFAOYSA-N 0 1 262.353 0.582 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)[C@@H]2CCOC2)C1 ZINC001279469995 809981166 /nfs/dbraw/zinc/98/11/66/809981166.db2.gz BIZXXAJFKYCNPB-TZMCWYRMSA-N 0 1 268.357 0.152 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)c2cncn2C)C1 ZINC001279499297 809983877 /nfs/dbraw/zinc/98/38/77/809983877.db2.gz HTKSSYJTKWBHQQ-OAHLLOKOSA-N 0 1 290.367 0.000 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(F)cc2)C1 ZINC001076679624 815343688 /nfs/dbraw/zinc/34/36/88/815343688.db2.gz GMIMZCZUOAUYHK-ZIAGYGMSSA-N 0 1 276.311 0.624 20 30 CCEDMN CC(C)=CCN1C[C@@H](O)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001076855551 815398671 /nfs/dbraw/zinc/39/86/71/815398671.db2.gz BCBDRZVRQSHDSI-ZIAGYGMSSA-N 0 1 288.351 0.627 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)COc1cccnc1 ZINC001267278528 811085309 /nfs/dbraw/zinc/08/53/09/811085309.db2.gz LXOIRJJAZMLTTH-CYBMUJFWSA-N 0 1 273.336 0.674 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H](CC(C)C)C(N)=O ZINC001267282652 811092535 /nfs/dbraw/zinc/09/25/35/811092535.db2.gz GCNGWCZEBGBJCG-KGLIPLIRSA-N 0 1 293.411 0.738 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H](CC(C)C)C(N)=O ZINC001267282549 811092707 /nfs/dbraw/zinc/09/27/07/811092707.db2.gz DVZNZZIEJWBNCY-QWHCGFSZSA-N 0 1 279.384 0.348 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)CCCC(=O)N(C)C ZINC001267282743 811093770 /nfs/dbraw/zinc/09/37/70/811093770.db2.gz XUCLOCIPSYHCFF-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnc3cc[nH]c3c2)[C@@H](O)C1 ZINC001083557793 815421923 /nfs/dbraw/zinc/42/19/23/815421923.db2.gz CTCHLUAVQGHNPE-CABCVRRESA-N 0 1 298.346 0.361 20 30 CCEDMN Cc1c(C#N)ccnc1-n1c(C)ncc(CN)c1=N ZINC001167672116 811118521 /nfs/dbraw/zinc/11/85/21/811118521.db2.gz FZRFMSDCVRXSNY-UHFFFAOYSA-N 0 1 254.297 0.694 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(CCC)CCNC(=O)C1(C)CC1 ZINC001267313893 811139681 /nfs/dbraw/zinc/13/96/81/811139681.db2.gz AENVBVRJPHUXME-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CC[N@H+](CCNC(=O)c1ncnc2[nH]ccc21)C1CC1 ZINC001267327835 811160776 /nfs/dbraw/zinc/16/07/76/811160776.db2.gz BPICOCYDAZPRPN-UHFFFAOYSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN(CCNC(=O)c1ncnc2[nH]ccc21)C1CC1 ZINC001267327835 811160782 /nfs/dbraw/zinc/16/07/82/811160782.db2.gz BPICOCYDAZPRPN-UHFFFAOYSA-N 0 1 283.335 0.737 20 30 CCEDMN CC#CCN(CCNC(=O)[C@H](COC)OC)C1CC1 ZINC001267332296 811168001 /nfs/dbraw/zinc/16/80/01/811168001.db2.gz JKSBDPFDQCNOQX-ZDUSSCGKSA-N 0 1 268.357 0.252 20 30 CCEDMN C[C@@H](CNc1cnc(C#N)cn1)N(C)C(=O)Cc1ccn[nH]1 ZINC001104499937 811182210 /nfs/dbraw/zinc/18/22/10/811182210.db2.gz YPLFEKJBGCWZTB-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](CC(C)C)OC)[C@H](OC)C1 ZINC001213410163 811235313 /nfs/dbraw/zinc/23/53/13/811235313.db2.gz ZTMAQODPXWGLEL-QLFBSQMISA-N 0 1 296.411 0.886 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](CNCc2nncn2C)C1 ZINC001023893720 811279418 /nfs/dbraw/zinc/27/94/18/811279418.db2.gz WDRZOSOHXHNBQS-RYUDHWBXSA-N 0 1 290.371 0.303 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@]2(C)CC=CCC2)C1 ZINC001077051992 815438969 /nfs/dbraw/zinc/43/89/69/815438969.db2.gz OCPNZOGIXROTJI-IIAWOOMASA-N 0 1 276.380 0.917 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@]2(C)CC=CCC2)C1 ZINC001077051992 815438973 /nfs/dbraw/zinc/43/89/73/815438973.db2.gz OCPNZOGIXROTJI-IIAWOOMASA-N 0 1 276.380 0.917 20 30 CCEDMN Cc1cc(CNCCNC(=O)c2ccc(C#N)[nH]2)ncn1 ZINC001125783791 811340307 /nfs/dbraw/zinc/34/03/07/811340307.db2.gz SZDLRXSZTMVVFQ-UHFFFAOYSA-N 0 1 284.323 0.504 20 30 CCEDMN CCOC(=O)C1(C#N)CCN(Cc2c[nH]nn2)CC1 ZINC001203207667 811340929 /nfs/dbraw/zinc/34/09/29/811340929.db2.gz NPSKAFKRBBWFGV-UHFFFAOYSA-N 0 1 263.301 0.474 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)Cn2ncnn2)C1 ZINC001267541692 811423374 /nfs/dbraw/zinc/42/33/74/811423374.db2.gz GNIWIOHKDSRHDU-GFCCVEGCSA-N 0 1 278.360 0.172 20 30 CCEDMN C=CCCCC(=O)NCC[C@@H]1CCN(CC(N)=O)C1 ZINC001267570733 811460328 /nfs/dbraw/zinc/46/03/28/811460328.db2.gz RMFRBDWPGQIIBD-GFCCVEGCSA-N 0 1 267.373 0.656 20 30 CCEDMN C=CCCC(=O)N(C)CCN1CCN(C[C@H](C)O)CC1 ZINC001267574216 811467724 /nfs/dbraw/zinc/46/77/24/811467724.db2.gz YMYRFSKPQRALPT-AWEZNQCLSA-N 0 1 283.416 0.409 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(F)c(F)c2)C1 ZINC001077135672 815450576 /nfs/dbraw/zinc/45/05/76/815450576.db2.gz AIUQUKIKBSPDLK-ZIAGYGMSSA-N 0 1 294.301 0.763 20 30 CCEDMN CN(CC#N)C[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001267584996 811484503 /nfs/dbraw/zinc/48/45/03/811484503.db2.gz MJVDWTLYNASJGO-YNEHKIRRSA-N 0 1 287.367 0.817 20 30 CCEDMN C#CCNC(=O)C[N@@H+](C)C[C@H]1CCN(C(=O)C(C)(C)C)C1 ZINC001267593260 811502298 /nfs/dbraw/zinc/50/22/98/811502298.db2.gz GWDAKJITTKUHCP-CYBMUJFWSA-N 0 1 293.411 0.562 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@H]1CCN(C(=O)C(C)(C)C)C1 ZINC001267593260 811502304 /nfs/dbraw/zinc/50/23/04/811502304.db2.gz GWDAKJITTKUHCP-CYBMUJFWSA-N 0 1 293.411 0.562 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CCN(C(=O)c3cnn(C)c3)[C@@H]2C1 ZINC001075535079 811626700 /nfs/dbraw/zinc/62/67/00/811626700.db2.gz RHHKQGSORWNBBY-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cnn(C)c3)[C@@H]2C1 ZINC001075535079 811626708 /nfs/dbraw/zinc/62/67/08/811626708.db2.gz RHHKQGSORWNBBY-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN N#C[C@H](NC(=O)C[C@@H]1COCCN1)c1ccccc1 ZINC001219558408 811684105 /nfs/dbraw/zinc/68/41/05/811684105.db2.gz PSMAYBAJXWKGIC-OLZOCXBDSA-N 0 1 259.309 0.746 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001267742007 811726054 /nfs/dbraw/zinc/72/60/54/811726054.db2.gz WPSLCUBOCOUWJV-GUTXKFCHSA-N 0 1 280.368 0.416 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ncccc2CC)C1 ZINC001077271514 815470764 /nfs/dbraw/zinc/47/07/64/815470764.db2.gz UBPIAKAJZJEDQY-ZIAGYGMSSA-N 0 1 287.363 0.442 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)nc1 ZINC001105211938 811863360 /nfs/dbraw/zinc/86/33/60/811863360.db2.gz SADOIXDAZFGBPN-LBPRGKRZSA-N 0 1 299.338 0.938 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)nc1 ZINC001105211938 811863369 /nfs/dbraw/zinc/86/33/69/811863369.db2.gz SADOIXDAZFGBPN-LBPRGKRZSA-N 0 1 299.338 0.938 20 30 CCEDMN C#CCCCCC(=O)NCCNCc1cnnn1C ZINC001125967399 811949813 /nfs/dbraw/zinc/94/98/13/811949813.db2.gz UXYHKSFXCRHODA-UHFFFAOYSA-N 0 1 263.345 0.215 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)CN(C)C(C)=O)C1 ZINC001268017001 811956600 /nfs/dbraw/zinc/95/66/00/811956600.db2.gz HZXRGPVOBOLWEX-CQSZACIVSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)c2ncc[nH]2)C1 ZINC001268019299 811962649 /nfs/dbraw/zinc/96/26/49/811962649.db2.gz ANNODAIFSSQHCM-LBPRGKRZSA-N 0 1 278.356 0.807 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1C[N@@H+](CCCC)CCO1 ZINC001268021027 811969133 /nfs/dbraw/zinc/96/91/33/811969133.db2.gz APLJBZCKXAIRBC-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1CN(CCCC)CCO1 ZINC001268021027 811969141 /nfs/dbraw/zinc/96/91/41/811969141.db2.gz APLJBZCKXAIRBC-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN CNC(=O)CC(=O)NCCNCc1ccc(C#N)cc1F ZINC001126161774 812041642 /nfs/dbraw/zinc/04/16/42/812041642.db2.gz VBSMVGOCOUXUPR-UHFFFAOYSA-N 0 1 292.314 0.039 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC001077421821 815497523 /nfs/dbraw/zinc/49/75/23/815497523.db2.gz TVYXOROLKDBKKB-SYQHCUMBSA-N 0 1 282.384 0.539 20 30 CCEDMN Cc1nc(CC(=O)NCC2(NCC#N)CCCC2)n[nH]1 ZINC001268036278 812056995 /nfs/dbraw/zinc/05/69/95/812056995.db2.gz PBRRWMLETRCMLZ-UHFFFAOYSA-N 0 1 276.344 0.198 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NC[C@@H]1CCN1CCCO ZINC001038798763 812084530 /nfs/dbraw/zinc/08/45/30/812084530.db2.gz HGGBUSNXGBICMW-KKUMJFAQSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cn2c(n1)CCC2 ZINC001027890518 812122221 /nfs/dbraw/zinc/12/22/21/812122221.db2.gz BRFYUCXYAIQIAC-LBPRGKRZSA-N 0 1 272.352 0.657 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cccn2nnnc12 ZINC001027905992 812131195 /nfs/dbraw/zinc/13/11/95/812131195.db2.gz IRNSMUONRPDKCZ-GFCCVEGCSA-N 0 1 298.350 0.342 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]3CN(CC#N)C[C@H]32)n[nH]1 ZINC001075586557 812138478 /nfs/dbraw/zinc/13/84/78/812138478.db2.gz VQPIASFIDDBZBS-CMPLNLGQSA-N 0 1 259.313 0.388 20 30 CCEDMN C[C@H](CNCc1cnns1)N(C)C(=O)[C@@H](C)C#N ZINC001268054786 812146951 /nfs/dbraw/zinc/14/69/51/812146951.db2.gz LXLJBVFOHMAXNF-DTWKUNHWSA-N 0 1 267.358 0.634 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001027941539 812155033 /nfs/dbraw/zinc/15/50/33/812155033.db2.gz MHOVSPUHESQPHA-AVGNSLFASA-N 0 1 268.357 0.557 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC001027941783 812155964 /nfs/dbraw/zinc/15/59/64/812155964.db2.gz QCWGYVNTHREVIW-MJBXVCDLSA-N 0 1 280.368 0.394 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001027954745 812163477 /nfs/dbraw/zinc/16/34/77/812163477.db2.gz VUPZUQASOSQCGL-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN CC(=O)N1CC(C(=O)NCCNCC#Cc2ccccc2)C1 ZINC001126287423 812180350 /nfs/dbraw/zinc/18/03/50/812180350.db2.gz JCCBBSYPBOHBPA-UHFFFAOYSA-N 0 1 299.374 0.222 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCn2nccc21 ZINC001027978216 812187917 /nfs/dbraw/zinc/18/79/17/812187917.db2.gz IEVSTHNAWAHKDB-KGLIPLIRSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCn2ccnc2C1 ZINC001027979691 812190085 /nfs/dbraw/zinc/19/00/85/812190085.db2.gz HILARGJEVBSJKN-KBPBESRZSA-N 0 1 286.379 0.659 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001058853948 812206944 /nfs/dbraw/zinc/20/69/44/812206944.db2.gz USTSYFKFKWEQIG-IUCAKERBSA-N 0 1 285.311 0.525 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cn(C)nc1OC ZINC001028069604 812245597 /nfs/dbraw/zinc/24/55/97/812245597.db2.gz MIYHGBZNZPDROF-LBPRGKRZSA-N 0 1 290.367 0.646 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1c(C)cnn1C ZINC001028077486 812255539 /nfs/dbraw/zinc/25/55/39/812255539.db2.gz RIWDIJNHQGXTLR-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001028093220 812271709 /nfs/dbraw/zinc/27/17/09/812271709.db2.gz IWJYBTZMHNNNAK-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2CCCN2CC=C)nn1 ZINC001028187793 812342108 /nfs/dbraw/zinc/34/21/08/812342108.db2.gz NRQQYYPTXOAKEI-LBPRGKRZSA-N 0 1 275.356 0.844 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)[C@H](COC)OC)C1 ZINC001268225338 812380309 /nfs/dbraw/zinc/38/03/09/812380309.db2.gz YSLJALYISOAWFB-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN COCC#CC[N@@H+](C)CCN(C)C(=O)C1([NH+](C)C)CCC1 ZINC001268239315 812406042 /nfs/dbraw/zinc/40/60/42/812406042.db2.gz QSVIWBUELMPJCR-UHFFFAOYSA-N 0 1 295.427 0.511 20 30 CCEDMN Cc1cc(CNC[C@H](O)CNC(=O)C#CC(C)(C)C)on1 ZINC001268303696 812466933 /nfs/dbraw/zinc/46/69/33/812466933.db2.gz CTMRQISMEVVPHQ-LBPRGKRZSA-N 0 1 293.367 0.599 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001028245867 812483714 /nfs/dbraw/zinc/48/37/14/812483714.db2.gz LEEPBYQOADVLEX-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001038976347 812519579 /nfs/dbraw/zinc/51/95/79/812519579.db2.gz OAOYMELQLWYBMN-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc2nnn(C)c2c1 ZINC001028288589 812584030 /nfs/dbraw/zinc/58/40/30/812584030.db2.gz HVYHQWAPZXKEMO-CYBMUJFWSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc2n[nH]nc2c1 ZINC001028310866 812609358 /nfs/dbraw/zinc/60/93/58/812609358.db2.gz AYWCYYWCORVALC-GFCCVEGCSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cccc2nnn(C)c21 ZINC001028357831 812646634 /nfs/dbraw/zinc/64/66/34/812646634.db2.gz MCPWRYYEUIIKTQ-LBPRGKRZSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)C2CCOCC2)C1 ZINC001028369223 812660627 /nfs/dbraw/zinc/66/06/27/812660627.db2.gz KQNODQREUGIBIO-GFCCVEGCSA-N 0 1 250.342 0.484 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1ccc(OC)nn1 ZINC001126365160 812678776 /nfs/dbraw/zinc/67/87/76/812678776.db2.gz HASVUJOVYKAFKT-CQSZACIVSA-N 0 1 294.355 0.018 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1conc1CC ZINC001126365631 812683394 /nfs/dbraw/zinc/68/33/94/812683394.db2.gz MDTLOTDJSGNMKN-AWEZNQCLSA-N 0 1 281.356 0.770 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1ccnc(OC)n1 ZINC001126366263 812686393 /nfs/dbraw/zinc/68/63/93/812686393.db2.gz TYFSRKKNVKTRTH-CQSZACIVSA-N 0 1 294.355 0.018 20 30 CCEDMN C=C(Cl)CNCC=CCNC(=O)c1ccc(=O)[nH]n1 ZINC001268513650 812750120 /nfs/dbraw/zinc/75/01/20/812750120.db2.gz UXCMNJXTZJSYLE-NSCUHMNNSA-N 0 1 282.731 0.810 20 30 CCEDMN C/C(=C/C(=O)NC/C=C/CNCC(=O)NCC#N)C1CC1 ZINC001268515995 812759744 /nfs/dbraw/zinc/75/97/44/812759744.db2.gz HZSDTTOQCKLSNP-OXYQXBCUSA-N 0 1 290.367 0.244 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cnn(CC)n1 ZINC001268531609 812775560 /nfs/dbraw/zinc/77/55/60/812775560.db2.gz AWDGHJOFVFDEJK-SNAWJCMRSA-N 0 1 283.763 0.926 20 30 CCEDMN CC(C)C#CC(=O)NC/C=C/CNCc1cnn(C)c1 ZINC001268535677 812778923 /nfs/dbraw/zinc/77/89/23/812778923.db2.gz DWVRJZGXKZXNKR-SNAWJCMRSA-N 0 1 274.368 0.842 20 30 CCEDMN CC(C)(C)C#CC(=O)NC/C=C/CNCC(=O)NC1CC1 ZINC001268537265 812780631 /nfs/dbraw/zinc/78/06/31/812780631.db2.gz SHVVZEUULRDCPP-SNAWJCMRSA-N 0 1 291.395 0.577 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CNC(=O)c2cc(=O)n(C)o2)C1 ZINC001028508555 812792583 /nfs/dbraw/zinc/79/25/83/812792583.db2.gz UHXANHWPVKXSGM-SNVBAGLBSA-N 0 1 299.758 0.783 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1nccnc1C ZINC001126378357 812816155 /nfs/dbraw/zinc/81/61/55/812816155.db2.gz KRDDOYCOKGEYRJ-CQSZACIVSA-N 0 1 278.356 0.318 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001028556309 812834945 /nfs/dbraw/zinc/83/49/45/812834945.db2.gz UVQYDKYAKNEBKK-SNVBAGLBSA-N 0 1 284.323 0.533 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)c1conc1C ZINC001268583807 812865916 /nfs/dbraw/zinc/86/59/16/812865916.db2.gz DGTTVYWBEWOFQZ-SNAWJCMRSA-N 0 1 292.339 0.161 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(C)n(C)n2)[C@@H](O)C1 ZINC001083358268 812906460 /nfs/dbraw/zinc/90/64/60/812906460.db2.gz CRLAPDAVZAEQJK-OLZOCXBDSA-N 0 1 278.356 0.080 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)Cn2cc(C)cn2)[C@@H](O)C1 ZINC001083358997 812908534 /nfs/dbraw/zinc/90/85/34/812908534.db2.gz KUPVCRGJDFZNTM-KGLIPLIRSA-N 0 1 292.383 0.319 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCOC2)[C@@H](O)C1 ZINC001083361153 812909861 /nfs/dbraw/zinc/90/98/61/812909861.db2.gz IFIWRWAMXBWYDU-UPJWGTAASA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)c2ccnn2C)[C@@H](O)C1 ZINC001083365337 812912121 /nfs/dbraw/zinc/91/21/21/812912121.db2.gz IHFKIGZZRDGCEG-YPMHNXCESA-N 0 1 278.356 0.161 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cc(C)no1 ZINC001127027627 815565322 /nfs/dbraw/zinc/56/53/22/815565322.db2.gz WIGCAQMWQJFAOV-UHFFFAOYSA-N 0 1 265.313 0.229 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CNCc1nccn1C ZINC001268645343 812972271 /nfs/dbraw/zinc/97/22/71/812972271.db2.gz WPZLYGAPYXWYRQ-GFCCVEGCSA-N 0 1 262.357 0.674 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CNC(=O)c2cn[nH]c(=O)c2)C1 ZINC001028614499 812977717 /nfs/dbraw/zinc/97/77/17/812977717.db2.gz UJAIZYDPRQBPAI-JTQLQIEISA-N 0 1 296.758 0.986 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)C[C@@H]1CCCO1 ZINC001268722509 813037046 /nfs/dbraw/zinc/03/70/46/813037046.db2.gz UNUVNXPNQPNPAL-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cnn2cccnc12 ZINC001268739372 813051317 /nfs/dbraw/zinc/05/13/17/813051317.db2.gz ADRSCJCTQYWZPL-GFCCVEGCSA-N 0 1 285.351 0.803 20 30 CCEDMN C#CC(=O)N1CCC2(C[C@H]2C(=O)NCc2cnc[nH]2)CC1 ZINC001268854753 813114965 /nfs/dbraw/zinc/11/49/65/813114965.db2.gz BGXCJHGXFWZUAT-LBPRGKRZSA-N 0 1 286.335 0.288 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@@H]1CCCCN1C ZINC000710285875 813115009 /nfs/dbraw/zinc/11/50/09/813115009.db2.gz REMQDXBEFBOZRZ-LBPRGKRZSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@](C)(O)C2CC2)[C@H](OC)C1 ZINC001212139151 815578730 /nfs/dbraw/zinc/57/87/30/815578730.db2.gz SDGGNZWJXNSDIR-IIAWOOMASA-N 0 1 294.395 0.376 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2ccnnc2)C1 ZINC001268883707 813134053 /nfs/dbraw/zinc/13/40/53/813134053.db2.gz UPEYZQBXJHXIOD-UHFFFAOYSA-N 0 1 276.340 0.341 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2ccn(C)n2)C1 ZINC001268905805 813140169 /nfs/dbraw/zinc/14/01/69/813140169.db2.gz HVHDIYVZNWWIGO-UHFFFAOYSA-N 0 1 278.356 0.284 20 30 CCEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1nc[nH]n1 ZINC001268974258 813166933 /nfs/dbraw/zinc/16/69/33/813166933.db2.gz OICLMGSAFXXDSV-QWRGUYRKSA-N 0 1 261.329 0.670 20 30 CCEDMN N#CCCC(=O)N1C[C@H]2C[C@@]2(C(=O)NCc2cnc[nH]2)C1 ZINC001269024081 813179759 /nfs/dbraw/zinc/17/97/59/813179759.db2.gz HVVRQZWWTDMXBE-QMTHXVAHSA-N 0 1 287.323 0.178 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN([C@H]2CCCN(C)C2=O)C1 ZINC001269122489 813227544 /nfs/dbraw/zinc/22/75/44/813227544.db2.gz YHRJWSQPBSYOKJ-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(C(=O)c1ccn[nH]1)CC2 ZINC001269131577 813230661 /nfs/dbraw/zinc/23/06/61/813230661.db2.gz GMBOVBQWGRTXAJ-LLVKDONJSA-N 0 1 286.335 0.401 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001269135051 813232784 /nfs/dbraw/zinc/23/27/84/813232784.db2.gz YECJNMUYNSSRBM-CYBMUJFWSA-N 0 1 262.357 0.729 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(OCC)no2)[C@@H](O)C1 ZINC001083648318 815603741 /nfs/dbraw/zinc/60/37/41/815603741.db2.gz UJBVMFYDLLBCHM-MNOVXSKESA-N 0 1 295.339 0.424 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)CCc1cnc[nH]1 ZINC001269241487 813284434 /nfs/dbraw/zinc/28/44/34/813284434.db2.gz UZKPKXPYYIQSEA-ZDUSSCGKSA-N 0 1 260.341 0.556 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CO[C@H]2CCOC2)C1 ZINC001269239997 813284652 /nfs/dbraw/zinc/28/46/52/813284652.db2.gz GRIZYQCLKIBCHM-STQMWFEESA-N 0 1 268.357 0.511 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@@H](C)CC)CC1 ZINC001269379225 813348086 /nfs/dbraw/zinc/34/80/86/813348086.db2.gz FAPCUORPEOZKPO-NWDGAFQWSA-N 0 1 279.384 0.409 20 30 CCEDMN C=C1CC(C)(C(=O)NCC2(N[C@H](C)C(N)=O)CC2)C1 ZINC001269489345 813390078 /nfs/dbraw/zinc/39/00/78/813390078.db2.gz NFCUPMNQQMMSBG-SNVBAGLBSA-N 0 1 265.357 0.455 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@]2(CCN(CCF)C2)C1 ZINC001269731012 813484872 /nfs/dbraw/zinc/48/48/72/813484872.db2.gz DYOVLPMIGXBRHE-UKRRQHHQSA-N 0 1 282.359 0.919 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)COCCOC ZINC001270022440 813589275 /nfs/dbraw/zinc/58/92/75/813589275.db2.gz NKRGPBZSBLOHLE-LBPRGKRZSA-N 0 1 290.791 0.983 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C3CN(C(C)=O)C3)[C@@H]2C1 ZINC001075733795 813661966 /nfs/dbraw/zinc/66/19/66/813661966.db2.gz BKSBXNUUWNUHPA-DZGCQCFKSA-N 0 1 289.379 0.021 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)CNCc1nncs1 ZINC001270371589 813734441 /nfs/dbraw/zinc/73/44/41/813734441.db2.gz JJTFCYWUJHKYPC-DTWKUNHWSA-N 0 1 267.358 0.540 20 30 CCEDMN C=CCCC(=O)N[C@@]1(C)CCN([C@@H]2CCCNC2=O)C1 ZINC001270558704 813809135 /nfs/dbraw/zinc/80/91/35/813809135.db2.gz SOEAIOSDMSVAKI-DOMZBBRYSA-N 0 1 279.384 0.812 20 30 CCEDMN C=CCCC(=O)N[C@]1(C)CCN([C@H]2CCCNC2=O)C1 ZINC001270558707 813809411 /nfs/dbraw/zinc/80/94/11/813809411.db2.gz SOEAIOSDMSVAKI-SWLSCSKDSA-N 0 1 279.384 0.812 20 30 CCEDMN COCC#CCN1CC[C@@](C)(NC(=O)C2=COCCC2)C1 ZINC001270556931 813810959 /nfs/dbraw/zinc/81/09/59/813810959.db2.gz IEUKMFRFHUYYNY-MRXNPFEDSA-N 0 1 292.379 0.911 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001059210045 813942034 /nfs/dbraw/zinc/94/20/34/813942034.db2.gz ZGPYQYQMOOXFFH-MNOVXSKESA-N 0 1 289.339 0.538 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C2=COCCO2)[C@H]1CC ZINC001087492787 813957010 /nfs/dbraw/zinc/95/70/10/813957010.db2.gz QMEWOCZXBIKCIY-NWDGAFQWSA-N 0 1 264.325 0.477 20 30 CCEDMN CC(C)N1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@@H](O)C1 ZINC001083413649 814029686 /nfs/dbraw/zinc/02/96/86/814029686.db2.gz YLVYJVXYTLVVMX-NEPJUHHUSA-N 0 1 262.313 0.070 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)c2cnn[n-]2)[C@H]1CC ZINC001087638271 814178897 /nfs/dbraw/zinc/17/88/97/814178897.db2.gz LDCJITUJVQBXHC-CMPLNLGQSA-N 0 1 261.329 0.411 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CN2CCCNC2=O)[C@H]1CC ZINC001087639666 814181596 /nfs/dbraw/zinc/18/15/96/814181596.db2.gz KKKYSCFGQGLWGJ-QWHCGFSZSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]nc2C)[C@H]1CC ZINC001087679035 814185714 /nfs/dbraw/zinc/18/57/14/814185714.db2.gz RVIUWDKEVLWHJB-QWHCGFSZSA-N 0 1 260.341 0.934 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nc(C)c[nH]2)[C@H]1CC ZINC001087755736 814203720 /nfs/dbraw/zinc/20/37/20/814203720.db2.gz DKAMEGYNIHLDCN-NWDGAFQWSA-N 0 1 260.341 0.934 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(F)cn2)[C@@H](O)C1 ZINC001083421634 814306605 /nfs/dbraw/zinc/30/66/05/814306605.db2.gz JPXGQBBSKQTMPD-OLZOCXBDSA-N 0 1 277.299 0.019 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccc(C)cc2F)C1 ZINC001271191025 814328283 /nfs/dbraw/zinc/32/82/83/814328283.db2.gz BVBFPFJYMXQVIL-MRXNPFEDSA-N 0 1 290.338 0.934 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001271221788 814339182 /nfs/dbraw/zinc/33/91/82/814339182.db2.gz QBILWSQDSDPOHH-SNVBAGLBSA-N 0 1 299.334 0.466 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)c3cc(C)[nH]n3)C2)C1=O ZINC001271316881 814377603 /nfs/dbraw/zinc/37/76/03/814377603.db2.gz YLYGCIVYLJQZES-HNNXBMFYSA-N 0 1 286.335 0.416 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423032 814435188 /nfs/dbraw/zinc/43/51/88/814435188.db2.gz APRLMVUERXIUBO-YPMHNXCESA-N 0 1 291.351 0.528 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423032 814435191 /nfs/dbraw/zinc/43/51/91/814435191.db2.gz APRLMVUERXIUBO-YPMHNXCESA-N 0 1 291.351 0.528 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CC(=O)N(CC)C3)[C@@H]2C1 ZINC001075854056 814542206 /nfs/dbraw/zinc/54/22/06/814542206.db2.gz SAEGBELRUPRYAP-MELADBBJSA-N 0 1 289.379 0.021 20 30 CCEDMN CNC(=O)CN1CCC[C@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC001040119287 814585468 /nfs/dbraw/zinc/58/54/68/814585468.db2.gz IUEYILDPABBRBT-DOMZBBRYSA-N 0 1 292.383 0.207 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1C[C@@H](Nc2ccncc2C#N)C1 ZINC001059586584 814592707 /nfs/dbraw/zinc/59/27/07/814592707.db2.gz UISRDGHGQSETDO-GUTXKFCHSA-N 0 1 299.378 0.528 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@]1(CO)CCCN(CC(=C)C)C1 ZINC001271956883 814649753 /nfs/dbraw/zinc/64/97/53/814649753.db2.gz GIYNUNOJIQWGCC-ZBFHGGJFSA-N 0 1 294.395 0.544 20 30 CCEDMN N#CCN[C@@H]1CCCCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001088385807 814665500 /nfs/dbraw/zinc/66/55/00/814665500.db2.gz UJPGWDDFENHWSF-CYBMUJFWSA-N 0 1 290.371 0.622 20 30 CCEDMN N#CCN[C@@H]1CCCCCN(C(=O)CCc2cnn[nH]2)C1 ZINC001088385807 814665504 /nfs/dbraw/zinc/66/55/04/814665504.db2.gz UJPGWDDFENHWSF-CYBMUJFWSA-N 0 1 290.371 0.622 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)C[C@@H]2CCCO2)[C@H]1C ZINC001088478677 814728624 /nfs/dbraw/zinc/72/86/24/814728624.db2.gz MCUBIUYRHCTAAX-AGIUHOORSA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCCO2)[C@H]1C ZINC001088478677 814728628 /nfs/dbraw/zinc/72/86/28/814728628.db2.gz MCUBIUYRHCTAAX-AGIUHOORSA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2coc(C)c2)[C@@H](O)C1 ZINC001083426814 814731512 /nfs/dbraw/zinc/73/15/12/814731512.db2.gz ABYQWVLHGNZUPF-OLZOCXBDSA-N 0 1 262.309 0.386 20 30 CCEDMN N#Cc1ccc2ncc(CN[C@@]3(CO)CCOC3)n2c1 ZINC000342767856 814758558 /nfs/dbraw/zinc/75/85/58/814758558.db2.gz GRWAYXVTTIMSMN-CQSZACIVSA-N 0 1 272.308 0.447 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1ncccc1C ZINC001127039046 815588536 /nfs/dbraw/zinc/58/85/36/815588536.db2.gz AXSQCUNMGQUBEA-CYBMUJFWSA-N 0 1 275.352 0.634 20 30 CCEDMN CN(C(=O)c1c[nH]c(C#N)c1)C1CN(C[C@H]2CCOC2)C1 ZINC001042630122 814848269 /nfs/dbraw/zinc/84/82/69/814848269.db2.gz ABSWKRYUNCEMAA-LLVKDONJSA-N 0 1 288.351 0.679 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC001088544233 814866219 /nfs/dbraw/zinc/86/62/19/814866219.db2.gz ULXYZFSAXGRCDV-MNOVXSKESA-N 0 1 277.324 0.194 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnn4ccncc34)[C@@H]2C1 ZINC001075888678 814879582 /nfs/dbraw/zinc/87/95/82/814879582.db2.gz WAHBXDUZYPQLST-SWLSCSKDSA-N 0 1 295.346 0.509 20 30 CCEDMN CS(=O)(=O)N1CC(Nc2c[nH]c3c(C#N)cnc-3c2)C1 ZINC001168224202 814913903 /nfs/dbraw/zinc/91/39/03/814913903.db2.gz DVXXTFFZHSXLTQ-UHFFFAOYSA-N 0 1 291.336 0.490 20 30 CCEDMN C=C(C)C(=O)OC[C@H](C)Oc1[nH]c(=O)[nH]c(=O)c1F ZINC001229119359 814918800 /nfs/dbraw/zinc/91/88/00/814918800.db2.gz JNRVOHIQKCEWJL-LURJTMIESA-N 0 1 272.232 0.914 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3nc(CC)c[nH]3)[C@@H]2C1 ZINC001075901283 814920584 /nfs/dbraw/zinc/92/05/84/814920584.db2.gz XTTVTHBECCDWAY-GXTWGEPZSA-N 0 1 286.379 0.681 20 30 CCEDMN CN(C)CC#CCNC(=O)NCC[C@@H]1CCCCO1 ZINC001224864062 815614958 /nfs/dbraw/zinc/61/49/58/815614958.db2.gz RSQPKRZMHMKDPJ-ZDUSSCGKSA-N 0 1 267.373 0.810 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2ccc(C)n2)[C@H]1C ZINC001088866914 816120521 /nfs/dbraw/zinc/12/05/21/816120521.db2.gz SMBQJIZKXQCMHF-KGLIPLIRSA-N 0 1 274.368 0.794 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001030603919 816141091 /nfs/dbraw/zinc/14/10/91/816141091.db2.gz QJDAODKQZRSUCL-UHFFFAOYSA-N 0 1 275.352 0.766 20 30 CCEDMN C#CCN1CC(NC(=O)c2ccn3cncc3c2)C1 ZINC001030617839 816151875 /nfs/dbraw/zinc/15/18/75/816151875.db2.gz QFSFHEVMYKYCLD-UHFFFAOYSA-N 0 1 254.293 0.382 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)[C@H]1C ZINC001089012793 816210944 /nfs/dbraw/zinc/21/09/44/816210944.db2.gz NPSINNCVZBHJEK-AGIUHOORSA-N 0 1 277.368 0.210 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCN2C(C)=O)[C@H]1C ZINC001089065192 816230280 /nfs/dbraw/zinc/23/02/80/816230280.db2.gz SPJGYYVSZNHEIT-KWCYVHTRSA-N 0 1 277.368 0.210 20 30 CCEDMN C#CCOc1ccc(C(=O)NCc2nc(O)cc(=O)[nH]2)cc1 ZINC001168430051 816281111 /nfs/dbraw/zinc/28/11/11/816281111.db2.gz JKQUCPRHNIPIHG-UHFFFAOYSA-N 0 1 299.286 0.830 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCCF)C[C@H]2O)cc1 ZINC001077494092 816288242 /nfs/dbraw/zinc/28/82/42/816288242.db2.gz ZTNJPYNHOFTHLI-HUUCEWRRSA-N 0 1 290.338 0.802 20 30 CCEDMN C=CCN1CC(NC(=O)c2occc2CN(C)C)C1 ZINC001030857656 816353430 /nfs/dbraw/zinc/35/34/30/816353430.db2.gz FFMHUWNBNOLOKX-UHFFFAOYSA-N 0 1 263.341 0.941 20 30 CCEDMN C=CCN1C(=O)COCC12CN(C[C@H]1CCOC1)C2 ZINC001272508296 816522644 /nfs/dbraw/zinc/52/26/44/816522644.db2.gz NBFVNKNDVFUJJO-GFCCVEGCSA-N 0 1 266.341 0.122 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2CC(OCC)C2)[C@@H](O)C1 ZINC001083718982 816635104 /nfs/dbraw/zinc/63/51/04/816635104.db2.gz JNTKCTXAQVLSLX-CVSAEHQPSA-N 0 1 294.395 0.376 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2csc(C)n2)[C@@H](O)C1 ZINC001083724256 816687366 /nfs/dbraw/zinc/68/73/66/816687366.db2.gz ZKZOHPAOINRYDH-PWSUYJOCSA-N 0 1 281.381 0.803 20 30 CCEDMN C[C@@H](CCNc1ccncc1C#N)NC(=O)Cc1nnc[nH]1 ZINC001106434894 816771119 /nfs/dbraw/zinc/77/11/19/816771119.db2.gz JGPPYYQKLFJBKL-JTQLQIEISA-N 0 1 299.338 0.043 20 30 CCEDMN C#CCN1CC(NC(=O)c2cccc3nc(C)[nH]c32)C1 ZINC001031204506 816793221 /nfs/dbraw/zinc/79/32/21/816793221.db2.gz SKNRAKMWWGXMPH-UHFFFAOYSA-N 0 1 268.320 0.919 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2conc2C)[C@@H](O)C1 ZINC001083746391 816967904 /nfs/dbraw/zinc/96/79/04/816967904.db2.gz CUGVLFYIHGBKKU-OLZOCXBDSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCCN1CCC(F)(F)[C@@H](CNC(=O)c2cnon2)C1 ZINC001046984024 816995382 /nfs/dbraw/zinc/99/53/82/816995382.db2.gz MRMODVNAKORZAE-JTQLQIEISA-N 0 1 298.293 0.780 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC2=CCOCC2)[C@@H](O)C1 ZINC001083754258 817004464 /nfs/dbraw/zinc/00/44/64/817004464.db2.gz ISOXYGVIOJZWHL-KGLIPLIRSA-N 0 1 280.368 0.461 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc[n+]([O-])cc2)C1 ZINC001031537561 817117511 /nfs/dbraw/zinc/11/75/11/817117511.db2.gz WHQLXLVVLAXZDS-UHFFFAOYSA-N 0 1 261.325 0.558 20 30 CCEDMN CC1(C)C[C@@H](NC(=O)Cc2nnc[nH]2)CCN(CC#N)C1 ZINC001089538542 817181356 /nfs/dbraw/zinc/18/13/56/817181356.db2.gz WMFCEPKRBMTJCI-NSHDSACASA-N 0 1 290.371 0.478 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc(=O)n(C)o2)C1 ZINC001031634098 817205495 /nfs/dbraw/zinc/20/54/95/817205495.db2.gz LXXLLZSLNHESAD-UHFFFAOYSA-N 0 1 265.313 0.216 20 30 CCEDMN COCCN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](OC)C1 ZINC001212248556 817218086 /nfs/dbraw/zinc/21/80/86/817218086.db2.gz IJJPKSWQNRAZGH-CHWSQXEVSA-N 0 1 282.384 0.498 20 30 CCEDMN COCCCN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](OC)C1 ZINC001212248502 817218548 /nfs/dbraw/zinc/21/85/48/817218548.db2.gz GWYPNNJRLCXQHJ-ZIAGYGMSSA-N 0 1 296.411 0.888 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c(Cl)cnn2C)C1 ZINC001031673639 817237458 /nfs/dbraw/zinc/23/74/58/817237458.db2.gz RAYFZYFERRKDQO-UHFFFAOYSA-N 0 1 268.748 0.921 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001038069272 817253068 /nfs/dbraw/zinc/25/30/68/817253068.db2.gz WQSMXDSYKZKGKI-LBPRGKRZSA-N 0 1 260.341 0.800 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001038069272 817253071 /nfs/dbraw/zinc/25/30/71/817253071.db2.gz WQSMXDSYKZKGKI-LBPRGKRZSA-N 0 1 260.341 0.800 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3nccn3C)C2)C1=O ZINC001272677736 817290803 /nfs/dbraw/zinc/29/08/03/817290803.db2.gz BJYATWYFGFVMPW-HNNXBMFYSA-N 0 1 272.352 0.478 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cn[nH]c(=O)c2)C1 ZINC001031751147 817336232 /nfs/dbraw/zinc/33/62/32/817336232.db2.gz UDKXAVRLSIKWBN-UHFFFAOYSA-N 0 1 262.313 0.420 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccn(C)c(=O)c2)C1 ZINC001031778493 817359667 /nfs/dbraw/zinc/35/96/67/817359667.db2.gz LAVBSIJZJDEECB-UHFFFAOYSA-N 0 1 261.325 0.233 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001031784290 817364146 /nfs/dbraw/zinc/36/41/46/817364146.db2.gz OQQNPTXHTQKIDY-CYBMUJFWSA-N 0 1 274.368 0.680 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)NCC1CN(CC#N)C1)C2 ZINC001031789845 817369062 /nfs/dbraw/zinc/36/90/62/817369062.db2.gz PNBQBZAIYWTMSC-GFCCVEGCSA-N 0 1 287.367 0.395 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2c[nH]nc2CC)C1 ZINC001031805503 817380044 /nfs/dbraw/zinc/38/00/44/817380044.db2.gz DQDGMPNSWCIHOL-UHFFFAOYSA-N 0 1 260.341 0.657 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(N(C)C)nc2)C1 ZINC001031804637 817381372 /nfs/dbraw/zinc/38/13/72/817381372.db2.gz HIFJABSPBFCVSO-UHFFFAOYSA-N 0 1 274.368 0.995 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnn3c2OCCC3)C1 ZINC001031815174 817391365 /nfs/dbraw/zinc/39/13/65/817391365.db2.gz KPCOSANWFJZRRJ-UHFFFAOYSA-N 0 1 290.367 0.903 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001031823470 817397138 /nfs/dbraw/zinc/39/71/38/817397138.db2.gz GFFBTMQDRWRATB-CQSZACIVSA-N 0 1 279.384 0.479 20 30 CCEDMN C=CCN1C(=O)COCC12CN(C[C@@H]1C[C@@H]1C)C2 ZINC001272693365 817402150 /nfs/dbraw/zinc/40/21/50/817402150.db2.gz VWVLOKIULPFSSC-RYUDHWBXSA-N 0 1 250.342 0.742 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CCCCO2)C1 ZINC001047348942 817415987 /nfs/dbraw/zinc/41/59/87/817415987.db2.gz HCNVXEAJZMNCPP-MELADBBJSA-N 0 1 280.368 0.082 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CC(=O)N[C@H](CC)C2)C1 ZINC001031868476 817431544 /nfs/dbraw/zinc/43/15/44/817431544.db2.gz YDTPVSMORQAOJW-ZIAGYGMSSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001031877024 817435966 /nfs/dbraw/zinc/43/59/66/817435966.db2.gz IBSHXPKDBIFOIB-LBPRGKRZSA-N 0 1 265.357 0.137 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(COC)on2)C1 ZINC001032033711 817564986 /nfs/dbraw/zinc/56/49/86/817564986.db2.gz KEYFRJHBPFAKCP-UHFFFAOYSA-N 0 1 265.313 0.669 20 30 CCEDMN CCc1nc([C@@H](C)NCCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001124904049 817629317 /nfs/dbraw/zinc/62/93/17/817629317.db2.gz VSDQPERJTOZONP-RKDXNWHRSA-N 0 1 264.333 0.294 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn(CC3CC3)c2)C1 ZINC001032110602 817640415 /nfs/dbraw/zinc/64/04/15/817640415.db2.gz NDGYNTKKEVADDW-UHFFFAOYSA-N 0 1 286.379 0.978 20 30 CCEDMN C#CC[N@H+]1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1nc[nH]n1 ZINC001089879163 817643663 /nfs/dbraw/zinc/64/36/63/817643663.db2.gz YFTKBWIDFAAFKV-RNJOBUHISA-N 0 1 285.351 0.220 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001032123763 817651559 /nfs/dbraw/zinc/65/15/59/817651559.db2.gz IPXZKCWVGJNGFA-ZDUSSCGKSA-N 0 1 279.384 0.479 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NCC1CN(CC#N)C1 ZINC001032207301 817702329 /nfs/dbraw/zinc/70/23/29/817702329.db2.gz LUWICNDOMQHXII-CYBMUJFWSA-N 0 1 264.373 0.432 20 30 CCEDMN C=CCN1CC(CNC(=O)c2nn(CC)nc2C)C1 ZINC001032229699 817733707 /nfs/dbraw/zinc/73/37/07/817733707.db2.gz GFTLHFUILBWZHC-UHFFFAOYSA-N 0 1 263.345 0.454 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@@H]3C[C@H]2CN3C(=O)CCC)C1=O ZINC001032249594 817752423 /nfs/dbraw/zinc/75/24/23/817752423.db2.gz PQNPOBAKYGVICF-MELADBBJSA-N 0 1 291.395 0.859 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccn1C ZINC001032285044 817781782 /nfs/dbraw/zinc/78/17/82/817781782.db2.gz FCXRPTBZAKRZKW-STQMWFEESA-N 0 1 257.337 0.947 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C)[nH]n1 ZINC001032299290 817810749 /nfs/dbraw/zinc/81/07/49/817810749.db2.gz GPYKDLADBDHGNE-RYUDHWBXSA-N 0 1 258.325 0.640 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@H]2O)CC1 ZINC001077624940 817884845 /nfs/dbraw/zinc/88/48/45/817884845.db2.gz JRVPOPAOLGUGMN-IACUBPJLSA-N 0 1 282.384 0.275 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN(CCC)C[C@H]2O)c1 ZINC001077649783 817916250 /nfs/dbraw/zinc/91/62/50/817916250.db2.gz FGBJVPUSGSYIAV-ZIAGYGMSSA-N 0 1 273.336 0.248 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2cnccn2)C1 ZINC001107703638 817954441 /nfs/dbraw/zinc/95/44/41/817954441.db2.gz AULJQFXIQDEGGG-OAHLLOKOSA-N 0 1 290.367 0.873 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2cnn(C)c2)C1 ZINC001107729281 817970673 /nfs/dbraw/zinc/97/06/73/817970673.db2.gz JSZHWNRLFTYYFE-OAHLLOKOSA-N 0 1 292.383 0.817 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2cccnc2C)C1 ZINC001077670904 817971548 /nfs/dbraw/zinc/97/15/48/817971548.db2.gz ORPYSPYRKAWOBG-HUUCEWRRSA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCOCC(=O)NC[C@]1(C)CN(CCC)CCO1 ZINC001107812668 818029077 /nfs/dbraw/zinc/02/90/77/818029077.db2.gz AWJPCDWQWDHYLT-CQSZACIVSA-N 0 1 270.373 0.806 20 30 CCEDMN O=C(Cn1nccn1)NCCNCC#Cc1ccccc1 ZINC001128268780 818143008 /nfs/dbraw/zinc/14/30/08/818143008.db2.gz DUTHJJNGWVEGTM-UHFFFAOYSA-N 0 1 283.335 0.036 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001032887935 818337053 /nfs/dbraw/zinc/33/70/53/818337053.db2.gz QKZUARRBIRXSMB-NSHDSACASA-N 0 1 274.324 0.352 20 30 CCEDMN CN(C(=O)[C@@H]1CCCN1C)[C@H]1CCN(CC#N)C1 ZINC001032946297 818382459 /nfs/dbraw/zinc/38/24/59/818382459.db2.gz VPNPCFDKCRSZRD-RYUDHWBXSA-N 0 1 250.346 0.137 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cn2cc(Cl)cn2)[C@@H](O)C1 ZINC001083821501 818420561 /nfs/dbraw/zinc/42/05/61/818420561.db2.gz NFKLNRWABYRDHJ-NEPJUHHUSA-N 0 1 298.774 0.274 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H]2COC(=O)C2)C1 ZINC001033010530 818422391 /nfs/dbraw/zinc/42/23/91/818422391.db2.gz NIMVOIILJLBJOB-CHWSQXEVSA-N 0 1 278.352 0.496 20 30 CCEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CNC(C)=O ZINC001098849790 818451097 /nfs/dbraw/zinc/45/10/97/818451097.db2.gz OYFHWPJPFRRMEM-CQSZACIVSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCc3n[nH]cc32)C1 ZINC001033080654 818501776 /nfs/dbraw/zinc/50/17/76/818501776.db2.gz AHZYIHCSUIUSES-CHWSQXEVSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H](C)c2ccnn2C)C1 ZINC001033089810 818505208 /nfs/dbraw/zinc/50/52/08/818505208.db2.gz DMIZYBIHCWLJCR-QWHCGFSZSA-N 0 1 274.368 0.690 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@]23C[C@H]2COC3)C1 ZINC001033100219 818517714 /nfs/dbraw/zinc/51/77/14/818517714.db2.gz VOYVIVYNJFTXLV-SCRDCRAPSA-N 0 1 250.342 0.742 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2coc(C3CC3)n2)[C@@H](O)C1 ZINC001083838850 818543082 /nfs/dbraw/zinc/54/30/82/818543082.db2.gz QLCKOJBITBUACP-YPMHNXCESA-N 0 1 291.351 0.903 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033121962 818548892 /nfs/dbraw/zinc/54/88/92/818548892.db2.gz DIIMHPNSUIYLNH-NWDGAFQWSA-N 0 1 265.357 0.183 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033121962 818548899 /nfs/dbraw/zinc/54/88/99/818548899.db2.gz DIIMHPNSUIYLNH-NWDGAFQWSA-N 0 1 265.357 0.183 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033124021 818549542 /nfs/dbraw/zinc/54/95/42/818549542.db2.gz MDTATFHTKPRRJC-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001033140559 818563248 /nfs/dbraw/zinc/56/32/48/818563248.db2.gz YLXQZWNPDLWWFP-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2c(C)ncn2C)C1 ZINC001033169435 818598674 /nfs/dbraw/zinc/59/86/74/818598674.db2.gz IQCJWXYBENYQRG-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc(=O)n(C)c2)C1 ZINC001033226122 818663936 /nfs/dbraw/zinc/66/39/36/818663936.db2.gz VLTNFQQISCWLCE-CQSZACIVSA-N 0 1 287.363 0.555 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCCN(C(N)=O)C2)C1 ZINC001033250122 818676158 /nfs/dbraw/zinc/67/61/58/818676158.db2.gz WMGKTVJRJNRWIJ-STQMWFEESA-N 0 1 294.399 0.496 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ncc(OC)cn2)C1 ZINC001033255140 818677487 /nfs/dbraw/zinc/67/74/87/818677487.db2.gz UFGMFDJSOAXTJR-NSHDSACASA-N 0 1 276.340 0.818 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ncc(OC)cn2)C1 ZINC001033255139 818678242 /nfs/dbraw/zinc/67/82/42/818678242.db2.gz UFGMFDJSOAXTJR-LLVKDONJSA-N 0 1 276.340 0.818 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC001033287031 818693945 /nfs/dbraw/zinc/69/39/45/818693945.db2.gz ODLIMFMMDIPSCF-MJBXVCDLSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001033339797 818724380 /nfs/dbraw/zinc/72/43/80/818724380.db2.gz ARGFTOYSIPRCHU-OLZOCXBDSA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033339984 818724772 /nfs/dbraw/zinc/72/47/72/818724772.db2.gz CHVYXJRLKLVZFI-RYUDHWBXSA-N 0 1 265.357 0.183 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001033365453 818733425 /nfs/dbraw/zinc/73/34/25/818733425.db2.gz HBLWISWPYLLAKL-NWDGAFQWSA-N 0 1 287.367 0.391 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001033423599 818770671 /nfs/dbraw/zinc/77/06/71/818770671.db2.gz SNXOELYBPVTMLI-UPJWGTAASA-N 0 1 279.384 0.429 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001033440963 818779076 /nfs/dbraw/zinc/77/90/76/818779076.db2.gz XJAGMXIPRMVDLO-SYQHCUMBSA-N 0 1 262.353 0.720 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(C)C(=O)c2cnon2)C1 ZINC001033518554 818814049 /nfs/dbraw/zinc/81/40/49/818814049.db2.gz MZLFDNRCYHVHON-SNVBAGLBSA-N 0 1 250.302 0.792 20 30 CCEDMN CC1CCN(CC(=O)N(C)[C@H]2CCN(CC#N)C2)CC1 ZINC001033534816 818822528 /nfs/dbraw/zinc/82/25/28/818822528.db2.gz YQAQBKOIWOHUOM-AWEZNQCLSA-N 0 1 278.400 0.775 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@]2(C)CCOC2)C1 ZINC001033536882 818825847 /nfs/dbraw/zinc/82/58/47/818825847.db2.gz ONCNDEQRNDHJKQ-DZGCQCFKSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cc2nonc2C)C1 ZINC001033544449 818827969 /nfs/dbraw/zinc/82/79/69/818827969.db2.gz MEXDOXVJROJGMI-NSHDSACASA-N 0 1 264.329 0.639 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(OC)nn2)C1 ZINC001033556336 818829625 /nfs/dbraw/zinc/82/96/25/818829625.db2.gz ZEHFMRPKYSLKLR-LLVKDONJSA-N 0 1 276.340 0.818 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cnn(CC)c2)C1 ZINC001033574229 818835578 /nfs/dbraw/zinc/83/55/78/818835578.db2.gz SCDGLJWDOIHBJS-AWEZNQCLSA-N 0 1 274.368 0.611 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCCC)[C@@H](n2ccnn2)C1 ZINC001128719946 818868395 /nfs/dbraw/zinc/86/83/95/818868395.db2.gz VFOMCHCHGPNVTD-KGLIPLIRSA-N 0 1 289.383 0.833 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C2CCN(C(N)=O)CC2)C1 ZINC001033649151 818872530 /nfs/dbraw/zinc/87/25/30/818872530.db2.gz VCYWAPIQEGDGHN-CYBMUJFWSA-N 0 1 294.399 0.496 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cccnc2C(N)=O)C1 ZINC001033682331 818883349 /nfs/dbraw/zinc/88/33/49/818883349.db2.gz BSKBYSKYPOYYNV-NSHDSACASA-N 0 1 288.351 0.513 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001033681590 818885054 /nfs/dbraw/zinc/88/50/54/818885054.db2.gz UVZICNGWYLMFQC-OLZOCXBDSA-N 0 1 287.367 0.006 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)nc2)C1 ZINC001033708369 818894446 /nfs/dbraw/zinc/89/44/46/818894446.db2.gz YMYWFIVYKOQHJG-GFCCVEGCSA-N 0 1 288.351 0.513 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001033725658 818905662 /nfs/dbraw/zinc/90/56/62/818905662.db2.gz XHXAZSFAMBYIKX-OCCSQVGLSA-N 0 1 274.368 0.690 20 30 CCEDMN CCN(C(=O)c1ccc(C#N)[nH]1)[C@@H]1CC[N@@H+](C[C@@H](C)O)C1 ZINC001033800174 818940983 /nfs/dbraw/zinc/94/09/83/818940983.db2.gz BMWKFDLUJBQMAQ-DGCLKSJQSA-N 0 1 290.367 0.804 20 30 CCEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cnnn2C)C1 ZINC001033815815 818955665 /nfs/dbraw/zinc/95/56/65/818955665.db2.gz KLCPCLJROFIBHZ-LBPRGKRZSA-N 0 1 277.372 0.928 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@]23C[C@H]2COC3)C1 ZINC001033839532 818963702 /nfs/dbraw/zinc/96/37/02/818963702.db2.gz QMDJACWZUHBIIA-LZWOXQAQSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)C2CC(OC)C2)C1 ZINC001033869910 818973810 /nfs/dbraw/zinc/97/38/10/818973810.db2.gz PNJYILWMGDSFOL-MOKVOYLWSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001033882991 818978289 /nfs/dbraw/zinc/97/82/89/818978289.db2.gz ILRCSWDOXRBOSI-VIFPVBQESA-N 0 1 293.327 0.005 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ncoc2CC)[C@H](O)C1 ZINC001090148676 818980246 /nfs/dbraw/zinc/98/02/46/818980246.db2.gz CMTOGUUFURKAML-VXGBXAGGSA-N 0 1 293.367 0.978 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001033908248 818987555 /nfs/dbraw/zinc/98/75/55/818987555.db2.gz OKYMZGPJRWUUPC-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033944513 819014867 /nfs/dbraw/zinc/01/48/67/819014867.db2.gz GRVSASOZFDWCAM-GFCCVEGCSA-N 0 1 290.367 0.685 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ocnc2C)[C@H](O)C1 ZINC001090172946 819018942 /nfs/dbraw/zinc/01/89/42/819018942.db2.gz XYQHTNUVIYWSDP-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001034021577 819032187 /nfs/dbraw/zinc/03/21/87/819032187.db2.gz PUJOXVNVBZRLKE-NEPJUHHUSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C=C2CCC2)[C@@H](O)C1 ZINC001090182897 819054088 /nfs/dbraw/zinc/05/40/88/819054088.db2.gz QZBQMXFZRUPRJR-STQMWFEESA-N 0 1 250.342 0.834 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001034120701 819083058 /nfs/dbraw/zinc/08/30/58/819083058.db2.gz FXVUMOOVUXJSNV-CQSZACIVSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001034120701 819083064 /nfs/dbraw/zinc/08/30/64/819083064.db2.gz FXVUMOOVUXJSNV-CQSZACIVSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2COC(=O)N2)C1 ZINC001034191733 819113483 /nfs/dbraw/zinc/11/34/83/819113483.db2.gz RCBKSZPLIKNEND-VXGBXAGGSA-N 0 1 279.340 0.089 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn(C)c2)[C@H](O)C1 ZINC001090195699 819119101 /nfs/dbraw/zinc/11/91/01/819119101.db2.gz XAIMMILKJBPXJZ-QWHCGFSZSA-N 0 1 263.341 0.376 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001034266876 819147520 /nfs/dbraw/zinc/14/75/20/819147520.db2.gz ATOKAPDSVGTQOG-CYBMUJFWSA-N 0 1 288.351 0.790 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001034274136 819150583 /nfs/dbraw/zinc/15/05/83/819150583.db2.gz ANOZLIMHWYBFKB-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001034323890 819173129 /nfs/dbraw/zinc/17/31/29/819173129.db2.gz RARVZZYDVOGLAP-CJNGLKHVSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001034542276 819239310 /nfs/dbraw/zinc/23/93/10/819239310.db2.gz WONXUGPSCBHVCL-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)NC(=O)CCc1c[nH]nn1 ZINC001108131580 819247250 /nfs/dbraw/zinc/24/72/50/819247250.db2.gz PVZXCOYILLXAKJ-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)NC(=O)CCc1cnn[nH]1 ZINC001108131580 819247256 /nfs/dbraw/zinc/24/72/56/819247256.db2.gz PVZXCOYILLXAKJ-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CC(=O)N[C@H](C)C2)CC1 ZINC001045485172 819252716 /nfs/dbraw/zinc/25/27/16/819252716.db2.gz FDCVVYMTLZGDQA-OLZOCXBDSA-N 0 1 291.395 0.505 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC2(CCN(CC#N)C2)CC1 ZINC001035212498 819423870 /nfs/dbraw/zinc/42/38/70/819423870.db2.gz HAQRTRGIHFYPRI-UHFFFAOYSA-N 0 1 288.355 0.565 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@@H](F)CC)[C@@H](n2ccnn2)C1 ZINC001129169579 819491656 /nfs/dbraw/zinc/49/16/56/819491656.db2.gz BQQAIDIOOQNTLH-XQQFMLRXSA-N 0 1 295.362 0.944 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CN(CC3CC3)CCO2)cn1 ZINC001035586292 819578150 /nfs/dbraw/zinc/57/81/50/819578150.db2.gz PZKQQEKMSHOEED-INIZCTEOSA-N 0 1 299.374 0.904 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)CCn1cncn1)CC2 ZINC001035653225 819592957 /nfs/dbraw/zinc/59/29/57/819592957.db2.gz QDCYGLIYNNPKSF-UHFFFAOYSA-N 0 1 289.383 0.779 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1COCCO1)CC2 ZINC001035658644 819595401 /nfs/dbraw/zinc/59/54/01/819595401.db2.gz QLPSNSCNANRMES-CYBMUJFWSA-N 0 1 280.368 0.512 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCn1cccn1)CC2 ZINC001035658952 819595800 /nfs/dbraw/zinc/59/58/00/819595800.db2.gz PWAHWROYFAKYIN-UHFFFAOYSA-N 0 1 286.379 0.831 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](CC)OC)CC2 ZINC001035663851 819596681 /nfs/dbraw/zinc/59/66/81/819596681.db2.gz GPMYAXZKHVEBQH-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)CN1CCOCC1)CC2 ZINC001035689373 819604462 /nfs/dbraw/zinc/60/44/62/819604462.db2.gz VXMIPXRKKKXIJY-UHFFFAOYSA-N 0 1 293.411 0.429 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCNC1=O)CC2 ZINC001035765248 819621151 /nfs/dbraw/zinc/62/11/51/819621151.db2.gz UITVZNDEZRJEFG-CYBMUJFWSA-N 0 1 289.379 0.070 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CN(C)C(=O)N1)CC2 ZINC001035768148 819621308 /nfs/dbraw/zinc/62/13/08/819621308.db2.gz DJTGCCIHUHYQEF-GFCCVEGCSA-N 0 1 292.383 0.120 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1cnc[nH]1)CC2 ZINC001035806577 819631518 /nfs/dbraw/zinc/63/15/18/819631518.db2.gz HPPJJBXIWUYRLD-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN C[C@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)CC[C@H]1NCC#N ZINC001036041574 819676038 /nfs/dbraw/zinc/67/60/38/819676038.db2.gz JFWYCVNXPUJNPN-CMPLNLGQSA-N 0 1 298.350 0.922 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C)nn2)[C@@H](O)C1 ZINC001090259637 819972768 /nfs/dbraw/zinc/97/27/68/819972768.db2.gz VSEFHONCZMQKTD-YPMHNXCESA-N 0 1 276.340 0.136 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C)CC2)[C@H](O)C1 ZINC001090284915 820089145 /nfs/dbraw/zinc/08/91/45/820089145.db2.gz ZLYGXHFPPDEULL-NWDGAFQWSA-N 0 1 250.342 0.361 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@]2(C)CCOC2)[C@@H](O)C1 ZINC001083893007 820139225 /nfs/dbraw/zinc/13/92/25/820139225.db2.gz HMBBGQQHOSTUOS-DYEKYZERSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)Cc2cnc(C)o2)[C@H](O)C1 ZINC001090323330 820261027 /nfs/dbraw/zinc/26/10/27/820261027.db2.gz QXNRINGZIBMLIF-UONOGXRCSA-N 0 1 293.367 0.653 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(C3CC3)CC2)[C@H](O)C1 ZINC001090343719 820284037 /nfs/dbraw/zinc/28/40/37/820284037.db2.gz FNIJLJLACCCRAA-QWHCGFSZSA-N 0 1 264.369 0.914 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cn(CCOC)nn2)C1 ZINC001079686000 820452306 /nfs/dbraw/zinc/45/23/06/820452306.db2.gz LOWWZHDNSVSASU-VXGBXAGGSA-N 0 1 293.371 0.161 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3ncccc23)C1 ZINC001079861830 820479139 /nfs/dbraw/zinc/47/91/39/820479139.db2.gz QXPUDTFYQRGHAL-DGCLKSJQSA-N 0 1 283.335 0.413 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2OCC[C@H]2CC)C1 ZINC001079886620 820485001 /nfs/dbraw/zinc/48/50/01/820485001.db2.gz WDSPNBORCICEHO-SYQHCUMBSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCn3nccc32)C1 ZINC001079987050 820494791 /nfs/dbraw/zinc/49/47/91/820494791.db2.gz VLFXEHPZTOZFLN-HZSPNIEDSA-N 0 1 286.379 0.830 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCSCC)[C@@H](O)C1 ZINC001099706871 820499987 /nfs/dbraw/zinc/49/99/87/820499987.db2.gz JCEPXDKWXMRCBF-OLZOCXBDSA-N 0 1 284.425 0.704 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001080030138 820504366 /nfs/dbraw/zinc/50/43/66/820504366.db2.gz BIOFUKUHNUAQCK-DGCLKSJQSA-N 0 1 273.336 0.069 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001080183027 820524091 /nfs/dbraw/zinc/52/40/91/820524091.db2.gz FUEYILBZEMTRIE-GYSYKLTISA-N 0 1 265.357 0.135 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnc3n2CCCC3)C1 ZINC001080318598 820551831 /nfs/dbraw/zinc/55/18/31/820551831.db2.gz ZIVCLYSABRBTGG-CHWSQXEVSA-N 0 1 286.379 0.903 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1occc1CN(C)C ZINC001080344840 820554887 /nfs/dbraw/zinc/55/48/87/820554887.db2.gz PIHWCWLBESPYQN-DGCLKSJQSA-N 0 1 290.367 0.915 20 30 CCEDMN C#CCCN1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001080712668 820624025 /nfs/dbraw/zinc/62/40/25/820624025.db2.gz VUJONKRKXVJKNJ-BXUZGUMPSA-N 0 1 287.363 0.757 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2coc(C)n2)[C@H](OC)C1 ZINC001081585316 820793074 /nfs/dbraw/zinc/79/30/74/820793074.db2.gz KQUKHQHQYPJYOJ-DGCLKSJQSA-N 0 1 279.340 0.988 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](OC)C1 ZINC001081540449 820793915 /nfs/dbraw/zinc/79/39/15/820793915.db2.gz CGPHVRSFMODMKB-GHMZBOCLSA-N 0 1 294.355 0.429 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2n[nH]cc2C)[C@H](OC)C1 ZINC001081646605 820808257 /nfs/dbraw/zinc/80/82/57/820808257.db2.gz OTNRLDCDFAOONY-VXGBXAGGSA-N 0 1 276.340 0.170 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCOCC)[C@H](O)C1 ZINC001099729061 820841641 /nfs/dbraw/zinc/84/16/41/820841641.db2.gz BACLPSOSASEUCU-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)ncn2C)[C@H](OC)C1 ZINC001081819576 820846885 /nfs/dbraw/zinc/84/68/85/820846885.db2.gz FEJWUNVMGVVESY-CHWSQXEVSA-N 0 1 292.383 0.734 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@]2(F)CCOC2)[C@H](OC)C1 ZINC001082064096 820892315 /nfs/dbraw/zinc/89/23/15/820892315.db2.gz QPHFUYSDUORAJJ-BZPMIXESSA-N 0 1 286.347 0.507 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2nonc2C)[C@H](OC)C1 ZINC001082288189 820932185 /nfs/dbraw/zinc/93/21/85/820932185.db2.gz YUOJYMQTBALYLH-CHWSQXEVSA-N 0 1 294.355 0.312 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CC)C[C@H]2OC)nc1 ZINC001082333407 820937275 /nfs/dbraw/zinc/93/72/75/820937275.db2.gz GFINWPJXXLBBRT-ZIAGYGMSSA-N 0 1 273.336 0.512 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H]2CCCc3[nH]ncc32)C[C@H]1NCC#N ZINC001082512737 820990194 /nfs/dbraw/zinc/99/01/94/820990194.db2.gz NDOGBORHTCAVIS-UHIISALHSA-N 0 1 287.367 0.790 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@H]2CCC(=O)N2)C[C@H]1C ZINC001082802784 821035715 /nfs/dbraw/zinc/03/57/15/821035715.db2.gz TZIVGCIGILSAOX-YUSALJHKSA-N 0 1 299.802 0.844 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)/C=C\C2CC2)[C@@H](O)C1 ZINC001099765940 821044713 /nfs/dbraw/zinc/04/47/13/821044713.db2.gz DNYCWLQCUNLPEV-IBPBTGAJSA-N 0 1 250.342 0.690 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCC(=O)N2)C[C@H]1C ZINC001082872350 821051701 /nfs/dbraw/zinc/05/17/01/821051701.db2.gz DFEKELDEJDUYCO-DVVUODLYSA-N 0 1 285.775 0.454 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C3CCOCC3)[C@H]2C1 ZINC001082975316 821085682 /nfs/dbraw/zinc/08/56/82/821085682.db2.gz KZRGVSKUUNLTIU-LSDHHAIUSA-N 0 1 292.379 0.348 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@@H](CC)OC)[C@H]2C1 ZINC001083021582 821110675 /nfs/dbraw/zinc/11/06/75/821110675.db2.gz UIDONWOVCCFDDG-BFHYXJOUSA-N 0 1 282.384 0.899 20 30 CCEDMN CC(C)N1C[C@H]2OCCN(C(=O)c3c[nH]c(C#N)c3)[C@H]2C1 ZINC001083057344 821129603 /nfs/dbraw/zinc/12/96/03/821129603.db2.gz DJHIYVLJTDXQGD-UONOGXRCSA-N 0 1 288.351 0.820 20 30 CCEDMN CCN1C[C@H]2OCCN(C(=O)c3ccc(C#N)[nH]3)[C@H]2C1 ZINC001083054747 821129775 /nfs/dbraw/zinc/12/97/75/821129775.db2.gz XLJYDUPETFRVTN-QWHCGFSZSA-N 0 1 274.324 0.431 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001083085361 821138958 /nfs/dbraw/zinc/13/89/58/821138958.db2.gz NLKHWFZFGCSSBB-CBBWQLFWSA-N 0 1 294.395 0.899 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@@]3(F)CCOC3)[C@H]2C1 ZINC001083175251 821155160 /nfs/dbraw/zinc/15/51/60/821155160.db2.gz PDERQNVJHYKQOU-GZBFAFLISA-N 0 1 298.358 0.603 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cnn(CC)c2)[C@@H](O)C1 ZINC001083937652 821160683 /nfs/dbraw/zinc/16/06/83/821160683.db2.gz GSDUAVDRWYYXHS-KGLIPLIRSA-N 0 1 292.383 0.183 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CCCCN2CC)[C@@H](O)C1 ZINC001084091580 821198173 /nfs/dbraw/zinc/19/81/73/821198173.db2.gz FBCYDUNTOLSAJX-KFWWJZLASA-N 0 1 293.411 0.045 20 30 CCEDMN CCc1cc(C(=O)N2C[C@H]3CCN(CC#N)C[C@H]32)n[nH]1 ZINC001084199041 821233888 /nfs/dbraw/zinc/23/38/88/821233888.db2.gz OGBTVXOFMZWSOV-ZWNOBZJWSA-N 0 1 273.340 0.642 20 30 CCEDMN Cc1cc(C(=O)N2C[C@H]3CCN(CC#N)C[C@H]32)n[nH]1 ZINC001084214520 821240518 /nfs/dbraw/zinc/24/05/18/821240518.db2.gz ULLPVBWGYKGVNE-ZYHUDNBSSA-N 0 1 259.313 0.388 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCn3ccnn3)[C@@H]2C1 ZINC001084306807 821265046 /nfs/dbraw/zinc/26/50/46/821265046.db2.gz IFRNSOKWOBOVMB-CHWSQXEVSA-N 0 1 275.356 0.387 20 30 CCEDMN Cc1nc(CN2CC[C@@H]3CN(C(=O)C#CC4CC4)[C@@H]3C2)n[nH]1 ZINC001084333928 821273534 /nfs/dbraw/zinc/27/35/34/821273534.db2.gz HIRJVYDBAHMLEE-ZIAGYGMSSA-N 0 1 299.378 0.559 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3C[C@H]3C(=O)N(C)C)[C@@H]2C1 ZINC001084664447 821340309 /nfs/dbraw/zinc/34/03/09/821340309.db2.gz WTRRKIGDBVDVFT-YIYPIFLZSA-N 0 1 291.395 0.429 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCN(C)C(=O)C3)[C@@H]2C1 ZINC001084460197 821293052 /nfs/dbraw/zinc/29/30/52/821293052.db2.gz NLUXMLGMMVFLCJ-MGPQQGTHSA-N 0 1 291.395 0.574 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3[nH]c(=O)[nH]c3C)[C@@H]2C1 ZINC001084432130 821296321 /nfs/dbraw/zinc/29/63/21/821296321.db2.gz BVQGJICLALPANM-VXGBXAGGSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cncn3C)[C@@H]2C1 ZINC001084491646 821300802 /nfs/dbraw/zinc/30/08/02/821300802.db2.gz ZXEVTWOQVLXXHG-TZMCWYRMSA-N 0 1 272.352 0.590 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CCN(CCO)C[C@H]32)cc1 ZINC001084568111 821313266 /nfs/dbraw/zinc/31/32/66/821313266.db2.gz ZAQBJMAEUHGXJJ-HZPDHXFCSA-N 0 1 284.359 0.807 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN(CC=C)C[C@H]1O ZINC001099807436 821322210 /nfs/dbraw/zinc/32/22/10/821322210.db2.gz QAZUMBXIDRUOCC-QWHCGFSZSA-N 0 1 250.342 0.527 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCC(=O)N3)[C@@H]2C1 ZINC001084717899 821359423 /nfs/dbraw/zinc/35/94/23/821359423.db2.gz NKDREWUZVZFYPB-HZSPNIEDSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3nc(C)c[nH]3)[C@@H]2C1 ZINC001084712272 821359632 /nfs/dbraw/zinc/35/96/32/821359632.db2.gz ZQIXBAURHGCQEW-CHWSQXEVSA-N 0 1 272.352 0.888 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N1CC(N2CCN(C)CC2)C1 ZINC001119569079 821371031 /nfs/dbraw/zinc/37/10/31/821371031.db2.gz ZIQIEKSRBDDQOV-MRXNPFEDSA-N 0 1 295.427 0.673 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cn(C)c(=O)n3C)[C@@H]2C1 ZINC001084857136 821413196 /nfs/dbraw/zinc/41/31/96/821413196.db2.gz MSJFGGHEIPSNEF-VXGBXAGGSA-N 0 1 290.367 0.056 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H]3CCCO3)C[C@@H]21 ZINC001084885400 821422194 /nfs/dbraw/zinc/42/21/94/821422194.db2.gz YGWZIHQSAIBKBN-RDBSUJKOSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H]3CCC(=O)NC3)C[C@@H]21 ZINC001084978192 821448138 /nfs/dbraw/zinc/44/81/38/821448138.db2.gz BFZAZFKWOBVOPT-RDBSUJKOSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cc1cnn(C)c1 ZINC001231161808 821502909 /nfs/dbraw/zinc/50/29/09/821502909.db2.gz OQGDITIZUHFQCS-CQSZACIVSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(Cc1ccnnc1)CC2 ZINC001273270690 821521724 /nfs/dbraw/zinc/52/17/24/821521724.db2.gz BJEYTLVKEUQYQY-AWEZNQCLSA-N 0 1 284.363 0.828 20 30 CCEDMN C#CCN1CC[C@@]2(CCC[N@@H+]2Cc2ncccc2O)C1=O ZINC001273325734 821679502 /nfs/dbraw/zinc/67/95/02/821679502.db2.gz QPGRBLHTJMOKHP-INIZCTEOSA-N 0 1 285.347 0.987 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1COC(=O)N1 ZINC001085571163 821807422 /nfs/dbraw/zinc/80/74/22/821807422.db2.gz YEOUTPJGYGUGPS-WDEREUQCSA-N 0 1 267.329 0.204 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001085597418 821843082 /nfs/dbraw/zinc/84/30/82/821843082.db2.gz XRUWXDOYGHHWKP-NEPJUHHUSA-N 0 1 287.367 0.886 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)[C@H]1CCCCN1C ZINC001085673694 821890165 /nfs/dbraw/zinc/89/01/65/821890165.db2.gz CKYPLQYBFNYOME-CHWSQXEVSA-N 0 1 264.373 0.527 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCC(=O)NC1 ZINC001085687834 821900735 /nfs/dbraw/zinc/90/07/35/821900735.db2.gz WKICBQVGLOLCBT-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN Cc1nsc(NC[C@@H](C)NC(=O)c2cnn[nH]2)c1C#N ZINC001108204715 821906289 /nfs/dbraw/zinc/90/62/89/821906289.db2.gz UWTWMPMSVRZVRE-ZCFIWIBFSA-N 0 1 291.340 0.672 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCC(=O)N1C ZINC001085767489 821939878 /nfs/dbraw/zinc/93/98/78/821939878.db2.gz KCZQPPJKGJNBSX-STQMWFEESA-N 0 1 277.368 0.163 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001085817801 821969955 /nfs/dbraw/zinc/96/99/55/821969955.db2.gz JEFAWVJRISUFIE-UTUOFQBUSA-N 0 1 265.357 0.217 20 30 CCEDMN C=CCn1cc(C(=O)N(C)C[C@H]2CCN2CC)nn1 ZINC001085861012 821985834 /nfs/dbraw/zinc/98/58/34/821985834.db2.gz NBZKWVPCSLNLAE-LLVKDONJSA-N 0 1 263.345 0.630 20 30 CCEDMN C[C@H]1CCN(CC(=O)NC[C@@]2(C)CN(CC#N)CCO2)C1 ZINC001108215042 821986083 /nfs/dbraw/zinc/98/60/83/821986083.db2.gz ADZRKQOSFLSDFX-ZFWWWQNUSA-N 0 1 294.399 0.059 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(CCO)C[C@H]1O ZINC001100020794 822075107 /nfs/dbraw/zinc/07/51/07/822075107.db2.gz SRPQKFRHIJVFMX-VXGBXAGGSA-N 0 1 270.373 0.132 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001086111460 822116252 /nfs/dbraw/zinc/11/62/52/822116252.db2.gz LFZZIWMXWNLDMD-WRWGMCAJSA-N 0 1 273.340 0.521 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CCCN(CC(=O)NC)CC1 ZINC001273412436 822162931 /nfs/dbraw/zinc/16/29/31/822162931.db2.gz ZVDBCTUYRYTZPP-CQSZACIVSA-N 0 1 293.411 0.897 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCN(C(=O)c2ccn[nH]2)CC1 ZINC001066474890 822197591 /nfs/dbraw/zinc/19/75/91/822197591.db2.gz KVTHSJGKJHDZRF-WDEREUQCSA-N 0 1 289.339 0.680 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CNc2ccncc2C#N)c1C ZINC001108298127 822333325 /nfs/dbraw/zinc/33/33/25/822333325.db2.gz RANAWEGFTJQJCR-VIFPVBQESA-N 0 1 298.350 0.946 20 30 CCEDMN Cc1cc(N(C)[C@H](C)CNC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001113969894 837388263 /nfs/dbraw/zinc/38/82/63/837388263.db2.gz CZZFOYIEHKQBQX-SNVBAGLBSA-N 0 1 299.338 0.635 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCc1ccncc1 ZINC001115020205 837401608 /nfs/dbraw/zinc/40/16/08/837401608.db2.gz FOEDWHGCYIHJKR-QLPKVWCKSA-N 0 1 299.374 0.668 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NC)C[C@H]21 ZINC001114024685 837407447 /nfs/dbraw/zinc/40/74/47/837407447.db2.gz CJGBDQZEOKOBIL-DCQANWLSSA-N 0 1 265.357 0.134 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)N(C)C)C[C@H]21 ZINC001114025002 837407474 /nfs/dbraw/zinc/40/74/74/837407474.db2.gz HOSKDHJGMAMUHP-CIQGVGRVSA-N 0 1 279.384 0.476 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCCOC ZINC001114013032 837409056 /nfs/dbraw/zinc/40/90/56/837409056.db2.gz QFBLJLHKUNIZIV-IMRBUKKESA-N 0 1 250.342 0.483 20 30 CCEDMN CCOCCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114030336 837410447 /nfs/dbraw/zinc/41/04/47/837410447.db2.gz BWRYZJGDMXNSGD-NHAGDIPZSA-N 0 1 280.368 0.109 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC1(F)F ZINC001114223714 837479509 /nfs/dbraw/zinc/47/95/09/837479509.db2.gz JZGNVFVUXGUABG-KXNHARMFSA-N 0 1 284.306 0.338 20 30 CCEDMN C=CCOCCCC(=O)Nc1nn[nH]c1C(=O)NC ZINC001129600452 837532504 /nfs/dbraw/zinc/53/25/04/837532504.db2.gz WZXNJYDZZMWDFG-UHFFFAOYSA-N 0 1 267.289 0.086 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)C=Cc1ccccc1C#N ZINC001129600638 837533025 /nfs/dbraw/zinc/53/30/25/837533025.db2.gz YBPCBPMVZKPKRH-VOTSOKGWSA-N 0 1 296.290 0.688 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCCNCc1ocnc1C ZINC001129770942 837558025 /nfs/dbraw/zinc/55/80/25/837558025.db2.gz NXLROIUSCATDLB-ZDUSSCGKSA-N 0 1 290.367 0.286 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cn(C)nn1 ZINC001157898317 837602928 /nfs/dbraw/zinc/60/29/28/837602928.db2.gz VEKCNYROYLHJMJ-GFCCVEGCSA-N 0 1 275.356 0.375 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COCc1cc[nH]c(=O)c1 ZINC001130214292 837730202 /nfs/dbraw/zinc/73/02/02/837730202.db2.gz JWZODLDTMBYPFO-UHFFFAOYSA-N 0 1 299.758 0.762 20 30 CCEDMN N#Cc1c2ccccc2cnc1-n1nnnc1CN ZINC001168918803 836049579 /nfs/dbraw/zinc/04/95/79/836049579.db2.gz LLNLWRIVBXRHRH-UHFFFAOYSA-N 0 1 251.253 0.541 20 30 CCEDMN C=CCN1CCN([C@@H]2CC[C@H](C(=O)OCC)OC2)CC1 ZINC001169391651 836261695 /nfs/dbraw/zinc/26/16/95/836261695.db2.gz ISDODOBSBBTNJZ-ZIAGYGMSSA-N 0 1 282.384 0.901 20 30 CCEDMN C=C(C)CCN1CC(N2C[C@@H](NC(=O)COC)CC2=O)C1 ZINC001108493491 836309672 /nfs/dbraw/zinc/30/96/72/836309672.db2.gz QQUBCWQGYOQSAA-LBPRGKRZSA-N 0 1 295.383 0.000 20 30 CCEDMN CC(=O)N1CC[C@@H](NC2(C#N)CCN(C)CC2)C1 ZINC001169526747 836327033 /nfs/dbraw/zinc/32/70/33/836327033.db2.gz OPNSCWJFSDQZNC-GFCCVEGCSA-N 0 1 250.346 0.185 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCCCNCc1cnon1 ZINC001169822242 836454153 /nfs/dbraw/zinc/45/41/53/836454153.db2.gz SRFVCEGCLSRZHJ-MNOVXSKESA-N 0 1 279.344 0.994 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+]C1CCC(S(C)(=O)=O)CC1 ZINC001169862815 836468693 /nfs/dbraw/zinc/46/86/93/836468693.db2.gz CLLUYRGYANMCSJ-PMUOWJKOSA-N 0 1 290.385 0.168 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001108783635 836527231 /nfs/dbraw/zinc/52/72/31/836527231.db2.gz YUMJAVNYWCJNDG-QMMMGPOBSA-N 0 1 286.299 0.005 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CN(C)c1ncnc2[nH]cnc21 ZINC001108880354 836565390 /nfs/dbraw/zinc/56/53/90/836565390.db2.gz PZRJAARBSNAXEJ-BDAKNGLRSA-N 0 1 287.327 0.453 20 30 CCEDMN C[C@H](CN(C)c1ncnc2[nH]cnc21)NC(=O)[C@@H](C)C#N ZINC001108880355 836565747 /nfs/dbraw/zinc/56/57/47/836565747.db2.gz PZRJAARBSNAXEJ-DTWKUNHWSA-N 0 1 287.327 0.453 20 30 CCEDMN C[C@H](CN(C)c1ccc(C#N)nc1)NC(=O)c1ncn[nH]1 ZINC001109063256 836607915 /nfs/dbraw/zinc/60/79/15/836607915.db2.gz PWXNLCSMIOOQRC-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN C[C@H](CN(C)c1ccc(C#N)nc1)NC(=O)c1nc[nH]n1 ZINC001109063256 836607919 /nfs/dbraw/zinc/60/79/19/836607919.db2.gz PWXNLCSMIOOQRC-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOCC ZINC001109270122 836650054 /nfs/dbraw/zinc/65/00/54/836650054.db2.gz RYNKMYKWXJTCHV-XGUBFFRZSA-N 0 1 294.395 0.783 20 30 CCEDMN Cc1nc(N(C)C[C@H](C)NC(=O)c2cnn[nH]2)ccc1C#N ZINC001109318140 836656784 /nfs/dbraw/zinc/65/67/84/836656784.db2.gz ADNZKLVYHNHCOE-VIFPVBQESA-N 0 1 299.338 0.635 20 30 CCEDMN Cc1cc(C(=O)N(C)CCCNc2ccc(C#N)nn2)n[nH]1 ZINC001109377447 836666007 /nfs/dbraw/zinc/66/60/07/836666007.db2.gz UZPIJLYSUAGHTG-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN Cc1cc(N(C(N)=[NH2+])C(=O)CCC(=O)C2=CC=C[CH]2)n[nH]1 ZINC001184247718 844189345 /nfs/dbraw/zinc/18/93/45/844189345.db2.gz XBTIBHUEDVMMJP-UHFFFAOYSA-N 0 1 286.315 0.994 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ncccn1)C2 ZINC001109865407 836739444 /nfs/dbraw/zinc/73/94/44/836739444.db2.gz OFDGSCLIBNXOEE-RDBSUJKOSA-N 0 1 284.363 0.764 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cnccn1)C2 ZINC001109931051 836746334 /nfs/dbraw/zinc/74/63/34/836746334.db2.gz CLIJKYGDRDTXDX-ILXRZTDVSA-N 0 1 284.363 0.764 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCn2ccnc2)[C@@H](O)C1 ZINC001100138828 836774994 /nfs/dbraw/zinc/77/49/94/836774994.db2.gz LECUMSUJQUAGDH-KGLIPLIRSA-N 0 1 292.383 0.401 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCn2ccnc2)[C@H](O)C1 ZINC001100138829 836776742 /nfs/dbraw/zinc/77/67/42/836776742.db2.gz LECUMSUJQUAGDH-UONOGXRCSA-N 0 1 292.383 0.401 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H](C)NC(C)=O)CC1 ZINC001112603170 836817458 /nfs/dbraw/zinc/81/74/58/836817458.db2.gz KCBHNRKZGHGNND-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H](C)NC(C)=O)CC1 ZINC001112604366 836820163 /nfs/dbraw/zinc/82/01/63/836820163.db2.gz XTXXUMKWNQTBOQ-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC001112683920 836859105 /nfs/dbraw/zinc/85/91/05/836859105.db2.gz VCIMKKHROGQLGO-STQMWFEESA-N 0 1 280.368 0.906 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2COC(=O)N2)CC1 ZINC001112815034 836923705 /nfs/dbraw/zinc/92/37/05/836923705.db2.gz BPHDMPGXZNJBEC-LLVKDONJSA-N 0 1 267.329 0.205 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(CC[C@@H]2CCOC2)CC1 ZINC001112814421 836924320 /nfs/dbraw/zinc/92/43/20/836924320.db2.gz PMUHGJXNYYNOJG-ZBFHGGJFSA-N 0 1 296.411 0.884 20 30 CCEDMN C#CCN1CCN(C(=O)[C@@H](F)CN(CC)CC)CC1 ZINC001112908762 836960548 /nfs/dbraw/zinc/96/05/48/836960548.db2.gz FGYHYYGAOLFHQZ-ZDUSSCGKSA-N 0 1 269.364 0.444 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC001112909429 836961588 /nfs/dbraw/zinc/96/15/88/836961588.db2.gz QVRMKCWRMDAFFJ-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN Cc1nc(N[C@H](C)[C@H](C)NC(=O)c2ncn[nH]2)ccc1C#N ZINC001113117251 837024432 /nfs/dbraw/zinc/02/44/32/837024432.db2.gz VZKFXJHAYRIQME-BDAKNGLRSA-N 0 1 299.338 0.999 20 30 CCEDMN Cc1nc(N[C@H](C)[C@H](C)NC(=O)c2nc[nH]n2)ccc1C#N ZINC001113117251 837024440 /nfs/dbraw/zinc/02/44/40/837024440.db2.gz VZKFXJHAYRIQME-BDAKNGLRSA-N 0 1 299.338 0.999 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2CN(C)C(=O)N2)CC1 ZINC001113190990 837049057 /nfs/dbraw/zinc/04/90/57/837049057.db2.gz YQIQAJFJSLUCIG-QWHCGFSZSA-N 0 1 294.399 0.509 20 30 CCEDMN N#CCc1cc(NC2CN(c3ncccn3)C2)ccn1 ZINC001170130330 837065570 /nfs/dbraw/zinc/06/55/70/837065570.db2.gz SJXFAAIKYXXDIE-UHFFFAOYSA-N 0 1 266.308 0.660 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cccc1 ZINC001115015245 837076913 /nfs/dbraw/zinc/07/69/13/837076913.db2.gz ASEFLAHBFYYHMX-NHAGDIPZSA-N 0 1 257.337 0.558 20 30 CCEDMN C[C@H](NC(=O)Cc1cnc[nH]1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001113313187 837083607 /nfs/dbraw/zinc/08/36/07/837083607.db2.gz DUVCQIRKGUJLSD-UWVGGRQHSA-N 0 1 299.338 0.619 20 30 CCEDMN CCOC(=O)[C@H](C#N)Nc1cnccc1N1CCOCC1 ZINC001170368033 837314142 /nfs/dbraw/zinc/31/41/42/837314142.db2.gz CHXJWBBRPBWIOT-NSHDSACASA-N 0 1 290.323 0.785 20 30 CCEDMN N#CCc1cc(NCC(=O)NCc2ccco2)ccn1 ZINC001170382290 837332921 /nfs/dbraw/zinc/33/29/21/837332921.db2.gz FYJIEXQZFJMTDZ-UHFFFAOYSA-N 0 1 270.292 0.891 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCC ZINC001113871099 837350376 /nfs/dbraw/zinc/35/03/76/837350376.db2.gz PDQGLLIPKGUBNA-CXTNEJHOSA-N 0 1 293.411 0.914 20 30 CCEDMN CCCC(=O)NCc1n[nH]c([C@H]2C[C@H](F)CN2CC#N)n1 ZINC001131138766 838001220 /nfs/dbraw/zinc/00/12/20/838001220.db2.gz URRIUEPVWPJEHM-VHSXEESVSA-N 0 1 294.334 0.829 20 30 CCEDMN CCCC(=O)NCc1nnc([C@H]2C[C@H](F)CN2CC#N)[nH]1 ZINC001131138766 838001225 /nfs/dbraw/zinc/00/12/25/838001225.db2.gz URRIUEPVWPJEHM-VHSXEESVSA-N 0 1 294.334 0.829 20 30 CCEDMN CC(C)C(=O)NCc1n[nH]c([C@H]2C[C@H](F)CN2CC#N)n1 ZINC001131142471 838007125 /nfs/dbraw/zinc/00/71/25/838007125.db2.gz OAFMSCXCHVQVRN-VHSXEESVSA-N 0 1 294.334 0.685 20 30 CCEDMN CC(C)C(=O)NCc1nnc([C@H]2C[C@H](F)CN2CC#N)[nH]1 ZINC001131142471 838007136 /nfs/dbraw/zinc/00/71/36/838007136.db2.gz OAFMSCXCHVQVRN-VHSXEESVSA-N 0 1 294.334 0.685 20 30 CCEDMN CN(C(=O)[C@@H](CC#N)c1ccccc1)c1nn[nH]n1 ZINC001131169108 838012508 /nfs/dbraw/zinc/01/25/08/838012508.db2.gz AKDPVUANAFBQDY-JTQLQIEISA-N 0 1 256.269 0.860 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1cc(C)nn1C ZINC001131281680 838030497 /nfs/dbraw/zinc/03/04/97/838030497.db2.gz MSWTYMSWMMSFGB-UHFFFAOYSA-N 0 1 270.764 0.729 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1[nH]c(=O)ccc1Cl ZINC001184799482 844289281 /nfs/dbraw/zinc/28/92/81/844289281.db2.gz ZSWLAFHACOESEG-UHFFFAOYSA-N 0 1 263.644 0.714 20 30 CCEDMN CC#CCN1CC[C@@]2(C1)CN(C(=O)COCC)C[C@@H](C)O2 ZINC001131639741 838163076 /nfs/dbraw/zinc/16/30/76/838163076.db2.gz WQMUMSUVBNERBH-GDBMZVCRSA-N 0 1 294.395 0.738 20 30 CCEDMN C[C@@H]1CC[C@H](NC(=O)C#CC2CC2)CN1CCOCCO ZINC001132033611 838301741 /nfs/dbraw/zinc/30/17/41/838301741.db2.gz ITYJNLAJKMGDHK-HIFRSBDPSA-N 0 1 294.395 0.378 20 30 CCEDMN CNC(=O)[C@H](C)N(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001273496077 844320933 /nfs/dbraw/zinc/32/09/33/844320933.db2.gz SNXLGFFNJCLRHN-QWRGUYRKSA-N 0 1 268.361 0.061 20 30 CCEDMN C=CC[N@H+]1C[C@@H](NC(=O)CN(C)C(=O)COC)CC[C@H]1C ZINC001132097463 838316329 /nfs/dbraw/zinc/31/63/29/838316329.db2.gz JVBXIVNFYPXCHZ-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCCNC(N)=O)CC[C@H]1C ZINC001132165489 838332177 /nfs/dbraw/zinc/33/21/77/838332177.db2.gz VWOABILGTRUFPN-OLZOCXBDSA-N 0 1 294.399 0.427 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccn2nnnc2c1 ZINC001132272102 838352045 /nfs/dbraw/zinc/35/20/45/838352045.db2.gz NTVIRLSBGQSTNS-UHFFFAOYSA-N 0 1 280.719 0.196 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)NC(C)=O)CC[C@H]1C ZINC001132365956 838373576 /nfs/dbraw/zinc/37/35/76/838373576.db2.gz TZXZFGRHVOFVNK-OCCSQVGLSA-N 0 1 293.411 0.894 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNCc1cnnn1CC ZINC001132404083 838387661 /nfs/dbraw/zinc/38/76/61/838387661.db2.gz PKPRZOHWRASOCK-UHFFFAOYSA-N 0 1 265.361 0.716 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2(C(=O)N(C)C)CC2)CC[C@H]1C ZINC001132417156 838391437 /nfs/dbraw/zinc/39/14/37/838391437.db2.gz SDRCSAUKQQVQPI-OLZOCXBDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2cncn2C)CC[C@@H]1C ZINC001132458470 838405132 /nfs/dbraw/zinc/40/51/32/838405132.db2.gz UTBAIJYPNRBCDC-UONOGXRCSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COCCOCC)CC[C@H]1C ZINC001132517275 838418353 /nfs/dbraw/zinc/41/83/53/838418353.db2.gz AGZNQZZRSPANGM-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCN2CCCC2=O)CC[C@@H]1C ZINC001132494654 838418447 /nfs/dbraw/zinc/41/84/47/838418447.db2.gz VSDNGTBDFQPQCU-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CNC(=O)C2CCC2)CC[C@@H]1C ZINC001132523154 838426162 /nfs/dbraw/zinc/42/61/62/838426162.db2.gz YJLFVFIXPHBDOR-GXTWGEPZSA-N 0 1 291.395 0.505 20 30 CCEDMN C[C@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC[C@H]1CNCC#N ZINC001185086721 844334254 /nfs/dbraw/zinc/33/42/54/844334254.db2.gz RQGOZNCKRKSBNM-QWRGUYRKSA-N 0 1 291.355 0.337 20 30 CCEDMN Cn1cc(C(=O)NCCNCc2ccccc2C#N)[nH]c1=O ZINC001133335026 838600615 /nfs/dbraw/zinc/60/06/15/838600615.db2.gz HXFOXNNPHRYTOE-UHFFFAOYSA-N 0 1 299.334 0.517 20 30 CCEDMN C=C1CC(C)(C(=O)NCCNCCS(C)(=O)=O)C1 ZINC001133570368 838669379 /nfs/dbraw/zinc/66/93/79/838669379.db2.gz YKRJEXVQRBOTFA-UHFFFAOYSA-N 0 1 274.386 0.093 20 30 CCEDMN C#Cc1cncc(C(=O)NCCNCc2cnoc2C)c1 ZINC001133593322 838677203 /nfs/dbraw/zinc/67/72/03/838677203.db2.gz YXOIKXXLEHSYTM-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@@H](C)NCc1cnon1 ZINC001133909415 838773353 /nfs/dbraw/zinc/77/33/53/838773353.db2.gz LMQZQFLEAWWOOV-MNOVXSKESA-N 0 1 282.344 0.645 20 30 CCEDMN N#Cc1c(Cl)ncnc1NC[C@@H]1COCCN1 ZINC001159043599 838834653 /nfs/dbraw/zinc/83/46/53/838834653.db2.gz VPPFKFMHTJWYOJ-SSDOTTSWSA-N 0 1 253.693 0.402 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCc2nnnn2CC1 ZINC001134098745 838872746 /nfs/dbraw/zinc/87/27/46/838872746.db2.gz IFILSMMGXHBYLD-JTQLQIEISA-N 0 1 298.778 0.084 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C[C@@H](C)NCc1nnnn1C ZINC001134341939 838947315 /nfs/dbraw/zinc/94/73/15/838947315.db2.gz WRJOBIWRUQCRND-VXGBXAGGSA-N 0 1 292.387 0.242 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1nc2ncccn2n1 ZINC001135554715 839293997 /nfs/dbraw/zinc/29/39/97/839293997.db2.gz XXZMKNNJOUEIPI-UHFFFAOYSA-N 0 1 280.719 0.196 20 30 CCEDMN CC[C@](N)(CO)Nc1ccc([N+](=O)[O-])cc1C#N ZINC001170863009 839456601 /nfs/dbraw/zinc/45/66/01/839456601.db2.gz KWWMIANOHLWMQN-LLVKDONJSA-N 0 1 250.258 0.936 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn(CC2CC2)nn1 ZINC001135963345 839481980 /nfs/dbraw/zinc/48/19/80/839481980.db2.gz HFEPYAOGMSOOFV-UHFFFAOYSA-N 0 1 283.763 0.760 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2conc2CC)[C@@H](O)C1 ZINC001090555135 839639530 /nfs/dbraw/zinc/63/95/30/839639530.db2.gz HPBGMZIKWQJJGC-KGLIPLIRSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)C[NH+]2CCC(C)(C)C2)[C@@H](O)C1 ZINC001090574772 839653690 /nfs/dbraw/zinc/65/36/90/839653690.db2.gz WZCYGSCXHXSYOX-KGLIPLIRSA-N 0 1 295.427 0.456 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnoc2CC)[C@H](O)C1 ZINC001090614968 839678432 /nfs/dbraw/zinc/67/84/32/839678432.db2.gz CXWKBGDBKAHBPY-QWHCGFSZSA-N 0 1 293.367 0.978 20 30 CCEDMN COc1cccc(CC(=O)NC2(C#N)CCN(C)CC2)n1 ZINC001136630818 839683728 /nfs/dbraw/zinc/68/37/28/839683728.db2.gz ZNXLNBKIDPZRPM-UHFFFAOYSA-N 0 1 288.351 0.737 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cscn2)[C@H](O)C1 ZINC001090628163 839687500 /nfs/dbraw/zinc/68/75/00/839687500.db2.gz LHTXCNWHVSALTB-NWDGAFQWSA-N 0 1 281.381 0.423 20 30 CCEDMN N#C[C@H](NC(=O)c1nc[nH]c(=O)c1Br)C1CC1 ZINC001136696946 839716789 /nfs/dbraw/zinc/71/67/89/839716789.db2.gz BKFAWZONZBLYHL-LURJTMIESA-N 0 1 297.112 0.977 20 30 CCEDMN C#CCCNCc1cn([C@H]2CCCN(C(=O)CC)C2)nn1 ZINC001185833383 844464865 /nfs/dbraw/zinc/46/48/65/844464865.db2.gz KUWYTLVOQSMDNO-AWEZNQCLSA-N 0 1 289.383 0.965 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cn(CC)nn2)[C@H](O)C1 ZINC001090677987 839731570 /nfs/dbraw/zinc/73/15/70/839731570.db2.gz CSBSBQMMMMSHGM-WCQYABFASA-N 0 1 293.371 0.039 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cn(CC)nn2)[C@@H](O)C1 ZINC001090677988 839733291 /nfs/dbraw/zinc/73/32/91/839733291.db2.gz CSBSBQMMMMSHGM-YPMHNXCESA-N 0 1 293.371 0.039 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nocc2C)[C@H](O)C1 ZINC001090705132 839750905 /nfs/dbraw/zinc/75/09/05/839750905.db2.gz QUOKDMJESOCSSV-GHMZBOCLSA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(CCC)n2)[C@H](O)C1 ZINC001090721813 839755528 /nfs/dbraw/zinc/75/55/28/839755528.db2.gz CNCNPOVQYCZNDI-DGCLKSJQSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn3cc[nH]c23)[C@@H](O)C1 ZINC001090764428 839784526 /nfs/dbraw/zinc/78/45/26/839784526.db2.gz RGRDYFNFVVEPJC-NEPJUHHUSA-N 0 1 289.339 0.013 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)Cc2[nH]cnc2C)[C@@H](O)C1 ZINC001090764288 839785836 /nfs/dbraw/zinc/78/58/36/839785836.db2.gz QVKMUVFMBBYZSD-OCCSQVGLSA-N 0 1 292.383 0.388 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)nn(C)c2F)[C@H](O)C1 ZINC001090770815 839799206 /nfs/dbraw/zinc/79/92/06/839799206.db2.gz KXOYTVKVQNGAEE-GHMZBOCLSA-N 0 1 296.346 0.219 20 30 CCEDMN N#Cc1c2ccccc2[nH]c1C(=O)Nc1nn[nH]n1 ZINC001136946471 839817563 /nfs/dbraw/zinc/81/75/63/839817563.db2.gz BJHMYHQIRKKRLQ-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc(C)n2)[C@@H](O)C1 ZINC001090819963 839835182 /nfs/dbraw/zinc/83/51/82/839835182.db2.gz KGOJCYHRQQFMNE-YPMHNXCESA-N 0 1 276.340 0.136 20 30 CCEDMN CN1C[C@@H]2C[N@@H+](Cc3cncc(C#N)c3)C[C@@H]2C1=O ZINC001144080825 839932016 /nfs/dbraw/zinc/93/20/16/839932016.db2.gz ZZSUASVXKLCTRC-OLZOCXBDSA-N 0 1 256.309 0.473 20 30 CCEDMN CN1C[C@@H]2CN(Cc3cncc(C#N)c3)C[C@@H]2C1=O ZINC001144080825 839932024 /nfs/dbraw/zinc/93/20/24/839932024.db2.gz ZZSUASVXKLCTRC-OLZOCXBDSA-N 0 1 256.309 0.473 20 30 CCEDMN COC(=O)C[C@H](C)CC(=O)Nc1nc[nH]c1C#N ZINC001144371549 840023684 /nfs/dbraw/zinc/02/36/84/840023684.db2.gz CXKUXSCSTAYLAJ-SSDOTTSWSA-N 0 1 250.258 0.809 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1cnccn1 ZINC001146727417 840357096 /nfs/dbraw/zinc/35/70/96/840357096.db2.gz HNVPRGGNBJBATR-UHFFFAOYSA-N 0 1 268.748 0.868 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC2(CN(C(=O)C3CC3)C2)C1 ZINC001146871195 840410597 /nfs/dbraw/zinc/41/05/97/840410597.db2.gz FJNFWPKQJPELHV-GFCCVEGCSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCCOCC)C2)C1 ZINC001147040790 840451942 /nfs/dbraw/zinc/45/19/42/840451942.db2.gz SFMXKXYFZAWANV-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCCCOC)C2)C1 ZINC001147158924 840505807 /nfs/dbraw/zinc/50/58/07/840505807.db2.gz LJMMGVFDTBGVLL-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@H]1CCCNC1=O)C2 ZINC001147165391 840508681 /nfs/dbraw/zinc/50/86/81/840508681.db2.gz DVUNHIVOQSHJKH-ZDUSSCGKSA-N 0 1 291.395 0.766 20 30 CCEDMN C#CCCCC(=O)N1CC2(C1)CCN([C@@H](CC)C(N)=O)C2 ZINC001147435606 840584572 /nfs/dbraw/zinc/58/45/72/840584572.db2.gz WHMYKUCEHXSQMT-ZDUSSCGKSA-N 0 1 291.395 0.588 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3(COC)CC3)C2)C1 ZINC001147898470 840717897 /nfs/dbraw/zinc/71/78/97/840717897.db2.gz MTIGRHKBOADQAP-UHFFFAOYSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCCCC(N)=O)C2)C1 ZINC001148567701 840839534 /nfs/dbraw/zinc/83/95/34/840839534.db2.gz FDSNETNNHATGEM-UHFFFAOYSA-N 0 1 277.368 0.200 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001149541485 840947918 /nfs/dbraw/zinc/94/79/18/840947918.db2.gz PBVMWBQZZDBHCF-QWHCGFSZSA-N 0 1 296.367 0.333 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CN([C@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001091916135 840971100 /nfs/dbraw/zinc/97/11/00/840971100.db2.gz BXECDHCPFGRRPU-HSMVNMDESA-N 0 1 293.411 0.853 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)COC)[C@H](O)C1 ZINC001099919264 841074783 /nfs/dbraw/zinc/07/47/83/841074783.db2.gz YSFQGCWVTQDDCD-QWHCGFSZSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(c3ncnc4[nH]cnc43)C[C@H]21 ZINC001093226135 841182052 /nfs/dbraw/zinc/18/20/52/841182052.db2.gz MLXOGTGUWVOANR-IAZYJMLFSA-N 0 1 298.350 0.870 20 30 CCEDMN CN1CC(C(=O)N2CC[C@@H]3[C@@H](CCN3CC#N)C2)=NC1=O ZINC001036810251 841242262 /nfs/dbraw/zinc/24/22/62/841242262.db2.gz YKVHKTKGYVERSQ-CMPLNLGQSA-N 0 1 289.339 0.186 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)OCC)[C@@H]2C1 ZINC001186985122 844623515 /nfs/dbraw/zinc/62/35/15/844623515.db2.gz QHKODUJDWQZLGT-HZSPNIEDSA-N 0 1 264.369 0.967 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CCN(C2CCOCC2)CC1 ZINC001186977333 844631638 /nfs/dbraw/zinc/63/16/38/844631638.db2.gz MSWYQJAFJYVYCV-DGCLKSJQSA-N 0 1 263.341 0.469 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC(C)(C)COC)[C@H](O)C1 ZINC001099933943 841564271 /nfs/dbraw/zinc/56/42/71/841564271.db2.gz WWBTWVTVCHCYBZ-ZIAGYGMSSA-N 0 1 296.411 0.624 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)CCc2cnc[nH]2)nn1 ZINC001094224988 841571189 /nfs/dbraw/zinc/57/11/89/841571189.db2.gz KPPZQGCYWFURBR-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCCNc2ccc(C#N)nn2)c1C ZINC001094348099 841614040 /nfs/dbraw/zinc/61/40/40/841614040.db2.gz BOFBMLKJFJWWPL-UHFFFAOYSA-N 0 1 299.338 0.920 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CN3CCCC3)CC[C@H]21 ZINC001036896862 841663724 /nfs/dbraw/zinc/66/37/24/841663724.db2.gz VRYYHIMGLQWMDC-ZIAGYGMSSA-N 0 1 276.384 0.529 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2nncn2C)cn1 ZINC001171589937 841734918 /nfs/dbraw/zinc/73/49/18/841734918.db2.gz PWUSGLMRKDPMFI-UHFFFAOYSA-N 0 1 298.350 0.101 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCOC1)C2 ZINC001095219677 842108689 /nfs/dbraw/zinc/10/86/89/842108689.db2.gz KNDYSLNYPMMZLA-RNJOBUHISA-N 0 1 250.342 0.930 20 30 CCEDMN N#CCNCCCNC(=O)c1n[nH]c2ccccc21 ZINC001175092452 842229926 /nfs/dbraw/zinc/22/99/26/842229926.db2.gz WLOXPOWBGZXVKF-UHFFFAOYSA-N 0 1 257.297 0.796 20 30 CCEDMN N#Cc1cc2cccnc2nc1NC(=O)Cc1nn[nH]n1 ZINC001176837775 842423123 /nfs/dbraw/zinc/42/31/23/842423123.db2.gz GQCGZSYMGCZTRV-UHFFFAOYSA-N 0 1 280.251 0.196 20 30 CCEDMN Cc1ccc(C#N)c(NC2(CNC(=O)c3ncn[nH]3)CC2)n1 ZINC001110199548 842504522 /nfs/dbraw/zinc/50/45/22/842504522.db2.gz BYATZEJUYYGTKX-UHFFFAOYSA-N 0 1 297.322 0.754 20 30 CCEDMN Cc1ccc(C#N)c(NC2(CNC(=O)c3nc[nH]n3)CC2)n1 ZINC001110199548 842504525 /nfs/dbraw/zinc/50/45/25/842504525.db2.gz BYATZEJUYYGTKX-UHFFFAOYSA-N 0 1 297.322 0.754 20 30 CCEDMN CC(C)C[C@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)C(=O)NO ZINC001177182787 842519437 /nfs/dbraw/zinc/51/94/37/842519437.db2.gz RFJDELZBFLAVHP-SKDRFNHKSA-N 0 1 294.355 0.551 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1nnc(C)[nH]1 ZINC001177260688 842539491 /nfs/dbraw/zinc/53/94/91/842539491.db2.gz SPEHXYUYEFSEPF-UHFFFAOYSA-N 0 1 281.360 0.302 20 30 CCEDMN CN(C[C@@H](O)CO)C(=O)C(C#N)Cc1cccs1 ZINC001177908358 842706330 /nfs/dbraw/zinc/70/63/30/842706330.db2.gz KIJUFJRIYRXJDW-NXEZZACHSA-N 0 1 268.338 0.242 20 30 CCEDMN CN1CCN(C(=O)C(C#N)Cc2cccs2)CC1=O ZINC001177903828 842706386 /nfs/dbraw/zinc/70/63/86/842706386.db2.gz GUWBPFVOQZPCLY-SNVBAGLBSA-N 0 1 277.349 0.731 20 30 CCEDMN C[C@@H](C#N)C(=O)Nc1nc(N(C)C)[nH]c(=O)c1N=O ZINC001179889415 843067096 /nfs/dbraw/zinc/06/70/96/843067096.db2.gz XVHWZYFZIRFBFP-YFKPBYRVSA-N 0 1 264.245 0.744 20 30 CCEDMN Cn1cc([C@@H]2C[C@H]2CN2CCN(CC#N)CC2)cn1 ZINC001179868447 843075896 /nfs/dbraw/zinc/07/58/96/843075896.db2.gz DRNAIPBSOPAQBX-GXTWGEPZSA-N 0 1 259.357 0.665 20 30 CCEDMN CC(=O)N1CCC(CC(=O)Nc2nc[nH]c2C#N)CC1 ZINC001180653276 843251672 /nfs/dbraw/zinc/25/16/72/843251672.db2.gz AMRMWLKYWZWBEM-UHFFFAOYSA-N 0 1 275.312 0.868 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@](C)(CNCc2cnon2)C1 ZINC001180914825 843336971 /nfs/dbraw/zinc/33/69/71/843336971.db2.gz VJAQMPLVLKBAOP-MISXGVKJSA-N 0 1 291.355 0.803 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCn1cncn1 ZINC001115078683 843460637 /nfs/dbraw/zinc/46/06/37/843460637.db2.gz JZNIEKDXSYTKPU-NHAGDIPZSA-N 0 1 287.367 0.128 20 30 CCEDMN N#Cc1ccc(OCC(=O)N2CCN3CC[C@H]3C2)cc1 ZINC001182916566 843937978 /nfs/dbraw/zinc/93/79/78/843937978.db2.gz LQPARICOBSHUQH-ZDUSSCGKSA-N 0 1 271.320 0.854 20 30 CCEDMN C=C(CN1CCCC1)C(=O)N[C@H]1C[C@H](S(C)(=O)=O)C1 ZINC001183080145 843960462 /nfs/dbraw/zinc/96/04/62/843960462.db2.gz MZZVKZSRKQJXPB-HAQNSBGRSA-N 0 1 286.397 0.330 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)OCC3CC3)[C@@H]2C1 ZINC001187161161 844652982 /nfs/dbraw/zinc/65/29/82/844652982.db2.gz UAUMSXGHMDZMGN-VHDGCEQUSA-N 0 1 276.380 0.967 20 30 CCEDMN COCC#CC(=O)Nc1nc(-c2ccncc2)n[nH]1 ZINC001187121527 844653725 /nfs/dbraw/zinc/65/37/25/844653725.db2.gz DHWMCTCSJUUMSV-UHFFFAOYSA-N 0 1 257.253 0.455 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCCOC)[C@@H]2C1 ZINC001187151501 844659207 /nfs/dbraw/zinc/65/92/07/844659207.db2.gz MKVRBQRPKAHFOL-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CC(=O)NCC)[C@@H]2C1 ZINC001187630743 844740943 /nfs/dbraw/zinc/74/09/43/844740943.db2.gz BYXBWYJFMCLDTM-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CC3(F)F)[C@@H]2C1 ZINC001187771622 844755390 /nfs/dbraw/zinc/75/53/90/844755390.db2.gz JCOUWXSVWQIBPQ-YNEHKIRRSA-N 0 1 298.333 0.824 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2nccs2)cc[nH]1 ZINC001187858295 844784315 /nfs/dbraw/zinc/78/43/15/844784315.db2.gz NPBYPAXSLMJIEO-UHFFFAOYSA-N 0 1 265.319 0.742 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C(F)F)C1 ZINC001188566617 844908015 /nfs/dbraw/zinc/90/80/15/844908015.db2.gz SPYPVDXGBBYYEQ-UWVGGRQHSA-N 0 1 289.326 0.475 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)CCOC)C1 ZINC001188572222 844914716 /nfs/dbraw/zinc/91/47/16/844914716.db2.gz FZKOJQJHTYFHRK-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN Cc1cccc(O)c1C(=O)n1nc(N)c(C#N)c1N ZINC001188637947 844944208 /nfs/dbraw/zinc/94/42/08/844944208.db2.gz BAVPUTZWTVLBED-UHFFFAOYSA-N 0 1 257.253 0.622 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnn(C)c2)C1 ZINC001188814657 844981698 /nfs/dbraw/zinc/98/16/98/844981698.db2.gz VYWVFYGAWUULLJ-ZDUSSCGKSA-N 0 1 260.341 0.590 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C(C)C)C1 ZINC001188906581 844996229 /nfs/dbraw/zinc/99/62/29/844996229.db2.gz OMDVBJDGSZWVCX-ZDUSSCGKSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCOC(C)C)C1 ZINC001188984184 845014866 /nfs/dbraw/zinc/01/48/66/845014866.db2.gz WBAJOIKBUSCKSL-CYBMUJFWSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@H](COC)OC)[C@@H]2C1 ZINC001189068674 845034528 /nfs/dbraw/zinc/03/45/28/845034528.db2.gz IYNDCZGTJMSBLR-XQQFMLRXSA-N 0 1 268.357 0.367 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC)OCC)C1 ZINC001189188696 845071416 /nfs/dbraw/zinc/07/14/16/845071416.db2.gz NKVRTQLRUBVETP-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001189280449 845092582 /nfs/dbraw/zinc/09/25/82/845092582.db2.gz SFOMHJAEDGZXBZ-JTQLQIEISA-N 0 1 279.344 0.509 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001189280449 845092593 /nfs/dbraw/zinc/09/25/93/845092593.db2.gz SFOMHJAEDGZXBZ-JTQLQIEISA-N 0 1 279.344 0.509 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001189280746 845092798 /nfs/dbraw/zinc/09/27/98/845092798.db2.gz WLFRNSCFYJPWLO-NWDGAFQWSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCOCCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001189526406 845167206 /nfs/dbraw/zinc/16/72/06/845167206.db2.gz JCNFDHWPEQPTBU-STQMWFEESA-N 0 1 297.399 0.376 20 30 CCEDMN C#CCC[N@@H+](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189771012 845205276 /nfs/dbraw/zinc/20/52/76/845205276.db2.gz ZPIMLFCSCJZSLB-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCC[N@H+](C)[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001189771012 845205281 /nfs/dbraw/zinc/20/52/81/845205281.db2.gz ZPIMLFCSCJZSLB-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)CC[C@H](C)OC)C1 ZINC001189806914 845213491 /nfs/dbraw/zinc/21/34/91/845213491.db2.gz DOYCUTWJACNWKV-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN C=C[C@H]1C[C@]1(NC(=O)c1nnc(C)[nH]1)C(=O)OCC ZINC001189870451 845236557 /nfs/dbraw/zinc/23/65/57/845236557.db2.gz JKFPJBHUQFTHBS-QPUJVOFHSA-N 0 1 264.285 0.351 20 30 CCEDMN C[C@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190075636 845326524 /nfs/dbraw/zinc/32/65/24/845326524.db2.gz AODPTZHNCNVDSB-VXGBXAGGSA-N 0 1 279.384 0.442 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCOCC2CC2)C1 ZINC001190145372 845332235 /nfs/dbraw/zinc/33/22/35/845332235.db2.gz DBRPXJRCMJHSKU-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001190277974 845380015 /nfs/dbraw/zinc/38/00/15/845380015.db2.gz DAYIOOKILPMBRJ-OLZOCXBDSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001190390150 845394981 /nfs/dbraw/zinc/39/49/81/845394981.db2.gz SQDFAJCHFYHWSB-NWDGAFQWSA-N 0 1 281.400 0.995 20 30 CCEDMN COC(=O)[C@H](C)NC(=O)Nc1cc(C#N)ccc1O ZINC001190547411 845424781 /nfs/dbraw/zinc/42/47/81/845424781.db2.gz MMPTZSODYRGEFL-ZETCQYMHSA-N 0 1 263.253 0.947 20 30 CCEDMN Cc1nonc1CNS(=O)(=O)c1cc(C#N)ccc1F ZINC001190660900 845446613 /nfs/dbraw/zinc/44/66/13/845446613.db2.gz HPFOQHWDOHBFRZ-UHFFFAOYSA-N 0 1 296.283 0.867 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnn3ccncc23)C1 ZINC001190684948 845463672 /nfs/dbraw/zinc/46/36/72/845463672.db2.gz PDKMLFFFJXJAEA-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COc2cc(C)on2)C1 ZINC001190764789 845491201 /nfs/dbraw/zinc/49/12/01/845491201.db2.gz DTEUSZMHIJBNKU-ZDUSSCGKSA-N 0 1 291.351 0.918 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)/C=C/c2ccco2)C1 ZINC001190768415 845493973 /nfs/dbraw/zinc/49/39/73/845493973.db2.gz JCRRVNRLIJELHN-JLVOYYQZSA-N 0 1 274.320 0.477 20 30 CCEDMN Cn1cnc(C#N)c1NS(=O)(=O)c1ccncc1 ZINC001190861041 845527488 /nfs/dbraw/zinc/52/74/88/845527488.db2.gz YYOIXNXNHKSEFQ-UHFFFAOYSA-N 0 1 263.282 0.488 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)Cc2cc(C)nn2C)C1 ZINC001191318766 845632977 /nfs/dbraw/zinc/63/29/77/845632977.db2.gz DXVDPVOUGUGLDY-AWEZNQCLSA-N 0 1 288.395 0.827 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2cc(C)nn2C)C1 ZINC001191318766 845632984 /nfs/dbraw/zinc/63/29/84/845632984.db2.gz DXVDPVOUGUGLDY-AWEZNQCLSA-N 0 1 288.395 0.827 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2coc(C(N)=O)c2)C1 ZINC001191506837 845682608 /nfs/dbraw/zinc/68/26/08/845682608.db2.gz DNBDHMFHGRKACS-GFCCVEGCSA-N 0 1 289.335 0.548 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2coc(C(N)=O)c2)C1 ZINC001191506837 845682616 /nfs/dbraw/zinc/68/26/16/845682616.db2.gz DNBDHMFHGRKACS-GFCCVEGCSA-N 0 1 289.335 0.548 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)CCOCCO)C1 ZINC001191717735 845715690 /nfs/dbraw/zinc/71/56/90/845715690.db2.gz BBSIYSPXGITZQA-ZDUSSCGKSA-N 0 1 284.400 0.740 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@@H]2CC(C)(C)CO2)C[C@H]1O ZINC001191724425 845716727 /nfs/dbraw/zinc/71/67/27/845716727.db2.gz FBFQIOKBVOGBNN-BFHYXJOUSA-N 0 1 296.411 0.929 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2c[nH]c(=O)n2C)C1 ZINC001191721035 845717520 /nfs/dbraw/zinc/71/75/20/845717520.db2.gz WIDBSYGNHYCAQF-LLVKDONJSA-N 0 1 276.340 0.295 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001191850531 845750330 /nfs/dbraw/zinc/75/03/30/845750330.db2.gz VAPWEVYFJDGTAO-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](COC)OC)C1 ZINC001192277452 845813113 /nfs/dbraw/zinc/81/31/13/845813113.db2.gz QUIZATJCMJSTLM-STQMWFEESA-N 0 1 268.357 0.204 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001192472973 845852527 /nfs/dbraw/zinc/85/25/27/845852527.db2.gz SBLFBKUODNOUIH-ZIAGYGMSSA-N 0 1 279.384 0.457 20 30 CCEDMN Cn1nc(Cl)cc1C(=O)Nc1nc[nH]c1C#N ZINC001192907414 845927023 /nfs/dbraw/zinc/92/70/23/845927023.db2.gz HWKNSOQWWGSHHU-UHFFFAOYSA-N 0 1 250.649 0.921 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(C(F)F)CC2)C1 ZINC001192978028 845959265 /nfs/dbraw/zinc/95/92/65/845959265.db2.gz OBHLYUKSCSLYRX-NXEZZACHSA-N 0 1 272.295 0.216 20 30 CCEDMN Cn1c[nH+]c2cc([N-]S(=O)(=O)CC#N)ccc21 ZINC001192978876 845961105 /nfs/dbraw/zinc/96/11/05/845961105.db2.gz KTMQQIKTUQXHTR-UHFFFAOYSA-N 0 1 250.283 0.839 20 30 CCEDMN Cn1cnc2cc(NS(=O)(=O)CC#N)ccc21 ZINC001192978876 845961110 /nfs/dbraw/zinc/96/11/10/845961110.db2.gz KTMQQIKTUQXHTR-UHFFFAOYSA-N 0 1 250.283 0.839 20 30 CCEDMN CCN(CCN1CCCC1)S(=O)(=O)[C@@H](C)C#N ZINC001193127182 845990723 /nfs/dbraw/zinc/99/07/23/845990723.db2.gz MBKAPNJAZFMODW-NSHDSACASA-N 0 1 259.375 0.646 20 30 CCEDMN C[C@H](CN1CCC(C)CC1)NS(=O)(=O)[C@H](C)C#N ZINC001193170879 845994072 /nfs/dbraw/zinc/99/40/72/845994072.db2.gz UTDNJPASHOZYRH-VXGBXAGGSA-N 0 1 273.402 0.938 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccc2c(c1)CNC2=O ZINC001193147286 846004772 /nfs/dbraw/zinc/00/47/72/846004772.db2.gz ZRMYBMGKLVEYDV-ZETCQYMHSA-N 0 1 265.294 0.584 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cc(C(N)=O)ccc1F ZINC001193151465 846006754 /nfs/dbraw/zinc/00/67/54/846006754.db2.gz QRWSZEOBZIMXSK-ZCFIWIBFSA-N 0 1 271.273 0.578 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCn2cncn2)C1 ZINC001193278577 846039645 /nfs/dbraw/zinc/03/96/45/846039645.db2.gz HVJMEUSGNOESPD-CYBMUJFWSA-N 0 1 275.356 0.224 20 30 CCEDMN CN1C[C@@H](NC(=O)c2ccc(O)c(C#N)c2)CCC1=O ZINC001193511626 846101410 /nfs/dbraw/zinc/10/14/10/846101410.db2.gz DRPGUMWHGRBIPF-NSHDSACASA-N 0 1 273.292 0.614 20 30 CCEDMN CN1C[C@@H]2CN(C(=O)c3cccc(C#N)c3O)C[C@@H]2C1=O ZINC001193644527 846134832 /nfs/dbraw/zinc/13/48/32/846134832.db2.gz ZHTKHTIUQZZWCM-PWSUYJOCSA-N 0 1 285.303 0.424 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCOC[C@H]2C#N)c1O ZINC001193646383 846138957 /nfs/dbraw/zinc/13/89/57/846138957.db2.gz IPLMCCPPQURUGX-SNVBAGLBSA-N 0 1 257.249 0.628 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1ccc(F)c(O)c1F ZINC001193792839 846152515 /nfs/dbraw/zinc/15/25/15/846152515.db2.gz FYLANQHSOZLNQT-QMMMGPOBSA-N 0 1 284.218 0.855 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)CCOC)C1 ZINC001194146682 846224812 /nfs/dbraw/zinc/22/48/12/846224812.db2.gz USLNVNBGCSFNEF-QWHCGFSZSA-N 0 1 252.358 0.825 20 30 CCEDMN COC(=O)C1(C#N)CCN(CCCCCO)CC1 ZINC001194384695 846283916 /nfs/dbraw/zinc/28/39/16/846283916.db2.gz NLKYITXJRMALFA-UHFFFAOYSA-N 0 1 254.330 0.928 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COC[C@H]2CCCO2)C1 ZINC001194522978 846316381 /nfs/dbraw/zinc/31/63/81/846316381.db2.gz NYJBFWASOLJLRR-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN C=CC[N@@H+]1CCCN(C(=O)[C@H](C)NC(C)=O)CC1 ZINC001194755092 846387229 /nfs/dbraw/zinc/38/72/29/846387229.db2.gz VUMZKYNMKSXUTC-NSHDSACASA-N 0 1 253.346 0.231 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](C)NC(C)=O)CC1 ZINC001194755092 846387242 /nfs/dbraw/zinc/38/72/42/846387242.db2.gz VUMZKYNMKSXUTC-NSHDSACASA-N 0 1 253.346 0.231 20 30 CCEDMN Cc1nc(C#N)cnc1NS(=O)(=O)C1CCC(=O)CC1 ZINC001194759596 846389437 /nfs/dbraw/zinc/38/94/37/846389437.db2.gz PUTJMCTZGABZAU-UHFFFAOYSA-N 0 1 294.336 0.910 20 30 CCEDMN C=CCC[C@H](C)[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2[n-]nnc2C)C1 ZINC001195198764 846478729 /nfs/dbraw/zinc/47/87/29/846478729.db2.gz ZWVCBGCGEJLIFC-MVWJERBFSA-N 0 1 293.371 0.243 20 30 CCEDMN C=CCC[C@H](C)[N@H+]1C[C@@H](O)[C@H](NC(=O)c2[n-]nnc2C)C1 ZINC001195198764 846478730 /nfs/dbraw/zinc/47/87/30/846478730.db2.gz ZWVCBGCGEJLIFC-MVWJERBFSA-N 0 1 293.371 0.243 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1O ZINC001195724699 846601812 /nfs/dbraw/zinc/60/18/12/846601812.db2.gz CQFRIXFBNCQHHF-JHJVBQTASA-N 0 1 270.373 0.539 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H](CO)[C@@H](O)C2)c(O)c1 ZINC001195740463 846618815 /nfs/dbraw/zinc/61/88/15/846618815.db2.gz GCWIEPKCLLTEHQ-MFKMUULPSA-N 0 1 276.292 0.079 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](O)[C@H](CO)C2)c(O)c1 ZINC001195740833 846619866 /nfs/dbraw/zinc/61/98/66/846619866.db2.gz LUERJOLIEKUJPJ-CMPLNLGQSA-N 0 1 276.292 0.079 20 30 CCEDMN COC[C@@H](O)CN1CCCN(C(=O)C#CC(C)(C)C)CC1 ZINC001195785101 846623349 /nfs/dbraw/zinc/62/33/49/846623349.db2.gz CISASVINDCJPGX-AWEZNQCLSA-N 0 1 296.411 0.578 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCCN([C@H](C)COC)CC1 ZINC001195805943 846631640 /nfs/dbraw/zinc/63/16/40/846631640.db2.gz IKVDVVXFHGEREL-KGLIPLIRSA-N 0 1 282.384 0.594 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCCC(C)C)C1 ZINC001196364867 846745093 /nfs/dbraw/zinc/74/50/93/846745093.db2.gz RPNIFTGVMCYBJF-ZIAGYGMSSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)CC=C)C1 ZINC001196475170 846751842 /nfs/dbraw/zinc/75/18/42/846751842.db2.gz PYXXVNZXQJYMJL-CHWSQXEVSA-N 0 1 264.369 0.773 20 30 CCEDMN N#Cc1cccnc1C(=O)N1CCN2CCC1CC2 ZINC001196553916 846774212 /nfs/dbraw/zinc/77/42/12/846774212.db2.gz XXOZBTVZQLSSLT-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)CCc2ncccn2)C1 ZINC001197098683 846836770 /nfs/dbraw/zinc/83/67/70/846836770.db2.gz ROVBGAAFSOKHNQ-CHWSQXEVSA-N 0 1 290.367 0.147 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2ncccn2)C1 ZINC001197098683 846836776 /nfs/dbraw/zinc/83/67/76/846836776.db2.gz ROVBGAAFSOKHNQ-CHWSQXEVSA-N 0 1 290.367 0.147 20 30 CCEDMN C=C(C)C[N@H+]1CCCN(C(=O)C(=O)NCC2CC2)CC1 ZINC001197605796 846940604 /nfs/dbraw/zinc/94/06/04/846940604.db2.gz GCUVLPPVGVXCCQ-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)C(=O)NCC2CC2)CC1 ZINC001197605796 846940611 /nfs/dbraw/zinc/94/06/11/846940611.db2.gz GCUVLPPVGVXCCQ-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCCN1CCCN(C(=O)C2(C(=O)NC)CCC2)CC1 ZINC001197928899 847003369 /nfs/dbraw/zinc/00/33/69/847003369.db2.gz ZISOASFOUVAGBI-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN N#Cc1ccnc(CN2C[C@@H](CO)[C@H](CCO)C2)c1 ZINC001198751311 847160713 /nfs/dbraw/zinc/16/07/13/847160713.db2.gz CKEOWLDVSCTRHF-OLZOCXBDSA-N 0 1 261.325 0.376 20 30 CCEDMN COCCn1cc(C(=O)Nc2nc[nH]c2C#N)ccc1=O ZINC001198822398 847181114 /nfs/dbraw/zinc/18/11/14/847181114.db2.gz HRMNVBWZYKMPTL-UHFFFAOYSA-N 0 1 287.279 0.342 20 30 CCEDMN C#CCOC[C@H](O)CN1CCC[C@@H](c2noc(C)n2)C1 ZINC001251832976 847189699 /nfs/dbraw/zinc/18/96/99/847189699.db2.gz WMMKRAAKHUSWHD-CHWSQXEVSA-N 0 1 279.340 0.568 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CC[C@@H](C)F)C[C@H]1O ZINC001199308235 847288440 /nfs/dbraw/zinc/28/84/40/847288440.db2.gz ONCJGOWLQLMRCL-JHJVBQTASA-N 0 1 288.363 0.489 20 30 CCEDMN CSc1n[nH]c(NC(=O)c2ccc(C#N)[nH]2)c1C(N)=O ZINC001199680331 847397089 /nfs/dbraw/zinc/39/70/89/847397089.db2.gz NPTSODJTUXIVBM-UHFFFAOYSA-N 0 1 290.308 0.683 20 30 CCEDMN Cc1ncc(C(=O)N2CCCO[C@H](CNCC#N)C2)[nH]1 ZINC001200083299 847543746 /nfs/dbraw/zinc/54/37/46/847543746.db2.gz GEDNZCPOVCYWEN-LLVKDONJSA-N 0 1 277.328 0.062 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccncn1)C2 ZINC001110608443 847918724 /nfs/dbraw/zinc/91/87/24/847918724.db2.gz ODYQKIYNVSGKPA-KFWWJZLASA-N 0 1 284.363 0.764 20 30 CCEDMN CN(CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N)C1CCC1 ZINC001110667585 847953742 /nfs/dbraw/zinc/95/37/42/847953742.db2.gz AATFAQGPAHNZCQ-MCIONIFRSA-N 0 1 276.384 0.716 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CC#C)CCOCC1 ZINC001114389656 848032902 /nfs/dbraw/zinc/03/29/02/848032902.db2.gz ZAJFLSUMDPMJMC-FICVDOATSA-N 0 1 286.375 0.486 20 30 CCEDMN CC(C)CN(CCC#N)S(=O)(=O)c1ncc[nH]1 ZINC000379896368 848046469 /nfs/dbraw/zinc/04/64/69/848046469.db2.gz HHNHKOPYXOMHKU-UHFFFAOYSA-N 0 1 256.331 0.970 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)CCCCN2CCNC(C)=O ZINC001273998640 848251582 /nfs/dbraw/zinc/25/15/82/848251582.db2.gz CTPBBVAZNCHNEA-OAHLLOKOSA-N 0 1 277.368 0.213 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cncnc1)C2 ZINC001095343007 848252350 /nfs/dbraw/zinc/25/23/50/848252350.db2.gz HNBBCMFGLNYBOO-AGIUHOORSA-N 0 1 258.325 0.998 20 30 CCEDMN CCCCNC(=O)CNC/C=C\CNC(=O)C#CC1CC1 ZINC001274014753 848302639 /nfs/dbraw/zinc/30/26/39/848302639.db2.gz BZNGZZQYKCWDMG-PLNGDYQASA-N 0 1 291.395 0.578 20 30 CCEDMN C=C(C)CN1CC[C@]2(CCCN(CC(N)=O)CC2)C1=O ZINC001274061759 848334669 /nfs/dbraw/zinc/33/46/69/848334669.db2.gz HQYWLPWKZOVIDM-OAHLLOKOSA-N 0 1 279.384 0.752 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CNCC(=O)N(C)C ZINC001274252348 848431243 /nfs/dbraw/zinc/43/12/43/848431243.db2.gz CLOSDMJNXCUEPF-FPLPWBNLSA-N 0 1 281.400 0.939 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1CCCNC1=O ZINC001274797985 848568369 /nfs/dbraw/zinc/56/83/69/848568369.db2.gz VBUYBHBNGNKOHH-ZJUUUORDSA-N 0 1 273.764 0.359 20 30 CCEDMN C#C[C@H](CO)NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000718123753 848578233 /nfs/dbraw/zinc/57/82/33/848578233.db2.gz UFWNUDANFIPEAZ-SSDOTTSWSA-N 0 1 279.239 0.376 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)COCCC)CCO2 ZINC001274855518 848578336 /nfs/dbraw/zinc/57/83/36/848578336.db2.gz FMWUGDMSEZSBCS-UHFFFAOYSA-N 0 1 294.395 0.740 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H](C)CNCc1cc(OC)no1 ZINC001275135234 848646545 /nfs/dbraw/zinc/64/65/45/848646545.db2.gz FYNFGLBIXLZYPE-IINYFYTJSA-N 0 1 297.355 0.605 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001275689639 848793044 /nfs/dbraw/zinc/79/30/44/848793044.db2.gz KATJHWFNPVQYKS-OLZOCXBDSA-N 0 1 279.384 0.315 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1cncnc1C ZINC001275772264 848816046 /nfs/dbraw/zinc/81/60/46/848816046.db2.gz BPUYDYPSGSOTNC-GFCCVEGCSA-N 0 1 290.367 0.485 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CCc1ccncn1 ZINC001275843932 848842815 /nfs/dbraw/zinc/84/28/15/848842815.db2.gz KBUASOOYHXYRHF-LBPRGKRZSA-N 0 1 260.341 0.479 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc2n(n1)CCO2 ZINC001275849118 848843741 /nfs/dbraw/zinc/84/37/41/848843741.db2.gz BHVPEQJVMBYUNR-LLVKDONJSA-N 0 1 276.340 0.349 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H](C)CNC(=O)[C@H]1CCc2nccn2C1 ZINC001275865358 848849872 /nfs/dbraw/zinc/84/98/72/848849872.db2.gz JATNOCQJWCWOKB-KBPBESRZSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1coc(C(N)=O)c1 ZINC001275888137 848858293 /nfs/dbraw/zinc/85/82/93/848858293.db2.gz UYODYNLUHMUSFF-VIFPVBQESA-N 0 1 263.297 0.062 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)Cc1nonc1C ZINC001275962148 848875927 /nfs/dbraw/zinc/87/59/27/848875927.db2.gz CAICJEDYDUDMMZ-NSHDSACASA-N 0 1 294.355 0.007 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001275977439 848879023 /nfs/dbraw/zinc/87/90/23/848879023.db2.gz RQYFIJMEGLNALV-VXGBXAGGSA-N 0 1 274.368 0.584 20 30 CCEDMN C=CCC[C@@H](C)N1CC(CCO)(NC(=O)COC)C1 ZINC001276021408 848893419 /nfs/dbraw/zinc/89/34/19/848893419.db2.gz QCEWZQWCDAZLHZ-GFCCVEGCSA-N 0 1 270.373 0.541 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nc(CC)c[nH]1)C2 ZINC001095559131 848988460 /nfs/dbraw/zinc/98/84/60/848988460.db2.gz JPVGEFVDPOZXBK-RDBSUJKOSA-N 0 1 286.379 0.869 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(=O)NCC1CC1)C2 ZINC001111194842 849093635 /nfs/dbraw/zinc/09/36/35/849093635.db2.gz HLVOJEIDRRVBRC-MCIONIFRSA-N 0 1 291.395 0.810 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCn1cncn1)C2 ZINC001111236256 849103216 /nfs/dbraw/zinc/10/32/16/849103216.db2.gz JCLYTIHGSBZGCS-MCIONIFRSA-N 0 1 287.367 0.413 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(COCC)CC1 ZINC001114491557 849224488 /nfs/dbraw/zinc/22/44/88/849224488.db2.gz XWNAUEWZPQKBDR-ITGUQSILSA-N 0 1 262.353 0.483 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)N(C)C)C[C@H]21 ZINC001114760354 849387883 /nfs/dbraw/zinc/38/78/83/849387883.db2.gz ZDZMMJODYDVNMK-RMRHIDDWSA-N 0 1 293.411 0.866 20 30 CCEDMN C=C[C@@H](O)CN1CCN(C(=O)OC(C)(C)C)C[C@H](O)C1 ZINC001253572442 849489715 /nfs/dbraw/zinc/48/97/15/849489715.db2.gz NZSKHEUXZONOEE-VXGBXAGGSA-N 0 1 286.372 0.447 20 30 CCEDMN CC[C@@H]1CN(C(=O)c2ccn[nH]2)CC[C@@H]1NCC#N ZINC001037787349 849585945 /nfs/dbraw/zinc/58/59/45/849585945.db2.gz ZZOMEWZTUUEZQR-MNOVXSKESA-N 0 1 261.329 0.764 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(F)CCOC1)C2 ZINC001095916969 849621342 /nfs/dbraw/zinc/62/13/42/849621342.db2.gz PKBBBWKCHAXLDC-OSFYFWSMSA-N 0 1 280.343 0.860 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1Nc1cncc(C#N)n1 ZINC001067029169 849714688 /nfs/dbraw/zinc/71/46/88/849714688.db2.gz KABPGTGHEBEJTH-JOYOIKCWSA-N 0 1 297.322 0.644 20 30 CCEDMN CN1CCN(CCNC(=O)Nc2cccc(C#N)c2)CC1 ZINC001202790788 849782078 /nfs/dbraw/zinc/78/20/78/849782078.db2.gz IYXIETQULDJWNT-UHFFFAOYSA-N 0 1 287.367 0.927 20 30 CCEDMN C=CCn1cccc1C(=O)NC[C@H]1CCN1C[C@@H](C)O ZINC001038346403 849859739 /nfs/dbraw/zinc/85/97/39/849859739.db2.gz WTLSYOJSAYHSOU-CHWSQXEVSA-N 0 1 277.368 0.859 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001038414622 849886013 /nfs/dbraw/zinc/88/60/13/849886013.db2.gz AXJMWRTWSMXSEC-CYBMUJFWSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)c1[nH]nc2c1CCCC2 ZINC001038446076 849903807 /nfs/dbraw/zinc/90/38/07/849903807.db2.gz NWLFBXZCMGKGQW-LLVKDONJSA-N 0 1 272.352 0.726 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCO[C@H]1C=C ZINC001038714215 849991198 /nfs/dbraw/zinc/99/11/98/849991198.db2.gz KZYRHLOARHOFIM-MJBXVCDLSA-N 0 1 262.353 0.791 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCCCN1C(N)=O ZINC001038897879 850073924 /nfs/dbraw/zinc/07/39/24/850073924.db2.gz XGMUMPZUXCNWQL-QWHCGFSZSA-N 0 1 294.399 0.686 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)c1cnccc1N(C)C ZINC001038936415 850094083 /nfs/dbraw/zinc/09/40/83/850094083.db2.gz QZCFTMQEMCKHOX-ZDUSSCGKSA-N 0 1 286.379 0.975 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c[nH]c(=O)cn1)C2 ZINC001095933854 850104436 /nfs/dbraw/zinc/10/44/36/850104436.db2.gz DNCGWVHVINSOQI-SCVCMEIPSA-N 0 1 274.324 0.291 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001039052427 850140486 /nfs/dbraw/zinc/14/04/86/850140486.db2.gz AJDUEHPPAYBSIO-ZDUSSCGKSA-N 0 1 295.346 0.909 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1cccn1 ZINC001039332410 850174081 /nfs/dbraw/zinc/17/40/81/850174081.db2.gz MBUOXJRUWOWETI-KGLIPLIRSA-N 0 1 272.352 0.582 20 30 CCEDMN C#CCN1CCC[C@]2(CCN(C(=O)c3cnon3)C2)C1 ZINC001040462062 850295072 /nfs/dbraw/zinc/29/50/72/850295072.db2.gz ZKJCJYNARLCQTE-AWEZNQCLSA-N 0 1 274.324 0.631 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)[C@H]3CCC(=O)NC3)C2)C1 ZINC001041431221 850445102 /nfs/dbraw/zinc/44/51/02/850445102.db2.gz JCXJMCXTYVUENB-BBRMVZONSA-N 0 1 289.379 0.070 20 30 CCEDMN CN1CC(C(=O)N2CC[C@]3(CCN(CC#N)C3)C2)=NC1=O ZINC001041637225 850482269 /nfs/dbraw/zinc/48/22/69/850482269.db2.gz YRNZJCFNQFLDOB-AWEZNQCLSA-N 0 1 289.339 0.187 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3c[nH]c(C)n3)C[C@H]21 ZINC001041978453 850547245 /nfs/dbraw/zinc/54/72/45/850547245.db2.gz LHUGFHRZBPFBRT-GXTWGEPZSA-N 0 1 272.352 0.888 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@H]21 ZINC001041993390 850553596 /nfs/dbraw/zinc/55/35/96/850553596.db2.gz YYFAXLAYQNMDNF-DGCLKSJQSA-N 0 1 273.340 0.399 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cn(C)cn3)C[C@H]21 ZINC001042013435 850557402 /nfs/dbraw/zinc/55/74/02/850557402.db2.gz NFPFOXNDLBXAJY-TZMCWYRMSA-N 0 1 272.352 0.590 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cn[nH]n3)C[C@H]21 ZINC001042131766 850582670 /nfs/dbraw/zinc/58/26/70/850582670.db2.gz WUTQRBUXAPFJMR-DGCLKSJQSA-N 0 1 273.340 0.364 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccnc(C)n3)C[C@@H]21 ZINC001042363329 850619891 /nfs/dbraw/zinc/61/98/91/850619891.db2.gz RGJMOZDBKOVJBR-HIFRSBDPSA-N 0 1 284.363 0.955 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2C[C@@H]2C(=O)OC)C1 ZINC001042533462 850685004 /nfs/dbraw/zinc/68/50/04/850685004.db2.gz PMPZQPVCLFTJKW-OLZOCXBDSA-N 0 1 280.368 0.904 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@H]2CCNC2=O)C1 ZINC001042906981 850778431 /nfs/dbraw/zinc/77/84/31/850778431.db2.gz DPIYOVLZEJLLMS-LLVKDONJSA-N 0 1 265.357 0.231 20 30 CCEDMN C[C@H]1Cc2c[nH]nc2[C@@H](C(=O)N(C)C2CN(CC#N)C2)C1 ZINC001043624087 850911901 /nfs/dbraw/zinc/91/19/01/850911901.db2.gz ZJFPRFUPQJGGOP-GWCFXTLKSA-N 0 1 287.367 0.742 20 30 CCEDMN CN(C(=O)c1ccc(-c2nnc[nH]2)cc1)C1CN(CC#N)C1 ZINC001044135946 851021270 /nfs/dbraw/zinc/02/12/70/851021270.db2.gz BWLOACYNPILFMN-UHFFFAOYSA-N 0 1 296.334 0.751 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)c2ccc(=O)n(C)n2)C1 ZINC001044265353 851055357 /nfs/dbraw/zinc/05/53/57/851055357.db2.gz FRUPFIGTXBZDIH-UHFFFAOYSA-N 0 1 290.367 0.503 20 30 CCEDMN CC(C)(C)OC(=O)[C@H](O)CNCc1cncc(C#N)c1 ZINC001253706527 851062674 /nfs/dbraw/zinc/06/26/74/851062674.db2.gz QGYVOHZEMZQJCK-GFCCVEGCSA-N 0 1 277.324 0.745 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](NCC#N)C[C@@H]2C)[nH]1 ZINC001044507525 851114578 /nfs/dbraw/zinc/11/45/78/851114578.db2.gz HQEWBWPMWJFGGH-ONGXEEELSA-N 0 1 261.329 0.824 20 30 CCEDMN C[C@H]1CCCN1CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001096012782 851219481 /nfs/dbraw/zinc/21/94/81/851219481.db2.gz YXBPAYGSLDFWBC-CRWXNKLISA-N 0 1 276.384 0.716 20 30 CCEDMN CC#CCN1CCC(C)(NC(=O)c2cn(C)nn2)CC1 ZINC001045430425 851255907 /nfs/dbraw/zinc/25/59/07/851255907.db2.gz RIIBZZCWCHJINW-UHFFFAOYSA-N 0 1 275.356 0.423 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccc3n[nH]nc3n2)CC1 ZINC001045460781 851262212 /nfs/dbraw/zinc/26/22/12/851262212.db2.gz VFZWPVVVUUXJAE-UHFFFAOYSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnc3nccn3c2)CC1 ZINC001045502411 851269976 /nfs/dbraw/zinc/26/99/76/851269976.db2.gz LVAUKFSOPCMMJQ-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnn3cccnc23)CC1 ZINC001045520993 851271776 /nfs/dbraw/zinc/27/17/76/851271776.db2.gz HCLZRKBYAGCPHP-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1c[nH]cn1)C2 ZINC001096072835 851376774 /nfs/dbraw/zinc/37/67/74/851376774.db2.gz KHTNJRPMNZLKCY-AGIUHOORSA-N 0 1 258.325 0.307 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2COCCO2)C1 ZINC001046162246 851404082 /nfs/dbraw/zinc/40/40/82/851404082.db2.gz GQWJBDPLTOJVCB-TZMCWYRMSA-N 0 1 266.341 0.006 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@]1(C)CCN(CC#N)C1 ZINC001046189551 851415084 /nfs/dbraw/zinc/41/50/84/851415084.db2.gz MSPWVQQPBSFJLN-WCQYABFASA-N 0 1 250.346 0.185 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001046240205 851434063 /nfs/dbraw/zinc/43/40/63/851434063.db2.gz QBGUVLPHQPJYRY-IUODEOHRSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001046363959 851477475 /nfs/dbraw/zinc/47/74/75/851477475.db2.gz HBRQWGHCHFNFPV-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001046451175 851512180 /nfs/dbraw/zinc/51/21/80/851512180.db2.gz YCISRQSXGVKSKN-HNNXBMFYSA-N 0 1 290.367 0.504 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnc3nccn3c2)C1 ZINC001046479449 851520903 /nfs/dbraw/zinc/52/09/03/851520903.db2.gz PKBSHYKDAQPMOF-MRXNPFEDSA-N 0 1 297.362 0.947 20 30 CCEDMN N#Cc1nccnc1N[C@H]1C[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001046650646 851574663 /nfs/dbraw/zinc/57/46/63/851574663.db2.gz CEAQUMADMUICNR-DTORHVGOSA-N 0 1 298.310 0.087 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001046812439 851620390 /nfs/dbraw/zinc/62/03/90/851620390.db2.gz XIOZDULHUZQSPS-IUODEOHRSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001046844218 851629241 /nfs/dbraw/zinc/62/92/41/851629241.db2.gz MEYZELYTFDHSRK-OAHLLOKOSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001046855592 851632698 /nfs/dbraw/zinc/63/26/98/851632698.db2.gz VIKHJNQAKLVCOH-LRDDRELGSA-N 0 1 286.379 0.728 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CCOC2)C1 ZINC001047322240 851716967 /nfs/dbraw/zinc/71/69/67/851716967.db2.gz NHGVVTDOQXYPLG-AVGNSLFASA-N 0 1 268.357 0.103 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccnc(C)c2)C1 ZINC001047571684 851820351 /nfs/dbraw/zinc/82/03/51/851820351.db2.gz PUBCCNCFNXVSAW-GJZGRUSLSA-N 0 1 287.363 0.530 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cnn(CC)c2)C1 ZINC001047592007 851827082 /nfs/dbraw/zinc/82/70/82/851827082.db2.gz BRIHSUBWKTZVSF-KBPBESRZSA-N 0 1 292.383 0.135 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2CN(C(=O)Cn3c[nH+]cc3C)C[C@H]2C1 ZINC001048938586 852115301 /nfs/dbraw/zinc/11/53/01/852115301.db2.gz KFIAOJQANHTUNB-OKILXGFUSA-N 0 1 272.352 0.215 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cocn1 ZINC001049367647 852245467 /nfs/dbraw/zinc/24/54/67/852245467.db2.gz ADFIUTHCMLNDJV-QWHCGFSZSA-N 0 1 259.309 0.987 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@H]3[C@@H]2CCN3CC#N)[nH]1 ZINC001049381452 852253684 /nfs/dbraw/zinc/25/36/84/852253684.db2.gz KXWAMKWLTXCGOC-STQMWFEESA-N 0 1 273.340 0.921 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1COCCN1C ZINC001049426813 852267769 /nfs/dbraw/zinc/26/77/69/852267769.db2.gz AHGLMLVJCBMYKU-RRFJBIMHSA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1COCCN1C ZINC001049426813 852267780 /nfs/dbraw/zinc/26/77/80/852267780.db2.gz AHGLMLVJCBMYKU-RRFJBIMHSA-N 0 1 291.395 0.016 20 30 CCEDMN C=CC[N@H+]1CC[C@H]2[C@H]1CCCN2C(=O)CN1CN=NC1=O ZINC001049428519 852269057 /nfs/dbraw/zinc/26/90/57/852269057.db2.gz MVNDTNOBDLFWOA-NEPJUHHUSA-N 0 1 291.355 0.235 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1coc(OC)n1 ZINC001049622594 852321006 /nfs/dbraw/zinc/32/10/06/852321006.db2.gz WAMUCTJACZGJHB-STQMWFEESA-N 0 1 289.335 0.995 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1cnoc1 ZINC001049665174 852339146 /nfs/dbraw/zinc/33/91/46/852339146.db2.gz UICDIYWFAIOCCV-UONOGXRCSA-N 0 1 273.336 0.916 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049785715 852370784 /nfs/dbraw/zinc/37/07/84/852370784.db2.gz ZREFNBXGMXGFTJ-OLZOCXBDSA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccn(C)n1 ZINC001049894729 852392872 /nfs/dbraw/zinc/39/28/72/852392872.db2.gz HNCKTNHJFJSPIR-ZIAGYGMSSA-N 0 1 272.352 0.732 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](Nc1ncnc2[nH]cnc21)C1CC1 ZINC001096599052 852397243 /nfs/dbraw/zinc/39/72/43/852397243.db2.gz VFFWKNQGPOMLDY-PSASIEDQSA-N 0 1 299.338 0.819 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CSC)[C@@H]2C1 ZINC001049964615 852410598 /nfs/dbraw/zinc/41/05/98/852410598.db2.gz MBZPSIXRQOFFDZ-NWDGAFQWSA-N 0 1 252.383 0.905 20 30 CCEDMN C[N@H+]1CCC[C@H]1C(=O)N1CCC[C@H]2CN(CC#N)C[C@H]21 ZINC001049994508 852417020 /nfs/dbraw/zinc/41/70/20/852417020.db2.gz OZEBXQCUWCZZHZ-MELADBBJSA-N 0 1 276.384 0.527 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CCC[C@H]2CN(CC#N)C[C@H]21 ZINC001049994508 852417022 /nfs/dbraw/zinc/41/70/22/852417022.db2.gz OZEBXQCUWCZZHZ-MELADBBJSA-N 0 1 276.384 0.527 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCN(C)C1=O)C2 ZINC001097292090 852525052 /nfs/dbraw/zinc/52/50/52/852525052.db2.gz KABWAWAUGPOXAF-SYQHCUMBSA-N 0 1 291.395 0.762 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001097359673 852531941 /nfs/dbraw/zinc/53/19/41/852531941.db2.gz RPNLVHASJSLDAT-KFWWJZLASA-N 0 1 299.374 0.744 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCC(=O)N1C)C2 ZINC001097635513 852552133 /nfs/dbraw/zinc/55/21/33/852552133.db2.gz XJEPGVWTBCJMPY-DGAVXFQQSA-N 0 1 289.379 0.352 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)Nc1ncnc2[nH]cnc21 ZINC001097739070 852597182 /nfs/dbraw/zinc/59/71/82/852597182.db2.gz AUCGGRWAFOTQKY-SFYZADRCSA-N 0 1 273.300 0.429 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H](C)Nc2nccnc2C#N)c1C ZINC001097759607 852600153 /nfs/dbraw/zinc/60/01/53/852600153.db2.gz GZRZRGWIBXQTMX-MRVPVSSYSA-N 0 1 299.338 0.919 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(C)c(=O)[nH]1)C2 ZINC001097789444 852624071 /nfs/dbraw/zinc/62/40/71/852624071.db2.gz QHYPHVNVHYCEOO-WZRBSPASSA-N 0 1 288.351 0.484 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)Cc2cnc[nH]2)CC1 ZINC001052749988 852650592 /nfs/dbraw/zinc/65/05/92/852650592.db2.gz FIICRTVUXBUWFU-NSHDSACASA-N 0 1 261.329 0.446 20 30 CCEDMN C=C(C)CN1CC2(C1)CN(C(=O)c1[nH]nnc1C)CCO2 ZINC001053368788 852734942 /nfs/dbraw/zinc/73/49/42/852734942.db2.gz PCWRRIHWEVLBIJ-UHFFFAOYSA-N 0 1 291.355 0.216 20 30 CCEDMN C=C(C)CN1CC2(C1)C[C@H](NC(=O)CN1CCCC1)CO2 ZINC001054009282 852868814 /nfs/dbraw/zinc/86/88/14/852868814.db2.gz SMBQMFHDKRXVBF-AWEZNQCLSA-N 0 1 293.411 0.618 20 30 CCEDMN COC(=O)CC[C@H](N[C@H]1CC[C@@H](C#N)C1)C(N)=O ZINC001254647883 852900187 /nfs/dbraw/zinc/90/01/87/852900187.db2.gz ITVHZFQFBUUWJX-UTLUCORTSA-N 0 1 253.302 0.075 20 30 CCEDMN C=C(Br)CN[C@H]1CN(C(=O)COC)C[C@H]1C ZINC001054303197 852913365 /nfs/dbraw/zinc/91/33/65/852913365.db2.gz KSEJONFSVWZBBY-SCZZXKLOSA-N 0 1 291.189 0.978 20 30 CCEDMN CCC(=O)NCCN1CCC(NC(=O)C#CC(C)C)CC1 ZINC001055472805 853074824 /nfs/dbraw/zinc/07/48/24/853074824.db2.gz FYWHRJUPQSPXPS-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC1CCN(CCNC(C)=O)CC1 ZINC001055486762 853080198 /nfs/dbraw/zinc/08/01/98/853080198.db2.gz LENAJOQQMYAMTQ-GFCCVEGCSA-N 0 1 297.399 0.294 20 30 CCEDMN Cc1nc(N[C@@H](C)CNC(=O)c2ncn[nH]2)ccc1C#N ZINC001097995442 853083204 /nfs/dbraw/zinc/08/32/04/853083204.db2.gz SMHWUCXVJOKXBK-QMMMGPOBSA-N 0 1 285.311 0.610 20 30 CCEDMN Cc1nc(N[C@@H](C)CNC(=O)c2nc[nH]n2)ccc1C#N ZINC001097995442 853083214 /nfs/dbraw/zinc/08/32/14/853083214.db2.gz SMHWUCXVJOKXBK-QMMMGPOBSA-N 0 1 285.311 0.610 20 30 CCEDMN CCC(=O)NC1CCN(CCNC(=O)[C@H](C)C#N)CC1 ZINC001055630081 853090306 /nfs/dbraw/zinc/09/03/06/853090306.db2.gz RVEQZAWERWJZOM-LLVKDONJSA-N 0 1 280.372 0.253 20 30 CCEDMN Cc1cc(C#N)nc(N[C@@H]2CCN(C(=O)c3ccn[nH]3)C2)n1 ZINC001056558050 853170516 /nfs/dbraw/zinc/17/05/16/853170516.db2.gz LTSHKMNFWPVLDB-SNVBAGLBSA-N 0 1 297.322 0.706 20 30 CCEDMN N#Cc1cccnc1N[C@@H]1CCN(C(=O)Cc2c[nH]cn2)C1 ZINC001056790758 853214888 /nfs/dbraw/zinc/21/48/88/853214888.db2.gz VZHDGYLSEOIMHC-GFCCVEGCSA-N 0 1 296.334 0.932 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3CCNC(=O)C3)[C@@H]2C1 ZINC001050020042 853294068 /nfs/dbraw/zinc/29/40/68/853294068.db2.gz WLOGRMYLPDGAHH-MELADBBJSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]([C@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001050259704 853347287 /nfs/dbraw/zinc/34/72/87/853347287.db2.gz LGFDCBGXYFOZLI-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CCOC[C@@H]1CNC(=O)c1n[nH]c2ccccc21 ZINC001051233854 853550721 /nfs/dbraw/zinc/55/07/21/853550721.db2.gz FWXHYEULUHQRSJ-LBPRGKRZSA-N 0 1 298.346 0.627 20 30 CCEDMN N#Cc1cnc(NC2CC(CNC(=O)c3ncn[nH]3)C2)cn1 ZINC001051982947 853669382 /nfs/dbraw/zinc/66/93/82/853669382.db2.gz LWFOQLQLMLRRBM-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cnc(NC2CC(CNC(=O)c3nc[nH]n3)C2)cn1 ZINC001051982947 853669386 /nfs/dbraw/zinc/66/93/86/853669386.db2.gz LWFOQLQLMLRRBM-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](CNC(=O)c2cnn[nH]2)[C@H](C)C1 ZINC001052189281 853700672 /nfs/dbraw/zinc/70/06/72/853700672.db2.gz WZCZTLFGTWVDND-GHMZBOCLSA-N 0 1 291.355 0.595 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnon1)C2 ZINC001098070155 853906173 /nfs/dbraw/zinc/90/61/73/853906173.db2.gz FAWYQKIZGFXMML-FOGDFJRCSA-N 0 1 262.313 0.981 20 30 CCEDMN N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)cn1 ZINC001068617975 853907953 /nfs/dbraw/zinc/90/79/53/853907953.db2.gz APMWTOJOYRMBET-OTCDBFHCSA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCCN1C[C@@H](OC)C[C@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070358388 854049116 /nfs/dbraw/zinc/04/91/16/854049116.db2.gz DDDCWPGICGWKGZ-RYUDHWBXSA-N 0 1 293.371 0.779 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](C)CC)C[C@H]1c1cn(C)cn1 ZINC001070470119 854063995 /nfs/dbraw/zinc/06/39/95/854063995.db2.gz CYXDPWJBBONPQU-VNHYZAJKSA-N 0 1 288.395 0.983 20 30 CCEDMN C=C(Cl)CN1CCOC[C@@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070576978 854077346 /nfs/dbraw/zinc/07/73/46/854077346.db2.gz KGLRCMYTDNXDEB-SNVBAGLBSA-N 0 1 299.762 0.567 20 30 CCEDMN C=C(Cl)CN1CCOC[C@@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070576978 854077349 /nfs/dbraw/zinc/07/73/49/854077349.db2.gz KGLRCMYTDNXDEB-SNVBAGLBSA-N 0 1 299.762 0.567 20 30 CCEDMN C#CCN1C[C@@H](OC)C[C@H]1Cn1ccc(NC(C)=O)n1 ZINC001070594644 854079512 /nfs/dbraw/zinc/07/95/12/854079512.db2.gz HYCZNMFIZUTQPC-STQMWFEESA-N 0 1 276.340 0.564 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)c1nc[nH]n1)C[C@H](C)O2 ZINC001071217874 854137285 /nfs/dbraw/zinc/13/72/85/854137285.db2.gz FLNDZPAWKITNKY-FZMZJTMJSA-N 0 1 291.355 0.296 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2cccn2)CC[C@H]1C ZINC001071354200 854166357 /nfs/dbraw/zinc/16/63/57/854166357.db2.gz IRKCQITYJJXAGH-OLZOCXBDSA-N 0 1 260.341 0.485 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2ccn(C)n2)CC[C@H]1C ZINC001071500812 854221157 /nfs/dbraw/zinc/22/11/57/854221157.db2.gz CETDFJBLHBGWQA-HIFRSBDPSA-N 0 1 288.395 0.955 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cn2nccn2)CC[C@H]1C ZINC001071534327 854231352 /nfs/dbraw/zinc/23/13/52/854231352.db2.gz PVPKRLMNPVXUSL-CHWSQXEVSA-N 0 1 275.356 0.271 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1NC(=O)C#CC1CC1 ZINC001071650972 854256762 /nfs/dbraw/zinc/25/67/62/854256762.db2.gz INTVSTNZUCXLMJ-GXFFZTMASA-N 0 1 286.335 0.400 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cc(C)nn2C)CC[C@@H]1C ZINC001071683275 854265303 /nfs/dbraw/zinc/26/53/03/854265303.db2.gz ZDXPFCTTWCBNPY-KBPBESRZSA-N 0 1 288.395 0.873 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC[C@H]1C ZINC001071747447 854279792 /nfs/dbraw/zinc/27/97/92/854279792.db2.gz DCSVXHWNWBBNNG-BJJPWKGXSA-N 0 1 262.353 0.481 20 30 CCEDMN C=CCCC(=O)N1C[C@H](NC(=O)Cc2nnc[nH]2)[C@@H](C)C1 ZINC001071790229 854292494 /nfs/dbraw/zinc/29/24/94/854292494.db2.gz FDFLBYVMYVNNPI-QWRGUYRKSA-N 0 1 291.355 0.277 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)CC[C@H]1C ZINC001071825575 854302062 /nfs/dbraw/zinc/30/20/62/854302062.db2.gz ZCJJSRFXHVAXOG-GHMZBOCLSA-N 0 1 290.367 0.882 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2c[nH]cn2)CC[C@@H]1C ZINC001071852249 854309400 /nfs/dbraw/zinc/30/94/00/854309400.db2.gz XTXVJJKHBVAPBM-QWHCGFSZSA-N 0 1 274.368 0.945 20 30 CCEDMN CC#CC[N@H+]1C[C@H](NC(=O)c2ncn[n-]2)CC[C@H]1C ZINC001071911491 854317613 /nfs/dbraw/zinc/31/76/13/854317613.db2.gz NSHSHDCAIVNFLS-GHMZBOCLSA-N 0 1 261.329 0.411 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@H]1C ZINC001072076994 854344530 /nfs/dbraw/zinc/34/45/30/854344530.db2.gz YVICMQTYKBJDQG-ZYHUDNBSSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCNC(=O)CN1CCN(Cc2ccccc2)[C@@H](C)C1 ZINC000396333754 854360801 /nfs/dbraw/zinc/36/08/01/854360801.db2.gz BULNYGJTOSIMTI-HNNXBMFYSA-N 0 1 285.391 0.942 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc(C#N)[nH]3)C2)C1 ZINC001072633766 854433850 /nfs/dbraw/zinc/43/38/50/854433850.db2.gz NXTHQNFEGPTSJN-UHFFFAOYSA-N 0 1 268.320 0.667 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3nccn3CC)C2)C1 ZINC001072671805 854442251 /nfs/dbraw/zinc/44/22/51/854442251.db2.gz KFNVUFCVIZTUSP-UHFFFAOYSA-N 0 1 272.352 0.684 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CC3(F)F)C2)C1 ZINC001072707845 854449634 /nfs/dbraw/zinc/44/96/34/854449634.db2.gz VFFZDIFCRMZRDK-SNVBAGLBSA-N 0 1 254.280 0.809 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCCc4nn[nH]c43)C2)C1 ZINC001072924715 854496097 /nfs/dbraw/zinc/49/60/97/854496097.db2.gz RYKURYIBPFUIDZ-LBPRGKRZSA-N 0 1 299.378 0.392 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc(C(N)=O)cc3)C2)C1 ZINC001073419969 854555465 /nfs/dbraw/zinc/55/54/65/854555465.db2.gz HBXBSEKWJSXMDP-UHFFFAOYSA-N 0 1 297.358 0.567 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2ccnn2C)C1 ZINC001073557062 854595059 /nfs/dbraw/zinc/59/50/59/854595059.db2.gz WJSAMUYDZKXCFX-CYBMUJFWSA-N 0 1 290.367 0.264 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2c[nH]nc2CC)C1 ZINC001073672655 854629337 /nfs/dbraw/zinc/62/93/37/854629337.db2.gz OUODQKPOCYSOFO-GFCCVEGCSA-N 0 1 292.383 0.979 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H]2OCC[C@H]2C)C1 ZINC001073774214 854643830 /nfs/dbraw/zinc/64/38/30/854643830.db2.gz BZRDBDSWMKGOKM-MCIONIFRSA-N 0 1 282.384 0.805 20 30 CCEDMN C=C(C)CN1CCCO[C@@H](CNC(=O)c2cnon2)C1 ZINC001073828366 854652085 /nfs/dbraw/zinc/65/20/85/854652085.db2.gz RNHLSNQXDGQJLL-NSHDSACASA-N 0 1 280.328 0.466 20 30 CCEDMN C[C@H](CNC(=O)c1cnn[nH]1)Nc1ncc(C#N)cc1F ZINC001098405450 854865596 /nfs/dbraw/zinc/86/55/96/854865596.db2.gz YZYADOUXCQLALS-SSDOTTSWSA-N 0 1 289.274 0.441 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(C)c(=O)n1C)C2 ZINC001098471246 854868264 /nfs/dbraw/zinc/86/82/64/854868264.db2.gz KGMBKWNIVWTPQF-UTUOFQBUSA-N 0 1 290.367 0.245 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@]12CCC[C@H]1CN(CC#N)C2 ZINC001098760267 854883249 /nfs/dbraw/zinc/88/32/49/854883249.db2.gz VYUBSFZLGCHYRW-GUTXKFCHSA-N 0 1 276.384 0.575 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2n[nH]cc2F)C1 ZINC001099013989 854905060 /nfs/dbraw/zinc/90/50/60/854905060.db2.gz FYIBHOZQZJBAIX-HZMBPMFUSA-N 0 1 276.315 0.766 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C(C)(C)C(N)=O ZINC001099115295 854916834 /nfs/dbraw/zinc/91/68/34/854916834.db2.gz DXSLRMDTATTWRM-GFCCVEGCSA-N 0 1 293.411 0.738 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2nonc2C)C1 ZINC001099184402 854920590 /nfs/dbraw/zinc/92/05/90/854920590.db2.gz NREWVQHEXBQDCX-FZMZJTMJSA-N 0 1 274.324 0.596 20 30 CCEDMN C[C@H](CCNC(=O)c1ncn[nH]1)Nc1ccc(C#N)nc1 ZINC001099381564 854930399 /nfs/dbraw/zinc/93/03/99/854930399.db2.gz CRBHNGZBTBOIHT-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@H](CCNC(=O)c1nc[nH]n1)Nc1ccc(C#N)nc1 ZINC001099381564 854930400 /nfs/dbraw/zinc/93/04/00/854930400.db2.gz CRBHNGZBTBOIHT-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CSC(C)C)[C@@H](O)C1 ZINC001099689654 854968195 /nfs/dbraw/zinc/96/81/95/854968195.db2.gz HLRLWMYQKRCAIE-OLZOCXBDSA-N 0 1 284.425 0.703 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCOC(C)C)[C@H](O)C1 ZINC001099693227 854969991 /nfs/dbraw/zinc/96/99/91/854969991.db2.gz NYBPBLIGJWNZNS-CHWSQXEVSA-N 0 1 270.373 0.539 20 30 CCEDMN C[C@H](CCNC(=O)Cc1cnc[nH]1)Nc1cnc(C#N)cn1 ZINC001099712788 854974158 /nfs/dbraw/zinc/97/41/58/854974158.db2.gz GHHLXYMHCVFQMB-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001099823297 855003813 /nfs/dbraw/zinc/00/38/13/855003813.db2.gz BFAYEAGOUNNQOT-GJZGRUSLSA-N 0 1 294.395 0.400 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2(O)CCC2)[C@H](O)C1 ZINC001099824057 855004194 /nfs/dbraw/zinc/00/41/94/855004194.db2.gz OJHUGGNGMLYYDY-NWDGAFQWSA-N 0 1 268.357 0.029 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(COC)CCCC2)[C@H](O)C1 ZINC001099865664 855014811 /nfs/dbraw/zinc/01/48/11/855014811.db2.gz BJBJWHGCBXQZCL-UONOGXRCSA-N 0 1 296.411 0.931 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCC(C)(F)F)[C@@H](O)C1 ZINC001099896600 855022033 /nfs/dbraw/zinc/02/20/33/855022033.db2.gz LSHJTEHFRQDOHU-RYUDHWBXSA-N 0 1 288.338 0.997 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cncn2C)[C@H](O)C1 ZINC001100094728 855096681 /nfs/dbraw/zinc/09/66/81/855096681.db2.gz REJPDFALBIGLTO-ZIAGYGMSSA-N 0 1 292.383 0.090 20 30 CCEDMN CN(CCNC(=O)CN1CCCC1)c1ccc(C#N)cn1 ZINC001100115489 855101073 /nfs/dbraw/zinc/10/10/73/855101073.db2.gz SBPLCWNDOSBURW-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1CCN(CC#CC)C[C@H]1O ZINC001100138904 855106733 /nfs/dbraw/zinc/10/67/33/855106733.db2.gz MJMLXWAPOOJDFF-UONOGXRCSA-N 0 1 280.368 0.154 20 30 CCEDMN CN(CCNC(=O)c1[nH]ncc1F)c1nccnc1C#N ZINC001100310965 855145013 /nfs/dbraw/zinc/14/50/13/855145013.db2.gz RDDLRQREVSMFOM-UHFFFAOYSA-N 0 1 289.274 0.077 20 30 CCEDMN N#CCNC1CC(CNC(=O)CCCCc2cn[nH]n2)C1 ZINC001100536032 855184342 /nfs/dbraw/zinc/18/43/42/855184342.db2.gz HDCVYWUUUMSQJR-UHFFFAOYSA-N 0 1 290.371 0.525 20 30 CCEDMN CC[C@H](CNC(=O)c1ncn[nH]1)Nc1cncc(C#N)n1 ZINC001103171288 855503312 /nfs/dbraw/zinc/50/33/12/855503312.db2.gz ZQFYUBCBDOMGIK-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN CC[C@H](CNC(=O)c1nc[nH]n1)Nc1cncc(C#N)n1 ZINC001103171288 855503316 /nfs/dbraw/zinc/50/33/16/855503316.db2.gz ZQFYUBCBDOMGIK-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C=CCCC(=O)N[C@]12CCC[C@H]1CN([C@@H](C)C(N)=O)C2 ZINC001111648675 855583546 /nfs/dbraw/zinc/58/35/46/855583546.db2.gz JAWXCJFSSDWXHF-HUBLWGQQSA-N 0 1 279.384 0.797 20 30 CCEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)C(=O)NCC2CC2)C1 ZINC001111918986 855604902 /nfs/dbraw/zinc/60/49/02/855604902.db2.gz NEGGRBODQPNBOO-BBRMVZONSA-N 0 1 291.395 0.669 20 30 CCEDMN CNC(=O)c1cccc(C(=O)N=C2C[C@H](C)ON2)n1 ZINC001116484806 855773812 /nfs/dbraw/zinc/77/38/12/855773812.db2.gz KJVNAEWRZYVFKJ-ZETCQYMHSA-N 0 1 262.269 0.293 20 30 CCEDMN C[C@H](NCC(=O)NCC#N)c1ccc([S@@](C)=O)cc1 ZINC001116532862 855783948 /nfs/dbraw/zinc/78/39/48/855783948.db2.gz WGTRXFSLQNHRFI-APBUJDDRSA-N 0 1 279.365 0.714 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@@](O)(C3CC3)C2)CCC1 ZINC001117299791 855940098 /nfs/dbraw/zinc/94/00/98/855940098.db2.gz XHMMJNZKXJIFCN-AWEZNQCLSA-N 0 1 263.341 0.396 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC[C@@](C)(C#N)C2)C[C@@H](C)N1 ZINC001118059620 856149982 /nfs/dbraw/zinc/14/99/82/856149982.db2.gz PYAQXRCKHFOTHD-JMSVASOKSA-N 0 1 292.383 0.347 20 30 CCEDMN CC#CCN(C)C(=O)C(=O)N(CCC)[C@@H]1CCN(C)C1 ZINC001118187620 856191274 /nfs/dbraw/zinc/19/12/74/856191274.db2.gz AOCWUMWIVRTHTE-CYBMUJFWSA-N 0 1 279.384 0.411 20 30 CCEDMN N#CCCCCC(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC001118313958 856255723 /nfs/dbraw/zinc/25/57/23/856255723.db2.gz XWYCUOUOUGCBKT-JTQLQIEISA-N 0 1 262.317 0.990 20 30 CCEDMN Cc1nc(CC(=O)NC2(C#N)CCSCC2)n[nH]1 ZINC001118691709 856396462 /nfs/dbraw/zinc/39/64/62/856396462.db2.gz WVPSNGHUDGEOCG-UHFFFAOYSA-N 0 1 265.342 0.561 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CC=C)C(=O)[O-] ZINC001119580380 856753039 /nfs/dbraw/zinc/75/30/39/856753039.db2.gz MBQMKDPLDSLECD-QWRGUYRKSA-N 0 1 250.298 0.230 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CC=C)C(=O)[O-] ZINC001119580380 856753047 /nfs/dbraw/zinc/75/30/47/856753047.db2.gz MBQMKDPLDSLECD-QWRGUYRKSA-N 0 1 250.298 0.230 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C[C@@H](C)Nc1ccncc1C#N ZINC001120399536 857058248 /nfs/dbraw/zinc/05/82/48/857058248.db2.gz NYAXGCCRSCKDQR-SECBINFHSA-N 0 1 299.338 0.374 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](C)NC(=O)C(C)(C)c1cnc[nH]1 ZINC001392957827 912288469 /nfs/dbraw/zinc/28/84/69/912288469.db2.gz JJTBKAYOJXHRPV-UWVGGRQHSA-N 0 1 291.355 0.468 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCc1cn(C)nn1 ZINC001323372212 912303202 /nfs/dbraw/zinc/30/32/02/912303202.db2.gz SKZXDNGQHNZMIT-ZDUSSCGKSA-N 0 1 277.372 0.514 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C[C@H]2C=CCC2)CC1 ZINC001323385002 912312233 /nfs/dbraw/zinc/31/22/33/912312233.db2.gz NMEBYTBLOKRULF-ZDUSSCGKSA-N 0 1 291.395 0.883 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)[C@H](C)C2CC2)CC1 ZINC001323410738 912330192 /nfs/dbraw/zinc/33/01/92/912330192.db2.gz BHUYAZMQPXVPAC-LLVKDONJSA-N 0 1 277.368 0.020 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C2CC(C)C2)CC1 ZINC001323423360 912336297 /nfs/dbraw/zinc/33/62/97/912336297.db2.gz QKOUNOIDHYCVHR-UHFFFAOYSA-N 0 1 277.368 0.020 20 30 CCEDMN CC(=O)NC[C@]1(O)CCN(Cc2ccc(C#N)cc2)C1 ZINC001393244106 912465592 /nfs/dbraw/zinc/46/55/92/912465592.db2.gz SHDVLYZYDUCVNV-OAHLLOKOSA-N 0 1 273.336 0.631 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)CNC(=O)NC)C1 ZINC001323934951 912590735 /nfs/dbraw/zinc/59/07/35/912590735.db2.gz XLDAYTALVTXEFQ-LLVKDONJSA-N 0 1 282.388 0.413 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)CC2OCCCO2)CC1 ZINC001324307516 912767651 /nfs/dbraw/zinc/76/76/51/912767651.db2.gz GNHJFTWSMXJUOF-UHFFFAOYSA-N 0 1 280.368 0.743 20 30 CCEDMN C#CCOCC[N@H+](C)[C@H](C)C(=O)N(C)CCC#N ZINC001324516389 912888864 /nfs/dbraw/zinc/88/88/64/912888864.db2.gz MVLIYMJBMPRFQY-GFCCVEGCSA-N 0 1 251.330 0.329 20 30 CCEDMN C#CCOCCN(C)[C@H](C)C(=O)N(C)CCC#N ZINC001324516389 912888883 /nfs/dbraw/zinc/88/88/83/912888883.db2.gz MVLIYMJBMPRFQY-GFCCVEGCSA-N 0 1 251.330 0.329 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)CCc2nc[nH]n2)n1 ZINC001324920577 913095953 /nfs/dbraw/zinc/09/59/53/913095953.db2.gz UJPXTTOZFHMRSS-UHFFFAOYSA-N 0 1 286.339 0.616 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2cncc(F)c2)C1 ZINC001325080731 913193313 /nfs/dbraw/zinc/19/33/13/913193313.db2.gz CUHVAWNGNOXYIU-OAHLLOKOSA-N 0 1 291.326 0.411 20 30 CCEDMN CC[C@@H](C)CN1CC(O)(CNC(=O)[C@H](C)C#N)C1 ZINC001325188661 913267916 /nfs/dbraw/zinc/26/79/16/913267916.db2.gz DAQFQJCYTOEVBD-GHMZBOCLSA-N 0 1 253.346 0.355 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](CC(N)=O)C2)CC1 ZINC001325236777 913295452 /nfs/dbraw/zinc/29/54/52/913295452.db2.gz OWWSGXSMFVSPSO-GFCCVEGCSA-N 0 1 277.368 0.056 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@@H](C)OCC ZINC001394725122 913385520 /nfs/dbraw/zinc/38/55/20/913385520.db2.gz FDJTUAZGDDLXHH-GHMZBOCLSA-N 0 1 278.780 0.573 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC001325633828 913498290 /nfs/dbraw/zinc/49/82/90/913498290.db2.gz CHRBUPJIJCEZCP-KBPBESRZSA-N 0 1 297.399 0.551 20 30 CCEDMN CC(C)C#CC(=O)NCCN(C)CCn1cccn1 ZINC001480861272 891404630 /nfs/dbraw/zinc/40/46/30/891404630.db2.gz ATDRWYCTISBOAX-UHFFFAOYSA-N 0 1 262.357 0.591 20 30 CCEDMN CC#CCN(CCNC(=O)[C@H]1CC[C@H]1C(N)=O)C1CC1 ZINC001493402435 891435260 /nfs/dbraw/zinc/43/52/60/891435260.db2.gz ZSUZXKPHLSXFML-OLZOCXBDSA-N 0 1 277.368 0.102 20 30 CCEDMN C=CC1CCN(C(=O)[C@@H]2CN3CCN2C[C@H]3C)CC1 ZINC001346426616 891489790 /nfs/dbraw/zinc/48/97/90/891489790.db2.gz NFTJWUMZPKFTCR-OCCSQVGLSA-N 0 1 263.385 0.799 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(C#N)c[nH]1 ZINC001125385521 891527710 /nfs/dbraw/zinc/52/77/10/891527710.db2.gz PYILETYWTGCVDO-UHFFFAOYSA-N 0 1 252.705 0.958 20 30 CCEDMN CSCC[C@H](NC(=O)[C@@H]1C[C@@H]1C#N)c1nn[n-]n1 ZINC001167449636 891618424 /nfs/dbraw/zinc/61/84/24/891618424.db2.gz YILUATWJDNFGQE-PRJMDXOYSA-N 0 1 266.330 0.270 20 30 CCEDMN CSCC[C@H](NC(=O)[C@@H]1C[C@@H]1C#N)c1nn[nH]n1 ZINC001167449636 891618429 /nfs/dbraw/zinc/61/84/29/891618429.db2.gz YILUATWJDNFGQE-PRJMDXOYSA-N 0 1 266.330 0.270 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC001325822106 913588542 /nfs/dbraw/zinc/58/85/42/913588542.db2.gz ICRXFUATYSEIMW-LLVKDONJSA-N 0 1 265.357 0.422 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCN(C)CC#CCOC ZINC001480922859 891821190 /nfs/dbraw/zinc/82/11/90/891821190.db2.gz HGKIODMGLAWKAU-GJZGRUSLSA-N 0 1 294.395 0.665 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnn(CC2CC2)c1 ZINC001480931983 891868775 /nfs/dbraw/zinc/86/87/75/891868775.db2.gz HVFUUSCJWBQSGV-UHFFFAOYSA-N 0 1 260.341 0.588 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnc2n1CCC2 ZINC001480980092 892008079 /nfs/dbraw/zinc/00/80/79/892008079.db2.gz AOCPHEHPHMJIRE-UHFFFAOYSA-N 0 1 260.341 0.514 20 30 CCEDMN C=CCCN(CC)CCNC(=O)C(C)(C)S(C)(=O)=O ZINC001481003987 892040592 /nfs/dbraw/zinc/04/05/92/892040592.db2.gz NHUQKNAWGZVBLU-UHFFFAOYSA-N 0 1 290.429 0.824 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)COCCOC ZINC001325883054 913622398 /nfs/dbraw/zinc/62/23/98/913622398.db2.gz QLOODCRCLLRTAN-KBPBESRZSA-N 0 1 280.368 0.348 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@H]1CCOC1)C1CC1 ZINC001493355456 892198623 /nfs/dbraw/zinc/19/86/23/892198623.db2.gz VKIOMGUTUICWEF-ZDUSSCGKSA-N 0 1 280.368 0.253 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc2scnc21 ZINC001283754971 892353646 /nfs/dbraw/zinc/35/36/46/892353646.db2.gz GBSAFSGPLFAXEX-JTQLQIEISA-N 0 1 289.360 0.610 20 30 CCEDMN C=CCOCCN1CCN(CCN(C)C(=O)CC)CC1 ZINC001481465527 892710854 /nfs/dbraw/zinc/71/08/54/892710854.db2.gz UVNPYRPXZJFFHO-UHFFFAOYSA-N 0 1 283.416 0.675 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](CN(C)CC#N)C1 ZINC001481496403 892744980 /nfs/dbraw/zinc/74/49/80/892744980.db2.gz SUFAHIUKYRIEMO-JTQLQIEISA-N 0 1 262.317 0.031 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CCCC(N)=O)C1 ZINC001481662981 892981099 /nfs/dbraw/zinc/98/10/99/892981099.db2.gz QUHDOEHFOAKIDR-UHFFFAOYSA-N 0 1 253.346 0.266 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)C(=O)NCC(F)F)C1 ZINC001481771034 893133727 /nfs/dbraw/zinc/13/37/27/893133727.db2.gz QIVKGGXKNDFAJX-SNVBAGLBSA-N 0 1 289.326 0.477 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H]1CN(CC2CCC2)CCO1 ZINC001482190173 893481839 /nfs/dbraw/zinc/48/18/39/893481839.db2.gz UPTONVMWDJRAEW-GDBMZVCRSA-N 0 1 296.411 0.931 20 30 CCEDMN C[NH+](C)CCCN(CCC#N)C(=O)CCCc1nn[n-]n1 ZINC001362080319 893502708 /nfs/dbraw/zinc/50/27/08/893502708.db2.gz RNXOOGAQWHGSFI-UHFFFAOYSA-N 0 1 293.375 0.216 20 30 CCEDMN C=CCN1CCO[C@@H](CNC(=O)[C@H](C)Cc2cnc[nH]2)C1 ZINC001482200404 893524366 /nfs/dbraw/zinc/52/43/66/893524366.db2.gz LJRSNZOPXVTWFC-OCCSQVGLSA-N 0 1 292.383 0.591 20 30 CCEDMN C[C@H](CNCc1ccccc1C#N)N(C)C(=O)CC(N)=O ZINC001482266258 893710598 /nfs/dbraw/zinc/71/05/98/893710598.db2.gz PMBNQAFAFSIBFG-LLVKDONJSA-N 0 1 288.351 0.370 20 30 CCEDMN C=CC[N@H+](C)CCN(C)C(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001496727993 894163680 /nfs/dbraw/zinc/16/36/80/894163680.db2.gz CXHDIWVKRXJAJP-UHFFFAOYSA-N 0 1 297.359 0.046 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)C2=COCCO2)C1 ZINC001271942151 894226783 /nfs/dbraw/zinc/22/67/83/894226783.db2.gz RBCGXELSMXZJHT-OAHLLOKOSA-N 0 1 296.367 0.394 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)Cc1cc(C)on1 ZINC001482587067 894295801 /nfs/dbraw/zinc/29/58/01/894295801.db2.gz XLAQSJAYBPHGNT-UHFFFAOYSA-N 0 1 263.341 0.939 20 30 CCEDMN C=CCOCC[N@H+](C)CCN(C)C(=O)c1cccnn1 ZINC001482638169 894438649 /nfs/dbraw/zinc/43/86/49/894438649.db2.gz ZSCSUQFHOQOICG-UHFFFAOYSA-N 0 1 278.356 0.683 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CNC(=O)CC(C)(C)C ZINC001482651239 894450648 /nfs/dbraw/zinc/45/06/48/894450648.db2.gz HZQUVSZDGHGWAK-UHFFFAOYSA-N 0 1 281.400 0.562 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](O)CNCc1cc(C)no1 ZINC001482733974 894536320 /nfs/dbraw/zinc/53/63/20/894536320.db2.gz OXXCEEDZGUXKPG-LBPRGKRZSA-N 0 1 281.356 0.906 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc(C2CC2)no1 ZINC001482772047 894588863 /nfs/dbraw/zinc/58/88/63/894588863.db2.gz BXBROCNFBDSHLU-SNVBAGLBSA-N 0 1 299.758 0.985 20 30 CCEDMN Cn1cc(CNC/C=C/CNC(=O)c2ccc(C#N)[nH]2)cn1 ZINC001482999792 894790443 /nfs/dbraw/zinc/79/04/43/894790443.db2.gz PNVQHJPDZJQAHI-NSCUHMNNSA-N 0 1 298.350 0.696 20 30 CCEDMN Cn1cnc(CNS(=O)(=O)c2ccsc2C#N)n1 ZINC001414235861 894835279 /nfs/dbraw/zinc/83/52/79/894835279.db2.gz UTOZXJMUBYGORP-UHFFFAOYSA-N 0 1 283.338 0.227 20 30 CCEDMN CC(C)=C(C)CC(=O)NC/C=C\CNCC(=O)NCC#N ZINC001483046221 894843302 /nfs/dbraw/zinc/84/33/02/894843302.db2.gz LBWYQQMIAPMDLD-PLNGDYQASA-N 0 1 292.383 0.635 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1csnn1 ZINC001483251970 895380770 /nfs/dbraw/zinc/38/07/70/895380770.db2.gz IALZUGYYJPMSME-ZETCQYMHSA-N 0 1 260.750 0.999 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCCCN1C(=O)CC ZINC001483341223 895480846 /nfs/dbraw/zinc/48/08/46/895480846.db2.gz IKQYTPZPPLYMCA-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCc1cc(OC)no1 ZINC001483345330 895481250 /nfs/dbraw/zinc/48/12/50/895481250.db2.gz YFKGZNDHXLQXLE-NSHDSACASA-N 0 1 279.340 0.686 20 30 CCEDMN COC(=O)c1ccoc1S(=O)(=O)N[C@@H](C)CC#N ZINC001365034718 895846952 /nfs/dbraw/zinc/84/69/52/895846952.db2.gz YFUDNLCQVHUPHK-ZETCQYMHSA-N 0 1 272.282 0.647 20 30 CCEDMN N#CCNC1(CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC001483743472 895975420 /nfs/dbraw/zinc/97/54/20/895975420.db2.gz WIXGOKPTBQXBJP-NXEZZACHSA-N 0 1 259.313 0.275 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001484047388 896174620 /nfs/dbraw/zinc/17/46/20/896174620.db2.gz BEMCVTVAVSDYDC-XJKSGUPXSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)Cc2ncc[nH]2)C1 ZINC001484063944 896182834 /nfs/dbraw/zinc/18/28/34/896182834.db2.gz VXALUJOHAANDJQ-AWEZNQCLSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2(c3ccccn3)CC2)C1 ZINC001484216870 896248253 /nfs/dbraw/zinc/24/82/53/896248253.db2.gz OWUXZFCPODVAAQ-INIZCTEOSA-N 0 1 299.374 0.299 20 30 CCEDMN C=C(C)CN1CCOC[C@@H]1CNC(=O)Cc1cnc[nH]1 ZINC001484604146 896494492 /nfs/dbraw/zinc/49/44/92/896494492.db2.gz UTBGOECXSHLPHD-ZDUSSCGKSA-N 0 1 278.356 0.345 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)Cc2cnc[nH]2)CCO1 ZINC001484690010 896536868 /nfs/dbraw/zinc/53/68/68/896536868.db2.gz KJPMXZURPWUQOH-GFCCVEGCSA-N 0 1 298.774 0.522 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCN(CC(=O)N(C)C)CC1(C)C ZINC001484933279 896670203 /nfs/dbraw/zinc/67/02/03/896670203.db2.gz YXSBIILYAQPKFO-NEPJUHHUSA-N 0 1 294.399 0.451 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)CCn2ccnn2)C[C@@H]1C ZINC001484973038 896693783 /nfs/dbraw/zinc/69/37/83/896693783.db2.gz PVBHNXSZXCIPQJ-KBPBESRZSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H](C)C2CC2)CC1 ZINC001485016637 896719487 /nfs/dbraw/zinc/71/94/87/896719487.db2.gz MSULVMJXDODBKA-GFCCVEGCSA-N 0 1 264.369 0.609 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H]1CN(C)[C@@H]1CCNC1=O ZINC001485050350 896736202 /nfs/dbraw/zinc/73/62/02/896736202.db2.gz MPFGHYYBRFCRHO-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@]1(C)CCNC1=O ZINC001485069289 896746325 /nfs/dbraw/zinc/74/63/25/896746325.db2.gz NHUOWEPENGCDLO-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)N(C)[C@H]1CCNC1=O ZINC001485340722 896967306 /nfs/dbraw/zinc/96/73/06/896967306.db2.gz YTDRDXUKSUJILD-STQMWFEESA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CNC(=O)c1cccs1 ZINC001485381047 897008436 /nfs/dbraw/zinc/00/84/36/897008436.db2.gz LOXPVGYDQYUXQL-LLVKDONJSA-N 0 1 293.392 0.548 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cnn2cc[nH]c12 ZINC001485378529 897008640 /nfs/dbraw/zinc/00/86/40/897008640.db2.gz WAGNCIJRRMWUKY-LLVKDONJSA-N 0 1 273.340 0.736 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)COC[C@H]1CCCO1 ZINC001485393750 897018504 /nfs/dbraw/zinc/01/85/04/897018504.db2.gz CWGTYXVTPZEITB-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCCC[C@@H]1CNCC#N ZINC001326734206 914123832 /nfs/dbraw/zinc/12/38/32/914123832.db2.gz QYOBVEXELNPJOK-SNVBAGLBSA-N 0 1 262.317 0.221 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1C[N@H+](CC#CC)CC1(C)C ZINC001485569436 897120453 /nfs/dbraw/zinc/12/04/53/897120453.db2.gz KUKHBYINQPKBCE-CQSZACIVSA-N 0 1 276.380 0.876 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CN(CC#CC)CC1(C)C ZINC001485569436 897120457 /nfs/dbraw/zinc/12/04/57/897120457.db2.gz KUKHBYINQPKBCE-CQSZACIVSA-N 0 1 276.380 0.876 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCn1ccnn1 ZINC001032374590 897347854 /nfs/dbraw/zinc/34/78/54/897347854.db2.gz FOBYIWKHPJZEPF-STQMWFEESA-N 0 1 275.356 0.529 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2cn(C)nn2)C1 ZINC001107918587 897500387 /nfs/dbraw/zinc/50/03/87/897500387.db2.gz SJTYVVYOWUOVSE-AWEZNQCLSA-N 0 1 293.371 0.212 20 30 CCEDMN N#CCNC[C@H]1CC[C@H](NC(=O)Cc2nnc[nH]2)CC1 ZINC001272770530 897640811 /nfs/dbraw/zinc/64/08/11/897640811.db2.gz TZFINPJSHIMLAJ-XYPYZODXSA-N 0 1 276.344 0.135 20 30 CCEDMN C#CCN1CC[C@]2(CCC[N@H+]2Cc2ccc(=O)[nH]c2)C1=O ZINC001272802978 897692978 /nfs/dbraw/zinc/69/29/78/897692978.db2.gz PGBVMINMTZVMQE-MRXNPFEDSA-N 0 1 285.347 0.987 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2Cc2ccc(=O)[nH]c2)C1=O ZINC001272802978 897692985 /nfs/dbraw/zinc/69/29/85/897692985.db2.gz PGBVMINMTZVMQE-MRXNPFEDSA-N 0 1 285.347 0.987 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CN(C(C)C)C[C@H]2O)nn1 ZINC001077813789 897711038 /nfs/dbraw/zinc/71/10/38/897711038.db2.gz CGUQGUSDOQPQMH-DGCLKSJQSA-N 0 1 293.371 0.038 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1nccn1C ZINC001032535164 897712639 /nfs/dbraw/zinc/71/26/39/897712639.db2.gz VNGGBAVSDLQLFC-KBPBESRZSA-N 0 1 286.379 0.661 20 30 CCEDMN CC#CCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1c[nH]nn1 ZINC001032636929 897866921 /nfs/dbraw/zinc/86/69/21/897866921.db2.gz CMZKSXQTUAFMHG-STQMWFEESA-N 0 1 273.340 0.046 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1nonc1C ZINC001032709344 897953042 /nfs/dbraw/zinc/95/30/42/897953042.db2.gz ZINFESOVCOUEKP-RYUDHWBXSA-N 0 1 274.324 0.229 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)CN2CCC(C)CC2)C1 ZINC001077888546 897999222 /nfs/dbraw/zinc/99/92/22/897999222.db2.gz JIWHFGLEOYUCRL-ZIAGYGMSSA-N 0 1 281.400 0.066 20 30 CCEDMN CC(C)(C(=O)N1C[C@@H]2C[C@H]1CN2CC#N)c1c[nH]cn1 ZINC001032733358 898030046 /nfs/dbraw/zinc/03/00/46/898030046.db2.gz RVRKHEWANOESQW-QWRGUYRKSA-N 0 1 273.340 0.496 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C2CCCC2)C1 ZINC001077980394 898138142 /nfs/dbraw/zinc/13/81/42/898138142.db2.gz VEGYNHCQSXAALJ-BPLDGKMQSA-N 0 1 278.396 0.997 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2cccnc2)C1 ZINC001078022718 898187407 /nfs/dbraw/zinc/18/74/07/898187407.db2.gz RPCPMLRUUCALOO-NWANDNLSSA-N 0 1 289.379 0.923 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@@]1(C)CN(CC#N)CCO1 ZINC001108030359 898233433 /nfs/dbraw/zinc/23/34/33/898233433.db2.gz FCHRKFOTNPBHJO-HIFRSBDPSA-N 0 1 294.399 0.201 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC001078119943 898252816 /nfs/dbraw/zinc/25/28/16/898252816.db2.gz NQDNSGZYIWKVHX-VXGBXAGGSA-N 0 1 277.324 0.138 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2C[C@H](NCC#N)C23CCC3)n[nH]1 ZINC001078621288 898440586 /nfs/dbraw/zinc/44/05/86/898440586.db2.gz CSAWOFURCVLJLU-WDEREUQCSA-N 0 1 288.355 0.196 20 30 CCEDMN CC(C)(F)C(=O)NC[C@H](CO)NCc1ccc(C#N)cc1 ZINC001485967153 898537546 /nfs/dbraw/zinc/53/75/46/898537546.db2.gz LDDFYKJEXZAMMV-CYBMUJFWSA-N 0 1 293.342 0.873 20 30 CCEDMN N#Cc1cccc(CN[C@H](CO)CNC(=O)c2ccn[nH]2)c1 ZINC001485977394 898555851 /nfs/dbraw/zinc/55/58/51/898555851.db2.gz RORMTXHDHSGHFB-ZDUSSCGKSA-N 0 1 299.334 0.162 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H](CC)SC ZINC001485987060 898576886 /nfs/dbraw/zinc/57/68/86/898576886.db2.gz IYMHNTVPTBGZHA-WDEREUQCSA-N 0 1 258.387 0.218 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)[C@@H]1C[C@H]1C(C)(C)C ZINC001486015190 898589476 /nfs/dbraw/zinc/58/94/76/898589476.db2.gz SMXUAKFWUNQWQO-MGPQQGTHSA-N 0 1 296.411 0.385 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1CCCC12CC2 ZINC001486008646 898605202 /nfs/dbraw/zinc/60/52/02/898605202.db2.gz QBPSSIIXVQUOIX-NWDGAFQWSA-N 0 1 250.342 0.267 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cn(CCC)nc1C ZINC001486048350 898614879 /nfs/dbraw/zinc/61/48/79/898614879.db2.gz REHAUOLERCYDOF-ZDUSSCGKSA-N 0 1 292.383 0.305 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)COC1CCC(C)CC1 ZINC001486066294 898631611 /nfs/dbraw/zinc/63/16/11/898631611.db2.gz RCFVETJJXAIXIT-SLTAFYQDSA-N 0 1 296.411 0.672 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCCC(=O)NC)[C@@H]1C ZINC001486171548 898694310 /nfs/dbraw/zinc/69/43/10/898694310.db2.gz VBFHCWSWUGHXDZ-QWHCGFSZSA-N 0 1 279.384 0.505 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H](C)OCC ZINC001486326010 898789728 /nfs/dbraw/zinc/78/97/28/898789728.db2.gz HIAJBZWLQZXOOG-CHWSQXEVSA-N 0 1 270.373 0.186 20 30 CCEDMN COCC#CCN1CC=C(CNC(=O)[C@H]2CCCO2)CC1 ZINC001486502385 898906170 /nfs/dbraw/zinc/90/61/70/898906170.db2.gz PYJLSZLXWRYOMY-OAHLLOKOSA-N 0 1 292.379 0.564 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)N(C)C(=O)CCc1c[nH]nn1 ZINC001486822268 898995598 /nfs/dbraw/zinc/99/55/98/898995598.db2.gz KQOSXBWOXRYJLF-NSHDSACASA-N 0 1 293.371 0.667 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)N(C)C(=O)CCc1cnn[nH]1 ZINC001486822268 898995606 /nfs/dbraw/zinc/99/56/06/898995606.db2.gz KQOSXBWOXRYJLF-NSHDSACASA-N 0 1 293.371 0.667 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@H](N[C@@H](C)c2nncn2C)C1 ZINC001397624355 914303463 /nfs/dbraw/zinc/30/34/63/914303463.db2.gz LFQJHPRGYADQAF-BJDJZHNGSA-N 0 1 290.371 0.520 20 30 CCEDMN CC[N@@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)[C@H](C)CO ZINC001364733448 899033431 /nfs/dbraw/zinc/03/34/31/899033431.db2.gz WZTGIAIETTYMJQ-SECBINFHSA-N 0 1 275.312 0.509 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCn2cncn2)C1 ZINC001494339786 899071646 /nfs/dbraw/zinc/07/16/46/899071646.db2.gz HWUCHMKOUJWURU-GFCCVEGCSA-N 0 1 277.372 0.823 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1nnn(C)n1 ZINC001410431032 899404299 /nfs/dbraw/zinc/40/42/99/899404299.db2.gz ZDXROOLFXGWOFL-MRVPVSSYSA-N 0 1 272.740 0.013 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)NC(=O)C(C)(C)C)C1 ZINC001494718617 899579828 /nfs/dbraw/zinc/57/98/28/899579828.db2.gz ALKKLTXESAYORH-RYUDHWBXSA-N 0 1 281.400 0.914 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1coc(C)n1 ZINC001410999274 899654498 /nfs/dbraw/zinc/65/44/98/899654498.db2.gz YYQXHQJGGDSPNI-JTQLQIEISA-N 0 1 287.747 0.758 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001324647057 899812343 /nfs/dbraw/zinc/81/23/43/899812343.db2.gz KWYAKXYVSXGJOG-ZFWWWQNUSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)[C@@H](C)C#N)C1 ZINC001130360947 899815225 /nfs/dbraw/zinc/81/52/25/899815225.db2.gz CKWSSSLHWPPQFZ-RYUDHWBXSA-N 0 1 285.351 0.608 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccncn2)C1 ZINC001192933472 900026798 /nfs/dbraw/zinc/02/67/98/900026798.db2.gz IXDDVHSHPPYTFA-GFCCVEGCSA-N 0 1 258.325 0.646 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)CN2CCCC2)C1 ZINC001488879166 900412412 /nfs/dbraw/zinc/41/24/12/900412412.db2.gz XPFRWUFUUMMZPK-HAQNSBGRSA-N 0 1 250.346 0.090 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2cc[nH]c2C)C1 ZINC001489155496 900441060 /nfs/dbraw/zinc/44/10/60/900441060.db2.gz KJZPEULGIMYEFC-CQSZACIVSA-N 0 1 289.379 0.855 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN(CC(=O)NC1CC1)C1CC1 ZINC001490367043 900610501 /nfs/dbraw/zinc/61/05/01/900610501.db2.gz LGMIPIDBNVRJNV-LLVKDONJSA-N 0 1 292.383 0.395 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CC(=O)NCC)CC1 ZINC001490404487 900616461 /nfs/dbraw/zinc/61/64/61/900616461.db2.gz CARCDKWWUHYPCM-UHFFFAOYSA-N 0 1 265.357 0.069 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@@H]1CCCN(CCO)C1 ZINC001490450737 900624093 /nfs/dbraw/zinc/62/40/93/900624093.db2.gz YJDFSEDQXFDKTR-KGLIPLIRSA-N 0 1 297.399 0.030 20 30 CCEDMN CC#CCN1CCN(CCCNC(=O)[C@H](F)CC)CC1 ZINC001490473715 900634697 /nfs/dbraw/zinc/63/46/97/900634697.db2.gz SQBZSZBLKPDJIO-CQSZACIVSA-N 0 1 283.391 0.882 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001490537215 900650348 /nfs/dbraw/zinc/65/03/48/900650348.db2.gz JHPKXVPFDKRLHE-OCCSQVGLSA-N 0 1 250.342 0.361 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001490537215 900650357 /nfs/dbraw/zinc/65/03/57/900650357.db2.gz JHPKXVPFDKRLHE-OCCSQVGLSA-N 0 1 250.342 0.361 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@H]2CCO[C@H]2C)CC1 ZINC001490506642 900654161 /nfs/dbraw/zinc/65/41/61/900654161.db2.gz GVZDIMBTJZLDST-LSDHHAIUSA-N 0 1 295.427 0.721 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CCCNC1=O)C1CC1 ZINC001490678422 900686761 /nfs/dbraw/zinc/68/67/61/900686761.db2.gz QGIVVUKCLOHHBK-NEPJUHHUSA-N 0 1 299.802 0.750 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN(C)C(=O)C1CC1)C2 ZINC001110621456 900728054 /nfs/dbraw/zinc/72/80/54/900728054.db2.gz CNHGHRNSBRDZNJ-MCIONIFRSA-N 0 1 291.395 0.762 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](COC)OC ZINC001490798434 900756753 /nfs/dbraw/zinc/75/67/53/900756753.db2.gz GFGTZEMXXVPGGD-HZSPNIEDSA-N 0 1 282.384 0.899 20 30 CCEDMN CCN(C(=O)C[C@@H](C)OC)[C@@H]1CCN(CC#CCOC)C1 ZINC001318457036 900834802 /nfs/dbraw/zinc/83/48/02/900834802.db2.gz GLXOPYGCFWAAOJ-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CO[C@H]1CCOC1 ZINC001275108224 900993438 /nfs/dbraw/zinc/99/34/38/900993438.db2.gz JKWGFIIUNVBFBA-KBPBESRZSA-N 0 1 280.368 0.348 20 30 CCEDMN C[C@@H](NC(=O)[C@@H](C)C#N)C1CN(C(=O)Cc2ccn[nH]2)C1 ZINC001411991001 901382803 /nfs/dbraw/zinc/38/28/03/901382803.db2.gz BCXWRQMIMKFELT-VHSXEESVSA-N 0 1 289.339 0.075 20 30 CCEDMN COc1cc(C(=O)NCCc2nc[nH]n2)ccc1C#N ZINC001412163433 901513335 /nfs/dbraw/zinc/51/33/35/901513335.db2.gz CVXXQQUXGUSSIL-UHFFFAOYSA-N 0 1 271.280 0.657 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cn1ccc2ccccc21 ZINC001327449528 914545133 /nfs/dbraw/zinc/54/51/33/914545133.db2.gz QBEBBCFSVSJVIH-CHWSQXEVSA-N 0 1 268.320 0.869 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1(CCO)CN(CCC(C)C)C1 ZINC001276044894 901787134 /nfs/dbraw/zinc/78/71/34/901787134.db2.gz WZPFKDHSZGFINP-HNNXBMFYSA-N 0 1 298.427 0.913 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)N1CC[N@H+](C)[C@@H](C)C1 ZINC001412531526 901795380 /nfs/dbraw/zinc/79/53/80/901795380.db2.gz IFMJWRQTIFDMPA-JTQLQIEISA-N 0 1 274.324 0.743 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)N1CC[N@@H+](C)[C@@H](C)C1 ZINC001412531526 901795389 /nfs/dbraw/zinc/79/53/89/901795389.db2.gz IFMJWRQTIFDMPA-JTQLQIEISA-N 0 1 274.324 0.743 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCCN([C@H](C)C(N)=O)CC1 ZINC001327111074 902033252 /nfs/dbraw/zinc/03/32/52/902033252.db2.gz NWKGBKUMFZHSAV-NEPJUHHUSA-N 0 1 267.373 0.797 20 30 CCEDMN C#CCN(CC1CC1)[C@H]1CCCN(CCC(=O)OC)C1=O ZINC001327520314 902192786 /nfs/dbraw/zinc/19/27/86/902192786.db2.gz RSQHUZVUMLGCDU-AWEZNQCLSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CC[NH2+][C@@]1(CO)CCCN(C(=O)[C@@H]2CCCCN2C)C1 ZINC001327575871 914629843 /nfs/dbraw/zinc/62/98/43/914629843.db2.gz DCQMDODRHQQKBW-HOCLYGCPSA-N 0 1 293.411 0.047 20 30 CCEDMN N#CC1(CC(=O)N2CC[C@H](c3nc[nH]n3)C2)CCOCC1 ZINC001413146939 902732836 /nfs/dbraw/zinc/73/28/36/902732836.db2.gz VHMXDPXJKNHPGS-NSHDSACASA-N 0 1 289.339 0.831 20 30 CCEDMN CC#CCN(C)CCNC(=O)C(C)(C)NC(=O)NCCC ZINC001492873538 914681512 /nfs/dbraw/zinc/68/15/12/914681512.db2.gz JRVDBLPHNCFEPX-UHFFFAOYSA-N 0 1 296.415 0.546 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N1C[C@H]2CC[N@@H+](C)[C@H]2C1 ZINC001463750153 903152698 /nfs/dbraw/zinc/15/26/98/903152698.db2.gz POAPQRDSZJYEKU-NEPJUHHUSA-N 0 1 286.401 0.103 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N[C@H]1C[C@@H](NCC#N)C1 ZINC001491029619 903301389 /nfs/dbraw/zinc/30/13/89/903301389.db2.gz GGXYDCJMBGVYIA-BETUJISGSA-N 0 1 294.399 0.105 20 30 CCEDMN C#CCCCCCC(=O)NCCNC(=O)[C@H]1CCCN1C ZINC001491188236 903432230 /nfs/dbraw/zinc/43/22/30/903432230.db2.gz NZFAXZRNDGDNOK-CQSZACIVSA-N 0 1 293.411 0.897 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@]1(C)CCNC1=O ZINC001491222675 903449675 /nfs/dbraw/zinc/44/96/75/903449675.db2.gz SBSLQNPBICIYPE-IUODEOHRSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@]1(C)CCNC1=O ZINC001491222677 903452531 /nfs/dbraw/zinc/45/25/31/903452531.db2.gz SBSLQNPBICIYPE-WFASDCNBSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)CCc1cncn1C ZINC001491235259 903455520 /nfs/dbraw/zinc/45/55/20/903455520.db2.gz USXBTBMORKZSAU-HNNXBMFYSA-N 0 1 288.395 0.957 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2Cc3ccc(C#N)cc3C2)CCN1 ZINC001327708269 914720628 /nfs/dbraw/zinc/72/06/28/914720628.db2.gz WLDRVPFSGNFZNQ-LLVKDONJSA-N 0 1 298.346 0.221 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](C)NC(C)=O ZINC001491389986 903529435 /nfs/dbraw/zinc/52/94/35/903529435.db2.gz WAVITPHCLJQKSS-BDAKNGLRSA-N 0 1 261.753 0.358 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCN(Cc2cncn2C)C1 ZINC001493185111 903573475 /nfs/dbraw/zinc/57/34/75/903573475.db2.gz RTAWJLBGOWMBLQ-RYUDHWBXSA-N 0 1 275.356 0.518 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](C)NCc1snnc1C ZINC001491441432 903594817 /nfs/dbraw/zinc/59/48/17/903594817.db2.gz MTMRAPOXUKDPBY-TVQRCGJNSA-N 0 1 298.412 0.768 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNC(=O)c1ncn[nH]1 ZINC001491510624 903635042 /nfs/dbraw/zinc/63/50/42/903635042.db2.gz UFAWQOVEPWBGHP-IUCAKERBSA-N 0 1 265.317 0.109 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNC(=O)c1nc[nH]n1 ZINC001491510624 903635053 /nfs/dbraw/zinc/63/50/53/903635053.db2.gz UFAWQOVEPWBGHP-IUCAKERBSA-N 0 1 265.317 0.109 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)COC ZINC001115056232 903703926 /nfs/dbraw/zinc/70/39/26/903703926.db2.gz XCBNGHOVWFHQJT-RMRHIDDWSA-N 0 1 264.369 0.729 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCN(CC(=O)N(C)C)[C@@H](C)C1 ZINC001281657678 904322132 /nfs/dbraw/zinc/32/21/32/904322132.db2.gz DWJVXUXGZMUUCU-STQMWFEESA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1csc(NC(C)=O)n1 ZINC001282424438 904474964 /nfs/dbraw/zinc/47/49/64/904474964.db2.gz NQIXMMZNYDTVNK-SECBINFHSA-N 0 1 294.380 0.785 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCCCC(=O)NC ZINC001282505680 904494396 /nfs/dbraw/zinc/49/43/96/904494396.db2.gz ZVYYWMPUDMGOTF-ZDUSSCGKSA-N 0 1 281.400 0.753 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCCC(=O)NCC)C1 ZINC001282738697 904532768 /nfs/dbraw/zinc/53/27/68/904532768.db2.gz RFKJHIJJBTUVDC-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@]2(F)CCOC2)C1 ZINC001282735578 904534600 /nfs/dbraw/zinc/53/46/00/904534600.db2.gz PHESGSZQPZQXHP-MFKMUULPSA-N 0 1 256.321 0.738 20 30 CCEDMN COCC#CCN1C[C@]2(C)CN(C(=O)COC)C[C@]2(C)C1 ZINC001282982223 904652607 /nfs/dbraw/zinc/65/26/07/904652607.db2.gz JAGDSROSDQZNSS-IYBDPMFKSA-N 0 1 294.395 0.453 20 30 CCEDMN COCC#CCN(C)CCOCCNC(=O)C1CC=CC1 ZINC001283363470 904826201 /nfs/dbraw/zinc/82/62/01/904826201.db2.gz NDRLCGVHGQGJPJ-UHFFFAOYSA-N 0 1 294.395 0.667 20 30 CCEDMN CN(C(=O)CCc1nc[nH]n1)[C@@H](CNCC#N)C1CC1 ZINC001283493651 904873431 /nfs/dbraw/zinc/87/34/31/904873431.db2.gz PINXWCXRCVODRM-NSHDSACASA-N 0 1 276.344 0.087 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CN(C(=O)[C@@H]2CCCCN2C)C1 ZINC001398813313 914853022 /nfs/dbraw/zinc/85/30/22/914853022.db2.gz KYEJMFJAVDVIII-YPMHNXCESA-N 0 1 292.383 0.205 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@@H](CO)N[C@@H](C)C(=O)N(C)C ZINC001283760171 905004165 /nfs/dbraw/zinc/00/41/65/905004165.db2.gz TZSRHPURQOUVQS-RYUDHWBXSA-N 0 1 299.415 0.132 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1ccc(C)c(OC)c1 ZINC001283782460 905016048 /nfs/dbraw/zinc/01/60/48/905016048.db2.gz RDUSRYPCWBLOBO-AWEZNQCLSA-N 0 1 290.363 0.246 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(C)cc1OC ZINC001283826938 905039889 /nfs/dbraw/zinc/03/98/89/905039889.db2.gz ZISSRROTNFTISG-GFCCVEGCSA-N 0 1 276.336 0.317 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001283830729 905043967 /nfs/dbraw/zinc/04/39/67/905043967.db2.gz FSCZGAGSVNYAFM-DEPYFDJDSA-N 0 1 276.380 0.369 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@]2(NC(C)=O)CCC[C@H]12 ZINC001284031861 905124035 /nfs/dbraw/zinc/12/40/35/905124035.db2.gz SBYKHPDGWHIQIW-CORIIIEPSA-N 0 1 277.368 0.257 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@]2(NC(C)=O)CCC[C@@H]12 ZINC001284031862 905125050 /nfs/dbraw/zinc/12/50/50/905125050.db2.gz SBYKHPDGWHIQIW-LNSITVRQSA-N 0 1 277.368 0.257 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001284061307 905133704 /nfs/dbraw/zinc/13/37/04/905133704.db2.gz PQHMDZJBYBNYQI-GMTAPVOTSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001284063030 905137911 /nfs/dbraw/zinc/13/79/11/905137911.db2.gz ROPNOGUOUIPGJE-GMTAPVOTSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N[C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001284063030 905137922 /nfs/dbraw/zinc/13/79/22/905137922.db2.gz ROPNOGUOUIPGJE-GMTAPVOTSA-N 0 1 291.355 0.784 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001284341464 905252701 /nfs/dbraw/zinc/25/27/01/905252701.db2.gz COQJEGWAPGFZTB-LLVKDONJSA-N 0 1 276.340 0.377 20 30 CCEDMN C#CCCCC(=O)N(CC)CCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001284612163 905398947 /nfs/dbraw/zinc/39/89/47/905398947.db2.gz WBFFCRGQCRXWKK-VXGBXAGGSA-N 0 1 295.339 0.420 20 30 CCEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)CNC(=O)c1cnn[nH]1 ZINC001285225492 905581460 /nfs/dbraw/zinc/58/14/60/905581460.db2.gz GKEVUONRNCMWFN-JTQLQIEISA-N 0 1 291.355 0.336 20 30 CCEDMN CC(C)n1ncnc1CNC[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001378549902 905674016 /nfs/dbraw/zinc/67/40/16/905674016.db2.gz HDHHXMAMKFRMQE-RYUDHWBXSA-N 0 1 292.387 0.861 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)NC(=O)[C@H]1CCCCN1C ZINC001285667045 905711477 /nfs/dbraw/zinc/71/14/77/905711477.db2.gz COZACIKZHCTTMH-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H](NC(=O)c2cnn[nH]2)C[C@@H]1C ZINC001287931676 905992108 /nfs/dbraw/zinc/99/21/08/905992108.db2.gz YJKJKSMZACVVAW-WDEREUQCSA-N 0 1 289.339 0.183 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H](N3CCC3)C2)cn1 ZINC001333411145 906010281 /nfs/dbraw/zinc/01/02/81/906010281.db2.gz XLFCGANBKBPHGL-ZDUSSCGKSA-N 0 1 256.309 0.873 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNC(=O)c1[nH]nc(C)c1C ZINC001292599753 906294947 /nfs/dbraw/zinc/29/49/47/906294947.db2.gz RHXBEODZCLZCAS-AWEZNQCLSA-N 0 1 294.355 0.200 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCNC(=O)[C@H]1CCCN1C ZINC001293040524 906393843 /nfs/dbraw/zinc/39/38/43/906393843.db2.gz UPBXUYBUSHGDMJ-IUODEOHRSA-N 0 1 281.400 0.915 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1ccon1 ZINC001379770081 906477302 /nfs/dbraw/zinc/47/73/02/906477302.db2.gz UBRPIOWCGFPJIC-VIFPVBQESA-N 0 1 273.720 0.450 20 30 CCEDMN C=CCCC(=O)N1CCC(NC(=O)Cc2nnc[nH]2)CC1 ZINC001293757100 906513213 /nfs/dbraw/zinc/51/32/13/906513213.db2.gz PZHZTRVEBPHNSH-UHFFFAOYSA-N 0 1 291.355 0.421 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN(C(=O)c2cnc(C)[nH]2)C1 ZINC001294731865 906625789 /nfs/dbraw/zinc/62/57/89/906625789.db2.gz HZJMKGILSGODMS-GFCCVEGCSA-N 0 1 288.351 0.852 20 30 CCEDMN Cc1cc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)n[nH]1 ZINC001295339209 906711126 /nfs/dbraw/zinc/71/11/26/906711126.db2.gz CEVMIFMPRAQZCQ-JYAVWHMHSA-N 0 1 298.346 0.318 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CNC(=O)CN1CCCC1 ZINC001295499031 906750857 /nfs/dbraw/zinc/75/08/57/906750857.db2.gz XIMLDJOUKZXYOM-ZDUSSCGKSA-N 0 1 279.384 0.507 20 30 CCEDMN C=CCOCC(=O)NCC[C@@H](C)NC(=O)[C@H]1CCCN1C ZINC001295834822 906809159 /nfs/dbraw/zinc/80/91/59/906809159.db2.gz BPSUCECSECSBBV-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCCCC(=O)NCCN(C)C(=O)C1=NC(=O)N(C)C1 ZINC001296212649 906875965 /nfs/dbraw/zinc/87/59/65/906875965.db2.gz WGFPTSCDYRODJS-UHFFFAOYSA-N 0 1 294.355 0.670 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H](C)NC(=O)[C@H]1CCCN1C ZINC001298557263 907270109 /nfs/dbraw/zinc/27/01/09/907270109.db2.gz RWILUSVLIVXZCJ-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)[C@@H]1CCCN1C ZINC001298558275 907274773 /nfs/dbraw/zinc/27/47/73/907274773.db2.gz ZKGWHYSBWSDZBF-NEPJUHHUSA-N 0 1 281.400 0.914 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)NC(=O)CN(C)C1CCC1 ZINC001298803558 907333478 /nfs/dbraw/zinc/33/34/78/907333478.db2.gz FHOSMTAHQCZYLD-LBPRGKRZSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN1C(=O)c1[nH]nnc1C ZINC001298994971 907365862 /nfs/dbraw/zinc/36/58/62/907365862.db2.gz XTYFGYPNHRPDBE-SNVBAGLBSA-N 0 1 277.328 0.410 20 30 CCEDMN Cc1nc(CN2CC([C@H](C)NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001381767445 907387264 /nfs/dbraw/zinc/38/72/64/907387264.db2.gz BWUPPANBPHGMQK-IUCAKERBSA-N 0 1 276.344 0.209 20 30 CCEDMN CCc1cc(C(=O)N(C)C[C@H](C)NC(=O)[C@@H](C)C#N)n[nH]1 ZINC001381877747 907438161 /nfs/dbraw/zinc/43/81/61/907438161.db2.gz WEZBTYFMPHAHPC-UWVGGRQHSA-N 0 1 291.355 0.708 20 30 CCEDMN CCCC(=O)NC[C@H](CO)NCc1ccc(C#N)cc1 ZINC001382177235 907594670 /nfs/dbraw/zinc/59/46/70/907594670.db2.gz SZKCSJYRDSSIDE-CQSZACIVSA-N 0 1 275.352 0.925 20 30 CCEDMN CCn1ncnc1CN[C@H](C)CCNC(=O)C#CC1CC1 ZINC001492022795 907694088 /nfs/dbraw/zinc/69/40/88/907694088.db2.gz ZNPAVSQOAIXCAR-GFCCVEGCSA-N 0 1 289.383 0.696 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC[C@H](C)NCc1ncccn1 ZINC001492022749 907694335 /nfs/dbraw/zinc/69/43/35/907694335.db2.gz YHANZFOGISWTPU-QWHCGFSZSA-N 0 1 290.367 0.499 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(-c2ccn(C)n2)on1 ZINC001492261764 907812589 /nfs/dbraw/zinc/81/25/89/907812589.db2.gz ITWQOJIXVIJGJG-UHFFFAOYSA-N 0 1 287.323 0.370 20 30 CCEDMN C=CCC[N@H+](C)CCNC(=O)[C@@H](C)S(C)(=O)=O ZINC001492305171 907833486 /nfs/dbraw/zinc/83/34/86/907833486.db2.gz JHKOTVMVTMWIHU-SNVBAGLBSA-N 0 1 262.375 0.044 20 30 CCEDMN C=CCCN(C)CCNC(=O)[C@@H](C)S(C)(=O)=O ZINC001492305171 907833502 /nfs/dbraw/zinc/83/35/02/907833502.db2.gz JHKOTVMVTMWIHU-SNVBAGLBSA-N 0 1 262.375 0.044 20 30 CCEDMN C#CCN(C)CCNC(=O)CCCn1ccccc1=O ZINC001492369855 907879784 /nfs/dbraw/zinc/87/97/84/907879784.db2.gz MVWNJQZTSIFUAG-UHFFFAOYSA-N 0 1 275.352 0.310 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])C(=O)OC ZINC001302427803 908021716 /nfs/dbraw/zinc/02/17/16/908021716.db2.gz DECIUSBQKJVCNP-SSDOTTSWSA-N 0 1 282.256 0.556 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](CCCC)NC(N)=O ZINC001317472905 908349596 /nfs/dbraw/zinc/34/95/96/908349596.db2.gz LCGKFKUSJZNMSI-LBPRGKRZSA-N 0 1 282.388 0.285 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H]1C[C@@H]1C ZINC001317476920 908454312 /nfs/dbraw/zinc/45/43/12/908454312.db2.gz ZVQDYDPNUZEIME-QWHCGFSZSA-N 0 1 252.358 0.730 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001317481760 908489511 /nfs/dbraw/zinc/48/95/11/908489511.db2.gz LJSQPKZQSVFSGX-MELADBBJSA-N 0 1 280.368 0.109 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H](C)OC[C@@H]1CCCO1 ZINC001317492292 908505352 /nfs/dbraw/zinc/50/53/52/908505352.db2.gz KOWROFJYPJHTMS-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)[C@@H](C)COC ZINC001317496634 908529329 /nfs/dbraw/zinc/52/93/29/908529329.db2.gz OWQPOLTWDIDOPN-KBPBESRZSA-N 0 1 282.384 0.499 20 30 CCEDMN CC(C)C[C@@H](CCO)CNC(=O)NCC#CCN(C)C ZINC001312090042 908585353 /nfs/dbraw/zinc/58/53/53/908585353.db2.gz VTJRZAZYMRQSNW-CQSZACIVSA-N 0 1 283.416 0.895 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CCN([C@H](C)C(=O)NC)C[C@H]2C1 ZINC001316749279 908675969 /nfs/dbraw/zinc/67/59/69/908675969.db2.gz IQWFCDNLMLWDQP-MCIONIFRSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)[C@H]2CC2(C)C)n1 ZINC001316715441 908693536 /nfs/dbraw/zinc/69/35/36/908693536.db2.gz KTFJZRUSLNOQEV-LLVKDONJSA-N 0 1 288.351 0.567 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CCN(CCOC2CCC2)C1 ZINC001316978487 908711100 /nfs/dbraw/zinc/71/11/00/908711100.db2.gz YJRBQVSLODNECT-UONOGXRCSA-N 0 1 294.395 0.784 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCCC(N)=O)C1 ZINC001316990155 908714934 /nfs/dbraw/zinc/71/49/34/908714934.db2.gz AEURARJZNCVPDP-GFCCVEGCSA-N 0 1 265.357 0.246 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H](C)CNC(=O)[C@@H](C)C#N)c1C ZINC001386458874 908751064 /nfs/dbraw/zinc/75/10/64/908751064.db2.gz TVUIZZYPYXLFPG-JGVFFNPUSA-N 0 1 277.328 0.421 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C1(C)CC(=C)C1 ZINC001316847734 908807528 /nfs/dbraw/zinc/80/75/28/908807528.db2.gz OWSVSSJCBMTLNA-UHFFFAOYSA-N 0 1 291.395 0.530 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)CC[C@H](C)OC)CC1 ZINC001316957074 908864303 /nfs/dbraw/zinc/86/43/03/908864303.db2.gz ZEVPROHRUBCFGL-HNNXBMFYSA-N 0 1 295.427 0.559 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCN1CCN(CCCO)CC1 ZINC001316965243 908874653 /nfs/dbraw/zinc/87/46/53/908874653.db2.gz VPLLYDQUZTUHEI-UHFFFAOYSA-N 0 1 297.443 0.705 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2coc(C)n2)CC1 ZINC001316963903 908875257 /nfs/dbraw/zinc/87/52/57/908875257.db2.gz NMSFODZUNDTVJR-UHFFFAOYSA-N 0 1 278.356 0.516 20 30 CCEDMN Cc1cc(NC(=O)c2cnncc2O)n(CCC#N)n1 ZINC001417616481 921459075 /nfs/dbraw/zinc/45/90/75/921459075.db2.gz NBAHMBQRZHOGQS-UHFFFAOYSA-N 0 1 272.268 0.853 20 30 CCEDMN C[C@H](CNC(=O)c1cn(C)nn1)NCC#Cc1ccccc1 ZINC001317234592 909070557 /nfs/dbraw/zinc/07/05/57/909070557.db2.gz IIZSNWVHJIQBIM-CYBMUJFWSA-N 0 1 297.362 0.575 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC[C@@H](C)NCc1cn(C)nn1 ZINC001317391374 909170384 /nfs/dbraw/zinc/17/03/84/909170384.db2.gz UXQSWRUCQSORNA-BXUZGUMPSA-N 0 1 295.387 0.127 20 30 CCEDMN N#CCOc1ccc(CNC(=O)CCc2nn[nH]n2)cc1 ZINC001417631362 921473949 /nfs/dbraw/zinc/47/39/49/921473949.db2.gz BXTZRZLTDIBNND-UHFFFAOYSA-N 0 1 286.295 0.351 20 30 CCEDMN C=CCOCCN1CCC[C@H]1CNC(=O)c1n[nH]cc1F ZINC001317507574 909276631 /nfs/dbraw/zinc/27/66/31/909276631.db2.gz CKRIAVLWFBUCJF-NSHDSACASA-N 0 1 296.346 0.946 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](CNC(=O)CC(C)C)C1 ZINC001317518339 909284446 /nfs/dbraw/zinc/28/44/46/909284446.db2.gz RAJRSSBOEJVWJD-ZIAGYGMSSA-N 0 1 293.411 0.609 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@]1(C)CCOC1)C1CC1 ZINC001317557723 909328069 /nfs/dbraw/zinc/32/80/69/909328069.db2.gz ISCAQDQCRNBTIO-MRXNPFEDSA-N 0 1 294.395 0.643 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cn(C)cn1 ZINC001317575243 909363269 /nfs/dbraw/zinc/36/32/69/909363269.db2.gz GXSIEJIDOINQEN-UHFFFAOYSA-N 0 1 256.737 0.834 20 30 CCEDMN CC(C)N(CC#N)CCCNC(=O)CCc1c[nH]nn1 ZINC001317701746 909471330 /nfs/dbraw/zinc/47/13/30/909471330.db2.gz HAABPRHAGJBBLC-UHFFFAOYSA-N 0 1 278.360 0.478 20 30 CCEDMN CC(C)N(CC#N)CCCNC(=O)CCc1cnn[nH]1 ZINC001317701746 909471345 /nfs/dbraw/zinc/47/13/45/909471345.db2.gz HAABPRHAGJBBLC-UHFFFAOYSA-N 0 1 278.360 0.478 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@H]2CO[C@H](C)C2)CC1 ZINC001317818508 909507985 /nfs/dbraw/zinc/50/79/85/909507985.db2.gz CPRHUGDEHMAKCN-HUUCEWRRSA-N 0 1 295.427 0.673 20 30 CCEDMN COC[C@@H](C)N1CC[C@@H](NC(=O)CSCC#N)C1 ZINC001318311882 909699202 /nfs/dbraw/zinc/69/92/02/909699202.db2.gz FCHFVIAPRCIMPK-GHMZBOCLSA-N 0 1 271.386 0.469 20 30 CCEDMN COCC#CCN1CC[C@@H](N(C)C(=O)Cc2cnc[nH]2)C1 ZINC001318429188 909753370 /nfs/dbraw/zinc/75/33/70/909753370.db2.gz PVHAYRLAIRDSQY-CQSZACIVSA-N 0 1 290.367 0.135 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC(N(C)C(=O)[C@@H](C)C#N)CC1 ZINC001389332047 909831415 /nfs/dbraw/zinc/83/14/15/909831415.db2.gz OTXYTBORJXMHGO-JQWIXIFHSA-N 0 1 280.372 0.333 20 30 CCEDMN N#CCNC[C@H]1CCCN1C(=O)c1ccc2[nH]nnc2c1 ZINC001319130484 909997897 /nfs/dbraw/zinc/99/78/97/909997897.db2.gz XQHFINYXWISWIE-LLVKDONJSA-N 0 1 284.323 0.676 20 30 CCEDMN CN(CC(=O)N1CCC(C)(C#N)CC1)[C@@H]1CCC[C@H]1O ZINC001417692743 921541371 /nfs/dbraw/zinc/54/13/71/921541371.db2.gz RGLCWNRBDPXKRA-CHWSQXEVSA-N 0 1 279.384 0.984 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](C)CNCc1csnn1 ZINC001319181629 910021573 /nfs/dbraw/zinc/02/15/73/910021573.db2.gz OPJCGCCFKVTTTQ-MFKMUULPSA-N 0 1 298.412 0.707 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CNC(=O)[C@@H]2CCCCN2C)CC1 ZINC001389894823 910137019 /nfs/dbraw/zinc/13/70/19/910137019.db2.gz IGGHUZNNAIEIHC-NEPJUHHUSA-N 0 1 292.383 0.395 20 30 CCEDMN C#CCOCCN(C)CCn1cnc2ccccc2c1=O ZINC001319551585 910201377 /nfs/dbraw/zinc/20/13/77/910201377.db2.gz CDCYDXJNWLVBEN-UHFFFAOYSA-N 0 1 285.347 0.978 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@@H]([C@@H](C)NCC#N)C1 ZINC001319820058 910311291 /nfs/dbraw/zinc/31/12/91/910311291.db2.gz VLUFLFNLUPVCMO-MWLCHTKSSA-N 0 1 276.344 0.467 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@@H]2CN(C(=O)C(C)C)CC[C@H]21 ZINC001320098106 910462486 /nfs/dbraw/zinc/46/24/86/910462486.db2.gz VGKMXENCHLXXSV-ZIAGYGMSSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCO[C@@H]1CCN(CCN2CCCS2(=O)=O)C1 ZINC001320160089 910486021 /nfs/dbraw/zinc/48/60/21/910486021.db2.gz LWGXVVVRLDSZHW-GFCCVEGCSA-N 0 1 274.386 0.299 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CS(=O)(=O)CCC ZINC001390534670 910486520 /nfs/dbraw/zinc/48/65/20/910486520.db2.gz RDPWFSSDKDSUOH-JTQLQIEISA-N 0 1 296.820 0.658 20 30 CCEDMN CC(=O)NCC(=O)NCCN(C)Cc1ccc(C#N)cc1 ZINC001390736867 910626864 /nfs/dbraw/zinc/62/68/64/910626864.db2.gz PTUGRXXUWQNXGO-UHFFFAOYSA-N 0 1 288.351 0.242 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)Cc1n[nH]c(C(C)(C)C)n1 ZINC001390743045 910642349 /nfs/dbraw/zinc/64/23/49/910642349.db2.gz RWCMXDLDNYFONN-SNVBAGLBSA-N 0 1 292.387 0.810 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC001320605071 910758822 /nfs/dbraw/zinc/75/88/22/910758822.db2.gz NZOROMQNPZPJIH-MGPQQGTHSA-N 0 1 297.399 0.428 20 30 CCEDMN Cc1nc(CN2CC[C@H](CNC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001391080382 910857483 /nfs/dbraw/zinc/85/74/83/910857483.db2.gz WGJXMRDKFVBIEZ-MWLCHTKSSA-N 0 1 276.344 0.211 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)[C@@H](C)C1CC1 ZINC001321011860 911014437 /nfs/dbraw/zinc/01/44/37/911014437.db2.gz QAPCPZZSBQRBAS-RXNFCKPNSA-N 0 1 277.368 0.044 20 30 CCEDMN CC[C@H](C)NC(=O)CNC/C=C/CNC(=O)C#CC1CC1 ZINC001321052855 911051201 /nfs/dbraw/zinc/05/12/01/911051201.db2.gz UXCSMGVXZDZVFJ-IHVVCDCBSA-N 0 1 291.395 0.577 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cn[nH]c(=O)c1 ZINC001321108368 911089497 /nfs/dbraw/zinc/08/94/97/911089497.db2.gz RUVGUBKQXIIEFU-IHWYPQMZSA-N 0 1 282.731 0.810 20 30 CCEDMN C=C(CO)C(=O)N1CCc2nc[nH]c2[C@@H]1c1cccnc1 ZINC001339049423 921634884 /nfs/dbraw/zinc/63/48/84/921634884.db2.gz HDHCVXMBILYSSP-AWEZNQCLSA-N 0 1 284.319 0.827 20 30 CCEDMN C=CCC1(C(=O)NC/C=C\CN[C@@H]2CCNC2=O)CCC1 ZINC001321234157 911181166 /nfs/dbraw/zinc/18/11/66/911181166.db2.gz UQSYPOWLZLAHER-DHCBQETCSA-N 0 1 291.395 0.883 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H](C)C#N)NCc1ccnc(OC)n1 ZINC001391670483 911314188 /nfs/dbraw/zinc/31/41/88/911314188.db2.gz QNKDBNIXIXXUDS-WDEREUQCSA-N 0 1 291.355 0.629 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)CNCc1cnns1 ZINC001321653230 911422201 /nfs/dbraw/zinc/42/22/01/911422201.db2.gz YGHJGVLRPLSZBL-JLLWLGSASA-N 0 1 296.396 0.724 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)Cn1cc(C)cn1 ZINC001322120985 911666076 /nfs/dbraw/zinc/66/60/76/911666076.db2.gz JHPZKHXTBUGVGE-AWEZNQCLSA-N 0 1 292.383 0.278 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCCN(C(=O)CC)C1 ZINC001322158063 911684370 /nfs/dbraw/zinc/68/43/70/911684370.db2.gz AQQFMIJQHYDOFI-KGLIPLIRSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CCc1ncccn1 ZINC001322269121 911736526 /nfs/dbraw/zinc/73/65/26/911736526.db2.gz KDWPTAOKVROQKW-ZDUSSCGKSA-N 0 1 274.368 0.869 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H](C)n1cccn1 ZINC001392358010 911754271 /nfs/dbraw/zinc/75/42/71/911754271.db2.gz WIQDWQPTFMTGCO-MNOVXSKESA-N 0 1 286.763 0.263 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cnn2c1CCC2 ZINC001392379508 911770277 /nfs/dbraw/zinc/77/02/77/911770277.db2.gz NILYZHHBLLYBMN-JTQLQIEISA-N 0 1 298.774 0.262 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC001322549456 911855990 /nfs/dbraw/zinc/85/59/90/911855990.db2.gz CJVKBWALAJVKDQ-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CN2CCSC2=O)CC1 ZINC001322634521 911892274 /nfs/dbraw/zinc/89/22/74/911892274.db2.gz BDFWHXCPLUMHBZ-UHFFFAOYSA-N 0 1 282.369 0.259 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1CCCC(=O)N1C ZINC001392528687 911896050 /nfs/dbraw/zinc/89/60/50/911896050.db2.gz BQTIAZXWDDUHCU-MNOVXSKESA-N 0 1 287.791 0.844 20 30 CCEDMN C=CCN(C(=O)C(=O)NCc1cc(CC)[nH]n1)C1CC1 ZINC001322947099 912033523 /nfs/dbraw/zinc/03/35/23/912033523.db2.gz IGUCQTIJUOZTIR-UHFFFAOYSA-N 0 1 276.340 0.765 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN1C[C@@H](O)Cn1cccn1 ZINC001323190292 912166176 /nfs/dbraw/zinc/16/61/76/912166176.db2.gz CVRFUMWFBYJWKP-ZIAGYGMSSA-N 0 1 292.383 0.401 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN1C[C@H](O)Cn1cccn1 ZINC001323190286 912167034 /nfs/dbraw/zinc/16/70/34/912167034.db2.gz CVRFUMWFBYJWKP-KBPBESRZSA-N 0 1 292.383 0.401 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCNC(=O)NC ZINC001323233548 912205406 /nfs/dbraw/zinc/20/54/06/912205406.db2.gz WNOVKHOJRGURMJ-NSHDSACASA-N 0 1 268.361 0.072 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCN1Cc1n[nH]c(C)n1 ZINC001323231617 912206214 /nfs/dbraw/zinc/20/62/14/912206214.db2.gz LJCUEJCTOBLUIQ-SMDDNHRTSA-N 0 1 293.371 0.131 20 30 CCEDMN CCN1CC(N2CCN(C(=O)C#CC(C)(C)C)CC2)C1 ZINC001328117341 914969688 /nfs/dbraw/zinc/96/96/88/914969688.db2.gz VXUNNJGQRKMPTF-UHFFFAOYSA-N 0 1 277.412 0.884 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CCN1CCCC1=O ZINC001328798766 915439162 /nfs/dbraw/zinc/43/91/62/915439162.db2.gz UEKQLEKOOQMQJG-CQSZACIVSA-N 0 1 291.395 0.555 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C(=O)N2C[C@H]3CC[N@H+](C)[C@H]3C2)C1 ZINC001329142188 915703106 /nfs/dbraw/zinc/70/31/06/915703106.db2.gz LWPWHUFDWIVDTF-MJBXVCDLSA-N 0 1 289.379 0.021 20 30 CCEDMN C[C@@H](NC(=O)Cc1ccc(C#N)nc1)c1nnc[nH]1 ZINC001329184449 915733138 /nfs/dbraw/zinc/73/31/38/915733138.db2.gz PWTJSGSINFYYHX-MRVPVSSYSA-N 0 1 256.269 0.491 20 30 CCEDMN N#CC1(C[C@@H](O)CNCc2nnc3n2CCC3)CC1 ZINC001329222502 915754575 /nfs/dbraw/zinc/75/45/75/915754575.db2.gz NEDMOZBAUKJVEY-SNVBAGLBSA-N 0 1 261.329 0.369 20 30 CCEDMN COCC#CC(=O)N[C@H](Cc1nc[nH]n1)c1ccccc1 ZINC001329262373 915779110 /nfs/dbraw/zinc/77/91/10/915779110.db2.gz ISJJWIBOOVRBPH-CYBMUJFWSA-N 0 1 284.319 0.855 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1cnn(C)c1 ZINC001329387239 915865695 /nfs/dbraw/zinc/86/56/95/915865695.db2.gz WYSGKRGJHREPRD-GFCCVEGCSA-N 0 1 278.356 0.120 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)N(C)[C@@H](C)C(=O)NC(N)=O ZINC001329449997 915925390 /nfs/dbraw/zinc/92/53/90/915925390.db2.gz IZTDLUKJHNYEAZ-MNOVXSKESA-N 0 1 298.387 0.363 20 30 CCEDMN N#CCC1(O)CN(C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001329476783 915948321 /nfs/dbraw/zinc/94/83/21/915948321.db2.gz SPNKBTFQCUPMBG-SECBINFHSA-N 0 1 260.297 0.002 20 30 CCEDMN C=CC[N@H+](C)[C@H](C)CNC(=O)c1c(C)nc[nH]c1=O ZINC001329516127 915978673 /nfs/dbraw/zinc/97/86/73/915978673.db2.gz QMHGJMVVDXLLKA-SECBINFHSA-N 0 1 264.329 0.727 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCc1cnccn1 ZINC001329586985 916040676 /nfs/dbraw/zinc/04/06/76/916040676.db2.gz FTCXVYCZUONSCO-CYBMUJFWSA-N 0 1 274.368 0.869 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCCCN(C)C1=O ZINC001329592713 916046327 /nfs/dbraw/zinc/04/63/27/916046327.db2.gz SJUXVNWUJLXMQH-QWHCGFSZSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCOCCN(C)Cc1n[nH]c(C)c1C(=O)OCC ZINC001329875949 916247819 /nfs/dbraw/zinc/24/78/19/916247819.db2.gz HKEGHJHSOSZHPC-UHFFFAOYSA-N 0 1 279.340 0.976 20 30 CCEDMN C#CCOCC[N@H+](C)[C@H]1CCCN(CCC(=O)OC)C1=O ZINC001329875690 916248118 /nfs/dbraw/zinc/24/81/18/916248118.db2.gz CXKOSGQOBVZQLQ-ZDUSSCGKSA-N 0 1 296.367 0.122 20 30 CCEDMN C#CCOCCN(C)[C@H]1CCCN(CCC(=O)OC)C1=O ZINC001329875690 916248138 /nfs/dbraw/zinc/24/81/38/916248138.db2.gz CXKOSGQOBVZQLQ-ZDUSSCGKSA-N 0 1 296.367 0.122 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCc2cncnc2)C1 ZINC001330012168 916355382 /nfs/dbraw/zinc/35/53/82/916355382.db2.gz HFLDGWSKKGBEHA-CYBMUJFWSA-N 0 1 286.379 0.869 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CNCc1cc2n(n1)CCCC2 ZINC001401614641 916386657 /nfs/dbraw/zinc/38/66/57/916386657.db2.gz QSZNYGKUOYDTHM-VXGBXAGGSA-N 0 1 289.383 0.973 20 30 CCEDMN CCN(CC)C(=O)CNC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001401938433 916720370 /nfs/dbraw/zinc/72/03/70/916720370.db2.gz JDTPITNCCZOXJI-LLVKDONJSA-N 0 1 280.372 0.253 20 30 CCEDMN C=CCOCCNC(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC001330755359 916840621 /nfs/dbraw/zinc/84/06/21/916840621.db2.gz HYFXAVPCZSTGMF-NSHDSACASA-N 0 1 292.339 0.222 20 30 CCEDMN C#CCCNC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001331006849 917020420 /nfs/dbraw/zinc/02/04/20/917020420.db2.gz FHEWEIMBFMPQLL-UHFFFAOYSA-N 0 1 277.328 0.521 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cc(CC)n[nH]1 ZINC001331103604 917088357 /nfs/dbraw/zinc/08/83/57/917088357.db2.gz YYJGUMKFIYOXOX-UHFFFAOYSA-N 0 1 292.383 0.674 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1ccnc(F)c1 ZINC001331102619 917090768 /nfs/dbraw/zinc/09/07/68/917090768.db2.gz MZRMZDFRUGHXJI-UHFFFAOYSA-N 0 1 293.342 0.922 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2c[nH]nc2C)CCO1 ZINC001402592760 917111832 /nfs/dbraw/zinc/11/18/32/917111832.db2.gz HSFARGFJBIPELG-LLVKDONJSA-N 0 1 298.774 0.901 20 30 CCEDMN C#CC[N@@H+](C)CCOCCNC(=O)c1n[nH]c2c1CCC2 ZINC001331132020 917114164 /nfs/dbraw/zinc/11/41/64/917114164.db2.gz JTZRULXSSFNTPJ-UHFFFAOYSA-N 0 1 290.367 0.210 20 30 CCEDMN C[C@H](CN(C)C(=O)C(N)=O)NCc1cc(C#N)ccc1F ZINC001331545950 917408012 /nfs/dbraw/zinc/40/80/12/917408012.db2.gz WDWDNQLTLCZQOQ-SECBINFHSA-N 0 1 292.314 0.119 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccccc1O ZINC001331687242 917512722 /nfs/dbraw/zinc/51/27/22/917512722.db2.gz ZPYAIJRHRBEWDG-LLVKDONJSA-N 0 1 262.309 0.096 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1occ2c1CCOC2 ZINC001331755616 917575679 /nfs/dbraw/zinc/57/56/79/917575679.db2.gz DWGNGAWVJCBHHC-GFCCVEGCSA-N 0 1 292.335 0.056 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](CO)NCc1cnn(C)c1 ZINC001331770715 917592908 /nfs/dbraw/zinc/59/29/08/917592908.db2.gz IMBHVVMYVUHWIF-GFCCVEGCSA-N 0 1 280.372 0.199 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)Cc1occc1C ZINC001331786275 917605619 /nfs/dbraw/zinc/60/56/19/917605619.db2.gz BGSRHIBCPAPPHO-GFCCVEGCSA-N 0 1 264.325 0.221 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cnoc1C(C)C ZINC001331806451 917625328 /nfs/dbraw/zinc/62/53/28/917625328.db2.gz VRYWUEYIDGOWPA-SNVBAGLBSA-N 0 1 265.313 0.112 20 30 CCEDMN C=CCCOCCNC(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001332136385 917895008 /nfs/dbraw/zinc/89/50/08/917895008.db2.gz OKAWECMBMPOYEO-GFCCVEGCSA-N 0 1 284.356 0.492 20 30 CCEDMN C#CCCCCNC(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001332206493 917957102 /nfs/dbraw/zinc/95/71/02/917957102.db2.gz BWWPIYFTNNSHCP-GFCCVEGCSA-N 0 1 266.341 0.703 20 30 CCEDMN COCc1nocc1C(=O)NCC#CCN(C)C ZINC001332261676 918002133 /nfs/dbraw/zinc/00/21/33/918002133.db2.gz IJMXAXWCYXVIPP-UHFFFAOYSA-N 0 1 251.286 0.116 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@@H]1CCCO1 ZINC001403833386 918037811 /nfs/dbraw/zinc/03/78/11/918037811.db2.gz QJRYSTOJZSMMJE-QWRGUYRKSA-N 0 1 276.764 0.327 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001403836229 918047010 /nfs/dbraw/zinc/04/70/10/918047010.db2.gz WIOQZVMPBOALEW-LLVKDONJSA-N 0 1 296.758 0.661 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@@H]1CCCCO1 ZINC001403839829 918053545 /nfs/dbraw/zinc/05/35/45/918053545.db2.gz LVTCGQTXUVKEAI-RYUDHWBXSA-N 0 1 290.791 0.717 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)Cn1ccnc1 ZINC001403868764 918074572 /nfs/dbraw/zinc/07/45/72/918074572.db2.gz BMMUOSFSCCBULT-NSHDSACASA-N 0 1 286.763 0.045 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(C)(C)CN1CCOCC1 ZINC001332352198 918074995 /nfs/dbraw/zinc/07/49/95/918074995.db2.gz IAPOFWNSZALEJM-GFCCVEGCSA-N 0 1 270.373 0.662 20 30 CCEDMN C#CC[C@H]1NC(=O)N(Cc2nc(CSC)n[nH]2)C1=O ZINC001332390698 918113706 /nfs/dbraw/zinc/11/37/06/918113706.db2.gz PJENAOIMVFKZJZ-SSDOTTSWSA-N 0 1 279.325 0.111 20 30 CCEDMN C#CC[C@H]1NC(=O)N(Cc2nnc(CSC)[nH]2)C1=O ZINC001332390698 918113721 /nfs/dbraw/zinc/11/37/21/918113721.db2.gz PJENAOIMVFKZJZ-SSDOTTSWSA-N 0 1 279.325 0.111 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)CCOC ZINC001332471005 918185368 /nfs/dbraw/zinc/18/53/68/918185368.db2.gz LVORKFVTZNNUCK-JTQLQIEISA-N 0 1 264.753 0.184 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)C#CC1CC1 ZINC001332505261 918230162 /nfs/dbraw/zinc/23/01/62/918230162.db2.gz VUHDDVOZLCGILN-LBPRGKRZSA-N 0 1 270.760 0.561 20 30 CCEDMN C=CCCS(=O)(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001332947085 918553599 /nfs/dbraw/zinc/55/35/99/918553599.db2.gz RZIQVWMCIUEACD-VIFPVBQESA-N 0 1 286.357 0.652 20 30 CCEDMN C#CC(C)(C)N(C)C[C@H]1CN=C(c2cnn(C)c2)O1 ZINC001333030318 918609118 /nfs/dbraw/zinc/60/91/18/918609118.db2.gz ZOEDALFQHZQXOU-GFCCVEGCSA-N 0 1 260.341 0.909 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1conc1C ZINC001333144465 918683846 /nfs/dbraw/zinc/68/38/46/918683846.db2.gz UNOBCFLFBHEKBH-UHFFFAOYSA-N 0 1 284.297 0.440 20 30 CCEDMN C#CC[C@@H]1CCC[N@H+](CCc2cn(CC(=O)[O-])nn2)C1 ZINC001333143008 918684896 /nfs/dbraw/zinc/68/48/96/918684896.db2.gz BHDKZFPRROLTOQ-GFCCVEGCSA-N 0 1 276.340 0.641 20 30 CCEDMN C#CCCCC(=O)NCCN(CC)[C@@H]1CCNC1=O ZINC001492940645 918738681 /nfs/dbraw/zinc/73/86/81/918738681.db2.gz BBUHRJRFTCDCCQ-GFCCVEGCSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCN1CC=C(CNC(=O)Cc2nonc2C)CC1 ZINC001333432933 918905859 /nfs/dbraw/zinc/90/58/59/918905859.db2.gz VABADYKPZSMNMN-UHFFFAOYSA-N 0 1 274.324 0.302 20 30 CCEDMN C#CCN1CC=C(CNC(=O)CCc2cnn(C)c2)CC1 ZINC001333458466 918927222 /nfs/dbraw/zinc/92/72/22/918927222.db2.gz QCJSUADVAGRPAE-UHFFFAOYSA-N 0 1 286.379 0.734 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C(=O)N(CC)[C@H]2CCN(C)C2)C1 ZINC001333470636 918933164 /nfs/dbraw/zinc/93/31/64/918933164.db2.gz SHYLNXHPYHLHFU-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@@H](C)C#N)NCc1nncn1C ZINC001406016659 918998615 /nfs/dbraw/zinc/99/86/15/918998615.db2.gz MYQDMTXKJJXUBE-WDEREUQCSA-N 0 1 278.360 0.205 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cccc(C)n1 ZINC001406183415 919099038 /nfs/dbraw/zinc/09/90/38/919099038.db2.gz FUMMLLIHRAUIQV-NSHDSACASA-N 0 1 283.759 0.823 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccnnc1C ZINC001406233646 919130129 /nfs/dbraw/zinc/13/01/29/919130129.db2.gz GVFGKSRFVHXNBM-JTQLQIEISA-N 0 1 284.747 0.218 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001333934161 919257197 /nfs/dbraw/zinc/25/71/97/919257197.db2.gz MDCDQCRQOHPQEU-DTWKUNHWSA-N 0 1 279.344 0.640 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001333934161 919257213 /nfs/dbraw/zinc/25/72/13/919257213.db2.gz MDCDQCRQOHPQEU-DTWKUNHWSA-N 0 1 279.344 0.640 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CN1CCCNC1=O ZINC001406491368 919301109 /nfs/dbraw/zinc/30/11/09/919301109.db2.gz HSSKUKKXPPEHPQ-JTQLQIEISA-N 0 1 288.779 0.249 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]2CN(C)C(=O)[C@@H](C)C#N)n[nH]1 ZINC001406487620 919302945 /nfs/dbraw/zinc/30/29/45/919302945.db2.gz BCQZJSKMNDZMFT-ONGXEEELSA-N 0 1 289.339 0.551 20 30 CCEDMN C[C@H](CNC(=O)[C@H]1CCCN1C)N(C)C(=O)C#CC1CC1 ZINC001334192383 919414554 /nfs/dbraw/zinc/41/45/54/919414554.db2.gz LMCWNGQBBFRNSP-TZMCWYRMSA-N 0 1 291.395 0.457 20 30 CCEDMN N#CCCCCS(=O)(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC001334275110 919471551 /nfs/dbraw/zinc/47/15/51/919471551.db2.gz AHTBRHJFMDZVHS-SNVBAGLBSA-N 0 1 283.357 0.618 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@@H](C)[C@@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC001334449587 919593647 /nfs/dbraw/zinc/59/36/47/919593647.db2.gz UMHWYVLNVOHXND-DCAQKATOSA-N 0 1 285.344 0.034 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@@H](C)[C@@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC001334449587 919593659 /nfs/dbraw/zinc/59/36/59/919593659.db2.gz UMHWYVLNVOHXND-DCAQKATOSA-N 0 1 285.344 0.034 20 30 CCEDMN Cn1cnc(/C=C/C(=O)NC2(C#N)CCN(C)CC2)c1 ZINC001334481506 919617753 /nfs/dbraw/zinc/61/77/53/919617753.db2.gz KSVLEUMQSAPSMZ-ONEGZZNKSA-N 0 1 273.340 0.537 20 30 CCEDMN C=CCNC(=O)CN1CCC2(CC1)C[C@@H](O)CCO2 ZINC001334549455 919650067 /nfs/dbraw/zinc/65/00/67/919650067.db2.gz RSLFJYGUTSSKCF-LBPRGKRZSA-N 0 1 268.357 0.295 20 30 CCEDMN N#C[C@@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)C1 ZINC001334602367 919676037 /nfs/dbraw/zinc/67/60/37/919676037.db2.gz GWMVVQCLVKLDBV-NWDGAFQWSA-N 0 1 290.367 0.101 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)[C@@H]1CCN1C(C)(C)C ZINC001334603803 919676509 /nfs/dbraw/zinc/67/65/09/919676509.db2.gz DHASDVHJVWKMGU-RYUDHWBXSA-N 0 1 252.358 0.750 20 30 CCEDMN CN1CCN(C[C@H](O)CC2(C#N)CCOCC2)CC1 ZINC001334956172 919862478 /nfs/dbraw/zinc/86/24/78/919862478.db2.gz CUUSRYIMKOBJIM-CYBMUJFWSA-N 0 1 267.373 0.305 20 30 CCEDMN CC#CCCNC(=O)C(=O)NCc1cc(N(C)C)ccn1 ZINC001335062663 919927235 /nfs/dbraw/zinc/92/72/35/919927235.db2.gz AFDJTNUQTSIZBJ-UHFFFAOYSA-N 0 1 288.351 0.293 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@]1(CC)CCNC1=O ZINC001492973031 919997331 /nfs/dbraw/zinc/99/73/31/919997331.db2.gz WIUXSWIJFYODRN-OAHLLOKOSA-N 0 1 279.384 0.364 20 30 CCEDMN C#CCn1cc(CNC[C@@H](C)S(C)(=O)=O)cn1 ZINC001335447459 920200152 /nfs/dbraw/zinc/20/01/52/920200152.db2.gz HJFLJUUBEBMNNS-SNVBAGLBSA-N 0 1 255.343 0.039 20 30 CCEDMN COC(=O)[C@@H]1CCCC[C@@H]1Cn1c(=O)[nH]cc(C#N)c1=O ZINC001413929540 920216684 /nfs/dbraw/zinc/21/66/84/920216684.db2.gz KWQGVRFLLIHDHQ-MWLCHTKSSA-N 0 1 291.307 0.388 20 30 CCEDMN C#Cc1ccc(CNC(=O)CN2CCC(CO)CC2)cc1 ZINC001335936055 920518641 /nfs/dbraw/zinc/51/86/41/920518641.db2.gz LUOWYIDITVLXCE-UHFFFAOYSA-N 0 1 286.375 0.988 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCCN(C(=O)[C@H]2CCCN2C)C1 ZINC001415964499 920865980 /nfs/dbraw/zinc/86/59/80/920865980.db2.gz KNOHUUBDCJUYNL-RWMBFGLXSA-N 0 1 292.383 0.347 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@H]1CCOC)[C@H]1CCCO1 ZINC001336857327 921062885 /nfs/dbraw/zinc/06/28/85/921062885.db2.gz BLKUCVRQLSDKSR-HZSPNIEDSA-N 0 1 295.383 0.187 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1nc([C@H](C)OCC)no1 ZINC001339984582 921904300 /nfs/dbraw/zinc/90/43/00/921904300.db2.gz BUKKGWXBHMAQJZ-JTQLQIEISA-N 0 1 280.328 0.348 20 30 CCEDMN C#CCNCC(=O)NCc1nc2cc(F)ccc2n1C ZINC001340091270 921950494 /nfs/dbraw/zinc/95/04/94/921950494.db2.gz QSGXEQATFNTHHS-UHFFFAOYSA-N 0 1 274.299 0.551 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)[C@H](N)Cc2ccccn2)C1 ZINC001340381439 922072869 /nfs/dbraw/zinc/07/28/69/922072869.db2.gz KHOLTWQYVHLNDU-CYZMBNFOSA-N 0 1 258.325 0.760 20 30 CCEDMN C#CCN(C)c1nnc(C2=NO[C@@H](CO)C2)n1CC=C ZINC001340395683 922073791 /nfs/dbraw/zinc/07/37/91/922073791.db2.gz PZLJSAFTXFLUJD-SNVBAGLBSA-N 0 1 275.312 0.019 20 30 CCEDMN C#CCNCC(=O)NC[C@@](C)(O)c1ccsc1 ZINC001340541198 922116152 /nfs/dbraw/zinc/11/61/52/922116152.db2.gz QKZPCDIFMQAHJX-GFCCVEGCSA-N 0 1 252.339 0.295 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCO[C@H](C)C1 ZINC001340599395 922135895 /nfs/dbraw/zinc/13/58/95/922135895.db2.gz GKYNAPIHXCGOJQ-SECBINFHSA-N 0 1 275.316 0.474 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCC[C@@]1(C)C(=O)OCC ZINC001340656557 922158421 /nfs/dbraw/zinc/15/84/21/922158421.db2.gz GCWUWMOCMVMICG-BXUZGUMPSA-N 0 1 266.341 0.447 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CCCNC[C@@H]1C(=O)OC ZINC001340928655 922280570 /nfs/dbraw/zinc/28/05/70/922280570.db2.gz IJNWMVKIYUSBGN-VXGBXAGGSA-N 0 1 268.357 0.952 20 30 CCEDMN Cc1nn(C)cc1CN1CCC[C@@H]1CNC(=O)[C@H](C)C#N ZINC001418597784 922294347 /nfs/dbraw/zinc/29/43/47/922294347.db2.gz WDCWKWPPXDYFFU-BXUZGUMPSA-N 0 1 289.383 0.969 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@H](C(C)(C)C)[C@@H](O)C1 ZINC001341071755 922336150 /nfs/dbraw/zinc/33/61/50/922336150.db2.gz MOYNBPBIXSPTPN-NEPJUHHUSA-N 0 1 252.358 0.465 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C(=O)OC)c1ccccc1 ZINC001341600368 922574796 /nfs/dbraw/zinc/57/47/96/922574796.db2.gz LSXZUMXDUHQBMY-ZDUSSCGKSA-N 0 1 274.320 0.282 20 30 CCEDMN C#CCNCC(=O)N1CCC(Nc2ccccn2)CC1 ZINC001341638676 922598029 /nfs/dbraw/zinc/59/80/29/922598029.db2.gz VMAVKUIPISLGAY-UHFFFAOYSA-N 0 1 272.352 0.707 20 30 CCEDMN COc1cc(CN[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)on1 ZINC001419404336 922809461 /nfs/dbraw/zinc/80/94/61/922809461.db2.gz YLXMYDTUSMCFLU-OPRDCNLKSA-N 0 1 278.312 0.580 20 30 CCEDMN CCc1nnc([C@H](C)N[C@H]2C[C@@H](NC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001419461864 922855433 /nfs/dbraw/zinc/85/54/33/922855433.db2.gz ODDGVSCGLBSERL-YTWAJWBKSA-N 0 1 290.371 0.825 20 30 CCEDMN N#Cc1cccc(CC(=O)NC[C@H](O)c2cnc[nH]2)c1 ZINC001419582449 922934527 /nfs/dbraw/zinc/93/45/27/922934527.db2.gz UIOMVMINRBZXJU-ZDUSSCGKSA-N 0 1 270.292 0.674 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)CN1CCOCC1 ZINC001419727509 923043852 /nfs/dbraw/zinc/04/38/52/923043852.db2.gz JYUILJIJDSECHP-LLVKDONJSA-N 0 1 275.780 0.165 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2COC[C@@H]2C2CC2)CC1 ZINC001342666845 923048120 /nfs/dbraw/zinc/04/81/20/923048120.db2.gz SGRIWLKRJNMJRN-CABCVRRESA-N 0 1 291.395 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2COC[C@H]2C2CC2)CC1 ZINC001342666850 923049359 /nfs/dbraw/zinc/04/93/59/923049359.db2.gz SGRIWLKRJNMJRN-LSDHHAIUSA-N 0 1 291.395 0.808 20 30 CCEDMN N#C[C@H](C(=O)C[C@@H]1CCCC(=O)N1)c1ccncn1 ZINC001342693708 923058186 /nfs/dbraw/zinc/05/81/86/923058186.db2.gz NVMHHUNFOPYKBA-UWVGGRQHSA-N 0 1 258.281 0.712 20 30 CCEDMN C#CCN(CC)c1nnc(C2=NO[C@H](CO)C2)n1CC ZINC001342754750 923080463 /nfs/dbraw/zinc/08/04/63/923080463.db2.gz OFFWMPHTMFRRJU-JTQLQIEISA-N 0 1 277.328 0.243 20 30 CCEDMN C[C@H]1C[C@@H]1C(=O)NC[C@H](O)CNCc1ccccc1C#N ZINC001420176871 923370978 /nfs/dbraw/zinc/37/09/78/923370978.db2.gz YGNGRWYOFQRRSH-GLQYFDAESA-N 0 1 287.363 0.781 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@@]12CCCOC2 ZINC001343704216 923431643 /nfs/dbraw/zinc/43/16/43/923431643.db2.gz INGDVBMJUKPCTL-AWEZNQCLSA-N 0 1 250.342 0.771 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](N2CCCCC2=O)C1 ZINC001343853249 923484899 /nfs/dbraw/zinc/48/48/99/923484899.db2.gz DEFXYBWXZILQPO-ZDUSSCGKSA-N 0 1 277.368 0.213 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](N2CCCCC2=O)C1 ZINC001343853243 923485028 /nfs/dbraw/zinc/48/50/28/923485028.db2.gz DEFXYBWXZILQPO-CYBMUJFWSA-N 0 1 277.368 0.213 20 30 CCEDMN C#CCN1CCC(OC(=O)COC[C@H]2CCCO2)CC1 ZINC001344373991 923587528 /nfs/dbraw/zinc/58/75/28/923587528.db2.gz YBHPHTXRTDIGNR-CQSZACIVSA-N 0 1 281.352 0.823 20 30 CCEDMN Cc1ncc(C[N@@H+]2CC[C@@H](CNC(=O)[C@@H](C)C#N)C2)cn1 ZINC001420510943 923725202 /nfs/dbraw/zinc/72/52/02/923725202.db2.gz BIEMFDXSPONDOB-AAEUAGOBSA-N 0 1 287.367 0.883 20 30 CCEDMN Cc1ncc(CN2CC[C@@H](CNC(=O)[C@@H](C)C#N)C2)cn1 ZINC001420510943 923725217 /nfs/dbraw/zinc/72/52/17/923725217.db2.gz BIEMFDXSPONDOB-AAEUAGOBSA-N 0 1 287.367 0.883 20 30 CCEDMN Cc1ncc(C[N@@H+]2CC[C@@H](CNC(=O)[C@H](C)C#N)C2)cn1 ZINC001420510954 923725843 /nfs/dbraw/zinc/72/58/43/923725843.db2.gz BIEMFDXSPONDOB-YPMHNXCESA-N 0 1 287.367 0.883 20 30 CCEDMN Cc1ncc(CN2CC[C@@H](CNC(=O)[C@H](C)C#N)C2)cn1 ZINC001420510954 923725858 /nfs/dbraw/zinc/72/58/58/923725858.db2.gz BIEMFDXSPONDOB-YPMHNXCESA-N 0 1 287.367 0.883 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)Cn2cnnn2)C1 ZINC001420828796 923964324 /nfs/dbraw/zinc/96/43/24/923964324.db2.gz WFVFLOIBVLHEIB-NSHDSACASA-N 0 1 298.778 0.254 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N(C)C1(C#N)CCC1 ZINC001346228871 924121866 /nfs/dbraw/zinc/12/18/66/924121866.db2.gz LWXUXPCRWOAMPG-VXGBXAGGSA-N 0 1 262.357 0.279 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)Nc1cnc(C#N)c(C#N)n1 ZINC001421081697 924139223 /nfs/dbraw/zinc/13/92/23/924139223.db2.gz LSRRCUGYXYENQH-LLVKDONJSA-N 0 1 285.355 0.268 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@]1(C)CCN(CCn2cncn2)C1 ZINC001421182056 924196231 /nfs/dbraw/zinc/19/62/31/924196231.db2.gz FLAVASANBBGAOG-AAEUAGOBSA-N 0 1 276.344 0.018 20 30 CCEDMN N#Cc1nccc(NCc2nnc([C@H]3CCOC3)[nH]2)n1 ZINC001421187540 924201337 /nfs/dbraw/zinc/20/13/37/924201337.db2.gz CHVXEUPDDCQPRX-QMMMGPOBSA-N 0 1 271.284 0.004 20 30 CCEDMN N#Cc1nccc(NCc2n[nH]c([C@H]3CCOC3)n2)n1 ZINC001421187540 924201346 /nfs/dbraw/zinc/20/13/46/924201346.db2.gz CHVXEUPDDCQPRX-QMMMGPOBSA-N 0 1 271.284 0.004 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001346482392 924236000 /nfs/dbraw/zinc/23/60/00/924236000.db2.gz YIWFSQRUWLKXLJ-RNCFNFMXSA-N 0 1 280.328 0.600 20 30 CCEDMN C=CCN(C(=O)CN1CCC(CO)CC1)[C@@H](C)COC ZINC001347180798 924390489 /nfs/dbraw/zinc/39/04/89/924390489.db2.gz WBJHWTFJIRLAEV-ZDUSSCGKSA-N 0 1 284.400 0.740 20 30 CCEDMN C#CCN(CC#CC)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001347187359 924393092 /nfs/dbraw/zinc/39/30/92/924393092.db2.gz QTILFIFMXUKHAQ-GJZGRUSLSA-N 0 1 290.411 0.289 20 30 CCEDMN C#CCC1(O)CCN(C(=O)CN2CCC(CO)CC2)CC1 ZINC001347294027 924415048 /nfs/dbraw/zinc/41/50/48/924415048.db2.gz XCVFUTBVAXRHBC-UHFFFAOYSA-N 0 1 294.395 0.068 20 30 CCEDMN C#CCN1CCC(OC(=O)CCNC(=O)OC)CC1 ZINC001347399989 924439920 /nfs/dbraw/zinc/43/99/20/924439920.db2.gz BEIVBBZPTBMLMY-UHFFFAOYSA-N 0 1 268.313 0.373 20 30 CCEDMN C=CCCN1CCN([C@@]2(C(=O)OC)CCOC2)CC1 ZINC001348188184 924615229 /nfs/dbraw/zinc/61/52/29/924615229.db2.gz JIHPZVPVAPOKRV-AWEZNQCLSA-N 0 1 268.357 0.512 20 30 CCEDMN C=CC[C@@H](CO)NCc1cn(C[C@@H]2CCOC2)nn1 ZINC001348538552 924719157 /nfs/dbraw/zinc/71/91/57/924719157.db2.gz XRHWUXNOUHYUMB-RYUDHWBXSA-N 0 1 266.345 0.341 20 30 CCEDMN C[C@H](CNC(=O)NCCCC#N)N1CCN(C)CC1 ZINC001349652512 925015835 /nfs/dbraw/zinc/01/58/35/925015835.db2.gz KKBROWJYQVJYTP-GFCCVEGCSA-N 0 1 267.377 0.225 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(C[C@@H]2CN3CCC[C@@H]3CO2)C1=O ZINC001350937837 925315064 /nfs/dbraw/zinc/31/50/64/925315064.db2.gz QYPRRVKJFYJUQJ-WOPDTQHZSA-N 0 1 279.340 0.346 20 30 CCEDMN CCN(CCC#N)C(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001350944081 925317652 /nfs/dbraw/zinc/31/76/52/925317652.db2.gz TUJZLCRXXFARSC-NWDGAFQWSA-N 0 1 250.346 0.137 20 30 CCEDMN N#CC1(CC(=O)NCCN2CC=CC2)CCOCC1 ZINC001351132257 925365766 /nfs/dbraw/zinc/36/57/66/925365766.db2.gz KXSGMLDFOWIIDK-UHFFFAOYSA-N 0 1 263.341 0.685 20 30 CCEDMN COc1nccc(CN[C@H]2C[C@@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001480196612 925558698 /nfs/dbraw/zinc/55/86/98/925558698.db2.gz KPQDNCMGZWMCTM-ZMLRMANQSA-N 0 1 289.339 0.382 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001353408408 925826341 /nfs/dbraw/zinc/82/63/41/925826341.db2.gz TUCWTVXEIPAUPB-JTQLQIEISA-N 0 1 289.339 0.185 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001353408408 925826348 /nfs/dbraw/zinc/82/63/48/925826348.db2.gz TUCWTVXEIPAUPB-JTQLQIEISA-N 0 1 289.339 0.185 20 30 CCEDMN C=C(C)CCC(=O)N[C@H]1C[C@H](NC(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001353607576 925883010 /nfs/dbraw/zinc/88/30/10/925883010.db2.gz OUDDIMMHHIKJFN-DDHJBXDOSA-N 0 1 295.339 0.771 20 30 CCEDMN CN(C)CC#CCNC(=O)CCc1nnc(C(F)F)o1 ZINC001354112387 926019156 /nfs/dbraw/zinc/01/91/56/926019156.db2.gz YOOZYPYJUCYQFX-UHFFFAOYSA-N 0 1 286.282 0.621 20 30 CCEDMN C#CCNCC(=O)N1CC2(CCC2)[C@@](F)(C(=O)OCC)C1 ZINC001354234538 926054637 /nfs/dbraw/zinc/05/46/37/926054637.db2.gz OGFYZDJLABWRCU-HNNXBMFYSA-N 0 1 296.342 0.493 20 30 CCEDMN C#CCNCC(=O)N[C@H]1C[C@@H](C(=O)OC)c2ccccc21 ZINC001354622306 926141716 /nfs/dbraw/zinc/14/17/16/926141716.db2.gz NGAYDQGULZODLO-KGLIPLIRSA-N 0 1 286.331 0.727 20 30 CCEDMN C=CCCCCNC(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001355046544 926200525 /nfs/dbraw/zinc/20/05/25/926200525.db2.gz HQPAUMPBOIXTGS-UHFFFAOYSA-N 0 1 265.317 0.202 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)CCNC(=O)c1cnn[nH]1 ZINC001355209407 926228025 /nfs/dbraw/zinc/22/80/25/926228025.db2.gz QVXKTFQMLUWHKH-SNVBAGLBSA-N 0 1 279.344 0.786 20 30 CCEDMN C=C[C@@H](O)c1nnc(N2CCN(CC)[C@@H](C)C2)n1C ZINC001355408417 926253479 /nfs/dbraw/zinc/25/34/79/926253479.db2.gz SXVBRPMLVJXLNY-WDEREUQCSA-N 0 1 265.361 0.565 20 30 CCEDMN CC(C)C#CC(=O)NCCN(C)C(=O)Cc1ccn[nH]1 ZINC001355573515 926287039 /nfs/dbraw/zinc/28/70/39/926287039.db2.gz XPNDPWSEZQCNHL-UHFFFAOYSA-N 0 1 276.340 0.186 20 30 CCEDMN C#C[C@@H]1CCCN(c2nnc(C3=NO[C@H](CO)C3)n2C)C1 ZINC001355583143 926288335 /nfs/dbraw/zinc/28/83/35/926288335.db2.gz NEOVFEOICFLTLL-MNOVXSKESA-N 0 1 289.339 0.150 20 30 CCEDMN C=C(C)CN(C)c1nnc(C2=NO[C@H](CO)C2)n1C ZINC001355582950 926288853 /nfs/dbraw/zinc/28/88/53/926288853.db2.gz JWYRYVIXHWLLNU-VIFPVBQESA-N 0 1 265.317 0.313 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CNC(=O)Cc1cc(C)n[nH]1 ZINC001358132873 926643961 /nfs/dbraw/zinc/64/39/61/926643961.db2.gz VFKAMFZPGWTXEU-GFCCVEGCSA-N 0 1 290.367 0.685 20 30 CCEDMN CC(C)C[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCCN(O)C1=O ZINC001358264463 926663753 /nfs/dbraw/zinc/66/37/53/926663753.db2.gz HFEGLQHADJRJSA-NEPJUHHUSA-N 0 1 283.372 0.603 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001358544295 926741979 /nfs/dbraw/zinc/74/19/79/926741979.db2.gz IOZQESNSRCNFAB-JTQLQIEISA-N 0 1 293.371 0.570 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@@H+]1CCC[C@@H]3COC[C@@H]31)cc2=O ZINC001414009497 926829368 /nfs/dbraw/zinc/82/93/68/926829368.db2.gz VGXPZYWOQKWCKK-MFKMUULPSA-N 0 1 299.334 0.917 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@H+]1CCC[C@@H]3COC[C@@H]31)cc2=O ZINC001414009497 926829379 /nfs/dbraw/zinc/82/93/79/926829379.db2.gz VGXPZYWOQKWCKK-MFKMUULPSA-N 0 1 299.334 0.917 20 30 CCEDMN CC[C@@H](C#N)N(C)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC001361644138 927217765 /nfs/dbraw/zinc/21/77/65/927217765.db2.gz MOENIBKYMGAWQJ-JTQLQIEISA-N 0 1 296.352 0.827 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1nccnc1N ZINC001422317116 927218432 /nfs/dbraw/zinc/21/84/32/927218432.db2.gz HIMYBJKYOGPDRY-DTWKUNHWSA-N 0 1 283.763 0.908 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001361845818 927382968 /nfs/dbraw/zinc/38/29/68/927382968.db2.gz QGXHZXYOPMJVJA-CYBMUJFWSA-N 0 1 297.318 0.760 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001362088097 927601351 /nfs/dbraw/zinc/60/13/51/927601351.db2.gz HLPKQSHUSILKLV-LBPRGKRZSA-N 0 1 298.306 0.594 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)c1c[nH]c(=O)n1C)C1CC1 ZINC001423007908 927622870 /nfs/dbraw/zinc/62/28/70/927622870.db2.gz ICDABYUKYRUMEV-SNVBAGLBSA-N 0 1 298.774 0.976 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)Cn1cncn1 ZINC001423180258 927716379 /nfs/dbraw/zinc/71/63/79/927716379.db2.gz YHLCQLCHAWIEHY-SNVBAGLBSA-N 0 1 271.752 0.467 20 30 CCEDMN N#C[C@]1(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)CCCOC1 ZINC001362361044 927921485 /nfs/dbraw/zinc/92/14/85/927921485.db2.gz WGOCXVROMXCOHT-ZWNOBZJWSA-N 0 1 290.327 0.226 20 30 CCEDMN N#Cc1ncn(CCNC(=O)c2ccc(Cl)c(O)c2)n1 ZINC001362422472 927997214 /nfs/dbraw/zinc/99/72/14/927997214.db2.gz BAFIRDAUXQYNDI-UHFFFAOYSA-N 0 1 291.698 0.939 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCn1cncn1 ZINC001424744143 928271821 /nfs/dbraw/zinc/27/18/21/928271821.db2.gz AGSIAHATYPQUDI-WDEREUQCSA-N 0 1 285.779 0.904 20 30 CCEDMN Cn1nccc1[C@@H]1c2[nH]cnc2CCN1C(=O)[C@@H]1C[C@@H]1C#N ZINC001362947223 928686181 /nfs/dbraw/zinc/68/61/81/928686181.db2.gz ADUYCUKFVMAQCG-GPCCPHFNSA-N 0 1 296.334 0.777 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](O)CNC/C(Cl)=C\Cl ZINC001425977314 928718116 /nfs/dbraw/zinc/71/81/16/928718116.db2.gz ALXXCPBIGMKECK-UIORSMBSSA-N 0 1 294.182 0.874 20 30 CCEDMN N#Cc1c(F)cccc1C(=O)NCc1n[nH]c(CO)n1 ZINC001363125661 928896206 /nfs/dbraw/zinc/89/62/06/928896206.db2.gz AEPNMWUHVRWRHC-UHFFFAOYSA-N 0 1 275.243 0.238 20 30 CCEDMN N#Cc1c(F)cccc1C(=O)NCc1nnc(CO)[nH]1 ZINC001363125661 928896212 /nfs/dbraw/zinc/89/62/12/928896212.db2.gz AEPNMWUHVRWRHC-UHFFFAOYSA-N 0 1 275.243 0.238 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C ZINC001427152275 928978496 /nfs/dbraw/zinc/97/84/96/928978496.db2.gz ARKPPYRQWLLNQB-GMTAPVOTSA-N 0 1 289.339 0.394 20 30 CCEDMN CCc1cc(C(=O)N(CC)CCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001429684985 929505825 /nfs/dbraw/zinc/50/58/25/929505825.db2.gz SGVXCNVSURBDQO-JTQLQIEISA-N 0 1 291.355 0.710 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001430169570 929579000 /nfs/dbraw/zinc/57/90/00/929579000.db2.gz AZMXUMZTWFIJGX-BWZBUEFSSA-N 0 1 279.275 0.085 20 30 CCEDMN CC(C)c1nnc(CNC[C@@H](C)CNC(=O)[C@@H](C)C#N)[nH]1 ZINC001444314326 929839936 /nfs/dbraw/zinc/83/99/36/929839936.db2.gz YFGCUVTWDRYCOQ-MNOVXSKESA-N 0 1 292.387 0.930 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCN(CC(=O)N(C)C2CC2)C1 ZINC001372594814 929888795 /nfs/dbraw/zinc/88/87/95/929888795.db2.gz MWWMGMXDMOCBHG-NWDGAFQWSA-N 0 1 292.383 0.205 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@@H](C)C#N)NCc1nccn1C ZINC001444868119 929962532 /nfs/dbraw/zinc/96/25/32/929962532.db2.gz PUPKOBKREBPZAP-RYUDHWBXSA-N 0 1 277.372 0.810 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccn(C)c1C ZINC001445248468 930072647 /nfs/dbraw/zinc/07/26/47/930072647.db2.gz YSZVDIHOGZXHPG-NSHDSACASA-N 0 1 285.775 0.766 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)c1cncc2nc[nH]c21 ZINC001445662329 930200433 /nfs/dbraw/zinc/20/04/33/930200433.db2.gz YLHDJSYUDKDHRC-LBPRGKRZSA-N 0 1 259.269 0.616 20 30 CCEDMN Cc1cc(C)n(CCN2CC(CNC(=O)[C@H](C)C#N)C2)n1 ZINC001373502486 930223286 /nfs/dbraw/zinc/22/32/86/930223286.db2.gz JRPRAWAMFZYQMR-LLVKDONJSA-N 0 1 289.383 0.708 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H](CNCc1cnns1)C1CC1 ZINC001445930532 930287313 /nfs/dbraw/zinc/28/73/13/930287313.db2.gz DCAVVLQPQIUAFV-GZMMTYOYSA-N 0 1 279.369 0.682 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CCC(=O)NC1 ZINC001373768462 930338362 /nfs/dbraw/zinc/33/83/62/930338362.db2.gz DDWVGCHWXDBPMH-WDEREUQCSA-N 0 1 287.791 0.750 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)c1cnnn1C ZINC001446433287 930411467 /nfs/dbraw/zinc/41/14/67/930411467.db2.gz VXPOMOIJFCDNKD-JTQLQIEISA-N 0 1 283.763 0.762 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@](C)(CNCc2ncnn2C)C1 ZINC001446576970 930442339 /nfs/dbraw/zinc/44/23/39/930442339.db2.gz CAEKNJYHZUPZCF-RISCZKNCSA-N 0 1 290.371 0.303 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@@H](C)C#N)NCc1cnns1 ZINC001374414231 930504036 /nfs/dbraw/zinc/50/40/36/930504036.db2.gz ILZNTCCUVXEPMN-GXSJLCMTSA-N 0 1 281.385 0.928 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001374652476 930607949 /nfs/dbraw/zinc/60/79/49/930607949.db2.gz NPBRMMWQCOZYMS-KKOKHZNYSA-N 0 1 288.775 0.373 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCCN1C(=O)Cc1ccn[nH]1 ZINC001375474522 930892332 /nfs/dbraw/zinc/89/23/32/930892332.db2.gz QNXNNTBVVRFUDK-CMPLNLGQSA-N 0 1 289.339 0.219 20 30 CCEDMN Cc1nnc([C@@H](C)NC[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001448500124 930903904 /nfs/dbraw/zinc/90/39/04/930903904.db2.gz PXKADNQWMLCNQI-QCMRWSPLSA-N 0 1 290.371 0.818 20 30 CCEDMN COc1ccc(CN[C@@H](C)CNC(=O)[C@@H](C)C#N)nn1 ZINC001376707354 931231894 /nfs/dbraw/zinc/23/18/94/931231894.db2.gz ULPBWGYDRQTZES-UWVGGRQHSA-N 0 1 277.328 0.239 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@H](C)NC(=O)[C@H](C)C#N)n[nH]1 ZINC001450952781 931363906 /nfs/dbraw/zinc/36/39/06/931363906.db2.gz CYWFDZBOYCPTFG-SCZZXKLOSA-N 0 1 277.328 0.455 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H](O)C[C@H](O)c1ccccc1 ZINC001602695783 971312222 /nfs/dbraw/zinc/31/22/22/971312222.db2.gz SMRUFQYHABDJFD-KGLIPLIRSA-N 0 1 277.320 0.491 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H](O)C[C@H](O)c1ccccc1 ZINC001602695783 971312230 /nfs/dbraw/zinc/31/22/30/971312230.db2.gz SMRUFQYHABDJFD-KGLIPLIRSA-N 0 1 277.320 0.491 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2CC[C@](COC)(C(=O)[O-])C2)C1=O ZINC001602723093 971393213 /nfs/dbraw/zinc/39/32/13/971393213.db2.gz LHTDFWPMVRNJQN-AWEZNQCLSA-N 0 1 297.355 0.291 20 30 CCEDMN C#CC[N@@H+](C)CCCNCc1ccc(C(=O)[O-])cn1 ZINC000328203991 971454617 /nfs/dbraw/zinc/45/46/17/971454617.db2.gz UJGQGXUROLDTMW-UHFFFAOYSA-N 0 1 261.325 0.825 20 30 CCEDMN C#CCN(C)CCC[NH2+]Cc1ccc(C(=O)[O-])cn1 ZINC000328203991 971454624 /nfs/dbraw/zinc/45/46/24/971454624.db2.gz UJGQGXUROLDTMW-UHFFFAOYSA-N 0 1 261.325 0.825 20 30 CCEDMN CC[N@H+](CC(=O)NCc1ccc(C#N)cc1)[C@@H](C)C(=O)[O-] ZINC001589719050 950393990 /nfs/dbraw/zinc/39/39/90/950393990.db2.gz NQIVRCHOJXGKEE-NSHDSACASA-N 0 1 289.335 0.969 20 30 CCEDMN CC[N@@H+](CC(=O)NCc1ccc(C#N)cc1)[C@@H](C)C(=O)[O-] ZINC001589719050 950394010 /nfs/dbraw/zinc/39/40/10/950394010.db2.gz NQIVRCHOJXGKEE-NSHDSACASA-N 0 1 289.335 0.969 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@]1(C)C(=O)[O-] ZINC001589729840 950484933 /nfs/dbraw/zinc/48/49/33/950484933.db2.gz CLUCJTGLFIOXSG-CYBMUJFWSA-N 0 1 267.329 0.688 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@]1(C)C(=O)[O-] ZINC001589729840 950484942 /nfs/dbraw/zinc/48/49/42/950484942.db2.gz CLUCJTGLFIOXSG-CYBMUJFWSA-N 0 1 267.329 0.688 20 30 CCEDMN N#CC1(C[C@H](O)C[N@H+]2CCC[C@H]2C(=O)[O-])CCOCC1 ZINC001594604348 956161865 /nfs/dbraw/zinc/16/18/65/956161865.db2.gz RPDVJDYNIZDMMA-RYUDHWBXSA-N 0 1 282.340 0.607 20 30 CCEDMN N#CCC1CC[NH+](CCS(=O)(=O)CC(=O)[O-])CC1 ZINC001594606430 956182863 /nfs/dbraw/zinc/18/28/63/956182863.db2.gz UFVRENPYCCESBR-UHFFFAOYSA-N 0 1 274.342 0.111 20 30 CCEDMN COCC[N@H+](CC(=O)[O-])C[C@H](O)CC1(C#N)CCC1 ZINC001593999863 956920030 /nfs/dbraw/zinc/92/00/30/956920030.db2.gz SBWGPGXEMKGZPD-LLVKDONJSA-N 0 1 270.329 0.464 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@]2(C(=O)[O-])C[C@H]2C)CC1 ZINC001588390705 958011398 /nfs/dbraw/zinc/01/13/98/958011398.db2.gz CSSLNDYTKXTDQE-RISCZKNCSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)C[N@@H+](C)Cc1ccccc1 ZINC001588401774 958084661 /nfs/dbraw/zinc/08/46/61/958084661.db2.gz CPRJYQGVCTVZHW-UHFFFAOYSA-N 0 1 274.320 0.665 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)C[N@H+](C)Cc1ccccc1 ZINC001588401774 958084682 /nfs/dbraw/zinc/08/46/82/958084682.db2.gz CPRJYQGVCTVZHW-UHFFFAOYSA-N 0 1 274.320 0.665 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCC[N@@H+]1CCCC[C@H]1CC ZINC001588408361 958108217 /nfs/dbraw/zinc/10/82/17/958108217.db2.gz JHGBVBAZIRGSQT-CYBMUJFWSA-N 0 1 295.383 0.980 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC001588429765 958247502 /nfs/dbraw/zinc/24/75/02/958247502.db2.gz CDKONYJOOVQGAC-AWEZNQCLSA-N 0 1 286.331 0.810 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N(CCC)CC(=O)[O-] ZINC001588429687 958248886 /nfs/dbraw/zinc/24/88/86/958248886.db2.gz ARJSQEMGDRWBKC-NSHDSACASA-N 0 1 252.314 0.407 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N(CCC)CC(=O)[O-] ZINC001588429687 958248899 /nfs/dbraw/zinc/24/88/99/958248899.db2.gz ARJSQEMGDRWBKC-NSHDSACASA-N 0 1 252.314 0.407 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)[C@]2(C(=O)[O-])C[C@H]2C)C1 ZINC001588429811 958250610 /nfs/dbraw/zinc/25/06/10/958250610.db2.gz DHLUXBBXLJGNCE-SUNKGSAMSA-N 0 1 264.325 0.311 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)[C@]2(C(=O)[O-])C[C@H]2C)C1 ZINC001588429811 958250622 /nfs/dbraw/zinc/25/06/22/958250622.db2.gz DHLUXBBXLJGNCE-SUNKGSAMSA-N 0 1 264.325 0.311 20 30 CCEDMN C#CC[N@@H+](CCC(=O)NCc1cnc(C)s1)CC(=O)[O-] ZINC001588432518 958287223 /nfs/dbraw/zinc/28/72/23/958287223.db2.gz ZZDRRGOXOGIGQX-UHFFFAOYSA-N 0 1 295.364 0.478 20 30 CCEDMN C#CC[N@H+](CCC(=O)NCc1cnc(C)s1)CC(=O)[O-] ZINC001588432518 958287241 /nfs/dbraw/zinc/28/72/41/958287241.db2.gz ZZDRRGOXOGIGQX-UHFFFAOYSA-N 0 1 295.364 0.478 20 30 CCEDMN C=CCCn1cc(C[N@@H+]2CC[C@@](COC)(C(=O)[O-])C2)nn1 ZINC001588460441 958474519 /nfs/dbraw/zinc/47/45/19/958474519.db2.gz HDRGHEDEKBSZIM-CQSZACIVSA-N 0 1 294.355 0.777 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)NCC2(C(=O)[O-])CCC2)C1 ZINC001573327355 958487845 /nfs/dbraw/zinc/48/78/45/958487845.db2.gz LMRPGMTVILWODL-LBPRGKRZSA-N 0 1 293.367 0.638 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)NCC2(C(=O)[O-])CCC2)C1 ZINC001573327355 958487852 /nfs/dbraw/zinc/48/78/52/958487852.db2.gz LMRPGMTVILWODL-LBPRGKRZSA-N 0 1 293.367 0.638 20 30 CCEDMN C#CC[NH2+]CC(=O)Nc1ccc(CCC(=O)[O-])cc1 ZINC000134679103 958846595 /nfs/dbraw/zinc/84/65/95/958846595.db2.gz TZHXJTMBKDDQDQ-UHFFFAOYSA-N 0 1 260.293 0.865 20 30 CCEDMN N#CC[C@@]1(O)CC[N@@H+](CC2(O)CCC(C(=O)[O-])CC2)C1 ZINC001574242367 960467032 /nfs/dbraw/zinc/46/70/32/960467032.db2.gz VFLADFHIIFNDTJ-UXUKBGGZSA-N 0 1 282.340 0.343 20 30 CCEDMN COCC[N@@H+](CCC(=O)[O-])C[C@@H](O)CC1(C#N)CCC1 ZINC001574156379 962675670 /nfs/dbraw/zinc/67/56/70/962675670.db2.gz DQPGCSLYZNPUTO-LBPRGKRZSA-N 0 1 284.356 0.854 20 30 CCEDMN C=C(Cl)C[N@@H+](C)CCCN(C)C(=O)CNC(=O)[O-] ZINC001573339732 962894971 /nfs/dbraw/zinc/89/49/71/962894971.db2.gz XDNRDHLHCICHKD-UHFFFAOYSA-N 0 1 277.752 0.787 20 30 CCEDMN C=C(Cl)C[N@H+](C)CCCN(C)C(=O)CNC(=O)[O-] ZINC001573339732 962894982 /nfs/dbraw/zinc/89/49/82/962894982.db2.gz XDNRDHLHCICHKD-UHFFFAOYSA-N 0 1 277.752 0.787 20 30 CCEDMN C[C@@H]1C[C@H](C(=O)[O-])C[N@@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC001603367791 972871645 /nfs/dbraw/zinc/87/16/45/972871645.db2.gz JKYIOQOJSMATLV-MNOVXSKESA-N 0 1 279.340 0.591 20 30 CCEDMN N#Cc1cccc(C[N@H+]2C[C@H](O)C[C@@H](C(=O)[O-])C2)c1 ZINC000401822900 973421926 /nfs/dbraw/zinc/42/19/26/973421926.db2.gz ANOLBRQCPYEDJN-CHWSQXEVSA-N 0 1 260.293 0.826 20 30 CCEDMN N#Cc1ccc(C[NH2+][C@@H]2CCCCN(CC(=O)[O-])C2=O)o1 ZINC001605611147 973915083 /nfs/dbraw/zinc/91/50/83/973915083.db2.gz ICHXPAHOMQLOGR-GFCCVEGCSA-N 0 1 291.307 0.707 20 30 CCEDMN C#CC[C@@H]([NH2+]Cc1nn(C)c(C)c1Cl)C(=O)[O-] ZINC001588424341 983455430 /nfs/dbraw/zinc/45/54/30/983455430.db2.gz VNNSZIXIKFEAAH-MRVPVSSYSA-N 0 1 255.705 0.948 20 30 CCEDMN C#CC[C@@H]1CC[N@H+](CC(=O)N[C@@H](CC(C)C)C(=O)[O-])C1 ZINC001588434652 983471621 /nfs/dbraw/zinc/47/16/21/983471621.db2.gz PMNRMMSKEIPCSQ-OLZOCXBDSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588453705 983476974 /nfs/dbraw/zinc/47/69/74/983476974.db2.gz OCRIQWKJSSSHNQ-NWDGAFQWSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CC[N@H+](CC(=O)N[C@@H](C(=O)[O-])[C@@H](C)CC)CC1CC1 ZINC001588465730 983496186 /nfs/dbraw/zinc/49/61/86/983496186.db2.gz DWJBVXPNDJZZFF-SMDDNHRTSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1C[C@H]2CCCC[C@@]2(C(=O)[O-])C1 ZINC001588479161 983517903 /nfs/dbraw/zinc/51/79/03/983517903.db2.gz AHYACFMNLNHOLH-IUODEOHRSA-N 0 1 278.352 0.703 20 30 CCEDMN C#CCOCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@@H]1[C@@H]1CCCO1 ZINC001588491000 983535133 /nfs/dbraw/zinc/53/51/33/983535133.db2.gz BKPYFQLZFBPNAS-MJBXVCDLSA-N 0 1 281.352 0.980 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588534517 983585967 /nfs/dbraw/zinc/58/59/67/983585967.db2.gz HIYSRXYRKUPUPK-MWLCHTKSSA-N 0 1 266.301 0.160 20 30 CCEDMN C=C[C@@H](C(=O)[O-])N1CCC([N@H+]2CC[C@@H](O)C2)CC1 ZINC001588664155 983798963 /nfs/dbraw/zinc/79/89/63/983798963.db2.gz UHIZMYZXIGSRDS-NEPJUHHUSA-N 0 1 254.330 0.157 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CC[C@H]2[C@@H](C(=O)OCC)[C@H]2C1 ZINC001588669364 983811855 /nfs/dbraw/zinc/81/18/55/983811855.db2.gz JUYKFQPVCWNXHI-VPOLOUISSA-N 0 1 253.298 0.757 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1C[C@@H](C(=O)OC)[C@H](C2CC2)C1 ZINC001588669759 983814316 /nfs/dbraw/zinc/81/43/16/983814316.db2.gz OSJKFQGQMJTXPY-AXFHLTTASA-N 0 1 253.298 0.757 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC001588692531 983883708 /nfs/dbraw/zinc/88/37/08/983883708.db2.gz DEGCHNPIOWQPCO-NEPJUHHUSA-N 0 1 299.371 0.378 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC001588728674 983983789 /nfs/dbraw/zinc/98/37/89/983983789.db2.gz DOLNJPCQXRDCPF-PSASIEDQSA-N 0 1 279.296 0.706 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCN2C(=O)OCC[C@@H]2C1 ZINC001588729387 983989794 /nfs/dbraw/zinc/98/97/94/983989794.db2.gz JPDNQZNMNAOSRF-ZJUUUORDSA-N 0 1 254.286 0.542 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1C[C@@H](C)O[C@]2(CCOC2)C1 ZINC001588729512 983990764 /nfs/dbraw/zinc/99/07/64/983990764.db2.gz RIGPRGSMMPLEMJ-NQBHXWOUSA-N 0 1 255.314 0.896 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@H](C(=O)[O-])[C@@H]2C)C1=O ZINC001588839018 984343810 /nfs/dbraw/zinc/34/38/10/984343810.db2.gz NFSVGOOERVKASZ-DCAQKATOSA-N 0 1 252.314 0.568 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H]1CC[N@H+]1C(C)(C)C)C(=O)[O-] ZINC001588855665 984394616 /nfs/dbraw/zinc/39/46/16/984394616.db2.gz NNHDLISIZFSYJD-GHMZBOCLSA-N 0 1 284.356 0.631 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H]1CC[N@@H+]1C(C)(C)C)C(=O)[O-] ZINC001588855665 984394622 /nfs/dbraw/zinc/39/46/22/984394622.db2.gz NNHDLISIZFSYJD-GHMZBOCLSA-N 0 1 284.356 0.631 20 30 CCEDMN C=CCOC[C@H]([NH2+]Cc1[nH]nc(C)c1C)C(=O)[O-] ZINC001588866366 984424369 /nfs/dbraw/zinc/42/43/69/984424369.db2.gz MQGYIRDHXCPYRM-NSHDSACASA-N 0 1 253.302 0.772 20 30 CCEDMN CCOC(=O)/C=C/C[NH+]1CCC(C#N)(C(=O)[O-])CC1 ZINC001596582091 984497039 /nfs/dbraw/zinc/49/70/39/984497039.db2.gz ABWZTUPYGPFNQX-ONEGZZNKSA-N 0 1 266.297 0.796 20 30 CCEDMN CC#CCCCC(=O)O[C@H]1C[C@H](C(=O)[O-])[N@H+](C)C1 ZINC001588926881 984594541 /nfs/dbraw/zinc/59/45/41/984594541.db2.gz BDQRVUYRRBZDMG-WDEREUQCSA-N 0 1 253.298 0.881 20 30 CCEDMN CC#CCCCC(=O)O[C@H]1C[C@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588926881 984594542 /nfs/dbraw/zinc/59/45/42/984594542.db2.gz BDQRVUYRRBZDMG-WDEREUQCSA-N 0 1 253.298 0.881 20 30 CCEDMN CC#CC[N@H+]1CCCC2(CN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)C1 ZINC001588930995 984600468 /nfs/dbraw/zinc/60/04/68/984600468.db2.gz HYNALEOAUYFDLG-STQMWFEESA-N 0 1 290.363 0.655 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1Cc1ccc(C#N)cn1 ZINC001594524763 986027138 /nfs/dbraw/zinc/02/71/38/986027138.db2.gz CWZGPLDTDZHRAS-GFCCVEGCSA-N 0 1 288.351 0.934 20 30 CCEDMN Cc1c(C#N)cccc1C(=O)N1CC[NH+](CC(=O)[O-])CC1 ZINC001599973478 986048720 /nfs/dbraw/zinc/04/87/20/986048720.db2.gz VFTQIJZZBRKJHZ-UHFFFAOYSA-N 0 1 287.319 0.709 20 30 CCEDMN C[C@H]1C[NH+](CCN(CC#N)CC(=O)[O-])C[C@H](C)O1 ZINC001594526789 986060269 /nfs/dbraw/zinc/06/02/69/986060269.db2.gz DNXLCGYMNNWSSQ-QWRGUYRKSA-N 0 1 255.318 0.006 20 30 CCEDMN C[C@@H](C(=O)N(CC#N)CCC(=O)[O-])[NH+]1CCSCC1 ZINC001589341969 986259728 /nfs/dbraw/zinc/25/97/28/986259728.db2.gz SFXZOAOKJHXUHI-JTQLQIEISA-N 0 1 285.369 0.251 20 30 CCEDMN C[C@H]1CN(c2ccc(C(=O)[O-])nc2C#N)CC[N@@H+]1CCO ZINC001594569236 986377908 /nfs/dbraw/zinc/37/79/08/986377908.db2.gz OKVQGPUCDVSABC-JTQLQIEISA-N 0 1 290.323 0.154 20 30 CCEDMN C[C@H]1CN(c2ccc(C(=O)[O-])nc2C#N)CC[N@H+]1CCO ZINC001594569236 986377917 /nfs/dbraw/zinc/37/79/17/986377917.db2.gz OKVQGPUCDVSABC-JTQLQIEISA-N 0 1 290.323 0.154 20 30 CCEDMN C[N@@H+](C[C@H](O)CC1(C#N)CCOCC1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001598432926 991807204 /nfs/dbraw/zinc/80/72/04/991807204.db2.gz PQDTYZPZOFMYAD-FRRDWIJNSA-N 0 1 296.367 0.853 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)c2cccc(C#N)n2)C[C@H]1C(=O)[O-] ZINC001598573116 993231091 /nfs/dbraw/zinc/23/10/91/993231091.db2.gz HHQMCTHRGKKCBH-ONGXEEELSA-N 0 1 275.264 0.267 20 30 CCEDMN C[C@@]1(C(=O)[O-])CC[N@@H+](C[C@H](O)CC2(C#N)CC2)C1 ZINC001593795872 996513069 /nfs/dbraw/zinc/51/30/69/996513069.db2.gz ZTUHUNOUURYXGV-ZYHUDNBSSA-N 0 1 252.314 0.838 20 30 CCEDMN C[C@@H]1[C@H](C(=O)[O-])CC[N@@H+]1Cn1cccc(C#N)c1=O ZINC001594166748 998634683 /nfs/dbraw/zinc/63/46/83/998634683.db2.gz BHZGNIUNRGQELQ-MWLCHTKSSA-N 0 1 261.281 0.472 20 30 CCEDMN C[C@@H]1[C@H](C(=O)[O-])CC[N@H+]1Cn1cccc(C#N)c1=O ZINC001594166748 998634686 /nfs/dbraw/zinc/63/46/86/998634686.db2.gz BHZGNIUNRGQELQ-MWLCHTKSSA-N 0 1 261.281 0.472 20 30 CCEDMN C[C@H](NC(=O)NC[C@@H]1COCCN1)c1cccc(C#N)c1 ZINC000599918283 361828888 /nfs/dbraw/zinc/82/88/88/361828888.db2.gz IGLLQZGOSGPMMX-SMDDNHRTSA-N 0 1 288.351 0.907 20 30 CCEDMN CC(C)(CC#N)CNC(=O)NC[C@@H]1COCCN1 ZINC000599927126 361831426 /nfs/dbraw/zinc/83/14/26/361831426.db2.gz TXMNYJMYZPBONZ-SNVBAGLBSA-N 0 1 254.334 0.214 20 30 CCEDMN COC(=O)[C@H](C[C@@H]1CCCOC1)NC(=O)C(C)C#N ZINC000600429133 361959644 /nfs/dbraw/zinc/95/96/44/361959644.db2.gz AEMKOOMWDYEWHK-VWYCJHECSA-N 0 1 268.313 0.621 20 30 CCEDMN CC[C@]1(CO)CCCN1CC(=O)NC1(C#N)CCC1 ZINC000347223219 529431496 /nfs/dbraw/zinc/43/14/96/529431496.db2.gz KMWRBUOXMDSNOZ-CQSZACIVSA-N 0 1 265.357 0.786 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC000601028243 362133263 /nfs/dbraw/zinc/13/32/63/362133263.db2.gz HTLQSFKRJJJFKG-CYBMUJFWSA-N 0 1 290.371 0.430 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC000601028243 362133270 /nfs/dbraw/zinc/13/32/70/362133270.db2.gz HTLQSFKRJJJFKG-CYBMUJFWSA-N 0 1 290.371 0.430 20 30 CCEDMN C[C@@H]1C[C@H](C(=O)NC2(C#N)CCN(C)CC2)[C@H](C)O1 ZINC000601042272 362140912 /nfs/dbraw/zinc/14/09/12/362140912.db2.gz CHRGSZYJCCJHJO-WOPDTQHZSA-N 0 1 265.357 0.904 20 30 CCEDMN Cc1nc(NCc2nnc([C@H]3CCOC3)[nH]2)ccc1C#N ZINC000601198161 362174221 /nfs/dbraw/zinc/17/42/21/362174221.db2.gz PBEZCMVABYPDED-NSHDSACASA-N 0 1 284.323 0.918 20 30 CCEDMN Cc1nc(NCc2n[nH]c([C@H]3CCOC3)n2)ccc1C#N ZINC000601198161 362174230 /nfs/dbraw/zinc/17/42/30/362174230.db2.gz PBEZCMVABYPDED-NSHDSACASA-N 0 1 284.323 0.918 20 30 CCEDMN COCCCN1CCN(c2nccnc2C#N)C[C@@H]1C ZINC000155683100 136395515 /nfs/dbraw/zinc/39/55/15/136395515.db2.gz LNJZYZRZDFWDEW-LBPRGKRZSA-N 0 1 275.356 0.895 20 30 CCEDMN CCc1nc(CNC(=O)c2ccc(C#N)n2C)n[nH]1 ZINC000452178868 529739622 /nfs/dbraw/zinc/73/96/22/529739622.db2.gz LXCLDRMYLVFMLT-UHFFFAOYSA-N 0 1 258.285 0.507 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)nc1 ZINC000115373850 349853795 /nfs/dbraw/zinc/85/37/95/349853795.db2.gz FXASVHPIUKXAIL-GFCCVEGCSA-N 0 1 287.367 0.319 20 30 CCEDMN CN(C[C@@H]1CCCN1C)S(=O)(=O)CC1(C#N)CC1 ZINC000331697792 529949386 /nfs/dbraw/zinc/94/93/86/529949386.db2.gz VHODDOMWWRPXHW-NSHDSACASA-N 0 1 271.386 0.646 20 30 CCEDMN N#Cc1cccc(NC2CN(C(=O)Cc3ncn[nH]3)C2)c1 ZINC000601677081 362351448 /nfs/dbraw/zinc/35/14/48/362351448.db2.gz CSBJFSDSRIHVSC-UHFFFAOYSA-N 0 1 282.307 0.542 20 30 CCEDMN CC[C@@H]1[C@@H](C(=O)N=c2[nH][n-]c(C)c2C#N)CC[N@H+]1C ZINC000601680606 362352432 /nfs/dbraw/zinc/35/24/32/362352432.db2.gz SZCIMBGNDBLUSS-GXSJLCMTSA-N 0 1 261.329 0.681 20 30 CCEDMN C[C@@H](C(=O)Nc1[nH]nc(N2CCOCC2)c1C#N)N(C)C ZINC000601734843 362371994 /nfs/dbraw/zinc/37/19/94/362371994.db2.gz BVJOGGULEFSXNC-VIFPVBQESA-N 0 1 292.343 0.007 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1C[C@@H]2COC[C@@H]2C1 ZINC000601974909 362445911 /nfs/dbraw/zinc/44/59/11/362445911.db2.gz SVYXGYDQFHGQID-MBNYWOFBSA-N 0 1 265.357 0.619 20 30 CCEDMN C[C@@H](O)CN(C)CC(=O)NCc1cccc(C#N)c1 ZINC000361505375 232142540 /nfs/dbraw/zinc/14/25/40/232142540.db2.gz NROUDKUFTMEYEL-LLVKDONJSA-N 0 1 261.325 0.487 20 30 CCEDMN CCO[C@@H](C(=O)N=c1[nH]n(C)c(C)c1C#N)C1CC1 ZINC000602347394 362593926 /nfs/dbraw/zinc/59/39/26/362593926.db2.gz GDFBSDVHAIKUHH-LLVKDONJSA-N 0 1 262.313 0.776 20 30 CCEDMN CCS(=O)(=O)CCCNCc1cccc(C#N)n1 ZINC000602692939 362752961 /nfs/dbraw/zinc/75/29/61/362752961.db2.gz JQPCIPRZFLNIRV-UHFFFAOYSA-N 0 1 267.354 0.868 20 30 CCEDMN N#CCC1(CN2CCC[C@H](CNS(N)(=O)=O)C2)CC1 ZINC000602774781 362792498 /nfs/dbraw/zinc/79/24/98/362792498.db2.gz AZVQTGIMMFHUKE-LLVKDONJSA-N 0 1 286.401 0.185 20 30 CCEDMN C[C@@H]1CN(Cc2ccn(C)c(=O)c2)C[C@H](C)N1CC#N ZINC000602854507 362840890 /nfs/dbraw/zinc/84/08/90/362840890.db2.gz CKCVRXZLEUBFKA-BETUJISGSA-N 0 1 274.368 0.803 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)CN[C@@H](C)CC#N)C1 ZINC000602860666 362845851 /nfs/dbraw/zinc/84/58/51/362845851.db2.gz DGSUKPNHXJFNOW-UONOGXRCSA-N 0 1 296.415 0.351 20 30 CCEDMN Cc1ncc(S(=O)(=O)N[C@H](C)c2nnc[nH]2)cc1C#N ZINC000312707759 137077148 /nfs/dbraw/zinc/07/71/48/137077148.db2.gz GWCWJJLXSDPEMG-MRVPVSSYSA-N 0 1 292.324 0.419 20 30 CCEDMN Cc1cc(C#N)cc(N2CCN(C[C@H](C)O)CC2)n1 ZINC000343033011 137111222 /nfs/dbraw/zinc/11/12/22/137111222.db2.gz ONVDMVMTDYMSQW-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)NCC[C@@H](C)F)[nH]1 ZINC000343171440 137118561 /nfs/dbraw/zinc/11/85/61/137118561.db2.gz BEKMCLJGLJEVEN-SECBINFHSA-N 0 1 295.318 0.560 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)c2cnn(C)c2C#N)[C@@H](C)C1 ZINC000344419431 137193533 /nfs/dbraw/zinc/19/35/33/137193533.db2.gz JDRPSZAHPGBKJV-QWRGUYRKSA-N 0 1 275.356 0.846 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)c1ccc(C#N)o1 ZINC000344907071 137220662 /nfs/dbraw/zinc/22/06/62/137220662.db2.gz BLBOIXKNXCKQJW-MRVPVSSYSA-N 0 1 257.315 0.380 20 30 CCEDMN Cc1noc(CNS(=O)(=O)c2ccccc2C#N)n1 ZINC000045008823 348332141 /nfs/dbraw/zinc/33/21/41/348332141.db2.gz LENBQHJXOIXGGX-UHFFFAOYSA-N 0 1 278.293 0.728 20 30 CCEDMN CNC(=O)CCN1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000046502899 348359211 /nfs/dbraw/zinc/35/92/11/348359211.db2.gz JKKIRFVYJQQSLX-UHFFFAOYSA-N 0 1 286.379 0.812 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](C(=O)NCC2CC2)C1 ZINC000047980998 348394497 /nfs/dbraw/zinc/39/44/97/348394497.db2.gz RREUIOMUNLNYOD-ZDUSSCGKSA-N 0 1 279.384 0.527 20 30 CCEDMN CCCc1cc(C(=O)N(CC#N)CC(=O)OC)n[nH]1 ZINC000120621224 349996337 /nfs/dbraw/zinc/99/63/37/349996337.db2.gz IDJDJXZCFYKLEU-UHFFFAOYSA-N 0 1 264.285 0.501 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H](CCO)C1 ZINC000051916703 348500394 /nfs/dbraw/zinc/50/03/94/348500394.db2.gz NDPCYPVYSNKBSY-LLVKDONJSA-N 0 1 269.345 0.093 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](O)[C@H]2CCCC[C@H]21 ZINC000602998076 362928964 /nfs/dbraw/zinc/92/89/64/362928964.db2.gz WWJJGONLFISTJJ-XQQFMLRXSA-N 0 1 295.383 0.624 20 30 CCEDMN CN(CCN1C(=O)CNC1=O)Cc1cccc(C#N)c1 ZINC000073615504 348956620 /nfs/dbraw/zinc/95/66/20/348956620.db2.gz IUBVRKFHSXZYTJ-UHFFFAOYSA-N 0 1 272.308 0.542 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC2(CNC(=O)C2)CC1 ZINC000075013831 349017429 /nfs/dbraw/zinc/01/74/29/349017429.db2.gz CXFSEHMCKJZOBQ-UHFFFAOYSA-N 0 1 291.395 0.789 20 30 CCEDMN C[C@H](CNC(=O)c1cc(C#N)cn1C)N1CCN(C)CC1 ZINC000081758829 349281994 /nfs/dbraw/zinc/28/19/94/349281994.db2.gz UDJAKTUJXNRYHU-GFCCVEGCSA-N 0 1 289.383 0.262 20 30 CCEDMN N#Cc1cc(CN2CCC(OCCO)CC2)ccn1 ZINC000135391163 350394710 /nfs/dbraw/zinc/39/47/10/350394710.db2.gz JOHSMKHEYBTDMR-UHFFFAOYSA-N 0 1 261.325 0.927 20 30 CCEDMN Cc1cc(C(=O)N2C[C@@H](C)N(CC#N)[C@@H](C)C2)n[nH]1 ZINC000603099922 362962995 /nfs/dbraw/zinc/96/29/95/362962995.db2.gz NCGXSGGKBNEZNI-PHIMTYICSA-N 0 1 261.329 0.777 20 30 CCEDMN CNCc1cn(C[C@H](O)COc2ccccc2C#N)nn1 ZINC000603263203 363033754 /nfs/dbraw/zinc/03/37/54/363033754.db2.gz DZIBSZLGBBRJDX-ZDUSSCGKSA-N 0 1 287.323 0.309 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(C)(CO)CC1 ZINC000186918727 351520733 /nfs/dbraw/zinc/52/07/33/351520733.db2.gz ORJNKTUCHFUVIN-NSHDSACASA-N 0 1 283.372 0.481 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(NC(=O)C3CC3)C2)CC1 ZINC000189482990 351683391 /nfs/dbraw/zinc/68/33/91/351683391.db2.gz RSHRTESXZGWUNM-UHFFFAOYSA-N 0 1 289.379 0.069 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1ccc(C#N)s1 ZINC000230332555 352112038 /nfs/dbraw/zinc/11/20/38/352112038.db2.gz MAJWRVCLMAHTLK-UHFFFAOYSA-N 0 1 259.356 0.460 20 30 CCEDMN Cn1cc(CN[C@@H]2CCC(=O)N(C)C2=O)cc1C#N ZINC000232315476 352143225 /nfs/dbraw/zinc/14/32/25/352143225.db2.gz VREPKDIYFGJKPF-LLVKDONJSA-N 0 1 260.297 0.134 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCN(C2CCC2)CC1 ZINC000264969437 352611277 /nfs/dbraw/zinc/61/12/77/352611277.db2.gz IBBOMKYYENWPKC-LBPRGKRZSA-N 0 1 294.399 0.557 20 30 CCEDMN C=CCOCCCC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000266292934 352695977 /nfs/dbraw/zinc/69/59/77/352695977.db2.gz ASAHLUNSBZHCSO-CYBMUJFWSA-N 0 1 297.399 0.425 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC000269719545 352867682 /nfs/dbraw/zinc/86/76/82/352867682.db2.gz BSWUBHHCQIMEGK-LLVKDONJSA-N 0 1 262.313 0.337 20 30 CCEDMN C#CCCN1CCN(C[C@@H]2CC(C(=O)OC)=C(C)O2)CC1 ZINC000272474694 353067506 /nfs/dbraw/zinc/06/75/06/353067506.db2.gz FXHAJGRTJJLUPA-AWEZNQCLSA-N 0 1 292.379 0.863 20 30 CCEDMN C#CCSCCNC(=O)NCc1cn[nH]c1C ZINC000274608675 353145899 /nfs/dbraw/zinc/14/58/99/353145899.db2.gz MYTXLSSCSZQMHT-UHFFFAOYSA-N 0 1 252.343 0.884 20 30 CCEDMN C#CCC(CC#C)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000277629534 353255471 /nfs/dbraw/zinc/25/54/71/353255471.db2.gz JFNKENFKIUMYRH-CQSZACIVSA-N 0 1 275.396 0.401 20 30 CCEDMN C[C@H](CNS(=O)(=O)c1cncc(C#N)c1)N(C)C1CC1 ZINC000290009606 353700768 /nfs/dbraw/zinc/70/07/68/353700768.db2.gz ZPFYMFVXRDXJTI-SNVBAGLBSA-N 0 1 294.380 0.714 20 30 CCEDMN C[C@@H](O)CN(C)CC(=O)NCc1ccc(C#N)cc1 ZINC000291287904 353777832 /nfs/dbraw/zinc/77/78/32/353777832.db2.gz QVPZZJBJRDHRNU-LLVKDONJSA-N 0 1 261.325 0.487 20 30 CCEDMN CC[C@H](CO)N(C)CC(=O)NCc1ccc(C#N)cc1 ZINC000295764494 353917422 /nfs/dbraw/zinc/91/74/22/353917422.db2.gz RXROYYVWXBTOFM-CQSZACIVSA-N 0 1 275.352 0.877 20 30 CCEDMN C=CCN1CC[C@H](N[C@H](C(=O)N(C)CC)C(C)C)C1=O ZINC000296216995 353937382 /nfs/dbraw/zinc/93/73/82/353937382.db2.gz JYMYANWAGYEBJY-STQMWFEESA-N 0 1 281.400 0.866 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NCCC(=O)OCCC ZINC000296749235 353957345 /nfs/dbraw/zinc/95/73/45/353957345.db2.gz DYMWXJQOMHPCNQ-UHFFFAOYSA-N 0 1 283.372 0.584 20 30 CCEDMN C#CCN(CC#C)C[C@H](O)CN1C[C@H](C)O[C@@H](C)C1 ZINC000304337532 354113696 /nfs/dbraw/zinc/11/36/96/354113696.db2.gz XKUREBSFYVQMCS-KKUMJFAQSA-N 0 1 264.369 0.025 20 30 CCEDMN Cc1ncc(S(=O)(=O)N2CCNCC2(C)C)cc1C#N ZINC000312670230 354209951 /nfs/dbraw/zinc/20/99/51/354209951.db2.gz BMLPARCUTDJBBZ-UHFFFAOYSA-N 0 1 294.380 0.634 20 30 CCEDMN CC[N@@H+](CC(=O)[O-])C1CC(NC(=O)c2cnccn2)C1 ZINC000328635905 354243543 /nfs/dbraw/zinc/24/35/43/354243543.db2.gz NTWSGVQSJZYVSV-UHFFFAOYSA-N 0 1 278.312 0.719 20 30 CCEDMN C[C@H](CC#N)N(C)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000338284529 355311426 /nfs/dbraw/zinc/31/14/26/355311426.db2.gz TWHGBTXTJLYYBA-GHMZBOCLSA-N 0 1 259.375 0.502 20 30 CCEDMN C[C@@H]1CN(CC[N@@H+](C)CCOCCC#N)C[C@@H](C)O1 ZINC000339137201 355492657 /nfs/dbraw/zinc/49/26/57/355492657.db2.gz ORMVGIOHDWYOHP-ZIAGYGMSSA-N 0 1 269.389 0.958 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N(CC)CCC#N)C[C@H]1O ZINC000341045322 355594149 /nfs/dbraw/zinc/59/41/49/355594149.db2.gz LDUCUWPFKNLYSX-VXGBXAGGSA-N 0 1 253.346 0.451 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)C(C)C#N ZINC000351534644 356003048 /nfs/dbraw/zinc/00/30/48/356003048.db2.gz KYZTURIGNNIYAX-GUBZILKMSA-N 0 1 254.286 0.325 20 30 CCEDMN N#Cc1csc(CN[C@@H](CO)C2CCOCC2)n1 ZINC000352844342 356094892 /nfs/dbraw/zinc/09/48/92/356094892.db2.gz XMDMNHMSSCOHOS-NSHDSACASA-N 0 1 267.354 0.892 20 30 CCEDMN N#Cc1ncn(CCNCc2cc(C(F)(F)F)n[nH]2)n1 ZINC000353049419 356147652 /nfs/dbraw/zinc/14/76/52/356147652.db2.gz SQXZRVYNPIPYEB-UHFFFAOYSA-N 0 1 285.233 0.681 20 30 CCEDMN N#Cc1ncn(CCNCc2ccc(-c3cc[nH]n3)o2)n1 ZINC000353049187 356147833 /nfs/dbraw/zinc/14/78/33/356147833.db2.gz RISDHXAZLKMGOJ-UHFFFAOYSA-N 0 1 283.295 0.923 20 30 CCEDMN N#Cc1ccnc(N2CCN([C@H]3CCC[C@H]3O)CC2)c1 ZINC000361942240 138038877 /nfs/dbraw/zinc/03/88/77/138038877.db2.gz GLXZWAOTOLMEGD-UONOGXRCSA-N 0 1 272.352 0.989 20 30 CCEDMN COC[C@@H](CO)N=c1ccc2cc(C#N)ccc2[nH]1 ZINC000619503396 366268384 /nfs/dbraw/zinc/26/83/84/366268384.db2.gz PHGWJUXLOGHBAR-GFCCVEGCSA-N 0 1 257.293 0.948 20 30 CCEDMN C=CCn1c(S[C@H](C=C)C(=O)OC)n[nH]c1=O ZINC000619689564 366322356 /nfs/dbraw/zinc/32/23/56/366322356.db2.gz OMBIKNOFWAPOGK-SSDOTTSWSA-N 0 1 255.299 0.989 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N[C@@H]1CCCN(C)C1 ZINC000619749376 366347647 /nfs/dbraw/zinc/34/76/47/366347647.db2.gz ZYYCNNOVZJOWCJ-WDEREUQCSA-N 0 1 274.390 0.149 20 30 CCEDMN N#CCc1cccc(C(=O)NC[C@@H]2CN3CCN2CCC3)c1 ZINC000619873309 366367928 /nfs/dbraw/zinc/36/79/28/366367928.db2.gz BTUANFQYSZEFNH-MRXNPFEDSA-N 0 1 298.390 0.872 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)NCc1cnc[nH]1 ZINC000359701431 356873697 /nfs/dbraw/zinc/87/36/97/356873697.db2.gz UQIOBXFUDVGWGW-UHFFFAOYSA-N 0 1 286.291 0.985 20 30 CCEDMN CC1(C)[C@H](O)CCN1CC(=O)NC1(C#N)CCC1 ZINC000361403417 357047529 /nfs/dbraw/zinc/04/75/29/357047529.db2.gz NUTXUXYVLIRXOC-SNVBAGLBSA-N 0 1 251.330 0.394 20 30 CCEDMN CCCCN(CCO)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610527975 363572770 /nfs/dbraw/zinc/57/27/70/363572770.db2.gz CRXOZFKAVPROTK-UHFFFAOYSA-N 0 1 265.317 0.340 20 30 CCEDMN CCC(O)(CC)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610528182 363572875 /nfs/dbraw/zinc/57/28/75/363572875.db2.gz UOBHXBLMEMNKNF-UHFFFAOYSA-N 0 1 265.317 0.386 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H]2CCCCO2)[nH]1 ZINC000610562396 363575985 /nfs/dbraw/zinc/57/59/85/363575985.db2.gz RPMJZCWWHPWBAD-SNVBAGLBSA-N 0 1 263.301 0.404 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)C[N@H+]2C[C@H](O)C3(CC3)C2)cc1 ZINC000366629822 357227623 /nfs/dbraw/zinc/22/76/23/357227623.db2.gz KEBGQEPFCMJHPR-HIFRSBDPSA-N 0 1 288.347 0.755 20 30 CCEDMN C=CCN1CC[C@@H](N2CCO[C@@H](c3n[nH]c(C)n3)C2)C1=O ZINC000366898412 357252491 /nfs/dbraw/zinc/25/24/91/357252491.db2.gz VVXNGGVVXMQTKA-VXGBXAGGSA-N 0 1 291.355 0.273 20 30 CCEDMN C=CCN1CC(=O)N([C@H]2CCc3nc[nH]c3C2)C1=O ZINC000368117089 357323604 /nfs/dbraw/zinc/32/36/04/357323604.db2.gz WMNUPLKCWMKBFC-VIFPVBQESA-N 0 1 260.297 0.717 20 30 CCEDMN COC[C@H](C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610565581 363581163 /nfs/dbraw/zinc/58/11/63/363581163.db2.gz ZKQKYHQABOHROY-MRVPVSSYSA-N 0 1 251.290 0.118 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H](OC)CC1 ZINC000374692671 357401588 /nfs/dbraw/zinc/40/15/88/357401588.db2.gz IBVPMTRSDBMCBX-NSHDSACASA-N 0 1 269.345 0.499 20 30 CCEDMN CNC(=O)CCN(C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000346248954 283302609 /nfs/dbraw/zinc/30/26/09/283302609.db2.gz VUFOIYVEDQOUBE-SNVBAGLBSA-N 0 1 266.345 0.005 20 30 CCEDMN CN(CCOCC1CC1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610674787 363596154 /nfs/dbraw/zinc/59/61/54/363596154.db2.gz QRXUIQVNXNJDKP-UHFFFAOYSA-N 0 1 277.328 0.604 20 30 CCEDMN C=CC(C)(C)CN=c1[nH]c2c(c(=O)[nH]c(=O)n2C)n1C ZINC000450723764 358257853 /nfs/dbraw/zinc/25/78/53/358257853.db2.gz KDMFHKGWMRKNSO-UHFFFAOYSA-N 0 1 277.328 0.419 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]C[C@@H]2CCC[C@H]([NH3+])C2)o1 ZINC000570058501 358819140 /nfs/dbraw/zinc/81/91/40/358819140.db2.gz WNNKCXYDVSXHJC-ZJUUUORDSA-N 0 1 283.353 0.947 20 30 CCEDMN N#Cc1ccc(NCCN2C[C@H]3CC[C@@H](C2)O3)nc1 ZINC000570789059 358893552 /nfs/dbraw/zinc/89/35/52/358893552.db2.gz BFIAIVCZVUPTAZ-BETUJISGSA-N 0 1 258.325 0.650 20 30 CCEDMN CN(CC(=O)NCC1(C#N)CC1)[C@@H]1CCSC1 ZINC000571962167 358926466 /nfs/dbraw/zinc/92/64/66/358926466.db2.gz JTZMAZDXXVXBCV-SNVBAGLBSA-N 0 1 253.371 0.844 20 30 CCEDMN COC(=O)[C@]1(C)CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000574159196 359033693 /nfs/dbraw/zinc/03/36/93/359033693.db2.gz CFLHVUMEDCSKGE-OAHLLOKOSA-N 0 1 299.334 0.986 20 30 CCEDMN C[C@H](O)C[N@H+]1CCN(c2cc(C#N)ccn2)C[C@@H]1C ZINC000585706783 359265940 /nfs/dbraw/zinc/26/59/40/359265940.db2.gz GFUAMBVUMORPOQ-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@H](O)CN1CCN(c2cc(C#N)ccn2)C[C@@H]1C ZINC000585706783 359265945 /nfs/dbraw/zinc/26/59/45/359265945.db2.gz GFUAMBVUMORPOQ-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN Cc1noc(C(C)(C)NC(=O)N=c2[nH]n(C)cc2C#N)n1 ZINC000585798076 359277425 /nfs/dbraw/zinc/27/74/25/359277425.db2.gz MFAISPRQGSOBHJ-UHFFFAOYSA-N 0 1 289.299 0.462 20 30 CCEDMN C[C@H]1COCC[C@H]1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000588259041 359319446 /nfs/dbraw/zinc/31/94/46/359319446.db2.gz VJQDVBUYBVGIIS-UWVGGRQHSA-N 0 1 277.328 0.508 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCOCC(F)(F)C2)[nH]1 ZINC000589002131 359355803 /nfs/dbraw/zinc/35/58/03/359355803.db2.gz NVEZWEWCTCOVCJ-UHFFFAOYSA-N 0 1 285.254 0.213 20 30 CCEDMN CC[C@H](CO)N1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000610994205 363645731 /nfs/dbraw/zinc/64/57/31/363645731.db2.gz VAHCJLRFCNAZFN-OAHLLOKOSA-N 0 1 274.368 0.842 20 30 CCEDMN N#Cc1cccc(C(=O)NCCCCN2CCOCC2)n1 ZINC000589315189 359377698 /nfs/dbraw/zinc/37/76/98/359377698.db2.gz AMJIGAWJDXGIDJ-UHFFFAOYSA-N 0 1 288.351 0.795 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCCc2nccs2)[nH]1 ZINC000589332436 359379258 /nfs/dbraw/zinc/37/92/58/359379258.db2.gz FHIJROLYRDLZDF-UHFFFAOYSA-N 0 1 290.352 0.924 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@H](O)C23CCCC3)[nH]1 ZINC000590121414 359425187 /nfs/dbraw/zinc/42/51/87/359425187.db2.gz KGNJZYZBVOJTKG-NSHDSACASA-N 0 1 289.339 0.625 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000590355418 359443292 /nfs/dbraw/zinc/44/32/92/359443292.db2.gz WZFWILZXZCDJHT-HNNXBMFYSA-N 0 1 298.390 0.986 20 30 CCEDMN Cc1cc(C#N)nc(N2C[C@@H](C)N(CCO)[C@@H](C)C2)n1 ZINC000590722780 359511818 /nfs/dbraw/zinc/51/18/18/359511818.db2.gz KNIFZFKTYBTEGL-TXEJJXNPSA-N 0 1 275.356 0.548 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CC[C@H](c2n[nH]c(C)n2)C1 ZINC000591053816 359549448 /nfs/dbraw/zinc/54/94/48/359549448.db2.gz RZDNANIAWZXSKW-UWVGGRQHSA-N 0 1 250.302 0.630 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CC[C@H](c2nnc(C)[nH]2)C1 ZINC000591053816 359549450 /nfs/dbraw/zinc/54/94/50/359549450.db2.gz RZDNANIAWZXSKW-UWVGGRQHSA-N 0 1 250.302 0.630 20 30 CCEDMN CN1CCO[C@@H]2CN(c3c(C#N)cccc3[N+](=O)[O-])C[C@@H]21 ZINC000591825669 359662815 /nfs/dbraw/zinc/66/28/15/359662815.db2.gz GAYDTVIISSERJY-QWHCGFSZSA-N 0 1 288.307 0.986 20 30 CCEDMN C[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)c1cn[nH]c1 ZINC000611140975 363664409 /nfs/dbraw/zinc/66/44/09/363664409.db2.gz QCZJPSLXMKSWTJ-SSDOTTSWSA-N 0 1 259.273 0.319 20 30 CCEDMN C=CCCOCC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000592405788 359832980 /nfs/dbraw/zinc/83/29/80/359832980.db2.gz KYCMLNKDJSKRHZ-UONOGXRCSA-N 0 1 282.384 0.637 20 30 CCEDMN C[C@H](CO)CN1CCN(c2ccc(C#N)cn2)CC1 ZINC000611235532 363690313 /nfs/dbraw/zinc/69/03/13/363690313.db2.gz KXRCOEWNHONQPI-LBPRGKRZSA-N 0 1 260.341 0.704 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2O[C@H](C)C[C@H]2C)[nH]n1C ZINC000592649245 359909555 /nfs/dbraw/zinc/90/95/55/359909555.db2.gz LYVIPVOUWSDFSK-SOCHQFKDSA-N 0 1 262.313 0.774 20 30 CCEDMN C[C@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000611283190 363700867 /nfs/dbraw/zinc/70/08/67/363700867.db2.gz LIUXTFNANAVGDR-WCBMZHEXSA-N 0 1 263.301 0.403 20 30 CCEDMN C=CCCO[C@H](C)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297862236 200294349 /nfs/dbraw/zinc/29/43/49/200294349.db2.gz YRWPCCISTJYZTD-MRVPVSSYSA-N 0 1 293.327 0.617 20 30 CCEDMN Cc1nnc(SCC(=O)N2CC[C@@](O)(CC#N)C2)[nH]1 ZINC000592843346 359951137 /nfs/dbraw/zinc/95/11/37/359951137.db2.gz CWXOAUJCRINBBF-NSHDSACASA-N 0 1 281.341 0.082 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CC[C@@](O)(CC#N)C2)n1 ZINC000592843346 359951140 /nfs/dbraw/zinc/95/11/40/359951140.db2.gz CWXOAUJCRINBBF-NSHDSACASA-N 0 1 281.341 0.082 20 30 CCEDMN N#Cc1ccc([C@H](NCC[C@@]2(O)CCOC2)C(N)=O)cc1 ZINC000593182699 360050487 /nfs/dbraw/zinc/05/04/87/360050487.db2.gz ARKIUXPJSZGLFQ-DZGCQCFKSA-N 0 1 289.335 0.216 20 30 CCEDMN COC1(C)CCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000611388805 363712191 /nfs/dbraw/zinc/71/21/91/363712191.db2.gz GPKSYPBYYUWVIT-UHFFFAOYSA-N 0 1 277.328 0.746 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN1CC2(CC2(F)F)C1 ZINC000594570252 360331578 /nfs/dbraw/zinc/33/15/78/360331578.db2.gz KEULCUSSLGAYPF-SNVBAGLBSA-N 0 1 259.300 0.534 20 30 CCEDMN C[C@@H](O)CN(Cc1cnc2c(C#N)cnn2c1)C1CC1 ZINC000594617034 360341177 /nfs/dbraw/zinc/34/11/77/360341177.db2.gz ZKJXZUZUWGIIPO-SNVBAGLBSA-N 0 1 271.324 0.946 20 30 CCEDMN N#CCCCC[C@H]([NH3+])C(=O)NC1(c2nn[n-]n2)CCCC1 ZINC000594447032 360314527 /nfs/dbraw/zinc/31/45/27/360314527.db2.gz RUSUDWUNXWILDU-JTQLQIEISA-N 0 1 291.359 0.496 20 30 CCEDMN N#Cc1ccc(C2(NC(=O)CNC(=O)CN)CCC2)cc1 ZINC000594450099 360315412 /nfs/dbraw/zinc/31/54/12/360315412.db2.gz FLQVNNCXGDLDHL-UHFFFAOYSA-N 0 1 286.335 0.129 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)Nc1ccc(C#N)c(N)n1 ZINC000594550137 360329082 /nfs/dbraw/zinc/32/90/82/360329082.db2.gz IWEVPYPPYUNWIB-NSHDSACASA-N 0 1 274.372 0.005 20 30 CCEDMN C[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@H]1CCCOC1 ZINC000611557546 363738239 /nfs/dbraw/zinc/73/82/39/363738239.db2.gz XAVIVPYIKMWUER-UWVGGRQHSA-N 0 1 277.328 0.650 20 30 CCEDMN COCCN(CCc1ccc(C#N)cc1)[C@H](C)C(N)=O ZINC000595318061 360494305 /nfs/dbraw/zinc/49/43/05/360494305.db2.gz OIBVLILWQYTJJO-GFCCVEGCSA-N 0 1 275.352 0.923 20 30 CCEDMN C=C(C)CN(C)[C@H]1CCN(CCC(=O)OC)C1=O ZINC000595420900 360525377 /nfs/dbraw/zinc/52/53/77/360525377.db2.gz AJYAKUUNIBQABE-NSHDSACASA-N 0 1 254.330 0.658 20 30 CCEDMN CCc1nc([C@@H](C)N2CCN(CCC#N)CC2)n[nH]1 ZINC000595391386 360515999 /nfs/dbraw/zinc/51/59/99/360515999.db2.gz JPNYERLLSXEZRL-LLVKDONJSA-N 0 1 262.361 0.959 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](Cn2ccnn2)C1 ZINC000595609412 360595411 /nfs/dbraw/zinc/59/54/11/360595411.db2.gz YZODUVMIJULBLO-CYBMUJFWSA-N 0 1 290.371 0.362 20 30 CCEDMN C[C@H](O)[C@H]1CCCN1CC(=O)NC1(C#N)CCC1 ZINC000595766217 360645981 /nfs/dbraw/zinc/64/59/81/360645981.db2.gz NDEQLARBGOXQCD-WDEREUQCSA-N 0 1 251.330 0.394 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](C)C(O)[C@@H](C)C1 ZINC000595741838 360636403 /nfs/dbraw/zinc/63/64/03/360636403.db2.gz DOYRKCBJVJVJRM-AGIUHOORSA-N 0 1 281.400 0.943 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)N1CCC[C@@H](C(=O)OCC)C1 ZINC000595863411 360683522 /nfs/dbraw/zinc/68/35/22/360683522.db2.gz GANYWKBLOAZCBQ-YNEHKIRRSA-N 0 1 298.383 0.313 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)NC(=O)NC(C)(C)C ZINC000595864170 360684652 /nfs/dbraw/zinc/68/46/52/360684652.db2.gz VHZXCMZRVHQVMU-DTWKUNHWSA-N 0 1 257.334 0.136 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](C(=O)OC)[C@@H](C)C2)C1=O ZINC000595819231 360666448 /nfs/dbraw/zinc/66/64/48/360666448.db2.gz DNQAMWQXGROQRD-YNEHKIRRSA-N 0 1 280.368 0.904 20 30 CCEDMN Cc1nc([C@@H]2CCN(c3ccc(C#N)nn3)C2)n[nH]1 ZINC000596274235 360863321 /nfs/dbraw/zinc/86/33/21/360863321.db2.gz PEYTUNVVAPEBLH-SECBINFHSA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@@H]2CCN(c3ccc(C#N)nn3)C2)[nH]1 ZINC000596274235 360863324 /nfs/dbraw/zinc/86/33/24/360863324.db2.gz PEYTUNVVAPEBLH-SECBINFHSA-N 0 1 255.285 0.769 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000596893577 360975678 /nfs/dbraw/zinc/97/56/78/360975678.db2.gz JHBQQDNCNGJPPI-MRVPVSSYSA-N 0 1 297.336 0.271 20 30 CCEDMN N#C[C@]1(NC(=O)[C@H]2CCCc3n[nH]nc32)CCSC1 ZINC000597036244 360998226 /nfs/dbraw/zinc/99/82/26/360998226.db2.gz OWJQCCNVOZMWHL-QPUJVOFHSA-N 0 1 277.353 0.740 20 30 CCEDMN CO[C@H]1CC[C@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000597165403 361020872 /nfs/dbraw/zinc/02/08/72/361020872.db2.gz IGHMJZVTHIIDNG-RYUDHWBXSA-N 0 1 265.357 0.906 20 30 CCEDMN CN(Cc1cnc2c(C#N)cnn2c1)C1(CO)CC1 ZINC000597252843 361042116 /nfs/dbraw/zinc/04/21/16/361042116.db2.gz AERPBPMDOFORBT-UHFFFAOYSA-N 0 1 257.297 0.558 20 30 CCEDMN CC(C)(C#N)CNC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000611636997 363753249 /nfs/dbraw/zinc/75/32/49/363753249.db2.gz OPZXLYKOYGFXHY-QWHCGFSZSA-N 0 1 294.399 0.777 20 30 CCEDMN Cc1nc([C@H]2CN(C[C@@H](O)CC(C)(C)C#N)CCO2)n[nH]1 ZINC000598591990 361452512 /nfs/dbraw/zinc/45/25/12/361452512.db2.gz DICMPCVVOSKNHL-NWDGAFQWSA-N 0 1 293.371 0.787 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCC[C@H](S(N)(=O)=O)C1 ZINC000598599650 361455230 /nfs/dbraw/zinc/45/52/30/361455230.db2.gz KTKUMERTVURMTM-QWRGUYRKSA-N 0 1 289.401 0.040 20 30 CCEDMN N#Cc1ccc(CNCC[N@H+]2CC[C@@H](O)C2)c(F)c1 ZINC000599010153 361553954 /nfs/dbraw/zinc/55/39/54/361553954.db2.gz QKIHZGYGHPSPPZ-CYBMUJFWSA-N 0 1 263.316 0.854 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCO[C@H](C#N)C2)C1 ZINC000598933869 361533374 /nfs/dbraw/zinc/53/33/74/361533374.db2.gz KVRKGAYAJGAUIP-YUSALJHKSA-N 0 1 274.324 0.827 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCN(CCOC)[C@@H](CCC)C1 ZINC000613159654 364135764 /nfs/dbraw/zinc/13/57/64/364135764.db2.gz IERYQIQPGHLMIO-ZFWWWQNUSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)Cc1n[nH]c(C)n1 ZINC000614372328 364587881 /nfs/dbraw/zinc/58/78/81/364587881.db2.gz HWUCGNXGOMQAAI-ZWNOBZJWSA-N 0 1 264.329 0.753 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000614473257 364620513 /nfs/dbraw/zinc/62/05/13/364620513.db2.gz HSGSRPDNTOGDES-QMMMGPOBSA-N 0 1 253.258 0.028 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)N1CCNC[C@@H]1C(C)C ZINC000627427650 368995887 /nfs/dbraw/zinc/99/58/87/368995887.db2.gz KMIYUHFMIIHLRP-CYBMUJFWSA-N 0 1 297.399 0.152 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1cc(C#N)n(C)c1 ZINC000276592470 193392857 /nfs/dbraw/zinc/39/28/57/193392857.db2.gz DRPWETVYHKSNLB-UHFFFAOYSA-N 0 1 267.354 0.587 20 30 CCEDMN C=C(C)C[NH+]1CCC(NC(=O)CCc2nn[n-]n2)CC1 ZINC000630941806 370333923 /nfs/dbraw/zinc/33/39/23/370333923.db2.gz FTHFROWOIRTQLT-UHFFFAOYSA-N 0 1 278.360 0.289 20 30 CCEDMN C=CCN(CCc1ccco1)C(=O)CCc1nn[nH]n1 ZINC000632337890 370993478 /nfs/dbraw/zinc/99/34/78/370993478.db2.gz ZIFMMJUYOGLAGN-UHFFFAOYSA-N 0 1 275.312 0.983 20 30 CCEDMN N#Cc1ccc(OCC(=O)NCCN2CCC2)cc1 ZINC000337327521 214011438 /nfs/dbraw/zinc/01/14/38/214011438.db2.gz XLXALBJXPCTQSF-UHFFFAOYSA-N 0 1 259.309 0.759 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)CCCc1nn[nH]n1 ZINC000635890053 373059181 /nfs/dbraw/zinc/05/91/81/373059181.db2.gz PYZXRNIGNRYDIS-MFKMUULPSA-N 0 1 279.344 0.620 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)NCCN1CC[C@@H](O)C1 ZINC000637118225 373939169 /nfs/dbraw/zinc/93/91/69/373939169.db2.gz ITWQUELFTRTFAP-VXGBXAGGSA-N 0 1 255.362 0.707 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCC[C@@H]2CCO2)CC1 ZINC000637880300 374444153 /nfs/dbraw/zinc/44/41/53/374444153.db2.gz IFRZLADUFXVWQZ-CQSZACIVSA-N 0 1 281.400 0.475 20 30 CCEDMN C=CCOCC[N@H+]1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639820928 376029958 /nfs/dbraw/zinc/02/99/58/376029958.db2.gz FJLLHQRADWDDEX-JTQLQIEISA-N 0 1 252.318 0.864 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N(CC)CC(F)(F)F ZINC000639865005 376093019 /nfs/dbraw/zinc/09/30/19/376093019.db2.gz MPURASHHUOZNMH-MRVPVSSYSA-N 0 1 254.252 0.534 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)n1cc([C@@H](N)CO)nn1 ZINC000640921533 376616747 /nfs/dbraw/zinc/61/67/47/376616747.db2.gz UQXXQVAJOOEZCI-MNOVXSKESA-N 0 1 279.344 0.032 20 30 CCEDMN N#Cc1ccc(CN(CCO)CCOCCO)cc1F ZINC000459779278 249061503 /nfs/dbraw/zinc/06/15/03/249061503.db2.gz FNGABRJHGHTZDF-UHFFFAOYSA-N 0 1 282.315 0.501 20 30 CCEDMN C[C@@H]1CN(c2cncc(C#N)n2)CCN1CC(C)(C)O ZINC000515052281 249116871 /nfs/dbraw/zinc/11/68/71/249116871.db2.gz HJQJZGWPGYONBQ-LLVKDONJSA-N 0 1 275.356 0.630 20 30 CCEDMN N#Cc1cccc(NC(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3)c1 ZINC000516552535 249168431 /nfs/dbraw/zinc/16/84/31/249168431.db2.gz LNLKGRBVBHMIDL-OKILXGFUSA-N 0 1 298.346 0.850 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](OC)C2)CC1 ZINC000171115293 335055700 /nfs/dbraw/zinc/05/57/00/335055700.db2.gz XYRLBVGZWXWSDD-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)C[C@H](C)OC ZINC000285862286 388806141 /nfs/dbraw/zinc/80/61/41/388806141.db2.gz XQGSOLTZIHOBQY-VHSXEESVSA-N 0 1 257.334 0.353 20 30 CCEDMN O=C(NCC#CCO)[C@@H]1CCC[N@H+]1Cc1ccccc1 ZINC000283018638 388760623 /nfs/dbraw/zinc/76/06/23/388760623.db2.gz XFBSWKDQIVGDQN-HNNXBMFYSA-N 0 1 272.348 0.763 20 30 CCEDMN O=C(NCC#CCO)[C@@H]1CCCN1Cc1ccccc1 ZINC000283018638 388760626 /nfs/dbraw/zinc/76/06/26/388760626.db2.gz XFBSWKDQIVGDQN-HNNXBMFYSA-N 0 1 272.348 0.763 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000185097781 388786955 /nfs/dbraw/zinc/78/69/55/388786955.db2.gz NGXFWLMBISBGMR-CYBMUJFWSA-N 0 1 281.400 0.821 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@]23CCOC3=O)CC1 ZINC000186534444 388839814 /nfs/dbraw/zinc/83/98/14/388839814.db2.gz WTRXGRGFMOIZLT-INIZCTEOSA-N 0 1 290.363 0.640 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1[C@@H](CO)CC[C@@H]1CO ZINC000287390832 388851468 /nfs/dbraw/zinc/85/14/68/388851468.db2.gz NLDGUKQEPLROLG-CHWSQXEVSA-N 0 1 268.357 0.005 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCO[C@@H](c2n[nH]c(CC)n2)C1 ZINC000290875533 388914152 /nfs/dbraw/zinc/91/41/52/388914152.db2.gz PRVOFTXBLZVRMG-QMTHXVAHSA-N 0 1 294.355 0.594 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1c[nH]c2nccnc12 ZINC000290895167 388914579 /nfs/dbraw/zinc/91/45/79/388914579.db2.gz NHBKVORRYUNZNH-UHFFFAOYSA-N 0 1 280.353 0.648 20 30 CCEDMN CN(C(=O)CN1CC[C@H](CO)C1)C1(C#N)CCCCC1 ZINC000051887648 388927267 /nfs/dbraw/zinc/92/72/67/388927267.db2.gz SLEBKULZLHIPBQ-ZDUSSCGKSA-N 0 1 279.384 0.985 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2ncccc2C#N)CC1 ZINC000292693837 388954268 /nfs/dbraw/zinc/95/42/68/388954268.db2.gz ARNNWGLBAHSHJR-UHFFFAOYSA-N 0 1 297.362 0.215 20 30 CCEDMN CC#CCN(C)CCN1C(=O)NC2(CCCC2)C1=O ZINC000292986349 388974429 /nfs/dbraw/zinc/97/44/29/388974429.db2.gz BSJOTDZFIMXQLO-UHFFFAOYSA-N 0 1 263.341 0.806 20 30 CCEDMN C#CCN(C)CCCNC(=O)CCC(=O)c1cnn(C)c1 ZINC000293965188 388990784 /nfs/dbraw/zinc/99/07/84/388990784.db2.gz LNXJPFWLOFUEJG-UHFFFAOYSA-N 0 1 290.367 0.454 20 30 CCEDMN COCCN1CCCN(CCCCC#N)CC1=O ZINC000190805431 388999129 /nfs/dbraw/zinc/99/91/29/388999129.db2.gz VWCBSPURNSDFRD-UHFFFAOYSA-N 0 1 253.346 0.861 20 30 CCEDMN C[C@H]1CCN(CC(=O)N(CCC#N)CCC#N)[C@@H]1CO ZINC000189727694 388970679 /nfs/dbraw/zinc/97/06/79/388970679.db2.gz PXIKALRZMSQYIL-QWHCGFSZSA-N 0 1 278.356 0.345 20 30 CCEDMN C=C(C)COCCNC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000354335334 389020671 /nfs/dbraw/zinc/02/06/71/389020671.db2.gz HOBXNYPGBXAOOG-UONOGXRCSA-N 0 1 299.415 0.676 20 30 CCEDMN CC(C)N(CCOCCO)CC(=O)N[C@](C)(C#N)C(C)C ZINC000336846121 389026676 /nfs/dbraw/zinc/02/66/76/389026676.db2.gz JWQUWPYTBNKBGY-OAHLLOKOSA-N 0 1 299.415 0.760 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(C)=O)C1=O ZINC000337180565 389049943 /nfs/dbraw/zinc/04/99/43/389049943.db2.gz MFTVHTFEKXKCAN-LBPRGKRZSA-N 0 1 253.346 0.231 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N1CCN(C)CC[C@H]1C ZINC000337967964 389071700 /nfs/dbraw/zinc/07/17/00/389071700.db2.gz UNUQPRNTEUWIME-VXGBXAGGSA-N 0 1 288.417 0.491 20 30 CCEDMN N#Cc1cccc(N2CCN(Cc3cnc[nH]3)CC2)n1 ZINC000355457328 389080896 /nfs/dbraw/zinc/08/08/96/389080896.db2.gz PKRKENJLVDBBKQ-UHFFFAOYSA-N 0 1 268.324 0.999 20 30 CCEDMN C[C@@H](O)C1CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000304018454 389081180 /nfs/dbraw/zinc/08/11/80/389081180.db2.gz CYRVOTJHPMSFES-VXGBXAGGSA-N 0 1 267.373 0.840 20 30 CCEDMN C=CCNC(=O)CN1CCC2(CC1)[C@H](O)C[C@H]2OCC ZINC000304377348 389082949 /nfs/dbraw/zinc/08/29/49/389082949.db2.gz RBZMLSMFJGCHOS-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CCOCC ZINC000093315292 389035805 /nfs/dbraw/zinc/03/58/05/389035805.db2.gz NTFDLTTYYNBLHP-JTQLQIEISA-N 0 1 257.334 0.355 20 30 CCEDMN C[C@@H](CC#N)N(C)C[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000093697257 389038218 /nfs/dbraw/zinc/03/82/18/389038218.db2.gz CSJVFECTUKTZNW-RYUDHWBXSA-N 0 1 273.402 0.892 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CCCN([C@H](C)CO)CC1 ZINC000355147162 389043559 /nfs/dbraw/zinc/04/35/59/389043559.db2.gz IFADMWHYXHKDKR-OCCSQVGLSA-N 0 1 267.373 0.841 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1[nH]nc2ccccc21 ZINC000120673659 389144596 /nfs/dbraw/zinc/14/45/96/389144596.db2.gz KWPIVNHBNGSMPN-UHFFFAOYSA-N 0 1 272.264 0.702 20 30 CCEDMN CCN1CCC[C@H](NC(=O)c2cnn(C)c2C#N)C1 ZINC000355665707 389108958 /nfs/dbraw/zinc/10/89/58/389108958.db2.gz VVSPOFVADZLHEN-JTQLQIEISA-N 0 1 261.329 0.506 20 30 CCEDMN C=C(C)COCCNC(=O)CN1CCN(CC)CC1 ZINC000355674114 389110332 /nfs/dbraw/zinc/11/03/32/389110332.db2.gz BMXBRBCYIOSBHK-UHFFFAOYSA-N 0 1 269.389 0.333 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cc(C(F)(F)F)[nH]n2)CCO1 ZINC000339276062 389129651 /nfs/dbraw/zinc/12/96/51/389129651.db2.gz ZEUSNPTUYASQRH-ZCFIWIBFSA-N 0 1 274.202 0.793 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCCN1CCN(C)CC1)OCC ZINC000339440381 389133285 /nfs/dbraw/zinc/13/32/85/389133285.db2.gz RLVZKYLBXJYWHI-AWEZNQCLSA-N 0 1 283.416 0.721 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H](O)CC1 ZINC000339776154 389139933 /nfs/dbraw/zinc/13/99/33/389139933.db2.gz LGJCYAZJCHTYHO-CHWSQXEVSA-N 0 1 267.373 0.841 20 30 CCEDMN CNC(=O)c1cccnc1NC[C@@H]1CN(C)CCN1C ZINC000329635870 389180667 /nfs/dbraw/zinc/18/06/67/389180667.db2.gz USHLUMMKRFEPQB-LLVKDONJSA-N 0 1 277.372 0.674 20 30 CCEDMN C=CCn1c(=N)[n-]nc1SCC[N@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000359773503 389183430 /nfs/dbraw/zinc/18/34/30/389183430.db2.gz PJLCMGRUCUMWMK-PHIMTYICSA-N 0 1 295.412 0.832 20 30 CCEDMN C=CCn1c(=N)[n-]nc1SCC[N@@H+]1C[C@H]2CC[C@@H](C1)O2 ZINC000359773503 389183432 /nfs/dbraw/zinc/18/34/32/389183432.db2.gz PJLCMGRUCUMWMK-PHIMTYICSA-N 0 1 295.412 0.832 20 30 CCEDMN Cc1nnc2n1C[C@H](CNC([O-])=[NH+]C[C@H]1CCCO1)CC2 ZINC000329669524 389185882 /nfs/dbraw/zinc/18/58/82/389185882.db2.gz SNXWGMPUNIQYNJ-NWDGAFQWSA-N 0 1 293.371 0.832 20 30 CCEDMN Cc1nnc2n1C[C@H](C[NH+]=C([O-])NC[C@H]1CCCO1)CC2 ZINC000329669524 389185884 /nfs/dbraw/zinc/18/58/84/389185884.db2.gz SNXWGMPUNIQYNJ-NWDGAFQWSA-N 0 1 293.371 0.832 20 30 CCEDMN [O-]C(N[C@H]1CCc2c[nH]nc2C1)=[NH+]C[C@@H]1CCCO1 ZINC000329841287 389205824 /nfs/dbraw/zinc/20/58/24/389205824.db2.gz OLCSXJGJPGSADM-QWRGUYRKSA-N 0 1 264.329 0.950 20 30 CCEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCC(CO)CC1 ZINC000329725769 389192894 /nfs/dbraw/zinc/19/28/94/389192894.db2.gz GJBBRTZWUOENJU-LLVKDONJSA-N 0 1 278.356 0.885 20 30 CCEDMN CN(C([O-])=[NH+][C@@H]1CCc2[nH]cnc2C1)C1CC(O)C1 ZINC000329731235 389193421 /nfs/dbraw/zinc/19/34/21/389193421.db2.gz ICSIVRUHEXYPPV-XNWIYYODSA-N 0 1 264.329 0.636 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000360249572 389193964 /nfs/dbraw/zinc/19/39/64/389193964.db2.gz MHCLWQDXRGNUJS-SNVBAGLBSA-N 0 1 283.357 0.467 20 30 CCEDMN CC1(C[NH+]=C([O-])N[C@H]2CCc3c[nH]nc3C2)COC1 ZINC000329846948 389206333 /nfs/dbraw/zinc/20/63/33/389206333.db2.gz QYLGTEXMTVJTJC-JTQLQIEISA-N 0 1 264.329 0.807 20 30 CCEDMN C=CCOC[C@H](NC(=O)Cc1cc(C)n[nH]1)C(=O)OC ZINC000360739883 389203146 /nfs/dbraw/zinc/20/31/46/389203146.db2.gz UGCKZRSHLKAUEN-NSHDSACASA-N 0 1 281.312 0.121 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(C(=O)N[C@H]2CCCOC2)C1 ZINC000329129881 389151680 /nfs/dbraw/zinc/15/16/80/389151680.db2.gz OBZCYCMAGTXVEL-AXFHLTTASA-N 0 1 295.343 0.660 20 30 CCEDMN CN1CC[C@@H]2CCN(C(=O)N[C@@H]3CC[S@](=O)C3)[C@@H]2C1 ZINC000329304549 389156345 /nfs/dbraw/zinc/15/63/45/389156345.db2.gz XXWAAOHURMFETM-UWNFWVIDSA-N 0 1 285.413 0.447 20 30 CCEDMN C=C(CC)CN[C@@H]1CS(=O)(=O)C[C@@H]1N1CCOCC1 ZINC000358621286 389156554 /nfs/dbraw/zinc/15/65/54/389156554.db2.gz UMUUVZYWPJFRFB-OLZOCXBDSA-N 0 1 288.413 0.040 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@@H]1CC(=O)N(C)C1 ZINC000329332016 389157399 /nfs/dbraw/zinc/15/73/99/389157399.db2.gz MSSTVCDGLAAGHK-GHMZBOCLSA-N 0 1 269.345 0.142 20 30 CCEDMN CC(C)N1C[C@@H](NC(=O)[C@H](C)CN2CCOCC2)CC1=O ZINC000329334167 389157506 /nfs/dbraw/zinc/15/75/06/389157506.db2.gz NWFVJEOOSCVVOV-OLZOCXBDSA-N 0 1 297.399 0.921 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CCOCC3(CC3)C2)C1 ZINC000329345709 389158378 /nfs/dbraw/zinc/15/83/78/389158378.db2.gz UUJGPMFGXAKFAH-ZDUSSCGKSA-N 0 1 297.399 0.734 20 30 CCEDMN CN1CCC(NS(=O)(=O)c2ccc(C#N)s2)CC1 ZINC000230334886 389159237 /nfs/dbraw/zinc/15/92/37/389159237.db2.gz JDNYWPYOIADPRO-UHFFFAOYSA-N 0 1 285.394 0.992 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCc2cccnc2)C1 ZINC000329366721 389159497 /nfs/dbraw/zinc/15/94/97/389159497.db2.gz KOGQFNQHMPVPBX-ZDUSSCGKSA-N 0 1 277.372 0.331 20 30 CCEDMN CN(C1CCN(C)CC1)S(=O)(=O)c1cccnc1C#N ZINC000234328682 389163854 /nfs/dbraw/zinc/16/38/54/389163854.db2.gz AIGNFWVCSHTQTK-UHFFFAOYSA-N 0 1 294.380 0.668 20 30 CCEDMN N#Cc1ncn(CC(=O)NCCN2CC=CCC2)n1 ZINC000329438967 389164379 /nfs/dbraw/zinc/16/43/79/389164379.db2.gz JOODDWKMKVQYNC-UHFFFAOYSA-N 0 1 260.301 0.368 20 30 CCEDMN C[C@H](C(=O)NC[C@@H]1CCCO1)N1CCn2c(n[nH]c2=O)C1 ZINC000329633290 389179292 /nfs/dbraw/zinc/17/92/92/389179292.db2.gz WOVBFMCZZLSFOF-ZJUUUORDSA-N 0 1 295.343 0.323 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N(C)[C@H](C)CC#N ZINC000330587047 389244352 /nfs/dbraw/zinc/24/43/52/389244352.db2.gz VLZJIXRNGLXRAR-VXGBXAGGSA-N 0 1 253.346 0.715 20 30 CCEDMN C=CCNC(=O)CN1CCS[C@@H]2COCC[C@@H]21 ZINC000135519828 389258051 /nfs/dbraw/zinc/25/80/51/389258051.db2.gz WCAFKAFAOLZHAL-WDEREUQCSA-N 0 1 256.371 0.495 20 30 CCEDMN C[C@@H]1CCN(C)CCN1C(=O)C(=O)NCCCCC#N ZINC000343160800 389259436 /nfs/dbraw/zinc/25/94/36/389259436.db2.gz MXZMEZPIEMZENM-GFCCVEGCSA-N 0 1 280.372 0.349 20 30 CCEDMN CCN1CCC[C@@H]1CNS(=O)(=O)N(C)[C@@H](C)CC#N ZINC000330402548 389224990 /nfs/dbraw/zinc/22/49/90/389224990.db2.gz BKIFSTHVKWSKQI-NWDGAFQWSA-N 0 1 288.417 0.539 20 30 CCEDMN CCC(=O)N[C@@H]1CCCN(C(=O)NCCn2cncn2)C1 ZINC000330431630 389228661 /nfs/dbraw/zinc/22/86/61/389228661.db2.gz NOHHGWQGSIQCQF-LLVKDONJSA-N 0 1 294.359 0.183 20 30 CCEDMN C[C@H](C#N)C(=O)NCc1ccnc(N2CCN(C)CC2)c1 ZINC000345821019 389315818 /nfs/dbraw/zinc/31/58/18/389315818.db2.gz VGVDDCDPUSZDSM-GFCCVEGCSA-N 0 1 287.367 0.609 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000346338827 389326895 /nfs/dbraw/zinc/32/68/95/389326895.db2.gz OUQOGGVXGWWBHE-ZBFHGGJFSA-N 0 1 296.411 0.884 20 30 CCEDMN CCN(CCC(=O)NCC(=O)OC)C[C@@H](C)C#N ZINC000346343421 389327984 /nfs/dbraw/zinc/32/79/84/389327984.db2.gz IATFARFDUYVKGV-JTQLQIEISA-N 0 1 255.318 0.147 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCC[C@H](CC(N)=O)C1 ZINC000346417854 389328735 /nfs/dbraw/zinc/32/87/35/389328735.db2.gz VYOAREOJQJVRFV-VXGBXAGGSA-N 0 1 292.383 0.525 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](OCCOCC)C1 ZINC000157608339 389332848 /nfs/dbraw/zinc/33/28/48/389332848.db2.gz HEHZVMWEYWBCPN-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CCN(C)CC[C@H]1C ZINC000410477780 389382197 /nfs/dbraw/zinc/38/21/97/389382197.db2.gz WCUBEPLZWZZACN-CYBMUJFWSA-N 0 1 281.400 0.964 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](O)CC[C@@H]1C ZINC000421012095 389348094 /nfs/dbraw/zinc/34/80/94/389348094.db2.gz JICVFYLWJHIKDV-AGIUHOORSA-N 0 1 267.373 0.840 20 30 CCEDMN CC#CCN1CCCN(CC(=O)N2CCCC2)CC1 ZINC000158931576 389354498 /nfs/dbraw/zinc/35/44/98/389354498.db2.gz BUSVKBIJBMHRMD-UHFFFAOYSA-N 0 1 263.385 0.640 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)CCCCO ZINC000349923639 389461228 /nfs/dbraw/zinc/46/12/28/389461228.db2.gz YKMMUTMGQSYHGS-GFCCVEGCSA-N 0 1 255.362 0.699 20 30 CCEDMN CN(CCCCO)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000349934283 389462769 /nfs/dbraw/zinc/46/27/69/389462769.db2.gz KAMRGSJHKFMFAT-ZDUSSCGKSA-N 0 1 253.346 0.499 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@](O)(C3CC3)C2)CC1 ZINC000373358946 389476975 /nfs/dbraw/zinc/47/69/75/389476975.db2.gz MNVZKFXPTZSRND-INIZCTEOSA-N 0 1 276.380 0.705 20 30 CCEDMN C#CCCN1CCN(CCn2ccc([N+](=O)[O-])n2)CC1 ZINC000272030834 389458350 /nfs/dbraw/zinc/45/83/50/389458350.db2.gz PCYULHWVBVZOMY-UHFFFAOYSA-N 0 1 277.328 0.432 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)CCCCO ZINC000349918204 389460186 /nfs/dbraw/zinc/46/01/86/389460186.db2.gz CKROKGBMGTWWCM-CYBMUJFWSA-N 0 1 255.362 0.745 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ncccc2C#N)[C@H](C)C1 ZINC000275382668 389510007 /nfs/dbraw/zinc/51/00/07/389510007.db2.gz PIHSMTIBADDCCU-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2cc(C)nc(C#N)c2)C1 ZINC000413495344 389521520 /nfs/dbraw/zinc/52/15/20/389521520.db2.gz IXCYBFQNAWXOGF-LBPRGKRZSA-N 0 1 288.351 0.803 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000335019874 389528264 /nfs/dbraw/zinc/52/82/64/389528264.db2.gz WIFCUPAUIHVRGS-TXEJJXNPSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2CCN[C@@H](C)C2)CC1 ZINC000335190473 389542007 /nfs/dbraw/zinc/54/20/07/389542007.db2.gz FYQCXIBVEDJQOJ-NSHDSACASA-N 0 1 265.357 0.231 20 30 CCEDMN C[C@H](O)CN1CCN(c2cccc(C#N)n2)[C@@H](C)C1 ZINC000277828737 389557609 /nfs/dbraw/zinc/55/76/09/389557609.db2.gz QYZGYVDNGMXUIZ-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H]1C[C@@]1(C#N)C(=O)N[C@@H](CO)CN1CCCCC1 ZINC000413797470 389559213 /nfs/dbraw/zinc/55/92/13/389559213.db2.gz ISVFDQLJHUULHY-BZPMIXESSA-N 0 1 265.357 0.499 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCOCC(F)F)C1=O ZINC000281226724 389610925 /nfs/dbraw/zinc/61/09/25/389610925.db2.gz FDVYQUPUAAVWNC-SNVBAGLBSA-N 0 1 262.300 0.987 20 30 CCEDMN CN(C)CCN(C1CC1)S(=O)(=O)c1cncc(C#N)c1 ZINC000414461663 389617405 /nfs/dbraw/zinc/61/74/05/389617405.db2.gz LFXZHDMXEXFDJK-UHFFFAOYSA-N 0 1 294.380 0.668 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](CC)N(C)C)C1=O ZINC000281727046 389623972 /nfs/dbraw/zinc/62/39/72/389623972.db2.gz NTVGKEPKARTGTN-NWDGAFQWSA-N 0 1 267.373 0.572 20 30 CCEDMN C=C(C)C[NH+]1CCC(NC(=O)N2CC[NH+](C)CC2)CC1 ZINC000336345552 389633309 /nfs/dbraw/zinc/63/33/09/389633309.db2.gz ZVOBNAYAJAMPMX-UHFFFAOYSA-N 0 1 280.416 0.984 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000425319898 389644485 /nfs/dbraw/zinc/64/44/85/389644485.db2.gz KIDUWNNVXKBMCK-SSDOTTSWSA-N 0 1 250.258 0.641 20 30 CCEDMN CC#CCN1CCC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC000375163089 389644711 /nfs/dbraw/zinc/64/47/11/389644711.db2.gz YCQGEYDVVIDXNU-NSHDSACASA-N 0 1 262.313 0.725 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(C(=O)CCCC#N)C1 ZINC000413890809 389576037 /nfs/dbraw/zinc/57/60/37/389576037.db2.gz DVIGWMWYUPIAKH-NSHDSACASA-N 0 1 267.329 0.243 20 30 CCEDMN CC(C)[C@@H](CNC(=O)NC[C@H](C)C#N)N1CCN(C)CC1 ZINC000182415488 389707901 /nfs/dbraw/zinc/70/79/01/389707901.db2.gz APRGJUVRJCRAPI-ZIAGYGMSSA-N 0 1 295.431 0.717 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)N[C@@H]2CC[C@H](C#N)C2)[C@H](C)C1 ZINC000425860557 389658420 /nfs/dbraw/zinc/65/84/20/389658420.db2.gz KMPYXWFSQVLMOX-ZOBORPQBSA-N 0 1 294.399 0.775 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000179586088 389660592 /nfs/dbraw/zinc/66/05/92/389660592.db2.gz LPAHJYHTWULOCY-LBPRGKRZSA-N 0 1 283.291 0.836 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CCc1ccncc1 ZINC000181477724 389687054 /nfs/dbraw/zinc/68/70/54/389687054.db2.gz ZJOXBJUAOWVTCR-LBPRGKRZSA-N 0 1 290.367 0.956 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@@]2(CCCOC2)C1 ZINC000375631610 389695318 /nfs/dbraw/zinc/69/53/18/389695318.db2.gz QISYGRKJLRFXNM-HNNXBMFYSA-N 0 1 295.383 0.630 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H]([C@@H](C)O)C1 ZINC000183197719 389727924 /nfs/dbraw/zinc/72/79/24/389727924.db2.gz VWSLPHCXVZNCRO-UPJWGTAASA-N 0 1 267.373 0.697 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(CCN(C)C2=O)CC1 ZINC000376042197 389728400 /nfs/dbraw/zinc/72/84/00/389728400.db2.gz CJRKWHCVOQZFSY-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)NCC(C)(C)C#N ZINC000353053036 389845191 /nfs/dbraw/zinc/84/51/91/389845191.db2.gz YEGPYSIPOKUTKY-LBPRGKRZSA-N 0 1 281.404 0.471 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)NCC(C)(C)C#N ZINC000419481134 389892489 /nfs/dbraw/zinc/89/24/89/389892489.db2.gz QXSMGNDWXGFJMG-NWDGAFQWSA-N 0 1 282.388 0.633 20 30 CCEDMN CN(CCCN1C(=O)CNC1=O)CC(C)(C)C#N ZINC000433301652 389908297 /nfs/dbraw/zinc/90/82/97/389908297.db2.gz HARGFWMKXQNYDV-UHFFFAOYSA-N 0 1 252.318 0.410 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@@H]1CCCN1CCOC ZINC000435430048 389956985 /nfs/dbraw/zinc/95/69/85/389956985.db2.gz XPYCHOJYTWBHJW-LBPRGKRZSA-N 0 1 276.402 0.593 20 30 CCEDMN C=CCN1C(=O)N=NC1SCc1nccc(N)n1 ZINC000439267458 390017809 /nfs/dbraw/zinc/01/78/09/390017809.db2.gz RZHPFPLNFKTFDJ-UHFFFAOYSA-N 0 1 264.314 0.665 20 30 CCEDMN C[C@H](NS(=O)(=O)c1ccc(C#N)c(F)c1)c1nnc[nH]1 ZINC000489671012 390101842 /nfs/dbraw/zinc/10/18/42/390101842.db2.gz YPIBLOKNFPSHBU-ZETCQYMHSA-N 0 1 295.299 0.855 20 30 CCEDMN COc1cc(C)cnc1C(=O)N=c1[nH]n(C)cc1C#N ZINC000489883907 390102551 /nfs/dbraw/zinc/10/25/51/390102551.db2.gz JCZFNNREGPVMET-UHFFFAOYSA-N 0 1 271.280 0.678 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@H](C)CC[S@@](C)=O)CC1 ZINC000491461079 390113654 /nfs/dbraw/zinc/11/36/54/390113654.db2.gz CCUAUURZHBHRIX-CWTRNNRKSA-N 0 1 284.425 0.605 20 30 CCEDMN C=CCCCS(=O)(=O)N1CC[C@@H]2CN(C)C[C@@H]21 ZINC000644298210 390186885 /nfs/dbraw/zinc/18/68/85/390186885.db2.gz FIPRBDZZJKLEAK-NEPJUHHUSA-N 0 1 258.387 0.918 20 30 CCEDMN C[C@@H]1CN(Cc2cnc3c(C#N)cnn3c2)CC[C@@H]1O ZINC000579008470 390135317 /nfs/dbraw/zinc/13/53/17/390135317.db2.gz XVBJWTLHZGUKCJ-MFKMUULPSA-N 0 1 271.324 0.804 20 30 CCEDMN CN(CCCO)CC(=O)NCc1ccc(C#N)cc1 ZINC000281573742 195294431 /nfs/dbraw/zinc/29/44/31/195294431.db2.gz DMAPCBCAINBODW-UHFFFAOYSA-N 0 1 261.325 0.489 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@H]1CN(C)C(=O)O1 ZINC000495660243 390244250 /nfs/dbraw/zinc/24/42/50/390244250.db2.gz XNPZOARJGMTKNJ-SSDOTTSWSA-N 0 1 270.314 0.712 20 30 CCEDMN C=CCn1cc(CNC[C@@H](O)CCOCC)nn1 ZINC000644675542 390283564 /nfs/dbraw/zinc/28/35/64/390283564.db2.gz UTKYJRWLTOYKKJ-LBPRGKRZSA-N 0 1 254.334 0.341 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](c3nnc[nH]3)C2)C1=O ZINC000644954265 390354357 /nfs/dbraw/zinc/35/43/57/390354357.db2.gz STKIGAVPERRVGP-QWRGUYRKSA-N 0 1 261.329 0.381 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000597619585 390371668 /nfs/dbraw/zinc/37/16/68/390371668.db2.gz HWNOICXHWNKJLW-CHWSQXEVSA-N 0 1 265.357 0.859 20 30 CCEDMN CCOC(=O)[C@@H](Cc1ccncc1)NC(=O)C(C)C#N ZINC000616173875 390391056 /nfs/dbraw/zinc/39/10/56/390391056.db2.gz VBAUYIXXWXFZEF-ZYHUDNBSSA-N 0 1 275.308 0.832 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC([C@H]2NC(=O)C2(C)C)CC1 ZINC000562477466 390489860 /nfs/dbraw/zinc/48/98/60/390489860.db2.gz AQFAYCBZNPRSKR-WCQYABFASA-N 0 1 293.411 0.914 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NC(C)(C)c1nn[nH]n1 ZINC000564843455 390497253 /nfs/dbraw/zinc/49/72/53/390497253.db2.gz YHXYWSDTJWVLLU-BDAKNGLRSA-N 0 1 265.317 0.532 20 30 CCEDMN C=CCSc1ccccc1NC(=O)CNC(CO)CO ZINC000515178591 390472879 /nfs/dbraw/zinc/47/28/79/390472879.db2.gz VGLPKUHQADQJOV-UHFFFAOYSA-N 0 1 296.392 0.846 20 30 CCEDMN C=C[C@H](O)C(=O)NCCN1CCc2ccccc2C1 ZINC000646642696 390702365 /nfs/dbraw/zinc/70/23/65/390702365.db2.gz HYVCFKWZOPIXGH-AWEZNQCLSA-N 0 1 260.337 0.708 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2C[C@@H](C(=O)[O-])CC[C@@H]2C)C1=O ZINC000567733851 390711179 /nfs/dbraw/zinc/71/11/79/390711179.db2.gz VZJFVFMYEGYEME-SRVKXCTJSA-N 0 1 266.341 0.958 20 30 CCEDMN C=C[C@@H](O)C(=O)NC[C@@H](c1cccc(OC)c1)N(C)C ZINC000646573530 390696220 /nfs/dbraw/zinc/69/62/20/390696220.db2.gz IQJTWBTWXWDHFZ-UONOGXRCSA-N 0 1 278.352 0.961 20 30 CCEDMN C[C@]1(CO)CCCN1Cc1cnc2c(C#N)cnn2c1 ZINC000572159623 390770749 /nfs/dbraw/zinc/77/07/49/390770749.db2.gz VNAYAJXLHOFUPS-CQSZACIVSA-N 0 1 271.324 0.948 20 30 CCEDMN CC[C@]1(O)CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000572405551 390781917 /nfs/dbraw/zinc/78/19/17/390781917.db2.gz WOBMDHPPBZOIJQ-AWEZNQCLSA-N 0 1 271.324 0.948 20 30 CCEDMN C[C@@H]1COCCN1CCNC[C@H](C#N)CCC#N ZINC000572511522 390787293 /nfs/dbraw/zinc/78/72/93/390787293.db2.gz CPMFGJWZPQCRDZ-OLZOCXBDSA-N 0 1 250.346 0.740 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CC2(C#N)CC2)C[C@]2(CCOC2)O1 ZINC000528484589 390749082 /nfs/dbraw/zinc/74/90/82/390749082.db2.gz ISJGVLBQNYLOIP-IPYPFGDCSA-N 0 1 280.368 0.921 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H](C)Cc1cnc[nH]1)C(=O)OC ZINC000578667216 390897647 /nfs/dbraw/zinc/89/76/47/390897647.db2.gz JVRMWLCQEQZAFU-JQWIXIFHSA-N 0 1 295.339 0.449 20 30 CCEDMN Cc1[nH]nc(C(=O)N(CCC#N)CCC#N)c1[N+](=O)[O-] ZINC000354696430 533658058 /nfs/dbraw/zinc/65/80/58/533658058.db2.gz CCAGQHRVKNOVNA-UHFFFAOYSA-N 0 1 276.256 0.896 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](CNC(=O)CCC)C1 ZINC000245392168 131084888 /nfs/dbraw/zinc/08/48/88/131084888.db2.gz SODUSLLZEUVJNV-KGLIPLIRSA-N 0 1 293.411 0.753 20 30 CCEDMN Cc1nc([C@H](C)NS(=O)(=O)N(C)C[C@H](C)C#N)n[nH]1 ZINC000352538714 397466109 /nfs/dbraw/zinc/46/61/09/397466109.db2.gz NUENDBCCNPDHQI-SFYZADRCSA-N 0 1 286.361 0.100 20 30 CCEDMN C[C@H]1[C@H](C)N(C(=O)CNc2ccc(C#N)cn2)CCN1C ZINC000356851431 144038294 /nfs/dbraw/zinc/03/82/94/144038294.db2.gz MPUFKPMHCIFNDA-RYUDHWBXSA-N 0 1 287.367 0.338 20 30 CCEDMN C=CCOCCCC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000652901518 412154831 /nfs/dbraw/zinc/15/48/31/412154831.db2.gz DTSXXDOCBGNFKD-UHFFFAOYSA-N 0 1 293.371 0.432 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H]2CCC[C@@H](O)C2)CC1 ZINC000659811367 413881937 /nfs/dbraw/zinc/88/19/37/413881937.db2.gz RSOQCZJZUOCADU-LSDHHAIUSA-N 0 1 295.427 0.457 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](COC)OC)C1=O ZINC000660463382 414339007 /nfs/dbraw/zinc/33/90/07/414339007.db2.gz BYCFZUOEIUTYJW-NEPJUHHUSA-N 0 1 256.346 0.367 20 30 CCEDMN CC#CCN1CCC(NC(=O)N2CCOCC2)CC1 ZINC000660859384 414512634 /nfs/dbraw/zinc/51/26/34/414512634.db2.gz IMUVTUZSYGRFRS-UHFFFAOYSA-N 0 1 265.357 0.516 20 30 CCEDMN C=CCN(Cc1cc(=O)n(C)c(=O)n1C)[C@@H](C)COC ZINC000661242167 414584131 /nfs/dbraw/zinc/58/41/31/414584131.db2.gz HVFCDAWDCLLLMW-NSHDSACASA-N 0 1 281.356 0.107 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1ccc(COCC)cc1 ZINC000662167058 414695243 /nfs/dbraw/zinc/69/52/43/414695243.db2.gz QJBWVWBOPPOATM-OAHLLOKOSA-N 0 1 292.379 0.976 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)NCCc1ccccc1 ZINC000662166158 414695270 /nfs/dbraw/zinc/69/52/70/414695270.db2.gz UADFJLAVVCEKFW-GXTWGEPZSA-N 0 1 262.353 0.870 20 30 CCEDMN C=CCN(C(=O)NC[C@@H]1CN(C)CCN1C)[C@@H](C)COC ZINC000664083185 415236506 /nfs/dbraw/zinc/23/65/06/415236506.db2.gz OQALDJMKHYHLDV-UONOGXRCSA-N 0 1 298.431 0.465 20 30 CCEDMN C=CCN(C(=O)NCCN1CCCOCC1)[C@@H](C)COC ZINC000664959399 415498591 /nfs/dbraw/zinc/49/85/91/415498591.db2.gz YFMRWXFXGQWEDQ-AWEZNQCLSA-N 0 1 299.415 0.941 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCCCc1nc[nH]n1 ZINC000665029838 415511348 /nfs/dbraw/zinc/51/13/48/415511348.db2.gz DGGDJFOORQTLEX-ZJUUUORDSA-N 0 1 265.317 0.380 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N=c1ccn(CCC#N)[nH]1 ZINC000347347934 226123269 /nfs/dbraw/zinc/12/32/69/226123269.db2.gz ZLWNHBRMMQEHLI-UHFFFAOYSA-N 0 1 273.300 0.572 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N(C)C[C@@H](C)C#N)C1 ZINC000349940923 226893078 /nfs/dbraw/zinc/89/30/78/226893078.db2.gz YHTIJKRLXJLMME-RYUDHWBXSA-N 0 1 268.361 0.508 20 30 CCEDMN O=C(NCCN1CCCOCC1)N[C@H]1CCSC1 ZINC000329980253 228005739 /nfs/dbraw/zinc/00/57/39/228005739.db2.gz KYZRTQWVYXNNQD-NSHDSACASA-N 0 1 273.402 0.718 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N1CCN(C[C@H]2CCOC2)CC1 ZINC000330026098 228020100 /nfs/dbraw/zinc/02/01/00/228020100.db2.gz LETCUDTUHYSJKW-MQYQWHSLSA-N 0 1 295.383 0.199 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000330026097 228020545 /nfs/dbraw/zinc/02/05/45/228020545.db2.gz LETCUDTUHYSJKW-IGQOVBAYSA-N 0 1 295.383 0.199 20 30 CCEDMN C[C@]1(O)CCN(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)C1 ZINC000330057668 228028271 /nfs/dbraw/zinc/02/82/71/228028271.db2.gz XUYPOHPIWXVWLT-MFKMUULPSA-N 0 1 264.329 0.569 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CCO[C@H](C3CC3)C2)C1 ZINC000330115658 228042613 /nfs/dbraw/zinc/04/26/13/228042613.db2.gz FUBMRTVCRRLMJJ-KBPBESRZSA-N 0 1 297.399 0.732 20 30 CCEDMN O=C(NCCn1ncnn1)[C@@H]1CCCN1C1CC1 ZINC000330207133 228065880 /nfs/dbraw/zinc/06/58/80/228065880.db2.gz ADNDBNQTTPNVGY-JTQLQIEISA-N 0 1 250.306 0.256 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)N2CCOC[C@@H]2c2nnc[nH]2)C1 ZINC000333596542 228206240 /nfs/dbraw/zinc/20/62/40/228206240.db2.gz PVLHSXSADGIOOM-HBNTYKKESA-N 0 1 290.327 0.580 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(CNC(=O)C2)CC1 ZINC000075013199 544462628 /nfs/dbraw/zinc/46/26/28/544462628.db2.gz CTWORXZARNSRGM-LLVKDONJSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)C[C@@H](O)C1CC1 ZINC000079769537 544475957 /nfs/dbraw/zinc/47/59/57/544475957.db2.gz ZRGKFXHMGFTAPW-CYBMUJFWSA-N 0 1 252.358 0.890 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC2CCOCC2)C1 ZINC000329491583 545023174 /nfs/dbraw/zinc/02/31/74/545023174.db2.gz VNULNPMHNSWSON-CYBMUJFWSA-N 0 1 284.404 0.162 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)NC1CCN(C)CC1 ZINC000407952560 260023404 /nfs/dbraw/zinc/02/34/04/260023404.db2.gz IFHZGKPDFBDZMS-SNVBAGLBSA-N 0 1 274.390 0.006 20 30 CCEDMN CN1CCN(CC(=O)N[C@](C)(C#N)C2CC2)C(C)(C)C1 ZINC000408052635 260198641 /nfs/dbraw/zinc/19/86/41/260198641.db2.gz CGSLIJSAABCWHY-OAHLLOKOSA-N 0 1 278.400 0.821 20 30 CCEDMN N#CCC(=O)N1CCN(CC2CCOCC2)CC1 ZINC000408316407 260784883 /nfs/dbraw/zinc/78/48/83/260784883.db2.gz BLSXVNHLINMCBT-UHFFFAOYSA-N 0 1 251.330 0.471 20 30 CCEDMN C=CCCCNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000448375954 261134014 /nfs/dbraw/zinc/13/40/14/261134014.db2.gz OKQWEJQRIIXVNM-UHFFFAOYSA-N 0 1 299.334 0.710 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@H](C)[C@H]1C(=O)[O-] ZINC000300294938 261190159 /nfs/dbraw/zinc/19/01/59/261190159.db2.gz MATYUBKRPLQLQE-ONGXEEELSA-N 0 1 283.328 0.183 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@H](C)[C@H]1C(=O)[O-] ZINC000300294938 261190161 /nfs/dbraw/zinc/19/01/61/261190161.db2.gz MATYUBKRPLQLQE-ONGXEEELSA-N 0 1 283.328 0.183 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H](C)NC(=O)OC ZINC000490901208 261242238 /nfs/dbraw/zinc/24/22/38/261242238.db2.gz ZMMGMQNZNVHLAQ-WDEREUQCSA-N 0 1 268.313 0.372 20 30 CCEDMN C#CCNC(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000103847885 261367994 /nfs/dbraw/zinc/36/79/94/261367994.db2.gz FGJQCYGMPOQNGI-UHFFFAOYSA-N 0 1 274.324 0.555 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CC(=O)N(CCC)C1 ZINC000491702201 261890369 /nfs/dbraw/zinc/89/03/69/261890369.db2.gz XYASZJCPQWPTKQ-KBPBESRZSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2nnc(C)o2)CC1 ZINC000491795812 262063425 /nfs/dbraw/zinc/06/34/25/262063425.db2.gz XLTYEJDNYRTLHO-UHFFFAOYSA-N 0 1 263.297 0.766 20 30 CCEDMN COC(=O)[C@@H](C)NC(=O)C(C#N)Cc1ccc(C#N)cc1 ZINC000280185432 263059674 /nfs/dbraw/zinc/05/96/74/263059674.db2.gz DCORGYUECLSUBP-ZWNOBZJWSA-N 0 1 285.303 0.918 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](N(C)CC(=O)OC(C)(C)C)C1 ZINC000340215762 263095899 /nfs/dbraw/zinc/09/58/99/263095899.db2.gz SSCWPVHZISRSRX-VXGBXAGGSA-N 0 1 270.373 0.491 20 30 CCEDMN CN(CC(=O)OC(C)(C)C)[C@H]1CN(CCCC#N)C[C@H]1O ZINC000340216555 263096589 /nfs/dbraw/zinc/09/65/89/263096589.db2.gz LIMKPOJXJFOTRR-QWHCGFSZSA-N 0 1 297.399 0.609 20 30 CCEDMN C=CCN(CCC#N)C(=O)[C@@H](C)CN1CCOCC1 ZINC000293629073 263145332 /nfs/dbraw/zinc/14/53/32/263145332.db2.gz CNYOLDAKCYPKDE-ZDUSSCGKSA-N 0 1 265.357 0.883 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](O)C[C@@H]1C ZINC000340920489 263315360 /nfs/dbraw/zinc/31/53/60/263315360.db2.gz XAYSEGBCNRDYRP-WOPDTQHZSA-N 0 1 253.346 0.450 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](CO)C1 ZINC000304286656 263353339 /nfs/dbraw/zinc/35/33/39/263353339.db2.gz LQFXTXDOUCTXMK-LBPRGKRZSA-N 0 1 253.346 0.453 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000342033894 263385639 /nfs/dbraw/zinc/38/56/39/263385639.db2.gz BXIWVYFUUZDBOA-CHWSQXEVSA-N 0 1 253.390 0.951 20 30 CCEDMN Cc1nc(CN(C)C[C@H]2CCCN2C(=O)[C@@H](C)C#N)n[nH]1 ZINC000960498987 649854688 /nfs/dbraw/zinc/85/46/88/649854688.db2.gz DZFJAEDYWCUAIT-CMPLNLGQSA-N 0 1 290.371 0.696 20 30 CCEDMN Cc1nc(CN(C)C[C@@H]2CCCN2C(=O)[C@H](C)C#N)n[nH]1 ZINC000960498990 649854735 /nfs/dbraw/zinc/85/47/35/649854735.db2.gz DZFJAEDYWCUAIT-PWSUYJOCSA-N 0 1 290.371 0.696 20 30 CCEDMN CC(C)OC(=O)CC[NH+]=C([O-])N[C@@H]1CCc2ncnn2C1 ZINC000330715872 264022302 /nfs/dbraw/zinc/02/23/02/264022302.db2.gz TXLLUWNWPFHIEA-SNVBAGLBSA-N 0 1 295.343 0.438 20 30 CCEDMN CC(C)OC(=O)CCNC(=O)N[C@@H]1CCc2ncnn2C1 ZINC000330715872 264022304 /nfs/dbraw/zinc/02/23/04/264022304.db2.gz TXLLUWNWPFHIEA-SNVBAGLBSA-N 0 1 295.343 0.438 20 30 CCEDMN N#C[C@@H]1CCC[C@H]1NC[C@@H](O)Cn1cc([N+](=O)[O-])cn1 ZINC000330846633 264067114 /nfs/dbraw/zinc/06/71/14/264067114.db2.gz OWOBHMVOCIVFHK-MVWJERBFSA-N 0 1 279.300 0.434 20 30 CCEDMN C[C@H](CNC(=O)CCCC#N)N1CCN(C)CC1 ZINC000330908317 264074771 /nfs/dbraw/zinc/07/47/71/264074771.db2.gz WQYUWDDTFHXKIX-GFCCVEGCSA-N 0 1 252.362 0.432 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(CCCc2nc(C)no2)C1 ZINC000330963469 264089893 /nfs/dbraw/zinc/08/98/93/264089893.db2.gz ZJVJXLHQQYWNEY-SNVBAGLBSA-N 0 1 267.333 0.518 20 30 CCEDMN CC(C)c1nc(CN(C)CCNC(=O)N(C)C)n[nH]1 ZINC000331143286 264136674 /nfs/dbraw/zinc/13/66/74/264136674.db2.gz HQQLFNFUWBXDKI-UHFFFAOYSA-N 0 1 268.365 0.836 20 30 CCEDMN COCC[C@H](C)NC(=O)N1CCOC[C@@H]1c1nnc[nH]1 ZINC000331227182 264150766 /nfs/dbraw/zinc/15/07/66/264150766.db2.gz DFVMASYCVINWBI-VHSXEESVSA-N 0 1 283.332 0.517 20 30 CCEDMN C=C(CSc1nc(N)cc(N)n1)C(=O)OCC ZINC000070380902 264235848 /nfs/dbraw/zinc/23/58/48/264235848.db2.gz FLHJNNOBWDBENM-UHFFFAOYSA-N 0 1 254.315 0.852 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(Cc2noc(C)n2)CC1 ZINC000128773246 264307716 /nfs/dbraw/zinc/30/77/16/264307716.db2.gz NDMUXNPFNJNGAW-CYBMUJFWSA-N 0 1 280.372 0.823 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN(C(C)(C)COC)CC1 ZINC000425503478 264364189 /nfs/dbraw/zinc/36/41/89/264364189.db2.gz JAUDGSQTBMMJTM-ZDUSSCGKSA-N 0 1 283.416 0.720 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H]2CN(C(=O)c3ccn[nH]3)C[C@@H]2C1 ZINC000961056475 649862826 /nfs/dbraw/zinc/86/28/26/649862826.db2.gz FUNADADEXXYDKQ-MXWKQRLJSA-N 0 1 287.323 0.100 20 30 CCEDMN C[C@@H]1CCNC(=O)[C@@H]1NCC(=O)NC1(C#N)CCCCC1 ZINC000193653472 264659245 /nfs/dbraw/zinc/65/92/45/264659245.db2.gz VLWJIXVUBJENRZ-DGCLKSJQSA-N 0 1 292.383 0.443 20 30 CCEDMN N#CC1(NC(=O)CN2C[C@@H]3CC[C@@H](O)[C@@H]3C2)CCC1 ZINC000525886642 265036395 /nfs/dbraw/zinc/03/63/95/265036395.db2.gz KJPYYBWINYLIAW-QJPTWQEYSA-N 0 1 263.341 0.252 20 30 CCEDMN C=C[C@H](CO)NC(=O)c1n[nH]nc1-c1ccccc1 ZINC000356860671 266196487 /nfs/dbraw/zinc/19/64/87/266196487.db2.gz MHVMFUHIMFWIGP-SNVBAGLBSA-N 0 1 258.281 0.748 20 30 CCEDMN N#CCc1cccc(C(=O)NC2(c3nn[nH]n3)CC2)c1 ZINC000357071726 266241333 /nfs/dbraw/zinc/24/13/33/266241333.db2.gz FUGOBMPAWICKOK-UHFFFAOYSA-N 0 1 268.280 0.685 20 30 CCEDMN CC#CCCN1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000369310796 267235600 /nfs/dbraw/zinc/23/56/00/267235600.db2.gz BNENHDIEFQYSRO-CYBMUJFWSA-N 0 1 270.398 0.900 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)N1CCN[C@H](C)C1 ZINC000418128432 267358233 /nfs/dbraw/zinc/35/82/33/267358233.db2.gz BMAPZGMJLJIFBT-AGIUHOORSA-N 0 1 267.373 0.971 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000371174815 267361125 /nfs/dbraw/zinc/36/11/25/267361125.db2.gz DUQGNORQUDAWRH-ZDUSSCGKSA-N 0 1 250.342 0.723 20 30 CCEDMN CCN1CCN([C@@H]2CCN(c3cnc(C#N)cn3)C2)CC1 ZINC000330007799 276199130 /nfs/dbraw/zinc/19/91/30/276199130.db2.gz DWOCQUVXSMHNAT-CQSZACIVSA-N 0 1 286.383 0.564 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCC[C@H]2C(N)=O)CC1 ZINC000299139640 276783233 /nfs/dbraw/zinc/78/32/33/276783233.db2.gz IEVUCSURNXSYGQ-ZDUSSCGKSA-N 0 1 277.368 0.198 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2ccc(C#N)cc2)C1 ZINC000068808268 277102935 /nfs/dbraw/zinc/10/29/35/277102935.db2.gz FYOTXLBGEREWLZ-CQSZACIVSA-N 0 1 272.352 0.534 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NC[C@@H]2CCCCO2)C[C@@H]1C ZINC000329782016 278289794 /nfs/dbraw/zinc/28/97/94/278289794.db2.gz NPJFPDATCXNCBG-IHRRRGAJSA-N 0 1 299.415 0.856 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C3CCC3)CC2)C1=O ZINC000337176032 327950162 /nfs/dbraw/zinc/95/01/62/327950162.db2.gz JBIFRBKLJITFSU-AWEZNQCLSA-N 0 1 263.385 0.943 20 30 CCEDMN COC(C)(C)CN(C)CC(=O)N(CCC#N)CCC#N ZINC000531587793 328016802 /nfs/dbraw/zinc/01/68/02/328016802.db2.gz AIMBOXCTFQIXBO-UHFFFAOYSA-N 0 1 280.372 0.999 20 30 CCEDMN CC[C@@H](CC#N)NC[C@@H](O)COC1CCOCC1 ZINC000571175221 328090671 /nfs/dbraw/zinc/09/06/71/328090671.db2.gz AYMAWROBFFZKSS-NWDGAFQWSA-N 0 1 256.346 0.825 20 30 CCEDMN CC[C@@H](O)CN1CCN(c2cccnc2C#N)CC1 ZINC000584145124 327048910 /nfs/dbraw/zinc/04/89/10/327048910.db2.gz HMOLVIYEMAPKQK-GFCCVEGCSA-N 0 1 260.341 0.846 20 30 CCEDMN CCn1ccnc1C(=O)NC1(C#N)CCN(C)CC1 ZINC000558931938 327133034 /nfs/dbraw/zinc/13/30/34/327133034.db2.gz CPDKBLFSWDGEHT-UHFFFAOYSA-N 0 1 261.329 0.621 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)C1(C#N)CC(C)C1 ZINC000532618276 328791476 /nfs/dbraw/zinc/79/14/76/328791476.db2.gz KFBVJVSAMDPUPW-JVWICGRDSA-N 0 1 278.400 0.678 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCCOC(C)(C)C1 ZINC000377695682 329025363 /nfs/dbraw/zinc/02/53/63/329025363.db2.gz GBWRHRGIRFSVAY-LLVKDONJSA-N 0 1 283.372 0.888 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCO[C@H](C)C2)CC1 ZINC000171253648 329073490 /nfs/dbraw/zinc/07/34/90/329073490.db2.gz VUEMUEXDHWYIOL-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN CS(=O)(=O)N[C@H]1CCN(CCCSCC#N)C1 ZINC000173895783 329153367 /nfs/dbraw/zinc/15/33/67/329153367.db2.gz ZIQMGECQPDYMTH-JTQLQIEISA-N 0 1 277.415 0.257 20 30 CCEDMN CNC(=O)[C@H](C)C[N@@H+](C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000176371008 329174884 /nfs/dbraw/zinc/17/48/84/329174884.db2.gz SQJKMOKKDAIAMD-RISCZKNCSA-N 0 1 282.388 0.355 20 30 CCEDMN CNC(=O)[C@H](C)CN(C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000176371008 329174885 /nfs/dbraw/zinc/17/48/85/329174885.db2.gz SQJKMOKKDAIAMD-RISCZKNCSA-N 0 1 282.388 0.355 20 30 CCEDMN CC(C)[NH2+]CCC[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000582856764 329281259 /nfs/dbraw/zinc/28/12/59/329281259.db2.gz FKRSJDFYVGTJQB-UHFFFAOYSA-N 0 1 271.342 0.818 20 30 CCEDMN N#Cc1nccc(N2CCC[C@@H](c3n[nH]c(=O)o3)C2)n1 ZINC000583035768 329306186 /nfs/dbraw/zinc/30/61/86/329306186.db2.gz XAXLGWLPIXRFHU-MRVPVSSYSA-N 0 1 272.268 0.821 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N(C)Cc1cnc[nH]1 ZINC000583215802 329371153 /nfs/dbraw/zinc/37/11/53/329371153.db2.gz YYAHLFQNLSMIOM-UHFFFAOYSA-N 0 1 264.329 0.793 20 30 CCEDMN C=CCNC(=O)CN1CCC(CC(=O)OCC)CC1 ZINC000130264026 329623887 /nfs/dbraw/zinc/62/38/87/329623887.db2.gz JYJPTNMULBIRCK-UHFFFAOYSA-N 0 1 268.357 0.954 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@@]23CCOC3)CC1 ZINC000194392575 329686105 /nfs/dbraw/zinc/68/61/05/329686105.db2.gz RLLSPLZAKQATRT-INIZCTEOSA-N 0 1 292.379 0.350 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)C(=O)NCC(C)(C)CC#N)CCCN1C ZINC000422424778 330146344 /nfs/dbraw/zinc/14/63/44/330146344.db2.gz KUWMYMBTLSMPHJ-VXGBXAGGSA-N 0 1 294.399 0.641 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N1CCN[C@H](C)C1 ZINC000422990447 330162917 /nfs/dbraw/zinc/16/29/17/330162917.db2.gz CAIDOCHYFFLHPA-LLVKDONJSA-N 0 1 251.330 0.007 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1 ZINC000423000208 330163714 /nfs/dbraw/zinc/16/37/14/330163714.db2.gz YYFQKNUOLDPXDC-RYUDHWBXSA-N 0 1 267.373 0.620 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1C(=O)C(=O)N1CCC(C)(C#N)CC1 ZINC000423633073 330213262 /nfs/dbraw/zinc/21/32/62/330213262.db2.gz OYFFHJYRUZMIQI-NWDGAFQWSA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@@H]1COCCN1CCNC[C@@H](C#N)CCC#N ZINC000572511521 330842512 /nfs/dbraw/zinc/84/25/12/330842512.db2.gz CPMFGJWZPQCRDZ-CHWSQXEVSA-N 0 1 250.346 0.740 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@@H](C(=O)OCC)C2(COC2)C1 ZINC000451139601 331017112 /nfs/dbraw/zinc/01/71/12/331017112.db2.gz SFSCDBGLPNHOFB-NEPJUHHUSA-N 0 1 296.367 0.189 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CC[C@@](CO)(COC)C1 ZINC000451922627 331047348 /nfs/dbraw/zinc/04/73/48/331047348.db2.gz GGKGPBLRUZNIBS-OAHLLOKOSA-N 0 1 284.400 0.742 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@@H]1COC[C@H]1OCC ZINC000451946131 331047907 /nfs/dbraw/zinc/04/79/07/331047907.db2.gz YKOGOGTZVJNBRQ-MGPQQGTHSA-N 0 1 282.384 0.969 20 30 CCEDMN CCO[C@@H]1COC[C@H]1NCC(=O)N[C@@](C)(C#N)C(C)C ZINC000451944626 331047927 /nfs/dbraw/zinc/04/79/27/331047927.db2.gz PZOYOCNXVZKEIE-BZPMIXESSA-N 0 1 283.372 0.434 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC[C@](O)(CO)C1 ZINC000459646068 331291942 /nfs/dbraw/zinc/29/19/42/331291942.db2.gz HVBFJRJIHFPKMS-UKRRQHHQSA-N 0 1 282.384 0.395 20 30 CCEDMN C=CCCCS(=O)(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000489199763 332043050 /nfs/dbraw/zinc/04/30/50/332043050.db2.gz KIKLSRHDSBPOOR-CYBMUJFWSA-N 0 1 289.445 0.508 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](c2nccn2C)C1 ZINC000490891780 332125332 /nfs/dbraw/zinc/12/53/32/332125332.db2.gz HFQVMJROLBHHDG-VXGBXAGGSA-N 0 1 260.341 0.347 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)C1CCN(C(C)=O)CC1 ZINC000490898213 332127233 /nfs/dbraw/zinc/12/72/33/332127233.db2.gz INDLZEQICHOCJY-OAHLLOKOSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCNC(=O)c1cccc(C(=O)NCC#C)c1O ZINC000490925022 332130017 /nfs/dbraw/zinc/13/00/17/332130017.db2.gz SWWFHBYQJFNFFC-UHFFFAOYSA-N 0 1 256.261 0.118 20 30 CCEDMN C#CCNC(=O)CCN1CCN(C(=O)C2CC2)CC1(C)C ZINC000491068815 332145491 /nfs/dbraw/zinc/14/54/91/332145491.db2.gz RLXINUXVUAOXLD-UHFFFAOYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(CC#CC)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000491099671 332149615 /nfs/dbraw/zinc/14/96/15/332149615.db2.gz CKKDBEBHRRQXLB-CYBMUJFWSA-N 0 1 268.382 0.226 20 30 CCEDMN C#CCNC(=O)[C@H](C)ON=C(N)CN1[C@H](C)CCC[C@@H]1C ZINC000491210142 332166871 /nfs/dbraw/zinc/16/68/71/332166871.db2.gz QLOKDTGWGSSRIR-XQQFMLRXSA-N 0 1 294.399 0.886 20 30 CCEDMN C#CCN(C(=O)NC[C@@H]1CN(C)CCN1C)C1CC1 ZINC000491230066 332170483 /nfs/dbraw/zinc/17/04/83/332170483.db2.gz KMQKMSNKJNYKGL-CYBMUJFWSA-N 0 1 264.373 0.039 20 30 CCEDMN C#CCN(C)CCCNC(=O)N1CC[S@](=O)[C@@H](C)[C@H]1C ZINC000491427850 332209699 /nfs/dbraw/zinc/20/96/99/332209699.db2.gz MJJXULKUEREWLS-UVARLRKDSA-N 0 1 299.440 0.492 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C(C)C)[C@@H]2CCOC2)CC1 ZINC000491615983 332243236 /nfs/dbraw/zinc/24/32/36/332243236.db2.gz HOUJAVHDMPKTSM-OAHLLOKOSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@](C)(COC)C2)C1=O ZINC000491642319 332261486 /nfs/dbraw/zinc/26/14/86/332261486.db2.gz BDHNYBJAXFZLJA-FZMZJTMJSA-N 0 1 264.325 0.106 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1cccc(C(C)=O)c1 ZINC000491680576 332284103 /nfs/dbraw/zinc/28/41/03/332284103.db2.gz IYYVSLKIRBAJIW-ZIAGYGMSSA-N 0 1 277.320 0.213 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)N=c1[nH]n(C)cc1C(=O)OCC ZINC000491769375 332336497 /nfs/dbraw/zinc/33/64/97/332336497.db2.gz NBWNKUUDTPEDSI-SECBINFHSA-N 0 1 278.312 0.504 20 30 CCEDMN C#CCNC(=O)CCNCc1ccncc1OCC(F)F ZINC000491778704 332343866 /nfs/dbraw/zinc/34/38/66/332343866.db2.gz IFGAYYNZUNHANQ-UHFFFAOYSA-N 0 1 297.305 0.955 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2ncncc2OC)CC1 ZINC000491779019 332344094 /nfs/dbraw/zinc/34/40/94/332344094.db2.gz ZCNITCCMLGZEKA-UHFFFAOYSA-N 0 1 274.324 0.769 20 30 CCEDMN C#C[C@@H](CC)NC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000491822109 332371153 /nfs/dbraw/zinc/37/11/53/332371153.db2.gz ZOZHQABVFCBTIP-NSHDSACASA-N 0 1 297.318 0.156 20 30 CCEDMN CN1CCC(C#N)(NC(=O)/C=C/c2cncc(O)c2)CC1 ZINC000492442398 332397594 /nfs/dbraw/zinc/39/75/94/332397594.db2.gz OQUANEDIVBMGSU-NSCUHMNNSA-N 0 1 286.335 0.905 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(CC)(CO)CC1 ZINC000305714012 332997414 /nfs/dbraw/zinc/99/74/14/332997414.db2.gz GJCHWQLBOOZXHA-LBPRGKRZSA-N 0 1 252.358 0.609 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C)C(C)(C)C2)C1=O ZINC000516875385 333085108 /nfs/dbraw/zinc/08/51/08/333085108.db2.gz NUBJMZXXTBUYAT-LBPRGKRZSA-N 0 1 251.374 0.799 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCO)CC(F)F)CC1 ZINC000194366412 333270097 /nfs/dbraw/zinc/27/00/97/333270097.db2.gz TUDIZMZUFVAOTK-UHFFFAOYSA-N 0 1 274.311 0.418 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](C(=O)NCCC)C1 ZINC000250486387 333305821 /nfs/dbraw/zinc/30/58/21/333305821.db2.gz LQUBCJODPDJTTD-CHWSQXEVSA-N 0 1 279.384 0.363 20 30 CCEDMN CCC[C@H](C#N)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000523280216 333313783 /nfs/dbraw/zinc/31/37/83/333313783.db2.gz LVTONUSHSNMSLI-SECBINFHSA-N 0 1 287.327 0.598 20 30 CCEDMN C[C@@H]1CCN(CCNS(=O)(=O)N(C)CCC#N)C1 ZINC000524790618 333388231 /nfs/dbraw/zinc/38/82/31/333388231.db2.gz CKRMOGVJOFJKEW-LLVKDONJSA-N 0 1 274.390 0.008 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)NC(C)(C)c1cnn(C)c1 ZINC000546001027 334011348 /nfs/dbraw/zinc/01/13/48/334011348.db2.gz NBCWXDWCVFZUBR-SNVBAGLBSA-N 0 1 293.371 0.645 20 30 CCEDMN Cc1nnc(SCC(=O)N[C@@]2(C#N)CCOC2)[nH]1 ZINC000548140580 334142214 /nfs/dbraw/zinc/14/22/14/334142214.db2.gz SHZAEQOXQSGCFZ-SNVBAGLBSA-N 0 1 267.314 0.004 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N[C@@]2(C#N)CCOC2)n1 ZINC000548140580 334142215 /nfs/dbraw/zinc/14/22/15/334142215.db2.gz SHZAEQOXQSGCFZ-SNVBAGLBSA-N 0 1 267.314 0.004 20 30 CCEDMN COC(=O)[C@H](C)[C@@H](NCC(=O)NCC#N)c1ccccc1 ZINC000079923019 334164275 /nfs/dbraw/zinc/16/42/75/334164275.db2.gz ZBRCKQMRKZMLIA-BXUZGUMPSA-N 0 1 289.335 0.766 20 30 CCEDMN N#Cc1ccncc1N1CCN(CCC2(O)CC2)CC1 ZINC000577042098 335288588 /nfs/dbraw/zinc/28/85/88/335288588.db2.gz YIFKINWEPNLPNH-UHFFFAOYSA-N 0 1 272.352 0.990 20 30 CCEDMN COc1cc(CNC(=O)N2CCNCC2)ccc1C#N ZINC000418199686 533842440 /nfs/dbraw/zinc/84/24/40/533842440.db2.gz OLVNVXJRNZKYNW-UHFFFAOYSA-N 0 1 274.324 0.682 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCCN1C(=O)CCC1=O ZINC000329073282 533845851 /nfs/dbraw/zinc/84/58/51/533845851.db2.gz OTEWXHGKKKKFDA-NSHDSACASA-N 0 1 297.355 0.060 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@@H]1CCCN1C1CC1 ZINC000331763797 526563760 /nfs/dbraw/zinc/56/37/60/526563760.db2.gz HMEVCVXIIIEOBT-NSHDSACASA-N 0 1 251.330 0.032 20 30 CCEDMN C=CCOCCCNC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000666514723 545124585 /nfs/dbraw/zinc/12/45/85/545124585.db2.gz GLOFNSIKIZMORZ-OKILXGFUSA-N 0 1 299.415 0.676 20 30 CCEDMN CC(C)(C#N)CC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000353442145 527456264 /nfs/dbraw/zinc/45/62/64/527456264.db2.gz IGFRTBHITUZSAY-NSHDSACASA-N 0 1 280.372 0.382 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@@H]1CCCN(C)C1 ZINC000668330262 545173688 /nfs/dbraw/zinc/17/36/88/545173688.db2.gz OGHWTUOLFGYDFI-CHWSQXEVSA-N 0 1 267.373 0.668 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCc1cc(C)[nH]n1 ZINC000668732882 545188991 /nfs/dbraw/zinc/18/89/91/545188991.db2.gz LNUNLJRTROACMG-LBPRGKRZSA-N 0 1 264.329 0.805 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000668921919 545195186 /nfs/dbraw/zinc/19/51/86/545195186.db2.gz LWZWWQXOJMXFOK-HNNXBMFYSA-N 0 1 282.384 0.494 20 30 CCEDMN C=CCn1c(-c2cc[nH]n2)nnc1N1CCN(CC)CC1 ZINC000669030245 545198493 /nfs/dbraw/zinc/19/84/93/545198493.db2.gz ZHNBGIBZFRLWGN-UHFFFAOYSA-N 0 1 287.371 0.996 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)C[C@@H](C)OC ZINC000353200138 528616402 /nfs/dbraw/zinc/61/64/02/528616402.db2.gz BCRZPJRYVMRJJL-NWDGAFQWSA-N 0 1 255.362 0.961 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)N(C)CCN(C)C ZINC000332528740 528705895 /nfs/dbraw/zinc/70/58/95/528705895.db2.gz WPXWIMDWVQDGKN-LLVKDONJSA-N 0 1 276.406 0.206 20 30 CCEDMN CC1(C#N)CN(S(=O)(=O)CCN2CCCC2)C1 ZINC000330159753 528846844 /nfs/dbraw/zinc/84/68/44/528846844.db2.gz QRFGJLQHVGUNKX-UHFFFAOYSA-N 0 1 257.359 0.257 20 30 CCEDMN CC[C@@H]1CN(C(=O)N[C@H]2[C@@H]3COC[C@@H]32)CCN1C[C@H](C)O ZINC000329994874 529346264 /nfs/dbraw/zinc/34/62/64/529346264.db2.gz MPCNFXQJMOXPCT-MEBFFEOJSA-N 0 1 297.399 0.322 20 30 CCEDMN C=CCNc1ncc(C(=O)NCc2c[nH]nn2)s1 ZINC000676339233 545341677 /nfs/dbraw/zinc/34/16/77/545341677.db2.gz AGOBBNSTLNBREG-UHFFFAOYSA-N 0 1 264.314 0.789 20 30 CCEDMN CCOC(=O)[C@@H]1NCC[C@@H]1NCc1cccc(C#N)c1 ZINC000422965019 535575047 /nfs/dbraw/zinc/57/50/47/535575047.db2.gz NJFDRIODEYIZNH-UONOGXRCSA-N 0 1 273.336 0.941 20 30 CCEDMN CNS(=O)(=O)c1cccc([C@@H](C)NCC#CCOC)c1 ZINC000678764589 545397156 /nfs/dbraw/zinc/39/71/56/545397156.db2.gz YYIRHSVCCAVSQF-GFCCVEGCSA-N 0 1 296.392 0.895 20 30 CCEDMN C=CCCOCCCCC(=O)NCc1nn[nH]n1 ZINC000678837952 545398690 /nfs/dbraw/zinc/39/86/90/545398690.db2.gz FCSSMRSDJIELEO-UHFFFAOYSA-N 0 1 253.306 0.579 20 30 CCEDMN CN(CCOCc1ccc(C#N)cc1)[C@H]1CCNC1=O ZINC000344154045 536397913 /nfs/dbraw/zinc/39/79/13/536397913.db2.gz VFEBTPHKSZKPOF-AWEZNQCLSA-N 0 1 273.336 0.895 20 30 CCEDMN C=C(C)[C@@H](CO)N1CC[C@H](NC(=O)NC2CC2)C1 ZINC000680637838 545434349 /nfs/dbraw/zinc/43/43/49/545434349.db2.gz TVRRXWJVALAAIS-NWDGAFQWSA-N 0 1 253.346 0.459 20 30 CCEDMN C=CCCOCC(=O)NC1(c2nnc[nH]2)CCC1 ZINC000684757475 545733884 /nfs/dbraw/zinc/73/38/84/545733884.db2.gz YJJKXVOOUSESQZ-UHFFFAOYSA-N 0 1 250.302 0.893 20 30 CCEDMN Cn1cc(C=NN=c2cc(Cl)[nH]c(N)n2)nn1 ZINC000778548359 581159426 /nfs/dbraw/zinc/15/94/26/581159426.db2.gz BDDHACXCGARVTA-UHFFFAOYSA-N 0 1 252.669 0.287 20 30 CCEDMN C[NH+](C)[C@H]1CCCC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)C1 ZINC000788430552 581110764 /nfs/dbraw/zinc/11/07/64/581110764.db2.gz XWRUFOOPISLPAJ-VIFPVBQESA-N 0 1 289.343 0.704 20 30 CCEDMN C=CC[C@H](CO)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777320636 581112815 /nfs/dbraw/zinc/11/28/15/581112815.db2.gz AOKGWNUZTWXEBE-SNVBAGLBSA-N 0 1 263.341 0.884 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC([C@H](O)c2ncc[nH]2)CC1 ZINC000788473797 581112996 /nfs/dbraw/zinc/11/29/96/581112996.db2.gz WSVGXWJOPNZNKF-YPMHNXCESA-N 0 1 292.383 0.846 20 30 CCEDMN C=CCN(C(=O)C(=O)NCc1cn[nH]c1C)C(C)C ZINC000788877642 581126404 /nfs/dbraw/zinc/12/64/04/581126404.db2.gz YJKIADAOIYWZJJ-UHFFFAOYSA-N 0 1 264.329 0.757 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000739416390 581133462 /nfs/dbraw/zinc/13/34/62/581133462.db2.gz ZWRKHBLCLNWEFF-LBPRGKRZSA-N 0 1 296.371 0.823 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000739416390 581133465 /nfs/dbraw/zinc/13/34/65/581133465.db2.gz ZWRKHBLCLNWEFF-LBPRGKRZSA-N 0 1 296.371 0.823 20 30 CCEDMN N#CC(C(=O)CN1CCOCC1=O)c1nc2ccccc2[nH]1 ZINC000790767679 581197463 /nfs/dbraw/zinc/19/74/63/581197463.db2.gz OASORQPAMLWGEX-JTQLQIEISA-N 0 1 298.302 0.598 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2ccc(N3CCOCC3)s2)N1 ZINC000779795451 581205638 /nfs/dbraw/zinc/20/56/38/581205638.db2.gz TVMQJTFOMBECFN-JTQLQIEISA-N 0 1 293.396 0.856 20 30 CCEDMN COCCOc1cccc(C=NNC2=NC[C@H](C)N2)c1 ZINC000779798700 581206137 /nfs/dbraw/zinc/20/61/37/581206137.db2.gz FDDOBAURMYCANZ-NSHDSACASA-N 0 1 276.340 0.983 20 30 CCEDMN C[C@@H]1CCCN1CCNS(=O)(=O)c1ccc(C#N)nc1 ZINC000780865663 581247101 /nfs/dbraw/zinc/24/71/01/581247101.db2.gz IAWGDYPCBUNNPG-LLVKDONJSA-N 0 1 294.380 0.716 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)[C@@H](O)c2ccc(C#N)cc2)n1 ZINC000780933621 581250364 /nfs/dbraw/zinc/25/03/64/581250364.db2.gz QCOGYUWFNDLHPD-ZDUSSCGKSA-N 0 1 285.307 0.377 20 30 CCEDMN CC(=O)N1CSC[C@@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000726797761 581252676 /nfs/dbraw/zinc/25/26/76/581252676.db2.gz AEZZXLYZBGIBCT-LLVKDONJSA-N 0 1 296.396 0.012 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)N[C@@H]2CCc3nc[nH]c3C2)cc1 ZINC000780986795 581254516 /nfs/dbraw/zinc/25/45/16/581254516.db2.gz QDLQESVFWZTGIG-IUODEOHRSA-N 0 1 296.330 0.988 20 30 CCEDMN COc1nccnc1C=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000793033900 581281396 /nfs/dbraw/zinc/28/13/96/581281396.db2.gz FOIWHXGEPLDOTL-UWVGGRQHSA-N 0 1 274.328 0.679 20 30 CCEDMN C=C(C)CNC(=S)NCCN1CCCOCC1 ZINC000782210110 581292174 /nfs/dbraw/zinc/29/21/74/581292174.db2.gz JLEUAYQQSWDKOY-UHFFFAOYSA-N 0 1 257.403 0.749 20 30 CCEDMN C#CCNC(=O)CON=C(N)CN1[C@H](C)CCC[C@H]1C ZINC000794532099 581374851 /nfs/dbraw/zinc/37/48/51/581374851.db2.gz SWIMHGRTAYVCCA-VXGBXAGGSA-N 0 1 280.372 0.497 20 30 CCEDMN C#CCOCCNS(=O)(=O)c1c(F)cccc1F ZINC000784452234 581384647 /nfs/dbraw/zinc/38/46/47/581384647.db2.gz TVRFDHKFYKYPCU-UHFFFAOYSA-N 0 1 275.276 0.893 20 30 CCEDMN Cc1cnn(C)c1C=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000794923316 581391034 /nfs/dbraw/zinc/39/10/34/581391034.db2.gz NPFRTRBCVJYLAO-GHMZBOCLSA-N 0 1 260.345 0.922 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N(C)Cc2nnc[nH]2)c1 ZINC000784943659 581399469 /nfs/dbraw/zinc/39/94/69/581399469.db2.gz QNWYWHIILVFOLZ-UHFFFAOYSA-N 0 1 283.291 0.383 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CCCOCC1 ZINC000786296647 581436513 /nfs/dbraw/zinc/43/65/13/581436513.db2.gz KRWQMFURDDGZRX-NWDGAFQWSA-N 0 1 282.340 0.665 20 30 CCEDMN C[C@@H]1C(=O)CC[C@@H]1CC(=O)C(C#N)C(=O)NC1CC1 ZINC000796637836 581446710 /nfs/dbraw/zinc/44/67/10/581446710.db2.gz WZXAAOYVOKLDFC-NGZCFLSTSA-N 0 1 262.309 0.979 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)CN1CCN(CC)CC1 ZINC000765225789 581506885 /nfs/dbraw/zinc/50/68/85/581506885.db2.gz YLPWPFQOEMOWTP-UHFFFAOYSA-N 0 1 297.399 0.202 20 30 CCEDMN Cc1c(C(=O)NC2=NO[C@@H](C)C2)cccc1S(N)(=O)=O ZINC000765366971 581509988 /nfs/dbraw/zinc/50/99/88/581509988.db2.gz IQNSGJYMEPCJQI-ZETCQYMHSA-N 0 1 297.336 0.495 20 30 CCEDMN CN1CCc2cc(C=NNCCS(C)(=O)=O)ccc21 ZINC000752733848 581514164 /nfs/dbraw/zinc/51/41/64/581514164.db2.gz JRWDSVCQDUJFHU-UHFFFAOYSA-N 0 1 281.381 0.647 20 30 CCEDMN C#CCOCCNC(=O)CSc1n[nH]c(=S)s1 ZINC000753226125 581530984 /nfs/dbraw/zinc/53/09/84/581530984.db2.gz IQDVLZCTJZARLV-UHFFFAOYSA-N 0 1 289.407 0.685 20 30 CCEDMN N#Cc1c2c(cn(CN3CCN(C4CC4)CC3)c1=O)CCC2 ZINC000766620871 581573891 /nfs/dbraw/zinc/57/38/91/581573891.db2.gz YDTNRYHBPADOJT-UHFFFAOYSA-N 0 1 298.390 0.946 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@@H+]1CC[C@H](CNC(=O)[O-])C1)C1CC1 ZINC000738595908 581575775 /nfs/dbraw/zinc/57/57/75/581575775.db2.gz BZVNNSNBHCJGQI-QMTHXVAHSA-N 0 1 294.355 0.384 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+]1CC[C@H](CNC(=O)[O-])C1)C1CC1 ZINC000738595908 581575777 /nfs/dbraw/zinc/57/57/77/581575777.db2.gz BZVNNSNBHCJGQI-QMTHXVAHSA-N 0 1 294.355 0.384 20 30 CCEDMN N#CCNC(=O)CN[C@@H](CCO)c1cccs1 ZINC000754385184 581589555 /nfs/dbraw/zinc/58/95/55/581589555.db2.gz NUQMRDSUMOBHIB-VIFPVBQESA-N 0 1 253.327 0.401 20 30 CCEDMN CC(CC[NH+](C)C)N=Nc1nc2[nH][n-]cc-2c(=O)n1 ZINC000767379583 581609951 /nfs/dbraw/zinc/60/99/51/581609951.db2.gz RZPUXLYUYYIMNL-UHFFFAOYSA-N 0 1 263.305 0.172 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(CCC2OCCO2)CC1 ZINC000767810164 581631509 /nfs/dbraw/zinc/63/15/09/581631509.db2.gz FDXHQEZGKLDGKB-ZDUSSCGKSA-N 0 1 267.373 0.915 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C[C@H](C)COC ZINC000756224204 581660262 /nfs/dbraw/zinc/66/02/62/581660262.db2.gz MCLZODXGKHQUTK-QWRGUYRKSA-N 0 1 270.329 0.521 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC2(C[C@@H]2C(=O)[O-])CC1 ZINC000736079970 581687159 /nfs/dbraw/zinc/68/71/59/581687159.db2.gz PVFSIHBKWIYNFB-OLZOCXBDSA-N 0 1 290.363 0.797 20 30 CCEDMN C#CC[NH+]1CCC[C@H]1C(=O)NC[C@H]1C[N@H+]2CCC[C@@H]2CO1 ZINC000730281695 581691310 /nfs/dbraw/zinc/69/13/10/581691310.db2.gz SOATZUNWFOAFEW-ILXRZTDVSA-N 0 1 291.395 0.063 20 30 CCEDMN COC(=O)NCCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000730921073 581746631 /nfs/dbraw/zinc/74/66/31/581746631.db2.gz VBKWVJNRQOGEGQ-VIFPVBQESA-N 0 1 267.285 0.110 20 30 CCEDMN CCC(COC)N=Nc1oc(C)nc1-c1nn[nH]n1 ZINC000736355062 581746666 /nfs/dbraw/zinc/74/66/66/581746666.db2.gz XHQVDGOEMLWXHP-UHFFFAOYSA-N 0 1 265.277 0.987 20 30 CCEDMN Cc1nn(C)c(C)c1OCC(=O)C(C#N)C(=O)NC1CC1 ZINC000758509761 581752056 /nfs/dbraw/zinc/75/20/56/581752056.db2.gz JHSAIMJZQRPKOL-NSHDSACASA-N 0 1 290.323 0.403 20 30 CCEDMN C[C@H](C[N@@H+](C)[C@@H](C(N)=O)c1ccc(C#N)cc1)C(=O)[O-] ZINC000736576140 581796587 /nfs/dbraw/zinc/79/65/87/581796587.db2.gz VSWMVUFFSDOGJU-BXKDBHETSA-N 0 1 275.308 0.737 20 30 CCEDMN C=CCNC(=S)N1CCN([C@H](CC)CO)CC1 ZINC000743016766 581800223 /nfs/dbraw/zinc/80/02/23/581800223.db2.gz BDORJURICLNEHT-LLVKDONJSA-N 0 1 257.403 0.435 20 30 CCEDMN N#Cc1ccsc1C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000732091731 581802708 /nfs/dbraw/zinc/80/27/08/581802708.db2.gz OSDITKRPWCVHJF-QMMMGPOBSA-N 0 1 290.308 0.347 20 30 CCEDMN C#CCCNC(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000733366256 581903336 /nfs/dbraw/zinc/90/33/36/581903336.db2.gz ABRJINLNYXVSHK-SNVBAGLBSA-N 0 1 284.319 0.821 20 30 CCEDMN C#CCNCC(=O)NC1(C(=O)OC)CCCCCC1 ZINC000746513493 581917327 /nfs/dbraw/zinc/91/73/27/581917327.db2.gz JUUZRLNAERUGQN-UHFFFAOYSA-N 0 1 266.341 0.591 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(OC)c1OC ZINC000746513437 581917468 /nfs/dbraw/zinc/91/74/68/581917468.db2.gz GTRBUXVRZOBYID-UHFFFAOYSA-N 0 1 262.309 0.543 20 30 CCEDMN C[C@H]1CC(=NN=C2NS(=O)(=O)c3ccccc32)CN1C ZINC000761805466 581921009 /nfs/dbraw/zinc/92/10/09/581921009.db2.gz DJUGRFQXULCPRN-VIFPVBQESA-N 0 1 292.364 0.805 20 30 CCEDMN C=CCn1c(SCC(=O)CCOC)n[nH]c1=O ZINC000761864316 581922731 /nfs/dbraw/zinc/92/27/31/581922731.db2.gz HXXHNZAMCJDXEI-UHFFFAOYSA-N 0 1 257.315 0.867 20 30 CCEDMN C#CCN1CCC(C(=O)N2COCC2(C)C)CC1 ZINC000773377686 581925329 /nfs/dbraw/zinc/92/53/29/581925329.db2.gz PFAMKYKECMHZCF-UHFFFAOYSA-N 0 1 250.342 0.927 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000773700583 581938755 /nfs/dbraw/zinc/93/87/55/581938755.db2.gz KEBRWZJOOVYSOZ-KGLIPLIRSA-N 0 1 264.369 0.874 20 30 CCEDMN CON=CC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000773727790 581939565 /nfs/dbraw/zinc/93/95/65/581939565.db2.gz NSFRDMCBMZBULF-NSHDSACASA-N 0 1 257.334 0.092 20 30 CCEDMN C[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C(C)(C)C(=O)[O-] ZINC000737390323 581950890 /nfs/dbraw/zinc/95/08/90/581950890.db2.gz XPAPVJPSKXIFAX-ZDUSSCGKSA-N 0 1 267.329 0.590 20 30 CCEDMN C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)C(C)(C)C(=O)[O-] ZINC000737390323 581950892 /nfs/dbraw/zinc/95/08/92/581950892.db2.gz XPAPVJPSKXIFAX-ZDUSSCGKSA-N 0 1 267.329 0.590 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CN2CSCC2=O)c1 ZINC000748003252 581959202 /nfs/dbraw/zinc/95/92/02/581959202.db2.gz BLFSFZRBUPEMKZ-UHFFFAOYSA-N 0 1 277.305 0.735 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCc3[nH]nnc3C2)nc1 ZINC000748361654 581971661 /nfs/dbraw/zinc/97/16/61/581971661.db2.gz CWOGGGNZWMRXTH-UHFFFAOYSA-N 0 1 253.265 0.380 20 30 CCEDMN N#CCOc1ccc(CN2CC[C@H](NC(N)=O)C2)cc1 ZINC000762924843 581972278 /nfs/dbraw/zinc/97/22/78/581972278.db2.gz HGEBNZAMESQEJB-LBPRGKRZSA-N 0 1 274.324 0.832 20 30 CCEDMN C#C[C@H](NC(=O)CSc1nnc(C)[nH]1)[C@@H]1CCCO1 ZINC000775322361 582017833 /nfs/dbraw/zinc/01/78/33/582017833.db2.gz CIRHWMFPYDGWHB-UWVGGRQHSA-N 0 1 280.353 0.502 20 30 CCEDMN C#C[C@H](NC(=O)CSc1nc(C)n[nH]1)[C@@H]1CCCO1 ZINC000775322361 582017834 /nfs/dbraw/zinc/01/78/34/582017834.db2.gz CIRHWMFPYDGWHB-UWVGGRQHSA-N 0 1 280.353 0.502 20 30 CCEDMN C[C@@H](CO)NN=Cc1ccc(N2CCOCC2)c(F)c1 ZINC000749813890 582023503 /nfs/dbraw/zinc/02/35/03/582023503.db2.gz GHQPKRPRIWXISR-NSHDSACASA-N 0 1 281.331 0.967 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)CCN(C(=O)[O-])C(C)C)CC1 ZINC000738456902 582052559 /nfs/dbraw/zinc/05/25/59/582052559.db2.gz FBIQBLRUSKUVNF-UHFFFAOYSA-N 0 1 295.383 0.932 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](C(=O)NCCNC(=O)[O-])C1 ZINC000738502864 582056609 /nfs/dbraw/zinc/05/66/09/582056609.db2.gz HMCCYRPZISJCDG-NSHDSACASA-N 0 1 267.329 0.106 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1ncccn1 ZINC000750800900 582059720 /nfs/dbraw/zinc/05/97/20/582059720.db2.gz IXLGUZXRZWTXKM-SECBINFHSA-N 0 1 267.248 0.833 20 30 CCEDMN CC(C)=C(C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000751195409 582071965 /nfs/dbraw/zinc/07/19/65/582071965.db2.gz NDYIEFUMJNJZKS-OWBHPGMISA-N 0 1 277.324 0.985 20 30 CCEDMN C=C(Br)CNC(=O)N1CCN[C@H](C)C1 ZINC000841745131 613236537 /nfs/dbraw/zinc/23/65/37/613236537.db2.gz UYEHJEPDMFZPMC-MRVPVSSYSA-N 0 1 262.151 0.898 20 30 CCEDMN COC(=O)[C@]1(OC)CCN(CCO[C@H](C)C#N)C1 ZINC000859557615 616792121 /nfs/dbraw/zinc/79/21/21/616792121.db2.gz VBBPMQKMRFICNU-PWSUYJOCSA-N 0 1 256.302 0.179 20 30 CCEDMN C#CC(=O)NCCN1CCC(NC(=O)OC(C)(C)C)CC1 ZINC000927849921 612945680 /nfs/dbraw/zinc/94/56/80/612945680.db2.gz HZBSTUJSSAQEHA-UHFFFAOYSA-N 0 1 295.383 0.725 20 30 CCEDMN C#CC1(F)CN(C(=O)NCc2n[nH]c([C@@H]3CCCO3)n2)C1 ZINC000883765744 612947746 /nfs/dbraw/zinc/94/77/46/612947746.db2.gz DWDONHMWTKIQNT-VIFPVBQESA-N 0 1 293.302 0.523 20 30 CCEDMN C[C@@H]1C[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C[C@H]1C(=O)[O-] ZINC000828411063 601515609 /nfs/dbraw/zinc/51/56/09/601515609.db2.gz DESVSJKOPWFCGB-OASPWFOLSA-N 0 1 287.319 0.737 20 30 CCEDMN N#CCNC(=O)C[N@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000043594144 601547158 /nfs/dbraw/zinc/54/71/58/601547158.db2.gz LYHFSLWGBZOMJJ-ZDUSSCGKSA-N 0 1 273.292 0.310 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1CCc2ccccc2[C@H]1C(=O)[O-] ZINC000043594144 601547157 /nfs/dbraw/zinc/54/71/57/601547157.db2.gz LYHFSLWGBZOMJJ-ZDUSSCGKSA-N 0 1 273.292 0.310 20 30 CCEDMN C#CCSCCNC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000933342369 613015768 /nfs/dbraw/zinc/01/57/68/613015768.db2.gz FTQLVGVMYSGOSD-UHFFFAOYSA-N 0 1 295.408 0.858 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC000062936522 601942802 /nfs/dbraw/zinc/94/28/02/601942802.db2.gz ZRJNRAVQFKOQJK-AWEZNQCLSA-N 0 1 266.341 0.984 20 30 CCEDMN C#CCSCCNC(=O)NCCCc1nc[nH]n1 ZINC000853775510 613016961 /nfs/dbraw/zinc/01/69/61/613016961.db2.gz WSECQPUJJPVHPZ-UHFFFAOYSA-N 0 1 267.358 0.403 20 30 CCEDMN C#CCSCCNC(=O)NC[C@H]1CCCC[N@@H+]1CCO ZINC000838487104 613017234 /nfs/dbraw/zinc/01/72/34/613017234.db2.gz WLUAGDXWEVAVQY-CYBMUJFWSA-N 0 1 299.440 0.499 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC([C@H](C)CO)CC1 ZINC000844419503 612949671 /nfs/dbraw/zinc/94/96/71/612949671.db2.gz NGYYYVCGUXZKNZ-NEPJUHHUSA-N 0 1 252.358 0.465 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H]([C@H](C)NC(=O)[O-])C1 ZINC000823457188 612950036 /nfs/dbraw/zinc/95/00/36/612950036.db2.gz WIAONUDBMTYTDG-SRVKXCTJSA-N 0 1 281.356 0.492 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCc2nccc(N)c2C1 ZINC000931508756 612950039 /nfs/dbraw/zinc/95/00/39/612950039.db2.gz KRISANKOUDLTCF-JTQLQIEISA-N 0 1 258.325 0.160 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H]([C@@H](C)NC(=O)[O-])C1 ZINC000823457190 612950252 /nfs/dbraw/zinc/95/02/52/612950252.db2.gz WIAONUDBMTYTDG-WOPDTQHZSA-N 0 1 281.356 0.492 20 30 CCEDMN Cn1cc(CCC[NH2+][C@@H]2CN(C(=O)[O-])C[C@H]2C#N)cn1 ZINC000833946607 605684599 /nfs/dbraw/zinc/68/45/99/605684599.db2.gz IVYKMZCTBNNESQ-VXGBXAGGSA-N 0 1 277.328 0.444 20 30 CCEDMN C#CCCS(=O)(=O)N1CCC12CCN(C)CC2 ZINC000907855661 613051806 /nfs/dbraw/zinc/05/18/06/613051806.db2.gz NQBXJAHLPYCPSU-UHFFFAOYSA-N 0 1 256.371 0.510 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](c2nc(C)no2)C1 ZINC000911642220 612956247 /nfs/dbraw/zinc/95/62/47/612956247.db2.gz KCKFFKTVKBXDHS-NSHDSACASA-N 0 1 262.313 0.307 20 30 CCEDMN C#CC[C@H](NS(=O)(=O)C[C@]1(C)CC1(F)F)C(=O)OC ZINC000882251130 613071224 /nfs/dbraw/zinc/07/12/24/613071224.db2.gz GGHKYHNMQCCZJN-WPRPVWTQSA-N 0 1 295.307 0.516 20 30 CCEDMN C#CC[C@H]1CCN(CC(=O)N(CC)CC(=O)NC(C)C)C1 ZINC000886353648 613074550 /nfs/dbraw/zinc/07/45/50/613074550.db2.gz MVDBCKITISBWHA-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2CCOCC2)C1 ZINC000970954586 613080442 /nfs/dbraw/zinc/08/04/42/613080442.db2.gz OLQQKMQYTRDXRP-CYBMUJFWSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CC2(O)CCC2)C1 ZINC000971511434 613089306 /nfs/dbraw/zinc/08/93/06/613089306.db2.gz LPHMLWPSQHPNJL-LBPRGKRZSA-N 0 1 250.342 0.457 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCn2cccn2)C1 ZINC000971101552 613089910 /nfs/dbraw/zinc/08/99/10/613089910.db2.gz LVLWPEGWJSLMFS-ZDUSSCGKSA-N 0 1 260.341 0.439 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)N1CCCC1 ZINC000922025119 613098079 /nfs/dbraw/zinc/09/80/79/613098079.db2.gz DGBIGGXCRIVLLS-UHFFFAOYSA-N 0 1 285.413 0.356 20 30 CCEDMN C#CCN(CC#CC)C(=O)NCc1n[nH]c(COC)n1 ZINC000821170859 613100042 /nfs/dbraw/zinc/10/00/42/613100042.db2.gz PCNUZYFRSKUQMO-UHFFFAOYSA-N 0 1 275.312 0.119 20 30 CCEDMN C#CCN(CC#CC)C(=O)NCc1nnc(COC)[nH]1 ZINC000821170859 613100043 /nfs/dbraw/zinc/10/00/43/613100043.db2.gz PCNUZYFRSKUQMO-UHFFFAOYSA-N 0 1 275.312 0.119 20 30 CCEDMN C#C[C@H]1CC[C@H](NS(=O)(=O)c2ncc[nH]2)CC1 ZINC000872671426 613109034 /nfs/dbraw/zinc/10/90/34/613109034.db2.gz VILMLDMFWWGSRR-MGCOHNPYSA-N 0 1 253.327 0.880 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC(CSC)CC2)C1=O ZINC000899245327 613109470 /nfs/dbraw/zinc/10/94/70/613109470.db2.gz OJXOOGGLXXMDCA-GFCCVEGCSA-N 0 1 280.393 0.822 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](N(C)C(=O)C(N)=O)CC1 ZINC000948732818 613374225 /nfs/dbraw/zinc/37/42/25/613374225.db2.gz DXUOFQTXVRJXPO-SNVBAGLBSA-N 0 1 273.764 0.537 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(CC)[C@H]1CCS(=O)(=O)C1 ZINC000876554227 613387148 /nfs/dbraw/zinc/38/71/48/613387148.db2.gz GQUBPHBRURBJRF-VHSXEESVSA-N 0 1 294.804 0.492 20 30 CCEDMN C#CCCCC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000841457467 612975049 /nfs/dbraw/zinc/97/50/49/612975049.db2.gz RKZXYOQNOSUUOL-OLZOCXBDSA-N 0 1 252.358 0.703 20 30 CCEDMN COc1ccc(O)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834499385 617272434 /nfs/dbraw/zinc/27/24/34/617272434.db2.gz HYSDNUBTFWHYOC-KWQFWETISA-N 0 1 261.281 0.242 20 30 CCEDMN COc1ccc([N+](=O)[O-])cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834510380 617282597 /nfs/dbraw/zinc/28/25/97/617282597.db2.gz ANCOGKLUSPRYCW-KWQFWETISA-N 0 1 290.279 0.445 20 30 CCEDMN COc1ccc2c(c1)CC[C@@H]2C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000876803897 617284486 /nfs/dbraw/zinc/28/44/86/617284486.db2.gz YVHQEDCTVPVBQX-TUKIKUTGSA-N 0 1 285.347 0.953 20 30 CCEDMN COc1cccc(O)c1CN[C@H]1CCCN(O)C1=O ZINC000895164340 617305578 /nfs/dbraw/zinc/30/55/78/617305578.db2.gz FNVVSMRYQYAQJQ-JTQLQIEISA-N 0 1 266.297 0.871 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC000825972432 617300571 /nfs/dbraw/zinc/30/05/71/617300571.db2.gz RBIVDWLNMVEJOS-GWCFXTLKSA-N 0 1 267.329 0.544 20 30 CCEDMN C#CC1(O)CN(C(=O)c2c[n-]c3[nH+]c(C)ccc3c2=O)C1 ZINC000900835801 612959958 /nfs/dbraw/zinc/95/99/58/612959958.db2.gz JXTHMOZKSLEZFR-UHFFFAOYSA-N 0 1 283.287 0.464 20 30 CCEDMN C#CC1CCN(CC(=O)N2CCO[C@H](C)C2)CC1 ZINC000830301226 612964332 /nfs/dbraw/zinc/96/43/32/612964332.db2.gz IEZSOHJAYFMERZ-GFCCVEGCSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCC1(NC(=O)C2CN([C@H]3CCOC3)C2)CCOCC1 ZINC000925418908 612968550 /nfs/dbraw/zinc/96/85/50/612968550.db2.gz YRIWKKTWVAPFSE-AWEZNQCLSA-N 0 1 292.379 0.396 20 30 CCEDMN C#CCC1(NCc2nnc3c(=O)n(C)ccn23)CCC1 ZINC000933292500 612970945 /nfs/dbraw/zinc/97/09/45/612970945.db2.gz ANPSOPQTSXJTKY-UHFFFAOYSA-N 0 1 271.324 0.464 20 30 CCEDMN C#CCCCNC(=O)C(=O)N(CCC)[C@@H]1CCN(C)C1 ZINC000834764374 612979061 /nfs/dbraw/zinc/97/90/61/612979061.db2.gz LKTOCVMSNNFJGS-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000806462078 612980595 /nfs/dbraw/zinc/98/05/95/612980595.db2.gz ZRBFPYLVXJHSOR-JTQLQIEISA-N 0 1 276.340 0.295 20 30 CCEDMN C#CCCCNC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000847326646 612981977 /nfs/dbraw/zinc/98/19/77/612981977.db2.gz DISBERKWYAQLBF-SNVBAGLBSA-N 0 1 277.328 0.869 20 30 CCEDMN C#CCOCCN1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC000851777694 612983984 /nfs/dbraw/zinc/98/39/84/612983984.db2.gz ZPSXQFWTLICBSP-UHFFFAOYSA-N 0 1 287.363 0.557 20 30 CCEDMN C#CCOCCN1CCOC[C@H]1C[C@H]1CCCO1 ZINC000933682065 612983994 /nfs/dbraw/zinc/98/39/94/612983994.db2.gz BIFMLIMISMMVIN-ZIAGYGMSSA-N 0 1 253.342 0.906 20 30 CCEDMN C#CCOCCN1CCO[C@@H]2CC[C@H](OC)C[C@@H]21 ZINC000851867656 612984308 /nfs/dbraw/zinc/98/43/08/612984308.db2.gz CLABOTGEQATQIF-MELADBBJSA-N 0 1 253.342 0.905 20 30 CCEDMN C#CCC[NH+]1CC([C@@H](C)NC(=O)c2ncccc2[O-])C1 ZINC000969542545 612996089 /nfs/dbraw/zinc/99/60/89/612996089.db2.gz FRMPUHRUPSNCHJ-LLVKDONJSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000819967536 612999314 /nfs/dbraw/zinc/99/93/14/612999314.db2.gz KZVHGKQJOMTYAF-NXEZZACHSA-N 0 1 279.296 0.520 20 30 CCEDMN C#CCO[C@H](C)C(=O)Nc1[nH]c(C)nc1C(=O)OCC ZINC000876486343 613003532 /nfs/dbraw/zinc/00/35/32/613003532.db2.gz PZNILFOWUQNSKF-MRVPVSSYSA-N 0 1 279.296 0.872 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@H](OC)[C@H]2CCOC2)CC1 ZINC000981692710 613003574 /nfs/dbraw/zinc/00/35/74/613003574.db2.gz GIWUQJNAVCLZPB-GJZGRUSLSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2nnn(C)c2C)CC1 ZINC000980889264 613006989 /nfs/dbraw/zinc/00/69/89/613006989.db2.gz ZRBVZDHDNYFTRL-UHFFFAOYSA-N 0 1 275.356 0.295 20 30 CCEDMN C#CCCN1CCOC2(CCN(C(=O)[C@@H](C)OC)CC2)C1 ZINC000949310988 613012192 /nfs/dbraw/zinc/01/21/92/613012192.db2.gz JVSCNLMOBNPTNA-CQSZACIVSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NC[C@@H]1CCN1CC ZINC000883747644 613020805 /nfs/dbraw/zinc/02/08/05/613020805.db2.gz NZTVIJLIOHPNLZ-STQMWFEESA-N 0 1 267.373 0.808 20 30 CCEDMN C#CC[C@@H](CO)NCc1c(C)nn(C)c1N1CCOCC1 ZINC000821207404 613030093 /nfs/dbraw/zinc/03/00/93/613030093.db2.gz PSHJPTJOIQKZCK-ZDUSSCGKSA-N 0 1 292.383 0.039 20 30 CCEDMN C#CC[C@@H]1NC(=O)N(Cc2cc(N(C)C)ccn2)C1=O ZINC000925178634 613048183 /nfs/dbraw/zinc/04/81/83/613048183.db2.gz KNAUXXFNLKPXSY-LBPRGKRZSA-N 0 1 272.308 0.591 20 30 CCEDMN C#CCCOc1ccc(C=NNC2=NCCN2)cc1 ZINC000841659182 613051395 /nfs/dbraw/zinc/05/13/95/613051395.db2.gz MIAWSNCACLQPHE-UHFFFAOYSA-N 0 1 256.309 0.971 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000798441243 613057355 /nfs/dbraw/zinc/05/73/55/613057355.db2.gz URQIYEDZIWIKGD-VXGBXAGGSA-N 0 1 276.340 0.861 20 30 CCEDMN C#CC[C@H](CO)NCc1cnnn1-c1ccccc1 ZINC000883125736 613058764 /nfs/dbraw/zinc/05/87/64/613058764.db2.gz SITHROMROZTOMH-GFCCVEGCSA-N 0 1 256.309 0.741 20 30 CCEDMN C#CCC[C@H](O)CNc1cc(-n2cccn2)nc(N)n1 ZINC000798421323 613064463 /nfs/dbraw/zinc/06/44/63/613064463.db2.gz NEFLHOVTBGPXAP-JTQLQIEISA-N 0 1 272.312 0.431 20 30 CCEDMN C#CCCn1cc(-c2nn[nH]n2)c(=O)c2nc(C)ccc21 ZINC000823844570 613064787 /nfs/dbraw/zinc/06/47/87/613064787.db2.gz BJNRTJRDTCUHFW-UHFFFAOYSA-N 0 1 280.291 0.908 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000858468611 613064972 /nfs/dbraw/zinc/06/49/72/613064972.db2.gz JTKUFWARDHVKEQ-WDEREUQCSA-N 0 1 276.340 0.605 20 30 CCEDMN C#CC[C@H](COC)NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000863806352 613065565 /nfs/dbraw/zinc/06/55/65/613065565.db2.gz HUAAPRNEJKAMJL-WDEREUQCSA-N 0 1 261.325 0.669 20 30 CCEDMN C#CCN(C)C(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000802577244 613071253 /nfs/dbraw/zinc/07/12/53/613071253.db2.gz ZPGGURGVHKLGIR-UHFFFAOYSA-N 0 1 283.291 0.297 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCn2ccccc2=O)C1 ZINC000971637801 613080745 /nfs/dbraw/zinc/08/07/45/613080745.db2.gz PJTBZDXDBKROGO-CQSZACIVSA-N 0 1 287.363 0.404 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCCOC2)C1 ZINC000980417826 613081186 /nfs/dbraw/zinc/08/11/86/613081186.db2.gz DPEMICBCAZSKNN-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCc3ncncc3C2)C1 ZINC000971664702 613084133 /nfs/dbraw/zinc/08/41/33/613084133.db2.gz GBXCPEDZZBTVTA-DZGCQCFKSA-N 0 1 298.390 0.747 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)nnc2C)C1 ZINC000971598737 613084858 /nfs/dbraw/zinc/08/48/58/613084858.db2.gz CZJSDHSPXVCZHL-CYBMUJFWSA-N 0 1 272.352 0.873 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NCCC[N@H+]1CCC[C@H]1C(N)=O ZINC000875725052 613085522 /nfs/dbraw/zinc/08/55/22/613085522.db2.gz MSNWNEFGOPVPGC-STQMWFEESA-N 0 1 294.399 0.427 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000875725052 613085523 /nfs/dbraw/zinc/08/55/23/613085523.db2.gz MSNWNEFGOPVPGC-STQMWFEESA-N 0 1 294.399 0.427 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(OCC)n[nH]2)C1 ZINC000971765729 613085543 /nfs/dbraw/zinc/08/55/43/613085543.db2.gz PHCWUIITEFJBIO-LLVKDONJSA-N 0 1 276.340 0.588 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn(CC)c2C)C1 ZINC000972599148 613087669 /nfs/dbraw/zinc/08/76/69/613087669.db2.gz VWXVCNHHYCRMGI-CYBMUJFWSA-N 0 1 274.368 0.991 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ncn(C(C)(C)C)n2)C1 ZINC000972326467 613088452 /nfs/dbraw/zinc/08/84/52/613088452.db2.gz JYPROAZOAVNWCA-GFCCVEGCSA-N 0 1 289.383 0.813 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@](C)(O)C2CC2)C1 ZINC000971370022 613090647 /nfs/dbraw/zinc/09/06/47/613090647.db2.gz OTPUIJJTXWSCCL-DZGCQCFKSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cn(CC)nn2)C1 ZINC000971997688 613090719 /nfs/dbraw/zinc/09/07/19/613090719.db2.gz VMVCYWPTEBUGKS-ZDUSSCGKSA-N 0 1 275.356 0.006 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)n2cncn2)C1 ZINC000971372158 613091219 /nfs/dbraw/zinc/09/12/19/613091219.db2.gz VQGDHGMDTCIAFF-NEPJUHHUSA-N 0 1 261.329 0.005 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)c2cncnc2)C1 ZINC000972166718 613092173 /nfs/dbraw/zinc/09/21/73/613092173.db2.gz VXMRNXHFZXVVCU-OCCSQVGLSA-N 0 1 272.352 0.746 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CCOC2)C1 ZINC000972627446 613093393 /nfs/dbraw/zinc/09/33/93/613093393.db2.gz XKLAZODNRSGOBC-GXTWGEPZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(CC)nn2C)C1 ZINC000972610160 613093750 /nfs/dbraw/zinc/09/37/50/613093750.db2.gz IBUAPGGWNAFNJS-ZDUSSCGKSA-N 0 1 274.368 0.762 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(=O)[nH]c2)C1 ZINC000980313202 613094790 /nfs/dbraw/zinc/09/47/90/613094790.db2.gz PSOUCBUYFYOXDA-LBPRGKRZSA-N 0 1 259.309 0.567 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnn2CCOC)C1 ZINC000971755553 613095760 /nfs/dbraw/zinc/09/57/60/613095760.db2.gz AOARCGBIFUERGP-ZDUSSCGKSA-N 0 1 290.367 0.309 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnc3c2nnn3C)C1 ZINC000972182171 613095885 /nfs/dbraw/zinc/09/58/85/613095885.db2.gz KGAUSUIFZQFICA-NSHDSACASA-N 0 1 298.350 0.143 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnn2CC)C1 ZINC000971397048 613095912 /nfs/dbraw/zinc/09/59/12/613095912.db2.gz MMNSYAIFYLXEIG-LBPRGKRZSA-N 0 1 260.341 0.683 20 30 CCEDMN C#CCN(CC(=O)NC(=O)N[C@@H](C)COC)C(C)(C)C ZINC000932467030 613102890 /nfs/dbraw/zinc/10/28/90/613102890.db2.gz BTWYJCWVJSLJDO-NSHDSACASA-N 0 1 283.372 0.581 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1cc([C@@H]2CCCN2C)n[nH]1 ZINC000897963129 613110919 /nfs/dbraw/zinc/11/09/19/613110919.db2.gz UGRARNWPAIAXEG-RISCZKNCSA-N 0 1 288.351 0.651 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000880276155 613111035 /nfs/dbraw/zinc/11/10/35/613111035.db2.gz CYDHAOLPQLLLFE-JTNHKYCSSA-N 0 1 273.336 0.936 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000880276156 613111043 /nfs/dbraw/zinc/11/10/43/613111043.db2.gz CYDHAOLPQLLLFE-MISXGVKJSA-N 0 1 273.336 0.936 20 30 CCEDMN COc1ccccc1OCCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000843462673 617316781 /nfs/dbraw/zinc/31/67/81/617316781.db2.gz RWVBKHKVAPBQSY-RYUDHWBXSA-N 0 1 289.335 0.692 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000858369123 613115306 /nfs/dbraw/zinc/11/53/06/613115306.db2.gz QZEINYJZOXWTBP-ZDUSSCGKSA-N 0 1 279.384 0.904 20 30 CCEDMN C#C[C@H](C)NC(=O)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000820394244 613116751 /nfs/dbraw/zinc/11/67/51/613116751.db2.gz GQFLADHWHGOINK-RWMBFGLXSA-N 0 1 267.373 0.759 20 30 CCEDMN C#C[C@H](CO)NC(=O)[C@@H](c1ccccc1)N(C)CC ZINC000854767999 613127450 /nfs/dbraw/zinc/12/74/50/613127450.db2.gz ICTCLJQNQYPYFT-ZIAGYGMSSA-N 0 1 260.337 0.790 20 30 CCEDMN C#CC[NH+]1CC([C@H](C)NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000969505780 613127436 /nfs/dbraw/zinc/12/74/36/613127436.db2.gz FWXIVIHDGRNKGP-QMMMGPOBSA-N 0 1 262.313 0.107 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CCOC[C@@H]2OC)CC1 ZINC000882356347 613128326 /nfs/dbraw/zinc/12/83/26/613128326.db2.gz DZOMWDKCIADRFS-GJZGRUSLSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCN1CCC(C(=O)N(C[C@H](C)O)C2CC2)CC1 ZINC000848358997 613128787 /nfs/dbraw/zinc/12/87/87/613128787.db2.gz PDNYCYSRXCEUDP-LBPRGKRZSA-N 0 1 264.369 0.703 20 30 CCEDMN C#C[C@H](CO)NC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC000854772826 613128832 /nfs/dbraw/zinc/12/88/32/613128832.db2.gz AXERSRKUBGARAW-ZCFIWIBFSA-N 0 1 261.203 0.461 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](NC(=O)CC)C2)CC1 ZINC000937580333 613129553 /nfs/dbraw/zinc/12/95/53/613129553.db2.gz WATWPANVFKAWLV-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H]2CCN(CC=C)C2=O)CC1 ZINC000798617051 613132340 /nfs/dbraw/zinc/13/23/40/613132340.db2.gz VLLZQPAXNIOCGT-CQSZACIVSA-N 0 1 290.363 0.662 20 30 CCEDMN C#CCN1CCC(CN=[S@@](C)(=O)N(C)C)CC1 ZINC000934750865 613132444 /nfs/dbraw/zinc/13/24/44/613132444.db2.gz TXHJGCDTUSBAFV-QGZVFWFLSA-N 0 1 257.403 0.906 20 30 CCEDMN C#C[C@H](NC(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1)[C@@H]1CCCO1 ZINC000911577419 613133438 /nfs/dbraw/zinc/13/34/38/613133438.db2.gz BNJZEAGCFAKYLF-AGIUHOORSA-N 0 1 294.351 0.080 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2nnc(NC)s2)CC1 ZINC000928653899 613133500 /nfs/dbraw/zinc/13/35/00/613133500.db2.gz FNFYVSOSIUTPMJ-UHFFFAOYSA-N 0 1 293.396 0.336 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ccc(C(N)=O)cc2)CC1 ZINC000928652587 613133549 /nfs/dbraw/zinc/13/35/49/613133549.db2.gz DQUHWNRUCHGPHS-UHFFFAOYSA-N 0 1 299.374 0.542 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@](C)(O)C=C)CC1 ZINC000928653078 613133607 /nfs/dbraw/zinc/13/36/07/613133607.db2.gz YKJVSXCWLILJIO-CQSZACIVSA-N 0 1 250.342 0.527 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCn2cc(C)cn2)CC1 ZINC000919238885 613133967 /nfs/dbraw/zinc/13/39/67/613133967.db2.gz IVSSNCGQLBWHJW-UHFFFAOYSA-N 0 1 289.383 0.588 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cn(C)cn2)CC1 ZINC000898612076 613134540 /nfs/dbraw/zinc/13/45/40/613134540.db2.gz DLHBIBCUVYAFMX-UHFFFAOYSA-N 0 1 275.356 0.317 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)c2cnn(C)c2)CC1 ZINC000920184659 613135000 /nfs/dbraw/zinc/13/50/00/613135000.db2.gz SOOPCYXUFUVFFI-LBPRGKRZSA-N 0 1 289.383 0.878 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)[C@H]2CCCO2)CC1 ZINC000914170534 613135072 /nfs/dbraw/zinc/13/50/72/613135072.db2.gz NOFJWERVKHFAQN-GXTWGEPZSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CC[C@H]2C(=O)OCC)CC1 ZINC000928660625 613136562 /nfs/dbraw/zinc/13/65/62/613136562.db2.gz SQBSMSMNMBKUSE-UONOGXRCSA-N 0 1 292.379 0.790 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCC[C@H](C(N)=O)C2)CC1 ZINC000928653017 613136858 /nfs/dbraw/zinc/13/68/58/613136858.db2.gz VKVASJADDLWIFA-STQMWFEESA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C(=O)OC)co2)CC1 ZINC000928654403 613137000 /nfs/dbraw/zinc/13/70/00/613137000.db2.gz ZXYCTOKNYHBWGR-UHFFFAOYSA-N 0 1 290.319 0.894 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(COC)on2)CC1 ZINC000928656210 613137170 /nfs/dbraw/zinc/13/71/70/613137170.db2.gz OXVMZTIZGLLODL-UHFFFAOYSA-N 0 1 277.324 0.648 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C#N)n2C)CC1 ZINC000928651631 613137333 /nfs/dbraw/zinc/13/73/33/613137333.db2.gz XZADRWMUUIDDTA-UHFFFAOYSA-N 0 1 270.336 0.724 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnnc2C)CC1 ZINC000928654645 613137749 /nfs/dbraw/zinc/13/77/49/613137749.db2.gz KQSJVPVKCCMUGK-UHFFFAOYSA-N 0 1 258.325 0.612 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cncc3[nH]cnc32)CC1 ZINC000928649413 613137796 /nfs/dbraw/zinc/13/77/96/613137796.db2.gz KIJUKJVOIUGFQW-UHFFFAOYSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C3CN(C(C)=O)C3)C2)C1 ZINC000981734922 613140389 /nfs/dbraw/zinc/14/03/89/613140389.db2.gz NACARYOIVIQZKY-UHFFFAOYSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cn(C)c(=O)[nH]3)C2)C1 ZINC000981987268 613144825 /nfs/dbraw/zinc/14/48/25/613144825.db2.gz ZHPSYHIZYOUDKU-UHFFFAOYSA-N 0 1 288.351 0.297 20 30 CCEDMN C#C[C@H](NC(=O)[C@@]1(C)C[C@@H](O)CN1C)C(C)(C)C ZINC000908224678 613146800 /nfs/dbraw/zinc/14/68/00/613146800.db2.gz JOBSMPWNUDZAFE-UHIISALHSA-N 0 1 252.358 0.606 20 30 CCEDMN C#C[C@H](NC(=O)c1cccc2nc(CO)[nH]c21)[C@@H]1CCCO1 ZINC000907485182 613149319 /nfs/dbraw/zinc/14/93/19/613149319.db2.gz FBBHHOCOSYXMCI-AAEUAGOBSA-N 0 1 299.330 0.966 20 30 CCEDMN C#C[C@H](NC1CC(S(C)(=O)=O)C1)[C@H]1CCCO1 ZINC000893676535 613150022 /nfs/dbraw/zinc/15/00/22/613150022.db2.gz MEHQODPFTOVIBA-MMVSWEMESA-N 0 1 257.355 0.332 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NCC(F)(F)F)[C@@H]1CCCO1 ZINC000839563321 613152751 /nfs/dbraw/zinc/15/27/51/613152751.db2.gz HSAOFJVANWONDR-GUBZILKMSA-N 0 1 278.274 0.824 20 30 CCEDMN C#C[C@H]1COCCN1S(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000916274490 613163055 /nfs/dbraw/zinc/16/30/55/613163055.db2.gz DLBVQKSYPXYDMY-KBPBESRZSA-N 0 1 298.408 0.136 20 30 CCEDMN C#Cc1cc(F)c(NC(=O)C(=O)N2CCNCC2)c(F)c1 ZINC000841146719 613164908 /nfs/dbraw/zinc/16/49/08/613164908.db2.gz UPDZFTWUGJRXAH-UHFFFAOYSA-N 0 1 293.273 0.316 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CC[C@@H](C(=O)OC)O1 ZINC000860530142 613177240 /nfs/dbraw/zinc/17/72/40/613177240.db2.gz QXDFBOVHEGZGKP-FRRDWIJNSA-N 0 1 295.335 0.348 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C\[C@H]2CCCO2)C1 ZINC000923554861 613180767 /nfs/dbraw/zinc/18/07/67/613180767.db2.gz LYEXDIHSEKAZSP-CQUCYFNQSA-N 0 1 262.353 0.935 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2n[nH]c([C@@H]3CCCO3)n2)cn1 ZINC000848276080 613182037 /nfs/dbraw/zinc/18/20/37/613182037.db2.gz OFRCQOHJNSCBBZ-LBPRGKRZSA-N 0 1 297.318 0.963 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCO)CC2(C)C)cn1 ZINC000977514076 613187304 /nfs/dbraw/zinc/18/73/04/613187304.db2.gz ODPFGGPICGTAEM-CQSZACIVSA-N 0 1 287.363 0.495 20 30 CCEDMN C#CCN1CCC[C@H](Nc2ncnc3c2nnn3C)C1 ZINC000884610145 613193816 /nfs/dbraw/zinc/19/38/16/613193816.db2.gz FMMOZPRUQUNGQQ-JTQLQIEISA-N 0 1 271.328 0.268 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2CCN[C@H](C)C2)c1 ZINC000841173844 613201842 /nfs/dbraw/zinc/20/18/42/613201842.db2.gz RYLOKMNEQMBJIF-LLVKDONJSA-N 0 1 271.320 0.427 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000804815690 613201999 /nfs/dbraw/zinc/20/19/99/613201999.db2.gz XYWVKRFVMPZRNY-KOLCDFICSA-N 0 1 261.329 0.388 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N[C@H](C)c2n[nH]c(C)n2)c1 ZINC000807400221 613203239 /nfs/dbraw/zinc/20/32/39/613203239.db2.gz WRBKANOCQFVOSL-SECBINFHSA-N 0 1 297.318 0.910 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC[C@](O)(CC)C2)CC1 ZINC000879310704 613205308 /nfs/dbraw/zinc/20/53/08/613205308.db2.gz LVPDJNMJLCVMLI-MRXNPFEDSA-N 0 1 293.411 0.001 20 30 CCEDMN C#CCN1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000133770381 613206907 /nfs/dbraw/zinc/20/69/07/613206907.db2.gz ZRXXSDQDKJUNIR-GFCCVEGCSA-N 0 1 272.352 0.607 20 30 CCEDMN C#Cc1cccnc1N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000853468555 613208526 /nfs/dbraw/zinc/20/85/26/613208526.db2.gz YXRJFQDMKLTDPW-UHFFFAOYSA-N 0 1 282.351 0.812 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cncnc2)C1 ZINC000965964656 613214464 /nfs/dbraw/zinc/21/44/64/613214464.db2.gz UNRKMIBVESZJRU-OCCSQVGLSA-N 0 1 272.352 0.479 20 30 CCEDMN C#Cc1cncc(C(=O)N2CC[C@@H](c3[nH]ncc3N)C2)c1 ZINC000907416809 613214831 /nfs/dbraw/zinc/21/48/31/613214831.db2.gz PJQJVQAYTQQGEC-LLVKDONJSA-N 0 1 281.319 0.998 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)CN2CCCCC2=O)C1 ZINC000967151147 613218284 /nfs/dbraw/zinc/21/82/84/613218284.db2.gz AOVHMVIPYLEMET-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H](C)c2n[nH]c(C)n2)c1 ZINC000804815051 613218892 /nfs/dbraw/zinc/21/88/92/613218892.db2.gz MXFZCUXHLXGXES-QMMMGPOBSA-N 0 1 255.281 0.980 20 30 CCEDMN C(=NN[C@@H]1CCOC1)c1ccnn1-c1ccncc1 ZINC000853341057 613222999 /nfs/dbraw/zinc/22/29/99/613222999.db2.gz NKPFUCJLLWBGDM-LLVKDONJSA-N 0 1 257.297 0.980 20 30 CCEDMN C(=NNc1cncnc1)c1cn(C[C@@H]2CCOC2)nn1 ZINC000872372779 613224021 /nfs/dbraw/zinc/22/40/21/613224021.db2.gz MQLXHWZARWLQDU-JTQLQIEISA-N 0 1 273.300 0.551 20 30 CCEDMN COc1ccnc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)n1 ZINC000842529414 617324976 /nfs/dbraw/zinc/32/49/76/617324976.db2.gz YOGKRIITNDULJL-AOOOYVTPSA-N 0 1 274.328 0.679 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@]23C[C@H]2COC3)C(C)(C)C1 ZINC000940760521 613229760 /nfs/dbraw/zinc/22/97/60/613229760.db2.gz RWPGLWKTYUKEAS-ZENOOKHLSA-N 0 1 276.380 0.873 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C)n(C)n2)C[C@H]1C ZINC000947109534 613232903 /nfs/dbraw/zinc/23/29/03/613232903.db2.gz XJJRYHSWONHRAC-CHWSQXEVSA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc3ncccn3n2)[C@@H](C)C1 ZINC000943737364 613234456 /nfs/dbraw/zinc/23/44/56/613234456.db2.gz BGZZCSWHBCKCEW-QWHCGFSZSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cccn3nnnc23)C[C@H]1C ZINC000947346526 613237632 /nfs/dbraw/zinc/23/76/32/613237632.db2.gz AEYOMXOLFZHLMO-VXGBXAGGSA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnnc2C)[C@@H](C)C1 ZINC000942285362 613239458 /nfs/dbraw/zinc/23/94/58/613239458.db2.gz CQWTYHKZIPZMKF-SMDDNHRTSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccncn2)[C@@H](C)C1 ZINC000943716525 613239461 /nfs/dbraw/zinc/23/94/61/613239461.db2.gz FECIWTWWLUFCFS-NWDGAFQWSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc3n[nH]nc3c2)C[C@@H]1C ZINC000947399026 613240635 /nfs/dbraw/zinc/24/06/35/613240635.db2.gz BRTJVYLDGHJTQQ-CMPLNLGQSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(OC)nn2)C[C@@H]1C ZINC000947894927 613269093 /nfs/dbraw/zinc/26/90/93/613269093.db2.gz DMXVGRDTYRQDTO-RYUDHWBXSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3nncn3c2)C[C@@H]1C ZINC000947810958 613269810 /nfs/dbraw/zinc/26/98/10/613269810.db2.gz TWRWZMCBOZVIPN-JSGCOSHPSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3nnnn3c2)[C@H](C)C1 ZINC000942468751 613269942 /nfs/dbraw/zinc/26/99/42/613269942.db2.gz ZYRAFUOFTUCAHS-YPMHNXCESA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc3n[nH]nc32)[C@@H](C)C1 ZINC000942434443 613272716 /nfs/dbraw/zinc/27/27/16/613272716.db2.gz XXBNJBPASQJRGT-JQWIXIFHSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CC)nn2)[C@H](C)C1 ZINC000943778014 613273385 /nfs/dbraw/zinc/27/33/85/613273385.db2.gz PKDWRAULFPQHMX-NEPJUHHUSA-N 0 1 275.356 0.371 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CC)nn2)C[C@H]1C ZINC000947866680 613273424 /nfs/dbraw/zinc/27/34/24/613273424.db2.gz DXOPLUDQYUBEIC-NEPJUHHUSA-N 0 1 275.356 0.514 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2)C[C@H]1C ZINC000947042210 613275239 /nfs/dbraw/zinc/27/52/39/613275239.db2.gz QWBMAPXYQBBWSY-YPMHNXCESA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3cc[nH]c23)C[C@H]1C ZINC000947975112 613275797 /nfs/dbraw/zinc/27/57/97/613275797.db2.gz KEUHKKVWZJKKHQ-NEPJUHHUSA-N 0 1 285.351 0.878 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2conc2COC)[C@H](C)C1 ZINC000944135579 613276333 /nfs/dbraw/zinc/27/63/33/613276333.db2.gz NMJFZURJIDWUGM-YPMHNXCESA-N 0 1 291.351 0.894 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CCOC2)C(C)(C)C1 ZINC000972843338 613282417 /nfs/dbraw/zinc/28/24/17/613282417.db2.gz FFSDRSXCTCTYPQ-NWDGAFQWSA-N 0 1 250.342 0.483 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(C)oc(C)c2C)C1 ZINC000958239248 613285794 /nfs/dbraw/zinc/28/57/94/613285794.db2.gz MARNCXKGAUMVQS-UONOGXRCSA-N 0 1 290.363 0.861 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc3cc[nH]c3c2)C1 ZINC000958438798 613286807 /nfs/dbraw/zinc/28/68/07/613286807.db2.gz NHTXEZBTBMLLTP-GOEBONIOSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccncc2CC)C1 ZINC000958190150 613286980 /nfs/dbraw/zinc/28/69/80/613286980.db2.gz BLMSFZQQMYURDN-DZGCQCFKSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(F)s2)C1 ZINC000958739453 613286989 /nfs/dbraw/zinc/28/69/89/613286989.db2.gz BRFIVYDOEFNJSJ-VHSXEESVSA-N 0 1 282.340 0.543 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2nc(C)sc2C)C1 ZINC000958175429 613287789 /nfs/dbraw/zinc/28/77/89/613287789.db2.gz LTXRTSGCVBVOOI-NWDGAFQWSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2CCC(=C)CC2)[C@H](O)C1 ZINC000958371199 613288393 /nfs/dbraw/zinc/28/83/93/613288393.db2.gz YHNYSYLCBSPMNN-HUUCEWRRSA-N 0 1 276.380 0.775 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2sccc2CC)[C@H](O)C1 ZINC000958723655 613290594 /nfs/dbraw/zinc/29/05/94/613290594.db2.gz ILLBSQJNTGXUHX-CHWSQXEVSA-N 0 1 292.404 0.966 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2sccc2F)[C@H](O)C1 ZINC000958029918 613290641 /nfs/dbraw/zinc/29/06/41/613290641.db2.gz FLZNUGDBILZYMC-MWLCHTKSSA-N 0 1 282.340 0.543 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(C)cccc2C)C1 ZINC000958419184 613294155 /nfs/dbraw/zinc/29/41/55/613294155.db2.gz YWLLJOBVSLJXCC-GJZGRUSLSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC000958160906 613294232 /nfs/dbraw/zinc/29/42/32/613294232.db2.gz GLSKMTRUYNQSPE-KBPBESRZSA-N 0 1 298.346 0.170 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C=C)cc2)C1 ZINC000958425523 613295043 /nfs/dbraw/zinc/29/50/43/613295043.db2.gz ZFVXSTONVVWELH-HOTGVXAUSA-N 0 1 284.359 0.985 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2csnc2C)C1 ZINC000957983811 613296309 /nfs/dbraw/zinc/29/63/09/613296309.db2.gz WDGCBJAIXQVZKL-JQWIXIFHSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ncoc2C2CC2)C1 ZINC000958569189 613296315 /nfs/dbraw/zinc/29/63/15/613296315.db2.gz DGQUCMOIOSTXQR-RYUDHWBXSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977315771 613297326 /nfs/dbraw/zinc/29/73/26/613297326.db2.gz KJWNNQOXOBSRAJ-NEPJUHHUSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2COCCN2CC)C(C)(C)C1 ZINC000974754773 613298936 /nfs/dbraw/zinc/29/89/36/613298936.db2.gz GRQSCKVQMRADRW-KGLIPLIRSA-N 0 1 293.411 0.167 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2c[nH]c(=O)cn2)CC1 ZINC000981837630 613311111 /nfs/dbraw/zinc/31/11/11/613311111.db2.gz GDNQOLHUQNXWLN-UHFFFAOYSA-N 0 1 276.340 0.494 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccnc(OC)c1 ZINC000961777711 613314172 /nfs/dbraw/zinc/31/41/72/613314172.db2.gz IVWMAMVHLSMBFD-VIKVFOODSA-N 0 1 285.347 0.312 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc(OC)cn1 ZINC000961594956 613314285 /nfs/dbraw/zinc/31/42/85/613314285.db2.gz GYQXXVUANSWPIW-FOLVSLTJSA-N 0 1 285.347 0.312 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C(F)(F)F)n[nH]1 ZINC000962064721 613318208 /nfs/dbraw/zinc/31/82/08/613318208.db2.gz GFDJRILQAJGKCC-VROVMSAKSA-N 0 1 298.268 0.722 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2c[nH]ccc-2n1 ZINC000960553372 613318615 /nfs/dbraw/zinc/31/86/15/613318615.db2.gz AWUFVNXQFVGVLO-JYAVWHMHSA-N 0 1 280.331 0.856 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(OC)o1 ZINC000962115977 613318979 /nfs/dbraw/zinc/31/89/79/613318979.db2.gz KLHPOQBLAAJAEF-IWIIMEHWSA-N 0 1 260.293 0.581 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc2[nH]c(C)nc21 ZINC000961326156 613320179 /nfs/dbraw/zinc/32/01/79/613320179.db2.gz JBEBCMXJIPMDLV-ITGUQSILSA-N 0 1 295.346 0.560 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(OC)c1 ZINC000960725355 613320218 /nfs/dbraw/zinc/32/02/18/613320218.db2.gz XNWDHZUEABMCSQ-IMRBUKKESA-N 0 1 271.320 0.383 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccn2nccc12 ZINC000960782591 613320495 /nfs/dbraw/zinc/32/04/95/613320495.db2.gz KHBNUFPIKKWOEB-NHAGDIPZSA-N 0 1 280.331 0.628 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C(C)C)nn1 ZINC000962153019 613320684 /nfs/dbraw/zinc/32/06/84/613320684.db2.gz DSXPKRQWRYFTGL-PJXYFTJBSA-N 0 1 273.340 0.152 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(=O)cc1OC ZINC000961799549 613320696 /nfs/dbraw/zinc/32/06/96/613320696.db2.gz UENLYAQVYITGFY-YABSGUDNSA-N 0 1 287.319 0.089 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnccc1C ZINC000958465669 613321142 /nfs/dbraw/zinc/32/11/42/613321142.db2.gz PUGSGPONMMWTSI-WDNDVIMCSA-N 0 1 255.321 0.683 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc(C)ncc1Cl ZINC000961931605 613321963 /nfs/dbraw/zinc/32/19/63/613321963.db2.gz ISVWAPJROUICAC-IAZYJMLFSA-N 0 1 290.754 0.732 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]cnc1C(F)(F)F ZINC000960272935 613322060 /nfs/dbraw/zinc/32/20/60/613322060.db2.gz HVZODESUEKQIFZ-BRPSZJMVSA-N 0 1 298.268 0.722 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(CC2CC2)n1 ZINC000962174567 613322174 /nfs/dbraw/zinc/32/21/74/613322174.db2.gz YIVWCISWFFVFKI-JYAVWHMHSA-N 0 1 285.347 0.920 20 30 CCEDMN C#CCNC(=O)CCN1CCCCC[C@H]1C(=O)OC ZINC000815087600 613324306 /nfs/dbraw/zinc/32/43/06/613324306.db2.gz WUUWGOHIDVEEAL-LBPRGKRZSA-N 0 1 266.341 0.544 20 30 CCEDMN C#CCNC(=O)CCNCc1cn(C)nc1Br ZINC000858115639 613326880 /nfs/dbraw/zinc/32/68/80/613326880.db2.gz CAWIZEVLCJGEQE-UHFFFAOYSA-N 0 1 299.172 0.412 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C(=O)OC)[C@H]2CCCC[C@H]21 ZINC000852494955 613329987 /nfs/dbraw/zinc/32/99/87/613329987.db2.gz HTJISZNUGXAYBJ-HZSPNIEDSA-N 0 1 292.379 0.790 20 30 CCEDMN C#CCNC(=O)COC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000913299663 613332563 /nfs/dbraw/zinc/33/25/63/613332563.db2.gz OJGVZIPFNHBWJM-UHFFFAOYSA-N 0 1 273.248 0.576 20 30 CCEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cnnn2C)C1 ZINC000965981011 613332807 /nfs/dbraw/zinc/33/28/07/613332807.db2.gz RNDWIMALWDMQNZ-RYUDHWBXSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(C)cc1=O ZINC000962211036 613345338 /nfs/dbraw/zinc/34/53/38/613345338.db2.gz QDTJFKOXQMRUJX-NHAGDIPZSA-N 0 1 287.363 0.919 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)[C@H](C)CN1CCOCC1 ZINC000882897979 613355160 /nfs/dbraw/zinc/35/51/60/613355160.db2.gz XWNHAYUAWYACNP-OLZOCXBDSA-N 0 1 270.373 0.398 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000882901927 613355175 /nfs/dbraw/zinc/35/51/75/613355175.db2.gz UJHVCRFQSXRYMH-MNOVXSKESA-N 0 1 263.341 0.958 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)c1cnn[nH]1)C(=O)OCC ZINC000881752006 613356246 /nfs/dbraw/zinc/35/62/46/613356246.db2.gz NOBYRTJISYWRSU-MRVPVSSYSA-N 0 1 252.274 0.432 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000882897980 613357831 /nfs/dbraw/zinc/35/78/31/613357831.db2.gz XWNHAYUAWYACNP-QWHCGFSZSA-N 0 1 270.373 0.398 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@H]1CC[N@H+](CCOC)C1)C(=O)[O-] ZINC000908945710 613358872 /nfs/dbraw/zinc/35/88/72/613358872.db2.gz FGYSZQDSABLRKZ-RYUDHWBXSA-N 0 1 299.371 0.426 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCO[C@@H](c2nn[n-]n2)C1 ZINC000906617847 613361023 /nfs/dbraw/zinc/36/10/23/613361023.db2.gz RGUUNZRGTMZWKW-AXFHLTTASA-N 0 1 293.327 0.081 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000906617847 613361024 /nfs/dbraw/zinc/36/10/24/613361024.db2.gz RGUUNZRGTMZWKW-AXFHLTTASA-N 0 1 293.327 0.081 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)c3[nH]nnc3C)[C@@H]2C1 ZINC000978558243 613381883 /nfs/dbraw/zinc/38/18/83/613381883.db2.gz GVDQAJRZXRQUDB-RTCCRHLQSA-N 0 1 295.774 0.773 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2nnc(C)[nH]2)C1 ZINC000968630040 613384773 /nfs/dbraw/zinc/38/47/73/613384773.db2.gz SMXSYBDNPJHOGT-SNVBAGLBSA-N 0 1 283.763 0.599 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCC[C@@H](C(=O)NC)C1 ZINC000878094512 613410433 /nfs/dbraw/zinc/41/04/33/613410433.db2.gz QDAKQWNNNSTPHF-GHMZBOCLSA-N 0 1 254.330 0.562 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CNC(=O)c1ncn[nH]1 ZINC000956136079 613433033 /nfs/dbraw/zinc/43/30/33/613433033.db2.gz ORARBYNBOBSHCD-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CNC(=O)c1nc[nH]n1 ZINC000956136079 613433034 /nfs/dbraw/zinc/43/30/34/613433034.db2.gz ORARBYNBOBSHCD-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC000983077820 613438591 /nfs/dbraw/zinc/43/85/91/613438591.db2.gz CJUUFQDEFXKAKY-SNVBAGLBSA-N 0 1 291.355 0.595 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC000983077820 613438594 /nfs/dbraw/zinc/43/85/94/613438594.db2.gz CJUUFQDEFXKAKY-SNVBAGLBSA-N 0 1 291.355 0.595 20 30 CCEDMN C=CC1(S(=O)(=O)[N-]C(=O)C[C@@H]([NH3+])C(F)F)CC1 ZINC000901060004 613450899 /nfs/dbraw/zinc/45/08/99/613450899.db2.gz WDUSGCBLDROBIU-ZCFIWIBFSA-N 0 1 268.285 0.134 20 30 CCEDMN C=CCC(F)(F)C(=O)N[C@@H](CO)CN1CCCC1 ZINC000879990817 613455581 /nfs/dbraw/zinc/45/55/81/613455581.db2.gz CGHJUPROAXWEMK-SNVBAGLBSA-N 0 1 262.300 0.771 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(CC#CC)C[C@H]21 ZINC000961836312 613467398 /nfs/dbraw/zinc/46/73/98/613467398.db2.gz URYWHXKIVJTKEU-MRLBHPIUSA-N 0 1 274.364 0.647 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000898008725 613469034 /nfs/dbraw/zinc/46/90/34/613469034.db2.gz ZTNVVVZXQCGROK-UBHSHLNASA-N 0 1 280.368 0.414 20 30 CCEDMN C=CCCC[C@@H](NC(=O)C[C@]1(O)CCC[N@H+](C)C1)C(=O)[O-] ZINC000910925647 613470538 /nfs/dbraw/zinc/47/05/38/613470538.db2.gz MWDQWBOQCCFBLY-IUODEOHRSA-N 0 1 298.383 0.759 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NCc1n[nH]c(=O)n1C ZINC000912241087 613476801 /nfs/dbraw/zinc/47/68/01/613476801.db2.gz UACRZHSZICULOW-LBPRGKRZSA-N 0 1 268.317 0.366 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)c3ccon3)CC2)C1 ZINC000941319190 613482127 /nfs/dbraw/zinc/48/21/27/613482127.db2.gz IHVDMYGEQBFWMH-UHFFFAOYSA-N 0 1 290.367 0.693 20 30 CCEDMN C=C[C@H](CO)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000826582656 613490407 /nfs/dbraw/zinc/49/04/07/613490407.db2.gz JOAXKBQNUMCPEB-SNVBAGLBSA-N 0 1 267.329 0.038 20 30 CCEDMN C=C[C@H](O)C(=O)OCC(=O)[C@H](C#N)c1nc(C)cs1 ZINC000814549874 613498727 /nfs/dbraw/zinc/49/87/27/613498727.db2.gz NVBAXJGDYYKIFZ-IUCAKERBSA-N 0 1 280.305 0.718 20 30 CCEDMN C=C[C@H](O)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000814549874 613498729 /nfs/dbraw/zinc/49/87/29/613498729.db2.gz NVBAXJGDYYKIFZ-IUCAKERBSA-N 0 1 280.305 0.718 20 30 CCEDMN C=CCCN1CCN(C(=O)Cc2nonc2C)CC1 ZINC000956990913 613504589 /nfs/dbraw/zinc/50/45/89/613504589.db2.gz DLCIOTJDLMQCNV-UHFFFAOYSA-N 0 1 264.329 0.641 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@]2(C)CCC(=O)NC2)CC1 ZINC000949793215 613506480 /nfs/dbraw/zinc/50/64/80/613506480.db2.gz PAERTZYVWOAUHU-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCC(=O)NC2)CC1 ZINC000949039892 613507355 /nfs/dbraw/zinc/50/73/55/613507355.db2.gz UHFHLNDBXGLIHP-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCc3n[nH]nc3C2)CC1 ZINC000957319823 613508535 /nfs/dbraw/zinc/50/85/35/613508535.db2.gz YXQAQPQFUZQXDM-LBPRGKRZSA-N 0 1 289.383 0.630 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000970302285 613536126 /nfs/dbraw/zinc/53/61/26/613536126.db2.gz BIUGSZIOJSHVEW-SCUASFONSA-N 0 1 262.353 0.339 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000970883273 613537793 /nfs/dbraw/zinc/53/77/93/613537793.db2.gz LHBNUWVTQJGWCY-JTQLQIEISA-N 0 1 288.351 0.187 20 30 CCEDMN C=CCCOCC(=O)NC[C@H]1CC[N@H+]1C1CCOCC1 ZINC000885865342 613572125 /nfs/dbraw/zinc/57/21/25/613572125.db2.gz CYYCGCCPUGAZCH-CQSZACIVSA-N 0 1 282.384 0.949 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)CN2CCCC2=O)C1 ZINC000965001305 613574037 /nfs/dbraw/zinc/57/40/37/613574037.db2.gz PZTONZASTGQLPH-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2nonc2C)C1 ZINC000968493790 613575061 /nfs/dbraw/zinc/57/50/61/613575061.db2.gz XKNWWPNSPFXVFA-BXUZGUMPSA-N 0 1 290.367 0.770 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccnn2C)C1 ZINC000965964346 613575189 /nfs/dbraw/zinc/57/51/89/613575189.db2.gz PGQRKRMRIJCVTL-UKRRQHHQSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2cnn[n-]2)C1 ZINC000967154223 613575954 /nfs/dbraw/zinc/57/59/54/613575954.db2.gz IHACGYJOMWRBEM-ZYHUDNBSSA-N 0 1 261.329 0.268 20 30 CCEDMN C=CCC[C@@H](CO)NCc1cn(C[C@@H]2CCCO2)nn1 ZINC000865593047 613584292 /nfs/dbraw/zinc/58/42/92/613584292.db2.gz GQMIUNJOYJDWCK-JSGCOSHPSA-N 0 1 280.372 0.874 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@@H]2OCCNC(=O)[C@@H]21 ZINC000879718624 613590963 /nfs/dbraw/zinc/59/09/63/613590963.db2.gz GZTCPIKWYACWSS-RWMBFGLXSA-N 0 1 268.357 0.293 20 30 CCEDMN C=CCN(C(=O)C(=O)N1CCN[C@@H](C)C1)C(C)C ZINC000817930809 613601908 /nfs/dbraw/zinc/60/19/08/613601908.db2.gz GQGYVXSPWLSNQC-NSHDSACASA-N 0 1 253.346 0.230 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NC[C@@H]1Cc2ccccc2CN1 ZINC000885154030 613608724 /nfs/dbraw/zinc/60/87/24/613608724.db2.gz PEXNJUDBFBKPCZ-AWEZNQCLSA-N 0 1 287.363 0.462 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977507354 613609212 /nfs/dbraw/zinc/60/92/12/613609212.db2.gz XKBNLFRBAGGNHJ-NWDGAFQWSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C(C)(C)C1 ZINC000973043655 613612123 /nfs/dbraw/zinc/61/21/23/613612123.db2.gz PNHZXQAXOZBACV-LLVKDONJSA-N 0 1 290.367 0.887 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ncoc2CC)C1 ZINC000957945227 613613904 /nfs/dbraw/zinc/61/39/04/613613904.db2.gz XIOIJBUIIIVSQF-NWDGAFQWSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cncs2)[C@H](O)C1 ZINC000957909688 613615525 /nfs/dbraw/zinc/61/55/25/613615525.db2.gz DSEBJZNRPLYQAX-GHMZBOCLSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc(C)n2)C1 ZINC000957878196 613618902 /nfs/dbraw/zinc/61/89/02/613618902.db2.gz IETDZKYQSLUCEP-ZFWWWQNUSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cocc2C)C1 ZINC000958323623 613619446 /nfs/dbraw/zinc/61/94/46/613619446.db2.gz CRTSDTSWHQQELE-JSGCOSHPSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2F)C1 ZINC000958521233 613619736 /nfs/dbraw/zinc/61/97/36/613619736.db2.gz FHWAVWFNSKNWSS-AAEUAGOBSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2nnc(C)o2)C(C)(C)C1 ZINC000974558269 613620921 /nfs/dbraw/zinc/62/09/21/613620921.db2.gz GFVLOXXZZCMZMX-LBPRGKRZSA-N 0 1 290.367 0.770 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2c[nH]c(=O)n2C)C(C)(C)C1 ZINC000974898006 613624119 /nfs/dbraw/zinc/62/41/19/613624119.db2.gz CPGPQJNRFQGBCA-LBPRGKRZSA-N 0 1 290.367 0.589 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)C2=CCCC2)C1 ZINC000957964846 613625265 /nfs/dbraw/zinc/62/52/65/613625265.db2.gz GGAUIAZLMQRSDB-KGLIPLIRSA-N 0 1 262.353 0.529 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2scnc2C)C1 ZINC000957897573 613626528 /nfs/dbraw/zinc/62/65/28/613626528.db2.gz ILBJKUTUAGVMRF-NEPJUHHUSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3[n-]nnc3C)[C@@H]2C1 ZINC000978571275 613629482 /nfs/dbraw/zinc/62/94/82/613629482.db2.gz ABIRDGFNDOZZJQ-CNDDSTCGSA-N 0 1 273.340 0.044 20 30 CCEDMN CC#CC[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3[n-]nnc3C)[C@@H]2C1 ZINC000978571275 613629486 /nfs/dbraw/zinc/62/94/86/613629486.db2.gz ABIRDGFNDOZZJQ-CNDDSTCGSA-N 0 1 273.340 0.044 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccoc1 ZINC000958655453 613633867 /nfs/dbraw/zinc/63/38/67/613633867.db2.gz UYWXFKNUADGAPE-NHAGDIPZSA-N 0 1 258.321 0.892 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1nccc1C ZINC000961908180 613634922 /nfs/dbraw/zinc/63/49/22/613634922.db2.gz SOMJTMUJDAXJJW-NHAGDIPZSA-N 0 1 272.352 0.261 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)nc(C)n1 ZINC000962046814 613638197 /nfs/dbraw/zinc/63/81/97/613638197.db2.gz WSRPLCMGEVYONG-NHAGDIPZSA-N 0 1 284.363 0.777 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnn1CC ZINC000958659633 613641769 /nfs/dbraw/zinc/64/17/69/613641769.db2.gz NPYAJCVLFFWCTN-IMRBUKKESA-N 0 1 272.352 0.586 20 30 CCEDMN C=CC[N@@H+](CC(=O)[O-])CC(C)(C)N1CCOCC1 ZINC000901586223 613643782 /nfs/dbraw/zinc/64/37/82/613643782.db2.gz LUCHWYQXQBXWSQ-UHFFFAOYSA-N 0 1 256.346 0.670 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823500560 613646916 /nfs/dbraw/zinc/64/69/16/613646916.db2.gz HBNYLUYAZYDBQI-LBPRGKRZSA-N 0 1 281.356 0.871 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823500560 613646917 /nfs/dbraw/zinc/64/69/17/613646917.db2.gz HBNYLUYAZYDBQI-LBPRGKRZSA-N 0 1 281.356 0.871 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@H]1COCC[C@H]1OC ZINC000934041588 613647317 /nfs/dbraw/zinc/64/73/17/613647317.db2.gz DVJRLLWRWGQPKH-CHWSQXEVSA-N 0 1 268.357 0.581 20 30 CCEDMN CC(=NNC1=NCCN1)c1ccnn1CC1CCC1 ZINC000901252847 613654450 /nfs/dbraw/zinc/65/44/50/613654450.db2.gz PTJCBGQJQBZAGG-UHFFFAOYSA-N 0 1 260.345 0.956 20 30 CCEDMN CC(=NNC1=NC[C@@H](C)N1)c1cn2c(n1)CCCC2 ZINC000807981372 613654579 /nfs/dbraw/zinc/65/45/79/613654579.db2.gz MJWMKUVKNMBZNJ-SECBINFHSA-N 0 1 260.345 0.881 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000969247141 613664732 /nfs/dbraw/zinc/66/47/32/613664732.db2.gz UHFBVUOATBDSMX-LLVKDONJSA-N 0 1 261.325 0.556 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccnc3ccnn32)C1 ZINC000969834390 613666419 /nfs/dbraw/zinc/66/64/19/613666419.db2.gz YSTYRSZTYSIBML-LLVKDONJSA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC000970277461 613668817 /nfs/dbraw/zinc/66/88/17/613668817.db2.gz NKDNARVAMUUSFV-JQWIXIFHSA-N 0 1 265.357 0.230 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc3nnnn3c2)C1 ZINC000970147427 613672084 /nfs/dbraw/zinc/67/20/84/613672084.db2.gz VJQPNBSGLPUPKX-JTQLQIEISA-N 0 1 286.339 0.360 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnc3cccnn32)C1 ZINC000970541010 613673337 /nfs/dbraw/zinc/67/33/37/613673337.db2.gz PLUZKVDVSNPCCP-NSHDSACASA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC000969949153 613673780 /nfs/dbraw/zinc/67/37/80/613673780.db2.gz OKRDGDJZCGDAPJ-NSHDSACASA-N 0 1 290.367 0.623 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2nn(CC)nc2C)C1 ZINC000970983931 613673930 /nfs/dbraw/zinc/67/39/30/613673930.db2.gz AVXVRFNJJJTZHZ-JTQLQIEISA-N 0 1 277.372 0.843 20 30 CCEDMN C=CCN1CCCN(C(=O)COc2cnn(C)c2)CC1 ZINC000981833725 613688931 /nfs/dbraw/zinc/68/89/31/613688931.db2.gz JGBDYKBNYKFDKB-UHFFFAOYSA-N 0 1 278.356 0.519 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2COCCN2C)CC1 ZINC000981014904 613693026 /nfs/dbraw/zinc/69/30/26/613693026.db2.gz VIZFDBAYSOAOQZ-ZDUSSCGKSA-N 0 1 267.373 0.037 20 30 CCEDMN C=CCN1CCN(CN2CCN(C3CC3)CC2)C1=O ZINC000839982374 613720365 /nfs/dbraw/zinc/72/03/65/613720365.db2.gz MBVPXMCNAGQWJD-UHFFFAOYSA-N 0 1 264.373 0.648 20 30 CCEDMN CC(=O)NCCCCCC(=O)NCC#CCN(C)C ZINC000913516425 613720443 /nfs/dbraw/zinc/72/04/43/613720443.db2.gz PHEFKPCBJCWANT-UHFFFAOYSA-N 0 1 267.373 0.364 20 30 CCEDMN C=CCN1CCO[C@@]2(CCN(C(=O)c3cc(C)[nH]n3)C2)C1 ZINC000972281391 613724229 /nfs/dbraw/zinc/72/42/29/613724229.db2.gz HJTWPRSLVVDICG-HNNXBMFYSA-N 0 1 290.367 0.821 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC000967420230 613727875 /nfs/dbraw/zinc/72/78/75/613727875.db2.gz SJLZNQJREBWQHP-OCCSQVGLSA-N 0 1 291.399 0.853 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cnnn2CC)C1 ZINC000965980868 613736059 /nfs/dbraw/zinc/73/60/59/613736059.db2.gz PYPHBMCWEHQDAS-VXGBXAGGSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCC(OC)OC)C1=O ZINC000852006626 613738553 /nfs/dbraw/zinc/73/85/53/613738553.db2.gz BWUBBAOPMLJHEG-LLVKDONJSA-N 0 1 256.346 0.714 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cn(C)c(=O)n2C)C1 ZINC000968685709 613774721 /nfs/dbraw/zinc/77/47/21/613774721.db2.gz YAIYPURMBRKPLX-NWDGAFQWSA-N 0 1 292.383 0.350 20 30 CCEDMN C=CCN1CC[C@H](N2CCc3nccc(N)c3C2)C1=O ZINC000931516833 613778730 /nfs/dbraw/zinc/77/87/30/613778730.db2.gz BSMTUZUYRQNOND-AWEZNQCLSA-N 0 1 272.352 0.809 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2COC(=O)N2)C(C)(C)C1 ZINC000974538762 613807945 /nfs/dbraw/zinc/80/79/45/613807945.db2.gz OXLFQVZEOLJQSI-VHSXEESVSA-N 0 1 267.329 0.107 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2COCCN2C)C(C)(C)C1 ZINC000974482755 613808925 /nfs/dbraw/zinc/80/89/25/613808925.db2.gz GXDZGCRXBBPBTL-CHWSQXEVSA-N 0 1 281.400 0.330 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974718898 613827743 /nfs/dbraw/zinc/82/77/43/613827743.db2.gz PHJWOUCGLIAPJA-NEPJUHHUSA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCNC(=O)Cc1noc(-c2coc(CN)c2)n1 ZINC000823031597 613848884 /nfs/dbraw/zinc/84/88/84/613848884.db2.gz ONZOTZHWYDUISD-UHFFFAOYSA-N 0 1 262.269 0.633 20 30 CCEDMN C=CCNC(=O)NC(=O)COC(=O)c1[nH]nc2c1CCC2 ZINC000919331840 613850823 /nfs/dbraw/zinc/85/08/23/613850823.db2.gz SURIFQOBHLIYEN-UHFFFAOYSA-N 0 1 292.295 0.067 20 30 CCEDMN C=CCOCCCNC(=O)[C@@]1(C)C[C@H](O)CN1C ZINC000912501142 613880275 /nfs/dbraw/zinc/88/02/75/613880275.db2.gz ZGZHVVTZRWHSJJ-WCQYABFASA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCOCCCC(=O)N1CC[N@@H+](CCC(=O)[O-])[C@H](C)C1 ZINC000833375949 613879628 /nfs/dbraw/zinc/87/96/28/613879628.db2.gz OWIXGGHVXBRUHQ-CYBMUJFWSA-N 0 1 298.383 0.977 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N([C@H]2CC[N@H+](CC)C2)C1=O ZINC000925319987 613882991 /nfs/dbraw/zinc/88/29/91/613882991.db2.gz DOOJNQBQAXZHIQ-WDEREUQCSA-N 0 1 267.329 0.204 20 30 CCEDMN C=CCONC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000875649613 613883233 /nfs/dbraw/zinc/88/32/33/613883233.db2.gz UAJYICQSUYYBFQ-UHFFFAOYSA-N 0 1 283.372 0.858 20 30 CCEDMN C=CCONC(=O)N1CCN(C2CCOCC2)C[C@H]1C ZINC000838709599 613883440 /nfs/dbraw/zinc/88/34/40/613883440.db2.gz DPMOYDSKRRXECK-GFCCVEGCSA-N 0 1 283.372 0.999 20 30 CCEDMN CC(C)(C)[N@H+]1CC[C@@H]1C(=O)N1CC[C@@](O)(CC#N)C1 ZINC000898086587 613930164 /nfs/dbraw/zinc/93/01/64/613930164.db2.gz OXLJTHZAHKDMRS-RISCZKNCSA-N 0 1 265.357 0.736 20 30 CCEDMN CC(C)(C)N1CC[C@@H]1C(=O)N1CC[C@@](O)(CC#N)C1 ZINC000898086587 613930167 /nfs/dbraw/zinc/93/01/67/613930167.db2.gz OXLJTHZAHKDMRS-RISCZKNCSA-N 0 1 265.357 0.736 20 30 CCEDMN CC(C)(C)c1noc(CCC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000834520964 614058284 /nfs/dbraw/zinc/05/82/84/614058284.db2.gz AOKOTUZINCBOQF-NXEZZACHSA-N 0 1 291.355 0.527 20 30 CCEDMN CC(=O)Nc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1F ZINC000834499690 614199373 /nfs/dbraw/zinc/19/93/73/614199373.db2.gz LJDADDSYBZEVKY-GXFFZTMASA-N 0 1 290.298 0.625 20 30 CCEDMN CC(=O)Nc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834512011 614204221 /nfs/dbraw/zinc/20/42/21/614204221.db2.gz QXEHYJGOMWVPPG-YPMHNXCESA-N 0 1 272.308 0.486 20 30 CCEDMN CC(C)C[C@H]1CN(C)CCN1CC(=O)NCCC#N ZINC000933247374 614226395 /nfs/dbraw/zinc/22/63/95/614226395.db2.gz PREKUNYTUOWWPE-ZDUSSCGKSA-N 0 1 266.389 0.678 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N[C@@H](C#N)C2CC2)cc1=O ZINC000932378055 614236550 /nfs/dbraw/zinc/23/65/50/614236550.db2.gz KSASRRRFCACFDC-LBPRGKRZSA-N 0 1 276.340 0.793 20 30 CCEDMN CC(=O)c1cc(C(=O)N[C@H]2CNC[C@H]2C#N)n(C)c1 ZINC000834499573 614247604 /nfs/dbraw/zinc/24/76/04/614247604.db2.gz JSHQRLROAUFXHU-MNOVXSKESA-N 0 1 260.297 0.069 20 30 CCEDMN CC(=O)c1ccc(NC(=O)NCC#CCN(C)C)nc1 ZINC000930786798 614254240 /nfs/dbraw/zinc/25/42/40/614254240.db2.gz NGFKXDPPIPZXNB-UHFFFAOYSA-N 0 1 274.324 0.971 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC000817853161 614285866 /nfs/dbraw/zinc/28/58/66/614285866.db2.gz AIOJPSKVPYESAD-GWCFXTLKSA-N 0 1 267.329 0.447 20 30 CCEDMN CC(C)[N@@H+](CC(=O)NCC(=O)[O-])Cc1ccc(C#N)cc1 ZINC000819996340 614286061 /nfs/dbraw/zinc/28/60/61/614286061.db2.gz JBTMDRUZRHVRGQ-UHFFFAOYSA-N 0 1 289.335 0.969 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@@H]1C(=O)NCC1(C)C ZINC000931666369 614286460 /nfs/dbraw/zinc/28/64/60/614286460.db2.gz DAMFLTOHTFQRHS-RISCZKNCSA-N 0 1 280.372 0.155 20 30 CCEDMN CC(C)(C(=O)N[C@@H]1CCN(O)C1=O)N1CCCCC1 ZINC000820127766 614301061 /nfs/dbraw/zinc/30/10/61/614301061.db2.gz QLXVRDKKNAWZBX-SNVBAGLBSA-N 0 1 269.345 0.357 20 30 CCEDMN CC(C)[N@H+]1CCC[C@H]1C(=O)N1CCO[C@H](C#N)C1 ZINC000932149607 614351323 /nfs/dbraw/zinc/35/13/23/614351323.db2.gz QMKQLZTYZIWTLQ-NEPJUHHUSA-N 0 1 251.330 0.610 20 30 CCEDMN CC(C)[NH+]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC1 ZINC000820024935 614357981 /nfs/dbraw/zinc/35/79/81/614357981.db2.gz KXTGXQCNMYRLBV-ZDUSSCGKSA-N 0 1 267.329 0.544 20 30 CCEDMN CC(C)[N@H+]1C[C@@H](C)[C@@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820244926 614391083 /nfs/dbraw/zinc/39/10/83/614391083.db2.gz KAXONAWRLWPQJP-SUNKGSAMSA-N 0 1 281.356 0.836 20 30 CCEDMN CCCC(=O)N1CCCC[C@@H]1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834485561 614742410 /nfs/dbraw/zinc/74/24/10/614742410.db2.gz YNSIRZYSSXTXFQ-FRRDWIJNSA-N 0 1 292.383 0.395 20 30 CCEDMN CC1(C)[C@@]2(C)CC[C@]1(C(=O)N[C@H]1CNC[C@@H]1C#N)OC2=O ZINC000876801849 614872081 /nfs/dbraw/zinc/87/20/81/614872081.db2.gz JTHSBNYHQBAQCD-FCIDVOKBSA-N 0 1 291.351 0.336 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@H]1CCOC[C@@H]1OC ZINC000880429712 615021347 /nfs/dbraw/zinc/02/13/47/615021347.db2.gz WVELXUMEEACYRU-STQMWFEESA-N 0 1 283.372 0.484 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000827020552 615061559 /nfs/dbraw/zinc/06/15/59/615061559.db2.gz WQHXFUSPQLRFNJ-RYUDHWBXSA-N 0 1 296.371 0.679 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000827020552 615061560 /nfs/dbraw/zinc/06/15/60/615061560.db2.gz WQHXFUSPQLRFNJ-RYUDHWBXSA-N 0 1 296.371 0.679 20 30 CCEDMN CCOC(=O)C1(C(=O)N[C@H]2CNC[C@H]2C#N)CCCC1 ZINC000843459731 615154990 /nfs/dbraw/zinc/15/49/90/615154990.db2.gz HQLLUSFBLFQGAK-MNOVXSKESA-N 0 1 279.340 0.338 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1CCO[C@H](C#N)C1 ZINC000890071520 615247071 /nfs/dbraw/zinc/24/70/71/615247071.db2.gz ZBUOSCKLKDDOHF-GHMZBOCLSA-N 0 1 252.318 0.015 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)[C@@H](O)c1ccc(C#N)cc1 ZINC000922157843 615256033 /nfs/dbraw/zinc/25/60/33/615256033.db2.gz FDSQZCCXEDBFIJ-KGLIPLIRSA-N 0 1 273.336 0.802 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)N1CC[C@](O)(CC#N)C1 ZINC000890059243 615316220 /nfs/dbraw/zinc/31/62/20/615316220.db2.gz GAZFHLXXMVSCMQ-WCQYABFASA-N 0 1 266.345 0.141 20 30 CCEDMN CC[C@@H](C#N)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000931330293 615366359 /nfs/dbraw/zinc/36/63/59/615366359.db2.gz FCLAVQAROAWAQJ-JTQLQIEISA-N 0 1 264.329 0.793 20 30 CCEDMN CCO[C@@H](C(=O)C(C#N)C(=O)NC1CC1)C1CC1 ZINC000860472501 615399053 /nfs/dbraw/zinc/39/90/53/615399053.db2.gz BFINAQZAOSEFPY-ZYHUDNBSSA-N 0 1 250.298 0.789 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907479939 615599670 /nfs/dbraw/zinc/59/96/70/615599670.db2.gz JVYDTWIHJKTCJI-HTQZYQBOSA-N 0 1 266.330 0.366 20 30 CCEDMN CCc1cc(CC(=O)NCC#CCN(C)C)n(C)n1 ZINC000827972112 615641976 /nfs/dbraw/zinc/64/19/76/615641976.db2.gz RGVUHMYGIIBJTO-UHFFFAOYSA-N 0 1 262.357 0.206 20 30 CCEDMN CCn1nc(C)c(C=NNc2ncnc3nc[nH]c32)n1 ZINC000834942821 615650779 /nfs/dbraw/zinc/65/07/79/615650779.db2.gz XVPLCEFAODHNMJ-UHFFFAOYSA-N 0 1 271.288 0.719 20 30 CCEDMN CCc1cc(CNS(=O)(=O)CC(C)(C)C#N)n[nH]1 ZINC000914133043 615650897 /nfs/dbraw/zinc/65/08/97/615650897.db2.gz GCOPRSYADPSOGN-UHFFFAOYSA-N 0 1 270.358 0.941 20 30 CCEDMN CCc1cc(CNS(=O)(=O)N(C)C[C@@H](C)C#N)n[nH]1 ZINC000800055495 615651036 /nfs/dbraw/zinc/65/10/36/615651036.db2.gz LBJRSDVLXYYLJO-VIFPVBQESA-N 0 1 285.373 0.398 20 30 CCEDMN CC[C@H](CO)N1CCN(Cc2cncc(C#N)c2)CC1 ZINC000929731386 615734616 /nfs/dbraw/zinc/73/46/16/615734616.db2.gz JXOLMGTUOOFIHF-OAHLLOKOSA-N 0 1 274.368 0.842 20 30 CCEDMN CCc1nn(C)c(Cl)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834485292 615793552 /nfs/dbraw/zinc/79/35/52/615793552.db2.gz UTYIMPLWHRDQSL-CBAPKCEASA-N 0 1 281.747 0.477 20 30 CCEDMN CN(C(=O)Cc1ccn[nH]1)[C@H]1CCCN(CC#N)CC1 ZINC000948263332 615824618 /nfs/dbraw/zinc/82/46/18/615824618.db2.gz ZYKWHDGJCPDEOU-ZDUSSCGKSA-N 0 1 275.356 0.789 20 30 CCEDMN CCc1noc(CCCC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000834490605 615836804 /nfs/dbraw/zinc/83/68/04/615836804.db2.gz WBJBKVXTFRVDAU-NXEZZACHSA-N 0 1 277.328 0.182 20 30 CCEDMN CN(C)C1(C(=O)NCC#C[C@@H]2CCCCO2)CC1 ZINC000891117045 615842434 /nfs/dbraw/zinc/84/24/34/615842434.db2.gz RRMBKNRPLXJWLT-LBPRGKRZSA-N 0 1 250.342 0.769 20 30 CCEDMN CN(C)CC#CCNC(=O)CN(C)c1ccccc1 ZINC000913517659 615857470 /nfs/dbraw/zinc/85/74/70/615857470.db2.gz KQUHHWIDRCHNLI-UHFFFAOYSA-N 0 1 259.353 0.804 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)c1cccc(F)c1 ZINC000913514239 615858495 /nfs/dbraw/zinc/85/84/95/615858495.db2.gz JFQHVUHSTSMZPY-UHFFFAOYSA-N 0 1 291.326 0.237 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1c(=O)cnc2ccccc21 ZINC000913515914 615859114 /nfs/dbraw/zinc/85/91/14/615859114.db2.gz SIXHLRDGGZNLSB-UHFFFAOYSA-N 0 1 298.346 0.078 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCCC12OCCO2 ZINC000913521771 615859666 /nfs/dbraw/zinc/85/96/66/615859666.db2.gz MRAWEFPDVQUCSX-LBPRGKRZSA-N 0 1 266.341 0.211 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(Cl)cn1C ZINC000913515961 615861027 /nfs/dbraw/zinc/86/10/27/615861027.db2.gz UTJYMADWJBSIDK-UHFFFAOYSA-N 0 1 253.733 0.973 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2nncn2c1 ZINC000913517832 615861369 /nfs/dbraw/zinc/86/13/69/615861369.db2.gz REWBMBACPNFRNY-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2cnccc2n1 ZINC000913523171 615861386 /nfs/dbraw/zinc/86/13/86/615861386.db2.gz ORURUBOKNVZMQW-UHFFFAOYSA-N 0 1 268.320 0.925 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccnc1N1CCCC1 ZINC000913515761 615861402 /nfs/dbraw/zinc/86/14/02/615861402.db2.gz MDIGLKOTPMSIIA-UHFFFAOYSA-N 0 1 286.379 0.977 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccccc1Cn1cncn1 ZINC000913519094 615861409 /nfs/dbraw/zinc/86/14/09/615861409.db2.gz NJFXKORWBOSIMR-UHFFFAOYSA-N 0 1 297.362 0.621 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)C(=O)N(C)C2=O ZINC000913516634 615861426 /nfs/dbraw/zinc/86/14/26/615861426.db2.gz YWBSHDHDWKNTMF-UHFFFAOYSA-N 0 1 299.330 0.207 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc2ccccn12 ZINC000913519564 615861660 /nfs/dbraw/zinc/86/16/60/615861660.db2.gz FRGBNJPCWIFCOK-UHFFFAOYSA-N 0 1 256.309 0.629 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(C)c1-n1cccc1 ZINC000913516622 615861735 /nfs/dbraw/zinc/86/17/35/615861735.db2.gz YMUXFHJBRJOOAF-UHFFFAOYSA-N 0 1 285.351 0.506 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc(Cl)n1C ZINC000913523798 615862002 /nfs/dbraw/zinc/86/20/02/615862002.db2.gz MRXMSNWHYBINPI-UHFFFAOYSA-N 0 1 254.721 0.368 20 30 CCEDMN CN(C(=O)[C@]1(C#N)CC2CCC1CC2)c1nn[nH]n1 ZINC000912616956 615890133 /nfs/dbraw/zinc/89/01/33/615890133.db2.gz AFXWSYZFKXQPED-KWPJZBAWSA-N 0 1 260.301 0.883 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)N[C@H]1CCN(O)C1=O ZINC000820149623 615957270 /nfs/dbraw/zinc/95/72/70/615957270.db2.gz QDEIVISOTMKJPM-VIFPVBQESA-N 0 1 283.353 0.530 20 30 CCEDMN CN(C)c1ccc(C(=O)[O-])cc1C=NNC1=[NH+]CCN1 ZINC000901253007 616013274 /nfs/dbraw/zinc/01/32/74/616013274.db2.gz UQFVRAXWLHKCSX-UHFFFAOYSA-N 0 1 275.312 0.334 20 30 CCEDMN CC[S@](C)(=O)=NS(=O)(=O)NCC(C)(C)C#N ZINC000867081101 616020247 /nfs/dbraw/zinc/02/02/47/616020247.db2.gz URUAELVYXWQPIP-HNNXBMFYSA-N 0 1 267.376 0.488 20 30 CCEDMN C[N@H+](CC(=O)NCC(=O)[O-])Cc1ccc(C#N)cc1 ZINC000820562900 616046730 /nfs/dbraw/zinc/04/67/30/616046730.db2.gz YUBBNIQKYPZPQQ-UHFFFAOYSA-N 0 1 261.281 0.191 20 30 CCEDMN C[N@@H+](CC(=O)NCC(=O)[O-])Cc1ccc(C#N)cc1 ZINC000820562900 616046731 /nfs/dbraw/zinc/04/67/31/616046731.db2.gz YUBBNIQKYPZPQQ-UHFFFAOYSA-N 0 1 261.281 0.191 20 30 CCEDMN CN1C(=O)CC[C@@H](NCc2csc(C#N)c2)C1=O ZINC000922200567 616127186 /nfs/dbraw/zinc/12/71/86/616127186.db2.gz DZADNOPERSIWSF-SNVBAGLBSA-N 0 1 263.322 0.857 20 30 CCEDMN CN(C[C@H](O)CC#N)C[C@@H](O)COCc1ccccc1 ZINC000929627090 616149127 /nfs/dbraw/zinc/14/91/27/616149127.db2.gz GJTPEPMYQAMJMW-HUUCEWRRSA-N 0 1 278.352 0.770 20 30 CCEDMN CN1CCCC(=NN[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC000863134871 616177758 /nfs/dbraw/zinc/17/77/58/616177758.db2.gz VRDCLONVMFWGHW-GFCCVEGCSA-N 0 1 273.402 0.625 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)CC1(C#N)CCOCC1 ZINC000928553134 616286738 /nfs/dbraw/zinc/28/67/38/616286738.db2.gz XYZKWYRDIIOIAS-LLVKDONJSA-N 0 1 251.330 0.517 20 30 CCEDMN COC(=O)[C@@H]1CCC[C@H](C(=O)N[C@@H]2CNC[C@H]2C#N)C1 ZINC000834488799 616296257 /nfs/dbraw/zinc/29/62/57/616296257.db2.gz GOCJSJRWRXCFSC-IRCOFANPSA-N 0 1 279.340 0.194 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)CC(C)(C)C#N)[C@@H]2C1 ZINC000915834428 616391577 /nfs/dbraw/zinc/39/15/77/616391577.db2.gz JJGUXUNTBKBEGX-GHMZBOCLSA-N 0 1 271.386 0.502 20 30 CCEDMN COC(=O)C1=C(C)O[C@H](CN2CC[C@@](O)(CC#N)C2)C1 ZINC000829992192 616407221 /nfs/dbraw/zinc/40/72/21/616407221.db2.gz TVVMYWIYGPYIJJ-FZMZJTMJSA-N 0 1 280.324 0.573 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC000845441502 616495830 /nfs/dbraw/zinc/49/58/30/616495830.db2.gz PWRVLDSIGGHZMH-QJPTWQEYSA-N 0 1 264.325 0.894 20 30 CCEDMN C[N@H+]1C[C@H](O)C[C@]1(C)C(=O)[N-]Oc1cccc(C#N)c1 ZINC000913667065 616500365 /nfs/dbraw/zinc/50/03/65/616500365.db2.gz UUSDYPFNEMMDKH-BXUZGUMPSA-N 0 1 275.308 0.423 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000911289306 616501400 /nfs/dbraw/zinc/50/14/00/616501400.db2.gz XHVZHZBLYDPQHZ-SBFPOUOMSA-N 0 1 251.330 0.250 20 30 CCEDMN COC(=O)[C@@]1(O)CCN(CCOc2ccccc2C#N)C1 ZINC000880560980 616559383 /nfs/dbraw/zinc/55/93/83/616559383.db2.gz IBEATXGCPCMITJ-OAHLLOKOSA-N 0 1 290.319 0.547 20 30 CCEDMN COC(=O)Cc1sccc1C(=O)NCC#CCN(C)C ZINC000913522276 616728970 /nfs/dbraw/zinc/72/89/70/616728970.db2.gz CCJWXGVMSJOZRU-UHFFFAOYSA-N 0 1 294.376 0.758 20 30 CCEDMN CNC(=O)Nc1cccc(C(=O)NCC#CCN(C)C)c1 ZINC000913524114 616769878 /nfs/dbraw/zinc/76/98/78/616769878.db2.gz AEGRTIXTRAZGDP-UHFFFAOYSA-N 0 1 288.351 0.733 20 30 CCEDMN COC[C@@H](C)N1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC000980838683 616800034 /nfs/dbraw/zinc/80/00/34/616800034.db2.gz VYODJTSCFNJVCC-NWDGAFQWSA-N 0 1 253.346 0.715 20 30 CCEDMN COC(=O)c1cc(C(=O)NCC#CCN(C)C)c[nH]1 ZINC000913522577 616822614 /nfs/dbraw/zinc/82/26/14/616822614.db2.gz PMUOTTTUGITIMJ-UHFFFAOYSA-N 0 1 263.297 0.096 20 30 CCEDMN COC(=O)N[C@H](C(=O)NCC#CCN(C)C)C(C)C ZINC000913518663 616914855 /nfs/dbraw/zinc/91/48/55/616914855.db2.gz WTLBOEMAAKHIJI-NSHDSACASA-N 0 1 269.345 0.048 20 30 CCEDMN COC(=O)c1ccc(NC[C@@H]2CCN2C)c(C#N)n1 ZINC000895769775 616916353 /nfs/dbraw/zinc/91/63/53/616916353.db2.gz IIMDLNZSIBWWKG-VIFPVBQESA-N 0 1 260.297 0.856 20 30 CCEDMN COC(=O)c1cncc(NC(=O)NCC#CCN(C)C)c1 ZINC000930430425 616992922 /nfs/dbraw/zinc/99/29/22/616992922.db2.gz DZZJTXCACWGQNV-UHFFFAOYSA-N 0 1 290.323 0.555 20 30 CCEDMN COC(=O)c1csc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000841145030 617020312 /nfs/dbraw/zinc/02/03/12/617020312.db2.gz HJCBCDNFPNFTNO-DTWKUNHWSA-N 0 1 294.336 0.768 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NCC#CCN(C)C ZINC000827972217 617151952 /nfs/dbraw/zinc/15/19/52/617151952.db2.gz WHJNKKHUIWHIGK-UHFFFAOYSA-N 0 1 271.320 0.862 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H](C)CO1 ZINC001025911028 625301990 /nfs/dbraw/zinc/30/19/90/625301990.db2.gz AJHCAMZBRWYDPL-PDWCTOEPSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCOC[C@@H]1C ZINC001026021522 625322320 /nfs/dbraw/zinc/32/23/20/625322320.db2.gz UGRITIDSOIJABL-QSLWVIQJSA-N 0 1 262.353 0.339 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001008159639 625414703 /nfs/dbraw/zinc/41/47/03/625414703.db2.gz VWGMCWHAMXDAAA-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)CN3CCCC3)C[C@H]21 ZINC001026420027 625440509 /nfs/dbraw/zinc/44/05/09/625440509.db2.gz CHVQFMBHTIDDAE-BFHYXJOUSA-N 0 1 276.384 0.432 20 30 CCEDMN C[C@@H]1CCN(C[C@H](O)CC#N)C[C@@H]1[N-]C(=O)C(F)(F)F ZINC000931077141 625473236 /nfs/dbraw/zinc/47/32/36/625473236.db2.gz PLYRRXQAUOIPSU-BBBLOLIVSA-N 0 1 293.289 0.650 20 30 CCEDMN CCc1nnc(CNC[C@H]2CCN(C(=O)[C@H](C)C#N)C2)o1 ZINC001026732026 625577750 /nfs/dbraw/zinc/57/77/50/625577750.db2.gz FUGMOFXIAKESEU-GHMZBOCLSA-N 0 1 291.355 0.730 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001023402494 623023956 /nfs/dbraw/zinc/02/39/56/623023956.db2.gz CEZUQSCMFHSRQZ-LLVKDONJSA-N 0 1 298.350 0.428 20 30 CCEDMN C[C@@](CO)(NCC(=O)NCCC#N)c1ccccc1 ZINC000169256266 623084020 /nfs/dbraw/zinc/08/40/20/623084020.db2.gz GQAJWNSCDOTKGH-AWEZNQCLSA-N 0 1 261.325 0.514 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001024494455 623337910 /nfs/dbraw/zinc/33/79/10/623337910.db2.gz OJOGNGKATHOKEP-LLVKDONJSA-N 0 1 276.340 0.641 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001024545304 623359546 /nfs/dbraw/zinc/35/95/46/623359546.db2.gz HBINYYNSBCDDJA-NSHDSACASA-N 0 1 298.350 0.570 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC000892496444 623372956 /nfs/dbraw/zinc/37/29/56/623372956.db2.gz NWMZTGKXRBMLNT-MVVXRAEJSA-N 0 1 262.309 0.789 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001024599650 623391229 /nfs/dbraw/zinc/39/12/29/623391229.db2.gz NZXQGFVEDYHCNU-NSHDSACASA-N 0 1 276.340 0.343 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001024605228 623392131 /nfs/dbraw/zinc/39/21/31/623392131.db2.gz UXPUUQVMJZYGHM-MQYQWHSLSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1C[C@H]1C(N)=O ZINC001024657451 623408891 /nfs/dbraw/zinc/40/88/91/623408891.db2.gz BJFSMXVQTYGDDP-XQQFMLRXSA-N 0 1 277.368 0.102 20 30 CCEDMN C[C@H]1CN2CCCC[C@H]2CN1C(=O)NCC#CCO ZINC000923780192 623420201 /nfs/dbraw/zinc/42/02/01/623420201.db2.gz HYRUHAQQEUBVQR-STQMWFEESA-N 0 1 265.357 0.250 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001024796739 623446190 /nfs/dbraw/zinc/44/61/90/623446190.db2.gz GJBUDHBJVDYSQP-LBPRGKRZSA-N 0 1 290.367 0.884 20 30 CCEDMN N#CC(C(=O)COC1CC1)C(=O)NC1CCCC1 ZINC000892529895 623486061 /nfs/dbraw/zinc/48/60/61/623486061.db2.gz FAAKVPXGWHFRRV-NSHDSACASA-N 0 1 250.298 0.933 20 30 CCEDMN O=C(NCC#CCO)NCCN1CCc2ccccc2C1 ZINC000923785794 623506439 /nfs/dbraw/zinc/50/64/39/623506439.db2.gz KSMRZHORYPBTOO-UHFFFAOYSA-N 0 1 287.363 0.340 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@@H]1C ZINC000124298446 625678484 /nfs/dbraw/zinc/67/84/84/625678484.db2.gz YUKXWSSAXFOKBP-HBNTYKKESA-N 0 1 252.314 0.894 20 30 CCEDMN C[C@@H](NC(=O)c1nnc[n-]1)[C@@H]1CCC[N@@H+](CC#N)C1 ZINC001025561590 623827779 /nfs/dbraw/zinc/82/77/79/623827779.db2.gz MKLGIJFCVLRADL-NXEZZACHSA-N 0 1 262.317 0.159 20 30 CCEDMN C[C@@H](NC(=O)c1nnc[nH]1)[C@@H]1CCCN(CC#N)C1 ZINC001025561590 623827782 /nfs/dbraw/zinc/82/77/82/623827782.db2.gz MKLGIJFCVLRADL-NXEZZACHSA-N 0 1 262.317 0.159 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001007735238 623884793 /nfs/dbraw/zinc/88/47/93/623884793.db2.gz CCLXRRHTOONTFU-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC000970262155 624663879 /nfs/dbraw/zinc/66/38/79/624663879.db2.gz YEJYVNLYHAVLHQ-SSDOTTSWSA-N 0 1 287.245 0.756 20 30 CCEDMN C[C@H](NC(=O)c1occc1CN(C)C)C1CN(CC#N)C1 ZINC000970338942 624675729 /nfs/dbraw/zinc/67/57/29/624675729.db2.gz JQOIBYJZMJIKOV-NSHDSACASA-N 0 1 290.367 0.915 20 30 CCEDMN N#CCN1CC[C@H]2[C@H](CCCN2C(=O)CN2CCCC2)C1 ZINC001021738001 624816484 /nfs/dbraw/zinc/81/64/84/624816484.db2.gz YJKLBEZTGCDGHT-CABCVRRESA-N 0 1 290.411 0.919 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)c2ncccc2[O-])C1 ZINC001027380941 625861912 /nfs/dbraw/zinc/86/19/12/625861912.db2.gz OZGFSCJBINWPNA-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)c3cncc4nc[nH]c43)[C@@H]2C1 ZINC000978228148 630794022 /nfs/dbraw/zinc/79/40/22/630794022.db2.gz QVLHPBJPPUKUPT-WLLOZRIZSA-N 0 1 296.334 0.389 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cc(CC)n[nH]1 ZINC001027759064 630897438 /nfs/dbraw/zinc/89/74/38/630897438.db2.gz HUAWMFFFMDVBOG-LBPRGKRZSA-N 0 1 260.341 0.800 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@H]1COCCO1 ZINC001027758302 630898158 /nfs/dbraw/zinc/89/81/58/630898158.db2.gz VHOZDJQHVLAERZ-NWDGAFQWSA-N 0 1 254.330 0.168 20 30 CCEDMN N#Cc1cc(C(=O)N2CCCN(CCO)CC2)c[nH]1 ZINC000981006079 631092252 /nfs/dbraw/zinc/09/22/52/631092252.db2.gz KOYSEJHJXHPQIS-UHFFFAOYSA-N 0 1 262.313 0.027 20 30 CCEDMN C[C@@H](O)CN1CCCN(C(=O)C#CC2CC2)CC1 ZINC000981125304 631237936 /nfs/dbraw/zinc/23/79/36/631237936.db2.gz CVLMTILWBJYKHR-GFCCVEGCSA-N 0 1 250.342 0.315 20 30 CCEDMN N#CCN[C@H]1CCC[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000981352769 631271229 /nfs/dbraw/zinc/27/12/29/631271229.db2.gz FEZREUNVXQTVFU-WDEREUQCSA-N 0 1 261.329 0.493 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnsn2)C1 ZINC001010323115 631275202 /nfs/dbraw/zinc/27/52/02/631275202.db2.gz APGNJQYZRBVXCP-VIFPVBQESA-N 0 1 250.327 0.366 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H](NC(=O)CN2CCCC2)C1 ZINC000981529153 631285600 /nfs/dbraw/zinc/28/56/00/631285600.db2.gz ZWMCGHOQYHUKPG-CHWSQXEVSA-N 0 1 264.373 0.623 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@@H]1NC(=O)c1[nH]ncc1F ZINC000968193346 650009211 /nfs/dbraw/zinc/00/92/11/650009211.db2.gz UBCKTCNKOJNOCB-WPRPVWTQSA-N 0 1 265.292 0.513 20 30 CCEDMN N#CCC1(CNC(=O)NCCN2CCC(O)CC2)CC1 ZINC000894565915 631794431 /nfs/dbraw/zinc/79/44/31/631794431.db2.gz JYSWDNDFVFBKQR-UHFFFAOYSA-N 0 1 280.372 0.436 20 30 CCEDMN CN1CC(C(=O)NC[C@@H]2CCCCCN2CC#N)=NC1=O ZINC000969013831 650036491 /nfs/dbraw/zinc/03/64/91/650036491.db2.gz HFXCLFDLSLDYMP-NSHDSACASA-N 0 1 291.355 0.624 20 30 CCEDMN C[C@H](NC(=O)c1cc(C#N)cs1)[C@@H]1CN(C)CCN1C ZINC000896554451 632282474 /nfs/dbraw/zinc/28/24/74/632282474.db2.gz GCNRSHWNFTXJNF-JQWIXIFHSA-N 0 1 292.408 0.984 20 30 CCEDMN C[C@@H](NC(=O)c1cccc(C#N)c1)[C@H]1CN(C)CCN1C ZINC000896582902 632295210 /nfs/dbraw/zinc/29/52/10/632295210.db2.gz WOXOGXAKRBAPFS-IUODEOHRSA-N 0 1 286.379 0.922 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CCN1C(=O)[C@@H](C)C#N ZINC000985101412 632356039 /nfs/dbraw/zinc/35/60/39/632356039.db2.gz ZBXSXOFCNSOZKV-ATZCPNFKSA-N 0 1 290.327 0.074 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001007114574 650064923 /nfs/dbraw/zinc/06/49/23/650064923.db2.gz UHZNVVIAFCXLHU-OLZOCXBDSA-N 0 1 278.352 0.544 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897307672 632475968 /nfs/dbraw/zinc/47/59/68/632475968.db2.gz UZYHKUTYXPWSNM-YPMHNXCESA-N 0 1 268.357 0.008 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001007564253 650084128 /nfs/dbraw/zinc/08/41/28/650084128.db2.gz SBFUMDJMOGLQDT-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CS(C)(=O)=O)[C@@H]1C ZINC000986044902 632698700 /nfs/dbraw/zinc/69/87/00/632698700.db2.gz VCGZFQYULRNBGB-ZJUUUORDSA-N 0 1 294.804 0.363 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn(C)nn2)[C@H]1C ZINC000986235464 632733208 /nfs/dbraw/zinc/73/32/08/632733208.db2.gz STCRCDUTNXFHDY-UWVGGRQHSA-N 0 1 283.763 0.760 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ncn(C)n2)[C@H]1C ZINC000986232294 632734173 /nfs/dbraw/zinc/73/41/73/632734173.db2.gz MVYADNPUQBUXDI-VHSXEESVSA-N 0 1 283.763 0.760 20 30 CCEDMN C[C@H]1[C@@H](NCc2ccn(C)n2)CCN1C(=O)C#CC1CC1 ZINC000986275638 632737953 /nfs/dbraw/zinc/73/79/53/632737953.db2.gz GWYJLOKLDKGOOX-WFASDCNBSA-N 0 1 286.379 0.913 20 30 CCEDMN C[C@@H]1[C@H](NCC#N)CCN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000986297408 632740736 /nfs/dbraw/zinc/74/07/36/632740736.db2.gz BLFCMPZBYFQJMS-MWLCHTKSSA-N 0 1 284.323 0.674 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001007756944 650092004 /nfs/dbraw/zinc/09/20/04/650092004.db2.gz XBYMQKWAUPXMEB-SECBINFHSA-N 0 1 269.736 0.751 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn[nH]n2)[C@H]1C ZINC000986456490 632756736 /nfs/dbraw/zinc/75/67/36/632756736.db2.gz OPLISQWFXIJJHX-DTWKUNHWSA-N 0 1 269.736 0.750 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cn(C)c(=O)cn2)C1 ZINC001007985609 650099334 /nfs/dbraw/zinc/09/93/34/650099334.db2.gz VITLMKNICBXXER-NSHDSACASA-N 0 1 276.340 0.161 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC001008189935 650105107 /nfs/dbraw/zinc/10/51/07/650105107.db2.gz PDOOXXZZMKNCJV-SNVBAGLBSA-N 0 1 264.329 0.506 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001008244625 650106764 /nfs/dbraw/zinc/10/67/64/650106764.db2.gz AOLQIHFFDSVAOC-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cc(=O)n(C)o2)C1 ZINC000988719848 633091355 /nfs/dbraw/zinc/09/13/55/633091355.db2.gz OOJDFTXSQPJJNF-ZJUUUORDSA-N 0 1 299.758 0.923 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)C(=O)N2CC[C@H](C)C2)CC1 ZINC000989446716 633257827 /nfs/dbraw/zinc/25/78/27/633257827.db2.gz XRAQCIRITATDAM-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2conc2COC)CC1 ZINC000989463003 633297163 /nfs/dbraw/zinc/29/71/63/633297163.db2.gz SPIKERDPADEBKY-UHFFFAOYSA-N 0 1 291.351 0.992 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccnc(C)n2)CC1 ZINC000989689406 633345802 /nfs/dbraw/zinc/34/58/02/633345802.db2.gz MSIRHMLKFFAZLQ-UHFFFAOYSA-N 0 1 272.352 0.956 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cc[n+]([O-])cc3)CCC[C@H]12 ZINC000989927708 633386315 /nfs/dbraw/zinc/38/63/15/633386315.db2.gz CAKGTEXKHBBTLM-HOCLYGCPSA-N 0 1 285.347 0.680 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnsn3)CCC[C@@H]12 ZINC000989969238 633395773 /nfs/dbraw/zinc/39/57/73/633395773.db2.gz JEVZNZLHTPRDHF-YPMHNXCESA-N 0 1 276.365 0.898 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ccnn3C)CCC[C@H]12 ZINC000990014930 633409975 /nfs/dbraw/zinc/40/99/75/633409975.db2.gz KTCLPUVIJOVQRO-ZFWWWQNUSA-N 0 1 272.352 0.780 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)O2)C1 ZINC001008508551 650117120 /nfs/dbraw/zinc/11/71/20/650117120.db2.gz IGUAVFWRPQJPOC-FRRDWIJNSA-N 0 1 295.383 0.176 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@]2(C)CCNC2=O)C1 ZINC001008515368 650117944 /nfs/dbraw/zinc/11/79/44/650117944.db2.gz DRNXRZLDRYYBIM-SWLSCSKDSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001008541870 650119261 /nfs/dbraw/zinc/11/92/61/650119261.db2.gz SEZNXJYEMUCTBO-NSHDSACASA-N 0 1 290.367 0.884 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001008549431 650119880 /nfs/dbraw/zinc/11/98/80/650119880.db2.gz FVZFXGKZNKGOBQ-MELADBBJSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000990785720 633822978 /nfs/dbraw/zinc/82/29/78/633822978.db2.gz UNMHTBJJHKIMQB-UHFFFAOYSA-N 0 1 290.367 0.800 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001014642712 633899708 /nfs/dbraw/zinc/89/97/08/633899708.db2.gz GADIXOHWOVNQMR-SNVBAGLBSA-N 0 1 286.339 0.733 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001008922680 650132258 /nfs/dbraw/zinc/13/22/58/650132258.db2.gz GBLNXHPRFBKCOF-SECBINFHSA-N 0 1 269.736 0.751 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001008922680 650132260 /nfs/dbraw/zinc/13/22/60/650132260.db2.gz GBLNXHPRFBKCOF-SECBINFHSA-N 0 1 269.736 0.751 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001009380661 650144381 /nfs/dbraw/zinc/14/43/81/650144381.db2.gz YOUGVFGFRMLLRS-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN COc1nn(C)cc1CNC[C@@H](O)CC1(C#N)CC1 ZINC000905675455 634894606 /nfs/dbraw/zinc/89/46/06/634894606.db2.gz PIALLKVSVTZRFI-NSHDSACASA-N 0 1 264.329 0.573 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(C#N)cn1)N1CCCC1 ZINC000906837667 635041580 /nfs/dbraw/zinc/04/15/80/635041580.db2.gz KELDMDMEBJGCKD-NSHDSACASA-N 0 1 294.380 0.716 20 30 CCEDMN Cc1nc(CN2CC[C@H](NC(=O)c3c[nH]c(C#N)c3)C2)n[nH]1 ZINC001010697903 650254695 /nfs/dbraw/zinc/25/46/95/650254695.db2.gz MMWTUOQYLCCSLP-NSHDSACASA-N 0 1 299.338 0.317 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnnc(C)c2)C1 ZINC001010769234 650263578 /nfs/dbraw/zinc/26/35/78/650263578.db2.gz XJMMWHXTLFOFQH-CYBMUJFWSA-N 0 1 258.325 0.612 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC001010844707 650269638 /nfs/dbraw/zinc/26/96/38/650269638.db2.gz CXBVXWZSLAWGEK-UHFFFAOYSA-N 0 1 290.367 0.835 20 30 CCEDMN N#Cc1ccc(NCC(=O)N2CC[C@@H](N3CCC3)C2)nc1 ZINC000912912067 636699601 /nfs/dbraw/zinc/69/96/01/636699601.db2.gz VTWHQONPMGEYMS-CYBMUJFWSA-N 0 1 285.351 0.672 20 30 CCEDMN C[C@H](NC(=O)OC(C)(C)C)C(=O)NCC#CCN(C)C ZINC000913516343 636834404 /nfs/dbraw/zinc/83/44/04/636834404.db2.gz LVVKGAPNVCLZQS-NSHDSACASA-N 0 1 283.372 0.581 20 30 CCEDMN Cc1cn2ccnc(C(=O)NCC#CCN(C)C)c2n1 ZINC000913521703 636835895 /nfs/dbraw/zinc/83/58/95/636835895.db2.gz JXQITOPFJOFBJB-UHFFFAOYSA-N 0 1 271.324 0.333 20 30 CCEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)Cn3c[nH+]cc3C)CCC[C@@H]12 ZINC000991864135 637104137 /nfs/dbraw/zinc/10/41/37/637104137.db2.gz UOXINTLXGBAKQK-ZBFHGGJFSA-N 0 1 286.379 0.938 20 30 CCEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)Cn3c[nH+]cc3C)CCC[C@H]12 ZINC000991864131 637104349 /nfs/dbraw/zinc/10/43/49/637104349.db2.gz UOXINTLXGBAKQK-HOCLYGCPSA-N 0 1 286.379 0.938 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(C2OCCO2)CC1 ZINC000171237262 637120894 /nfs/dbraw/zinc/12/08/94/637120894.db2.gz JKMQZHROBRKNNL-UHFFFAOYSA-N 0 1 295.383 0.833 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@]3(F)CCOC3)CCC[C@H]12 ZINC000992143459 637319444 /nfs/dbraw/zinc/31/94/44/637319444.db2.gz BRKNWRJAFWKTBW-NWANDNLSSA-N 0 1 280.343 0.861 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)Cc3nonc3C)CCC[C@@H]12 ZINC000992385485 637380074 /nfs/dbraw/zinc/38/00/74/637380074.db2.gz XGDSOFWARCZEDI-HIFRSBDPSA-N 0 1 288.351 0.667 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ccn(C)n3)CCC[C@H]12 ZINC000992511726 637459662 /nfs/dbraw/zinc/45/96/62/637459662.db2.gz ZHBFLKIDEABNLO-DZGCQCFKSA-N 0 1 272.352 0.780 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC000992658410 637534469 /nfs/dbraw/zinc/53/44/69/637534469.db2.gz PMYUWFNJUOELEF-IJLUTSLNSA-N 0 1 273.340 0.764 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc[n+]([O-])cc2)[C@@H]1C ZINC000993005750 637661706 /nfs/dbraw/zinc/66/17/06/637661706.db2.gz RDWOWFFJLGBIOH-DZGCQCFKSA-N 0 1 287.363 0.926 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CN2CCCC2=O)[C@H]1C ZINC000993033994 637670574 /nfs/dbraw/zinc/67/05/74/637670574.db2.gz KNJGKFUEVJFIGO-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cnn(C)c2)[C@H]1C ZINC000993127606 637706848 /nfs/dbraw/zinc/70/68/48/637706848.db2.gz URVDIZWBUVUPTC-UKRRQHHQSA-N 0 1 288.395 0.955 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2ccn(C)n2)[C@@H]1C ZINC000993318827 637781505 /nfs/dbraw/zinc/78/15/05/637781505.db2.gz VIVMZEAZSJGORQ-DZGCQCFKSA-N 0 1 288.395 0.955 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2c[nH]c(=O)cn2)[C@@H]1C ZINC000993727961 637842472 /nfs/dbraw/zinc/84/24/72/637842472.db2.gz MQDKMNMPMWJYTQ-QWRGUYRKSA-N 0 1 276.340 0.539 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2ncc[nH]2)[C@H]1C ZINC000994113507 637871795 /nfs/dbraw/zinc/87/17/95/637871795.db2.gz LKQDXMQUVOUZAY-CHWSQXEVSA-N 0 1 274.368 0.945 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)Cc2nnc[nH]2)[C@H]1C ZINC000994174450 637874051 /nfs/dbraw/zinc/87/40/51/637874051.db2.gz HKPCTLABVQEQRV-GHMZBOCLSA-N 0 1 263.345 0.502 20 30 CCEDMN Cc1cc(OCC(=O)C(C#N)C(=O)NC2CC2)no1 ZINC000916926470 637933044 /nfs/dbraw/zinc/93/30/44/637933044.db2.gz CTDIYGZLTOSLKH-VIFPVBQESA-N 0 1 263.253 0.349 20 30 CCEDMN CS(=O)(=O)CC(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000920108020 638124699 /nfs/dbraw/zinc/12/46/99/638124699.db2.gz LHUDFBSELCLRHH-NSHDSACASA-N 0 1 294.332 0.056 20 30 CCEDMN C[C@@H](C(=O)Nc1cc(C#N)ccc1[O-])N1CC[NH+](C)CC1 ZINC000179740265 638241263 /nfs/dbraw/zinc/24/12/63/638241263.db2.gz MUBMFTKZGJKRNU-NSHDSACASA-N 0 1 288.351 0.838 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@@H]2CCN(C(=O)c3ccn[nH]3)C2)c1 ZINC000939928974 638422002 /nfs/dbraw/zinc/42/20/02/638422002.db2.gz BPLSXMYSKXUFPY-SNVBAGLBSA-N 0 1 298.306 0.254 20 30 CCEDMN C#C[C@@H](C)NC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000185350137 638766257 /nfs/dbraw/zinc/76/62/57/638766257.db2.gz XQYIQLGTKAUZIQ-SNVBAGLBSA-N 0 1 297.314 0.808 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](NCc2nccn2C)C(C)(C)C1 ZINC000995567110 638777921 /nfs/dbraw/zinc/77/79/21/638777921.db2.gz VQUVLHWXVQBXOP-VXGBXAGGSA-N 0 1 289.383 0.906 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@@H]1NC(=O)CN1CCCC1 ZINC000944944326 638784050 /nfs/dbraw/zinc/78/40/50/638784050.db2.gz MQSDIMYDFAPQQT-OLZOCXBDSA-N 0 1 264.373 0.432 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC(NC(=O)c2ccn3cncc3c2)C1 ZINC000996737012 638830805 /nfs/dbraw/zinc/83/08/05/638830805.db2.gz DRIDCUBHMFNHEC-JTQLQIEISA-N 0 1 297.318 0.435 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001016118543 638933493 /nfs/dbraw/zinc/93/34/93/638933493.db2.gz CIYDONLCNFIHPN-CHWSQXEVSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2ncn(C)n2)C1 ZINC000999081977 638958070 /nfs/dbraw/zinc/95/80/70/638958070.db2.gz HACSDGXPNUGOHQ-JTQLQIEISA-N 0 1 283.763 0.762 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC000999339234 638974325 /nfs/dbraw/zinc/97/43/25/638974325.db2.gz FGDVTHYIIXGEGU-RYUDHWBXSA-N 0 1 299.802 0.846 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC000999410985 638980644 /nfs/dbraw/zinc/98/06/44/638980644.db2.gz IVYIMYKYRWSHNI-QJPTWQEYSA-N 0 1 273.340 0.617 20 30 CCEDMN C#CCN1CC[C@H](n2cc(CNC(=O)c3ccco3)nn2)C1 ZINC000999952465 639025754 /nfs/dbraw/zinc/02/57/54/639025754.db2.gz VENVIZILNMUDFU-ZDUSSCGKSA-N 0 1 299.334 0.681 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2nc[nH]n2)C1 ZINC001000490950 639100705 /nfs/dbraw/zinc/10/07/05/639100705.db2.gz QUFDHZFZAZIBIK-VIFPVBQESA-N 0 1 269.736 0.751 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2c[nH]c(C#N)c2)CC1 ZINC001000587929 639129378 /nfs/dbraw/zinc/12/93/78/639129378.db2.gz OTMCCOOBYAXQIP-UHFFFAOYSA-N 0 1 268.320 0.881 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](NCC#N)C2)CC1 ZINC001000990624 639211639 /nfs/dbraw/zinc/21/16/39/639211639.db2.gz CPYMVBBNBSNSPD-OAHLLOKOSA-N 0 1 288.395 0.436 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2csc(=O)[nH]2)CC1 ZINC001001068476 639225835 /nfs/dbraw/zinc/22/58/35/639225835.db2.gz DEHFXUQBIXSUNL-UHFFFAOYSA-N 0 1 277.349 0.844 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc3ccnn32)C1 ZINC001014691124 650479100 /nfs/dbraw/zinc/47/91/00/650479100.db2.gz MEQMSWIQNKUWPO-NSHDSACASA-N 0 1 271.324 0.719 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001014700425 650479109 /nfs/dbraw/zinc/47/91/09/650479109.db2.gz GUPHGLDFCYNSAW-VXGBXAGGSA-N 0 1 265.357 0.279 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001014791637 650489076 /nfs/dbraw/zinc/48/90/76/650489076.db2.gz FUHSIQLGPVNSAS-CYBMUJFWSA-N 0 1 274.368 0.728 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C1 ZINC001014811376 650491469 /nfs/dbraw/zinc/49/14/69/650491469.db2.gz LMZMLYAJCVCAIR-YNEHKIRRSA-N 0 1 272.352 0.727 20 30 CCEDMN N#CCCCNC(=O)NC[C@H]1CC[N@H+]1C1CCOCC1 ZINC000921906836 639404745 /nfs/dbraw/zinc/40/47/45/639404745.db2.gz BILXQMMVGDFWKR-CYBMUJFWSA-N 0 1 280.372 0.843 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnnn2C)CC1 ZINC001002722267 639446191 /nfs/dbraw/zinc/44/61/91/639446191.db2.gz LVSFQEZQPWFZIL-UHFFFAOYSA-N 0 1 261.329 0.033 20 30 CCEDMN C=C(Cl)CN1CCC(NC(=O)Cc2n[nH]c(C)n2)CC1 ZINC001002772583 639454804 /nfs/dbraw/zinc/45/48/04/639454804.db2.gz OWBKDMYRNHYVBC-UHFFFAOYSA-N 0 1 297.790 0.989 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC(CNC(=O)[C@H]2CCCCN2C)C1 ZINC001003036450 639498933 /nfs/dbraw/zinc/49/89/33/639498933.db2.gz NOLMAZHQHPSXTE-WCQYABFASA-N 0 1 292.383 0.205 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cc(C)nn2C)CC1 ZINC001003300487 639526029 /nfs/dbraw/zinc/52/60/29/639526029.db2.gz SRTMJROKSNQIDZ-UHFFFAOYSA-N 0 1 274.368 0.485 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccn(CC)n2)CC1 ZINC001003741813 639603744 /nfs/dbraw/zinc/60/37/44/639603744.db2.gz UHZQDUUPRFWYMW-UHFFFAOYSA-N 0 1 260.341 0.730 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001014980348 650509907 /nfs/dbraw/zinc/50/99/07/650509907.db2.gz MDNGATLAKULRIJ-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(C)n[nH]c2C)CC1 ZINC001003804576 639623526 /nfs/dbraw/zinc/62/35/26/639623526.db2.gz KIORYDOGIAAUGL-UHFFFAOYSA-N 0 1 260.341 0.854 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cn(C)nc2COC)C1 ZINC001014989881 650511976 /nfs/dbraw/zinc/51/19/76/650511976.db2.gz IBIZKEMPQDZALY-GFCCVEGCSA-N 0 1 292.383 0.947 20 30 CCEDMN CC#CCN1CCC(NC(=O)C(=O)N2CC[C@@H](C)C2)CC1 ZINC001003930347 639652824 /nfs/dbraw/zinc/65/28/24/639652824.db2.gz LDZVCDIXLCHRSM-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(CCF)c2)C1 ZINC001015033114 650516890 /nfs/dbraw/zinc/51/68/90/650516890.db2.gz NVFWLXYXXXKBDS-LBPRGKRZSA-N 0 1 266.320 0.843 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccc3nnn(C)c32)CC1 ZINC001004296547 639760437 /nfs/dbraw/zinc/76/04/37/639760437.db2.gz QAPQNJZLIAJFPD-UHFFFAOYSA-N 0 1 297.362 0.796 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn3c2OCCC3)C1 ZINC001015102033 650528450 /nfs/dbraw/zinc/52/84/50/650528450.db2.gz HZXYKCAMUBZCMC-NSHDSACASA-N 0 1 276.340 0.656 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cncn2C)CC1 ZINC001005671852 639949908 /nfs/dbraw/zinc/94/99/08/639949908.db2.gz YHEIQXKRKIHMEP-UHFFFAOYSA-N 0 1 260.341 0.590 20 30 CCEDMN C[C@@H](C[N@@H+](C)C[C@@H](O)COc1ccc(C#N)cc1)C(=O)[O-] ZINC000923250473 640060378 /nfs/dbraw/zinc/06/03/78/640060378.db2.gz ZGJLYYFEHYBPGX-WCQYABFASA-N 0 1 292.335 0.950 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001015354674 650557364 /nfs/dbraw/zinc/55/73/64/650557364.db2.gz JKMNRTZVRRJFTJ-ZDUSSCGKSA-N 0 1 276.384 0.902 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCO[C@@H]2C)CC1 ZINC001006205589 640206094 /nfs/dbraw/zinc/20/60/94/640206094.db2.gz RRCQKVJJRPFLFY-OCCSQVGLSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)c(C)nn(C)c2=O)C1 ZINC001015469682 650569968 /nfs/dbraw/zinc/56/99/68/650569968.db2.gz GZICOKLYWPGQCL-GFCCVEGCSA-N 0 1 290.367 0.387 20 30 CCEDMN C=CCCOCCNS(=O)(=O)N=[S@](C)(=O)CC ZINC000867017015 640353082 /nfs/dbraw/zinc/35/30/82/640353082.db2.gz BRTOQTCGEZXIOE-MRXNPFEDSA-N 0 1 284.403 0.531 20 30 CCEDMN C[C@@H](C#N)OCCN1CCN(C[C@@H]2CCCO2)CC1 ZINC000801910708 640673365 /nfs/dbraw/zinc/67/33/65/640673365.db2.gz VUNNBNLEXUCJHQ-KBPBESRZSA-N 0 1 267.373 0.712 20 30 CCEDMN C[C@H]1CC(NC(=O)c2ccoc2CC(N)=O)=NO1 ZINC000802933146 640708607 /nfs/dbraw/zinc/70/86/07/640708607.db2.gz QDHGUTDEWNZPAD-LURJTMIESA-N 0 1 251.242 0.160 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3ncn(C)c3n2)C1 ZINC001015699325 650610943 /nfs/dbraw/zinc/61/09/43/650610943.db2.gz WLKDXIOPFHFEQM-NSHDSACASA-N 0 1 285.351 0.958 20 30 CCEDMN C[C@@H](C#N)OCC[N@@H+]1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000808777427 640879122 /nfs/dbraw/zinc/87/91/22/640879122.db2.gz NCTSYMITMKRLKQ-VHSXEESVSA-N 0 1 265.317 0.618 20 30 CCEDMN C[C@@H](C#N)OCC[N@H+]1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000808777427 640879124 /nfs/dbraw/zinc/87/91/24/640879124.db2.gz NCTSYMITMKRLKQ-VHSXEESVSA-N 0 1 265.317 0.618 20 30 CCEDMN N#CC1(COC(=O)c2ccc([N+](=O)[O-])[nH]2)COC1 ZINC000811045889 640961551 /nfs/dbraw/zinc/96/15/51/640961551.db2.gz BJQIWEFWYUHWFK-UHFFFAOYSA-N 0 1 251.198 0.620 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cnn(CC(F)F)c2)N1 ZINC000814226176 641061165 /nfs/dbraw/zinc/06/11/65/641061165.db2.gz QKARVWKANPDDHF-ZETCQYMHSA-N 0 1 256.260 0.419 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC2(CC1)OCCCO2 ZINC000815154413 641097503 /nfs/dbraw/zinc/09/75/03/641097503.db2.gz BQLCMNMBJSHGIY-CYBMUJFWSA-N 0 1 295.383 0.976 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCN([C@@H](C)COC)C2)nn1 ZINC001015810281 650633609 /nfs/dbraw/zinc/63/36/09/650633609.db2.gz FATXUBAJGBGJON-RYUDHWBXSA-N 0 1 293.371 0.303 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1CCN(CCO)C1 ZINC001015829717 650635527 /nfs/dbraw/zinc/63/55/27/650635527.db2.gz ZYSGBHXWLPAGDT-AGIUHOORSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)nn2C)C1 ZINC001015897274 650652428 /nfs/dbraw/zinc/65/24/28/650652428.db2.gz KRXSBZVAWDPPGQ-LBPRGKRZSA-N 0 1 262.357 0.973 20 30 CCEDMN Cc1cc(F)ccc1[C@@H](O)CNCC(=O)NCC#N ZINC000819312452 641400277 /nfs/dbraw/zinc/40/02/77/641400277.db2.gz UCNCCUINJKNFJM-LBPRGKRZSA-N 0 1 265.288 0.397 20 30 CCEDMN N#Cc1ccc(CNC[C@@H](O)Cn2cccn2)nc1 ZINC000819312770 641400352 /nfs/dbraw/zinc/40/03/52/641400352.db2.gz VXDLGFZNNJFPAW-CYBMUJFWSA-N 0 1 257.297 0.300 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001015939946 650660399 /nfs/dbraw/zinc/66/03/99/650660399.db2.gz COHGDLLTQAAERJ-CYBMUJFWSA-N 0 1 296.334 0.799 20 30 CCEDMN C[C@H]1CC(NC(=O)C(C)(C)CN2CCOCC2)=NO1 ZINC000821393883 641594617 /nfs/dbraw/zinc/59/46/17/641594617.db2.gz DOLJDUNPJZROEQ-JTQLQIEISA-N 0 1 269.345 0.583 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnccc2N(C)C)C1 ZINC001016008171 650674926 /nfs/dbraw/zinc/67/49/26/650674926.db2.gz ANTUOXLWGIOXBI-GFCCVEGCSA-N 0 1 272.352 0.585 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001016228477 650700657 /nfs/dbraw/zinc/70/06/57/650700657.db2.gz ICZANELHKNZORB-JTQLQIEISA-N 0 1 270.296 0.286 20 30 CCEDMN N#CC1(C(=O)NCCN2CC[C@@H](O)C2)CCSCC1 ZINC000827528774 642013129 /nfs/dbraw/zinc/01/31/29/642013129.db2.gz QLFYFOGQLXUJHZ-LLVKDONJSA-N 0 1 283.397 0.206 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N[C@@H]1CCN(CC#N)C1 ZINC001016339322 650719644 /nfs/dbraw/zinc/71/96/44/650719644.db2.gz PAZJDLCPIBTBPR-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001016344846 650719972 /nfs/dbraw/zinc/71/99/72/650719972.db2.gz VDLMKVBPQANXAW-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cc(N3CCOCC3)ncn2)=NO1 ZINC000867063197 642178691 /nfs/dbraw/zinc/17/86/91/642178691.db2.gz QPGLMRHYLOJEOI-SECBINFHSA-N 0 1 291.311 0.165 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)C2(n3cnnn3)CC2)c1 ZINC000831271058 642340450 /nfs/dbraw/zinc/34/04/50/642340450.db2.gz CNRDQJHRAHUKRH-UHFFFAOYSA-N 0 1 270.252 0.378 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CC23CCN(CC#N)CC3)[nH]n1 ZINC001016569022 650744893 /nfs/dbraw/zinc/74/48/93/650744893.db2.gz BABKGLWJDIGUDB-ZDUSSCGKSA-N 0 1 287.367 0.755 20 30 CCEDMN C#CCCCS(=O)(=O)N1C[C@H]2CCN(C)[C@H]2C1 ZINC000832273467 642467730 /nfs/dbraw/zinc/46/77/30/642467730.db2.gz SPIHYHCVCUVQBW-NEPJUHHUSA-N 0 1 256.371 0.366 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1c[nH]c2ncccc12 ZINC000834481010 642573530 /nfs/dbraw/zinc/57/35/30/642573530.db2.gz ZDOMHWZOKQUZJE-PWSUYJOCSA-N 0 1 269.308 0.333 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1 ZINC000834484333 642574330 /nfs/dbraw/zinc/57/43/30/642574330.db2.gz HLDIYMGOTLVVLD-GZMMTYOYSA-N 0 1 278.243 0.575 20 30 CCEDMN CSc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)o1 ZINC000834484133 642574343 /nfs/dbraw/zinc/57/43/43/642574343.db2.gz FCNGQHCDHJBQEJ-HTQZYQBOSA-N 0 1 251.311 0.843 20 30 CCEDMN COc1ccsc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834484795 642575180 /nfs/dbraw/zinc/57/51/80/642575180.db2.gz NGMIVHZVGGVXFG-JGVFFNPUSA-N 0 1 251.311 0.598 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnn2c1CCCC2 ZINC000834485299 642575877 /nfs/dbraw/zinc/57/58/77/642575877.db2.gz UXHVLFMSPQXNNX-GXSJLCMTSA-N 0 1 259.313 0.061 20 30 CCEDMN CC(C)Cc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc(=O)[nH]1 ZINC000834485074 642576248 /nfs/dbraw/zinc/57/62/48/642576248.db2.gz RLWWXHVEPXDMAE-WCQYABFASA-N 0 1 288.351 0.827 20 30 CCEDMN Cn1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1-c1ccccc1 ZINC000834485147 642577048 /nfs/dbraw/zinc/57/70/48/642577048.db2.gz SFOQLIDZBRKEDD-TZMCWYRMSA-N 0 1 295.346 0.928 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CC[C@@H]1CCCCO1 ZINC000834488499 642577886 /nfs/dbraw/zinc/57/78/86/642577886.db2.gz CPBVYOLTWZVRIL-GRYCIOLGSA-N 0 1 251.330 0.563 20 30 CCEDMN COc1ccccc1C1(C(=O)N[C@@H]2CNC[C@H]2C#N)CC1 ZINC000834494794 642584948 /nfs/dbraw/zinc/58/49/48/642584948.db2.gz PJMOZLHILGPRFG-DGCLKSJQSA-N 0 1 285.347 0.955 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cn1ccc(=O)c2ccccc21 ZINC000834495286 642585222 /nfs/dbraw/zinc/58/52/22/642585222.db2.gz TXCOUDHEFYSGAY-DGCLKSJQSA-N 0 1 296.330 0.229 20 30 CCEDMN C[C@H](Oc1ccc(F)c(F)c1)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834495203 642585456 /nfs/dbraw/zinc/58/54/56/642585456.db2.gz SVQXNDBWEWWRMJ-RWEMILLDSA-N 0 1 295.289 0.960 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccnc1Cl ZINC000834495471 642585843 /nfs/dbraw/zinc/58/58/43/642585843.db2.gz VZFOABGVWYOUMW-CBAPKCEASA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C1=Cc2cc(F)ccc2OC1 ZINC000834499149 642587428 /nfs/dbraw/zinc/58/74/28/642587428.db2.gz FGDZJCKLGPXBEQ-AAEUAGOBSA-N 0 1 287.294 0.829 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1n[nH]c2ccccc21 ZINC000834499563 642588246 /nfs/dbraw/zinc/58/82/46/642588246.db2.gz JRPSDZAKMLZQQU-ZANVPECISA-N 0 1 269.308 0.333 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)nc(C(F)(F)F)n1 ZINC000834501165 642590592 /nfs/dbraw/zinc/59/05/92/642590592.db2.gz ZWVRJFXBMXRTEZ-IONNQARKSA-N 0 1 299.256 0.645 20 30 CCEDMN Cc1nc(C(=O)N[C@H]2CNC[C@H]2C#N)c2ccccn12 ZINC000834500589 642590654 /nfs/dbraw/zinc/59/06/54/642590654.db2.gz UVPFNZCFCWTMGO-MNOVXSKESA-N 0 1 269.308 0.484 20 30 CCEDMN CC(=O)Nc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1C ZINC000834500704 642590884 /nfs/dbraw/zinc/59/08/84/642590884.db2.gz WLHPDJKRVYMQFT-SMDDNHRTSA-N 0 1 286.335 0.795 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CNC[C@H]2C#N)cs1 ZINC000834507176 642594366 /nfs/dbraw/zinc/59/43/66/642594366.db2.gz YONRAZQKUDPAQY-SCZZXKLOSA-N 0 1 250.327 0.222 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccc2c(c1)CCC2 ZINC000834510495 642595515 /nfs/dbraw/zinc/59/55/15/642595515.db2.gz BRIXYQTWGDGXKD-CABCVRRESA-N 0 1 269.348 0.946 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1CC(=O)N(C2CCCC2)C1 ZINC000834511940 642596531 /nfs/dbraw/zinc/59/65/31/642596531.db2.gz QBSWQWHWMDQZOS-DMDPSCGWSA-N 0 1 290.367 0.005 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnc2ccccc2n1 ZINC000834512375 642596621 /nfs/dbraw/zinc/59/66/21/642596621.db2.gz VKCFVYQDSRGFFK-BXKDBHETSA-N 0 1 267.292 0.471 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(Br)c[nH]1 ZINC000834511558 642596704 /nfs/dbraw/zinc/59/67/04/642596704.db2.gz MGMTXVHBKAWLGO-RCOVLWMOSA-N 0 1 283.129 0.619 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@H]2C#N)cccc1[N+](=O)[O-] ZINC000834511728 642597023 /nfs/dbraw/zinc/59/70/23/642597023.db2.gz NQMBTXLITSARIZ-MWLCHTKSSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1coc(Br)c1 ZINC000834515991 642598071 /nfs/dbraw/zinc/59/80/71/642598071.db2.gz DNUZMYUSQZTZFJ-JGVFFNPUSA-N 0 1 284.113 0.883 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cn(-c2ccccc2)nn1 ZINC000834516656 642599938 /nfs/dbraw/zinc/59/99/38/642599938.db2.gz KJGRAPFMUWBMQI-CMPLNLGQSA-N 0 1 282.307 0.109 20 30 CCEDMN COC(=O)Nc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834517399 642601032 /nfs/dbraw/zinc/60/10/32/642601032.db2.gz VALOPDSYIJNFOM-PWSUYJOCSA-N 0 1 288.307 0.706 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccc(-n2cccn2)cc1 ZINC000834521108 642601962 /nfs/dbraw/zinc/60/19/62/642601962.db2.gz CSGDDCTUEIDQTK-ZFWWWQNUSA-N 0 1 295.346 0.643 20 30 CCEDMN N#Cc1ccc(C(=O)COC(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000840672797 642786925 /nfs/dbraw/zinc/78/69/25/642786925.db2.gz QKFSFDOIIRASLV-GHMZBOCLSA-N 0 1 274.232 0.949 20 30 CCEDMN C=CC1CCN([C@H]2CC(=O)N(CCCO)C2=O)CC1 ZINC000840739851 642789471 /nfs/dbraw/zinc/78/94/71/642789471.db2.gz GVQMPLIMRXUNBE-LBPRGKRZSA-N 0 1 266.341 0.394 20 30 CCEDMN Cc1ccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c(N(C)C)n1 ZINC000841155210 642805570 /nfs/dbraw/zinc/80/55/70/642805570.db2.gz TZRNPTJAJOLUPH-ZYHUDNBSSA-N 0 1 288.355 0.689 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccc2c(n1)CCC2 ZINC000841259467 642809472 /nfs/dbraw/zinc/80/94/72/642809472.db2.gz RLQCBLPZECNRHT-JQWIXIFHSA-N 0 1 271.324 0.803 20 30 CCEDMN N#C[C@@H](C(=O)Nc1ccccc1)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842289284 642888922 /nfs/dbraw/zinc/88/89/22/642888922.db2.gz PCMLGRMREOCOLH-GMTAPVOTSA-N 0 1 273.248 0.999 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CC2CCC3(CC2)OCCO3)c1=O ZINC000842618887 642917503 /nfs/dbraw/zinc/91/75/03/642917503.db2.gz YYLQEMFYJBQCGE-UHFFFAOYSA-N 0 1 291.307 0.342 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)n(C)o1 ZINC001017505875 650807047 /nfs/dbraw/zinc/80/70/47/650807047.db2.gz YTUMPVQMVCIGNN-TXEJJXNPSA-N 0 1 289.335 0.290 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccccc1Cn1cncn1 ZINC000843460012 643064598 /nfs/dbraw/zinc/06/45/98/643064598.db2.gz KPZQMYOGZUQTIV-OCCSQVGLSA-N 0 1 296.334 0.168 20 30 CCEDMN Cc1cccc([N+](=O)[O-])c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000843460322 643065486 /nfs/dbraw/zinc/06/54/86/643065486.db2.gz NOTWXJBKHUGTQY-VHSXEESVSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C(=O)Nc1ccc(Cl)cc1 ZINC000843461576 643066882 /nfs/dbraw/zinc/06/68/82/643066882.db2.gz LWXYQIJESXCEJI-GZMMTYOYSA-N 0 1 292.726 0.506 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000843463488 643067284 /nfs/dbraw/zinc/06/72/84/643067284.db2.gz WQTXIJUYHHTNJL-ZYHUDNBSSA-N 0 1 274.280 0.365 20 30 CCEDMN COc1ccc(OCCC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000843464026 643067686 /nfs/dbraw/zinc/06/76/86/643067686.db2.gz ZFBKPADZMGKLNM-SMDDNHRTSA-N 0 1 289.335 0.692 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCCN1C ZINC001017666024 650816818 /nfs/dbraw/zinc/81/68/18/650816818.db2.gz CESPRCJUHQKBIL-ZNMIVQPWSA-N 0 1 275.396 0.779 20 30 CCEDMN Cc1cccn2cc(CC(=O)N[C@@H]3CNC[C@H]3C#N)nc12 ZINC000844195498 643179347 /nfs/dbraw/zinc/17/93/47/643179347.db2.gz VCWCZGRBOQJXFS-DGCLKSJQSA-N 0 1 283.335 0.413 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC[C@H]1C(N)=O ZINC001017792093 650822072 /nfs/dbraw/zinc/82/20/72/650822072.db2.gz HVNNBFIDMWAWHU-RQJABVFESA-N 0 1 289.379 0.196 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)c(=O)[nH]1 ZINC001017892549 650833019 /nfs/dbraw/zinc/83/30/19/650833019.db2.gz SBNIIDMFYKHUQL-TXEJJXNPSA-N 0 1 288.351 0.438 20 30 CCEDMN Cn1cc(CC(=O)N[C@@H]2CNC[C@H]2C#N)c2ccccc21 ZINC000846675987 643442052 /nfs/dbraw/zinc/44/20/52/643442052.db2.gz AIDHYXBZWZCXNS-TZMCWYRMSA-N 0 1 282.347 0.949 20 30 CCEDMN C#C[C@H]1CCCN([C@H](C)C(=O)N(C)CC(=O)NC2CC2)C1 ZINC000847023215 643479297 /nfs/dbraw/zinc/47/92/97/643479297.db2.gz BLWDNWJPKMJSOJ-OLZOCXBDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCC=C(C)C)CC1 ZINC000851872563 643892829 /nfs/dbraw/zinc/89/28/29/643892829.db2.gz HZPNWUWNTMQFRV-UHFFFAOYSA-N 0 1 285.413 0.428 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ncnc2sccc21 ZINC000852827770 644013373 /nfs/dbraw/zinc/01/33/73/644013373.db2.gz PCAJOKCBXNPRFC-VXNVDRBHSA-N 0 1 273.321 0.533 20 30 CCEDMN CCn1ccc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001018631656 650886199 /nfs/dbraw/zinc/88/61/99/650886199.db2.gz HBTKHVRRCOIWLE-YPMHNXCESA-N 0 1 275.356 0.753 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NCc2cc3n(n2)CCC3)C1 ZINC001018633085 650886986 /nfs/dbraw/zinc/88/69/86/650886986.db2.gz TVURGIMEZQOXOR-RYUDHWBXSA-N 0 1 287.367 0.679 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2ccc(C(=O)[O-])c(F)c2)N1 ZINC000853329504 644098725 /nfs/dbraw/zinc/09/87/25/644098725.db2.gz ANCWRUAYBBVBFC-ZETCQYMHSA-N 0 1 264.260 0.795 20 30 CCEDMN CC(C)C#CC(=O)NC1CCN([C@@H]2CCN(C)C2=O)CC1 ZINC000854456873 644205557 /nfs/dbraw/zinc/20/55/57/644205557.db2.gz XSPASGAWNQBYEH-CQSZACIVSA-N 0 1 291.395 0.457 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@H](NC(=O)C[C@H]1COCCN1)C2 ZINC000868038675 645709565 /nfs/dbraw/zinc/70/95/65/645709565.db2.gz YXNZJZZIWGKEMT-CABCVRRESA-N 0 1 285.347 0.520 20 30 CCEDMN Cn1ncnc1CN[C@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001020088089 651036832 /nfs/dbraw/zinc/03/68/32/651036832.db2.gz AFZLRLQMSDWQGE-XYPYZODXSA-N 0 1 299.338 0.065 20 30 CCEDMN N#C[C@]1(C(=O)NC[C@@H]2CCCC[N@@H+]2CCO)CCCOC1 ZINC000868637547 645797618 /nfs/dbraw/zinc/79/76/18/645797618.db2.gz YPJHAKMBQUQFAX-DZGCQCFKSA-N 0 1 295.383 0.270 20 30 CCEDMN N#C[C@]1(C(=O)NC[C@@H]2CCCCN2CCO)CCCOC1 ZINC000868637547 645797624 /nfs/dbraw/zinc/79/76/24/645797624.db2.gz YPJHAKMBQUQFAX-DZGCQCFKSA-N 0 1 295.383 0.270 20 30 CCEDMN N#CCc1ccsc1C(=O)NC[C@H]1COCCN1 ZINC000877038867 646718953 /nfs/dbraw/zinc/71/89/53/646718953.db2.gz ZXCPWVMUKVPBPN-JTQLQIEISA-N 0 1 265.338 0.532 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCO[C@H](C#N)C1 ZINC000878752839 646973910 /nfs/dbraw/zinc/97/39/10/646973910.db2.gz UBWSEEBUVHPZTF-GIPNMCIBSA-N 0 1 274.324 0.827 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H](CO)CN2CCCC2)o1 ZINC000879992974 647139962 /nfs/dbraw/zinc/13/99/62/647139962.db2.gz PFHDYOHYAUFGNB-JTQLQIEISA-N 0 1 263.297 0.338 20 30 CCEDMN N#CCCOCCN1CCNC(=O)CC12CCC2 ZINC000880167916 647164972 /nfs/dbraw/zinc/16/49/72/647164972.db2.gz UJOHFGZUJUERNL-UHFFFAOYSA-N 0 1 251.330 0.661 20 30 CCEDMN C[C@@H]1CC[C@H](CO)N1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000880440950 647200827 /nfs/dbraw/zinc/20/08/27/647200827.db2.gz MBZKINRVIJQMEZ-QKCSRTOESA-N 0 1 265.357 0.640 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H]2CCCN2C)C[C@H](C)N1CC#N ZINC000880459402 647203495 /nfs/dbraw/zinc/20/34/95/647203495.db2.gz SRAVXYYANBBREL-FRRDWIJNSA-N 0 1 264.373 0.525 20 30 CCEDMN Cc1nc(C(C)C)ncc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000884250170 647681086 /nfs/dbraw/zinc/68/10/86/647681086.db2.gz YODKOTRGPNRXEX-PWSUYJOCSA-N 0 1 273.340 0.750 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C1C[C@H]2CC[C@@H](C1)S2 ZINC000884249784 647681462 /nfs/dbraw/zinc/68/14/62/647681462.db2.gz RENXMPUTAABLFJ-SHWDNJPRSA-N 0 1 265.382 0.888 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ncsc1C1CC1 ZINC000884251242 647681832 /nfs/dbraw/zinc/68/18/32/647681832.db2.gz YTOUWBPFHZAJLO-IUCAKERBSA-N 0 1 262.338 0.862 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ncsc1C1CC1 ZINC000884251241 647682170 /nfs/dbraw/zinc/68/21/70/647682170.db2.gz YTOUWBPFHZAJLO-DTWKUNHWSA-N 0 1 262.338 0.862 20 30 CCEDMN C[C@H]1CC(=O)NCCN1C[C@H](O)CC1(C#N)CCC1 ZINC000886092280 647899606 /nfs/dbraw/zinc/89/96/06/647899606.db2.gz SNIQVENFJZIFFF-NWDGAFQWSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@@H]1CN(C[C@@](C)(O)C(F)F)C[C@H](C)N1CC#N ZINC000886267059 647947709 /nfs/dbraw/zinc/94/77/09/647947709.db2.gz FHBZVUQEOZJEBX-JFGNBEQYSA-N 0 1 261.316 0.921 20 30 CCEDMN C[C@H](NC[C@@H](O)CS(C)(=O)=O)c1ccc(C#N)cc1 ZINC000927033085 648541020 /nfs/dbraw/zinc/54/10/20/648541020.db2.gz RXIJKSIOYPYNKS-GXFFZTMASA-N 0 1 282.365 0.614 20 30 CCEDMN N#CC[C@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(F)(F)F ZINC000928802522 648661833 /nfs/dbraw/zinc/66/18/33/648661833.db2.gz IALZMXGHQAZDKW-PBXRRBTRSA-N 0 1 251.164 0.612 20 30 CCEDMN COc1nccc(NC(=O)NCC#CCN(C)C)n1 ZINC000930941363 648929213 /nfs/dbraw/zinc/92/92/13/648929213.db2.gz PBEWHHBHWDARGG-UHFFFAOYSA-N 0 1 263.301 0.172 20 30 CCEDMN C[C@H](O)[C@@H](O)CN1CCN(c2ccc(C#N)cc2)CC1 ZINC000931547894 649003908 /nfs/dbraw/zinc/00/39/08/649003908.db2.gz UZHOHQPVIKQNCB-WFASDCNBSA-N 0 1 275.352 0.422 20 30 CCEDMN C=CC[C@H]1CCN1CC(=O)N1CCN(C(C)=O)CC1 ZINC000934472334 649176953 /nfs/dbraw/zinc/17/69/53/649176953.db2.gz LVIGYXMTPLGASH-ZDUSSCGKSA-N 0 1 265.357 0.328 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2ccc(Cn3ccnn3)o2)NO1 ZINC000935137053 649212632 /nfs/dbraw/zinc/21/26/32/649212632.db2.gz RFCJEIUSOXOUPO-QMMMGPOBSA-N 0 1 275.268 0.772 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCC(=O)N(C)C2)CC1 ZINC001006455756 649472075 /nfs/dbraw/zinc/47/20/75/649472075.db2.gz RHGADMIOFSYIGO-ZDUSSCGKSA-N 0 1 291.395 0.411 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@](C)(NC(=O)c2[nH]ncc2F)C1 ZINC000957513264 649751002 /nfs/dbraw/zinc/75/10/02/649751002.db2.gz MMSURPSOSYCHMU-AMIZOPFISA-N 0 1 293.302 0.429 20 30 CCEDMN COc1nc(N2C[C@H](C)N(C)C[C@H]2C)ncc1C#N ZINC001164631318 719172775 /nfs/dbraw/zinc/17/27/75/719172775.db2.gz BFTUPCIETNXUJZ-VHSXEESVSA-N 0 1 261.329 0.886 20 30 CCEDMN COc1cc(N2C[C@H]3OCCN(C)[C@@H]3C2)ncc1C#N ZINC001164671221 719370348 /nfs/dbraw/zinc/37/03/48/719370348.db2.gz VMCRMPHWWGEYIP-DGCLKSJQSA-N 0 1 274.324 0.481 20 30 CCEDMN Cc1cnc(N2C[C@@H]3OCCN(C)[C@H]3C2)c(C=NO)c1 ZINC001164675054 719420328 /nfs/dbraw/zinc/42/03/28/719420328.db2.gz YGFPGPIEXZUTHE-STQMWFEESA-N 0 1 276.340 0.717 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ccn(C)n2)CC1 ZINC001230262542 805589945 /nfs/dbraw/zinc/58/99/45/805589945.db2.gz FPMHZXOBUODUCJ-UHFFFAOYSA-N 0 1 290.367 0.264 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)CCOC)CC1 ZINC001230306711 805593156 /nfs/dbraw/zinc/59/31/56/805593156.db2.gz AFIYHAVLUFFROE-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@@H]2C[C@H]2CC)CC1 ZINC001266273136 790375289 /nfs/dbraw/zinc/37/52/89/790375289.db2.gz FWZSUJZWPDOLPC-HUUCEWRRSA-N 0 1 277.412 0.790 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CCc2nncn2CC1 ZINC001266298473 790458082 /nfs/dbraw/zinc/45/80/82/790458082.db2.gz JGIATGGGTKSEBW-ZDUSSCGKSA-N 0 1 289.383 0.302 20 30 CCEDMN C#Cc1cncc(C(=O)NCCN(C)CC#CCOC)c1 ZINC001266324126 790507246 /nfs/dbraw/zinc/50/72/46/790507246.db2.gz WCTRBFUQPRDUOF-UHFFFAOYSA-N 0 1 285.347 0.374 20 30 CCEDMN COCC#CCN(C)CCNC(=O)COc1cccnc1 ZINC001266337723 790537635 /nfs/dbraw/zinc/53/76/35/790537635.db2.gz NKKKKTJFSOUFCR-UHFFFAOYSA-N 0 1 291.351 0.158 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2cn[nH]c2)C1 ZINC001266347635 790558374 /nfs/dbraw/zinc/55/83/74/790558374.db2.gz QLVZKGLLCSQRCU-CYBMUJFWSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(N(C)C)ccn1 ZINC001266365326 790597031 /nfs/dbraw/zinc/59/70/31/790597031.db2.gz WNEUKNPHLRFIBB-UHFFFAOYSA-N 0 1 260.341 0.442 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCn1c(C)csc1=O ZINC001266394554 790627146 /nfs/dbraw/zinc/62/71/46/790627146.db2.gz TWQCNDAGNZSGOX-UHFFFAOYSA-N 0 1 295.408 0.680 20 30 CCEDMN N#CCN[C@@H](CNC(=O)[C@@H]1CCCc2n[nH]nc21)C1CC1 ZINC001266426360 790675427 /nfs/dbraw/zinc/67/54/27/790675427.db2.gz JZSCBNIEVYSBHK-PWSUYJOCSA-N 0 1 288.355 0.233 20 30 CCEDMN Cc1nc(CN2CCC[C@@H]2CNC(=O)C#CC2CC2)n[nH]1 ZINC001266461384 790755747 /nfs/dbraw/zinc/75/57/47/790755747.db2.gz OJBHKQNXPUZCCJ-CYBMUJFWSA-N 0 1 287.367 0.607 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H](CC(=C)C)NC(C)=O ZINC001266485749 790777776 /nfs/dbraw/zinc/77/77/76/790777776.db2.gz IKXXQHXACLNLDZ-GJZGRUSLSA-N 0 1 291.395 0.671 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)Cn1nnnc1C(C)C ZINC001266485726 790778686 /nfs/dbraw/zinc/77/86/86/790778686.db2.gz IDDCUHKBRWHNDT-GFCCVEGCSA-N 0 1 290.371 0.010 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](CNC(=O)C2CCC2)C1 ZINC001266497859 790793498 /nfs/dbraw/zinc/79/34/98/790793498.db2.gz LXVPRMZDJDAVET-QWHCGFSZSA-N 0 1 291.395 0.363 20 30 CCEDMN N#Cc1cnccc1NC1(CNC(=O)c2ncn[nH]2)CCC1 ZINC001111830107 790791427 /nfs/dbraw/zinc/79/14/27/790791427.db2.gz KMPWXULFOJAQEH-UHFFFAOYSA-N 0 1 297.322 0.258 20 30 CCEDMN N#Cc1cnccc1NC1(CNC(=O)c2nc[nH]n2)CCC1 ZINC001111830107 790791434 /nfs/dbraw/zinc/79/14/34/790791434.db2.gz KMPWXULFOJAQEH-UHFFFAOYSA-N 0 1 297.322 0.258 20 30 CCEDMN C=C(Cl)CNCCOCCN(C)C(=O)c1cn[nH]n1 ZINC001279423202 790862728 /nfs/dbraw/zinc/86/27/28/790862728.db2.gz RUGHKECSQXYZAH-UHFFFAOYSA-N 0 1 287.751 0.235 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC[C@@H]1CCN(CC(=O)NC)C1 ZINC001266533989 790886608 /nfs/dbraw/zinc/88/66/08/790886608.db2.gz UXFLZJSYZWFJBC-SWLSCSKDSA-N 0 1 281.400 0.773 20 30 CCEDMN C#CCN(CCNC(=O)c1cn(C)nc1C)C1CC1 ZINC001266586087 790986061 /nfs/dbraw/zinc/98/60/61/790986061.db2.gz LTIPYKWWJKACAI-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN N#CCN(CCCNC(=O)c1ncn[nH]1)Cc1cccnc1 ZINC001230871529 805680009 /nfs/dbraw/zinc/68/00/09/805680009.db2.gz KJNJCSZLZDCVIS-UHFFFAOYSA-N 0 1 299.338 0.345 20 30 CCEDMN N#CCN(CCCNC(=O)c1nc[nH]n1)Cc1cccnc1 ZINC001230871529 805680011 /nfs/dbraw/zinc/68/00/11/805680011.db2.gz KJNJCSZLZDCVIS-UHFFFAOYSA-N 0 1 299.338 0.345 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CNC(=O)C1CC1 ZINC001230876994 805682295 /nfs/dbraw/zinc/68/22/95/805682295.db2.gz SJUNZJGJZQLQQM-ZDUSSCGKSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)CCCNC(C)=O ZINC001231009942 805709591 /nfs/dbraw/zinc/70/95/91/805709591.db2.gz GSARUXBWOQPDQJ-UHFFFAOYSA-N 0 1 267.373 0.645 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)C(F)F ZINC001231057974 805719893 /nfs/dbraw/zinc/71/98/93/805719893.db2.gz VLUQXXWJWMBEIC-VIFPVBQESA-N 0 1 277.315 0.380 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(=O)CCOC)C1=O ZINC001231036265 805713571 /nfs/dbraw/zinc/71/35/71/805713571.db2.gz FZVSZWDOQYLVQD-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N(C)C[C@H]1CCN1CCCO ZINC001231062726 805720537 /nfs/dbraw/zinc/72/05/37/805720537.db2.gz SHRZNOIRFARTLB-ZIAGYGMSSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCC(=O)N1 ZINC001231198373 805748288 /nfs/dbraw/zinc/74/82/88/805748288.db2.gz PJPMUXCKFXXGRG-QWHCGFSZSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1CCOCC ZINC001231247624 805760024 /nfs/dbraw/zinc/76/00/24/805760024.db2.gz PQVPIRIAENIOQD-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CCN1CCOCC ZINC001231247624 805760027 /nfs/dbraw/zinc/76/00/27/805760027.db2.gz PQVPIRIAENIOQD-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)COCCOC ZINC001231304289 805772963 /nfs/dbraw/zinc/77/29/63/805772963.db2.gz RSNKPDUNDHASMD-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN COCC#CC[N@@H+](C)CCCNC(=O)c1cnccn1 ZINC001231364902 805782910 /nfs/dbraw/zinc/78/29/10/805782910.db2.gz JSNHNTFWCWGDDA-UHFFFAOYSA-N 0 1 276.340 0.178 20 30 CCEDMN N#Cc1ccc(CCN2[C@H]3CC[C@H]([C@H](O)C3)[C@H]2C(N)=O)cc1 ZINC001277643593 805918257 /nfs/dbraw/zinc/91/82/57/805918257.db2.gz ONBMTKYTHTZBBA-JJXSEGSLSA-N 0 1 299.374 0.800 20 30 CCEDMN CCN1C(=O)CN(Cc2cc(C#N)ccc2O)CC1=O ZINC001232673489 805967802 /nfs/dbraw/zinc/96/78/02/805967802.db2.gz HXTYTWYTVSSZBK-UHFFFAOYSA-N 0 1 273.292 0.455 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OC)[C@@H](C)CC ZINC001266902274 791331559 /nfs/dbraw/zinc/33/15/59/791331559.db2.gz QSUOPJSLDCFTJJ-QNSTZXKLSA-N 0 1 264.369 0.727 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](C)NCc1cnc(C)o1 ZINC001266931954 791366355 /nfs/dbraw/zinc/36/63/55/791366355.db2.gz DTZNCVFEWPUJEF-HZMBPMFUSA-N 0 1 281.356 0.904 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc(C)n(C)n3)C[C@@H]21 ZINC001041964668 791546543 /nfs/dbraw/zinc/54/65/43/791546543.db2.gz RAFNITPRRUKONV-ZFWWWQNUSA-N 0 1 286.379 0.898 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C1(C(F)F)CC1 ZINC001267195789 791655686 /nfs/dbraw/zinc/65/56/86/791655686.db2.gz NWVYYCNBQULTPF-UHFFFAOYSA-N 0 1 274.311 0.730 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H](NC(C)=O)C1CCCC1 ZINC001267206233 791672550 /nfs/dbraw/zinc/67/25/50/791672550.db2.gz RYGSMKJKDJHDMD-HNNXBMFYSA-N 0 1 293.411 0.753 20 30 CCEDMN CN(CC#N)C(=O)c1n[nH]cc1I ZINC000307371566 791686742 /nfs/dbraw/zinc/68/67/42/791686742.db2.gz PWNGHNBOJGCNJP-UHFFFAOYSA-N 0 1 290.064 0.610 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@@H]1COC(=O)C1 ZINC001233653800 806102086 /nfs/dbraw/zinc/10/20/86/806102086.db2.gz NDAZWKISPRQMIK-STQMWFEESA-N 0 1 278.352 0.496 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)c1ccc[nH]1 ZINC001233888721 806143080 /nfs/dbraw/zinc/14/30/80/806143080.db2.gz VGKLWQCVGYOTAT-GFCCVEGCSA-N 0 1 292.383 0.757 20 30 CCEDMN C#CCNC(=O)C[N@@H+](C)CCCNC(=O)c1occc1C ZINC001233946803 806153536 /nfs/dbraw/zinc/15/35/36/806153536.db2.gz HDJSUIOKTDLOGK-UHFFFAOYSA-N 0 1 291.351 0.389 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)c1occc1C ZINC001233946803 806153542 /nfs/dbraw/zinc/15/35/42/806153542.db2.gz HDJSUIOKTDLOGK-UHFFFAOYSA-N 0 1 291.351 0.389 20 30 CCEDMN COC[C@@H](O)C[N@H+]1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234161491 806192172 /nfs/dbraw/zinc/19/21/72/806192172.db2.gz LTEYJSXSNVJICZ-KBPBESRZSA-N 0 1 282.384 0.186 20 30 CCEDMN COC[C@@H](O)CN1CC[C@H]1CN(C)C(=O)C#CC(C)C ZINC001234161491 806192177 /nfs/dbraw/zinc/19/21/77/806192177.db2.gz LTEYJSXSNVJICZ-KBPBESRZSA-N 0 1 282.384 0.186 20 30 CCEDMN C[C@@H](O)CN1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234177365 806196575 /nfs/dbraw/zinc/19/65/75/806196575.db2.gz AXTMQWKDCIDAQN-YPMHNXCESA-N 0 1 250.342 0.313 20 30 CCEDMN COC[C@H](O)CN1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234207135 806203526 /nfs/dbraw/zinc/20/35/26/806203526.db2.gz ZYQNVZZOSYOXNG-ZIAGYGMSSA-N 0 1 296.411 0.576 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](C)OCC#C ZINC001234264469 806212553 /nfs/dbraw/zinc/21/25/53/806212553.db2.gz BIJLOFBHEMLNKZ-KGLIPLIRSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CCN1CCOCC ZINC001234265973 806213657 /nfs/dbraw/zinc/21/36/57/806213657.db2.gz NNNIARRDOYUBRL-KGLIPLIRSA-N 0 1 282.384 0.594 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)NC(N)=O ZINC001234276881 806214714 /nfs/dbraw/zinc/21/47/14/806214714.db2.gz UWPRHRNUTPGACQ-GHMZBOCLSA-N 0 1 268.361 0.152 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccc2nonc2c1 ZINC001267249262 793242226 /nfs/dbraw/zinc/24/22/26/793242226.db2.gz SAYYIFZTDQSDSY-UHFFFAOYSA-N 0 1 272.308 0.908 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+](C)CCCNC(=O)CCCOC ZINC001234414186 806240963 /nfs/dbraw/zinc/24/09/63/806240963.db2.gz GVNNBCIBVVIJPQ-CYBMUJFWSA-N 0 1 299.415 0.542 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CCCOC ZINC001234414186 806240968 /nfs/dbraw/zinc/24/09/68/806240968.db2.gz GVNNBCIBVVIJPQ-CYBMUJFWSA-N 0 1 299.415 0.542 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CN(C)C(=O)C1CC1 ZINC001234988070 806334142 /nfs/dbraw/zinc/33/41/42/806334142.db2.gz KJSPNYABISZINH-AWEZNQCLSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCC(=O)NC1 ZINC001235262990 806399314 /nfs/dbraw/zinc/39/93/14/806399314.db2.gz SHFMQZWNCCRDRE-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C[C@@H](C)C(C)C ZINC001235526223 806445362 /nfs/dbraw/zinc/44/53/62/806445362.db2.gz OKLCQHMXZBCACG-CQSZACIVSA-N 0 1 295.427 0.856 20 30 CCEDMN C[C@H](O)CN1CC2(CN(Cc3cc(C#N)n(C)c3)C2)C1 ZINC001277961463 806490772 /nfs/dbraw/zinc/49/07/72/806490772.db2.gz YCMANMOXLYVJRH-LBPRGKRZSA-N 0 1 274.368 0.395 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)[C@@H](C)C(=O)NCCC ZINC001235698189 806493745 /nfs/dbraw/zinc/49/37/45/806493745.db2.gz JWMHRVUSFVSAGC-ZDUSSCGKSA-N 0 1 299.415 0.542 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)[C@@H](C)C(=O)NCCC ZINC001235698189 806493749 /nfs/dbraw/zinc/49/37/49/806493749.db2.gz JWMHRVUSFVSAGC-ZDUSSCGKSA-N 0 1 299.415 0.542 20 30 CCEDMN COC(=O)[C@@H]1C[C@H]([NH3+])CN1Cc1ccc(C#N)cc1[O-] ZINC001236202850 806554827 /nfs/dbraw/zinc/55/48/27/806554827.db2.gz WTMSXUBMWPCYKH-RYUDHWBXSA-N 0 1 275.308 0.338 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)/C=C/C(C)(C)C ZINC001278053163 806639089 /nfs/dbraw/zinc/63/90/89/806639089.db2.gz CBZYRCGAZRHXBG-SBDDDAINSA-N 0 1 282.384 0.305 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1CCC(C)=C(C)C1 ZINC001278085244 806653991 /nfs/dbraw/zinc/65/39/91/806653991.db2.gz MVLXMXARJWPITE-ZIAGYGMSSA-N 0 1 264.369 0.823 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(F)c(F)c1 ZINC001278093477 806659519 /nfs/dbraw/zinc/65/95/19/806659519.db2.gz LHJOUBQICDPGOV-JTQLQIEISA-N 0 1 268.263 0.278 20 30 CCEDMN CN(C1CN(Cc2ccnc(C#N)c2)C1)[C@H]1CCOC1 ZINC001237463987 806670803 /nfs/dbraw/zinc/67/08/03/806670803.db2.gz RGRBMAUNIFJSGE-AWEZNQCLSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1c(C)cc(C)nc1C ZINC001278118809 806690963 /nfs/dbraw/zinc/69/09/63/806690963.db2.gz CZBJVKFKRYPMKB-CYBMUJFWSA-N 0 1 275.352 0.320 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1CCCc2[nH]ncc21)C(=O)OC ZINC001120659941 798907072 /nfs/dbraw/zinc/90/70/72/798907072.db2.gz LDLWDKRTQZOMLU-BXKDBHETSA-N 0 1 275.308 0.511 20 30 CCEDMN C#CCN(CC)c1nnc(C2=NO[C@H](CO)C2)n1CC=C ZINC001121551289 799057620 /nfs/dbraw/zinc/05/76/20/799057620.db2.gz AKZOAXFBWYFNDT-NSHDSACASA-N 0 1 289.339 0.409 20 30 CCEDMN CC(C)n1ccc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC001121905199 799089156 /nfs/dbraw/zinc/08/91/56/799089156.db2.gz HYEVKSCFMXNFOP-CMPLNLGQSA-N 0 1 261.329 0.234 20 30 CCEDMN C#CCN(C(=O)c1nn(C)cc1C)C1CCN(CC#C)CC1 ZINC001278150751 806721042 /nfs/dbraw/zinc/72/10/42/806721042.db2.gz AJZBWEPNTXLJMF-UHFFFAOYSA-N 0 1 298.390 0.902 20 30 CCEDMN C=C(C)Cn1c(-c2c[nH]nn2)nnc1N1CC[C@H](OC)C1 ZINC001122401871 799224265 /nfs/dbraw/zinc/22/42/65/799224265.db2.gz FVBKHXVBOCTBFZ-JTQLQIEISA-N 0 1 289.343 0.864 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC1(C)COC1 ZINC001122442962 799236476 /nfs/dbraw/zinc/23/64/76/799236476.db2.gz YJHDRRWGRPRIFC-JTQLQIEISA-N 0 1 268.313 0.275 20 30 CCEDMN N#Cc1c(F)c(F)cc([N-]S(=O)(=O)CC[NH3+])c1F ZINC001237933668 806736244 /nfs/dbraw/zinc/73/62/44/806736244.db2.gz PEQDGGGEWOKUJC-UHFFFAOYSA-N 0 1 279.243 0.676 20 30 CCEDMN CCOCC(=O)NCCNCc1ccc(C#N)cc1F ZINC001122966469 799324607 /nfs/dbraw/zinc/32/46/07/799324607.db2.gz SAIZEVGXMOXDEX-UHFFFAOYSA-N 0 1 279.315 0.940 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@H](C)NC(C)=O ZINC001123063479 799346324 /nfs/dbraw/zinc/34/63/24/799346324.db2.gz SSRXEVMNLZMCSM-QMMMGPOBSA-N 0 1 292.177 0.125 20 30 CCEDMN CCN1[C@H]2CC[C@H]([C@H](O)C2)[C@H]1C(=O)N1CC(CC#N)C1 ZINC001278167766 806738752 /nfs/dbraw/zinc/73/87/52/806738752.db2.gz LVLWESGWHCNXJP-DGAVXFQQSA-N 0 1 277.368 0.592 20 30 CCEDMN C[C@@H](NC(=O)NC[C@H](C)C#N)[C@H]1CN(C)CCN1C ZINC001123546641 799430694 /nfs/dbraw/zinc/43/06/94/799430694.db2.gz OQZMRPNWTLYHLJ-IJLUTSLNSA-N 0 1 267.377 0.080 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001123694270 799455768 /nfs/dbraw/zinc/45/57/68/799455768.db2.gz JBVRTRCCDSJXAG-ZNMIVQPWSA-N 0 1 292.427 0.818 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](C)Oc1ccc(C)cc1 ZINC001278195435 806756777 /nfs/dbraw/zinc/75/67/77/806756777.db2.gz SIUXNXBXIHMMLG-KBPBESRZSA-N 0 1 290.363 0.462 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cc(C)n[nH]1 ZINC001124230680 799579828 /nfs/dbraw/zinc/57/98/28/799579828.db2.gz WHVWCYYWJOYFIL-UHFFFAOYSA-N 0 1 287.161 0.946 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)Cc1n[nH]c(C2CC2)n1 ZINC001137919909 799695299 /nfs/dbraw/zinc/69/52/99/799695299.db2.gz ZIDCSSHCOSWESX-SECBINFHSA-N 0 1 264.329 0.525 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](C)Cc1ccc(C)cc1 ZINC001278203010 806771538 /nfs/dbraw/zinc/77/15/38/806771538.db2.gz XQVZTBLGUWENRW-GDBMZVCRSA-N 0 1 288.391 0.874 20 30 CCEDMN C=CCn1cc(CN2CCC3(CC2)CNC(=O)CO3)cn1 ZINC001139770907 799839334 /nfs/dbraw/zinc/83/93/34/799839334.db2.gz GQQMZXZRQLVBNC-UHFFFAOYSA-N 0 1 290.367 0.550 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2cnns2)[C@@H]1C ZINC001278233721 806784422 /nfs/dbraw/zinc/78/44/22/806784422.db2.gz LMOSSFYBURQCCZ-CMPLNLGQSA-N 0 1 296.396 0.820 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](C)OCC)C1 ZINC001149236355 800059953 /nfs/dbraw/zinc/05/99/53/800059953.db2.gz JJFDCPAGMCHFGT-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN CNC(=O)c1cc(C#N)cc(C)c1NC(=O)C1CN(C)C1 ZINC001142599286 800137164 /nfs/dbraw/zinc/13/71/64/800137164.db2.gz SPHBKJJRTHHCDK-UHFFFAOYSA-N 0 1 286.335 0.726 20 30 CCEDMN C=C(Br)CNC(=O)c1cnncc1O ZINC001142703735 800150471 /nfs/dbraw/zinc/15/04/71/800150471.db2.gz BBICZLIWDFLJBQ-UHFFFAOYSA-N 0 1 258.075 0.821 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2cc(C)[nH]n2)C1 ZINC001149528802 800274743 /nfs/dbraw/zinc/27/47/43/800274743.db2.gz OZHQFLFZQPQIOQ-AWEZNQCLSA-N 0 1 292.383 0.654 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2cncn2C)C1 ZINC001150597919 800351314 /nfs/dbraw/zinc/35/13/14/800351314.db2.gz XHSSQJPEPKPDMU-AWEZNQCLSA-N 0 1 292.383 0.356 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cccn2cnnc12 ZINC001152382557 800514507 /nfs/dbraw/zinc/51/45/07/800514507.db2.gz MVAWJHJBXZLDLU-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN C=CCCOCC(=O)NCCN[C@H](C)c1n[nH]c(C)n1 ZINC001153086498 800594746 /nfs/dbraw/zinc/59/47/46/800594746.db2.gz ZHSRXKCYPQLBSA-SNVBAGLBSA-N 0 1 281.360 0.473 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCOCCOC ZINC001153225948 800612404 /nfs/dbraw/zinc/61/24/04/800612404.db2.gz UODPQBMTYIDTHQ-UHFFFAOYSA-N 0 1 264.753 0.498 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)c1[nH]nc2c1CCC2 ZINC001154151057 800730248 /nfs/dbraw/zinc/73/02/48/800730248.db2.gz GDWUCPBJPHTFNQ-SNVBAGLBSA-N 0 1 275.356 0.862 20 30 CCEDMN CCn1ncnc1CNCCCNC(=O)CSCC#N ZINC001154802267 800848295 /nfs/dbraw/zinc/84/82/95/800848295.db2.gz BGMLFIAGMNLYSH-UHFFFAOYSA-N 0 1 296.400 0.151 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)[C@@H]1CN(C(C)C)CCO1 ZINC001154838155 800855314 /nfs/dbraw/zinc/85/53/14/800855314.db2.gz QMEIQIZZKONVAW-CABCVRRESA-N 0 1 295.427 0.555 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2ncc(C#N)nc2C)CC1 ZINC001155160814 800921185 /nfs/dbraw/zinc/92/11/85/800921185.db2.gz YYGKIWPNFWTKRI-LBPRGKRZSA-N 0 1 289.339 0.373 20 30 CCEDMN C=CCCC(=O)NCCCNCc1nnc(CC)n1C ZINC001155579508 801014179 /nfs/dbraw/zinc/01/41/79/801014179.db2.gz DNOWOEXHVHJQJF-UHFFFAOYSA-N 0 1 279.388 0.940 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1nccc(C)n1 ZINC001155725387 801043767 /nfs/dbraw/zinc/04/37/67/801043767.db2.gz POTFIPLCSJVOBU-UHFFFAOYSA-N 0 1 278.356 0.584 20 30 CCEDMN CCn1c(C)nnc1CNCCCNC(=O)[C@@H](C)C#N ZINC001155827496 801060623 /nfs/dbraw/zinc/06/06/23/801060623.db2.gz CAYMRNHRDJQSFE-JTQLQIEISA-N 0 1 278.360 0.362 20 30 CCEDMN CC(=O)CC(C)=Nc1ccc(S(N)(=O)=O)cn1 ZINC001155890707 801072436 /nfs/dbraw/zinc/07/24/36/801072436.db2.gz YUXFIWQMMQNSMH-ALCCZGGFSA-N 0 1 255.299 0.634 20 30 CCEDMN C=CCOCCCC(=O)NCCCNCc1nonc1C ZINC001156160015 801119656 /nfs/dbraw/zinc/11/96/56/801119656.db2.gz LFQKCCOTXBGBLN-UHFFFAOYSA-N 0 1 296.371 0.957 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCCCNCc2ccon2)c1 ZINC001156287771 801146297 /nfs/dbraw/zinc/14/62/97/801146297.db2.gz MJUSJDTZQFISJO-UHFFFAOYSA-N 0 1 273.296 0.784 20 30 CCEDMN Cc1ncc(C#N)c(-n2c(C)ncc(CN)c2=N)n1 ZINC001156829651 801243882 /nfs/dbraw/zinc/24/38/82/801243882.db2.gz PIFMCFDRGJYCAR-UHFFFAOYSA-N 0 1 255.285 0.089 20 30 CCEDMN C#CCN(CC#C)c1nc(Cl)nc2c1CNCC2 ZINC001157558546 801417325 /nfs/dbraw/zinc/41/73/25/801417325.db2.gz CLTLSANOCSZIJO-UHFFFAOYSA-N 0 1 260.728 0.849 20 30 CCEDMN CC(C)c1nnc(CNCCCNC(=O)C#CC2CC2)[nH]1 ZINC001157705229 801459309 /nfs/dbraw/zinc/45/93/09/801459309.db2.gz RGINLHFZPUJJRI-UHFFFAOYSA-N 0 1 289.383 0.937 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1nc(C)oc1C ZINC001157846682 801501252 /nfs/dbraw/zinc/50/12/52/801501252.db2.gz YALQWSFPSFGLGO-UHFFFAOYSA-N 0 1 293.367 0.927 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1coc(C)n1 ZINC001157874516 801507737 /nfs/dbraw/zinc/50/77/37/801507737.db2.gz QXXCWGGBIGYWPI-NSHDSACASA-N 0 1 279.340 0.617 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ncn(C)n1 ZINC001157902574 801514902 /nfs/dbraw/zinc/51/49/02/801514902.db2.gz ITRIJWNEPFXKOQ-GFCCVEGCSA-N 0 1 275.356 0.375 20 30 CCEDMN N#Cc1nc(Cl)ccc1C(F)(F)n1nnnc1CN ZINC001158074526 801562741 /nfs/dbraw/zinc/56/27/41/801562741.db2.gz GBZOHHDVEVSMMQ-UHFFFAOYSA-N 0 1 285.645 0.649 20 30 CCEDMN N#Cc1ccc(C(F)(F)n2nnnc2CN)nc1Cl ZINC001158074383 801562767 /nfs/dbraw/zinc/56/27/67/801562767.db2.gz COXLMUKHLCJMLH-UHFFFAOYSA-N 0 1 285.645 0.649 20 30 CCEDMN N#Cc1cnc(Cl)cc1C(F)(F)n1nnnc1CN ZINC001158074907 801565355 /nfs/dbraw/zinc/56/53/55/801565355.db2.gz NSJRBEGXLVICIG-UHFFFAOYSA-N 0 1 285.645 0.649 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cn1ccnc1 ZINC001158955616 801727700 /nfs/dbraw/zinc/72/77/00/801727700.db2.gz OEJPXVVDUKDGME-CQSZACIVSA-N 0 1 274.368 0.829 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CCCC(N)=O ZINC001158970881 801728923 /nfs/dbraw/zinc/72/89/23/801728923.db2.gz IMFSMMOIGRGTLR-CQSZACIVSA-N 0 1 293.411 0.978 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CN2CCCC2=O)CC1 ZINC001159236785 801777377 /nfs/dbraw/zinc/77/73/77/801777377.db2.gz GVOYXMZMXGFXEX-UHFFFAOYSA-N 0 1 289.379 0.380 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cnn(C)c2)CC1 ZINC001159244284 801778859 /nfs/dbraw/zinc/77/88/59/801778859.db2.gz BYTZZTYZKJCRLV-UHFFFAOYSA-N 0 1 272.352 0.805 20 30 CCEDMN CCOC(=O)[C@@H](C#N)Nc1nc(Cl)nc2nc[nH]c21 ZINC001159322818 801791310 /nfs/dbraw/zinc/79/13/10/801791310.db2.gz FRJFMBXQUFYWHW-RXMQYKEDSA-N 0 1 280.675 0.873 20 30 CCEDMN CCOC(=O)[C@@H](C#N)Nc1n[nH]c(Cl)c2ncnc1-2 ZINC001159329018 801792766 /nfs/dbraw/zinc/79/27/66/801792766.db2.gz OQGSCSNAJNIKAW-RXMQYKEDSA-N 0 1 280.675 0.873 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)N1CCCC[C@H]1C#N ZINC001160638285 801985759 /nfs/dbraw/zinc/98/57/59/801985759.db2.gz RRDSRXVWJSAGTK-RYUDHWBXSA-N 0 1 280.372 0.381 20 30 CCEDMN CC(=O)OC[C@H](N)C(=O)N(CC#N)Cc1ccccc1 ZINC001160668216 801993737 /nfs/dbraw/zinc/99/37/37/801993737.db2.gz RQKLOIFCOKAJDR-ZDUSSCGKSA-N 0 1 275.308 0.429 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(c2ncccc2OC)CC1 ZINC001160846999 802027873 /nfs/dbraw/zinc/02/78/73/802027873.db2.gz UTPQMXFKEIZNAY-HNNXBMFYSA-N 0 1 288.351 0.089 20 30 CCEDMN N#C[C@@H]1C[C@H](F)CN1C(=O)[C@@H](N)Cc1cccc(O)c1 ZINC001161139537 802099703 /nfs/dbraw/zinc/09/97/03/802099703.db2.gz WXNSJRQIOJCGMJ-GVXVVHGQSA-N 0 1 277.299 0.725 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H](CC)NC(C)=O)CC1 ZINC001161291481 802121183 /nfs/dbraw/zinc/12/11/83/802121183.db2.gz IPFRNXMQAVOTKG-HNNXBMFYSA-N 0 1 291.395 0.673 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@H](NC(=O)OCC=C)C1 ZINC001161537406 802171839 /nfs/dbraw/zinc/17/18/39/802171839.db2.gz XSZLMTCJIHFPIJ-FZMZJTMJSA-N 0 1 279.340 0.240 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CCOCCOC)CC1 ZINC001161982150 802263672 /nfs/dbraw/zinc/26/36/72/802263672.db2.gz AYNXRYJHFMEBNJ-UHFFFAOYSA-N 0 1 294.395 0.811 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cnc(F)c(C#N)c1 ZINC001162537680 802363001 /nfs/dbraw/zinc/36/30/01/802363001.db2.gz YULUGVHFDFLIIC-UHFFFAOYSA-N 0 1 289.270 0.913 20 30 CCEDMN Cc1cc(C#N)nc(NCc2noc3c2CNCC3)n1 ZINC001163125954 802486864 /nfs/dbraw/zinc/48/68/64/802486864.db2.gz JHQGCHYLJYDFNL-UHFFFAOYSA-N 0 1 270.296 0.903 20 30 CCEDMN CS(=O)(=O)c1ccnc(N=C2CCCC(=O)C2)n1 ZINC001163451803 802559488 /nfs/dbraw/zinc/55/94/88/802559488.db2.gz MZZCROYNOGETFS-UHFFFAOYSA-N 0 1 267.310 0.929 20 30 CCEDMN N#CCCCC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001163599005 802576106 /nfs/dbraw/zinc/57/61/06/802576106.db2.gz PISUWVXQPWWEQW-SECBINFHSA-N 0 1 263.301 0.966 20 30 CCEDMN COCC#CCN1CC[C@H](NC(=O)Cc2c[nH]cn2)[C@H]1C ZINC001264720469 809674943 /nfs/dbraw/zinc/67/49/43/809674943.db2.gz PLRROFGTXHMDEU-OCCSQVGLSA-N 0 1 290.367 0.181 20 30 CCEDMN CN(CCCNC(=O)/C=C\C(C)(C)C)CC(=O)NCC#N ZINC001264971266 809683362 /nfs/dbraw/zinc/68/33/62/809683362.db2.gz NWWDCAUKQVDEEU-SREVYHEPSA-N 0 1 294.399 0.667 20 30 CCEDMN CN(C1CN(c2nnc(Cl)cc2C#N)C1)[C@@H]1CCOC1 ZINC001165203965 802795020 /nfs/dbraw/zinc/79/50/20/802795020.db2.gz OWELVRVRCBODSP-SNVBAGLBSA-N 0 1 293.758 0.911 20 30 CCEDMN N#Cc1cc(N2CCNC(=O)C23CNC3)nc2ccccc12 ZINC001165302485 802820350 /nfs/dbraw/zinc/82/03/50/802820350.db2.gz PYRJSLGDRKHOAV-UHFFFAOYSA-N 0 1 293.330 0.385 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001276439440 803063856 /nfs/dbraw/zinc/06/38/56/803063856.db2.gz DFKKOFQBPWODNH-AAEUAGOBSA-N 0 1 277.368 0.115 20 30 CCEDMN Cc1cc(C(=O)N2CCC(CNCC#N)CC2)n[nH]1 ZINC001204636222 803095314 /nfs/dbraw/zinc/09/53/14/803095314.db2.gz HZMXKAIZGJOURH-UHFFFAOYSA-N 0 1 261.329 0.684 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)COC)C1 ZINC001206606661 803273035 /nfs/dbraw/zinc/27/30/35/803273035.db2.gz GSQOIZFPMIWEDO-JHJVBQTASA-N 0 1 252.358 0.729 20 30 CCEDMN C#C[C@@H](CO)NCc1ccc2c(c1)sc(=O)n2C ZINC001206838750 803291839 /nfs/dbraw/zinc/29/18/39/803291839.db2.gz RLKKSGPZJMWYFH-JTQLQIEISA-N 0 1 262.334 0.684 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN([C@@H](CC)C(N)=O)C[C@H]1C ZINC001206886976 803298336 /nfs/dbraw/zinc/29/83/36/803298336.db2.gz PLLCTIRHBJYMTA-MCIONIFRSA-N 0 1 293.411 0.880 20 30 CCEDMN Cn1cc(CN2CCC3(CNC(=O)O3)CC2)cc1C#N ZINC001207109962 803354957 /nfs/dbraw/zinc/35/49/57/803354957.db2.gz ZXNJIMRKQWZIDE-UHFFFAOYSA-N 0 1 274.324 0.971 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCNC(=O)C(C)(C)C)C1 ZINC001208269829 803501284 /nfs/dbraw/zinc/50/12/84/803501284.db2.gz NKXSJMZXEITPEQ-CHWSQXEVSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCCCN1CCOC[C@@]2(CN(C(C)=O)CCO2)C1 ZINC001209267376 803585336 /nfs/dbraw/zinc/58/53/36/803585336.db2.gz ORQAVOKKQQNIDR-HNNXBMFYSA-N 0 1 280.368 0.350 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)COCC3CC3)[C@H]2C1 ZINC001217382440 803889182 /nfs/dbraw/zinc/88/91/82/803889182.db2.gz RPPQRCZJBWFMIN-LSDHHAIUSA-N 0 1 292.379 0.348 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCC)C[C@H]1OC ZINC001212023909 814979943 /nfs/dbraw/zinc/97/99/43/814979943.db2.gz CFKQRMKXJALEEI-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN N#Cc1nc[nH]c1NC(=O)[C@H]1Cc2ccccc2CN1 ZINC001218594448 804026141 /nfs/dbraw/zinc/02/61/41/804026141.db2.gz JOVBEMKTYOXIBZ-LLVKDONJSA-N 0 1 267.292 0.934 20 30 CCEDMN CNc1ccc(C#N)cc1NC(=O)C[C@H]1COCCN1 ZINC001218980345 804172922 /nfs/dbraw/zinc/17/29/22/804172922.db2.gz BQESEOKYTIBLJM-NSHDSACASA-N 0 1 274.324 0.917 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCCOCC)[C@@H](O)C1 ZINC001219248470 804248102 /nfs/dbraw/zinc/24/81/02/804248102.db2.gz VUECWMXVQDDOCD-OLZOCXBDSA-N 0 1 270.373 0.541 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCCCOC)[C@@H](O)C1 ZINC001219335009 804274883 /nfs/dbraw/zinc/27/48/83/804274883.db2.gz NQVYIQFXMOHBSI-OLZOCXBDSA-N 0 1 270.373 0.541 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001219534652 804338587 /nfs/dbraw/zinc/33/85/87/804338587.db2.gz HUEQBLYHHVVVFY-OLZOCXBDSA-N 0 1 280.368 0.130 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001219534652 804338595 /nfs/dbraw/zinc/33/85/95/804338595.db2.gz HUEQBLYHHVVVFY-OLZOCXBDSA-N 0 1 280.368 0.130 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CCCCCF)C[C@@H]1O ZINC001219587596 804361528 /nfs/dbraw/zinc/36/15/28/804361528.db2.gz MXEIXFWBVYWQDJ-KGLIPLIRSA-N 0 1 284.375 0.947 20 30 CCEDMN CO[C@@H](C)CN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219598137 804366019 /nfs/dbraw/zinc/36/60/19/804366019.db2.gz KDHZFMBHYTXVOJ-XQQFMLRXSA-N 0 1 282.384 0.232 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(C[C@H](C)OC)C[C@@H]2O)CCC1 ZINC001219785409 804424244 /nfs/dbraw/zinc/42/42/44/804424244.db2.gz NLQXPDARMPHSLZ-MJBXVCDLSA-N 0 1 294.395 0.376 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN([C@@H](C)COC)C[C@@H]2O)CCC1 ZINC001219785370 804424382 /nfs/dbraw/zinc/42/43/82/804424382.db2.gz MEPVJYGEWFXYNF-MJBXVCDLSA-N 0 1 294.395 0.376 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COC2CCCCC2)[C@@H](O)C1 ZINC001219930498 804449688 /nfs/dbraw/zinc/44/96/88/804449688.db2.gz HZCGFSIZZHFSSX-CABCVRRESA-N 0 1 294.395 0.520 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC1(c2ccc(C)cc2)COC1 ZINC001220202892 804536362 /nfs/dbraw/zinc/53/63/62/804536362.db2.gz OJBOEFUQVMNCBU-AWEZNQCLSA-N 0 1 258.321 0.687 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCOCC)C[C@@H]2O)C1 ZINC001220320749 804573264 /nfs/dbraw/zinc/57/32/64/804573264.db2.gz JGNBHEXDPMOVPF-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C(C)C)CC2)[C@@H](O)C1 ZINC001220326770 804574097 /nfs/dbraw/zinc/57/40/97/804574097.db2.gz GXLJJUPGODMWFO-OLZOCXBDSA-N 0 1 264.369 0.607 20 30 CCEDMN C#C[C@@](C)(CC)NC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001220382906 804590122 /nfs/dbraw/zinc/59/01/22/804590122.db2.gz CCBKWGUTIRSOQZ-ZDUSSCGKSA-N 0 1 290.327 0.620 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@H]1NCCC[C@H]1C(F)(F)F ZINC001220392795 804593254 /nfs/dbraw/zinc/59/32/54/804593254.db2.gz MEWVUXAIJHEDBS-YIZRAAEISA-N 0 1 266.263 0.580 20 30 CCEDMN C=CCCCNC(=O)[C@H](Cc1ccccc1)NC(=O)CN ZINC001220484703 804613479 /nfs/dbraw/zinc/61/34/79/804613479.db2.gz PMHRPXXHOATCQF-AWEZNQCLSA-N 0 1 289.379 0.755 20 30 CCEDMN COC(=O)c1ccc(C(N)=NC(=O)[C@@]23C[C@@H]2CCN3)cc1 ZINC001220581328 804629876 /nfs/dbraw/zinc/62/98/76/804629876.db2.gz JTJKWRGNLJTONK-XHDPSFHLSA-N 0 1 287.319 0.457 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC[C@H]2CCCOC2)[C@@H](O)C1 ZINC001220651843 804642065 /nfs/dbraw/zinc/64/20/65/804642065.db2.gz NAGYKRUYIMJHHQ-KFWWJZLASA-N 0 1 296.411 0.931 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccc(-n2cccn2)nc1 ZINC001220674407 804647703 /nfs/dbraw/zinc/64/77/03/804647703.db2.gz YEXUCTYDJIGUTH-AWEZNQCLSA-N 0 1 269.308 0.234 20 30 CCEDMN CC(C)C[C@@H](C#N)NC(=O)[C@H](CC(C)C)NC(=O)CN ZINC001220702906 804652274 /nfs/dbraw/zinc/65/22/74/804652274.db2.gz XQCLXAUYJDVKAE-RYUDHWBXSA-N 0 1 282.388 0.530 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CC)C[C@@H]2O)CCOCC1 ZINC001220871468 804685803 /nfs/dbraw/zinc/68/58/03/804685803.db2.gz HOMQULREVGPWED-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCC[C@@H](NC(=O)N[C@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC000316551673 804709484 /nfs/dbraw/zinc/70/94/84/804709484.db2.gz YKTZJWOBFYKPJJ-WDEREUQCSA-N 0 1 269.345 0.799 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccnc(OC(C)C)n1 ZINC001220987539 804713990 /nfs/dbraw/zinc/71/39/90/804713990.db2.gz AJXAJKUYIKWCSI-ZDUSSCGKSA-N 0 1 262.313 0.231 20 30 CCEDMN COC[C@H](C)N1CC[C@@H]2CN(C(=O)CSCC#N)[C@@H]2C1 ZINC001221293908 804769716 /nfs/dbraw/zinc/76/97/16/804769716.db2.gz AHGXXFWIQDHLJY-YNEHKIRRSA-N 0 1 297.424 0.811 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCCc1ccc(C(=O)N(C)C)cc1 ZINC001221393254 804798834 /nfs/dbraw/zinc/79/88/34/804798834.db2.gz VEKPTMWERUNEOP-INIZCTEOSA-N 0 1 287.363 0.398 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CN(C)C(=O)C(C)C)[C@@H]2C1 ZINC001221589349 804831354 /nfs/dbraw/zinc/83/13/54/804831354.db2.gz JVISKMNORJOYBO-ZIAGYGMSSA-N 0 1 293.411 0.820 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)C(C)(C)[NH+](C)CC)[C@@H]2C1 ZINC001221657311 804833797 /nfs/dbraw/zinc/83/37/97/804833797.db2.gz QZIZODCTLGGHBQ-ZIAGYGMSSA-N 0 1 277.412 0.883 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)c3nc[nH]n3)[C@@H]2C1 ZINC001221878376 804851465 /nfs/dbraw/zinc/85/14/65/804851465.db2.gz OPMZFOUEQCFWLT-VXGBXAGGSA-N 0 1 291.355 0.154 20 30 CCEDMN C=CCOCC(=O)NCC1CCN(CC(=O)N(C)C)CC1 ZINC001222619826 804922463 /nfs/dbraw/zinc/92/24/63/804922463.db2.gz XKWVMBPJNYWTRB-UHFFFAOYSA-N 0 1 297.399 0.105 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CCC(=O)NCCC ZINC001276957070 805015557 /nfs/dbraw/zinc/01/55/57/805015557.db2.gz QQXYSYWFIPHHRJ-CYBMUJFWSA-N 0 1 279.384 0.507 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1OCCN1CCN(C)CC1 ZINC001224551783 805051675 /nfs/dbraw/zinc/05/16/75/805051675.db2.gz LCTPZHLYMVRMON-SNVBAGLBSA-N 0 1 254.330 0.470 20 30 CCEDMN C=CCOCC(=O)N[C@]1(C)CCN([C@@H](C)C(=O)N(C)C)C1 ZINC001278369228 807042464 /nfs/dbraw/zinc/04/24/64/807042464.db2.gz NPOFNCMLPZXHKV-SWLSCSKDSA-N 0 1 297.399 0.246 20 30 CCEDMN C=CCn1cc(CN2CCc3c(n[nH]c3C(N)=O)C2)cn1 ZINC001277018359 805105917 /nfs/dbraw/zinc/10/59/17/805105917.db2.gz JHEKSXQKVBJZSN-UHFFFAOYSA-N 0 1 286.339 0.449 20 30 CCEDMN C=CCOCC(=O)NCC1(NCc2cncn2C)CC1 ZINC001277019743 805107722 /nfs/dbraw/zinc/10/77/22/805107722.db2.gz FFTPXESLZUKISG-UHFFFAOYSA-N 0 1 278.356 0.361 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@H](C)C2CC2)CC1 ZINC001277028051 805115595 /nfs/dbraw/zinc/11/55/95/805115595.db2.gz MNMRVKQJNOUERN-VXGBXAGGSA-N 0 1 293.411 0.962 20 30 CCEDMN C#CCCCCC(=O)NCC1(N[C@H](C)C(=O)N(C)C)CC1 ZINC001277044054 805129283 /nfs/dbraw/zinc/12/92/83/805129283.db2.gz WGEXEGRAVOIYFW-CYBMUJFWSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)[C@H]1C ZINC001088658474 815051151 /nfs/dbraw/zinc/05/11/51/815051151.db2.gz CDBZWJJPBALUNH-APIJFGDWSA-N 0 1 295.427 0.861 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCC1CCN([C@H](C)C(N)=O)CC1 ZINC001225631328 805146375 /nfs/dbraw/zinc/14/63/75/805146375.db2.gz MEDLJZWVQGVTJG-VXGBXAGGSA-N 0 1 297.399 0.280 20 30 CCEDMN CCO[C@@H]1C[C@H]1C(=O)NC1CCN(CC#CCOC)CC1 ZINC001226230092 805207763 /nfs/dbraw/zinc/20/77/63/805207763.db2.gz ZSMRJDLUMIDFLL-HUUCEWRRSA-N 0 1 294.395 0.642 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCCC(=O)NC)CC1 ZINC001227017823 805311772 /nfs/dbraw/zinc/31/17/72/805311772.db2.gz UBXGSHLVURFVPV-UHFFFAOYSA-N 0 1 279.384 0.507 20 30 CCEDMN Cc1cccnc1CNC(=O)NCC#CCN(C)C ZINC001227079889 805320659 /nfs/dbraw/zinc/32/06/59/805320659.db2.gz OTGQKUWPSFIMPX-UHFFFAOYSA-N 0 1 260.341 0.754 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cc[nH]c2)CC1 ZINC001227480393 805357374 /nfs/dbraw/zinc/35/73/74/805357374.db2.gz HQGXOQCQGJHPBG-UHFFFAOYSA-N 0 1 275.352 0.859 20 30 CCEDMN C=C(C)C(=O)N1CCc2nc[nH]c2[C@@H]1C(=O)OCC ZINC001142512401 815070149 /nfs/dbraw/zinc/07/01/49/815070149.db2.gz WCRPXXRYMKEREL-LLVKDONJSA-N 0 1 263.297 0.975 20 30 CCEDMN C[C@@H]1OCc2c1[nH]c(=O)nc2OC1CN(CCC#N)C1 ZINC001227716666 805384629 /nfs/dbraw/zinc/38/46/29/805384629.db2.gz UOWWEIOWUJQBIX-QMMMGPOBSA-N 0 1 276.296 0.750 20 30 CCEDMN C#C[C@@H](Oc1nc(C)[nH]c(=O)c1[N+](=O)[O-])C(=O)OCC ZINC001229377472 805527846 /nfs/dbraw/zinc/52/78/46/805527846.db2.gz BPQGFBXMILZGFZ-SSDOTTSWSA-N 0 1 281.224 0.343 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1ncc(F)nc1C(N)=O ZINC001229725709 805553408 /nfs/dbraw/zinc/55/34/08/805553408.db2.gz QJBYAFXJUZWRIX-BYPYZUCNSA-N 0 1 267.216 0.313 20 30 CCEDMN CC#CC[N@H+]1CC[C@](C)(NC(=O)Cn2cncc2C)C1 ZINC001278411328 807087794 /nfs/dbraw/zinc/08/77/94/807087794.db2.gz UAVPYGIYAAJSHH-HNNXBMFYSA-N 0 1 274.368 0.795 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NC(=O)c2[nH]ncc2F)[C@H]1C ZINC000989750459 815091658 /nfs/dbraw/zinc/09/16/58/815091658.db2.gz YWIVEJYIUIEIKW-XKSSXDPKSA-N 0 1 293.302 0.428 20 30 CCEDMN COC[C@H](CNC(=O)NCC#CCN(C)C)C(C)C ZINC001251236091 807676831 /nfs/dbraw/zinc/67/68/31/807676831.db2.gz GFLRDFXRUXTQRR-ZDUSSCGKSA-N 0 1 269.389 0.769 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C[C@H]1CCOC1)C1CC1 ZINC001251707735 807695027 /nfs/dbraw/zinc/69/50/27/807695027.db2.gz DVEJBQVCUSLKHP-CYBMUJFWSA-N 0 1 279.384 0.762 20 30 CCEDMN C[C@@H]1OCC[C@]12CN(C(=O)NCC#CCN(C)C)CCO2 ZINC001251707784 807695551 /nfs/dbraw/zinc/69/55/51/807695551.db2.gz GDSDVSJHFMOYRA-ZFWWWQNUSA-N 0 1 295.383 0.141 20 30 CCEDMN CCC[C@H](CCO)CNC(=O)NCC#CCN(C)C ZINC001251707625 807695644 /nfs/dbraw/zinc/69/56/44/807695644.db2.gz AUOKVCVEETUTDO-CYBMUJFWSA-N 0 1 269.389 0.649 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@@H]1[C@H]1CCCOC1 ZINC001251711523 807697119 /nfs/dbraw/zinc/69/71/19/807697119.db2.gz DISLUCIWWUJIHO-UONOGXRCSA-N 0 1 279.384 0.762 20 30 CCEDMN C[C@H](NC(=O)NCC#CCN(C)C)[C@H](O)c1ccccc1 ZINC001251708155 807697185 /nfs/dbraw/zinc/69/71/85/807697185.db2.gz LXBJSQZHHURKCV-ZFWWWQNUSA-N 0 1 289.379 0.973 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(c2ccc(O)cc2)CC1 ZINC001251821483 807708751 /nfs/dbraw/zinc/70/87/51/807708751.db2.gz PYPIFBNVKLJDDO-INIZCTEOSA-N 0 1 290.363 0.525 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1nc2ccccc2[nH]1 ZINC001251877639 807730988 /nfs/dbraw/zinc/73/09/88/807730988.db2.gz RTRQYHUCURXAPV-NSHDSACASA-N 0 1 259.309 0.663 20 30 CCEDMN C#CCOC[C@@H](O)CNCC(=O)c1ccc(F)cc1 ZINC001251884569 807733509 /nfs/dbraw/zinc/73/35/09/807733509.db2.gz BQUKLSZMWXUYHQ-ZDUSSCGKSA-N 0 1 265.284 0.609 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(C(C)C)no1 ZINC001251887345 807735339 /nfs/dbraw/zinc/73/53/39/807735339.db2.gz ADLVHRBUDUZEKM-LLVKDONJSA-N 0 1 252.314 0.898 20 30 CCEDMN C#CCOC[C@H](O)CNCC(=O)c1ccc(C)cc1 ZINC001251891685 807737784 /nfs/dbraw/zinc/73/77/84/807737784.db2.gz XKXNKWZUEZWXFE-CQSZACIVSA-N 0 1 261.321 0.778 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(OC(C)C)ncn1 ZINC001251900512 807742564 /nfs/dbraw/zinc/74/25/64/807742564.db2.gz SUTYCXLNSNDGAK-ZDUSSCGKSA-N 0 1 279.340 0.364 20 30 CCEDMN C#CCOC[C@H](O)CN[C@H](CO)c1ccccc1F ZINC001251900379 807742868 /nfs/dbraw/zinc/74/28/68/807742868.db2.gz POWFYIIMRHFGMT-BXUZGUMPSA-N 0 1 267.300 0.459 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cccc(=O)[nH]1 ZINC001038131794 815147366 /nfs/dbraw/zinc/14/73/66/815147366.db2.gz FSTSLRKIRRZGLD-LLVKDONJSA-N 0 1 259.309 0.615 20 30 CCEDMN C=CCOC[C@H](O)CN1CCN(Cc2cccnc2)CC1 ZINC001252453184 807869156 /nfs/dbraw/zinc/86/91/56/807869156.db2.gz GZYUVDMBDDHFQR-MRXNPFEDSA-N 0 1 291.395 0.763 20 30 CCEDMN C=CCOC[C@H](O)CN1CCCN(C(=O)OC)CC1 ZINC001252461163 807872201 /nfs/dbraw/zinc/87/22/01/807872201.db2.gz MSLRSKYPTJUKAB-GFCCVEGCSA-N 0 1 272.345 0.324 20 30 CCEDMN C=CCOC[C@@H](O)CN1CC2(C1)CCOC[C@@H]2C(=O)OC ZINC001252469951 807880070 /nfs/dbraw/zinc/88/00/70/807880070.db2.gz FMAUXISXGMWURU-QWHCGFSZSA-N 0 1 299.367 0.061 20 30 CCEDMN C=C[C@](C)(O)CN1CCCC(O)=C1C(=O)OCC ZINC001252555569 807909280 /nfs/dbraw/zinc/90/92/80/807909280.db2.gz XXPAIHJEQMGBCF-YPMHNXCESA-N 0 1 255.314 0.520 20 30 CCEDMN C=CCC[C@H](O)CNCc1cc(OCCOC)ncn1 ZINC001252624071 807921977 /nfs/dbraw/zinc/92/19/77/807921977.db2.gz WOLSVUHPVLIXLW-ZDUSSCGKSA-N 0 1 281.356 0.919 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccn3nnnc3c2)[C@H]1C ZINC001088791338 815162583 /nfs/dbraw/zinc/16/25/83/815162583.db2.gz BQXCZAPBTZJTDZ-YPMHNXCESA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2C=CC=CC=C2)[C@@H](O)C1 ZINC001083497450 815166631 /nfs/dbraw/zinc/16/66/31/815166631.db2.gz VRDGNGXOZXBKFE-CABCVRRESA-N 0 1 272.348 0.469 20 30 CCEDMN C=C[C@H](O)CN1CCC[C@@H](NC(=O)c2ccccn2)C1 ZINC001253588067 808093095 /nfs/dbraw/zinc/09/30/95/808093095.db2.gz RXHCEYVQGMHLSR-OLZOCXBDSA-N 0 1 275.352 0.823 20 30 CCEDMN C=C[C@H](O)CN[C@@H](CC(=O)OC)c1ccccn1 ZINC001253606401 808096058 /nfs/dbraw/zinc/09/60/58/808096058.db2.gz GVYKIUCCAIAPBC-JQWIXIFHSA-N 0 1 250.298 0.822 20 30 CCEDMN CC(C)C[C@H](NC[C@H](O)C(=O)OC(C)(C)C)C(=O)NO ZINC001253714042 808126714 /nfs/dbraw/zinc/12/67/14/808126714.db2.gz QHGFLWOXQVVPTN-UWVGGRQHSA-N 0 1 290.360 0.199 20 30 CCEDMN C#Cc1cnc(NC(=O)CN(C)C(C)C)c(C#C)n1 ZINC001142582878 815177327 /nfs/dbraw/zinc/17/73/27/815177327.db2.gz KVKVNPBFFFAEFD-UHFFFAOYSA-N 0 1 256.309 0.718 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@@H](C)OCC(C)C)C1 ZINC001278760245 808170536 /nfs/dbraw/zinc/17/05/36/808170536.db2.gz JYSRCHZBTOCIGB-ZBFHGGJFSA-N 0 1 296.411 0.624 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H](OCC)C(C)C)C1 ZINC001278777309 808217979 /nfs/dbraw/zinc/21/79/79/808217979.db2.gz XJZQSDVSOPXUHE-UKRRQHHQSA-N 0 1 282.384 0.234 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C(CC=C)CC=C)C1 ZINC001278781086 808229143 /nfs/dbraw/zinc/22/91/43/808229143.db2.gz CJOBLIJUSOZLNO-MRXNPFEDSA-N 0 1 276.380 0.941 20 30 CCEDMN N#C[C@@H]1CC[C@@H](N[C@@H]2COCCC23OCCO3)C1 ZINC001254642759 808269067 /nfs/dbraw/zinc/26/90/67/808269067.db2.gz LBYNMTGGFLLZCI-IJLUTSLNSA-N 0 1 252.314 0.800 20 30 CCEDMN COC(=O)[C@@H]1CN([C@H]2CC[C@H](C#N)C2)CCCO1 ZINC001254675113 808273330 /nfs/dbraw/zinc/27/33/30/808273330.db2.gz FEXOQVJZGSWVLJ-SRVKXCTJSA-N 0 1 252.314 0.943 20 30 CCEDMN CNc1nnc(CC(=O)NC2(C#N)CCN(C)CC2)s1 ZINC001254775072 808279192 /nfs/dbraw/zinc/27/91/92/808279192.db2.gz IWGUNBAHDLMRHH-UHFFFAOYSA-N 0 1 294.384 0.226 20 30 CCEDMN CCOC(=O)[C@@H](C#N)N[C@H]1CC2CCN(CC2)C1 ZINC001254847175 808287527 /nfs/dbraw/zinc/28/75/27/808287527.db2.gz FBVZENFDERRZEP-NWDGAFQWSA-N 0 1 251.330 0.516 20 30 CCEDMN CC[C@H](CC#N)N[C@@H]1C[C@H](OCCO)[C@H]2OC(C)(C)O[C@@H]12 ZINC001255154482 808323361 /nfs/dbraw/zinc/32/33/61/808323361.db2.gz DIKUJFMWHVETIT-PEBLQZBPSA-N 0 1 298.383 0.938 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2[nH]ccc2C2CC2)[C@@H](O)C1 ZINC001083515016 815195237 /nfs/dbraw/zinc/19/52/37/815195237.db2.gz JASUEXKHEDXSBB-KGLIPLIRSA-N 0 1 287.363 0.690 20 30 CCEDMN C[C@H](CC(=O)N1CCOCC1)NCC1(C#N)CCC1 ZINC001256318725 808490559 /nfs/dbraw/zinc/49/05/59/808490559.db2.gz PZJAHJJBDNEEDE-GFCCVEGCSA-N 0 1 265.357 0.907 20 30 CCEDMN C[C@H](CC(=O)N1CCOCC1)NCC1(C#N)CC1 ZINC001256326702 808496430 /nfs/dbraw/zinc/49/64/30/808496430.db2.gz RDFOCOQZBAWWST-LLVKDONJSA-N 0 1 251.330 0.517 20 30 CCEDMN C#CCN(CC#C)[C@H]1CC[C@@H](C(=O)OC)[C@H](C(=O)OC)C1 ZINC001257749349 808633799 /nfs/dbraw/zinc/63/37/99/808633799.db2.gz NFAPHEUPYUTRIK-BFHYXJOUSA-N 0 1 291.347 0.686 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2c(C)n[nH]c2C)cc[nH]1 ZINC001261024431 808898982 /nfs/dbraw/zinc/89/89/82/808898982.db2.gz YYPZWUHXUBTSEC-UHFFFAOYSA-N 0 1 276.321 0.626 20 30 CCEDMN C#CC[C@@H](NC(=O)C(=O)N1CCNC[C@@H]1C)c1ccccc1 ZINC001261377786 808962817 /nfs/dbraw/zinc/96/28/17/808962817.db2.gz FYJTVRZJFBJDFE-DZGCQCFKSA-N 0 1 299.374 0.688 20 30 CCEDMN C=C[C@H](COC)NC[C@H](O)c1ccc(S(C)(=O)=O)cc1 ZINC001261437031 808976902 /nfs/dbraw/zinc/97/69/02/808976902.db2.gz RUPAZPSFYZVEKV-OCCSQVGLSA-N 0 1 299.392 0.914 20 30 CCEDMN C#CCNCC(=O)N1CCN([C@@H](C)c2cccnc2)CC1 ZINC001263103512 809444141 /nfs/dbraw/zinc/44/41/41/809444141.db2.gz BITDHEVYZAYYPW-AWEZNQCLSA-N 0 1 286.379 0.510 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)NC(=O)[C@@H]1CCCN1C ZINC001076408163 815291201 /nfs/dbraw/zinc/29/12/01/815291201.db2.gz IIBVNDCBZQIANM-KBPBESRZSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C(CC)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001263729756 809559204 /nfs/dbraw/zinc/55/92/04/809559204.db2.gz FZUUMOPAEGYPLA-ACCUITESSA-N 0 1 263.297 0.595 20 30 CCEDMN C=CC[C@H](C)NC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001263778611 809569518 /nfs/dbraw/zinc/56/95/18/809569518.db2.gz NYXFRWACETWJKD-YNEHKIRRSA-N 0 1 268.405 0.885 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001263886445 809599611 /nfs/dbraw/zinc/59/96/11/809599611.db2.gz KCZTYTCQSJKPND-MFKMUULPSA-N 0 1 279.344 0.744 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)COC)[C@H]1C ZINC001264134654 809633689 /nfs/dbraw/zinc/63/36/89/809633689.db2.gz UPKXUNSAKHRNTN-XQQFMLRXSA-N 0 1 252.358 0.871 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)COCCOC)[C@H]1CC ZINC001264047489 809625042 /nfs/dbraw/zinc/62/50/42/809625042.db2.gz GNIPGJCCADWOBT-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H]2CC2(F)F)C1 ZINC001264074770 809628440 /nfs/dbraw/zinc/62/84/40/809628440.db2.gz IUQJSLMKPBPNGQ-NEPJUHHUSA-N 0 1 286.322 0.560 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@H](C)CSC)C1 ZINC001264075513 809628725 /nfs/dbraw/zinc/62/87/25/809628725.db2.gz XMOJOTLIXOMQNN-KGLIPLIRSA-N 0 1 298.452 0.904 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(CC(N)=O)C1 ZINC001264588679 809664025 /nfs/dbraw/zinc/66/40/25/809664025.db2.gz KEVAWAFSRNYCPI-XUXIUFHCSA-N 0 1 291.395 0.607 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H]2CCN([C@@H](C)C(N)=O)C[C@H]2C1 ZINC001264644475 809668616 /nfs/dbraw/zinc/66/86/16/809668616.db2.gz XAXDKFWBWWUDAJ-MJBXVCDLSA-N 0 1 291.395 0.300 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCC(=O)NCC)[C@H]1C ZINC001264693637 809673393 /nfs/dbraw/zinc/67/33/93/809673393.db2.gz RVPPRLCQPPWEMR-NEPJUHHUSA-N 0 1 265.357 0.115 20 30 CCEDMN CCC(=O)NCCCN(CC(=O)NCC#N)C1CC1 ZINC001265149490 809715593 /nfs/dbraw/zinc/71/55/93/809715593.db2.gz QTDLJGDFDYPJGZ-UHFFFAOYSA-N 0 1 266.345 0.007 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2ncc[nH]2)CC1 ZINC001265258661 809733591 /nfs/dbraw/zinc/73/35/91/809733591.db2.gz NXVDCDNSTDDJGG-UHFFFAOYSA-N 0 1 277.372 0.333 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)COCCOCC ZINC001265416237 809773466 /nfs/dbraw/zinc/77/34/66/809773466.db2.gz SIRPQPKSQJXVAG-GASCZTMLSA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](NCc2cc(OC)no2)C1 ZINC001265470957 809787516 /nfs/dbraw/zinc/78/75/16/809787516.db2.gz YMYYODCGKPCCQF-XYPYZODXSA-N 0 1 295.339 0.623 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)CCOCCOC ZINC001265658385 809820722 /nfs/dbraw/zinc/82/07/22/809820722.db2.gz XYWZZTKLGRGBPA-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN C[C@@H](CNC(=O)[C@H]1CCNC1=O)NCC#Cc1ccccc1 ZINC001265808470 809857717 /nfs/dbraw/zinc/85/77/17/809857717.db2.gz FCEYERVYJOKFER-ZFWWWQNUSA-N 0 1 299.374 0.269 20 30 CCEDMN C[C@H](C(=O)NCCCN(C)CC(=O)NCC#N)C1CCC1 ZINC001265871877 809880862 /nfs/dbraw/zinc/88/08/62/809880862.db2.gz IFFCVADVXGRKGO-LBPRGKRZSA-N 0 1 294.399 0.500 20 30 CCEDMN C[C@@H](C(=O)NCCCN(C)CC(=O)NCC#N)C1CCC1 ZINC001265871876 809880969 /nfs/dbraw/zinc/88/09/69/809880969.db2.gz IFFCVADVXGRKGO-GFCCVEGCSA-N 0 1 294.399 0.500 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H]1C[C@H]1C1CC1 ZINC001265937138 809899065 /nfs/dbraw/zinc/89/90/65/809899065.db2.gz UMLZTHYLTHCZHJ-UONOGXRCSA-N 0 1 291.395 0.220 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCCN(C(=O)[C@H]2CCCO2)C1 ZINC001266089960 809934467 /nfs/dbraw/zinc/93/44/67/809934467.db2.gz BFYXFLVQEDJUFD-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1=CCN(CCn2cncn2)CC1 ZINC001279520251 809986162 /nfs/dbraw/zinc/98/61/62/809986162.db2.gz LTYKEPOLTIVTNY-GFCCVEGCSA-N 0 1 288.355 0.186 20 30 CCEDMN C=CCCN1CCOC[C@@H]1CNC(=O)CCc1cnc[nH]1 ZINC001280408342 810015765 /nfs/dbraw/zinc/01/57/65/810015765.db2.gz BSVZRIVVSODOTP-AWEZNQCLSA-N 0 1 292.383 0.735 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H]1CNC(=O)c1ccnc2[n-]nnc21 ZINC001038505469 815352629 /nfs/dbraw/zinc/35/26/29/815352629.db2.gz YUXWFSYJNWDMJE-SNVBAGLBSA-N 0 1 284.323 0.180 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]1CNC(=O)c1ccnc2[n-]nnc21 ZINC001038505469 815352634 /nfs/dbraw/zinc/35/26/34/815352634.db2.gz YUXWFSYJNWDMJE-SNVBAGLBSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H]2C=CCC2)C1 ZINC001076725653 815354785 /nfs/dbraw/zinc/35/47/85/815354785.db2.gz DIYBFWIQCRAJHG-MGPQQGTHSA-N 0 1 262.353 0.527 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc(C)n2)C1 ZINC001076754765 815365456 /nfs/dbraw/zinc/36/54/56/815365456.db2.gz UJUFUVCTKHTQAB-ZIAGYGMSSA-N 0 1 275.352 0.741 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001076883868 815403981 /nfs/dbraw/zinc/40/39/81/815403981.db2.gz BQYDRQCKUUMMHA-JHJVBQTASA-N 0 1 296.367 0.067 20 30 CCEDMN C[C@H](F)CCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001076898367 815408075 /nfs/dbraw/zinc/40/80/75/815408075.db2.gz FNNRORJHSRDJSU-ZWKOPEQDSA-N 0 1 294.330 0.409 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2coc(CC)n2)C1 ZINC001076911841 815412627 /nfs/dbraw/zinc/41/26/27/815412627.db2.gz DSCFDRNVXMPTGD-ZYHUDNBSSA-N 0 1 279.340 0.588 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2coc(CC)n2)C1 ZINC001076911841 815412631 /nfs/dbraw/zinc/41/26/31/815412631.db2.gz DSCFDRNVXMPTGD-ZYHUDNBSSA-N 0 1 279.340 0.588 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nn1)N(C)C(=O)c1ccn[nH]1 ZINC001104480104 811120240 /nfs/dbraw/zinc/12/02/40/811120240.db2.gz WXOYFXHYGHFNSJ-VIFPVBQESA-N 0 1 285.311 0.644 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2CC(OCC)C2)C1 ZINC001076958279 815423072 /nfs/dbraw/zinc/42/30/72/815423072.db2.gz CSMKADOCBQYCCK-NWINJMCUSA-N 0 1 282.384 0.539 20 30 CCEDMN COc1cc(C#N)cnc1-n1c(C)ncc(CN)c1=N ZINC001167672835 811132810 /nfs/dbraw/zinc/13/28/10/811132810.db2.gz XOSDAKORHZIFRL-UHFFFAOYSA-N 0 1 270.296 0.394 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@@H](C)CNc2cncc(C#N)n2)n[nH]1 ZINC001104487306 811133162 /nfs/dbraw/zinc/13/31/62/811133162.db2.gz SBXYBJONVWDTDS-JTQLQIEISA-N 0 1 299.338 0.952 20 30 CCEDMN C#CC[N@@H+](CCC)CCNC(=O)c1cnc2cccnn21 ZINC001267317779 811145050 /nfs/dbraw/zinc/14/50/50/811145050.db2.gz LQGUWBGGAGPMRK-UHFFFAOYSA-N 0 1 285.351 0.804 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ccc(OCC#N)cn1 ZINC001167673051 811151108 /nfs/dbraw/zinc/15/11/08/811151108.db2.gz HTHJMWGVFVKGAJ-UHFFFAOYSA-N 0 1 270.296 0.416 20 30 CCEDMN CC#CCN(CCNC(=O)Cc1nnc(C)o1)C1CC1 ZINC001267326409 811158657 /nfs/dbraw/zinc/15/86/57/811158657.db2.gz NVTQUYFLWNTPPH-UHFFFAOYSA-N 0 1 276.340 0.524 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCNCc1cnc(C)cn1 ZINC001267372092 811226557 /nfs/dbraw/zinc/22/65/57/811226557.db2.gz GBRONKMFEPFMBS-CYBMUJFWSA-N 0 1 290.367 0.371 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccnnc3C)C[C@@H]21 ZINC001042132405 811257500 /nfs/dbraw/zinc/25/75/00/811257500.db2.gz CHUYBDXJZTVZPG-ZFWWWQNUSA-N 0 1 284.363 0.955 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)c2ccnn2C)C1 ZINC001077016267 815433942 /nfs/dbraw/zinc/43/39/42/815433942.db2.gz HUTYFLARBWRJNG-YRGRVCCFSA-N 0 1 292.383 0.261 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](CNCc2nncn2C)C1 ZINC001023893719 811279646 /nfs/dbraw/zinc/27/96/46/811279646.db2.gz WDRZOSOHXHNBQS-NWDGAFQWSA-N 0 1 290.371 0.303 20 30 CCEDMN C[C@H](NCC#N)C1CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC001267491548 811371854 /nfs/dbraw/zinc/37/18/54/811371854.db2.gz JLHHOZKUMKFBOJ-NSHDSACASA-N 0 1 290.371 0.478 20 30 CCEDMN C[C@H](NCC#N)C1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC001267491548 811371864 /nfs/dbraw/zinc/37/18/64/811371864.db2.gz JLHHOZKUMKFBOJ-NSHDSACASA-N 0 1 290.371 0.478 20 30 CCEDMN C#CCCNCc1cn([C@H]2CCN(C(=O)CC)C2)nn1 ZINC001098588949 811378095 /nfs/dbraw/zinc/37/80/95/811378095.db2.gz QJSBHXAZCDBKMH-ZDUSSCGKSA-N 0 1 275.356 0.574 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(CCC)C(=O)CN(C)C(C)=O)C1 ZINC001267537158 811419843 /nfs/dbraw/zinc/41/98/43/811419843.db2.gz GQTDAEVVGIBYMA-AWEZNQCLSA-N 0 1 281.400 0.964 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)CN(C)C(C)=O)C1 ZINC001267537158 811419848 /nfs/dbraw/zinc/41/98/48/811419848.db2.gz GQTDAEVVGIBYMA-AWEZNQCLSA-N 0 1 281.400 0.964 20 30 CCEDMN C=CCN1C[C@H]2OCCN(C(=O)CN3CCCC3)[C@H]2C1 ZINC001083274850 811431519 /nfs/dbraw/zinc/43/15/19/811431519.db2.gz CIARAMOLDPYWJB-UONOGXRCSA-N 0 1 279.384 0.180 20 30 CCEDMN CCOCCN1CCN(CCN(C)C(=O)[C@@H](C)C#N)CC1 ZINC001267606302 811546275 /nfs/dbraw/zinc/54/62/75/811546275.db2.gz UOZPUBMLZQHVGJ-AWEZNQCLSA-N 0 1 296.415 0.259 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)C(C)C)CC1 ZINC001267606003 811546421 /nfs/dbraw/zinc/54/64/21/811546421.db2.gz KGHYDYUDSWZZGF-UHFFFAOYSA-N 0 1 253.390 0.904 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)CCCF)CC1 ZINC001267609141 811560021 /nfs/dbraw/zinc/56/00/21/811560021.db2.gz QJXNWQIAIQVEEC-UHFFFAOYSA-N 0 1 271.380 0.998 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cc2c([nH]1)CCOC2 ZINC001038337593 811625406 /nfs/dbraw/zinc/62/54/06/811625406.db2.gz WYCKKZJJKALTFE-CYBMUJFWSA-N 0 1 287.363 0.915 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)COC[C@@H]2CCCO2)C1 ZINC001267700070 811664391 /nfs/dbraw/zinc/66/43/91/811664391.db2.gz IHZVQVYAUBDJFJ-AWEZNQCLSA-N 0 1 282.384 0.806 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)COC[C@@H]1CCCO1 ZINC001267716074 811678183 /nfs/dbraw/zinc/67/81/83/811678183.db2.gz GIQVGFUMEVXRHA-KKUMJFAQSA-N 0 1 292.379 0.490 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)[C@@H](C)CNc1ccncc1C#N ZINC001104752502 811684294 /nfs/dbraw/zinc/68/42/94/811684294.db2.gz PVCGSXQVESRQFR-VIFPVBQESA-N 0 1 299.338 0.374 20 30 CCEDMN C[C@@H](CNc1cnc(C#N)cn1)N(C)C(=O)Cc1c[nH]cn1 ZINC001104826174 811734080 /nfs/dbraw/zinc/73/40/80/811734080.db2.gz SHDDXIIRWWALCE-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)c1cnn[nH]1 ZINC001077293967 815475998 /nfs/dbraw/zinc/47/59/98/815475998.db2.gz HIWCMWRUZGHBKQ-JTQLQIEISA-N 0 1 277.328 0.089 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@](C)(CNCC#N)C1CC1 ZINC001267860730 811840001 /nfs/dbraw/zinc/84/00/01/811840001.db2.gz QNKFOLAFBLBHRK-NHYWBVRUSA-N 0 1 289.383 0.986 20 30 CCEDMN C[C@](CNCC#N)(NC(=O)Cc1cnc[nH]1)C1CC1 ZINC001267859392 811840380 /nfs/dbraw/zinc/84/03/80/811840380.db2.gz AKIVWDVHCNTFDI-CYBMUJFWSA-N 0 1 261.329 0.350 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2cn(C)nn2)C1 ZINC001267931344 811875122 /nfs/dbraw/zinc/87/51/22/811875122.db2.gz QUTPVGCEKZNQPR-GFCCVEGCSA-N 0 1 293.371 0.212 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)NCc1cn[nH]c1C ZINC001222417199 811905155 /nfs/dbraw/zinc/90/51/55/811905155.db2.gz RGTRKBUXAYFNBS-NSHDSACASA-N 0 1 252.318 0.844 20 30 CCEDMN Cc1nocc1CNCCNC(=O)c1c[nH]c(C#N)c1 ZINC001125988355 811968875 /nfs/dbraw/zinc/96/88/75/811968875.db2.gz TVRNVBODBMPOIX-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccn3ccnc3c2)C1 ZINC001077376794 815488619 /nfs/dbraw/zinc/48/86/19/815488619.db2.gz BGYGVEHTRGPYET-ZIAGYGMSSA-N 0 1 298.346 0.133 20 30 CCEDMN CN(CCN(C)c1ncccc1C#N)C(=O)c1ccn[nH]1 ZINC001105338877 812003202 /nfs/dbraw/zinc/00/32/02/812003202.db2.gz HKQFILFPFGXCFA-UHFFFAOYSA-N 0 1 284.323 0.885 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)c1cccc([N+](=O)[O-])c1 ZINC000092492662 812048308 /nfs/dbraw/zinc/04/83/08/812048308.db2.gz COAIRYFNMWXGMV-SNVBAGLBSA-N 0 1 261.281 0.995 20 30 CCEDMN N#CCNC1(CNC(=O)CCc2cnc[nH]2)CCCC1 ZINC001268035073 812055426 /nfs/dbraw/zinc/05/54/26/812055426.db2.gz GJNGUHHOXQEPKR-UHFFFAOYSA-N 0 1 275.356 0.884 20 30 CCEDMN COC(=O)c1cnc(COC2=C(C)O[C@@H](C)C2=O)cn1 ZINC001223045572 812082165 /nfs/dbraw/zinc/08/21/65/812082165.db2.gz FAFHBRUKNICCJS-ZETCQYMHSA-N 0 1 278.264 0.999 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001027887954 812118701 /nfs/dbraw/zinc/11/87/01/812118701.db2.gz AZYMFRATWOXULH-JHJVBQTASA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cn2c(n1)CCC2 ZINC001027890517 812121733 /nfs/dbraw/zinc/12/17/33/812121733.db2.gz BRFYUCXYAIQIAC-GFCCVEGCSA-N 0 1 272.352 0.657 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCN(C)c1ncnc2[nH]cnc21 ZINC001105346662 812163561 /nfs/dbraw/zinc/16/35/61/812163561.db2.gz GNNGVNYIDPUQCL-VIFPVBQESA-N 0 1 287.327 0.407 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)[C@@H](C)CNCc1cnnn1C ZINC001268059171 812163694 /nfs/dbraw/zinc/16/36/94/812163694.db2.gz LJKLDOFMXUINBQ-SMDDNHRTSA-N 0 1 295.387 0.079 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnc[nH]c1=O ZINC001027957576 812167517 /nfs/dbraw/zinc/16/75/17/812167517.db2.gz COMKIFRIWDABKK-NSHDSACASA-N 0 1 274.324 0.400 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](Nc3cncc(C#N)n3)C2)n[nH]1 ZINC001058851380 812195883 /nfs/dbraw/zinc/19/58/83/812195883.db2.gz ZNYKQNHKOFGHCK-JTQLQIEISA-N 0 1 297.322 0.706 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(OC)nc1 ZINC001028011875 812212480 /nfs/dbraw/zinc/21/24/80/812212480.db2.gz ASVSFIOKRMLDFZ-ZDUSSCGKSA-N 0 1 273.336 0.918 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccn2nnnc2c1 ZINC001028070619 812246736 /nfs/dbraw/zinc/24/67/36/812246736.db2.gz HBCNCQDORPXQDF-ZDUSSCGKSA-N 0 1 298.350 0.342 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001028141690 812310864 /nfs/dbraw/zinc/31/08/64/812310864.db2.gz NULGRIXCAULICM-RDBSUJKOSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnc2cccnn21 ZINC001028186986 812340523 /nfs/dbraw/zinc/34/05/23/812340523.db2.gz YLJWREUBWATKQJ-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)CC[C@H]1CCOC1 ZINC001268231334 812387020 /nfs/dbraw/zinc/38/70/20/812387020.db2.gz BHHCJWXVZUMMEB-HNNXBMFYSA-N 0 1 296.411 0.843 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H](C)OCC1CC1 ZINC001268231830 812396007 /nfs/dbraw/zinc/39/60/07/812396007.db2.gz GOHKMWMQTDPACV-AWEZNQCLSA-N 0 1 296.411 0.842 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H]1CCCC(=O)N1 ZINC001268240976 812405965 /nfs/dbraw/zinc/40/59/65/812405965.db2.gz HISODXJICALONQ-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccon1 ZINC001268297164 812455932 /nfs/dbraw/zinc/45/59/32/812455932.db2.gz FHVNRDKTPNSXKS-MRVPVSSYSA-N 0 1 259.693 0.107 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](O)CNCC(=C)Cl ZINC001268323034 812486269 /nfs/dbraw/zinc/48/62/69/812486269.db2.gz VPISKBPQXSKCJK-UTUOFQBUSA-N 0 1 288.775 0.397 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H](O)CNCc2ocnc2C)C1 ZINC001268323277 812486417 /nfs/dbraw/zinc/48/64/17/812486417.db2.gz MGYPQRQCTKZQTB-GFCCVEGCSA-N 0 1 293.367 0.906 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c2c(nn1C)CCC2 ZINC001028256250 812514405 /nfs/dbraw/zinc/51/44/05/812514405.db2.gz YSDFZCMWYGPBKA-GFCCVEGCSA-N 0 1 286.379 0.736 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001028334677 812627316 /nfs/dbraw/zinc/62/73/16/812627316.db2.gz GLHOGPQWIMHETI-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001028334677 812627320 /nfs/dbraw/zinc/62/73/20/812627320.db2.gz GLHOGPQWIMHETI-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(N(C)C)cn1 ZINC001028342894 812632255 /nfs/dbraw/zinc/63/22/55/812632255.db2.gz MTDHVWJGNNNHIH-CQSZACIVSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)CC(C)(C)C ZINC001268512241 812747347 /nfs/dbraw/zinc/74/73/47/812747347.db2.gz OSRJWJSOZPXFIW-SREVYHEPSA-N 0 1 279.384 0.434 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cnn(CC)n1 ZINC001268531607 812776092 /nfs/dbraw/zinc/77/60/92/812776092.db2.gz AWDGHJOFVFDEJK-PLNGDYQASA-N 0 1 283.763 0.926 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001028500956 812779772 /nfs/dbraw/zinc/77/97/72/812779772.db2.gz TXPUEWRLZJGFIS-LBPRGKRZSA-N 0 1 273.336 0.862 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC/C=C/CNCc1cnoc1C ZINC001268540965 812782419 /nfs/dbraw/zinc/78/24/19/812782419.db2.gz HAZFHWTWTIZICI-GFUIURDCSA-N 0 1 291.351 0.783 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cccc(=O)[nH]3)[C@@H]2C1 ZINC001075651579 812862347 /nfs/dbraw/zinc/86/23/47/812862347.db2.gz JQSIRIROTDVHFI-GXTWGEPZSA-N 0 1 285.347 0.957 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)CCc1cnn(C)n1 ZINC001268593515 812896043 /nfs/dbraw/zinc/89/60/43/812896043.db2.gz ZDJYZKHADPYILN-ONEGZZNKSA-N 0 1 297.790 0.762 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cccc(C)n2)[C@@H](O)C1 ZINC001083345669 812897630 /nfs/dbraw/zinc/89/76/30/812897630.db2.gz UJUFUVCTKHTQAB-KGLIPLIRSA-N 0 1 275.352 0.741 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@H]2CCCCO2)[C@@H](O)C1 ZINC001083399855 812939644 /nfs/dbraw/zinc/93/96/44/812939644.db2.gz QTKOWQYTTBBOCA-MCIONIFRSA-N 0 1 282.384 0.683 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1ncccc1C ZINC001127027336 815564478 /nfs/dbraw/zinc/56/44/78/815564478.db2.gz OGQRAAIGQYWELB-UHFFFAOYSA-N 0 1 275.352 0.636 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H](C)CNCc1snnc1C ZINC001268646489 812973716 /nfs/dbraw/zinc/97/37/16/812973716.db2.gz UPQTZRFXXSHWAA-MWLCHTKSSA-N 0 1 296.396 0.479 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC001028618867 812979446 /nfs/dbraw/zinc/97/94/46/812979446.db2.gz AKSQXSYMKHROTK-NSHDSACASA-N 0 1 276.340 0.810 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2cnc3n[nH]cc3c2)C1 ZINC001028624502 812986515 /nfs/dbraw/zinc/98/65/15/812986515.db2.gz ZWYQXBPKZKABFY-NSHDSACASA-N 0 1 283.335 0.643 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001028624629 812987241 /nfs/dbraw/zinc/98/72/41/812987241.db2.gz DIRADSVETKOGMT-GFCCVEGCSA-N 0 1 288.351 0.484 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](C)C[NH+](C)CC=C ZINC001268733522 813048864 /nfs/dbraw/zinc/04/88/64/813048864.db2.gz HZNZEYGBHAQOSQ-KGLIPLIRSA-N 0 1 263.385 0.707 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCOC1CCOCC1 ZINC001268751880 813062224 /nfs/dbraw/zinc/06/22/24/813062224.db2.gz FCWZJVVKZSYIET-ZDUSSCGKSA-N 0 1 282.384 0.642 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCN[C@@H](C)c1nncn1C ZINC001268782698 813081760 /nfs/dbraw/zinc/08/17/60/813081760.db2.gz AWJBVQBCOSRWLN-QWRGUYRKSA-N 0 1 278.360 0.474 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCNCc1ncccn1 ZINC001268783313 813084515 /nfs/dbraw/zinc/08/45/15/813084515.db2.gz GYGJJYVFHSQDMP-LLVKDONJSA-N 0 1 261.329 0.574 20 30 CCEDMN C#CC(=O)N1C[C@@H]2CC[C@H](C1)[C@H]2C(=O)NCc1cnc[nH]1 ZINC001268855699 813116141 /nfs/dbraw/zinc/11/61/41/813116141.db2.gz XFCJTTWMTKIAOD-GNXNZQSNSA-N 0 1 286.335 0.144 20 30 CCEDMN C#CCN1CCC2(CCN(C(=O)c3cnc[nH]3)CC2)C1=O ZINC001268867826 813124067 /nfs/dbraw/zinc/12/40/67/813124067.db2.gz NGJTVCFKJZPSIE-UHFFFAOYSA-N 0 1 286.335 0.498 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2C(=O)c2cnc[nH]2)C1=O ZINC001268867298 813124448 /nfs/dbraw/zinc/12/44/48/813124448.db2.gz GPKUSCLDKOOPTH-AWEZNQCLSA-N 0 1 272.308 0.250 20 30 CCEDMN O=C(CCS)N1C[C@H]2C[C@@]2(C(=O)NCc2cnc[nH]2)C1 ZINC001268891887 813135543 /nfs/dbraw/zinc/13/55/43/813135543.db2.gz OVTKUFMEFYCFJH-NOZJJQNGSA-N 0 1 294.380 0.194 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](C)OC ZINC001268929846 813148878 /nfs/dbraw/zinc/14/88/78/813148878.db2.gz NTKJQAJFDUVIFT-AVGNSLFASA-N 0 1 268.357 0.509 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H]2C[C@H]1CN2[C@H](C)COC ZINC001268929640 813148924 /nfs/dbraw/zinc/14/89/24/813148924.db2.gz HCRYWEQBNXYXEK-AGIUHOORSA-N 0 1 268.357 0.509 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCNC(=O)C3)[C@@H]2C1 ZINC001075682453 813149367 /nfs/dbraw/zinc/14/93/67/813149367.db2.gz GUYSQRNWZAYAPU-HZSPNIEDSA-N 0 1 289.379 0.069 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCn2cncn2)C1 ZINC001269078856 813207230 /nfs/dbraw/zinc/20/72/30/813207230.db2.gz WGIWUIUOPOIULI-ZDUSSCGKSA-N 0 1 275.356 0.272 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)CN(C)CC ZINC001269092777 813211831 /nfs/dbraw/zinc/21/18/31/813211831.db2.gz HKRJNAJEJVPRSC-CHWSQXEVSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCN[C@@H](C)c1cnc(C)cn1 ZINC001127046083 815602059 /nfs/dbraw/zinc/60/20/59/815602059.db2.gz LFDPLIUIQXTRLL-QWHCGFSZSA-N 0 1 290.367 0.590 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(C(=O)c3ccn[nH]3)C2)C1=O ZINC001269132040 813232208 /nfs/dbraw/zinc/23/22/08/813232208.db2.gz SHHKCCCAYUSKEK-AWEZNQCLSA-N 0 1 274.324 0.660 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N[C@@H]1CCN(CC#N)C1 ZINC001269134060 813233488 /nfs/dbraw/zinc/23/34/88/813233488.db2.gz DXHMQWGDOZISBY-VXGBXAGGSA-N 0 1 270.352 0.380 20 30 CCEDMN C=C(Br)CNCCNC(=O)Cc1cncnc1 ZINC001126403138 813238876 /nfs/dbraw/zinc/23/88/76/813238876.db2.gz UQQIFUVXJJCSBM-UHFFFAOYSA-N 0 1 299.172 0.634 20 30 CCEDMN N#Cc1nccnc1N[C@H]1CCN(C(=O)Cc2c[nH]cn2)C1 ZINC001059079185 813238975 /nfs/dbraw/zinc/23/89/75/813238975.db2.gz BCZJYXZDNCEYSK-JTQLQIEISA-N 0 1 297.322 0.327 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H]1CCN1C[C@@H](O)COC ZINC001269273412 813302806 /nfs/dbraw/zinc/30/28/06/813302806.db2.gz XGRPHUQETYOQQH-VXGBXAGGSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](N(C)C(=O)c2cnon2)C1 ZINC001269280341 813303608 /nfs/dbraw/zinc/30/36/08/813303608.db2.gz KEMIQZBAGGDFJM-NSHDSACASA-N 0 1 280.328 0.419 20 30 CCEDMN C=CCOCCN1CC[C@H](N(C)C(=O)c2cnon2)C1 ZINC001269280341 813303615 /nfs/dbraw/zinc/30/36/15/813303615.db2.gz KEMIQZBAGGDFJM-NSHDSACASA-N 0 1 280.328 0.419 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)CN2CCOCC2)C1 ZINC001269318168 813322554 /nfs/dbraw/zinc/32/25/54/813322554.db2.gz KWSVJEBEHHVFEJ-CQSZACIVSA-N 0 1 281.400 0.427 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)CCCn1cncn1 ZINC001269327746 813327918 /nfs/dbraw/zinc/32/79/18/813327918.db2.gz ZBAQDOZIHGWWEA-ZDUSSCGKSA-N 0 1 277.372 0.825 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(CC)C(=O)CO[C@H]2CCOC2)C1 ZINC001269325091 813328504 /nfs/dbraw/zinc/32/85/04/813328504.db2.gz UXXVROBDGFUXRQ-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@H]2CCNC2=O)C1 ZINC001269330397 813328682 /nfs/dbraw/zinc/32/86/82/813328682.db2.gz IMNQXFKUWCIHGI-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H](NC2(CNC(=O)[C@@H](C)OC)CC2)C1=O ZINC001269379228 813348307 /nfs/dbraw/zinc/34/83/07/813348307.db2.gz FBDXCSWDEOGQDV-NEPJUHHUSA-N 0 1 295.383 0.047 20 30 CCEDMN C=CCCC(=O)N1CC[C@@]2(CCN([C@H]3CCNC3=O)C2)C1 ZINC001269718718 813480413 /nfs/dbraw/zinc/48/04/13/813480413.db2.gz BJPGYPHAUOCTEM-XJKSGUPXSA-N 0 1 291.395 0.766 20 30 CCEDMN C=C1CCC(C(=O)NC[C@H]2CCN(CC(=O)NC)C2)CC1 ZINC001028781439 813494929 /nfs/dbraw/zinc/49/49/29/813494929.db2.gz WFIMMURSJIZNMI-CYBMUJFWSA-N 0 1 293.411 0.917 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)c2ncn(C)n2)C1 ZINC001269780359 813506518 /nfs/dbraw/zinc/50/65/18/813506518.db2.gz GFILQNIYKVDYTD-LLVKDONJSA-N 0 1 277.372 0.926 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](C)n3cncn3)[C@@H]2C1 ZINC001075705572 813524995 /nfs/dbraw/zinc/52/49/95/813524995.db2.gz RYIYJSUZUGRKEW-RWMBFGLXSA-N 0 1 273.340 0.005 20 30 CCEDMN CC#CCN1CC[C@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001028906720 813645660 /nfs/dbraw/zinc/64/56/60/813645660.db2.gz UHIPXDQWOFOFJH-CYBMUJFWSA-N 0 1 287.363 0.758 20 30 CCEDMN COCC#CCN1CCC(C)(NC(=O)[C@H]2CCOC2)CC1 ZINC001270212674 813680210 /nfs/dbraw/zinc/68/02/10/813680210.db2.gz FBDLHPRTHGQWDA-AWEZNQCLSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCOCC(=O)N[C@]1(C)CCN([C@@H]2CCCNC2=O)C1 ZINC001270556157 813811141 /nfs/dbraw/zinc/81/11/41/813811141.db2.gz AZNLMEQGMAETMN-IUODEOHRSA-N 0 1 295.383 0.048 20 30 CCEDMN C=CCCC(=O)N[C@]1(C)CCN([C@@H](C)C(=O)NC)C1 ZINC001270557490 813812860 /nfs/dbraw/zinc/81/28/60/813812860.db2.gz LINHXTYBSPLJAE-SMDDNHRTSA-N 0 1 267.373 0.668 20 30 CCEDMN C#CCCCC(=O)N[C@@]1(C)CCN([C@H](C)C(=O)NC)C1 ZINC001270576655 813821988 /nfs/dbraw/zinc/82/19/88/813821988.db2.gz MFQNMVWDJNBESV-DOMZBBRYSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cc2cscn2)C1 ZINC001270631499 813863904 /nfs/dbraw/zinc/86/39/04/813863904.db2.gz CGOMAJHJGYEZSP-CYBMUJFWSA-N 0 1 263.366 0.899 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CC[C@H]2C[C@]21C(N)=O ZINC001270733049 813909949 /nfs/dbraw/zinc/90/99/49/813909949.db2.gz WVZVXDGHLADMST-BJOHPYRUSA-N 0 1 295.302 0.524 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001270974510 814104382 /nfs/dbraw/zinc/10/43/82/814104382.db2.gz QLWGIYADTBAOJV-BBRMVZONSA-N 0 1 291.395 0.364 20 30 CCEDMN CC#CCN1CCC[C@@](C)(CNC(=O)c2cnon2)C1 ZINC001270998238 814110455 /nfs/dbraw/zinc/11/04/55/814110455.db2.gz AACRCTZAXSFWRN-AWEZNQCLSA-N 0 1 276.340 0.925 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnnn2CC)[C@H]1CC ZINC001087564874 814165448 /nfs/dbraw/zinc/16/54/48/814165448.db2.gz YFNARVSJYMYIHG-QWHCGFSZSA-N 0 1 289.383 0.904 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccn3nnnc23)[C@H]1CC ZINC001087585442 814172899 /nfs/dbraw/zinc/17/28/99/814172899.db2.gz HYUSPWOVQZHLCL-QWHCGFSZSA-N 0 1 298.350 0.340 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2)[C@H]1CC ZINC001087615332 814175950 /nfs/dbraw/zinc/17/59/50/814175950.db2.gz PBWMJEJDWSPVOG-BFHYXJOUSA-N 0 1 291.395 0.648 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CCNC2=O)[C@H]1CC ZINC001087722455 814196550 /nfs/dbraw/zinc/19/65/50/814196550.db2.gz FEJFGNJJDBNZFV-YWPYICTPSA-N 0 1 277.368 0.115 20 30 CCEDMN C/C=C(\C)C(=O)NC[C@]1(O)CCN(CC#CCOC)C1 ZINC001271136494 814196881 /nfs/dbraw/zinc/19/68/81/814196881.db2.gz AWVMCXXSCJXKLJ-LCCUQNOHSA-N 0 1 280.368 0.155 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc(OC)nc2)[C@H]1CC ZINC001087782732 814212320 /nfs/dbraw/zinc/21/23/20/814212320.db2.gz LVNCAVDCMCCOQU-QWHCGFSZSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2C=CC=CC=C2)C1 ZINC001271152430 814218841 /nfs/dbraw/zinc/21/88/41/814218841.db2.gz LSXKCYATLPINBQ-INIZCTEOSA-N 0 1 272.348 0.471 20 30 CCEDMN C[C@H](C(N)=O)N1CC[C@@H]2CN(C(=O)C#CC3CC3)C[C@@H]2C1 ZINC001087961931 814286932 /nfs/dbraw/zinc/28/69/32/814286932.db2.gz QDBIDZHFGRYVBO-BNOWGMLFSA-N 0 1 289.379 0.054 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cccn4nnnc34)[C@@H]2C1 ZINC001075832046 814315820 /nfs/dbraw/zinc/31/58/20/814315820.db2.gz DANLDVARMQNEHH-WCQYABFASA-N 0 1 298.350 0.457 20 30 CCEDMN C#CCN1CCC(NC(=O)CO[C@H]2CCOC2)CC1 ZINC001227353471 814379658 /nfs/dbraw/zinc/37/96/58/814379658.db2.gz XSUOYTSMGWSAIF-ZDUSSCGKSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)c3ccncc3O)C2)C1=O ZINC001271402716 814405681 /nfs/dbraw/zinc/40/56/81/814405681.db2.gz PYTDICOCCPRIEZ-MRXNPFEDSA-N 0 1 299.330 0.485 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cn(C)cn2)[C@H]1C ZINC001088522992 814850988 /nfs/dbraw/zinc/85/09/88/814850988.db2.gz MVUGQKGRJMJNPK-NEPJUHHUSA-N 0 1 260.341 0.636 20 30 CCEDMN Cc1nnc(CC(=O)NCCNCC#Cc2ccccc2)o1 ZINC001126663426 814860534 /nfs/dbraw/zinc/86/05/34/814860534.db2.gz GRAMJLDQNXRBOO-UHFFFAOYSA-N 0 1 298.346 0.678 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccn(C)n2)[C@H]1C ZINC001088561882 814906279 /nfs/dbraw/zinc/90/62/79/814906279.db2.gz PKCQCCLUHZCRRI-YPMHNXCESA-N 0 1 260.341 0.175 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1c(C)onc1CC ZINC001127039360 815589428 /nfs/dbraw/zinc/58/94/28/815589428.db2.gz HSGKDGLDHDPVFH-LBPRGKRZSA-N 0 1 293.367 0.790 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOCC)C[C@H]1OC ZINC001212184722 816058355 /nfs/dbraw/zinc/05/83/55/816058355.db2.gz FCGLUUZGIXPBHG-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CC[NH+]1CC[C@H](NC(=O)C[N@H+]2CCC[C@H]2C)[C@H]1C ZINC001088857431 816084821 /nfs/dbraw/zinc/08/48/21/816084821.db2.gz PBPZTNLUJCBKIK-MCIONIFRSA-N 0 1 263.385 0.683 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1OC ZINC001212187583 816114778 /nfs/dbraw/zinc/11/47/78/816114778.db2.gz ZOENTFSGOQQTKE-MGPQQGTHSA-N 0 1 282.384 0.640 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C[C@@H]2CCC(=O)N2)[C@H]1C ZINC001088916718 816173840 /nfs/dbraw/zinc/17/38/40/816173840.db2.gz DTNJDZDWAVVIBB-AGIUHOORSA-N 0 1 277.368 0.257 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)N2)[C@H]1C ZINC001088916718 816173844 /nfs/dbraw/zinc/17/38/44/816173844.db2.gz DTNJDZDWAVVIBB-AGIUHOORSA-N 0 1 277.368 0.257 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)CCN1CC#N ZINC001088964581 816196006 /nfs/dbraw/zinc/19/60/06/816196006.db2.gz HFZMKBHHQNUTJQ-UTUOFQBUSA-N 0 1 287.367 0.617 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)[C@H]1C ZINC001088977563 816201907 /nfs/dbraw/zinc/20/19/07/816201907.db2.gz FVTWATHKMRVKJS-DYEKYZERSA-N 0 1 286.379 0.727 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](CCCC)C[C@H]1OC ZINC001212193419 816206522 /nfs/dbraw/zinc/20/65/22/816206522.db2.gz FBVCFDVCOHBOCZ-UMVBOHGHSA-N 0 1 284.400 0.929 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001030859183 816355592 /nfs/dbraw/zinc/35/55/92/816355592.db2.gz CWUDTXJIOCFHTQ-SNVBAGLBSA-N 0 1 295.774 0.778 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001030859310 816355677 /nfs/dbraw/zinc/35/56/77/816355677.db2.gz FETFKEUKMPOCHH-LBPRGKRZSA-N 0 1 289.383 0.991 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCn1ccnn1 ZINC001233908127 816439417 /nfs/dbraw/zinc/43/94/17/816439417.db2.gz YZMVYAVJNUFDBT-ZDUSSCGKSA-N 0 1 277.372 0.777 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnnn2C)C1 ZINC001046289211 816485914 /nfs/dbraw/zinc/48/59/14/816485914.db2.gz SJDPBQSCSOFXCM-ZDUSSCGKSA-N 0 1 261.329 0.033 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2CCCC(=O)OC)C1=O ZINC001272499719 816513240 /nfs/dbraw/zinc/51/32/40/816513240.db2.gz ZSZVHOQQGJYAJY-HNNXBMFYSA-N 0 1 278.352 0.640 20 30 CCEDMN C#CCN1CC(NC(=O)c2[nH]nc3c2C[C@@H](C)CC3)C1 ZINC001031184305 816759712 /nfs/dbraw/zinc/75/97/12/816759712.db2.gz PLSUUCRQZIFYLO-JTQLQIEISA-N 0 1 272.352 0.582 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2nn(CC)nc2C)C1 ZINC001046873728 816942574 /nfs/dbraw/zinc/94/25/74/816942574.db2.gz KNGPKVKYZOMGNQ-CQSZACIVSA-N 0 1 277.372 0.987 20 30 CCEDMN C=CCN1CC(NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001031375293 817010291 /nfs/dbraw/zinc/01/02/91/817010291.db2.gz WVUWGVXQRTVMQN-UHFFFAOYSA-N 0 1 257.297 0.558 20 30 CCEDMN O=C(CN1CCCC1)NC1CN(CC#Cc2ccccc2)C1 ZINC001031389319 817022944 /nfs/dbraw/zinc/02/29/44/817022944.db2.gz KGOKMKGTXSCAKB-UHFFFAOYSA-N 0 1 297.402 0.934 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2conc2CC)[C@@H](O)C1 ZINC001083757990 817105445 /nfs/dbraw/zinc/10/54/45/817105445.db2.gz FDNOJMRYTJWFIN-OLZOCXBDSA-N 0 1 279.340 0.588 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](NC(=O)C(N)=O)CC(C)(C)C1 ZINC001089477536 817137937 /nfs/dbraw/zinc/13/79/37/817137937.db2.gz YMPXUAGBOJQZQI-SNVBAGLBSA-N 0 1 287.791 0.831 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2C[C@H]2C(=O)OC)C1 ZINC001031579285 817158103 /nfs/dbraw/zinc/15/81/03/817158103.db2.gz PVUGUQXDGCYAND-WDEREUQCSA-N 0 1 252.314 0.030 20 30 CCEDMN CO[C@@H]1C[N@@H+](CC(C)C)C[C@H]1NC(=O)C#CC1CC1 ZINC001212250735 817233976 /nfs/dbraw/zinc/23/39/76/817233976.db2.gz HBLQOMDJPCSKBJ-ZIAGYGMSSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cncc3[nH]cnc32)C1 ZINC001031687622 817245281 /nfs/dbraw/zinc/24/52/81/817245281.db2.gz HDPKEEQHFDCQLR-UHFFFAOYSA-N 0 1 283.335 0.643 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCCF)C[C@@H]2O)C1 ZINC001083762477 817264773 /nfs/dbraw/zinc/26/47/73/817264773.db2.gz KLIQUXQCKNKEFW-NEPJUHHUSA-N 0 1 270.348 0.864 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](C[C@@H](CC)OC)C[C@@H]2O)C1 ZINC001083763749 817280900 /nfs/dbraw/zinc/28/09/00/817280900.db2.gz ZOQOAYXLKQEJKT-MCIONIFRSA-N 0 1 296.411 0.929 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C[C@@H](CC)OC)C[C@@H]2O)C1 ZINC001083763749 817280906 /nfs/dbraw/zinc/28/09/06/817280906.db2.gz ZOQOAYXLKQEJKT-MCIONIFRSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCN1CC(CNC(=O)c2nn3c(c2C)OCCC3)C1 ZINC001031717099 817282357 /nfs/dbraw/zinc/28/23/57/817282357.db2.gz DEKQBTSOAHBAKG-UHFFFAOYSA-N 0 1 290.367 0.822 20 30 CCEDMN CC#CCN1CC(CNC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001031735530 817300983 /nfs/dbraw/zinc/30/09/83/817300983.db2.gz NGEYRLTUGORVLG-UHFFFAOYSA-N 0 1 284.323 0.038 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NCCNCc1cnnn1C ZINC001127604511 817331685 /nfs/dbraw/zinc/33/16/85/817331685.db2.gz FNQSSMQCDRPTQI-OLZOCXBDSA-N 0 1 293.371 0.002 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn3c(n2)COCC3)C1 ZINC001031768129 817350751 /nfs/dbraw/zinc/35/07/51/817350751.db2.gz XAILQTTXNDWGJT-UHFFFAOYSA-N 0 1 288.351 0.098 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001031783004 817365436 /nfs/dbraw/zinc/36/54/36/817365436.db2.gz REZKXXLFQWGYPI-CYBMUJFWSA-N 0 1 274.368 0.680 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001212333135 817379250 /nfs/dbraw/zinc/37/92/50/817379250.db2.gz ZIAGSBHKJUBVKD-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C[C@H]1C[C@H]1CN1CC2(C1)COCC(=O)N2CCCC#N ZINC001272692583 817402189 /nfs/dbraw/zinc/40/21/89/817402189.db2.gz OSIKOPWAKNQMGL-STQMWFEESA-N 0 1 277.368 0.859 20 30 CCEDMN C=CCN1CC(CNC(=O)c2coc(OC)n2)C1 ZINC001031879462 817438315 /nfs/dbraw/zinc/43/83/15/817438315.db2.gz NMPIDMYNJZTXBX-UHFFFAOYSA-N 0 1 251.286 0.531 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2OCC[C@H]2CC)C1 ZINC001047414072 817471213 /nfs/dbraw/zinc/47/12/13/817471213.db2.gz WLZVKXPIKPPJTR-QPSCCSFWSA-N 0 1 294.395 0.328 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2nccn3ccnc23)C1 ZINC001031984840 817523169 /nfs/dbraw/zinc/52/31/69/817523169.db2.gz BHVXXSSWOZNLCY-UHFFFAOYSA-N 0 1 283.335 0.414 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn(CCC)c2)C1 ZINC001032018078 817553151 /nfs/dbraw/zinc/55/31/51/817553151.db2.gz ODJGCLDQIRMNNT-UHFFFAOYSA-N 0 1 274.368 0.978 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)C[NH+]2CC[C@@H](C)C2)[C@@H](O)C1 ZINC001083780586 817625868 /nfs/dbraw/zinc/62/58/68/817625868.db2.gz DJDCONJUZWXVBE-MCIONIFRSA-N 0 1 281.400 0.066 20 30 CCEDMN C=C(Br)CNCCNC(=O)COCCOC ZINC001124908279 817631747 /nfs/dbraw/zinc/63/17/47/817631747.db2.gz HHYMZOOXKHFVPB-UHFFFAOYSA-N 0 1 295.177 0.264 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC001032118794 817644994 /nfs/dbraw/zinc/64/49/94/817644994.db2.gz UXMWWPNDMCTTCT-ZDUSSCGKSA-N 0 1 294.399 0.544 20 30 CCEDMN N#CCN1CC(CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001032179254 817677842 /nfs/dbraw/zinc/67/78/42/817677842.db2.gz LWNIQVPAYSHBFD-UHFFFAOYSA-N 0 1 270.296 0.143 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(C(N)=O)cs2)C1 ZINC001032208256 817705163 /nfs/dbraw/zinc/70/51/63/817705163.db2.gz QSIBGUFMBHDKLH-UHFFFAOYSA-N 0 1 291.376 0.532 20 30 CCEDMN O=C(C#CC1CC1)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107157952 817796932 /nfs/dbraw/zinc/79/69/32/817796932.db2.gz FWDOWKOYHSVRTI-OWOJBTEDSA-N 0 1 296.334 0.802 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@@H]2CCCO2)C1 ZINC001107719308 817964517 /nfs/dbraw/zinc/96/45/17/817964517.db2.gz APLCYDQWIJMHMB-DZGCQCFKSA-N 0 1 282.384 0.949 20 30 CCEDMN C[C@@]1(CNC(=O)CSCC#N)CN(CC2CC2)CCO1 ZINC001107752275 817984084 /nfs/dbraw/zinc/98/40/84/817984084.db2.gz RDWBRYOMOQENFX-CQSZACIVSA-N 0 1 297.424 0.860 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2cnns2)C1 ZINC001107773052 818000777 /nfs/dbraw/zinc/00/07/77/818000777.db2.gz GWNUERHNDLDYTC-ZDUSSCGKSA-N 0 1 296.396 0.935 20 30 CCEDMN COC[C@@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032364688 818009048 /nfs/dbraw/zinc/00/90/48/818009048.db2.gz PNPRXBYMWOMXNO-SWHYSGLUSA-N 0 1 288.351 0.820 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2nn(C)cc2C)C1 ZINC001098833113 818011376 /nfs/dbraw/zinc/01/13/76/818011376.db2.gz HILGLGOARWFKSE-BBRMVZONSA-N 0 1 286.379 0.946 20 30 CCEDMN N#CCN[C@@H]1C[C@H](NC(=O)Cc2cnc[nH]2)C12CCC2 ZINC001078734973 818037321 /nfs/dbraw/zinc/03/73/21/818037321.db2.gz WNSMORNQIXGLKG-NEPJUHHUSA-N 0 1 273.340 0.493 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(C)[nH]c2C)[C@@H](O)C1 ZINC001083817312 818215595 /nfs/dbraw/zinc/21/55/95/818215595.db2.gz VBFJRSJGAOXXRE-KGLIPLIRSA-N 0 1 277.368 0.983 20 30 CCEDMN C=C(C)CCC(=O)NCCNCCS(C)(=O)=O ZINC001128405161 818221077 /nfs/dbraw/zinc/22/10/77/818221077.db2.gz QZPCLQBPISUEFQ-UHFFFAOYSA-N 0 1 262.375 0.093 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cccs2)[C@H](O)C1 ZINC001090021069 818280592 /nfs/dbraw/zinc/28/05/92/818280592.db2.gz RMBWHAXJEQDCFT-ZIAGYGMSSA-N 0 1 292.404 0.865 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCCO2)C1 ZINC001032921848 818361569 /nfs/dbraw/zinc/36/15/69/818361569.db2.gz YHVJGGPZZAKEJL-CHWSQXEVSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCCO2)C1 ZINC001032921849 818361719 /nfs/dbraw/zinc/36/17/19/818361719.db2.gz YHVJGGPZZAKEJL-OLZOCXBDSA-N 0 1 250.342 0.721 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(C)n2C)[C@@H](O)C1 ZINC001090069382 818457937 /nfs/dbraw/zinc/45/79/37/818457937.db2.gz PTRMPJPVZIXFPB-HIFRSBDPSA-N 0 1 289.379 0.522 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001033087590 818505229 /nfs/dbraw/zinc/50/52/29/818505229.db2.gz XTDDZEYSWBCEDS-KGLIPLIRSA-N 0 1 286.379 0.996 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(COC)on2)[C@@H](O)C1 ZINC001083839496 818550648 /nfs/dbraw/zinc/55/06/48/818550648.db2.gz BXINACPJORKONI-OLZOCXBDSA-N 0 1 295.339 0.172 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001033140557 818563564 /nfs/dbraw/zinc/56/35/64/818563564.db2.gz YLXQZWNPDLWWFP-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2c(C)ncn2C)C1 ZINC001033169437 818598864 /nfs/dbraw/zinc/59/88/64/818598864.db2.gz IQCJWXYBENYQRG-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN CN(C(=O)[C@@H]1CCCCN1C)[C@H]1CCN(CC#N)C1 ZINC001033199800 818639757 /nfs/dbraw/zinc/63/97/57/818639757.db2.gz YRJXHQZYPVCVDX-STQMWFEESA-N 0 1 264.373 0.527 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncoc2C)[C@@H](O)C1 ZINC001090125015 818672110 /nfs/dbraw/zinc/67/21/10/818672110.db2.gz UDEMIZBFOGXYBH-MNOVXSKESA-N 0 1 265.313 0.334 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001033272545 818686444 /nfs/dbraw/zinc/68/64/44/818686444.db2.gz OWXCQWCVAGVUBE-ZDUSSCGKSA-N 0 1 273.336 0.496 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001033365454 818733737 /nfs/dbraw/zinc/73/37/37/818733737.db2.gz HBLWISWPYLLAKL-RYUDHWBXSA-N 0 1 287.367 0.391 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2c[nH]c(=O)cn2)C1 ZINC001033373339 818744626 /nfs/dbraw/zinc/74/46/26/818744626.db2.gz PSRDWYGBFAVYNY-SNVBAGLBSA-N 0 1 262.313 0.102 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001033535103 818820434 /nfs/dbraw/zinc/82/04/34/818820434.db2.gz BAPXJHWXYJHRIT-NSHDSACASA-N 0 1 276.340 0.446 20 30 CCEDMN C=C(C)CN1CC[C@H](N(C)C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001033571787 818839810 /nfs/dbraw/zinc/83/98/10/818839810.db2.gz QTERVYMYKRSOTO-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2cncn2C)C1 ZINC001033592861 818844034 /nfs/dbraw/zinc/84/40/34/818844034.db2.gz DXWJCZHRWXGSGK-CYBMUJFWSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCc3nncn3C2)C1 ZINC001033681593 818884952 /nfs/dbraw/zinc/88/49/52/818884952.db2.gz UVZICNGWYLMFQC-STQMWFEESA-N 0 1 287.367 0.006 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(C)C(=O)[C@@H](C)N2CCCCC2=O)C1 ZINC001033689456 818889712 /nfs/dbraw/zinc/88/97/12/818889712.db2.gz ZDKVUXLNILFAOA-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H](C)N2CCCCC2=O)C1 ZINC001033689456 818889718 /nfs/dbraw/zinc/88/97/18/818889718.db2.gz ZDKVUXLNILFAOA-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2CN(C)CCO2)C1 ZINC001033789894 818935356 /nfs/dbraw/zinc/93/53/56/818935356.db2.gz QZJSSOAOQITRRU-LSDHHAIUSA-N 0 1 295.427 0.816 20 30 CCEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2ncn(C)n2)C1 ZINC001033820430 818958044 /nfs/dbraw/zinc/95/80/44/818958044.db2.gz MIDNEUJIWIKJQE-LBPRGKRZSA-N 0 1 277.372 0.928 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2ccc3nn[n-]c3n2)C1 ZINC001033847483 818966195 /nfs/dbraw/zinc/96/61/95/818966195.db2.gz JFCOBXIQWPMNKJ-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001033847483 818966201 /nfs/dbraw/zinc/96/62/01/818966201.db2.gz JFCOBXIQWPMNKJ-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccncc2F)[C@H](O)C1 ZINC001090159178 819000595 /nfs/dbraw/zinc/00/05/95/819000595.db2.gz RGPHISZOMOVJDE-QWHCGFSZSA-N 0 1 279.315 0.572 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncc(C)c2)[C@@H](O)C1 ZINC001090163802 819004049 /nfs/dbraw/zinc/00/40/49/819004049.db2.gz QWRDSORFQHUYSH-KBPBESRZSA-N 0 1 275.352 0.741 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033944517 819015122 /nfs/dbraw/zinc/01/51/22/819015122.db2.gz GRVSASOZFDWCAM-LBPRGKRZSA-N 0 1 290.367 0.685 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CC(F)(F)C2)[C@@H](O)C1 ZINC001090182194 819041026 /nfs/dbraw/zinc/04/10/26/819041026.db2.gz GYPJZJDGZDDONV-NEPJUHHUSA-N 0 1 286.322 0.606 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)CCC#N)CC1 ZINC001143197745 819045582 /nfs/dbraw/zinc/04/55/82/819045582.db2.gz YXVCWMGZEYOCOF-SNVBAGLBSA-N 0 1 266.297 0.661 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cn(C)nc2C)C1 ZINC001034134982 819092550 /nfs/dbraw/zinc/09/25/50/819092550.db2.gz SCRNQIXAOSPCCC-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCN1CCCC[C@@H](NC(=O)c2ncn(C)n2)C1 ZINC001034195365 819120715 /nfs/dbraw/zinc/12/07/15/819120715.db2.gz HBQNZRPQHYSAAN-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C#N)[nH]2)[C@@H](O)C1 ZINC001090198728 819137655 /nfs/dbraw/zinc/13/76/55/819137655.db2.gz BAIHIDGSEQQVEN-AAEUAGOBSA-N 0 1 274.324 0.237 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCCNC2=O)C1 ZINC001034258207 819140080 /nfs/dbraw/zinc/14/00/80/819140080.db2.gz UUXLQXVCFIWLBG-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CC[N@H+]1CCCC[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001034258156 819140375 /nfs/dbraw/zinc/14/03/75/819140375.db2.gz SRVMZKQQNZODMA-NSHDSACASA-N 0 1 276.340 0.953 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccc(C)o2)[C@H](O)C1 ZINC001090204037 819151917 /nfs/dbraw/zinc/15/19/17/819151917.db2.gz MFQCLLVSQBOOAV-ZIAGYGMSSA-N 0 1 278.352 0.868 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001034310339 819162481 /nfs/dbraw/zinc/16/24/81/819162481.db2.gz UGPLQMYHDAIXOZ-AWEZNQCLSA-N 0 1 288.395 0.875 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2[nH]nnc2C)C1 ZINC001034341230 819167100 /nfs/dbraw/zinc/16/71/00/819167100.db2.gz UHVBEFIKCVVOIO-LBPRGKRZSA-N 0 1 275.356 0.721 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001034342885 819176243 /nfs/dbraw/zinc/17/62/43/819176243.db2.gz JAEUABGMHQTKJB-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCNC2=O)C1 ZINC001034344658 819178346 /nfs/dbraw/zinc/17/83/46/819178346.db2.gz KELIEBBYVHUJPD-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001034392146 819187035 /nfs/dbraw/zinc/18/70/35/819187035.db2.gz XFCNOAZXHFNHJQ-KBPBESRZSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2nc(C)c[nH]2)C1 ZINC001034437310 819205021 /nfs/dbraw/zinc/20/50/21/819205021.db2.gz ULNBQSIGECFQJR-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN C=CC[N@@H+]1CCCC[C@@H](NC(=O)c2cnn(C)n2)C1 ZINC001034454083 819209969 /nfs/dbraw/zinc/20/99/69/819209969.db2.gz ZBAJYOHILKQIEX-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN C=CCN1CCCC[C@@H](NC(=O)c2cnn(C)n2)C1 ZINC001034454083 819209974 /nfs/dbraw/zinc/20/99/74/819209974.db2.gz ZBAJYOHILKQIEX-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccnn2CC)[C@H](O)C1 ZINC001090219222 819228649 /nfs/dbraw/zinc/22/86/49/819228649.db2.gz MTVZLRTWEYLJFR-GXTWGEPZSA-N 0 1 290.367 0.091 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(C)c2)[C@@H](O)C1 ZINC001090226816 819275156 /nfs/dbraw/zinc/27/51/56/819275156.db2.gz YIVJLKOFLGMPSH-OLZOCXBDSA-N 0 1 264.325 0.939 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nccn2CC)[C@H](O)C1 ZINC001090231166 819283000 /nfs/dbraw/zinc/28/30/00/819283000.db2.gz NXPQBEVLEWDPIB-VXGBXAGGSA-N 0 1 278.356 0.254 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOCC(C)C)[C@H](O)C1 ZINC001099678437 819284895 /nfs/dbraw/zinc/28/48/95/819284895.db2.gz KPLLFKFHAHIMHE-UONOGXRCSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2ccc(=O)[nH]c2)CC1 ZINC001005732400 819337068 /nfs/dbraw/zinc/33/70/68/819337068.db2.gz SRXABXAYQAZXTO-UHFFFAOYSA-N 0 1 287.363 0.886 20 30 CCEDMN N#Cc1ccc(CN2C[C@H](CO)[C@H](CO)C2)c(F)c1 ZINC000705370924 819502071 /nfs/dbraw/zinc/50/20/71/819502071.db2.gz SGMDELNHTQPBSC-BETUJISGSA-N 0 1 264.300 0.730 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@@H]1CN(CC#N)CCO1 ZINC001035610505 819589277 /nfs/dbraw/zinc/58/92/77/819589277.db2.gz XLASJOOWSIQKBD-KGLIPLIRSA-N 0 1 294.399 0.201 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@]13C[C@H]1COC3)CC2 ZINC001035711162 819612643 /nfs/dbraw/zinc/61/26/43/819612643.db2.gz PDFGSSQBSLEAKP-BBRMVZONSA-N 0 1 274.364 0.581 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCC(=O)NC1)CC2 ZINC001035741967 819617498 /nfs/dbraw/zinc/61/74/98/819617498.db2.gz SFLMGDPZNWORAD-ZDUSSCGKSA-N 0 1 291.395 0.623 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@]1(F)CCOC1)CC2 ZINC001035776502 819628032 /nfs/dbraw/zinc/62/80/32/819628032.db2.gz PRZRVOBICVPQCW-OAHLLOKOSA-N 0 1 280.343 0.673 20 30 CCEDMN C#CC[NH2+][C@H]1CCN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001036004918 819670814 /nfs/dbraw/zinc/67/08/14/819670814.db2.gz ZEWKNEQRCVQRFX-NEPJUHHUSA-N 0 1 273.336 0.861 20 30 CCEDMN CN(C)C=Nc1ccc(-n2[n-]c(=O)nc2C[NH3+])cc1C#N ZINC001168760756 819754875 /nfs/dbraw/zinc/75/48/75/819754875.db2.gz GBLAOVLQYLRCFF-LZYBPNLTSA-N 0 1 285.311 0.525 20 30 CCEDMN C#CC1(O)CCN([C@H](C)C(=O)NCCCC)CC1 ZINC000708160638 819761866 /nfs/dbraw/zinc/76/18/66/819761866.db2.gz ZFNUUIWTKKAYJC-GFCCVEGCSA-N 0 1 252.358 0.751 20 30 CCEDMN N#CCNc1cccc2nc([C@H]3CNCCO3)cn21 ZINC001163187194 819983023 /nfs/dbraw/zinc/98/30/23/819983023.db2.gz COTJMWBSFZHQGM-LLVKDONJSA-N 0 1 257.297 0.931 20 30 CCEDMN C=CCN1CCO[C@@](C)(CNC(=O)C2=NC(=O)N(C)C2)C1 ZINC001108184780 820097254 /nfs/dbraw/zinc/09/72/54/820097254.db2.gz XSAQJFSXDNMWLC-AWEZNQCLSA-N 0 1 294.355 0.132 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cn(C)nc2C)[C@@H](O)C1 ZINC001090332078 820269418 /nfs/dbraw/zinc/26/94/18/820269418.db2.gz QZJAFYWHYWNQGX-KGLIPLIRSA-N 0 1 292.383 0.008 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(C3CC3)CC2)[C@@H](O)C1 ZINC001090343717 820282573 /nfs/dbraw/zinc/28/25/73/820282573.db2.gz FNIJLJLACCCRAA-OLZOCXBDSA-N 0 1 264.369 0.914 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@H](C)n2cccn2)C1 ZINC001079446114 820399587 /nfs/dbraw/zinc/39/95/87/820399587.db2.gz OASYALRLHSBOBM-MGPQQGTHSA-N 0 1 274.368 0.904 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)n2cccn2)C1 ZINC001079446114 820399597 /nfs/dbraw/zinc/39/95/97/820399597.db2.gz OASYALRLHSBOBM-MGPQQGTHSA-N 0 1 274.368 0.904 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cc(C)on2)C1 ZINC001079500861 820407650 /nfs/dbraw/zinc/40/76/50/820407650.db2.gz LRFNHMPOGOAVIO-ZWNOBZJWSA-N 0 1 261.325 0.595 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2CN(CC#N)C[C@H]2C)c1C ZINC001079498513 820415067 /nfs/dbraw/zinc/41/50/67/820415067.db2.gz HOUBFVNVOYXFIA-LDYMZIIASA-N 0 1 261.329 0.600 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCOC2)C1 ZINC001079512655 820418322 /nfs/dbraw/zinc/41/83/22/820418322.db2.gz WUDQTXLVNLGDID-JHJVBQTASA-N 0 1 250.342 0.483 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)n2cncn2)C1 ZINC001079598773 820435104 /nfs/dbraw/zinc/43/51/04/820435104.db2.gz NLXNVLWTSCJONI-JHJVBQTASA-N 0 1 275.356 0.299 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc3ncnn32)C1 ZINC001080059056 820509164 /nfs/dbraw/zinc/50/91/64/820509164.db2.gz OBCWXCQJKZDFGU-CHWSQXEVSA-N 0 1 297.362 0.803 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC(F)(F)F)[C@H](O)C1 ZINC001099712222 820560148 /nfs/dbraw/zinc/56/01/48/820560148.db2.gz MAPWESUMURYRHX-RKDXNWHRSA-N 0 1 266.263 0.676 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001080796817 820641040 /nfs/dbraw/zinc/64/10/40/820641040.db2.gz SCJCGYCJKZWJFI-DGCLKSJQSA-N 0 1 289.383 0.779 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001081005447 820665762 /nfs/dbraw/zinc/66/57/62/820665762.db2.gz KLKBTJTWTICJHA-MGPQQGTHSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(C)on2)[C@H](OC)C1 ZINC001081331416 820730286 /nfs/dbraw/zinc/73/02/86/820730286.db2.gz GAZGVRCSWGXWAR-CHWSQXEVSA-N 0 1 279.340 0.988 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnccn2)[C@H](OC)C1 ZINC001081301187 820735244 /nfs/dbraw/zinc/73/52/44/820735244.db2.gz CEYMJENOHZYQHX-CHWSQXEVSA-N 0 1 276.340 0.482 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnn(C)c2)[C@H](OC)C1 ZINC001081339166 820741330 /nfs/dbraw/zinc/74/13/30/820741330.db2.gz GXKFHQLTUZISNX-CHWSQXEVSA-N 0 1 278.356 0.425 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cn2cc(C)cn2)[C@H](OC)C1 ZINC001081407578 820757942 /nfs/dbraw/zinc/75/79/42/820757942.db2.gz GLGZXXBGODZFJT-ZIAGYGMSSA-N 0 1 290.367 0.030 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H]2COC(=O)C2)[C@H](OC)C1 ZINC001081535223 820786486 /nfs/dbraw/zinc/78/64/86/820786486.db2.gz VFTHVKARKJNOPO-YNEHKIRRSA-N 0 1 296.367 0.331 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2nnc(C)[nH]2)[C@H](OC)C1 ZINC001081641847 820803918 /nfs/dbraw/zinc/80/39/18/820803918.db2.gz WQGUAOQEXWGYPB-VXGBXAGGSA-N 0 1 293.371 0.047 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOCC)[C@@H](O)C1 ZINC001099729060 820840425 /nfs/dbraw/zinc/84/04/25/820840425.db2.gz BACLPSOSASEUCU-RYUDHWBXSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@H]2OC)CC1 ZINC001082101212 820896103 /nfs/dbraw/zinc/89/61/03/820896103.db2.gz KRTNGMFLCOVRAS-NWANDNLSSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccnc2C)[C@H](OC)C1 ZINC001082081538 820896169 /nfs/dbraw/zinc/89/61/69/820896169.db2.gz KQKVNISFUIVHRA-HUUCEWRRSA-N 0 1 287.363 0.842 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCC(=O)N2C)C[C@H]1C ZINC001082699912 821023385 /nfs/dbraw/zinc/02/33/85/821023385.db2.gz KLOIKVKFBQCMHG-YUSALJHKSA-N 0 1 299.802 0.796 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](NC(=O)/C=C/C2CC2)[C@@H](O)C1 ZINC001099769518 821051570 /nfs/dbraw/zinc/05/15/70/821051570.db2.gz SZLVVGZUJCKDIS-AGKLADILSA-N 0 1 262.353 0.527 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)C[C@H]3CCOC3)[C@H]2C1 ZINC001083031895 821114686 /nfs/dbraw/zinc/11/46/86/821114686.db2.gz XEIIYIMWFSDDOH-QLFBSQMISA-N 0 1 294.395 0.901 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)Cc3ccon3)[C@H]2C1 ZINC001083029209 821116682 /nfs/dbraw/zinc/11/66/82/821116682.db2.gz CHWOQGJHXCTTEV-UONOGXRCSA-N 0 1 289.335 0.152 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3cnccc3C)[C@H]2C1 ZINC001083034010 821120158 /nfs/dbraw/zinc/12/01/58/821120158.db2.gz MZGWLIBFYIQJRP-JKSUJKDBSA-N 0 1 299.374 0.939 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H](C)C3CC3)[C@H]2C1 ZINC001083038813 821123931 /nfs/dbraw/zinc/12/39/31/821123931.db2.gz PGLZARSXRMQJMO-AEGPPILISA-N 0 1 276.380 0.967 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)c3[nH]nnc3C)[C@H]2C1 ZINC001083159825 821150707 /nfs/dbraw/zinc/15/07/07/821150707.db2.gz VVPVLHYBXXGBRG-NWDGAFQWSA-N 0 1 291.355 0.214 20 30 CCEDMN C#CCC[N@@H+]1C[C@H]2OCCN(C(=O)Cc3cnoc3)[C@H]2C1 ZINC001083172186 821155651 /nfs/dbraw/zinc/15/56/51/821155651.db2.gz NXBHOFGITPFPAG-UONOGXRCSA-N 0 1 289.335 0.152 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)Cc3cnoc3)[C@H]2C1 ZINC001083172186 821155656 /nfs/dbraw/zinc/15/56/56/821155656.db2.gz NXBHOFGITPFPAG-UONOGXRCSA-N 0 1 289.335 0.152 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCC=C)C[C@@H]2O)nc1 ZINC001083969630 821175131 /nfs/dbraw/zinc/17/51/31/821175131.db2.gz MHGUJCAHJSENKD-CABCVRRESA-N 0 1 285.347 0.414 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2c(C)onc2CC)[C@@H](O)C1 ZINC001084050975 821186690 /nfs/dbraw/zinc/18/66/90/821186690.db2.gz WNUZKNATKKDZSR-OLZOCXBDSA-N 0 1 293.367 0.896 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCc3ncc[nH]3)[C@@H]2C1 ZINC001084311862 821265696 /nfs/dbraw/zinc/26/56/96/821265696.db2.gz ZTUXNWTXOWZCIN-ZIAGYGMSSA-N 0 1 286.379 0.898 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cc(OC)n(C)n3)[C@@H]2C1 ZINC001084506461 821302283 /nfs/dbraw/zinc/30/22/83/821302283.db2.gz MDJRVUYJBCSVIX-DGCLKSJQSA-N 0 1 290.367 0.761 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc(OC)n(C)n3)[C@@H]2C1 ZINC001084506461 821302293 /nfs/dbraw/zinc/30/22/93/821302293.db2.gz MDJRVUYJBCSVIX-DGCLKSJQSA-N 0 1 290.367 0.761 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ncc[nH]2)[C@H](O)C1 ZINC001099804799 821312381 /nfs/dbraw/zinc/31/23/81/821312381.db2.gz RXLHMJTZSPGBOA-VXGBXAGGSA-N 0 1 278.356 0.080 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1C[C@H]2CCN(CC#N)C[C@H]21 ZINC001084712347 821358448 /nfs/dbraw/zinc/35/84/48/821358448.db2.gz CMJUCEOHWAIOGV-OUCADQQQSA-N 0 1 287.367 0.645 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C#CC(C)C)[C@@H](O)C1 ZINC001099820339 821363991 /nfs/dbraw/zinc/36/39/91/821363991.db2.gz CWQIHRDTQAPWIS-OLZOCXBDSA-N 0 1 250.342 0.383 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(CC#CC)C[C@H]1O ZINC001099960395 821649886 /nfs/dbraw/zinc/64/98/86/821649886.db2.gz UBOXUGFDORGFEL-CHWSQXEVSA-N 0 1 264.369 0.773 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CCN(CC#CC)C[C@H]1O ZINC001099962000 821658538 /nfs/dbraw/zinc/65/85/38/821658538.db2.gz HEIUJDIMXCGSBY-HUUCEWRRSA-N 0 1 276.380 0.755 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cncnc1C ZINC001085499810 821747194 /nfs/dbraw/zinc/74/71/94/821747194.db2.gz LZEJLYUSMJXVGF-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cncnc1C ZINC001085499810 821747196 /nfs/dbraw/zinc/74/71/96/821747196.db2.gz LZEJLYUSMJXVGF-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN CN(C[C@H]1CCN1CCCO)C(=O)c1cc(C#N)c[nH]1 ZINC001085535312 821775080 /nfs/dbraw/zinc/77/50/80/821775080.db2.gz GNNQCVWUSYAGSU-GFCCVEGCSA-N 0 1 276.340 0.415 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc[nH]c(=O)c1 ZINC001085543071 821776664 /nfs/dbraw/zinc/77/66/64/821776664.db2.gz ODFDDHAYZXIFEC-ZDUSSCGKSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001085562864 821802728 /nfs/dbraw/zinc/80/27/28/821802728.db2.gz XYBGGVWNEQGYFD-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ncccc1[O-] ZINC001085562864 821802739 /nfs/dbraw/zinc/80/27/39/821802739.db2.gz XYBGGVWNEQGYFD-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ncccc1O ZINC001085562864 821802750 /nfs/dbraw/zinc/80/27/50/821802750.db2.gz XYBGGVWNEQGYFD-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnn(CC)n1 ZINC001085585260 821824367 /nfs/dbraw/zinc/82/43/67/821824367.db2.gz WSRXPMJJULLLHR-GFCCVEGCSA-N 0 1 275.356 0.468 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001085676936 821897898 /nfs/dbraw/zinc/89/78/98/821897898.db2.gz BFVIUFDOPVJLBO-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699065 821908162 /nfs/dbraw/zinc/90/81/62/821908162.db2.gz FGLIXCMRBOLNCY-SECBINFHSA-N 0 1 293.327 0.005 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCN(C)C1=O ZINC001085771152 821944383 /nfs/dbraw/zinc/94/43/83/821944383.db2.gz VKJDSOJMFCTLKN-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN CC(C)N1CCO[C@H](C(=O)NC[C@H]2C[C@H](NCC#N)C2)C1 ZINC001086456858 822265046 /nfs/dbraw/zinc/26/50/46/822265046.db2.gz YWVNSMPJHYFDCX-IHRRRGAJSA-N 0 1 294.399 0.104 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2cnn(C)n2)C1 ZINC001108278691 822268586 /nfs/dbraw/zinc/26/85/86/822268586.db2.gz TVKUORBMRVZESJ-AWEZNQCLSA-N 0 1 293.371 0.212 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CNc2nccnc2C#N)c1C ZINC001108297649 822332878 /nfs/dbraw/zinc/33/28/78/822332878.db2.gz CLIVXCSGCNEGSH-QMMMGPOBSA-N 0 1 299.338 0.919 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)OC ZINC001114020125 837405906 /nfs/dbraw/zinc/40/59/06/837405906.db2.gz GCUYLJNZZHOBHU-CXTNEJHOSA-N 0 1 280.368 0.108 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1C ZINC001114121812 837446744 /nfs/dbraw/zinc/44/67/44/837446744.db2.gz BBFLUCUTRIKZSP-FOLVSLTJSA-N 0 1 299.374 0.700 20 30 CCEDMN CC[C@@H](SC)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114152213 837455924 /nfs/dbraw/zinc/45/59/24/837455924.db2.gz UFTBNXDDXYCRQY-RQJABVFESA-N 0 1 296.436 0.824 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)SC ZINC001114155908 837459079 /nfs/dbraw/zinc/45/90/79/837459079.db2.gz SXXXLORTYNFKLU-CXTNEJHOSA-N 0 1 296.436 0.824 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)CC)C[C@H]21 ZINC001114197000 837469234 /nfs/dbraw/zinc/46/92/34/837469234.db2.gz QKZJUIDECSSOHY-VIKVFOODSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1nnc(C)s1 ZINC001157870033 837519462 /nfs/dbraw/zinc/51/94/62/837519462.db2.gz VUNDGQGQWWGZOL-JTQLQIEISA-N 0 1 296.396 0.481 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C=C(C)C)C[C@@H]1n1ccnn1 ZINC001129547915 837527153 /nfs/dbraw/zinc/52/71/53/837527153.db2.gz SEBYVZRINUYALJ-KGLIPLIRSA-N 0 1 287.367 0.609 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C/C=C(/C)C=C)C[C@@H]1n1ccnn1 ZINC001129963960 837598078 /nfs/dbraw/zinc/59/80/78/837598078.db2.gz OLATWOFLUCMUPT-KERCULKWSA-N 0 1 299.378 0.775 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OC)ccn2)[C@H](O)C1 ZINC001090485826 837828356 /nfs/dbraw/zinc/82/83/56/837828356.db2.gz KJDQUINJGBWZFS-GXTWGEPZSA-N 0 1 291.351 0.441 20 30 CCEDMN N#Cc1nc(-n2nnnc2CN)ccc1Br ZINC001168924522 836059868 /nfs/dbraw/zinc/05/98/68/836059868.db2.gz MADMTMFKMQNANP-UHFFFAOYSA-N 0 1 280.089 0.150 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN([C@@H]2CCN(C(C)=O)C2)C1 ZINC001169565277 836356559 /nfs/dbraw/zinc/35/65/59/836356559.db2.gz OMTBRTWCBFPZFB-UONOGXRCSA-N 0 1 295.383 0.984 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCCCNCc1ncnn1C ZINC001169849064 836459401 /nfs/dbraw/zinc/45/94/01/836459401.db2.gz UDCLHOUDEPBBQG-NEPJUHHUSA-N 0 1 292.387 0.739 20 30 CCEDMN Cc1nc(NC[C@H](C)NC(=O)c2cnn[nH]2)ccc1C#N ZINC001108783178 836525287 /nfs/dbraw/zinc/52/52/87/836525287.db2.gz CXXHFFZOKQRNGA-QMMMGPOBSA-N 0 1 285.311 0.610 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCOC)C2 ZINC001108852536 836547400 /nfs/dbraw/zinc/54/74/00/836547400.db2.gz UGZRYAQPQOSASB-AGIUHOORSA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1cccn1)C2 ZINC001108938204 836575781 /nfs/dbraw/zinc/57/57/81/836575781.db2.gz AUHIFVBIIRTLIA-RDBSUJKOSA-N 0 1 272.352 0.628 20 30 CCEDMN CN(CCCNc1nccnc1C#N)C(=O)Cc1ccn[nH]1 ZINC001109398630 836671458 /nfs/dbraw/zinc/67/14/58/836671458.db2.gz BWPBUPPLKLAMGF-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN N#Cc1c[nH]c2nc(Cl)nc(NC[C@H]3COCCN3)c12 ZINC001170036389 836756808 /nfs/dbraw/zinc/75/68/08/836756808.db2.gz ZAERIBASZUWHMS-QMMMGPOBSA-N 0 1 292.730 0.883 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)c2ccc3c[nH+]cn3c2)[C@H](O)C1 ZINC001090436383 836780233 /nfs/dbraw/zinc/78/02/33/836780233.db2.gz QDDDSIPDDJZVEI-HUUCEWRRSA-N 0 1 298.346 0.133 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cscn2)[C@@H](O)C1 ZINC001100141041 836789727 /nfs/dbraw/zinc/78/97/27/836789727.db2.gz JRKSPLVVUSJPQS-OLZOCXBDSA-N 0 1 295.408 0.813 20 30 CCEDMN C=CCCCN1CCN(C(=O)CCn2cncn2)CC1 ZINC001112615471 836827387 /nfs/dbraw/zinc/82/73/87/836827387.db2.gz ZKQIFHQBYLKYKR-UHFFFAOYSA-N 0 1 277.372 0.779 20 30 CCEDMN CCc1cc(C(=O)N2CCN(CC#CCOC)CC2)n[nH]1 ZINC001112623817 836830799 /nfs/dbraw/zinc/83/07/99/836830799.db2.gz CDEPWKANWGYJMR-UHFFFAOYSA-N 0 1 290.367 0.380 20 30 CCEDMN C=CCOCC(=O)N1CCN(CC[C@@H]2CCCO2)CC1 ZINC001112708691 836875457 /nfs/dbraw/zinc/87/54/57/836875457.db2.gz SRUMGSTUXVIAEU-AWEZNQCLSA-N 0 1 282.384 0.902 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CCCC)CC1 ZINC001112846097 836937199 /nfs/dbraw/zinc/93/71/99/836937199.db2.gz FSULRCOVPZLZAW-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CCCCN1CCN(C(=O)C(C)(C)C(N)=O)CC1 ZINC001112985407 836985075 /nfs/dbraw/zinc/98/50/75/836985075.db2.gz HRUMHXYSSHCPPH-UHFFFAOYSA-N 0 1 267.373 0.608 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CCN(CCO)C[C@H]2O)CCCC1 ZINC001100145017 836991669 /nfs/dbraw/zinc/99/16/69/836991669.db2.gz FVHUPQFEJPUBKS-ZIAGYGMSSA-N 0 1 296.411 0.667 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(Nc2ncnc3[nH]cnc32)CC1 ZINC001110088050 837002966 /nfs/dbraw/zinc/00/29/66/837002966.db2.gz OYGATSXKHATCBR-QMMMGPOBSA-N 0 1 285.311 0.573 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC001113221460 837060704 /nfs/dbraw/zinc/06/07/04/837060704.db2.gz RQODVFYDGMDAIC-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)nn1)[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001113313513 837084393 /nfs/dbraw/zinc/08/43/93/837084393.db2.gz IYJBHVVBKIOFSG-ZJUUUORDSA-N 0 1 299.338 0.619 20 30 CCEDMN C[C@@H](Nc1nccnc1C#N)[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001113313965 837085447 /nfs/dbraw/zinc/08/54/47/837085447.db2.gz OUIBLOGDEIWSSQ-VHSXEESVSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCCN1CCN(C(=O)CCCCC(N)=O)CC1 ZINC001113518834 837145214 /nfs/dbraw/zinc/14/52/14/837145214.db2.gz ZPKFZFNBAJYTKD-UHFFFAOYSA-N 0 1 267.373 0.752 20 30 CCEDMN C=CCCN1CCN(C(=O)C2(C(=O)N(C)C)CC2)CC1 ZINC001113523721 837146620 /nfs/dbraw/zinc/14/66/20/837146620.db2.gz HVCKKXISVYADBM-UHFFFAOYSA-N 0 1 279.384 0.575 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2csnn2)[C@@H](O)C1 ZINC001090454295 837169829 /nfs/dbraw/zinc/16/98/29/837169829.db2.gz ZDENVCTUECCCSC-ONGXEEELSA-N 0 1 282.369 0.279 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(OC)n2)[C@H](O)C1 ZINC001090461287 837232276 /nfs/dbraw/zinc/23/22/76/837232276.db2.gz ZPKHYPWWWWPELA-GXSJLCMTSA-N 0 1 281.312 0.034 20 30 CCEDMN COC(=O)c1ccc(NCC2CN(C)C2)c(C#N)n1 ZINC001170256073 837274978 /nfs/dbraw/zinc/27/49/78/837274978.db2.gz BLFNLLDICCINAH-UHFFFAOYSA-N 0 1 260.297 0.713 20 30 CCEDMN CCn1ncc(CNCCCNC(=O)C#CC(C)(C)C)n1 ZINC001157719004 837309530 /nfs/dbraw/zinc/30/95/30/837309530.db2.gz NFMZTJGKSOZAOO-UHFFFAOYSA-N 0 1 291.399 0.943 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnco2)[C@H](O)C1 ZINC001090468833 837323193 /nfs/dbraw/zinc/32/31/93/837323193.db2.gz ZDEIUOOFNTUFFW-WDEREUQCSA-N 0 1 265.313 0.416 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCSC ZINC001113842685 837338004 /nfs/dbraw/zinc/33/80/04/837338004.db2.gz XSFCZBIKWFANHG-PJXYFTJBSA-N 0 1 252.383 0.809 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@@H]1CCCO1 ZINC001113887822 837354383 /nfs/dbraw/zinc/35/43/83/837354383.db2.gz BBAKWPQFHRKELG-RMRHIDDWSA-N 0 1 262.353 0.625 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)SC ZINC001113919200 837367487 /nfs/dbraw/zinc/36/74/87/837367487.db2.gz CXSJHPNDCLMPLI-NNYUYHANSA-N 0 1 252.383 0.808 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccnc2c1CC(=O)N2 ZINC001130819168 837933238 /nfs/dbraw/zinc/93/32/38/837933238.db2.gz IZOVVHJLDKNXLV-UHFFFAOYSA-N 0 1 294.742 0.648 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2csc(C)n2)[C@H](O)C1 ZINC001090501374 838152490 /nfs/dbraw/zinc/15/24/90/838152490.db2.gz XAGCGJIJWVQFPQ-ZYHUDNBSSA-N 0 1 281.381 0.803 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CNC(=O)CCC)CC[C@@H]1C ZINC001131917042 838268760 /nfs/dbraw/zinc/26/87/60/838268760.db2.gz BFBXXOQDPMDAED-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](CNCC#N)[C@@H](C)C1 ZINC001184912338 844309688 /nfs/dbraw/zinc/30/96/88/844309688.db2.gz HXFOKSOSMZLQEX-ONGXEEELSA-N 0 1 276.344 0.325 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1ccccc1C(N)=O ZINC001132236600 838345118 /nfs/dbraw/zinc/34/51/18/838345118.db2.gz VVQYCRMTWUUGGN-UHFFFAOYSA-N 0 1 295.770 0.786 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2cnn(C)n2)CC[C@@H]1C ZINC001132317800 838362938 /nfs/dbraw/zinc/36/29/38/838362938.db2.gz JIZONOLHDIKKNZ-GXTWGEPZSA-N 0 1 289.383 0.350 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COC2CCOCC2)CC[C@@H]1C ZINC001132335440 838363826 /nfs/dbraw/zinc/36/38/26/838363826.db2.gz OROYKKDCTYLDAZ-KBPBESRZSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2cn[nH]c2)CC[C@H]1C ZINC001132347944 838369474 /nfs/dbraw/zinc/36/94/74/838369474.db2.gz DUWRVVBVARRLJU-OCCSQVGLSA-N 0 1 274.368 0.945 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCn2cnnn2)CC[C@@H]1C ZINC001132380748 838381736 /nfs/dbraw/zinc/38/17/36/838381736.db2.gz OSONTOOCMOZXEL-QWHCGFSZSA-N 0 1 290.371 0.056 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2cnn(C)c2)CC[C@H]1C ZINC001132508060 838422136 /nfs/dbraw/zinc/42/21/36/838422136.db2.gz CASUOBGAKXRUPL-HIFRSBDPSA-N 0 1 288.395 0.955 20 30 CCEDMN CN1CC[C@H](C(=O)NCCNCC#Cc2ccccc2)C1=O ZINC001132609004 838451722 /nfs/dbraw/zinc/45/17/22/838451722.db2.gz ODEZIEJFOXXNEM-OAHLLOKOSA-N 0 1 299.374 0.222 20 30 CCEDMN C[C@@H]1CCN(C(=O)CCc2cnc[nH]2)C[C@H]1CNCC#N ZINC001132874590 838511687 /nfs/dbraw/zinc/51/16/87/838511687.db2.gz JZJXKQFIGYFKQT-CHWSQXEVSA-N 0 1 289.383 0.940 20 30 CCEDMN C=CCC1(C(=O)NCCNCc2cnnn2C)CCC1 ZINC001133391971 838617131 /nfs/dbraw/zinc/61/71/31/838617131.db2.gz AHWLALAPJLIIEG-UHFFFAOYSA-N 0 1 277.372 0.767 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2c[nH]nn2)CC[C@@H]1CNCC#N ZINC001185167658 844352505 /nfs/dbraw/zinc/35/25/05/844352505.db2.gz DABWOSSNMDKIMI-NWDGAFQWSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnn[nH]2)CC[C@@H]1CNCC#N ZINC001185167658 844352518 /nfs/dbraw/zinc/35/25/18/844352518.db2.gz DABWOSSNMDKIMI-NWDGAFQWSA-N 0 1 290.371 0.335 20 30 CCEDMN C#Cc1cncc(C(=O)NCCNCc2cc(C)ncn2)c1 ZINC001133593286 838677057 /nfs/dbraw/zinc/67/70/57/838677057.db2.gz XGLUEZVQOYQUOD-UHFFFAOYSA-N 0 1 295.346 0.681 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1nc(SC)ncc1O ZINC001185188144 844356771 /nfs/dbraw/zinc/35/67/71/844356771.db2.gz TWWGIWAWILMFLF-ZCFIWIBFSA-N 0 1 296.308 0.089 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)C[C@H](C)NCc1cnn(C)n1 ZINC001134317465 838943261 /nfs/dbraw/zinc/94/32/61/838943261.db2.gz JNPRRSQBNXZWAX-STQMWFEESA-N 0 1 291.399 0.847 20 30 CCEDMN CN1CC[N@@H+](C)C[C@@H]1C(=O)Nc1cc(C#N)c(F)cc1[O-] ZINC001185257097 844385206 /nfs/dbraw/zinc/38/52/06/844385206.db2.gz XXOBIZCRUOSWRI-GFCCVEGCSA-N 0 1 292.314 0.587 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCNCc1cnnn1C ZINC001134410000 838971464 /nfs/dbraw/zinc/97/14/64/838971464.db2.gz COTGEHLGUONNCU-UHFFFAOYSA-N 0 1 265.361 0.623 20 30 CCEDMN C#Cc1ccc(CNC(=O)[C@H]2CN(C)CCN2C)cc1 ZINC001185261530 844387177 /nfs/dbraw/zinc/38/71/77/844387177.db2.gz GXBVLCOACRTBCW-OAHLLOKOSA-N 0 1 271.364 0.530 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)N[C@H]2CC[C@H](C#N)CC2)C1 ZINC001185264174 844387761 /nfs/dbraw/zinc/38/77/61/844387761.db2.gz XHTHMNVEGPKBTB-JHJVBQTASA-N 0 1 264.373 0.431 20 30 CCEDMN C=CCn1cc(C(=O)NCCNCc2cnoc2C)nn1 ZINC001134969844 839131356 /nfs/dbraw/zinc/13/13/56/839131356.db2.gz LTSFZCWRLQNMKE-UHFFFAOYSA-N 0 1 290.327 0.280 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1cccnn1 ZINC001135641113 839334129 /nfs/dbraw/zinc/33/41/29/839334129.db2.gz LSSJBUSTBHVXKH-UHFFFAOYSA-N 0 1 281.319 0.868 20 30 CCEDMN CC[C@@](N)(CO)Nc1ncnc2cc(C#N)ccc21 ZINC001170861006 839450964 /nfs/dbraw/zinc/45/09/64/839450964.db2.gz ZWXQFTIKYXJZGM-ZDUSSCGKSA-N 0 1 257.297 0.971 20 30 CCEDMN CC[C@](N)(CO)Nc1ncc(C#N)cc1C(=O)OC ZINC001159748740 839567982 /nfs/dbraw/zinc/56/79/82/839567982.db2.gz RTYDXHGCJDUWHK-GFCCVEGCSA-N 0 1 264.285 0.209 20 30 CCEDMN CCOCCS(=O)(=O)Nc1cccc(C#N)n1 ZINC001187245972 844679200 /nfs/dbraw/zinc/67/92/00/844679200.db2.gz IPIWKFXMVIMHEE-UHFFFAOYSA-N 0 1 255.299 0.731 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]c(=O)cc2C)[C@@H](O)C1 ZINC001090567638 839648175 /nfs/dbraw/zinc/64/81/75/839648175.db2.gz WUSSKXNYAFVJEH-STQMWFEESA-N 0 1 291.351 0.447 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C)[nH]c2=O)[C@@H](O)C1 ZINC001090593472 839663221 /nfs/dbraw/zinc/66/32/21/839663221.db2.gz FAFCIDBPUKUIHI-OLZOCXBDSA-N 0 1 291.351 0.447 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)Cc2cnc[nH]2)[C@@H](O)C1 ZINC001090593835 839663356 /nfs/dbraw/zinc/66/33/56/839663356.db2.gz GLFPTRHMZMBWLB-RYUDHWBXSA-N 0 1 298.774 0.256 20 30 CCEDMN C#Cc1cccc(N(C)C(=O)CCc2nn[nH]n2)c1 ZINC001136643357 839690693 /nfs/dbraw/zinc/69/06/93/839690693.db2.gz HVEJNAICGGXXCP-UHFFFAOYSA-N 0 1 255.281 0.777 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2cccc3nc[nH]c32)[C@H](O)C1 ZINC001090670691 839724185 /nfs/dbraw/zinc/72/41/85/839724185.db2.gz FTSGRBKARSISQW-WCQYABFASA-N 0 1 299.334 0.251 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2oncc2C)[C@H](O)C1 ZINC001090691046 839739513 /nfs/dbraw/zinc/73/95/13/839739513.db2.gz XXRNYLRHSMXESC-GHMZBOCLSA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]nc2C2CC2)[C@H](O)C1 ZINC001090696350 839742083 /nfs/dbraw/zinc/74/20/83/839742083.db2.gz RGRPPPINUDYLKC-QWHCGFSZSA-N 0 1 290.367 0.638 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nocc2C)[C@@H](O)C1 ZINC001090709331 839747467 /nfs/dbraw/zinc/74/74/67/839747467.db2.gz LMAFLMZZUSGNCT-RYUDHWBXSA-N 0 1 279.340 0.724 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C2CC2)C2CC2)[C@@H](O)C1 ZINC001090712050 839752173 /nfs/dbraw/zinc/75/21/73/839752173.db2.gz CVVIRQABIVDMOK-GJZGRUSLSA-N 0 1 290.407 0.997 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(CCC)n2)[C@@H](O)C1 ZINC001090721811 839755629 /nfs/dbraw/zinc/75/56/29/839755629.db2.gz CNCNPOVQYCZNDI-AAEUAGOBSA-N 0 1 293.367 0.978 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2n[nH]c3ccccc32)[C@@H](O)C1 ZINC001090773231 839799086 /nfs/dbraw/zinc/79/90/86/839799086.db2.gz BITGBYLWGSGNGR-STQMWFEESA-N 0 1 299.334 0.251 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2c(C)n[nH]c2C)[C@@H](O)C1 ZINC001090802029 839823692 /nfs/dbraw/zinc/82/36/92/839823692.db2.gz ITIFTWNRPSPMDH-KBPBESRZSA-N 0 1 292.383 0.306 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cccn2CC)[C@H](O)C1 ZINC001090819170 839835222 /nfs/dbraw/zinc/83/52/22/839835222.db2.gz WBCFERWKDBAZQM-UKRRQHHQSA-N 0 1 289.379 0.696 20 30 CCEDMN Cc1n[nH]c(C(=O)NCC2CC(NCC#N)C2)c1C ZINC001090962192 839915975 /nfs/dbraw/zinc/91/59/75/839915975.db2.gz JOEMNSDIOVHUGS-UHFFFAOYSA-N 0 1 261.329 0.648 20 30 CCEDMN N#Cc1nc(N)c(NC(=O)c2ccc(O)c(F)c2)nc1C#N ZINC001186208943 844526631 /nfs/dbraw/zinc/52/66/31/844526631.db2.gz LPCNDCHEAUYVQV-UHFFFAOYSA-N 0 1 298.237 0.899 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc(OC)nc1 ZINC001146542076 840320600 /nfs/dbraw/zinc/32/06/00/840320600.db2.gz FDUGYWFXTUIGCO-UHFFFAOYSA-N 0 1 270.720 0.557 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1C[C@@]12CCOC2 ZINC001147003175 840454663 /nfs/dbraw/zinc/45/46/63/840454663.db2.gz DKCMEJMJKYYAGZ-CMPLNLGQSA-N 0 1 258.749 0.871 20 30 CCEDMN CC[C@@H](OC)C(=O)N1CC2(C1)CCN(CC#CCOC)C2 ZINC001147116636 840493100 /nfs/dbraw/zinc/49/31/00/840493100.db2.gz AGOJNUGETHEKSC-CQSZACIVSA-N 0 1 294.395 0.596 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)c3cocn3)C2)C1 ZINC001147194293 840516440 /nfs/dbraw/zinc/51/64/40/840516440.db2.gz HFLMJJJNGZLTLS-UHFFFAOYSA-N 0 1 289.335 0.472 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)C3(C)CC3)C2)C1 ZINC001147598137 840630768 /nfs/dbraw/zinc/63/07/68/840630768.db2.gz OSXQCFVYZHMJQR-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN N#Cc1ccccc1C=CC(=O)NCc1n[nH]c(CO)n1 ZINC001148339539 840786058 /nfs/dbraw/zinc/78/60/58/840786058.db2.gz IVMGINKSKIPUKQ-AATRIKPKSA-N 0 1 283.291 0.498 20 30 CCEDMN N#Cc1ccccc1C=CC(=O)NCc1nnc(CO)[nH]1 ZINC001148339539 840786062 /nfs/dbraw/zinc/78/60/62/840786062.db2.gz IVMGINKSKIPUKQ-AATRIKPKSA-N 0 1 283.291 0.498 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCO3)[C@@H]2C1 ZINC001186741340 844593871 /nfs/dbraw/zinc/59/38/71/844593871.db2.gz QMOWRQHFSMODHN-ZNMIVQPWSA-N 0 1 292.379 0.348 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)c1cncc2nc[nH]c21 ZINC001092624281 841052989 /nfs/dbraw/zinc/05/29/89/841052989.db2.gz KTCZQPAIRUXVBD-GHMZBOCLSA-N 0 1 298.350 0.779 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)CCc2nc[nH]n2)nc1 ZINC001093566496 841341023 /nfs/dbraw/zinc/34/10/23/841341023.db2.gz PYDULUGYLDTWAL-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN CN(CC(=O)NCCNc1ccc(C#N)nn1)C1CCC1 ZINC001094221744 841559020 /nfs/dbraw/zinc/55/90/20/841559020.db2.gz OROSHXUJVYKSGY-UHFFFAOYSA-N 0 1 288.355 0.361 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NCCNc1ccc(C#N)nn1 ZINC001094188762 841563623 /nfs/dbraw/zinc/56/36/23/841563623.db2.gz MWFVMOTUAWYNAB-JTQLQIEISA-N 0 1 299.338 0.478 20 30 CCEDMN C#CC[C@H](COC)NS(=O)(=O)c1ccccc1O ZINC000716332292 841647472 /nfs/dbraw/zinc/64/74/72/841647472.db2.gz FWEGDRNNKHDMCN-SNVBAGLBSA-N 0 1 269.322 0.709 20 30 CCEDMN N#Cc1ncc(Nc2nccnc2CN)cc1[N+](=O)[O-] ZINC001171449008 841674029 /nfs/dbraw/zinc/67/40/29/841674029.db2.gz BIJXVVFXVZLLNJ-UHFFFAOYSA-N 0 1 271.240 0.854 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)Cc2cnc[nH]2)nn1 ZINC001094808775 841729195 /nfs/dbraw/zinc/72/91/95/841729195.db2.gz RBNZOKYSAJOGOT-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN CN1CCN(C2CN(C3CCC(C)(C#N)CC3)C2)CC1=O ZINC001173599345 842116060 /nfs/dbraw/zinc/11/60/60/842116060.db2.gz KJTKKNURDMMPLG-UHFFFAOYSA-N 0 1 290.411 0.917 20 30 CCEDMN CCOC(=O)C[C@H]1CC[C@@H]([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)C1 ZINC001173654311 842129936 /nfs/dbraw/zinc/12/99/36/842129936.db2.gz SXWPGFCQHAZZAI-KQXIARHKSA-N 0 1 284.356 0.934 20 30 CCEDMN C#Cc1cc(NC(=O)[C@H]2CCc3[nH]cnc3C2)ccn1 ZINC001177171234 842508484 /nfs/dbraw/zinc/50/84/84/842508484.db2.gz HXMINDYXIYVAPX-JTQLQIEISA-N 0 1 266.304 0.952 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CCCNC(=O)C1 ZINC001177909361 842705184 /nfs/dbraw/zinc/70/51/84/842705184.db2.gz TZGSPASBAKILJL-SNVBAGLBSA-N 0 1 277.349 0.779 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H](O)CF ZINC001177917419 842707920 /nfs/dbraw/zinc/70/79/20/842707920.db2.gz HIXIKXRAVBZKPP-BDAKNGLRSA-N 0 1 256.302 0.877 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC1CN(C2COC2)C1 ZINC001177917715 842708861 /nfs/dbraw/zinc/70/88/61/842708861.db2.gz LSVYIPQAHSGCHM-SNVBAGLBSA-N 0 1 291.376 0.630 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@@H]1CCCCN1C ZINC001178697789 842902844 /nfs/dbraw/zinc/90/28/44/842902844.db2.gz NWGUXYHJISGMKF-NSHDSACASA-N 0 1 254.330 0.706 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)NC(C)=O)C1 ZINC001180827929 843303282 /nfs/dbraw/zinc/30/32/82/843303282.db2.gz ILNUVVILFDEGCL-MWLCHTKSSA-N 0 1 273.764 0.454 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001151168882 843786424 /nfs/dbraw/zinc/78/64/24/843786424.db2.gz XENIIXBXGDDVGC-UHFFFAOYSA-N 0 1 270.720 0.186 20 30 CCEDMN COCC#CCN1C[C@@H]2CCC[C@]2(NC(=O)COC)C1 ZINC001111560776 843838006 /nfs/dbraw/zinc/83/80/06/843838006.db2.gz AINJFIWXEOPHPW-ZFWWWQNUSA-N 0 1 280.368 0.253 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@H](NCc2ccns2)C1 ZINC001182504871 843847334 /nfs/dbraw/zinc/84/73/34/843847334.db2.gz MSQYZDISUMVEEB-VXGBXAGGSA-N 0 1 293.392 0.872 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCCCOC ZINC001115089781 843848808 /nfs/dbraw/zinc/84/88/08/843848808.db2.gz VJPBUVAYHHNMME-NHAGDIPZSA-N 0 1 280.368 0.109 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC(N)=O)C2 ZINC001111504624 843896549 /nfs/dbraw/zinc/89/65/49/843896549.db2.gz XMZPKKNAZYUYHO-UPJWGTAASA-N 0 1 277.368 0.387 20 30 CCEDMN C=C(C[NH+]1CCCC1)C(=O)NCCCC[P@@](=O)([O-])O ZINC001183086035 843961554 /nfs/dbraw/zinc/96/15/54/843961554.db2.gz ONXLQUJVENWNMH-UHFFFAOYSA-N 0 1 290.300 0.713 20 30 CCEDMN COCC#CC(=O)Nc1cnc2[nH]c(C(=O)OC)cc2c1 ZINC001187123080 844653665 /nfs/dbraw/zinc/65/36/65/844653665.db2.gz KCCVZFROYRFPRG-UHFFFAOYSA-N 0 1 287.275 0.938 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)C[C@H](C)OC)[C@@H]2C1 ZINC001187210687 844681525 /nfs/dbraw/zinc/68/15/25/844681525.db2.gz WZHNXEMPIJRVMP-SOUVJXGZSA-N 0 1 294.395 0.594 20 30 CCEDMN CN(CCCN(C)C(=O)C1(C)CCC1)CC(=O)NCC#N ZINC001273547884 844762889 /nfs/dbraw/zinc/76/28/89/844762889.db2.gz JUPGRZAGNSWTRF-UHFFFAOYSA-N 0 1 294.399 0.597 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CC3(COC)CC3)[C@@H]2C1 ZINC001187848663 844782599 /nfs/dbraw/zinc/78/25/99/844782599.db2.gz DMDCDZCLRYIFEH-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCNC(=O)c1ccccc1CN1CCN(C)CC1 ZINC001188355596 844881732 /nfs/dbraw/zinc/88/17/32/844881732.db2.gz HLHBVEQBQSOCCD-UHFFFAOYSA-N 0 1 271.364 0.797 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc[n+]([O-])cc2)C1 ZINC001188746804 844968130 /nfs/dbraw/zinc/96/81/30/844968130.db2.gz KAEZWALJWKMDAG-AWEZNQCLSA-N 0 1 273.336 0.490 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CC34CC4)[C@@H]2C1 ZINC001189237644 845084541 /nfs/dbraw/zinc/08/45/41/845084541.db2.gz IXUCNTHDRPGDJO-RRFJBIMHSA-N 0 1 288.391 0.969 20 30 CCEDMN C=C[C@H]1C[C@@]1(NC(=O)c1cnn[nH]1)C(=O)OCC ZINC001189355594 845126913 /nfs/dbraw/zinc/12/69/13/845126913.db2.gz PDLVZEHDAWLDIV-CPCISQLKSA-N 0 1 250.258 0.042 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2cc[nH]n2)C1 ZINC001189508031 845152139 /nfs/dbraw/zinc/15/21/39/845152139.db2.gz KOYIJANUEHGTKL-CYBMUJFWSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCC(=O)NC)C1 ZINC001189787378 845207830 /nfs/dbraw/zinc/20/78/30/845207830.db2.gz OEJAKTOZBVXBRR-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC(=O)NCC)C1 ZINC001189788416 845210036 /nfs/dbraw/zinc/21/00/36/845210036.db2.gz XCWHTMZXVLDHEF-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)CC[C@H](C)OC)C1 ZINC001189806916 845212533 /nfs/dbraw/zinc/21/25/33/845212533.db2.gz DOYCUTWJACNWKV-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001189911209 845265963 /nfs/dbraw/zinc/26/59/63/845265963.db2.gz YOQWYRGASUSWOI-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CC2(COC)CC2)C1 ZINC001190067286 845309970 /nfs/dbraw/zinc/30/99/70/845309970.db2.gz LLALOGHUKPDIDF-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](N(C)[C@H]2CCN(C)C2=O)C1 ZINC001190055041 845319677 /nfs/dbraw/zinc/31/96/77/845319677.db2.gz VSOGNTNQCACELK-KBPBESRZSA-N 0 1 291.395 0.409 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@H](N(C)CC#CC)C1 ZINC001190105618 845324407 /nfs/dbraw/zinc/32/44/07/845324407.db2.gz PHDDWVPBDFQQFW-ZIAGYGMSSA-N 0 1 262.353 0.581 20 30 CCEDMN N#CC1CC(NS(=O)(=O)c2ncc(F)cc2F)C1 ZINC001190214295 845369305 /nfs/dbraw/zinc/36/93/05/845369305.db2.gz QENMVMSNPRHHTF-UHFFFAOYSA-N 0 1 273.264 0.940 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H]([N@H+](C)[C@@H]2CCNC2=O)C1 ZINC001190428502 845403243 /nfs/dbraw/zinc/40/32/43/845403243.db2.gz KRQRVXAIZFUWEO-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001190428502 845403255 /nfs/dbraw/zinc/40/32/55/845403255.db2.gz KRQRVXAIZFUWEO-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2c(C)ncn2C)C1 ZINC001190789760 845500230 /nfs/dbraw/zinc/50/02/30/845500230.db2.gz STDXUGBKPWGCKR-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)CSCC#N)C1 ZINC001191161344 845598745 /nfs/dbraw/zinc/59/87/45/845598745.db2.gz AERSSCPEHRQXBS-VXGBXAGGSA-N 0 1 283.397 0.371 20 30 CCEDMN CC/C=C\CCN1C[C@@H](O)[C@H](NC(=O)CSCC#N)C1 ZINC001191161868 845599556 /nfs/dbraw/zinc/59/95/56/845599556.db2.gz MDUYRNLURPDWNL-FGTRRKKMSA-N 0 1 297.424 0.761 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCc2cncnc2)C1 ZINC001191351025 845629744 /nfs/dbraw/zinc/62/97/44/845629744.db2.gz DCAPYPZCKYUVFB-HNNXBMFYSA-N 0 1 286.379 0.965 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)COC2CCCC2)C1 ZINC001191369794 845641573 /nfs/dbraw/zinc/64/15/73/845641573.db2.gz VVHFQWHRJWGOAP-ZIAGYGMSSA-N 0 1 282.384 0.683 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)COC2CCCC2)C1 ZINC001191369794 845641577 /nfs/dbraw/zinc/64/15/77/845641577.db2.gz VVHFQWHRJWGOAP-ZIAGYGMSSA-N 0 1 282.384 0.683 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001191622859 845699298 /nfs/dbraw/zinc/69/92/98/845699298.db2.gz YPXNTIBQJGSPDH-QJPTWQEYSA-N 0 1 256.346 0.149 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(Cc2ccccc2)C[C@H]1O ZINC001191855008 845741363 /nfs/dbraw/zinc/74/13/63/845741363.db2.gz UJZKQLHJLGREMB-IACUBPJLSA-N 0 1 273.336 0.508 20 30 CCEDMN Cc1ccccc1CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001191864329 845745174 /nfs/dbraw/zinc/74/51/74/845745174.db2.gz NUHKOAGXPNEQRY-NWANDNLSSA-N 0 1 287.363 0.816 20 30 CCEDMN C=CCOCC[N@H+]1C[C@@H](O)[C@H](NC(=O)/C=C\C(C)(C)C)C1 ZINC001191843965 845748067 /nfs/dbraw/zinc/74/80/67/845748067.db2.gz OKYQGHOOTHETDJ-YOIVVXPQSA-N 0 1 296.411 0.953 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)/C=C\C(C)(C)C)C1 ZINC001191843965 845748070 /nfs/dbraw/zinc/74/80/70/845748070.db2.gz OKYQGHOOTHETDJ-YOIVVXPQSA-N 0 1 296.411 0.953 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CCC2CCC2)C1 ZINC001192154792 845794252 /nfs/dbraw/zinc/79/42/52/845794252.db2.gz HOPJUFSHINCFHR-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cc(N2CCOCC2)ccn1 ZINC001192242260 845805297 /nfs/dbraw/zinc/80/52/97/845805297.db2.gz PXCRLHXXFRGWQC-UHFFFAOYSA-N 0 1 298.306 0.765 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C2(CF)CC2)C1 ZINC001192282519 845814116 /nfs/dbraw/zinc/81/41/16/845814116.db2.gz AQJZPWSCYFHKIN-ZDUSSCGKSA-N 0 1 282.359 0.919 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1C[N@@H+](CCCOCC)C[C@H]1O ZINC001192329016 845821199 /nfs/dbraw/zinc/82/11/99/845821199.db2.gz SDEYJNZSPSSDDI-HUUCEWRRSA-N 0 1 296.411 0.768 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001192374735 845829582 /nfs/dbraw/zinc/82/95/82/845829582.db2.gz JNSGMJKMNACMRC-ZIAGYGMSSA-N 0 1 282.384 0.378 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001192578265 845879123 /nfs/dbraw/zinc/87/91/23/845879123.db2.gz OBGXPVALQRYNEY-NWDGAFQWSA-N 0 1 281.400 0.995 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)OCCOC)C1 ZINC001192632342 845889780 /nfs/dbraw/zinc/88/97/80/845889780.db2.gz OYJXPJIZSNRUIX-OLZOCXBDSA-N 0 1 268.357 0.204 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCc2cn[nH]c2)C1 ZINC001192767436 845905098 /nfs/dbraw/zinc/90/50/98/845905098.db2.gz USOLPIYJSGENLI-AWEZNQCLSA-N 0 1 274.368 0.898 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1c(O)ccc(F)c1F ZINC001192788050 845908957 /nfs/dbraw/zinc/90/89/57/845908957.db2.gz ZECJARYYCJZDIK-SSDOTTSWSA-N 0 1 284.218 0.855 20 30 CCEDMN C[C@@H](F)CCN1C[C@@H](O)[C@H](NC(=O)C#CC2CC2)C1 ZINC001192821000 845915128 /nfs/dbraw/zinc/91/51/28/845915128.db2.gz FSUFHKBVSDBHNN-RAIGVLPGSA-N 0 1 268.332 0.309 20 30 CCEDMN N#CCS(=O)(=O)Nc1cncc(N2CCCC2)c1 ZINC001192942786 845930278 /nfs/dbraw/zinc/93/02/78/845930278.db2.gz BTXSMPNQQGHQJU-UHFFFAOYSA-N 0 1 266.326 0.947 20 30 CCEDMN C=CCCOCCCCC(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001192925349 845938193 /nfs/dbraw/zinc/93/81/93/845938193.db2.gz ZSRBGWYZFSPOJW-ZIAGYGMSSA-N 0 1 284.400 0.931 20 30 CCEDMN Cc1cnc(NS(=O)(=O)CC#N)c(Br)n1 ZINC001192934635 845940901 /nfs/dbraw/zinc/94/09/01/845940901.db2.gz BDTKZVULDQBPSP-UHFFFAOYSA-N 0 1 291.130 0.813 20 30 CCEDMN N#CCS(=O)(=O)[N-]Cc1[nH+]cn2ccccc12 ZINC001193000706 845951616 /nfs/dbraw/zinc/95/16/16/845951616.db2.gz FEMHSQLMXHINKU-UHFFFAOYSA-N 0 1 250.283 0.277 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(C)CCOC2)C1 ZINC001193048261 845963830 /nfs/dbraw/zinc/96/38/30/845963830.db2.gz MVDYJKLGMMDBQU-ZBFHGGJFSA-N 0 1 294.395 0.596 20 30 CCEDMN CC(=O)Nc1ccc(NS(=O)(=O)[C@H](C)C#N)cn1 ZINC001193105020 845979472 /nfs/dbraw/zinc/97/94/72/845979472.db2.gz QFWWVCAGYZHBKR-SSDOTTSWSA-N 0 1 268.298 0.694 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccccc1-n1cncn1 ZINC001193149987 846006997 /nfs/dbraw/zinc/00/69/97/846006997.db2.gz UMKJAMQFBHPKSB-SECBINFHSA-N 0 1 277.309 0.921 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N[C@@H]1Oc2ccc(CCO)cc2O1 ZINC001193192816 846013885 /nfs/dbraw/zinc/01/38/85/846013885.db2.gz GDNXQKPVWQKFKM-QPUJVOFHSA-N 0 1 298.320 0.108 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C2(C(=O)N(C)C)CC2)C1 ZINC001193400298 846071486 /nfs/dbraw/zinc/07/14/86/846071486.db2.gz XOKJFRGTJUNCBR-CYBMUJFWSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@](C)(NC(C)=O)C2CC2)C1 ZINC001193423652 846078061 /nfs/dbraw/zinc/07/80/61/846078061.db2.gz CSINJXSAJXGIJZ-GOEBONIOSA-N 0 1 291.395 0.457 20 30 CCEDMN CN(C(=O)c1ccc(O)c(C#N)c1)c1nccnc1N ZINC001193499437 846093751 /nfs/dbraw/zinc/09/37/51/846093751.db2.gz RJWWOLHPYLHPKH-UHFFFAOYSA-N 0 1 269.264 0.913 20 30 CCEDMN CN1C[C@@H]2CN(C(=O)c3ccc(O)c(C#N)c3)C[C@@H]2C1=O ZINC001193505756 846100884 /nfs/dbraw/zinc/10/08/84/846100884.db2.gz IATBLNBCJUFHSC-NEPJUHHUSA-N 0 1 285.303 0.424 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@H](CO)[C@H](O)C2)ccc1O ZINC001193506404 846101530 /nfs/dbraw/zinc/10/15/30/846101530.db2.gz MGQSHCFVPFRYTH-ZWNOBZJWSA-N 0 1 276.292 0.079 20 30 CCEDMN CC1(C)C(=O)NC[C@@H]1NC(=O)c1ccc(O)c(C#N)c1 ZINC001193518692 846103644 /nfs/dbraw/zinc/10/36/44/846103644.db2.gz FDSSGXJJXDHKAS-NSHDSACASA-N 0 1 273.292 0.518 20 30 CCEDMN Cn1ccnc1CNC(=O)c1cccc(C#N)c1O ZINC001193634697 846131862 /nfs/dbraw/zinc/13/18/62/846131862.db2.gz GVNFQBJMVMSHMC-UHFFFAOYSA-N 0 1 256.265 0.927 20 30 CCEDMN CNC(=O)C1CCN(C(=O)c2cccc(C#N)c2O)CC1 ZINC001193634708 846132003 /nfs/dbraw/zinc/13/20/03/846132003.db2.gz HPYULPOEXFTBHV-UHFFFAOYSA-N 0 1 287.319 0.862 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)Cn2ccnc2)C1 ZINC001194448721 846302825 /nfs/dbraw/zinc/30/28/25/846302825.db2.gz LBVAZARVVUTCSX-AWEZNQCLSA-N 0 1 290.367 0.066 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2[nH]cnc2C)C1 ZINC001194544688 846321532 /nfs/dbraw/zinc/32/15/32/846321532.db2.gz KZULQGBTVROVPM-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCCN(C[C@@H](C)O)CC1 ZINC001195173832 846477878 /nfs/dbraw/zinc/47/78/78/846477878.db2.gz DCQPWRGGQZXICJ-ZIAGYGMSSA-N 0 1 284.400 0.883 20 30 CCEDMN COCCOCCN1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195313859 846504187 /nfs/dbraw/zinc/50/41/87/846504187.db2.gz GCGKMJSMLDTYAD-CYBMUJFWSA-N 0 1 283.372 0.343 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)COCCOC)CC1 ZINC001195331920 846519185 /nfs/dbraw/zinc/51/91/85/846519185.db2.gz YNTUWNHNALZVLK-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2cn(C)cn2)CC1 ZINC001195481258 846550256 /nfs/dbraw/zinc/55/02/56/846550256.db2.gz WPDKUPRKVNOPII-UHFFFAOYSA-N 0 1 292.383 0.771 20 30 CCEDMN C#CCCCC(=O)N1CCCN(Cc2n[nH]c(C)n2)CC1 ZINC001195674578 846595682 /nfs/dbraw/zinc/59/56/82/846595682.db2.gz HSHBJNHOJNBWME-UHFFFAOYSA-N 0 1 289.383 0.951 20 30 CCEDMN C#CCCN1CCN(CCOC(=O)N(C)C)CC1 ZINC000159610868 846608970 /nfs/dbraw/zinc/60/89/70/846608970.db2.gz FFWMNCUUVVXLBV-UHFFFAOYSA-N 0 1 253.346 0.326 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H](CO)C(F)(F)F)c(O)c1 ZINC001195763832 846611614 /nfs/dbraw/zinc/61/16/14/846611614.db2.gz DAKIGXXJWMEBPV-VIFPVBQESA-N 0 1 274.198 0.917 20 30 CCEDMN Cc1nnc(CNC(=O)c2ccc(C#N)cc2O)o1 ZINC001195768499 846615593 /nfs/dbraw/zinc/61/55/93/846615593.db2.gz MEDWPYKJISJSNH-UHFFFAOYSA-N 0 1 258.237 0.885 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2ncc[nH]2)CC1 ZINC001195736404 846617416 /nfs/dbraw/zinc/61/74/16/846617416.db2.gz MJVJJAQIKCNTFR-UHFFFAOYSA-N 0 1 278.356 0.760 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCC[C@@H](C)O)C[C@H]2O)C1 ZINC001196020399 846669051 /nfs/dbraw/zinc/66/90/51/846669051.db2.gz NABQDFDRPCJOQY-MGPQQGTHSA-N 0 1 296.411 0.665 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C(C)(F)F)C1 ZINC001196260536 846714259 /nfs/dbraw/zinc/71/42/59/846714259.db2.gz RMSCXZSKMJRQDT-HBNTYKKESA-N 0 1 274.311 0.462 20 30 CCEDMN C=CCN1CCCN(C(=O)CCCn2cncn2)CC1 ZINC001197698772 846948847 /nfs/dbraw/zinc/94/88/47/846948847.db2.gz LFRIMJOWZUGXPN-UHFFFAOYSA-N 0 1 277.372 0.779 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)C2(C(=O)N(C)C)CC2)CC1 ZINC001197786825 846964875 /nfs/dbraw/zinc/96/48/75/846964875.db2.gz JVJJRRYIZVEGAZ-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](CCCC)NC(N)=O)CC1 ZINC001198364459 847094438 /nfs/dbraw/zinc/09/44/38/847094438.db2.gz VFNIDVYYZIXWOT-ZDUSSCGKSA-N 0 1 296.415 0.934 20 30 CCEDMN COc1ccccc1[C@@H](CNC(=O)[C@H](C)C#N)NCCO ZINC001198617109 847139658 /nfs/dbraw/zinc/13/96/58/847139658.db2.gz MFLIOAQCQVXPKH-DGCLKSJQSA-N 0 1 291.351 0.594 20 30 CCEDMN C#CCOC[C@H](O)CNc1cc(C)nc(OC)c1 ZINC001251828718 847155715 /nfs/dbraw/zinc/15/57/15/847155715.db2.gz DAPYYRREUUZGMG-GFCCVEGCSA-N 0 1 250.298 0.821 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCn2cc(C)cn2)C1 ZINC001199057636 847214526 /nfs/dbraw/zinc/21/45/26/847214526.db2.gz ONYYVQJFKOKKTJ-ZIAGYGMSSA-N 0 1 292.383 0.319 20 30 CCEDMN Cc1nc2nc[nH]c2c(NC(=O)c2cnc(C#N)cn2)n1 ZINC001199664019 847389623 /nfs/dbraw/zinc/38/96/23/847389623.db2.gz AWXOFMLVFAJAFI-UHFFFAOYSA-N 0 1 280.251 0.575 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ncn2ccccc12 ZINC001251900134 847408656 /nfs/dbraw/zinc/40/86/56/847408656.db2.gz KAXGRYJBVRNNDE-LBPRGKRZSA-N 0 1 259.309 0.435 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)NC(=O)CC)C2 ZINC001110587514 847908957 /nfs/dbraw/zinc/90/89/57/847908957.db2.gz QUMLOARNTUTLRD-LOWDOPEQSA-N 0 1 279.384 0.809 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1cncs1 ZINC001153148951 847934416 /nfs/dbraw/zinc/93/44/16/847934416.db2.gz OXAKTJVABATWDW-SNVBAGLBSA-N 0 1 269.370 0.940 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCn1ccnn1)C2 ZINC001110655750 847944584 /nfs/dbraw/zinc/94/45/84/847944584.db2.gz OHQGLCXXDDREQA-MCIONIFRSA-N 0 1 287.367 0.413 20 30 CCEDMN C=C[C@](C)(O)CNC1(C(=O)OCC)CCN(C)CC1 ZINC001252573379 848012971 /nfs/dbraw/zinc/01/29/71/848012971.db2.gz AUUBDGSJARWSDH-ZDUSSCGKSA-N 0 1 270.373 0.541 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(C)[C@@H](C(=O)OC)C1 ZINC001252602103 848031613 /nfs/dbraw/zinc/03/16/13/848031613.db2.gz LWBNRKXQZJWANF-VXGBXAGGSA-N 0 1 256.346 0.103 20 30 CCEDMN CN(CC(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21)C1CCC1 ZINC001114412554 848052313 /nfs/dbraw/zinc/05/23/13/848052313.db2.gz ZYFSFLHWNXAAGP-IMRBUKKESA-N 0 1 262.357 0.041 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC/C=C\CNCc1ccns1 ZINC001274024389 848310349 /nfs/dbraw/zinc/31/03/49/848310349.db2.gz HPBCLMUXMCCTQY-RXNFCKPNSA-N 0 1 293.392 0.943 20 30 CCEDMN N#CCCN1CC[C@]2(CCN(C[C@@H]3CCC(=O)N3)C2=O)C1 ZINC001274032815 848313931 /nfs/dbraw/zinc/31/39/31/848313931.db2.gz WLLIORKEWFLEQA-WFASDCNBSA-N 0 1 290.367 0.103 20 30 CCEDMN C#Cc1ccc(C(=O)NC/C=C\CNCC(=O)NCC)cc1 ZINC001274254222 848430339 /nfs/dbraw/zinc/43/03/39/848430339.db2.gz RHDPIXQPBPDHQW-WAYWQWQTSA-N 0 1 299.374 0.680 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(=O)[nH]n1 ZINC001274266704 848432355 /nfs/dbraw/zinc/43/23/55/848432355.db2.gz AZIBUUIDHIWPRK-CHWSQXEVSA-N 0 1 286.335 0.381 20 30 CCEDMN C=CCN1C[C@@]2(CC1=O)CCCCN2Cc1nnc[nH]1 ZINC001274354155 848455205 /nfs/dbraw/zinc/45/52/05/848455205.db2.gz ATFXTARSUQHSFA-AWEZNQCLSA-N 0 1 275.356 0.948 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2Cc2cnc(C)[nH]2)C1=O ZINC001274576662 848510470 /nfs/dbraw/zinc/51/04/70/848510470.db2.gz PHVOKXOWCHIZDT-OAHLLOKOSA-N 0 1 272.352 0.918 20 30 CCEDMN Cc1cc(CNC[C@@H](C)NC(=O)C#CC2CC2)ncn1 ZINC001274747437 848558129 /nfs/dbraw/zinc/55/81/29/848558129.db2.gz NYKQJQMEVDYUDW-GFCCVEGCSA-N 0 1 272.352 0.793 20 30 CCEDMN CN1CC[C@@]2(CCN(Cc3[nH]ccc3C#N)C2)C1=O ZINC001274854993 848576749 /nfs/dbraw/zinc/57/67/49/848576749.db2.gz JITYVJMXKSSSHQ-AWEZNQCLSA-N 0 1 258.325 0.941 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H](C)CNCc2ccn(C)n2)c1 ZINC001274912997 848591280 /nfs/dbraw/zinc/59/12/80/848591280.db2.gz HGJUDGZBNTVRLL-GFCCVEGCSA-N 0 1 297.362 0.705 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)CCOCC2CC2)CC1 ZINC001274910889 848591323 /nfs/dbraw/zinc/59/13/23/848591323.db2.gz WSRJWKNEKIVUBC-UHFFFAOYSA-N 0 1 294.395 0.379 20 30 CCEDMN C[C@@H](CNCC#N)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001275406456 848711208 /nfs/dbraw/zinc/71/12/08/848711208.db2.gz MZLUKROHDAYRBC-VIFPVBQESA-N 0 1 287.327 0.042 20 30 CCEDMN C[C@H](NCc1ccc(C#N)cc1F)[C@H](C)NC(=O)C(N)=O ZINC001275483131 848733134 /nfs/dbraw/zinc/73/31/34/848733134.db2.gz YNJBOHSOFAJDIV-IUCAKERBSA-N 0 1 292.314 0.165 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CN(C)CCNC(=O)C1CC1 ZINC001275551410 848760080 /nfs/dbraw/zinc/76/00/80/848760080.db2.gz HPMNZFBDSLLHHA-CYBMUJFWSA-N 0 1 293.411 0.609 20 30 CCEDMN C[C@H](CN(C)CC#N)NC(=O)c1ccc2[nH]nnc2c1 ZINC001275712927 848798131 /nfs/dbraw/zinc/79/81/31/848798131.db2.gz LTDGMPGSKUCJFA-SECBINFHSA-N 0 1 272.312 0.532 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@@H]1COC(=O)C1 ZINC001275809178 848831354 /nfs/dbraw/zinc/83/13/54/848831354.db2.gz BZTZHMSOOGYNEK-RYUDHWBXSA-N 0 1 266.341 0.399 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccnc2[nH]cnc21 ZINC001275842553 848841143 /nfs/dbraw/zinc/84/11/43/848841143.db2.gz AYZMISAGNCXRKT-SNVBAGLBSA-N 0 1 271.324 0.641 20 30 CCEDMN C#CCCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ncnn1C ZINC001275975580 848877468 /nfs/dbraw/zinc/87/74/68/848877468.db2.gz ULTVYSQQYRCQGQ-BETUJISGSA-N 0 1 287.367 0.517 20 30 CCEDMN CN1CCN(Cc2ccc(F)cc2C#N)C[C@H]1CO ZINC000687595919 849126694 /nfs/dbraw/zinc/12/66/94/849126694.db2.gz LBESPKIYHYHVJX-AWEZNQCLSA-N 0 1 263.316 0.806 20 30 CCEDMN CN(CCCNC(=O)Cc1cnc[nH]1)c1ccc(C#N)nn1 ZINC001095746643 849133368 /nfs/dbraw/zinc/13/33/68/849133368.db2.gz VVTRAQNSJSYFIA-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C=CCC1(O)CCN(S(=O)(=O)c2ncc[nH]2)CC1 ZINC000717889314 849202369 /nfs/dbraw/zinc/20/23/69/849202369.db2.gz SFDISIZOUGTLPJ-UHFFFAOYSA-N 0 1 271.342 0.501 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114680755 849360626 /nfs/dbraw/zinc/36/06/26/849360626.db2.gz LZKWXBIJLNFLOK-IMRBUKKESA-N 0 1 293.411 0.723 20 30 CCEDMN C=C[C@@H](O)CN1CCCN(C(=O)c2ccco2)CC1 ZINC001253583844 849557009 /nfs/dbraw/zinc/55/70/09/849557009.db2.gz IOKRNWXRZGJNAI-GFCCVEGCSA-N 0 1 264.325 0.974 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1n[nH]cc1F ZINC001038678990 849569885 /nfs/dbraw/zinc/56/98/85/849569885.db2.gz JYYYQCOOHRZUOL-SECBINFHSA-N 0 1 252.293 0.929 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001038637785 849765351 /nfs/dbraw/zinc/76/53/51/849765351.db2.gz DSUNRIOACXFQFP-RYUDHWBXSA-N 0 1 289.383 0.991 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001038378362 849870900 /nfs/dbraw/zinc/87/09/00/849870900.db2.gz OYUYAVMUAVUUCY-LLVKDONJSA-N 0 1 260.297 0.010 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc2c(c1)nnn2C ZINC001038406453 849883441 /nfs/dbraw/zinc/88/34/41/849883441.db2.gz OJJSTOQJBMZSTP-ZDUSSCGKSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)c1ccn2cncc2c1 ZINC001038463351 849911865 /nfs/dbraw/zinc/91/18/65/849911865.db2.gz UAHPGXZHFZRQLE-ZDUSSCGKSA-N 0 1 268.320 0.772 20 30 CCEDMN C=C(C)CC[N@H+]1CC[C@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001038467178 849912590 /nfs/dbraw/zinc/91/25/90/849912590.db2.gz YKJJLQXFTLTNOB-VIFPVBQESA-N 0 1 293.327 0.053 20 30 CCEDMN N#Cc1ccc(CN2CC[C@@H]2CNC(=O)c2cnn[nH]2)cc1 ZINC001038459988 849913770 /nfs/dbraw/zinc/91/37/70/849913770.db2.gz ZLKICXUJNPLJQL-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001038561307 849943537 /nfs/dbraw/zinc/94/35/37/849943537.db2.gz GCIREFYQBIIPGE-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCNC(=O)CC1 ZINC001038561307 849943545 /nfs/dbraw/zinc/94/35/45/849943545.db2.gz GCIREFYQBIIPGE-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2CCN2C(C)C)nn1 ZINC001038784805 850014356 /nfs/dbraw/zinc/01/43/56/850014356.db2.gz VRNRQMAFWARXJV-NSHDSACASA-N 0 1 263.345 0.677 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1c2c(nn1C)CCC2 ZINC001038923114 850088064 /nfs/dbraw/zinc/08/80/64/850088064.db2.gz ZDSAMQVWUOZDST-LBPRGKRZSA-N 0 1 286.379 0.736 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC001039006404 850116338 /nfs/dbraw/zinc/11/63/38/850116338.db2.gz BYLSWIYWGMTLGZ-JTQLQIEISA-N 0 1 270.296 0.286 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001039049149 850140277 /nfs/dbraw/zinc/14/02/77/850140277.db2.gz WQAGXKFCOPPJAV-OLZOCXBDSA-N 0 1 289.383 0.607 20 30 CCEDMN C#CCN1CCC[C@@]2(CCN(C(=O)c3cn[nH]n3)C2)C1 ZINC001040272728 850282511 /nfs/dbraw/zinc/28/25/11/850282511.db2.gz HFOIEHLHBGKCQV-CQSZACIVSA-N 0 1 273.340 0.366 20 30 CCEDMN N#CCN1CCC2(CCN(C(=O)c3ccn[nH]3)C2)CC1 ZINC001040619285 850307877 /nfs/dbraw/zinc/30/78/77/850307877.db2.gz QMDXAFNHJBNSPP-UHFFFAOYSA-N 0 1 273.340 0.861 20 30 CCEDMN C[C@H](C(N)=O)N1CC[C@]2(CCN(C(=O)C#CC3CC3)C2)C1 ZINC001041265705 850416366 /nfs/dbraw/zinc/41/63/66/850416366.db2.gz LOABKKXUFQWTEL-WBMJQRKESA-N 0 1 289.379 0.198 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cn[nH]c3)C[C@H]21 ZINC001041948921 850537582 /nfs/dbraw/zinc/53/75/82/850537582.db2.gz ACEQPONWVRLKEU-DGCLKSJQSA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3nccnc3N)C[C@@H]21 ZINC001041986456 850549846 /nfs/dbraw/zinc/54/98/46/850549846.db2.gz QSELTRMTDFJTMU-NEPJUHHUSA-N 0 1 285.351 0.228 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cn(C)nn3)C[C@@H]21 ZINC001042046953 850566962 /nfs/dbraw/zinc/56/69/62/850566962.db2.gz RKQPLCBUUKKMBV-JSGCOSHPSA-N 0 1 287.367 0.375 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cn3nccc3C)C[C@@H]21 ZINC001042249886 850603319 /nfs/dbraw/zinc/60/33/19/850603319.db2.gz RAWHJKCLNNMCGS-GJZGRUSLSA-N 0 1 286.379 0.748 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cnon3)C[C@H]21 ZINC001042283221 850610095 /nfs/dbraw/zinc/61/00/95/850610095.db2.gz JQKYBJZGRDXJKT-DGCLKSJQSA-N 0 1 274.324 0.629 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3ccn(C)n3)C[C@H]21 ZINC001042355662 850617821 /nfs/dbraw/zinc/61/78/21/850617821.db2.gz DCROEMNCBUXJCJ-DZGCQCFKSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001042724326 850744065 /nfs/dbraw/zinc/74/40/65/850744065.db2.gz WJFHQSYETZKUAD-ZDUSSCGKSA-N 0 1 272.352 0.605 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001043099070 850814374 /nfs/dbraw/zinc/81/43/74/850814374.db2.gz KPONCUZNOFLYEM-IVZWLZJFSA-N 0 1 299.338 0.771 20 30 CCEDMN CN(C(=O)c1cccc(-c2nnc[nH]2)c1)C1CN(CC#N)C1 ZINC001043518393 850891798 /nfs/dbraw/zinc/89/17/98/850891798.db2.gz VJAXDZLCFPBJST-UHFFFAOYSA-N 0 1 296.334 0.751 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CC[C@@H]2C(N)=O)C1 ZINC001043536615 850893034 /nfs/dbraw/zinc/89/30/34/850893034.db2.gz KZLYCUOFWZWCSD-STQMWFEESA-N 0 1 279.384 0.607 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](NCC#N)C[C@H]1C ZINC001044698828 851145186 /nfs/dbraw/zinc/14/51/86/851145186.db2.gz AAWBKBDPQYYECV-PSASIEDQSA-N 0 1 262.317 0.219 20 30 CCEDMN Cc1cc(CNC[C@@H]2CCCN2C(=O)[C@@H](C)C#N)nn1C ZINC001044962191 851194683 /nfs/dbraw/zinc/19/46/83/851194683.db2.gz GJGVLCLEASRWRU-FZMZJTMJSA-N 0 1 289.383 0.969 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2COCCO2)CC1 ZINC001045368192 851243249 /nfs/dbraw/zinc/24/32/49/851243249.db2.gz DCNBYRDSCOGJQY-GFCCVEGCSA-N 0 1 266.341 0.006 20 30 CCEDMN CC#CCN1CCC(C)(NC(=O)c2nccnc2N)CC1 ZINC001045391844 851246909 /nfs/dbraw/zinc/24/69/09/851246909.db2.gz VXQGXHNHTSPVFO-UHFFFAOYSA-N 0 1 287.367 0.666 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2nccnc2N)CC1 ZINC001045394197 851247270 /nfs/dbraw/zinc/24/72/70/851247270.db2.gz KJWHXHAKKXJGCI-UHFFFAOYSA-N 0 1 273.340 0.276 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)C2CN(C(C)=O)C2)CC1 ZINC001045419473 851254284 /nfs/dbraw/zinc/25/42/84/851254284.db2.gz BJBODZMVIGUWQU-UHFFFAOYSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCC(C)(NC(=O)c2cnnn2CC)CC1 ZINC001045431688 851259255 /nfs/dbraw/zinc/25/92/55/851259255.db2.gz CSCGIBXWYUSNTA-UHFFFAOYSA-N 0 1 289.383 0.906 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1c[nH]cn1)C2 ZINC001096073897 851381552 /nfs/dbraw/zinc/38/15/52/851381552.db2.gz GKZXYPOGSSDKGJ-RDBSUJKOSA-N 0 1 272.352 0.697 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001046122844 851387143 /nfs/dbraw/zinc/38/71/43/851387143.db2.gz XMAMFNCSTLCOCQ-OAHLLOKOSA-N 0 1 273.336 0.538 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001046352390 851473082 /nfs/dbraw/zinc/47/30/82/851473082.db2.gz VWVIOANVXCLESS-BBRMVZONSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001046383029 851481083 /nfs/dbraw/zinc/48/10/83/851481083.db2.gz DKBDXEWJCZRYCT-OBJOEFQTSA-N 0 1 266.341 0.004 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cnc3n2CCC3)C1 ZINC001046386249 851483076 /nfs/dbraw/zinc/48/30/76/851483076.db2.gz ZPONBVMRRLSPDP-OAHLLOKOSA-N 0 1 272.352 0.657 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001046402047 851488592 /nfs/dbraw/zinc/48/85/92/851488592.db2.gz DQEQKOVHRYFIEO-DOMZBBRYSA-N 0 1 277.368 0.259 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001046404182 851491761 /nfs/dbraw/zinc/49/17/61/851491761.db2.gz XERNFTDRZFBJIL-AWEZNQCLSA-N 0 1 276.340 0.641 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001046430288 851499947 /nfs/dbraw/zinc/49/99/47/851499947.db2.gz ZWIGONUHNDHODH-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](C[C@@H](C)O)C2)cn1 ZINC001046779689 851612893 /nfs/dbraw/zinc/61/28/93/851612893.db2.gz XMQUZCSEHAOVFN-WBMJQRKESA-N 0 1 287.363 0.638 20 30 CCEDMN N#Cc1cnc(N[C@H]2C[C@H](CNC(=O)c3ncn[nH]3)C2)cn1 ZINC001046871887 851636094 /nfs/dbraw/zinc/63/60/94/851636094.db2.gz LWFOQLQLMLRRBM-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cnc(N[C@H]2C[C@H](CNC(=O)c3nc[nH]n3)C2)cn1 ZINC001046871887 851636100 /nfs/dbraw/zinc/63/61/00/851636100.db2.gz LWFOQLQLMLRRBM-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cnc(C)o2)C1 ZINC001047407234 851753707 /nfs/dbraw/zinc/75/37/07/851753707.db2.gz FTZPGARAHBGCRI-KBPBESRZSA-N 0 1 291.351 0.052 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccco2)C1 ZINC001047572930 851821103 /nfs/dbraw/zinc/82/11/03/851821103.db2.gz SUWOUZJEOOCROY-KBPBESRZSA-N 0 1 278.352 0.902 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2nnn(C)c2C)CC[C@@H]1C ZINC001071404303 851905722 /nfs/dbraw/zinc/90/57/22/851905722.db2.gz LYQSVQBQTDTNER-CMPLNLGQSA-N 0 1 277.372 0.892 20 30 CCEDMN Cc1nccnc1CN1C[C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@@H]2C1 ZINC001048725954 852036272 /nfs/dbraw/zinc/03/62/72/852036272.db2.gz XOUHGKYCEUCYRU-FPMFFAJLSA-N 0 1 299.378 0.835 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2CN(C(=O)Cc3cc[nH]n3)C[C@H]2C1 ZINC001048738668 852040597 /nfs/dbraw/zinc/04/05/97/852040597.db2.gz OTNOLCYMHKJZFJ-BETUJISGSA-N 0 1 272.352 0.366 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N1C[C@H]2CN(CC(N)=O)C[C@H]2C1 ZINC001048841263 852078406 /nfs/dbraw/zinc/07/84/06/852078406.db2.gz OLTBBICKQYGMMZ-PHIMTYICSA-N 0 1 299.802 0.641 20 30 CCEDMN C#CC[N@H+]1C[C@H]2CN(C(=O)c3cc4n(n3)CCCC4)C[C@H]2C1 ZINC001048883979 852096415 /nfs/dbraw/zinc/09/64/15/852096415.db2.gz YIXLDOCYRXMODH-OKILXGFUSA-N 0 1 298.390 0.856 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1C[C@H]2CN(CC#N)C[C@H]2C1 ZINC001048896989 852101465 /nfs/dbraw/zinc/10/14/65/852101465.db2.gz MRWNCDWTMMAMKL-MJBXVCDLSA-N 0 1 276.384 0.384 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cn[nH]c1 ZINC001049350439 852237915 /nfs/dbraw/zinc/23/79/15/852237915.db2.gz RROJJRAJCNUCCN-CHWSQXEVSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)n1cncn1 ZINC001049426817 852268791 /nfs/dbraw/zinc/26/87/91/852268791.db2.gz AHPNTUQFFFITFY-BFHYXJOUSA-N 0 1 287.367 0.538 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](C)n1cncn1 ZINC001049426817 852268804 /nfs/dbraw/zinc/26/88/04/852268804.db2.gz AHPNTUQFFFITFY-BFHYXJOUSA-N 0 1 287.367 0.538 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1ccccc1=O)C2 ZINC001096519274 852272959 /nfs/dbraw/zinc/27/29/59/852272959.db2.gz MCHVBOHJMINQJR-ILXRZTDVSA-N 0 1 299.374 0.593 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1CN(C(C)=O)C1 ZINC001049439057 852274780 /nfs/dbraw/zinc/27/47/80/852274780.db2.gz IJEMYATWEQBMJC-HUUCEWRRSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1CN(C(C)=O)C1 ZINC001049439055 852276021 /nfs/dbraw/zinc/27/60/21/852276021.db2.gz IJEMYATWEQBMJC-CABCVRRESA-N 0 1 289.379 0.163 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCC(=O)N1C ZINC001049643669 852329408 /nfs/dbraw/zinc/32/94/08/852329408.db2.gz FAJDGQYRETUDLZ-MCIONIFRSA-N 0 1 291.395 0.859 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cn(CC=C)nn1 ZINC001049773766 852370135 /nfs/dbraw/zinc/37/01/35/852370135.db2.gz RVRNPFKBFNHMFC-LSDHHAIUSA-N 0 1 299.378 0.776 20 30 CCEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnon1 ZINC001049801640 852377556 /nfs/dbraw/zinc/37/75/56/852377556.db2.gz INNDEVOGULEDKV-NWDGAFQWSA-N 0 1 262.313 0.935 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1CC[NH+](CC#C)CC1)C2 ZINC001096606886 852401606 /nfs/dbraw/zinc/40/16/06/852401606.db2.gz RMQYXKHVLRZZBN-IKGGRYGDSA-N 0 1 299.418 0.686 20 30 CCEDMN N#CCN1C[C@@H]2CCCN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC001049983103 852413912 /nfs/dbraw/zinc/41/39/12/852413912.db2.gz FBTSDGQBZZDUFQ-CMPLNLGQSA-N 0 1 259.313 0.470 20 30 CCEDMN N#Cc1ccc(N[C@H](CNC(=O)c2cnn[nH]2)C2CC2)cn1 ZINC001096854209 852457991 /nfs/dbraw/zinc/45/79/91/852457991.db2.gz UQOYIPRNKBYVFN-GFCCVEGCSA-N 0 1 297.322 0.692 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCOC1)C2 ZINC001096866183 852464148 /nfs/dbraw/zinc/46/41/48/852464148.db2.gz AMWALHPPYGSKSX-SYQHCUMBSA-N 0 1 262.353 0.768 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc3n[nH]nc3n1)C2 ZINC001097177173 852511128 /nfs/dbraw/zinc/51/11/28/852511128.db2.gz IRWGLPMDNJSIEA-WQAKAFBOSA-N 0 1 298.350 0.874 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCC(=O)N1)C2 ZINC001097290418 852525191 /nfs/dbraw/zinc/52/51/91/852525191.db2.gz WICIULYOSYNORQ-LPWJVIDDSA-N 0 1 277.368 0.563 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1ccc(C)cc1=O)C2 ZINC001097956529 852999247 /nfs/dbraw/zinc/99/92/47/852999247.db2.gz ACKFLWNVZDJLSR-KFWWJZLASA-N 0 1 299.374 0.511 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c[nH]c(=O)n2C)C[C@@H]1C ZINC001054973251 853048184 /nfs/dbraw/zinc/04/81/84/853048184.db2.gz GCCOTHHQUWVUHV-WCBMZHEXSA-N 0 1 298.774 0.928 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](Nc3cnc(C#N)cn3)C2)n[nH]1 ZINC001056587743 853175830 /nfs/dbraw/zinc/17/58/30/853175830.db2.gz QLTSHGWQOGJIEU-SNVBAGLBSA-N 0 1 297.322 0.706 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](Nc2ccc(C#N)cn2)C1 ZINC001056748435 853200311 /nfs/dbraw/zinc/20/03/11/853200311.db2.gz QWOZSRNRWQWJNO-LLVKDONJSA-N 0 1 297.322 0.706 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H](C)n3cncn3)[C@@H]2C1 ZINC001050026318 853296446 /nfs/dbraw/zinc/29/64/46/853296446.db2.gz XXQKZIFVBZOLIF-MELADBBJSA-N 0 1 287.367 0.395 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H]3CCC(=O)N3)[C@@H]2C1 ZINC001050163015 853328051 /nfs/dbraw/zinc/32/80/51/853328051.db2.gz CWVHVHUWROEVHT-BFHYXJOUSA-N 0 1 289.379 0.211 20 30 CCEDMN C[C@H](C(N)=O)N1CCC2(CN(C(=O)C#CC3CC3)C2)CC1 ZINC001050513578 853390255 /nfs/dbraw/zinc/39/02/55/853390255.db2.gz AARANVUDEPGSPS-GFCCVEGCSA-N 0 1 289.379 0.198 20 30 CCEDMN N#Cc1cccnc1NC1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001051983314 853669564 /nfs/dbraw/zinc/66/95/64/853669564.db2.gz VJYVFYOVAYCPBV-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cccnc1NC1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001051983314 853669567 /nfs/dbraw/zinc/66/95/67/853669567.db2.gz VJYVFYOVAYCPBV-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN CCN1CCN([C@@H]2CCN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001051997759 853671848 /nfs/dbraw/zinc/67/18/48/853671848.db2.gz HUCHJFVLEMGLDV-CHWSQXEVSA-N 0 1 264.373 0.384 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)[C@@H]3C[C@H]3C)C2)CC1 ZINC001052062478 853678951 /nfs/dbraw/zinc/67/89/51/853678951.db2.gz KJONQECPFWKIFN-OWCLPIDISA-N 0 1 289.423 0.884 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001052189285 853699522 /nfs/dbraw/zinc/69/95/22/853699522.db2.gz WZCZTLFGTWVDND-WDEREUQCSA-N 0 1 291.355 0.595 20 30 CCEDMN C[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001058314408 853823218 /nfs/dbraw/zinc/82/32/18/853823218.db2.gz JCHZWKMDBQEARM-ZFWWWQNUSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1CCN(c2ccc(C#N)nc2)C1 ZINC001058314408 853823222 /nfs/dbraw/zinc/82/32/22/853823222.db2.gz JCHZWKMDBQEARM-ZFWWWQNUSA-N 0 1 299.378 0.742 20 30 CCEDMN C[C@H]1[C@H](Nc2cnc(C#N)cn2)CCN1C(=O)c1ccn[nH]1 ZINC001068751012 853922847 /nfs/dbraw/zinc/92/28/47/853922847.db2.gz ZGCBQOWEANRNCD-GXSJLCMTSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H](C)SC)C[C@@H]1n1ccnn1 ZINC001070145392 854030381 /nfs/dbraw/zinc/03/03/81/854030381.db2.gz ZQXORESGTAZONX-UTUOFQBUSA-N 0 1 293.396 0.004 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C(C)(C)CC)C[C@@H]1n1ccnn1 ZINC001070262912 854039237 /nfs/dbraw/zinc/03/92/37/854039237.db2.gz RJOTXERLDXSXPJ-OLZOCXBDSA-N 0 1 289.383 0.689 20 30 CCEDMN C#CCCN1C[C@@H](OC)C[C@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070357218 854047915 /nfs/dbraw/zinc/04/79/15/854047915.db2.gz BKQBXKXVPUCBIQ-RYUDHWBXSA-N 0 1 291.355 0.226 20 30 CCEDMN C#CCCN1C[C@@H](OC)C[C@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070357218 854047916 /nfs/dbraw/zinc/04/79/16/854047916.db2.gz BKQBXKXVPUCBIQ-RYUDHWBXSA-N 0 1 291.355 0.226 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)c2cn[nH]c2)C1 ZINC001070399987 854056324 /nfs/dbraw/zinc/05/63/24/854056324.db2.gz QOFBMYJECVMRMY-NSHDSACASA-N 0 1 298.350 0.485 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@H]1c1cn(C)cn1 ZINC001070467304 854062696 /nfs/dbraw/zinc/06/26/96/854062696.db2.gz ISXYXLUHBZNJSG-SWLSCSKDSA-N 0 1 297.362 0.579 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)c1cc[nH]c1)C[C@@H](C)O2 ZINC001071168703 854132281 /nfs/dbraw/zinc/13/22/81/854132281.db2.gz GJNULFNZKWWIMB-CJNGLKHVSA-N 0 1 287.363 0.953 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cc(=O)n(C)o2)CC[C@H]1C ZINC001071463319 854208147 /nfs/dbraw/zinc/20/81/47/854208147.db2.gz JVQREDMOIHGDCQ-GHMZBOCLSA-N 0 1 279.340 0.747 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](NC(=O)c2cnn[n-]2)CC[C@@H]1C ZINC001071631757 854252194 /nfs/dbraw/zinc/25/21/94/854252194.db2.gz RARRDSWVOOMIOS-QWRGUYRKSA-N 0 1 261.329 0.411 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@@H]1C ZINC001071850405 854307848 /nfs/dbraw/zinc/30/78/48/854307848.db2.gz PUKRSCQKQYCLEI-WCQYABFASA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@@H]1C ZINC001072076991 854343755 /nfs/dbraw/zinc/34/37/55/854343755.db2.gz YVICMQTYKBJDQG-CMPLNLGQSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3ncccc3F)C2)C1 ZINC001072915104 854494530 /nfs/dbraw/zinc/49/45/30/854494530.db2.gz LSFFFVNOOIPCKG-UHFFFAOYSA-N 0 1 287.338 0.931 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(COC)on3)C2)C1 ZINC001073041911 854519307 /nfs/dbraw/zinc/51/93/07/854519307.db2.gz XHLQHQHWJJQDLT-UHFFFAOYSA-N 0 1 289.335 0.602 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCc4n[nH]cc4C3)C2)C1 ZINC001073123043 854533837 /nfs/dbraw/zinc/53/38/37/854533837.db2.gz FNYSYNDBYIJKJW-ZDUSSCGKSA-N 0 1 298.390 0.682 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2cn[nH]c2)C1 ZINC001073541535 854583413 /nfs/dbraw/zinc/58/34/13/854583413.db2.gz RIIGXOSEFZJPGC-ZDUSSCGKSA-N 0 1 276.340 0.254 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnnc(C)c2)C1 ZINC001073585577 854603912 /nfs/dbraw/zinc/60/39/12/854603912.db2.gz MALSBXFGNPNOHF-AWEZNQCLSA-N 0 1 290.367 0.792 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2ncc[nH]2)C1 ZINC001073595501 854609647 /nfs/dbraw/zinc/60/96/47/854609647.db2.gz PDGNIKRBESZIMB-LBPRGKRZSA-N 0 1 276.340 0.254 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)CCn2ccccc2=O)C1 ZINC001276389238 854675882 /nfs/dbraw/zinc/67/58/82/854675882.db2.gz PTOIVKWHSRVLAB-ZDUSSCGKSA-N 0 1 289.379 0.861 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]3OCCN(CC#N)[C@H]3C2)n[nH]1 ZINC001074185199 854689749 /nfs/dbraw/zinc/68/97/49/854689749.db2.gz YHLLDMOJISMGKR-QWHCGFSZSA-N 0 1 289.339 0.157 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)c3[nH]nnc3C)C[C@@H]21 ZINC001074311701 854710154 /nfs/dbraw/zinc/71/01/54/854710154.db2.gz ATFDYVNEHRYOJN-RYUDHWBXSA-N 0 1 291.355 0.214 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NCc2nccn2C)[C@@H]1C ZINC001074568129 854749508 /nfs/dbraw/zinc/74/95/08/854749508.db2.gz KRIMGGOIIIFWAQ-SRVKXCTJSA-N 0 1 275.356 0.659 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1[nH]cnc1C)C2 ZINC001098285673 854854683 /nfs/dbraw/zinc/85/46/83/854854683.db2.gz IDKAVZDACZIELY-BNOWGMLFSA-N 0 1 272.352 0.615 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCC(=O)N1C)C2 ZINC001098302963 854855536 /nfs/dbraw/zinc/85/55/36/854855536.db2.gz NMECESVCPXSNKB-MQYQWHSLSA-N 0 1 291.395 0.905 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)Nc1ccc(C#N)nc1 ZINC001098307340 854857247 /nfs/dbraw/zinc/85/72/47/854857247.db2.gz YLWABYVQIUUYTJ-SNVBAGLBSA-N 0 1 284.323 0.836 20 30 CCEDMN C[C@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)cn1 ZINC001098405296 854863510 /nfs/dbraw/zinc/86/35/10/854863510.db2.gz UYDQABNHXHDBIK-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnn(C)c2N)C1 ZINC001098803406 854887641 /nfs/dbraw/zinc/88/76/41/854887641.db2.gz PBNMYFVNJXXFLV-NHYWBVRUSA-N 0 1 287.367 0.220 20 30 CCEDMN CC1(C)CC[C@H](CNC(=O)Cc2nnc[nH]2)N(CC#N)C1 ZINC001099297440 854926468 /nfs/dbraw/zinc/92/64/68/854926468.db2.gz GZNLGRQQQNMLED-LLVKDONJSA-N 0 1 290.371 0.478 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2nc[nH]n2)C[C@H]1C(F)(F)F ZINC001099323180 854926690 /nfs/dbraw/zinc/92/66/90/854926690.db2.gz XOTFPFWALZWXNM-HTQZYQBOSA-N 0 1 287.245 0.030 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCSC)[C@@H](O)C1 ZINC001099663270 854961428 /nfs/dbraw/zinc/96/14/28/854961428.db2.gz MAQLZSPCVFPTQV-RYUDHWBXSA-N 0 1 270.398 0.314 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@@H](NC(=O)c2ccco2)[C@H](O)C1 ZINC001099625187 854947070 /nfs/dbraw/zinc/94/70/70/854947070.db2.gz XRJLZPIUCUWABH-CHWSQXEVSA-N 0 1 294.351 0.647 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ccco2)[C@H](O)C1 ZINC001099625187 854947072 /nfs/dbraw/zinc/94/70/72/854947072.db2.gz XRJLZPIUCUWABH-CHWSQXEVSA-N 0 1 294.351 0.647 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(CC#CC)C[C@H]1O ZINC001099725745 854977272 /nfs/dbraw/zinc/97/72/72/854977272.db2.gz YIXYKMNSNLDRIC-CHWSQXEVSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CCN(CCO)C[C@H]1O ZINC001099847475 855010196 /nfs/dbraw/zinc/01/01/96/855010196.db2.gz NGRUJKCRNIZTMV-ZIAGYGMSSA-N 0 1 282.384 0.299 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@H]1CCN(CCO)C[C@@H]1O ZINC001099942005 855041943 /nfs/dbraw/zinc/04/19/43/855041943.db2.gz KYPBHUKVDWYKSJ-RYUDHWBXSA-N 0 1 270.373 0.132 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CF)CCC2)[C@@H](O)C1 ZINC001100012797 855070660 /nfs/dbraw/zinc/07/06/60/855070660.db2.gz CXBAOFXHQBHSKT-NEPJUHHUSA-N 0 1 270.348 0.864 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cncn2C)[C@H](O)C1 ZINC001100094727 855098755 /nfs/dbraw/zinc/09/87/55/855098755.db2.gz REJPDFALBIGLTO-UONOGXRCSA-N 0 1 292.383 0.090 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCOC(C)C)[C@H](O)C1 ZINC001100142623 855105827 /nfs/dbraw/zinc/10/58/27/855105827.db2.gz FVTQYSJQXXMRQO-LSDHHAIUSA-N 0 1 296.411 0.766 20 30 CCEDMN Cn1cc(CNC2CC(CNC(=O)C#CC3CC3)C2)nn1 ZINC001100315021 855144940 /nfs/dbraw/zinc/14/49/40/855144940.db2.gz QCJGBQUIBDYKSI-UHFFFAOYSA-N 0 1 287.367 0.213 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCCN1c1ncnc2[nH]cnc21 ZINC001100809752 855229095 /nfs/dbraw/zinc/22/90/95/855229095.db2.gz ILWBNHXEZFZMRL-UWVGGRQHSA-N 0 1 299.338 0.598 20 30 CCEDMN N#Cc1ccc(N(CCNC(=O)c2ncn[nH]2)C2CC2)nc1 ZINC001101372081 855287007 /nfs/dbraw/zinc/28/70/07/855287007.db2.gz PEISMRKHQUHOHY-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1ccc(N(CCNC(=O)c2nc[nH]n2)C2CC2)nc1 ZINC001101372081 855287016 /nfs/dbraw/zinc/28/70/16/855287016.db2.gz PEISMRKHQUHOHY-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)[C@@H](C)CC)[C@H](C)C1 ZINC001101732333 855356055 /nfs/dbraw/zinc/35/60/55/855356055.db2.gz ZWVGNBATWVNCKC-BFHYXJOUSA-N 0 1 293.411 0.466 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102261355 855421808 /nfs/dbraw/zinc/42/18/08/855421808.db2.gz WYVMETGIPJDPMQ-YNEHKIRRSA-N 0 1 281.400 0.758 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCC#N)C[C@H]1CNC(=O)C(C)(C)C ZINC001102523264 855442929 /nfs/dbraw/zinc/44/29/29/855442929.db2.gz BUPRNCDFNPVULE-VXGBXAGGSA-N 0 1 294.399 0.356 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001111757451 855592475 /nfs/dbraw/zinc/59/24/75/855592475.db2.gz BEFJELOVRNMALR-XEZPLFJOSA-N 0 1 291.395 0.505 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nocc1C ZINC001115074676 855639299 /nfs/dbraw/zinc/63/92/99/855639299.db2.gz QRIQYAGJQHOBDL-IMRBUKKESA-N 0 1 289.335 0.293 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COc1ccccc1 ZINC001115165239 855649402 /nfs/dbraw/zinc/64/94/02/855649402.db2.gz DIDHSTHRGFEAAN-FOLVSLTJSA-N 0 1 270.332 0.745 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@H](C)Nc2ccnc(C#N)n2)n[nH]1 ZINC001115622059 855682410 /nfs/dbraw/zinc/68/24/10/855682410.db2.gz GROHRYTYMQOMBY-JTQLQIEISA-N 0 1 299.338 0.374 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@@H](C)Nc2cncc(C#N)n2)[nH]1 ZINC001115656942 855686612 /nfs/dbraw/zinc/68/66/12/855686612.db2.gz VWCWKPQADYMUJQ-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN CCC[C@@H]1CN(C(=O)C#CCOC)CCN1CCOC ZINC001118753429 856425727 /nfs/dbraw/zinc/42/57/27/856425727.db2.gz WTQKRVZCUVVWFK-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN N#CC1(C[C@@H](O)CNCc2cc[nH]n2)CCOCC1 ZINC001118896853 856482332 /nfs/dbraw/zinc/48/23/32/856482332.db2.gz HJXZAPWUIOAJGZ-GFCCVEGCSA-N 0 1 264.329 0.571 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)n1 ZINC001119016011 856530614 /nfs/dbraw/zinc/53/06/14/856530614.db2.gz ZLGCZBXXXUIDBK-SECBINFHSA-N 0 1 268.280 0.701 20 30 CCEDMN CCC#C[C@H](C)N1C[C@H](C(=O)OC)[C@@H](C(=O)OC)C1 ZINC001120255985 857020382 /nfs/dbraw/zinc/02/03/82/857020382.db2.gz GJUHVKVWJXTBPS-SRVKXCTJSA-N 0 1 267.325 0.682 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C2CCCCC2)CC1 ZINC001323382887 912309571 /nfs/dbraw/zinc/30/95/71/912309571.db2.gz HVBLKGLONIZLAL-UHFFFAOYSA-N 0 1 291.395 0.555 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCC1(N[C@@H]2CCNC2=O)CC1 ZINC001323518825 912388758 /nfs/dbraw/zinc/38/87/58/912388758.db2.gz KRFXHSKYKCEYRU-NWDGAFQWSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cnc(C)nc1 ZINC001323532336 912395187 /nfs/dbraw/zinc/39/51/87/912395187.db2.gz IXYWBGJAJJPRJT-UHFFFAOYSA-N 0 1 295.324 0.242 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001323910033 912578453 /nfs/dbraw/zinc/57/84/53/912578453.db2.gz ZVMYCIKQXVFRPA-ZFWWWQNUSA-N 0 1 295.427 0.814 20 30 CCEDMN CN1CC[NH+](CCCNC(=O)CCCC#N)CC1 ZINC001324295771 912753877 /nfs/dbraw/zinc/75/38/77/912753877.db2.gz XCOFUEVMWPTMAC-UHFFFAOYSA-N 0 1 252.362 0.434 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCC(C)(NC(=O)C#CC(C)C)CC1 ZINC001324309680 912772741 /nfs/dbraw/zinc/77/27/41/912772741.db2.gz OZXNFKFEGPXVHY-CYBMUJFWSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN(C(C)=O)C1CCN([C@H]2CCN(CC)C2=O)CC1 ZINC001324353743 912797422 /nfs/dbraw/zinc/79/74/22/912797422.db2.gz UZIYEIRNYPEGRV-HNNXBMFYSA-N 0 1 291.395 0.553 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cnnn1C ZINC001393926463 912855970 /nfs/dbraw/zinc/85/59/70/912855970.db2.gz FHXXOUWMNVKEDC-DTWKUNHWSA-N 0 1 271.752 0.664 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001394047166 912944778 /nfs/dbraw/zinc/94/47/78/912944778.db2.gz CVLHPEWROKXQCJ-SKDRFNHKSA-N 0 1 291.355 0.200 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](COC)OC)C1 ZINC001324959988 913120659 /nfs/dbraw/zinc/12/06/59/913120659.db2.gz CRWGEJSHWTYLAB-ZFWWWQNUSA-N 0 1 282.384 0.499 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C2(CCF)CC2)C1 ZINC001325115739 913225408 /nfs/dbraw/zinc/22/54/08/913225408.db2.gz WXSYCYXOIIDXIH-HNNXBMFYSA-N 0 1 282.359 0.703 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2occc2Cl)C1 ZINC001325127462 913235199 /nfs/dbraw/zinc/23/51/99/913235199.db2.gz VCEOSTOREIKLPE-ZDUSSCGKSA-N 0 1 282.727 0.733 20 30 CCEDMN C=CCC[C@@H](C)[N@@H+](C)CCNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001492830282 913244718 /nfs/dbraw/zinc/24/47/18/913244718.db2.gz DJYCXMOLGPQOOC-SNVBAGLBSA-N 0 1 297.359 0.092 20 30 CCEDMN CC(=NNc1ccc(C(N)=O)nn1)c1cnoc1C ZINC001325156915 913245320 /nfs/dbraw/zinc/24/53/20/913245320.db2.gz JAXITQQURQRDTO-UHFFFAOYSA-N 0 1 260.257 0.708 20 30 CCEDMN C=C[C@H](COC)NC(=O)[C@H](C)CN1CCOCC1 ZINC001325653315 913509907 /nfs/dbraw/zinc/50/99/07/913509907.db2.gz ATFLNRVCAYRHAG-VXGBXAGGSA-N 0 1 256.346 0.272 20 30 CCEDMN CN(CCNC(=O)C#CC(C)(C)C)CCNC(=O)C1CC1 ZINC001480862430 891404993 /nfs/dbraw/zinc/40/49/93/891404993.db2.gz RBZWLAAMTOKQSM-UHFFFAOYSA-N 0 1 293.411 0.610 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](CC(C)C)C(N)=O ZINC001282505257 891458140 /nfs/dbraw/zinc/45/81/40/891458140.db2.gz WXAPPEJLRUEWFI-OLZOCXBDSA-N 0 1 281.400 0.594 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](CNCc2nncn2C)C1 ZINC001283031546 891622227 /nfs/dbraw/zinc/62/22/27/891622227.db2.gz HESPGQVCYHCKHK-HAQNSBGRSA-N 0 1 293.371 0.002 20 30 CCEDMN CCC1(C(=O)NCCN2CCC(NCC#N)CC2)CC1 ZINC001325806925 913582593 /nfs/dbraw/zinc/58/25/93/913582593.db2.gz XNBFHVDTDFIJGJ-UHFFFAOYSA-N 0 1 278.400 0.870 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001283332525 891744482 /nfs/dbraw/zinc/74/44/82/891744482.db2.gz DMUBOKFOYKHPHK-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H](C)Cc1c(C)n[nH]c1C ZINC001480948945 891931033 /nfs/dbraw/zinc/93/10/33/891931033.db2.gz RRJKXPZBBPCIRT-LLVKDONJSA-N 0 1 276.384 0.886 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001480990818 892025189 /nfs/dbraw/zinc/02/51/89/892025189.db2.gz FQNAHANWCZGUBF-ZDUSSCGKSA-N 0 1 295.427 0.999 20 30 CCEDMN CCOCC(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#CCOC ZINC001325871869 913619390 /nfs/dbraw/zinc/61/93/90/913619390.db2.gz AIWIYKITVLWSML-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H]1CCOC[C@@H]1C ZINC001480999410 892039075 /nfs/dbraw/zinc/03/90/75/892039075.db2.gz RPIIAJLXVRILAY-GJZGRUSLSA-N 0 1 296.411 0.747 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1c2c(nn1C)CCC2 ZINC001481011665 892053480 /nfs/dbraw/zinc/05/34/80/892053480.db2.gz IWRVFYBEMKBTTK-UHFFFAOYSA-N 0 1 288.395 0.984 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)COCC=C ZINC001325884270 913623161 /nfs/dbraw/zinc/62/31/61/913623161.db2.gz VUDBFODVEGRNGQ-KGLIPLIRSA-N 0 1 262.353 0.888 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)Cc1[nH]cnc1C ZINC001481067715 892125337 /nfs/dbraw/zinc/12/53/37/892125337.db2.gz XEIQPTSOQMZRJZ-LBPRGKRZSA-N 0 1 260.341 0.474 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]([NH2+]Cc2nc(=O)n(C)[n-]2)[C@@H]1C ZINC001283863787 892485969 /nfs/dbraw/zinc/48/59/69/892485969.db2.gz CWHHCXUIYIJZRE-WDEREUQCSA-N 0 1 293.371 0.154 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@@H]2CCNC2=O)C1 ZINC001481404797 892616740 /nfs/dbraw/zinc/61/67/40/892616740.db2.gz SQRFECFOAYTEHG-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN(CCNC(=O)Cc1ccn(CC)n1)C1CC1 ZINC001493372994 892775155 /nfs/dbraw/zinc/77/51/55/892775155.db2.gz USKXZASLECVASC-UHFFFAOYSA-N 0 1 274.368 0.659 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cnn(CC)c2)C1 ZINC001481617222 892910847 /nfs/dbraw/zinc/91/08/47/892910847.db2.gz BBKJUWBPTVEKDS-UHFFFAOYSA-N 0 1 292.383 0.767 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCn2cc(C)cn2)C1 ZINC001481709849 893057540 /nfs/dbraw/zinc/05/75/40/893057540.db2.gz BMBZVBHCNJHHMV-CYBMUJFWSA-N 0 1 262.357 0.958 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CC[C@H]2CCOC2)C1 ZINC001481720552 893091385 /nfs/dbraw/zinc/09/13/85/893091385.db2.gz QLWOUSNGTQOZCJ-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H](C)C#N)NCc1ccc(OC)nn1 ZINC001481796063 893166642 /nfs/dbraw/zinc/16/66/42/893166642.db2.gz BTJKJRKGPAYFEP-MNOVXSKESA-N 0 1 291.355 0.629 20 30 CCEDMN C=CCCn1cc(C(=O)N(C)Cc2nnc[nH]2)nn1 ZINC001326159563 913782121 /nfs/dbraw/zinc/78/21/21/913782121.db2.gz JQPFQKOFVXAEQW-UHFFFAOYSA-N 0 1 261.289 0.245 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1CC(F)(F)C1 ZINC001284491587 893575315 /nfs/dbraw/zinc/57/53/15/893575315.db2.gz GFZSTFRAMKXOLN-NSHDSACASA-N 0 1 274.311 0.416 20 30 CCEDMN COc1nccc(CNC[C@@H](C)N(C)C(=O)[C@@H](C)C#N)n1 ZINC001482267058 893713229 /nfs/dbraw/zinc/71/32/29/893713229.db2.gz QMQWNWLWOOEHNR-WDEREUQCSA-N 0 1 291.355 0.581 20 30 CCEDMN CN(CC(=O)N(C)[C@@H]1CCC[C@@H]1C#N)[C@@H]1CCC[C@H]1O ZINC001363551809 893768435 /nfs/dbraw/zinc/76/84/35/893768435.db2.gz XUVGJKVNWGKHRU-AAVRWANBSA-N 0 1 279.384 0.982 20 30 CCEDMN C=CC[N@H+](C)C[C@H]1CCCN1C(=O)[C@@H]1CCN(C)C1=O ZINC001505443863 894216034 /nfs/dbraw/zinc/21/60/34/894216034.db2.gz SHBQUTXNOZFFRN-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cn(C)nc1C ZINC001482587121 894295897 /nfs/dbraw/zinc/29/58/97/894295897.db2.gz YRXAJJYQRZHWMH-UHFFFAOYSA-N 0 1 292.383 0.382 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)C[C@@H]1N[C@H](C)CC(C)(C)C#N ZINC000697293054 894316277 /nfs/dbraw/zinc/31/62/77/894316277.db2.gz UTSCKRKMTNKXRI-OUAUKWLOSA-N 0 1 274.386 0.716 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ccn(CC)n1 ZINC001482633551 894432357 /nfs/dbraw/zinc/43/23/57/894432357.db2.gz KPTSRCNXYNOFFG-UHFFFAOYSA-N 0 1 262.357 0.930 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](O)CNCc1ccc(F)cc1F ZINC001482716059 894512743 /nfs/dbraw/zinc/51/27/43/894512743.db2.gz LBSHXRWEBYVDST-JOYOIKCWSA-N 0 1 297.305 0.691 20 30 CCEDMN C=CCCC(=O)NC/C=C/CNCC(=O)N1CCC1 ZINC001482987606 894768493 /nfs/dbraw/zinc/76/84/93/894768493.db2.gz IUKFCKXAEWBMJV-SNAWJCMRSA-N 0 1 265.357 0.447 20 30 CCEDMN Cc1nccnc1CNCCN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001493520717 894812781 /nfs/dbraw/zinc/81/27/81/894812781.db2.gz HXXSVMILPKJABE-UHFFFAOYSA-N 0 1 298.350 0.847 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)[C@@H](C)C(C)C ZINC001483068195 894873115 /nfs/dbraw/zinc/87/31/15/894873115.db2.gz DWPNWDYKTCGSBL-FWWRYZNZSA-N 0 1 279.384 0.290 20 30 CCEDMN COc1nccc(CNC[C@@H](C)NC(=O)[C@@H](C)C#N)n1 ZINC001483116685 894939319 /nfs/dbraw/zinc/93/93/19/894939319.db2.gz MPRUPHZQQQEWGF-VHSXEESVSA-N 0 1 277.328 0.239 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cc3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001076051270 895014308 /nfs/dbraw/zinc/01/43/08/895014308.db2.gz NWVXVWVPCVSVKT-LSDHHAIUSA-N 0 1 299.374 0.886 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](C)NCc1ccn(C)n1 ZINC001507063895 895153977 /nfs/dbraw/zinc/15/39/77/895153977.db2.gz UEXGOHFZRGQXTK-GHMZBOCLSA-N 0 1 263.345 0.516 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@H]2[C@@H](CCN2CC(N)=O)C1 ZINC001496860505 895350299 /nfs/dbraw/zinc/35/02/99/895350299.db2.gz VEEACPNUQWOATQ-KBPBESRZSA-N 0 1 291.395 0.588 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CN(C)[C@H]1CCC(=O)NC1=O ZINC001483320663 895447362 /nfs/dbraw/zinc/44/73/62/895447362.db2.gz QXCYTFSUKWAXLD-QWRGUYRKSA-N 0 1 281.356 0.194 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CN(C)CCN1CCCC1=O ZINC001483331843 895466970 /nfs/dbraw/zinc/46/69/70/895466970.db2.gz UKUUCFJPCVWCKO-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)CC1OCCCO1 ZINC001483331692 895467328 /nfs/dbraw/zinc/46/73/28/895467328.db2.gz GYQJHBMPUGOOMJ-ZDUSSCGKSA-N 0 1 298.383 0.226 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cc(OC)ns1 ZINC001483338128 895474612 /nfs/dbraw/zinc/47/46/12/895474612.db2.gz AZFPMOWFZUYXAQ-SECBINFHSA-N 0 1 267.354 0.835 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCOC[C@@H]1CCCO1 ZINC001483355778 895495807 /nfs/dbraw/zinc/49/58/07/895495807.db2.gz HDQUGYMAGKBABQ-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1c(OC)ccnc1OC ZINC001483362987 895503401 /nfs/dbraw/zinc/50/34/01/895503401.db2.gz UTKWPMQRPLNQOC-LLVKDONJSA-N 0 1 291.351 0.782 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@]1(C)CCOC1 ZINC001483400185 895540928 /nfs/dbraw/zinc/54/09/28/895540928.db2.gz CTAKSJQZKMUQGG-GXTWGEPZSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CC[N@@H+](C)C[C@H](C)NC(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001483404110 895545304 /nfs/dbraw/zinc/54/53/04/895545304.db2.gz PMNTWZDCJLRNBP-XJKSGUPXSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001483404110 895545310 /nfs/dbraw/zinc/54/53/10/895545310.db2.gz PMNTWZDCJLRNBP-XJKSGUPXSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CC[N@H+](C)C[C@@H](C)NC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001483409398 895545370 /nfs/dbraw/zinc/54/53/70/895545370.db2.gz SPTTVAJEIFTSBM-NEPJUHHUSA-N 0 1 274.368 0.584 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001483409398 895545377 /nfs/dbraw/zinc/54/53/77/895545377.db2.gz SPTTVAJEIFTSBM-NEPJUHHUSA-N 0 1 274.368 0.584 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@H]1CCNC1=O ZINC001483655169 895803711 /nfs/dbraw/zinc/80/37/11/895803711.db2.gz LVUFGBGWWSFWHL-VXGBXAGGSA-N 0 1 265.357 0.279 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H]1CCN1C[C@H](O)COC ZINC001483671644 895828174 /nfs/dbraw/zinc/82/81/74/895828174.db2.gz CBCRGMSIMTUAEQ-KBPBESRZSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnn(C)n3)CCC[C@@H]12 ZINC000992333431 895905494 /nfs/dbraw/zinc/90/54/94/895905494.db2.gz WAMYSTVMEZBRFB-TZMCWYRMSA-N 0 1 273.340 0.175 20 30 CCEDMN Cc1ncc(CNC2(CNC(=O)[C@@H](C)C#N)CC2)cn1 ZINC001483723726 895918158 /nfs/dbraw/zinc/91/81/58/895918158.db2.gz WIGQZCDQFXDIEA-JTQLQIEISA-N 0 1 273.340 0.683 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)C2CC=CC2)CC1 ZINC001483725910 895921100 /nfs/dbraw/zinc/92/11/00/895921100.db2.gz XNWQURVWWKZQCG-GFCCVEGCSA-N 0 1 289.379 0.329 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC1(NCC(=O)NC(C)C)CC1 ZINC001483730255 895926406 /nfs/dbraw/zinc/92/64/06/895926406.db2.gz HIDQOVYCFUXYQM-AWEZNQCLSA-N 0 1 297.399 0.077 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2n[nH]cc2F)[C@@H](O)C1 ZINC001083758449 896032700 /nfs/dbraw/zinc/03/27/00/896032700.db2.gz CUOSVACKDOGZIJ-MNOVXSKESA-N 0 1 282.319 0.290 20 30 CCEDMN C#CCN(C(=O)Cc1ccn[nH]1)C1CC[NH+](CC#C)CC1 ZINC001483982595 896137583 /nfs/dbraw/zinc/13/75/83/896137583.db2.gz ZOHRTLWWFWZUEW-UHFFFAOYSA-N 0 1 284.363 0.512 20 30 CCEDMN C#CCN(C(=O)Cc1cc[nH]n1)C1CCN(CC#C)CC1 ZINC001483982595 896137588 /nfs/dbraw/zinc/13/75/88/896137588.db2.gz ZOHRTLWWFWZUEW-UHFFFAOYSA-N 0 1 284.363 0.512 20 30 CCEDMN C#CCN(C(=O)C(=O)C(C)(C)C)C1CCN(CCO)CC1 ZINC001483982239 896141455 /nfs/dbraw/zinc/14/14/55/896141455.db2.gz NOURDHLSNWYFKS-UHFFFAOYSA-N 0 1 294.395 0.520 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@](C)(NC(=O)[C@H](COC)OC)C1 ZINC001484056420 896178581 /nfs/dbraw/zinc/17/85/81/896178581.db2.gz BFXBVPWQCRPAEK-JSGCOSHPSA-N 0 1 270.373 0.805 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](C)NC(=O)CC ZINC001493554944 896202464 /nfs/dbraw/zinc/20/24/64/896202464.db2.gz MFEYCMKEQCGTBO-JTQLQIEISA-N 0 1 275.780 0.702 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](O)(CNC(=O)[C@H](F)C(C)C)C1 ZINC001484229464 896262405 /nfs/dbraw/zinc/26/24/05/896262405.db2.gz ALBDVVDORYAWCI-TZMCWYRMSA-N 0 1 270.348 0.557 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@H](F)C(C)C)C1 ZINC001484229464 896262417 /nfs/dbraw/zinc/26/24/17/896262417.db2.gz ALBDVVDORYAWCI-TZMCWYRMSA-N 0 1 270.348 0.557 20 30 CCEDMN C#CC[N@@H+]1CC[C@](O)(CNC(=O)c2ccc(C#C)cc2)C1 ZINC001484230338 896265065 /nfs/dbraw/zinc/26/50/65/896265065.db2.gz QBMQVBIZCACDAA-KRWDZBQOSA-N 0 1 282.343 0.468 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccc(C#C)cc2)C1 ZINC001484230338 896265080 /nfs/dbraw/zinc/26/50/80/896265080.db2.gz QBMQVBIZCACDAA-KRWDZBQOSA-N 0 1 282.343 0.468 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@@]2(O)CCN(CC#CC)C2)c1 ZINC001484234223 896269856 /nfs/dbraw/zinc/26/98/56/896269856.db2.gz ANGUDOWMHFGYKK-KRWDZBQOSA-N 0 1 297.358 0.253 20 30 CCEDMN C[C@@H]1CO[C@@H](C(=O)NCCN2CCC(NCC#N)CC2)C1 ZINC001484420152 896384820 /nfs/dbraw/zinc/38/48/20/896384820.db2.gz UEGPPLNTHNWKMK-GXTWGEPZSA-N 0 1 294.399 0.105 20 30 CCEDMN Cc1cc(CNCCN(CCO)C(=O)[C@@H](C)C#N)on1 ZINC001484478691 896430680 /nfs/dbraw/zinc/43/06/80/896430680.db2.gz YMXLLEVGJIPWIY-JTQLQIEISA-N 0 1 280.328 0.053 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCn3ccnc3C2)CC1 ZINC001326657401 914081848 /nfs/dbraw/zinc/08/18/48/914081848.db2.gz UONRRGVJDPFFPO-GFCCVEGCSA-N 0 1 287.367 0.550 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CCN(C)C1=O ZINC001485066820 896743150 /nfs/dbraw/zinc/74/31/50/896743150.db2.gz BTCIQHPCZIVPLA-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CN(C)CCO1 ZINC001485053394 896744121 /nfs/dbraw/zinc/74/41/21/896744121.db2.gz ASUNJSJDCSHSLW-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN CN(CC#N)C[C@H]1CCCN1C(=O)CCc1c[nH]nn1 ZINC001485069317 896747088 /nfs/dbraw/zinc/74/70/88/896747088.db2.gz LZCOGRMIBXJYHO-GFCCVEGCSA-N 0 1 276.344 0.184 20 30 CCEDMN CN(CC#N)C[C@H]1CCCN1C(=O)CCc1cnn[nH]1 ZINC001485069317 896747111 /nfs/dbraw/zinc/74/71/11/896747111.db2.gz LZCOGRMIBXJYHO-GFCCVEGCSA-N 0 1 276.344 0.184 20 30 CCEDMN C#CC[N@H+](C)[C@@H](C)CNC(=O)C[C@@](C)(O)C1CC1 ZINC001485297227 896924928 /nfs/dbraw/zinc/92/49/28/896924928.db2.gz VHTCIRLHGRITQK-SMDDNHRTSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C[C@@](C)(O)C1CC1 ZINC001485297227 896924934 /nfs/dbraw/zinc/92/49/34/896924934.db2.gz VHTCIRLHGRITQK-SMDDNHRTSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccc2n[nH]nc2n1 ZINC001485309311 896937334 /nfs/dbraw/zinc/93/73/34/896937334.db2.gz KBEPNFXORLIRPJ-VIFPVBQESA-N 0 1 272.312 0.036 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)CO[C@@H]1CCOC1 ZINC001485477682 897067467 /nfs/dbraw/zinc/06/74/67/897067467.db2.gz XAUMNZSBIUGCFN-LSDHHAIUSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCN(C)CCNC(=O)c1n[nH]c2c1CCCCC2 ZINC001492858050 914125372 /nfs/dbraw/zinc/12/53/72/914125372.db2.gz WMNJCWWDOVVOGD-UHFFFAOYSA-N 0 1 274.368 0.973 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)C1CN(CCN2CCNC2=O)C1 ZINC001485499634 897087966 /nfs/dbraw/zinc/08/79/66/897087966.db2.gz TXEBACKVXYLYSI-LBPRGKRZSA-N 0 1 294.399 0.414 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001485529748 897101399 /nfs/dbraw/zinc/10/13/99/897101399.db2.gz MNQJDDZEHYISDG-CYBMUJFWSA-N 0 1 295.427 0.720 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001485526251 897108914 /nfs/dbraw/zinc/10/89/14/897108914.db2.gz IBASKTUEEDYVKE-ZYHUDNBSSA-N 0 1 265.357 0.278 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1C[N@H+](CCOC)CC1(C)C ZINC001485569705 897119403 /nfs/dbraw/zinc/11/94/03/897119403.db2.gz NFNIEWASXXGOOQ-CYBMUJFWSA-N 0 1 282.384 0.499 20 30 CCEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)nn1 ZINC001032389302 897410264 /nfs/dbraw/zinc/41/02/64/897410264.db2.gz RSJKOGZRCZEOFE-RYUDHWBXSA-N 0 1 275.356 0.680 20 30 CCEDMN Cc1cc(NC[C@@H](C)NC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001107894294 897455779 /nfs/dbraw/zinc/45/57/79/897455779.db2.gz GYKZFJMHNAOJMH-SECBINFHSA-N 0 1 285.311 0.032 20 30 CCEDMN Cc1cc(NC[C@@H](C)NC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001107894294 897455792 /nfs/dbraw/zinc/45/57/92/897455792.db2.gz GYKZFJMHNAOJMH-SECBINFHSA-N 0 1 285.311 0.032 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@]1(C)CN(CC2CC2)CCO1 ZINC001107940198 897527200 /nfs/dbraw/zinc/52/72/00/897527200.db2.gz PZWJVROMLDHXAF-BBRMVZONSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001032455826 897592155 /nfs/dbraw/zinc/59/21/55/897592155.db2.gz BKJWEPXJXJEILL-WUHRBBMRSA-N 0 1 270.336 0.432 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCN(C)C1=O ZINC001032463533 897605659 /nfs/dbraw/zinc/60/56/59/897605659.db2.gz XFKCHCJVDVDLLX-RDBSUJKOSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cn1nc(C)cc1C ZINC001032508444 897667677 /nfs/dbraw/zinc/66/76/77/897667677.db2.gz CBULEEQTAZWMTG-GJZGRUSLSA-N 0 1 286.379 0.808 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCCC(=O)N1 ZINC001032509975 897672455 /nfs/dbraw/zinc/67/24/55/897672455.db2.gz DEFJRXZENNJKKQ-RDBSUJKOSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCCC(=O)N1 ZINC001032509974 897673517 /nfs/dbraw/zinc/67/35/17/897673517.db2.gz DEFJRXZENNJKKQ-IHRRRGAJSA-N 0 1 289.379 0.354 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(C)CNC(=O)C1 ZINC001032538044 897717124 /nfs/dbraw/zinc/71/71/24/897717124.db2.gz IMORPAPFVQBYEL-HUBLWGQQSA-N 0 1 277.368 0.374 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2c[nH]nc21 ZINC001032553799 897736471 /nfs/dbraw/zinc/73/64/71/897736471.db2.gz KCFRXXHGCYUDRE-RYUDHWBXSA-N 0 1 281.319 0.985 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2n[nH]cc21 ZINC001032553289 897737419 /nfs/dbraw/zinc/73/74/19/897737419.db2.gz VWVVJZFPBYFFFY-QWRGUYRKSA-N 0 1 281.319 0.985 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(CCC)[nH]n1 ZINC001032553962 897738126 /nfs/dbraw/zinc/73/81/26/897738126.db2.gz NPBLNBHDESQQKM-STQMWFEESA-N 0 1 272.352 0.894 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCN(C)C1=O ZINC001032576560 897770141 /nfs/dbraw/zinc/77/01/41/897770141.db2.gz FTHIHYYZLFNCFJ-AVGNSLFASA-N 0 1 277.368 0.326 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1CN2CC ZINC001032637114 897866467 /nfs/dbraw/zinc/86/64/67/897866467.db2.gz BPCLPPIWQCHKAF-RVMXOQNASA-N 0 1 250.342 0.883 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccnn1 ZINC001032695729 897934728 /nfs/dbraw/zinc/93/47/28/897934728.db2.gz VMNQTILLEQJXTD-RYUDHWBXSA-N 0 1 258.325 0.951 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2nccs2)C1 ZINC001077885607 897995781 /nfs/dbraw/zinc/99/57/81/897995781.db2.gz VPXDDEFDSYKFPZ-NXEZZACHSA-N 0 1 267.354 0.494 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3nccn3CC)C2)C1=O ZINC001272827643 898017374 /nfs/dbraw/zinc/01/73/74/898017374.db2.gz VNRYWOADAVWYQK-MRXNPFEDSA-N 0 1 286.379 0.961 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3C[C@@H](C)OC)nc1 ZINC001032738207 898044367 /nfs/dbraw/zinc/04/43/67/898044367.db2.gz SRJOTDDFVYUGGG-SNPRPXQTSA-N 0 1 299.374 0.997 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2oc(CC)nc2C)C1 ZINC001077948618 898097017 /nfs/dbraw/zinc/09/70/17/898097017.db2.gz KKZBPLAQOVYXEG-VXGBXAGGSA-N 0 1 293.367 0.896 20 30 CCEDMN CCOC(=O)CN1CC[C@]2(CCN(CCCC#N)C2=O)C1 ZINC001272889134 898124801 /nfs/dbraw/zinc/12/48/01/898124801.db2.gz ISOSNOMFCOAAGC-HNNXBMFYSA-N 0 1 293.367 0.778 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3nc(C)c[nH]3)C2)C1=O ZINC001272908158 898154073 /nfs/dbraw/zinc/15/40/73/898154073.db2.gz QFFULIBCQBMMJT-HNNXBMFYSA-N 0 1 272.352 0.776 20 30 CCEDMN C=C1CC(C)(C(=O)NCC[C@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001078274128 898320079 /nfs/dbraw/zinc/32/00/79/898320079.db2.gz WTRDTQAKXAEOMF-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN C=C1CC(C)(C(=O)NCC[C@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001078274128 898320092 /nfs/dbraw/zinc/32/00/92/898320092.db2.gz WTRDTQAKXAEOMF-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)c(=O)n1C ZINC001032805649 898394847 /nfs/dbraw/zinc/39/48/47/898394847.db2.gz XECUUCONMSMGDF-RYUDHWBXSA-N 0 1 290.367 0.199 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2C[C@H](NCC#N)C23CCC3)[nH]n1 ZINC001078595271 898422023 /nfs/dbraw/zinc/42/20/23/898422023.db2.gz WSIUAJMKRSNLRJ-STQMWFEESA-N 0 1 287.367 0.801 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](C)NCc1nccn1C ZINC001485854432 898462034 /nfs/dbraw/zinc/46/20/34/898462034.db2.gz DCBQNVURHFCTMY-GHMZBOCLSA-N 0 1 263.345 0.516 20 30 CCEDMN C[C@H](CN(C)C(=O)[C@@H](C)C#N)NCc1nccn1C ZINC001485854436 898463734 /nfs/dbraw/zinc/46/37/34/898463734.db2.gz DCBQNVURHFCTMY-WDEREUQCSA-N 0 1 263.345 0.516 20 30 CCEDMN CCn1nncc1CN[C@H](C)CN(C)C(=O)C#CC1CC1 ZINC001485872810 898481475 /nfs/dbraw/zinc/48/14/75/898481475.db2.gz SNVZNBJBMSZXQC-GFCCVEGCSA-N 0 1 289.383 0.648 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1ccc(C(N)=O)[nH]1 ZINC001485923717 898507777 /nfs/dbraw/zinc/50/77/77/898507777.db2.gz LICDUQBCDIALPS-SECBINFHSA-N 0 1 298.774 0.916 20 30 CCEDMN O=C(NC[C@@H](CO)NCC#Cc1ccc(F)cc1)C1CC1 ZINC001485967237 898538605 /nfs/dbraw/zinc/53/86/05/898538605.db2.gz MRWRMKLQKMCZAO-HNNXBMFYSA-N 0 1 290.338 0.654 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@](C)(F)CCCC ZINC001486010673 898605741 /nfs/dbraw/zinc/60/57/41/898605741.db2.gz LYZZBNKYYIOOBR-AAEUAGOBSA-N 0 1 258.337 0.605 20 30 CCEDMN C=CCCC(=O)N(C)C[C@@H](O)CNCc1ocnc1C ZINC001486266582 898748961 /nfs/dbraw/zinc/74/89/61/898748961.db2.gz VVFOTHAZHSUMHH-LBPRGKRZSA-N 0 1 281.356 0.858 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C1(CF)CCC1 ZINC001486351179 898806793 /nfs/dbraw/zinc/80/67/93/898806793.db2.gz QFSUIYIFFQJSHB-ZDUSSCGKSA-N 0 1 284.375 0.901 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H]1CN(C(=O)c2cc[nH]n2)CCO1 ZINC001410158325 899245423 /nfs/dbraw/zinc/24/54/23/899245423.db2.gz PJTTXRWPTWMNPV-CMPLNLGQSA-N 0 1 298.774 0.981 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001324028393 899564583 /nfs/dbraw/zinc/56/45/83/899564583.db2.gz PJWCQXVGEALPKJ-CYBMUJFWSA-N 0 1 298.431 0.513 20 30 CCEDMN Cc1oncc1CNC[C@@H](O)CN(C)C(=O)[C@H](C)C#N ZINC001410981535 899649059 /nfs/dbraw/zinc/64/90/59/899649059.db2.gz MWEOOGGJKPNZKF-BXKDBHETSA-N 0 1 280.328 0.052 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@H](NC(=O)COC)CC1 ZINC001327093655 914367848 /nfs/dbraw/zinc/36/78/48/914367848.db2.gz FFVKFJWWUZNXGT-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)[C@H]2CCCO2)C1 ZINC001325817100 900024973 /nfs/dbraw/zinc/02/49/73/900024973.db2.gz FTMSQHGOIDWNIY-UKRRQHHQSA-N 0 1 280.368 0.132 20 30 CCEDMN CC(=O)Nc1cc(NS(=O)(=O)[C@@H](C)C#N)ccn1 ZINC001193107663 900033557 /nfs/dbraw/zinc/03/35/57/900033557.db2.gz ZJBOIMVMQSCDKX-ZETCQYMHSA-N 0 1 268.298 0.694 20 30 CCEDMN Cc1nc2c(C)cc(NS(=O)(=O)[C@@H](C)C#N)cn2n1 ZINC001193107656 900033798 /nfs/dbraw/zinc/03/37/98/900033798.db2.gz ZGWQEZIUNALPOE-QMMMGPOBSA-N 0 1 279.325 1.000 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[C@@H](C)N(C(=O)c2ccn[nH]2)C1 ZINC001488169427 900239562 /nfs/dbraw/zinc/23/95/62/900239562.db2.gz MQCUNYKWZHVNQQ-VXGBXAGGSA-N 0 1 288.351 0.932 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H](C)CC)C1 ZINC001489148621 900439390 /nfs/dbraw/zinc/43/93/90/900439390.db2.gz DBGRNTQURQREJS-UONOGXRCSA-N 0 1 266.385 0.951 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)COC[C@H]2CCCO2)[C@H]1C ZINC001489313347 900465448 /nfs/dbraw/zinc/46/54/48/900465448.db2.gz IJWIDTZYNBOGAZ-KFWWJZLASA-N 0 1 294.395 0.784 20 30 CCEDMN Cc1nc(CN(C)CCCNC(=O)C#CC2CC2)n[nH]1 ZINC001490193399 900565415 /nfs/dbraw/zinc/56/54/15/900565415.db2.gz PBNQZUYJONQQSK-UHFFFAOYSA-N 0 1 275.356 0.465 20 30 CCEDMN CC(C)C#CC(=O)NCCCN(C)CC(=O)NCC1CC1 ZINC001490190079 900565614 /nfs/dbraw/zinc/56/56/14/900565614.db2.gz XNIUPKYKMCZUJL-UHFFFAOYSA-N 0 1 293.411 0.610 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)C(=O)NCC(F)F)C1 ZINC001490441443 900618853 /nfs/dbraw/zinc/61/88/53/900618853.db2.gz BXGAMDRZPQNBOP-SNVBAGLBSA-N 0 1 289.326 0.524 20 30 CCEDMN C=C(CN(C)C)C(=O)N1CCOC[C@@H]1[C@H]1CCCC1=O ZINC001154052635 900811858 /nfs/dbraw/zinc/81/18/58/900811858.db2.gz AMXLTDJTKGHSDD-CHWSQXEVSA-N 0 1 280.368 0.701 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H]2CN(C(=O)CC)CC[C@H]21 ZINC001320093661 900915028 /nfs/dbraw/zinc/91/50/28/900915028.db2.gz ABPKIXOSVFWBSQ-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@@H](CNC(=O)[C@H](O)C(C)C)O2 ZINC001327349001 914490089 /nfs/dbraw/zinc/49/00/89/914490089.db2.gz FRBZTBXBEVKFPA-UONOGXRCSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cncc(-n2ccnn2)c1 ZINC001275606977 901147319 /nfs/dbraw/zinc/14/73/19/901147319.db2.gz JJLYFNFVOSUHOC-GFCCVEGCSA-N 0 1 298.350 0.346 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)N1CC[N@H+](C)[C@H](C)C1 ZINC001412531527 901795721 /nfs/dbraw/zinc/79/57/21/901795721.db2.gz IFMJWRQTIFDMPA-SNVBAGLBSA-N 0 1 274.324 0.743 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)N1CC[N@@H+](C)[C@H](C)C1 ZINC001412531527 901795729 /nfs/dbraw/zinc/79/57/29/901795729.db2.gz IFMJWRQTIFDMPA-SNVBAGLBSA-N 0 1 274.324 0.743 20 30 CCEDMN CN(CCC[NH+]1CCN(C)CC1)c1cnc(C#N)c(C#N)n1 ZINC001412867461 902317491 /nfs/dbraw/zinc/31/74/91/902317491.db2.gz AKLHSXLYWHXTBB-UHFFFAOYSA-N 0 1 299.382 0.294 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCCN(C(=O)CCC)C1 ZINC001492870899 914625497 /nfs/dbraw/zinc/62/54/97/914625497.db2.gz HUSJNFDWJOHCIF-CQSZACIVSA-N 0 1 293.411 0.706 20 30 CCEDMN CC(C)(C[C@@H]1CCCN(CC(=O)NCC#N)C1)C(N)=O ZINC001460128098 902627512 /nfs/dbraw/zinc/62/75/12/902627512.db2.gz KBVQSPUZTPIYJA-NSHDSACASA-N 0 1 280.372 0.240 20 30 CCEDMN CC(C)(C[C@H]1CCCN(CC(=O)NCC#N)C1)C(N)=O ZINC001460127701 902627746 /nfs/dbraw/zinc/62/77/46/902627746.db2.gz KBVQSPUZTPIYJA-LLVKDONJSA-N 0 1 280.372 0.240 20 30 CCEDMN Cc1nc(CN2CC(N(C)C(=O)c3ccc(C#N)[nH]3)C2)n[nH]1 ZINC001042628942 902678820 /nfs/dbraw/zinc/67/88/20/902678820.db2.gz POASOJYTFPSMKL-UHFFFAOYSA-N 0 1 299.338 0.269 20 30 CCEDMN CN(CC(=O)N1CCC[C@@H](CC#N)C1)[C@@H]1CCC[C@H]1O ZINC001413259412 902825841 /nfs/dbraw/zinc/82/58/41/902825841.db2.gz UDXKYLQTVXESPF-BFHYXJOUSA-N 0 1 279.384 0.984 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NCCNC(=O)C#CC1CC1 ZINC001491278129 903480285 /nfs/dbraw/zinc/48/02/85/903480285.db2.gz NTGWXLPWDFEGKZ-NSHDSACASA-N 0 1 288.351 0.234 20 30 CCEDMN C[C@H](CCCNC(=O)c1cnn[nH]1)NC(=O)C#CC1CC1 ZINC001280820475 904086500 /nfs/dbraw/zinc/08/65/00/904086500.db2.gz VZQMPNZTMFXRAE-SNVBAGLBSA-N 0 1 289.339 0.233 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](NC(=O)CC)CC1 ZINC001280689957 904139634 /nfs/dbraw/zinc/13/96/34/904139634.db2.gz ANUPJHTWTZMWAP-QWHCGFSZSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@]1(F)CCOC1 ZINC001316607657 904178351 /nfs/dbraw/zinc/17/83/51/904178351.db2.gz TWBFPFZBSHBNMY-GXTWGEPZSA-N 0 1 268.332 0.671 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@H](NCc2cnnn2C)C1 ZINC001281379033 904270017 /nfs/dbraw/zinc/27/00/17/904270017.db2.gz RIAROCGVTPDPPK-WCQYABFASA-N 0 1 277.372 0.718 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001281440571 904285778 /nfs/dbraw/zinc/28/57/78/904285778.db2.gz ZLKYAQRJAJKZJQ-NXEZZACHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001281440571 904285793 /nfs/dbraw/zinc/28/57/93/904285793.db2.gz ZLKYAQRJAJKZJQ-NXEZZACHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](NC(=O)CC)C[C@@H]1C ZINC001281643540 904315699 /nfs/dbraw/zinc/31/56/99/904315699.db2.gz BVUNBYCYTMCNEI-RYUDHWBXSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H](OC)C2CCC2)CC1 ZINC001281806803 904350442 /nfs/dbraw/zinc/35/04/42/904350442.db2.gz UTRMNAKFUXWJKN-AWEZNQCLSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cnc(C)n1C ZINC001281952832 904370791 /nfs/dbraw/zinc/37/07/91/904370791.db2.gz PUUHQPVQCDVEET-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCC[N@H+](C)C[C@@H]1CCCN1C(=O)c1n[nH]c(C)c1[O-] ZINC001281929063 904371583 /nfs/dbraw/zinc/37/15/83/904371583.db2.gz OAKDODYWEFOEFC-LBPRGKRZSA-N 0 1 290.367 0.983 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1conc1C(C)C ZINC001332632672 904536982 /nfs/dbraw/zinc/53/69/82/904536982.db2.gz OIVPKNIPGQRXNS-GFCCVEGCSA-N 0 1 293.367 0.796 20 30 CCEDMN COCCCN1CCO[C@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001282775228 904539241 /nfs/dbraw/zinc/53/92/41/904539241.db2.gz OVMMZAHBPZJFSJ-DZGCQCFKSA-N 0 1 295.383 0.486 20 30 CCEDMN C[C@H](CCCNCC#N)NC(=O)C1=NC(=O)N(C)C1 ZINC001283232800 904772735 /nfs/dbraw/zinc/77/27/35/904772735.db2.gz OGEFKIQBLJNSNN-SECBINFHSA-N 0 1 265.317 0.137 20 30 CCEDMN C[C@@H](CCCNCC#N)NC(=O)C1=NC(=O)N(C)C1 ZINC001283232802 904773046 /nfs/dbraw/zinc/77/30/46/904773046.db2.gz OGEFKIQBLJNSNN-VIFPVBQESA-N 0 1 265.317 0.137 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNC(=O)c1n[nH]c2ccccc21 ZINC001283317407 904801990 /nfs/dbraw/zinc/80/19/90/904801990.db2.gz GUBBJRAOFRHMDD-SNVBAGLBSA-N 0 1 299.334 0.959 20 30 CCEDMN CC#CC[N@H+](C)CCOCCNC(=O)c1cn(CC)cn1 ZINC001283422765 904843271 /nfs/dbraw/zinc/84/32/71/904843271.db2.gz QWQYEQHFFJMRLD-UHFFFAOYSA-N 0 1 292.383 0.605 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cn(CC)cn1 ZINC001283422765 904843292 /nfs/dbraw/zinc/84/32/92/904843292.db2.gz QWQYEQHFFJMRLD-UHFFFAOYSA-N 0 1 292.383 0.605 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@@H]1CCN(C)C1=O ZINC001377476357 904924169 /nfs/dbraw/zinc/92/41/69/904924169.db2.gz QUOINXIZGUAPIP-VXGBXAGGSA-N 0 1 280.372 0.205 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(C)sn1 ZINC001283745968 904989530 /nfs/dbraw/zinc/98/95/30/904989530.db2.gz UROIARVDKYFKFB-SNVBAGLBSA-N 0 1 267.354 0.155 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1CC2(CC2)C1 ZINC001283803903 905022106 /nfs/dbraw/zinc/02/21/06/905022106.db2.gz LKELKWAJDYWEBJ-LBPRGKRZSA-N 0 1 250.342 0.267 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1conc1C1CC1 ZINC001283805035 905027541 /nfs/dbraw/zinc/02/75/41/905027541.db2.gz VBABTABADMGGFJ-NSHDSACASA-N 0 1 277.324 0.256 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001283995146 905107910 /nfs/dbraw/zinc/10/79/10/905107910.db2.gz BCAVPIDIAYWHNY-NSHDSACASA-N 0 1 288.351 0.790 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CN1CCOCC1 ZINC001377793483 905158277 /nfs/dbraw/zinc/15/82/77/905158277.db2.gz XVTHZXHACBPEKR-NEPJUHHUSA-N 0 1 289.807 0.554 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCc2cn(C)nn2)[C@H]1C ZINC001284148396 905160184 /nfs/dbraw/zinc/16/01/84/905160184.db2.gz JZNLLDMZBRHVBO-TZMCWYRMSA-N 0 1 289.383 0.350 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ccc(C(N)=O)[nH]1 ZINC001377848712 905187164 /nfs/dbraw/zinc/18/71/64/905187164.db2.gz FZPJQNNYEKIGNE-RKDXNWHRSA-N 0 1 298.774 0.963 20 30 CCEDMN C=CCCCC(=O)N[C@H](C)CNC(=O)Cc1n[nH]c(C)n1 ZINC001284236807 905213914 /nfs/dbraw/zinc/21/39/14/905213914.db2.gz GMEOCAPZXRGLEM-SNVBAGLBSA-N 0 1 293.371 0.633 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001284254350 905221625 /nfs/dbraw/zinc/22/16/25/905221625.db2.gz FZKBSUWJGSQPGE-VIFPVBQESA-N 0 1 265.317 0.396 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001284254350 905221642 /nfs/dbraw/zinc/22/16/42/905221642.db2.gz FZKBSUWJGSQPGE-VIFPVBQESA-N 0 1 265.317 0.396 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1c(C)ccn1C ZINC001284491208 905319321 /nfs/dbraw/zinc/31/93/21/905319321.db2.gz FIMUHEQOEOPYAU-ZDUSSCGKSA-N 0 1 277.368 0.331 20 30 CCEDMN C#CCC[N@@H+](C)C[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001284492907 905324495 /nfs/dbraw/zinc/32/44/95/905324495.db2.gz QDVADJCKOFLMSX-LBPRGKRZSA-N 0 1 291.351 0.175 20 30 CCEDMN C#CCC[N@H+](C)C[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001284492907 905324510 /nfs/dbraw/zinc/32/45/10/905324510.db2.gz QDVADJCKOFLMSX-LBPRGKRZSA-N 0 1 291.351 0.175 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@]12CCC[C@H]1OCC2 ZINC001284506570 905339672 /nfs/dbraw/zinc/33/96/72/905339672.db2.gz VEWOOSQNRKHRGM-LZWOXQAQSA-N 0 1 294.395 0.330 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@@H]1CCN(C)C(=O)C1)C(C)C ZINC001284537688 905365825 /nfs/dbraw/zinc/36/58/25/905365825.db2.gz QDYVJXQNXXXSBU-CQSZACIVSA-N 0 1 293.411 0.657 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC1CN(C(=O)c2ccn[nH]2)C1 ZINC001284888972 905462315 /nfs/dbraw/zinc/46/23/15/905462315.db2.gz XHKUTQIBJIIYCB-SNVBAGLBSA-N 0 1 292.339 0.332 20 30 CCEDMN COCC#CCN1CC=C(CNC(=O)c2cnco2)CC1 ZINC001284938165 905494796 /nfs/dbraw/zinc/49/47/96/905494796.db2.gz KXKMTSNURSFCCA-UHFFFAOYSA-N 0 1 289.335 0.686 20 30 CCEDMN CC[C@@H](CNC(=O)C#CC(C)C)NC(=O)[C@H]1CCCN1C ZINC001285052873 905535906 /nfs/dbraw/zinc/53/59/06/905535906.db2.gz XQFWEBFYFLCVJJ-UONOGXRCSA-N 0 1 293.411 0.751 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)[C@@H](C)NC(=O)CN1CCCC1 ZINC001285322476 905595384 /nfs/dbraw/zinc/59/53/84/905595384.db2.gz QNOSTYMWKWDMNI-OLZOCXBDSA-N 0 1 297.399 0.294 20 30 CCEDMN CC(C)n1ncnc1CNC[C@@H](C)CNC(=O)[C@H](C)C#N ZINC001378549906 905673572 /nfs/dbraw/zinc/67/35/72/905673572.db2.gz HDHHXMAMKFRMQE-VXGBXAGGSA-N 0 1 292.387 0.861 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC=CCNC(=O)c1[nH]ncc1F ZINC001285609919 905683991 /nfs/dbraw/zinc/68/39/91/905683991.db2.gz PCHJMUQTCYHMPZ-KZUAUGPASA-N 0 1 279.275 0.111 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)N(C)C(=O)c1ccn[nH]1 ZINC001285656278 905707794 /nfs/dbraw/zinc/70/77/94/905707794.db2.gz XHUUVFLPOSPLDL-LLVKDONJSA-N 0 1 276.340 0.790 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1(NC(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001285952779 905822203 /nfs/dbraw/zinc/82/22/03/905822203.db2.gz PTTCVYMKBYHNRR-NXEZZACHSA-N 0 1 295.339 0.629 20 30 CCEDMN CCn1cc(CN[C@@H](CNC(=O)[C@@H](C)C#N)C(C)C)nn1 ZINC001378958565 905899390 /nfs/dbraw/zinc/89/93/90/905899390.db2.gz CBLLOQUHTCQSLZ-AAEUAGOBSA-N 0 1 292.387 0.688 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cnn(C)c1C ZINC001379165458 906029166 /nfs/dbraw/zinc/02/91/66/906029166.db2.gz MHLWXTSMVIUZQC-JTQLQIEISA-N 0 1 286.763 0.161 20 30 CCEDMN N#Cc1cccc(CN[C@@H](CO)CNC(=O)CC2CC2)c1 ZINC001379333741 906153032 /nfs/dbraw/zinc/15/30/32/906153032.db2.gz LNPHLADVCRTNKK-OAHLLOKOSA-N 0 1 287.363 0.925 20 30 CCEDMN CC(C)C[C@@H](C#N)NC(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001292531573 906260146 /nfs/dbraw/zinc/26/01/46/906260146.db2.gz HJMITUZWCSJUIZ-YNEHKIRRSA-N 0 1 267.373 0.886 20 30 CCEDMN N#CCN(CC#N)C(=O)c1nc[nH]c(=O)c1Br ZINC001292650171 906315777 /nfs/dbraw/zinc/31/57/77/906315777.db2.gz HWKVGUXBZZUGTK-UHFFFAOYSA-N 0 1 296.084 0.434 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCCC(N)=O)C1 ZINC001379590945 906367640 /nfs/dbraw/zinc/36/76/40/906367640.db2.gz VDYWYOSBDAMQGW-WDEREUQCSA-N 0 1 287.791 0.974 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NCC(=O)N(C)CC2CC2)CC1 ZINC001380067958 906623078 /nfs/dbraw/zinc/62/30/78/906623078.db2.gz OVXHUEVDTYIXSL-LLVKDONJSA-N 0 1 292.383 0.253 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)N(C)CCNC(=O)Cc1cnc[nH]1 ZINC001296253162 906885492 /nfs/dbraw/zinc/88/54/92/906885492.db2.gz JBESBACDSKVUTM-RYUDHWBXSA-N 0 1 292.383 0.985 20 30 CCEDMN C=CCCC(=O)N(CC)CCNC(=O)Cc1cnc[nH]1 ZINC001296385609 906910962 /nfs/dbraw/zinc/91/09/62/906910962.db2.gz WGLLDLIDSQEPEA-UHFFFAOYSA-N 0 1 278.356 0.883 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)CCN1CC[C@@H](F)C1 ZINC001297802290 907118148 /nfs/dbraw/zinc/11/81/48/907118148.db2.gz OCLXJJYKBVBAOB-NEPJUHHUSA-N 0 1 258.337 0.864 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CS(=O)(=O)CC ZINC001381447815 907209313 /nfs/dbraw/zinc/20/93/13/907209313.db2.gz HWUQYLDZJSCWFI-NXEZZACHSA-N 0 1 296.820 0.656 20 30 CCEDMN C#CCN(CC#CC)c1nnc(-c2c[nH]nn2)n1C ZINC001337927346 921303684 /nfs/dbraw/zinc/30/36/84/921303684.db2.gz BWOGJPCIJSRYHS-UHFFFAOYSA-N 0 1 255.285 0.063 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001298820046 907336402 /nfs/dbraw/zinc/33/64/02/907336402.db2.gz BUTSBXAKYNPIDN-UWVGGRQHSA-N 0 1 279.344 0.642 20 30 CCEDMN C=CCCCC(=O)NC[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001298900621 907352011 /nfs/dbraw/zinc/35/20/11/907352011.db2.gz XMEHXIGKQOGGAV-NSHDSACASA-N 0 1 278.356 0.929 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCCN(C(=O)c2cnco2)C1 ZINC001491942530 907650058 /nfs/dbraw/zinc/65/00/58/907650058.db2.gz FBHNNZULFVDUGK-CYBMUJFWSA-N 0 1 291.351 0.861 20 30 CCEDMN C#Cc1ccc(N2C[C@H](C)N(CCO)C[C@H]2C)nc1 ZINC001338029205 921344985 /nfs/dbraw/zinc/34/49/85/921344985.db2.gz ZMFULODPQZDDCM-QWHCGFSZSA-N 0 1 259.353 0.954 20 30 CCEDMN C=C(Cl)CN(C)CCNC(=O)[C@H]1CCCN1C ZINC001492214809 907766181 /nfs/dbraw/zinc/76/61/81/907766181.db2.gz DIGURGRPQRFLJH-LLVKDONJSA-N 0 1 259.781 0.881 20 30 CCEDMN C=C(C)C[N@@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001492227531 907780631 /nfs/dbraw/zinc/78/06/31/907780631.db2.gz YJOJUEOEQDHCDV-UHFFFAOYSA-N 0 1 252.318 0.661 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cccnc1OC ZINC001492402772 907904506 /nfs/dbraw/zinc/90/45/06/907904506.db2.gz UPGROFZXLVCTHU-UHFFFAOYSA-N 0 1 291.351 0.402 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N1CCC[C@H]1c1nnc[nH]1 ZINC001301212991 907957944 /nfs/dbraw/zinc/95/79/44/907957944.db2.gz JECCENSSBYBJGJ-JTQLQIEISA-N 0 1 278.312 0.978 20 30 CCEDMN N#C[C@@H](NC(=O)CCCc1nn[nH]n1)C1CCCC1 ZINC001303059737 908059442 /nfs/dbraw/zinc/05/94/42/908059442.db2.gz BKHIEJXIKIEUEH-SNVBAGLBSA-N 0 1 262.317 0.721 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCc1cnc(CC)[nH]1 ZINC001303974777 908130107 /nfs/dbraw/zinc/13/01/07/908130107.db2.gz NBKIMMMTNPPGIH-LBPRGKRZSA-N 0 1 260.341 0.686 20 30 CCEDMN CCN(C(=O)c1cnc(C)[nH]1)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001384665841 908271810 /nfs/dbraw/zinc/27/18/10/908271810.db2.gz QNNMEFLUSJJOHU-VHSXEESVSA-N 0 1 291.355 0.845 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)C(C)(C)C#N)n1 ZINC001338264344 921410306 /nfs/dbraw/zinc/41/03/06/921410306.db2.gz PVJMWWXJOUZTII-UHFFFAOYSA-N 0 1 253.331 0.834 20 30 CCEDMN CSCc1nnc(CNC(=O)C(C)(C)C#N)[nH]1 ZINC001338264344 921410314 /nfs/dbraw/zinc/41/03/14/921410314.db2.gz PVJMWWXJOUZTII-UHFFFAOYSA-N 0 1 253.331 0.834 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)C1CCC1 ZINC001317477122 908452810 /nfs/dbraw/zinc/45/28/10/908452810.db2.gz XSSWAAXPPHTXFK-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@H](C)c1nnc[nH]1 ZINC001312790284 908632821 /nfs/dbraw/zinc/63/28/21/908632821.db2.gz XIDPXDLHGGDKTN-SNVBAGLBSA-N 0 1 264.333 0.120 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H](C)c1nnc[nH]1 ZINC001312790284 908632824 /nfs/dbraw/zinc/63/28/24/908632824.db2.gz XIDPXDLHGGDKTN-SNVBAGLBSA-N 0 1 264.333 0.120 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@H](C)c1nnc[n-]1 ZINC001312790284 908632830 /nfs/dbraw/zinc/63/28/30/908632830.db2.gz XIDPXDLHGGDKTN-SNVBAGLBSA-N 0 1 264.333 0.120 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H](C)c1nnc[n-]1 ZINC001312790284 908632834 /nfs/dbraw/zinc/63/28/34/908632834.db2.gz XIDPXDLHGGDKTN-SNVBAGLBSA-N 0 1 264.333 0.120 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC2(C1)CCOCC2 ZINC001313439424 908665562 /nfs/dbraw/zinc/66/55/62/908665562.db2.gz LCNUVFNOYOXDGU-UHFFFAOYSA-N 0 1 265.357 0.373 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001328510334 908690271 /nfs/dbraw/zinc/69/02/71/908690271.db2.gz UTTOQXJJJQEJCC-NWDGAFQWSA-N 0 1 250.342 0.219 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](NCc2ncnn2CC)C1 ZINC001317073688 908734569 /nfs/dbraw/zinc/73/45/69/908734569.db2.gz VKOJRTNPMAPSHZ-HAQNSBGRSA-N 0 1 293.371 0.237 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CNC(=O)[C@H](C)C#N)c1C ZINC001386458876 908749827 /nfs/dbraw/zinc/74/98/27/908749827.db2.gz TVUIZZYPYXLFPG-SFYZADRCSA-N 0 1 277.328 0.421 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCN(C)[C@H](C)C(N)=O ZINC001316843721 908802776 /nfs/dbraw/zinc/80/27/76/908802776.db2.gz TZYRBDRTRMFJQS-SNVBAGLBSA-N 0 1 255.362 0.511 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)N[C@H]1CC[N@H+](C2CC2)C1 ZINC001417619985 921461281 /nfs/dbraw/zinc/46/12/81/921461281.db2.gz MSVSGVSNNITTOA-NSHDSACASA-N 0 1 286.335 0.934 20 30 CCEDMN C[C@@H](NC(=O)CN1CCCC1)[C@H]1CCCN(CC#N)C1 ZINC001317205073 909040768 /nfs/dbraw/zinc/04/07/68/909040768.db2.gz PCBHNAYPIQHEET-KGLIPLIRSA-N 0 1 278.400 0.822 20 30 CCEDMN CNC(=O)CN1CCC[C@H]([C@H](C)NC(=O)C#CC(C)C)C1 ZINC001317206118 909042545 /nfs/dbraw/zinc/04/25/45/909042545.db2.gz WJWNMJMDPSHQIH-KBPBESRZSA-N 0 1 293.411 0.609 20 30 CCEDMN N#CCNC[C@@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001317343902 909151618 /nfs/dbraw/zinc/15/16/18/909151618.db2.gz OHWQUNRAJTXVDO-JTQLQIEISA-N 0 1 284.323 0.533 20 30 CCEDMN CC#CCN(C)CCNC(=O)C1(F)CCOCC1 ZINC001317448398 909222400 /nfs/dbraw/zinc/22/24/00/909222400.db2.gz LNHGBVVORWUJIC-UHFFFAOYSA-N 0 1 256.321 0.576 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCN(C)CCn1cncn1 ZINC001317459632 909235648 /nfs/dbraw/zinc/23/56/48/909235648.db2.gz NAWIWDHETNACRW-CQSZACIVSA-N 0 1 279.388 0.928 20 30 CCEDMN CC[C@@H](C(N)=O)N(CC)CCNC(=O)C#CC(C)(C)C ZINC001317480768 909248140 /nfs/dbraw/zinc/24/81/40/909248140.db2.gz XOFADMNBGFASPL-LBPRGKRZSA-N 0 1 281.400 0.738 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CCO[C@@H]1C ZINC001317488009 909263678 /nfs/dbraw/zinc/26/36/78/909263678.db2.gz KPHUGWRRYLZJIO-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN N#CCc1ccccc1C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001417636270 921480037 /nfs/dbraw/zinc/48/00/37/921480037.db2.gz VKBUDXAHMXGHBD-LBPRGKRZSA-N 0 1 298.306 0.479 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN([C@H](C)C(N)=O)C1 ZINC001317521385 909290353 /nfs/dbraw/zinc/29/03/53/909290353.db2.gz GDKQNTOCFZVHFQ-GHMZBOCLSA-N 0 1 253.346 0.265 20 30 CCEDMN C=CCOCC(=O)N(C)CCNCc1cnc(C)o1 ZINC001317574461 909363754 /nfs/dbraw/zinc/36/37/54/909363754.db2.gz SANFOKJCBRLYRJ-UHFFFAOYSA-N 0 1 267.329 0.734 20 30 CCEDMN CC[C@@H](CNC(=O)CN1CCCC1)NC(=O)[C@H](C)C#N ZINC001388080251 909371543 /nfs/dbraw/zinc/37/15/43/909371543.db2.gz OPRRLSGOSMJCSY-NEPJUHHUSA-N 0 1 280.372 0.253 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CC(C)(C)O)C1 ZINC001317931765 909547032 /nfs/dbraw/zinc/54/70/32/909547032.db2.gz ZASCKDMPNCXVNE-GFCCVEGCSA-N 0 1 252.358 0.751 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](O)COC ZINC001318201011 909661620 /nfs/dbraw/zinc/66/16/20/909661620.db2.gz AWMKENGOSOVYSR-IHRRRGAJSA-N 0 1 282.384 0.635 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CNC(=O)C(C)(C)C)C1 ZINC001318445536 909758279 /nfs/dbraw/zinc/75/82/79/909758279.db2.gz MVJIECITZDCSQV-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C2(C(=O)NC)CCC2)C1 ZINC001318446014 909760620 /nfs/dbraw/zinc/76/06/20/909760620.db2.gz PZGOXESEVLNZJX-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCOCCC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001319495726 910175108 /nfs/dbraw/zinc/17/51/08/910175108.db2.gz LLSHXIFARDXQGW-UHFFFAOYSA-N 0 1 281.400 0.169 20 30 CCEDMN CC(C)N(C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001319531492 910192653 /nfs/dbraw/zinc/19/26/53/910192653.db2.gz PUCQIHHHKDKRPX-QXMHVHEDSA-N 0 1 280.328 0.563 20 30 CCEDMN CC(C)C[C@H](CNCC#N)NC(=O)CN1CCCC1 ZINC001319642484 910240080 /nfs/dbraw/zinc/24/00/80/910240080.db2.gz JAFOZRSJEQQIBV-CYBMUJFWSA-N 0 1 266.389 0.726 20 30 CCEDMN C[C@H]1CCCN(C(=O)Cc2ccn[nH]2)[C@@H]1CNCC#N ZINC001319837892 910312924 /nfs/dbraw/zinc/31/29/24/910312924.db2.gz QJCNCPQZEVJESO-WCQYABFASA-N 0 1 275.356 0.692 20 30 CCEDMN Cc1nnc(CNCC[C@H](C)NC(=O)[C@H](C)C#N)s1 ZINC001320374169 910644786 /nfs/dbraw/zinc/64/47/86/910644786.db2.gz ZXFCBSDPPRQXFC-BDAKNGLRSA-N 0 1 281.385 0.991 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)Cn1cncn1 ZINC001320913247 910931187 /nfs/dbraw/zinc/93/11/87/910931187.db2.gz NMZCGALFWRNRDT-UHFFFAOYSA-N 0 1 271.752 0.469 20 30 CCEDMN Cn1cc(CNC/C=C/CNC(=O)CSCC#N)cn1 ZINC001320968990 910967771 /nfs/dbraw/zinc/96/77/71/910967771.db2.gz FMZUAOXFGYJSGG-NSCUHMNNSA-N 0 1 293.396 0.439 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)[C@H](C)C1CC1 ZINC001321011861 911013817 /nfs/dbraw/zinc/01/38/17/911013817.db2.gz QAPCPZZSBQRBAS-ZYOFXKKJSA-N 0 1 277.368 0.044 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CNCC(N)=O ZINC001321186827 911146707 /nfs/dbraw/zinc/14/67/07/911146707.db2.gz PICMQDMAZHUBSU-WAYWQWQTSA-N 0 1 253.346 0.336 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)C(=O)Nc1ccc(C#N)cc1 ZINC001321415840 911289489 /nfs/dbraw/zinc/28/94/89/911289489.db2.gz JSDKCEKAGMSXIU-UHFFFAOYSA-N 0 1 284.279 0.273 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CN(C)C(C)=O ZINC001321464172 911319959 /nfs/dbraw/zinc/31/99/59/911319959.db2.gz OHHAGIRKYPCBHY-SECBINFHSA-N 0 1 261.753 0.312 20 30 CCEDMN CCn1cc(CC(=O)NC2(C#N)CCN(C)CC2)cn1 ZINC001321632298 911411102 /nfs/dbraw/zinc/41/11/02/911411102.db2.gz JYKUJYYRKSLSBJ-UHFFFAOYSA-N 0 1 275.356 0.550 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CC[C@H](NCc2cnn(C)n2)CC1 ZINC001391818555 911412225 /nfs/dbraw/zinc/41/22/25/911412225.db2.gz KQWZPDSKRJTAEI-IJLUTSLNSA-N 0 1 290.371 0.492 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)NCc1cn[nH]c1C ZINC001321829582 911512852 /nfs/dbraw/zinc/51/28/52/911512852.db2.gz QSTVTEQJMUPFBU-LLVKDONJSA-N 0 1 264.329 0.805 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cn(C)nn1 ZINC001321843647 911522949 /nfs/dbraw/zinc/52/29/49/911522949.db2.gz YOYDKXZJQMHFSC-QMMMGPOBSA-N 0 1 257.725 0.276 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H](O)c2cccnc2)CC1 ZINC001321891741 911556318 /nfs/dbraw/zinc/55/63/18/911556318.db2.gz UGAJOQIFCTZKRI-LBPRGKRZSA-N 0 1 274.324 0.219 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1nnn(C(C)(C)C)n1 ZINC001322161463 911687385 /nfs/dbraw/zinc/68/73/85/911687385.db2.gz ULIGXTRXILACCI-SNVBAGLBSA-N 0 1 278.360 0.111 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001322232061 911716247 /nfs/dbraw/zinc/71/62/47/911716247.db2.gz ZERVRSFBYLDEGW-RYUDHWBXSA-N 0 1 289.383 0.684 20 30 CCEDMN C[C@H](CNCC(=O)Nc1nccs1)NC(=O)[C@@H](C)C#N ZINC001392463493 911824376 /nfs/dbraw/zinc/82/43/76/911824376.db2.gz BGGVBOXLCQBCRW-DTWKUNHWSA-N 0 1 295.368 0.336 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cn(C)nc1OC ZINC001392503790 911864835 /nfs/dbraw/zinc/86/48/35/911864835.db2.gz PUUPTQZUPYHMQO-SECBINFHSA-N 0 1 286.763 0.889 20 30 CCEDMN COC[C@@H](C)N1CC[C@H]1CNC(=O)C#CC1CC1 ZINC001323225966 912196442 /nfs/dbraw/zinc/19/64/42/912196442.db2.gz KWDSEAQQZJHMFU-YPMHNXCESA-N 0 1 250.342 0.625 20 30 CCEDMN C[N@H+]1CCC[C@H]1C(=O)N1CCC(C)(NCC#N)CC1 ZINC001327970445 914898180 /nfs/dbraw/zinc/89/81/80/914898180.db2.gz QEOULDHAODDBCS-LBPRGKRZSA-N 0 1 264.373 0.575 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H](C)NCc1nnnn1C1CC1 ZINC001399308801 915112026 /nfs/dbraw/zinc/11/20/26/915112026.db2.gz AXMWZHWCRQRAMS-UWVGGRQHSA-N 0 1 291.359 0.152 20 30 CCEDMN CCn1ncnc1CN[C@@H](C)CCNC(=O)C#CC(C)C ZINC001328343316 915128209 /nfs/dbraw/zinc/12/82/09/915128209.db2.gz ZFDQKIZQUIHTAA-ZDUSSCGKSA-N 0 1 291.399 0.942 20 30 CCEDMN CCN(CC)C(=O)CN1CC[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001399889298 915424296 /nfs/dbraw/zinc/42/42/96/915424296.db2.gz ANZDOERJOKXCPL-STQMWFEESA-N 0 1 294.399 0.453 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)NC(=O)CC)C1 ZINC001418039575 921779506 /nfs/dbraw/zinc/77/95/06/921779506.db2.gz JUSJMOBIIBKEAA-MNOVXSKESA-N 0 1 287.791 0.844 20 30 CCEDMN C#CCN(C)C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001329038709 915613684 /nfs/dbraw/zinc/61/36/84/915613684.db2.gz JTBITSGOVFDINU-VIFPVBQESA-N 0 1 263.301 0.431 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(=O)N(CCC)[C@H]1CCN(C)C1 ZINC001329352483 915834795 /nfs/dbraw/zinc/83/47/95/915834795.db2.gz SSTQQYXDOFSTKE-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN CCN1CCN(c2nnc([C@@H]3C[C@H]3C#N)n2C)CC1 ZINC001339703363 921803240 /nfs/dbraw/zinc/80/32/40/921803240.db2.gz WPCVRRQZFXEEFJ-WDEREUQCSA-N 0 1 260.345 0.584 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCCCO1 ZINC001329414914 915896517 /nfs/dbraw/zinc/89/65/17/915896517.db2.gz MNKCQTPUFZNBGM-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cnnc(C)c1 ZINC001329424386 915905771 /nfs/dbraw/zinc/90/57/71/915905771.db2.gz BUMYWESIBAAFII-LBPRGKRZSA-N 0 1 260.341 0.858 20 30 CCEDMN COCC#CC[N@@H+](C)[C@H](C)CNC(=O)c1n[nH]cc1C ZINC001329431147 915910641 /nfs/dbraw/zinc/91/06/41/915910641.db2.gz JIOHLLZUSCJAJS-GFCCVEGCSA-N 0 1 278.356 0.418 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1n[nH]cc1C ZINC001329431147 915910654 /nfs/dbraw/zinc/91/06/54/915910654.db2.gz JIOHLLZUSCJAJS-GFCCVEGCSA-N 0 1 278.356 0.418 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1c(Cl)cnn1C ZINC001329439979 915917618 /nfs/dbraw/zinc/91/76/18/915917618.db2.gz DZUTVUXYKCBVRN-VIFPVBQESA-N 0 1 268.748 0.757 20 30 CCEDMN C=CCCN(C)[C@H](C)CNC(=O)[C@@H](C)S(C)(=O)=O ZINC001329474813 915949008 /nfs/dbraw/zinc/94/90/08/915949008.db2.gz PFSBJXIQUQBOLF-GHMZBOCLSA-N 0 1 276.402 0.432 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H](NC(C)=O)C1CCCC1 ZINC001329489323 915960735 /nfs/dbraw/zinc/96/07/35/915960735.db2.gz SFKADGRCYSXVNV-SWLSCSKDSA-N 0 1 293.411 0.751 20 30 CCEDMN C[N@H+]1CCN(c2cccc(C#N)n2)C[C@H](C(=O)[O-])C1 ZINC001329588359 916041478 /nfs/dbraw/zinc/04/14/78/916041478.db2.gz IHWMAJODXDMPEB-SNVBAGLBSA-N 0 1 260.297 0.406 20 30 CCEDMN CCNC(NCc1nc2c(c(=O)[n-]1)COCC2)=[NH+]CC ZINC001329680766 916122352 /nfs/dbraw/zinc/12/23/52/916122352.db2.gz CCYSNHZRASXUHQ-UHFFFAOYSA-N 0 1 279.344 0.330 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1oc(C)nc1C ZINC001401503673 916289965 /nfs/dbraw/zinc/28/99/65/916289965.db2.gz HFVCIXGPGCUCIY-JTQLQIEISA-N 0 1 287.747 0.724 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cncnc1C ZINC001401504615 916293953 /nfs/dbraw/zinc/29/39/53/916293953.db2.gz ZFUZEUXJCRVNEP-SNVBAGLBSA-N 0 1 284.747 0.218 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001330022406 916361607 /nfs/dbraw/zinc/36/16/07/916361607.db2.gz RFUKIOHIGCBKDO-ABAIWWIYSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)CCc2cn(C)nn2)C1 ZINC001330084049 916403252 /nfs/dbraw/zinc/40/32/52/916403252.db2.gz CIJVNKGELPEUGP-LLVKDONJSA-N 0 1 277.372 0.370 20 30 CCEDMN C[N@H+]1CCC[C@@H](NC(=O)C(=O)NCC2(CC#N)CC2)C1 ZINC001330222417 916490190 /nfs/dbraw/zinc/49/01/90/916490190.db2.gz BJOJBPILGYCXMG-LLVKDONJSA-N 0 1 278.356 0.007 20 30 CCEDMN CN1CCC[C@@H](NC(=O)C(=O)NCC2(CC#N)CC2)C1 ZINC001330222417 916490199 /nfs/dbraw/zinc/49/01/99/916490199.db2.gz BJOJBPILGYCXMG-LLVKDONJSA-N 0 1 278.356 0.007 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1COCCO1)C1CC1 ZINC001401831558 916620617 /nfs/dbraw/zinc/62/06/17/916620617.db2.gz OVMIWPULIVUVOP-NEPJUHHUSA-N 0 1 288.775 0.639 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCCN([C@@H]1CCNC1=O)C2 ZINC001330489606 916663559 /nfs/dbraw/zinc/66/35/59/916663559.db2.gz UILRSJHOJQFBIK-CYBMUJFWSA-N 0 1 291.395 0.766 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(CC(N)=O)C1CCCCCC1 ZINC001401990724 916747286 /nfs/dbraw/zinc/74/72/86/916747286.db2.gz QXHWDAPXZWTFMG-LBPRGKRZSA-N 0 1 294.399 0.772 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC2(CCNC2=O)CC1 ZINC001330717940 916814682 /nfs/dbraw/zinc/81/46/82/916814682.db2.gz MXHFIKPVMSQHPF-UHFFFAOYSA-N 0 1 291.395 0.789 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CC(=O)N(C)C1)C1CC1 ZINC001402306682 916947379 /nfs/dbraw/zinc/94/73/79/916947379.db2.gz ZADCQZMDAYEVQC-RYUDHWBXSA-N 0 1 299.802 0.702 20 30 CCEDMN C[C@@H](NC[C@@H](O)CC(C)(C)C#N)c1nncn1C ZINC001331035105 917037851 /nfs/dbraw/zinc/03/78/51/917037851.db2.gz RJXVFRIDJDHOOI-ZJUUUORDSA-N 0 1 251.334 0.766 20 30 CCEDMN COCC1(C(=O)N[C@@H]2CNC[C@@H]2C#N)CCCCC1 ZINC001331343234 917274763 /nfs/dbraw/zinc/27/47/63/917274763.db2.gz ZMQXLBZAUKNODT-NWDGAFQWSA-N 0 1 265.357 0.811 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CCO)CN(CC2CCOCC2)C1 ZINC001403205604 917579612 /nfs/dbraw/zinc/57/96/12/917579612.db2.gz ZMQUPDPLUHIMNJ-LBPRGKRZSA-N 0 1 295.383 0.126 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cncs1 ZINC001492920204 917625903 /nfs/dbraw/zinc/62/59/03/917625903.db2.gz NMNRWODJPWTKSH-UHFFFAOYSA-N 0 1 281.381 0.845 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1c(C)cc(C)cc1C ZINC001331818502 917635509 /nfs/dbraw/zinc/63/55/09/917635509.db2.gz HEQVYTRRBNDUSX-CQSZACIVSA-N 0 1 274.364 0.925 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)[C@@H](C)C1CCCC1 ZINC001331823904 917639274 /nfs/dbraw/zinc/63/92/74/917639274.db2.gz FIDXSNUXLQQKRC-ZFWWWQNUSA-N 0 1 296.411 0.529 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C[C@@H](C)n1cccc1 ZINC001331824675 917641109 /nfs/dbraw/zinc/64/11/09/917641109.db2.gz JBFPKBBGMCRESD-OLZOCXBDSA-N 0 1 263.341 0.139 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc2nccn2c1 ZINC001331826643 917644643 /nfs/dbraw/zinc/64/46/43/917644643.db2.gz VFZKZTGYTAMEBH-ZDUSSCGKSA-N 0 1 286.335 0.038 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H]1CN(C(=O)CCOC)CCO1 ZINC001403414640 917702528 /nfs/dbraw/zinc/70/25/28/917702528.db2.gz IJGGLFPLHZJVAD-RYUDHWBXSA-N 0 1 290.791 0.981 20 30 CCEDMN C=CCN(CC(=O)[O-])Cc1c[nH+]c(N(C)C)n1C ZINC001331948424 917745244 /nfs/dbraw/zinc/74/52/44/917745244.db2.gz CEGHJMFKMZQOJO-UHFFFAOYSA-N 0 1 252.318 0.559 20 30 CCEDMN Cc1cccc2nc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cn21 ZINC001332179261 917939500 /nfs/dbraw/zinc/93/95/00/917939500.db2.gz JVAFHXGXXJMQIW-WDEREUQCSA-N 0 1 269.308 0.484 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cnsn1 ZINC001403828806 918044257 /nfs/dbraw/zinc/04/42/57/918044257.db2.gz LOHXXYNTRRXEQZ-MRVPVSSYSA-N 0 1 290.776 0.313 20 30 CCEDMN C[C@H](c1nc(C2(N)CCC2)no1)n1cnc(C#N)n1 ZINC001332325800 918054834 /nfs/dbraw/zinc/05/48/34/918054834.db2.gz SJCRGDUKIJPXHD-SSDOTTSWSA-N 0 1 259.273 0.480 20 30 CCEDMN CC#CCCCC(=O)N1CC(N2CCN(C)CC2)C1 ZINC001332551566 918268979 /nfs/dbraw/zinc/26/89/79/918268979.db2.gz ZOJXEIJUPYHDNB-UHFFFAOYSA-N 0 1 263.385 0.638 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@@H]1C[N@@H+](C2CC2)C[C@@H]1C)C(=O)[O-] ZINC001332605902 918303894 /nfs/dbraw/zinc/30/38/94/918303894.db2.gz GHDUXYDZOUTUBW-ZMLRMANQSA-N 0 1 281.356 0.798 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)CC[C@H]1CCCO1 ZINC001332617020 918317119 /nfs/dbraw/zinc/31/71/19/918317119.db2.gz BTYWJZZZOAKUGQ-LSDHHAIUSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001332662205 918363985 /nfs/dbraw/zinc/36/39/85/918363985.db2.gz CFBMNXCYAJMWNH-BFHYXJOUSA-N 0 1 290.363 0.767 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H]1C[C@H]1c1ccco1 ZINC001332662206 918366034 /nfs/dbraw/zinc/36/60/34/918366034.db2.gz CFBMNXCYAJMWNH-MGPQQGTHSA-N 0 1 290.363 0.767 20 30 CCEDMN N#Cc1ccc(Cn2cc([C@H](N)CO)nn2)c(F)c1 ZINC001333057136 918626967 /nfs/dbraw/zinc/62/69/67/918626967.db2.gz WCVVUXPOGQKTCH-LLVKDONJSA-N 0 1 261.260 0.329 20 30 CCEDMN CC[C@H](CNC(=O)[C@H](C)C#N)NCc1cc(C)n(C)n1 ZINC001405184838 918639450 /nfs/dbraw/zinc/63/94/50/918639450.db2.gz DFDKQPWGIHUURB-ZYHUDNBSSA-N 0 1 277.372 0.873 20 30 CCEDMN COC(=O)c1ccc(NC(=O)NCC#CCN(C)C)nc1 ZINC001333294768 918780201 /nfs/dbraw/zinc/78/02/01/918780201.db2.gz FCKZOWGERDQVJF-UHFFFAOYSA-N 0 1 290.323 0.555 20 30 CCEDMN CCn1ccc(CNC[C@@H](C)N(C)C(=O)[C@@H](C)C#N)n1 ZINC001405791512 918902833 /nfs/dbraw/zinc/90/28/33/918902833.db2.gz HOOZUEZERSFMFL-NWDGAFQWSA-N 0 1 277.372 0.999 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](CCC)NC(N)=O ZINC001406553296 919343232 /nfs/dbraw/zinc/34/32/32/919343232.db2.gz LZFZMDQJSKFGGR-VHSXEESVSA-N 0 1 290.795 0.670 20 30 CCEDMN C#CCSCCNC(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC001334109039 919371003 /nfs/dbraw/zinc/37/10/03/919371003.db2.gz DSODFCVXMMYGAJ-GFCCVEGCSA-N 0 1 297.424 0.022 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)N(C)C(=O)CCc1nc[nH]n1 ZINC001334177578 919401375 /nfs/dbraw/zinc/40/13/75/919401375.db2.gz IDPIUSSJTJUDTL-LLVKDONJSA-N 0 1 293.371 0.667 20 30 CCEDMN C=C[C@H](COC)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC001334713194 919718919 /nfs/dbraw/zinc/71/89/19/919718919.db2.gz NRYCWHPLMZAQFH-LLVKDONJSA-N 0 1 281.356 0.692 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@H](NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001407377172 919729474 /nfs/dbraw/zinc/72/94/74/919729474.db2.gz HAMRJFJZHXITIX-ONGXEEELSA-N 0 1 289.339 0.599 20 30 CCEDMN C=C[C@H](COC)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC001334935073 919847079 /nfs/dbraw/zinc/84/70/79/919847079.db2.gz RXNJZNRRFKVQKY-VXGBXAGGSA-N 0 1 254.330 0.024 20 30 CCEDMN C=C(C)CCC(=O)N1CC([C@@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001335068373 919931286 /nfs/dbraw/zinc/93/12/86/919931286.db2.gz DVWZLBQNYJYIEW-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN N#CC[C@H](NC(=O)CCc1nc[nH]n1)C(F)(F)F ZINC001335323558 920120031 /nfs/dbraw/zinc/12/00/31/920120031.db2.gz JAROQMXTABEFFF-LURJTMIESA-N 0 1 261.207 0.698 20 30 CCEDMN C#C[C@@H](NCC1(O)CCS(=O)(=O)CC1)c1ccccc1 ZINC001335396586 920167142 /nfs/dbraw/zinc/16/71/42/920167142.db2.gz IQGJQJYUKLYBKP-CQSZACIVSA-N 0 1 293.388 0.890 20 30 CCEDMN C#CCSCCNC(=O)N1CCNC[C@@H]1CCOC ZINC001335497551 920236768 /nfs/dbraw/zinc/23/67/68/920236768.db2.gz LNQXLEYSGFTZQT-LBPRGKRZSA-N 0 1 285.413 0.373 20 30 CCEDMN N#CCSCC(=O)N1CC[C@@H](c2[nH]ncc2N)C1 ZINC001336378659 920748253 /nfs/dbraw/zinc/74/82/53/920748253.db2.gz RANNWEPMVXCGCQ-MRVPVSSYSA-N 0 1 265.342 0.565 20 30 CCEDMN N#Cc1nc(NC(=O)[C@H]2CCC[N@@H+]2C2CC2)[n-]c1C#N ZINC001418236710 921947601 /nfs/dbraw/zinc/94/76/01/921947601.db2.gz LSWBUWIYJCTQHS-LLVKDONJSA-N 0 1 270.296 0.718 20 30 CCEDMN N#Cc1nc(NC(=O)[C@H]2CCC[N@H+]2C2CC2)[n-]c1C#N ZINC001418236710 921947618 /nfs/dbraw/zinc/94/76/18/921947618.db2.gz LSWBUWIYJCTQHS-LLVKDONJSA-N 0 1 270.296 0.718 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H]1COCCN1CC ZINC001418243977 921957260 /nfs/dbraw/zinc/95/72/60/921957260.db2.gz AUUHDWHMQVDYJO-VXGBXAGGSA-N 0 1 289.807 0.554 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cn(C)ccc1=O ZINC001418246791 921958089 /nfs/dbraw/zinc/95/80/89/921958089.db2.gz JETASDRZPMGCHA-JTQLQIEISA-N 0 1 283.759 0.846 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1Cc2cccnc2C1 ZINC001340132228 921972520 /nfs/dbraw/zinc/97/25/20/921972520.db2.gz GHLYNTDQBWCWOO-DMDPSCGWSA-N 0 1 256.309 0.024 20 30 CCEDMN CCCn1nnnc1CN[C@H](C)CCNC(=O)[C@@H](C)C#N ZINC001418391372 922073706 /nfs/dbraw/zinc/07/37/06/922073706.db2.gz CLVRAHLYNQRQMX-WDEREUQCSA-N 0 1 293.375 0.227 20 30 CCEDMN C#CCNCC(=O)N1CCc2ccc(OC)cc2C1 ZINC001340498077 922101204 /nfs/dbraw/zinc/10/12/04/922101204.db2.gz KYEMAKXJKBHTJQ-UHFFFAOYSA-N 0 1 258.321 0.803 20 30 CCEDMN C#CCNCC(=O)N(Cc1ccc(C(=O)NC)cc1)C1CC1 ZINC001340621805 922144610 /nfs/dbraw/zinc/14/46/10/922144610.db2.gz JZVGOAVQLJUFRY-UHFFFAOYSA-N 0 1 299.374 0.760 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@H](N(C)c2ccccc2)C1 ZINC001341404557 922466765 /nfs/dbraw/zinc/46/67/65/922466765.db2.gz ZCQIGKAJMUYGHG-OAHLLOKOSA-N 0 1 271.364 0.947 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1snnc1C1CC1 ZINC001341643360 922602015 /nfs/dbraw/zinc/60/20/15/922602015.db2.gz MTEMBAQFYXTRGA-JGVFFNPUSA-N 0 1 263.326 0.257 20 30 CCEDMN C#CCNCC(=O)NCc1cn2c(cccc2C)n1 ZINC001341700451 922634242 /nfs/dbraw/zinc/63/42/42/922634242.db2.gz JBZHHWYMRQRMEX-UHFFFAOYSA-N 0 1 256.309 0.482 20 30 CCEDMN CCO[C@@H]1[C@H](C)[C@@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC001341948568 922734652 /nfs/dbraw/zinc/73/46/52/922734652.db2.gz VOWJZVZKFDCVCI-DLYFRVTGSA-N 0 1 250.298 0.645 20 30 CCEDMN C[C@@H](NC(=O)CCc1nn[nH]n1)c1cccc(C#N)c1 ZINC001419628573 922954953 /nfs/dbraw/zinc/95/49/53/922954953.db2.gz OPEGCVZZUQUEQN-SECBINFHSA-N 0 1 270.296 0.881 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001419906313 923154784 /nfs/dbraw/zinc/15/47/84/923154784.db2.gz QOYRMJJKQGSGQS-MNOVXSKESA-N 0 1 287.791 0.844 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(C(=O)OCC)o1 ZINC001343257760 923251152 /nfs/dbraw/zinc/25/11/52/923251152.db2.gz LSHYEBVRTWFAAD-UHFFFAOYSA-N 0 1 264.281 0.295 20 30 CCEDMN CC(C)n1ccc(CNC[C@H](O)CNC(=O)[C@H](C)C#N)n1 ZINC001420111171 923320176 /nfs/dbraw/zinc/32/01/76/923320176.db2.gz NRIYTXJIDBQTPZ-YPMHNXCESA-N 0 1 293.371 0.190 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@]1(C)CCCOC1 ZINC001420189546 923390477 /nfs/dbraw/zinc/39/04/77/923390477.db2.gz XDKJXADWDRSWHC-YPMHNXCESA-N 0 1 290.791 0.622 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(N2CCNC2=O)cc1 ZINC001344548828 923634387 /nfs/dbraw/zinc/63/43/87/923634387.db2.gz SWXSROIQTIGBAG-UHFFFAOYSA-N 0 1 286.335 0.055 20 30 CCEDMN C=CC[N@@H+](CC1(CC(=O)[O-])CC1)[C@H]1CCS(=O)(=O)C1 ZINC001346241509 924128537 /nfs/dbraw/zinc/12/85/37/924128537.db2.gz LIXGXNVCTYPZLE-NSHDSACASA-N 0 1 287.381 0.916 20 30 CCEDMN C=CC[N@H+](CC1(CC(=O)[O-])CC1)[C@H]1CCS(=O)(=O)C1 ZINC001346241509 924128548 /nfs/dbraw/zinc/12/85/48/924128548.db2.gz LIXGXNVCTYPZLE-NSHDSACASA-N 0 1 287.381 0.916 20 30 CCEDMN C#CCCCNC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001346340940 924168570 /nfs/dbraw/zinc/16/85/70/924168570.db2.gz CNDOXRCLEMRCPB-CYBMUJFWSA-N 0 1 266.389 0.335 20 30 CCEDMN C=C(CC(C)C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC001346431939 924208813 /nfs/dbraw/zinc/20/88/13/924208813.db2.gz HBOXDFWLGUKJMB-CYBMUJFWSA-N 0 1 253.390 0.951 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1Cc2cccnc2C1 ZINC001347143623 924383353 /nfs/dbraw/zinc/38/33/53/924383353.db2.gz SGMWQKVEKVRUBW-CYBMUJFWSA-N 0 1 257.337 0.478 20 30 CCEDMN C=CCN1CCN(CN2C[C@@H]3COC[C@@]3(C)C2)C1=O ZINC001347237022 924405233 /nfs/dbraw/zinc/40/52/33/924405233.db2.gz DXBXFDDLRZLULD-TZMCWYRMSA-N 0 1 265.357 0.836 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC001347968862 924567918 /nfs/dbraw/zinc/56/79/18/924567918.db2.gz CLXVDMACBNSZPI-GRYCIOLGSA-N 0 1 255.362 0.921 20 30 CCEDMN C#C[C@@H](CCC)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC001348135345 924601803 /nfs/dbraw/zinc/60/18/03/924601803.db2.gz GBCVATPWAHSIIE-QMMMGPOBSA-N 0 1 286.313 0.409 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCCN(CCn2cccn2)C1 ZINC001479899908 924685425 /nfs/dbraw/zinc/68/54/25/924685425.db2.gz WZCWGGVGCIZRSV-CQSZACIVSA-N 0 1 292.383 0.666 20 30 CCEDMN C#CCCCNC(=O)N[C@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC001348703268 924779599 /nfs/dbraw/zinc/77/95/99/924779599.db2.gz YNNFAFNNUQKXCO-CHWSQXEVSA-N 0 1 266.389 0.333 20 30 CCEDMN C=C(C)CCC(=O)N1CCC[N@@H+](CC(=O)[O-])CC1 ZINC001349953591 925104129 /nfs/dbraw/zinc/10/41/29/925104129.db2.gz CEPZXZQKHMIHTD-UHFFFAOYSA-N 0 1 254.330 0.962 20 30 CCEDMN C#CCSCC(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001350562837 925225917 /nfs/dbraw/zinc/22/59/17/925225917.db2.gz PWQTWLZKTDTLCV-VIFPVBQESA-N 0 1 280.353 0.291 20 30 CCEDMN C#CCSCC(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001350562837 925225925 /nfs/dbraw/zinc/22/59/25/925225925.db2.gz PWQTWLZKTDTLCV-VIFPVBQESA-N 0 1 280.353 0.291 20 30 CCEDMN CN1CCN(C2CN(C(=O)C#Cc3cccs3)C2)CC1 ZINC001350727744 925262667 /nfs/dbraw/zinc/26/26/67/925262667.db2.gz DIQPGAGCAVJISK-UHFFFAOYSA-N 0 1 289.404 0.558 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)NCCC#N)cc1=O ZINC001351780976 925469585 /nfs/dbraw/zinc/46/95/85/925469585.db2.gz HUIQWQGJMCQHAR-UHFFFAOYSA-N 0 1 250.302 0.405 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)C1(C(N)=O)CC1 ZINC001480322572 925606592 /nfs/dbraw/zinc/60/65/92/925606592.db2.gz CGDSYKQGNKPXPL-LLVKDONJSA-N 0 1 265.357 0.409 20 30 CCEDMN C=CC[C@@H](NC(=O)CCc1c[nH]nn1)C(=O)OCC ZINC001352901734 925669695 /nfs/dbraw/zinc/66/96/95/925669695.db2.gz XODFADYLJBTLKK-SNVBAGLBSA-N 0 1 266.301 0.361 20 30 CCEDMN C=CC[C@@H](NC(=O)CCc1cnn[nH]1)C(=O)OCC ZINC001352901734 925669701 /nfs/dbraw/zinc/66/97/01/925669701.db2.gz XODFADYLJBTLKK-SNVBAGLBSA-N 0 1 266.301 0.361 20 30 CCEDMN C=CCCCNC(=O)C(=O)NCc1cc(N)ccn1 ZINC001353271858 925777580 /nfs/dbraw/zinc/77/75/80/925777580.db2.gz IRNAGWOKNQPCGT-UHFFFAOYSA-N 0 1 262.313 0.362 20 30 CCEDMN C#CCOCCC(=O)NC[C@H](C)NCc1cnc(C)o1 ZINC001480459550 925777998 /nfs/dbraw/zinc/77/79/98/925777998.db2.gz NEHXMOKDBROXRU-NSHDSACASA-N 0 1 279.340 0.617 20 30 CCEDMN C#CCN1CCC[C@@H](N=c2nc[nH]n2CC2CC2)C1 ZINC001354266929 926063966 /nfs/dbraw/zinc/06/39/66/926063966.db2.gz UZBOQUHWPIBAQN-CYBMUJFWSA-N 0 1 259.357 0.620 20 30 CCEDMN C#CCNCC(=O)NCC1(S(C)(=O)=O)CCCCC1 ZINC001354274082 926067221 /nfs/dbraw/zinc/06/72/21/926067221.db2.gz TZZSCYPLZPLHRG-UHFFFAOYSA-N 0 1 286.397 0.073 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)NCc2n[nH]c(C)n2)C1 ZINC001355046278 926201088 /nfs/dbraw/zinc/20/10/88/926201088.db2.gz CYGZQZICYUJVRW-SNVBAGLBSA-N 0 1 277.328 0.154 20 30 CCEDMN O=C(NCC#C[C@@H]1CCCCO1)NCCCc1nc[nH]n1 ZINC001355333563 926243449 /nfs/dbraw/zinc/24/34/49/926243449.db2.gz RQYWSIYUKWFSGR-LBPRGKRZSA-N 0 1 291.355 0.609 20 30 CCEDMN Cc1cnc(COCC(=O)C(C#N)C(=O)NC2CC2)o1 ZINC001356088117 926341402 /nfs/dbraw/zinc/34/14/02/926341402.db2.gz PRHLDTPJLBUAAD-JTQLQIEISA-N 0 1 277.280 0.487 20 30 CCEDMN CC(C)(O)[C@H](N)C(=O)N1Cc2cccc(C#N)c2C1 ZINC001357810949 926601504 /nfs/dbraw/zinc/60/15/04/926601504.db2.gz OBDGISOHGCPILR-GFCCVEGCSA-N 0 1 259.309 0.499 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)CNC(=O)C1=NC(=O)N(C)C1 ZINC001358303085 926669063 /nfs/dbraw/zinc/66/90/63/926669063.db2.gz DQTAHEWBIHFDFC-SECBINFHSA-N 0 1 294.355 0.572 20 30 CCEDMN COCC[C@@H]1CNCCN1C(=O)CCCCC#N ZINC001358493660 926711459 /nfs/dbraw/zinc/71/14/59/926711459.db2.gz JHAQJJHNKVAMEL-GFCCVEGCSA-N 0 1 253.346 0.907 20 30 CCEDMN N#CCC1CCN(CC2(O)CCS(=O)(=O)CC2)CC1 ZINC001413984353 926726320 /nfs/dbraw/zinc/72/63/20/926726320.db2.gz SAOCZXUWYJKLBO-UHFFFAOYSA-N 0 1 286.397 0.552 20 30 CCEDMN C=CCC(C)(C)C(=O)NCC1(NC(=O)c2cnn[nH]2)CC1 ZINC001358663112 926783356 /nfs/dbraw/zinc/78/33/56/926783356.db2.gz UCECAYNBVUMFOK-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@@H+]1CCOCC3(CC3)C1)cc2=O ZINC001421687932 926854527 /nfs/dbraw/zinc/85/45/27/926854527.db2.gz DFPWJRRWOLTIDI-UHFFFAOYSA-N 0 1 299.334 0.919 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@H+]1CCOCC3(CC3)C1)cc2=O ZINC001421687932 926854534 /nfs/dbraw/zinc/85/45/34/926854534.db2.gz DFPWJRRWOLTIDI-UHFFFAOYSA-N 0 1 299.334 0.919 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CNC(=O)CC)C[C@@H]1C ZINC001422050486 927051924 /nfs/dbraw/zinc/05/19/24/927051924.db2.gz AUVOBWYTCGFCES-ONGXEEELSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1c[nH]c(=O)cn1 ZINC001422374139 927248824 /nfs/dbraw/zinc/24/88/24/927248824.db2.gz FSMXUMMUCSXCBD-DTWKUNHWSA-N 0 1 284.747 0.619 20 30 CCEDMN CSc1n[nH]c(NC(=O)Cc2ccc(C#N)nc2)n1 ZINC001361701613 927259319 /nfs/dbraw/zinc/25/93/19/927259319.db2.gz LFJCEDLWONAQRF-UHFFFAOYSA-N 0 1 274.309 0.974 20 30 CCEDMN COC(=O)[C@@H](CO)NC(=O)C(C#N)CCc1ccccc1 ZINC001361893821 927426869 /nfs/dbraw/zinc/42/68/69/927426869.db2.gz ZEWSBNCPEBKOOA-CHWSQXEVSA-N 0 1 290.319 0.409 20 30 CCEDMN C[C@H](O)CCCN1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001423085821 927668302 /nfs/dbraw/zinc/66/83/02/927668302.db2.gz MZXBGLAYCGCUQW-AAEUAGOBSA-N 0 1 290.367 0.851 20 30 CCEDMN CCc1nc([C@@H](C)NC(=O)[C@@]2(C#N)CCCOC2)n[nH]1 ZINC001362414165 927984390 /nfs/dbraw/zinc/98/43/90/927984390.db2.gz NXGRTAAMLYVSKT-NOZJJQNGSA-N 0 1 277.328 0.865 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H](C)CNC(=O)CCc1cnc[nH]1 ZINC001424176406 928116744 /nfs/dbraw/zinc/11/67/44/928116744.db2.gz SJTJVJOAGOYKSW-MNOVXSKESA-N 0 1 291.355 0.465 20 30 CCEDMN CC(C)[N@H+]1CCC[C@H]1C(=O)Nc1nc(C#N)c(C#N)[n-]1 ZINC001362615112 928255280 /nfs/dbraw/zinc/25/52/80/928255280.db2.gz XHURNRZTRSUUPU-NSHDSACASA-N 0 1 272.312 0.964 20 30 CCEDMN N#Cc1ccsc1C(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC001362760406 928453790 /nfs/dbraw/zinc/45/37/90/928453790.db2.gz KFHYNXRYAUFWBC-MRVPVSSYSA-N 0 1 290.308 0.347 20 30 CCEDMN N#Cc1ccc(CN[C@@H](CO)CNC(=O)CCCF)s1 ZINC001425803020 928676019 /nfs/dbraw/zinc/67/60/19/928676019.db2.gz ZCOUUHDHAWLQCX-SNVBAGLBSA-N 0 1 299.371 0.936 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](O)CNC/C(Cl)=C\Cl ZINC001425977307 928724291 /nfs/dbraw/zinc/72/42/91/928724291.db2.gz ALXXCPBIGMKECK-ICAVNOFCSA-N 0 1 294.182 0.874 20 30 CCEDMN N#Cc1ccc(NCC(=O)N2CCC[C@H]2c2nnc[nH]2)nc1 ZINC001363128869 928900756 /nfs/dbraw/zinc/90/07/56/928900756.db2.gz NPZNFPGVAVRVIK-NSHDSACASA-N 0 1 297.322 0.847 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)[C@@H](O)c1ccncc1 ZINC001363189092 928958588 /nfs/dbraw/zinc/95/85/88/928958588.db2.gz WVDMVMKCAWCXAC-WCQGTBRESA-N 0 1 291.307 0.323 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N[C@H](CO)Cc1cnc[nH]1 ZINC001363284603 929075685 /nfs/dbraw/zinc/07/56/85/929075685.db2.gz XAAYDCHFYUAHSU-LBPRGKRZSA-N 0 1 285.307 0.318 20 30 CCEDMN N#Cc1c(NCc2c[nH]cn2)n[nH]c1N1CCOCC1 ZINC001363416763 929205058 /nfs/dbraw/zinc/20/50/58/929205058.db2.gz AHOGPLOJHUDFJL-UHFFFAOYSA-N 0 1 273.300 0.453 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](NC(=O)c1ncn[nH]1)C(C)(C)C ZINC001428390368 929231230 /nfs/dbraw/zinc/23/12/30/929231230.db2.gz RIIOAHRFOGATEO-DTWKUNHWSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](NC(=O)c1nc[nH]n1)C(C)(C)C ZINC001428390368 929231240 /nfs/dbraw/zinc/23/12/40/929231240.db2.gz RIIOAHRFOGATEO-DTWKUNHWSA-N 0 1 292.343 0.225 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CCCN3C(N)=O)[nH]c2c1 ZINC001363501752 929303789 /nfs/dbraw/zinc/30/37/89/929303789.db2.gz PANANJSMFAWIGZ-NSHDSACASA-N 0 1 298.306 0.916 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N[C@H](CO)Cc1cnc[nH]1 ZINC001363663830 929466567 /nfs/dbraw/zinc/46/65/67/929466567.db2.gz ZIBNOJNXUGLCPG-NSHDSACASA-N 0 1 273.296 0.251 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)CS(C)(=O)=O)C(C)C ZINC001444846521 929959380 /nfs/dbraw/zinc/95/93/80/929959380.db2.gz KVHIELLXUVWATR-JTQLQIEISA-N 0 1 296.820 0.514 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc(C)n[nH]1 ZINC001445140384 930046338 /nfs/dbraw/zinc/04/63/38/930046338.db2.gz VZAMTNUHAWQZAJ-VIFPVBQESA-N 0 1 272.736 0.151 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1occc1C ZINC001445234308 930071236 /nfs/dbraw/zinc/07/12/36/930071236.db2.gz LGJONBIAZSWBDK-LLVKDONJSA-N 0 1 286.759 0.950 20 30 CCEDMN Cc1cc(CNC[C@@H](C)NC(=O)c2ccc(C#N)[nH]2)ncn1 ZINC001445362754 930116821 /nfs/dbraw/zinc/11/68/21/930116821.db2.gz HYRNJOZYGGCGGZ-LLVKDONJSA-N 0 1 298.350 0.893 20 30 CCEDMN CC(C)c1nc(CN2CC[C@@H](NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001373624500 930276926 /nfs/dbraw/zinc/27/69/26/930276926.db2.gz SQLBRIQHJGRBIV-GHMZBOCLSA-N 0 1 290.371 0.778 20 30 CCEDMN C[C@H](CNCc1ncccn1)CNC(=O)c1cc(C#N)c[nH]1 ZINC001374008033 930399497 /nfs/dbraw/zinc/39/94/97/930399497.db2.gz ULQRZBKOUDCRFG-LLVKDONJSA-N 0 1 298.350 0.832 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)C(=O)[C@H]1CCCN1C ZINC001374166994 930440179 /nfs/dbraw/zinc/44/01/79/930440179.db2.gz JEPWGZRETDJTLA-CHWSQXEVSA-N 0 1 294.399 0.595 20 30 CCEDMN COc1ccnc(CNC[C@@H](C)NC(=O)[C@@H](C)C#N)c1 ZINC001374738731 930640984 /nfs/dbraw/zinc/64/09/84/930640984.db2.gz RSWHNZIETAUDFQ-WDEREUQCSA-N 0 1 276.340 0.844 20 30 CCEDMN Cc1ccc(C#N)c(N(C)CCNC(=O)Cc2nnc[nH]2)n1 ZINC001374791344 930664457 /nfs/dbraw/zinc/66/44/57/930664457.db2.gz DDKXEYRDYABSQZ-UHFFFAOYSA-N 0 1 299.338 0.175 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc(C(N)=O)cn1 ZINC001374853627 930690279 /nfs/dbraw/zinc/69/02/79/930690279.db2.gz TVOLKMHFSHPVSY-SECBINFHSA-N 0 1 296.758 0.641 20 30 CCEDMN N#C[C@]1(NC(=O)c2ncn[nH]2)CCc2ccccc2C1 ZINC001447867462 930739983 /nfs/dbraw/zinc/73/99/83/930739983.db2.gz OOAAMZGWTDNXNV-AWEZNQCLSA-N 0 1 267.292 0.986 20 30 CCEDMN N#C[C@]1(NC(=O)c2nc[nH]n2)CCc2ccccc2C1 ZINC001447867462 930739987 /nfs/dbraw/zinc/73/99/87/930739987.db2.gz OOAAMZGWTDNXNV-AWEZNQCLSA-N 0 1 267.292 0.986 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CN(C)CCNC(=O)C1CC1 ZINC001375014570 930762256 /nfs/dbraw/zinc/76/22/56/930762256.db2.gz DHQPCRYBNMGVMQ-MNOVXSKESA-N 0 1 280.372 0.109 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)C[C@@H](C)NC(=O)c1c[nH]c(C#N)c1 ZINC001375032786 930770320 /nfs/dbraw/zinc/77/03/20/930770320.db2.gz XLQVMVKSZZFENQ-SKDRFNHKSA-N 0 1 291.355 0.200 20 30 CCEDMN O=C(Cc1cnc[nH]1)NCc1ccc(C(=O)NO)cc1 ZINC001448508731 930906147 /nfs/dbraw/zinc/90/61/47/930906147.db2.gz XXXLNIWNQLRECU-UHFFFAOYSA-N 0 1 274.280 0.388 20 30 CCEDMN Cc1nnsc1CN[C@H](CNC(=O)[C@H](C)C#N)C1CC1 ZINC001376195651 931087678 /nfs/dbraw/zinc/08/76/78/931087678.db2.gz HLMOQFZSAFMOTJ-LDYMZIIASA-N 0 1 293.396 0.991 20 30 CCEDMN CCCC[C@@H](CNC(=O)[C@H](C)C#N)NC(=O)c1cnn[nH]1 ZINC001450250050 931250461 /nfs/dbraw/zinc/25/04/61/931250461.db2.gz LPSCVHKOAAXQQM-ZJUUUORDSA-N 0 1 292.343 0.369 20 30 CCEDMN C=C1CN(C(=O)c2ccc(-c3nn[nH]n3)c(O)c2)C1 ZINC001573296006 947033702 /nfs/dbraw/zinc/03/37/02/947033702.db2.gz JKCRVTPOLIAFER-UHFFFAOYSA-N 0 1 257.253 0.584 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC001602701884 971327419 /nfs/dbraw/zinc/32/74/19/971327419.db2.gz BOEYPBWULFMXBB-AGIUHOORSA-N 0 1 278.352 0.653 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000328365656 971466450 /nfs/dbraw/zinc/46/64/50/971466450.db2.gz GTECGVNPXVKRII-VXGBXAGGSA-N 0 1 281.356 0.304 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC001589391512 954325639 /nfs/dbraw/zinc/32/56/39/954325639.db2.gz CCOIKROCNBIDRX-GRYCIOLGSA-N 0 1 281.356 0.790 20 30 CCEDMN CCC#C[C@@H](C)[N@@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001589420561 954597968 /nfs/dbraw/zinc/59/79/68/954597968.db2.gz WKROZGZQQOMORQ-DGCLKSJQSA-N 0 1 255.314 0.590 20 30 CCEDMN CCC#C[C@@H](C)[N@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001589420561 954597976 /nfs/dbraw/zinc/59/79/76/954597976.db2.gz WKROZGZQQOMORQ-DGCLKSJQSA-N 0 1 255.314 0.590 20 30 CCEDMN C[C@@H](C[N@@H+](C)CC(=O)NCc1cccc(C#N)c1)C(=O)[O-] ZINC001589032621 955528181 /nfs/dbraw/zinc/52/81/81/955528181.db2.gz ICDQMCJKDHHTLC-NSHDSACASA-N 0 1 289.335 0.827 20 30 CCEDMN C[C@H](C[N@@H+](C)Cc1cnc2c(C#N)cnn2c1)C(=O)[O-] ZINC001589034294 955550661 /nfs/dbraw/zinc/55/06/61/955550661.db2.gz WJADGDUXFVALMW-SECBINFHSA-N 0 1 273.296 0.753 20 30 CCEDMN N#CC1(C[C@H](O)C[N@H+]2CC[C@@H](C(=O)[O-])C2)CCC1 ZINC001594603602 956156393 /nfs/dbraw/zinc/15/63/93/956156393.db2.gz GHVCQYMSQOFWHN-MNOVXSKESA-N 0 1 252.314 0.838 20 30 CCEDMN C#C[C@@H](CO)[NH2+]Cc1ccc(N2CCC(C(=O)[O-])CC2)o1 ZINC001588388681 957998454 /nfs/dbraw/zinc/99/84/54/957998454.db2.gz YRFPQJWRVNQJHV-LBPRGKRZSA-N 0 1 292.335 0.664 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)C[C@H](C)n1cc[nH+]c1CC ZINC001588400474 958082245 /nfs/dbraw/zinc/08/22/45/958082245.db2.gz YKWYULIIEZONMD-NSHDSACASA-N 0 1 277.324 0.943 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@@H](C)C[N@H+]1CCC[C@@H](C)C1 ZINC001588407645 958101002 /nfs/dbraw/zinc/10/10/02/958101002.db2.gz SOIGULKCLJTUJI-OLZOCXBDSA-N 0 1 295.383 0.836 20 30 CCEDMN C[C@@H]1[C@H](C(=O)[O-])CC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000092940201 958209153 /nfs/dbraw/zinc/20/91/53/958209153.db2.gz WQIWQOJDZHUATI-VXGBXAGGSA-N 0 1 292.339 0.437 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H]1CCCC[C@H]1C(=O)OC ZINC001588423986 958210302 /nfs/dbraw/zinc/21/03/02/958210302.db2.gz IOCGTELIFFHKSY-NWDGAFQWSA-N 0 1 267.325 0.986 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H]1CCCC[C@H]1C(=O)OC ZINC001588423986 958210315 /nfs/dbraw/zinc/21/03/15/958210315.db2.gz IOCGTELIFFHKSY-NWDGAFQWSA-N 0 1 267.325 0.986 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)[C@H]2CC[C@H]2C(=O)[O-])C1 ZINC001588430647 958260190 /nfs/dbraw/zinc/26/01/90/958260190.db2.gz OJMQVMJILCGCGF-GRYCIOLGSA-N 0 1 264.325 0.311 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CC[C@H]2C(=O)[O-])C1 ZINC001588430647 958260210 /nfs/dbraw/zinc/26/02/10/958260210.db2.gz OJMQVMJILCGCGF-GRYCIOLGSA-N 0 1 264.325 0.311 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC000104196444 958362705 /nfs/dbraw/zinc/36/27/05/958362705.db2.gz GYCDUFZEHYDEGT-JTQLQIEISA-N 0 1 280.246 0.628 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC000104196444 958362712 /nfs/dbraw/zinc/36/27/12/958362712.db2.gz GYCDUFZEHYDEGT-JTQLQIEISA-N 0 1 280.246 0.628 20 30 CCEDMN C[C@@H]1C[N@H+](C[C@H](O)c2ccc(C#N)cc2)C[C@@H](C(=O)[O-])O1 ZINC001571037874 960545760 /nfs/dbraw/zinc/54/57/60/960545760.db2.gz CDVYRCVRIAMGPB-SWHYSGLUSA-N 0 1 290.319 0.766 20 30 CCEDMN C[C@@H]1C[N@@H+](C[C@H](O)c2ccc(C#N)cc2)C[C@@H](C(=O)[O-])O1 ZINC001571037874 960545770 /nfs/dbraw/zinc/54/57/70/960545770.db2.gz CDVYRCVRIAMGPB-SWHYSGLUSA-N 0 1 290.319 0.766 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CC[N@@H+](C[C@H](O)CC2(C#N)CC2)C1 ZINC001574133806 962556391 /nfs/dbraw/zinc/55/63/91/962556391.db2.gz MJXJCURSPCFBKS-BXUZGUMPSA-N 0 1 282.340 0.464 20 30 CCEDMN C#CCC[N@@H+]1CCCCC[C@H]1CNC(=O)CNC(=O)[O-] ZINC001573302153 962645906 /nfs/dbraw/zinc/64/59/06/962645906.db2.gz QYEGDPSACZSEKZ-LBPRGKRZSA-N 0 1 281.356 0.638 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)CNC(=O)[O-])[C@@H]1C ZINC001573302695 962658993 /nfs/dbraw/zinc/65/89/93/962658993.db2.gz ZCHRMDCCJWTESC-QWRGUYRKSA-N 0 1 267.329 0.246 20 30 CCEDMN N#Cc1ccc(F)cc1C[N@@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC000401924612 973537703 /nfs/dbraw/zinc/53/77/03/973537703.db2.gz QIJVBVNZCSHXDF-DGCLKSJQSA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1ccc(F)cc1C[N@H+]1C[C@H](O)C[C@@H](C(=O)[O-])C1 ZINC000401924612 973537711 /nfs/dbraw/zinc/53/77/11/973537711.db2.gz QIJVBVNZCSHXDF-DGCLKSJQSA-N 0 1 278.283 0.965 20 30 CCEDMN C#C[C@H](C)[N@@H+](C)CC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC ZINC001588368667 983308076 /nfs/dbraw/zinc/30/80/76/983308076.db2.gz QMJFZVPZCFWPPL-NHCYSSNCSA-N 0 1 254.330 0.555 20 30 CCEDMN C#C[C@H](C)[N@H+](C)CC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC ZINC001588368667 983308082 /nfs/dbraw/zinc/30/80/82/983308082.db2.gz QMJFZVPZCFWPPL-NHCYSSNCSA-N 0 1 254.330 0.555 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](N2CCOCC2)CC1 ZINC001588662578 983790308 /nfs/dbraw/zinc/79/03/08/983790308.db2.gz CJCZFGRLRUIWQI-OLZOCXBDSA-N 0 1 268.357 0.812 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@](O)(C2(C(=O)OC)CCC2)C1 ZINC001588663767 983796612 /nfs/dbraw/zinc/79/66/12/983796612.db2.gz PIPKMVICEKFWTC-IAQYHMDHSA-N 0 1 297.351 0.796 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CC[C@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC001588664148 983799013 /nfs/dbraw/zinc/79/90/13/983799013.db2.gz UAUWRYWUWXBOPX-CBAPKCEASA-N 0 1 265.269 0.316 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1C[C@H](C)O[C@]2(CCOC2)C1 ZINC001588729510 983990699 /nfs/dbraw/zinc/99/06/99/983990699.db2.gz RIGPRGSMMPLEMJ-DMDPSCGWSA-N 0 1 255.314 0.896 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)CCCc1[nH]nc(N)c1C#N ZINC001588729664 983992242 /nfs/dbraw/zinc/99/22/42/983992242.db2.gz SOWCQOFZTNCDEI-LLVKDONJSA-N 0 1 277.328 0.757 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCCN(C(=O)CC)CC1 ZINC001588730016 983995694 /nfs/dbraw/zinc/99/56/94/983995694.db2.gz OTRGUNUCXNFMPK-NSHDSACASA-N 0 1 254.330 0.960 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CCc2c(nnn2CCOC)C1 ZINC001588731091 984004676 /nfs/dbraw/zinc/00/46/76/984004676.db2.gz CTTFIYAAWPENPL-GFCCVEGCSA-N 0 1 280.328 0.312 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCc2c(nnn2CCOC)C1 ZINC001588731091 984004684 /nfs/dbraw/zinc/00/46/84/984004684.db2.gz CTTFIYAAWPENPL-GFCCVEGCSA-N 0 1 280.328 0.312 20 30 CCEDMN C=CCC[N@@H+](CC)CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001588807324 984259447 /nfs/dbraw/zinc/25/94/47/984259447.db2.gz JKSIGPANWQRWNS-GHMZBOCLSA-N 0 1 254.330 0.721 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2CC[C@@H](C(=O)[O-])[C@H]2C)C1=O ZINC001588838394 984337375 /nfs/dbraw/zinc/33/73/75/984337375.db2.gz FCDOWELGEGYYPI-GHMZBOCLSA-N 0 1 267.329 0.663 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2C[C@@H]3CCC[C@@H]3[C@H]2C(=O)[O-])C1=O ZINC001588839103 984344341 /nfs/dbraw/zinc/34/43/41/984344341.db2.gz OJYXFSAKUVEDPC-CYDGBPFRSA-N 0 1 278.352 0.958 20 30 CCEDMN CC#CCCNC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC001588927081 984596362 /nfs/dbraw/zinc/59/63/62/984596362.db2.gz LXDFYBZGOGEDFI-GFCCVEGCSA-N 0 1 281.356 0.590 20 30 CCEDMN CCO[C@@H]1C[C@@]([NH2+]CC(=O)NCCC#N)(C(=O)[O-])C1(C)C ZINC001596833735 985470786 /nfs/dbraw/zinc/47/07/86/985470786.db2.gz PXHBCGNEQOFEKZ-QMTHXVAHSA-N 0 1 297.355 0.264 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCSC[C@H](C(=O)[O-])C1 ZINC001589339568 986236971 /nfs/dbraw/zinc/23/69/71/986236971.db2.gz DKWIAJBOHPEONJ-WDEREUQCSA-N 0 1 299.396 0.497 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC001589389089 986500695 /nfs/dbraw/zinc/50/06/95/986500695.db2.gz VHPGGXGVLMGUCX-GWCFXTLKSA-N 0 1 279.340 0.734 20 30 CCEDMN C[N@@H+](CC(=O)NC1(C#N)CCC1)C(C)(C)CC(=O)[O-] ZINC001598386966 991614880 /nfs/dbraw/zinc/61/48/80/991614880.db2.gz NQODFSYUKQZFMU-UHFFFAOYSA-N 0 1 267.329 0.734 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CCC[N@H+]1C[C@H](O)CC1(C#N)CCC1 ZINC001599193238 997165209 /nfs/dbraw/zinc/16/52/09/997165209.db2.gz BVYFARGPWJHRPM-IUODEOHRSA-N 0 1 296.367 0.997 20 30 CCEDMN CC(C)(C#N)CNC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599745432 361803825 /nfs/dbraw/zinc/80/38/25/361803825.db2.gz URKWFOBEBQVMGG-UHFFFAOYSA-N 0 1 296.352 0.733 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](N3CC[C@@H](O)C3)C2)s1 ZINC000599939560 361836187 /nfs/dbraw/zinc/83/61/87/361836187.db2.gz XFOBMURSFYVGCW-GHMZBOCLSA-N 0 1 291.376 0.901 20 30 CCEDMN N#Cc1cccc(C(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000600526754 362003733 /nfs/dbraw/zinc/00/37/33/362003733.db2.gz LGFCFGOPYJGSSH-CYBMUJFWSA-N 0 1 259.309 0.355 20 30 CCEDMN N#CCc1ccc(C(=O)NCCN2CC[C@@H](O)C2)cc1 ZINC000600534217 362004800 /nfs/dbraw/zinc/00/48/00/362004800.db2.gz LPNMKNXCDQSXQC-CQSZACIVSA-N 0 1 273.336 0.549 20 30 CCEDMN CO[C@H]1C[C@H](N[C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000600613767 362024122 /nfs/dbraw/zinc/02/41/22/362024122.db2.gz CBLSZPZISMSJII-JHJVBQTASA-N 0 1 259.309 0.852 20 30 CCEDMN COCCN1CCN(C[C@H](O)c2ccc(C#N)cc2)CC1 ZINC000126936268 187271592 /nfs/dbraw/zinc/27/15/92/187271592.db2.gz QCZGAHOCXRMICU-INIZCTEOSA-N 0 1 289.379 0.856 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCc2cncnc2C1 ZINC000332697300 529867366 /nfs/dbraw/zinc/86/73/66/529867366.db2.gz SUUNOMKFFKMYSV-UHFFFAOYSA-N 0 1 273.340 0.597 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)NCC1(N(C)C)CC1 ZINC000601450212 362277848 /nfs/dbraw/zinc/27/78/48/362277848.db2.gz MMQGWLXYYKQHAT-SNVBAGLBSA-N 0 1 274.390 0.006 20 30 CCEDMN Cc1cc(Cc2nnc(N3CC[C@@](F)(C#N)C3)n2C)[nH]n1 ZINC000601855103 362411158 /nfs/dbraw/zinc/41/11/58/362411158.db2.gz GTJMGLQGUVVYIZ-CYBMUJFWSA-N 0 1 289.318 0.879 20 30 CCEDMN N#Cc1c(F)cccc1CNCC1(CO)COC1 ZINC000602011851 362457147 /nfs/dbraw/zinc/45/71/47/362457147.db2.gz MSRVDBGKLLXMPF-UHFFFAOYSA-N 0 1 250.273 0.796 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCCc2cscn2)C1 ZINC000330173585 530070886 /nfs/dbraw/zinc/07/08/86/530070886.db2.gz HNGAAQXXQDMLGD-GFCCVEGCSA-N 0 1 297.428 0.435 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC2(C)CCOCC2)C1 ZINC000329027800 530070919 /nfs/dbraw/zinc/07/09/19/530070919.db2.gz KHBFPLNHQRTKIW-CYBMUJFWSA-N 0 1 298.431 0.553 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2cccc(C#N)c2)n1 ZINC000355677806 232045096 /nfs/dbraw/zinc/04/50/96/232045096.db2.gz GAGSUDMAZDYLFR-UHFFFAOYSA-N 0 1 255.281 0.957 20 30 CCEDMN C=CCSCCNC(=O)NCCN1CC[C@@H](O)C1 ZINC000602244944 362567657 /nfs/dbraw/zinc/56/76/57/362567657.db2.gz HPEKGYXBEDYGRI-LLVKDONJSA-N 0 1 273.402 0.271 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000602206976 362559374 /nfs/dbraw/zinc/55/93/74/362559374.db2.gz VNDNGPUTAGTXPG-GFCCVEGCSA-N 0 1 287.367 0.887 20 30 CCEDMN C[C@H](Oc1ccccc1C#N)C(=O)NC[C@@H]1COCCN1 ZINC000602553843 362676748 /nfs/dbraw/zinc/67/67/48/362676748.db2.gz XDCRZWJPCRHTLP-WCQYABFASA-N 0 1 289.335 0.430 20 30 CCEDMN C=CCNC(=O)CNCc1cc(C(=O)OC)c(C)[nH]1 ZINC000602585169 362692322 /nfs/dbraw/zinc/69/23/22/362692322.db2.gz OMDJPRVXDBLWTQ-UHFFFAOYSA-N 0 1 265.313 0.502 20 30 CCEDMN N#Cc1cccc(CN[C@@H]2CC(=O)N(C3CC3)C2)n1 ZINC000602586953 362693342 /nfs/dbraw/zinc/69/33/42/362693342.db2.gz FGOCSVRIUMQZTK-GFCCVEGCSA-N 0 1 256.309 0.806 20 30 CCEDMN N#CCCN1CCN(C(=O)c2cnccc2N)CC1 ZINC000602605039 362709892 /nfs/dbraw/zinc/70/98/92/362709892.db2.gz RRAKQOVZTXVSNJ-UHFFFAOYSA-N 0 1 259.313 0.166 20 30 CCEDMN CC(C)OC[C@H](O)CN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602865656 362848396 /nfs/dbraw/zinc/84/83/96/362848396.db2.gz UKNLYFYHMSEJQM-MGPQQGTHSA-N 0 1 269.389 0.690 20 30 CCEDMN CC[C@@H]1CN(C(=O)c2ccc(C#N)[nH]2)CCN1C[C@H](C)O ZINC000269760045 137069335 /nfs/dbraw/zinc/06/93/35/137069335.db2.gz GUTWBMCJYLUTOR-WCQYABFASA-N 0 1 290.367 0.804 20 30 CCEDMN Cc1noc(CNS(=O)(=O)c2cncc(C#N)c2)n1 ZINC000311518062 137075191 /nfs/dbraw/zinc/07/51/91/137075191.db2.gz CLIRVDAZDYUWHG-UHFFFAOYSA-N 0 1 279.281 0.123 20 30 CCEDMN CC[C@@H]1COCCN1CCC(=O)N(C)CCC#N ZINC000036326301 348165546 /nfs/dbraw/zinc/16/55/46/348165546.db2.gz DLUBRICZAGCDHR-GFCCVEGCSA-N 0 1 253.346 0.859 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H]2CC[N@H+]2C(C)(C)C)[n-]1 ZINC000602884321 362863248 /nfs/dbraw/zinc/86/32/48/362863248.db2.gz BCTVAHHQVMSPSZ-LLVKDONJSA-N 0 1 290.371 0.708 20 30 CCEDMN C[C@@H](N(C)C(=O)N=c1[nH]n(C)cc1C#N)C(C)(C)O ZINC000602883912 362863450 /nfs/dbraw/zinc/86/34/50/362863450.db2.gz ZCSDHMSORUPMTD-MRVPVSSYSA-N 0 1 265.317 0.337 20 30 CCEDMN C[C@H]1[C@@H](O)CCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000602878757 362859582 /nfs/dbraw/zinc/85/95/82/362859582.db2.gz AAQZJMXQHBUMMH-WPRPVWTQSA-N 0 1 263.301 0.091 20 30 CCEDMN C[C@@H](C(=O)NC[C@H]1CCCN(CC#N)C1)N(C)C ZINC000602897328 362872553 /nfs/dbraw/zinc/87/25/53/362872553.db2.gz UDMPJYRNGLBNEA-NWDGAFQWSA-N 0 1 252.362 0.288 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@H](C)[C@@](C)(C(=O)OC)C1 ZINC000602926257 362888967 /nfs/dbraw/zinc/88/89/67/362888967.db2.gz IJVRLMQEHVHYCM-HZMBPMFUSA-N 0 1 297.355 0.129 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN([C@@H](CC)CO)CC1 ZINC000075961377 349060330 /nfs/dbraw/zinc/06/03/30/349060330.db2.gz FRYHRBSOEZLWIA-STQMWFEESA-N 0 1 269.389 0.066 20 30 CCEDMN C[C@H]1CN(S(=O)(=O)c2ccccc2C#N)[C@@H](C)CN1 ZINC000086468790 349340122 /nfs/dbraw/zinc/34/01/22/349340122.db2.gz JRRWMVKXHGKAFY-QWRGUYRKSA-N 0 1 279.365 0.929 20 30 CCEDMN Cc1nc(CN2CCN(c3cnc(C#N)cn3)CC2)n[nH]1 ZINC000129038421 350204555 /nfs/dbraw/zinc/20/45/55/350204555.db2.gz KSAVNZGDOKRJCD-UHFFFAOYSA-N 0 1 284.327 0.097 20 30 CCEDMN CCN(CC(=O)N[C@@]1(C#N)CCSC1)C1CC1 ZINC000131772583 350287024 /nfs/dbraw/zinc/28/70/24/350287024.db2.gz CWKCCHAPVKWLQK-GFCCVEGCSA-N 0 1 253.371 0.986 20 30 CCEDMN Cc1cc(NC(=O)N(C)CC2CN(C)C2)ncc1C#N ZINC000603109963 362966924 /nfs/dbraw/zinc/96/69/24/362966924.db2.gz CNNMWDIRJAENPW-UHFFFAOYSA-N 0 1 273.340 0.709 20 30 CCEDMN N#Cc1cc(NC(=O)NCCN2CCCOCC2)ccn1 ZINC000603127064 362971296 /nfs/dbraw/zinc/97/12/96/362971296.db2.gz RONIVUMQSHOOGB-UHFFFAOYSA-N 0 1 289.339 0.797 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)c1[nH]nc2c1CCCC2 ZINC000156241312 350628380 /nfs/dbraw/zinc/62/83/80/350628380.db2.gz YMDKQVFRLSZXAL-ZDUSSCGKSA-N 0 1 262.313 0.947 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)CCC(=O)NC ZINC000170783810 350860740 /nfs/dbraw/zinc/86/07/40/350860740.db2.gz HJIDCAGZPGQBLD-UHFFFAOYSA-N 0 1 253.346 0.255 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](C(=O)OC)C2)CC1 ZINC000171469925 350910279 /nfs/dbraw/zinc/91/02/79/350910279.db2.gz QGXHQTOQJHVMJN-AWEZNQCLSA-N 0 1 292.379 0.743 20 30 CCEDMN CC[C@@H](O)CN1CCN(c2cccc(C#N)n2)CC1 ZINC000172761481 351008447 /nfs/dbraw/zinc/00/84/47/351008447.db2.gz JQGQJOYASGTJCQ-CYBMUJFWSA-N 0 1 260.341 0.846 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(Cn2cncn2)CC1 ZINC000186265017 351488473 /nfs/dbraw/zinc/48/84/73/351488473.db2.gz XORMSVJNDYLCIH-GFCCVEGCSA-N 0 1 275.356 0.128 20 30 CCEDMN C=CCOc1ccccc1CNC(=O)[C@H]1CNCCO1 ZINC000187754014 351555292 /nfs/dbraw/zinc/55/52/92/351555292.db2.gz TUDGYSHALJMJCZ-CQSZACIVSA-N 0 1 276.336 0.856 20 30 CCEDMN C#CCNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000216269931 351941292 /nfs/dbraw/zinc/94/12/92/351941292.db2.gz NOTKFDNDHRTUAK-ZETCQYMHSA-N 0 1 250.220 0.439 20 30 CCEDMN CO[C@H](C)CNCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000224188012 351996910 /nfs/dbraw/zinc/99/69/10/351996910.db2.gz FMUHUAHYSSANGM-LLVKDONJSA-N 0 1 282.365 0.956 20 30 CCEDMN COc1cc(CN[C@H]2CCCNC2=O)ccc1C#N ZINC000234952956 352178389 /nfs/dbraw/zinc/17/83/89/352178389.db2.gz YXFIPQMAKQJLFA-LBPRGKRZSA-N 0 1 259.309 0.935 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(C[C@H]2C(=O)OC)CC1 ZINC000265041398 352615659 /nfs/dbraw/zinc/61/56/59/352615659.db2.gz KCACIWOKZZYMBC-NEPJUHHUSA-N 0 1 280.368 0.952 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)Cc2ccc(C#N)cc2)n1 ZINC000267224863 352752806 /nfs/dbraw/zinc/75/28/06/352752806.db2.gz UXWOSPGWLFLNDV-UHFFFAOYSA-N 0 1 269.308 0.886 20 30 CCEDMN C#CCN(C)CC(=O)NC(=O)c1ccc(OC)cc1 ZINC000277004827 353216748 /nfs/dbraw/zinc/21/67/48/353216748.db2.gz OWPNLQCNZGBWFY-UHFFFAOYSA-N 0 1 260.293 0.517 20 30 CCEDMN C=C(C)CNC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000154331657 188136584 /nfs/dbraw/zinc/13/65/84/188136584.db2.gz HOSYKOQQCMCGRG-UHFFFAOYSA-N 0 1 260.301 0.481 20 30 CCEDMN C#CCC(CC#C)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000277751716 353263605 /nfs/dbraw/zinc/26/36/05/353263605.db2.gz RPVXULDRZNDZPS-AWEZNQCLSA-N 0 1 275.396 0.401 20 30 CCEDMN CCOC(=O)[C@@H](C)[C@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000619203982 366216173 /nfs/dbraw/zinc/21/61/73/366216173.db2.gz MSEUQIGLPUXKSG-IUCAKERBSA-N 0 1 293.327 0.423 20 30 CCEDMN CN(C)C[C@@H]1CCCN1S(=O)(=O)c1cncc(C#N)c1 ZINC000336840120 355210907 /nfs/dbraw/zinc/21/09/07/355210907.db2.gz PNRGMMMXSQTNGJ-LBPRGKRZSA-N 0 1 294.380 0.668 20 30 CCEDMN Cc1cc(N2CCN(C(=O)CN(C)C)CC2)c(C#N)cn1 ZINC000425212718 235273905 /nfs/dbraw/zinc/27/39/05/235273905.db2.gz WQJKXHAWXPKANI-UHFFFAOYSA-N 0 1 287.367 0.472 20 30 CCEDMN N#Cc1ccc([C@@H](NCCN2CCOCC2)C(N)=O)cc1 ZINC000425979730 235323268 /nfs/dbraw/zinc/32/32/68/235323268.db2.gz UNNZZYOMLUJDSV-CQSZACIVSA-N 0 1 288.351 0.006 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)C(=O)NCCCCC#N)CN1C1CC1 ZINC000343337385 355769285 /nfs/dbraw/zinc/76/92/85/355769285.db2.gz MKXZILGREJISRQ-NEPJUHHUSA-N 0 1 292.383 0.538 20 30 CCEDMN CC#CCN1CCC[C@@H](CNS(=O)(=O)C2CC2)C1 ZINC000344051544 355781862 /nfs/dbraw/zinc/78/18/62/355781862.db2.gz IFGMGJQPVLDLGT-LBPRGKRZSA-N 0 1 270.398 0.804 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000344205608 355789361 /nfs/dbraw/zinc/78/93/61/355789361.db2.gz QGTWSIDHVOSCTE-UKRRQHHQSA-N 0 1 284.400 0.787 20 30 CCEDMN N#Cc1cc(C(=O)NCCc2nc[nH]n2)ccc1F ZINC000353463515 356228688 /nfs/dbraw/zinc/22/86/88/356228688.db2.gz MIPNAEWRZQKOLO-UHFFFAOYSA-N 0 1 259.244 0.788 20 30 CCEDMN N#Cc1ccc(CN[C@]2(CO)CCOC2)c(F)c1 ZINC000273520750 192186195 /nfs/dbraw/zinc/18/61/95/192186195.db2.gz SOBVLTLBJDBGTO-ZDUSSCGKSA-N 0 1 250.273 0.938 20 30 CCEDMN N#Cc1csc(C(=O)Nc2nn[nH]c2C(N)=O)c1 ZINC000362401087 138057894 /nfs/dbraw/zinc/05/78/94/138057894.db2.gz HQNMVBAAKAFZEM-UHFFFAOYSA-N 0 1 262.254 0.089 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2(CC#N)CC2)[nH]1 ZINC000619537018 366277814 /nfs/dbraw/zinc/27/78/14/366277814.db2.gz VQWOIQXKELIUAC-UHFFFAOYSA-N 0 1 258.285 0.529 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(CCCO)C1CC1 ZINC000610132996 363538569 /nfs/dbraw/zinc/53/85/69/363538569.db2.gz QABNCLDBWIETFF-LBPRGKRZSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN1CCCN(C(=O)c2cnn(C)c2C#N)CC1 ZINC000355786297 356646663 /nfs/dbraw/zinc/64/66/63/356646663.db2.gz BKFUFBQTXBEFDG-UHFFFAOYSA-N 0 1 261.329 0.460 20 30 CCEDMN C[N@H+]1CCC[C@H](NC(=O)N=c2ccn(CCC#N)[nH]2)C1 ZINC000610326166 363552114 /nfs/dbraw/zinc/55/21/14/363552114.db2.gz IZSMDXJOGKWSPW-NSHDSACASA-N 0 1 276.344 0.434 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@@H]1CCCN(CC#N)C1 ZINC000610485969 363564889 /nfs/dbraw/zinc/56/48/89/363564889.db2.gz UDHNSGNTHFLBNL-KBPBESRZSA-N 0 1 278.400 0.822 20 30 CCEDMN Cc1ncc(CNCc2cc(C#N)n(C)c2)c(=O)[nH]1 ZINC000359680972 356869150 /nfs/dbraw/zinc/86/91/50/356869150.db2.gz RTQHFZYALFBVRJ-UHFFFAOYSA-N 0 1 257.297 0.991 20 30 CCEDMN N#Cc1ccsc1C(=O)N1CCc2[nH]nnc2C1 ZINC000359577553 356849968 /nfs/dbraw/zinc/84/99/68/356849968.db2.gz NYQTUWSSUYULJP-UHFFFAOYSA-N 0 1 259.294 0.936 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C(C)C)[C@H]1CCOC1 ZINC000361407093 357047504 /nfs/dbraw/zinc/04/75/04/357047504.db2.gz CGLFDPPNCPXPRS-NSHDSACASA-N 0 1 269.345 0.498 20 30 CCEDMN CC[C@H](C)[N@@H+](C)CCNC(=O)N=c1[n-]n(C)cc1C#N ZINC000610527835 363572751 /nfs/dbraw/zinc/57/27/51/363572751.db2.gz ORUZKSWEBDEFBN-JTQLQIEISA-N 0 1 278.360 0.565 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCCOCC2CC2)[nH]1 ZINC000610528073 363572673 /nfs/dbraw/zinc/57/26/73/363572673.db2.gz LOINPRNDDWTKBR-UHFFFAOYSA-N 0 1 277.328 0.652 20 30 CCEDMN Cc1nc(CNC(=O)N=c2[nH]n(C)cc2C#N)cs1 ZINC000610562904 363577646 /nfs/dbraw/zinc/57/76/46/363577646.db2.gz KHHUBKGWHFDULF-UHFFFAOYSA-N 0 1 276.325 0.800 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCCc3c2cnn3C)[nH]1 ZINC000610561986 363576328 /nfs/dbraw/zinc/57/63/28/363576328.db2.gz GTDCADYTDBTITN-LLVKDONJSA-N 0 1 299.338 0.646 20 30 CCEDMN C[C@H]1CN(C2CCOCC2)CCN1C(=O)CSCC#N ZINC000365889363 357176202 /nfs/dbraw/zinc/17/62/02/357176202.db2.gz XFLOXFYGQRXIPA-LBPRGKRZSA-N 0 1 297.424 0.955 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1c1ccc([N+](=O)[O-])c(C#N)c1 ZINC000450955058 236125664 /nfs/dbraw/zinc/12/56/64/236125664.db2.gz PBKONJMODHUEHD-LLVKDONJSA-N 0 1 290.323 0.969 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CCCCN2CCO)ccn1 ZINC000452379458 236239534 /nfs/dbraw/zinc/23/95/34/236239534.db2.gz QYZGSMJGEQNZQU-AWEZNQCLSA-N 0 1 288.351 0.530 20 30 CCEDMN C[C@@H]1COCCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000610949310 363636910 /nfs/dbraw/zinc/63/69/10/363636910.db2.gz CONIUJFEBKEHOS-SECBINFHSA-N 0 1 263.301 0.356 20 30 CCEDMN N#Cc1ccc(N2CCC(NC(=O)c3ncn[nH]3)CC2)nc1 ZINC000610949790 363636970 /nfs/dbraw/zinc/63/69/70/363636970.db2.gz QJKLBSVQBVJFSY-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1ccc(N2CCC(NC(=O)c3nc[nH]n3)CC2)nc1 ZINC000610949790 363636973 /nfs/dbraw/zinc/63/69/73/363636973.db2.gz QJKLBSVQBVJFSY-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@@H](O)C[C@@H]1CCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000611050230 363653824 /nfs/dbraw/zinc/65/38/24/363653824.db2.gz QINUCSKBBVVHSV-KOLCDFICSA-N 0 1 277.328 0.481 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000588650774 359328187 /nfs/dbraw/zinc/32/81/87/359328187.db2.gz QCRZOYHPMKKNQG-LLVKDONJSA-N 0 1 268.361 0.244 20 30 CCEDMN CN1CCN(CCNC(=O)CCCCCC#N)CC1 ZINC000588748613 359335530 /nfs/dbraw/zinc/33/55/30/359335530.db2.gz GLSUVLUANIXCBR-UHFFFAOYSA-N 0 1 266.389 0.824 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3ccc(C#N)nc3)[C@@H]2C1 ZINC000589926667 359410178 /nfs/dbraw/zinc/41/01/78/359410178.db2.gz YEODDHYORBIKDW-ZWNOBZJWSA-N 0 1 292.364 0.278 20 30 CCEDMN Cc1nc(CN2CCN([C@@H](C#N)C(C)C)CC2)n[nH]1 ZINC000589988531 359415245 /nfs/dbraw/zinc/41/52/45/359415245.db2.gz MQSZLRVXUZWZPZ-LBPRGKRZSA-N 0 1 262.361 0.779 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000590005657 359416252 /nfs/dbraw/zinc/41/62/52/359416252.db2.gz DSMUJTFTQUWFGM-WHPHWUKISA-N 0 1 279.384 0.599 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC3(C2)CCOCC3)[nH]1 ZINC000590121337 359425363 /nfs/dbraw/zinc/42/53/63/359425363.db2.gz FGMRSMHJSXWTME-UHFFFAOYSA-N 0 1 275.312 0.358 20 30 CCEDMN N#Cc1cccc(C=CC(=O)NC2(c3nn[nH]n3)CC2)c1 ZINC000491995796 237047253 /nfs/dbraw/zinc/04/72/53/237047253.db2.gz XEAWMGIDOGMPLG-PLNGDYQASA-N 0 1 280.291 0.890 20 30 CCEDMN Cc1cc(C#N)cc(NCCN2C[C@H]3CC[C@@H](C2)O3)n1 ZINC000590722038 359511698 /nfs/dbraw/zinc/51/16/98/359511698.db2.gz MLRHUMYGCNBPGC-OKILXGFUSA-N 0 1 272.352 0.959 20 30 CCEDMN C[C@@H]1[C@@H](O)CCN1CC(=O)NCc1cccc(C#N)c1 ZINC000590759065 359518406 /nfs/dbraw/zinc/51/84/06/359518406.db2.gz OXZZRYSASAMDLX-RISCZKNCSA-N 0 1 273.336 0.630 20 30 CCEDMN CCCc1cc(C(=O)N(CC#N)CCOC)n[nH]1 ZINC000590892103 359535834 /nfs/dbraw/zinc/53/58/34/359535834.db2.gz SYRXAOPPJMZWDY-UHFFFAOYSA-N 0 1 250.302 0.974 20 30 CCEDMN CCO[C@@H]1CCC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000590822544 359526926 /nfs/dbraw/zinc/52/69/26/359526926.db2.gz YXVNXWSWJVLRED-GHMZBOCLSA-N 0 1 277.328 0.793 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCOC2(C)C)[nH]1 ZINC000590819436 359527674 /nfs/dbraw/zinc/52/76/74/359527674.db2.gz JYNUJAHFJJDSPZ-VIFPVBQESA-N 0 1 263.301 0.403 20 30 CCEDMN CCn1ccnc1[C@H](C)NC[C@H](O)CN(C)CCC#N ZINC000591769950 359656150 /nfs/dbraw/zinc/65/61/50/359656150.db2.gz YDVGHLTVBKTPDQ-STQMWFEESA-N 0 1 279.388 0.760 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H](CO)CC(F)(F)F)[nH]1 ZINC000611141003 363664323 /nfs/dbraw/zinc/66/43/23/363664323.db2.gz XHANHPNIOVVPHX-SSDOTTSWSA-N 0 1 291.233 0.148 20 30 CCEDMN N#Cc1cncc(N2CCN([C@@H]3CCC[C@H]3O)CC2)n1 ZINC000591907716 359688575 /nfs/dbraw/zinc/68/85/75/359688575.db2.gz HQMPAXWYHHMXFL-CHWSQXEVSA-N 0 1 273.340 0.384 20 30 CCEDMN CC[C@@H](CO)N1CCN(Cc2ccncc2C#N)CC1 ZINC000592070505 359746148 /nfs/dbraw/zinc/74/61/48/359746148.db2.gz QIXYOFYENKXEFP-HNNXBMFYSA-N 0 1 274.368 0.842 20 30 CCEDMN C[C@@H]1CCN(Cc2cnc3c(C#N)cnn3c2)[C@H]1CO ZINC000563148901 291199249 /nfs/dbraw/zinc/19/92/49/291199249.db2.gz CEJLUMQRAQPKAE-MFKMUULPSA-N 0 1 271.324 0.804 20 30 CCEDMN CCC1(CO)CCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000611201511 363680810 /nfs/dbraw/zinc/68/08/10/363680810.db2.gz FZTRGWNSJVXBLA-UHFFFAOYSA-N 0 1 291.355 0.730 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCOC3(CCC3)C2)[nH]1 ZINC000611228351 363688015 /nfs/dbraw/zinc/68/80/15/363688015.db2.gz NSPMLUFINNJAJZ-UHFFFAOYSA-N 0 1 275.312 0.500 20 30 CCEDMN CCN1CCN([C@H]2CCN(c3ccc(C#N)nn3)C2)CC1 ZINC000611240980 363693790 /nfs/dbraw/zinc/69/37/90/363693790.db2.gz PCKPAYPSUOWIAC-AWEZNQCLSA-N 0 1 286.383 0.564 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H](C)OC[C@@H]2CCCO2)[nH]n1C ZINC000592647328 359908071 /nfs/dbraw/zinc/90/80/71/359908071.db2.gz TYCRTZQIUUYQBX-MNOVXSKESA-N 0 1 292.339 0.545 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H](C)OC[C@H]2CCCO2)[nH]n1C ZINC000592647327 359908320 /nfs/dbraw/zinc/90/83/20/359908320.db2.gz TYCRTZQIUUYQBX-GHMZBOCLSA-N 0 1 292.339 0.545 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H](C)c2cnn(C)c2)[nH]n1C ZINC000592649863 359909292 /nfs/dbraw/zinc/90/92/92/359909292.db2.gz BCZXELDSNGWVAY-QMMMGPOBSA-N 0 1 272.312 0.498 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2ccc(N3CCC3)nc2)[nH]n1C ZINC000592651658 359909324 /nfs/dbraw/zinc/90/93/24/359909324.db2.gz TWEMNXMOPCTZCH-UHFFFAOYSA-N 0 1 296.334 0.880 20 30 CCEDMN C[C@@H]1C[C@H](CNC(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000611303352 363704657 /nfs/dbraw/zinc/70/46/57/363704657.db2.gz XUIRMNIIPUXGBN-NXEZZACHSA-N 0 1 277.328 0.650 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)c1 ZINC000593858779 360178409 /nfs/dbraw/zinc/17/84/09/360178409.db2.gz LCEWYVSJQHCCCG-UHFFFAOYSA-N 0 1 296.334 0.634 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N1CCCNC[C@@H]1C(=O)OC ZINC000593906954 360204400 /nfs/dbraw/zinc/20/44/00/360204400.db2.gz GSXDGNFIAGQXFP-NWDGAFQWSA-N 0 1 268.357 0.952 20 30 CCEDMN COC(=O)[C@H]1CNCCCN1C(=O)c1cccc(C#N)c1 ZINC000593907361 360204564 /nfs/dbraw/zinc/20/45/64/360204564.db2.gz NOOUPMVRAQDDLY-CYBMUJFWSA-N 0 1 287.319 0.535 20 30 CCEDMN COC(=O)CNC(=O)[C@@H](C)N[C@@H](C)c1cccc(C#N)c1 ZINC000611387351 363712160 /nfs/dbraw/zinc/71/21/60/363712160.db2.gz LTFAAPJCWSSYCP-WDEREUQCSA-N 0 1 289.335 0.887 20 30 CCEDMN N#Cc1ncc(C(F)(F)F)cc1-n1cc([C@@H](N)CO)nn1 ZINC000594645143 360345739 /nfs/dbraw/zinc/34/57/39/360345739.db2.gz KWPQAUGGDCJCMQ-ZETCQYMHSA-N 0 1 298.228 0.545 20 30 CCEDMN CO[C@@H]1[C@@H](C)[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)C1(C)C ZINC000611433272 363718334 /nfs/dbraw/zinc/71/83/34/363718334.db2.gz WNUBRQSGVDRHFB-JMJZKYOTSA-N 0 1 291.355 0.895 20 30 CCEDMN N#CCN1CCC(NS(=O)(=O)c2ccccc2O)CC1 ZINC000594753393 360376029 /nfs/dbraw/zinc/37/60/29/360376029.db2.gz AJDYXUFOAZLXOG-UHFFFAOYSA-N 0 1 295.364 0.658 20 30 CCEDMN CC(C)(C#N)CNS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594774024 360380687 /nfs/dbraw/zinc/38/06/87/360380687.db2.gz VSZCEGCQZMHTCU-UHFFFAOYSA-N 0 1 284.297 0.818 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)N(C)CCC#N)CCN1 ZINC000595413492 360524978 /nfs/dbraw/zinc/52/49/78/360524978.db2.gz SQJGOWHRYHIQHD-NSHDSACASA-N 0 1 274.390 0.006 20 30 CCEDMN CO[C@H](CN1CCN(C(=O)CC#N)CC1)C1CCC1 ZINC000595512476 360556867 /nfs/dbraw/zinc/55/68/67/360556867.db2.gz OLUBBMOKVQISJS-CYBMUJFWSA-N 0 1 265.357 0.859 20 30 CCEDMN N#CCNC(=O)CNC1(c2cccc(C#N)c2)CCOCC1 ZINC000595764234 360646016 /nfs/dbraw/zinc/64/60/16/360646016.db2.gz IFXLOQUNEPBTJJ-UHFFFAOYSA-N 0 1 298.346 0.793 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000595863918 360683424 /nfs/dbraw/zinc/68/34/24/360683424.db2.gz KSERGWSTFYNPJG-DMDPSCGWSA-N 0 1 253.346 0.566 20 30 CCEDMN C[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@@]1(C)CO ZINC000595875589 360688394 /nfs/dbraw/zinc/68/83/94/360688394.db2.gz QZNPGBISMQXTDW-HIFRSBDPSA-N 0 1 292.383 0.593 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@H](C)[C@](C)(CO)C1 ZINC000595880772 360689425 /nfs/dbraw/zinc/68/94/25/360689425.db2.gz ZCRZKZZHACKHRP-MJVIPROJSA-N 0 1 283.372 0.337 20 30 CCEDMN CC(C)(NC(=O)[C@@H]1CNCCO1)c1cccc(C#N)c1 ZINC000595960131 360737150 /nfs/dbraw/zinc/73/71/50/360737150.db2.gz IXPCHLFGPNWUQC-ZDUSSCGKSA-N 0 1 273.336 0.898 20 30 CCEDMN N#Cc1cnnc(NCCCCN2CCOCC2)c1 ZINC000596076032 360788769 /nfs/dbraw/zinc/78/87/69/360788769.db2.gz AUQXHDGCYGUVRQ-UHFFFAOYSA-N 0 1 261.329 0.294 20 30 CCEDMN Cn1ncc(C#N)c1NCCCCN1CCOCC1 ZINC000596076608 360789129 /nfs/dbraw/zinc/78/91/29/360789129.db2.gz IIEUINTYFTVCLV-UHFFFAOYSA-N 0 1 263.345 0.816 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N1CCCc2[nH]ncc2C1 ZINC000596009519 360774027 /nfs/dbraw/zinc/77/40/27/360774027.db2.gz UWRUPJKLKFZKEH-UHFFFAOYSA-N 0 1 297.384 0.638 20 30 CCEDMN CC(C)(CO)N1CCN(c2cc(C#N)cnn2)CC1 ZINC000596128423 360805002 /nfs/dbraw/zinc/80/50/02/360805002.db2.gz HNQSYWKUIJSLDQ-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN CCc1nc(C#N)cc(N2C[C@H](C)N(CCO)C[C@@H]2C)n1 ZINC000596275186 360864336 /nfs/dbraw/zinc/86/43/36/360864336.db2.gz ORQLGMRNHWJQSN-RYUDHWBXSA-N 0 1 289.383 0.802 20 30 CCEDMN Cc1ccc(C#N)nc1N1CCN(Cc2nnc[nH]2)CC1 ZINC000596296413 360873534 /nfs/dbraw/zinc/87/35/34/360873534.db2.gz VOUDCINSNPYXOE-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN CCc1nc(C#N)cc(NCc2n[nH]c([C@H]3CCCO3)n2)n1 ZINC000596301105 360874601 /nfs/dbraw/zinc/87/46/01/360874601.db2.gz YSJDKPIPZDXQMO-SNVBAGLBSA-N 0 1 299.338 0.914 20 30 CCEDMN COCc1nc(CNC(=O)NCC2(C#N)CCCC2)n[nH]1 ZINC000596644078 360935387 /nfs/dbraw/zinc/93/53/87/360935387.db2.gz VFPBFVIKJPMIKT-UHFFFAOYSA-N 0 1 292.343 0.834 20 30 CCEDMN COCc1nnc(CNC(=O)NCC2(C#N)CCCC2)[nH]1 ZINC000596644078 360935391 /nfs/dbraw/zinc/93/53/91/360935391.db2.gz VFPBFVIKJPMIKT-UHFFFAOYSA-N 0 1 292.343 0.834 20 30 CCEDMN CCN1CCN([C@@H]2CCN(C(=O)[C@@H](C)CC#N)C2)CC1 ZINC000597166876 361020593 /nfs/dbraw/zinc/02/05/93/361020593.db2.gz SQMMZRASNUIIBA-UONOGXRCSA-N 0 1 278.400 0.775 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CC[C@@H](N2CCN(CC)CC2)C1 ZINC000597164123 361020833 /nfs/dbraw/zinc/02/08/33/361020833.db2.gz DSLNENIGLLOSCP-HIFRSBDPSA-N 0 1 281.400 0.162 20 30 CCEDMN N#C[C@@H](CO)NC(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000597744747 361228882 /nfs/dbraw/zinc/22/88/82/361228882.db2.gz XHKVIDNTQFTFQJ-KBPBESRZSA-N 0 1 273.336 0.652 20 30 CCEDMN CN(CC1C[NH+](C)C1)C(=O)c1ccc(C#N)c([O-])c1 ZINC000598161313 361346331 /nfs/dbraw/zinc/34/63/31/361346331.db2.gz RBDFDMLTRIUILF-UHFFFAOYSA-N 0 1 259.309 0.897 20 30 CCEDMN CC(C)(C#N)CNC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000611636999 363754036 /nfs/dbraw/zinc/75/40/36/363754036.db2.gz OPZXLYKOYGFXHY-STQMWFEESA-N 0 1 294.399 0.777 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCN2C(=O)CC[C@@H]2C1 ZINC000598601749 361456586 /nfs/dbraw/zinc/45/65/86/361456586.db2.gz VTDHJYSNIYIKTO-NEPJUHHUSA-N 0 1 265.357 0.594 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCO[C@@]2(CCOC2)C1 ZINC000598605720 361457373 /nfs/dbraw/zinc/45/73/73/361457373.db2.gz VIEVFZILLGEVNW-OCCSQVGLSA-N 0 1 268.357 0.778 20 30 CCEDMN COC(=O)c1cc(S(=O)(=O)NCC2(C#N)CC2)on1 ZINC000599301953 361642571 /nfs/dbraw/zinc/64/25/71/361642571.db2.gz GGEPDINANVUPSG-UHFFFAOYSA-N 0 1 285.281 0.043 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)NCc1cnc[nH]1 ZINC000599356277 361665292 /nfs/dbraw/zinc/66/52/92/361665292.db2.gz KUEPDXKXOFXEJD-SECBINFHSA-N 0 1 271.346 0.226 20 30 CCEDMN CCO[C@@H](C(=O)NC1(C#N)CCN(C)CC1)C1CC1 ZINC000599380526 361676947 /nfs/dbraw/zinc/67/69/47/361676947.db2.gz RMHUITVZAAIVJA-GFCCVEGCSA-N 0 1 265.357 0.906 20 30 CCEDMN CCO[C@@H]1COCC[C@@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000612752016 364007739 /nfs/dbraw/zinc/00/77/39/364007739.db2.gz HRYHGACBLQMDJA-WDEREUQCSA-N 0 1 293.327 0.029 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000613473203 364259998 /nfs/dbraw/zinc/25/99/98/364259998.db2.gz XYVBZGUGAQFWBI-KOLCDFICSA-N 0 1 294.359 0.233 20 30 CCEDMN C=C[C@@H](O)C(=O)NCCN(CC)CCC(F)(F)F ZINC000613973998 364452720 /nfs/dbraw/zinc/45/27/20/364452720.db2.gz GZLOTRQHZAWOJI-SECBINFHSA-N 0 1 268.279 0.924 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000614473256 364620251 /nfs/dbraw/zinc/62/02/51/364620251.db2.gz HSGSRPDNTOGDES-MRVPVSSYSA-N 0 1 253.258 0.028 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCN(CCO)C(C)(C)C1 ZINC000614920397 364782529 /nfs/dbraw/zinc/78/25/29/364782529.db2.gz HYTGYASZRNFKDW-ZDUSSCGKSA-N 0 1 296.415 0.135 20 30 CCEDMN CN1CCC[C@H](NCc2cnc3ccc(C#N)cn23)C1=O ZINC000342781978 216531920 /nfs/dbraw/zinc/53/19/20/216531920.db2.gz RJTHVTLRVRHLSJ-ZDUSSCGKSA-N 0 1 283.335 0.916 20 30 CCEDMN N#Cc1cccc(S(=O)(=O)CCN[C@H]2C[C@@H](O)C2)c1 ZINC000564973882 291328696 /nfs/dbraw/zinc/32/86/96/291328696.db2.gz AJLASZWUDJRQSJ-TXEJJXNPSA-N 0 1 280.349 0.445 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000615847656 365105823 /nfs/dbraw/zinc/10/58/23/365105823.db2.gz BNKPZOYWCRHKMR-NDMJEZRESA-N 0 1 261.325 0.974 20 30 CCEDMN CN(CC(=O)NCc1ccc(C#N)cc1)CC1(CO)CC1 ZINC000275544317 193071164 /nfs/dbraw/zinc/07/11/64/193071164.db2.gz ZNWONNZRKLAEBY-UHFFFAOYSA-N 0 1 287.363 0.879 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000616505404 365347820 /nfs/dbraw/zinc/34/78/20/365347820.db2.gz MAPRIRRSYALJGW-NXEZZACHSA-N 0 1 262.313 0.115 20 30 CCEDMN C[C@H](CO)N1CCCN(C(=O)c2ccc(C#N)o2)CC1 ZINC000505356288 241137416 /nfs/dbraw/zinc/13/74/16/241137416.db2.gz UHXZJPBKXBVKPI-LLVKDONJSA-N 0 1 277.324 0.680 20 30 CCEDMN CN(CC#CCN1C(=O)c2ccccc2C1=O)CCC(N)=O ZINC000625427605 368195646 /nfs/dbraw/zinc/19/56/46/368195646.db2.gz IKGJMBLFVPOQCJ-UHFFFAOYSA-N 0 1 299.330 0.093 20 30 CCEDMN C=CC[C@@H]1CCN(CC(=O)NCC(=O)N2CCCC2)C1 ZINC000625699540 368298372 /nfs/dbraw/zinc/29/83/72/368298372.db2.gz AGZVMTXVVUEMRJ-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCOc1cccc(CNC[C@@H]2CC(C(N)=O)=NO2)c1 ZINC000276556491 193380038 /nfs/dbraw/zinc/38/00/38/193380038.db2.gz ZYUXAOVFKLXANF-ZDUSSCGKSA-N 0 1 289.335 0.971 20 30 CCEDMN C=CCNC(=O)CNC(=O)CN(C)CC(C)(C)C ZINC000635774963 372891516 /nfs/dbraw/zinc/89/15/16/372891516.db2.gz AIUNGWCBPXLILZ-UHFFFAOYSA-N 0 1 255.362 0.383 20 30 CCEDMN C=CCN(C(=O)CCCc1nn[nH]n1)[C@@H](C)COC ZINC000636161487 373419132 /nfs/dbraw/zinc/41/91/32/373419132.db2.gz WJHSRFPAULPTQF-JTQLQIEISA-N 0 1 267.333 0.572 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NCCN1CC[C@H](O)C1 ZINC000637194673 373994310 /nfs/dbraw/zinc/99/43/10/373994310.db2.gz LBNCUKPXGWKRGK-XQQFMLRXSA-N 0 1 283.372 0.086 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H]2CCc3ncnn32)C1=O ZINC000639621615 375865754 /nfs/dbraw/zinc/86/57/54/375865754.db2.gz BGKKIXOHHRUHJI-NWDGAFQWSA-N 0 1 275.356 0.484 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(CC)[C@H](C)C2)C1=O ZINC000685003897 545784028 /nfs/dbraw/zinc/78/40/28/545784028.db2.gz RBJKOYUFASPTBT-CHWSQXEVSA-N 0 1 251.374 0.799 20 30 CCEDMN C=CCOCCn1cc([C@H](N)[C@@H]2CCCO2)nn1 ZINC000641030205 376687639 /nfs/dbraw/zinc/68/76/39/376687639.db2.gz UJTGNZDOJGYMAG-RYUDHWBXSA-N 0 1 252.318 0.660 20 30 CCEDMN C=CCN1CC[C@H](n2cc([C@@H](N)[C@H]3CCCO3)nn2)C1=O ZINC000641110040 376792260 /nfs/dbraw/zinc/79/22/60/376792260.db2.gz XWENYTGXCZREFK-YNEHKIRRSA-N 0 1 291.355 0.416 20 30 CCEDMN C=CCCn1cc(CNCCN2CCO[C@H](C)C2)nn1 ZINC000657348817 412964475 /nfs/dbraw/zinc/96/44/75/412964475.db2.gz IKYHGBFJWPYGAE-CYBMUJFWSA-N 0 1 279.388 0.665 20 30 CCEDMN C=CCCn1cc(CNC[C@]2(CCO)CCOC2)nn1 ZINC000657398430 412982999 /nfs/dbraw/zinc/98/29/99/412982999.db2.gz LCTRPPSSFZMHRU-AWEZNQCLSA-N 0 1 280.372 0.733 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](C2OCCO2)C1 ZINC000171142149 335056526 /nfs/dbraw/zinc/05/65/26/335056526.db2.gz AEJCOODEYBPMIH-RYUDHWBXSA-N 0 1 266.341 0.209 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](C(=O)N2CCCC2)C1 ZINC000286739465 388844667 /nfs/dbraw/zinc/84/46/67/388844667.db2.gz CBXNZOVIWUSSTJ-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000287420132 388851868 /nfs/dbraw/zinc/85/18/68/388851868.db2.gz DPSFQPRJDPQLBJ-LLVKDONJSA-N 0 1 276.340 0.938 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC000289008593 388867258 /nfs/dbraw/zinc/86/72/58/388867258.db2.gz KFZXJPIOEMMITA-PWSUYJOCSA-N 0 1 265.357 0.230 20 30 CCEDMN CN1CCCN(C(=O)C2(C#N)CCOCC2)CC1 ZINC000044765285 388872236 /nfs/dbraw/zinc/87/22/36/388872236.db2.gz QGGXHHHIFSAMAE-UHFFFAOYSA-N 0 1 251.330 0.471 20 30 CCEDMN CCN(CCNS(=O)(=O)c1cncc(C#N)c1)C1CC1 ZINC000289746161 388888123 /nfs/dbraw/zinc/88/81/23/388888123.db2.gz JWGLJUKIQCMITJ-UHFFFAOYSA-N 0 1 294.380 0.716 20 30 CCEDMN Oc1ccc(/C=N/CCN2CCCOCC2)c(O)c1O ZINC000187741701 388895288 /nfs/dbraw/zinc/89/52/88/388895288.db2.gz JVFIYBYYZBMZRK-XNTDXEJSSA-N 0 1 280.324 0.945 20 30 CCEDMN N#Cc1c(F)cccc1C(=O)NCCCc1nc(=O)[nH][nH]1 ZINC000188290302 388922542 /nfs/dbraw/zinc/92/25/42/388922542.db2.gz HYVVRXQZAAHTID-UHFFFAOYSA-N 0 1 289.270 0.471 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC(C(=O)N(C)C)CC1 ZINC000052658861 388927612 /nfs/dbraw/zinc/92/76/12/388927612.db2.gz LJLIEQNKUWGKFB-LBPRGKRZSA-N 0 1 294.399 0.547 20 30 CCEDMN CCCN(CC(=O)NCC#N)C[C@H]1CCCCO1 ZINC000066539638 388945589 /nfs/dbraw/zinc/94/55/89/388945589.db2.gz XCANCXWAXDZKAH-GFCCVEGCSA-N 0 1 253.346 0.907 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NCc1n[nH]c(CC)n1 ZINC000295223332 388994987 /nfs/dbraw/zinc/99/49/87/388994987.db2.gz ASGXNQOJJJKVGH-BXKDBHETSA-N 0 1 264.329 0.965 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)NC[C@@H]1CCCN1C ZINC000336906654 389029932 /nfs/dbraw/zinc/02/99/32/389029932.db2.gz FDHSMWOBHWWBQZ-NSHDSACASA-N 0 1 274.390 0.151 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@H]1CCN(C2CC2)C1 ZINC000336927860 389032932 /nfs/dbraw/zinc/03/29/32/389032932.db2.gz XBJOPGCIJSXXDV-NSHDSACASA-N 0 1 286.401 0.293 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCC[C@H]1CCO ZINC000336810675 389023138 /nfs/dbraw/zinc/02/31/38/389023138.db2.gz IXGMHHNMVFOBQP-GXTWGEPZSA-N 0 1 267.373 0.888 20 30 CCEDMN N#Cc1cccc(CN2CCC[C@@]3(C2)NC(=O)NC3=O)c1 ZINC000192638690 389046398 /nfs/dbraw/zinc/04/63/98/389046398.db2.gz QMDXGXDIWREHEG-HNNXBMFYSA-N 0 1 284.319 0.732 20 30 CCEDMN N#Cc1ccc(CN2CCC[C@]3(C2)NC(=O)NC3=O)cc1 ZINC000192640900 389047446 /nfs/dbraw/zinc/04/74/46/389047446.db2.gz YCKQXUBKNYEIMQ-OAHLLOKOSA-N 0 1 284.319 0.732 20 30 CCEDMN O=C1NC(=O)[C@]2(CCCN(CC#Cc3ccccc3)C2)N1 ZINC000192655453 389047629 /nfs/dbraw/zinc/04/76/29/389047629.db2.gz JGYQERLBPJUSDD-MRXNPFEDSA-N 0 1 283.331 0.712 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@H](C)N(C)C[C@H]2C)C1=O ZINC000337183145 389051849 /nfs/dbraw/zinc/05/18/49/389051849.db2.gz NMELPOZTCGOUTG-XQQFMLRXSA-N 0 1 251.374 0.798 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCN2CCO[C@H](C)C2)C1=O ZINC000337447789 389061697 /nfs/dbraw/zinc/06/16/97/389061697.db2.gz CXJFJZILEXUDEV-ZIAGYGMSSA-N 0 1 281.400 0.426 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC[C@H](CO)C1 ZINC000302834947 389079251 /nfs/dbraw/zinc/07/92/51/389079251.db2.gz XVLWTMJNSXOEEC-NEPJUHHUSA-N 0 1 253.346 0.451 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(CC(=O)NCC)CC1 ZINC000305098663 389084441 /nfs/dbraw/zinc/08/44/41/389084441.db2.gz WVNYXQIFJPQWOG-CYBMUJFWSA-N 0 1 269.389 0.067 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H]([C@@H](C)O)C1 ZINC000305868639 389089725 /nfs/dbraw/zinc/08/97/25/389089725.db2.gz NQOBUOVSTOGZBD-VXGBXAGGSA-N 0 1 253.346 0.451 20 30 CCEDMN CCN(CCNS(=O)(=O)N(C)C[C@H](C)C#N)C1CC1 ZINC000337085015 389042624 /nfs/dbraw/zinc/04/26/24/389042624.db2.gz DAYXCQXPWQULCM-LLVKDONJSA-N 0 1 288.417 0.397 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC000355137798 389043505 /nfs/dbraw/zinc/04/35/05/389043505.db2.gz HMIMVADDARXPIR-NSHDSACASA-N 0 1 265.357 0.374 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)[C@@H]2COCCN2C)C1 ZINC000328894080 389143989 /nfs/dbraw/zinc/14/39/89/389143989.db2.gz AOJIRNOFABPZJU-KGLIPLIRSA-N 0 1 299.415 0.630 20 30 CCEDMN C[C@H](C#N)CN(CCCC(=O)NC(N)=O)C1CC1 ZINC000338379247 389110391 /nfs/dbraw/zinc/11/03/91/389110391.db2.gz KECGDPMUFXVGFA-SECBINFHSA-N 0 1 252.318 0.586 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(C(=O)NC[C@@H]2CCCO2)C1 ZINC000328987766 389148183 /nfs/dbraw/zinc/14/81/83/389148183.db2.gz RMXZMQLSGCWKBY-AXFHLTTASA-N 0 1 295.343 0.660 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(CC(=O)N(CC)CC)CC1 ZINC000121458795 389148269 /nfs/dbraw/zinc/14/82/69/389148269.db2.gz DIKBODAMOVYTET-OAHLLOKOSA-N 0 1 297.443 0.800 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@@]2(CCCOC2)C1 ZINC000355785962 389116619 /nfs/dbraw/zinc/11/66/19/389116619.db2.gz PXTHJEQLMQXWPB-CYBMUJFWSA-N 0 1 251.330 0.519 20 30 CCEDMN [O-]C(NC1(C(F)F)CC1)=[NH+][C@@H]1CCc2ncnn2C1 ZINC000328694214 389131656 /nfs/dbraw/zinc/13/16/56/389131656.db2.gz FYVHRABLELHTCG-SSDOTTSWSA-N 0 1 271.271 0.894 20 30 CCEDMN O=C(NCC#CCO)c1c[nH]c2ccccc2c1=O ZINC000339397153 389131823 /nfs/dbraw/zinc/13/18/23/389131823.db2.gz GPAUZWMGHMTYQM-UHFFFAOYSA-N 0 1 256.261 0.254 20 30 CCEDMN O=C(NCCN1CCC1)N[C@H]1CCCc2c[nH]nc21 ZINC000328733239 389134785 /nfs/dbraw/zinc/13/47/85/389134785.db2.gz XYNUETAGQOHYJR-NSHDSACASA-N 0 1 263.345 0.996 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000339620355 389136694 /nfs/dbraw/zinc/13/66/94/389136694.db2.gz VRJLMZZZWWHVMP-PHIMTYICSA-N 0 1 268.361 0.023 20 30 CCEDMN CC(C)[C@@]1(CO)CCCN(CC(=O)NCC#N)C1 ZINC000339707604 389137976 /nfs/dbraw/zinc/13/79/76/389137976.db2.gz XWSIIXCHFIATSE-ZDUSSCGKSA-N 0 1 253.346 0.357 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC000357803792 389138310 /nfs/dbraw/zinc/13/83/10/389138310.db2.gz QLGQBUNOTTXPDX-RYUDHWBXSA-N 0 1 253.346 0.619 20 30 CCEDMN C=CCN1CC[C@H](N(CCO)[C@H]2CCO[C@@H]2C)C1=O ZINC000328844918 389140850 /nfs/dbraw/zinc/14/08/50/389140850.db2.gz VGDRZRSNMMRZFN-AGIUHOORSA-N 0 1 268.357 0.245 20 30 CCEDMN O=C(N[C@H]1CCc2c[nH]nc2C1)N1CCn2cncc2C1 ZINC000329671078 389185586 /nfs/dbraw/zinc/18/55/86/389185586.db2.gz RYRIIUFNTWBFSH-NSHDSACASA-N 0 1 286.339 0.893 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)CCO1 ZINC000129679338 389191761 /nfs/dbraw/zinc/19/17/61/389191761.db2.gz OUZYMQDTIMMNSW-LBPRGKRZSA-N 0 1 283.291 0.836 20 30 CCEDMN N#CCCCC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000360168108 389192947 /nfs/dbraw/zinc/19/29/47/389192947.db2.gz BHRJKNAIGMZCCA-LLVKDONJSA-N 0 1 266.345 0.136 20 30 CCEDMN COC1(C)CN(C([O-])=[NH+]C[C@H]2CCc3nnc(C)n3C2)C1 ZINC000329749556 389196716 /nfs/dbraw/zinc/19/67/16/389196716.db2.gz HLUCOXUYTQNWBL-LLVKDONJSA-N 0 1 293.371 0.784 20 30 CCEDMN Cc1cc(C(=O)NCCN2CCN(C)CC2)cnn1 ZINC000329797726 389202387 /nfs/dbraw/zinc/20/23/87/389202387.db2.gz FVFJSRLLNCXYDX-UHFFFAOYSA-N 0 1 263.345 0.337 20 30 CCEDMN C[C@H](NC(=O)NC[C@]1(C)CCOC1)c1nnc[nH]1 ZINC000329294477 389155467 /nfs/dbraw/zinc/15/54/67/389155467.db2.gz RWKSUNJCKXBCDE-KWQFWETISA-N 0 1 253.306 0.796 20 30 CCEDMN CO[C@H]1C[C@@H](N(C)C(=O)N[C@@H]2CCc3nc(C)nn3C2)C1 ZINC000329312346 389155946 /nfs/dbraw/zinc/15/59/46/389155946.db2.gz ANAYTFJXHYOJOP-UTUOFQBUSA-N 0 1 293.371 0.925 20 30 CCEDMN C[C@H]([NH+]=C([O-])N[C@@H]1CCc2ncnn2C1)[C@@H]1CCOC1 ZINC000329316963 389156785 /nfs/dbraw/zinc/15/67/85/389156785.db2.gz FENGMFNECUUOHF-HBNTYKKESA-N 0 1 279.344 0.522 20 30 CCEDMN C[C@H](NC(=O)N[C@@H]1CCc2ncnn2C1)[C@@H]1CCOC1 ZINC000329316963 389156787 /nfs/dbraw/zinc/15/67/87/389156787.db2.gz FENGMFNECUUOHF-HBNTYKKESA-N 0 1 279.344 0.522 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N[C@@H]2CCCCC[C@H]2O)C1 ZINC000329454913 389164766 /nfs/dbraw/zinc/16/47/66/389164766.db2.gz PQYDLDRFQSBLTR-MGPQQGTHSA-N 0 1 298.431 0.429 20 30 CCEDMN C[C@H](C#N)NC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000125400600 389165103 /nfs/dbraw/zinc/16/51/03/389165103.db2.gz QKUYMTPEIIAGTK-SECBINFHSA-N 0 1 298.302 0.698 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000359087032 389166927 /nfs/dbraw/zinc/16/69/27/389166927.db2.gz ILSGYJPNMYAEIU-KGLIPLIRSA-N 0 1 283.416 0.720 20 30 CCEDMN CN1CC[C@H](NC(=O)N[C@H]2CCc3nc[nH]c3C2)C1=O ZINC000329601841 389175158 /nfs/dbraw/zinc/17/51/58/389175158.db2.gz KTZJXCFFYXABMV-WPRPVWTQSA-N 0 1 277.328 0.001 20 30 CCEDMN Cc1cc(C(=O)N(C)CCNC(=O)N(C)C)n[nH]1 ZINC000330615386 389246647 /nfs/dbraw/zinc/24/66/47/389246647.db2.gz CIAUPPNGHHUMCZ-UHFFFAOYSA-N 0 1 253.306 0.266 20 30 CCEDMN C=CCCN(C)CC(=O)NC1CCN(C(C)=O)CC1 ZINC000342743094 389251937 /nfs/dbraw/zinc/25/19/37/389251937.db2.gz YKUZQMFMXVXFKF-UHFFFAOYSA-N 0 1 267.373 0.621 20 30 CCEDMN CN(C)C(=O)CCN1CCN(CC2(C#N)CC2)CC1 ZINC000343318940 389264466 /nfs/dbraw/zinc/26/44/66/389264466.db2.gz HLWZEIHUJVHNGQ-UHFFFAOYSA-N 0 1 264.373 0.386 20 30 CCEDMN C[C@@H]1C[C@H](CNC(=O)N2CCn3ncnc3C2)CCO1 ZINC000329922533 389211738 /nfs/dbraw/zinc/21/17/38/389211738.db2.gz IADBRURGZONBCX-GHMZBOCLSA-N 0 1 279.344 0.823 20 30 CCEDMN C=CCNC(=O)CN1CCC(O[C@H]2CCOC2)CC1 ZINC000361438195 389217021 /nfs/dbraw/zinc/21/70/21/389217021.db2.gz VVKGLVGBTFTVOF-ZDUSSCGKSA-N 0 1 268.357 0.559 20 30 CCEDMN CCN1CCC[C@H]1CNS(=O)(=O)N(C)[C@H](C)CC#N ZINC000330402547 389225364 /nfs/dbraw/zinc/22/53/64/389225364.db2.gz BKIFSTHVKWSKQI-NEPJUHHUSA-N 0 1 288.417 0.539 20 30 CCEDMN COC[C@H](C)NC(=O)NC(=O)CN1CC[C@H](C)C1 ZINC000330421207 389227259 /nfs/dbraw/zinc/22/72/59/389227259.db2.gz QDRQOLOPGQDDTF-UWVGGRQHSA-N 0 1 257.334 0.393 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000362416403 389236193 /nfs/dbraw/zinc/23/61/93/389236193.db2.gz QPLWQQWAOQFVCG-IUODEOHRSA-N 0 1 292.383 0.349 20 30 CCEDMN CNC(=O)NC(=O)CCN1C[C@H](COC)OC(C)(C)C1 ZINC000330532219 389238587 /nfs/dbraw/zinc/23/85/87/389238587.db2.gz NQJGCSMGNODMQM-SNVBAGLBSA-N 0 1 287.360 0.162 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000330539688 389239367 /nfs/dbraw/zinc/23/93/67/389239367.db2.gz UZFPEUVEVVGDFQ-VHSXEESVSA-N 0 1 261.329 0.918 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000330539688 389239369 /nfs/dbraw/zinc/23/93/69/389239369.db2.gz UZFPEUVEVVGDFQ-VHSXEESVSA-N 0 1 261.329 0.918 20 30 CCEDMN CC#CCNC(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000154437273 389313123 /nfs/dbraw/zinc/31/31/23/389313123.db2.gz FIJLWWKYCGGAPV-JTQLQIEISA-N 0 1 284.319 0.821 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(N2CCO[C@H](C)C2)CC1 ZINC000345755703 389314079 /nfs/dbraw/zinc/31/40/79/389314079.db2.gz GDDUBHDVWXWNFX-VXGBXAGGSA-N 0 1 265.357 0.858 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCC(CO)CC1 ZINC000345795516 389315226 /nfs/dbraw/zinc/31/52/26/389315226.db2.gz UWOYMZYBIHIHQG-LLVKDONJSA-N 0 1 265.357 0.642 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000346025783 389319977 /nfs/dbraw/zinc/31/99/77/389319977.db2.gz CFKXGRMAOLSSPH-YDHLFZDLSA-N 0 1 284.400 0.929 20 30 CCEDMN CN1CCN(CC(=O)NC2(C#N)CCC2)C(C)(C)C1 ZINC000347002822 389343435 /nfs/dbraw/zinc/34/34/35/389343435.db2.gz DJYXWRBDARTYJS-UHFFFAOYSA-N 0 1 264.373 0.575 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCC(OCCO)CC1 ZINC000346403274 389328600 /nfs/dbraw/zinc/32/86/00/389328600.db2.gz AEGXHGIHAPZUOU-LBPRGKRZSA-N 0 1 295.383 0.411 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC000346527124 389330563 /nfs/dbraw/zinc/33/05/63/389330563.db2.gz KEROONPKBKNTEF-GXTWGEPZSA-N 0 1 291.395 0.786 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](O)[C@H](C)C1 ZINC000346576004 389332527 /nfs/dbraw/zinc/33/25/27/389332527.db2.gz QLDWUAMWRCEOJN-GRYCIOLGSA-N 0 1 265.357 0.640 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN(C)C[C@@H]1C ZINC000343782683 389272203 /nfs/dbraw/zinc/27/22/03/389272203.db2.gz DVBJUSLGNGQGEG-ZDUSSCGKSA-N 0 1 251.374 0.823 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CCC(F)(C#N)CC2)C1 ZINC000344824795 389285090 /nfs/dbraw/zinc/28/50/90/389285090.db2.gz KACYATNWZNLFMQ-GFCCVEGCSA-N 0 1 297.378 0.269 20 30 CCEDMN N#CCN1CCC[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC000267134532 389369106 /nfs/dbraw/zinc/36/91/06/389369106.db2.gz GDXQUMRXONIVAU-LLVKDONJSA-N 0 1 287.367 0.864 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N[C@@H](C)C1(S(C)(=O)=O)CC1 ZINC000348972945 389399025 /nfs/dbraw/zinc/39/90/25/389399025.db2.gz GQVDAAYGIZFIJS-ZJUUUORDSA-N 0 1 274.386 0.232 20 30 CCEDMN Cc1cc(C#N)cc(N(C)CC(=O)N2CCNCC2)n1 ZINC000161717012 389409132 /nfs/dbraw/zinc/40/91/32/389409132.db2.gz JCDIYAQQAYUBHC-UHFFFAOYSA-N 0 1 273.340 0.130 20 30 CCEDMN CC[C@@H](CS(=O)(=O)Nc1ccc(C#N)c(C)n1)OC ZINC000421251831 389355973 /nfs/dbraw/zinc/35/59/73/389355973.db2.gz RANOKWXULGFNJU-NSHDSACASA-N 0 1 283.353 0.850 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](CCC(N)=O)C1 ZINC000170738115 389460576 /nfs/dbraw/zinc/46/05/76/389460576.db2.gz JDGMNACYAKCYQW-LLVKDONJSA-N 0 1 253.346 0.266 20 30 CCEDMN CNC(=O)CCN(C)CC(=O)N[C@](C)(C#N)C(C)C ZINC000170792425 389463037 /nfs/dbraw/zinc/46/30/37/389463037.db2.gz NTYCZANVRFSFSU-CYBMUJFWSA-N 0 1 268.361 0.109 20 30 CCEDMN C[C@H]1CN(CC(=O)NCC#N)CCN1Cc1ccccc1 ZINC000411978178 389471952 /nfs/dbraw/zinc/47/19/52/389471952.db2.gz AGYIPKANSMWNRK-AWEZNQCLSA-N 0 1 286.379 0.832 20 30 CCEDMN C#CCCN1CCC[C@@H](S(=O)(=O)N2CCOCC2)C1 ZINC000371551044 389434328 /nfs/dbraw/zinc/43/43/28/389434328.db2.gz DFLLXLKCVXZRSO-CYBMUJFWSA-N 0 1 286.397 0.136 20 30 CCEDMN CN1CCN(Cc2cccc(C(=O)NCC#N)c2)CC1 ZINC000172729552 389493245 /nfs/dbraw/zinc/49/32/45/389493245.db2.gz BNKMLGRGRLKFTF-UHFFFAOYSA-N 0 1 272.352 0.687 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2nccc(C)c2C#N)C1 ZINC000413498969 389522734 /nfs/dbraw/zinc/52/27/34/389522734.db2.gz NAUXDGMVSOLFLN-LBPRGKRZSA-N 0 1 288.351 0.803 20 30 CCEDMN CC(C)N(CCN(C)C)S(=O)(=O)c1cccnc1C#N ZINC000277293918 389555146 /nfs/dbraw/zinc/55/51/46/389555146.db2.gz ZTZDMOJPYIVNDB-UHFFFAOYSA-N 0 1 296.396 0.914 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCN2C2CC2)C1=O ZINC000281650499 389622078 /nfs/dbraw/zinc/62/20/78/389622078.db2.gz ICQORVFBEZQZEZ-KBPBESRZSA-N 0 1 291.395 0.859 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCN2C)C1=O ZINC000281680057 389622630 /nfs/dbraw/zinc/62/26/30/389622630.db2.gz KLYLAUHSHKHXKX-NWDGAFQWSA-N 0 1 265.357 0.326 20 30 CCEDMN C=CCn1cc(CN=c2ccc(C(=O)NCC)n[nH]2)nn1 ZINC000425265340 389643876 /nfs/dbraw/zinc/64/38/76/389643876.db2.gz URBCKUSSUSDQAT-UHFFFAOYSA-N 0 1 287.327 0.038 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000425312969 389644933 /nfs/dbraw/zinc/64/49/33/389644933.db2.gz ZSVUUMLQEHCLDL-JGVFFNPUSA-N 0 1 250.258 0.641 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCC[C@@H](OC)CC1 ZINC000374694417 389600870 /nfs/dbraw/zinc/60/08/70/389600870.db2.gz OVSLJIQLVCKYNZ-VXGBXAGGSA-N 0 1 283.372 0.888 20 30 CCEDMN C=C(C)CN(C)CC(=O)NCC(=O)OC(C)(C)C ZINC000182475900 389709090 /nfs/dbraw/zinc/70/90/90/389709090.db2.gz DCVVQCINHPDHBJ-UHFFFAOYSA-N 0 1 256.346 0.952 20 30 CCEDMN C[C@@H]1CN([C@H]2CCN(c3ccc(C#N)cc3)C2=O)C[C@@H]1O ZINC000351945588 389720955 /nfs/dbraw/zinc/72/09/55/389720955.db2.gz ROWQYKRXILWHCW-UGFHNGPFSA-N 0 1 285.347 0.976 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(N3CCNC3=O)CC2)C1=O ZINC000282115126 389657399 /nfs/dbraw/zinc/65/73/99/389657399.db2.gz XGZGSTPMMBVPMZ-CYBMUJFWSA-N 0 1 292.383 0.263 20 30 CCEDMN C[C@@H]1CN(C(=O)N[C@@H]2CC[C@H](C#N)C2)C[C@H](C)N1CCO ZINC000425858563 389658213 /nfs/dbraw/zinc/65/82/13/389658213.db2.gz IAVOGFWLJYTDLY-RFQIPJPRSA-N 0 1 294.399 0.775 20 30 CCEDMN N#Cc1ccc(NC(=O)C(=O)N2CCNCC2)c(Cl)c1 ZINC000415284222 389669019 /nfs/dbraw/zinc/66/90/19/389669019.db2.gz OZLLDLPFLIHQIF-UHFFFAOYSA-N 0 1 292.726 0.582 20 30 CCEDMN CN([C@H]1CCCN(C)C1)S(=O)(=O)CC1(C#N)CC1 ZINC000180416348 389670190 /nfs/dbraw/zinc/67/01/90/389670190.db2.gz QGDFKIDXZVYDKG-NSHDSACASA-N 0 1 271.386 0.646 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C#N)c(F)c2)CCN1 ZINC000415440948 389683467 /nfs/dbraw/zinc/68/34/67/389683467.db2.gz SEBPBKZSPIXEHV-VIFPVBQESA-N 0 1 290.298 0.456 20 30 CCEDMN CCN(CC(=O)NCc1cccc(C#N)c1)C[C@H](C)O ZINC000352213541 389773142 /nfs/dbraw/zinc/77/31/42/389773142.db2.gz VPWRDORSCHYLON-LBPRGKRZSA-N 0 1 275.352 0.877 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](O)CC(C)(C)C1 ZINC000395365778 389777295 /nfs/dbraw/zinc/77/72/95/389777295.db2.gz LBECHWCZMNABAC-NSHDSACASA-N 0 1 283.372 0.481 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000352255876 389779500 /nfs/dbraw/zinc/77/95/00/389779500.db2.gz CJMQATXLGIJTSE-FZMZJTMJSA-N 0 1 280.372 0.382 20 30 CCEDMN CC[C@H](C#N)S(=O)(=O)Nc1ccccc1-n1cnnn1 ZINC000416934041 389781505 /nfs/dbraw/zinc/78/15/05/389781505.db2.gz CLPBHHSZIDVFMM-SECBINFHSA-N 0 1 292.324 0.706 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@H]1CN2CCC[C@H]2CO1 ZINC000376247269 389731977 /nfs/dbraw/zinc/73/19/77/389731977.db2.gz LQGPAWVBDOXAHE-STQMWFEESA-N 0 1 286.397 0.182 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NC[C@@H](C)N1CCC(C)CC1 ZINC000416395867 389738865 /nfs/dbraw/zinc/73/88/65/389738865.db2.gz YAITZDBHGZSWNU-VXGBXAGGSA-N 0 1 273.402 0.938 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCc2n[nH]nc2C1 ZINC000429283193 389748737 /nfs/dbraw/zinc/74/87/37/389748737.db2.gz IGEOQGSPNYJRRG-LLVKDONJSA-N 0 1 275.356 0.752 20 30 CCEDMN C=C[C@@H](CO)NCc1ccc(N(C)CCOC)nc1 ZINC000352454184 389813056 /nfs/dbraw/zinc/81/30/56/389813056.db2.gz SKUVEKICJCLFJC-ZDUSSCGKSA-N 0 1 265.357 0.801 20 30 CCEDMN C[C@H](CN(C)C(=O)c1cc(C#N)cn1C)c1nn[nH]n1 ZINC000352483123 389818071 /nfs/dbraw/zinc/81/80/71/389818071.db2.gz YHZHZXBHTHGCPC-MRVPVSSYSA-N 0 1 273.300 0.286 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1CC[C@@](C)(O)C1 ZINC000408346148 389844797 /nfs/dbraw/zinc/84/47/97/389844797.db2.gz IMWYIMVNVBVZET-BNOWGMLFSA-N 0 1 267.373 0.886 20 30 CCEDMN C=CCCC[C@H](C)NC(=O)C(=O)N1CCNC[C@@H]1C ZINC000419150311 389887817 /nfs/dbraw/zinc/88/78/17/389887817.db2.gz LAMVQORCUJSUBV-RYUDHWBXSA-N 0 1 267.373 0.668 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCCC#N)[C@@H](C)CN1CCO ZINC000419475837 389892163 /nfs/dbraw/zinc/89/21/63/389892163.db2.gz IJBYDBZNUZRZJI-NEPJUHHUSA-N 0 1 268.361 0.387 20 30 CCEDMN COC(=O)CN(C)C(=O)CCN(C)CC(C)(C)C#N ZINC000433297359 389908003 /nfs/dbraw/zinc/90/80/03/389908003.db2.gz KYTZJFCVYWRXBE-UHFFFAOYSA-N 0 1 269.345 0.489 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H]1CCC[N@@H+]1CCC)C(=O)OC ZINC000435945935 389967464 /nfs/dbraw/zinc/96/74/64/389967464.db2.gz WMYRECLQDXOQFM-OLZOCXBDSA-N 0 1 298.383 0.721 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H]1CCCN1CCC)C(=O)OC ZINC000435945935 389967465 /nfs/dbraw/zinc/96/74/65/389967465.db2.gz WMYRECLQDXOQFM-OLZOCXBDSA-N 0 1 298.383 0.721 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CCC[N@@H+]1CCC)C(=O)OC ZINC000435945937 389967493 /nfs/dbraw/zinc/96/74/93/389967493.db2.gz WMYRECLQDXOQFM-STQMWFEESA-N 0 1 298.383 0.721 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CCCN1CCC)C(=O)OC ZINC000435945937 389967494 /nfs/dbraw/zinc/96/74/94/389967494.db2.gz WMYRECLQDXOQFM-STQMWFEESA-N 0 1 298.383 0.721 20 30 CCEDMN CCN(CCC#N)C(=O)CN(CCO)C1CCC1 ZINC000439269524 390017537 /nfs/dbraw/zinc/01/75/37/390017537.db2.gz FEWAHRHXKXJVLL-UHFFFAOYSA-N 0 1 253.346 0.595 20 30 CCEDMN C[C@H]1CN(c2cncc(C#N)n2)[C@@H](C)CN1CCO ZINC000420649849 390020552 /nfs/dbraw/zinc/02/05/52/390020552.db2.gz GWRPGANWNWEFAK-QWRGUYRKSA-N 0 1 261.329 0.240 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000491475585 390113890 /nfs/dbraw/zinc/11/38/90/390113890.db2.gz YDBBGUKUYFHCPZ-CYBMUJFWSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCn1ccc(CN(CC)CC[C@H]2CCNC2=O)n1 ZINC000491484381 390114107 /nfs/dbraw/zinc/11/41/07/390114107.db2.gz IRUIOKNZPRIMDL-CYBMUJFWSA-N 0 1 274.368 0.864 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)C(=O)c1ccn[nH]1 ZINC000535024949 390135496 /nfs/dbraw/zinc/13/54/96/390135496.db2.gz MSFNGPCGADPOQB-UHFFFAOYSA-N 0 1 273.300 0.122 20 30 CCEDMN CCS(=O)(=O)NCCNCc1ccc(C#N)c(OC)c1 ZINC000281717655 195349332 /nfs/dbraw/zinc/34/93/32/195349332.db2.gz OZLNOXJRVIRGOP-UHFFFAOYSA-N 0 1 297.380 0.596 20 30 CCEDMN C[C@@H](C(=O)NC(=O)NC1CC1)N1CCC(CC#N)CC1 ZINC000541022443 390234396 /nfs/dbraw/zinc/23/43/96/390234396.db2.gz FEPPFFXXECWTAD-JTQLQIEISA-N 0 1 278.356 0.989 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCC(=O)[C@@H](C)C2)[nH]n1C ZINC000648434703 390372298 /nfs/dbraw/zinc/37/22/98/390372298.db2.gz WVTICKJVESQZDE-WCBMZHEXSA-N 0 1 274.324 0.966 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000645376309 390417497 /nfs/dbraw/zinc/41/74/97/390417497.db2.gz HOPHVFSWQFIHEN-JQWIXIFHSA-N 0 1 278.356 0.723 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCC[C@@H]2CCOC2)CC1 ZINC000645764527 390488681 /nfs/dbraw/zinc/48/86/81/390488681.db2.gz FWOJBKNPTJCYJL-OAHLLOKOSA-N 0 1 295.427 0.723 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000649181209 390510859 /nfs/dbraw/zinc/51/08/59/390510859.db2.gz VWFSVQCIHAJHGB-NSHDSACASA-N 0 1 284.319 0.976 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)[C@@H]1CCCN1C1CC1 ZINC000649182189 390512119 /nfs/dbraw/zinc/51/21/19/390512119.db2.gz QIPQNPMRIJBXGI-AAEUAGOBSA-N 0 1 250.342 0.504 20 30 CCEDMN C[C@H](O)CN1CCN(c2cc(C#N)ncn2)[C@@H](C)C1 ZINC000519737941 390536329 /nfs/dbraw/zinc/53/63/29/390536329.db2.gz YTVPPWCNDOHFTD-QWRGUYRKSA-N 0 1 261.329 0.240 20 30 CCEDMN COCC(C)(C)N1CCN(c2ccnc(C#N)n2)CC1 ZINC000566482866 390620404 /nfs/dbraw/zinc/62/04/04/390620404.db2.gz QXRLGHBZBUYPHF-UHFFFAOYSA-N 0 1 275.356 0.895 20 30 CCEDMN Cc1nc([C@@H]2CCN(c3cncc(C#N)n3)C2)n[nH]1 ZINC000566735314 390642457 /nfs/dbraw/zinc/64/24/57/390642457.db2.gz OBBHEQDVDWRGTP-SECBINFHSA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@@H]2CCN(c3cncc(C#N)n3)C2)[nH]1 ZINC000566735314 390642458 /nfs/dbraw/zinc/64/24/58/390642458.db2.gz OBBHEQDVDWRGTP-SECBINFHSA-N 0 1 255.285 0.769 20 30 CCEDMN C[C@@H]1CN(CCNCc2nc(C#N)cs2)CCO1 ZINC000567653253 390708629 /nfs/dbraw/zinc/70/86/29/390708629.db2.gz OUDJJWYKDLNWQY-SNVBAGLBSA-N 0 1 266.370 0.825 20 30 CCEDMN CSc1ccc(OC[C@H](O)CNCC#CCO)cc1 ZINC000569222085 390716007 /nfs/dbraw/zinc/71/60/07/390716007.db2.gz MIHHZQITSVTEQD-GFCCVEGCSA-N 0 1 281.377 0.734 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@H](C)CN1CCOCC1 ZINC000570626569 390718975 /nfs/dbraw/zinc/71/89/75/390718975.db2.gz VYJOFNHQUUUMRT-RDBSUJKOSA-N 0 1 282.384 0.662 20 30 CCEDMN C=CCn1cc(CNC(=O)c2ccc(CN)o2)nn1 ZINC000646388998 390675469 /nfs/dbraw/zinc/67/54/69/390675469.db2.gz AHQHBVIJUNDJGO-UHFFFAOYSA-N 0 1 261.285 0.446 20 30 CCEDMN CNC(=O)CNC1(c2ccc(C#N)cc2)CCOCC1 ZINC000528783067 390777495 /nfs/dbraw/zinc/77/74/95/390777495.db2.gz LSXZCVSKZXMMLF-UHFFFAOYSA-N 0 1 273.336 0.900 20 30 CCEDMN C[C@H]1CN(C(=O)NCC2(C#N)CC2)CCN1CC(C)(C)O ZINC000572591649 390790738 /nfs/dbraw/zinc/79/07/38/390790738.db2.gz DEBFYLOPOISQCO-LBPRGKRZSA-N 0 1 294.399 0.777 20 30 CCEDMN C[C@@H](NC(=O)NC[C@@H]1COCCN1)c1ccc(C#N)cc1 ZINC000529297097 390802205 /nfs/dbraw/zinc/80/22/05/390802205.db2.gz MZXKTLRGOMSOOS-BXUZGUMPSA-N 0 1 288.351 0.907 20 30 CCEDMN O=C1c2ccccc2C(=O)N1CC#CCN1CC[C@@H](O)C1 ZINC000528373982 390738436 /nfs/dbraw/zinc/73/84/36/390738436.db2.gz SHCYDZDNBCONRT-GFCCVEGCSA-N 0 1 284.315 0.353 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCCC3(C2)OCCO3)CC1 ZINC000528421892 390742756 /nfs/dbraw/zinc/74/27/56/390742756.db2.gz BUUSHJDSLBDRTL-LBPRGKRZSA-N 0 1 266.341 0.880 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)NC[C@H]1COCCN1 ZINC000529332848 390810800 /nfs/dbraw/zinc/81/08/00/390810800.db2.gz XNMKBGBJMYGNSC-AVGNSLFASA-N 0 1 283.372 0.255 20 30 CCEDMN COC(=O)C[C@@H](C)C(=O)NC1(C#N)CCN(C)CC1 ZINC000532072260 390879161 /nfs/dbraw/zinc/87/91/61/390879161.db2.gz CGOFRHMOFUHUDG-SNVBAGLBSA-N 0 1 267.329 0.290 20 30 CCEDMN COc1cc(C#N)ccc1OCCN1C[C@@H](O)[C@H](OC)C1 ZINC000451749951 533503433 /nfs/dbraw/zinc/50/34/33/533503433.db2.gz PALJUYPFCCJUBJ-IUODEOHRSA-N 0 1 292.335 0.637 20 30 CCEDMN COc1cc(C#N)ccc1S(=O)(=O)NC[C@H](C)N(C)C ZINC000451931502 533505676 /nfs/dbraw/zinc/50/56/76/533505676.db2.gz OURQDGDRLIHABX-JTQLQIEISA-N 0 1 297.380 0.795 20 30 CCEDMN C[C@H](O)CN1CCN(c2cnc(C#N)cn2)[C@@H](C)C1 ZINC000275306793 135104247 /nfs/dbraw/zinc/10/42/47/135104247.db2.gz BKKBJOVXDNSCAR-QWRGUYRKSA-N 0 1 261.329 0.240 20 30 CCEDMN C=CCn1c(COC)nnc1N1CCN(CC)CC1 ZINC000685552414 545831906 /nfs/dbraw/zinc/83/19/06/545831906.db2.gz YNZUZZTXDMFIFG-UHFFFAOYSA-N 0 1 265.361 0.752 20 30 CCEDMN COc1cc(CNC(=O)c2cnn[nH]2)ccc1C#N ZINC000358977129 144188978 /nfs/dbraw/zinc/18/89/78/144188978.db2.gz DSFIBDLQDOEAQQ-UHFFFAOYSA-N 0 1 257.253 0.615 20 30 CCEDMN N#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)CN1CCCC1 ZINC000960329068 649853448 /nfs/dbraw/zinc/85/34/48/649853448.db2.gz JIXQUYKOLOMUBG-NVXWUHKLSA-N 0 1 298.390 0.978 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2ncc(C#N)cc2C)C1 ZINC000550833809 286112648 /nfs/dbraw/zinc/11/26/48/286112648.db2.gz HSECEBNVJLHLNQ-ZDUSSCGKSA-N 0 1 288.351 0.712 20 30 CCEDMN N#CC1(F)CCN(C[C@@H](O)CN2CCOCC2)CC1 ZINC000352458988 411765589 /nfs/dbraw/zinc/76/55/89/411765589.db2.gz RLXLFTVRURLVGX-GFCCVEGCSA-N 0 1 271.336 0.007 20 30 CCEDMN COCC#CCN1CCC([C@@H]2NC(=O)C2(C)C)CC1 ZINC000653707821 412338446 /nfs/dbraw/zinc/33/84/46/412338446.db2.gz QKVXEWZWVPYCSE-ZDUSSCGKSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCCNC(=O)NCCCCN1CCOCC1 ZINC000660403163 414298385 /nfs/dbraw/zinc/29/83/85/414298385.db2.gz OSEQPXGVZUQKGM-UHFFFAOYSA-N 0 1 255.362 0.974 20 30 CCEDMN C=CCOCCCNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000660855216 414510351 /nfs/dbraw/zinc/51/03/51/414510351.db2.gz LUQRNSILAVCHQU-AWEZNQCLSA-N 0 1 298.431 0.514 20 30 CCEDMN C=CC[C@@H]1CCCN(CC(=O)N[C@H](C)C(=O)N(C)C)C1 ZINC000661378678 414607747 /nfs/dbraw/zinc/60/77/47/414607747.db2.gz KFQZNNPXDNLIBC-CHWSQXEVSA-N 0 1 281.400 0.867 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](CN3CCCC3=O)C2)C1=O ZINC000662055287 414689282 /nfs/dbraw/zinc/68/92/82/414689282.db2.gz SPGNWSWKIDIILI-KGLIPLIRSA-N 0 1 291.395 0.718 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](CN3CCCC3=O)C2)C1=O ZINC000662055295 414689299 /nfs/dbraw/zinc/68/92/99/414689299.db2.gz SPGNWSWKIDIILI-ZIAGYGMSSA-N 0 1 291.395 0.718 20 30 CCEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)NCC(=O)N1CCCC1 ZINC000662082200 414690223 /nfs/dbraw/zinc/69/02/23/414690223.db2.gz ZFBUDDRYPDSZRR-OKILXGFUSA-N 0 1 291.395 0.908 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)Nc1ccc2c(c1)OCO2 ZINC000662168031 414695332 /nfs/dbraw/zinc/69/53/32/414695332.db2.gz XIYXBCUKPVXLPE-VHSXEESVSA-N 0 1 278.308 0.879 20 30 CCEDMN C=CC[C@@H]1CCC[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662217246 414697721 /nfs/dbraw/zinc/69/77/21/414697721.db2.gz QWMYBEKMVGTZMY-NEPJUHHUSA-N 0 1 266.341 0.960 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)CC(C)(C)O ZINC000078727763 177377413 /nfs/dbraw/zinc/37/74/13/177377413.db2.gz VFMZIDWMJSXZEU-CYBMUJFWSA-N 0 1 255.362 0.744 20 30 CCEDMN CCN1CCC(=NNCCS(C)(=O)=O)[C@H](C)C1 ZINC000741460793 581765794 /nfs/dbraw/zinc/76/57/94/581765794.db2.gz PZSVPYHEVSEFRV-SNVBAGLBSA-N 0 1 261.391 0.338 20 30 CCEDMN N#Cc1nccc(N2CCC(c3n[nH]c(=O)o3)CC2)n1 ZINC000575195810 335079594 /nfs/dbraw/zinc/07/95/94/335079594.db2.gz WHAGIHAGQGNUFK-UHFFFAOYSA-N 0 1 272.268 0.821 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2(C#N)CC2)[C@H](C)C1 ZINC000575206972 335081116 /nfs/dbraw/zinc/08/11/16/335081116.db2.gz BMFQJSYZNFXNBD-NEPJUHHUSA-N 0 1 280.372 0.387 20 30 CCEDMN Cc1nc2n(n1)C[C@@H]([NH+]=C([O-])NCc1ncoc1C)CC2 ZINC000329116567 227308708 /nfs/dbraw/zinc/30/87/08/227308708.db2.gz NLSUDBJPRPXRRR-JTQLQIEISA-N 0 1 290.327 0.902 20 30 CCEDMN COCC[N@H+]1CCC[C@@H]1CNC(=O)N1CCO[C@H](C#N)C1 ZINC000111868004 228020251 /nfs/dbraw/zinc/02/02/51/228020251.db2.gz FSCDOTKYILDDOC-CHWSQXEVSA-N 0 1 296.371 0.031 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N1CCN(C2CCOCC2)CC1 ZINC000330029520 228021322 /nfs/dbraw/zinc/02/13/22/228021322.db2.gz QDJNXFHJSXDKLG-WDNDVIMCSA-N 0 1 295.383 0.342 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N[C@@H]2CC[S@](=O)C2)n[nH]1 ZINC000330260604 228083743 /nfs/dbraw/zinc/08/37/43/228083743.db2.gz OKDBXLFAZYIYBL-MZXNSHFHSA-N 0 1 284.385 0.674 20 30 CCEDMN [O-]C(N[C@H]1CCn2ccnc2C1)=[NH+]C1(CO)CCC1 ZINC000330284486 228092706 /nfs/dbraw/zinc/09/27/06/228092706.db2.gz XNODOMGTYJQVSJ-JTQLQIEISA-N 0 1 264.329 0.617 20 30 CCEDMN [O-]C(NC1(CO)CCC1)=[NH+][C@H]1CCn2ccnc2C1 ZINC000330284486 228092708 /nfs/dbraw/zinc/09/27/08/228092708.db2.gz XNODOMGTYJQVSJ-JTQLQIEISA-N 0 1 264.329 0.617 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N(C)C1CCN(C)CC1 ZINC000407950652 260013023 /nfs/dbraw/zinc/01/30/23/260013023.db2.gz AOODSOXZXMSFHG-NSHDSACASA-N 0 1 288.417 0.349 20 30 CCEDMN CN(CC(=O)N1CCOCC1)[C@@H]1CC[C@H](C#N)C1 ZINC000407988893 260152737 /nfs/dbraw/zinc/15/27/37/260152737.db2.gz IFXNESXZADHCMY-NWDGAFQWSA-N 0 1 251.330 0.469 20 30 CCEDMN CS(=O)(=O)N1CCC[C@@H](CN2CCC(C#N)CC2)C1 ZINC000093697274 260302258 /nfs/dbraw/zinc/30/22/58/260302258.db2.gz AOKCNDJQCQUUIQ-ZDUSSCGKSA-N 0 1 285.413 0.894 20 30 CCEDMN N#CCCN(C[C@H](O)Cn1cc([N+](=O)[O-])cn1)CC1CC1 ZINC000081043764 260329866 /nfs/dbraw/zinc/32/98/66/260329866.db2.gz LQIQODUKPLDBQX-ZDUSSCGKSA-N 0 1 293.327 0.778 20 30 CCEDMN CCCC(N)=NOCCCN1C(=O)NC(C)(C)C1=O ZINC000153252394 261075792 /nfs/dbraw/zinc/07/57/92/261075792.db2.gz UBDTZJXJABQVEG-UHFFFAOYSA-N 0 1 270.333 0.796 20 30 CCEDMN C=CCCCNC(=O)NCCN1CCCOCC1 ZINC000448391971 261138878 /nfs/dbraw/zinc/13/88/78/261138878.db2.gz VKOIOPACSRQDQA-UHFFFAOYSA-N 0 1 255.362 0.974 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(OC(C)=O)CC2)CC1 ZINC000490840717 261224029 /nfs/dbraw/zinc/22/40/29/261224029.db2.gz PPTXVUQOMGVBPB-UHFFFAOYSA-N 0 1 292.379 0.886 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)C1(C#N)CCCC1 ZINC000106817967 261372834 /nfs/dbraw/zinc/37/28/34/261372834.db2.gz ZHCHJWYBFNYFSV-ZDUSSCGKSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@@H](C)CC[S@@](C)=O)CC1 ZINC000491461081 261608452 /nfs/dbraw/zinc/60/84/52/261608452.db2.gz CCUAUURZHBHRIX-HXPMCKFVSA-N 0 1 284.425 0.605 20 30 CCEDMN C#C[C@H](NCCS(=O)(=O)c1ccc(C#N)cn1)C(C)C ZINC000491807556 262073587 /nfs/dbraw/zinc/07/35/87/262073587.db2.gz CJTNYLHITIYHOW-ZDUSSCGKSA-N 0 1 291.376 0.974 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCOC2(CCOCC2)CC1 ZINC000364259832 262191577 /nfs/dbraw/zinc/19/15/77/262191577.db2.gz VCIAOYXJBNTGKQ-ZDUSSCGKSA-N 0 1 280.368 0.396 20 30 CCEDMN CN(CC#N)C(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000112280783 262488225 /nfs/dbraw/zinc/48/82/25/262488225.db2.gz UXYILMDGMWFSCC-UHFFFAOYSA-N 0 1 285.307 0.665 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CCO[C@@H]2CCN(CC)C[C@H]21 ZINC000339015501 262583733 /nfs/dbraw/zinc/58/37/33/262583733.db2.gz SBRZXZJAAPQCFB-CHWSQXEVSA-N 0 1 288.413 0.687 20 30 CCEDMN C=CCCC[C@@H](C)NC(=O)C(=O)N=c1ncn(C)[nH]1 ZINC000340163226 263081881 /nfs/dbraw/zinc/08/18/81/263081881.db2.gz KMEOJKNUEDUCNP-SECBINFHSA-N 0 1 265.317 0.037 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC(O)(COC)CC1 ZINC000340230705 263098520 /nfs/dbraw/zinc/09/85/20/263098520.db2.gz MQXBWRVVKKABMX-UHFFFAOYSA-N 0 1 284.400 0.884 20 30 CCEDMN C=CCCCCNC(=O)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000286760169 263099505 /nfs/dbraw/zinc/09/95/05/263099505.db2.gz XLQUMXZADZZZCY-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN N#CCSCC(=O)N[C@H](CO)CN1CCCCC1 ZINC000340851107 263308920 /nfs/dbraw/zinc/30/89/20/263308920.db2.gz OVAXIBWMCCGGQI-NSHDSACASA-N 0 1 271.386 0.206 20 30 CCEDMN CCn1c(S(=O)(=O)CCCCC#N)n[nH]c1=O ZINC000330632342 264000135 /nfs/dbraw/zinc/00/01/35/264000135.db2.gz QTODYFNDVJNYLR-UHFFFAOYSA-N 0 1 258.303 0.471 20 30 CCEDMN CN(C)C(=O)NCCNC(=O)CN(C)[C@H]1CCSC1 ZINC000330697618 264005772 /nfs/dbraw/zinc/00/57/72/264005772.db2.gz DLGZTEAGZIAHMJ-JTQLQIEISA-N 0 1 288.417 0.016 20 30 CCEDMN C[C@@H](O)C(C)(C)NC(=O)c1cccn2c(O)nnc12 ZINC000330792230 264039712 /nfs/dbraw/zinc/03/97/12/264039712.db2.gz BWRLPGKCCYXQAV-SSDOTTSWSA-N 0 1 264.285 0.899 20 30 CCEDMN NC(=O)NC[C@@H]1CCCN(C([O-])=[NH+]CCn2cccn2)C1 ZINC000330814895 264045371 /nfs/dbraw/zinc/04/53/71/264045371.db2.gz MZVXORHTJMYWLN-NSHDSACASA-N 0 1 294.359 0.591 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCCCC#N)C1 ZINC000330829835 264049609 /nfs/dbraw/zinc/04/96/09/264049609.db2.gz IOSARPKBTZRZGF-NSHDSACASA-N 0 1 253.350 0.040 20 30 CCEDMN CN(C)c1ccncc1C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000330838402 264051914 /nfs/dbraw/zinc/05/19/14/264051914.db2.gz YCCPMHMIFNOACM-SECBINFHSA-N 0 1 283.353 0.639 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](C(C)(C)C)[C@@H](O)C1 ZINC000330872293 264059070 /nfs/dbraw/zinc/05/90/70/264059070.db2.gz USPZHNOPPUTJFZ-RYUDHWBXSA-N 0 1 297.399 0.932 20 30 CCEDMN CC(C)(O)CN1CCN(C(=O)CCCC#N)CC1 ZINC000330907089 264074575 /nfs/dbraw/zinc/07/45/75/264074575.db2.gz VYZOQOSBOZJZKF-UHFFFAOYSA-N 0 1 253.346 0.595 20 30 CCEDMN C[C@H](CCO)C[NH+]=C([O-])N[C@H]1CCc2ncnn2C1 ZINC000330936209 264081063 /nfs/dbraw/zinc/08/10/63/264081063.db2.gz QZPGOKUYEUISMY-ZJUUUORDSA-N 0 1 267.333 0.115 20 30 CCEDMN C[C@H](CCO)CNC(=O)N[C@H]1CCc2ncnn2C1 ZINC000330936209 264081064 /nfs/dbraw/zinc/08/10/64/264081064.db2.gz QZPGOKUYEUISMY-ZJUUUORDSA-N 0 1 267.333 0.115 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000330942291 264083463 /nfs/dbraw/zinc/08/34/63/264083463.db2.gz DPTSLSUDTFRWQP-JSGCOSHPSA-N 0 1 269.389 0.066 20 30 CCEDMN CN1CCN(c2ccc(NC(=O)CC#N)cn2)CC1 ZINC000331074231 264114052 /nfs/dbraw/zinc/11/40/52/264114052.db2.gz VHALMUCPMJNNKO-UHFFFAOYSA-N 0 1 259.313 0.686 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N2CCO[C@H](C(N)=O)C2)n[nH]1 ZINC000331120266 264129882 /nfs/dbraw/zinc/12/98/82/264129882.db2.gz AQCUKXCIECNRTK-KWQFWETISA-N 0 1 295.343 0.799 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@H](C)CCN1CCN(C)CC1 ZINC000331224725 264149620 /nfs/dbraw/zinc/14/96/20/264149620.db2.gz DVFGGXSJLYSDPV-CYBMUJFWSA-N 0 1 289.445 0.508 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(CCO[C@H]2CCCCO2)C1 ZINC000331290942 264196838 /nfs/dbraw/zinc/19/68/38/264196838.db2.gz KIJNNWRYMXWCLD-NEPJUHHUSA-N 0 1 271.361 0.737 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(CC)(CO)CC1 ZINC000128176806 264282478 /nfs/dbraw/zinc/28/24/78/264282478.db2.gz LQIQZDLJJSCTOL-LBPRGKRZSA-N 0 1 297.399 0.871 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@H]1CCCN1CCOC ZINC000131149276 264364931 /nfs/dbraw/zinc/36/49/31/264364931.db2.gz WWBSLMUQXJWMBM-GFCCVEGCSA-N 0 1 276.402 0.593 20 30 CCEDMN CN1CCO[C@@H]2CCN(c3nccnc3C#N)C[C@H]21 ZINC000225474253 277121770 /nfs/dbraw/zinc/12/17/70/277121770.db2.gz MYXFLKHNQBCOLW-VXGBXAGGSA-N 0 1 259.313 0.258 20 30 CCEDMN Cc1cc(C#N)nc(N2C[C@@H]3OCCN(C)[C@H]3C2)n1 ZINC000329356949 280318953 /nfs/dbraw/zinc/31/89/53/280318953.db2.gz FXGKWCVVRSPLHJ-RYUDHWBXSA-N 0 1 259.313 0.176 20 30 CCEDMN C[C@H](O)[C@@H]1CCCCN1CC(=O)NC1(C#N)CCC1 ZINC000347028883 290156368 /nfs/dbraw/zinc/15/63/68/290156368.db2.gz HNISCHLMQPCLIY-RYUDHWBXSA-N 0 1 265.357 0.784 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)C(C)(C)C(=O)[O-] ZINC000737080072 581898695 /nfs/dbraw/zinc/89/86/95/581898695.db2.gz WTTSZMBDRXOIIX-SNVBAGLBSA-N 0 1 269.345 0.790 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc(C#N)[nH]2)CC1 ZINC000532776885 328809657 /nfs/dbraw/zinc/80/96/57/328809657.db2.gz FSQPBPXONHBPHR-UHFFFAOYSA-N 0 1 257.297 0.604 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@@H]1COC[C@H]1OC ZINC000563083656 327907115 /nfs/dbraw/zinc/90/71/15/327907115.db2.gz VMQKYOMCAVFKSA-YNEHKIRRSA-N 0 1 268.357 0.579 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@H]1CCCNC1=O ZINC000563305004 328011371 /nfs/dbraw/zinc/01/13/71/328011371.db2.gz ATFUEBIZYKVIOX-MRVPVSSYSA-N 0 1 268.342 0.788 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)[C@@H](C)CN1CCOCC1 ZINC000571163284 328089669 /nfs/dbraw/zinc/08/96/69/328089669.db2.gz BHBNQQAKOPJAHC-MJBXVCDLSA-N 0 1 282.384 0.805 20 30 CCEDMN N#C[C@@]1(NC(=O)c2ccc3cncn3c2)CCOC1 ZINC000556450286 326942799 /nfs/dbraw/zinc/94/27/99/326942799.db2.gz MIYJGUIQCGQKFT-ZDUSSCGKSA-N 0 1 256.265 0.747 20 30 CCEDMN C=CCN1CC[C@@H](N[C@@H]2COC[C@H]2OCC)C1=O ZINC000562548845 327492406 /nfs/dbraw/zinc/49/24/06/327492406.db2.gz USKQEMGVPUNVBK-IJLUTSLNSA-N 0 1 254.330 0.167 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC[C@H](OCCO)C1 ZINC000172299560 329084421 /nfs/dbraw/zinc/08/44/21/329084421.db2.gz XSXZYFPCXJSGOE-OLZOCXBDSA-N 0 1 283.372 0.220 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@H](N2CCOCC2)C1 ZINC000173946757 329151405 /nfs/dbraw/zinc/15/14/05/329151405.db2.gz RUIMGPVXOSAXOZ-KBPBESRZSA-N 0 1 294.399 0.153 20 30 CCEDMN N#CCSCC(=O)N1CCN(C2CCOCC2)CC1 ZINC000175839789 329172127 /nfs/dbraw/zinc/17/21/27/329172127.db2.gz LWLZDOOXNPSOPJ-UHFFFAOYSA-N 0 1 283.397 0.566 20 30 CCEDMN CC#CCNC(=O)N[C@H](C)CCN1CC[NH+](C)CC1 ZINC000185910149 329332254 /nfs/dbraw/zinc/33/22/54/329332254.db2.gz XTJKVQDJFTZYEN-CYBMUJFWSA-N 0 1 266.389 0.335 20 30 CCEDMN C#CCOCCC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000133739917 329655198 /nfs/dbraw/zinc/65/51/98/329655198.db2.gz SBVILRTYSDHSIZ-HNNXBMFYSA-N 0 1 294.395 0.597 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1C[C@H](C)NC[C@@H]1C ZINC000419139052 329710718 /nfs/dbraw/zinc/71/07/18/329710718.db2.gz RVYBKFXAZPCSEC-SRVKXCTJSA-N 0 1 267.373 0.666 20 30 CCEDMN C[C@H]1CCN(C)CCN1C(=O)C(=O)NCC(C)(C)CC#N ZINC000422484365 330148006 /nfs/dbraw/zinc/14/80/06/330148006.db2.gz ZNUIYDOXGWWMRO-LBPRGKRZSA-N 0 1 294.399 0.595 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000422996611 330163394 /nfs/dbraw/zinc/16/33/94/330163394.db2.gz TZMBNHRXSCXUGX-BETUJISGSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1C[C@@H](C)NC[C@@H]1C ZINC000423623840 330212912 /nfs/dbraw/zinc/21/29/12/330212912.db2.gz AQIGVPPWSHSXEH-MNOVXSKESA-N 0 1 253.346 0.230 20 30 CCEDMN C=CCCn1cc(C(=O)N2C[C@@H](C)N[C@H](C)C2)nn1 ZINC000424075090 330220412 /nfs/dbraw/zinc/22/04/12/330220412.db2.gz IRJXDJCCAQIXFS-GHMZBOCLSA-N 0 1 263.345 0.677 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H](C(=O)OCC)C2(COC2)C1 ZINC000451139604 331017159 /nfs/dbraw/zinc/01/71/59/331017159.db2.gz SFSCDBGLPNHOFB-VXGBXAGGSA-N 0 1 296.367 0.189 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)CC1(CC#N)CC1 ZINC000451567600 331034851 /nfs/dbraw/zinc/03/48/51/331034851.db2.gz NFFZCGUNVKYZRT-JTQLQIEISA-N 0 1 259.375 0.550 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000451665237 331038114 /nfs/dbraw/zinc/03/81/14/331038114.db2.gz NCUVUJUODDRLEM-GASCZTMLSA-N 0 1 297.443 0.798 20 30 CCEDMN C=CCCCS(=O)(=O)NC[C@H]1CCCCN1CCO ZINC000451674042 331038499 /nfs/dbraw/zinc/03/84/99/331038499.db2.gz XHLSIRGVJGABBA-CYBMUJFWSA-N 0 1 290.429 0.719 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@H]1C[C@H](OC)C12CCC2 ZINC000451843156 331044429 /nfs/dbraw/zinc/04/44/29/331044429.db2.gz UXEONDODEUVXIA-RYUDHWBXSA-N 0 1 295.383 0.888 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CC[C@](CO)(COC)C1 ZINC000451922626 331047373 /nfs/dbraw/zinc/04/73/73/331047373.db2.gz GGKGPBLRUZNIBS-HNNXBMFYSA-N 0 1 284.400 0.742 20 30 CCEDMN CCO[C@@H]1COC[C@H]1N[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000451944837 331047921 /nfs/dbraw/zinc/04/79/21/331047921.db2.gz QYHBMYJPSCQDSM-KYEXWDHISA-N 0 1 297.399 0.823 20 30 CCEDMN C=C(CC)CNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000454659658 331150628 /nfs/dbraw/zinc/15/06/28/331150628.db2.gz RMXUUXIGJPTINT-CYBMUJFWSA-N 0 1 268.405 0.888 20 30 CCEDMN C=CCOCCCC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000456206808 331186464 /nfs/dbraw/zinc/18/64/64/331186464.db2.gz FUSMLPOYTURARM-OKILXGFUSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@H](CC)C2)CC1 ZINC000490574513 332092101 /nfs/dbraw/zinc/09/21/01/332092101.db2.gz ZXDXTYAOJCCXAP-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](C(N)=O)C2)CC1 ZINC000490618519 332096291 /nfs/dbraw/zinc/09/62/91/332096291.db2.gz WQFFXVMHQZJYGI-CYBMUJFWSA-N 0 1 277.368 0.056 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)Cc2cc[nH]n2)CC1 ZINC000490648581 332099489 /nfs/dbraw/zinc/09/94/89/332099489.db2.gz AENYYMJRTDLVLA-UHFFFAOYSA-N 0 1 260.341 0.713 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN3C(=O)CC[C@@H]3C2)CC1 ZINC000490891902 332125497 /nfs/dbraw/zinc/12/54/97/332125497.db2.gz IHNFFOYRSVYCPG-CQSZACIVSA-N 0 1 289.379 0.165 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC2(O)CCOCC2)CC1 ZINC000491037210 332141127 /nfs/dbraw/zinc/14/11/27/332141127.db2.gz CDBKUYBSLAVJMS-UHFFFAOYSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)CCOC)CC1 ZINC000491092870 332148149 /nfs/dbraw/zinc/14/81/49/332148149.db2.gz CVLBERBYUKSTOK-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cnn(C)c2)CC1 ZINC000491102545 332150007 /nfs/dbraw/zinc/15/00/07/332150007.db2.gz MTCOIVDHSQYKKI-UHFFFAOYSA-N 0 1 260.341 0.382 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](C)c1ccncc1OC ZINC000491119041 332151694 /nfs/dbraw/zinc/15/16/94/332151694.db2.gz GQTWFJWOVSHYFV-NSHDSACASA-N 0 1 261.325 0.880 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1ccc(CCOC)cc1 ZINC000491381652 332201249 /nfs/dbraw/zinc/20/12/49/332201249.db2.gz GJKWAQBRWLZKJR-HUUCEWRRSA-N 0 1 293.363 0.199 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC([C@H]2NC(=O)C2(C)C)CC1 ZINC000491387891 332202734 /nfs/dbraw/zinc/20/27/34/332202734.db2.gz ZIELPIBJNKKTHU-WCQYABFASA-N 0 1 291.395 0.361 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@@H](NC(C)=O)C1CCCC1 ZINC000491451951 332213811 /nfs/dbraw/zinc/21/38/11/332213811.db2.gz ILEUHVOTZQHSGZ-HNNXBMFYSA-N 0 1 293.411 0.753 20 30 CCEDMN C#C[C@@H](NC(=O)N1CC[C@H](c2nc[nH]n2)C1)C(C)C ZINC000491452847 332214404 /nfs/dbraw/zinc/21/44/04/332214404.db2.gz NRNKIQVCASGJAO-WDEREUQCSA-N 0 1 261.329 0.961 20 30 CCEDMN C#CC[N@@H+](C)CCC[N-]S(=O)(=O)CC(F)(F)F ZINC000491496399 332223192 /nfs/dbraw/zinc/22/31/92/332223192.db2.gz QDXCJCZOVLUVOV-UHFFFAOYSA-N 0 1 272.292 0.423 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000491507854 332225812 /nfs/dbraw/zinc/22/58/12/332225812.db2.gz XRELRONTRHSJLD-RYUDHWBXSA-N 0 1 274.324 0.831 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1ccc(CC#N)cc1 ZINC000491617016 332243789 /nfs/dbraw/zinc/24/37/89/332243789.db2.gz JRHPSIKVPJCXCO-UONOGXRCSA-N 0 1 274.320 0.076 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H]2CC3(CC3)C(=O)O2)CC1 ZINC000491627047 332250672 /nfs/dbraw/zinc/25/06/72/332250672.db2.gz MBTYYFGDDQFHAD-ZDUSSCGKSA-N 0 1 291.347 0.971 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@H](c2cnn(C)c2)C(C)(C)C1 ZINC000491667993 332276115 /nfs/dbraw/zinc/27/61/15/332276115.db2.gz SFJBIXHCPBUYPG-TZMCWYRMSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCN1CCC(O)(CNC(=O)OC(C)(C)C)CC1 ZINC000491699784 332294613 /nfs/dbraw/zinc/29/46/13/332294613.db2.gz SEDDFXRVUOYJKA-UHFFFAOYSA-N 0 1 268.357 0.971 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCC(C)(C)C#N)CC1 ZINC000491784098 332347387 /nfs/dbraw/zinc/34/73/87/332347387.db2.gz QEIOPDWKTOQWSB-UHFFFAOYSA-N 0 1 290.411 0.683 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ccnc2[nH]cnc21 ZINC000491794052 332354087 /nfs/dbraw/zinc/35/40/87/332354087.db2.gz OINCMTCRUPYLQV-UHFFFAOYSA-N 0 1 271.324 0.643 20 30 CCEDMN C#CCN(CC#CC)C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000491803445 332357473 /nfs/dbraw/zinc/35/74/73/332357473.db2.gz JILAPGWFKLAVNZ-LBPRGKRZSA-N 0 1 270.336 0.935 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC[C@@H](COC)C2)C1=O ZINC000491819813 332369635 /nfs/dbraw/zinc/36/96/35/332369635.db2.gz PATJSTCBFPXZID-VXGBXAGGSA-N 0 1 264.325 0.106 20 30 CCEDMN CCCNC(=O)CCCC(=O)NC1(C#N)CCN(C)CC1 ZINC000522130941 333247361 /nfs/dbraw/zinc/24/73/61/333247361.db2.gz WWXQYMUDBVIQBJ-UHFFFAOYSA-N 0 1 294.399 0.787 20 30 CCEDMN N#Cc1ccc(OCCN[C@]2(C(N)=O)CCOC2)cc1 ZINC000576169313 335164058 /nfs/dbraw/zinc/16/40/58/335164058.db2.gz FFLXXLPVQMXADU-CQSZACIVSA-N 0 1 275.308 0.171 20 30 CCEDMN CN(C)C(=O)C(C)(C)NCC(=O)N(CCC#N)CCC#N ZINC000074286368 334001544 /nfs/dbraw/zinc/00/15/44/334001544.db2.gz MCPCBWLLFUEPGB-UHFFFAOYSA-N 0 1 293.371 0.099 20 30 CCEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)N[C@@]1(C#N)CCOC1 ZINC000547488336 334092991 /nfs/dbraw/zinc/09/29/91/334092991.db2.gz PFEXTBLRMQWXMF-NQBHXWOUSA-N 0 1 251.330 0.658 20 30 CCEDMN Cc1nnc(SCC(=O)N[C@]2(C#N)CCOC2)[nH]1 ZINC000548140579 334142218 /nfs/dbraw/zinc/14/22/18/334142218.db2.gz SHZAEQOXQSGCFZ-JTQLQIEISA-N 0 1 267.314 0.004 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N[C@]2(C#N)CCOC2)n1 ZINC000548140579 334142219 /nfs/dbraw/zinc/14/22/19/334142219.db2.gz SHZAEQOXQSGCFZ-JTQLQIEISA-N 0 1 267.314 0.004 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@@H](C)[C@H]1CO ZINC000248888916 334320795 /nfs/dbraw/zinc/32/07/95/334320795.db2.gz FKCJDOSQUDMYFF-YRGRVCCFSA-N 0 1 267.373 0.744 20 30 CCEDMN C[C@H](O)[C@@H]1CCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000252763656 334390874 /nfs/dbraw/zinc/39/08/74/334390874.db2.gz HPEAKBSFUMEYSE-MISXGVKJSA-N 0 1 265.357 0.498 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1N=c1ccc(C(=O)NCC)n[nH]1 ZINC000569070435 334854022 /nfs/dbraw/zinc/85/40/22/334854022.db2.gz HDERNWXCWLPSLV-PWSUYJOCSA-N 0 1 276.340 0.794 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)NCC2(C#N)CC2)C1 ZINC000577064036 335294090 /nfs/dbraw/zinc/29/40/90/335294090.db2.gz XSGMKPNYGWQDBN-CYBMUJFWSA-N 0 1 294.399 0.946 20 30 CCEDMN C[C@H]1CNCCN1C(=O)COc1ccc(C#N)cc1 ZINC000580722059 335959995 /nfs/dbraw/zinc/95/99/95/335959995.db2.gz RDVKDMUYBLLORC-NSHDSACASA-N 0 1 259.309 0.757 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC2(C#N)CC2)C[C@H](C)N1CCO ZINC000581593434 336108645 /nfs/dbraw/zinc/10/86/45/336108645.db2.gz VEKCZWXQOKIYKJ-TXEJJXNPSA-N 0 1 280.372 0.387 20 30 CCEDMN N#Cc1ccncc1N1CCN(CC[C@@H]2CCNC2=O)CC1 ZINC000581615459 336112665 /nfs/dbraw/zinc/11/26/65/336112665.db2.gz HVOCDJGZNDQSBE-ZDUSSCGKSA-N 0 1 299.378 0.601 20 30 CCEDMN C[C@@H]1C[C@H](CNC(=O)N[C@@H]2CCc3ncnn3C2)CCO1 ZINC000329123361 533828328 /nfs/dbraw/zinc/82/83/28/533828328.db2.gz RSFAAXWCVGMGNW-IJLUTSLNSA-N 0 1 293.371 0.912 20 30 CCEDMN C[C@@H]1C[C@H](C[NH+]=C([O-])N[C@@H]2CCc3ncnn3C2)CCO1 ZINC000329123361 533828338 /nfs/dbraw/zinc/82/83/38/533828338.db2.gz RSFAAXWCVGMGNW-IJLUTSLNSA-N 0 1 293.371 0.912 20 30 CCEDMN COC(=O)[C@@H](C)CN(C)[C@@H](C)C(=O)N(C)CCC#N ZINC000114063272 534212551 /nfs/dbraw/zinc/21/25/51/534212551.db2.gz NTWPLPISEZFYCW-QWRGUYRKSA-N 0 1 269.345 0.488 20 30 CCEDMN C[C@H](NC(=O)c1cc2n(n1)CCCO2)c1nnc[nH]1 ZINC000330302085 534280688 /nfs/dbraw/zinc/28/06/88/534280688.db2.gz OCDXAJLHJBPWBC-ZETCQYMHSA-N 0 1 262.273 0.850 20 30 CCEDMN C=C1CCN(CCc2cn(CC(=O)OC)nn2)CC1 ZINC000269471743 534465477 /nfs/dbraw/zinc/46/54/77/534465477.db2.gz LRNZJDWRHQBQKO-UHFFFAOYSA-N 0 1 264.329 0.646 20 30 CCEDMN CCN1C[C@@H](C)N(C(=O)c2cnn(C)c2C#N)C[C@H]1C ZINC000356629044 521727088 /nfs/dbraw/zinc/72/70/88/521727088.db2.gz UTMSQWBODYBSLV-GHMZBOCLSA-N 0 1 275.356 0.846 20 30 CCEDMN CCc1nc(CN2CCN(c3ccc(C#N)cn3)CC2)n[nH]1 ZINC000184574306 522314634 /nfs/dbraw/zinc/31/46/34/522314634.db2.gz MUCJXIFIMCSMAU-UHFFFAOYSA-N 0 1 297.366 0.956 20 30 CCEDMN CNC(=O)C[NH+]1CCC(NC(=O)N=c2cn[n-]s2)CC1 ZINC000330268228 526397155 /nfs/dbraw/zinc/39/71/55/526397155.db2.gz QZZGMOKXKUOWRT-UHFFFAOYSA-N 0 1 298.372 0.915 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@@H]1CN2CCC[C@@H]2CO1 ZINC000367188261 526683374 /nfs/dbraw/zinc/68/33/74/526683374.db2.gz RUZNXEIWEXZTKN-VXGBXAGGSA-N 0 1 274.386 0.345 20 30 CCEDMN C=CCOCC(=O)Nc1ccn(-c2ccncc2)n1 ZINC000356115002 526701062 /nfs/dbraw/zinc/70/10/62/526701062.db2.gz UNBLCNKHXLGXCM-UHFFFAOYSA-N 0 1 258.281 0.830 20 30 CCEDMN C=CC1CCN([C@H](C)C(=O)NCC(=O)OC)CC1 ZINC000111257551 526983190 /nfs/dbraw/zinc/98/31/90/526983190.db2.gz HTBQFRACKGQCBT-SNVBAGLBSA-N 0 1 254.330 0.562 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCCN([C@@H](C)CO)CC1 ZINC000347126875 527372405 /nfs/dbraw/zinc/37/24/05/527372405.db2.gz GEHNRLRDUNYVFE-KBPBESRZSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCN(C)C(=O)c1cnc(CCOCCOC)[nH]1 ZINC000347411896 527571179 /nfs/dbraw/zinc/57/11/79/527571179.db2.gz BRWUFCFEVJOVSO-UHFFFAOYSA-N 0 1 267.329 0.873 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@@H]1CCCOC1 ZINC000352203810 528354899 /nfs/dbraw/zinc/35/48/99/528354899.db2.gz VNLBTURSJHZWMV-GFCCVEGCSA-N 0 1 253.346 0.859 20 30 CCEDMN CC(C)c1nnc2n1C[C@@H](NC(=O)N1CC(CO)C1)CC2 ZINC000330051772 528473598 /nfs/dbraw/zinc/47/35/98/528473598.db2.gz OAKJCLHRLRHNOS-NSHDSACASA-N 0 1 293.371 0.554 20 30 CCEDMN C=CCCOCC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000674131643 545300130 /nfs/dbraw/zinc/30/01/30/545300130.db2.gz CUHMJPGBVXHPTP-CQSZACIVSA-N 0 1 282.384 0.760 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000352273584 529350489 /nfs/dbraw/zinc/35/04/89/529350489.db2.gz OTTFBWIZOAMCIS-GXTWGEPZSA-N 0 1 266.389 0.678 20 30 CCEDMN CC(C)c1nc([C@@H](C)NC(=O)Cn2cnc(C#N)n2)n[nH]1 ZINC000343005397 535380055 /nfs/dbraw/zinc/38/00/55/535380055.db2.gz YDOATNJORIJOSU-MRVPVSSYSA-N 0 1 288.315 0.269 20 30 CCEDMN COCC#CCN1CCC(O[C@@H]2CCOC2)CC1 ZINC000677820842 545374088 /nfs/dbraw/zinc/37/40/88/545374088.db2.gz YXKFFUZBBVTFAV-CQSZACIVSA-N 0 1 253.342 0.906 20 30 CCEDMN C=CCOCCNCc1c(C)nn(C)c1N1CCOCC1 ZINC000679486203 545410300 /nfs/dbraw/zinc/41/03/00/545410300.db2.gz DPKGLQCQSLTGJD-UHFFFAOYSA-N 0 1 294.399 0.857 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777320634 581112912 /nfs/dbraw/zinc/11/29/12/581112912.db2.gz AOKGWNUZTWXEBE-JTQLQIEISA-N 0 1 263.341 0.884 20 30 CCEDMN Cn1cc([C@H](CO)NC[C@@H](O)c2ccc(C#N)cc2)cn1 ZINC000778138617 581143521 /nfs/dbraw/zinc/14/35/21/581143521.db2.gz RLCYDOYZRPJBAZ-LSDHHAIUSA-N 0 1 286.335 0.648 20 30 CCEDMN COc1ncnc(N)c1C=NNc1cc(Cl)nc(N)n1 ZINC000778546685 581159442 /nfs/dbraw/zinc/15/94/42/581159442.db2.gz LXJSRDJWFYEGMK-UHFFFAOYSA-N 0 1 294.706 0.539 20 30 CCEDMN CC(C)N(C)c1ccc(C=NNCCS(C)(=O)=O)cn1 ZINC000790163956 581178766 /nfs/dbraw/zinc/17/87/66/581178766.db2.gz HNIHBDYQOKRJHK-UHFFFAOYSA-N 0 1 298.412 0.894 20 30 CCEDMN C#CCOc1ccccc1C=NNC1=NC[C@H](C)N1 ZINC000779792662 581205691 /nfs/dbraw/zinc/20/56/91/581205691.db2.gz OZHAPXKZQDPACS-NSHDSACASA-N 0 1 256.309 0.970 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cnc(N3CCCC3)nc2)N1 ZINC000779800726 581206829 /nfs/dbraw/zinc/20/68/29/581206829.db2.gz PHIUKZPHKKCOSN-SNVBAGLBSA-N 0 1 273.344 0.348 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@@H]1[C@@H]2CCCO[C@H]12 ZINC000780309616 581224708 /nfs/dbraw/zinc/22/47/08/581224708.db2.gz MUPYJLNYWGYDCC-WYFGTUCQSA-N 0 1 250.298 0.645 20 30 CCEDMN C[C@H](NC(=O)[C@@H](O)c1ccc(C#N)cc1)c1nnc[nH]1 ZINC000780957580 581252474 /nfs/dbraw/zinc/25/24/74/581252474.db2.gz WXEQFEQZFMDPSF-KWQFWETISA-N 0 1 271.280 0.587 20 30 CCEDMN N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000738430719 581319070 /nfs/dbraw/zinc/31/90/70/581319070.db2.gz NTTPEMJFYCIZTA-OLZOCXBDSA-N 0 1 287.319 0.881 20 30 CCEDMN N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@@H](C(=O)[O-])C2)cc1 ZINC000738430719 581319072 /nfs/dbraw/zinc/31/90/72/581319072.db2.gz NTTPEMJFYCIZTA-OLZOCXBDSA-N 0 1 287.319 0.881 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H]2CCC[C@@H]2NC(=O)[O-])CC1 ZINC000738457720 581332118 /nfs/dbraw/zinc/33/21/18/581332118.db2.gz VHXOMFBNTSPLMQ-OLZOCXBDSA-N 0 1 293.367 0.590 20 30 CCEDMN C=C(C)C[N@H+](C)CC(=O)N1CCN(C(=O)[O-])CC1 ZINC000738470607 581343987 /nfs/dbraw/zinc/34/39/87/581343987.db2.gz LRZZEWXCYDEMNK-UHFFFAOYSA-N 0 1 255.318 0.317 20 30 CCEDMN C#CCOC(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000728371576 581360547 /nfs/dbraw/zinc/36/05/47/581360547.db2.gz SQBKONMIMNJMQB-VIFPVBQESA-N 0 1 271.276 0.858 20 30 CCEDMN CC(C)(C)OC(=O)N1CCc2[nH]nc(C(=O)NO)c2C1 ZINC000783880135 581366753 /nfs/dbraw/zinc/36/67/53/581366753.db2.gz OBQGBVWGAIVEHD-UHFFFAOYSA-N 0 1 282.300 0.822 20 30 CCEDMN CCOC(CC(=O)NC1(C#N)CCN(C)CC1)OCC ZINC000786110418 581431326 /nfs/dbraw/zinc/43/13/26/581431326.db2.gz BIGQDZMWYYVTIU-UHFFFAOYSA-N 0 1 283.372 0.880 20 30 CCEDMN C#CCN1CCN(C(=O)c2ccc3cncn3c2)CC1 ZINC000786159572 581432630 /nfs/dbraw/zinc/43/26/30/581432630.db2.gz RSKDZTANIBQZPW-UHFFFAOYSA-N 0 1 268.320 0.725 20 30 CCEDMN CCOC(=O)NCCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000752477948 581507390 /nfs/dbraw/zinc/50/73/90/581507390.db2.gz TZKZQCBIRGKSQU-SNVBAGLBSA-N 0 1 281.312 0.500 20 30 CCEDMN Cn1ncc2c1nc(N=NC1CC[N@H+]3CCC[C@H]13)[n-]c2=O ZINC000765579695 581526463 /nfs/dbraw/zinc/52/64/63/581526463.db2.gz ZDSACJNNNULPMF-SNVBAGLBSA-N 0 1 287.327 0.705 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H](C)N2CCN(C)CC2)nc1 ZINC000728985869 581527087 /nfs/dbraw/zinc/52/70/87/581527087.db2.gz YYWMYWALKFAXME-CYBMUJFWSA-N 0 1 286.379 0.429 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CNC1(C(=O)NC)CCCC1 ZINC000733999968 581539095 /nfs/dbraw/zinc/53/90/95/581539095.db2.gz WOISIRWSQMUBSH-LBPRGKRZSA-N 0 1 294.399 0.643 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+](C)[C@@H](C)CNC(=O)[O-] ZINC000739405608 581547813 /nfs/dbraw/zinc/54/78/13/581547813.db2.gz XUXIOHVZUYTYQC-QWRGUYRKSA-N 0 1 284.360 0.582 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)[C@@H](C)CNC(=O)[O-] ZINC000739405608 581547815 /nfs/dbraw/zinc/54/78/15/581547815.db2.gz XUXIOHVZUYTYQC-QWRGUYRKSA-N 0 1 284.360 0.582 20 30 CCEDMN COc1ncnc(N)c1C=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000753934463 581565881 /nfs/dbraw/zinc/56/58/81/581565881.db2.gz IPYAPQHKSBFWHA-NXEZZACHSA-N 0 1 289.343 0.261 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)C(=O)NCC(F)F)c1 ZINC000753986156 581568910 /nfs/dbraw/zinc/56/89/10/581568910.db2.gz KTJVVOAPBHSCEJ-UHFFFAOYSA-N 0 1 269.207 0.584 20 30 CCEDMN C#CC(=O)N[C@H](CN1CCN(C)CC1)c1ccccc1 ZINC000754000594 581569354 /nfs/dbraw/zinc/56/93/54/581569354.db2.gz QUTINCQFOBGEHJ-OAHLLOKOSA-N 0 1 271.364 0.725 20 30 CCEDMN CC(C)(C)[C@H]1CCN(CC(=O)NCCC#N)C[C@H]1O ZINC000754239290 581582610 /nfs/dbraw/zinc/58/26/10/581582610.db2.gz YITWQFNJOSCMAG-NWDGAFQWSA-N 0 1 267.373 0.745 20 30 CCEDMN C#CCCCN1CCN(C(=O)[C@@H]2CCCO2)CC1 ZINC000766894867 581584863 /nfs/dbraw/zinc/58/48/63/581584863.db2.gz FSWHKJJQUMLGIL-ZDUSSCGKSA-N 0 1 250.342 0.723 20 30 CCEDMN Cc1cc(CC(=O)O[C@H](C(N)=O)c2ccc(C#N)cc2)n[nH]1 ZINC000756703669 581675307 /nfs/dbraw/zinc/67/53/07/581675307.db2.gz BANCUAYMJRYXDD-AWEZNQCLSA-N 0 1 298.302 0.902 20 30 CCEDMN CCN(CCC#N)C(=O)C[NH+]1CCC(N(C)C(=O)[O-])CC1 ZINC000737850004 581725954 /nfs/dbraw/zinc/72/59/54/581725954.db2.gz VYTOTSYUROJDDT-UHFFFAOYSA-N 0 1 296.371 0.823 20 30 CCEDMN N#C[C@@H](C(=O)CCc1nc[nH]n1)C(=O)NC1CCCCC1 ZINC000755908662 581757758 /nfs/dbraw/zinc/75/77/58/581757758.db2.gz ACPBQMAMDSACEJ-NSHDSACASA-N 0 1 289.339 0.895 20 30 CCEDMN N#Cc1ccc([C@@H](N[C@H](CO)C2CC2)C(N)=O)cc1 ZINC000771812368 581823867 /nfs/dbraw/zinc/82/38/67/581823867.db2.gz HQFOVOGKOUKFBY-CHWSQXEVSA-N 0 1 259.309 0.445 20 30 CCEDMN CC(=CS(C)(=O)=O)NNc1ccc(-n2cccn2)nn1 ZINC000732528485 581833896 /nfs/dbraw/zinc/83/38/96/581833896.db2.gz LPSLDHNDOADMBN-UHFFFAOYSA-N 0 1 294.340 0.495 20 30 CCEDMN C#CCNC(=O)CN1CCc2ccc(OC)cc2CC1 ZINC000760928135 581879971 /nfs/dbraw/zinc/87/99/71/581879971.db2.gz PYJPUSFYKGXQSH-UHFFFAOYSA-N 0 1 272.348 0.845 20 30 CCEDMN Cc1cc(O)cc2c1C(=O)C(=NNCCS(C)(=O)=O)O2 ZINC000733203801 581890089 /nfs/dbraw/zinc/89/00/89/581890089.db2.gz AILDNUKGBDDGEU-UHFFFAOYSA-N 0 1 298.320 0.223 20 30 CCEDMN C[NH+]1CCN(N=Cc2cccc(OCC(=O)[O-])c2)CC1 ZINC000733201268 581890108 /nfs/dbraw/zinc/89/01/08/581890108.db2.gz QCAVWISQJBGDAG-UHFFFAOYSA-N 0 1 277.324 0.731 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+](C)C(C)(C)C(=O)[O-] ZINC000737080072 581898692 /nfs/dbraw/zinc/89/86/92/581898692.db2.gz WTTSZMBDRXOIIX-SNVBAGLBSA-N 0 1 269.345 0.790 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@H]3[C@H](O)CCCN3C2=O)CC1 ZINC000761249407 581898954 /nfs/dbraw/zinc/89/89/54/581898954.db2.gz JHOFIYDMGSCTLU-CHWSQXEVSA-N 0 1 293.367 0.424 20 30 CCEDMN NS(=O)(=O)c1ccccc1N=NCc1ccc(O)cn1 ZINC000773120807 581909799 /nfs/dbraw/zinc/90/97/99/581909799.db2.gz KQGBFZOXQGQWIJ-UHFFFAOYSA-N 0 1 292.320 0.881 20 30 CCEDMN N#Cc1cc(C(=O)N2CCSC[C@@H]2c2nn[nH]n2)c[nH]1 ZINC000773157576 581911190 /nfs/dbraw/zinc/91/11/90/581911190.db2.gz FMGGTPNGIKGDRJ-SECBINFHSA-N 0 1 289.324 0.330 20 30 CCEDMN Cn1cc(C[C@H]2CCN(CC(=O)NCCC#N)C2)cn1 ZINC000746676607 581922027 /nfs/dbraw/zinc/92/20/27/581922027.db2.gz LXKVJHMSLBIASG-GFCCVEGCSA-N 0 1 275.356 0.314 20 30 CCEDMN C(=NN=c1nccc(N2CCOCC2)[nH]1)c1csnn1 ZINC000762599793 581953644 /nfs/dbraw/zinc/95/36/44/581953644.db2.gz BTVCOQIRCCONIJ-UHFFFAOYSA-N 0 1 291.340 0.611 20 30 CCEDMN C[C@@H](NC(=O)COc1cccc(C#N)c1)c1nnc[nH]1 ZINC000748378661 581973017 /nfs/dbraw/zinc/97/30/17/581973017.db2.gz IIYDNOBEQCFIOP-SECBINFHSA-N 0 1 271.280 0.933 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CNC(=O)C2CC2)c1 ZINC000748411070 581974160 /nfs/dbraw/zinc/97/41/60/581974160.db2.gz IZLHMJQSEFJRGQ-UHFFFAOYSA-N 0 1 259.265 0.729 20 30 CCEDMN C#C[C@@H](C)NC(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000775382479 582021810 /nfs/dbraw/zinc/02/18/10/582021810.db2.gz UTSFXQGAROIJBE-ZJUUUORDSA-N 0 1 284.319 0.819 20 30 CCEDMN CS(=O)(=O)CCNN=Cc1cc(F)c(O)c(F)c1 ZINC000749857986 582024919 /nfs/dbraw/zinc/02/49/19/582024919.db2.gz GDNZAULEQVKSJQ-UHFFFAOYSA-N 0 1 278.280 0.639 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCCN(C(=O)[O-])C1CC1 ZINC000738462394 582053240 /nfs/dbraw/zinc/05/32/40/582053240.db2.gz ZWHRPSHFUGFTOZ-LBPRGKRZSA-N 0 1 279.340 0.343 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N1C[C@@H](C)N(C(=O)[O-])[C@H](C)C1 ZINC000738461257 582053243 /nfs/dbraw/zinc/05/32/43/582053243.db2.gz QDXGLBWCUBRTMV-UPJWGTAASA-N 0 1 293.367 0.683 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@@H](C)N(C(=O)[O-])[C@H](C)C1 ZINC000738461257 582053244 /nfs/dbraw/zinc/05/32/44/582053244.db2.gz QDXGLBWCUBRTMV-UPJWGTAASA-N 0 1 293.367 0.683 20 30 CCEDMN C=CCCC(=O)NCCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000738489324 582055281 /nfs/dbraw/zinc/05/52/81/582055281.db2.gz UBCYZESTVJVDTI-UHFFFAOYSA-N 0 1 269.345 0.755 20 30 CCEDMN N#CC(C(=O)CC[C@H]1CCOC1)C(=O)NC1CC1 ZINC000751827126 582093472 /nfs/dbraw/zinc/09/34/72/582093472.db2.gz QJRNNUYWCJYBKS-GXSJLCMTSA-N 0 1 250.298 0.791 20 30 CCEDMN N#CC(C(=O)CC[C@H]1CCOC1)C(=O)NC1CC1 ZINC000751827378 582093670 /nfs/dbraw/zinc/09/36/70/582093670.db2.gz QJRNNUYWCJYBKS-ONGXEEELSA-N 0 1 250.298 0.791 20 30 CCEDMN N#CC(C(=O)CC[C@@H]1CCOC1)C(=O)NC1CC1 ZINC000751827377 582093702 /nfs/dbraw/zinc/09/37/02/582093702.db2.gz QJRNNUYWCJYBKS-MWLCHTKSSA-N 0 1 250.298 0.791 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)[N@H+]2CC[C@H](C(=O)[O-])C2)cc1 ZINC000739105395 582102717 /nfs/dbraw/zinc/10/27/17/582102717.db2.gz GTCMSZPNSPVXHO-NWDGAFQWSA-N 0 1 273.292 0.491 20 30 CCEDMN CCS(=O)(CC)=NS(=O)(=O)NCC(C)(C)C#N ZINC000867080278 615356858 /nfs/dbraw/zinc/35/68/58/615356858.db2.gz IPAZADZLSCHVPV-UHFFFAOYSA-N 0 1 281.403 0.878 20 30 CCEDMN C#CCCCS(=O)(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000804993585 612983194 /nfs/dbraw/zinc/98/31/94/612983194.db2.gz QZECBCLUAIKODJ-ZDUSSCGKSA-N 0 1 270.398 0.898 20 30 CCEDMN C#CCCCS(=O)(=O)Nc1cncc(OC)n1 ZINC000844836562 612985389 /nfs/dbraw/zinc/98/53/89/612985389.db2.gz PYFZYIZMRMABOE-UHFFFAOYSA-N 0 1 255.299 0.640 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N[C@@H]1CC[N@H+](C2CC2)C1 ZINC000819821617 597637708 /nfs/dbraw/zinc/63/77/08/597637708.db2.gz IUPYZVRFBNRSAM-RNCFNFMXSA-N 0 1 265.313 0.344 20 30 CCEDMN C#CCCN1CCN(C[C@H]2CN(CC)C(=O)O2)CC1 ZINC000846838000 613011789 /nfs/dbraw/zinc/01/17/89/613011789.db2.gz ULZCUTYAHQRRJP-ZDUSSCGKSA-N 0 1 265.357 0.468 20 30 CCEDMN N#Cc1c(Cl)nsc1N1CC[NH+](CC(=O)[O-])CC1 ZINC000833068945 601247868 /nfs/dbraw/zinc/24/78/68/601247868.db2.gz ICTYDKRZQQZJIQ-UHFFFAOYSA-N 0 1 286.744 0.875 20 30 CCEDMN C#CCSCCNC(=O)N1CCNC[C@H]1C(C)C ZINC000861591034 613015905 /nfs/dbraw/zinc/01/59/05/613015905.db2.gz YCWPBDILUFYWHP-LBPRGKRZSA-N 0 1 269.414 0.992 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)OCCCC#N)cn1 ZINC000859922498 617178347 /nfs/dbraw/zinc/17/83/47/617178347.db2.gz IUHDKYRDXFJJEK-UHFFFAOYSA-N 0 1 283.309 0.914 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000251015750 601921290 /nfs/dbraw/zinc/92/12/90/601921290.db2.gz APRQDMGYAKNAOY-VHSXEESVSA-N 0 1 270.354 0.016 20 30 CCEDMN C#CCSCCNC(=O)NCc1cc(C)[nH]n1 ZINC000740058153 613017070 /nfs/dbraw/zinc/01/70/70/613017070.db2.gz SIOXDSXYOOQCTJ-UHFFFAOYSA-N 0 1 252.343 0.884 20 30 CCEDMN C#CC1(O)CCN(Cc2cnc3c(cnn3C)c2)CC1 ZINC000895386365 612952577 /nfs/dbraw/zinc/95/25/77/612952577.db2.gz ZPRAMHWRDPCRRG-UHFFFAOYSA-N 0 1 270.336 0.928 20 30 CCEDMN C#CC1(O)CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000931143218 612952795 /nfs/dbraw/zinc/95/27/95/612952795.db2.gz XIGFXMRZPCLAQQ-LBPRGKRZSA-N 0 1 263.341 0.207 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CN(CC#N)CC[C@H]2C)n[nH]1 ZINC000966000779 649946788 /nfs/dbraw/zinc/94/67/88/649946788.db2.gz RTRMTRQFGSWCPY-MWLCHTKSSA-N 0 1 276.344 0.006 20 30 CCEDMN C[C@@H]1C[C@@H](CNC(=O)[O-])C[N@H+]1CCC(=O)N(C)CCC#N ZINC000833828727 605751160 /nfs/dbraw/zinc/75/11/60/605751160.db2.gz DTIOBHOINNBEBK-NEPJUHHUSA-N 0 1 296.371 0.727 20 30 CCEDMN COc1cc(COCC(=O)NCC#CCN(C)C)ccn1 ZINC000913524314 617194294 /nfs/dbraw/zinc/19/42/94/617194294.db2.gz HZGJHRJFLRAPEU-UHFFFAOYSA-N 0 1 291.351 0.288 20 30 CCEDMN C#CC[C@H](NS(=O)(=O)Cc1ccccc1F)C(=O)OC ZINC000841540265 613071166 /nfs/dbraw/zinc/07/11/66/613071166.db2.gz KYHHKPWRLJBUDI-LBPRGKRZSA-N 0 1 299.323 0.810 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000820429487 613080821 /nfs/dbraw/zinc/08/08/21/613080821.db2.gz WYHMOXUHAHKOGS-LLVKDONJSA-N 0 1 253.346 0.106 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn(C)c2Cl)C1 ZINC000971786614 613096828 /nfs/dbraw/zinc/09/68/28/613096828.db2.gz CCMFROVJKRLYSE-JTQLQIEISA-N 0 1 280.759 0.853 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)N1CC[C@H](C)C1 ZINC000922022885 613097948 /nfs/dbraw/zinc/09/79/48/613097948.db2.gz CPOKEHBWOKOFAB-ZDUSSCGKSA-N 0 1 299.440 0.602 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NCC#CCN(C)C ZINC000913523245 613457396 /nfs/dbraw/zinc/45/73/96/613457396.db2.gz RJUDVILVUXEHOG-OLZOCXBDSA-N 0 1 250.342 0.649 20 30 CCEDMN C#CCCCNC(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC000828391001 612979083 /nfs/dbraw/zinc/97/90/83/612979083.db2.gz FFGHMQKTZZFGJF-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN COc1ccc(CC(=O)N[C@@H]2CNC[C@H]2C#N)cc1F ZINC000843462566 617241886 /nfs/dbraw/zinc/24/18/86/617241886.db2.gz RDHMNLRTSDTPGF-ZYHUDNBSSA-N 0 1 277.299 0.605 20 30 CCEDMN COc1ccc(F)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1OC ZINC000834500280 617264014 /nfs/dbraw/zinc/26/40/14/617264014.db2.gz RRCZEFKQYQFWJN-PSASIEDQSA-N 0 1 293.298 0.684 20 30 CCEDMN COc1ccc(F)c(OC)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000876801591 617264838 /nfs/dbraw/zinc/26/48/38/617264838.db2.gz GDSNXECJNORTGE-SCZZXKLOSA-N 0 1 293.298 0.684 20 30 CCEDMN COc1ccc(O)c(C=Nn2c(=O)c(C)n[nH]c2=S)c1 ZINC000916303773 617272269 /nfs/dbraw/zinc/27/22/69/617272269.db2.gz REACUFDCGLCLDR-UHFFFAOYSA-N 0 1 292.320 0.832 20 30 CCEDMN COc1ccc([C@@H]2CN(CC(=O)NCC#N)CCN2)cc1 ZINC000879520108 617280145 /nfs/dbraw/zinc/28/01/45/617280145.db2.gz AXMCJSMMGZIPAZ-AWEZNQCLSA-N 0 1 288.351 0.281 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(C1)CCCN(CC(=O)N(C)C)C2 ZINC000981672181 617287643 /nfs/dbraw/zinc/28/76/43/617287643.db2.gz OLKTZZDHRRIYSV-LBPRGKRZSA-N 0 1 292.383 0.159 20 30 CCEDMN COc1cccc(OCC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834494989 617306399 /nfs/dbraw/zinc/30/63/99/617306399.db2.gz RLGWTZWSWBJVOJ-ZWNOBZJWSA-N 0 1 275.308 0.302 20 30 CCEDMN C#CC(=O)N1CC[C@@H](c2[nH]ncc2C(=O)OCC)C1 ZINC000912493607 612945534 /nfs/dbraw/zinc/94/55/34/612945534.db2.gz LLEHILVQZCGLEA-SECBINFHSA-N 0 1 261.281 0.536 20 30 CCEDMN C#CC1CCN(CC(=O)NC(=O)NCCC)CC1 ZINC000830301987 612964496 /nfs/dbraw/zinc/96/44/96/612964496.db2.gz WSGFWZRRWSKIOX-UHFFFAOYSA-N 0 1 251.330 0.567 20 30 CCEDMN C#CCC1(NC(=O)[C@@H]2CCCN2C)CCOCC1 ZINC000925416722 612970043 /nfs/dbraw/zinc/97/00/43/612970043.db2.gz LSSQHHOQTBOBLH-LBPRGKRZSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)Cc1cc[nH]n1 ZINC000960258821 612971073 /nfs/dbraw/zinc/97/10/73/612971073.db2.gz NPOINIHDJBBEND-NVXWUHKLSA-N 0 1 294.358 0.957 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)Cc1ncc[nH]1 ZINC000960315325 612971305 /nfs/dbraw/zinc/97/13/05/612971305.db2.gz SCSVFEATVCLGNE-RHSMWYFYSA-N 0 1 294.358 0.957 20 30 CCEDMN C#CCC1(O)CCN([C@@H](C)C(=O)NC[C@@H]2CCCO2)CC1 ZINC000880478069 612974000 /nfs/dbraw/zinc/97/40/00/612974000.db2.gz RXLAKXWNMKOWKH-KBPBESRZSA-N 0 1 294.395 0.520 20 30 CCEDMN C#CCC1(O)CCN([C@@H](C)C(=O)NC(=O)NCC)CC1 ZINC000880477024 612974056 /nfs/dbraw/zinc/97/40/56/612974056.db2.gz AKSCLFNBKBUUDW-NSHDSACASA-N 0 1 281.356 0.071 20 30 CCEDMN C#CCCCCC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000840504353 612975402 /nfs/dbraw/zinc/97/54/02/612975402.db2.gz PZESKIPCMNIDNV-CYBMUJFWSA-N 0 1 280.368 0.743 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000806462079 612980794 /nfs/dbraw/zinc/98/07/94/612980794.db2.gz ZRBFPYLVXJHSOR-SNVBAGLBSA-N 0 1 276.340 0.295 20 30 CCEDMN C#CCCN(CC(F)(F)F)C(=O)Cc1ncn[nH]1 ZINC000867451071 612986255 /nfs/dbraw/zinc/98/62/55/612986255.db2.gz QWIALCWVTNIHOT-UHFFFAOYSA-N 0 1 260.219 0.761 20 30 CCEDMN C#CCOCCNC(=O)NCc1nc(CSC)n[nH]1 ZINC000884264120 612987481 /nfs/dbraw/zinc/98/74/81/612987481.db2.gz ZVHQEGBWQOWFDR-UHFFFAOYSA-N 0 1 283.357 0.117 20 30 CCEDMN C#CCOCCNC(=O)NCc1nnc(CSC)[nH]1 ZINC000884264120 612987482 /nfs/dbraw/zinc/98/74/82/612987482.db2.gz ZVHQEGBWQOWFDR-UHFFFAOYSA-N 0 1 283.357 0.117 20 30 CCEDMN C#CCONC(=O)C[C@](O)(c1nccn1C)C(F)(F)F ZINC000812782558 612992424 /nfs/dbraw/zinc/99/24/24/612992424.db2.gz XYCQOVBDISKHHN-JTQLQIEISA-N 0 1 291.229 0.241 20 30 CCEDMN C#CCONC(=O)[C@@H]1CCS(=O)(=O)c2ccccc21 ZINC000812781604 612992720 /nfs/dbraw/zinc/99/27/20/612992720.db2.gz BQHYNFHCCXAZMU-LLVKDONJSA-N 0 1 279.317 0.629 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H](c2[nH]ncc2N)C1 ZINC000907416721 613000421 /nfs/dbraw/zinc/00/04/21/613000421.db2.gz JPLFGLJVKLBPMV-ZJUUUORDSA-N 0 1 262.313 0.346 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1[C@H](C)CN(C)[C@H](C)[C@H]1C ZINC000844646301 613000913 /nfs/dbraw/zinc/00/09/13/613000913.db2.gz KYCSLFADIOYZRR-FDYHWXHSSA-N 0 1 252.358 0.964 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1C[N@H+]2CCC[C@@H]2CO1 ZINC000822878567 613001785 /nfs/dbraw/zinc/00/17/85/613001785.db2.gz JEVFSUXZNIXEPW-UPJWGTAASA-N 0 1 266.341 0.004 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ncc(OC)cn2)CC1 ZINC000981593842 613006716 /nfs/dbraw/zinc/00/67/16/613006716.db2.gz OONZLUCRRCICQO-UHFFFAOYSA-N 0 1 288.351 0.656 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2nc3ncccn3n2)CC1 ZINC000982265772 613006936 /nfs/dbraw/zinc/00/69/36/613006936.db2.gz GKVQGSFDGFDGRS-UHFFFAOYSA-N 0 1 298.350 0.296 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C[C@H]2CCCN2C(=O)[O-])CC1 ZINC000823444474 613009417 /nfs/dbraw/zinc/00/94/17/613009417.db2.gz JPKMEBVZYMKVFY-CYBMUJFWSA-N 0 1 293.367 0.686 20 30 CCEDMN C#CCCN1CCN(c2ccc3nc[nH]c(=O)c3n2)CC1 ZINC000881913441 613012330 /nfs/dbraw/zinc/01/23/30/613012330.db2.gz PCUAIZBQQYMQOR-UHFFFAOYSA-N 0 1 283.335 0.876 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2nonc2C)C(C)(C)C1 ZINC000977672681 613033463 /nfs/dbraw/zinc/03/34/63/613033463.db2.gz YZMBSFMFAWFEDV-LLVKDONJSA-N 0 1 276.340 0.842 20 30 CCEDMN C#CC[C@@H](NC(=O)c1cnccc1N(C)C)C(=O)OC ZINC000837946012 613042210 /nfs/dbraw/zinc/04/22/10/613042210.db2.gz XUOPLSPCYDIRDP-LLVKDONJSA-N 0 1 275.308 0.442 20 30 CCEDMN C#CC[C@@H]1CCN(Cc2cn(CC(=O)OC)nn2)C1 ZINC000862447223 613047252 /nfs/dbraw/zinc/04/72/52/613047252.db2.gz JUHWMNAZBNMEKH-LLVKDONJSA-N 0 1 262.313 0.296 20 30 CCEDMN C#CCCOC(=O)NC[C@H]1CCO[C@H]1c1n[nH]c(C)n1 ZINC000920483067 613050254 /nfs/dbraw/zinc/05/02/54/613050254.db2.gz XCPZHYQNGUCBNK-GHMZBOCLSA-N 0 1 278.312 0.940 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000799074711 613058704 /nfs/dbraw/zinc/05/87/04/613058704.db2.gz NHYYJHWIJJXTAS-LLVKDONJSA-N 0 1 287.323 0.314 20 30 CCEDMN C#CC[C@H](CO)NCc1ccc(S(C)(=O)=O)s1 ZINC000883125322 613058805 /nfs/dbraw/zinc/05/88/05/613058805.db2.gz FIYMLRHKNUPQQH-SECBINFHSA-N 0 1 273.379 0.625 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@H]1c2cn[nH]c2CC[C@H]1C ZINC000879859366 613063298 /nfs/dbraw/zinc/06/32/98/613063298.db2.gz GDHPDVQQKDVOMY-UHIISALHSA-N 0 1 275.352 0.966 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NC[C@H]1CCCC[N@H+]1CCO ZINC000875929284 613063600 /nfs/dbraw/zinc/06/36/00/613063600.db2.gz ZPQHMDFVORBBQJ-ZIAGYGMSSA-N 0 1 297.399 0.171 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CCN1C1CCCC1)C(=O)OC ZINC000823519720 613069504 /nfs/dbraw/zinc/06/95/04/613069504.db2.gz QOIJBCHFKRMNMB-QWHCGFSZSA-N 0 1 278.352 0.684 20 30 CCEDMN C#CCn1cc(CNC(=O)CN(C)C2CCC2)cn1 ZINC000863910193 613076775 /nfs/dbraw/zinc/07/67/75/613076775.db2.gz NPAKXKZZSDKGPW-UHFFFAOYSA-N 0 1 260.341 0.617 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2CCC(C(N)=O)CC2)C1 ZINC000971835931 613080334 /nfs/dbraw/zinc/08/03/34/613080334.db2.gz HNLAMSNSIYWQPZ-JXQTWKCFSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2ccnn2C)C1 ZINC000971428773 613081821 /nfs/dbraw/zinc/08/18/21/613081821.db2.gz DIAAKHNPUHXNIB-CYBMUJFWSA-N 0 1 260.341 0.129 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(OC)ncn2)C1 ZINC000971542935 613085538 /nfs/dbraw/zinc/08/55/38/613085538.db2.gz PNDAVPUGTKWSCM-LLVKDONJSA-N 0 1 274.324 0.265 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cccn(C)c2=O)C1 ZINC000972752613 613086898 /nfs/dbraw/zinc/08/68/98/613086898.db2.gz NSBJVKVOGDXFKR-GFCCVEGCSA-N 0 1 273.336 0.165 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc3nccnc3c2)C1 ZINC000971692808 613087291 /nfs/dbraw/zinc/08/72/91/613087291.db2.gz IQAZDIPUCCRFRQ-CYBMUJFWSA-N 0 1 295.346 0.804 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc3[nH]cnc3c2)C1 ZINC000971610973 613087509 /nfs/dbraw/zinc/08/75/09/613087509.db2.gz PJNGDWOZSHYXAT-GFCCVEGCSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn(CCF)c2)C1 ZINC000971833201 613088012 /nfs/dbraw/zinc/08/80/12/613088012.db2.gz SGRNHJOJPPTARJ-CYBMUJFWSA-N 0 1 278.331 0.632 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2noc3c2COCC3)C1 ZINC000980449282 613088505 /nfs/dbraw/zinc/08/85/05/613088505.db2.gz SZPSIXWZJMSEJZ-LLVKDONJSA-N 0 1 289.335 0.527 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@H](C)N2CCCC2=O)C1 ZINC000972714432 613089679 /nfs/dbraw/zinc/08/96/79/613089679.db2.gz UDJHNUYTEZADJJ-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCOC2)C1 ZINC000971250639 613090438 /nfs/dbraw/zinc/09/04/38/613090438.db2.gz PBMAWZIMGMUKME-OLZOCXBDSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000971372089 613093973 /nfs/dbraw/zinc/09/39/73/613093973.db2.gz UBJIOYPVSRTAAT-ZDUSSCGKSA-N 0 1 256.309 0.666 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc3n2CCOC3)C1 ZINC000971718020 613096409 /nfs/dbraw/zinc/09/64/09/613096409.db2.gz BKFZQPPEFBBMBV-LBPRGKRZSA-N 0 1 288.351 0.193 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2noc3c2COCC3)C1 ZINC000980449284 613097107 /nfs/dbraw/zinc/09/71/07/613097107.db2.gz SZPSIXWZJMSEJZ-NSHDSACASA-N 0 1 289.335 0.527 20 30 CCEDMN C#CCN(CC#CC)C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000828825794 613100266 /nfs/dbraw/zinc/10/02/66/613100266.db2.gz XGAXNEKPAVIBRD-GFCCVEGCSA-N 0 1 255.321 1.000 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)Cc1c[nH]cn1 ZINC000912402113 613103924 /nfs/dbraw/zinc/10/39/24/613103924.db2.gz YXOSIRBWPZAMFQ-UHFFFAOYSA-N 0 1 277.324 0.756 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N(C)Cc2cnn(C)c2)C1 ZINC000847027532 613106215 /nfs/dbraw/zinc/10/62/15/613106215.db2.gz UFQVTDKVDLZRDA-CYBMUJFWSA-N 0 1 274.368 0.724 20 30 CCEDMN C#C[C@@H]1CCC[N@H+](Cc2cc(=O)n3[n-]ccc3n2)C1 ZINC000847021737 613106614 /nfs/dbraw/zinc/10/66/14/613106614.db2.gz OHWYVDCTQHMOBI-LLVKDONJSA-N 0 1 256.309 0.868 20 30 CCEDMN COc1ccccc1NC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000841001881 617316271 /nfs/dbraw/zinc/31/62/71/617316271.db2.gz XTAQZMABOVZLPE-MWLCHTKSSA-N 0 1 260.297 0.928 20 30 CCEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)NC(=O)NCC=C)C1 ZINC000847022631 613106854 /nfs/dbraw/zinc/10/68/54/613106854.db2.gz IHFXIGWLLPNBCW-NWDGAFQWSA-N 0 1 263.341 0.732 20 30 CCEDMN C#CCN(Cc1n[nH]c(C)c1C)[C@@H]1CCS(=O)(=O)C1 ZINC000893966459 613109232 /nfs/dbraw/zinc/10/92/32/613109232.db2.gz AZSHUORONCRUPQ-GFCCVEGCSA-N 0 1 281.381 0.649 20 30 CCEDMN COc1ccccc1NCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000844195142 617316473 /nfs/dbraw/zinc/31/64/73/617316473.db2.gz QEFUBUZZTWYFMQ-JQWIXIFHSA-N 0 1 274.324 0.335 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC000957129364 613110541 /nfs/dbraw/zinc/11/05/41/613110541.db2.gz BJNLAVPLKPOEQU-LLVKDONJSA-N 0 1 287.367 0.076 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC000957129364 613110543 /nfs/dbraw/zinc/11/05/43/613110543.db2.gz BJNLAVPLKPOEQU-LLVKDONJSA-N 0 1 287.367 0.076 20 30 CCEDMN C#C[C@H](CCC)NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000911610857 613122515 /nfs/dbraw/zinc/12/25/15/613122515.db2.gz DJXCDFFSPODJLG-NWDGAFQWSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CCN1CCC(C(=O)N(C[C@@H](C)O)C2CC2)CC1 ZINC000848358995 613128392 /nfs/dbraw/zinc/12/83/92/613128392.db2.gz PDNYCYSRXCEUDP-GFCCVEGCSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCNC(=O)[C@@H]2CC)CC1 ZINC000886520255 613128585 /nfs/dbraw/zinc/12/85/85/613128585.db2.gz ZURFLSBEOYCQQU-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](OCC)C2)CC1 ZINC000885347993 613129581 /nfs/dbraw/zinc/12/95/81/613129581.db2.gz UUYIMRGEPKSSIK-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](O)CC(C)(C)C2)CC1 ZINC000827720997 613130110 /nfs/dbraw/zinc/13/01/10/613130110.db2.gz SBXKIVZPCSYRMP-CQSZACIVSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)CNCC(F)(F)F)CC1 ZINC000928658235 613133029 /nfs/dbraw/zinc/13/30/29/613133029.db2.gz NLMBHRLENWAXPC-UHFFFAOYSA-N 0 1 277.290 0.352 20 30 CCEDMN C#C[C@H](NC(=O)CN1CC[C@H](C)C1)[C@H]1CCCO1 ZINC000847759548 613133304 /nfs/dbraw/zinc/13/33/04/613133304.db2.gz VZTUJGGKDZSGIH-RWMBFGLXSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)COCc2ccnn2C)CC1 ZINC000928653537 613133374 /nfs/dbraw/zinc/13/33/74/613133374.db2.gz PPAUDWHOSDFXKR-UHFFFAOYSA-N 0 1 290.367 0.151 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@](C)(O)C=C)CC1 ZINC000928653077 613133381 /nfs/dbraw/zinc/13/33/81/613133381.db2.gz YKJVSXCWLILJIO-AWEZNQCLSA-N 0 1 250.342 0.527 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cncc(O)c2)CC1 ZINC000928650617 613133523 /nfs/dbraw/zinc/13/35/23/613133523.db2.gz DTQRZJJITBCDTL-UHFFFAOYSA-N 0 1 273.336 0.544 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC[C@H]2CCCO2)CC1 ZINC000913995963 613133904 /nfs/dbraw/zinc/13/39/04/613133904.db2.gz GBJGONDHNYBJQN-CQSZACIVSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CCN1CCC(NC(=O)NC2CCN(OC)CC2)CC1 ZINC000922940992 613133922 /nfs/dbraw/zinc/13/39/22/613133922.db2.gz QIWCHXSVZMGPNI-UHFFFAOYSA-N 0 1 294.399 0.409 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H]2COC(C)(C)O2)CC1 ZINC000921901919 613134509 /nfs/dbraw/zinc/13/45/09/613134509.db2.gz UIYZJPKMQMLUBU-ZDUSSCGKSA-N 0 1 295.383 0.535 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCC[C@H]2OC)CC1 ZINC000922724843 613135020 /nfs/dbraw/zinc/13/50/20/613135020.db2.gz VMVCBXSGOGCVLV-ZIAGYGMSSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCC(=O)N[C@@H]2C)CC1 ZINC000922351797 613135024 /nfs/dbraw/zinc/13/50/24/613135024.db2.gz VDNDVUWUBJINCR-DGCLKSJQSA-N 0 1 292.383 0.050 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CC(=O)N(CC)C2)CC1 ZINC000922725035 613135270 /nfs/dbraw/zinc/13/52/70/613135270.db2.gz WPHVRDWUBBREAD-ZDUSSCGKSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCCO2)CC1 ZINC000928654475 613135890 /nfs/dbraw/zinc/13/58/90/613135890.db2.gz DBFCROMXJFEVIG-ZDUSSCGKSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](OC)[C@@H]2CCOC2)CC1 ZINC000928648894 613136026 /nfs/dbraw/zinc/13/60/26/613136026.db2.gz UNKQXZZTERWUBW-OCCSQVGLSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@]2(C)CCOC2)CC1 ZINC000928654067 613136262 /nfs/dbraw/zinc/13/62/62/613136262.db2.gz MELXUSZPSHNQDP-AWEZNQCLSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C)nc(NC)n2)CC1 ZINC000928653706 613137243 /nfs/dbraw/zinc/13/72/43/613137243.db2.gz XQWNYNZQPBQMNP-UHFFFAOYSA-N 0 1 287.367 0.654 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(CC)cn2)CC1 ZINC000928648667 613137459 /nfs/dbraw/zinc/13/74/59/613137459.db2.gz PQTHOFBYLAYSRO-UHFFFAOYSA-N 0 1 260.341 0.730 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc3nccnc3c2)CC1 ZINC000928654238 613137549 /nfs/dbraw/zinc/13/75/49/613137549.db2.gz SOGHGHGVZAJEND-UHFFFAOYSA-N 0 1 295.346 0.852 20 30 CCEDMN C#CCN1CCC(NC(=O)c2coc(CO)c2)CC1 ZINC000928649367 613138057 /nfs/dbraw/zinc/13/80/57/613138057.db2.gz ITRFXKMATZUNKQ-UHFFFAOYSA-N 0 1 262.309 0.599 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@@H]1CCN1C)[C@H]1CCCO1 ZINC000922047884 613140480 /nfs/dbraw/zinc/14/04/80/613140480.db2.gz PJGRUZLENLNLHH-SDDRHHMPSA-N 0 1 251.330 0.171 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3cc(C)n[nH]3)C2)C1 ZINC000981706416 613141147 /nfs/dbraw/zinc/14/11/47/613141147.db2.gz NYGZFSSTVMJKOW-UHFFFAOYSA-N 0 1 286.379 0.818 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ccnc(C)n3)C2)C1 ZINC000982154263 613144574 /nfs/dbraw/zinc/14/45/74/613144574.db2.gz YJIUJFGRFZDXMS-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC000968923571 613145290 /nfs/dbraw/zinc/14/52/90/613145290.db2.gz XKONCHLXKCYEEH-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)[C@@H]1CCCO1 ZINC000832417531 613145720 /nfs/dbraw/zinc/14/57/20/613145720.db2.gz HLPFMMBNCJKXJK-SCVCMEIPSA-N 0 1 274.324 0.522 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000923568829 613166606 /nfs/dbraw/zinc/16/66/06/613166606.db2.gz JRHAFMYGBVFOSV-GFCCVEGCSA-N 0 1 260.341 0.474 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)nc1 ZINC000846525286 613170557 /nfs/dbraw/zinc/17/05/57/613170557.db2.gz KORNUFMFZLAVOT-UHFFFAOYSA-N 0 1 296.334 0.139 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2cc(-n3cccn3)nc(N)n2)C1 ZINC000884612640 613176703 /nfs/dbraw/zinc/17/67/03/613176703.db2.gz QMZAGQZQSXEAHY-GFCCVEGCSA-N 0 1 297.366 0.754 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2n[nH]c([C@H]3CCCO3)n2)cn1 ZINC000848276078 613182016 /nfs/dbraw/zinc/18/20/16/613182016.db2.gz OFRCQOHJNSCBBZ-GFCCVEGCSA-N 0 1 297.318 0.963 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2[C@H]3CN(CC#CC)C[C@H]32)nc1 ZINC000962343286 613192060 /nfs/dbraw/zinc/19/20/60/613192060.db2.gz OINWMRKJPPEWFN-FOLVSLTJSA-N 0 1 279.343 0.746 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@H](CC1CC1)C(=O)[O-] ZINC000909881789 613199447 /nfs/dbraw/zinc/19/94/47/613199447.db2.gz FJCHFAFQFQMJTJ-OLZOCXBDSA-N 0 1 278.352 0.701 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2C[C@H]3CC[N@H+](C)[C@H]3C2)c1 ZINC000835791698 613202291 /nfs/dbraw/zinc/20/22/91/613202291.db2.gz FBBKDAROXJYSEU-HIFRSBDPSA-N 0 1 297.358 0.769 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCCC(F)(F)CC2)CC1 ZINC000859534474 613205285 /nfs/dbraw/zinc/20/52/85/613205285.db2.gz BQYSIUBNROLMRP-UHFFFAOYSA-N 0 1 299.365 0.885 20 30 CCEDMN C#CCN1CCO[C@]2(CCN(C(=O)[C@@H]3CCCN3C)C2)C1 ZINC000972295105 613212439 /nfs/dbraw/zinc/21/24/39/613212439.db2.gz QQZSMFMQWINTJF-GOEBONIOSA-N 0 1 291.395 0.017 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCCN(CCO)CC2)c1 ZINC000981925287 613212513 /nfs/dbraw/zinc/21/25/13/613212513.db2.gz DMVVVCIJHIKJHI-UHFFFAOYSA-N 0 1 273.336 0.203 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ncc[nH]2)C1 ZINC000968458777 613214340 /nfs/dbraw/zinc/21/43/40/613214340.db2.gz DYKWAINFDZCLNV-NEPJUHHUSA-N 0 1 260.341 0.412 20 30 CCEDMN C#Cc1cncc(C(=O)N2CC[C@@H](N3CCC3)C2)c1 ZINC000912908347 613214506 /nfs/dbraw/zinc/21/45/06/613214506.db2.gz MWPWHIAYJCMVJU-CQSZACIVSA-N 0 1 255.321 0.983 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C(C)(C)C1 ZINC000940823727 613230663 /nfs/dbraw/zinc/23/06/63/613230663.db2.gz ITNFFOWBWZVOFB-LLVKDONJSA-N 0 1 290.367 0.887 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nonc2C)C(C)(C)C1 ZINC000941249765 613244417 /nfs/dbraw/zinc/24/44/17/613244417.db2.gz AJIVQISZOQQAKW-LLVKDONJSA-N 0 1 276.340 0.842 20 30 CCEDMN C/C(=C/c1cccnc1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000848419482 613245494 /nfs/dbraw/zinc/24/54/94/613245494.db2.gz VKQLFKJLHAODHK-DCKDXSSCSA-N 0 1 256.309 0.713 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC000966557300 613247240 /nfs/dbraw/zinc/24/72/40/613247240.db2.gz CJYULUVUOQJLBN-WFASDCNBSA-N 0 1 288.395 0.731 20 30 CCEDMN C/C(=C/c1ccncc1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000848419311 613247957 /nfs/dbraw/zinc/24/79/57/613247957.db2.gz SSIABDBTZATCSQ-VUYFNKJKSA-N 0 1 256.309 0.713 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC000966780150 613251486 /nfs/dbraw/zinc/25/14/86/613251486.db2.gz DKKYEGBOTGSYIP-SWLSCSKDSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC000968609183 613254161 /nfs/dbraw/zinc/25/41/61/613254161.db2.gz BXGOXDAAXHGNJH-WCQYABFASA-N 0 1 273.336 0.861 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC000948915364 613260509 /nfs/dbraw/zinc/26/05/09/613260509.db2.gz QDULFTBPIXOCGU-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C(N)=O)co2)[C@H](C)C1 ZINC000942717795 613265699 /nfs/dbraw/zinc/26/56/99/613265699.db2.gz AJPTXDXCCJPFJV-PWSUYJOCSA-N 0 1 289.335 0.452 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnnc2)C[C@@H]1C ZINC000947746095 613273218 /nfs/dbraw/zinc/27/32/18/613273218.db2.gz LGGLZUYGDKZEJI-AAEUAGOBSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3ncccc23)[C@H](C)C1 ZINC000942134217 613275697 /nfs/dbraw/zinc/27/56/97/613275697.db2.gz KDKIYCAWAPRVRJ-OCCSQVGLSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)n2)C[C@H]1C ZINC000947802262 613275963 /nfs/dbraw/zinc/27/59/63/613275963.db2.gz WUBPFVHJFRUIQR-MNOVXSKESA-N 0 1 261.329 0.031 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(CCF)c2)[C@@H](C)C1 ZINC000942208459 613275846 /nfs/dbraw/zinc/27/58/46/613275846.db2.gz PBVGXCPUDQUMLG-JSGCOSHPSA-N 0 1 292.358 0.926 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C(C)(C)C1 ZINC000973039794 613280300 /nfs/dbraw/zinc/28/03/00/613280300.db2.gz ZLZXDTZXYQSIIS-NWDGAFQWSA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974924610 613280306 /nfs/dbraw/zinc/28/03/06/613280306.db2.gz PRPZPDXHMMXUKU-CHWSQXEVSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CCCCCC2)C1 ZINC000957917897 613285782 /nfs/dbraw/zinc/28/57/82/613285782.db2.gz ATKVZSUWCWKGND-LSDHHAIUSA-N 0 1 278.396 0.999 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccncc2C)C1 ZINC000958056715 613286702 /nfs/dbraw/zinc/28/67/02/613286702.db2.gz QEAYCINQTSJCCX-GXTWGEPZSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C(F)F)n[nH]2)[C@H](O)C1 ZINC000958395189 613288495 /nfs/dbraw/zinc/28/84/95/613288495.db2.gz PLRIRCMJHTYWSW-LDYMZIIASA-N 0 1 298.293 0.003 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(F)cc2C)[C@H](O)C1 ZINC000958610328 613288926 /nfs/dbraw/zinc/28/89/26/613288926.db2.gz RDIGMYPLVCOCGH-IUODEOHRSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2csnc2C)[C@H](O)C1 ZINC000957983813 613290720 /nfs/dbraw/zinc/29/07/20/613290720.db2.gz WDGCBJAIXQVZKL-ZYHUDNBSSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3nccnc3N)C[C@]2(C)C1 ZINC000982240344 613294253 /nfs/dbraw/zinc/29/42/53/613294253.db2.gz JTVJAWDGQGDFJO-IYBDPMFKSA-N 0 1 299.378 0.476 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC000958606341 613294259 /nfs/dbraw/zinc/29/42/59/613294259.db2.gz OBKAEDBIWUWWLX-AJNGGQMLSA-N 0 1 278.396 0.855 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)c(CC)o2)C1 ZINC000958588577 613294839 /nfs/dbraw/zinc/29/48/39/613294839.db2.gz UTOBGCXEVQTKMV-STQMWFEESA-N 0 1 290.363 0.806 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@H]2COC(=O)C2)C(C)(C)C1 ZINC000973039793 613297256 /nfs/dbraw/zinc/29/72/56/613297256.db2.gz ZLZXDTZXYQSIIS-NEPJUHHUSA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cncn2C)C(C)(C)C1 ZINC000977449725 613297950 /nfs/dbraw/zinc/29/79/50/613297950.db2.gz CKQZSQRDURAIFI-ZDUSSCGKSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2CC(F)(F)C2)C1 ZINC000957946773 613301763 /nfs/dbraw/zinc/30/17/63/613301763.db2.gz CGOCORYMVDKHGD-MNOVXSKESA-N 0 1 272.295 0.074 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)n(C)c2C)C1 ZINC000958652525 613302164 /nfs/dbraw/zinc/30/21/64/613302164.db2.gz ANJDMKATAPCVMR-HIFRSBDPSA-N 0 1 289.379 0.298 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc3cc[nH]c3n2)C1 ZINC000958155537 613302893 /nfs/dbraw/zinc/30/28/93/613302893.db2.gz CVLMSZARBQVVKG-OCCSQVGLSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccn3ccccc23)C1 ZINC000958250211 613303483 /nfs/dbraw/zinc/30/34/83/613303483.db2.gz YNFZTQKPJHXNGD-CJNGLKHVSA-N 0 1 297.358 0.595 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2conc2C2CC2)C1 ZINC000958385102 613304093 /nfs/dbraw/zinc/30/40/93/613304093.db2.gz NMSLTPIQBJJJQM-YPMHNXCESA-N 0 1 289.335 0.208 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)C2(C(N)=O)CC2)CC1 ZINC000981862379 613307936 /nfs/dbraw/zinc/30/79/36/613307936.db2.gz IBDALPLXGHKPIJ-UHFFFAOYSA-N 0 1 265.357 0.362 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CO[C@@H]2CCOC2)CC1 ZINC000981147748 613308211 /nfs/dbraw/zinc/30/82/11/613308211.db2.gz ZNFLTCCCHVBEMU-CQSZACIVSA-N 0 1 282.384 0.902 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1nc(CC)c[nH]1 ZINC000960328023 613315030 /nfs/dbraw/zinc/31/50/30/613315030.db2.gz MJCAPSJUKWFLNN-JYAVWHMHSA-N 0 1 272.352 0.194 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1Cc2ccccc2O1 ZINC000960905320 613316274 /nfs/dbraw/zinc/31/62/74/613316274.db2.gz ZMNCVOKDHJZDCZ-LQKXBSAESA-N 0 1 282.343 0.670 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)cncc1C ZINC000961097654 613317545 /nfs/dbraw/zinc/31/75/45/613317545.db2.gz XSYJNAGLSUCWHN-NHAGDIPZSA-N 0 1 269.348 0.992 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CC)c[nH]c1=O ZINC000962155464 613317911 /nfs/dbraw/zinc/31/79/11/613317911.db2.gz KVUBYUWBFJFEPG-WDNDVIMCSA-N 0 1 285.347 0.643 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2cccnc2n1 ZINC000960016296 613319636 /nfs/dbraw/zinc/31/96/36/613319636.db2.gz YLXNKTVIGZDHCE-NHAGDIPZSA-N 0 1 292.342 0.923 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2c1OCC2 ZINC000961834429 613319705 /nfs/dbraw/zinc/31/97/05/613319705.db2.gz WWMAVCWVFVOLQS-FICVDOATSA-N 0 1 282.343 0.915 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(C(C)C)n1 ZINC000961908315 613320119 /nfs/dbraw/zinc/32/01/19/613320119.db2.gz DYQLUQDAOXWAJJ-IMRBUKKESA-N 0 1 272.352 0.757 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(COC)s1 ZINC000963070916 613320690 /nfs/dbraw/zinc/32/06/90/613320690.db2.gz RDEVEWHASYPFGF-IWIIMEHWSA-N 0 1 291.376 0.583 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccncc1C ZINC000958779324 613320763 /nfs/dbraw/zinc/32/07/63/613320763.db2.gz QQKBRLHFSZJKMX-WDNDVIMCSA-N 0 1 255.321 0.683 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2ccc(C)nc12 ZINC000962317886 613321296 /nfs/dbraw/zinc/32/12/96/613321296.db2.gz AMXPRKLJRIHPJZ-WDNDVIMCSA-N 0 1 295.346 0.331 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C)c1C(F)F ZINC000962265738 613321390 /nfs/dbraw/zinc/32/13/90/613321390.db2.gz VCZZIAZBYCQQAT-URLYPYJESA-N 0 1 294.305 0.651 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1scnc1CC ZINC000960189441 613322504 /nfs/dbraw/zinc/32/25/04/613322504.db2.gz KZISMOAKRTUPPZ-IAZYJMLFSA-N 0 1 275.377 0.999 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cnc3n2CCC3)CC1 ZINC000824610111 613323433 /nfs/dbraw/zinc/32/34/33/613323433.db2.gz IVDOGOQVRWJLPH-UHFFFAOYSA-N 0 1 286.379 0.791 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H]2CN(c3ccccc3)C[C@@H]2C1 ZINC000916739658 613330692 /nfs/dbraw/zinc/33/06/92/613330692.db2.gz FNNKVZWLXVXNCP-GASCZTMLSA-N 0 1 283.375 0.804 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000829530141 613354533 /nfs/dbraw/zinc/35/45/33/613354533.db2.gz OMOBHKGVDUGMKB-ZDUSSCGKSA-N 0 1 295.383 0.818 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1CC(N2CCCC2)C1 ZINC000912322621 613356196 /nfs/dbraw/zinc/35/61/96/613356196.db2.gz TWBLDFUNVSDGKM-CQSZACIVSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCC#CCN(C)C ZINC000913523938 613356312 /nfs/dbraw/zinc/35/63/12/613356312.db2.gz SEOVWINOTGENCY-CYBMUJFWSA-N 0 1 265.357 0.139 20 30 CCEDMN C=C(C)C[C@H](NC(=O)NCCC[NH+]1CCOCC1)C(=O)[O-] ZINC000908737439 613358811 /nfs/dbraw/zinc/35/88/11/613358811.db2.gz KMEOOPZMTSTHTL-LBPRGKRZSA-N 0 1 299.371 0.427 20 30 CCEDMN C=C(C)C[C@H](NC(=O)C1=NC(=O)N(C)C1)C(=O)OC ZINC000870546015 613359126 /nfs/dbraw/zinc/35/91/26/613359126.db2.gz RQLHZJAAPCMSSN-QMMMGPOBSA-N 0 1 267.285 0.363 20 30 CCEDMN C=C(Cl)CNC(=O)NCc1n[nH]c(COC)n1 ZINC000821171394 613383587 /nfs/dbraw/zinc/38/35/87/613383587.db2.gz XXHWXUWZYRREJR-UHFFFAOYSA-N 0 1 259.697 0.503 20 30 CCEDMN C=C(Cl)CNC(=O)NCc1nnc(COC)[nH]1 ZINC000821171394 613383588 /nfs/dbraw/zinc/38/35/88/613383588.db2.gz XXHWXUWZYRREJR-UHFFFAOYSA-N 0 1 259.697 0.503 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cnc[nH]2)C1 ZINC000970098323 613384843 /nfs/dbraw/zinc/38/48/43/613384843.db2.gz RYJXSUXLHPOALR-SNVBAGLBSA-N 0 1 268.748 0.895 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000969980266 613385746 /nfs/dbraw/zinc/38/57/46/613385746.db2.gz MUXXUDQOMXKFGV-SECBINFHSA-N 0 1 296.758 0.670 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N1CCS(=O)(=O)[C@H](C)[C@H]1C ZINC000876673035 613389435 /nfs/dbraw/zinc/38/94/35/613389435.db2.gz JELXDMMNJIFUTI-BBBLOLIVSA-N 0 1 294.804 0.490 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CC[C@@H](Cn2ccnn2)C1 ZINC000878887510 613398332 /nfs/dbraw/zinc/39/83/32/613398332.db2.gz UYVUDYUBYAQVNW-NEPJUHHUSA-N 0 1 264.329 0.718 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)c1cccc2nc(CO)[nH]c21 ZINC000907362777 613405064 /nfs/dbraw/zinc/40/50/64/613405064.db2.gz KWIUZOIHAOLBBH-VIFPVBQESA-N 0 1 275.308 0.722 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN(CCCCO)CC1 ZINC000878259563 613411065 /nfs/dbraw/zinc/41/10/65/613411065.db2.gz HJJROAVYDSLULJ-CYBMUJFWSA-N 0 1 270.373 0.494 20 30 CCEDMN C=CC[C@H](NC(=O)C(=O)N1CCNCC1)c1ccncc1 ZINC000842039652 613418696 /nfs/dbraw/zinc/41/86/96/613418696.db2.gz QHHSMZRYJAXVBL-ZDUSSCGKSA-N 0 1 288.351 0.247 20 30 CCEDMN C=C1c2ccccc2C(=O)N1CC(=O)N[C@H]1CNC[C@H]1C#N ZINC000846678446 613419442 /nfs/dbraw/zinc/41/94/42/613419442.db2.gz UMPOYFXKZILQOB-RISCZKNCSA-N 0 1 296.330 0.341 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC000983081849 613434118 /nfs/dbraw/zinc/43/41/18/613434118.db2.gz IRYCYGGCGDHDTK-JTQLQIEISA-N 0 1 291.355 0.595 20 30 CCEDMN C=CC(C)(C)NC(=O)NCCN1CCCOCC1 ZINC000895047057 613449134 /nfs/dbraw/zinc/44/91/34/613449134.db2.gz QZARMOGKKJLHOD-UHFFFAOYSA-N 0 1 255.362 0.973 20 30 CCEDMN C=C[C@@H](O)C(=O)N(CCC(=O)[O-])C[C@@H]1CCC[N@@H+]1CC ZINC000911283163 613449323 /nfs/dbraw/zinc/44/93/23/613449323.db2.gz AYTHPVLSQBZZAB-NWDGAFQWSA-N 0 1 284.356 0.321 20 30 CCEDMN C=CC1(S(=O)(=O)[N-]C(=O)[C@H]([NH3+])C[C@H](C)CC)CC1 ZINC000901058491 613451723 /nfs/dbraw/zinc/45/17/23/613451723.db2.gz BKRZPRXUZDCDBQ-NXEZZACHSA-N 0 1 274.386 0.915 20 30 CCEDMN C=CCC1(O)CCN(C(=O)C2CN([C@@H]3CCOC3)C2)CC1 ZINC000899594500 613457949 /nfs/dbraw/zinc/45/79/49/613457949.db2.gz APITWHVBXQQEAO-CQSZACIVSA-N 0 1 294.395 0.637 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC(N2CCCC2)C1 ZINC000898600596 613462405 /nfs/dbraw/zinc/46/24/05/613462405.db2.gz BSQQPQOEMDNNTF-OLZOCXBDSA-N 0 1 250.342 0.884 20 30 CCEDMN C=CCCCS(=O)(=O)NC[C@@H]1CN2CCC[C@@H]2CO1 ZINC000813660860 613469102 /nfs/dbraw/zinc/46/91/02/613469102.db2.gz SSICVBNSPMBDMI-CHWSQXEVSA-N 0 1 288.413 0.735 20 30 CCEDMN C=CCCCS(=O)(=O)NC[C@H]1CN2CCC[C@H]2CO1 ZINC000813660863 613469229 /nfs/dbraw/zinc/46/92/29/613469229.db2.gz SSICVBNSPMBDMI-STQMWFEESA-N 0 1 288.413 0.735 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC000942156625 613474618 /nfs/dbraw/zinc/47/46/18/613474618.db2.gz NKGNQURBNVBHQB-YGRLFVJLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC000942156625 613474621 /nfs/dbraw/zinc/47/46/21/613474621.db2.gz NKGNQURBNVBHQB-YGRLFVJLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@H](O)C(=O)N1CC[C@@](C)(NC(=O)C(F)(F)F)C1 ZINC000830796221 613494970 /nfs/dbraw/zinc/49/49/70/613494970.db2.gz YAUQXZZUVVWBPT-OIBJUYFYSA-N 0 1 280.246 0.203 20 30 CCEDMN CC#CC(=O)N1CCN(C2CCOCC2)C[C@H]1C ZINC000801274227 613517712 /nfs/dbraw/zinc/51/77/12/613517712.db2.gz IWXSYZWLWXDBDS-GFCCVEGCSA-N 0 1 250.342 0.721 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC000970821613 613530419 /nfs/dbraw/zinc/53/04/19/613530419.db2.gz RXMZRRAMGZFZOY-OCCSQVGLSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cnc(C)n2C)C1 ZINC000970329411 613534628 /nfs/dbraw/zinc/53/46/28/613534628.db2.gz QCQHEVZOXUSEMK-LLVKDONJSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCS1(=O)=O ZINC000962649184 613564265 /nfs/dbraw/zinc/56/42/65/613564265.db2.gz ABYHERYJCVTLID-QNWHQSFQSA-N 0 1 298.408 0.186 20 30 CCEDMN CC#CCN1CCN(C(=O)[C@H](C)CN2CCOCC2)CC1 ZINC000957667759 613565612 /nfs/dbraw/zinc/56/56/12/613565612.db2.gz QOSGXPGBOXYRIO-OAHLLOKOSA-N 0 1 293.411 0.122 20 30 CCEDMN C=CCCOCC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833373802 613571615 /nfs/dbraw/zinc/57/16/15/613571615.db2.gz DIYDGWOWCMTVGF-LBPRGKRZSA-N 0 1 284.356 0.587 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965555241 613575183 /nfs/dbraw/zinc/57/51/83/613575183.db2.gz GARZPJUEKLCRBV-UKRRQHHQSA-N 0 1 288.395 0.813 20 30 CCEDMN C=CCCOCCNC(=O)NCc1n[nH]c(C)n1 ZINC000893854014 613575247 /nfs/dbraw/zinc/57/52/47/613575247.db2.gz ALZHUBMBECYEDH-UHFFFAOYSA-N 0 1 253.306 0.505 20 30 CCEDMN C=CCC[C@@H](NC(=O)C[C@@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC000909713530 613584510 /nfs/dbraw/zinc/58/45/10/613584510.db2.gz RGDWASOWOKQXIA-WDEREUQCSA-N 0 1 254.330 0.864 20 30 CCEDMN C=CCC[C@H](CC)NCc1nnc2n1CCNC2=O ZINC000883302902 613588217 /nfs/dbraw/zinc/58/82/17/613588217.db2.gz KGIBEXLBGUCTGP-JTQLQIEISA-N 0 1 263.345 0.856 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ncn(C)n2)C(C)(C)C1 ZINC000940721274 613589051 /nfs/dbraw/zinc/58/90/51/613589051.db2.gz NTNJRCJYNCGPQW-GFCCVEGCSA-N 0 1 289.383 0.669 20 30 CCEDMN C=CCC[C@H](NC(=O)[C@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000909714501 613590132 /nfs/dbraw/zinc/59/01/32/613590132.db2.gz YAYSMQAEYRSKJM-WDEREUQCSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCC[C@H](NC(=O)[C@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000909714501 613590134 /nfs/dbraw/zinc/59/01/34/613590134.db2.gz YAYSMQAEYRSKJM-WDEREUQCSA-N 0 1 270.329 0.243 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966884862 613591612 /nfs/dbraw/zinc/59/16/12/613591612.db2.gz STODZZCKANBIKG-JQWIXIFHSA-N 0 1 290.367 0.887 20 30 CCEDMN C=CCC[C@H]1NC(=O)N(CCN2CCSCC2)C1=O ZINC000925286254 613591734 /nfs/dbraw/zinc/59/17/34/613591734.db2.gz NRQIHJKMPPPVMP-LLVKDONJSA-N 0 1 283.397 0.922 20 30 CCEDMN C=CCCn1cc(CN[C@H]2C[C@@H](O)C23CCOCC3)nn1 ZINC000923020177 613599268 /nfs/dbraw/zinc/59/92/68/613599268.db2.gz QQEKIKXDJSOEQI-UONOGXRCSA-N 0 1 292.383 0.874 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc(C)[nH]c2C)[C@H](O)C1 ZINC000958442756 613614759 /nfs/dbraw/zinc/61/47/59/613614759.db2.gz DOEGDBFGIPZTOT-UKRRQHHQSA-N 0 1 289.379 0.677 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ncoc2CC)[C@H](O)C1 ZINC000957945229 613616347 /nfs/dbraw/zinc/61/63/47/613616347.db2.gz XIOIJBUIIIVSQF-VXGBXAGGSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc[nH]c2)C1 ZINC000958080457 613618526 /nfs/dbraw/zinc/61/85/26/613618526.db2.gz BNCNYMDHGGRXLR-STQMWFEESA-N 0 1 261.325 0.061 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2csc(C)n2)C1 ZINC000958339015 613619163 /nfs/dbraw/zinc/61/91/63/613619163.db2.gz JXVUEGVUNGURIP-AAEUAGOBSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2occc2Cl)C1 ZINC000958380216 613620149 /nfs/dbraw/zinc/62/01/49/613620149.db2.gz YHELACCCYBBAKF-JQWIXIFHSA-N 0 1 296.754 0.979 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cncs2)C1 ZINC000957909689 613625837 /nfs/dbraw/zinc/62/58/37/613625837.db2.gz DSEBJZNRPLYQAX-MNOVXSKESA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccnc(C)c2)C1 ZINC000958507967 613625862 /nfs/dbraw/zinc/62/58/62/613625862.db2.gz ZGOSDAMZYNIBLG-CABCVRRESA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)c1cncnc1 ZINC000961587875 613635999 /nfs/dbraw/zinc/63/59/99/613635999.db2.gz LJGOFEGFPFCRNT-RZFFKMDDSA-N 0 1 284.363 0.650 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCCO1 ZINC000958583657 613637145 /nfs/dbraw/zinc/63/71/45/613637145.db2.gz NBVFMLJHABXIPW-RQJABVFESA-N 0 1 262.353 0.625 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(COC)on1 ZINC000961960390 613639468 /nfs/dbraw/zinc/63/94/68/613639468.db2.gz BGZSVWZZIHTSAQ-IMRBUKKESA-N 0 1 289.335 0.504 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(OC)nc1 ZINC000960927215 613640264 /nfs/dbraw/zinc/64/02/64/613640264.db2.gz DIDNMWDDGPXFAY-NHAGDIPZSA-N 0 1 285.347 0.774 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(F)c1 ZINC000958359732 613641587 /nfs/dbraw/zinc/64/15/87/613641587.db2.gz FVDOMWFHDUTTOO-IMRBUKKESA-N 0 1 273.311 0.904 20 30 CCEDMN CC(=NNCCOCC(F)(F)F)c1c[nH]c(N)n1 ZINC000901206913 613655567 /nfs/dbraw/zinc/65/55/67/613655567.db2.gz ZYFLZDJETYHGFE-UHFFFAOYSA-N 0 1 265.239 0.884 20 30 CCEDMN CC(=NNc1ccccc1S(N)(=O)=O)c1cc[nH]n1 ZINC000814917350 613657084 /nfs/dbraw/zinc/65/70/84/613657084.db2.gz KINMBTLQKAZHNB-UHFFFAOYSA-N 0 1 279.325 0.893 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2COCCO2)C1 ZINC000969324919 613662605 /nfs/dbraw/zinc/66/26/05/613662605.db2.gz VHEKSFPBAGVQES-PWSUYJOCSA-N 0 1 254.330 0.024 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(OC)no2)C1 ZINC000969521722 613664865 /nfs/dbraw/zinc/66/48/65/613664865.db2.gz KWNIZLCANMJBTH-SECBINFHSA-N 0 1 265.313 0.919 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cccc(C(N)=O)n2)C1 ZINC000970950860 613665845 /nfs/dbraw/zinc/66/58/45/613665845.db2.gz QIWUVCHOLWDGIG-SNVBAGLBSA-N 0 1 288.351 0.417 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC000970216435 613669054 /nfs/dbraw/zinc/66/90/54/613669054.db2.gz IIIYSBPFXQYHHN-RYUDHWBXSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3ncccn3n2)C1 ZINC000970617729 613671016 /nfs/dbraw/zinc/67/10/16/613671016.db2.gz CLPCWAAZQQKMMV-NSHDSACASA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2nn(C)cc2C)C1 ZINC000969653011 613673656 /nfs/dbraw/zinc/67/36/56/613673656.db2.gz HNAKGKKVYFQUSI-NSHDSACASA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@]2(C)CCC(=O)NC2)CC1 ZINC000981802477 613693008 /nfs/dbraw/zinc/69/30/08/613693008.db2.gz RVNHMEFZUNPPFP-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cc(=O)n(C)cn2)CC1 ZINC000981367179 613696099 /nfs/dbraw/zinc/69/60/99/613696099.db2.gz LDXACQWYVMIFDM-UHFFFAOYSA-N 0 1 276.340 0.114 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cccn3nnnc23)CC1 ZINC000981240685 613697723 /nfs/dbraw/zinc/69/77/23/613697723.db2.gz WTTAXWJJHACARK-UHFFFAOYSA-N 0 1 286.339 0.458 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000968480742 613728928 /nfs/dbraw/zinc/72/89/28/613728928.db2.gz RBDOEDBFRPIUMA-MFKMUULPSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)CN2CCCNC2=O)C1 ZINC000967207569 613732710 /nfs/dbraw/zinc/73/27/10/613732710.db2.gz QOWQLOBDOGBQQY-CHWSQXEVSA-N 0 1 294.399 0.414 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965954220 613732722 /nfs/dbraw/zinc/73/27/22/613732722.db2.gz XHPVJYGLQNYJFJ-BXUZGUMPSA-N 0 1 279.384 0.477 20 30 CCEDMN CC(=O)NCC[N@@H+](CCC(C)(C)C#N)[C@H](C)C(=O)[O-] ZINC000819770489 613736818 /nfs/dbraw/zinc/73/68/18/613736818.db2.gz VSDITOPIZONZNA-SNVBAGLBSA-N 0 1 269.345 0.837 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](O)CCC2(O)CCC2)C1=O ZINC000930310912 613738694 /nfs/dbraw/zinc/73/86/94/613738694.db2.gz SZJWHZFFKWGQAI-UONOGXRCSA-N 0 1 296.411 0.761 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(OC(C)=O)CC2)C1=O ZINC000799144510 613739125 /nfs/dbraw/zinc/73/91/25/613739125.db2.gz BHDKEOVAZOFOQL-CYBMUJFWSA-N 0 1 266.341 0.801 20 30 CCEDMN C=CCN1CC[C@@H](N[C@H](C(N)=O)[C@@H](C)CC)C1=O ZINC000887055987 613763702 /nfs/dbraw/zinc/76/37/02/613763702.db2.gz VMTJWIBUMIJNGQ-AXFHLTTASA-N 0 1 253.346 0.263 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCO[C@@H](C)C#N)C1=O ZINC000801953197 613776724 /nfs/dbraw/zinc/77/67/24/613776724.db2.gz KUPSCLSDUNIQAQ-RYUDHWBXSA-N 0 1 251.330 0.634 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(c3nnnn3C)CC2)C1=O ZINC000879916749 613777839 /nfs/dbraw/zinc/77/78/39/613777839.db2.gz QZCWXGZCJYBZBY-LBPRGKRZSA-N 0 1 290.371 0.176 20 30 CCEDMN C=CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(OCC)no2)C1 ZINC000958267079 613814058 /nfs/dbraw/zinc/81/40/58/613814058.db2.gz INIGDSYBEKBOEL-WDEREUQCSA-N 0 1 295.339 0.282 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CCNC2=O)C(C)(C)C1 ZINC000974910515 613827716 /nfs/dbraw/zinc/82/77/16/613827716.db2.gz GYPYGXCTMIMDLW-QWRGUYRKSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H](C)N2CCOCC2)C(C)(C)C1 ZINC000977677845 613827846 /nfs/dbraw/zinc/82/78/46/613827846.db2.gz IBXMKEYXSVHBHX-KBPBESRZSA-N 0 1 295.427 0.720 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@@H]1CC[C@@H]1OC ZINC000934132928 613849686 /nfs/dbraw/zinc/84/96/86/613849686.db2.gz UBWRLAJWSRERDQ-ZJUUUORDSA-N 0 1 255.318 0.107 20 30 CCEDMN C=CCOC[C@@H](NC(=O)C[N@H+](C)CC(C)(C)C)C(=O)[O-] ZINC000909770292 613881707 /nfs/dbraw/zinc/88/17/07/613881707.db2.gz DXZDUZKSDLWNEX-LLVKDONJSA-N 0 1 286.372 0.736 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC000909765296 613882509 /nfs/dbraw/zinc/88/25/09/613882509.db2.gz BAJFUBJRXMEFNB-QWRGUYRKSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC000909765296 613882510 /nfs/dbraw/zinc/88/25/10/613882510.db2.gz BAJFUBJRXMEFNB-QWRGUYRKSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCONC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000838710024 613883362 /nfs/dbraw/zinc/88/33/62/613883362.db2.gz CCODAUSSSHPZBR-ZDUSSCGKSA-N 0 1 283.372 0.858 20 30 CCEDMN C=CCOc1cccnc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834485226 613884975 /nfs/dbraw/zinc/88/49/75/613884975.db2.gz TWHWDVLXLYVYKB-GHMZBOCLSA-N 0 1 272.308 0.488 20 30 CCEDMN CC(C)[C@@H](NC(=O)C(C)(C)C)C(=O)NCC#CCN(C)C ZINC000913521495 614120175 /nfs/dbraw/zinc/12/01/75/614120175.db2.gz BAUJUHYADSCAAR-CYBMUJFWSA-N 0 1 295.427 0.855 20 30 CCEDMN CC(C)[C@@H]1CN(CC(=O)NCCC#N)CCCO1 ZINC000815316293 614163429 /nfs/dbraw/zinc/16/34/29/614163429.db2.gz ORAMMMYXPZHVKC-LBPRGKRZSA-N 0 1 253.346 0.763 20 30 CCEDMN CC(=O)Nc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1F ZINC000834499692 614199591 /nfs/dbraw/zinc/19/95/91/614199591.db2.gz LJDADDSYBZEVKY-ZWNOBZJWSA-N 0 1 290.298 0.625 20 30 CCEDMN CC(=O)Nc1ccc(F)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834495621 614201292 /nfs/dbraw/zinc/20/12/92/614201292.db2.gz WVGBPBBJIYUDNZ-NOZJJQNGSA-N 0 1 290.298 0.625 20 30 CCEDMN CC(C)[C@H]1OCC[C@H]1C(=O)NCC#CCN(C)C ZINC000913521886 614285320 /nfs/dbraw/zinc/28/53/20/614285320.db2.gz RKKJFKMSWNCCDH-CHWSQXEVSA-N 0 1 252.358 0.729 20 30 CCEDMN CC(C)c1noc(CCC(=O)NCC#CCN(C)C)n1 ZINC000913516891 614339671 /nfs/dbraw/zinc/33/96/71/614339671.db2.gz KTCUNVDSZXJLDR-UHFFFAOYSA-N 0 1 278.356 0.807 20 30 CCEDMN CC(C)N1CCO[C@@H](COC(=O)CSCC#N)C1 ZINC000802133995 614363750 /nfs/dbraw/zinc/36/37/50/614363750.db2.gz BSVFKMBWERBSBF-LLVKDONJSA-N 0 1 272.370 0.896 20 30 CCEDMN CCC(CC)N1C[C@H](C(=O)N[C@H]2CNC[C@@H]2C#N)CC1=O ZINC000834501131 614571390 /nfs/dbraw/zinc/57/13/90/614571390.db2.gz ZMLGNBYVHHMKAW-MDZLAQPJSA-N 0 1 292.383 0.251 20 30 CCEDMN CCCN1CC(N2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000941339480 614603994 /nfs/dbraw/zinc/60/39/94/614603994.db2.gz SQRXKBKTEHOBQC-LBPRGKRZSA-N 0 1 264.373 0.384 20 30 CCEDMN CC1(C)CN(CC#N)C[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000977568197 614610272 /nfs/dbraw/zinc/61/02/72/614610272.db2.gz CHPCYEXRCZFTJR-CYBMUJFWSA-N 0 1 298.350 0.922 20 30 CCEDMN CCN(C(=O)[C@@H]1CCCc2c[nH]nc21)C1CN(CC#N)C1 ZINC000950331574 614624853 /nfs/dbraw/zinc/62/48/53/614624853.db2.gz QTBBOMYVKCMWQC-CYBMUJFWSA-N 0 1 287.367 0.886 20 30 CCEDMN CCCCCCCCNC(=O)C(=O)NC[C@H]1COCCN1 ZINC000861773909 614901188 /nfs/dbraw/zinc/90/11/88/614901188.db2.gz SIHQXFDYCSGEAA-ZDUSSCGKSA-N 0 1 299.415 0.568 20 30 CCEDMN CCCCCCOCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834484906 614914057 /nfs/dbraw/zinc/91/40/57/614914057.db2.gz OYIIFOJCZLNNHZ-NWDGAFQWSA-N 0 1 253.346 0.811 20 30 CCEDMN C[C@H](NC(=O)C1(C#N)CC(C)C1)[C@H]1C[N@@H+](C)CCN1C ZINC000896556082 615010913 /nfs/dbraw/zinc/01/09/13/615010913.db2.gz NZUGPGXPWKPPRH-SBEAFCBVSA-N 0 1 278.400 0.677 20 30 CCEDMN C[C@H](NC(=O)C1(C#N)CC(C)C1)[C@H]1CN(C)CCN1C ZINC000896556082 615010915 /nfs/dbraw/zinc/01/09/15/615010915.db2.gz NZUGPGXPWKPPRH-SBEAFCBVSA-N 0 1 278.400 0.677 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000829692301 615021374 /nfs/dbraw/zinc/02/13/74/615021374.db2.gz FNFIYWPEXPZWJL-NSHDSACASA-N 0 1 299.396 0.641 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000829692301 615021375 /nfs/dbraw/zinc/02/13/75/615021375.db2.gz FNFIYWPEXPZWJL-NSHDSACASA-N 0 1 299.396 0.641 20 30 CCEDMN CCN1CCN(CC(=O)Nc2cccc(CC#N)n2)CC1 ZINC000882474311 615155757 /nfs/dbraw/zinc/15/57/57/615155757.db2.gz FQDDVOJDGWUMBV-UHFFFAOYSA-N 0 1 287.367 0.724 20 30 CCEDMN CCN1CCO[C@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000972297999 615190633 /nfs/dbraw/zinc/19/06/33/615190633.db2.gz PBAHIYPTGQRCDQ-WCQYABFASA-N 0 1 251.330 0.469 20 30 CCEDMN CCOCCO[C@H](C)C(=O)NCC#CCN(C)C ZINC000913517681 615293761 /nfs/dbraw/zinc/29/37/61/615293761.db2.gz LMJLMNJBOYBLCP-GFCCVEGCSA-N 0 1 256.346 0.109 20 30 CCEDMN CCO[C@@H]1COCC[C@H]1CC(=O)C(C#N)C(=O)NC1CC1 ZINC000870143437 615426037 /nfs/dbraw/zinc/42/60/37/615426037.db2.gz VGQYUUNVVJDXAM-VHRBIJSZSA-N 0 1 294.351 0.806 20 30 CCEDMN CCO[C@@H]1[C@H](C)[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000906599717 615458589 /nfs/dbraw/zinc/45/85/89/615458589.db2.gz GOUWRQOXRUDDON-IJLUTSLNSA-N 0 1 265.357 0.762 20 30 CCEDMN CCS(=O)(=O)CCNCCOc1ccc(C#N)cc1 ZINC000819107286 615537443 /nfs/dbraw/zinc/53/74/43/615537443.db2.gz HEVCEAHUKNPOEV-UHFFFAOYSA-N 0 1 282.365 0.961 20 30 CCEDMN CC[C@H](C#N)OC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000859923881 615600134 /nfs/dbraw/zinc/60/01/34/615600134.db2.gz GGQQZWRTVNUMBA-SECBINFHSA-N 0 1 283.309 0.912 20 30 CCEDMN CCc1cc(C(=O)N(CC)C2CN(CC#N)C2)n[nH]1 ZINC000949696735 615612275 /nfs/dbraw/zinc/61/22/75/615612275.db2.gz OUMAKDJZTASPFL-UHFFFAOYSA-N 0 1 261.329 0.642 20 30 CCEDMN CCc1ccc(CC(=O)NCC#CCN(C)C)nc1 ZINC000913523316 615671616 /nfs/dbraw/zinc/67/16/16/615671616.db2.gz VJHMEOYNMYIZFS-UHFFFAOYSA-N 0 1 259.353 0.868 20 30 CCEDMN CCc1ccccc1OCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834511520 615688563 /nfs/dbraw/zinc/68/85/63/615688563.db2.gz LXEURLJMDXZQKO-OLZOCXBDSA-N 0 1 273.336 0.856 20 30 CCEDMN CCc1nc(C)c(CC(=O)NCC#CCN(C)C)c(C)n1 ZINC000913525071 615735786 /nfs/dbraw/zinc/73/57/86/615735786.db2.gz JJOAEVQGVWYQGV-UHFFFAOYSA-N 0 1 288.395 0.880 20 30 CCEDMN CCn1nnc(C)c1C=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000834966334 615742555 /nfs/dbraw/zinc/74/25/55/615742555.db2.gz NGCDIPSQIFXZDP-QWRGUYRKSA-N 0 1 275.360 0.800 20 30 CCEDMN CCc1noc(C)c1Cn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000821554758 615833957 /nfs/dbraw/zinc/83/39/57/615833957.db2.gz QZRZQPDYNZDPGS-UHFFFAOYSA-N 0 1 299.298 0.424 20 30 CCEDMN CCc1noc(CC)c1CC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834479782 615834850 /nfs/dbraw/zinc/83/48/50/615834850.db2.gz IPMZZZPAZIZZPA-CABZTGNLSA-N 0 1 276.340 0.570 20 30 CCEDMN CCc1noc(CCCC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000834490610 615836603 /nfs/dbraw/zinc/83/66/03/615836603.db2.gz WBJBKVXTFRVDAU-ZJUUUORDSA-N 0 1 277.328 0.182 20 30 CCEDMN CN(C)CC#CCNC(=O)C(F)(F)C1CCOCC1 ZINC000913521993 615857521 /nfs/dbraw/zinc/85/75/21/615857521.db2.gz VLHSAYDNVCMWGC-UHFFFAOYSA-N 0 1 274.311 0.730 20 30 CCEDMN CN(C)CC#CCNC(=O)CCCC(=O)C1CC1 ZINC000913523189 615857662 /nfs/dbraw/zinc/85/76/62/615857662.db2.gz PNJAJHDSWMXXRT-UHFFFAOYSA-N 0 1 250.342 0.817 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@H]1NC(=O)c2ccccc21 ZINC000913524726 615858483 /nfs/dbraw/zinc/85/84/83/615858483.db2.gz ZQJAFZLCEXUMPO-CQSZACIVSA-N 0 1 285.347 0.542 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@H](O)c1cccc(F)c1 ZINC000913520597 615858525 /nfs/dbraw/zinc/85/85/25/615858525.db2.gz UPWSKCMLCVJKQX-AWEZNQCLSA-N 0 1 278.327 0.930 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cc2n(n1)CCCC2 ZINC000931399461 615858989 /nfs/dbraw/zinc/85/89/89/615858989.db2.gz QQYYZDZQZAFBAQ-UHFFFAOYSA-N 0 1 275.356 0.906 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1COc2ccccc21 ZINC000913518053 615859567 /nfs/dbraw/zinc/85/95/67/615859567.db2.gz BYJYBRWSPRBHLE-CYBMUJFWSA-N 0 1 258.321 0.844 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CC(=O)N[C@H]2CCCC[C@H]12 ZINC000913523845 615859765 /nfs/dbraw/zinc/85/97/65/615859765.db2.gz OPXMFBTYBHMPKM-MCIONIFRSA-N 0 1 291.395 0.363 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c[nH]nc1[C@@H]1CCCO1 ZINC000913525265 615860028 /nfs/dbraw/zinc/86/00/28/615860028.db2.gz PSJCTMYAYCQQOU-LBPRGKRZSA-N 0 1 276.340 0.556 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(NC(N)=O)cc1 ZINC000913516544 615860752 /nfs/dbraw/zinc/86/07/52/615860752.db2.gz VHDHJSLFOZHMOO-UHFFFAOYSA-N 0 1 274.324 0.472 20 30 CCEDMN CN(C(=O)c1c[nH]c(C#N)c1)C1CN(C(=O)c2ccn[nH]2)C1 ZINC000954103578 615892933 /nfs/dbraw/zinc/89/29/33/615892933.db2.gz RIIDNGSAQYPKMQ-UHFFFAOYSA-N 0 1 298.306 0.206 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN[C@H]1CCCNC1=O ZINC000865585391 616011820 /nfs/dbraw/zinc/01/18/20/616011820.db2.gz GWFSXRMMUHVJQD-ZDUSSCGKSA-N 0 1 272.352 0.992 20 30 CCEDMN CCc1[nH]nc(Cl)c1C=NNC1=NC[C@H](C)N1 ZINC000863105461 616033648 /nfs/dbraw/zinc/03/36/48/616033648.db2.gz RHAKYTDQSSFKCF-LURJTMIESA-N 0 1 254.725 0.897 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)[C@@H](O)c1ccc(C#N)cc1 ZINC000855638833 616162958 /nfs/dbraw/zinc/16/29/58/616162958.db2.gz UWZQDAKLAXJJTP-AWEZNQCLSA-N 0 1 273.336 0.612 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCO[C@](C)(C#N)C1 ZINC000887675166 616183143 /nfs/dbraw/zinc/18/31/43/616183143.db2.gz RBCNFAKZJNIZDE-WCQYABFASA-N 0 1 251.330 0.612 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCc1ccc(C(=O)NO)cc1 ZINC000907817279 616183869 /nfs/dbraw/zinc/18/38/69/616183869.db2.gz JGBFMTXMJOBDEU-ZDUSSCGKSA-N 0 1 291.351 0.906 20 30 CCEDMN CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])[C@H]1CCC[N@@H+](C)C1 ZINC000820620843 616205929 /nfs/dbraw/zinc/20/59/29/616205929.db2.gz VCSGBHWECHKNKZ-GXFFZTMASA-N 0 1 267.329 0.544 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@@H]1CCCCCN1CC#N ZINC000968780348 616210785 /nfs/dbraw/zinc/21/07/85/616210785.db2.gz DFOACJBWWYKVJL-KBPBESRZSA-N 0 1 278.400 0.965 20 30 CCEDMN CN1CCN(C)CCN(c2ncc(C#N)cc2F)CC1 ZINC000897095546 616237207 /nfs/dbraw/zinc/23/72/07/616237207.db2.gz UWQXQNQDSAXHHY-UHFFFAOYSA-N 0 1 277.347 0.776 20 30 CCEDMN CN1CCN(Cc2cn(Cc3cc(C#N)ccn3)nn2)CC1 ZINC000900627797 616245567 /nfs/dbraw/zinc/24/55/67/616245567.db2.gz AGOLAAQAXIQLNF-UHFFFAOYSA-N 0 1 297.366 0.340 20 30 CCEDMN CN1CC[C@@H](N2CCC(NC(=O)C#CC3CC3)CC2)C1=O ZINC000843887211 616274295 /nfs/dbraw/zinc/27/42/95/616274295.db2.gz ZSXMMFWAVQCWGK-CQSZACIVSA-N 0 1 289.379 0.211 20 30 CCEDMN COC(=O)[C@@H]1CCN(C[C@H](O)CC#N)[C@H]([C@@H]2CCCO2)C1 ZINC000933184072 616308732 /nfs/dbraw/zinc/30/87/32/616308732.db2.gz JALRJLLRPMGNJK-MQYQWHSLSA-N 0 1 296.367 0.694 20 30 CCEDMN COCC[N@H+]1CCC[C@@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820730371 616344670 /nfs/dbraw/zinc/34/46/70/616344670.db2.gz RCMYPYRGGCIEOQ-BXUZGUMPSA-N 0 1 297.355 0.218 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCCc1ccc(C#N)cc1 ZINC000912292271 616345072 /nfs/dbraw/zinc/34/50/72/616345072.db2.gz KOLLDZDCGIYTGA-GOEBONIOSA-N 0 1 287.363 0.672 20 30 CCEDMN COC(=O)C1(C#N)CCN(C[C@@H](O)C(C)C)CC1 ZINC000852136012 616345688 /nfs/dbraw/zinc/34/56/88/616345688.db2.gz FSCVKRRAAHHCRC-LLVKDONJSA-N 0 1 254.330 0.782 20 30 CCEDMN CN1CC[C@H](C(=O)NOc2cccc(C#N)c2)CC1=O ZINC000870693336 616373891 /nfs/dbraw/zinc/37/38/91/616373891.db2.gz CNAQIQXEDPHLJG-NSHDSACASA-N 0 1 273.292 0.837 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N1CCC(CC#N)CC1 ZINC000907288618 616469140 /nfs/dbraw/zinc/46/91/40/616469140.db2.gz GEHKWEGJNBONQP-OCCSQVGLSA-N 0 1 265.357 0.594 20 30 CCEDMN COC1(OC)CCOC[C@H]1NCCC(=O)N(C)CCC#N ZINC000931673227 616481950 /nfs/dbraw/zinc/48/19/50/616481950.db2.gz MFZVOGFOUQJAPJ-GFCCVEGCSA-N 0 1 299.371 0.116 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N1CCC[C@](C)(C#N)C1 ZINC000907335815 616495140 /nfs/dbraw/zinc/49/51/40/616495140.db2.gz OHJJNWHQGNKMIM-MRVWCRGKSA-N 0 1 265.357 0.594 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCC1CCC(C#N)CC1 ZINC000907994282 616497695 /nfs/dbraw/zinc/49/76/95/616497695.db2.gz ZBQQJQVARLXZBN-UJFKTDLFSA-N 0 1 279.384 0.888 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCc1ccc(C#N)cc1 ZINC000900128827 616500182 /nfs/dbraw/zinc/50/01/82/616500182.db2.gz ZMHWWWZGUZSTDZ-UKRRQHHQSA-N 0 1 273.336 0.630 20 30 CCEDMN COC1CCN(CCNC(=O)c2ccc(C#N)o2)CC1 ZINC000814119576 616523367 /nfs/dbraw/zinc/52/33/67/616523367.db2.gz KHZYXKXBTXTXMU-UHFFFAOYSA-N 0 1 277.324 0.992 20 30 CCEDMN COCC#CCN1[C@@H]2CC[C@H]1C[C@](O)(c1ccnn1C)C2 ZINC000887051863 616528104 /nfs/dbraw/zinc/52/81/04/616528104.db2.gz BSZDJHIEBBLGND-FOLVSLTJSA-N 0 1 289.379 0.884 20 30 CCEDMN COC(=O)[C@@]1(O)CCN(Cc2ccc(C#N)c(C)c2)C1 ZINC000880565043 616560966 /nfs/dbraw/zinc/56/09/66/616560966.db2.gz HRBIXIHZKZHBPF-OAHLLOKOSA-N 0 1 274.320 0.977 20 30 CCEDMN COC(=O)[C@H]1C[C@@H](O)CN1CC1CCC(C#N)CC1 ZINC000931566704 616712063 /nfs/dbraw/zinc/71/20/63/616712063.db2.gz XCJNFLIRBOWTEV-FIYWTHMPSA-N 0 1 266.341 0.925 20 30 CCEDMN CO[C@@H]1CN(C(=O)C#CC2CC2)C[C@H]1c1c[nH]nn1 ZINC000818527160 616721648 /nfs/dbraw/zinc/72/16/48/616721648.db2.gz XBSLCRSKUXEDHR-CMPLNLGQSA-N 0 1 260.297 0.159 20 30 CCEDMN CO[C@@H]1CN(C(=O)C#CC2CC2)C[C@H]1c1cnn[nH]1 ZINC000818527160 616721653 /nfs/dbraw/zinc/72/16/53/616721653.db2.gz XBSLCRSKUXEDHR-CMPLNLGQSA-N 0 1 260.297 0.159 20 30 CCEDMN COCC(COC)NN=C1CN(C(C)C)C[C@@H]1C ZINC000863195950 616735853 /nfs/dbraw/zinc/73/58/53/616735853.db2.gz SOPMGPIXIOMZNM-NSHDSACASA-N 0 1 257.378 0.954 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C12CC(C1)C2 ZINC000860462369 616901253 /nfs/dbraw/zinc/90/12/53/616901253.db2.gz UDHRVHHLIIWGTH-RUETXSTFSA-N 0 1 250.298 0.648 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H](C)[C@H](C)COC ZINC000813058338 616902364 /nfs/dbraw/zinc/90/23/64/616902364.db2.gz ICQVCDGDFACKNP-IJLUTSLNSA-N 0 1 284.356 0.767 20 30 CCEDMN COCc1nc(CNC(=O)C#CC(C)(C)C)n[nH]1 ZINC000855517158 616919455 /nfs/dbraw/zinc/91/94/55/616919455.db2.gz NILVPNJDORMLDA-UHFFFAOYSA-N 0 1 250.302 0.617 20 30 CCEDMN COCc1nnc(CNC(=O)C#CC(C)(C)C)[nH]1 ZINC000855517158 616919461 /nfs/dbraw/zinc/91/94/61/616919461.db2.gz NILVPNJDORMLDA-UHFFFAOYSA-N 0 1 250.302 0.617 20 30 CCEDMN COC(=O)c1ccnc(C(=O)NC2=NO[C@H](C)C2)c1 ZINC000841412011 616946951 /nfs/dbraw/zinc/94/69/51/616946951.db2.gz IYMQFWOGIWXKRC-SSDOTTSWSA-N 0 1 263.253 0.720 20 30 CCEDMN COC(=O)c1cn([C@@H]2CCN(CCO[C@H](C)C#N)C2)nn1 ZINC000801941222 616963821 /nfs/dbraw/zinc/96/38/21/616963821.db2.gz KGESYMJADZNKEZ-GHMZBOCLSA-N 0 1 293.327 0.240 20 30 CCEDMN COCc1ncsc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834500139 616965400 /nfs/dbraw/zinc/96/54/00/616965400.db2.gz QLYKCZIYIFRYGV-SFYZADRCSA-N 0 1 266.326 0.131 20 30 CCEDMN COCCN(CC(=O)NCCC#N)C1CCOCC1 ZINC000879707640 616979430 /nfs/dbraw/zinc/97/94/30/616979430.db2.gz YBWGIHYOPKWCQF-UHFFFAOYSA-N 0 1 269.345 0.144 20 30 CCEDMN COC(=O)c1nc(C(=O)N=C2C[C@H](C)ON2)cs1 ZINC000907159721 617023781 /nfs/dbraw/zinc/02/37/81/617023781.db2.gz PHLUIZBDVIFNQN-YFKPBYRVSA-N 0 1 269.282 0.782 20 30 CCEDMN CNc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1F ZINC000876801271 617063353 /nfs/dbraw/zinc/06/33/53/617063353.db2.gz AFXYWICMHQHYOE-JOYOIKCWSA-N 0 1 262.288 0.709 20 30 CCEDMN CON=CC(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC000809573125 617106370 /nfs/dbraw/zinc/10/63/70/617106370.db2.gz YXMGWCPXOCNRLW-UHFFFAOYSA-N 0 1 277.328 0.404 20 30 CCEDMN CO[C@]1(C(=O)NCC#CCN(C)C)CCSC1 ZINC000913525385 617128551 /nfs/dbraw/zinc/12/85/51/617128551.db2.gz VAESKPVLQJXPIW-GFCCVEGCSA-N 0 1 256.371 0.190 20 30 CCEDMN COc1cc(C(=O)NCCc2nc(C)n[nH]2)ccc1C#N ZINC000826700222 617161021 /nfs/dbraw/zinc/16/10/21/617161021.db2.gz OILYINMQWQPLOP-UHFFFAOYSA-N 0 1 285.307 0.966 20 30 CCEDMN COc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)cc(OC)c1C ZINC000834505741 617167372 /nfs/dbraw/zinc/16/73/72/617167372.db2.gz NLHHXRYMBLFOAM-NEPJUHHUSA-N 0 1 289.335 0.854 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@H](C)CO1 ZINC001025911027 625301102 /nfs/dbraw/zinc/30/11/02/625301102.db2.gz AJHCAMZBRWYDPL-ODXJTPSBSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1c2c[nH]nc2CC[C@H]1C ZINC001025907816 625301227 /nfs/dbraw/zinc/30/12/27/625301227.db2.gz OTAQJVHHUUGPAG-BCEUIYSSSA-N 0 1 298.390 0.755 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)[C@@H]1CCCO1 ZINC001026025037 625322640 /nfs/dbraw/zinc/32/26/40/625322640.db2.gz PZEQFJXGZFHUSE-SBJFKYEJSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)[C@@H]1CCCO1 ZINC001026026155 625322958 /nfs/dbraw/zinc/32/29/58/625322958.db2.gz HUMFYWMHVAPCGT-PDWCTOEPSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCO[C@H](C)C1 ZINC001026124662 625337747 /nfs/dbraw/zinc/33/77/47/625337747.db2.gz BUACYFDGTJVTGH-SEBNEYGDSA-N 0 1 276.380 0.871 20 30 CCEDMN CN1CC(C(=O)N2C[C@@H]3CC[C@@H](NCC#N)[C@H]3C2)=NC1=O ZINC001026349460 625408889 /nfs/dbraw/zinc/40/88/89/625408889.db2.gz FZEAIOIIUBZANZ-GARJFASQSA-N 0 1 289.339 0.089 20 30 CCEDMN Cc1noc(CNC[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001026732723 625579531 /nfs/dbraw/zinc/57/95/31/625579531.db2.gz MSONZBNNXIBNHL-ONGXEEELSA-N 0 1 277.328 0.476 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)[C@@H]2CCCOC2)C1 ZINC001023269523 622974218 /nfs/dbraw/zinc/97/42/18/622974218.db2.gz RMCIUVVGFDALPH-ZIAGYGMSSA-N 0 1 264.369 0.874 20 30 CCEDMN Cc1ccccc1[C@H](CO)NCC(=O)NCCC#N ZINC000782080706 623039685 /nfs/dbraw/zinc/03/96/85/623039685.db2.gz ABQGCLWNZYGZLM-ZDUSSCGKSA-N 0 1 261.325 0.648 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2nn(CC)nc2C)C1 ZINC001023776936 623138131 /nfs/dbraw/zinc/13/81/31/623138131.db2.gz CJCUEYIIFHLDMM-ZDUSSCGKSA-N 0 1 289.383 0.681 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1COCCO1 ZINC001024344727 623292779 /nfs/dbraw/zinc/29/27/79/623292779.db2.gz IROVRARPCFWAPD-KGLIPLIRSA-N 0 1 280.368 0.396 20 30 CCEDMN C=CC[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024520029 623343956 /nfs/dbraw/zinc/34/39/56/623343956.db2.gz BUBCOEQQFHPHAP-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001024520029 623343965 /nfs/dbraw/zinc/34/39/65/623343965.db2.gz BUBCOEQQFHPHAP-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCCN1C(N)=O ZINC001024826203 623455831 /nfs/dbraw/zinc/45/58/31/623455831.db2.gz OYEYRRLTGGQLQD-STQMWFEESA-N 0 1 294.399 0.686 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)CC(C)(C)CC(=O)[O-] ZINC000328042787 623836824 /nfs/dbraw/zinc/83/68/24/623836824.db2.gz XTNQEZAOGXREOU-UHFFFAOYSA-N 0 1 268.357 0.949 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N[C@H]1C[C@H](NCC#N)C1 ZINC001020406624 624469373 /nfs/dbraw/zinc/46/93/73/624469373.db2.gz ZTEWFFHLUNULHI-ZHSDAYTOSA-N 0 1 287.367 0.836 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC000969179973 624471016 /nfs/dbraw/zinc/47/10/16/624471016.db2.gz BHJIQCVXHTVKEI-LLVKDONJSA-N 0 1 296.330 0.856 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001020571672 624531261 /nfs/dbraw/zinc/53/12/61/624531261.db2.gz SKMMFCOVZQOBRC-DCAQKATOSA-N 0 1 273.340 0.590 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@H](NC(=O)c2n[n-]c3ccccc3c2=O)C1 ZINC001020661121 624569144 /nfs/dbraw/zinc/56/91/44/624569144.db2.gz WJSGNDXVKBRPNF-XYPYZODXSA-N 0 1 296.330 0.819 20 30 CCEDMN Cn1ccc(CN[C@H]2C[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC001021946855 624854649 /nfs/dbraw/zinc/85/46/49/624854649.db2.gz VHIPFPQZTHIXGD-BETUJISGSA-N 0 1 298.350 0.670 20 30 CCEDMN Cn1cc(CN[C@H]2C[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)nn1 ZINC001021984598 624858782 /nfs/dbraw/zinc/85/87/82/624858782.db2.gz ZKQFFZFLCKDJIM-PHIMTYICSA-N 0 1 299.338 0.065 20 30 CCEDMN Cn1cc(/C=C\C(=O)c2ccccc2O)c(=O)n(C)c1=O ZINC000255389746 624909563 /nfs/dbraw/zinc/90/95/63/624909563.db2.gz HYWUUBJZCARKLG-FPLPWBNLSA-N 0 1 286.287 0.686 20 30 CCEDMN CN(CC#N)[C@H]1CCCN(C(=O)[C@@H]2CCC[N@H+]2C)C1 ZINC001027332683 625834520 /nfs/dbraw/zinc/83/45/20/625834520.db2.gz QOLMTFOFBMFSLI-STQMWFEESA-N 0 1 264.373 0.527 20 30 CCEDMN CN(CC#N)[C@H]1CCCN(C(=O)[C@@H]2CCCN2C)C1 ZINC001027332683 625834525 /nfs/dbraw/zinc/83/45/25/625834525.db2.gz QOLMTFOFBMFSLI-STQMWFEESA-N 0 1 264.373 0.527 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CN(CC)CCO2)C1 ZINC001009592302 625860913 /nfs/dbraw/zinc/86/09/13/625860913.db2.gz HFBXJAIXGRPTLZ-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN C[C@H](NCCN1C(=O)CNC1=O)c1cc(C#N)ccn1 ZINC000902950768 634504196 /nfs/dbraw/zinc/50/41/96/634504196.db2.gz ISSGSCQPHYASDJ-VIFPVBQESA-N 0 1 273.296 0.156 20 30 CCEDMN O=C([C@H]1CN2CCN1CCC2)N(O)Cc1ccc(F)cc1 ZINC000904173669 634710718 /nfs/dbraw/zinc/71/07/18/634710718.db2.gz OAUVQJZGANTMSS-CQSZACIVSA-N 0 1 293.342 0.933 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1COCCO1 ZINC001027764785 630901415 /nfs/dbraw/zinc/90/14/15/630901415.db2.gz IXBDIVBCJLJSLU-OLZOCXBDSA-N 0 1 266.341 0.006 20 30 CCEDMN C=C(C)C[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1C[NH+](C)CCO1 ZINC001027804801 630933376 /nfs/dbraw/zinc/93/33/76/630933376.db2.gz IGCUIUIBMTYLQL-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCCO1 ZINC001027829002 630955220 /nfs/dbraw/zinc/95/52/20/630955220.db2.gz SPOADUYBQKMEDZ-OLZOCXBDSA-N 0 1 250.342 0.769 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001027829828 630955646 /nfs/dbraw/zinc/95/56/46/630955646.db2.gz KBHIIMIFPREYMJ-SNVBAGLBSA-N 0 1 264.329 0.804 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1cncnc1 ZINC001027840221 630968627 /nfs/dbraw/zinc/96/86/27/630968627.db2.gz GGJZUBHFPFZMQU-CYBMUJFWSA-N 0 1 258.325 0.694 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cncnc1 ZINC001027840221 630968634 /nfs/dbraw/zinc/96/86/34/630968634.db2.gz GGJZUBHFPFZMQU-CYBMUJFWSA-N 0 1 258.325 0.694 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[N@H+](CCO)CC2)[nH]1 ZINC000980976658 631086675 /nfs/dbraw/zinc/08/66/75/631086675.db2.gz CWDYQZHHVVASMS-UHFFFAOYSA-N 0 1 262.313 0.027 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCCN(CCO)CC2)[nH]1 ZINC000980976658 631086683 /nfs/dbraw/zinc/08/66/83/631086683.db2.gz CWDYQZHHVVASMS-UHFFFAOYSA-N 0 1 262.313 0.027 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC000968231467 650009464 /nfs/dbraw/zinc/00/94/64/650009464.db2.gz HYCVBYOKDJXBMD-NXEZZACHSA-N 0 1 277.328 0.089 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@]2(C)CN(CC#N)C[C@@]2(C)C1 ZINC000982430776 631445535 /nfs/dbraw/zinc/44/55/35/631445535.db2.gz DWISQPVSKRVDKG-OKILXGFUSA-N 0 1 288.355 0.421 20 30 CCEDMN N#CCN1CCCCC[C@H]1CNC(=O)c1ncn[nH]1 ZINC000969075778 650037919 /nfs/dbraw/zinc/03/79/19/650037919.db2.gz MRRRPWLQXNELBN-JTQLQIEISA-N 0 1 262.317 0.303 20 30 CCEDMN N#CCN1CCCCC[C@H]1CNC(=O)c1nnc[nH]1 ZINC000969075778 650037923 /nfs/dbraw/zinc/03/79/23/650037923.db2.gz MRRRPWLQXNELBN-JTQLQIEISA-N 0 1 262.317 0.303 20 30 CCEDMN Cn1ncc2cc(CN[C@@H]3CCCN(O)C3=O)cnc21 ZINC000895157940 631991149 /nfs/dbraw/zinc/99/11/49/631991149.db2.gz UIZBGYJCURFHTQ-LLVKDONJSA-N 0 1 275.312 0.438 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC001006993620 632010229 /nfs/dbraw/zinc/01/02/29/632010229.db2.gz ICPFOWLEPSRTSY-GFCCVEGCSA-N 0 1 277.372 0.894 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000895722916 632138542 /nfs/dbraw/zinc/13/85/42/632138542.db2.gz HAXZNTORJSZRNW-CMPLNLGQSA-N 0 1 251.330 0.325 20 30 CCEDMN Cc1cc(N2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)ccn1 ZINC000896403460 632248922 /nfs/dbraw/zinc/24/89/22/632248922.db2.gz HLPLJZRDTSOAFU-YPMHNXCESA-N 0 1 277.349 0.765 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC001007212318 650070511 /nfs/dbraw/zinc/07/05/11/650070511.db2.gz ACRHFUQEOBVRJL-LLVKDONJSA-N 0 1 279.340 0.749 20 30 CCEDMN CN(CC#N)C1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC000985431974 632479129 /nfs/dbraw/zinc/47/91/29/632479129.db2.gz VAPQDSWQJHFTHS-GHMZBOCLSA-N 0 1 266.301 0.098 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001007505699 650081253 /nfs/dbraw/zinc/08/12/53/650081253.db2.gz GIBRSGIVZAUICF-LBPRGKRZSA-N 0 1 288.351 0.493 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CN2CN=NC2=O)[C@@H]1C ZINC000986154084 632723539 /nfs/dbraw/zinc/72/35/39/632723539.db2.gz XGSRYGVDSLNXAG-ZJUUUORDSA-N 0 1 299.762 0.315 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CN2CN=NC2=O)[C@@H]1C ZINC000986154068 632723690 /nfs/dbraw/zinc/72/36/90/632723690.db2.gz XGSRYGVDSLNXAG-NXEZZACHSA-N 0 1 299.762 0.315 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001007889374 650096080 /nfs/dbraw/zinc/09/60/80/650096080.db2.gz WMIJIJDTELTJCK-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CS(C)(=O)=O)C1 ZINC000988200269 632959228 /nfs/dbraw/zinc/95/92/28/632959228.db2.gz LJXCECOHBFACAN-VHSXEESVSA-N 0 1 294.804 0.363 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1nc2c(s1)CCC2 ZINC000841017635 633199527 /nfs/dbraw/zinc/19/95/27/633199527.db2.gz GYTJNWYOMCXUKU-APPZFPTMSA-N 0 1 277.353 0.865 20 30 CCEDMN C[C@H]1C[C@H](NCc2ccc(C#N)s2)CN1C(=O)C(N)=O ZINC000989381242 633205159 /nfs/dbraw/zinc/20/51/59/633205159.db2.gz UIHKYZZNKRRNSD-IUCAKERBSA-N 0 1 292.364 0.184 20 30 CCEDMN C[C@@H]1C[C@@H](NCc2ccc(C#N)s2)CN1C(=O)C(N)=O ZINC000989381243 633205389 /nfs/dbraw/zinc/20/53/89/633205389.db2.gz UIHKYZZNKRRNSD-RKDXNWHRSA-N 0 1 292.364 0.184 20 30 CCEDMN Cn1nnnc1CCCNCc1nc(C#N)cs1 ZINC000898038195 633272370 /nfs/dbraw/zinc/27/23/70/633272370.db2.gz HNXLIINTZIUICL-UHFFFAOYSA-N 0 1 263.330 0.261 20 30 CCEDMN Cc1nc(CNC(=O)N2CCC[C@H](CC#N)C2)n[nH]1 ZINC000898351412 633308865 /nfs/dbraw/zinc/30/88/65/633308865.db2.gz QJDUQDBSRSKRCY-SNVBAGLBSA-N 0 1 262.317 0.948 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cc2nnc[nH]2)C1 ZINC000989658552 633339981 /nfs/dbraw/zinc/33/99/81/633339981.db2.gz ZLXLTAORMCATPN-NXEZZACHSA-N 0 1 283.763 0.679 20 30 CCEDMN Cc1cc(CC(=O)N[C@]23CCC[C@@H]2N(CC#N)CC3)[nH]n1 ZINC000990194329 633477233 /nfs/dbraw/zinc/47/72/33/633477233.db2.gz SIOQUIURSMZIGX-ZFWWWQNUSA-N 0 1 287.367 0.897 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(NC(=O)[C@@H]2CCCN2C)C1 ZINC000990506165 633545771 /nfs/dbraw/zinc/54/57/71/633545771.db2.gz AIKJKTQDBADXPR-LBPRGKRZSA-N 0 1 279.384 0.620 20 30 CCEDMN CS(=O)(=O)C[C@@H](O)CN[C@@H]1CCc2ccc(C#N)cc21 ZINC000927028105 633578053 /nfs/dbraw/zinc/57/80/53/633578053.db2.gz VZHNFKWGHXIRQA-GXTWGEPZSA-N 0 1 294.376 0.541 20 30 CCEDMN N#Cc1ccc([C@@H](O)COCCc2c[nH]nn2)cc1 ZINC000899888596 633916636 /nfs/dbraw/zinc/91/66/36/633916636.db2.gz LTSNBHXTOBIHJA-ZDUSSCGKSA-N 0 1 258.281 0.969 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC001009472079 650145917 /nfs/dbraw/zinc/14/59/17/650145917.db2.gz RCFHSGGBHKBEBX-CQSZACIVSA-N 0 1 287.363 0.452 20 30 CCEDMN OCc1csc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)n1 ZINC000905456589 634866323 /nfs/dbraw/zinc/86/63/23/634866323.db2.gz LBYDWIRDIGYCJT-AOOOYVTPSA-N 0 1 279.369 0.829 20 30 CCEDMN C[C@H](CNS(=O)(=O)c1ccc(C#N)cn1)N1CCCC1 ZINC000906837666 635041958 /nfs/dbraw/zinc/04/19/58/635041958.db2.gz KELDMDMEBJGCKD-LLVKDONJSA-N 0 1 294.380 0.716 20 30 CCEDMN O=C(CN1CCCC1)NCc1ccc(C(=O)NO)cc1 ZINC000907817094 635213941 /nfs/dbraw/zinc/21/39/41/635213941.db2.gz CPYGQRLKOJIUCU-UHFFFAOYSA-N 0 1 277.324 0.518 20 30 CCEDMN N#Cc1ccc(N2CCN(C(=O)Cc3c[nH]cn3)CC2)cn1 ZINC000907851989 635223834 /nfs/dbraw/zinc/22/38/34/635223834.db2.gz GNZASNVIFPYOOG-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC001010774100 650263641 /nfs/dbraw/zinc/26/36/41/650263641.db2.gz PYWRHTPOZONHRQ-JTQLQIEISA-N 0 1 265.313 0.359 20 30 CCEDMN Cc1nn(C)c(C)c1OCC(=O)C(C#N)C(=O)NC(C)C ZINC000151203369 636781661 /nfs/dbraw/zinc/78/16/61/636781661.db2.gz XHUFRMSUIXQSSM-LLVKDONJSA-N 0 1 292.339 0.649 20 30 CCEDMN Cc1nc(CC(=O)NCC#CCN(C)C)cs1 ZINC000913515229 636833823 /nfs/dbraw/zinc/83/38/23/636833823.db2.gz NXNWVQUETZRKDF-UHFFFAOYSA-N 0 1 251.355 0.675 20 30 CCEDMN C[C@H](Oc1ccc(C=O)cc1)C(=O)NCC#CCN(C)C ZINC000913522439 636835582 /nfs/dbraw/zinc/83/55/82/636835582.db2.gz JHFANRDATQZIII-ZDUSSCGKSA-N 0 1 288.347 0.948 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(C(=O)Cc2c[nH]cn2)CC1 ZINC001011914311 650319992 /nfs/dbraw/zinc/31/99/92/650319992.db2.gz JYONVFMRQIESRF-UHFFFAOYSA-N 0 1 290.367 0.835 20 30 CCEDMN CC#CCN1CC[C@@]2(NC(=O)c3cnon3)CCC[C@H]12 ZINC000992342225 637371613 /nfs/dbraw/zinc/37/16/13/637371613.db2.gz HNAWSOZGYKTEQS-JSGCOSHPSA-N 0 1 274.324 0.820 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnon3)CCC[C@H]12 ZINC000992366995 637375728 /nfs/dbraw/zinc/37/57/28/637375728.db2.gz QKVUKYJFIXNAQE-AAEUAGOBSA-N 0 1 260.297 0.430 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cc(C)[nH]n2)[C@H]1C ZINC000993194268 637738981 /nfs/dbraw/zinc/73/89/81/637738981.db2.gz GFBCRWRHEHYPOX-TZMCWYRMSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cc(C)n[nH]2)[C@H]1C ZINC000993194268 637738987 /nfs/dbraw/zinc/73/89/87/637738987.db2.gz GFBCRWRHEHYPOX-TZMCWYRMSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2ccnn2C)[C@@H]1C ZINC000993260951 637759553 /nfs/dbraw/zinc/75/95/53/637759553.db2.gz QTFOIDDPXVTURD-JSGCOSHPSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2ccnn2C)[C@H]1C ZINC000993260954 637759903 /nfs/dbraw/zinc/75/99/03/637759903.db2.gz QTFOIDDPXVTURD-TZMCWYRMSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CC2OCCCO2)[C@@H]1C ZINC000993302790 637770399 /nfs/dbraw/zinc/77/03/99/637770399.db2.gz OTPZZNFYBDRBBU-QWHCGFSZSA-N 0 1 280.368 0.742 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CCCN(CC#N)[C@H]2C)n[nH]1 ZINC000993305701 637771310 /nfs/dbraw/zinc/77/13/10/637771310.db2.gz AHOYBKLRHZTWBU-GXSJLCMTSA-N 0 1 276.344 0.148 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(=O)n(C)cn2)[C@@H]1C ZINC000993458037 637811466 /nfs/dbraw/zinc/81/14/66/637811466.db2.gz GWYCPXNTOXLDCN-NWDGAFQWSA-N 0 1 290.367 0.549 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC000993551261 637817883 /nfs/dbraw/zinc/81/78/83/637817883.db2.gz QVYDUGSXLZQTNF-BDAKNGLRSA-N 0 1 293.327 0.052 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cnoc2)[C@H]1C ZINC000993722443 637835073 /nfs/dbraw/zinc/83/50/73/637835073.db2.gz XRCMHWUAQLPBKY-YPMHNXCESA-N 0 1 261.325 0.819 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2cnon2)[C@H]1C ZINC000994046161 637863039 /nfs/dbraw/zinc/86/30/39/637863039.db2.gz XCGUEQDSEUIAPH-GHMZBOCLSA-N 0 1 262.313 0.676 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnon2)[C@H]1C ZINC000994046161 637863044 /nfs/dbraw/zinc/86/30/44/637863044.db2.gz XCGUEQDSEUIAPH-GHMZBOCLSA-N 0 1 262.313 0.676 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)[C@@H]1C ZINC000994116395 637868722 /nfs/dbraw/zinc/86/87/22/637868722.db2.gz SDAQDRPAUUSMFD-CMPLNLGQSA-N 0 1 290.367 0.882 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cncn2C)[C@@H]1C ZINC000994233097 637876985 /nfs/dbraw/zinc/87/69/85/637876985.db2.gz RIHJJHPKHMQJSA-ZFWWWQNUSA-N 0 1 288.395 0.955 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cn2cncn2)[C@@H]1C ZINC000994377034 637925152 /nfs/dbraw/zinc/92/51/52/637925152.db2.gz MJILOUNVOXKALU-STQMWFEESA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cn2ccnc2)[C@@H]1C ZINC000994506656 637955573 /nfs/dbraw/zinc/95/55/73/637955573.db2.gz LNFKGAWXSFZPRS-QWHCGFSZSA-N 0 1 260.341 0.485 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cn2ccnc2)[C@H]1C ZINC000994510086 637956671 /nfs/dbraw/zinc/95/66/71/637956671.db2.gz RVIFVFPBFRSPER-KGLIPLIRSA-N 0 1 274.368 0.876 20 30 CCEDMN CS(=O)(=O)CC(=O)C(C#N)C(=O)Nc1ccccc1 ZINC000920109959 638124666 /nfs/dbraw/zinc/12/46/66/638124666.db2.gz XJHQTYVLEQMRIL-JTQLQIEISA-N 0 1 280.305 0.379 20 30 CCEDMN C[C@H](F)CCN1CC(N2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000941349571 638528207 /nfs/dbraw/zinc/52/82/07/638528207.db2.gz YSEYQLWFTQYRDI-STQMWFEESA-N 0 1 296.390 0.723 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC(NC(=O)c2cnn[nH]2)C1 ZINC000995181859 638576475 /nfs/dbraw/zinc/57/64/75/638576475.db2.gz SSROWSSGZIYXGF-UHFFFAOYSA-N 0 1 277.328 0.348 20 30 CCEDMN C[C@@H]1CN(CC#Cc2ccccc2)CC[C@H]1NC(=O)C(N)=O ZINC000942590778 638672253 /nfs/dbraw/zinc/67/22/53/638672253.db2.gz AFFBARZPXCZRKS-UKRRQHHQSA-N 0 1 299.374 0.350 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000944810767 638782119 /nfs/dbraw/zinc/78/21/19/638782119.db2.gz KSTOGQQZELEUNY-PWSUYJOCSA-N 0 1 298.350 0.922 20 30 CCEDMN N#CCNC1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000996621895 638824960 /nfs/dbraw/zinc/82/49/60/638824960.db2.gz UGOGBGPVWJOUMT-UHFFFAOYSA-N 0 1 284.323 0.676 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2c(Cl)cnn2C)C1 ZINC001014439923 650451917 /nfs/dbraw/zinc/45/19/17/650451917.db2.gz LLNCIAOZYFXZJJ-JTQLQIEISA-N 0 1 280.759 0.901 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2nnn(C(C)(C)C)n2)C1 ZINC001014498756 650457080 /nfs/dbraw/zinc/45/70/80/650457080.db2.gz ULSXVLIJLZJHGF-LLVKDONJSA-N 0 1 290.371 0.256 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](NCc2nccn2C)C1 ZINC000998749396 638939119 /nfs/dbraw/zinc/93/91/19/638939119.db2.gz ZSDIFLZLZLMYRK-RYUDHWBXSA-N 0 1 275.356 0.660 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cn(C)nn2)C1 ZINC000999077591 638957863 /nfs/dbraw/zinc/95/78/63/638957863.db2.gz DRRPTEMBAIIZLY-SNVBAGLBSA-N 0 1 283.763 0.762 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC000999232961 638968987 /nfs/dbraw/zinc/96/89/87/638968987.db2.gz NOUHTERYZCSSSG-JTQLQIEISA-N 0 1 284.323 0.676 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2nnn(C(C)(C)C)n2)C1 ZINC001014535545 650460846 /nfs/dbraw/zinc/46/08/46/650460846.db2.gz BCWJHVDWWAIALB-NSHDSACASA-N 0 1 292.387 0.347 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC000999789769 639000338 /nfs/dbraw/zinc/00/03/38/639000338.db2.gz IOMYJKKBAONALO-SMDDNHRTSA-N 0 1 299.802 0.846 20 30 CCEDMN C#CCN1CC[C@@H](n2cc(CNC(=O)c3ccoc3)nn2)C1 ZINC000999969031 639026634 /nfs/dbraw/zinc/02/66/34/639026634.db2.gz UPWNRDGVFFKCTM-CQSZACIVSA-N 0 1 299.334 0.681 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(-n3cnnn3)cc2)C1 ZINC001016190751 639065785 /nfs/dbraw/zinc/06/57/85/639065785.db2.gz OPZBNXWKSUEFSU-CYBMUJFWSA-N 0 1 298.350 0.652 20 30 CCEDMN C#CCN1CC=C(CNC(=O)C2CCOCC2)CC1 ZINC001000359181 639078283 /nfs/dbraw/zinc/07/82/83/639078283.db2.gz OIHPNPYHKQUSKT-UHFFFAOYSA-N 0 1 262.353 0.795 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC001000498500 639104539 /nfs/dbraw/zinc/10/45/39/639104539.db2.gz ZMLFAPQWXQRVQU-KGLIPLIRSA-N 0 1 290.363 0.567 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014633877 650470227 /nfs/dbraw/zinc/47/02/27/650470227.db2.gz OHQRIIBUANAXOT-KGLIPLIRSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cnn(CC)n2)CC1 ZINC001000651272 639147967 /nfs/dbraw/zinc/14/79/67/639147967.db2.gz LGVFYNPILHUBBD-UHFFFAOYSA-N 0 1 287.367 0.683 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001000688385 639152599 /nfs/dbraw/zinc/15/25/99/639152599.db2.gz PCPZSMWKXMKLFX-WDEREUQCSA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001000688385 639152603 /nfs/dbraw/zinc/15/26/03/639152603.db2.gz PCPZSMWKXMKLFX-WDEREUQCSA-N 0 1 288.355 0.014 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CCC[C@@H](NCC#N)C1 ZINC001000718799 639160273 /nfs/dbraw/zinc/16/02/73/639160273.db2.gz MMTICWFLNVQQGU-GFCCVEGCSA-N 0 1 287.367 0.865 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001000906219 639188786 /nfs/dbraw/zinc/18/87/86/639188786.db2.gz UPLSTMHHXVHDSO-LLVKDONJSA-N 0 1 284.323 0.676 20 30 CCEDMN Cc1n[nH]c(C(=O)NCC2CN(C(=O)[C@H](C)C#N)C2)c1C ZINC001001250449 639267158 /nfs/dbraw/zinc/26/71/58/639267158.db2.gz IHZZBNGVVWXMJW-MRVPVSSYSA-N 0 1 289.339 0.374 20 30 CCEDMN CC#CC[NH+]1CCC(CNC(=O)[C@@H]2C[N@H+](C)CCO2)CC1 ZINC001001278967 639273721 /nfs/dbraw/zinc/27/37/21/639273721.db2.gz OJWABDRFNZRDIF-HNNXBMFYSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2cnccc2C)C1 ZINC001014777224 650487900 /nfs/dbraw/zinc/48/79/00/650487900.db2.gz VIKYAXSGGSQWQH-CQSZACIVSA-N 0 1 257.337 0.756 20 30 CCEDMN C[C@H](C#N)CNC(=O)NC[C@H]1CC[N@H+]1C1CCOCC1 ZINC000921885519 639391086 /nfs/dbraw/zinc/39/10/86/639391086.db2.gz UMTXHXPIVNFDRB-DGCLKSJQSA-N 0 1 280.372 0.699 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC001002458045 639414389 /nfs/dbraw/zinc/41/43/89/639414389.db2.gz DEQMJXUUJARMIJ-CHWSQXEVSA-N 0 1 278.352 0.399 20 30 CCEDMN Cc1cc(CC(=O)NC2CCN(CC#N)CC2)[nH]n1 ZINC001002578001 639429941 /nfs/dbraw/zinc/42/99/41/639429941.db2.gz ORGAWYGURMKTPV-UHFFFAOYSA-N 0 1 261.329 0.365 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)N2CCCCC2=O)C1 ZINC001016322714 639437903 /nfs/dbraw/zinc/43/79/03/639437903.db2.gz KMCQDQWYHHRPHJ-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc3c([nH]2)CCOC3)CC1 ZINC001002987814 639489652 /nfs/dbraw/zinc/48/96/52/639489652.db2.gz QTQPNUGKVKKTTB-UHFFFAOYSA-N 0 1 287.363 0.915 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2[nH]c(=O)[nH]c2C)CC1 ZINC001003089547 639504531 /nfs/dbraw/zinc/50/45/31/639504531.db2.gz SAKIRSBYZIDHLJ-UHFFFAOYSA-N 0 1 276.340 0.641 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@@H]2CCN(C)C2=O)CC1 ZINC001003102045 639505721 /nfs/dbraw/zinc/50/57/21/639505721.db2.gz NVGIOTMCKLJCSS-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)C2CCC(C(N)=O)CC2)CC1 ZINC001003220938 639517915 /nfs/dbraw/zinc/51/79/15/639517915.db2.gz GFSSPJSBTLNPDQ-UHFFFAOYSA-N 0 1 291.395 0.492 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@H]2CCCc3[nH]cnc32)CC1 ZINC001003606077 639577618 /nfs/dbraw/zinc/57/76/18/639577618.db2.gz HCQJLWVJRDQVPB-LBPRGKRZSA-N 0 1 287.367 0.934 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC1CCN(CC#N)CC1 ZINC001003982930 639661774 /nfs/dbraw/zinc/66/17/74/639661774.db2.gz FISRRDJIZDKBEI-UHFFFAOYSA-N 0 1 287.367 0.865 20 30 CCEDMN O=C(C#CC1CC1)N1CC(CNC(=O)c2[nH]ncc2F)C1 ZINC001004142361 639694893 /nfs/dbraw/zinc/69/48/93/639694893.db2.gz RKXWMFINCHUPNF-UHFFFAOYSA-N 0 1 290.298 0.150 20 30 CCEDMN O=C(C#CC1CC1)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncn[nH]1)C2 ZINC001005139699 639881694 /nfs/dbraw/zinc/88/16/94/639881694.db2.gz RKIRTCGYOIHOJI-UTUOFQBUSA-N 0 1 299.334 0.080 20 30 CCEDMN O=C(C#CC1CC1)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nc[nH]n1)C2 ZINC001005139699 639881696 /nfs/dbraw/zinc/88/16/96/639881696.db2.gz RKIRTCGYOIHOJI-UTUOFQBUSA-N 0 1 299.334 0.080 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC001005173284 639884112 /nfs/dbraw/zinc/88/41/12/639884112.db2.gz FGNZWWOARHJMPZ-UONOGXRCSA-N 0 1 292.379 0.742 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cn(C)nc2C)CC1 ZINC001005189570 639887131 /nfs/dbraw/zinc/88/71/31/639887131.db2.gz ZRHXLYXVJICAIN-UHFFFAOYSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2c[nH]c(C)n2)CC1 ZINC001005186748 639887652 /nfs/dbraw/zinc/88/76/52/639887652.db2.gz IGHBISHFGFLMJL-UHFFFAOYSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2cc[nH]n2)CC1 ZINC001005206531 639889834 /nfs/dbraw/zinc/88/98/34/639889834.db2.gz SNOVJWCWNXWFQN-UHFFFAOYSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H](C)n2cncn2)CC1 ZINC001005277691 639894549 /nfs/dbraw/zinc/89/45/49/639894549.db2.gz BEAWEDKZFJCRGR-LBPRGKRZSA-N 0 1 275.356 0.395 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC001015185212 650536410 /nfs/dbraw/zinc/53/64/10/650536410.db2.gz IIFHEZXXFCELDP-CQSZACIVSA-N 0 1 274.368 0.714 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@]2(C)CNC(=O)C2)CC1 ZINC001005775960 639962288 /nfs/dbraw/zinc/96/22/88/639962288.db2.gz XCZXZYBKYNOENL-HNNXBMFYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001015213988 650539571 /nfs/dbraw/zinc/53/95/71/650539571.db2.gz BNVSORZPPHMCQV-CYBMUJFWSA-N 0 1 295.346 0.705 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2cnc[nH]2)CC1 ZINC001006063947 640104898 /nfs/dbraw/zinc/10/48/98/640104898.db2.gz IDOLHUXZYFGUJO-UHFFFAOYSA-N 0 1 260.341 0.508 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCc3nc(C)nn3C2)C1 ZINC001015367732 650559292 /nfs/dbraw/zinc/55/92/92/650559292.db2.gz XNUZDAOQEOOCAC-OLZOCXBDSA-N 0 1 289.383 0.525 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@H]1CC12CCN(CC#N)CC2 ZINC001016691434 640216992 /nfs/dbraw/zinc/21/69/92/640216992.db2.gz VMTKSFUAVSNZEJ-KBPBESRZSA-N 0 1 290.411 0.965 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cccn(C)c2=O)CC1 ZINC001006311599 640222472 /nfs/dbraw/zinc/22/24/72/640222472.db2.gz XJAVPAZCPHWIME-UHFFFAOYSA-N 0 1 287.363 0.555 20 30 CCEDMN Cc1nc[nH]c1C=NN=C1NS(=O)(=O)c2ccccc21 ZINC000755640861 640331776 /nfs/dbraw/zinc/33/17/76/640331776.db2.gz SNOUYTSKQBPHPH-UHFFFAOYSA-N 0 1 289.320 0.791 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001015592892 650586362 /nfs/dbraw/zinc/58/63/62/650586362.db2.gz QJABSQOGXLGBJO-DOMZBBRYSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001015564625 650581704 /nfs/dbraw/zinc/58/17/04/650581704.db2.gz VARARIRUGXXSSD-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN N#Cc1ccc(N2CCN(Cc3cnc[nH]3)CC2)cn1 ZINC000801552565 640658100 /nfs/dbraw/zinc/65/81/00/640658100.db2.gz OKTVULQDICVVQC-UHFFFAOYSA-N 0 1 268.324 0.999 20 30 CCEDMN N#Cc1nc(F)ccc1OS(=O)(=O)c1c[nH]cn1 ZINC000808345522 640861522 /nfs/dbraw/zinc/86/15/22/640861522.db2.gz BZCPWZLHAONXHD-UHFFFAOYSA-N 0 1 268.229 0.583 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001015712691 650614469 /nfs/dbraw/zinc/61/44/69/650614469.db2.gz GVXYGHSLOPMDHD-VIFPVBQESA-N 0 1 262.313 0.104 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001015782925 650628410 /nfs/dbraw/zinc/62/84/10/650628410.db2.gz RQRIFGQTVSSLKL-ZDUSSCGKSA-N 0 1 275.352 0.533 20 30 CCEDMN Cn1ncc(CN=Nc2ccc(-n3ccnc3)nn2)n1 ZINC000814914848 641082176 /nfs/dbraw/zinc/08/21/76/641082176.db2.gz JBDXDTVKNFDWNL-UHFFFAOYSA-N 0 1 269.272 0.237 20 30 CCEDMN Cn1ccc(CN=Nc2ccccc2S(N)(=O)=O)n1 ZINC000814917074 641082241 /nfs/dbraw/zinc/08/22/41/641082241.db2.gz OYIQDGDWXLAKRN-UHFFFAOYSA-N 0 1 279.325 0.514 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000815519775 641114281 /nfs/dbraw/zinc/11/42/81/641114281.db2.gz HOQLIDUCXKTRIN-VIFPVBQESA-N 0 1 265.317 0.702 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H]2CCN(CC=C)C2)nn1 ZINC001015817900 650634557 /nfs/dbraw/zinc/63/45/57/650634557.db2.gz QWBBNUJUSCURBG-LBPRGKRZSA-N 0 1 275.356 0.844 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001015870171 650642286 /nfs/dbraw/zinc/64/22/86/650642286.db2.gz YMJYWAZFBOXZOU-NSHDSACASA-N 0 1 276.340 0.253 20 30 CCEDMN N#CCNC(=O)CNC[C@H](O)c1ccc(F)cc1Cl ZINC000819309953 641399922 /nfs/dbraw/zinc/39/99/22/641399922.db2.gz QNNMYYZFOVOUQB-NSHDSACASA-N 0 1 285.706 0.742 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)N[C@H]3CCN(CC#N)C3)c2C1 ZINC001015926777 650658784 /nfs/dbraw/zinc/65/87/84/650658784.db2.gz XHBAOGMHHDIQKC-MNOVXSKESA-N 0 1 287.367 0.862 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001015958015 650663696 /nfs/dbraw/zinc/66/36/96/650663696.db2.gz GDAZUVLTEMZAJQ-GHMZBOCLSA-N 0 1 273.340 0.229 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1n[nH]c(COC)n1)C(C)(C)C ZINC000821159645 641571185 /nfs/dbraw/zinc/57/11/85/641571185.db2.gz FTIAFFDXWVLZKG-SECBINFHSA-N 0 1 279.344 0.798 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1nnc(COC)[nH]1)C(C)(C)C ZINC000821159645 641571191 /nfs/dbraw/zinc/57/11/91/641571191.db2.gz FTIAFFDXWVLZKG-SECBINFHSA-N 0 1 279.344 0.798 20 30 CCEDMN N#C[C@@H](CO)NC(=O)c1ccc(CN2CCCCC2)o1 ZINC000821922042 641637290 /nfs/dbraw/zinc/63/72/90/641637290.db2.gz NNOFPWJNBUKIJC-NSHDSACASA-N 0 1 277.324 0.880 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)Cc2[nH]nc3ccccc32)C1 ZINC001016328794 650718785 /nfs/dbraw/zinc/71/87/85/650718785.db2.gz HXRGBTNVZRTGPQ-NSHDSACASA-N 0 1 283.335 0.819 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2C(=O)Nc3ccccc32)C1 ZINC001016393826 650723951 /nfs/dbraw/zinc/72/39/51/650723951.db2.gz ZIIRKZWCFLXZHN-GXTWGEPZSA-N 0 1 297.358 0.936 20 30 CCEDMN Cc1ccc(CNC(=O)[C@]2(C)C[C@H](O)CN2C)cc1C#N ZINC000831781115 642394271 /nfs/dbraw/zinc/39/42/71/642394271.db2.gz HXZIJLJKZVWUPI-HOCLYGCPSA-N 0 1 287.363 0.938 20 30 CCEDMN Cc1ccc(F)c(OCC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834479238 642571731 /nfs/dbraw/zinc/57/17/31/642571731.db2.gz BJAKYHWPPFOZKF-JQWIXIFHSA-N 0 1 277.299 0.741 20 30 CCEDMN Cn1c2cc(C(=O)N[C@H]3CNC[C@@H]3C#N)ccc2[nH]c1=O ZINC000834479356 642571890 /nfs/dbraw/zinc/57/18/90/642571890.db2.gz DCZQUVVQBDHTKV-ONGXEEELSA-N 0 1 285.307 0.120 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccn(C2CCCC2)n1 ZINC000834479714 642573550 /nfs/dbraw/zinc/57/35/50/642573550.db2.gz HWQDASVZFKWFDL-GWCFXTLKSA-N 0 1 273.340 0.840 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc2c([nH]c1=O)CCCC2 ZINC000834485460 642578406 /nfs/dbraw/zinc/57/84/06/642578406.db2.gz XDNRJDOQNXOQFO-ZWNOBZJWSA-N 0 1 286.335 0.507 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@@H]2C#N)cnn1-c1ccccn1 ZINC000834489413 642580009 /nfs/dbraw/zinc/58/00/09/642580009.db2.gz MCMIMZSHZYSIBD-AAEUAGOBSA-N 0 1 296.334 0.417 20 30 CCEDMN Cc1ncc(Cl)c(C(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000834489504 642580417 /nfs/dbraw/zinc/58/04/17/642580417.db2.gz NBALDRUCCBODNS-APPZFPTMSA-N 0 1 265.704 0.280 20 30 CCEDMN Cn1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(-c2cccnc2)n1 ZINC000834494102 642583187 /nfs/dbraw/zinc/58/31/87/642583187.db2.gz KDQIQCVWZZFMGK-WCQYABFASA-N 0 1 296.334 0.323 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H](c1ccccc1)n1cccn1 ZINC000834494432 642583238 /nfs/dbraw/zinc/58/32/38/642583238.db2.gz MXLXJDWLJJCKSV-ILXRZTDVSA-N 0 1 295.346 0.700 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccnc1Cl ZINC000834495469 642585297 /nfs/dbraw/zinc/58/52/97/642585297.db2.gz VZFOABGVWYOUMW-APPZFPTMSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnc(-c2ccccc2)nc1 ZINC000834494556 642585342 /nfs/dbraw/zinc/58/53/42/642585342.db2.gz OAYIQZAXWRNEOX-TZMCWYRMSA-N 0 1 293.330 0.985 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)c(N)c([N+](=O)[O-])c1 ZINC000834494884 642585691 /nfs/dbraw/zinc/58/56/91/642585691.db2.gz QORARTCQSAXMKT-SCZZXKLOSA-N 0 1 289.295 0.327 20 30 CCEDMN Cn1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1C1CC1 ZINC000834494848 642586094 /nfs/dbraw/zinc/58/60/94/642586094.db2.gz QDTJXXLJRSHOCN-ONGXEEELSA-N 0 1 259.313 0.139 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnc(C(F)(F)F)nc1 ZINC000834501025 642590207 /nfs/dbraw/zinc/59/02/07/642590207.db2.gz YZYGKSLXTNEPOA-SVRRBLITSA-N 0 1 285.229 0.337 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COc1ccccc1Cl ZINC000834504904 642592497 /nfs/dbraw/zinc/59/24/97/642592497.db2.gz GLVPXKHJQBZADE-ONGXEEELSA-N 0 1 279.727 0.947 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(N2CCCC2=O)cc1 ZINC000834506219 642592963 /nfs/dbraw/zinc/59/29/63/642592963.db2.gz QTGLZQCURJUZBX-TZMCWYRMSA-N 0 1 298.346 0.655 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(F)cc1F ZINC000834510531 642595223 /nfs/dbraw/zinc/59/52/23/642595223.db2.gz CBOISLCEDLPBCI-CPCISQLKSA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCc1nc2ccccc2o1 ZINC000834510505 642595937 /nfs/dbraw/zinc/59/59/37/642595937.db2.gz BTAHJKNTUDSCKL-PWSUYJOCSA-N 0 1 284.319 0.988 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000834512109 642597654 /nfs/dbraw/zinc/59/76/54/642597654.db2.gz RWEQFSDNCDALQT-BQBZGAKWSA-N 0 1 266.282 0.498 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc2c[nH]nc21 ZINC000834515655 642598824 /nfs/dbraw/zinc/59/88/24/642598824.db2.gz AREQHOAYVUDGCD-GXSJLCMTSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1n[nH]c2c1CCCC2 ZINC000834516163 642598919 /nfs/dbraw/zinc/59/89/19/642598919.db2.gz FUAATMWWUALAPD-GZMMTYOYSA-N 0 1 259.313 0.130 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COc1cccc([N+](=O)[O-])c1 ZINC000834515956 642599247 /nfs/dbraw/zinc/59/92/47/642599247.db2.gz DKWQKSZODHGTJH-BXKDBHETSA-N 0 1 290.279 0.201 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(Cl)ccn1 ZINC000834516920 642600081 /nfs/dbraw/zinc/60/00/81/642600081.db2.gz NQUJRCKDZBGLFZ-XVKPBYJWSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cn(Cc2ccccc2)nn1 ZINC000834516936 642600507 /nfs/dbraw/zinc/60/05/07/642600507.db2.gz NTBONYOMWPPMIH-STQMWFEESA-N 0 1 296.334 0.168 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(-c2cccs2)n[nH]1 ZINC000834521818 642603299 /nfs/dbraw/zinc/60/32/99/642603299.db2.gz UANPLDSNLRZPQU-LDYMZIIASA-N 0 1 287.348 0.980 20 30 CCEDMN N#Cc1cc(F)ccc1NC(=O)N[C@H]1CNC[C@H]1C#N ZINC000841138800 642804493 /nfs/dbraw/zinc/80/44/93/642804493.db2.gz XYDAYKPAEQWONE-SKDRFNHKSA-N 0 1 273.271 0.930 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)N[C@@H](C1CC1)C(F)(F)F ZINC000841242444 642809558 /nfs/dbraw/zinc/80/95/58/642809558.db2.gz JZBHIZUPAXORHM-HLTSFMKQSA-N 0 1 276.262 0.738 20 30 CCEDMN C[C@@H](O)CN(C)N=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000841650698 642827269 /nfs/dbraw/zinc/82/72/69/642827269.db2.gz LFDPTSHEJMNEQC-MRVPVSSYSA-N 0 1 253.258 0.947 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc(C)[nH]1 ZINC001017414336 650800335 /nfs/dbraw/zinc/80/03/35/650800335.db2.gz HDLCFRABJPRJPG-TXEJJXNPSA-N 0 1 258.325 0.640 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCC(=O)NC1CCCC1 ZINC000843458918 643063370 /nfs/dbraw/zinc/06/33/70/643063370.db2.gz ABWAAZAKMUYJMC-PWSUYJOCSA-N 0 1 278.356 0.053 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cn1ccc(C(F)(F)F)n1 ZINC000843459713 643063700 /nfs/dbraw/zinc/06/37/00/643063700.db2.gz GRFRWUMEALJVCU-YUMQZZPRSA-N 0 1 287.245 0.130 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H](O)C1CCCCC1 ZINC000843460008 643064220 /nfs/dbraw/zinc/06/42/20/643064220.db2.gz KOTMZADZDUEUIN-UTUOFQBUSA-N 0 1 251.330 0.155 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CSC(F)(F)F ZINC000843460419 643064388 /nfs/dbraw/zinc/06/43/88/643064388.db2.gz HNMAPSIAIKGISO-WDSKDSINSA-N 0 1 253.249 0.467 20 30 CCEDMN Cc1cc(F)cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1[N+](=O)[O-] ZINC000843461872 643065876 /nfs/dbraw/zinc/06/58/76/643065876.db2.gz XRRGFRFSBJRUJN-LDYMZIIASA-N 0 1 292.270 0.884 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCCc1nccs1 ZINC000843461944 643066185 /nfs/dbraw/zinc/06/61/85/643066185.db2.gz YCTMZCCSHGUROH-ZJUUUORDSA-N 0 1 264.354 0.694 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCOc1ccccc1 ZINC000843462319 643066867 /nfs/dbraw/zinc/06/68/67/643066867.db2.gz PMKHFCBVATYMQE-DGCLKSJQSA-N 0 1 259.309 0.683 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001017821516 650825582 /nfs/dbraw/zinc/82/55/82/650825582.db2.gz XACKHHKMHBBRAG-OYQDBORRSA-N 0 1 274.364 0.577 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1CCO[C@H]1c1ccncc1 ZINC000846677608 643441960 /nfs/dbraw/zinc/44/19/60/643441960.db2.gz NXMWDAHZFJBHRA-SYQHCUMBSA-N 0 1 286.335 0.387 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1nnc[nH]1 ZINC001018153843 650845959 /nfs/dbraw/zinc/84/59/59/650845959.db2.gz ZXKJCKKTRWRXCV-TXEJJXNPSA-N 0 1 275.356 0.599 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)c1cccc2nc[nH]c21 ZINC000848135541 643605304 /nfs/dbraw/zinc/60/53/04/643605304.db2.gz FLVCQNAQGQZIPD-SNVBAGLBSA-N 0 1 274.280 0.673 20 30 CCEDMN N#CCCc1cn(CCNC(=O)C(F)(F)F)nn1 ZINC000849141450 643709973 /nfs/dbraw/zinc/70/99/73/643709973.db2.gz XBVHZBZHHXIIJJ-UHFFFAOYSA-N 0 1 261.207 0.413 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccc2c(c1)CCOC2 ZINC000850764233 643812026 /nfs/dbraw/zinc/81/20/26/643812026.db2.gz PDBZWUWGHWKXLX-OCCSQVGLSA-N 0 1 286.335 0.992 20 30 CCEDMN C[C@@H]1Cc2cc(C(=O)N[C@H]3CNC[C@H]3C#N)ccc2O1 ZINC000852827248 644014183 /nfs/dbraw/zinc/01/41/83/644014183.db2.gz FAORRJDMFNPTPG-WQAKAFBOSA-N 0 1 271.320 0.851 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1COC[C@H]1c1ccccc1 ZINC000852875178 644036619 /nfs/dbraw/zinc/03/66/19/644036619.db2.gz GJHNMSMDMVLHGB-QPSCCSFWSA-N 0 1 285.347 0.644 20 30 CCEDMN Cc1cnc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)cn1 ZINC001018630793 650886350 /nfs/dbraw/zinc/88/63/50/650886350.db2.gz SCHPTAVLVMBVLM-JQWIXIFHSA-N 0 1 273.340 0.635 20 30 CCEDMN Cc1ncc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC001018631674 650887092 /nfs/dbraw/zinc/88/70/92/650887092.db2.gz HOLPLXUUQWMQDD-ONGXEEELSA-N 0 1 262.313 0.833 20 30 CCEDMN Cc1nccnc1CN[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001018632909 650887388 /nfs/dbraw/zinc/88/73/88/650887388.db2.gz RCZRNIQCUZQXAV-PWSUYJOCSA-N 0 1 273.340 0.635 20 30 CCEDMN C[C@H]1CCCCN1CC(N)=NOCC(=O)N1CCOCC1 ZINC000111179081 644092550 /nfs/dbraw/zinc/09/25/50/644092550.db2.gz HUUWYSPMZYGUBJ-LBPRGKRZSA-N 0 1 298.387 0.008 20 30 CCEDMN CO[C@@H](C=Nn1c(=O)c(C)n[nH]c1=S)C1CC1 ZINC000853266787 644094867 /nfs/dbraw/zinc/09/48/67/644094867.db2.gz RRSOGPDHLSNHGK-QMMMGPOBSA-N 0 1 254.315 0.494 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](O)C(C)C)C1 ZINC001018798685 650909125 /nfs/dbraw/zinc/90/91/25/650909125.db2.gz FVVLOOMFNMGFON-WDEREUQCSA-N 0 1 260.765 0.946 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2nnc(C)o2)C1 ZINC001018806830 650910259 /nfs/dbraw/zinc/91/02/59/650910259.db2.gz IWOILJBNOPWEDM-JTQLQIEISA-N 0 1 284.747 0.864 20 30 CCEDMN Cc1cc(CN[C@H]2CCN(C(=O)C#CC3CC3)C2)nn1C ZINC001018826280 650913183 /nfs/dbraw/zinc/91/31/83/650913183.db2.gz BHXYRDFNCNHBAD-AWEZNQCLSA-N 0 1 286.379 0.832 20 30 CCEDMN N#Cc1cnn(C(=O)[C@H]2CCc3[nH]cnc3C2)c1N ZINC000857039996 644458588 /nfs/dbraw/zinc/45/85/88/644458588.db2.gz LOXUCUJEUGGFDB-ZETCQYMHSA-N 0 1 256.269 0.505 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCNC2=O)C1 ZINC001019234980 650949701 /nfs/dbraw/zinc/94/97/01/650949701.db2.gz XPLQALXTOXMGHJ-UWVGGRQHSA-N 0 1 271.748 0.066 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(C(N)=O)co2)C1 ZINC001019366030 650966310 /nfs/dbraw/zinc/96/63/10/650966310.db2.gz DBVJZVXXYVHSNJ-JTQLQIEISA-N 0 1 297.742 0.935 20 30 CCEDMN C#CC[NH2+][C@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC001019478964 650976945 /nfs/dbraw/zinc/97/69/45/650976945.db2.gz QRMCQLCSBJJFNT-NSHDSACASA-N 0 1 286.335 0.497 20 30 CCEDMN COC(=O)Cn1cc(C(C)=NNc2ccncc2C)nn1 ZINC000863157812 645064250 /nfs/dbraw/zinc/06/42/50/645064250.db2.gz UVVBWZWUJHDXPM-UHFFFAOYSA-N 0 1 288.311 0.413 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)N1CCCOCC1 ZINC000867952594 645687655 /nfs/dbraw/zinc/68/76/55/645687655.db2.gz YEOVDCAOZMHOOJ-OAHLLOKOSA-N 0 1 285.347 0.994 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)N[C@@H]1CCOC1 ZINC000867980591 645698096 /nfs/dbraw/zinc/69/80/96/645698096.db2.gz XFOCIRHDAOSJLO-OCCSQVGLSA-N 0 1 271.320 0.650 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@@H]1Cc2ccccc2O1 ZINC000876801396 646668838 /nfs/dbraw/zinc/66/88/38/646668838.db2.gz BTTCVWHPCLXFKA-AGIUHOORSA-N 0 1 271.320 0.608 20 30 CCEDMN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000876802146 646669100 /nfs/dbraw/zinc/66/91/00/646669100.db2.gz LZWLNPKTLBHLJX-NEJQNHLGSA-N 0 1 251.330 0.274 20 30 CCEDMN Cn1c(C(=O)N[C@@H]2CNC[C@H]2C#N)cc(=O)c2ccccc21 ZINC000876802100 646669223 /nfs/dbraw/zinc/66/92/23/646669223.db2.gz LQXABBMFJXAEPV-ZYHUDNBSSA-N 0 1 296.330 0.380 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnn(CC2CCCC2)c1 ZINC000876803844 646671632 /nfs/dbraw/zinc/67/16/32/646671632.db2.gz YCTDUFAVFLTMHN-JSGCOSHPSA-N 0 1 287.367 0.915 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NC/C=C/CO ZINC000876961974 646707759 /nfs/dbraw/zinc/70/77/59/646707759.db2.gz XTGVOZXMPHIDTL-VSZDKKFSSA-N 0 1 271.320 0.410 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCO[C@@H](C#N)C1 ZINC000878752840 646974063 /nfs/dbraw/zinc/97/40/63/646974063.db2.gz UBWSEEBUVHPZTF-NRUUGDAUSA-N 0 1 274.324 0.827 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@@H](O)CC2(O)CCCC2)C1 ZINC000878761429 646975298 /nfs/dbraw/zinc/97/52/98/646975298.db2.gz LHPJGQPJZIWVLZ-GXTWGEPZSA-N 0 1 268.357 0.393 20 30 CCEDMN C[C@@H]1CN(CCCN2CCOC2=O)C[C@H](C)N1CC#N ZINC000878775057 646977257 /nfs/dbraw/zinc/97/72/57/646977257.db2.gz ZSQWJNFBJFLWOO-BETUJISGSA-N 0 1 280.372 0.747 20 30 CCEDMN N#CCC1(CN(CC(N)=O)C2CCOCC2)CC1 ZINC000880395114 647192154 /nfs/dbraw/zinc/19/21/54/647192154.db2.gz GVLLGUHOZRXEBR-UHFFFAOYSA-N 0 1 251.330 0.647 20 30 CCEDMN C[C@@H](O)CN1CCN(C[C@@H](O)CC2(C#N)CCC2)CC1 ZINC000885983982 647889588 /nfs/dbraw/zinc/88/95/88/647889588.db2.gz UXHSGVKOFPRQDY-KGLIPLIRSA-N 0 1 281.400 0.430 20 30 CCEDMN C[C@H](O)CN1CCN(C[C@@H](O)CC2(C#N)CCC2)CC1 ZINC000885983981 647889780 /nfs/dbraw/zinc/88/97/80/647889780.db2.gz UXHSGVKOFPRQDY-KBPBESRZSA-N 0 1 281.400 0.430 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CCO[C@@](C)(C#N)C1 ZINC000887680402 648139893 /nfs/dbraw/zinc/13/98/93/648139893.db2.gz FBJZEJINUOZYLQ-OCCSQVGLSA-N 0 1 281.356 0.096 20 30 CCEDMN C[C@@H]1CN(CCO[C@@H]2CCOC2)C[C@H](C)N1CC#N ZINC000930829045 648911514 /nfs/dbraw/zinc/91/15/14/648911514.db2.gz PODZEFBRJVQEKV-HZSPNIEDSA-N 0 1 267.373 0.710 20 30 CCEDMN C[C@H](N[C@H]1C(=O)NCC1(C)C)C(=O)NC1(C#N)CCC1 ZINC000931656874 649015414 /nfs/dbraw/zinc/01/54/14/649015414.db2.gz VSGMNNPMXIFSPK-UWVGGRQHSA-N 0 1 278.356 0.052 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](C2OCCO2)C1 ZINC000932002523 649041236 /nfs/dbraw/zinc/04/12/36/649041236.db2.gz XJZLSPHBGJCCHW-NWDGAFQWSA-N 0 1 293.367 0.632 20 30 CCEDMN C[C@@]1(C(=O)NCCNC(O)=C2N=CC=CC2=O)C=CCC1 ZINC000932530755 649078890 /nfs/dbraw/zinc/07/88/90/649078890.db2.gz JIYSDMXMPMDAFF-RDRICISKSA-N 0 1 289.335 0.985 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCOC2(CCN(CCF)CC2)C1 ZINC000949054167 649409437 /nfs/dbraw/zinc/40/94/37/649409437.db2.gz VAVBTTTWPJSCFY-GFCCVEGCSA-N 0 1 283.347 0.809 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CCN2C(=O)c2ccn[nH]2)c[nH]1 ZINC000949485916 649426900 /nfs/dbraw/zinc/42/69/00/649426900.db2.gz PSRHSWMGKIHPBG-LLVKDONJSA-N 0 1 298.306 0.254 20 30 CCEDMN COC(=O)[C@@H]1CCC[C@H](C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC000246557055 649680025 /nfs/dbraw/zinc/68/00/25/649680025.db2.gz RGSLFPFSJAZBFY-UMNHJUIQSA-N 0 1 292.335 0.953 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(CC)[nH]n1 ZINC001017368739 649787515 /nfs/dbraw/zinc/78/75/15/649787515.db2.gz DQNMIVISMCWJHS-BETUJISGSA-N 0 1 272.352 0.894 20 30 CCEDMN Cc1cc(C#N)cc(N2C[C@@H]3[C@H](C2)OCCN3C)n1 ZINC000408109053 719468490 /nfs/dbraw/zinc/46/84/90/719468490.db2.gz WZQUQFVNXBRTNK-OLZOCXBDSA-N 0 1 258.325 0.781 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)C(CC)CC)CC1 ZINC001230485593 805604388 /nfs/dbraw/zinc/60/43/88/805604388.db2.gz JVOBKWYQXPNHDT-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCN1CCN(CCNC(=O)/C(C)=C/C)CC1 ZINC001266281367 790392598 /nfs/dbraw/zinc/39/25/98/790392598.db2.gz MOWPMZQJRDTFRY-WLRTZDKTSA-N 0 1 251.374 0.872 20 30 CCEDMN COC[C@@H](C)N1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038167803 790399322 /nfs/dbraw/zinc/39/93/22/790399322.db2.gz HYSORHYSXRIDPK-MFKMUULPSA-N 0 1 276.340 0.725 20 30 CCEDMN N#Cc1cccc(CN2CC[C@@H](NC(=O)CC(N)=O)C2)c1 ZINC001266310089 790484478 /nfs/dbraw/zinc/48/44/78/790484478.db2.gz DBAUYXXNYAZVEX-CYBMUJFWSA-N 0 1 286.335 0.124 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)CN(C)C(=O)C2CC2)C1 ZINC001266322641 790504873 /nfs/dbraw/zinc/50/48/73/790504873.db2.gz LCDYPUVBCZRTSV-LBPRGKRZSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CN(C)C(=O)C2CC2)C1 ZINC001266322641 790504879 /nfs/dbraw/zinc/50/48/79/790504879.db2.gz LCDYPUVBCZRTSV-LBPRGKRZSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN(C)CCNC(=O)c1nn(C)c(C)c1Cl ZINC001266330538 790522278 /nfs/dbraw/zinc/52/22/78/790522278.db2.gz YVFQSBGQIPUBSY-UHFFFAOYSA-N 0 1 268.748 0.677 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2c(C)cnn2C)C1 ZINC001266336025 790531734 /nfs/dbraw/zinc/53/17/34/790531734.db2.gz IQPVWWWFPYHELS-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCC[N@H+](C)CC#CCOC ZINC001266354619 790575913 /nfs/dbraw/zinc/57/59/13/790575913.db2.gz GZJUKCSTFQOVDP-UHFFFAOYSA-N 0 1 298.386 0.908 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCCN(C)CC#CCOC ZINC001266354619 790575920 /nfs/dbraw/zinc/57/59/20/790575920.db2.gz GZJUKCSTFQOVDP-UHFFFAOYSA-N 0 1 298.386 0.908 20 30 CCEDMN C=CCNC(=O)NC(C)(C)C(=O)N[C@@H]1CCN(CC=C)C1 ZINC001266360912 790585345 /nfs/dbraw/zinc/58/53/45/790585345.db2.gz FJBHWPRBFXPYMW-GFCCVEGCSA-N 0 1 294.399 0.627 20 30 CCEDMN C#CCC[N@H+](CC)CCNC(=O)c1ncccc1[O-] ZINC001266386127 790620570 /nfs/dbraw/zinc/62/05/70/790620570.db2.gz SNEKQAHBCPEMKL-UHFFFAOYSA-N 0 1 261.325 0.862 20 30 CCEDMN C#CCC[N@@H+](CC)CCNC(=O)c1ncccc1[O-] ZINC001266386127 790620574 /nfs/dbraw/zinc/62/05/74/790620574.db2.gz SNEKQAHBCPEMKL-UHFFFAOYSA-N 0 1 261.325 0.862 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@H]1CNC(=O)CC ZINC001266443520 790722115 /nfs/dbraw/zinc/72/21/15/790722115.db2.gz PBIKWHGJGUJJBI-NWDGAFQWSA-N 0 1 267.373 0.668 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001266476805 790772531 /nfs/dbraw/zinc/77/25/31/790772531.db2.gz CQMNWXWQLKFGNS-XJKSGUPXSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](CNC(=O)C2CCC2)C1 ZINC001266497857 790792943 /nfs/dbraw/zinc/79/29/43/790792943.db2.gz LXVPRMZDJDAVET-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN CCCN(CC#N)CCNC(=O)[C@@H]1CCCCN1C ZINC001266568691 790942294 /nfs/dbraw/zinc/94/22/94/790942294.db2.gz RSBNLVUHWUUARK-ZDUSSCGKSA-N 0 1 266.389 0.822 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@@H]1C[C@H]1C)C1CC1 ZINC001266581568 790975040 /nfs/dbraw/zinc/97/50/40/790975040.db2.gz GJSZXWNAAOIMDU-TZMCWYRMSA-N 0 1 264.369 0.873 20 30 CCEDMN N#CCS(=O)(=O)N[C@@H]1[C@H]2CN(Cc3ccccc3)C[C@H]21 ZINC000398249991 791085080 /nfs/dbraw/zinc/08/50/80/791085080.db2.gz HXGXMGFQHWWAER-WDNDVIMCSA-N 0 1 291.376 0.560 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H]2CN(CC(N)=O)CC[C@@H]21 ZINC001266659048 791092044 /nfs/dbraw/zinc/09/20/44/791092044.db2.gz LVCYYVPAQAEVSP-OLZOCXBDSA-N 0 1 279.384 0.751 20 30 CCEDMN C#CC[N@H+](CCCNC(=O)c1ncn[n-]1)Cc1cccnc1 ZINC001230871307 805679952 /nfs/dbraw/zinc/67/99/52/805679952.db2.gz BDUOVJSWACHXRP-UHFFFAOYSA-N 0 1 298.350 0.455 20 30 CCEDMN C=C(C)C[N@@H+](C)CCOCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001277480606 805714093 /nfs/dbraw/zinc/71/40/93/805714093.db2.gz BDMQGQORVFSRAP-UHFFFAOYSA-N 0 1 296.371 0.678 20 30 CCEDMN C=C(C)C[N@H+](C)CCOCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001277480606 805714100 /nfs/dbraw/zinc/71/41/00/805714100.db2.gz BDMQGQORVFSRAP-UHFFFAOYSA-N 0 1 296.371 0.678 20 30 CCEDMN C=C(C)C[N@@H+](C)CCOCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001277480606 805714108 /nfs/dbraw/zinc/71/41/08/805714108.db2.gz BDMQGQORVFSRAP-UHFFFAOYSA-N 0 1 296.371 0.678 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](CC)OC ZINC001231116039 805732822 /nfs/dbraw/zinc/73/28/22/805732822.db2.gz YECCUZNLNJCWNC-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CCN1CCn1cccn1 ZINC001231171169 805743437 /nfs/dbraw/zinc/74/34/37/805743437.db2.gz YMORQXLFCUIYIU-AWEZNQCLSA-N 0 1 292.383 0.618 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCC(=O)NCC ZINC001231198905 805748603 /nfs/dbraw/zinc/74/86/03/805748603.db2.gz UWMNLPPJVKTNFA-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@@H]1CCN1CCc1ccnn1C ZINC001231293126 805772066 /nfs/dbraw/zinc/77/20/66/805772066.db2.gz JUPIFUMWQGVANZ-JSGCOSHPSA-N 0 1 289.383 0.655 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001277522499 805809835 /nfs/dbraw/zinc/80/98/35/805809835.db2.gz CYOWIODRTMIIDL-MRXNPFEDSA-N 0 1 291.395 0.590 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2COCCO2)C1 ZINC001277555290 805842935 /nfs/dbraw/zinc/84/29/35/805842935.db2.gz NIYUMBQMFPKSSP-JSGCOSHPSA-N 0 1 282.384 0.899 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H]2CCNC2=O)C1 ZINC001277637280 805915441 /nfs/dbraw/zinc/91/54/41/805915441.db2.gz VXKSUXYIQGDOET-YPMHNXCESA-N 0 1 279.384 0.620 20 30 CCEDMN CN1C[C@@H]2CN(Cc3cc(C#N)ccn3)C[C@@H]2C1=O ZINC001232479072 805945725 /nfs/dbraw/zinc/94/57/25/805945725.db2.gz PIBBMIPHGLITEG-YPMHNXCESA-N 0 1 256.309 0.473 20 30 CCEDMN N#Cc1cnc(N2CC[C@H]3[C@@H]2CCN3Cc2cn[nH]c2)nc1 ZINC001277736923 805998530 /nfs/dbraw/zinc/99/85/30/805998530.db2.gz VIIIPGYIGNUXSU-KBPBESRZSA-N 0 1 295.350 0.925 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H](CC)NC(C)=O ZINC001266855592 791294815 /nfs/dbraw/zinc/29/48/15/791294815.db2.gz FHRNPYWMWBIRKO-KGLIPLIRSA-N 0 1 279.384 0.505 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NCc2cnnn2C)C1 ZINC001267006240 791436873 /nfs/dbraw/zinc/43/68/73/791436873.db2.gz DYGNMZOGAKUEJI-NSHDSACASA-N 0 1 263.345 0.472 20 30 CCEDMN CCO[C@H](C)C(=O)N1CCC[C@@H](N(C)CC#CCOC)C1 ZINC001267102264 791540172 /nfs/dbraw/zinc/54/01/72/791540172.db2.gz ZHHQOIOILHHJEL-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN COC(=O)[C@H](CS)Nc1cc2[nH]cnc2c(C#N)n1 ZINC001167619123 791636629 /nfs/dbraw/zinc/63/66/29/791636629.db2.gz WMTGYUSCPSWXMQ-QMMMGPOBSA-N 0 1 277.309 0.713 20 30 CCEDMN C=C(C)CCC(=O)NCCN(C)CCN1C(=O)CCC1=O ZINC001267197838 791660409 /nfs/dbraw/zinc/66/04/09/791660409.db2.gz ULPVYCYTCQIBMB-UHFFFAOYSA-N 0 1 295.383 0.540 20 30 CCEDMN COCC#CCN(C)CCNC(=O)Cc1occc1C ZINC001267214571 791684285 /nfs/dbraw/zinc/68/42/85/791684285.db2.gz CNAQZDHDRHQYHG-UHFFFAOYSA-N 0 1 278.352 0.828 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCn2c(C)ncc2C1 ZINC001267217481 791688423 /nfs/dbraw/zinc/68/84/23/791688423.db2.gz HXXOADMITZCCNE-CQSZACIVSA-N 0 1 288.395 0.825 20 30 CCEDMN C=CCC[N@H+](C)CCNC(=O)CS(=O)(=O)CC ZINC001267232156 791713083 /nfs/dbraw/zinc/71/30/83/791713083.db2.gz AXCSBGANMMWYFK-UHFFFAOYSA-N 0 1 262.375 0.045 20 30 CCEDMN C=CCCN(C)CCNC(=O)CS(=O)(=O)CC ZINC001267232156 791713084 /nfs/dbraw/zinc/71/30/84/791713084.db2.gz AXCSBGANMMWYFK-UHFFFAOYSA-N 0 1 262.375 0.045 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@H](C)NCc1cnc(C)o1 ZINC001277818946 806145968 /nfs/dbraw/zinc/14/59/68/806145968.db2.gz FRMANBXSYNILEE-LBPRGKRZSA-N 0 1 293.367 0.959 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@H](C)CC ZINC001234137241 806189472 /nfs/dbraw/zinc/18/94/72/806189472.db2.gz QIPSDXUSPUPULT-CHWSQXEVSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CCN(CC)CCNC(=O)C[C@@](C)(O)C1CC1 ZINC001267238307 793221598 /nfs/dbraw/zinc/22/15/98/793221598.db2.gz GRCYQXFSJGYTAF-CQSZACIVSA-N 0 1 252.358 0.609 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001267247847 793239849 /nfs/dbraw/zinc/23/98/49/793239849.db2.gz XKZWOXNHJQTANI-LBPRGKRZSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CCN(CC)CCNC(=O)C[C@H](C)n1cccn1 ZINC001267254139 793249168 /nfs/dbraw/zinc/24/91/68/793249168.db2.gz FDPCNHLASXFICM-ZDUSSCGKSA-N 0 1 262.357 0.906 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(=O)[C@@H](C)OC)C1=O ZINC001234601027 806282731 /nfs/dbraw/zinc/28/27/31/806282731.db2.gz AVJKDXYMCRKSNH-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)CCc1cnc[nH]1 ZINC001235122062 806373791 /nfs/dbraw/zinc/37/37/91/806373791.db2.gz GJCDGXJZCNLDEQ-ZDUSSCGKSA-N 0 1 260.341 0.508 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCN(C)[C@@H](C)C(N)=O ZINC001235212355 806388488 /nfs/dbraw/zinc/38/84/88/806388488.db2.gz FSTOCWVIQGAOBC-RYUDHWBXSA-N 0 1 285.388 0.280 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCN(C)[C@H](C)C(=O)NC ZINC001235229879 806391479 /nfs/dbraw/zinc/39/14/79/806391479.db2.gz QCAVMDVEILTVKC-OLZOCXBDSA-N 0 1 299.415 0.540 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCNC1=O ZINC001235263692 806399286 /nfs/dbraw/zinc/39/92/86/806399286.db2.gz XNPIAEDCKGLYJT-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCCC(=O)NCCCN(C)[C@H](C)C(=O)NC(=O)NC ZINC001235464111 806427217 /nfs/dbraw/zinc/42/72/17/806427217.db2.gz HJFSWLICEOWMLX-LLVKDONJSA-N 0 1 298.387 0.235 20 30 CCEDMN C=CCOCc1nn(C)c2c1CN(CCCO)CC2 ZINC001235544064 806450760 /nfs/dbraw/zinc/45/07/60/806450760.db2.gz IDRVDFKGXFPWEJ-UHFFFAOYSA-N 0 1 265.357 0.863 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235702514 806494592 /nfs/dbraw/zinc/49/45/92/806494592.db2.gz RAJUNFJTUFDAIX-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](NCc2ccc(C#N)cc2)CN1 ZINC001246099248 807152936 /nfs/dbraw/zinc/15/29/36/807152936.db2.gz SCSCXJDULHHJQU-STQMWFEESA-N 0 1 259.309 0.551 20 30 CCEDMN CN1CC2(C1)CN(Cc1cn3cc(C#N)ccc3n1)C2 ZINC001237607472 806699579 /nfs/dbraw/zinc/69/95/79/806699579.db2.gz BJNMRQJBVYTRMH-UHFFFAOYSA-N 0 1 267.336 0.953 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CN3CCC2CC3)c1 ZINC001120687467 798913897 /nfs/dbraw/zinc/91/38/97/798913897.db2.gz PWSVNVHKLQJHSL-AWEZNQCLSA-N 0 1 255.321 0.887 20 30 CCEDMN C#CCN(CC#C)c1nnc(C2=NC(=O)SC2)n1C ZINC001121350774 799034370 /nfs/dbraw/zinc/03/43/70/799034370.db2.gz KTCBVDLIOFIJAW-UHFFFAOYSA-N 0 1 273.321 0.717 20 30 CCEDMN N#Cc1ccc([N-]S(=O)(=O)CC[NH3+])c(F)c1F ZINC001237701267 806711660 /nfs/dbraw/zinc/71/16/60/806711660.db2.gz RMCPNBDUTBALMQ-UHFFFAOYSA-N 0 1 261.253 0.537 20 30 CCEDMN C=CCn1c(C(N)=O)nnc1N1CCN(CC)[C@H](C)C1 ZINC001121510602 799055019 /nfs/dbraw/zinc/05/50/19/799055019.db2.gz ZICGGXULCSXROV-SNVBAGLBSA-N 0 1 278.360 0.093 20 30 CCEDMN C#CCNCC(=O)N[C@H]1C[C@@H](OCC)C12CCC2 ZINC001121886996 799084063 /nfs/dbraw/zinc/08/40/63/799084063.db2.gz HKBVRKBBKRIOLX-NWDGAFQWSA-N 0 1 250.342 0.673 20 30 CCEDMN CC(C)n1ccc(CC(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC001121905202 799089731 /nfs/dbraw/zinc/08/97/31/799089731.db2.gz HYEVKSCFMXNFOP-ZYHUDNBSSA-N 0 1 261.329 0.234 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc(N(C)C)cc1 ZINC001121915506 799092261 /nfs/dbraw/zinc/09/22/61/799092261.db2.gz GGEROTHGUQRYCR-UHFFFAOYSA-N 0 1 259.353 0.634 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCC[C@@H]1Cc1cnn(C)c1 ZINC001122148915 799155480 /nfs/dbraw/zinc/15/54/80/799155480.db2.gz VEPXGSLICMPFMM-ZIAGYGMSSA-N 0 1 274.368 0.470 20 30 CCEDMN COCC(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001122317085 799196132 /nfs/dbraw/zinc/19/61/32/799196132.db2.gz RLKFKICOZVXNOX-UHFFFAOYSA-N 0 1 264.300 0.529 20 30 CCEDMN C#CCNCC(=O)NCCCOc1cccc(F)c1 ZINC001122414434 799227289 /nfs/dbraw/zinc/22/72/89/799227289.db2.gz QMXGXNDQSQQBEC-UHFFFAOYSA-N 0 1 264.300 0.934 20 30 CCEDMN N#C[C@@H](C(=O)CCn1ccccc1=O)c1ccncn1 ZINC001122722012 799290223 /nfs/dbraw/zinc/29/02/23/799290223.db2.gz SGQKCRPFELJBCH-LLVKDONJSA-N 0 1 268.276 0.905 20 30 CCEDMN N#Cc1ccc([N-]S(=O)(=O)CC[NH3+])c2cccnc12 ZINC001237987454 806740913 /nfs/dbraw/zinc/74/09/13/806740913.db2.gz TYGPYELZATVQGG-UHFFFAOYSA-N 0 1 276.321 0.807 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1cc[n+]([O-])cc1 ZINC001123039744 799342530 /nfs/dbraw/zinc/34/25/30/799342530.db2.gz IJKBVBXWSAXQPC-UHFFFAOYSA-N 0 1 296.330 0.711 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc[n+]([O-])cc1 ZINC001123041527 799342550 /nfs/dbraw/zinc/34/25/50/799342550.db2.gz YMRKZVMOHSLDQO-UHFFFAOYSA-N 0 1 255.705 0.392 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H]2CC(=O)N(CCC)C2)CC1 ZINC001123249756 799378513 /nfs/dbraw/zinc/37/85/13/799378513.db2.gz CALKRYVVADDDHU-CYBMUJFWSA-N 0 1 292.379 0.886 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001123651734 799448725 /nfs/dbraw/zinc/44/87/25/799448725.db2.gz DGFUNKNZOKNBKM-CRWXNKLISA-N 0 1 296.415 0.263 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)c1ccc(C)cc1 ZINC001278187862 806749151 /nfs/dbraw/zinc/74/91/51/806749151.db2.gz ZJMQLSOCIIYXCR-OAHLLOKOSA-N 0 1 290.363 0.325 20 30 CCEDMN CC(C)[C@@H](C#N)NC(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001123790583 799474862 /nfs/dbraw/zinc/47/48/62/799474862.db2.gz URHZKRUCRZTKTE-TUAOUCFPSA-N 0 1 250.346 0.039 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cocn1 ZINC001124779048 799634732 /nfs/dbraw/zinc/63/47/32/799634732.db2.gz WWLSZSRBKLFYDO-UHFFFAOYSA-N 0 1 274.118 0.903 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](C)c1ccc(F)cc1F ZINC001278201328 806770143 /nfs/dbraw/zinc/77/01/43/806770143.db2.gz FBVDZMUPXQRHKU-ZYHUDNBSSA-N 0 1 296.317 0.768 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C(C)(CC)CC ZINC001278203078 806771432 /nfs/dbraw/zinc/77/14/32/806771432.db2.gz XZMCJVVVASXDEG-GFCCVEGCSA-N 0 1 254.374 0.903 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)CCN1CC[C@@H](F)C1 ZINC001138991149 799773274 /nfs/dbraw/zinc/77/32/74/799773274.db2.gz JNJVMSWWRWSRNC-NEPJUHHUSA-N 0 1 256.321 0.311 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CN2CCCCC2=O)C1 ZINC001276398284 799797308 /nfs/dbraw/zinc/79/73/08/799797308.db2.gz XELBTUSISCZPAE-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCn1cc(CN2CC(N(C)[C@H]3CCOC3)C2)cn1 ZINC001139772322 799839854 /nfs/dbraw/zinc/83/98/54/799839854.db2.gz FDHCRHUBOWAGLC-AWEZNQCLSA-N 0 1 276.384 0.974 20 30 CCEDMN COc1ccc(OC)c(NC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000841008574 800064368 /nfs/dbraw/zinc/06/43/68/800064368.db2.gz VGDHLZCWQGNVOZ-SKDRFNHKSA-N 0 1 290.323 0.937 20 30 CCEDMN Cc1csc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000841008334 800064492 /nfs/dbraw/zinc/06/44/92/800064492.db2.gz XPVQJRGFKASYNV-JGVFFNPUSA-N 0 1 251.315 0.685 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CCCCC(N)=O)C1 ZINC001150554800 800346725 /nfs/dbraw/zinc/34/67/25/800346725.db2.gz WITXPAWMWILMEL-ZDUSSCGKSA-N 0 1 297.399 0.425 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)c2cnon2)CC1 ZINC001151367596 800420012 /nfs/dbraw/zinc/42/00/12/800420012.db2.gz MOFPFDTUTBXZLI-UHFFFAOYSA-N 0 1 292.339 0.304 20 30 CCEDMN CC(C)C[C@H](NC(=O)c1[nH]nc2ccncc21)C(=O)NO ZINC001152105904 800490935 /nfs/dbraw/zinc/49/09/35/800490935.db2.gz BLBSZJPSASCWBL-JTQLQIEISA-N 0 1 291.311 0.608 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(C(N)=O)cs1 ZINC001152591087 800541639 /nfs/dbraw/zinc/54/16/39/800541639.db2.gz YWLYKHLZUHHDTI-UHFFFAOYSA-N 0 1 287.772 0.919 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1cnnn1CC ZINC001153080806 800594139 /nfs/dbraw/zinc/59/41/39/800594139.db2.gz FQEUJUPDLWFUSZ-UHFFFAOYSA-N 0 1 281.360 0.097 20 30 CCEDMN N#CCCN1CCN(C(=O)c2n[nH]c3cccnc32)CC1 ZINC001153151707 800602308 /nfs/dbraw/zinc/60/23/08/800602308.db2.gz SXPPOJYJZGIJLD-UHFFFAOYSA-N 0 1 284.323 0.629 20 30 CCEDMN CC(C)CN(Cc1nn[nH]n1)C(=O)CC1(C#N)CC1 ZINC001153811334 800670169 /nfs/dbraw/zinc/67/01/69/800670169.db2.gz IEOREGZTEAUKBY-UHFFFAOYSA-N 0 1 262.317 0.878 20 30 CCEDMN COc1cc2[nH]ncc2cc1C(=O)N1CCOC[C@@H]1C#N ZINC001154795106 800847025 /nfs/dbraw/zinc/84/70/25/800847025.db2.gz NGVIIORWLQWTBL-JTQLQIEISA-N 0 1 286.291 0.936 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2ncc(F)cc2C#N)CC1 ZINC001155154860 800920663 /nfs/dbraw/zinc/92/06/63/800920663.db2.gz RIGLHQLPXUNAIF-GFCCVEGCSA-N 0 1 292.314 0.809 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2cc(C)nc(C#N)n2)CC1 ZINC001155158997 800921908 /nfs/dbraw/zinc/92/19/08/800921908.db2.gz GZECNWPLJYMSDU-ZDUSSCGKSA-N 0 1 289.339 0.373 20 30 CCEDMN C=CCCC(=O)NCCCNCc1cnn(CC)n1 ZINC001155579923 801015635 /nfs/dbraw/zinc/01/56/35/801015635.db2.gz OVRKPAVZEMSVQL-UHFFFAOYSA-N 0 1 265.361 0.860 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1ncnn1CCF ZINC001155724623 801043825 /nfs/dbraw/zinc/04/38/25/801043825.db2.gz BRDTUGRMYAWLKJ-UHFFFAOYSA-N 0 1 299.350 0.046 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cccc2cncn21 ZINC001155793907 801052136 /nfs/dbraw/zinc/05/21/36/801052136.db2.gz ZRSMPZBQXQPVLY-NSHDSACASA-N 0 1 256.265 0.699 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1nnnn1C(C)(C)C ZINC001155828482 801060599 /nfs/dbraw/zinc/06/05/99/801060599.db2.gz OKBZTZREWIEALS-SNVBAGLBSA-N 0 1 293.375 0.184 20 30 CCEDMN N#Cc1c[nH]c2ncnc(NCCNC(=O)C(F)(F)F)c12 ZINC001156197448 801127582 /nfs/dbraw/zinc/12/75/82/801127582.db2.gz XUVQJRGSSGPRLH-UHFFFAOYSA-N 0 1 298.228 0.920 20 30 CCEDMN CC1(C)C(=O)NCCN1C(=O)c1n[nH]c2cc(C#N)ccc21 ZINC001156883408 801253135 /nfs/dbraw/zinc/25/31/35/801253135.db2.gz LBYXQBOQSXUSEB-UHFFFAOYSA-N 0 1 297.318 0.785 20 30 CCEDMN O=C(C#CC1CC1)NCCCNCc1ncnn1CCF ZINC001157705301 801459299 /nfs/dbraw/zinc/45/92/99/801459299.db2.gz VTMPICMGHPVKIN-UHFFFAOYSA-N 0 1 293.346 0.257 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN[C@@H](C)c1nncn1C ZINC001157874601 801507816 /nfs/dbraw/zinc/50/78/16/801507816.db2.gz UUFQQWOSAAOYGG-RYUDHWBXSA-N 0 1 293.371 0.010 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCN[C@@H](C)c1nnc(C)[nH]1 ZINC001157874501 801508366 /nfs/dbraw/zinc/50/83/66/801508366.db2.gz QJBPBYUFHBVNFR-WDEREUQCSA-N 0 1 293.371 0.308 20 30 CCEDMN CCOC(=O)C1=C=CC(=NC[C@H]2CC(O)N(C)C2)N=C1 ZINC001157905939 801515817 /nfs/dbraw/zinc/51/58/17/801515817.db2.gz ZHKRNSLNAUNEQW-SNVBAGLBSA-N 0 1 275.308 0.055 20 30 CCEDMN CN(CC#N)C[C@H]1CCCCN1C(=O)CCc1c[nH]nn1 ZINC001158491097 801659110 /nfs/dbraw/zinc/65/91/10/801659110.db2.gz JBEDPFVLJQLGGO-CYBMUJFWSA-N 0 1 290.371 0.574 20 30 CCEDMN CN(CC#N)C[C@H]1CCCCN1C(=O)CCc1cnn[nH]1 ZINC001158491097 801659115 /nfs/dbraw/zinc/65/91/15/801659115.db2.gz JBEDPFVLJQLGGO-CYBMUJFWSA-N 0 1 290.371 0.574 20 30 CCEDMN CCOC(=O)C1(Nc2cncc(C#N)n2)CCN(C)CC1 ZINC001158568395 801667177 /nfs/dbraw/zinc/66/71/77/801667177.db2.gz FMBTVIXBEVLTAK-UHFFFAOYSA-N 0 1 289.339 0.788 20 30 CCEDMN C=C[C@@H](CO)Nc1ncnc2[nH]c(C(=O)OC)cc21 ZINC001158813205 801709540 /nfs/dbraw/zinc/70/95/40/801709540.db2.gz JAPGEYFPVAFPMK-ZETCQYMHSA-N 0 1 262.269 0.125 20 30 CCEDMN CN1CC2(C1)CN(c1nc3c(cc1C#N)COCC3)C2 ZINC001158868477 801718536 /nfs/dbraw/zinc/71/85/36/801718536.db2.gz LTGNLQKSMPYKQN-UHFFFAOYSA-N 0 1 270.336 0.778 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cncn2C)CC1 ZINC001160624587 801982765 /nfs/dbraw/zinc/98/27/65/801982765.db2.gz TTWTUHKNBUMXMK-UHFFFAOYSA-N 0 1 272.352 0.805 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@@H](NC(=O)OCC=C)C1 ZINC001161537407 802173636 /nfs/dbraw/zinc/17/36/36/802173636.db2.gz XSZLMTCJIHFPIJ-RISCZKNCSA-N 0 1 279.340 0.240 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C(=O)NCC2CC2)CC1 ZINC001161579567 802185939 /nfs/dbraw/zinc/18/59/39/802185939.db2.gz VZFVCXFHBSLXPZ-UHFFFAOYSA-N 0 1 289.379 0.284 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@@H]2CC[C@@H]([S@](C)=O)C2)CC1 ZINC001161877730 802237164 /nfs/dbraw/zinc/23/71/64/802237164.db2.gz MTOVSZGEOIAEOL-JZKQVHKSSA-N 0 1 296.436 0.747 20 30 CCEDMN N#Cc1cnc(N2CCCN(CCO)CC2)c(F)c1 ZINC001162438494 802339268 /nfs/dbraw/zinc/33/92/68/802339268.db2.gz XTXVONIJSZFMRF-UHFFFAOYSA-N 0 1 264.304 0.597 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1nc(C)cc(C#N)n1 ZINC001162531255 802361272 /nfs/dbraw/zinc/36/12/72/802361272.db2.gz ATFGGFUTFBOUSM-UHFFFAOYSA-N 0 1 286.295 0.478 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2csc(=O)[nH]2)C1 ZINC001278799770 808267649 /nfs/dbraw/zinc/26/76/49/808267649.db2.gz GGFFZQHVAMKKOK-CYBMUJFWSA-N 0 1 295.364 0.039 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CCCCC ZINC001278844005 808321846 /nfs/dbraw/zinc/32/18/46/808321846.db2.gz BITFMVFEBKTSLW-CYBMUJFWSA-N 0 1 254.374 0.951 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cncc(F)c1 ZINC001278850022 808332563 /nfs/dbraw/zinc/33/25/63/808332563.db2.gz MMAZGIXQCWKACL-CYBMUJFWSA-N 0 1 279.315 0.219 20 30 CCEDMN C[C@@H]1[C@@H](C)N(c2cnc(C#N)c(C#N)n2)CCN1C ZINC001162942992 802441928 /nfs/dbraw/zinc/44/19/28/802441928.db2.gz IKSLWIOQNMQRPF-NXEZZACHSA-N 0 1 256.313 0.749 20 30 CCEDMN Cc1c(C#N)ccnc1NCc1nnc2n1CCCNC2 ZINC001163011471 802456753 /nfs/dbraw/zinc/45/67/53/802456753.db2.gz JROFZRVSXYNADW-UHFFFAOYSA-N 0 1 283.339 0.959 20 30 CCEDMN C=CCS(=O)(=O)Nc1nnc(C(F)(F)F)n1C ZINC001259922132 808803947 /nfs/dbraw/zinc/80/39/47/808803947.db2.gz MBPLCYQIJARCKR-UHFFFAOYSA-N 0 1 270.236 0.762 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2cn(C)nn2)cc1 ZINC001163728600 802599830 /nfs/dbraw/zinc/59/98/30/802599830.db2.gz AYIUXFKAOVHXMZ-UHFFFAOYSA-N 0 1 297.362 0.706 20 30 CCEDMN CC(=O)CC(C)=Nc1ncc([N+](=O)[O-])cc1C(N)=O ZINC001163732979 802600711 /nfs/dbraw/zinc/60/07/11/802600711.db2.gz CFEDGPVHHDQEHO-UTCJRWHESA-N 0 1 264.241 0.993 20 30 CCEDMN CC(=O)C=C(C)Nc1ccc(CS(N)(=O)=O)cn1 ZINC001163738184 802601737 /nfs/dbraw/zinc/60/17/37/802601737.db2.gz TWZZNKDZTUSFCQ-YVMONPNESA-N 0 1 269.326 0.775 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCNCc1nnnn1C1CC1 ZINC001163948259 802639047 /nfs/dbraw/zinc/63/90/47/802639047.db2.gz BUYROXSJQDBBBS-UHFFFAOYSA-N 0 1 292.387 0.816 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCN(CCc2ccnn2C)C1 ZINC001265282340 809745227 /nfs/dbraw/zinc/74/52/27/809745227.db2.gz SKHIAAMWHADEDG-CQSZACIVSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CC[NH2+]CC1(CCNC(=O)c2ncccc2[O-])CC1 ZINC001164251960 802697341 /nfs/dbraw/zinc/69/73/41/802697341.db2.gz AAYOIGIISAYWKR-UHFFFAOYSA-N 0 1 273.336 0.910 20 30 CCEDMN COc1cc(N2CC(N(C)[C@@H]3CCOC3)C2)ncc1C#N ZINC001165204137 802794478 /nfs/dbraw/zinc/79/44/78/802794478.db2.gz VCAWOFMRVDXXOV-GFCCVEGCSA-N 0 1 288.351 0.871 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CCN(CCn2cncn2)C1 ZINC001267753542 811747771 /nfs/dbraw/zinc/74/77/71/811747771.db2.gz FNRJDRGZLHHALX-CYBMUJFWSA-N 0 1 293.371 0.061 20 30 CCEDMN COCc1nn(-c2ncc(C#N)c(OC)n2)c2c1CNC2 ZINC001165632571 802857948 /nfs/dbraw/zinc/85/79/48/802857948.db2.gz SRJVRCILZPKAJJ-UHFFFAOYSA-N 0 1 286.295 0.292 20 30 CCEDMN COCc1nn(-c2ncc3c(C#N)c[nH]c3n2)c2c1CNC2 ZINC001165633993 802858510 /nfs/dbraw/zinc/85/85/10/802858510.db2.gz YWVFAMMAVXSXCX-UHFFFAOYSA-N 0 1 295.306 0.765 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCN[C@H](C)c2nnnn2C)C1 ZINC001166238722 802895105 /nfs/dbraw/zinc/89/51/05/802895105.db2.gz FPVLOKIXBRQTPS-LLVKDONJSA-N 0 1 292.387 0.723 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cc(C)ncn1 ZINC001268234129 812392220 /nfs/dbraw/zinc/39/22/20/812392220.db2.gz DCIZYKMJWYGTOA-UHFFFAOYSA-N 0 1 260.341 0.812 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COC2CCCC2)[C@H](OC)C1 ZINC001211939192 814936534 /nfs/dbraw/zinc/93/65/34/814936534.db2.gz PDXOPDJVBOVKKW-HUUCEWRRSA-N 0 1 294.395 0.784 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)CCOC)C1 ZINC001205730551 803166428 /nfs/dbraw/zinc/16/64/28/803166428.db2.gz UIIVKAIDGDJUCY-CHWSQXEVSA-N 0 1 268.357 0.109 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CC)OC)C1 ZINC001206515372 803256541 /nfs/dbraw/zinc/25/65/41/803256541.db2.gz CXGYBKOQEBLWGG-JHJVBQTASA-N 0 1 252.358 0.871 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCN2CCNC2=O)C[C@H]1C ZINC001206536874 803263477 /nfs/dbraw/zinc/26/34/77/803263477.db2.gz BZIDNCCAQBMKTC-CHWSQXEVSA-N 0 1 294.399 0.414 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN([C@H](C)C(=O)NC)C[C@H]1C ZINC001206913575 803306804 /nfs/dbraw/zinc/30/68/04/803306804.db2.gz QIZDXFMOOWRCRG-JHJVBQTASA-N 0 1 279.384 0.361 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(COC)CCOC2)C1 ZINC001208190040 803497226 /nfs/dbraw/zinc/49/72/26/803497226.db2.gz VAIYALFCJLVISN-FMKPAKJESA-N 0 1 294.395 0.499 20 30 CCEDMN Cn1ccc(CNC[C@H](NC(=O)C#CC2CC2)C2CC2)n1 ZINC001276584495 803543250 /nfs/dbraw/zinc/54/32/50/803543250.db2.gz WBARMOQWAVRNBL-HNNXBMFYSA-N 0 1 286.379 0.818 20 30 CCEDMN N#Cc1ccc(CCCN2CCO[C@H](C(N)=O)C2)cc1 ZINC001208862590 803550767 /nfs/dbraw/zinc/55/07/67/803550767.db2.gz JQUOCYCHWOEGRW-AWEZNQCLSA-N 0 1 273.336 0.677 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](NC(=O)c2[nH]nnc2C)[C@H](OC)C1 ZINC001213251003 803685992 /nfs/dbraw/zinc/68/59/92/803685992.db2.gz XTDHTOUOOJMSFO-VXGBXAGGSA-N 0 1 293.371 0.508 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](NC(=O)c2[n-]nnc2C)[C@H](OC)C1 ZINC001213251003 803685997 /nfs/dbraw/zinc/68/59/97/803685997.db2.gz XTDHTOUOOJMSFO-VXGBXAGGSA-N 0 1 293.371 0.508 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](NC(=O)c2[n-]nnc2C)[C@H](OC)C1 ZINC001213251003 803686000 /nfs/dbraw/zinc/68/60/00/803686000.db2.gz XTDHTOUOOJMSFO-VXGBXAGGSA-N 0 1 293.371 0.508 20 30 CCEDMN Cc1nc(CNS(=O)(=O)CC(C)(C)C#N)n[nH]1 ZINC001213955802 803708097 /nfs/dbraw/zinc/70/80/97/803708097.db2.gz WXOOQCHFPCOJCL-UHFFFAOYSA-N 0 1 257.319 0.082 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COCCC=C)[C@H](OC)C1 ZINC001213959553 803708206 /nfs/dbraw/zinc/70/82/06/803708206.db2.gz QFCXWTNVCYJDOZ-ZIAGYGMSSA-N 0 1 280.368 0.418 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2nonc2C)[C@H](OC)C1 ZINC001213981858 803709509 /nfs/dbraw/zinc/70/95/09/803709509.db2.gz OXROSPOKVPVINM-VXGBXAGGSA-N 0 1 294.355 0.773 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COC(C)C)[C@H](OC)C1 ZINC001211984180 814960819 /nfs/dbraw/zinc/96/08/19/814960819.db2.gz YPKZWHGFQZRLNL-CHWSQXEVSA-N 0 1 268.357 0.250 20 30 CCEDMN CN(CCN(C)c1ccc(C#N)nc1)C(=O)Cc1ncn[nH]1 ZINC001105470140 814967713 /nfs/dbraw/zinc/96/77/13/814967713.db2.gz KNMJTVKVZSPGGJ-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3nn[n-]c3n2)[C@H]1C ZINC001088605858 814970568 /nfs/dbraw/zinc/97/05/68/814970568.db2.gz LVPDJOSMPNPERA-ZJUUUORDSA-N 0 1 284.323 0.179 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)[C@H]1C ZINC001088605858 814970571 /nfs/dbraw/zinc/97/05/71/814970571.db2.gz LVPDJOSMPNPERA-ZJUUUORDSA-N 0 1 284.323 0.179 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)COCC=C)[C@H]2C1 ZINC001217537858 803894655 /nfs/dbraw/zinc/89/46/55/803894655.db2.gz LPPAWVUQMXABDZ-UONOGXRCSA-N 0 1 278.352 0.124 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)COC(C)(C)C)[C@H]2C1 ZINC001217675582 803899874 /nfs/dbraw/zinc/89/98/74/803899874.db2.gz VWRSKJRQUQRWSA-UONOGXRCSA-N 0 1 294.395 0.736 20 30 CCEDMN CCN1C[C@H]2OCCN(C(=O)C#CC(C)(C)C)[C@H]2C1 ZINC001217852605 803921564 /nfs/dbraw/zinc/92/15/64/803921564.db2.gz NEPHMLOGYDUVEG-QWHCGFSZSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)CSC)[C@H](OC)C1 ZINC001212035852 814980851 /nfs/dbraw/zinc/98/08/51/814980851.db2.gz CZXFFWIQBJQKTA-YNEHKIRRSA-N 0 1 284.425 0.824 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cncs3)[C@@H]2C1 ZINC001075916275 814990981 /nfs/dbraw/zinc/99/09/81/814990981.db2.gz JCSUDTTWYUZIGM-WCQYABFASA-N 0 1 275.377 0.852 20 30 CCEDMN COc1cccc(NC(=O)[C@@H](N)CC[S@](C)=O)c1C#N ZINC001218979097 804173032 /nfs/dbraw/zinc/17/30/32/804173032.db2.gz VXEZFMILQSWEPR-FVINQWEUSA-N 0 1 295.364 0.601 20 30 CCEDMN C#CCC[N@H+]1C[C@H]2OCCN(C(=O)C[NH+](C)C(C)C)[C@H]2C1 ZINC001219054517 804187046 /nfs/dbraw/zinc/18/70/46/804187046.db2.gz FEDVQLTTYCWVRV-LSDHHAIUSA-N 0 1 293.411 0.262 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)OCCCC)[C@@H](O)C1 ZINC001219322468 804271155 /nfs/dbraw/zinc/27/11/55/804271155.db2.gz VCQYNACRFPVAHQ-MCIONIFRSA-N 0 1 282.384 0.376 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@H]2CCCCO2)C[C@@H]1O ZINC001219344571 804278777 /nfs/dbraw/zinc/27/87/77/804278777.db2.gz PVNRNNXRVZDJFD-MCIONIFRSA-N 0 1 282.384 0.683 20 30 CCEDMN C#Cc1ccc(NC(=O)[C@@H](N)CC[S@](C)=O)cc1F ZINC001219384802 804294579 /nfs/dbraw/zinc/29/45/79/804294579.db2.gz XFYAXDJISCZPIC-BUXKBTBVSA-N 0 1 282.340 0.841 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219497792 804332176 /nfs/dbraw/zinc/33/21/76/804332176.db2.gz ZPBYYSRSVVTQGP-YPMHNXCESA-N 0 1 291.351 0.528 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001219497792 804332186 /nfs/dbraw/zinc/33/21/86/804332186.db2.gz ZPBYYSRSVVTQGP-YPMHNXCESA-N 0 1 291.351 0.528 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CN(CC(=C)C)C[C@@H]1O ZINC001219535574 804341132 /nfs/dbraw/zinc/34/11/32/804341132.db2.gz BUWLVNLAIBHZOK-MBNYWOFBSA-N 0 1 268.357 0.051 20 30 CCEDMN CC(C)N1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219589876 804362695 /nfs/dbraw/zinc/36/26/95/804362695.db2.gz MKNPKHFHQRAUKS-NEPJUHHUSA-N 0 1 252.358 0.606 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NC1(C#N)CCC1 ZINC001219606608 804372712 /nfs/dbraw/zinc/37/27/12/804372712.db2.gz POHAGWGDQSNMTL-ZDUSSCGKSA-N 0 1 268.320 0.991 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(CC=C)CC=C)[C@@H](O)C1 ZINC001219689735 804405066 /nfs/dbraw/zinc/40/50/66/804405066.db2.gz HCUWFDNQFBEJNH-CABCVRRESA-N 0 1 276.380 0.939 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@@H]1O ZINC001220133837 804507855 /nfs/dbraw/zinc/50/78/55/804507855.db2.gz OVUMMRPKIBNNOE-XQQFMLRXSA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@@H]1O ZINC001220247460 804547667 /nfs/dbraw/zinc/54/76/67/804547667.db2.gz CQFRIXFBNCQHHF-XQQFMLRXSA-N 0 1 270.373 0.539 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@@H]1O ZINC001220436487 804604137 /nfs/dbraw/zinc/60/41/37/804604137.db2.gz XIOYMPPDWCQFTM-UTUOFQBUSA-N 0 1 270.373 0.131 20 30 CCEDMN C#C[C@@H](C)NC(=O)[C@@H](N)CC(=O)OC(C)(CC)CC ZINC001220458412 804608825 /nfs/dbraw/zinc/60/88/25/804608825.db2.gz QEISWXCYXHJSIV-MNOVXSKESA-N 0 1 268.357 0.964 20 30 CCEDMN C#C[C@H](C)NC(=O)[C@@H](N)CC(=O)OC(C)(CC)CC ZINC001220458413 804608856 /nfs/dbraw/zinc/60/88/56/804608856.db2.gz QEISWXCYXHJSIV-QWRGUYRKSA-N 0 1 268.357 0.964 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2nocc2C)[C@@H](O)C1 ZINC001220688569 804649796 /nfs/dbraw/zinc/64/97/96/804649796.db2.gz KQBHKKUJXLNBHB-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C(C)C)C[C@@H]2O)CCOCC1 ZINC001220868054 804684019 /nfs/dbraw/zinc/68/40/19/804684019.db2.gz QJPIPTZLBWKLAL-KGLIPLIRSA-N 0 1 296.411 0.929 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccc(OC)c(OC)n1 ZINC001220955954 804708173 /nfs/dbraw/zinc/70/81/73/804708173.db2.gz SDWWSJMOFHZXTE-ZDUSSCGKSA-N 0 1 263.297 0.066 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCOC)C[C@@H]2O)CCCC1 ZINC001221191021 804742502 /nfs/dbraw/zinc/74/25/02/804742502.db2.gz DQVNTSZCTFVXEP-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CC1(NC(=O)[C@H](CC(C)C)NC(=O)CN)CCCCC1 ZINC001221276401 804761731 /nfs/dbraw/zinc/76/17/31/804761731.db2.gz XSGSGUIKAWGKBL-ZDUSSCGKSA-N 0 1 293.411 0.928 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001221446634 804819578 /nfs/dbraw/zinc/81/95/78/804819578.db2.gz PMWSARYNOAGFHS-BFHYXJOUSA-N 0 1 277.368 0.881 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)c3ncc[nH]3)[C@@H]2C1 ZINC001221526484 804828649 /nfs/dbraw/zinc/82/86/49/804828649.db2.gz NTGCNYLOVLMGLO-CHWSQXEVSA-N 0 1 290.367 0.759 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H](C)CC(N)=O)[C@@H]2C1 ZINC001221736867 804837675 /nfs/dbraw/zinc/83/76/75/804837675.db2.gz HFEMNMWUIRTIMH-YNEHKIRRSA-N 0 1 277.368 0.054 20 30 CCEDMN CCOCC(=O)NCC1CCN(CC#CCOC)CC1 ZINC001222313010 804891352 /nfs/dbraw/zinc/89/13/52/804891352.db2.gz RKSWTNQDBRAKDO-UHFFFAOYSA-N 0 1 282.384 0.501 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)[C@H]1C ZINC001088635907 815042872 /nfs/dbraw/zinc/04/28/72/815042872.db2.gz WBTUKYLGIDHDCI-LPWJVIDDSA-N 0 1 272.352 0.725 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)C1=CCOCC1 ZINC001276834248 804930422 /nfs/dbraw/zinc/93/04/22/804930422.db2.gz JLCSQLRPTDZJHC-CQSZACIVSA-N 0 1 280.368 0.726 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](C)NC(=O)CC)C[C@@H]21 ZINC001222735989 804931135 /nfs/dbraw/zinc/93/11/35/804931135.db2.gz LMBRUWMPTBGVQZ-MCIONIFRSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C[C@H](C)OC)C2)C1 ZINC001276855733 804944095 /nfs/dbraw/zinc/94/40/95/804944095.db2.gz RKJNVMZLNWDJJO-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN CC(C)C#CC(=O)NCC1CCN([C@H]2CCNC2=O)CC1 ZINC001223113970 804955013 /nfs/dbraw/zinc/95/50/13/804955013.db2.gz FUIAYZYNOXIEIS-AWEZNQCLSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)[C@H]1C ZINC001088633517 815044089 /nfs/dbraw/zinc/04/40/89/815044089.db2.gz PAYIEGRQONOZBC-VOAKCMCISA-N 0 1 266.341 0.003 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cncs2)[C@H]1C ZINC001088641118 815044764 /nfs/dbraw/zinc/04/47/64/815044764.db2.gz KCTAIFCKGUNBKA-PWSUYJOCSA-N 0 1 263.366 0.898 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NC[C@@H]1CCN1CC#N ZINC001276939106 805002178 /nfs/dbraw/zinc/00/21/78/805002178.db2.gz CZWOCEMJXKWVPI-PWSUYJOCSA-N 0 1 261.329 0.302 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccc(C(N)=O)c2)[C@H]1C ZINC001088669297 815053592 /nfs/dbraw/zinc/05/35/92/815053592.db2.gz RHDPPIJGJBNCPK-RISCZKNCSA-N 0 1 285.347 0.611 20 30 CCEDMN C[N@H+]1[C@@H]2C[C@H](Oc3[n-]c(=O)c(F)cc3C#N)[C@H]1CC(=O)C2 ZINC001226009639 805186136 /nfs/dbraw/zinc/18/61/36/805186136.db2.gz ZCILZKNJGQRQSI-AXTRIDKLSA-N 0 1 291.282 0.981 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1ncncc1C(=O)OC ZINC001226147548 805197750 /nfs/dbraw/zinc/19/77/50/805197750.db2.gz QXSVQHPYKOCTQO-ZCFIWIBFSA-N 0 1 264.237 0.861 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC(NC(=O)CSCC#N)CC1 ZINC001226152121 805198412 /nfs/dbraw/zinc/19/84/12/805198412.db2.gz CJIFRUYDYUVSIK-LLVKDONJSA-N 0 1 298.412 0.088 20 30 CCEDMN Cc1cc(=O)[n-]c(OC[C@@H]2C[N@H+](C)CCO2)c1C#N ZINC001226222849 805206590 /nfs/dbraw/zinc/20/65/90/805206590.db2.gz IJXLNWGEZTYRCZ-JTQLQIEISA-N 0 1 263.297 0.677 20 30 CCEDMN Cc1cc(=O)[n-]c(OC[C@@H]2C[N@@H+](C)CCO2)c1C#N ZINC001226222849 805206596 /nfs/dbraw/zinc/20/65/96/805206596.db2.gz IJXLNWGEZTYRCZ-JTQLQIEISA-N 0 1 263.297 0.677 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1ccc(C(=O)OC)nn1 ZINC001226569818 805248312 /nfs/dbraw/zinc/24/83/12/805248312.db2.gz UUYNIGMAKHDGAA-LURJTMIESA-N 0 1 264.237 0.861 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCN([C@H]2CCCN(C)C2=O)CC1 ZINC001226625084 805255879 /nfs/dbraw/zinc/25/58/79/805255879.db2.gz HMCFMWNFJODXMV-AAEUAGOBSA-N 0 1 292.383 0.347 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1[nH]c(=O)nc2cnccc21 ZINC001226976510 805301125 /nfs/dbraw/zinc/30/11/25/805301125.db2.gz PHKHMPYXJJNMGB-VIFPVBQESA-N 0 1 287.275 0.652 20 30 CCEDMN CC(C)C#CC(=O)NC1CCN([C@H](C)C(=O)N(C)C)CC1 ZINC001227266299 805338350 /nfs/dbraw/zinc/33/83/50/805338350.db2.gz XXQOZEPLUPWXPO-CYBMUJFWSA-N 0 1 293.411 0.703 20 30 CCEDMN O=C(C#CC1CC1)NC1CCN([C@@H]2CCCNC2=O)CC1 ZINC001227290349 805340535 /nfs/dbraw/zinc/34/05/35/805340535.db2.gz VFWUSBFGXBBJLB-CQSZACIVSA-N 0 1 289.379 0.259 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1[nH]c(=S)nnc1C ZINC001227599884 805370627 /nfs/dbraw/zinc/37/06/27/805370627.db2.gz BALMGLBSWGMXFU-MRVPVSSYSA-N 0 1 267.310 0.803 20 30 CCEDMN C[C@@H]1OCc2c1[nH]c(=O)nc2O[C@H]1CCN(CC#N)C1 ZINC001227713328 805381469 /nfs/dbraw/zinc/38/14/69/805381469.db2.gz NLWMCBRQHKOJJU-IUCAKERBSA-N 0 1 276.296 0.750 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC001228508084 805453085 /nfs/dbraw/zinc/45/30/85/805453085.db2.gz LQXJHPURQFEEFH-QNSHHTMESA-N 0 1 265.317 0.817 20 30 CCEDMN COc1cc(=O)[nH]c(O[C@H]2CCN(CC#N)C2)n1 ZINC001228919012 805490249 /nfs/dbraw/zinc/49/02/49/805490249.db2.gz UCOFLACSUIGDEN-QMMMGPOBSA-N 0 1 250.258 0.167 20 30 CCEDMN COc1cc(=O)[nH]c(OC2CN(CCC#N)C2)n1 ZINC001228919038 805490324 /nfs/dbraw/zinc/49/03/24/805490324.db2.gz ULTAPYVJFLSBAW-UHFFFAOYSA-N 0 1 250.258 0.167 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)NC(N)=O ZINC001277344564 805492542 /nfs/dbraw/zinc/49/25/42/805492542.db2.gz AJGSQNWGZVIQLR-UPJWGTAASA-N 0 1 292.383 0.132 20 30 CCEDMN C#CCN1CCC(NC(=O)CC(=O)NCC2CC2)CC1 ZINC001229968661 805571004 /nfs/dbraw/zinc/57/10/04/805571004.db2.gz WCQOXSSYIHMDEG-UHFFFAOYSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCc2cnn(C)c2C)C1 ZINC001278394417 807064960 /nfs/dbraw/zinc/06/49/60/807064960.db2.gz BJACKXMKNXFNJG-MRXNPFEDSA-N 0 1 288.395 0.875 20 30 CCEDMN C[C@@H]1C[C@H](NCC#Cc2ccccc2)CN1C(=O)C(N)=O ZINC001278396894 807065825 /nfs/dbraw/zinc/06/58/25/807065825.db2.gz DDXYHXBSGUSZEK-OCCSQVGLSA-N 0 1 285.347 0.102 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(N(C)C)nc2)[C@H]1C ZINC001088694003 815088113 /nfs/dbraw/zinc/08/81/13/815088113.db2.gz NTYFJYJFVHHEDO-OCCSQVGLSA-N 0 1 286.379 0.973 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](NCc2cccc(C#N)c2)CN1 ZINC001246077679 807143906 /nfs/dbraw/zinc/14/39/06/807143906.db2.gz UBAQAUNLBIVQDY-OLZOCXBDSA-N 0 1 259.309 0.551 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)COc2cccnc2)C1 ZINC001278433409 807168812 /nfs/dbraw/zinc/16/88/12/807168812.db2.gz KDCRZHQFULCZPH-OAHLLOKOSA-N 0 1 273.336 0.674 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](NCc2ccc(F)c(C#N)c2)CN1 ZINC001246270567 807204276 /nfs/dbraw/zinc/20/42/76/807204276.db2.gz MLUCBDHIXCGIHZ-YPMHNXCESA-N 0 1 277.299 0.690 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](N2CCC[C@@H](CC#N)C2)CN1 ZINC001246799873 807387182 /nfs/dbraw/zinc/38/71/82/807387182.db2.gz UUBKVCVYKYISEZ-AVGNSLFASA-N 0 1 265.357 0.906 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1ncc[nH]1 ZINC001126805870 815119236 /nfs/dbraw/zinc/11/92/36/815119236.db2.gz CAWVLUWZTPLXBB-UHFFFAOYSA-N 0 1 269.308 0.801 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncc(OC)cn2)[C@H]1C ZINC001088731167 815121040 /nfs/dbraw/zinc/12/10/40/815121040.db2.gz ABJUFOOZWHPWRO-PWSUYJOCSA-N 0 1 276.340 0.864 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc3n[nH]nc32)[C@H]1C ZINC001088732819 815122287 /nfs/dbraw/zinc/12/22/87/815122287.db2.gz QOICISAAQNRDCO-KOLCDFICSA-N 0 1 286.339 0.732 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2cnon2)[C@@H]1C ZINC001278520901 807563188 /nfs/dbraw/zinc/56/31/88/807563188.db2.gz KBRIHVLRCBSSMK-NWDGAFQWSA-N 0 1 294.355 0.855 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCc4nccn4C3)[C@@H]2C1 ZINC001075967257 815130092 /nfs/dbraw/zinc/13/00/92/815130092.db2.gz KUNCDTGIMBHLRS-RRFJBIMHSA-N 0 1 298.390 0.611 20 30 CCEDMN N#CCCN(C[C@H](O)CN1CCOCC1)C1CCCC1 ZINC001251014413 807641883 /nfs/dbraw/zinc/64/18/83/807641883.db2.gz CQWHWALLFXMALN-OAHLLOKOSA-N 0 1 281.400 0.838 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@H](O)c1ccsc1 ZINC001251708292 807696376 /nfs/dbraw/zinc/69/63/76/807696376.db2.gz PUVJOHAKOOJXPZ-LBPRGKRZSA-N 0 1 281.381 0.646 20 30 CCEDMN C#CCOC[C@H](O)CNCC(=O)c1ccc(OC)cc1 ZINC001251821699 807710470 /nfs/dbraw/zinc/71/04/70/807710470.db2.gz VWTMRHWZBDBOHE-CYBMUJFWSA-N 0 1 277.320 0.478 20 30 CCEDMN C#CCOC[C@H](O)CNc1cc(N2CCCC2)[nH]n1 ZINC001251832112 807712552 /nfs/dbraw/zinc/71/25/52/807712552.db2.gz CGKZSGBREZMHMA-LLVKDONJSA-N 0 1 264.329 0.433 20 30 CCEDMN C#CCOC[C@H](O)CN1CCC(O)(C(F)(F)F)CC1 ZINC001251832877 807714182 /nfs/dbraw/zinc/71/41/82/807714182.db2.gz UOXCPSNGGULCGC-SNVBAGLBSA-N 0 1 281.274 0.386 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ccc(Br)cn1 ZINC001251881213 807732675 /nfs/dbraw/zinc/73/26/75/807732675.db2.gz PNIBEFUYXFHBMZ-LBPRGKRZSA-N 0 1 299.168 0.944 20 30 CCEDMN C#CCOC[C@H](O)CNCC(=O)N1CCc2ccccc21 ZINC001251885517 807734525 /nfs/dbraw/zinc/73/45/25/807734525.db2.gz XLMHIRRXHRYMPL-CQSZACIVSA-N 0 1 288.347 0.176 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@@H](CO)c1cc(F)cc(F)c1 ZINC001251900131 807741381 /nfs/dbraw/zinc/74/13/81/807741381.db2.gz JYJNXKWFWAIFRC-KBPBESRZSA-N 0 1 285.290 0.599 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cn(CC(F)(F)F)cn1 ZINC001251900736 807742169 /nfs/dbraw/zinc/74/21/69/807742169.db2.gz YHMJQWVZFNBZKT-NSHDSACASA-N 0 1 291.273 0.546 20 30 CCEDMN C=CCOC[C@H](O)CN1CC2(C1)CCN(C(C)=O)C2 ZINC001252466108 807876814 /nfs/dbraw/zinc/87/68/14/807876814.db2.gz MINLGWJZEVKDER-CYBMUJFWSA-N 0 1 268.357 0.104 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCC(F)(C(=O)OCC)CC1 ZINC001252465485 807877383 /nfs/dbraw/zinc/87/73/83/807877383.db2.gz HNUHKVYOIVLANP-LBPRGKRZSA-N 0 1 289.347 0.917 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cccc(C(=O)OCC)n1 ZINC001252489204 807891553 /nfs/dbraw/zinc/89/15/53/807891553.db2.gz YEUQDBSXIJBPMY-CYBMUJFWSA-N 0 1 294.351 0.911 20 30 CCEDMN C=CCC[C@H](O)CN(C)C1CCS(=O)(=O)CC1 ZINC001252602431 807919040 /nfs/dbraw/zinc/91/90/40/807919040.db2.gz APWFVSZLLCBSRC-LBPRGKRZSA-N 0 1 261.387 0.823 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224387226 815163002 /nfs/dbraw/zinc/16/30/02/815163002.db2.gz ZZQARPWXMCMMRL-HNNXBMFYSA-N 0 1 281.400 0.902 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)cnn2C)[C@H]1C ZINC001088802071 815171730 /nfs/dbraw/zinc/17/17/30/815171730.db2.gz CUMITWPLWKWQHI-OLZOCXBDSA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@H]3CCCC(=O)N3)C2)C1 ZINC001253528695 808076851 /nfs/dbraw/zinc/07/68/51/808076851.db2.gz GSPWFWRJVXTHFU-CYBMUJFWSA-N 0 1 289.379 0.213 20 30 CCEDMN C=C[C@H](O)CN1CCN(Cc2ccccc2)[C@@H](CO)C1 ZINC001253567004 808081906 /nfs/dbraw/zinc/08/19/06/808081906.db2.gz JGZUOWZIWMFYSS-CVEARBPZSA-N 0 1 276.380 0.712 20 30 CCEDMN C=C[C@H](O)CN1CCOC2(CCN(C(C)=O)CC2)C1 ZINC001253576168 808082532 /nfs/dbraw/zinc/08/25/32/808082532.db2.gz AZOCZKBYBRCEDM-ZDUSSCGKSA-N 0 1 268.357 0.247 20 30 CCEDMN C=C[C@@H](O)CN1CCN(CCOC)c2ncccc2C1 ZINC001253578234 808088947 /nfs/dbraw/zinc/08/89/47/808088947.db2.gz XJAPCIWFTKBGAY-CQSZACIVSA-N 0 1 277.368 0.897 20 30 CCEDMN C=C[C@H](O)CN1CC2(C1)CCN(C(=O)c1cccnc1)C2 ZINC001253582997 808089830 /nfs/dbraw/zinc/08/98/30/808089830.db2.gz XFKKGOBHKSYXLX-AWEZNQCLSA-N 0 1 287.363 0.776 20 30 CCEDMN C=C[C@H](O)CN1CC(N2CCN(c3ccccn3)CC2)C1 ZINC001253584713 808090600 /nfs/dbraw/zinc/09/06/00/808090600.db2.gz QQXRSLAKZZUWNS-HNNXBMFYSA-N 0 1 288.395 0.435 20 30 CCEDMN C=C[C@@H](O)C[N@H+]1CCC[C@@H](c2nnc(C(=O)OCC)[n-]2)C1 ZINC001253588417 808091958 /nfs/dbraw/zinc/09/19/58/808091958.db2.gz VKUSJJBWWVFFPJ-GHMZBOCLSA-N 0 1 294.355 0.708 20 30 CCEDMN C=C[C@@H](O)C[N@@H+]1CCC[C@@H](c2nnc(C(=O)OCC)[n-]2)C1 ZINC001253588417 808091970 /nfs/dbraw/zinc/09/19/70/808091970.db2.gz VKUSJJBWWVFFPJ-GHMZBOCLSA-N 0 1 294.355 0.708 20 30 CCEDMN C=C[C@@H](O)C[N@H+]1CCC[C@@H](c2nc(C(=O)OCC)n[n-]2)C1 ZINC001253588417 808091976 /nfs/dbraw/zinc/09/19/76/808091976.db2.gz VKUSJJBWWVFFPJ-GHMZBOCLSA-N 0 1 294.355 0.708 20 30 CCEDMN C=C[C@@H](O)C[N@@H+]1CCC[C@@H](c2nc(C(=O)OCC)n[n-]2)C1 ZINC001253588417 808091985 /nfs/dbraw/zinc/09/19/85/808091985.db2.gz VKUSJJBWWVFFPJ-GHMZBOCLSA-N 0 1 294.355 0.708 20 30 CCEDMN C=C[C@@H](O)CN1CCN(c2ncc(OC)cn2)CC1 ZINC001253587703 808093235 /nfs/dbraw/zinc/09/32/35/808093235.db2.gz OAVXTPALGSBCGD-LLVKDONJSA-N 0 1 264.329 0.154 20 30 CCEDMN C#CCOCCN(C)Cc1cc(=O)n2ccccc2n1 ZINC001253750127 808131453 /nfs/dbraw/zinc/13/14/53/808131453.db2.gz QGAWTAAMECPUDP-UHFFFAOYSA-N 0 1 271.320 0.776 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@H](CC)OCC)C1 ZINC001278758975 808170641 /nfs/dbraw/zinc/17/06/41/808170641.db2.gz BTFLNIGWEQXRKU-DZGCQCFKSA-N 0 1 282.384 0.378 20 30 CCEDMN Cc1cnc([C@H](C)NCCNC(=O)C#CC2CC2)cn1 ZINC001126881304 815181149 /nfs/dbraw/zinc/18/11/49/815181149.db2.gz OHLNZLDEDGTERL-LBPRGKRZSA-N 0 1 272.352 0.965 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cc(C)cs2)C1 ZINC001278777381 808217295 /nfs/dbraw/zinc/21/72/95/808217295.db2.gz ZDMRDPXQOCCKCD-AWEZNQCLSA-N 0 1 278.377 0.856 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C2(C3CC3)CC2)C1 ZINC001278787964 808244528 /nfs/dbraw/zinc/24/45/28/808244528.db2.gz YKUCWPHAUKTBOC-HNNXBMFYSA-N 0 1 276.380 0.753 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cnc3cc[nH]c3c2)C1 ZINC001278787765 808244550 /nfs/dbraw/zinc/24/45/50/808244550.db2.gz VKTZJASFIBQSPD-MRXNPFEDSA-N 0 1 298.346 0.363 20 30 CCEDMN COC(=O)CNC(=O)[C@@H]1CCCN1[C@H]1CC[C@@H](C#N)C1 ZINC001254676087 808272591 /nfs/dbraw/zinc/27/25/91/808272591.db2.gz ISMMOTYWMCKGDR-WOPDTQHZSA-N 0 1 279.340 0.432 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)CC1CCC1 ZINC001278849459 808330373 /nfs/dbraw/zinc/33/03/73/808330373.db2.gz DVMUQEPWVRWJQT-CQSZACIVSA-N 0 1 266.385 0.951 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccns1 ZINC001278860571 808350166 /nfs/dbraw/zinc/35/01/66/808350166.db2.gz ZYUZSWTVKDIBEX-JTQLQIEISA-N 0 1 267.354 0.141 20 30 CCEDMN COc1nccc(CNCCNC(=O)C#CC2CC2)n1 ZINC001126899037 815203137 /nfs/dbraw/zinc/20/31/37/815203137.db2.gz GVNTUFHMQRQQBT-UHFFFAOYSA-N 0 1 274.324 0.104 20 30 CCEDMN O=C(C#CC1CC1)NCCNCc1cncc(F)c1 ZINC001126899379 815203663 /nfs/dbraw/zinc/20/36/63/815203663.db2.gz OQNFHERPJGYPST-UHFFFAOYSA-N 0 1 261.300 0.840 20 30 CCEDMN CC#CCN(C)CCN(C(=O)[C@H]1CCN(C)C1=O)C(C)C ZINC001278919125 808479798 /nfs/dbraw/zinc/47/97/98/808479798.db2.gz ZYEYZLXRXWFFOS-AWEZNQCLSA-N 0 1 293.411 0.657 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(F)c(F)c2)[C@@H](O)C1 ZINC001083525117 815211714 /nfs/dbraw/zinc/21/17/14/815211714.db2.gz AIUQUKIKBSPDLK-KGLIPLIRSA-N 0 1 294.301 0.763 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccn2CC=C)[C@@H](O)C1 ZINC001083526150 815213574 /nfs/dbraw/zinc/21/35/74/815213574.db2.gz DOLJRCJXLUYOMZ-HIFRSBDPSA-N 0 1 287.363 0.472 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2ccccn2)cc[nH]1 ZINC001259282662 808748863 /nfs/dbraw/zinc/74/88/63/808748863.db2.gz VELVTKFUPISBNH-UHFFFAOYSA-N 0 1 259.290 0.681 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2cnn(C)c2)cc[nH]1 ZINC001259820351 808775730 /nfs/dbraw/zinc/77/57/30/808775730.db2.gz NYWJPZSFFFPDNL-UHFFFAOYSA-N 0 1 262.294 0.019 20 30 CCEDMN CN(Cc1nnc[nH]1)Cc1cnc2c(C#N)cnn2c1 ZINC001261493928 808997693 /nfs/dbraw/zinc/99/76/93/808997693.db2.gz YEHVTUUWYKUSMR-UHFFFAOYSA-N 0 1 268.284 0.351 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ncccc3F)[C@@H]2C1 ZINC001076177001 815255070 /nfs/dbraw/zinc/25/50/70/815255070.db2.gz FTHAMJUAAWIBFW-SWLSCSKDSA-N 0 1 287.338 0.929 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCCC(=O)N3)[C@@H]2C1 ZINC001076230345 815262881 /nfs/dbraw/zinc/26/28/81/815262881.db2.gz RBOSEGWSJNLCGR-BFHYXJOUSA-N 0 1 289.379 0.211 20 30 CCEDMN COCC#CC(=O)N1CCc2nc[nH]c2[C@H]1c1ccnn1C ZINC001261878875 809117829 /nfs/dbraw/zinc/11/78/29/809117829.db2.gz YREFQYOMPWYQDU-OAHLLOKOSA-N 0 1 299.334 0.267 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3nc(C)c[nH]3)[C@@H]2C1 ZINC001076342232 815279976 /nfs/dbraw/zinc/27/99/76/815279976.db2.gz BCBPXVGEFSFVGN-NWDGAFQWSA-N 0 1 258.325 0.498 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3nc(C)c[nH]3)[C@@H]2C1 ZINC001076342232 815279980 /nfs/dbraw/zinc/27/99/80/815279980.db2.gz BCBPXVGEFSFVGN-NWDGAFQWSA-N 0 1 258.325 0.498 20 30 CCEDMN C#CCCCNC(=O)N1CCNC[C@@H]1CCOC ZINC001262581026 809356115 /nfs/dbraw/zinc/35/61/15/809356115.db2.gz GPLKDVQWHMJPBK-LBPRGKRZSA-N 0 1 253.346 0.420 20 30 CCEDMN C#CCNCC(=O)NC1(c2ccc(C#N)cc2)CCOCC1 ZINC001263168319 809468333 /nfs/dbraw/zinc/46/83/33/809468333.db2.gz JUSMUAQAXBOSLK-UHFFFAOYSA-N 0 1 297.358 0.903 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1CCC[N@@H+]1CC(C)C)C(=O)[O-] ZINC001263631351 809544022 /nfs/dbraw/zinc/54/40/22/809544022.db2.gz NVNVFUHJMZURJV-NEPJUHHUSA-N 0 1 266.341 0.700 20 30 CCEDMN C=C[C@H](C)ONC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001263731758 809559863 /nfs/dbraw/zinc/55/98/63/809559863.db2.gz NXKATBTYZHANAP-SGMGOOAPSA-N 0 1 299.371 0.017 20 30 CCEDMN C=C(C)CNC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001263830454 809582604 /nfs/dbraw/zinc/58/26/04/809582604.db2.gz NOIMGMCZSCFQMI-LBPRGKRZSA-N 0 1 254.378 0.498 20 30 CCEDMN CC(C)(C(=O)NC[C@H]1C[C@@H](NCC#N)C1)c1cnc[nH]1 ZINC001263849810 809588121 /nfs/dbraw/zinc/58/81/21/809588121.db2.gz XYEKANMVTPDLDH-PHIMTYICSA-N 0 1 275.356 0.695 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCC(=O)N3C)[C@@H]2C1 ZINC001076514756 815306887 /nfs/dbraw/zinc/30/68/87/815306887.db2.gz KRZUPZBUEFCZGC-BFHYXJOUSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)c2ccn(C)c2)n1 ZINC001264025416 809624406 /nfs/dbraw/zinc/62/44/06/809624406.db2.gz IIPSAPHWMMDWNA-UHFFFAOYSA-N 0 1 299.334 0.174 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCN(C(C)=O)C3)[C@@H]2C1 ZINC001076508247 815304408 /nfs/dbraw/zinc/30/44/08/815304408.db2.gz OPIOSJUDDVWRNB-SOUVJXGZSA-N 0 1 289.379 0.021 20 30 CCEDMN CC#CCN(CCO)[C@@H]1CCCN(C(=O)[C@H](C)OCC)C1 ZINC001264073080 809626836 /nfs/dbraw/zinc/62/68/36/809626836.db2.gz IVPDHQUVNFAMNH-LSDHHAIUSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CN1CCCC1=O ZINC001264179233 809635736 /nfs/dbraw/zinc/63/57/36/809635736.db2.gz NGWXBVAMJHPXKS-AWEZNQCLSA-N 0 1 291.395 0.555 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CN1CCCNC1=O ZINC001264365372 809643523 /nfs/dbraw/zinc/64/35/23/809643523.db2.gz WPIFWQDKZFUPAL-LBPRGKRZSA-N 0 1 280.372 0.120 20 30 CCEDMN C#CC[N@H+](CCO)[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001264628849 809665185 /nfs/dbraw/zinc/66/51/85/809665185.db2.gz VQNKBINRFPMMML-QWHCGFSZSA-N 0 1 263.341 0.065 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001264628849 809665187 /nfs/dbraw/zinc/66/51/87/809665187.db2.gz VQNKBINRFPMMML-QWHCGFSZSA-N 0 1 263.341 0.065 20 30 CCEDMN CNC(=O)[C@@H](C)N(C)CCCNC(=O)c1cc(C#N)c[nH]1 ZINC001264974265 809684370 /nfs/dbraw/zinc/68/43/70/809684370.db2.gz WBRSUUOHGZNAFH-SNVBAGLBSA-N 0 1 291.355 0.073 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H]1CC1(F)F ZINC001264983614 809687370 /nfs/dbraw/zinc/68/73/70/809687370.db2.gz IEMHHJJYQBKLFC-JTQLQIEISA-N 0 1 289.326 0.382 20 30 CCEDMN CCCC(=O)NCCCN1CCN(CC#CCOC)CC1 ZINC001265236971 809729526 /nfs/dbraw/zinc/72/95/26/809729526.db2.gz JLAQBTPQRXVGFF-UHFFFAOYSA-N 0 1 295.427 0.560 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C(F)F)CC1 ZINC001265236790 809729977 /nfs/dbraw/zinc/72/99/77/809729977.db2.gz BBRSLBJUAGVDSB-UHFFFAOYSA-N 0 1 259.300 0.009 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@@H]2C[C@@H](C)CO2)CC1 ZINC001265246413 809731446 /nfs/dbraw/zinc/73/14/46/809731446.db2.gz UFSHKYSHBMJKLK-CABCVRRESA-N 0 1 293.411 0.169 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H](C)Cc2cnn(C)c2)C1 ZINC001265310027 809753312 /nfs/dbraw/zinc/75/33/12/809753312.db2.gz GGSOGAOJUJZASN-DZGCQCFKSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCCc2nc(C)no2)C1 ZINC001265317163 809757261 /nfs/dbraw/zinc/75/72/61/809757261.db2.gz KITDWRBVHBOXHX-GFCCVEGCSA-N 0 1 276.340 0.524 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCNC(=O)CC(C)C)C1 ZINC001265320898 809757271 /nfs/dbraw/zinc/75/72/71/809757271.db2.gz BRYMSMCSHPCCKX-ZDUSSCGKSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(C[C@@H]2NC(C)=O)CC1 ZINC001265322058 809757388 /nfs/dbraw/zinc/75/73/88/809757388.db2.gz XDOACCRSSTXKMH-AAEUAGOBSA-N 0 1 279.384 0.668 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ccc[nH]3)[C@@H]2C1 ZINC001076594130 815316372 /nfs/dbraw/zinc/31/63/72/815316372.db2.gz HZPOCQIGFRLUDJ-GXTWGEPZSA-N 0 1 257.337 0.723 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H]([C@@H](C)NC(=O)CC(N)=O)C1 ZINC001265699481 809828535 /nfs/dbraw/zinc/82/85/35/809828535.db2.gz FWNZYPQQFLNCEV-GHMZBOCLSA-N 0 1 287.791 0.831 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN(C)CC(=O)NCC1CC1 ZINC001265814972 809860954 /nfs/dbraw/zinc/86/09/54/809860954.db2.gz KPLGTMFAVVYNTF-NSHDSACASA-N 0 1 280.372 0.110 20 30 CCEDMN C=C(C)CCC(=O)NCCCN(C)CC(=O)NC ZINC001265855403 809877082 /nfs/dbraw/zinc/87/70/82/809877082.db2.gz OWXIOQXEQGUKFJ-UHFFFAOYSA-N 0 1 255.362 0.527 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@@H](F)C(C)C ZINC001265906973 809890444 /nfs/dbraw/zinc/89/04/44/809890444.db2.gz WBFZONCRQNWKKH-STQMWFEESA-N 0 1 299.390 0.557 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)[C@@H]2CC[C@H](F)C2)CC1 ZINC001279438475 809978573 /nfs/dbraw/zinc/97/85/73/809978573.db2.gz HZHVUMYZMSGCLZ-OLZOCXBDSA-N 0 1 296.390 0.818 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)c2cncnc2)C1 ZINC001279480688 809983014 /nfs/dbraw/zinc/98/30/14/809983014.db2.gz RECOOWYZZLWXEE-OAHLLOKOSA-N 0 1 288.351 0.057 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)no2)C1 ZINC001076735171 815358370 /nfs/dbraw/zinc/35/83/70/815358370.db2.gz VTHIIBLDWMDWBP-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ncoc2C)C1 ZINC001076786013 815372150 /nfs/dbraw/zinc/37/21/50/815372150.db2.gz GGPNKOCFKPDXAF-GHMZBOCLSA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)Cc2ccc(C)o2)C1 ZINC001076897149 815406385 /nfs/dbraw/zinc/40/63/85/815406385.db2.gz HKCMZSBFFDOSNN-ZIAGYGMSSA-N 0 1 278.352 0.868 20 30 CCEDMN CC(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001076890308 815407887 /nfs/dbraw/zinc/40/78/87/815407887.db2.gz UILWBKGBMPEVNV-ZIAGYGMSSA-N 0 1 290.367 0.707 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(OC)no2)C1 ZINC001076910357 815408774 /nfs/dbraw/zinc/40/87/74/815408774.db2.gz LGCJWEUXOOAQAD-GHMZBOCLSA-N 0 1 295.339 0.424 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2cc(OC)no2)C1 ZINC001076910357 815408778 /nfs/dbraw/zinc/40/87/78/815408778.db2.gz LGCJWEUXOOAQAD-GHMZBOCLSA-N 0 1 295.339 0.424 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H](CC(C)C)C(N)=O ZINC001267282654 811092004 /nfs/dbraw/zinc/09/20/04/811092004.db2.gz GCNGWCZEBGBJCG-UONOGXRCSA-N 0 1 293.411 0.738 20 30 CCEDMN CNC(=O)[C@H]1CCCN(Cc2cncc(C#N)c2)C1 ZINC000721559000 811109434 /nfs/dbraw/zinc/10/94/34/811109434.db2.gz KRELUZDBIWXMDO-ZDUSSCGKSA-N 0 1 258.325 0.911 20 30 CCEDMN C[C@H](CNc1cncc(C#N)n1)N(C)C(=O)c1ccn[nH]1 ZINC001104478845 811116888 /nfs/dbraw/zinc/11/68/88/811116888.db2.gz BEMRASSOGSZGHO-SECBINFHSA-N 0 1 285.311 0.644 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cc(C#N)nc(C2CC2)n1 ZINC001167672899 811146984 /nfs/dbraw/zinc/14/69/84/811146984.db2.gz AFLXKFXIMMJICE-UHFFFAOYSA-N 0 1 281.323 0.658 20 30 CCEDMN N#CCN(CCNC(=O)[C@@H]1CCCc2n[nH]nc21)C1CC1 ZINC001267331838 811166693 /nfs/dbraw/zinc/16/66/93/811166693.db2.gz SZGUQCUGKUWBCE-LLVKDONJSA-N 0 1 288.355 0.329 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCC[NH+]2C(C)C)C1 ZINC001076987323 815429709 /nfs/dbraw/zinc/42/97/09/815429709.db2.gz LOJULEKAVUPZQN-QLFBSQMISA-N 0 1 293.411 0.044 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)CCNCc1cnnn1CC ZINC001267367393 811224975 /nfs/dbraw/zinc/22/49/75/811224975.db2.gz UYJQWKWXVMKDFJ-AWEZNQCLSA-N 0 1 295.387 0.173 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCNCc1ocnc1C ZINC001267372401 811229021 /nfs/dbraw/zinc/22/90/21/811229021.db2.gz OUVVAGGDGRBWHX-LBPRGKRZSA-N 0 1 279.340 0.569 20 30 CCEDMN N#Cc1nccnc1N1CC[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001058562494 811318938 /nfs/dbraw/zinc/31/89/38/811318938.db2.gz LMWRHEIOIBZBAE-JTQLQIEISA-N 0 1 297.322 0.009 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)nnc2C)C1 ZINC001077061607 815439982 /nfs/dbraw/zinc/43/99/82/815439982.db2.gz KCKPUUBTULDSTK-ZIAGYGMSSA-N 0 1 290.367 0.444 20 30 CCEDMN C#CCN1CCC[C@@H]2[C@@H](NC(=O)c3cnon3)C(C)(C)[C@H]21 ZINC001087309419 811401250 /nfs/dbraw/zinc/40/12/50/811401250.db2.gz GWGIJBZKDJRGPB-RTXFEEFZSA-N 0 1 288.351 0.922 20 30 CCEDMN C=CCCNCc1cn([C@@H]2CCN(C(C)=O)C2)nn1 ZINC001098591347 811413735 /nfs/dbraw/zinc/41/37/35/811413735.db2.gz FCTLJSXOZTYTKN-CYBMUJFWSA-N 0 1 263.345 0.737 20 30 CCEDMN N#Cc1cnccc1N1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001058599651 811422509 /nfs/dbraw/zinc/42/25/09/811422509.db2.gz WNGZKEJPONGDDI-JTQLQIEISA-N 0 1 283.295 0.080 20 30 CCEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@@H](C)CC(N)=O)C1 ZINC001267546390 811428142 /nfs/dbraw/zinc/42/81/42/811428142.db2.gz NKTYUSBOOHPOSS-UONOGXRCSA-N 0 1 293.411 0.834 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)C(C)(F)F)CC1 ZINC001267573669 811466566 /nfs/dbraw/zinc/46/65/66/811466566.db2.gz JAFKKIDBWQBSHH-UHFFFAOYSA-N 0 1 287.354 0.741 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cnc(C)cn3)[C@@H]2C1 ZINC001075512492 811467551 /nfs/dbraw/zinc/46/75/51/811467551.db2.gz CVFSFGHYGCKRPR-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@H](CN(C)CC(N)=O)C1 ZINC001267580828 811475592 /nfs/dbraw/zinc/47/55/92/811475592.db2.gz DUFZYZHWUWEYCE-ZDUSSCGKSA-N 0 1 279.384 0.446 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001267579520 811476066 /nfs/dbraw/zinc/47/60/66/811476066.db2.gz GKDKWYBRTSEWFD-STQMWFEESA-N 0 1 292.383 0.229 20 30 CCEDMN C#CCN1C[C@@H](O)[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001077150680 815451859 /nfs/dbraw/zinc/45/18/59/815451859.db2.gz KGRDAYUXMMOITK-NXEZZACHSA-N 0 1 296.758 0.034 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2cncn2C)C1 ZINC001267616065 811568306 /nfs/dbraw/zinc/56/83/06/811568306.db2.gz UESBWHYCNIJTRJ-CYBMUJFWSA-N 0 1 292.383 0.817 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)COC[C@H]2CCCO2)C1 ZINC001267700071 811664360 /nfs/dbraw/zinc/66/43/60/811664360.db2.gz IHZVQVYAUBDJFJ-CQSZACIVSA-N 0 1 282.384 0.806 20 30 CCEDMN C#CCCCCC(=O)N[C@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001267721742 811687200 /nfs/dbraw/zinc/68/72/00/811687200.db2.gz HZQLNCUOXFJVJO-ZDUSSCGKSA-N 0 1 289.383 0.997 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCCCOC)C1 ZINC001267751139 811745517 /nfs/dbraw/zinc/74/55/17/811745517.db2.gz XILJBWHLYYRSQR-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN C=CC(C)(C)C(=O)N(CCO)CCNCc1n[nH]c(C)n1 ZINC001279791429 811838216 /nfs/dbraw/zinc/83/82/16/811838216.db2.gz GBXWDBSPBBJLJL-UHFFFAOYSA-N 0 1 295.387 0.236 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnn(C)c2Cl)[C@@H](O)C1 ZINC001083573102 815483268 /nfs/dbraw/zinc/48/32/68/815483268.db2.gz WGEJFXODFGTVNF-MNOVXSKESA-N 0 1 298.774 0.425 20 30 CCEDMN C[C@H](CNCc1cn(C)nn1)CNC(=O)C#CC(C)(C)C ZINC001267987072 811927724 /nfs/dbraw/zinc/92/77/24/811927724.db2.gz ZKHMTQIHDVMQKT-GFCCVEGCSA-N 0 1 291.399 0.707 20 30 CCEDMN COCCNCC(=O)Nc1ccc(F)c(C#N)c1 ZINC000092509909 812060700 /nfs/dbraw/zinc/06/07/00/812060700.db2.gz QBPOMIOKHBRSET-UHFFFAOYSA-N 0 1 251.261 0.872 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnc2[nH]cnc2c1 ZINC001027909393 812134792 /nfs/dbraw/zinc/13/47/92/812134792.db2.gz PTACSYSLNGOLEK-LBPRGKRZSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cncc2ncn(C)c21 ZINC001027942079 812156176 /nfs/dbraw/zinc/15/61/76/812156176.db2.gz WQANMTDJNFXULI-LBPRGKRZSA-N 0 1 297.362 0.796 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@@H]1CCCN1CC#N ZINC001027972190 812179676 /nfs/dbraw/zinc/17/96/76/812179676.db2.gz RLWBCXRLEHUREZ-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](Nc3ccc(C#N)nn3)C2)[nH]1 ZINC001058848810 812189496 /nfs/dbraw/zinc/18/94/96/812189496.db2.gz NUAQDSOKYJLGFC-NSHDSACASA-N 0 1 297.322 0.706 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCc2cncn2C1 ZINC001027982407 812193074 /nfs/dbraw/zinc/19/30/74/812193074.db2.gz VQMWNKBIGLYSIC-KGLIPLIRSA-N 0 1 286.379 0.659 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCN(C)C1=O ZINC001028085199 812261164 /nfs/dbraw/zinc/26/11/64/812261164.db2.gz GBARHEIDBJKRSD-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001028130745 812300169 /nfs/dbraw/zinc/30/01/69/812300169.db2.gz UXYDGLZZMUYZGX-OLZOCXBDSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CC[N@@H+](C)CCN(C)C(=O)CCc1nc[nH]n1 ZINC001268231461 812387324 /nfs/dbraw/zinc/38/73/24/812387324.db2.gz CMUVUASKWXHCDO-UHFFFAOYSA-N 0 1 251.334 0.314 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCO[C@@H](C)C1 ZINC001268252039 812418642 /nfs/dbraw/zinc/41/86/42/812418642.db2.gz NNLXEBLYCPUEEG-QWHCGFSZSA-N 0 1 252.358 0.825 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc(OC)nn1 ZINC001028244701 812479165 /nfs/dbraw/zinc/47/91/65/812479165.db2.gz JRGJZTYJNZJDJW-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](O)CNCc1nccn1C ZINC001268321603 812485260 /nfs/dbraw/zinc/48/52/60/812485260.db2.gz ULAZLTMOEBEKGQ-ZDUSSCGKSA-N 0 1 292.383 0.180 20 30 CCEDMN CCn1ncc(CNCC[C@@H](C)NC(=O)[C@@H](C)C#N)n1 ZINC001268351711 812514767 /nfs/dbraw/zinc/51/47/67/812514767.db2.gz VVWCMYCVKHIQFQ-WDEREUQCSA-N 0 1 278.360 0.442 20 30 CCEDMN C[C@@H](CCNCC#N)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001268365927 812524029 /nfs/dbraw/zinc/52/40/29/812524029.db2.gz LPWJLFGUWDJYDL-WDEREUQCSA-N 0 1 275.356 0.838 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cccn(C)c1=O ZINC001028272672 812538756 /nfs/dbraw/zinc/53/87/56/812538756.db2.gz WHRUCXHJMVUELT-CYBMUJFWSA-N 0 1 287.363 0.603 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)CNC(=O)OC ZINC001268439836 812571907 /nfs/dbraw/zinc/57/19/07/812571907.db2.gz NOCUCXOQIKYHPZ-UHFFFAOYSA-N 0 1 277.752 0.533 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@@H]1CCCN1CC#N ZINC001028331158 812621722 /nfs/dbraw/zinc/62/17/22/812621722.db2.gz DHXVHYAVQJKOJW-UONOGXRCSA-N 0 1 278.400 0.965 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)C2CCOCC2)C1 ZINC001028369224 812661585 /nfs/dbraw/zinc/66/15/85/812661585.db2.gz KQNODQREUGIBIO-LBPRGKRZSA-N 0 1 250.342 0.484 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCOC)[C@H](OC)C1 ZINC001211757055 812676805 /nfs/dbraw/zinc/67/68/05/812676805.db2.gz MVGZIKFGOMTPPA-CHWSQXEVSA-N 0 1 286.372 0.041 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1n[nH]c(C(C)C)n1 ZINC001126365994 812681080 /nfs/dbraw/zinc/68/10/80/812681080.db2.gz OTTCKPHBVNLEJU-AWEZNQCLSA-N 0 1 295.387 0.461 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2CCCO2)[C@H](OC)C1 ZINC001211798163 812740269 /nfs/dbraw/zinc/74/02/69/812740269.db2.gz XTCMUBALVCUSPI-HZSPNIEDSA-N 0 1 298.383 0.183 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CC=CCC2)[C@@H](O)C1 ZINC001083336498 812884223 /nfs/dbraw/zinc/88/42/23/812884223.db2.gz ZJRPHVOUWPCZMH-MCIONIFRSA-N 0 1 262.353 0.527 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2)[C@@H](O)C1 ZINC001083364969 812912089 /nfs/dbraw/zinc/91/20/89/812912089.db2.gz PQZSHPSFVDXOIT-KGLIPLIRSA-N 0 1 292.383 0.090 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(Cl)cn2C)[C@@H](O)C1 ZINC001083624129 815562285 /nfs/dbraw/zinc/56/22/85/815562285.db2.gz ROHDZFPCLCUAJU-YPMHNXCESA-N 0 1 295.770 0.477 20 30 CCEDMN C=CCn1cccc1C(=O)NC[C@H]1CCN(CC(N)=O)C1 ZINC001028593839 812931187 /nfs/dbraw/zinc/93/11/87/812931187.db2.gz VEGSNKIHNZZYPG-GFCCVEGCSA-N 0 1 290.367 0.211 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc[nH]c2C)[C@@H](O)C1 ZINC001083394949 812936996 /nfs/dbraw/zinc/93/69/96/812936996.db2.gz RNIPEPHGQWPQPN-OLZOCXBDSA-N 0 1 263.341 0.674 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CNCc1conc1C ZINC001268643741 812967169 /nfs/dbraw/zinc/96/71/69/812967169.db2.gz AMZQKFJKUFHQFV-QMTHXVAHSA-N 0 1 281.356 0.904 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H](C)CNCc1oc(C)nc1C ZINC001268688576 813010871 /nfs/dbraw/zinc/01/08/71/813010871.db2.gz IYIXCCPPIKODRD-JQWIXIFHSA-N 0 1 293.367 0.924 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)Cc2cnn(C)c2)C1 ZINC001268702483 813021648 /nfs/dbraw/zinc/02/16/48/813021648.db2.gz BUEVYHKMLOAVSR-UHFFFAOYSA-N 0 1 292.383 0.213 20 30 CCEDMN C=CCC[NH2+]C[C@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001268711166 813026809 /nfs/dbraw/zinc/02/68/09/813026809.db2.gz BRCGRZPKWQVITR-QMMMGPOBSA-N 0 1 296.327 0.180 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cncc2[nH]cnc21 ZINC001268732070 813046858 /nfs/dbraw/zinc/04/68/58/813046858.db2.gz VDQGEUZERPWIAU-SNVBAGLBSA-N 0 1 271.324 0.641 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1cnco1 ZINC001268737386 813051795 /nfs/dbraw/zinc/05/17/95/813051795.db2.gz ATHIJQDHBOXADW-NSHDSACASA-N 0 1 265.313 0.375 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)COCc1cc(C)on1 ZINC001268735781 813055036 /nfs/dbraw/zinc/05/50/36/813055036.db2.gz ONLKLHYXNFAERP-GFCCVEGCSA-N 0 1 293.367 0.959 20 30 CCEDMN C=C(Cl)CN(C)C[C@H](C)NC(=O)c1ncn[nH]1 ZINC001268752978 813068332 /nfs/dbraw/zinc/06/83/32/813068332.db2.gz RYONYWJAQWWCHD-QMMMGPOBSA-N 0 1 257.725 0.607 20 30 CCEDMN C=C(Cl)CN(C)C[C@H](C)NC(=O)c1nc[nH]n1 ZINC001268752978 813068340 /nfs/dbraw/zinc/06/83/40/813068340.db2.gz RYONYWJAQWWCHD-QMMMGPOBSA-N 0 1 257.725 0.607 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CO[C@H](C)CC)[C@H](OC)C1 ZINC001212138647 815577543 /nfs/dbraw/zinc/57/75/43/815577543.db2.gz AMCWNAFBINMDHL-MGPQQGTHSA-N 0 1 282.384 0.640 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H](CCCC)NC(N)=O)C1 ZINC001268916841 813146290 /nfs/dbraw/zinc/14/62/90/813146290.db2.gz GEXDADQEKXKSCW-ZDUSSCGKSA-N 0 1 296.415 0.838 20 30 CCEDMN CC[C@H](CN1C[C@@H]2C[C@H]1CN2C(=O)CSCC#N)OC ZINC001268926572 813147823 /nfs/dbraw/zinc/14/78/23/813147823.db2.gz JTGURAHHLBHUDY-RWMBFGLXSA-N 0 1 297.424 0.953 20 30 CCEDMN C#CC(=O)N1C[C@@H]2CCN(COCCOC)CC[C@@H]2C1 ZINC001268945022 813153599 /nfs/dbraw/zinc/15/35/99/813153599.db2.gz WGXONYGTVCOKLQ-OKILXGFUSA-N 0 1 280.368 0.411 20 30 CCEDMN O=C(CCS)N1CC2(CN(CCn3ccnc3)C2)C1 ZINC001268959580 813155978 /nfs/dbraw/zinc/15/59/78/813155978.db2.gz FNNRDIBSTIHOQL-UHFFFAOYSA-N 0 1 280.397 0.347 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cnsn2)C1 ZINC001269000091 813174633 /nfs/dbraw/zinc/17/46/33/813174633.db2.gz GRUWMVCYSYOYSJ-JTQLQIEISA-N 0 1 282.369 0.545 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)CCCCN2C(=O)CN(C)CC ZINC001269093034 813213034 /nfs/dbraw/zinc/21/30/34/813213034.db2.gz MXPDDTYZLHBOTB-MRXNPFEDSA-N 0 1 291.395 0.555 20 30 CCEDMN N#Cc1cncc(N[C@H]2CCN(C(=O)Cc3c[nH]cn3)C2)n1 ZINC001059077215 813222877 /nfs/dbraw/zinc/22/28/77/813222877.db2.gz VELJGCLLKSGROI-JTQLQIEISA-N 0 1 297.322 0.327 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)c2ccccc2C)CC1 ZINC001269368569 813344128 /nfs/dbraw/zinc/34/41/28/813344128.db2.gz LESSRDAPLAMLBC-UHFFFAOYSA-N 0 1 299.374 0.596 20 30 CCEDMN C#CCCCC(=O)NCC1(NCc2cnnn2CC)CC1 ZINC001269401345 813358417 /nfs/dbraw/zinc/35/84/17/813358417.db2.gz BGWVSTNOMPKTAX-UHFFFAOYSA-N 0 1 289.383 0.840 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001269468927 813381239 /nfs/dbraw/zinc/38/12/39/813381239.db2.gz OFCAXAOLUPPAGI-GHMZBOCLSA-N 0 1 265.357 0.183 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)NC[C@@H]1CCN(CC#N)C1 ZINC001028765059 813461556 /nfs/dbraw/zinc/46/15/56/813461556.db2.gz QYNQIWQEYDJJOH-LBPRGKRZSA-N 0 1 290.367 0.916 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001028766399 813466791 /nfs/dbraw/zinc/46/67/91/813466791.db2.gz RHHKDGLHRXHYJJ-NWDGAFQWSA-N 0 1 287.367 0.296 20 30 CCEDMN C=CCN1CC[C@]2(CCN(C(=O)[C@H](C)N(C)C)C2)C1=O ZINC001269796644 813509736 /nfs/dbraw/zinc/50/97/36/813509736.db2.gz HNWLSODITJSGLB-SWLSCSKDSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCCC(=O)NC1(C)CCN([C@@H](C)C(N)=O)CC1 ZINC001270213535 813680120 /nfs/dbraw/zinc/68/01/20/813680120.db2.gz WFSDQNCNQXYMML-NSHDSACASA-N 0 1 267.373 0.797 20 30 CCEDMN Cc1nnc(CNC[C@H](C)CNC(=O)C#CC2CC2)[nH]1 ZINC001270401979 813750504 /nfs/dbraw/zinc/75/05/04/813750504.db2.gz MKJCTAMKKKBXBX-JTQLQIEISA-N 0 1 275.356 0.368 20 30 CCEDMN C=CCCC(=O)N[C@@]1(C)CCN([C@H]2CCCNC2=O)C1 ZINC001270558709 813808871 /nfs/dbraw/zinc/80/88/71/813808871.db2.gz SOEAIOSDMSVAKI-WFASDCNBSA-N 0 1 279.384 0.812 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)CC2(O)CCC2)C1 ZINC001270582821 813825732 /nfs/dbraw/zinc/82/57/32/813825732.db2.gz KMHKMMKDLGWWIQ-CQSZACIVSA-N 0 1 264.369 0.895 20 30 CCEDMN C=CCOCCN1CC[C@@](C)(NC(=O)c2cnon2)C1 ZINC001270643238 813865012 /nfs/dbraw/zinc/86/50/12/813865012.db2.gz UBJPVIWSYPSFCN-CYBMUJFWSA-N 0 1 280.328 0.466 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCc2cncn2C)C1 ZINC001270650210 813871558 /nfs/dbraw/zinc/87/15/58/813871558.db2.gz ROWDFFXWGFFCSD-HNNXBMFYSA-N 0 1 274.368 0.567 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@H](C)CC(N)=O)C1 ZINC001270666128 813880493 /nfs/dbraw/zinc/88/04/93/813880493.db2.gz RVSUZJVGMIJRBH-OLZOCXBDSA-N 0 1 297.399 0.281 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCCO ZINC001029242754 814012724 /nfs/dbraw/zinc/01/27/24/814012724.db2.gz KBVAJZDPSRBTCI-SDDRHHMPSA-N 0 1 251.330 0.204 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2[C@@H]1CCN(C)C1=O ZINC001029244331 814013638 /nfs/dbraw/zinc/01/36/38/814013638.db2.gz CXYVOEVUGJELKJ-FVCCEPFGSA-N 0 1 290.367 0.052 20 30 CCEDMN CC#CC(=O)N1CCc2c(n[nH]c2C(=O)N2CC=CC2)C1 ZINC001271095458 814150171 /nfs/dbraw/zinc/15/01/71/814150171.db2.gz VMPYIJNFKKLUTH-UHFFFAOYSA-N 0 1 284.319 0.330 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)NC2)[C@H]1CC ZINC001087635178 814177559 /nfs/dbraw/zinc/17/75/59/814177559.db2.gz NXQQKCDVMJBFTQ-FRRDWIJNSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)n(C)n2)[C@H]1CC ZINC001087651677 814181512 /nfs/dbraw/zinc/18/15/12/814181512.db2.gz CEXNDJBMKWRACZ-WCQYABFASA-N 0 1 290.367 0.645 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn3nnnc3c2)[C@H]1CC ZINC001087684027 814185202 /nfs/dbraw/zinc/18/52/02/814185202.db2.gz ANOFGGMCLLYPAV-QWHCGFSZSA-N 0 1 298.350 0.340 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2cnn(CC)c2)C1 ZINC001271130121 814186233 /nfs/dbraw/zinc/18/62/33/814186233.db2.gz BLFMKWKCZLMPBY-HNNXBMFYSA-N 0 1 290.367 0.093 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)n2)[C@H]1CC ZINC001087761278 814205472 /nfs/dbraw/zinc/20/54/72/814205472.db2.gz JYZHTRCQGVZVLH-CMPLNLGQSA-N 0 1 261.329 0.031 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001271185444 814328694 /nfs/dbraw/zinc/32/86/94/814328694.db2.gz ZKSTYBPXMUNUFA-UMVBOHGHSA-N 0 1 264.369 0.609 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C[C@H]2C(=O)NCc2cnc[nH]2)C1 ZINC001271220672 814340075 /nfs/dbraw/zinc/34/00/75/814340075.db2.gz JEUQIKRTGMVPMX-KOLCDFICSA-N 0 1 287.323 0.034 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1c(C)noc1CC ZINC001127052104 815605357 /nfs/dbraw/zinc/60/53/57/815605357.db2.gz RRFLOJOLOCRTBB-GFCCVEGCSA-N 0 1 293.367 0.790 20 30 CCEDMN CC(C)[C@@H]1C[C@@H]1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001271931553 814634613 /nfs/dbraw/zinc/63/46/13/814634613.db2.gz CRSPBERTYJPHCS-GJZGRUSLSA-N 0 1 292.427 0.972 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)c2cscn2)C1 ZINC001271949805 814644208 /nfs/dbraw/zinc/64/42/08/814644208.db2.gz KCGCZCBOCPIOCM-AWEZNQCLSA-N 0 1 293.392 0.723 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)CCN(C)CC#CCOC ZINC001272005785 814700519 /nfs/dbraw/zinc/70/05/19/814700519.db2.gz XOIMTNDASKZOAZ-HNNXBMFYSA-N 0 1 282.384 0.354 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cnoc1C ZINC001127032487 815582875 /nfs/dbraw/zinc/58/28/75/815582875.db2.gz YDFWZQPEDHXLAA-UHFFFAOYSA-N 0 1 265.313 0.229 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](C)NC(=O)C1CCCC1 ZINC001272020829 814737502 /nfs/dbraw/zinc/73/75/02/814737502.db2.gz QRWYGVJBPQMCBU-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCOC2)[C@H]1C ZINC001088488404 814738612 /nfs/dbraw/zinc/73/86/12/814738612.db2.gz CEQBMCMPHGOYEX-UPJWGTAASA-N 0 1 250.342 0.625 20 30 CCEDMN CCOC(=O)C1CO[C@@H](C)C1=Nc1nnccc1C#N ZINC001168158319 814762941 /nfs/dbraw/zinc/76/29/41/814762941.db2.gz MLHSQERVFQEAFD-QMMMGPOBSA-N 0 1 274.280 0.996 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC001088542986 814863937 /nfs/dbraw/zinc/86/39/37/814863937.db2.gz DMVFWMSHPQGPRF-RISCZKNCSA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC001088542986 814863942 /nfs/dbraw/zinc/86/39/42/814863942.db2.gz DMVFWMSHPQGPRF-RISCZKNCSA-N 0 1 277.368 0.067 20 30 CCEDMN Cc1nc(CN2CC(N(C)C(=O)c3c[nH]c(C#N)c3)C2)n[nH]1 ZINC001042638782 814878099 /nfs/dbraw/zinc/87/80/99/814878099.db2.gz SUCJIEMCWFXUJL-UHFFFAOYSA-N 0 1 299.338 0.269 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H]1COCCN1CC ZINC001272054906 814887904 /nfs/dbraw/zinc/88/79/04/814887904.db2.gz FGWNMNFCMBJWJV-AWEZNQCLSA-N 0 1 281.400 0.121 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2nnc(C)o2)[C@H]1C ZINC001088553788 814888328 /nfs/dbraw/zinc/88/83/28/814888328.db2.gz AHEQDEAQEGPHOI-PWSUYJOCSA-N 0 1 276.340 0.523 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCC#Cc1ccccc1 ZINC001127039210 815589471 /nfs/dbraw/zinc/58/94/71/815589471.db2.gz FWVSMIWXVMZYSF-OAHLLOKOSA-N 0 1 284.359 0.782 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)C2(C3CC3)CCC2)[C@@H](O)C1 ZINC001083674814 815649729 /nfs/dbraw/zinc/64/97/29/815649729.db2.gz WHDCGQCSMQABSA-KGLIPLIRSA-N 0 1 276.380 0.751 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C3CC3)CCC2)[C@@H](O)C1 ZINC001083674814 815649732 /nfs/dbraw/zinc/64/97/32/815649732.db2.gz WHDCGQCSMQABSA-KGLIPLIRSA-N 0 1 276.380 0.751 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC1CN(Cc2ccc(C#N)cc2)C1 ZINC001030156121 815844028 /nfs/dbraw/zinc/84/40/28/815844028.db2.gz UOBUTCOWEQELSX-MRXNPFEDSA-N 0 1 298.390 0.953 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@@H]2C[N@H+]3CCC[C@H]3CO2)C1 ZINC001030345176 815986183 /nfs/dbraw/zinc/98/61/83/815986183.db2.gz ADRFXTIFKKAEKJ-KBPBESRZSA-N 0 1 279.384 0.226 20 30 CCEDMN C#CCN1CC(NC(=O)[C@H]2CCc3[nH]c(C)nc3C2)C1 ZINC001030554237 816105245 /nfs/dbraw/zinc/10/52/45/816105245.db2.gz JTFKGVZGZGDCPM-NSHDSACASA-N 0 1 272.352 0.257 20 30 CCEDMN C=CCN1CC(NC(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001030659489 816189960 /nfs/dbraw/zinc/18/99/60/816189960.db2.gz BNJYZLPHXJVTBW-UHFFFAOYSA-N 0 1 283.335 0.867 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001045924602 816193156 /nfs/dbraw/zinc/19/31/56/816193156.db2.gz MSQYJXFZYYXIIS-SWLSCSKDSA-N 0 1 292.383 0.336 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)CN2C(=O)CCC2=O)[C@H]1C ZINC001089028663 816218377 /nfs/dbraw/zinc/21/83/77/816218377.db2.gz HVHJALHXFFYAPE-NEPJUHHUSA-N 0 1 293.367 0.291 20 30 CCEDMN C#CCCCC(=O)NC[C@H](O)CNCc1nccnc1C ZINC001272406678 816395772 /nfs/dbraw/zinc/39/57/72/816395772.db2.gz OJKALLSNOKYVMX-CYBMUJFWSA-N 0 1 290.367 0.155 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](O)CNCc1cc(C)n(C)n1 ZINC001272407136 816396159 /nfs/dbraw/zinc/39/61/59/816396159.db2.gz XEZGXAFUZDTLLW-AWEZNQCLSA-N 0 1 292.383 0.099 20 30 CCEDMN Cc1cc(CNC[C@@H](O)CNC(=O)C#CC(C)C)ncn1 ZINC001272406919 816397493 /nfs/dbraw/zinc/39/74/93/816397493.db2.gz RTVAGXCJQJLYER-CQSZACIVSA-N 0 1 290.367 0.011 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@]2(C)C=CCC2)[C@@H](O)C1 ZINC001083707361 816522772 /nfs/dbraw/zinc/52/27/72/816522772.db2.gz FAMHHMMRLMZZCV-IPYPFGDCSA-N 0 1 262.353 0.527 20 30 CCEDMN C[C@H](O)CN1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234205910 816525474 /nfs/dbraw/zinc/52/54/74/816525474.db2.gz MKGZXLVDYOUXJV-STQMWFEESA-N 0 1 266.385 0.949 20 30 CCEDMN C=CCN1C[C@]2(CCN(Cc3cc(C)[nH]n3)C2)OCC1=O ZINC001272543506 816567950 /nfs/dbraw/zinc/56/79/50/816567950.db2.gz NLKBHDSCKDRZOY-OAHLLOKOSA-N 0 1 290.367 0.707 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2Cc2c[nH]nn2)C1=O ZINC001272570104 816589791 /nfs/dbraw/zinc/58/97/91/816589791.db2.gz OQNSVVWSXSGUHG-CYBMUJFWSA-N 0 1 259.313 0.005 20 30 CCEDMN C=C(C)CN1CC[C@@]2(CCCN2Cc2c[nH]nn2)C1=O ZINC001272568836 816590329 /nfs/dbraw/zinc/59/03/29/816590329.db2.gz HDZCKROPJPQWLQ-AWEZNQCLSA-N 0 1 275.356 0.948 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2Cc2c[nH]nn2)C1=O ZINC001272571399 816592760 /nfs/dbraw/zinc/59/27/60/816592760.db2.gz ZCJMULGUGJSQBA-ZDUSSCGKSA-N 0 1 261.329 0.558 20 30 CCEDMN C=CCOCCN1CC(C)(C)CC[C@H]1CNC(=O)C(N)=O ZINC001099208052 816709813 /nfs/dbraw/zinc/70/98/13/816709813.db2.gz MGMMFPZKERQLMO-LBPRGKRZSA-N 0 1 297.399 0.281 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2CC3(CCC3)C2)C1 ZINC001077536565 816739036 /nfs/dbraw/zinc/73/90/36/816739036.db2.gz LENCDZWTLVKTTF-ZIAGYGMSSA-N 0 1 276.380 0.751 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCc3cccnc32)[C@@H](O)C1 ZINC001083732531 816822251 /nfs/dbraw/zinc/82/22/51/816822251.db2.gz AMWGWRQJSMYSAP-KFWWJZLASA-N 0 1 299.374 0.296 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCc3cccnc32)[C@@H](O)C1 ZINC001083732531 816822258 /nfs/dbraw/zinc/82/22/58/816822258.db2.gz AMWGWRQJSMYSAP-KFWWJZLASA-N 0 1 299.374 0.296 20 30 CCEDMN C#CCCN1CC(NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001031215103 816824298 /nfs/dbraw/zinc/82/42/98/816824298.db2.gz ATGBDOKULLCOHT-NSHDSACASA-N 0 1 272.352 0.338 20 30 CCEDMN C[C@@H](CCNc1ccc(C#N)nc1)NC(=O)c1cnn[nH]1 ZINC001106638494 816829596 /nfs/dbraw/zinc/82/95/96/816829596.db2.gz OUHBHNMFTGPTQB-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC1CN(CC#N)C1 ZINC001031268799 816917134 /nfs/dbraw/zinc/91/71/34/816917134.db2.gz ULKIMTHGILDSDF-UHFFFAOYSA-N 0 1 259.313 0.085 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1C(F)(F)F ZINC001099273949 816919663 /nfs/dbraw/zinc/91/96/63/816919663.db2.gz NVGXPTRWWWVZHX-VXNVDRBHSA-N 0 1 287.245 0.030 20 30 CCEDMN N#Cc1ccc(CN2CC(NC(=O)CN3CCCC3)C2)cc1 ZINC001031389332 817023281 /nfs/dbraw/zinc/02/32/81/817023281.db2.gz KXFGMIYVNLSNTN-UHFFFAOYSA-N 0 1 298.390 0.954 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NCC1CN(CCOC)C1 ZINC001031678699 817238689 /nfs/dbraw/zinc/23/86/89/817238689.db2.gz SABQXUMREUFFDO-KGLIPLIRSA-N 0 1 282.384 0.662 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CN(CCCF)C[C@H]1OC ZINC001212257537 817258590 /nfs/dbraw/zinc/25/85/90/817258590.db2.gz DJCVIZJDEPBKNE-YNEHKIRRSA-N 0 1 286.347 0.200 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)c1[nH]nnc1C ZINC001089678636 817309602 /nfs/dbraw/zinc/30/96/02/817309602.db2.gz UEWVXPCGANQLAG-GFCCVEGCSA-N 0 1 289.383 0.967 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1cnsn1 ZINC001124153959 817318130 /nfs/dbraw/zinc/31/81/30/817318130.db2.gz ABMLYPXUPBUELW-UHFFFAOYSA-N 0 1 287.348 0.929 20 30 CCEDMN C=CCN1CC(CNC(=O)c2nc3ncc(C)cn3n2)C1 ZINC001031757247 817340878 /nfs/dbraw/zinc/34/08/78/817340878.db2.gz KZMIHWCCQDHPOP-UHFFFAOYSA-N 0 1 286.339 0.280 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)NCC1CN(CC#N)C1 ZINC001031856843 817420833 /nfs/dbraw/zinc/42/08/33/817420833.db2.gz KFNMCTBBAJQFOH-IINYFYTJSA-N 0 1 287.367 0.647 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCc3nnn(C)c3C2)C1 ZINC001031926260 817471088 /nfs/dbraw/zinc/47/10/88/817471088.db2.gz NECGTOURPLAJEV-LBPRGKRZSA-N 0 1 289.383 0.154 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccc(OC)o2)C1 ZINC001032069668 817601963 /nfs/dbraw/zinc/60/19/63/817601963.db2.gz XIIUFGASAVPHKR-UHFFFAOYSA-N 0 1 262.309 0.973 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)CC2CC(OCC)C2)C1 ZINC001047499058 817603358 /nfs/dbraw/zinc/60/33/58/817603358.db2.gz VVTXUOPTUWANSY-WUCCLRPBSA-N 0 1 296.411 0.881 20 30 CCEDMN C[C@@H](NCCNC(=O)[C@@H](C)C#N)C(=O)Nc1nccs1 ZINC001124896595 817623347 /nfs/dbraw/zinc/62/33/47/817623347.db2.gz OPFBQVNQMXZZKR-DTWKUNHWSA-N 0 1 295.368 0.336 20 30 CCEDMN C#CC[N@H+]1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(C(=O)Cc2nnc[n-]2)C1 ZINC001089888041 817668641 /nfs/dbraw/zinc/66/86/41/817668641.db2.gz CDMHVEGMKCLKJO-XUXIUFHCSA-N 0 1 299.378 0.149 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@H]1CC[C@@H]2N(C(=O)Cc2nnc[n-]2)C1 ZINC001089888041 817668645 /nfs/dbraw/zinc/66/86/45/817668645.db2.gz CDMHVEGMKCLKJO-XUXIUFHCSA-N 0 1 299.378 0.149 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cncc(C(N)=O)c2)C1 ZINC001032194585 817691058 /nfs/dbraw/zinc/69/10/58/817691058.db2.gz SYNIRBZOPHKIFO-UHFFFAOYSA-N 0 1 274.324 0.028 20 30 CCEDMN C=C(C)CCN1CC(n2cc(CNC(=O)COC)nn2)C1 ZINC001107109604 817751071 /nfs/dbraw/zinc/75/10/71/817751071.db2.gz YHAMVTYXRDNMFX-UHFFFAOYSA-N 0 1 293.371 0.364 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1nnn(C(C)(C)C)n1 ZINC001127765233 817845215 /nfs/dbraw/zinc/84/52/15/817845215.db2.gz GZLWPZQPVYJIAN-UHFFFAOYSA-N 0 1 286.767 0.500 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(F)c(C)c2)C1 ZINC001077605114 817867354 /nfs/dbraw/zinc/86/73/54/817867354.db2.gz XRXLYFQQQVTMBL-HUUCEWRRSA-N 0 1 290.338 0.932 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(CCOC)C[C@H]2O)CC1 ZINC001077622350 817879554 /nfs/dbraw/zinc/87/95/54/817879554.db2.gz FOMFEIIPBZFVKU-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cccnc1 ZINC001032357859 817981840 /nfs/dbraw/zinc/98/18/40/817981840.db2.gz IXLBSOZKFPFYRA-GJZGRUSLSA-N 0 1 269.348 0.933 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001077706506 818032429 /nfs/dbraw/zinc/03/24/29/818032429.db2.gz OZKFZZKLKBISML-KSTCHIGDSA-N 0 1 280.368 0.291 20 30 CCEDMN C=C(C)CN1CCO[C@](C)(CNC(=O)[C@@H]2CCCN2C)C1 ZINC001107817630 818032719 /nfs/dbraw/zinc/03/27/19/818032719.db2.gz VAUKQFLTVBQIDX-GOEBONIOSA-N 0 1 295.427 0.864 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cn(C)nn1 ZINC001032819277 818065974 /nfs/dbraw/zinc/06/59/74/818065974.db2.gz RMWVEODHAGLYFY-KBPBESRZSA-N 0 1 289.383 0.609 20 30 CCEDMN CC(=O)N[C@@H]1CCN(Cc2cccc(C#N)c2)C[C@@H]1O ZINC001089974488 818092331 /nfs/dbraw/zinc/09/23/31/818092331.db2.gz AUTLQOPQVANTLE-CABCVRRESA-N 0 1 273.336 0.630 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)COCCN(Cc1ccncc1)C2 ZINC001272948877 818104210 /nfs/dbraw/zinc/10/42/10/818104210.db2.gz GDZVTNBITLXXIP-QGZVFWFLSA-N 0 1 299.374 0.766 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(F)F)[C@H](O)C1 ZINC001089998774 818245777 /nfs/dbraw/zinc/24/57/77/818245777.db2.gz AAVNLTQWIMLPEW-NXEZZACHSA-N 0 1 260.284 0.216 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccncc2)[C@H](O)C1 ZINC001090012656 818269905 /nfs/dbraw/zinc/26/99/05/818269905.db2.gz KGNZTVBKQOKCPR-CHWSQXEVSA-N 0 1 261.325 0.433 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cc(C)n[nH]2)C1 ZINC001032938308 818371732 /nfs/dbraw/zinc/37/17/32/818371732.db2.gz FVCZTMQMASOWDD-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cc(C)[nH]n2)C1 ZINC001032938308 818371739 /nfs/dbraw/zinc/37/17/39/818371739.db2.gz FVCZTMQMASOWDD-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cn(C)nc2C)C1 ZINC001032958393 818386799 /nfs/dbraw/zinc/38/67/99/818386799.db2.gz QSENDCDQDMXBDX-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cn(C)nc2C)C1 ZINC001032958392 818387808 /nfs/dbraw/zinc/38/78/08/818387808.db2.gz QSENDCDQDMXBDX-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)[C@H]2CCC[NH+]2C)C1 ZINC001032960619 818389331 /nfs/dbraw/zinc/38/93/31/818389331.db2.gz XVWLAKPNTLJJCH-UONOGXRCSA-N 0 1 263.385 0.637 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C)no2)[C@H](O)C1 ZINC001090058502 818412547 /nfs/dbraw/zinc/41/25/47/818412547.db2.gz SDTWSNWPDNVNRV-NWDGAFQWSA-N 0 1 279.340 0.724 20 30 CCEDMN CN(C(=O)c1cc(C#N)c[nH]1)[C@H]1CCN(CCO)C1 ZINC001032992447 818414771 /nfs/dbraw/zinc/41/47/71/818414771.db2.gz PYKVUKQLUGKXLT-NSHDSACASA-N 0 1 262.313 0.025 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccon2)[C@@H](O)C1 ZINC001090096569 818506033 /nfs/dbraw/zinc/50/60/33/818506033.db2.gz RWUSCNLACXHLBI-PWSUYJOCSA-N 0 1 265.313 0.416 20 30 CCEDMN CN(C(=O)c1cccc2nn[nH]c21)[C@@H]1CCN(CC#N)C1 ZINC001033101214 818519792 /nfs/dbraw/zinc/51/97/92/818519792.db2.gz CZMPZFRIAUNSMB-SNVBAGLBSA-N 0 1 284.323 0.628 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033126221 818557637 /nfs/dbraw/zinc/55/76/37/818557637.db2.gz GPGGCOQGXHDQBM-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cc2cn(C)nc2C)C1 ZINC001033148289 818572680 /nfs/dbraw/zinc/57/26/80/818572680.db2.gz IIYXIFJLLVDNBN-CQSZACIVSA-N 0 1 276.384 0.990 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(=O)n(C)cn2)C1 ZINC001033161599 818588441 /nfs/dbraw/zinc/58/84/41/818588441.db2.gz NQLNPURQTMGIDV-NSHDSACASA-N 0 1 276.340 0.113 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ncoc2C)[C@H](O)C1 ZINC001090117482 818643758 /nfs/dbraw/zinc/64/37/58/818643758.db2.gz BYGOXNGDUJWGIQ-NWDGAFQWSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ccc(=O)n(C)c2)C1 ZINC001033218587 818659254 /nfs/dbraw/zinc/65/92/54/818659254.db2.gz ZZGWMKVXBGSYFV-CYBMUJFWSA-N 0 1 273.336 0.165 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cccc3ncnn32)C1 ZINC001033236098 818667957 /nfs/dbraw/zinc/66/79/57/818667957.db2.gz XWIKHVSBUYTMHO-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncoc2C)[C@H](O)C1 ZINC001090125017 818672351 /nfs/dbraw/zinc/67/23/51/818672351.db2.gz UDEMIZBFOGXYBH-WDEREUQCSA-N 0 1 265.313 0.334 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001033284225 818690151 /nfs/dbraw/zinc/69/01/51/818690151.db2.gz VEQBEFXBHPJUIF-KFWWJZLASA-N 0 1 276.380 0.967 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2cnoc2)C1 ZINC001033353440 818725111 /nfs/dbraw/zinc/72/51/11/818725111.db2.gz OONGJVURZFFBEJ-CYBMUJFWSA-N 0 1 261.325 0.773 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001033351768 818732080 /nfs/dbraw/zinc/73/20/80/818732080.db2.gz SFXSBRKOJCBFET-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cn(C)nc2Cl)C1 ZINC001033414746 818761486 /nfs/dbraw/zinc/76/14/86/818761486.db2.gz DSQBXBXQWHWMKL-LBPRGKRZSA-N 0 1 294.786 0.782 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033427865 818773013 /nfs/dbraw/zinc/77/30/13/818773013.db2.gz KQQODPLEUYTFSI-FRRDWIJNSA-N 0 1 279.384 0.607 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cn2cc(Cl)cn2)C1 ZINC001033474548 818797166 /nfs/dbraw/zinc/79/71/66/818797166.db2.gz MKZIXEKEBUXPRJ-GFCCVEGCSA-N 0 1 280.759 0.702 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2n[nH]c(C)c2C)[C@H](O)C1 ZINC001090138641 818808970 /nfs/dbraw/zinc/80/89/70/818808970.db2.gz XCXUCAMTVUGHAL-QWHCGFSZSA-N 0 1 292.383 0.768 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2c(OC)cc[nH]c2=O)C1 ZINC001033589233 818841510 /nfs/dbraw/zinc/84/15/10/818841510.db2.gz PXEHQAWUVNZOOZ-NSHDSACASA-N 0 1 289.335 0.575 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](N(CC)C(C)=O)C2)C1=O ZINC001033716895 818902270 /nfs/dbraw/zinc/90/22/70/818902270.db2.gz COXWIFHBXPUWLU-KBPBESRZSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001033740075 818909781 /nfs/dbraw/zinc/90/97/81/818909781.db2.gz QZLFIUDYOVBYLB-NSHDSACASA-N 0 1 276.340 0.905 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCOC2)C1 ZINC001033772304 818926292 /nfs/dbraw/zinc/92/62/92/818926292.db2.gz ILLQNLODAIBJJA-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033790356 818941005 /nfs/dbraw/zinc/94/10/05/818941005.db2.gz BVQXMBMSTZGVHE-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033790356 818941008 /nfs/dbraw/zinc/94/10/08/818941008.db2.gz BVQXMBMSTZGVHE-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033882929 818977965 /nfs/dbraw/zinc/97/79/65/818977965.db2.gz VJAOLJKGUPJJGW-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2CCOCC2)[C@@H](O)C1 ZINC001090148613 818980001 /nfs/dbraw/zinc/98/00/01/818980001.db2.gz BIDHDBYXYUNSRV-KBPBESRZSA-N 0 1 282.384 0.541 20 30 CCEDMN CCN(C(=O)[C@@H]1CCCCN1C)[C@@H]1CCN(CC#N)C1 ZINC001033927926 818992273 /nfs/dbraw/zinc/99/22/73/818992273.db2.gz RRKFGGFWBMZUKI-KGLIPLIRSA-N 0 1 278.400 0.917 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001033923424 818998433 /nfs/dbraw/zinc/99/84/33/818998433.db2.gz TZHUSNYDZLVUSG-VXGBXAGGSA-N 0 1 280.372 0.119 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033932389 819000871 /nfs/dbraw/zinc/00/08/71/819000871.db2.gz MRKSHXYPODLUCP-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C#N)c[nH]2)[C@@H](O)C1 ZINC001090171241 819009214 /nfs/dbraw/zinc/00/92/14/819009214.db2.gz OKRWINDYQDOPBJ-AAEUAGOBSA-N 0 1 274.324 0.237 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033939614 819014355 /nfs/dbraw/zinc/01/43/55/819014355.db2.gz BVUQYHDRZFODFQ-LLVKDONJSA-N 0 1 278.356 0.848 20 30 CCEDMN C=CCN1CCCC[C@H](NC(=O)c2nccnc2N)C1 ZINC001034141633 819085785 /nfs/dbraw/zinc/08/57/85/819085785.db2.gz HDWSANVGTHCCGX-NSHDSACASA-N 0 1 275.356 0.829 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)ncn2)[C@@H](O)C1 ZINC001090199822 819134439 /nfs/dbraw/zinc/13/44/39/819134439.db2.gz KDNUFACXPQMRHQ-AAEUAGOBSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@H]1CCCCN(CC#C)C1 ZINC001034247415 819134602 /nfs/dbraw/zinc/13/46/02/819134602.db2.gz NNQXMXALEQBNCK-HOTGVXAUSA-N 0 1 287.407 0.688 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001034270300 819148321 /nfs/dbraw/zinc/14/83/21/819148321.db2.gz YYSMCCDSHBVSHV-BBRMVZONSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cccc3ncnn32)C1 ZINC001034291988 819158008 /nfs/dbraw/zinc/15/80/08/819158008.db2.gz AFYWPGQGKJEKQU-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001034323889 819173174 /nfs/dbraw/zinc/17/31/74/819173174.db2.gz RARVZZYDVOGLAP-BBRMVZONSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@]2(C)CCNC2=O)C1 ZINC001034395264 819193624 /nfs/dbraw/zinc/19/36/24/819193624.db2.gz VCBAQUOMHKTWFX-IUODEOHRSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccn(C)n2)C1 ZINC001034526932 819229964 /nfs/dbraw/zinc/22/99/64/819229964.db2.gz BZRQAXDROIFPCV-GFCCVEGCSA-N 0 1 260.341 0.638 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnn2CC)[C@H](O)C1 ZINC001090219641 819230383 /nfs/dbraw/zinc/23/03/83/819230383.db2.gz UJJGTNHSTSQJIT-WCQYABFASA-N 0 1 278.356 0.254 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001034553798 819243202 /nfs/dbraw/zinc/24/32/02/819243202.db2.gz KWSMSKYJMZVJIT-STQMWFEESA-N 0 1 292.383 0.133 20 30 CCEDMN CCN1C[C@@H](NC(=O)C#CC(C)C)[C@@H](n2ccnn2)C1 ZINC001128975934 819254950 /nfs/dbraw/zinc/25/49/50/819254950.db2.gz AMXCKBFMCYZDPV-OLZOCXBDSA-N 0 1 275.356 0.299 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccncc2C)[C@@H](O)C1 ZINC001090237565 819288191 /nfs/dbraw/zinc/28/81/91/819288191.db2.gz PGTFVFAASYMWPM-KBPBESRZSA-N 0 1 275.352 0.741 20 30 CCEDMN C=C(C)CN1CCO[C@@H](CNC(=O)[C@H]2CCCN2C)C1 ZINC001035308103 819459323 /nfs/dbraw/zinc/45/93/23/819459323.db2.gz UPRZDHZDEBAARB-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2cncnc2)C1 ZINC001035345718 819484707 /nfs/dbraw/zinc/48/47/07/819484707.db2.gz CHNAVOUIYMMOFI-CQSZACIVSA-N 0 1 290.367 0.873 20 30 CCEDMN C=CCN1CCO[C@H](CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001035413427 819517819 /nfs/dbraw/zinc/51/78/19/819517819.db2.gz CELPFAGTMMTCAE-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](C)n1cncn1)CC2 ZINC001035689737 819605211 /nfs/dbraw/zinc/60/52/11/819605211.db2.gz FCVSILWGLHXGOH-CYBMUJFWSA-N 0 1 287.367 0.397 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@]1(C)CCNC1=O)CC2 ZINC001035796010 819626194 /nfs/dbraw/zinc/62/61/94/819626194.db2.gz XQYYPQZVNYDVEM-HNNXBMFYSA-N 0 1 291.395 0.623 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@H]1CCOC[C@@H]1OC ZINC001129208168 819651673 /nfs/dbraw/zinc/65/16/73/819651673.db2.gz QDQKULUXQMUOMB-NEPJUHHUSA-N 0 1 290.791 0.886 20 30 CCEDMN C#CC1(O)CCN([C@@H](C)C(=O)NCC(C)C)CC1 ZINC000708157812 819761741 /nfs/dbraw/zinc/76/17/41/819761741.db2.gz FIZGEMHVHBNUST-LBPRGKRZSA-N 0 1 252.358 0.607 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CS(C)(=O)=O)CC[C@H]21 ZINC001036650434 819866933 /nfs/dbraw/zinc/86/69/33/819866933.db2.gz NIOJLFGZYFXMRE-VXGBXAGGSA-N 0 1 286.397 0.140 20 30 CCEDMN C#CCCS(=O)(=O)NC1CCN(C2CC2)CC1 ZINC000711011392 819874365 /nfs/dbraw/zinc/87/43/65/819874365.db2.gz WLNLCBGGGGOQTH-UHFFFAOYSA-N 0 1 256.371 0.556 20 30 CCEDMN C=CCCC(=O)NCC1(NC(=O)[C@@H]2CC2[N+](=O)[O-])CCC1 ZINC001062685866 820018122 /nfs/dbraw/zinc/01/81/22/820018122.db2.gz RAXHFGUKJMAUON-GHMZBOCLSA-N 0 1 295.339 0.773 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CC)ccn2)[C@H](O)C1 ZINC001090275666 820053775 /nfs/dbraw/zinc/05/37/75/820053775.db2.gz MIEVNGYIGFVXNL-UKRRQHHQSA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCN1CCO[C@](C)(CNC(=O)C2=NC(=O)N(C)C2)C1 ZINC001108184781 820096723 /nfs/dbraw/zinc/09/67/23/820096723.db2.gz XSAQJFSXDNMWLC-CQSZACIVSA-N 0 1 294.355 0.132 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCOC(C)C)[C@H](O)C1 ZINC001099693266 820140811 /nfs/dbraw/zinc/14/08/11/820140811.db2.gz OPBAYGSTLWWSCG-ZIAGYGMSSA-N 0 1 282.384 0.376 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc[nH]c2)[C@H](O)C1 ZINC001090289392 820142236 /nfs/dbraw/zinc/14/22/36/820142236.db2.gz IJMOUWAXVRLWJJ-QWHCGFSZSA-N 0 1 261.325 0.203 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)COc1ccccc1C#N ZINC000712268962 820247952 /nfs/dbraw/zinc/24/79/52/820247952.db2.gz ZZGWKBDRXOGVHW-GFCCVEGCSA-N 0 1 259.309 0.757 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cncs2)[C@@H](O)C1 ZINC001090341990 820284180 /nfs/dbraw/zinc/28/41/80/820284180.db2.gz MTNNMMFFHHSQGZ-NEPJUHHUSA-N 0 1 281.381 0.423 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC001079469118 820403399 /nfs/dbraw/zinc/40/33/99/820403399.db2.gz XXQOAWGJJJMGGW-ZWNOBZJWSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCC2CC2)[C@@H](O)C1 ZINC001099701726 820406233 /nfs/dbraw/zinc/40/62/33/820406233.db2.gz IRXNGZDECTWBKQ-OLZOCXBDSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)n2cncn2)C1 ZINC001079596344 820434913 /nfs/dbraw/zinc/43/49/13/820434913.db2.gz ZYMWBGPEBCCYLT-GRYCIOLGSA-N 0 1 263.345 0.462 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC001079684018 820451860 /nfs/dbraw/zinc/45/18/60/820451860.db2.gz RUMCWDUALSIPKH-TZMCWYRMSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc3n[nH]nc32)C1 ZINC001079755555 820462006 /nfs/dbraw/zinc/46/20/06/820462006.db2.gz SQNFJJKILYQBOW-ZWNOBZJWSA-N 0 1 283.335 0.641 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001079836492 820474494 /nfs/dbraw/zinc/47/44/94/820474494.db2.gz CMWWQLQOXLDWBE-HZSPNIEDSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCN2CC#C)C1 ZINC001079878333 820480396 /nfs/dbraw/zinc/48/03/96/820480396.db2.gz SREAHDUXJSORGA-KFWWJZLASA-N 0 1 273.380 0.154 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001079911840 820483895 /nfs/dbraw/zinc/48/38/95/820483895.db2.gz VVZKTMYFYZEUFH-MWLCHTKSSA-N 0 1 276.340 0.497 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C2CC(OC)C2)C1 ZINC001079922424 820487880 /nfs/dbraw/zinc/48/78/80/820487880.db2.gz YGYUHZABWOFJAN-BLYZHGLHSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccc(F)cn2)C1 ZINC001079974012 820495893 /nfs/dbraw/zinc/49/58/93/820495893.db2.gz SQNJVCXXAHZHOK-BXUZGUMPSA-N 0 1 275.327 0.833 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001079990690 820496544 /nfs/dbraw/zinc/49/65/44/820496544.db2.gz KHTBMRJFAIWKOH-MGPQQGTHSA-N 0 1 286.379 0.515 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cn2nccc2C)C1 ZINC001080532959 820589880 /nfs/dbraw/zinc/58/98/80/820589880.db2.gz GGVXCXAQHRXIJG-DGCLKSJQSA-N 0 1 260.341 0.261 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CSCCC)[C@@H](O)C1 ZINC001099716242 820591898 /nfs/dbraw/zinc/59/18/98/820591898.db2.gz SKQVZQBCTWYLMG-STQMWFEESA-N 0 1 284.425 0.704 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(CC3CC3)c2)C1 ZINC001080737601 820627727 /nfs/dbraw/zinc/62/77/27/820627727.db2.gz RTOSADDRLRTWRH-IUODEOHRSA-N 0 1 286.379 0.976 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC001080757202 820633351 /nfs/dbraw/zinc/63/33/51/820633351.db2.gz OHORNQQMMYTGFY-UKRRQHHQSA-N 0 1 288.395 0.905 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cn(CC)cn2)C1 ZINC001080790385 820639090 /nfs/dbraw/zinc/63/90/90/820639090.db2.gz ABWCQLYJQAZZRR-CHWSQXEVSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001080784466 820639638 /nfs/dbraw/zinc/63/96/38/820639638.db2.gz WVXLGADNJKKVGG-VXGBXAGGSA-N 0 1 274.368 0.757 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCCO2)[C@H](OC)C1 ZINC001081326043 820728930 /nfs/dbraw/zinc/72/89/30/820728930.db2.gz HBGKLKRPWUJEQG-FRRDWIJNSA-N 0 1 266.341 0.004 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccoc2)[C@H](OC)C1 ZINC001081369007 820746318 /nfs/dbraw/zinc/74/63/18/820746318.db2.gz FKHBKECSPRIORK-CHWSQXEVSA-N 0 1 262.309 0.732 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnn(C)c2C)[C@H](OC)C1 ZINC001081370226 820749491 /nfs/dbraw/zinc/74/94/91/820749491.db2.gz SNYJRHVYCSBNBZ-ZIAGYGMSSA-N 0 1 290.367 0.181 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CCCOC2)[C@H](OC)C1 ZINC001081434979 820751739 /nfs/dbraw/zinc/75/17/39/820751739.db2.gz HOYGGYIYQXDVOT-MGPQQGTHSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(CCOCC2CC2)C[C@@H]1O ZINC001099725845 820782766 /nfs/dbraw/zinc/78/27/66/820782766.db2.gz ZQJGROOAORZFBQ-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cncnc2)[C@H](OC)C1 ZINC001081602568 820803142 /nfs/dbraw/zinc/80/31/42/820803142.db2.gz ZANCGKAXTMOYIG-ZIAGYGMSSA-N 0 1 290.367 0.411 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccn(C)c2C)[C@H](OC)C1 ZINC001082108642 820902804 /nfs/dbraw/zinc/90/28/04/820902804.db2.gz LEHAIDGXRQYFJL-HUUCEWRRSA-N 0 1 289.379 0.786 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CC[C@@H](C)O2)[C@H](OC)C1 ZINC001082153591 820910065 /nfs/dbraw/zinc/91/00/65/820910065.db2.gz PDEYLIIJTPGCIP-AAVRWANBSA-N 0 1 280.368 0.393 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)[C@H](OC)C1 ZINC001082155495 820910521 /nfs/dbraw/zinc/91/05/21/820910521.db2.gz FCYQWYVZLKCXRY-ARILJUKYSA-N 0 1 292.379 0.393 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2nonc2C)[C@H](OC)C1 ZINC001082396970 820958087 /nfs/dbraw/zinc/95/80/87/820958087.db2.gz ZKJUYCRAGYPAKF-GHMZBOCLSA-N 0 1 280.328 0.383 20 30 CCEDMN Cc1cc(CN[C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)ncn1 ZINC001082451304 820972899 /nfs/dbraw/zinc/97/28/99/820972899.db2.gz WGMBWUFWLGNXAR-MISXGVKJSA-N 0 1 287.367 0.881 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)C(=O)C(C)(C)C)[C@H](O)C1 ZINC001099747181 820994464 /nfs/dbraw/zinc/99/44/64/820994464.db2.gz UUGUTRQXMGXINP-VXGBXAGGSA-N 0 1 280.368 0.176 20 30 CCEDMN CCc1cc(C(=O)N2CCO[C@@H]3CN(CC#N)C[C@@H]32)n[nH]1 ZINC001083007130 821102345 /nfs/dbraw/zinc/10/23/45/821102345.db2.gz KWDCJILQTYMEGP-QWHCGFSZSA-N 0 1 289.339 0.021 20 30 CCEDMN C=CCN1C[C@H]2OCCN(C(=O)[C@@H]3CCCCN3C)[C@H]2C1 ZINC001083168677 821153997 /nfs/dbraw/zinc/15/39/97/821153997.db2.gz GRTOYQVWUSULJP-SOUVJXGZSA-N 0 1 293.411 0.568 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(F)cc2C)[C@@H](O)C1 ZINC001083975528 821179269 /nfs/dbraw/zinc/17/92/69/821179269.db2.gz NAJROMMYJOYRMY-CABCVRRESA-N 0 1 290.338 0.932 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(F)cc2F)[C@@H](O)C1 ZINC001084015974 821182682 /nfs/dbraw/zinc/18/26/82/821182682.db2.gz ZVMQDQRQPYTCEO-KGLIPLIRSA-N 0 1 294.301 0.763 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)C2CCOCC2)[C@@H](O)C1 ZINC001084130394 821204663 /nfs/dbraw/zinc/20/46/63/821204663.db2.gz WRYPFYIZWPHCTB-CFVMTHIKSA-N 0 1 296.411 0.787 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cn3cccn3)[C@@H]2C1 ZINC001084188477 821227598 /nfs/dbraw/zinc/22/75/98/821227598.db2.gz DBGAQRACCPUZFC-CHWSQXEVSA-N 0 1 260.341 0.602 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3cc[nH]n3)[C@@H]2C1 ZINC001084247609 821238918 /nfs/dbraw/zinc/23/89/18/821238918.db2.gz QPQJAHRCHQWQRH-DGCLKSJQSA-N 0 1 260.341 0.671 20 30 CCEDMN COCCN1CC[C@@H]2CN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001084232662 821246223 /nfs/dbraw/zinc/24/62/23/821246223.db2.gz DKKSPTCKFZAHEY-IJLUTSLNSA-N 0 1 251.330 0.325 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cnn(C)c3N)[C@@H]2C1 ZINC001084296877 821253580 /nfs/dbraw/zinc/25/35/80/821253580.db2.gz BOGZMQHYAYDXIZ-ZYHUDNBSSA-N 0 1 275.356 0.335 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3ncc[nH]3)[C@@H]2C1 ZINC001084331344 821272167 /nfs/dbraw/zinc/27/21/67/821272167.db2.gz VJWCRGXFXKUNFZ-VXGBXAGGSA-N 0 1 258.325 0.579 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C[N@H+]3CC[C@H](C)C3)[C@@H]2C1 ZINC001084663089 821344817 /nfs/dbraw/zinc/34/48/17/821344817.db2.gz LSEYAPMXBDWWKI-ARFHVFGLSA-N 0 1 289.423 0.884 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3C[C@@H](C)CC(=O)N3)[C@@H]2C1 ZINC001084352100 821277934 /nfs/dbraw/zinc/27/79/34/821277934.db2.gz QJTBZUDMKLWXLE-AAVRWANBSA-N 0 1 291.395 0.620 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)[C@@H]3CCCNC3=O)[C@@H]2C1 ZINC001084427689 821284833 /nfs/dbraw/zinc/28/48/33/821284833.db2.gz QLTSMRLIQIZUFA-MGPQQGTHSA-N 0 1 291.395 0.621 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@]3(C)CNC(=O)C3)[C@@H]2C1 ZINC001084541182 821308834 /nfs/dbraw/zinc/30/88/34/821308834.db2.gz GRJVOZUYPNBCHW-LALPHHSUSA-N 0 1 277.368 0.231 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cn(C)c(=O)[nH]3)[C@@H]2C1 ZINC001084632999 821333914 /nfs/dbraw/zinc/33/39/14/821333914.db2.gz TZBXCVLPAIJRQR-ZYHUDNBSSA-N 0 1 276.340 0.458 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)CC2(COC)CC2)[C@@H](O)C1 ZINC001099815599 821349484 /nfs/dbraw/zinc/34/94/84/821349484.db2.gz KBEMQLZKMQNZOM-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2(COC)CC2)[C@@H](O)C1 ZINC001099815599 821349490 /nfs/dbraw/zinc/34/94/90/821349490.db2.gz KBEMQLZKMQNZOM-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C[C@H](CNC(=O)CCc1c[nH]nn1)Nc1ccncc1C#N ZINC001098294160 821376721 /nfs/dbraw/zinc/37/67/21/821376721.db2.gz KTGXHYWLZMJVFU-SNVBAGLBSA-N 0 1 299.338 0.043 20 30 CCEDMN C[C@H](CNC(=O)CCc1cnn[nH]1)Nc1ccncc1C#N ZINC001098294160 821376731 /nfs/dbraw/zinc/37/67/31/821376731.db2.gz KTGXHYWLZMJVFU-SNVBAGLBSA-N 0 1 299.338 0.043 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCc3cnccn3)[C@@H]2C1 ZINC001084758962 821378832 /nfs/dbraw/zinc/37/88/32/821378832.db2.gz LQWJNVRWTIWXSN-GDBMZVCRSA-N 0 1 298.390 0.965 20 30 CCEDMN CC[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001099830124 821392360 /nfs/dbraw/zinc/39/23/60/821392360.db2.gz NHHBTVIQOKOWGN-NEPJUHHUSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CC[C@@H](OC)C2)CC1 ZINC001119679034 821416098 /nfs/dbraw/zinc/41/60/98/821416098.db2.gz XSNMMRCOFGDAAI-ZIAGYGMSSA-N 0 1 279.384 0.951 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CC[C@H]2CCN(CC#N)[C@H]2C1 ZINC001084903671 821426095 /nfs/dbraw/zinc/42/60/95/821426095.db2.gz MKOMRNBTLSMWRQ-RDBSUJKOSA-N 0 1 276.384 0.527 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@]3(C)CCC(=O)N3)C[C@@H]21 ZINC001085025230 821463414 /nfs/dbraw/zinc/46/34/14/821463414.db2.gz LOBCAXZBGYDYJO-WWGRRREGSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CCC2(CCN(Cc3cccnn3)CC2)C1=O ZINC001273268329 821515975 /nfs/dbraw/zinc/51/59/75/821515975.db2.gz HOBAPEDXVDEQQZ-UHFFFAOYSA-N 0 1 284.363 0.924 20 30 CCEDMN C[C@@]1(NC(=O)c2ncn[nH]2)CCN(c2ccncc2C#N)C1 ZINC001065273333 821553791 /nfs/dbraw/zinc/55/37/91/821553791.db2.gz OAGWQIKBQBPKBS-CQSZACIVSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@@]1(NC(=O)c2nc[nH]n2)CCN(c2ccncc2C#N)C1 ZINC001065273333 821553798 /nfs/dbraw/zinc/55/37/98/821553798.db2.gz OAGWQIKBQBPKBS-CQSZACIVSA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)c1cc(CC)[nH]n1 ZINC001085492730 821740064 /nfs/dbraw/zinc/74/00/64/821740064.db2.gz DCDNRVLLPVFIMU-LBPRGKRZSA-N 0 1 260.341 0.752 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccnn1C ZINC001085513762 821760130 /nfs/dbraw/zinc/76/01/30/821760130.db2.gz ZLYLMYNZDLGCCA-GFCCVEGCSA-N 0 1 260.341 0.590 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCNC(=O)C1 ZINC001085544053 821778037 /nfs/dbraw/zinc/77/80/37/821778037.db2.gz JZABBUOBUIDRLN-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CN(C[C@@H]1CCN1CCO)C(=O)c1c[nH]c(C#N)c1 ZINC001085555833 821788163 /nfs/dbraw/zinc/78/81/63/821788163.db2.gz BKIXFFMDWNFDLC-LBPRGKRZSA-N 0 1 262.313 0.025 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnn(C)c1N ZINC001085560613 821788737 /nfs/dbraw/zinc/78/87/37/821788737.db2.gz RJWVIPVCGUVRBY-NSHDSACASA-N 0 1 275.356 0.172 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001085597274 821841178 /nfs/dbraw/zinc/84/11/78/821841178.db2.gz ULDAQDYIWILFCK-UONOGXRCSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnc2n[nH]nc2c1 ZINC001085644205 821866766 /nfs/dbraw/zinc/86/67/66/821866766.db2.gz ILNJATMTNLCZSK-GFCCVEGCSA-N 0 1 298.350 0.523 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1COCCN1CC ZINC001085681810 821894965 /nfs/dbraw/zinc/89/49/65/821894965.db2.gz HEWUKVBIWHUHOU-LSDHHAIUSA-N 0 1 295.427 0.816 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)c1ccc(=O)n(C)c1 ZINC001085693516 821906980 /nfs/dbraw/zinc/90/69/80/821906980.db2.gz IXXYZPYRADJSFE-ZDUSSCGKSA-N 0 1 275.352 0.718 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001108204606 821907459 /nfs/dbraw/zinc/90/74/59/821907459.db2.gz PVAIRCJBYAAHQB-SECBINFHSA-N 0 1 285.311 0.610 20 30 CCEDMN C=C(C)CC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CN(C)C(=O)N1 ZINC001085763837 821943141 /nfs/dbraw/zinc/94/31/41/821943141.db2.gz KZJMIVIOACLPCH-OLZOCXBDSA-N 0 1 294.399 0.509 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCN(C)C1=O ZINC001085771150 821943723 /nfs/dbraw/zinc/94/37/23/821943723.db2.gz VKJDSOJMFCTLKN-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@@H]1CCN1CCCO ZINC001085827327 821969199 /nfs/dbraw/zinc/96/91/99/821969199.db2.gz PEQFSIRVOGOLKU-MJBXVCDLSA-N 0 1 282.384 0.493 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cc(C)on2)[C@@H](O)C1 ZINC001100004641 822000176 /nfs/dbraw/zinc/00/01/76/822000176.db2.gz ZSIPFURUZKDUAX-KGLIPLIRSA-N 0 1 293.367 0.653 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCN(C(C)=O)C1 ZINC001085949173 822033384 /nfs/dbraw/zinc/03/33/84/822033384.db2.gz YRMBRYYJEAHBTP-GJZGRUSLSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCN(C(C)=O)C1 ZINC001085949173 822033392 /nfs/dbraw/zinc/03/33/92/822033392.db2.gz YRMBRYYJEAHBTP-GJZGRUSLSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cn(C)c(=O)n1C ZINC001085990713 822057501 /nfs/dbraw/zinc/05/75/01/822057501.db2.gz WTJUIYCYFWOQNZ-LBPRGKRZSA-N 0 1 292.383 0.446 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001086067019 822098456 /nfs/dbraw/zinc/09/84/56/822098456.db2.gz FDSQFMKOHSVJPP-PHIMTYICSA-N 0 1 259.309 0.518 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCCN([C@H](C)C(N)=O)CC1 ZINC001273399877 822119382 /nfs/dbraw/zinc/11/93/82/822119382.db2.gz PDEWSWPGSYHLTL-VXGBXAGGSA-N 0 1 283.372 0.034 20 30 CCEDMN CNC(=O)CN1CCC[C@@H](NC(=O)C#CC(C)C)CC1 ZINC001273411110 822160705 /nfs/dbraw/zinc/16/07/05/822160705.db2.gz QEICEPKSBQWAPZ-CYBMUJFWSA-N 0 1 279.384 0.363 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)cn1)NC(=O)[C@@H]1CCCN1C ZINC001108290286 822318246 /nfs/dbraw/zinc/31/82/46/822318246.db2.gz AYBZPAKJRTVYCN-AAEUAGOBSA-N 0 1 287.367 0.964 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CC)OCC ZINC001113977624 837392730 /nfs/dbraw/zinc/39/27/30/837392730.db2.gz XEZACYFCQWPAIG-XQHKEYJVSA-N 0 1 250.342 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)OCC1CC1 ZINC001113990883 837397778 /nfs/dbraw/zinc/39/77/78/837397778.db2.gz XIIRMEIFZMDPPM-RZFFKMDDSA-N 0 1 276.380 0.871 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N3CCCC3)C[C@H]21 ZINC001114003025 837399622 /nfs/dbraw/zinc/39/96/22/837399622.db2.gz SAVBGAUMQUTRIO-VIKVFOODSA-N 0 1 291.395 0.621 20 30 CCEDMN Cc1ncoc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C#N ZINC001114066440 837420874 /nfs/dbraw/zinc/42/08/74/837420874.db2.gz CIQUTGKJNIYRNG-MVVXRAEJSA-N 0 1 274.324 0.689 20 30 CCEDMN C[C@H](C#N)C(=O)NC1[C@H]2CN(C/C=C\Cl)C[C@@H]12 ZINC001114070135 837429222 /nfs/dbraw/zinc/42/92/22/837429222.db2.gz UBOWEWMIWYWYRS-HFEKDCCQSA-N 0 1 253.733 0.945 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1CCC1 ZINC001114101740 837434312 /nfs/dbraw/zinc/43/43/12/837434312.db2.gz VDWCEXYCPSODBN-FOLVSLTJSA-N 0 1 276.380 0.873 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1(OC)CCC1 ZINC001114194967 837470367 /nfs/dbraw/zinc/47/03/67/837470367.db2.gz YFVFSGLLTFFYGA-IMRBUKKESA-N 0 1 262.353 0.625 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1[C@H]2CN(C[C@@H](F)CC)C[C@H]21 ZINC001114268527 837492667 /nfs/dbraw/zinc/49/26/67/837492667.db2.gz NBHADNHZLUYYRW-RMRHIDDWSA-N 0 1 282.359 0.821 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C[C@H](C)CC)C[C@@H]1n1ccnn1 ZINC001129798161 837558779 /nfs/dbraw/zinc/55/87/79/837558779.db2.gz PFXQZHQRIPPRRA-MCIONIFRSA-N 0 1 289.383 0.689 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCCC=C)C[C@@H]1n1ccnn1 ZINC001129989906 837607770 /nfs/dbraw/zinc/60/77/70/837607770.db2.gz AYJWKBZSZNTRAR-KGLIPLIRSA-N 0 1 287.367 0.609 20 30 CCEDMN CN(C)C1CN(C(=O)[C@@H](C#N)Cc2ccc(O)cc2)C1 ZINC001183603529 844052380 /nfs/dbraw/zinc/05/23/80/844052380.db2.gz MATHDTPHEASPFD-GFCCVEGCSA-N 0 1 273.336 0.847 20 30 CCEDMN C=CCN1CCO[C@@](C)(CNC(=O)CN2CCCC2)C1 ZINC001108398963 835999086 /nfs/dbraw/zinc/99/90/86/835999086.db2.gz LFQIQJNMKDDHPJ-HNNXBMFYSA-N 0 1 281.400 0.475 20 30 CCEDMN N#CN=C(Nc1cc(CN)ncn1)c1ccncc1 ZINC001156323829 836301762 /nfs/dbraw/zinc/30/17/62/836301762.db2.gz YBQUJECQGXWREH-UHFFFAOYSA-N 0 1 253.269 0.479 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCCCNCc1nnnn1C ZINC001169714563 836428953 /nfs/dbraw/zinc/42/89/53/836428953.db2.gz YPXAKUYVPIMANH-LBPRGKRZSA-N 0 1 294.403 0.941 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1cncn1)C2 ZINC001108935791 836575689 /nfs/dbraw/zinc/57/56/89/836575689.db2.gz CUGONDBNFMXUHO-AGIUHOORSA-N 0 1 273.340 0.023 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CO[C@@H]1CCOC1)C2 ZINC001109274101 836650318 /nfs/dbraw/zinc/65/03/18/836650318.db2.gz FCADIRUPFYPULB-MQYQWHSLSA-N 0 1 280.368 0.699 20 30 CCEDMN C[C@@H](CN(C)c1ccc(C#N)cn1)NC(=O)c1cnn[nH]1 ZINC001109318781 836657231 /nfs/dbraw/zinc/65/72/31/836657231.db2.gz KZWCEQCFTXJVDM-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN N#Cc1cncc(NC[C@H](NC(=O)c2ncn[nH]2)C2CC2)n1 ZINC001109885019 836742893 /nfs/dbraw/zinc/74/28/93/836742893.db2.gz REBNEBDUILZSBQ-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cncc(NC[C@H](NC(=O)c2nc[nH]n2)C2CC2)n1 ZINC001109885019 836742898 /nfs/dbraw/zinc/74/28/98/836742898.db2.gz REBNEBDUILZSBQ-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1ccc2c(c1)ncnc2NC[C@H]1COCCN1 ZINC001170035187 836754252 /nfs/dbraw/zinc/75/42/52/836754252.db2.gz JPCVVMKCJVYELG-NSHDSACASA-N 0 1 269.308 0.902 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1CC[N@H+](CCCF)C[C@@H]1O ZINC001100138070 836769203 /nfs/dbraw/zinc/76/92/03/836769203.db2.gz FIJDVNBVWSLTIM-STQMWFEESA-N 0 1 288.363 0.490 20 30 CCEDMN C=CCCCCCN1CCN(C(=O)Cn2cnnn2)CC1 ZINC001112605348 836815469 /nfs/dbraw/zinc/81/54/69/836815469.db2.gz XWAZHNYPMAPHGC-UHFFFAOYSA-N 0 1 292.387 0.564 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1nnnn1C1CC1 ZINC001157164425 836843634 /nfs/dbraw/zinc/84/36/34/836843634.db2.gz LWCMBCBILPJSSI-UHFFFAOYSA-N 0 1 290.371 0.407 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2COCCN2C)CC1 ZINC001112770283 836900996 /nfs/dbraw/zinc/90/09/96/836900996.db2.gz JLQNGFQQQSFCJP-CABCVRRESA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCN(CCC2CC2)CC1 ZINC001112777596 836910027 /nfs/dbraw/zinc/91/00/27/836910027.db2.gz DUNADSWPLUKLLJ-UHFFFAOYSA-N 0 1 277.368 0.070 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2COC(=O)N2)CC1 ZINC001112815035 836923492 /nfs/dbraw/zinc/92/34/92/836923492.db2.gz BPHDMPGXZNJBEC-NSHDSACASA-N 0 1 267.329 0.205 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(CCCCOC)CC1 ZINC001112814184 836923670 /nfs/dbraw/zinc/92/36/70/836923670.db2.gz IXXFMSXXMGGIEJ-OAHLLOKOSA-N 0 1 284.400 0.884 20 30 CCEDMN C=CCCCCCN1CCN(C(=O)[C@H]2COC(=O)N2)CC1 ZINC001112815204 836925417 /nfs/dbraw/zinc/92/54/17/836925417.db2.gz IFMXIJYYYQWOPI-CYBMUJFWSA-N 0 1 295.383 0.985 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CCCC)CC1 ZINC001112844738 836937277 /nfs/dbraw/zinc/93/72/77/836937277.db2.gz DYJCJZGNMMOJBE-UHFFFAOYSA-N 0 1 252.358 0.971 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC2CCC2)CC1 ZINC001112842098 836939565 /nfs/dbraw/zinc/93/95/65/836939565.db2.gz XRZKMWQSUJSXTR-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN N#CCN1CCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC001113151889 837038708 /nfs/dbraw/zinc/03/87/08/837038708.db2.gz UQTXAKZRDJBIFK-UHFFFAOYSA-N 0 1 276.344 0.185 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2CNC(=O)N2)CC1 ZINC001113275788 837075471 /nfs/dbraw/zinc/07/54/71/837075471.db2.gz ZGLDPQPIMDMJLP-RYUDHWBXSA-N 0 1 280.372 0.167 20 30 CCEDMN C[C@@H](Nc1ccnc(C#N)n1)[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001113313353 837085138 /nfs/dbraw/zinc/08/51/38/837085138.db2.gz HFTXAPRFENDXFV-ZJUUUORDSA-N 0 1 299.338 0.041 20 30 CCEDMN C[C@@H](Nc1cnc(C#N)cn1)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001113356742 837099136 /nfs/dbraw/zinc/09/91/36/837099136.db2.gz LUSCOHKYHYJHCL-SFYZADRCSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCOCCN1CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC001113357728 837100110 /nfs/dbraw/zinc/10/01/10/837100110.db2.gz QYTVEBBQVGXFRM-UHFFFAOYSA-N 0 1 293.371 0.084 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](C)OCCOC)CC1 ZINC001113400295 837109725 /nfs/dbraw/zinc/10/97/25/837109725.db2.gz KDDRTIBIUDPISE-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN C=CCCN1CCN(C(=O)C2(C(=O)NC)CCC2)CC1 ZINC001113574382 837165715 /nfs/dbraw/zinc/16/57/15/837165715.db2.gz BQGYYUJFEABTLS-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C[C@@H](CNC(=O)Cc1nnc[nH]1)N(C)c1ccncc1C#N ZINC001113713926 837203212 /nfs/dbraw/zinc/20/32/12/837203212.db2.gz BSPKKCLFRHKFOJ-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCC ZINC001113783101 837236635 /nfs/dbraw/zinc/23/66/35/837236635.db2.gz ZZTQCOOTIINJND-NMKXLXIOSA-N 0 1 279.384 0.524 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)C ZINC001113804267 837246098 /nfs/dbraw/zinc/24/60/98/837246098.db2.gz XCKZZIMHASXIMW-RMRHIDDWSA-N 0 1 291.395 0.217 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(OC)n(C)n1 ZINC001131125140 838000370 /nfs/dbraw/zinc/00/03/70/838000370.db2.gz VYECOCWWWJQXDU-UHFFFAOYSA-N 0 1 272.736 0.501 20 30 CCEDMN C#CCCN1C[C@@H](F)C[C@@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001131140579 838004617 /nfs/dbraw/zinc/00/46/17/838004617.db2.gz RBAZVUGTNRTKCX-WDEREUQCSA-N 0 1 293.346 0.939 20 30 CCEDMN C#CCCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001131140579 838004622 /nfs/dbraw/zinc/00/46/22/838004622.db2.gz RBAZVUGTNRTKCX-WDEREUQCSA-N 0 1 293.346 0.939 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccnc2n[nH]nc21 ZINC001131168820 838012680 /nfs/dbraw/zinc/01/26/80/838012680.db2.gz WVQDHZHONXGPKV-UHFFFAOYSA-N 0 1 280.719 0.425 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)NCCNCC#N ZINC001131513959 838122523 /nfs/dbraw/zinc/12/25/23/838122523.db2.gz OPNCWHJLWKJJIZ-BXKDBHETSA-N 0 1 261.329 0.305 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CNC(=O)CC)CC[C@H]1C ZINC001131907207 838264746 /nfs/dbraw/zinc/26/47/46/838264746.db2.gz ABEHYZDZQXKBBJ-VXGBXAGGSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COCC=C)CC[C@H]1C ZINC001131943755 838273408 /nfs/dbraw/zinc/27/34/08/838273408.db2.gz UKLBQIMFDGYQSA-OLZOCXBDSA-N 0 1 250.342 0.791 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COC2CCOCC2)CC[C@@H]1C ZINC001132335442 838363028 /nfs/dbraw/zinc/36/30/28/838363028.db2.gz OROYKKDCTYLDAZ-UONOGXRCSA-N 0 1 294.395 0.784 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNCC(=O)Nc1cnccn1 ZINC001132403879 838387498 /nfs/dbraw/zinc/38/74/98/838387498.db2.gz JICZKDWCHFUTKK-UHFFFAOYSA-N 0 1 291.355 0.333 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCNC(N)=O)CC[C@@H]1C ZINC001132479056 838412683 /nfs/dbraw/zinc/41/26/83/838412683.db2.gz UKTUWXVSJBYLIF-RYUDHWBXSA-N 0 1 280.372 0.037 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCN2CCCC2=O)CC[C@H]1C ZINC001132494657 838418273 /nfs/dbraw/zinc/41/82/73/838418273.db2.gz VSDNGTBDFQPQCU-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCOCC(=O)NCC1CC(NCc2nncn2C)C1 ZINC001100187023 838441173 /nfs/dbraw/zinc/44/11/73/838441173.db2.gz VYEMCJUUNYQWKH-UHFFFAOYSA-N 0 1 293.371 0.002 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1c[nH]c(=O)cn1 ZINC001133015638 838535500 /nfs/dbraw/zinc/53/55/00/838535500.db2.gz AYDKAIOJDGMRJR-UHFFFAOYSA-N 0 1 296.330 0.141 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@@H](COC)OC ZINC001133513363 838658344 /nfs/dbraw/zinc/65/83/44/838658344.db2.gz ONNOALLEWUHUSO-SECBINFHSA-N 0 1 295.177 0.262 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCCCC(=O)N1 ZINC001133676975 838693954 /nfs/dbraw/zinc/69/39/54/838693954.db2.gz AMSCCLPUJZTPIP-SNVBAGLBSA-N 0 1 273.764 0.504 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)C[C@H](C)NCc1cnn(C)n1 ZINC001134201483 838909763 /nfs/dbraw/zinc/90/97/63/838909763.db2.gz PMGNJAUGJCKQFY-STQMWFEESA-N 0 1 291.399 0.992 20 30 CCEDMN Cc1nnc(CN[C@H](C)C[C@@H](C)NC(=O)C#CC2CC2)[nH]1 ZINC001134322592 838946429 /nfs/dbraw/zinc/94/64/29/838946429.db2.gz UAKUGBYDVSLCLL-GHMZBOCLSA-N 0 1 289.383 0.899 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)C#CC1CC1)NCc1ncnn1C ZINC001134329627 838950463 /nfs/dbraw/zinc/95/04/63/838950463.db2.gz QEDVGMZCTHKKOI-NEPJUHHUSA-N 0 1 289.383 0.601 20 30 CCEDMN CNC(=O)C1(C(=O)NCCNCC#Cc2ccccc2)CC1 ZINC001135250680 839190570 /nfs/dbraw/zinc/19/05/70/839190570.db2.gz UGDWFFKCNFDEEO-UHFFFAOYSA-N 0 1 299.374 0.270 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cscn2)[C@H](O)C1 ZINC001090628022 839687817 /nfs/dbraw/zinc/68/78/17/839687817.db2.gz JELIVRUNDWULOF-CHWSQXEVSA-N 0 1 293.392 0.260 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cn(CC)nn2)[C@H](O)C1 ZINC001090677986 839731777 /nfs/dbraw/zinc/73/17/77/839731777.db2.gz CSBSBQMMMMSHGM-DGCLKSJQSA-N 0 1 293.371 0.039 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nocc2C)[C@H](O)C1 ZINC001090709330 839747078 /nfs/dbraw/zinc/74/70/78/839747078.db2.gz LMAFLMZZUSGNCT-NWDGAFQWSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2coc(CCC)n2)[C@H](O)C1 ZINC001090721814 839756076 /nfs/dbraw/zinc/75/60/76/839756076.db2.gz CNCNPOVQYCZNDI-WCQYABFASA-N 0 1 293.367 0.978 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2cn(CC)cn2)[C@@H](O)C1 ZINC001090731887 839763118 /nfs/dbraw/zinc/76/31/18/839763118.db2.gz XNPVBEYINUJUTR-AAEUAGOBSA-N 0 1 278.356 0.254 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(CC)cn2)[C@@H](O)C1 ZINC001090731887 839763124 /nfs/dbraw/zinc/76/31/24/839763124.db2.gz XNPVBEYINUJUTR-AAEUAGOBSA-N 0 1 278.356 0.254 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(CC=C)C[C@H]2O)nc1 ZINC001090736004 839765260 /nfs/dbraw/zinc/76/52/60/839765260.db2.gz GVKKUQNVRKGMSV-DZGCQCFKSA-N 0 1 285.347 0.414 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2[nH]cnc2C)[C@@H](O)C1 ZINC001090849812 839854239 /nfs/dbraw/zinc/85/42/39/839854239.db2.gz ONNHQWOGLVRDOO-QWRGUYRKSA-N 0 1 264.329 0.069 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(NC(=O)NC)CC2)C1=O ZINC001171169446 840023366 /nfs/dbraw/zinc/02/33/66/840023366.db2.gz GUQRYXIGHVPRKM-GFCCVEGCSA-N 0 1 280.372 0.167 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CCn2cncn2)C1 ZINC001149152227 840247016 /nfs/dbraw/zinc/24/70/16/840247016.db2.gz AHJXCINKNWWSHX-ZDUSSCGKSA-N 0 1 293.371 0.061 20 30 CCEDMN C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCn1cncn1 ZINC001110378954 844535398 /nfs/dbraw/zinc/53/53/98/844535398.db2.gz POKFUYGMDLSZTN-MCIONIFRSA-N 0 1 289.383 0.966 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CN(C(=O)C3CC3)C2)C1 ZINC001146876828 840413212 /nfs/dbraw/zinc/41/32/12/840413212.db2.gz ZHHLYFJKOCIAPZ-LBPRGKRZSA-N 0 1 291.395 0.621 20 30 CCEDMN N#CCSCC(=O)N1CC2(C1)CCN(CCF)C2 ZINC001147031199 840473525 /nfs/dbraw/zinc/47/35/25/840473525.db2.gz JECDKOUKHVICKP-UHFFFAOYSA-N 0 1 271.361 0.747 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)OCC3CC3)C2)C1 ZINC001147122195 840495143 /nfs/dbraw/zinc/49/51/43/840495143.db2.gz MLWGHDFGSQTZPW-ZDUSSCGKSA-N 0 1 276.380 0.969 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)C[C@H](C)OC)C2)C1 ZINC001147175095 840522221 /nfs/dbraw/zinc/52/22/21/840522221.db2.gz TZLVKTHGRTVXJP-AWEZNQCLSA-N 0 1 294.395 0.596 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCCCN1C(N)=O ZINC001147359990 840569240 /nfs/dbraw/zinc/56/92/40/840569240.db2.gz YVRWQYQWYKYIMO-SNVBAGLBSA-N 0 1 288.779 0.378 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCc2n[nH]nc2C1 ZINC001147688979 840658139 /nfs/dbraw/zinc/65/81/39/840658139.db2.gz VRKQDQSDKDYJNR-SECBINFHSA-N 0 1 283.763 0.368 20 30 CCEDMN C=CCCCC(=O)N1CC2(C1)CCN([C@@H]1CCNC1=O)C2 ZINC001148193933 840750110 /nfs/dbraw/zinc/75/01/10/840750110.db2.gz NHTVDGHHRLKZSU-CYBMUJFWSA-N 0 1 291.395 0.766 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cnc(C)o2)cn1 ZINC001148389259 840790993 /nfs/dbraw/zinc/79/09/93/840790993.db2.gz FLHUVBFHUAHNCB-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cnc(C)nc2)cn1 ZINC001148382775 840797428 /nfs/dbraw/zinc/79/74/28/840797428.db2.gz FIECWMGSHORKGJ-UHFFFAOYSA-N 0 1 295.346 0.681 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H](OC)C2CC2)C1 ZINC001149646713 841049234 /nfs/dbraw/zinc/04/92/34/841049234.db2.gz PSSRWBOREIJKHD-KGLIPLIRSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C3CS(=O)(=O)C3)CC[C@@H]21 ZINC001036724682 841144884 /nfs/dbraw/zinc/14/48/84/841144884.db2.gz KIIZVNXFWFTCRF-YPMHNXCESA-N 0 1 298.408 0.140 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CCc3c[nH]nn3)CC[C@@H]21 ZINC001036824554 841284484 /nfs/dbraw/zinc/28/44/84/841284484.db2.gz ZATHDHXBBQXTIH-YPMHNXCESA-N 0 1 288.355 0.184 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CCc3cnn[nH]3)CC[C@@H]21 ZINC001036824554 841284494 /nfs/dbraw/zinc/28/44/94/841284494.db2.gz ZATHDHXBBQXTIH-YPMHNXCESA-N 0 1 288.355 0.184 20 30 CCEDMN CSc1n[nH]c(NC(=O)[C@@H]2C[C@@H]2C#N)c1C(N)=O ZINC001186969491 844628737 /nfs/dbraw/zinc/62/87/37/844628737.db2.gz JBOBDHARRBKYES-RFZPGFLSSA-N 0 1 265.298 0.329 20 30 CCEDMN Cc1nc(NCCNC(=O)[C@@H]2CCCN2C)ccc1C#N ZINC001093532021 841314481 /nfs/dbraw/zinc/31/44/81/841314481.db2.gz UTKFBYBDSNYBHG-ZDUSSCGKSA-N 0 1 287.367 0.884 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)CCc2nc[nH]n2)cn1 ZINC001093566639 841340393 /nfs/dbraw/zinc/34/03/93/841340393.db2.gz URZSWKOARBOVLU-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](CNCc2cnon2)[C@H](C)C1 ZINC001093678095 841426352 /nfs/dbraw/zinc/42/63/52/841426352.db2.gz HMHXSNYXHVOQIL-HBNTYKKESA-N 0 1 277.328 0.413 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NCCNc1cnc(C#N)cn1 ZINC001094188727 841560433 /nfs/dbraw/zinc/56/04/33/841560433.db2.gz LCXGCEXUFPWBKP-JTQLQIEISA-N 0 1 299.338 0.478 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC(C)(C)COC)[C@@H](O)C1 ZINC001099933423 841560920 /nfs/dbraw/zinc/56/09/20/841560920.db2.gz OFCMGRKKVYMRRX-STQMWFEESA-N 0 1 284.400 0.787 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCCNc2ccncc2C#N)c1C ZINC001094348949 841614560 /nfs/dbraw/zinc/61/45/60/841614560.db2.gz YNJGFWYSWDHZCM-UHFFFAOYSA-N 0 1 298.350 0.947 20 30 CCEDMN N#Cc1cnccc1NCCCNC(=O)c1[nH]ncc1F ZINC001094764946 841713474 /nfs/dbraw/zinc/71/34/74/841713474.db2.gz JBPSMHXNZUZKSW-UHFFFAOYSA-N 0 1 288.286 0.469 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2ccon2)nc1 ZINC001171584840 841733618 /nfs/dbraw/zinc/73/36/18/841733618.db2.gz BJNXHPHIZGFDPQ-UHFFFAOYSA-N 0 1 284.319 0.961 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)CCCF)C1 ZINC001150108532 841986731 /nfs/dbraw/zinc/98/67/31/841986731.db2.gz IKRHHJFZZCMWHO-CYBMUJFWSA-N 0 1 270.348 0.967 20 30 CCEDMN CNC(=O)CN[C@@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172972389 841990914 /nfs/dbraw/zinc/99/09/14/841990914.db2.gz XXQBLRZXNDKAPW-MNOVXSKESA-N 0 1 296.371 0.614 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCNC(=O)C1)C2 ZINC001095289828 842171303 /nfs/dbraw/zinc/17/13/03/842171303.db2.gz NJPZJUNSCOVIBT-NDBYEHHHSA-N 0 1 277.368 0.420 20 30 CCEDMN N#CC1(NC(=O)[C@@H]2CCc3[nH]cnc3C2)CCOCC1 ZINC001177182192 842518889 /nfs/dbraw/zinc/51/88/89/842518889.db2.gz HSDQMPKYSRBIRV-SNVBAGLBSA-N 0 1 274.324 0.704 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1cnn(C)n1 ZINC001177269266 842540965 /nfs/dbraw/zinc/54/09/65/842540965.db2.gz JIYMEYJIIVBQLB-LLVKDONJSA-N 0 1 281.360 0.002 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCN[C@H](C)c1nnc(C)[nH]1 ZINC001177273595 842543131 /nfs/dbraw/zinc/54/31/31/842543131.db2.gz TVDBPQFTSIKYCU-GHMZBOCLSA-N 0 1 295.387 0.861 20 30 CCEDMN CCc1ccc(C#CC(=O)N[C@@H](COC)c2nn[nH]n2)cc1 ZINC001177828701 842674613 /nfs/dbraw/zinc/67/46/13/842674613.db2.gz PPMGEHHMEZYWER-ZDUSSCGKSA-N 0 1 299.334 0.618 20 30 CCEDMN C[C@@H](O)[C@@H](CO)NC(=O)C(C#N)Cc1cccs1 ZINC001177903700 842705313 /nfs/dbraw/zinc/70/53/13/842705313.db2.gz FFZPHFJZIUVANS-WCABBAIRSA-N 0 1 268.338 0.288 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC1(CCO)COC1 ZINC001177915195 842708114 /nfs/dbraw/zinc/70/81/14/842708114.db2.gz KQAVXBYSKUOWQH-SNVBAGLBSA-N 0 1 280.349 0.698 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CNC(=O)CC)[C@H]1C ZINC001178360078 842831682 /nfs/dbraw/zinc/83/16/82/842831682.db2.gz KJHWDVOPKYBYMC-QWRGUYRKSA-N 0 1 287.791 0.844 20 30 CCEDMN Cc1nc(CC(=O)NCCCCCCNCC#N)n[nH]1 ZINC001178442090 842838241 /nfs/dbraw/zinc/83/82/41/842838241.db2.gz GFKAHBLCEYMOOS-UHFFFAOYSA-N 0 1 278.360 0.445 20 30 CCEDMN CC(C)N1CCN(CC(=O)N2CCCC[C@H]2C#N)CC1 ZINC001180347606 843148861 /nfs/dbraw/zinc/14/88/61/843148861.db2.gz YYYMISMBZFATDF-AWEZNQCLSA-N 0 1 278.400 0.917 20 30 CCEDMN CC[C@H](CC#N)NC(=O)CN1CCN(C(C)C)CC1 ZINC001180350947 843150217 /nfs/dbraw/zinc/15/02/17/843150217.db2.gz JZKQHXZLVYLYAG-CYBMUJFWSA-N 0 1 266.389 0.821 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(=O)OC)C1 ZINC001181250530 843426872 /nfs/dbraw/zinc/42/68/72/843426872.db2.gz GBHFCWBGDLAFSN-SECBINFHSA-N 0 1 275.736 0.285 20 30 CCEDMN N#Cc1cccc(CCC(=O)NCc2nn[nH]n2)c1 ZINC001182341456 843774757 /nfs/dbraw/zinc/77/47/57/843774757.db2.gz NCHMDTPKSQPQJY-UHFFFAOYSA-N 0 1 256.269 0.320 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOCCCOC ZINC001115088528 843837696 /nfs/dbraw/zinc/83/76/96/843837696.db2.gz JQUJRCACIGHSLX-NHAGDIPZSA-N 0 1 280.368 0.109 20 30 CCEDMN C=CCN1CCN(C(=O)[C@@H]2CCN2C(C)C)CC1 ZINC001182850150 843936048 /nfs/dbraw/zinc/93/60/48/843936048.db2.gz YVRLRHVKXTXOSC-ZDUSSCGKSA-N 0 1 251.374 0.799 20 30 CCEDMN C=CC[C@@](C)(NC(=O)C(O)C(F)(F)F)C(=O)OC ZINC001183201718 843984287 /nfs/dbraw/zinc/98/42/87/843984287.db2.gz OQFYEPQLWWNVJL-IMTBSYHQSA-N 0 1 269.219 0.534 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC(=O)NCC)C2 ZINC001110389558 844646679 /nfs/dbraw/zinc/64/66/79/844646679.db2.gz XYGNVYLPSMJYBY-MCIONIFRSA-N 0 1 291.395 0.648 20 30 CCEDMN C#CCCCN1CC2(C1)COCC(=O)N2CCCC#N ZINC001273542135 844734611 /nfs/dbraw/zinc/73/46/11/844734611.db2.gz PCJSALHKOMZMHH-UHFFFAOYSA-N 0 1 275.352 0.617 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCN(C)C(=O)[C@@H]1C[C@H]1C ZINC001273547963 844762418 /nfs/dbraw/zinc/76/24/18/844762418.db2.gz LKPBWEVTNTWNSG-HZSPNIEDSA-N 0 1 293.411 0.561 20 30 CCEDMN Cc1[nH]ncc1C(=O)Nc1nc(C#N)c(C#N)nc1N ZINC001188050078 844818815 /nfs/dbraw/zinc/81/88/15/844818815.db2.gz LJYJBBQTGRMGAT-UHFFFAOYSA-N 0 1 268.240 0.086 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)CC2CC2)C1 ZINC001188617265 844921464 /nfs/dbraw/zinc/92/14/64/844921464.db2.gz GZDAAAFLWRVTHD-GXTWGEPZSA-N 0 1 291.395 0.457 20 30 CCEDMN N#CCc1cccc(C(=O)N[C@@H](CO)Cc2cnc[nH]2)c1 ZINC001188688306 844950234 /nfs/dbraw/zinc/95/02/34/844950234.db2.gz UFOLEHJWDXEDQQ-CQSZACIVSA-N 0 1 284.319 0.809 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cccn2C)C1 ZINC001188894153 844993396 /nfs/dbraw/zinc/99/33/96/844993396.db2.gz AYOXFMXPSRZWQV-AWEZNQCLSA-N 0 1 289.379 0.821 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cc[nH]n2)C1 ZINC001188958684 845007886 /nfs/dbraw/zinc/00/78/86/845007886.db2.gz IWNKQFDZXKCXIL-GFCCVEGCSA-N 0 1 276.340 0.206 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cncnc1N ZINC001189122066 845057859 /nfs/dbraw/zinc/05/78/59/845057859.db2.gz RGMMMIZGEHLWQQ-JTQLQIEISA-N 0 1 261.329 0.439 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCOC2)C1 ZINC001189196235 845073437 /nfs/dbraw/zinc/07/34/37/845073437.db2.gz JAFMJLOWPXUYMC-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](CC)NC(C)=O)[C@@H]2C1 ZINC001189203231 845073678 /nfs/dbraw/zinc/07/36/78/845073678.db2.gz BLJDOCXHNJSKIU-RRFJBIMHSA-N 0 1 291.395 0.457 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ccnn2C)C1 ZINC001189233861 845084724 /nfs/dbraw/zinc/08/47/24/845084724.db2.gz VYBNUBDTUFMTKT-ZDUSSCGKSA-N 0 1 290.367 0.216 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001189280749 845092445 /nfs/dbraw/zinc/09/24/45/845092445.db2.gz WLFRNSCFYJPWLO-VXGBXAGGSA-N 0 1 265.357 0.374 20 30 CCEDMN CN(CC#Cc1ccccc1)[C@@H]1CCN(C(=O)CC(N)=O)C1 ZINC001189488994 845146699 /nfs/dbraw/zinc/14/66/99/845146699.db2.gz DPYZBALNZLNQES-OAHLLOKOSA-N 0 1 299.374 0.446 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@H](C)COC)[C@@H]2C1 ZINC001189597223 845170904 /nfs/dbraw/zinc/17/09/04/845170904.db2.gz FIDASUFSAYCWLY-MELADBBJSA-N 0 1 264.369 0.825 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C2=CCOCC2)C1 ZINC001189786705 845206829 /nfs/dbraw/zinc/20/68/29/845206829.db2.gz INYMYXUMSKFNCT-HNNXBMFYSA-N 0 1 292.379 0.516 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001190278464 845381929 /nfs/dbraw/zinc/38/19/29/845381929.db2.gz IATOHRJVHBJCIS-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCNC2=O)C1 ZINC001190635455 845452353 /nfs/dbraw/zinc/45/23/53/845452353.db2.gz VQHOONIEZFCUNL-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)c1[nH]nc(C)c1C ZINC001191126371 845589828 /nfs/dbraw/zinc/58/98/28/845589828.db2.gz KYRINRYYUNIQIK-UHFFFAOYSA-N 0 1 264.329 0.839 20 30 CCEDMN C=CCC[C@@H](C)[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccn[nH]2)C1 ZINC001191156664 845598751 /nfs/dbraw/zinc/59/87/51/845598751.db2.gz NZOGKZLESVXJDW-RAIGVLPGSA-N 0 1 278.356 0.539 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001191489732 845659794 /nfs/dbraw/zinc/65/97/94/845659794.db2.gz HLQKNDFDNAKTQK-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001191489733 845660250 /nfs/dbraw/zinc/66/02/50/845660250.db2.gz HLQKNDFDNAKTQK-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC(F)(F)F)C1 ZINC001191492637 845662525 /nfs/dbraw/zinc/66/25/25/845662525.db2.gz UUCMEEDHCAUYHY-RKDXNWHRSA-N 0 1 266.263 0.676 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001191850529 845749738 /nfs/dbraw/zinc/74/97/38/845749738.db2.gz VAPWEVYFJDGTAO-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(F)CCOC2)C1 ZINC001191946560 845759709 /nfs/dbraw/zinc/75/97/09/845759709.db2.gz CTUJUNHSFGEAKW-UKRRQHHQSA-N 0 1 298.358 0.298 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)/C=C\C2CC2)C1 ZINC001192063692 845786054 /nfs/dbraw/zinc/78/60/54/845786054.db2.gz XBWXLMGABMLUHK-HLMASZERSA-N 0 1 280.368 0.317 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)/C=C\C2CC2)C1 ZINC001192063692 845786059 /nfs/dbraw/zinc/78/60/59/845786059.db2.gz XBWXLMGABMLUHK-HLMASZERSA-N 0 1 280.368 0.317 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCC2CCC2)C1 ZINC001192154739 845794667 /nfs/dbraw/zinc/79/46/67/845794667.db2.gz FXGXZYZPTUQCSH-ZIAGYGMSSA-N 0 1 264.369 0.751 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2n[nH]cc2F)C1 ZINC001192207207 845802818 /nfs/dbraw/zinc/80/28/18/845802818.db2.gz VMOLBZOCWHHOEC-LLVKDONJSA-N 0 1 294.330 0.345 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)/C=C/c2ccc[nH]2)C1 ZINC001192495055 845856528 /nfs/dbraw/zinc/85/65/28/845856528.db2.gz OCTIQVSVKMLVDF-JLVOYYQZSA-N 0 1 275.352 0.765 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)/C=C\c2ccc[nH]2)C1 ZINC001192485110 845867350 /nfs/dbraw/zinc/86/73/50/845867350.db2.gz VZIBYJQSMFXCBM-YOIVVXPQSA-N 0 1 273.336 0.213 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)OCCOC)C1 ZINC001192656752 845887176 /nfs/dbraw/zinc/88/71/76/845887176.db2.gz VEWDBKWPPLWPBR-ZIAGYGMSSA-N 0 1 282.384 0.594 20 30 CCEDMN C[C@H](F)CCN1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192878812 845919865 /nfs/dbraw/zinc/91/98/65/845919865.db2.gz MJBBCZNTTGMAIW-YNEHKIRRSA-N 0 1 284.375 0.945 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](CCC(C)C)C[C@H]1O ZINC001192844212 845921674 /nfs/dbraw/zinc/92/16/74/845921674.db2.gz LYXNDEPOWQPARW-MGPQQGTHSA-N 0 1 282.384 0.232 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccnn1Br ZINC001192950825 845933202 /nfs/dbraw/zinc/93/32/02/845933202.db2.gz CXLMQVUQNDLCND-UHFFFAOYSA-N 0 1 265.092 0.306 20 30 CCEDMN CC(=O)Nc1cc(NS(=O)(=O)CC#N)ccn1 ZINC001192939056 845943826 /nfs/dbraw/zinc/94/38/26/845943826.db2.gz KZOOTSFTPKVWGO-UHFFFAOYSA-N 0 1 254.271 0.305 20 30 CCEDMN N#CCS(=O)(=O)Nc1cccc2c1CNC(=O)N2 ZINC001192985993 845945484 /nfs/dbraw/zinc/94/54/84/845945484.db2.gz SNVWRCUOTBKCFQ-UHFFFAOYSA-N 0 1 266.282 0.587 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N[C@H]1CCN(CC(C)(C)C)C1 ZINC001193178534 846024818 /nfs/dbraw/zinc/02/48/18/846024818.db2.gz KKFCENOUSOMGLT-MNOVXSKESA-N 0 1 273.402 0.938 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@H](O)[C@H](CO)C2)ccc1O ZINC001193507290 846101506 /nfs/dbraw/zinc/10/15/06/846101506.db2.gz VBUPGNCDBUDVER-AAEUAGOBSA-N 0 1 276.292 0.079 20 30 CCEDMN C=CC(=O)NCCNC(=O)c1ccc(O)c(C#N)c1 ZINC001193515983 846103055 /nfs/dbraw/zinc/10/30/55/846103055.db2.gz WOCGWPFDWMWTDG-UHFFFAOYSA-N 0 1 259.265 0.296 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CNC(=O)O2)ccc1O ZINC001193518894 846103208 /nfs/dbraw/zinc/10/32/08/846103208.db2.gz KWFVTXVRIZMLFJ-SECBINFHSA-N 0 1 261.237 0.102 20 30 CCEDMN N#Cc1cc(C(=O)NC2(CCO)COC2)ccc1O ZINC001193515117 846103315 /nfs/dbraw/zinc/10/33/15/846103315.db2.gz JOQHLMLGPGBGGE-UHFFFAOYSA-N 0 1 262.265 0.145 20 30 CCEDMN C[N@H+]1CC[C@@H](CNC(=O)c2ccc([O-])c(C#N)c2)C1 ZINC001193518832 846103464 /nfs/dbraw/zinc/10/34/64/846103464.db2.gz GYTUMZFJQYWTPD-JTQLQIEISA-N 0 1 259.309 0.945 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1ccc(O)c(C#N)c1 ZINC001193514514 846103695 /nfs/dbraw/zinc/10/36/95/846103695.db2.gz FOBCMHMILLWPCT-JTQLQIEISA-N 0 1 273.248 0.449 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC(C)C)NC(C)=O)C1 ZINC001193594252 846115657 /nfs/dbraw/zinc/11/56/57/846115657.db2.gz VBSYCVWSKYSJMS-LSDHHAIUSA-N 0 1 293.411 0.703 20 30 CCEDMN CC(=O)N1C[C@H]2C[C@@]2(NC(=O)c2cccc(C#N)c2O)C1 ZINC001193658090 846140490 /nfs/dbraw/zinc/14/04/90/846140490.db2.gz SFRIUNWTWIIEDX-IAQYHMDHSA-N 0 1 285.303 0.614 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001194284732 846265740 /nfs/dbraw/zinc/26/57/40/846265740.db2.gz MRXSKQVYSWVKBB-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001194284732 846265749 /nfs/dbraw/zinc/26/57/49/846265749.db2.gz MRXSKQVYSWVKBB-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)NC(=O)C2CCC2)C1 ZINC001194523458 846315720 /nfs/dbraw/zinc/31/57/20/846315720.db2.gz WAQHKGTYGWREGI-TZMCWYRMSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC[C@@]2(C)CCC(=O)N2)C1 ZINC001194565849 846326058 /nfs/dbraw/zinc/32/60/58/846326058.db2.gz AWWGWOWGRPXLCV-CZUORRHYSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](F)C(C)C)C1 ZINC001195433696 846542837 /nfs/dbraw/zinc/54/28/37/846542837.db2.gz JYDGLPNMDWRMKC-UTUOFQBUSA-N 0 1 256.321 0.165 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(CCOC(C)C)C[C@H]1O ZINC001195482831 846548854 /nfs/dbraw/zinc/54/88/54/846548854.db2.gz BIRZAQOBMVODDP-HUUCEWRRSA-N 0 1 296.411 0.766 20 30 CCEDMN CCOC(=O)CC(=N)NC(=O)c1ccc(C#N)cc1O ZINC001195758765 846610137 /nfs/dbraw/zinc/61/01/37/846610137.db2.gz SBNVRUNLWNGBIQ-UHFFFAOYSA-N 0 1 275.264 0.924 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2C=CS(=O)(=O)C2)c(O)c1 ZINC001195764655 846613713 /nfs/dbraw/zinc/61/37/13/846613713.db2.gz NROWBIRCVOAXTC-SECBINFHSA-N 0 1 278.289 0.304 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN([C@@H](C)COC)C[C@H]2O)CCC1 ZINC001195940431 846643984 /nfs/dbraw/zinc/64/39/84/846643984.db2.gz VRHJMSUZNRZAKX-BFHYXJOUSA-N 0 1 296.411 0.929 20 30 CCEDMN N=C(NC[C@@H](O)C(F)(F)F)c1ccc(F)cn1 ZINC001250991636 846725214 /nfs/dbraw/zinc/72/52/14/846725214.db2.gz MJAWFXOGYWEOLH-SSDOTTSWSA-N 0 1 251.183 0.849 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1O ZINC001196475478 846753233 /nfs/dbraw/zinc/75/32/33/846753233.db2.gz XIOYMPPDWCQFTM-QJPTWQEYSA-N 0 1 270.373 0.131 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@]2(COC)CCOC2)CC1 ZINC001196673231 846787050 /nfs/dbraw/zinc/78/70/50/846787050.db2.gz CXXHFQPUAFVYJO-INIZCTEOSA-N 0 1 294.395 0.597 20 30 CCEDMN CC(C)(C)c1nc(C(=O)N(O)C(=N)c2nonc2N)no1 ZINC001196978815 846826471 /nfs/dbraw/zinc/82/64/71/846826471.db2.gz NPSGLEGYZSSMSW-UHFFFAOYSA-N 0 1 295.259 0.189 20 30 CCEDMN C[C@@H](O)[C@@H](C)N1CCN(c2ccc(C#N)nc2)CC1 ZINC001197553366 846931824 /nfs/dbraw/zinc/93/18/24/846931824.db2.gz PUTSXJLGDXERSJ-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CCCO ZINC001110496117 847002227 /nfs/dbraw/zinc/00/22/27/847002227.db2.gz VMDGUAATYWLNIG-GUIRCDHDSA-N 0 1 282.384 0.417 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCCN(C(=O)C2CCC2)CC1 ZINC001251847314 847196234 /nfs/dbraw/zinc/19/62/34/847196234.db2.gz CVGFVNOAHWEDGL-HNNXBMFYSA-N 0 1 294.395 0.332 20 30 CCEDMN CN(C1CN(C(=O)c2ccc(C#N)[nH]2)C1)[C@H]1CCOC1 ZINC001199681145 847398950 /nfs/dbraw/zinc/39/89/50/847398950.db2.gz SPZMQYOXXMDQKG-NSHDSACASA-N 0 1 274.324 0.431 20 30 CCEDMN C#CCN1CC[C@@]2(CC[N@H+](Cc3nnc(C)o3)C2)C1=O ZINC001273792076 847465754 /nfs/dbraw/zinc/46/57/54/847465754.db2.gz HSTQJSSRJNOLGO-CQSZACIVSA-N 0 1 274.324 0.436 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3nnc(C)o3)C2)C1=O ZINC001273792076 847465758 /nfs/dbraw/zinc/46/57/58/847465758.db2.gz HSTQJSSRJNOLGO-CQSZACIVSA-N 0 1 274.324 0.436 20 30 CCEDMN C#CCCCC(=O)N1CCC(NCc2cnon2)CC1 ZINC001200325423 847606600 /nfs/dbraw/zinc/60/66/00/847606600.db2.gz WTKYIXFNTPUXCP-UHFFFAOYSA-N 0 1 276.340 0.954 20 30 CCEDMN C=CC[NH2+]C[C@@H]1CN(C(=O)c2ncccc2[O-])CCCO1 ZINC001200330301 847607819 /nfs/dbraw/zinc/60/78/19/847607819.db2.gz VGMRNZYZVPIYSL-GFCCVEGCSA-N 0 1 291.351 0.794 20 30 CCEDMN CCOC[C@@H](O)CNC(=N)c1ccc(Cl)cn1 ZINC001252045352 847704164 /nfs/dbraw/zinc/70/41/64/847704164.db2.gz MUKFKISRSPJNCZ-VIFPVBQESA-N 0 1 257.721 0.838 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)c1[nH]nc2c1CCC2 ZINC001201242809 847747973 /nfs/dbraw/zinc/74/79/73/847747973.db2.gz LWXYUZRFVKEHFQ-UHFFFAOYSA-N 0 1 263.297 0.748 20 30 CCEDMN C#CCN1CC[C@]2(CCN([C@H](C)C(=O)OCC)C2)C1=O ZINC001273908236 847813424 /nfs/dbraw/zinc/81/34/24/847813424.db2.gz RYGSLFRCOFAHJJ-IUODEOHRSA-N 0 1 278.352 0.496 20 30 CCEDMN COC(=O)CCCS(=O)(=O)Nc1cnc(C#N)cn1 ZINC001201902986 847831048 /nfs/dbraw/zinc/83/10/48/847831048.db2.gz GPNHIJTZJSSSNU-UHFFFAOYSA-N 0 1 284.297 0.043 20 30 CCEDMN COC(=O)CCCS(=O)(=O)Nc1ccc(O)cc1C#N ZINC001201915361 847834463 /nfs/dbraw/zinc/83/44/63/847834463.db2.gz LBPWGBYMKZESTO-UHFFFAOYSA-N 0 1 298.320 0.959 20 30 CCEDMN COC(=O)CN1CC[C@@]2(CCN(CCCC#N)C2=O)C1 ZINC001273931816 847861522 /nfs/dbraw/zinc/86/15/22/847861522.db2.gz VKRKSJKTFPQHNS-CQSZACIVSA-N 0 1 279.340 0.388 20 30 CCEDMN C=C(C)CN1CC[C@]2(CCN(CC(=O)OC)C2)C1=O ZINC001273931936 847862498 /nfs/dbraw/zinc/86/24/98/847862498.db2.gz XXRQLDKPERGRAB-AWEZNQCLSA-N 0 1 266.341 0.660 20 30 CCEDMN C#CCN(C(C)=O)C1CCN(c2ncnc3[nH]cnc32)CC1 ZINC001110617396 847922829 /nfs/dbraw/zinc/92/28/29/847922829.db2.gz VENSABKMUAFBHN-UHFFFAOYSA-N 0 1 298.350 0.803 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@]1(C)CCN(c2ncnc3[nH]cnc32)C1 ZINC001110736454 847991489 /nfs/dbraw/zinc/99/14/89/847991489.db2.gz ZFOOQMYLPMTLFJ-LKFCYVNXSA-N 0 1 299.338 0.598 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1COC(=O)N1)C2 ZINC001095373860 848271698 /nfs/dbraw/zinc/27/16/98/848271698.db2.gz GPVHEODUCWQGKS-RCWTZXSCSA-N 0 1 265.313 0.002 20 30 CCEDMN C=CCN1CC[C@]2(CCCN(CC(N)=O)CC2)C1=O ZINC001274053685 848327849 /nfs/dbraw/zinc/32/78/49/848327849.db2.gz DAXWLOXSZQTTFE-CQSZACIVSA-N 0 1 265.357 0.362 20 30 CCEDMN C#CC[C@@H](CO)NCc1cnc(C(=O)OCC)s1 ZINC000717829446 848399872 /nfs/dbraw/zinc/39/98/72/848399872.db2.gz IXEFHHJBJJYTNG-VIFPVBQESA-N 0 1 268.338 0.794 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](Cc1ccccc1)OC ZINC001115267646 848418803 /nfs/dbraw/zinc/41/88/03/848418803.db2.gz IDSGVOCWYPAYAE-TWMKSMIVSA-N 0 1 298.386 0.924 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@@H]2CCC[C@H]3C[C@H]32)CC1 ZINC001274916187 848588613 /nfs/dbraw/zinc/58/86/13/848588613.db2.gz BYZJUNOCQXABAA-RRFJBIMHSA-N 0 1 290.407 0.999 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H](C)[C@H](C)COC)CC1 ZINC001274910436 848590173 /nfs/dbraw/zinc/59/01/73/848590173.db2.gz KSSRKMARFQQWCY-ZIAGYGMSSA-N 0 1 296.411 0.481 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)CNCc2cnnn2C)nc1 ZINC001274991931 848611318 /nfs/dbraw/zinc/61/13/18/848611318.db2.gz WFNIOKJCCPEARN-LLVKDONJSA-N 0 1 298.350 0.100 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1C[C@H]2C[C@@]2(C(=O)NCc2cnc[nH]2)C1 ZINC001275345306 848694577 /nfs/dbraw/zinc/69/45/77/848694577.db2.gz RAAQTULWTWGWNM-NDLNZWKESA-N 0 1 299.334 0.034 20 30 CCEDMN CCn1nncc1CN[C@H](C)[C@@H](C)NC(=O)C#CC1CC1 ZINC001275394363 848709338 /nfs/dbraw/zinc/70/93/38/848709338.db2.gz LBRGBARASGQHJI-VXGBXAGGSA-N 0 1 289.383 0.694 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@@H](C)n1cccn1 ZINC001275772453 848816852 /nfs/dbraw/zinc/81/68/52/848816852.db2.gz FURXZTFKYNDULG-ZIAGYGMSSA-N 0 1 292.383 0.530 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ncc(OC)cc1F ZINC001275842898 848841090 /nfs/dbraw/zinc/84/10/90/848841090.db2.gz DBXDQQDRTRMWQC-SNVBAGLBSA-N 0 1 279.315 0.913 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cc2ncccn2n1 ZINC001275963393 848875246 /nfs/dbraw/zinc/87/52/46/848875246.db2.gz POGGHGGBUSFJJA-NSHDSACASA-N 0 1 271.324 0.413 20 30 CCEDMN C#CCCCN1CC2(C1)CCN(S(=O)(=O)N(C)C)C2 ZINC001275978387 848881068 /nfs/dbraw/zinc/88/10/68/848881068.db2.gz NPEZHJHNMFUGQG-UHFFFAOYSA-N 0 1 285.413 0.214 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC3(C[C@@H]3C(N)=O)CC2)ccc1O ZINC001276156522 848941964 /nfs/dbraw/zinc/94/19/64/848941964.db2.gz UOKMEACXZJOAFP-GFCCVEGCSA-N 0 1 299.330 0.991 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc3n[nH]nc3c1)C2 ZINC001095540903 848982659 /nfs/dbraw/zinc/98/26/59/848982659.db2.gz UOOZTWZURLOFFK-MDZLAQPJSA-N 0 1 298.350 0.874 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn[nH]c(=O)c1)C2 ZINC001095581598 848992255 /nfs/dbraw/zinc/99/22/55/848992255.db2.gz RWEHSVWJUMDWDL-WOPDTQHZSA-N 0 1 274.324 0.703 20 30 CCEDMN CN1CCN(CCOc2ccc(C#N)cc2)C[C@@H]1CO ZINC000687596161 849125688 /nfs/dbraw/zinc/12/56/88/849125688.db2.gz WRZVEVRGWCFXFR-CQSZACIVSA-N 0 1 275.352 0.545 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(=O)NCC1CC1)C2 ZINC001111257830 849135376 /nfs/dbraw/zinc/13/53/76/849135376.db2.gz JXKPUHIDWJVCMT-MCIONIFRSA-N 0 1 289.379 0.257 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C(=O)NC)CCC1)C2 ZINC001111302846 849160052 /nfs/dbraw/zinc/16/00/52/849160052.db2.gz CZNLMUVFFPARRE-UPJWGTAASA-N 0 1 291.395 0.810 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC001138658625 849180468 /nfs/dbraw/zinc/18/04/68/849180468.db2.gz AACDIXUJRJBEAT-AXFHLTTASA-N 0 1 277.328 0.497 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)nc1OC)C2 ZINC001095861662 849204225 /nfs/dbraw/zinc/20/42/25/849204225.db2.gz WPKBAMJOVYFZMH-WXHSDQCUSA-N 0 1 290.367 0.950 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]nc1C ZINC001114648247 849346322 /nfs/dbraw/zinc/34/63/22/849346322.db2.gz RQHAFBZQNGKHSV-WDNDVIMCSA-N 0 1 288.351 0.028 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)n1ccc(C)n1 ZINC001114674958 849357973 /nfs/dbraw/zinc/35/79/73/849357973.db2.gz MJQYTIQZZBZEHB-NYTXWWLZSA-N 0 1 286.379 0.822 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C(F)(F)F ZINC001114702712 849372640 /nfs/dbraw/zinc/37/26/40/849372640.db2.gz GWUWMEUQVLPKDA-IMSYWVGJSA-N 0 1 260.259 0.864 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)Cc2cnn(C)c2)C1 ZINC001276350239 849441690 /nfs/dbraw/zinc/44/16/90/849441690.db2.gz HCIIVPZRNXOFND-LLVKDONJSA-N 0 1 262.357 0.585 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NC)C[C@H]21 ZINC001114896497 849597607 /nfs/dbraw/zinc/59/76/07/849597607.db2.gz OGCXHAOWIGHLOT-WUHRBBMRSA-N 0 1 293.411 0.770 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)OCCOC ZINC001114919676 849655712 /nfs/dbraw/zinc/65/57/12/849655712.db2.gz XPSIRGYHEXKNMG-IGQOVBAYSA-N 0 1 280.368 0.108 20 30 CCEDMN C=C[C@@H](O)CNCc1cn(Cc2ccncc2)cn1 ZINC001253608457 849656534 /nfs/dbraw/zinc/65/65/34/849656534.db2.gz BZXLHIZMIHIGQL-CQSZACIVSA-N 0 1 258.325 0.963 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cn2c(n1)COCC2 ZINC001038410879 849883039 /nfs/dbraw/zinc/88/30/39/849883039.db2.gz OZJVZPFYAKABHN-GFCCVEGCSA-N 0 1 288.351 0.241 20 30 CCEDMN C=C(Cl)CN1CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001038435397 849890091 /nfs/dbraw/zinc/89/00/91/849890091.db2.gz SHAXFNINEZOCMI-QMMMGPOBSA-N 0 1 255.709 0.361 20 30 CCEDMN N#Cc1cccc(CN2CC[C@H]2CNC(=O)c2ncn[nH]2)c1 ZINC001038825562 850029651 /nfs/dbraw/zinc/02/96/51/850029651.db2.gz IHDFBSPZCQARKG-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN N#Cc1cccc(CN2CC[C@H]2CNC(=O)c2nc[nH]n2)c1 ZINC001038825562 850029653 /nfs/dbraw/zinc/02/96/53/850029653.db2.gz IHDFBSPZCQARKG-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2C[C@@H](C)O)cn1 ZINC001038931702 850089871 /nfs/dbraw/zinc/08/98/71/850089871.db2.gz JQDZUWSSAFXBBG-BXUZGUMPSA-N 0 1 273.336 0.248 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cccnc1C(N)=O ZINC001039043260 850135760 /nfs/dbraw/zinc/13/57/60/850135760.db2.gz OYPLDCPQQWZVJA-LLVKDONJSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCn1cncn1 ZINC001039334263 850173642 /nfs/dbraw/zinc/17/36/42/850173642.db2.gz GLNNRGYSVSCBSY-KGLIPLIRSA-N 0 1 287.367 0.367 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccnn1C ZINC001039366580 850180817 /nfs/dbraw/zinc/18/08/17/850180817.db2.gz OXTICKAURIVAEN-HIFRSBDPSA-N 0 1 286.379 0.661 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cnoc1 ZINC001039423035 850187491 /nfs/dbraw/zinc/18/74/91/850187491.db2.gz YDTBJZSIZKTYRL-KGLIPLIRSA-N 0 1 273.336 0.916 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C(N)=O)CC1 ZINC001039429313 850190030 /nfs/dbraw/zinc/19/00/30/850190030.db2.gz OMLARRYRYVHSCC-NEPJUHHUSA-N 0 1 277.368 0.503 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@H]3CCC[C@@H](C2)N3CC#N)[nH]1 ZINC001039530348 850211896 /nfs/dbraw/zinc/21/18/96/850211896.db2.gz RJMADGMNVXDABJ-TXEJJXNPSA-N 0 1 273.340 0.921 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnccn3)C[C@H]21 ZINC001041911774 850526807 /nfs/dbraw/zinc/52/68/07/850526807.db2.gz YHCMTEUZVKTRAM-GXTWGEPZSA-N 0 1 270.336 0.646 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H]3CCN(CC#N)[C@@H]3C2)n[nH]1 ZINC001041962889 850542862 /nfs/dbraw/zinc/54/28/62/850542862.db2.gz ZQKJCLMZYAOAFE-WCQYABFASA-N 0 1 273.340 0.778 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@H]21 ZINC001041993393 850552882 /nfs/dbraw/zinc/55/28/82/850552882.db2.gz YYFAXLAYQNMDNF-WCQYABFASA-N 0 1 273.340 0.399 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnnn3CC)C[C@H]21 ZINC001042048018 850569260 /nfs/dbraw/zinc/56/92/60/850569260.db2.gz YWVAZYPLEPVJGL-GXTWGEPZSA-N 0 1 287.367 0.468 20 30 CCEDMN C[C@@H]1CN(c2ncccc2C#N)C[C@H]1NC(=O)c1ncn[nH]1 ZINC001042129855 850583031 /nfs/dbraw/zinc/58/30/31/850583031.db2.gz DUMNMOBLRMDLSR-MWLCHTKSSA-N 0 1 297.322 0.326 20 30 CCEDMN C[C@@H]1CN(c2ncccc2C#N)C[C@H]1NC(=O)c1nc[nH]n1 ZINC001042129855 850583034 /nfs/dbraw/zinc/58/30/34/850583034.db2.gz DUMNMOBLRMDLSR-MWLCHTKSSA-N 0 1 297.322 0.326 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cn3nccc3C)C[C@H]21 ZINC001042249888 850603083 /nfs/dbraw/zinc/60/30/83/850603083.db2.gz RAWHJKCLNNMCGS-LSDHHAIUSA-N 0 1 286.379 0.748 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cc3nonc3C)C[C@H]21 ZINC001042294285 850610082 /nfs/dbraw/zinc/61/00/82/850610082.db2.gz MPFRBAQBGIZCLR-GXTWGEPZSA-N 0 1 288.351 0.477 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)c2cnc[nH]c2=O)C1 ZINC001043030271 850801282 /nfs/dbraw/zinc/80/12/82/850801282.db2.gz QMYFJKPXVUDHCV-UHFFFAOYSA-N 0 1 276.340 0.905 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1Nc1ccnc(C#N)n1 ZINC001043078930 850809969 /nfs/dbraw/zinc/80/99/69/850809969.db2.gz DSTFJLWOQRCMLR-MWLCHTKSSA-N 0 1 297.322 0.066 20 30 CCEDMN C#CCN1CC(N(C)C(=O)C2CCN(CC#C)CC2)C1 ZINC001044334855 851079760 /nfs/dbraw/zinc/07/97/60/851079760.db2.gz YMVHWTWVOQYWAO-UHFFFAOYSA-N 0 1 273.380 0.107 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C2CN(CCF)C2)CC1 ZINC001044335793 851081017 /nfs/dbraw/zinc/08/10/17/851081017.db2.gz ORYCKDBYMOMGOC-UHFFFAOYSA-N 0 1 281.375 0.444 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(C)CCNC1=O)C2 ZINC001096003915 851186817 /nfs/dbraw/zinc/18/68/17/851186817.db2.gz WOLKGXUWWDCXBV-YXMPFFBPSA-N 0 1 277.368 0.420 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnn3ncccc23)CC1 ZINC001045464362 851262776 /nfs/dbraw/zinc/26/27/76/851262776.db2.gz PKSZGSSQECXAJG-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2coc(OC)n2)CC1 ZINC001045515203 851269094 /nfs/dbraw/zinc/26/90/94/851269094.db2.gz MCYDWBOWPHEUII-UHFFFAOYSA-N 0 1 277.324 0.901 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]3CC[C@@H](C2)N3CC#N)n[nH]1 ZINC001045725281 851304022 /nfs/dbraw/zinc/30/40/22/851304022.db2.gz RGCFZGRRFVJDHO-NEPJUHHUSA-N 0 1 273.340 0.921 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001045924601 851333953 /nfs/dbraw/zinc/33/39/53/851333953.db2.gz MSQYJXFZYYXIIS-IUODEOHRSA-N 0 1 292.383 0.336 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001046122843 851386081 /nfs/dbraw/zinc/38/60/81/851386081.db2.gz XMAMFNCSTLCOCQ-HNNXBMFYSA-N 0 1 273.336 0.538 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cc(CC)n[nH]2)C1 ZINC001046153827 851397509 /nfs/dbraw/zinc/39/75/09/851397509.db2.gz VKMKNZOBWIGFBG-AWEZNQCLSA-N 0 1 260.341 0.800 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc(C)n[nH]2)C1 ZINC001046174779 851408902 /nfs/dbraw/zinc/40/89/02/851408902.db2.gz XPVHYGYZYWMSON-AWEZNQCLSA-N 0 1 260.341 0.936 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@]1(C)CCN(CC#N)C1 ZINC001046189549 851415677 /nfs/dbraw/zinc/41/56/77/851415677.db2.gz MSPWVQQPBSFJLN-AAEUAGOBSA-N 0 1 250.346 0.185 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cnn(CC)n2)C1 ZINC001046297959 851459386 /nfs/dbraw/zinc/45/93/86/851459386.db2.gz IQHBJEYUUVGUIE-CYBMUJFWSA-N 0 1 263.345 0.678 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cncc3[nH]cnc32)C1 ZINC001046335305 851470450 /nfs/dbraw/zinc/47/04/50/851470450.db2.gz IRHLCTDOVFHDJR-OAHLLOKOSA-N 0 1 283.335 0.785 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnn3ccncc23)C1 ZINC001046378377 851480004 /nfs/dbraw/zinc/48/00/04/851480004.db2.gz NRBWPDVMPPFLKQ-INIZCTEOSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001046383791 851481845 /nfs/dbraw/zinc/48/18/45/851481845.db2.gz RPXUQYZHVBFKFP-OBJOEFQTSA-N 0 1 268.357 0.557 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001046443470 851505862 /nfs/dbraw/zinc/50/58/62/851505862.db2.gz FKMBEHQELCKOOB-INIZCTEOSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCCN1CC[C@](C)(NC(=O)c2cn(C)ccc2=O)C1 ZINC001046476477 851522177 /nfs/dbraw/zinc/52/21/77/851522177.db2.gz YRLWWKNZUGUPBF-INIZCTEOSA-N 0 1 287.363 0.603 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001046566538 851550434 /nfs/dbraw/zinc/55/04/34/851550434.db2.gz BOZNRLZGGULMIE-DOMZBBRYSA-N 0 1 277.368 0.211 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@](C)(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001046620473 851567538 /nfs/dbraw/zinc/56/75/38/851567538.db2.gz BGQGMNATOLEZKV-ZENOOKHLSA-N 0 1 293.411 0.867 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnc(OC)nc2)C1 ZINC001046746241 851604695 /nfs/dbraw/zinc/60/46/95/851604695.db2.gz FZAVUWFLXUIFQR-OAHLLOKOSA-N 0 1 288.351 0.703 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001046841487 851627845 /nfs/dbraw/zinc/62/78/45/851627845.db2.gz NHMQTCLPPVYOSF-AWEZNQCLSA-N 0 1 276.340 0.494 20 30 CCEDMN C#CCCN1CC[C@@](C)(NC(=O)c2nonc2C)C1 ZINC001046878211 851638681 /nfs/dbraw/zinc/63/86/81/851638681.db2.gz YVWGBRZGEJKCDG-CYBMUJFWSA-N 0 1 262.313 0.596 20 30 CCEDMN C#CC[N@@H+]1CCC(F)(F)[C@H](CNC(=O)c2cnn[n-]2)C1 ZINC001046952249 851648852 /nfs/dbraw/zinc/64/88/52/851648852.db2.gz WPLOQMXCTXPXQO-SECBINFHSA-N 0 1 283.282 0.125 20 30 CCEDMN CN1CC(C(=O)N[C@H]2C[C@H]3C[C@@H](C2)N(CC#N)C3)=NC1=O ZINC001047139734 851673309 /nfs/dbraw/zinc/67/33/09/851673309.db2.gz CFDOPFVMCIGSHK-DCAQKATOSA-N 0 1 289.339 0.232 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(CC)n[nH]2)C1 ZINC001047309356 851707342 /nfs/dbraw/zinc/70/73/42/851707342.db2.gz AOUHZIXNYBFQRB-KBPBESRZSA-N 0 1 290.367 0.113 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCCOC2)C1 ZINC001047322651 851717166 /nfs/dbraw/zinc/71/71/66/851717166.db2.gz LAQDRLAVSCXTFO-RDBSUJKOSA-N 0 1 282.384 0.493 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ncc[nH]2)C1 ZINC001047375462 851742877 /nfs/dbraw/zinc/74/28/77/851742877.db2.gz UMNLOZNEORTDKW-QWRGUYRKSA-N 0 1 264.329 0.103 20 30 CCEDMN C=C1CCC(C(=O)N(C)[C@H]2CN(C[C@@H](C)O)C[C@@H]2O)CC1 ZINC001047512284 851796594 /nfs/dbraw/zinc/79/65/94/851796594.db2.gz LPIUEGCNOKEPSW-SNPRPXQTSA-N 0 1 296.411 0.617 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)[C@H]2CN(C[C@H](C)O)C[C@@H]2O)C1 ZINC001047519199 851799585 /nfs/dbraw/zinc/79/95/85/851799585.db2.gz AHUWSGRFWJXIFQ-AVGNSLFASA-N 0 1 282.384 0.227 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)[C@H]2CN(C[C@@H](C)O)C[C@@H]2O)C1 ZINC001047519198 851799781 /nfs/dbraw/zinc/79/97/81/851799781.db2.gz AHUWSGRFWJXIFQ-AGIUHOORSA-N 0 1 282.384 0.227 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2C[C@H]2C2CC2)C1 ZINC001047558551 851815326 /nfs/dbraw/zinc/81/53/26/851815326.db2.gz BFZAVGNGNYITOE-XGUBFFRZSA-N 0 1 276.380 0.559 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccco2)C1 ZINC001047572792 851820744 /nfs/dbraw/zinc/82/07/44/851820744.db2.gz QVFQWYGODFHIKI-STQMWFEESA-N 0 1 264.325 0.512 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001482355184 893908968 /nfs/dbraw/zinc/90/89/68/893908968.db2.gz SRRRHXQQZHIVES-ZJUUUORDSA-N 0 1 273.764 0.454 20 30 CCEDMN C=CC[C@@](C)(NS(=O)(=O)C(F)F)C(=O)OC ZINC001259965049 851913068 /nfs/dbraw/zinc/91/30/68/851913068.db2.gz SXSXNTICGDCCJI-MRVPVSSYSA-N 0 1 257.258 0.636 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[N@H+](CC(N)=O)C[C@@H]2C1 ZINC001048977214 852125603 /nfs/dbraw/zinc/12/56/03/852125603.db2.gz ZIJQUPRQPCOFQD-TXEJJXNPSA-N 0 1 279.384 0.464 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CN(CC(N)=O)C[C@H]2C1 ZINC001048977214 852125610 /nfs/dbraw/zinc/12/56/10/852125610.db2.gz ZIJQUPRQPCOFQD-TXEJJXNPSA-N 0 1 279.384 0.464 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CN(CC(N)=O)C[C@H]2C1 ZINC001048982174 852127281 /nfs/dbraw/zinc/12/72/81/852127281.db2.gz PVEOYRFBWFAIOA-PHIMTYICSA-N 0 1 265.357 0.074 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(=O)n(C)n1)C2 ZINC001096489603 852178274 /nfs/dbraw/zinc/17/82/74/852178274.db2.gz BVNPCAHRDFYEHF-WXHSDQCUSA-N 0 1 288.351 0.301 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCC[C@@H]2[C@H]1CCN2CC#N ZINC001049370508 852246998 /nfs/dbraw/zinc/24/69/98/852246998.db2.gz VWINVCXQNARBIJ-MGPQQGTHSA-N 0 1 276.384 0.669 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnnn1C ZINC001049451042 852280546 /nfs/dbraw/zinc/28/05/46/852280546.db2.gz NBYBLYHXYLFSDW-VXGBXAGGSA-N 0 1 273.340 0.127 20 30 CCEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049455941 852285235 /nfs/dbraw/zinc/28/52/35/852285235.db2.gz HFSQNQIVXLNYIZ-OLZOCXBDSA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1ccn(C)n1 ZINC001049475988 852292188 /nfs/dbraw/zinc/29/21/88/852292188.db2.gz QAPHSKZDGWLCIT-LSDHHAIUSA-N 0 1 286.379 0.661 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C(C)(C)C(N)=O ZINC001049534169 852306667 /nfs/dbraw/zinc/30/66/67/852306667.db2.gz ZBSHHPPBKIZENZ-VXGBXAGGSA-N 0 1 277.368 0.196 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1[nH]c(=O)[nH]c1C ZINC001049539035 852308817 /nfs/dbraw/zinc/30/88/17/852308817.db2.gz WSAUFSMZOHXLLK-VXGBXAGGSA-N 0 1 288.351 0.736 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cn[nH]n1 ZINC001049577450 852317320 /nfs/dbraw/zinc/31/73/20/852317320.db2.gz ZXOJXQSCRJKIAX-QWHCGFSZSA-N 0 1 273.340 0.507 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1c[nH]c(=O)n1C ZINC001049637016 852327729 /nfs/dbraw/zinc/32/77/29/852327729.db2.gz WAVMQCQMVFPLFM-NEPJUHHUSA-N 0 1 288.351 0.438 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1c[nH]c(=O)cn1 ZINC001049678188 852344576 /nfs/dbraw/zinc/34/45/76/852344576.db2.gz YOBYFTKMDMHKTI-OLZOCXBDSA-N 0 1 286.335 0.082 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)Cn1nccc1C ZINC001049759894 852366796 /nfs/dbraw/zinc/36/67/96/852366796.db2.gz SULNMRBPLDKUDU-CABCVRRESA-N 0 1 286.379 0.890 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccn(C)c1=O ZINC001049855946 852385347 /nfs/dbraw/zinc/38/53/47/852385347.db2.gz WAHNVFOHTGYLPF-GJZGRUSLSA-N 0 1 299.374 0.697 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3CCCO3)[C@@H]2C1 ZINC001049964922 852411631 /nfs/dbraw/zinc/41/16/31/852411631.db2.gz XHVXVDMZGQNBQE-BFHYXJOUSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nccnc1N)C2 ZINC001096897003 852461480 /nfs/dbraw/zinc/46/14/80/852461480.db2.gz NURWVRZTTUQHMH-UTUOFQBUSA-N 0 1 285.351 0.417 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(=O)n(C)c1)C2 ZINC001097416060 852537395 /nfs/dbraw/zinc/53/73/95/852537395.db2.gz ASHZCQMHGHLBAX-MCIONIFRSA-N 0 1 287.363 0.906 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCNC1=O)C2 ZINC001097640230 852551860 /nfs/dbraw/zinc/55/18/60/852551860.db2.gz FEOJNOZRXQZKJC-KXNHARMFSA-N 0 1 263.341 0.030 20 30 CCEDMN C[C@@H](CNC(=O)[C@H]1CCCN1C)Nc1ccc(C#N)nc1 ZINC001097730069 852595769 /nfs/dbraw/zinc/59/57/69/852595769.db2.gz QRZITDOLTMEYKZ-SMDDNHRTSA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@@H](CNC(=O)CCc1nc[nH]n1)Nc1ccc(C#N)nc1 ZINC001097779917 852609702 /nfs/dbraw/zinc/60/97/02/852609702.db2.gz QSMHWBFTBYWVMM-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN Cc1nc(CC(=O)NC[C@H](C)Nc2ncccc2C#N)n[nH]1 ZINC001097806748 852622001 /nfs/dbraw/zinc/62/20/01/852622001.db2.gz UHDPTWYGQWUTJI-VIFPVBQESA-N 0 1 299.338 0.539 20 30 CCEDMN Cc1nc(CC(=O)NC[C@@H](C)Nc2ccc(C#N)nc2)n[nH]1 ZINC001097806572 852622876 /nfs/dbraw/zinc/62/28/76/852622876.db2.gz PKHIMQRLGGNPGL-SECBINFHSA-N 0 1 299.338 0.539 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCCN(CC(N)=O)CC1 ZINC001052723524 852647237 /nfs/dbraw/zinc/64/72/37/852647237.db2.gz TXGSYSHXQUEZKQ-XQQFMLRXSA-N 0 1 295.383 0.034 20 30 CCEDMN C=C(C)CN1CC2(C1)CN(C(=O)[C@H]1CCCN1C)CCO2 ZINC001053189815 852705458 /nfs/dbraw/zinc/70/54/58/852705458.db2.gz BCHWQQVGRFNJIK-CQSZACIVSA-N 0 1 293.411 0.570 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(C1)CCCN(C(=O)Cc1nnc[nH]1)C2 ZINC001054226260 852896901 /nfs/dbraw/zinc/89/69/01/852896901.db2.gz LUWDRCAOMIXMMR-HNNXBMFYSA-N 0 1 287.367 0.295 20 30 CCEDMN N#Cc1ccc(N2CCN(C(=O)c3ccn[nH]3)CC2)nn1 ZINC001055721503 853107397 /nfs/dbraw/zinc/10/73/97/853107397.db2.gz CEVGBTVYCWQMKM-UHFFFAOYSA-N 0 1 283.295 0.034 20 30 CCEDMN N#Cc1nccc(N2CCCN(C(=O)c3ccn[nH]3)CC2)n1 ZINC001057091980 853269182 /nfs/dbraw/zinc/26/91/82/853269182.db2.gz QQJYJAVRQGXQJJ-UHFFFAOYSA-N 0 1 297.322 0.424 20 30 CCEDMN C=CCCC(=O)NCCN(CCO)C(=O)c1cnc(C)[nH]1 ZINC001057205361 853286681 /nfs/dbraw/zinc/28/66/81/853286681.db2.gz JTAWOBAUUZKRFD-UHFFFAOYSA-N 0 1 294.355 0.235 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cc3cc[nH]n3)[C@@H]2C1 ZINC001050004914 853291020 /nfs/dbraw/zinc/29/10/20/853291020.db2.gz WYDRPZWJLXPUCE-GXTWGEPZSA-N 0 1 272.352 0.508 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cc3cnoc3)[C@@H]2C1 ZINC001050122678 853318380 /nfs/dbraw/zinc/31/83/80/853318380.db2.gz FLOQYYTWKLMJQT-UONOGXRCSA-N 0 1 273.336 0.773 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C1)CCN(CC(=O)N(C)C)CC2 ZINC001050463182 853379436 /nfs/dbraw/zinc/37/94/36/853379436.db2.gz KWXIBJKSTCDLMK-GFCCVEGCSA-N 0 1 292.383 0.159 20 30 CCEDMN C=C(C)CN1CCOC[C@H]1CNC(=O)[C@@H]1CCCN1C ZINC001050848638 853461179 /nfs/dbraw/zinc/46/11/79/853461179.db2.gz LTXLGUWWWPVTMB-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN Cc1oncc1CNC[C@@H]1CN(C(=O)[C@H](C)C#N)CCO1 ZINC001051494306 853596709 /nfs/dbraw/zinc/59/67/09/853596709.db2.gz HGHYVYQRTAPCNR-ZWNOBZJWSA-N 0 1 292.339 0.460 20 30 CCEDMN Cc1ncc(CNC[C@@H]2CN(C(=O)[C@H](C)C#N)CCO2)o1 ZINC001051495232 853597057 /nfs/dbraw/zinc/59/70/57/853597057.db2.gz SLYHYHBIQGXXHJ-ZWNOBZJWSA-N 0 1 292.339 0.460 20 30 CCEDMN N#Cc1ccc(N2CCC(NC(=O)c3ncn[nH]3)CC2)cn1 ZINC001057889213 853789450 /nfs/dbraw/zinc/78/94/50/853789450.db2.gz CDXNCIOJWCHLOK-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1ccc(N2CCC(NC(=O)c3nc[nH]n3)CC2)cn1 ZINC001057889213 853789452 /nfs/dbraw/zinc/78/94/52/853789452.db2.gz CDXNCIOJWCHLOK-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@@H]1C[C@H](Nc2ccncc2C#N)CN1C(=O)c1ccn[nH]1 ZINC001069020325 853939646 /nfs/dbraw/zinc/93/96/46/853939646.db2.gz XEFBMNFPYPZALP-PWSUYJOCSA-N 0 1 296.334 0.813 20 30 CCEDMN C=C[C@H](CO)NCc1cc(Br)nn1C ZINC000389294550 853990922 /nfs/dbraw/zinc/99/09/22/853990922.db2.gz JMEDXSUBAPYKSL-SSDOTTSWSA-N 0 1 260.135 0.819 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ncc[nH]1)C2 ZINC001098103728 854020962 /nfs/dbraw/zinc/02/09/62/854020962.db2.gz STNGDOHRWZULOD-UTUOFQBUSA-N 0 1 258.325 0.307 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C=C\C2CC2)C[C@@H]1n1ccnn1 ZINC001070163470 854031472 /nfs/dbraw/zinc/03/14/72/854031472.db2.gz VTADWXKMDJLCRX-QJTIAFMVSA-N 0 1 285.351 0.219 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2(CC)CC2)C[C@@H]1n1ccnn1 ZINC001070267458 854039063 /nfs/dbraw/zinc/03/90/63/854039063.db2.gz DTLDGCIPSVNOHP-OLZOCXBDSA-N 0 1 287.367 0.443 20 30 CCEDMN CC(C)CN1CCNC(=O)CCN(C(=O)[C@H](C)C#N)CC1 ZINC001070948432 854108930 /nfs/dbraw/zinc/10/89/30/854108930.db2.gz MDRDYZRYMNDRLE-CYBMUJFWSA-N 0 1 294.399 0.453 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)C(F)F)C[C@H](C)O2 ZINC001071117235 854124106 /nfs/dbraw/zinc/12/41/06/854124106.db2.gz SDKSJOWKBFYUAB-GXFFZTMASA-N 0 1 272.295 0.577 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nonc1C)C2 ZINC001098131805 854133321 /nfs/dbraw/zinc/13/33/21/854133321.db2.gz TYRWESXDQMLBJP-BNOWGMLFSA-N 0 1 288.351 0.665 20 30 CCEDMN C#CCC[N@@H+]1C[C@H](NC(=O)Cc2cnn(C)c2)CC[C@H]1C ZINC001071383354 854171467 /nfs/dbraw/zinc/17/14/67/854171467.db2.gz GKPHLAYGEQKQRE-UKRRQHHQSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cc(=O)n(C)o2)CC[C@H]1C ZINC001071462972 854206024 /nfs/dbraw/zinc/20/60/24/854206024.db2.gz DZHBDDHKAKARBB-MNOVXSKESA-N 0 1 277.324 0.194 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cnc[nH]c2=O)CC[C@H]1C ZINC001071600350 854246774 /nfs/dbraw/zinc/24/67/74/854246774.db2.gz KGWCZIBAQCVUGB-VXGBXAGGSA-N 0 1 288.351 0.788 20 30 CCEDMN C=CCCC(=O)N[C@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C ZINC001071652369 854257813 /nfs/dbraw/zinc/25/78/13/854257813.db2.gz TUQCAMKZMODECA-PWSUYJOCSA-N 0 1 276.340 0.953 20 30 CCEDMN C=CCCC(=O)N[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1C ZINC001071652367 854258458 /nfs/dbraw/zinc/25/84/58/854258458.db2.gz TUQCAMKZMODECA-JQWIXIFHSA-N 0 1 276.340 0.953 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cc(C)nn2C)CC[C@H]1C ZINC001071683278 854264947 /nfs/dbraw/zinc/26/49/47/854264947.db2.gz ZDXPFCTTWCBNPY-ZIAGYGMSSA-N 0 1 288.395 0.873 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)c2[nH]nnc2C)CC[C@@H]1C ZINC001071728568 854272429 /nfs/dbraw/zinc/27/24/29/854272429.db2.gz OSUBIAVQCQVSTG-JQWIXIFHSA-N 0 1 275.356 0.719 20 30 CCEDMN C[C@H]1CC[C@@H](NC(=O)c2[nH]ncc2F)CN1CC#N ZINC001071799664 854295448 /nfs/dbraw/zinc/29/54/48/854295448.db2.gz LLHLDCBZOLJLDU-DTWKUNHWSA-N 0 1 265.292 0.655 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2c[nH]cn2)CC[C@@H]1C ZINC001071852250 854309247 /nfs/dbraw/zinc/30/92/47/854309247.db2.gz XTXVJJKHBVAPBM-STQMWFEESA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001071988039 854332747 /nfs/dbraw/zinc/33/27/47/854332747.db2.gz KQUBIFQFOOIEEE-WDEREUQCSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001071988039 854332757 /nfs/dbraw/zinc/33/27/57/854332757.db2.gz KQUBIFQFOOIEEE-WDEREUQCSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cccn(C)c2=O)CC[C@@H]1C ZINC001072005454 854333756 /nfs/dbraw/zinc/33/37/56/854333756.db2.gz NCBSRGUPEGKZMA-QWHCGFSZSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2ccccc2=O)CC[C@@H]1C ZINC001072064526 854342686 /nfs/dbraw/zinc/34/26/86/854342686.db2.gz ZUTWFNMFQRJINB-UONOGXRCSA-N 0 1 287.363 0.451 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001072217872 854359891 /nfs/dbraw/zinc/35/98/91/854359891.db2.gz BWUHPLMVKRQSTB-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001072217872 854359897 /nfs/dbraw/zinc/35/98/97/854359897.db2.gz BWUHPLMVKRQSTB-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cnsn3)C2)C1 ZINC001072509162 854402097 /nfs/dbraw/zinc/40/20/97/854402097.db2.gz RBMWUVOYDYHTJK-UHFFFAOYSA-N 0 1 276.365 0.709 20 30 CCEDMN Cc1cc(CN2CCC3(CN(C(=O)[C@H](C)C#N)C3)C2)ncn1 ZINC001072551182 854414515 /nfs/dbraw/zinc/41/45/15/854414515.db2.gz BWWGXHGLFQFYPG-GFCCVEGCSA-N 0 1 299.378 0.979 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3nccnc3N)C2)C1 ZINC001072564079 854418088 /nfs/dbraw/zinc/41/80/88/854418088.db2.gz VRGMJAGBLHRWJT-UHFFFAOYSA-N 0 1 285.351 0.230 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3=CCOCC3)C2)C1 ZINC001072655943 854439523 /nfs/dbraw/zinc/43/95/23/854439523.db2.gz CABDJFKEVPMART-UHFFFAOYSA-N 0 1 260.337 0.501 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COc3cc(C)on3)C2)C1 ZINC001072830076 854475354 /nfs/dbraw/zinc/47/53/54/854475354.db2.gz ZAZAOBYHWLLUBQ-UHFFFAOYSA-N 0 1 289.335 0.529 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3ccc(F)cn3)C2)C1 ZINC001072860664 854482629 /nfs/dbraw/zinc/48/26/29/854482629.db2.gz BJPUXBHLQKDFCC-UHFFFAOYSA-N 0 1 287.338 0.931 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cn3cc(C4CC4)cn3)C2)C1 ZINC001072879225 854485659 /nfs/dbraw/zinc/48/56/59/854485659.db2.gz NTWBJUHLDJIPBN-UHFFFAOYSA-N 0 1 298.390 0.928 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CN3CCCCC3=O)C2)C1 ZINC001072894294 854488524 /nfs/dbraw/zinc/48/85/24/854488524.db2.gz KIJHYDVIKRAZOL-UHFFFAOYSA-N 0 1 289.379 0.166 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3C[C@H]3c3cncn3C)C2)C1 ZINC001072994588 854512823 /nfs/dbraw/zinc/51/28/23/854512823.db2.gz IVGCJNLENIOQGK-ZIAGYGMSSA-N 0 1 298.390 0.691 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C2CCOCC2)C1 ZINC001073511039 854570648 /nfs/dbraw/zinc/57/06/48/854570648.db2.gz RGRMUUVMKKEFQX-CQSZACIVSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cn[nH]c(=O)c2)C1 ZINC001073648796 854625296 /nfs/dbraw/zinc/62/52/96/854625296.db2.gz CSLUZBAVEXTDAP-LBPRGKRZSA-N 0 1 292.339 0.189 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H]2C[C@H]2C)C1 ZINC001073734014 854635626 /nfs/dbraw/zinc/63/56/26/854635626.db2.gz MOJWYLXBQCADBH-HZSPNIEDSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1CCO[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC001074166279 854683482 /nfs/dbraw/zinc/68/34/82/854683482.db2.gz FGMYHEAPPDJLDR-UONOGXRCSA-N 0 1 288.351 0.348 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)CN3CCCC3)C[C@@H]21 ZINC001074405214 854726517 /nfs/dbraw/zinc/72/65/17/854726517.db2.gz NZORABFCWQZAPX-GJZGRUSLSA-N 0 1 293.411 0.570 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCN(C)C2=O)[C@H]1C ZINC001074814919 854789043 /nfs/dbraw/zinc/78/90/43/854789043.db2.gz MMGLAFNKCLTNGZ-SRVKXCTJSA-N 0 1 299.802 0.796 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C[C@H]1C ZINC001075104075 854812322 /nfs/dbraw/zinc/81/23/22/854812322.db2.gz PITPDXHUGWZSQP-MNOVXSKESA-N 0 1 291.355 0.880 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C[C@H]1C ZINC001075104075 854812323 /nfs/dbraw/zinc/81/23/23/854812323.db2.gz PITPDXHUGWZSQP-MNOVXSKESA-N 0 1 291.355 0.880 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnsn2)C1 ZINC001098742183 854880771 /nfs/dbraw/zinc/88/07/71/854880771.db2.gz DKLKRKGQVBNDPV-GWCFXTLKSA-N 0 1 276.365 0.756 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)COC)CC2(CCOCC2)C1 ZINC001099559825 854939107 /nfs/dbraw/zinc/93/91/07/854939107.db2.gz JETAPZPNGUHYKP-AWEZNQCLSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C/c2ccco2)[C@H](O)C1 ZINC001099642892 854955648 /nfs/dbraw/zinc/95/56/48/854955648.db2.gz YATILHUEMNQBCV-MFHOCJEASA-N 0 1 288.347 0.868 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC(F)(F)F)[C@@H](O)C1 ZINC001099723386 854978009 /nfs/dbraw/zinc/97/80/09/854978009.db2.gz HCYGUPFMDJHGGP-UWVGGRQHSA-N 0 1 278.274 0.514 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCC(F)(F)F)[C@@H](O)C1 ZINC001099764388 854987348 /nfs/dbraw/zinc/98/73/48/854987348.db2.gz VEFJRYSCQRAYMZ-QWRGUYRKSA-N 0 1 292.301 0.904 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCC(F)(F)F)[C@H](O)C1 ZINC001099764389 854987470 /nfs/dbraw/zinc/98/74/70/854987470.db2.gz VEFJRYSCQRAYMZ-WDEREUQCSA-N 0 1 292.301 0.904 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001099794013 854997737 /nfs/dbraw/zinc/99/77/37/854997737.db2.gz GMTRBZMAYDCOMM-OLZOCXBDSA-N 0 1 282.384 0.683 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(COC)CCC2)[C@H](O)C1 ZINC001099925729 855035504 /nfs/dbraw/zinc/03/55/04/855035504.db2.gz JENCYEVNYCQHIQ-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN N#CCNC1CC(CNC(=O)CN2CCCC2)C1 ZINC001100984996 855251853 /nfs/dbraw/zinc/25/18/53/855251853.db2.gz XPFRWUFUUMMZPK-UHFFFAOYSA-N 0 1 250.346 0.090 20 30 CCEDMN Cc1cc(C(=O)N(C)CCNc2ccc(C#N)nn2)n[nH]1 ZINC001101522497 855305991 /nfs/dbraw/zinc/30/59/91/855305991.db2.gz FXDTXWKQRKSNAU-UHFFFAOYSA-N 0 1 285.311 0.564 20 30 CCEDMN Cc1cc(C(=O)N(C)CCNc2cc(C)ncc2C#N)n[nH]1 ZINC001101522650 855306199 /nfs/dbraw/zinc/30/61/99/855306199.db2.gz OTBSPUWPZBNHRN-UHFFFAOYSA-N 0 1 298.350 0.899 20 30 CCEDMN CN(CCNc1ccc(C#N)cn1)C(=O)CCc1nc[nH]n1 ZINC001101553381 855314184 /nfs/dbraw/zinc/31/41/84/855314184.db2.gz RRDZLAFLYVISSR-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN CN(CCNc1ccc(C#N)nc1)C(=O)CCc1nc[nH]n1 ZINC001101553520 855314715 /nfs/dbraw/zinc/31/47/15/855314715.db2.gz ZUVQAYAYHYZOQJ-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)C2(C(N)=O)CC2)[C@H](C)C1 ZINC001102307759 855427005 /nfs/dbraw/zinc/42/70/05/855427005.db2.gz QOLJHKZOZLYJPO-MWLCHTKSSA-N 0 1 299.802 0.689 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001102866504 855476319 /nfs/dbraw/zinc/47/63/19/855476319.db2.gz UCQYTSVHFNKGHU-ZYHUDNBSSA-N 0 1 290.367 0.745 20 30 CCEDMN C#CC[N@H+]1C[C@@H](C)[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001102866504 855476324 /nfs/dbraw/zinc/47/63/24/855476324.db2.gz UCQYTSVHFNKGHU-ZYHUDNBSSA-N 0 1 290.367 0.745 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](CCNC(=O)c2n[nH]c(C)c2[O-])C1 ZINC001102866504 855476330 /nfs/dbraw/zinc/47/63/30/855476330.db2.gz UCQYTSVHFNKGHU-ZYHUDNBSSA-N 0 1 290.367 0.745 20 30 CCEDMN CC[C@@H]1CN(C(=O)NCC#CCN(C)C)C[C@H](C)O1 ZINC001256584667 855501483 /nfs/dbraw/zinc/50/14/83/855501483.db2.gz IGVLVLBIGNTNEZ-QWHCGFSZSA-N 0 1 267.373 0.760 20 30 CCEDMN CC[C@@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)cn1 ZINC001103371529 855516401 /nfs/dbraw/zinc/51/64/01/855516401.db2.gz TYXIZZCAKXXGNG-JTQLQIEISA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@H](CNC(=O)Cc1nnc[nH]1)CNc1ncccc1C#N ZINC001104074626 855567783 /nfs/dbraw/zinc/56/77/83/855567783.db2.gz CHGOXKCXXMTHCM-SNVBAGLBSA-N 0 1 299.338 0.478 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1C[C@@H]2CCC[C@]2(CNCC#N)C1 ZINC001112285214 855624653 /nfs/dbraw/zinc/62/46/53/855624653.db2.gz POUSTFYBOWOHRF-DZKIICNBSA-N 0 1 290.411 0.822 20 30 CCEDMN CC[C@@H](C)NC(=O)CCNC(O)=C1N=CC=CC1=O ZINC001116061689 855715852 /nfs/dbraw/zinc/71/58/52/855715852.db2.gz MOGDLANVYYZEMP-MMZVIXLHSA-N 0 1 265.313 0.818 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)N(C)Cc1nnc[nH]1 ZINC001116845656 855846108 /nfs/dbraw/zinc/84/61/08/855846108.db2.gz TZMXZXOEUAYJHL-UHFFFAOYSA-N 0 1 298.306 0.582 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC001117973444 856123985 /nfs/dbraw/zinc/12/39/85/856123985.db2.gz XBNVZGQOTQPNLU-CHWSQXEVSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCONC(=O)Cc1csc(-c2nc[nH]n2)n1 ZINC001118774801 856438607 /nfs/dbraw/zinc/43/86/07/856438607.db2.gz SXNDVGVZQFNVQC-UHFFFAOYSA-N 0 1 263.282 0.152 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)co1 ZINC001119040109 856538208 /nfs/dbraw/zinc/53/82/08/856538208.db2.gz WOZYICFNZSLRPD-MRVPVSSYSA-N 0 1 257.253 0.899 20 30 CCEDMN C=CC[C@@H](NC(=O)NC[C@@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001119577601 856751529 /nfs/dbraw/zinc/75/15/29/856751529.db2.gz QSDOWNSKTANPLB-VXGBXAGGSA-N 0 1 299.371 0.283 20 30 CCEDMN C[C@H](NCCNC(=O)C1N=CC=CC1=O)c1cn(C)cn1 ZINC001119631467 856794088 /nfs/dbraw/zinc/79/40/88/856794088.db2.gz VWUPKWDASLRQTO-NJERVBSYSA-N 0 1 289.339 0.597 20 30 CCEDMN C=CCn1nnnc1N1C[C@@H](C)N(CC)[C@@H](C)C1 ZINC001119646609 856807778 /nfs/dbraw/zinc/80/77/78/856807778.db2.gz RIYMPXOPQHXZKI-PHIMTYICSA-N 0 1 250.350 0.778 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)CC(N)=O)CC1 ZINC001392923444 912266411 /nfs/dbraw/zinc/26/64/11/912266411.db2.gz FXAKGYSAXCJECU-MRVPVSSYSA-N 0 1 273.764 0.489 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@@H](C)CC)CC1 ZINC001323393289 912318531 /nfs/dbraw/zinc/31/85/31/912318531.db2.gz FHZAQGYVBOGTSE-NSHDSACASA-N 0 1 267.373 0.573 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)[C@@H]2CC2(F)F)CC1 ZINC001323437307 912345850 /nfs/dbraw/zinc/34/58/50/912345850.db2.gz HBSNDKTUXUXMQV-UWVGGRQHSA-N 0 1 299.321 0.018 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@@H]2CCC2(C)C)CC1 ZINC001323521037 912392426 /nfs/dbraw/zinc/39/24/26/912392426.db2.gz XQMQEJTXPNMBAB-LBPRGKRZSA-N 0 1 293.411 0.963 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)Cn2ccnc2)CC1 ZINC001323624175 912453195 /nfs/dbraw/zinc/45/31/95/912453195.db2.gz IZNGQLGHWAZUFI-UHFFFAOYSA-N 0 1 268.748 0.874 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CNC(=O)CC ZINC001323681816 912475939 /nfs/dbraw/zinc/47/59/39/912475939.db2.gz SZRCFRNFRSXFDU-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCO ZINC001323775224 912516211 /nfs/dbraw/zinc/51/62/11/912516211.db2.gz HLMLGEWQXZLIDV-LZWOXQAQSA-N 0 1 296.411 0.761 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001323874582 912555138 /nfs/dbraw/zinc/55/51/38/912555138.db2.gz UYMXMQFWTDBGGY-MRXNPFEDSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)CNC(=O)NC)C1 ZINC001323934952 912590498 /nfs/dbraw/zinc/59/04/98/912590498.db2.gz XLDAYTALVTXEFQ-NSHDSACASA-N 0 1 282.388 0.413 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)CCN2CCCC2=O)CC1 ZINC001324342157 912792355 /nfs/dbraw/zinc/79/23/55/912792355.db2.gz GTLHXRFIJQHFLX-UHFFFAOYSA-N 0 1 291.395 0.603 20 30 CCEDMN C#CCN(C(C)=O)C1CCN([C@@H]2CCN(CC)C2=O)CC1 ZINC001324353744 912796702 /nfs/dbraw/zinc/79/67/02/912796702.db2.gz UZIYEIRNYPEGRV-OAHLLOKOSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C(=O)Cc1[nH]cnc1C)C1CCN(CC#C)CC1 ZINC001324439984 912844035 /nfs/dbraw/zinc/84/40/35/912844035.db2.gz OFKTXTHMSCQLSJ-UHFFFAOYSA-N 0 1 298.390 0.820 20 30 CCEDMN COCC#CCN1CC[C@@](C)(NC(=O)C[C@H](C)OC)C1 ZINC001324589664 912920341 /nfs/dbraw/zinc/92/03/41/912920341.db2.gz QFWBLVLEAGDBHS-DZGCQCFKSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CCCCc2c[nH]nn2)C1 ZINC001324633482 912955532 /nfs/dbraw/zinc/95/55/32/912955532.db2.gz UWKDJHSBKCRLKM-OAHLLOKOSA-N 0 1 289.383 0.731 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCc2ccnc(C)n2)C1 ZINC001324632342 912956798 /nfs/dbraw/zinc/95/67/98/912956798.db2.gz GUCBWXQGUBIZLQ-INIZCTEOSA-N 0 1 286.379 0.931 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@]1(C)CCN(C(=O)CN2CCCC2)C1 ZINC001394324777 913128929 /nfs/dbraw/zinc/12/89/29/913128929.db2.gz OWSFUGDSWBGLGL-IUODEOHRSA-N 0 1 292.383 0.349 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@@H](C)[C@@H]1CCCO1 ZINC001492829331 913176004 /nfs/dbraw/zinc/17/60/04/913176004.db2.gz PTPIDYXUIPOQDI-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@@H](C)n2cccc2)C1 ZINC001325085426 913199704 /nfs/dbraw/zinc/19/97/04/913199704.db2.gz LBOPZWYJLGCIPL-ZBFHGGJFSA-N 0 1 289.379 0.625 20 30 CCEDMN CC(C)C(=O)NC[C@H](CO)NCc1ccc(F)c(C#N)c1 ZINC001394572219 913274361 /nfs/dbraw/zinc/27/43/61/913274361.db2.gz NVIRHIHDHWJQSL-CYBMUJFWSA-N 0 1 293.342 0.920 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1ccn(C)n1 ZINC001394755993 913404423 /nfs/dbraw/zinc/40/44/23/913404423.db2.gz SPRMROIMOVXWKP-SNVBAGLBSA-N 0 1 286.763 0.195 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cnc(C)cn1 ZINC001325726147 913546690 /nfs/dbraw/zinc/54/66/90/913546690.db2.gz UDEBSROUFLUGMA-UHFFFAOYSA-N 0 1 295.324 0.242 20 30 CCEDMN C=CCN(C(=O)[C@@H]1CN2CCN1C[C@H]2C)[C@@H](C)COC ZINC001347109378 891537841 /nfs/dbraw/zinc/53/78/41/891537841.db2.gz KWKMVXSEMMMUFU-RDBSUJKOSA-N 0 1 281.400 0.424 20 30 CCEDMN CO[C@H](C(=O)NCCN1CCC(NCC#N)CC1)C(C)C ZINC001325805740 913580151 /nfs/dbraw/zinc/58/01/51/913580151.db2.gz KZJOXTACGLXIAF-AWEZNQCLSA-N 0 1 296.415 0.351 20 30 CCEDMN Cc1ncc(CNCCN(C)C(=O)CSCC#N)cn1 ZINC001493482377 891681787 /nfs/dbraw/zinc/68/17/87/891681787.db2.gz MTWXHDWZHSLBAN-UHFFFAOYSA-N 0 1 293.396 0.590 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)C(=O)Cc2ccn[nH]2)C1 ZINC001283370193 891768621 /nfs/dbraw/zinc/76/86/21/891768621.db2.gz GWRUFGBIUJMTGY-ZDUSSCGKSA-N 0 1 290.367 0.978 20 30 CCEDMN C#CCN(C)CCNC(=O)CN(C)c1ccccc1 ZINC001480952792 891944059 /nfs/dbraw/zinc/94/40/59/891944059.db2.gz IFXVYSHFQJTWRX-UHFFFAOYSA-N 0 1 259.353 0.804 20 30 CCEDMN C#CCN(CC)CCNC(=O)C1CCN(C(C)=O)CC1 ZINC001481017027 892064640 /nfs/dbraw/zinc/06/46/40/892064640.db2.gz OJVUEXBIKHAZTP-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2ccnnc2)C1 ZINC001481112269 892178671 /nfs/dbraw/zinc/17/86/71/892178671.db2.gz OCMZVMRSEQQMFQ-CYBMUJFWSA-N 0 1 288.351 0.178 20 30 CCEDMN COCC#CCN(CCNC(=O)c1ncoc1C)C1CC1 ZINC001481160864 892252165 /nfs/dbraw/zinc/25/21/65/892252165.db2.gz SGGCHEVAMNHKGY-UHFFFAOYSA-N 0 1 291.351 0.827 20 30 CCEDMN CC#CCN(CCNC(=O)c1cccc(=O)n1C)C1CC1 ZINC001481185493 892284088 /nfs/dbraw/zinc/28/40/88/892284088.db2.gz FDRRNYMKMYOAJI-UHFFFAOYSA-N 0 1 287.363 0.603 20 30 CCEDMN C=CCn1cc(C(=O)N(C)CCNCC(=C)Cl)nn1 ZINC001481289057 892528141 /nfs/dbraw/zinc/52/81/41/892528141.db2.gz BMAUIBAUOXFBCO-UHFFFAOYSA-N 0 1 283.763 0.878 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)Cc1ccoc1 ZINC001326008782 913693048 /nfs/dbraw/zinc/69/30/48/913693048.db2.gz BAXOMIVPRGWWNA-UHFFFAOYSA-N 0 1 286.759 0.985 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)C(C)(C)C(N)=O)C1 ZINC001481526432 892774721 /nfs/dbraw/zinc/77/47/21/892774721.db2.gz BEDXLABUBNEQOC-LLVKDONJSA-N 0 1 267.373 0.655 20 30 CCEDMN C=CCN1CC(CNC(=O)COCc2cccnc2)C1 ZINC001481657261 892973770 /nfs/dbraw/zinc/97/37/70/892973770.db2.gz RQIBOCCTSKTTGY-UHFFFAOYSA-N 0 1 275.352 0.832 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCNC(=O)c2ccco2)C1 ZINC001481696024 893031872 /nfs/dbraw/zinc/03/18/72/893031872.db2.gz ODEHJMPNJXKGNI-LBPRGKRZSA-N 0 1 291.351 0.776 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H](C)OC)C1 ZINC001481719230 893086827 /nfs/dbraw/zinc/08/68/27/893086827.db2.gz HPYPOITYYLFDCS-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H]1CCN(CCOCC(F)F)C1 ZINC001481719646 893087314 /nfs/dbraw/zinc/08/73/14/893087314.db2.gz IXNKXMNWMSYYDA-WDEREUQCSA-N 0 1 289.326 0.960 20 30 CCEDMN C=CCCC(=O)N(C)[C@H]1CCN([C@H]2CCCNC2=O)C1 ZINC001481721446 893093148 /nfs/dbraw/zinc/09/31/48/893093148.db2.gz SDADSRFPNCBOIM-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)Cc2nnc(C)[nH]2)C1 ZINC001481766334 893129994 /nfs/dbraw/zinc/12/99/94/893129994.db2.gz INHYANFKZTWCNL-CYBMUJFWSA-N 0 1 289.383 0.602 20 30 CCEDMN C=C(Cl)CNC[C@@](C)(NC(=O)C(N)=O)C1CC1 ZINC001481940295 893279318 /nfs/dbraw/zinc/27/93/18/893279318.db2.gz VQZZJEXVOFCETC-LLVKDONJSA-N 0 1 259.737 0.099 20 30 CCEDMN CC(C)c1nc(CN(C)CCN(C)C(=O)[C@H](C)C#N)n[nH]1 ZINC001496678401 893452833 /nfs/dbraw/zinc/45/28/33/893452833.db2.gz AFVJNULQWUOIFX-LLVKDONJSA-N 0 1 292.387 0.978 20 30 CCEDMN CC(C)C[C@@H](CNCC#N)NC(=O)CCc1c[nH]nn1 ZINC001482393618 893953048 /nfs/dbraw/zinc/95/30/48/893953048.db2.gz QGSRGOMUDLNKBQ-LBPRGKRZSA-N 0 1 278.360 0.381 20 30 CCEDMN CC(C)C[C@@H](CNCC#N)NC(=O)CCc1cnn[nH]1 ZINC001482393618 893953057 /nfs/dbraw/zinc/95/30/57/893953057.db2.gz QGSRGOMUDLNKBQ-LBPRGKRZSA-N 0 1 278.360 0.381 20 30 CCEDMN C#CC[NH2+]C[C@H]1[C@H](C)CCCN1C(=O)Cc1nnc[n-]1 ZINC001482500486 894162173 /nfs/dbraw/zinc/16/21/73/894162173.db2.gz VPTRVAJOEDJXCX-NEPJUHHUSA-N 0 1 275.356 0.197 20 30 CCEDMN Cc1cnc(Cl)cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC001357446248 894200028 /nfs/dbraw/zinc/20/00/28/894200028.db2.gz QGMGXPKPGSWFBO-SCZZXKLOSA-N 0 1 264.716 0.885 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCCN(C(=O)CC)C1 ZINC001482599302 894381210 /nfs/dbraw/zinc/38/12/10/894381210.db2.gz SLJGZPMHRKBMEW-CQSZACIVSA-N 0 1 293.411 0.658 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CCn1ccccc1=O ZINC001482600773 894382987 /nfs/dbraw/zinc/38/29/87/894382987.db2.gz NZNMHHYQBHYNLK-UHFFFAOYSA-N 0 1 289.379 0.652 20 30 CCEDMN CCN(CCNCc1n[nH]c(C)n1)C(=O)CSCC#N ZINC001482911252 894689787 /nfs/dbraw/zinc/68/97/87/894689787.db2.gz OLPRAAMXEYPETE-UHFFFAOYSA-N 0 1 296.400 0.308 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)c1ccco1 ZINC001482973994 894741531 /nfs/dbraw/zinc/74/15/31/894741531.db2.gz HRCDBALXEDVPLU-ONEGZZNKSA-N 0 1 277.324 0.457 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cnc[nH]c1=O ZINC001483022619 894807743 /nfs/dbraw/zinc/80/77/43/894807743.db2.gz DMEVAEPXKCJROG-NSCUHMNNSA-N 0 1 282.731 0.810 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H](C)CNCc1conc1CC ZINC001483227363 895143622 /nfs/dbraw/zinc/14/36/22/895143622.db2.gz ZXXAUGAWCHHUMA-NWDGAFQWSA-N 0 1 293.367 0.870 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H](C)NC(=O)C1CCCC1 ZINC001483342056 895480926 /nfs/dbraw/zinc/48/09/26/895480926.db2.gz QLEFCCCPDDAFRK-QWHCGFSZSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1CCn2ccnc2C1 ZINC001483354948 895491776 /nfs/dbraw/zinc/49/17/76/895491776.db2.gz DRSUXNQPTPFASS-STQMWFEESA-N 0 1 274.368 0.515 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1cc(F)c[nH]1 ZINC001483363926 895501862 /nfs/dbraw/zinc/50/18/62/895501862.db2.gz NRXDRWIIZFBYBN-LLVKDONJSA-N 0 1 281.331 0.854 20 30 CCEDMN N#CCC[C@H](C#N)CN[C@@H](CO)[C@@H]1CCCOC1 ZINC001326519502 913999942 /nfs/dbraw/zinc/99/99/42/913999942.db2.gz PXJASKLJEJNLQL-UPJWGTAASA-N 0 1 251.330 0.807 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)c1cnc[nH]c1=O ZINC001483660250 895817136 /nfs/dbraw/zinc/81/71/36/895817136.db2.gz REAMBBJEUHYZEI-LLVKDONJSA-N 0 1 292.339 0.189 20 30 CCEDMN CCN(CCC#N)C(=O)CNC1(CNC(C)=O)CC1 ZINC001483711487 895892620 /nfs/dbraw/zinc/89/26/20/895892620.db2.gz SILIATPSHWKPNM-UHFFFAOYSA-N 0 1 266.345 0.007 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001483723700 895917688 /nfs/dbraw/zinc/91/76/88/895917688.db2.gz VLGHTNFSZLGBDQ-MNOVXSKESA-N 0 1 278.356 0.075 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)[C@H]2CC[C@H](F)C2)CC1 ZINC001483762445 896024126 /nfs/dbraw/zinc/02/41/26/896024126.db2.gz JDULHOTVMBBUCC-QWRGUYRKSA-N 0 1 296.346 0.003 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2(CC)CCC2)C1 ZINC001484232911 896262316 /nfs/dbraw/zinc/26/23/16/896262316.db2.gz WCAGFEGWXGKHFZ-HNNXBMFYSA-N 0 1 264.369 0.753 20 30 CCEDMN Cc1cc(CNCCN(CCO)C(=O)[C@H](C)C#N)on1 ZINC001484478692 896430238 /nfs/dbraw/zinc/43/02/38/896430238.db2.gz YMXLLEVGJIPWIY-SNVBAGLBSA-N 0 1 280.328 0.053 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1ccnc(C)n1 ZINC001484501460 896446719 /nfs/dbraw/zinc/44/67/19/896446719.db2.gz WUMBYDHPSCJSQX-UHFFFAOYSA-N 0 1 298.774 0.562 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@H](CCNCC#N)C2)n[nH]1 ZINC001484507935 896449503 /nfs/dbraw/zinc/44/95/03/896449503.db2.gz JTYHNKZRCOPROO-NSHDSACASA-N 0 1 275.356 0.937 20 30 CCEDMN C=CCN1CCOC[C@H]1CNC(=O)CN1CCCC1 ZINC001326667037 914086234 /nfs/dbraw/zinc/08/62/34/914086234.db2.gz KFPAPNJXQSHREV-CYBMUJFWSA-N 0 1 267.373 0.085 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](NC(=O)C(F)F)C[C@@H]1C ZINC001484967077 896687011 /nfs/dbraw/zinc/68/70/11/896687011.db2.gz DOUXMJPLMNQXTC-UWVGGRQHSA-N 0 1 289.326 0.523 20 30 CCEDMN C=CCCOCC(=O)NC1(CO)CCN(CC#CC)CC1 ZINC001485027329 896724153 /nfs/dbraw/zinc/72/41/53/896724153.db2.gz PTALSHUHLVOYFK-UHFFFAOYSA-N 0 1 294.395 0.546 20 30 CCEDMN COCC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](C)COC ZINC001485050534 896736112 /nfs/dbraw/zinc/73/61/12/896736112.db2.gz NYYWFNCBTDQHFP-CABCVRRESA-N 0 1 296.411 0.842 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(C)ncn1 ZINC001485054372 896741047 /nfs/dbraw/zinc/74/10/47/896741047.db2.gz FPXHGPTXEMKPJV-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H](CC)NC(C)=O ZINC001485069395 896747576 /nfs/dbraw/zinc/74/75/76/896747576.db2.gz MJMGWHCKDNOPLE-CABCVRRESA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CCN(C)C(=O)C1 ZINC001485063426 896749984 /nfs/dbraw/zinc/74/99/84/896749984.db2.gz OCPFQLPAMQDYLO-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCN1C(=O)c1cn(CCC)nn1 ZINC001485074004 896756233 /nfs/dbraw/zinc/75/62/33/896756233.db2.gz ZKIJWSGHQMDKET-ZDUSSCGKSA-N 0 1 289.383 0.858 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cn(CCC)nn1 ZINC001485074004 896756239 /nfs/dbraw/zinc/75/62/39/896756239.db2.gz ZKIJWSGHQMDKET-ZDUSSCGKSA-N 0 1 289.383 0.858 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)c1cn(CCC)nn1 ZINC001485074003 896757371 /nfs/dbraw/zinc/75/73/71/896757371.db2.gz ZKIJWSGHQMDKET-CYBMUJFWSA-N 0 1 289.383 0.858 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cn(CCC)nn1 ZINC001485074003 896757375 /nfs/dbraw/zinc/75/73/75/896757375.db2.gz ZKIJWSGHQMDKET-CYBMUJFWSA-N 0 1 289.383 0.858 20 30 CCEDMN Cc1ncc(C(=O)N2CCCC[C@@H]2CNCC#N)[nH]1 ZINC001326690224 914097713 /nfs/dbraw/zinc/09/77/13/914097713.db2.gz AMDGBXYZWCPMDD-LLVKDONJSA-N 0 1 261.329 0.826 20 30 CCEDMN C[C@@H](NCc1cnns1)[C@@H](C)NC(=O)CSCC#N ZINC001485124865 896801295 /nfs/dbraw/zinc/80/12/95/896801295.db2.gz NWANZPDNMLAVSN-RKDXNWHRSA-N 0 1 299.425 0.778 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)COCc1cc[nH]c(=O)c1 ZINC001485318375 896939186 /nfs/dbraw/zinc/93/91/86/896939186.db2.gz GXIIMYXZOHVCRL-LBPRGKRZSA-N 0 1 291.351 0.374 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1c(C)nn(C)c1C ZINC001485334714 896954172 /nfs/dbraw/zinc/95/41/72/896954172.db2.gz SLRUQSBMXBUQEE-SNVBAGLBSA-N 0 1 262.357 0.720 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)Cn1ncc2cccnc21 ZINC001485328591 896965262 /nfs/dbraw/zinc/96/52/62/896965262.db2.gz DCOUIAXVRDUIIU-CYBMUJFWSA-N 0 1 299.378 0.891 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCCN1C(=O)CCC ZINC001485390517 897012128 /nfs/dbraw/zinc/01/21/28/897012128.db2.gz UPVHNYDVRQCNAP-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN C=CCN1C[C@@]2(CCN(Cc3c[nH]nc3C)C2)OCC1=O ZINC001272754040 897510162 /nfs/dbraw/zinc/51/01/62/897510162.db2.gz BPPSVSZEVDCXCK-HNNXBMFYSA-N 0 1 290.367 0.707 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@]1(C)CN(CC(C)C)CCO1 ZINC001107941296 897534883 /nfs/dbraw/zinc/53/48/83/897534883.db2.gz BRPYBFWXSRRBFT-GDBMZVCRSA-N 0 1 296.411 0.888 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)c1ccoc1C ZINC001127956758 897564308 /nfs/dbraw/zinc/56/43/08/897564308.db2.gz IHAMHXCFTBWCJY-UHFFFAOYSA-N 0 1 299.758 0.776 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1OCCO[C@H]1C ZINC001032453619 897588875 /nfs/dbraw/zinc/58/88/75/897588875.db2.gz GXWVAZGDIAQQOT-XUXIUFHCSA-N 0 1 278.352 0.099 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCn2ccnc2C1 ZINC001032486826 897640323 /nfs/dbraw/zinc/64/03/23/897640323.db2.gz WJFSJYCOYLCGDT-ILXRZTDVSA-N 0 1 298.390 0.754 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)n(C)n1 ZINC001032512433 897678964 /nfs/dbraw/zinc/67/89/64/897678964.db2.gz QVGZFJZINLJXHU-RYUDHWBXSA-N 0 1 290.367 0.904 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(OC)n(C)n1 ZINC001032512433 897678967 /nfs/dbraw/zinc/67/89/67/897678967.db2.gz QVGZFJZINLJXHU-RYUDHWBXSA-N 0 1 290.367 0.904 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN(CC(=C)C)C[C@@H]1O ZINC001083789860 897710074 /nfs/dbraw/zinc/71/00/74/897710074.db2.gz ALLDALIXVNRBNZ-MQYQWHSLSA-N 0 1 280.368 0.315 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCN(C)C1=O ZINC001032576561 897767799 /nfs/dbraw/zinc/76/77/99/897767799.db2.gz FTHIHYYZLFNCFJ-RWMBFGLXSA-N 0 1 277.368 0.326 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2Cc2cnccc2N)C1=O ZINC001272808754 897770164 /nfs/dbraw/zinc/77/01/64/897770164.db2.gz SEKHPHOJHXEMOE-MRXNPFEDSA-N 0 1 284.363 0.694 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CCOC)CC2)[C@H](OC)C1 ZINC001212389016 897804534 /nfs/dbraw/zinc/80/45/34/897804534.db2.gz OBDBRSUIGVFXNF-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1C[C@@H]2C[C@H]1CN2CC#N ZINC001032673677 897922791 /nfs/dbraw/zinc/92/27/91/897922791.db2.gz DIZTWMULCFHYEE-WXHSDQCUSA-N 0 1 273.340 0.397 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1nc2ncccn2n1 ZINC001032693218 897938845 /nfs/dbraw/zinc/93/88/45/897938845.db2.gz VKSPRYGLQDWVCZ-RYUDHWBXSA-N 0 1 298.350 0.599 20 30 CCEDMN C#CCNCc1cccc(N2CC[C@H](NC(C)=O)C2)n1 ZINC001128082559 897964096 /nfs/dbraw/zinc/96/40/96/897964096.db2.gz BAPJDKSYVIMASB-AWEZNQCLSA-N 0 1 272.352 0.519 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)c1ncn[nH]1 ZINC001077836978 897964824 /nfs/dbraw/zinc/96/48/24/897964824.db2.gz XSIHKSAOSIUDEK-UWVGGRQHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)c1nc[nH]n1 ZINC001077836978 897964836 /nfs/dbraw/zinc/96/48/36/897964836.db2.gz XSIHKSAOSIUDEK-UWVGGRQHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ncn[nH]1 ZINC001032723316 898010098 /nfs/dbraw/zinc/01/00/98/898010098.db2.gz BEHLKGYCKGDAIY-UWVGGRQHSA-N 0 1 281.747 0.385 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2CC(OC)OC)C1=O ZINC001272860376 898088896 /nfs/dbraw/zinc/08/88/96/898088896.db2.gz BWFASBFCHJOXOS-CQSZACIVSA-N 0 1 268.357 0.858 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cnn(C(C)C)c2)C1 ZINC001077988780 898159288 /nfs/dbraw/zinc/15/92/88/898159288.db2.gz KTEZCFNZNIZPCS-ZIAGYGMSSA-N 0 1 290.367 0.262 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001078139634 898263479 /nfs/dbraw/zinc/26/34/79/898263479.db2.gz ZRSSHSSULXISGE-UWVGGRQHSA-N 0 1 277.328 0.123 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2c(C)noc2C)C1 ZINC001078167000 898282971 /nfs/dbraw/zinc/28/29/71/898282971.db2.gz MYXVZRCJDWOFPJ-ZIAGYGMSSA-N 0 1 291.351 0.019 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](CO)NCc1ccnn1C ZINC001486024601 898595368 /nfs/dbraw/zinc/59/53/68/898595368.db2.gz QZIRGATZYGSMBZ-LLVKDONJSA-N 0 1 280.372 0.199 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1ccc(F)cc1Cl ZINC001486029888 898617908 /nfs/dbraw/zinc/61/79/08/898617908.db2.gz FAPPDHNLOAIEEQ-LBPRGKRZSA-N 0 1 298.745 0.722 20 30 CCEDMN Cc1nc2cccc(C(=O)NC[C@@H](CO)NCC#N)c2[nH]1 ZINC001486051695 898639124 /nfs/dbraw/zinc/63/91/24/898639124.db2.gz SHKYZYMNDMJFIN-JTQLQIEISA-N 0 1 287.323 0.075 20 30 CCEDMN COCC#CCN1CC[C@@]2(NC(=O)COC)CCC[C@@H]12 ZINC001486135122 898680242 /nfs/dbraw/zinc/68/02/42/898680242.db2.gz JBFZCADEUNYZST-HIFRSBDPSA-N 0 1 280.368 0.396 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)CSCC#N ZINC001486262168 898743457 /nfs/dbraw/zinc/74/34/57/898743457.db2.gz UFHOEORPMXCRIO-JTQLQIEISA-N 0 1 291.804 0.405 20 30 CCEDMN CCO[C@@H](C)C(=O)NCC1=CCN(CC#CCOC)CC1 ZINC001486503188 898906280 /nfs/dbraw/zinc/90/62/80/898906280.db2.gz VGWNPCXGEJKBSA-AWEZNQCLSA-N 0 1 294.395 0.810 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)[C@H]1C ZINC001287868156 899020632 /nfs/dbraw/zinc/02/06/32/899020632.db2.gz YQGXUFVBHSSDFC-ZJUUUORDSA-N 0 1 277.328 0.490 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)[C@H]1C ZINC001287868156 899020646 /nfs/dbraw/zinc/02/06/46/899020646.db2.gz YQGXUFVBHSSDFC-ZJUUUORDSA-N 0 1 277.328 0.490 20 30 CCEDMN C[C@@H](CNC(=O)CN1CCCC1)N(C)C(=O)C#CC1CC1 ZINC001486873927 899060358 /nfs/dbraw/zinc/06/03/58/899060358.db2.gz FIZRLNDJDVKVAB-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)N(C)C(=O)Cc1c[nH]cn1 ZINC001486861690 899060539 /nfs/dbraw/zinc/06/05/39/899060539.db2.gz HVTCSJYTWRRZLS-LBPRGKRZSA-N 0 1 290.367 0.575 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H]1CN(C(=O)c2cc[nH]n2)CCO1 ZINC001410158331 899247824 /nfs/dbraw/zinc/24/78/24/899247824.db2.gz PJTTXRWPTWMNPV-JQWIXIFHSA-N 0 1 298.774 0.981 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H](CO)NC/C=C\Cl)[nH]1 ZINC001410603747 899492966 /nfs/dbraw/zinc/49/29/66/899492966.db2.gz WUVBKDPLOFVWQR-GZXOQBQISA-N 0 1 282.731 0.319 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001327079455 914357724 /nfs/dbraw/zinc/35/77/24/914357724.db2.gz IGRSKONVKBBPPB-VHSXEESVSA-N 0 1 295.343 0.392 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)CCCC(=O)NC)C1 ZINC001323910864 899545507 /nfs/dbraw/zinc/54/55/07/899545507.db2.gz YSFQXSHFNFYWSH-UHFFFAOYSA-N 0 1 267.373 0.621 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@@H]1CC12CC2 ZINC001411095378 899682010 /nfs/dbraw/zinc/68/20/10/899682010.db2.gz IHHWVVIFBKJCRF-QWRGUYRKSA-N 0 1 272.776 0.948 20 30 CCEDMN C[C@@H](CNc1ncccc1C#N)NC(=O)CCc1nc[nH]n1 ZINC001108326916 899772596 /nfs/dbraw/zinc/77/25/96/899772596.db2.gz LKNXITCNXROXFT-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)CC2(OC)CCC2)C1 ZINC001325080941 899901585 /nfs/dbraw/zinc/90/15/85/899901585.db2.gz DNHPVLGKQLKSDZ-HNNXBMFYSA-N 0 1 294.395 0.522 20 30 CCEDMN C[C@@H](CN1CCC(C)CC1)NS(=O)(=O)CC#N ZINC001192994666 900027519 /nfs/dbraw/zinc/02/75/19/900027519.db2.gz PULWVWQTXQUDLU-NSHDSACASA-N 0 1 259.375 0.550 20 30 CCEDMN CC(=O)Nc1cc(NS(=O)(=O)[C@H](C)C#N)ccn1 ZINC001193107661 900034353 /nfs/dbraw/zinc/03/43/53/900034353.db2.gz ZJBOIMVMQSCDKX-SSDOTTSWSA-N 0 1 268.298 0.694 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CN(C)C(=O)CCc1nc[nH]n1 ZINC001487742404 900128682 /nfs/dbraw/zinc/12/86/82/900128682.db2.gz FCFOISNOIUSGNC-NSHDSACASA-N 0 1 293.371 0.667 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)C#CC2CC2)CCN1C(=O)c1ccn[nH]1 ZINC001488118744 900226572 /nfs/dbraw/zinc/22/65/72/900226572.db2.gz PXGWQHDXMPJRNN-JQWIXIFHSA-N 0 1 286.335 0.542 20 30 CCEDMN C=CCCC(=O)NC1CC(N(C)C(=O)Cc2ncn[nH]2)C1 ZINC001488598982 900319741 /nfs/dbraw/zinc/31/97/41/900319741.db2.gz WLFJRNKZPIQKGG-UHFFFAOYSA-N 0 1 291.355 0.419 20 30 CCEDMN Cc1nc(CC(=O)NC[C@H]2CC[C@@H](NCC#N)C2)n[nH]1 ZINC001488910311 900409474 /nfs/dbraw/zinc/40/94/74/900409474.db2.gz IVJSPMZHHQVPIW-WDEREUQCSA-N 0 1 276.344 0.054 20 30 CCEDMN CC#CCN(CCO)[C@H]1CCCN(C(=O)[C@H](C)OC)C1 ZINC001489149752 900441452 /nfs/dbraw/zinc/44/14/52/900441452.db2.gz LQAVJFNDLHAXBC-KBPBESRZSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](OC)C(C)C)[C@H]1C ZINC001489289195 900458787 /nfs/dbraw/zinc/45/87/87/900458787.db2.gz WHRCGZUQECZPSB-FRRDWIJNSA-N 0 1 252.358 0.870 20 30 CCEDMN C=CCN1CC[C@H](N(CC)CCNC(=O)[C@H]2C[C@@H]2C)C1=O ZINC001493002349 900481413 /nfs/dbraw/zinc/48/14/13/900481413.db2.gz VUNTWYYWXUGHEV-IHRRRGAJSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@H]2CCO[C@H]2C)CC1 ZINC001490473145 900634927 /nfs/dbraw/zinc/63/49/27/900634927.db2.gz YTAQDIQZDCIILA-GJZGRUSLSA-N 0 1 293.411 0.169 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2cnccn2)C1 ZINC001490567795 900667461 /nfs/dbraw/zinc/66/74/61/900667461.db2.gz IQYFIRRBEANBJI-AWEZNQCLSA-N 0 1 272.352 0.623 20 30 CCEDMN C=C(CN(C)C)C(=O)N1CCOC[C@@H]1[C@@H]1CCCC1=O ZINC001154052637 900811826 /nfs/dbraw/zinc/81/18/26/900811826.db2.gz AMXLTDJTKGHSDD-QWHCGFSZSA-N 0 1 280.368 0.701 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)NC(=O)c1[nH]ncc1F ZINC001295473219 900906725 /nfs/dbraw/zinc/90/67/25/900906725.db2.gz XJXOVMWCIZJCFT-SECBINFHSA-N 0 1 280.303 0.587 20 30 CCEDMN COc1cc(C(=O)NCc2n[nH]c(C)n2)ccc1C#N ZINC001412757738 902094709 /nfs/dbraw/zinc/09/47/09/902094709.db2.gz GXAUJKKOLXZAJB-UHFFFAOYSA-N 0 1 271.280 0.923 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccnc2c1ncn2C ZINC001038686524 902651556 /nfs/dbraw/zinc/65/15/56/902651556.db2.gz GEZRWWKAZCVRGA-GFCCVEGCSA-N 0 1 297.362 0.796 20 30 CCEDMN C=CCN(C)C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001327608788 914650318 /nfs/dbraw/zinc/65/03/18/914650318.db2.gz SCQVLNYXBJVTKP-VIFPVBQESA-N 0 1 265.317 0.984 20 30 CCEDMN C=CCN(C)C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001327608783 914650953 /nfs/dbraw/zinc/65/09/53/914650953.db2.gz SCQVLNYXBJVTKP-SECBINFHSA-N 0 1 265.317 0.984 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)c1cnon1)C1CC1 ZINC001398447417 914658224 /nfs/dbraw/zinc/65/82/24/914658224.db2.gz OEJQDIXQYNCUCU-SECBINFHSA-N 0 1 270.720 0.920 20 30 CCEDMN N#Cc1c(F)cccc1C(=O)N[C@H](CO)Cc1cnc[nH]1 ZINC001413428433 902944369 /nfs/dbraw/zinc/94/43/69/902944369.db2.gz SPHZOXSEPUNDNL-JTQLQIEISA-N 0 1 288.282 0.754 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@]1(C)CNC(=O)C1 ZINC001049608366 903031606 /nfs/dbraw/zinc/03/16/06/903031606.db2.gz OWVOBKWORSGIIX-IOASZLSFSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)Cn1ccc(C)n1 ZINC001049739912 903046855 /nfs/dbraw/zinc/04/68/55/903046855.db2.gz IAKDKPOJUKFOHV-LSDHHAIUSA-N 0 1 286.379 0.890 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H](CCC)NC(N)=O ZINC001491232911 903456270 /nfs/dbraw/zinc/45/62/70/903456270.db2.gz BGAHAANFFBQWMS-CHWSQXEVSA-N 0 1 294.399 0.427 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)COCC(F)F ZINC001316604624 904124026 /nfs/dbraw/zinc/12/40/26/904124026.db2.gz ZZPSFFHEYYAEOF-LLVKDONJSA-N 0 1 274.311 0.824 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)Cc1nnc[nH]1 ZINC001316604749 904126515 /nfs/dbraw/zinc/12/65/15/904126515.db2.gz FHRHKCSNJCIBTD-LLVKDONJSA-N 0 1 263.345 0.456 20 30 CCEDMN C=CCNC(=O)CN(C)CCCN(C)C(=O)c1cnco1 ZINC001280836238 904160368 /nfs/dbraw/zinc/16/03/68/904160368.db2.gz UIMSZJPACIWINM-UHFFFAOYSA-N 0 1 294.355 0.371 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CCC[C@@](CO)(NCC(N)=O)C1 ZINC001281145049 904232436 /nfs/dbraw/zinc/23/24/36/904232436.db2.gz HAXMMLBJBQFGOU-OAHLLOKOSA-N 0 1 297.399 0.017 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cnn(C)c2C)CC1 ZINC001281798343 904349531 /nfs/dbraw/zinc/34/95/31/904349531.db2.gz BAWOUHQRCSFDJM-UHFFFAOYSA-N 0 1 292.383 0.471 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)CCCC(N)=O ZINC001281966714 904378371 /nfs/dbraw/zinc/37/83/71/904378371.db2.gz BTIALVVQFAFHAU-CYBMUJFWSA-N 0 1 279.384 0.588 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(C(N)=O)s1 ZINC001282470235 904488293 /nfs/dbraw/zinc/48/82/93/904488293.db2.gz WVKXNFICSMJSMQ-JTQLQIEISA-N 0 1 293.392 0.920 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCCC(N)=O ZINC001282505103 904494920 /nfs/dbraw/zinc/49/49/20/904494920.db2.gz WLINJTMNZDUGHG-LLVKDONJSA-N 0 1 253.346 0.102 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1(CCO)CN(CC2CCC2)C1 ZINC001282511508 904499016 /nfs/dbraw/zinc/49/90/16/904499016.db2.gz USVWLTBUMCSVAD-HNNXBMFYSA-N 0 1 296.411 0.667 20 30 CCEDMN CCCC[C@@H](CNCC#N)NC(=O)c1nc[nH]n1 ZINC001282653319 904516889 /nfs/dbraw/zinc/51/68/89/904516889.db2.gz KLMLWYZGFQCJDC-VIFPVBQESA-N 0 1 250.306 0.206 20 30 CCEDMN CCCC[C@@H](CNCC#N)NC(=O)c1ncn[nH]1 ZINC001282653319 904516895 /nfs/dbraw/zinc/51/68/95/904516895.db2.gz KLMLWYZGFQCJDC-VIFPVBQESA-N 0 1 250.306 0.206 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H](O)C(C)C)C1 ZINC001282706543 904516995 /nfs/dbraw/zinc/51/69/95/904516995.db2.gz MUQRWDBVICRUBJ-WCQYABFASA-N 0 1 252.358 0.463 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001282700633 904523354 /nfs/dbraw/zinc/52/33/54/904523354.db2.gz BGIGNYIERYQTBR-WBMJQRKESA-N 0 1 278.396 0.997 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CCCCNC(N)=O)C1 ZINC001282724824 904529736 /nfs/dbraw/zinc/52/97/36/904529736.db2.gz JUGIIAGAOMYAGT-GFCCVEGCSA-N 0 1 294.399 0.285 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2[C@@H](CNC(=O)C(C)(C)C)[C@@H]2C1 ZINC001282890296 904574484 /nfs/dbraw/zinc/57/44/84/904574484.db2.gz YINOPKGAGOQXGH-XYYAHUGASA-N 0 1 293.411 0.629 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCNC(=O)c1cnn[nH]1 ZINC001283294993 904792395 /nfs/dbraw/zinc/79/23/95/904792395.db2.gz JUSGOPDMITYWBZ-UWVGGRQHSA-N 0 1 279.344 0.499 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN(C(=O)c2[nH]nnc2C)C1 ZINC001283356226 904821241 /nfs/dbraw/zinc/82/12/41/904821241.db2.gz STFWSPWNCHEURB-LLVKDONJSA-N 0 1 289.339 0.247 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCCN1CCN1CCCC1=O ZINC001377389440 904833341 /nfs/dbraw/zinc/83/33/41/904833341.db2.gz TWORZCVFDXNLHG-STQMWFEESA-N 0 1 292.383 0.349 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@H]1CCN(C)C1=O ZINC001377476347 904923509 /nfs/dbraw/zinc/92/35/09/904923509.db2.gz QUOINXIZGUAPIP-NEPJUHHUSA-N 0 1 280.372 0.205 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccnn1[C@@H](C)CC ZINC001283765633 905000782 /nfs/dbraw/zinc/00/07/82/905000782.db2.gz UPDMOLUPSUSARZ-STQMWFEESA-N 0 1 292.383 0.558 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](CO)N[C@@H](C)c1n[nH]c(C)n1 ZINC001283793934 905020152 /nfs/dbraw/zinc/02/01/52/905020152.db2.gz YJMIDQPHZGGQQG-GXSJLCMTSA-N 0 1 295.387 0.453 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc2[nH]ccc2c1 ZINC001283795058 905021598 /nfs/dbraw/zinc/02/15/98/905021598.db2.gz CIIPVOWGLVEXAM-CQSZACIVSA-N 0 1 285.347 0.872 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1noc2c1CCCC2 ZINC001283803860 905024680 /nfs/dbraw/zinc/02/46/80/905024680.db2.gz IHENAFODWKOWCB-LLVKDONJSA-N 0 1 291.351 0.257 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H](C)Cc1ccco1 ZINC001283812323 905026646 /nfs/dbraw/zinc/02/66/46/905026646.db2.gz GNZNGVUREKUPKI-STQMWFEESA-N 0 1 278.352 0.548 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1nc(C)c(C)s1 ZINC001283827892 905043556 /nfs/dbraw/zinc/04/35/56/905043556.db2.gz URAFPCHJDXSUSJ-LLVKDONJSA-N 0 1 281.381 0.464 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCN1CCN(CCCF)CC1 ZINC001377739853 905124416 /nfs/dbraw/zinc/12/44/16/905124416.db2.gz PGQWCPOCKFRVCI-CYBMUJFWSA-N 0 1 284.379 0.582 20 30 CCEDMN Cc1nc(C)n(CCN2CC(CNC(=O)[C@H](C)C#N)C2)n1 ZINC001377961574 905281067 /nfs/dbraw/zinc/28/10/67/905281067.db2.gz WIWHMKWQCXXOBU-SNVBAGLBSA-N 0 1 290.371 0.103 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C[C@H]1C=CCC1 ZINC001284481364 905312853 /nfs/dbraw/zinc/31/28/53/905312853.db2.gz GKUXVPJKTGVWDR-KBPBESRZSA-N 0 1 264.369 0.727 20 30 CCEDMN CC#CCN(C)CCN(C(=O)[C@@H](COC)OC)C(C)C ZINC001284545259 905365081 /nfs/dbraw/zinc/36/50/81/905365081.db2.gz UGFVYJBADYWYEK-CQSZACIVSA-N 0 1 284.400 0.840 20 30 CCEDMN C=CCCC(=O)NCC1CC(NC(=O)c2ncn[nH]2)C1 ZINC001285240294 905580575 /nfs/dbraw/zinc/58/05/75/905580575.db2.gz DMAVUADIFAVODK-UHFFFAOYSA-N 0 1 277.328 0.396 20 30 CCEDMN C=CCCC(=O)NCC1CC(NC(=O)c2nc[nH]n2)C1 ZINC001285240294 905580586 /nfs/dbraw/zinc/58/05/86/905580586.db2.gz DMAVUADIFAVODK-UHFFFAOYSA-N 0 1 277.328 0.396 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CNC(=O)[C@@H]1CCCCN1C ZINC001285727296 905744337 /nfs/dbraw/zinc/74/43/37/905744337.db2.gz NTPZRVWBLAUEJP-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCCC(=O)N(C)[C@H](C)CNC(=O)C1=NC(=O)N(C)C1 ZINC001285845004 905782059 /nfs/dbraw/zinc/78/20/59/905782059.db2.gz YARGBHISOWIAMA-SNVBAGLBSA-N 0 1 294.355 0.669 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NC1(CNC(=O)c2ncn[nH]2)CC1 ZINC001285952690 905820430 /nfs/dbraw/zinc/82/04/30/905820430.db2.gz LIGZCGGVDBFEIP-UWVGGRQHSA-N 0 1 291.355 0.642 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NC1(CNC(=O)c2nc[nH]n2)CC1 ZINC001285952690 905820440 /nfs/dbraw/zinc/82/04/40/905820440.db2.gz LIGZCGGVDBFEIP-UWVGGRQHSA-N 0 1 291.355 0.642 20 30 CCEDMN C=CCOCC(=O)N[C@@]1(C)CCN(C(=O)c2ccn[nH]2)C1 ZINC001286079964 905846514 /nfs/dbraw/zinc/84/65/14/905846514.db2.gz VXTGMEHYELPJKU-AWEZNQCLSA-N 0 1 292.339 0.333 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@H](C)C#N)NCc1cn(C)nn1 ZINC001378957627 905899565 /nfs/dbraw/zinc/89/95/65/905899565.db2.gz AZTZSLNVHBNOMS-ZYHUDNBSSA-N 0 1 278.360 0.205 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCn1ccnn1 ZINC001379098823 905992418 /nfs/dbraw/zinc/99/24/18/905992418.db2.gz KQCBOAQHKPQKBU-NSHDSACASA-N 0 1 285.779 0.857 20 30 CCEDMN N#Cc1cccc(CN[C@@H](CO)CNC(=O)C2CCC2)c1 ZINC001379334865 906152621 /nfs/dbraw/zinc/15/26/21/906152621.db2.gz OJZGINSBIYPLFW-OAHLLOKOSA-N 0 1 287.363 0.925 20 30 CCEDMN Cc1cc(C)n2ncc(C(=O)NCC#CCN(C)C)c2n1 ZINC001335075194 906535726 /nfs/dbraw/zinc/53/57/26/906535726.db2.gz GZVZOBWEWMLSDH-UHFFFAOYSA-N 0 1 285.351 0.641 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001294772308 906633129 /nfs/dbraw/zinc/63/31/29/906633129.db2.gz WSSMSGCCGBUSJY-SNVBAGLBSA-N 0 1 277.328 0.492 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)Cn2cnc(N)n2)c1 ZINC001295829288 906810570 /nfs/dbraw/zinc/81/05/70/906810570.db2.gz ZPPFSDDSXIIMCA-UHFFFAOYSA-N 0 1 258.241 0.076 20 30 CCEDMN C#CCCCC(=O)N(CC)CCNC(=O)CN1CCCC1 ZINC001296304805 906899825 /nfs/dbraw/zinc/89/98/25/906899825.db2.gz QAEFMUHSTKNZGZ-UHFFFAOYSA-N 0 1 293.411 0.850 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@H](C)NC(C)=O)C[C@@H]2C1 ZINC001380701426 906902418 /nfs/dbraw/zinc/90/24/18/906902418.db2.gz MIIGDSXCBDWMSA-WCFLWFBJSA-N 0 1 299.802 0.654 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1Cn1nnnc1N(C)Cc1nnc[nH]1 ZINC001337679009 921284269 /nfs/dbraw/zinc/28/42/69/921284269.db2.gz KKGLLPACPLYATE-UWVGGRQHSA-N 0 1 290.331 0.019 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN(CC(=O)NC2CC2)CC1 ZINC001380927513 906990039 /nfs/dbraw/zinc/99/00/39/906990039.db2.gz RIEBPOWDKPYICV-NEPJUHHUSA-N 0 1 292.383 0.395 20 30 CCEDMN C=C(C)CCC(=O)NC1CN(C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001297067582 907016456 /nfs/dbraw/zinc/01/64/56/907016456.db2.gz NYWWDBTYBZOTNA-GHMZBOCLSA-N 0 1 281.312 0.335 20 30 CCEDMN CCn1ncnc1CN[C@@H](C)CCNC(=O)[C@H](C)C#N ZINC001381181569 907108576 /nfs/dbraw/zinc/10/85/76/907108576.db2.gz NNLQZIRDDQFMIH-MNOVXSKESA-N 0 1 278.360 0.442 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001297751036 907112543 /nfs/dbraw/zinc/11/25/43/907112543.db2.gz CROLYIBWVNZBRS-JTQLQIEISA-N 0 1 293.371 0.889 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001297744559 907113280 /nfs/dbraw/zinc/11/32/80/907113280.db2.gz OBNGOSNFSZYTKD-LLVKDONJSA-N 0 1 291.355 0.019 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CS(=O)(=O)CC ZINC001381447883 907210169 /nfs/dbraw/zinc/21/01/69/907210169.db2.gz HWUQYLDZJSCWFI-ZJUUUORDSA-N 0 1 296.820 0.656 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)NC(=O)[C@@H]1CCCN1C ZINC001298749555 907316047 /nfs/dbraw/zinc/31/60/47/907316047.db2.gz DDALEBXJLUAGIO-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCN1C(=O)c1cc(C)[nH]n1 ZINC001298992827 907365490 /nfs/dbraw/zinc/36/54/90/907365490.db2.gz CTMCITGWTVXYPU-NSHDSACASA-N 0 1 292.339 0.251 20 30 CCEDMN Cc1nc(CN2CC([C@H](C)NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001381767439 907389461 /nfs/dbraw/zinc/38/94/61/907389461.db2.gz BWUPPANBPHGMQK-BDAKNGLRSA-N 0 1 276.344 0.209 20 30 CCEDMN C#CCN1CCC(C(=O)N(OC)[C@@H](C)CC(=O)OC)CC1 ZINC001336361358 907658988 /nfs/dbraw/zinc/65/89/88/907658988.db2.gz PBEZZXNZEQEVJH-LBPRGKRZSA-N 0 1 296.367 0.673 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(C)=O)[C@@H]1C ZINC001382293541 907668218 /nfs/dbraw/zinc/66/82/18/907668218.db2.gz VIYZOCNRLVMTGN-MWLCHTKSSA-N 0 1 273.764 0.454 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)CCNC(=O)[C@H]1CCCN1C ZINC001495911265 907754251 /nfs/dbraw/zinc/75/42/51/907754251.db2.gz DASWGBZHUHKUAM-GFCCVEGCSA-N 0 1 281.400 0.867 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCCN(C(=O)c2cn[nH]c2)C1 ZINC001317372157 908184834 /nfs/dbraw/zinc/18/48/34/908184834.db2.gz RLNHQUVLXJXOOV-CQSZACIVSA-N 0 1 290.367 0.596 20 30 CCEDMN CC#CCCCC(=O)N1CCC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC001317380030 908193638 /nfs/dbraw/zinc/19/36/38/908193638.db2.gz XHVFBWDYNOGPMJ-ZIAGYGMSSA-N 0 1 293.411 0.977 20 30 CCEDMN C#CC[N@@H+](C)CCNC(=O)c1cnc2[nH]cnc2c1 ZINC001317446443 908241658 /nfs/dbraw/zinc/24/16/58/908241658.db2.gz FECNEJXDWWVWPM-UHFFFAOYSA-N 0 1 257.297 0.253 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnc2[nH]cnc2c1 ZINC001317446443 908241666 /nfs/dbraw/zinc/24/16/66/908241666.db2.gz FECNEJXDWWVWPM-UHFFFAOYSA-N 0 1 257.297 0.253 20 30 CCEDMN CCN(C(=O)[C@H](C)C#N)[C@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001384637735 908269677 /nfs/dbraw/zinc/26/96/77/908269677.db2.gz YIGZFDLIGPMWMG-GHMZBOCLSA-N 0 1 291.355 0.465 20 30 CCEDMN C=CCNC(=O)CNCc1ccccc1N1CCOCC1 ZINC001308347958 908409237 /nfs/dbraw/zinc/40/92/37/908409237.db2.gz CDUMODSJWONLEH-UHFFFAOYSA-N 0 1 289.379 0.915 20 30 CCEDMN C=C1CN(C(=O)NC[C@@H]2CN(CC(C)C)CCO2)C1 ZINC001311440321 908550043 /nfs/dbraw/zinc/55/00/43/908550043.db2.gz FGQIRNFGIQGLNA-CYBMUJFWSA-N 0 1 267.373 0.925 20 30 CCEDMN CO[C@H]1C[C@H](NC(=O)NCC#CCN(C)C)C1(C)C ZINC001312171687 908587803 /nfs/dbraw/zinc/58/78/03/908587803.db2.gz AAXCDHCLZNDWSA-RYUDHWBXSA-N 0 1 267.373 0.664 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)C[C@@H]1CCCCO1 ZINC001313438480 908666749 /nfs/dbraw/zinc/66/67/49/908666749.db2.gz LUZQKAQMMMLGGR-ZDUSSCGKSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)[C@@H]2C[C@@H]2C)n1 ZINC001316714943 908692011 /nfs/dbraw/zinc/69/20/11/908692011.db2.gz DVMOVXOZQGKAKS-GXSJLCMTSA-N 0 1 274.324 0.177 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@H]2CCCCO2)C1 ZINC001316975154 908711344 /nfs/dbraw/zinc/71/13/44/908711344.db2.gz HRHPKAWAOBKUSG-ZIAGYGMSSA-N 0 1 282.384 0.949 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)[C@H](C)NC(N)=O)CC(C)(C)C1 ZINC001316811382 908733825 /nfs/dbraw/zinc/73/38/25/908733825.db2.gz QBGPFYCTKULZEX-NWDGAFQWSA-N 0 1 294.399 0.283 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@H]1CCNC1=O ZINC001316802318 908779940 /nfs/dbraw/zinc/77/99/40/908779940.db2.gz KRWBDXUZKFLHGH-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C[C@@H]1CCNC1=O ZINC001316802317 908780253 /nfs/dbraw/zinc/78/02/53/908780253.db2.gz KRWBDXUZKFLHGH-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CCN(CCCNC(=O)[C@@H](C)C#N)CC(=O)N1CCC1 ZINC001316887244 908816283 /nfs/dbraw/zinc/81/62/83/908816283.db2.gz QDHFHRMOAIZUPI-LBPRGKRZSA-N 0 1 280.372 0.207 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](CCCC)NC(N)=O)C1 ZINC001316955214 908865589 /nfs/dbraw/zinc/86/55/89/908865589.db2.gz YVMPXKNVNYWCKU-QWHCGFSZSA-N 0 1 296.415 0.980 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)C(C)(C)C(N)=O)C1CC1 ZINC001317014132 908919214 /nfs/dbraw/zinc/91/92/14/908919214.db2.gz BGJMLUKYVCIFAO-SNVBAGLBSA-N 0 1 287.791 0.735 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H]1CCCN(CC(=O)N(C)C)C1 ZINC001317131019 909007612 /nfs/dbraw/zinc/00/76/12/909007612.db2.gz NEEOOSLXPXYRGT-AWEZNQCLSA-N 0 1 293.411 0.562 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@H]1CCN(C)C1=O ZINC001317174135 909027965 /nfs/dbraw/zinc/02/79/65/909027965.db2.gz SBGDRKHXXKSHSW-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CC[NH2+]C[C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001317318700 909140982 /nfs/dbraw/zinc/14/09/82/909140982.db2.gz SQJYVLZZGFVXEO-GFCCVEGCSA-N 0 1 273.336 0.862 20 30 CCEDMN C#CCN(C)CCNC(=O)c1coc2c1C(=O)NCCC2 ZINC001317455126 909230201 /nfs/dbraw/zinc/23/02/01/909230201.db2.gz IZWJTZQZXLYQNB-UHFFFAOYSA-N 0 1 289.335 0.250 20 30 CCEDMN CCN(CCNC(=O)C#CC(C)C)[C@H]1CCCN(C)C1=O ZINC001317480696 909252087 /nfs/dbraw/zinc/25/20/87/909252087.db2.gz WNYLAAGTFMQCSV-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)C(C)C)C1 ZINC001317514235 909280572 /nfs/dbraw/zinc/28/05/72/909280572.db2.gz BTEXUIFQAWLQCA-GFCCVEGCSA-N 0 1 267.373 0.383 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](CNC(=O)CC(C)C)C1 ZINC001317518338 909286722 /nfs/dbraw/zinc/28/67/22/909286722.db2.gz RAJRSSBOEJVWJD-UONOGXRCSA-N 0 1 293.411 0.609 20 30 CCEDMN C=CCSCCNC(=O)N1CCNC[C@H]1COC ZINC001338488648 921482813 /nfs/dbraw/zinc/48/28/13/921482813.db2.gz NPCKAFIASPGUAR-NSHDSACASA-N 0 1 273.402 0.535 20 30 CCEDMN CC#CCN(CCNC(=O)c1cc(OC)no1)C1CC1 ZINC001317550195 909324394 /nfs/dbraw/zinc/32/43/94/909324394.db2.gz VZTSDTLEGMGLHZ-UHFFFAOYSA-N 0 1 277.324 0.901 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@@H](C)OC ZINC001317735778 909480637 /nfs/dbraw/zinc/48/06/37/909480637.db2.gz VVORPURZZZEXSC-TUVASFSCSA-N 0 1 294.395 0.735 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)[C@H]2C[C@H]2C)CC1 ZINC001317814194 909510856 /nfs/dbraw/zinc/51/08/56/909510856.db2.gz SBQCAWIJYYMLON-CABCVRRESA-N 0 1 277.412 0.742 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)CCn2ccnn2)C1 ZINC001318017782 909579403 /nfs/dbraw/zinc/57/94/03/909579403.db2.gz IVVHILQNAIBEGD-LBPRGKRZSA-N 0 1 277.372 0.823 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)C[C@H]2CCCO2)C1 ZINC001318109091 909623844 /nfs/dbraw/zinc/62/38/44/909623844.db2.gz YQEYVUAAUPUMIY-CQSZACIVSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cnn(C)c2)C1 ZINC001318301893 909694803 /nfs/dbraw/zinc/69/48/03/909694803.db2.gz YMHRXDCHHICNLG-ZDUSSCGKSA-N 0 1 262.357 0.729 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](CC)NC(C)=O)C1 ZINC001318428370 909754668 /nfs/dbraw/zinc/75/46/68/909754668.db2.gz JGOGBJLNCITAJT-STQMWFEESA-N 0 1 267.373 0.620 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2CNCC#N)CC1 ZINC001319133116 909996617 /nfs/dbraw/zinc/99/66/17/909996617.db2.gz ZAIUJODEBIUTGT-OAHLLOKOSA-N 0 1 288.395 0.436 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CCC(=O)N1)C1CC1 ZINC001389690821 910030765 /nfs/dbraw/zinc/03/07/65/910030765.db2.gz NIFUUCONRMUPMJ-WDEREUQCSA-N 0 1 285.775 0.502 20 30 CCEDMN C[C@](C#N)(NC(=O)COC(=O)CCc1nc[nH]n1)C1CC1 ZINC001319267131 910064751 /nfs/dbraw/zinc/06/47/51/910064751.db2.gz YFLONTKJTJDGHH-CYBMUJFWSA-N 0 1 291.311 0.089 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1CN(CCC(=C)C)CCO1 ZINC001319312077 910091223 /nfs/dbraw/zinc/09/12/23/910091223.db2.gz YUQAXPOWDQZHDN-HUUCEWRRSA-N 0 1 294.395 0.808 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)NCc1cc2n(n1)CCC2 ZINC001390298081 910315508 /nfs/dbraw/zinc/31/55/08/910315508.db2.gz CCEDQDJDMVTDQN-GHMZBOCLSA-N 0 1 275.356 0.583 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001390538020 910503466 /nfs/dbraw/zinc/50/34/66/910503466.db2.gz ZCEPCFIEEBBARK-WDEREUQCSA-N 0 1 297.790 0.687 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(C(N)=O)ccn1 ZINC001390539214 910503686 /nfs/dbraw/zinc/50/36/86/910503686.db2.gz BPLBZUFIAHWEAO-VIFPVBQESA-N 0 1 296.758 0.641 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](O)CNCc1cnoc1C ZINC001320226734 910539943 /nfs/dbraw/zinc/53/99/43/910539943.db2.gz GFHVAYPOSVZZHO-ZDUSSCGKSA-N 0 1 281.356 0.906 20 30 CCEDMN CO[C@@H]1CCC[C@@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC001338836613 921587173 /nfs/dbraw/zinc/58/71/73/921587173.db2.gz JBIKVIACHWVHOQ-NWDGAFQWSA-N 0 1 265.357 0.906 20 30 CCEDMN Cc1nnc(CNCC[C@H](C)NC(=O)[C@@H](C)C#N)s1 ZINC001320374171 910643301 /nfs/dbraw/zinc/64/33/01/910643301.db2.gz ZXFCBSDPPRQXFC-IUCAKERBSA-N 0 1 281.385 0.991 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC001320605070 910759230 /nfs/dbraw/zinc/75/92/30/910759230.db2.gz NZOROMQNPZPJIH-MCIONIFRSA-N 0 1 297.399 0.428 20 30 CCEDMN CCN(CCNCc1snnc1C)C(=O)[C@H](C)C#N ZINC001320694179 910806457 /nfs/dbraw/zinc/80/64/57/910806457.db2.gz DEAWHDWAGQEJCB-SECBINFHSA-N 0 1 281.385 0.944 20 30 CCEDMN CC(C)N(C)C(=O)CN1CC[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001391085428 910862376 /nfs/dbraw/zinc/86/23/76/910862376.db2.gz JFBHADNEHJWHRY-CHWSQXEVSA-N 0 1 294.399 0.451 20 30 CCEDMN C=CCO[C@@H]1CCN(C[C@H](C)CS(C)(=O)=O)C1 ZINC001320899919 910927760 /nfs/dbraw/zinc/92/77/60/910927760.db2.gz YPCIFTKKXFHEGT-NWDGAFQWSA-N 0 1 261.387 0.944 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1COCCO1 ZINC001320980182 910977120 /nfs/dbraw/zinc/97/71/20/910977120.db2.gz UTVJDDIOWAJBMI-QUCGXOGASA-N 0 1 274.748 0.416 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1CCCNC1=O ZINC001321106939 911091094 /nfs/dbraw/zinc/09/10/94/911091094.db2.gz KRIPEXSBRHLUMA-VUDBWIFFSA-N 0 1 285.775 0.527 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(C(C)C)CC1 ZINC001321256247 911190806 /nfs/dbraw/zinc/19/08/06/911190806.db2.gz HCIIUHSKERLFLK-GFCCVEGCSA-N 0 1 255.362 0.923 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@@H](C)C#N)NC(=O)CN1CCCC1 ZINC001391678190 911318728 /nfs/dbraw/zinc/31/87/28/911318728.db2.gz UADVGEXEXITVPL-STQMWFEESA-N 0 1 294.399 0.499 20 30 CCEDMN C#CCNC(=O)C1CCN(C(=O)c2cnc[nH]2)CC1 ZINC001321775227 911485623 /nfs/dbraw/zinc/48/56/23/911485623.db2.gz MDOYZTDKNSUKEG-UHFFFAOYSA-N 0 1 260.297 0.011 20 30 CCEDMN O=C(CN1CCC(CO)CC1)NCC#C[C@@H]1CCCCO1 ZINC001339192505 921675833 /nfs/dbraw/zinc/67/58/33/921675833.db2.gz CEROHASXMJSHAB-HNNXBMFYSA-N 0 1 294.395 0.379 20 30 CCEDMN C=CCCN(C)C[C@H](C)NC(=O)C1CS(=O)(=O)C1 ZINC001322160356 911687176 /nfs/dbraw/zinc/68/71/76/911687176.db2.gz KXOSLOCDOMNHRB-JTQLQIEISA-N 0 1 274.386 0.044 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cc(OCC)n[nH]1 ZINC001322184246 911699587 /nfs/dbraw/zinc/69/95/87/911699587.db2.gz ZFPSPNNCLCZNHN-SNVBAGLBSA-N 0 1 264.329 0.492 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001322245415 911725188 /nfs/dbraw/zinc/72/51/88/911725188.db2.gz XPJZSKAPAVOFLX-UPJWGTAASA-N 0 1 279.384 0.348 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)Cn1nccc1C ZINC001322258911 911732600 /nfs/dbraw/zinc/73/26/00/911732600.db2.gz RWCHDLPQQOISPC-ZDUSSCGKSA-N 0 1 292.383 0.278 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCNC(=O)NC ZINC001392476321 911849851 /nfs/dbraw/zinc/84/98/51/911849851.db2.gz UEYZAOQUVRPANQ-SECBINFHSA-N 0 1 276.768 0.152 20 30 CCEDMN CCc1cc(CNC(=O)C(=O)N2CCC(C#N)CC2)n[nH]1 ZINC001322678347 911912997 /nfs/dbraw/zinc/91/29/97/911912997.db2.gz IINPFUKRUOWNTE-UHFFFAOYSA-N 0 1 289.339 0.350 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@H](CNCC#N)C1CC1 ZINC001323079659 912106047 /nfs/dbraw/zinc/10/60/47/912106047.db2.gz BDKITXLWORPVAP-ZWNOBZJWSA-N 0 1 275.356 0.596 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN1C[C@@H](O)Cn1cccn1 ZINC001323190290 912168389 /nfs/dbraw/zinc/16/83/89/912168389.db2.gz CVRFUMWFBYJWKP-UONOGXRCSA-N 0 1 292.383 0.401 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H](OC)C1CC1 ZINC001323232871 912207937 /nfs/dbraw/zinc/20/79/37/912207937.db2.gz SFVKTUVOGCOORJ-QWHCGFSZSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCCCCNC(=O)C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC001328010296 914924650 /nfs/dbraw/zinc/92/46/50/914924650.db2.gz VNZZRZDDERHNMI-ZDUSSCGKSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CCN(C)C(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC001328281501 915078811 /nfs/dbraw/zinc/07/88/11/915078811.db2.gz LFSDZJCEKFOYIY-GFCCVEGCSA-N 0 1 265.357 0.021 20 30 CCEDMN CCN(CC#N)CC1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001328575741 915287256 /nfs/dbraw/zinc/28/72/56/915287256.db2.gz ZXRSDZAYHPCFJP-CHWSQXEVSA-N 0 1 294.355 0.736 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cn[nH]c(=O)c1 ZINC001328733985 915397282 /nfs/dbraw/zinc/39/72/82/915397282.db2.gz UDSZJGTXPUVRAK-LBPRGKRZSA-N 0 1 274.324 0.352 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCCN1CCNC(=O)C1CC1 ZINC001399830912 915398090 /nfs/dbraw/zinc/39/80/90/915398090.db2.gz MNPNSKFZSZRRSO-DGCLKSJQSA-N 0 1 292.383 0.253 20 30 CCEDMN Cc1onc(CC(=O)N(C)Cc2cnc[nH]2)c1C#N ZINC001328757788 915413575 /nfs/dbraw/zinc/41/35/75/915413575.db2.gz VDYKKERQLBPYSW-UHFFFAOYSA-N 0 1 259.269 0.779 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@@H](C)OCC1CC1 ZINC001329394128 915869634 /nfs/dbraw/zinc/86/96/34/915869634.db2.gz SXFRARYVXFDQGS-UONOGXRCSA-N 0 1 296.411 0.888 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1cn(C)nc1C ZINC001329397710 915878625 /nfs/dbraw/zinc/87/86/25/915878625.db2.gz QWLDABIMBQDUPN-GFCCVEGCSA-N 0 1 292.383 0.428 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H](C)C#N)NCc1nccnc1C ZINC001400799257 915935707 /nfs/dbraw/zinc/93/57/07/915935707.db2.gz JXDUCZZCLMLEPZ-PWSUYJOCSA-N 0 1 275.356 0.929 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C1(CCOC)CC1 ZINC001329460754 915937573 /nfs/dbraw/zinc/93/75/73/915937573.db2.gz RWSURRZBJLSIHY-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1c(C)ncn1C ZINC001329473391 915949173 /nfs/dbraw/zinc/94/91/73/915949173.db2.gz ZGOGNHUWTBZTDZ-GFCCVEGCSA-N 0 1 292.383 0.428 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CCn1cnnn1 ZINC001400846835 915959998 /nfs/dbraw/zinc/95/99/98/915959998.db2.gz FWRXMLHYVOOSMA-JTQLQIEISA-N 0 1 286.767 0.300 20 30 CCEDMN C=CC[N@H+](C)[C@@H](C)CNC(=O)c1c(C)nc[nH]c1=O ZINC001329516128 915975820 /nfs/dbraw/zinc/97/58/20/915975820.db2.gz QMHGJMVVDXLLKA-VIFPVBQESA-N 0 1 264.329 0.727 20 30 CCEDMN C=CCC1(NC(=O)CCc2nn[nH]n2)CCOCC1 ZINC001329582878 916036474 /nfs/dbraw/zinc/03/64/74/916036474.db2.gz LXEVJAGQJACECQ-UHFFFAOYSA-N 0 1 265.317 0.374 20 30 CCEDMN Cc1n[nH]c(CCn2c(C)nnc2N(C)C[C@@H](C)C#N)n1 ZINC001401410636 916246920 /nfs/dbraw/zinc/24/69/20/916246920.db2.gz VSROJKMLVOHKIZ-VIFPVBQESA-N 0 1 288.359 0.852 20 30 CCEDMN Cc1nnc(CCn2c(C)nnc2N(C)C[C@@H](C)C#N)[nH]1 ZINC001401410636 916246931 /nfs/dbraw/zinc/24/69/31/916246931.db2.gz VSROJKMLVOHKIZ-VIFPVBQESA-N 0 1 288.359 0.852 20 30 CCEDMN C#CCCNC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001329885378 916257475 /nfs/dbraw/zinc/25/74/75/916257475.db2.gz UTYXUNXCKHGOTB-SECBINFHSA-N 0 1 263.301 0.479 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1csc(=O)[nH]1 ZINC001401555521 916322899 /nfs/dbraw/zinc/32/28/99/916322899.db2.gz OUEGEGXYDZEZPD-ZETCQYMHSA-N 0 1 291.760 0.282 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC1OCCCO1 ZINC001401677932 916465296 /nfs/dbraw/zinc/46/52/96/916465296.db2.gz UFNXYLXOBNEWNJ-JTQLQIEISA-N 0 1 276.764 0.986 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H]1[C@H]2CN([C@@H](C)C(N)=O)C[C@H]21 ZINC001330296645 916537444 /nfs/dbraw/zinc/53/74/44/916537444.db2.gz MIPJLCNCRORBCB-ZDEQEGDKSA-N 0 1 279.384 0.511 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCCC2(CN(C(C)=O)C2)C1 ZINC001330474905 916654828 /nfs/dbraw/zinc/65/48/28/916654828.db2.gz KDKDZDCJMOCGOK-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN CCN(CC)C(=O)CNC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001401938451 916718511 /nfs/dbraw/zinc/71/85/11/916718511.db2.gz JDTPITNCCZOXJI-NSHDSACASA-N 0 1 280.372 0.253 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C2CN(C(C)=O)C2)CC1 ZINC001401940100 916720279 /nfs/dbraw/zinc/72/02/79/916720279.db2.gz VSFVLFISLDYHKF-UHFFFAOYSA-N 0 1 285.775 0.456 20 30 CCEDMN C#CCC1(O)CCN(CCOC(=O)N(C)C)CC1 ZINC001330749205 916835089 /nfs/dbraw/zinc/83/50/89/916835089.db2.gz HFBMNFFNHIMOTR-UHFFFAOYSA-N 0 1 254.330 0.535 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2ncc[nH]2)CCO1 ZINC001402588893 917114416 /nfs/dbraw/zinc/11/44/16/917114416.db2.gz HTTAOZXXNUHNIA-JTQLQIEISA-N 0 1 284.747 0.593 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)N1CCNC[C@@H]1C(C)C ZINC001331581903 917429990 /nfs/dbraw/zinc/42/99/90/917429990.db2.gz GCNKITLHJDZJBK-CYBMUJFWSA-N 0 1 297.399 0.152 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C[C@H]1C=CCCC1 ZINC001331739020 917563070 /nfs/dbraw/zinc/56/30/70/917563070.db2.gz JMBVBBRVUJQJRE-KBPBESRZSA-N 0 1 264.369 0.823 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C(C)(C)C1CCC1 ZINC001331758970 917578860 /nfs/dbraw/zinc/57/88/60/917578860.db2.gz RTSCUSVGPBGMIK-CYBMUJFWSA-N 0 1 266.385 0.903 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCc1ccccc1 ZINC001331818680 917635580 /nfs/dbraw/zinc/63/55/80/917635580.db2.gz IJBONTIQZINMOJ-AWEZNQCLSA-N 0 1 260.337 0.319 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1csc(Cl)n1 ZINC001331824352 917641497 /nfs/dbraw/zinc/64/14/97/917641497.db2.gz HUKNZKCCIZNPNH-SSDOTTSWSA-N 0 1 273.745 0.110 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@H](C(=O)[O-])[C@H](C)C2)C1=O ZINC001331849800 917661139 /nfs/dbraw/zinc/66/11/39/917661139.db2.gz JZVZXYKUAAUUPA-WOPDTQHZSA-N 0 1 266.341 0.816 20 30 CCEDMN C=CCOC[C@H]([NH2+]Cc1cnc(N(C)C)n1C)C(=O)[O-] ZINC001331933472 917728258 /nfs/dbraw/zinc/72/82/58/917728258.db2.gz CWUIANBABZSKET-NSHDSACASA-N 0 1 282.344 0.232 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CC[C@H]1C(=O)[O-] ZINC001332331313 918059758 /nfs/dbraw/zinc/05/97/58/918059758.db2.gz YPVFMFOKWHEWBG-VWYCJHECSA-N 0 1 250.298 0.063 20 30 CCEDMN CN(Cc1cc(C(C)(C)C)[nH]n1)C(=O)NCC#CCO ZINC001332369216 918096101 /nfs/dbraw/zinc/09/61/01/918096101.db2.gz WLQUKABTPKCKQP-UHFFFAOYSA-N 0 1 278.356 0.844 20 30 CCEDMN N#CC1CCN(C[C@@H](O)C[C@@]2(O)CCOC2)CC1 ZINC001332614200 918311915 /nfs/dbraw/zinc/31/19/15/918311915.db2.gz TYNBOVHFBCDXOY-STQMWFEESA-N 0 1 254.330 0.124 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)C=C(C)C ZINC001332616192 918315393 /nfs/dbraw/zinc/31/53/93/918315393.db2.gz JACDARCIGNKCIZ-CQSZACIVSA-N 0 1 282.384 0.354 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H](CCC)OC ZINC001332647276 918340392 /nfs/dbraw/zinc/34/03/92/918340392.db2.gz JDFLCGSCGGARHI-OLZOCXBDSA-N 0 1 270.373 0.186 20 30 CCEDMN CC#CCN(C)CCN(C(=O)CC(N)=O)C(C)C ZINC001332692843 918389232 /nfs/dbraw/zinc/38/92/32/918389232.db2.gz DNPVXGPDGJMWLG-UHFFFAOYSA-N 0 1 253.346 0.054 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC([C@H](C)NC(=O)Cc2cnc[nH]2)C1 ZINC001404686500 918428710 /nfs/dbraw/zinc/42/87/10/918428710.db2.gz YIKROAYJCLHXFT-UWVGGRQHSA-N 0 1 289.339 0.075 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001332831946 918464748 /nfs/dbraw/zinc/46/47/48/918464748.db2.gz CGSADGVQBAVLGP-VIFPVBQESA-N 0 1 265.317 0.200 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN(CCO)CCOC)cc1 ZINC001332923604 918530555 /nfs/dbraw/zinc/53/05/55/918530555.db2.gz WLPDDCSBLIPAJC-UHFFFAOYSA-N 0 1 290.363 0.338 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCN(CC(C)(C)O)CC1 ZINC001332943452 918550067 /nfs/dbraw/zinc/55/00/67/918550067.db2.gz FKFQFKWVUSYSDA-UHFFFAOYSA-N 0 1 280.376 0.142 20 30 CCEDMN C#CC(C)(C)N(C)C[C@@H]1CN=C(c2cnn(C)c2)O1 ZINC001333030320 918609935 /nfs/dbraw/zinc/60/99/35/918609935.db2.gz ZOEDALFQHZQXOU-LBPRGKRZSA-N 0 1 260.341 0.909 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CN(C)C(=O)N1 ZINC001405260858 918694563 /nfs/dbraw/zinc/69/45/63/918694563.db2.gz ABXLRRDOEUPQDS-VHSXEESVSA-N 0 1 288.779 0.247 20 30 CCEDMN CC#CCCCC(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001333264870 918759954 /nfs/dbraw/zinc/75/99/54/918759954.db2.gz BBAZVKCPTKSRJY-UHFFFAOYSA-N 0 1 277.328 0.203 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@](C)(CNCc1nncn1C)C1CC1 ZINC001405440236 918767595 /nfs/dbraw/zinc/76/75/95/918767595.db2.gz JXNIQORCRDEJKR-YGRLFVJLSA-N 0 1 290.371 0.349 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C=C)COC)CC1 ZINC001333503715 918955654 /nfs/dbraw/zinc/95/56/54/918955654.db2.gz DEZFRXMRESSFGE-LBPRGKRZSA-N 0 1 265.357 0.584 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2nc3cnccn3n2)CC1 ZINC001333663057 919082101 /nfs/dbraw/zinc/08/21/01/919082101.db2.gz SVJGDWRZECKAOS-UHFFFAOYSA-N 0 1 284.323 0.408 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H](C)CSC ZINC001406219220 919123202 /nfs/dbraw/zinc/12/32/02/919123202.db2.gz OBWLAKAWRZRRNW-WPRPVWTQSA-N 0 1 280.821 0.805 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CCc1ccncc1 ZINC001406255987 919139260 /nfs/dbraw/zinc/13/92/60/919139260.db2.gz OQFODTNAJFQBCN-ZDUSSCGKSA-N 0 1 297.786 0.833 20 30 CCEDMN COCC#CC(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC001334419644 919572190 /nfs/dbraw/zinc/57/21/90/919572190.db2.gz BSOFLGCNCRMHEI-ZDUSSCGKSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN(C(=O)C(=O)NCc1cc(C)[nH]n1)C(C)C ZINC001334645904 919689284 /nfs/dbraw/zinc/68/92/84/919689284.db2.gz YRVVHGMTNSEQBL-UHFFFAOYSA-N 0 1 262.313 0.205 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H](C)Cn1ccc(C)n1 ZINC001492962048 919719490 /nfs/dbraw/zinc/71/94/90/919719490.db2.gz JSZKPTDIFUIFEM-CYBMUJFWSA-N 0 1 276.384 0.899 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)CNC(C)=O)CC1 ZINC001407851480 919916963 /nfs/dbraw/zinc/91/69/63/919916963.db2.gz OTWWXZFYNHJQJM-GFCCVEGCSA-N 0 1 287.791 0.846 20 30 CCEDMN C=C(Cl)CN[C@]1(CO)CCCN(C(=O)[C@@H](C)OC)C1 ZINC001408003284 919983888 /nfs/dbraw/zinc/98/38/88/919983888.db2.gz BYPOMPLXSIWBQT-DGCLKSJQSA-N 0 1 290.791 0.717 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)C(=O)NCc1cn[nH]c1C ZINC001335520819 920251368 /nfs/dbraw/zinc/25/13/68/920251368.db2.gz AODIBXKWIBIZBR-LBPRGKRZSA-N 0 1 274.324 0.349 20 30 CCEDMN N#CC1(C[C@H](O)CN[C@@H](CO)c2ccccn2)CC1 ZINC001336000158 920556133 /nfs/dbraw/zinc/55/61/33/920556133.db2.gz KOISMGRMQXWUJQ-AAEUAGOBSA-N 0 1 261.325 0.759 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@H]2CCCCN2C)nn1 ZINC001336202103 920656032 /nfs/dbraw/zinc/65/60/32/920656032.db2.gz NNHJBNSSQMVXKQ-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)C(=O)N(C)Cc1cnc[nH]1 ZINC001336540924 920822824 /nfs/dbraw/zinc/82/28/24/920822824.db2.gz RKJQOWVCXGCYQA-GFCCVEGCSA-N 0 1 274.324 0.382 20 30 CCEDMN C#CCNCC(=O)N[C@H]1C[C@H](OC)C1(CC)CC ZINC001340004353 921910581 /nfs/dbraw/zinc/91/05/81/921910581.db2.gz UJAQDYRTGAQKBE-RYUDHWBXSA-N 0 1 252.358 0.919 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1C[C@H](OC)C1(CC)CC ZINC001340004351 921912449 /nfs/dbraw/zinc/91/24/49/921912449.db2.gz UJAQDYRTGAQKBE-NEPJUHHUSA-N 0 1 252.358 0.919 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc(OC)c(F)c1 ZINC001340680378 922166016 /nfs/dbraw/zinc/16/60/16/922166016.db2.gz SLNWFWNMOBCCIS-UHFFFAOYSA-N 0 1 264.300 0.716 20 30 CCEDMN CCCNC(=O)CN1CC[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001418674123 922352929 /nfs/dbraw/zinc/35/29/29/922352929.db2.gz YNQCKTGBEVTBTF-RYUDHWBXSA-N 0 1 280.372 0.110 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](c2nnc3ccccn32)C1 ZINC001341540277 922540605 /nfs/dbraw/zinc/54/06/05/922540605.db2.gz PKHVRMNRPRKTJN-ZDUSSCGKSA-N 0 1 297.362 0.658 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCN(CCc2cnn(C)c2)C1 ZINC001419075526 922621381 /nfs/dbraw/zinc/62/13/81/922621381.db2.gz OLEGXDWQTGAGDL-WCQYABFASA-N 0 1 275.356 0.313 20 30 CCEDMN C#CCN(CC#C)c1nnc([C@H]2CCC[N@@H+](C)C2)n1C ZINC001341790936 922676852 /nfs/dbraw/zinc/67/68/52/922676852.db2.gz WSOJMIUABZTQKW-ZDUSSCGKSA-N 0 1 271.368 0.697 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COCc1ccncc1 ZINC001341821764 922688901 /nfs/dbraw/zinc/68/89/01/922688901.db2.gz WABZFKMFJSHOEM-LBPRGKRZSA-N 0 1 275.308 0.832 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1nnn(C)n1 ZINC001419222063 922714530 /nfs/dbraw/zinc/71/45/30/922714530.db2.gz KQZKGBQDAWUENO-QMMMGPOBSA-N 0 1 272.740 0.061 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)CS(C)(=O)=O)C1CC1 ZINC001419236775 922725449 /nfs/dbraw/zinc/72/54/49/922725449.db2.gz ZSJPBUTWJLWBJI-SNVBAGLBSA-N 0 1 294.804 0.268 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001419238431 922727811 /nfs/dbraw/zinc/72/78/11/922727811.db2.gz ITTWEARQGUEWFQ-SECBINFHSA-N 0 1 286.763 0.976 20 30 CCEDMN CO[C@@H]1CCC[C@@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC001341948131 922733084 /nfs/dbraw/zinc/73/30/84/922733084.db2.gz PRBKQLYIMMMTEM-HBNTYKKESA-N 0 1 250.298 0.789 20 30 CCEDMN CCO[C@@H]1[C@H](C)[C@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC001341948632 922733950 /nfs/dbraw/zinc/73/39/50/922733950.db2.gz VOWJZVZKFDCVCI-ZIYJGFGOSA-N 0 1 250.298 0.645 20 30 CCEDMN COc1cc(CN[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)on1 ZINC001419404331 922807937 /nfs/dbraw/zinc/80/79/37/922807937.db2.gz YLXMYDTUSMCFLU-GUBZILKMSA-N 0 1 278.312 0.580 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)[C@@H](N)c2ccccc2)CCO1 ZINC001342111448 922809928 /nfs/dbraw/zinc/80/99/28/922809928.db2.gz LARKRZLJZKSBKW-JSGCOSHPSA-N 0 1 259.309 0.827 20 30 CCEDMN C=C(C)Cn1c(C(N)=O)nnc1N1CCN(C)C(C)(C)C1 ZINC001342225006 922866606 /nfs/dbraw/zinc/86/66/06/922866606.db2.gz LTKZWAJEEAJPQA-UHFFFAOYSA-N 0 1 292.387 0.484 20 30 CCEDMN N#C[C@@H](C(=O)C[C@H]1CCCC(=O)N1)c1ccncn1 ZINC001342693691 923057701 /nfs/dbraw/zinc/05/77/01/923057701.db2.gz NVMHHUNFOPYKBA-NXEZZACHSA-N 0 1 258.281 0.712 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(OC)ncn1 ZINC001419740754 923061173 /nfs/dbraw/zinc/06/11/73/923061173.db2.gz MMRHXMJZWBEMIB-VIFPVBQESA-N 0 1 284.747 0.946 20 30 CCEDMN C#CC(=O)NCc1ccccc1N1CCN(C)CC1 ZINC001343058544 923188857 /nfs/dbraw/zinc/18/88/57/923188857.db2.gz VGEWYDYYFOHPGZ-UHFFFAOYSA-N 0 1 257.337 0.688 20 30 CCEDMN C[C@@H]1CC(=O)CC[C@@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC001343364347 923287704 /nfs/dbraw/zinc/28/77/04/923287704.db2.gz AUBXOYVBMWLOQK-JFUSQASVSA-N 0 1 262.309 0.979 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)[C@@]1(C)CCC(=O)NC1 ZINC001343854312 923485271 /nfs/dbraw/zinc/48/52/71/923485271.db2.gz XYFCPWZVGLNUOP-ZANVPECISA-N 0 1 260.297 0.513 20 30 CCEDMN C[C@H](CNCc1nccn1C)NC(=O)c1ccc(C#N)[nH]1 ZINC001420283437 923496939 /nfs/dbraw/zinc/49/69/39/923496939.db2.gz REWKHUAOSQSVSD-SNVBAGLBSA-N 0 1 286.339 0.528 20 30 CCEDMN C#CCNCC(=O)N1CCOc2cc(F)ccc2C1 ZINC001344387572 923589943 /nfs/dbraw/zinc/58/99/43/923589943.db2.gz PTMYWQFAOKTUPY-UHFFFAOYSA-N 0 1 262.284 0.770 20 30 CCEDMN C#CCN1CCC(OC(=O)c2ccn3nnnc3c2)CC1 ZINC001344943119 923740340 /nfs/dbraw/zinc/74/03/40/923740340.db2.gz XLJVQAQCZKEZJE-UHFFFAOYSA-N 0 1 285.307 0.379 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC[C@@](C)(C#N)C2)[C@@H](C)CN1 ZINC001345483399 923903028 /nfs/dbraw/zinc/90/30/28/923903028.db2.gz KMCARCQUASEBDC-XUJVJEKNSA-N 0 1 292.383 0.347 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)Cn1cncn1)C1CC1 ZINC001420783407 923930272 /nfs/dbraw/zinc/93/02/72/923930272.db2.gz HCGWDHRPGPVMLK-LLVKDONJSA-N 0 1 283.763 0.515 20 30 CCEDMN C#CCN1CCC(OC(=O)CCSCC(=O)OC)CC1 ZINC001346161349 924093208 /nfs/dbraw/zinc/09/32/08/924093208.db2.gz PGIGLHGWLDSXEA-UHFFFAOYSA-N 0 1 299.392 0.924 20 30 CCEDMN N#Cc1cncnc1NCc1n[nH]c(C2CCOCC2)n1 ZINC001421219154 924219055 /nfs/dbraw/zinc/21/90/55/924219055.db2.gz YEKGZHFATXCVFH-UHFFFAOYSA-N 0 1 285.311 0.394 20 30 CCEDMN C=CC(C)(C)CNC(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001347147717 924385039 /nfs/dbraw/zinc/38/50/39/924385039.db2.gz DWZPZBCQDCGTLH-NEPJUHHUSA-N 0 1 251.374 0.703 20 30 CCEDMN C=C(C)CONC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001347650696 924502304 /nfs/dbraw/zinc/50/23/04/924502304.db2.gz XSZKEXRLEDAZJC-GXTWGEPZSA-N 0 1 299.371 0.019 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001348793793 924805829 /nfs/dbraw/zinc/80/58/29/924805829.db2.gz OCZNWAAJGOLUOW-OLZOCXBDSA-N 0 1 266.389 0.333 20 30 CCEDMN C[C@H](C#N)OCCn1cc([C@@H](N)[C@@H]2CCCO2)nn1 ZINC001349749906 925041343 /nfs/dbraw/zinc/04/13/43/925041343.db2.gz DUQILIMBJISDOD-ADEWGFFLSA-N 0 1 265.317 0.386 20 30 CCEDMN C=CC[C@@H](C)NC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001349878709 925082666 /nfs/dbraw/zinc/08/26/66/925082666.db2.gz FQFKCRFKAPKGLI-OLZOCXBDSA-N 0 1 268.405 0.886 20 30 CCEDMN C=CCCC(=O)N(C)[C@@H]1CCN(C(=O)Cc2c[nH]cn2)C1 ZINC001350762773 925273638 /nfs/dbraw/zinc/27/36/38/925273638.db2.gz FUJFNTLZNGFYHI-CYBMUJFWSA-N 0 1 290.367 0.978 20 30 CCEDMN CCN1CCN(CC(C)(C)NC(=O)C#CCOC)CC1 ZINC001351033207 925347206 /nfs/dbraw/zinc/34/72/06/925347206.db2.gz NPBOFCFTLNZFSF-UHFFFAOYSA-N 0 1 281.400 0.169 20 30 CCEDMN C=CCN(C(=O)NC[C@H](C)N1CCN(C)CC1)C1CC1 ZINC001352220186 925544811 /nfs/dbraw/zinc/54/48/11/925544811.db2.gz NSUPUWLIKHDQOS-ZDUSSCGKSA-N 0 1 280.416 0.982 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001353097241 925729571 /nfs/dbraw/zinc/72/95/71/925729571.db2.gz DJJOWLCKHWJAMG-LLVKDONJSA-N 0 1 289.339 0.089 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001353097241 925729577 /nfs/dbraw/zinc/72/95/77/925729577.db2.gz DJJOWLCKHWJAMG-LLVKDONJSA-N 0 1 289.339 0.089 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CC23CCOCC3)CC1 ZINC001353655335 925898098 /nfs/dbraw/zinc/89/80/98/925898098.db2.gz OFFKGBVQMWEFLL-AWEZNQCLSA-N 0 1 291.395 0.952 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)NC(=O)[C@@H]1CCCN1C ZINC001354648868 926147870 /nfs/dbraw/zinc/14/78/70/926147870.db2.gz DDALEBXJLUAGIO-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCNC(=O)CCNCc1ccccc1[N+](=O)[O-] ZINC001356534700 926397633 /nfs/dbraw/zinc/39/76/33/926397633.db2.gz QKWPJIFDRKQDJK-UHFFFAOYSA-N 0 1 261.281 0.824 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001357018293 926476681 /nfs/dbraw/zinc/47/66/81/926476681.db2.gz MFQRBXWRDGISJG-LLVKDONJSA-N 0 1 291.355 0.480 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001357018293 926476686 /nfs/dbraw/zinc/47/66/86/926476686.db2.gz MFQRBXWRDGISJG-LLVKDONJSA-N 0 1 291.355 0.480 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](c2nnc3n2CCC3)C1 ZINC001357395642 926524391 /nfs/dbraw/zinc/52/43/91/926524391.db2.gz GJXRMNKYJASKLM-GFCCVEGCSA-N 0 1 287.367 0.153 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)CNC(=O)c1[nH]nc(C)c1C ZINC001358095541 926636055 /nfs/dbraw/zinc/63/60/55/926636055.db2.gz UTSMQYTYLBPNCJ-SECBINFHSA-N 0 1 294.355 0.464 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)CNC(=O)[C@@H]1CCCN1C ZINC001358125157 926641695 /nfs/dbraw/zinc/64/16/95/926641695.db2.gz NNHCRBSMKFKCLO-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C[C@@H](CNC(=O)c1[nH]ncc1F)NC(=O)C#CC1CC1 ZINC001358510487 926720220 /nfs/dbraw/zinc/72/02/20/926720220.db2.gz MPIULILODAKBSW-QMMMGPOBSA-N 0 1 278.287 0.197 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001421392487 926722583 /nfs/dbraw/zinc/72/25/83/926722583.db2.gz MRISWNREUWFTGC-GHMZBOCLSA-N 0 1 287.791 0.750 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2cc(C)c(C#N)cn2)C1 ZINC001413898102 926746421 /nfs/dbraw/zinc/74/64/21/926746421.db2.gz YPLIOJLNZJPFEX-ZDUSSCGKSA-N 0 1 288.351 0.803 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1ccncc1C ZINC001422314852 927214539 /nfs/dbraw/zinc/21/45/39/927214539.db2.gz OMOZZLRNLSAAID-CYBMUJFWSA-N 0 1 297.786 0.752 20 30 CCEDMN CN(C(=O)[C@H](C#N)Cc1ccc(C#N)cc1)c1nn[nH]n1 ZINC001361668487 927235642 /nfs/dbraw/zinc/23/56/42/927235642.db2.gz ZMMKXECYRROAPX-NSHDSACASA-N 0 1 281.279 0.417 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cnc(C)nc1C ZINC001422373779 927249948 /nfs/dbraw/zinc/24/99/48/927249948.db2.gz OPOJGQRWMGBYLN-LLVKDONJSA-N 0 1 298.774 0.526 20 30 CCEDMN C[C@@H](O)CCCN1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001423085824 927669934 /nfs/dbraw/zinc/66/99/34/927669934.db2.gz MZXBGLAYCGCUQW-DGCLKSJQSA-N 0 1 290.367 0.851 20 30 CCEDMN C[C@H](C#N)CNC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001362163073 927683314 /nfs/dbraw/zinc/68/33/14/927683314.db2.gz ZTNNCSLMUHJBCS-SECBINFHSA-N 0 1 277.328 0.517 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1c[nH]c(C)n1 ZINC001423428725 927852893 /nfs/dbraw/zinc/85/28/93/927852893.db2.gz CMNPBZWDPPZWQF-SNVBAGLBSA-N 0 1 286.763 0.493 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CC(NC(=O)CN2CCCC2)C1 ZINC001423788848 927980887 /nfs/dbraw/zinc/98/08/87/927980887.db2.gz OUXFAKBMCQWFPS-PNESKVBLSA-N 0 1 292.383 0.253 20 30 CCEDMN Cc1c(C(=O)NC2=NO[C@H](C)C2)nnn1-c1cnn(C)c1 ZINC001362429510 928009140 /nfs/dbraw/zinc/00/91/40/928009140.db2.gz QWRVGASGUCWBBE-SSDOTTSWSA-N 0 1 289.299 0.161 20 30 CCEDMN C[C@H](C(=O)N(C)CCCc1[nH]nc(N)c1C#N)N(C)C ZINC001362491456 928091754 /nfs/dbraw/zinc/09/17/54/928091754.db2.gz XLMMZUMYOVGPGT-SECBINFHSA-N 0 1 278.360 0.205 20 30 CCEDMN CN(C)Cc1ccc(C(=O)Nc2n[nH]cc2C#N)cn1 ZINC001362923549 928657535 /nfs/dbraw/zinc/65/75/35/928657535.db2.gz RMJBMURLRAVMOQ-UHFFFAOYSA-N 0 1 270.296 0.990 20 30 CCEDMN CCNC(=O)CN1CCC([C@H](C)NC(=O)[C@H](C)C#N)CC1 ZINC001426189000 928784994 /nfs/dbraw/zinc/78/49/94/928784994.db2.gz AZDPZOKSTMKCTL-NEPJUHHUSA-N 0 1 294.399 0.499 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2C(=O)[C@@H](C)CC#N)n[nH]1 ZINC001363099934 928868803 /nfs/dbraw/zinc/86/88/03/928868803.db2.gz OQHPVPYBQZVTQQ-AEJSXWLSSA-N 0 1 277.328 0.551 20 30 CCEDMN N#Cc1nc(NC(=O)CC[N@@H+]2CC[C@H](F)C2)[n-]c1C#N ZINC001363161327 928935000 /nfs/dbraw/zinc/93/50/00/928935000.db2.gz RDQNVNVTLRDKBV-QMMMGPOBSA-N 0 1 276.275 0.525 20 30 CCEDMN N#Cc1cncnc1N1CC(c2[nH]nc3c2COCC3)C1 ZINC001363174635 928944421 /nfs/dbraw/zinc/94/44/21/928944421.db2.gz FZZAKNWBCQMPKI-UHFFFAOYSA-N 0 1 282.307 0.748 20 30 CCEDMN N#Cc1nc(C2CC2)oc1N1CCN(Cc2c[nH]nn2)CC1 ZINC001363194352 928964026 /nfs/dbraw/zinc/96/40/26/928964026.db2.gz BQRJROOPCGFTNS-UHFFFAOYSA-N 0 1 299.338 0.864 20 30 CCEDMN COc1cc(C(=O)N2CC[C@@H](c3nn[nH]n3)C2)ccc1C#N ZINC001363323067 929114519 /nfs/dbraw/zinc/11/45/19/929114519.db2.gz RWYKFJOAYBZERY-LLVKDONJSA-N 0 1 298.306 0.710 20 30 CCEDMN COc1cc(C(=O)N2CC[C@H](c3nn[nH]n3)C2)ccc1C#N ZINC001363323100 929115182 /nfs/dbraw/zinc/11/51/82/929115182.db2.gz RWYKFJOAYBZERY-NSHDSACASA-N 0 1 298.306 0.710 20 30 CCEDMN COC[C@@H](NC(=O)c1cc(C#N)cs1)c1nn[nH]n1 ZINC001363410988 929194999 /nfs/dbraw/zinc/19/49/99/929194999.db2.gz HPYVOFSIWVGLMK-SSDOTTSWSA-N 0 1 278.297 0.250 20 30 CCEDMN N#CC1(C(=O)N2CC[C@H](c3nc[nH]n3)C2)CCOCC1 ZINC001363433036 929224152 /nfs/dbraw/zinc/22/41/52/929224152.db2.gz NFYJQNBPXOJXBZ-JTQLQIEISA-N 0 1 275.312 0.441 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)NC2(c3nn[nH]n3)CCC2)cc1 ZINC001363822674 929615497 /nfs/dbraw/zinc/61/54/97/929615497.db2.gz SFNZESCCGAFNBT-LLVKDONJSA-N 0 1 298.306 0.300 20 30 CCEDMN CN(CCNC(=O)[C@H]1[C@@H]2COC[C@@H]21)Cc1cccc(C#N)c1 ZINC001372081264 929725594 /nfs/dbraw/zinc/72/55/94/929725594.db2.gz UWPFIOWQEJKAAB-ZSHCYNCHSA-N 0 1 299.374 0.999 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@@H]1CCCN(C)C1=O ZINC001372685831 929915966 /nfs/dbraw/zinc/91/59/66/929915966.db2.gz YBOYZQXLFOVILY-QWHCGFSZSA-N 0 1 294.399 0.595 20 30 CCEDMN CCc1onc(C)c1CNC[C@H](O)CNC(=O)[C@@H](C)C#N ZINC001445162435 930057073 /nfs/dbraw/zinc/05/70/73/930057073.db2.gz SLVXEHVPTUECIX-ONGXEEELSA-N 0 1 294.355 0.272 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1c[nH]cc1C ZINC001445277910 930083336 /nfs/dbraw/zinc/08/33/36/930083336.db2.gz WMARAIYFTLUDJE-SNVBAGLBSA-N 0 1 271.748 0.756 20 30 CCEDMN COC[C@H](C)N1CCN(CCN(C)C(=O)[C@H](C)C#N)CC1 ZINC001373254139 930115160 /nfs/dbraw/zinc/11/51/60/930115160.db2.gz YXIKTXYTTJJHHZ-KGLIPLIRSA-N 0 1 296.415 0.257 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C(N)=O)nc1 ZINC001445670822 930203922 /nfs/dbraw/zinc/20/39/22/930203922.db2.gz XBUXRPCBDADRMQ-VIFPVBQESA-N 0 1 296.758 0.641 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CN(C)C(C)=O ZINC001373730598 930317984 /nfs/dbraw/zinc/31/79/84/930317984.db2.gz YLMPYVJLGAZGET-LLVKDONJSA-N 0 1 275.780 0.702 20 30 CCEDMN Cn1cc(CNC2(CNC(=O)c3c[nH]c(C#N)c3)CC2)cn1 ZINC001446162185 930355278 /nfs/dbraw/zinc/35/52/78/930355278.db2.gz FMWJJFIXANRRRQ-UHFFFAOYSA-N 0 1 298.350 0.672 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CN2CCCCC2=O)CC1 ZINC001446198768 930366458 /nfs/dbraw/zinc/36/64/58/930366458.db2.gz LJCHRPGCFKBHDS-UHFFFAOYSA-N 0 1 299.802 0.990 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001374207742 930457075 /nfs/dbraw/zinc/45/70/75/930457075.db2.gz SRRRHXQQZHIVES-NXEZZACHSA-N 0 1 273.764 0.454 20 30 CCEDMN CCC(=O)NC[C@H](O)CNCc1ccc(C#N)cc1F ZINC001374569593 930564021 /nfs/dbraw/zinc/56/40/21/930564021.db2.gz MWEMYJYORQFUNL-GFCCVEGCSA-N 0 1 279.315 0.674 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C[C@H]1CCOC1 ZINC001374646066 930605459 /nfs/dbraw/zinc/60/54/59/930605459.db2.gz LPFDUVWMLWZUIT-GHMZBOCLSA-N 0 1 276.764 0.232 20 30 CCEDMN COc1cc(CNC[C@H](C)NC(=O)[C@@H](C)C#N)sn1 ZINC001374873575 930705279 /nfs/dbraw/zinc/70/52/79/930705279.db2.gz QKRODPGNLISGFD-IUCAKERBSA-N 0 1 282.369 0.906 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCN1CCCC1=O ZINC001374978063 930741509 /nfs/dbraw/zinc/74/15/09/930741509.db2.gz GFSNAXIKNGYQQR-NSHDSACASA-N 0 1 287.791 0.846 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](CO)NCc1cccc(F)c1 ZINC001448828585 931000850 /nfs/dbraw/zinc/00/08/50/931000850.db2.gz PYYVMGFOGDESFM-GXFFZTMASA-N 0 1 279.315 0.552 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@H](C(=O)[O-])C1CC1 ZINC001602679323 971259397 /nfs/dbraw/zinc/25/93/97/971259397.db2.gz NZTHVFFMTNZHSU-LLVKDONJSA-N 0 1 252.314 0.169 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H]1CC[N@@H+]1C(C)(C)C ZINC001602682924 971270635 /nfs/dbraw/zinc/27/06/35/971270635.db2.gz WSHKDLRBWRUIBM-SNVBAGLBSA-N 0 1 252.314 0.406 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC001602723091 971392974 /nfs/dbraw/zinc/39/29/74/971392974.db2.gz LBMPXTBEFDPQDP-OUAUKWLOSA-N 0 1 297.355 0.429 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N1CC[NH+](CCCF)CC1 ZINC001602780746 971520793 /nfs/dbraw/zinc/52/07/93/971520793.db2.gz MTNYUJWJFKZMJX-CYBMUJFWSA-N 0 1 285.319 0.495 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CC)[C@@H](C)C(=O)[O-] ZINC001589719346 950402668 /nfs/dbraw/zinc/40/26/68/950402668.db2.gz DCRQRXHKXXYBAX-QWRGUYRKSA-N 0 1 269.345 0.790 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CC)[C@@H](C)C(=O)[O-] ZINC001589719346 950402682 /nfs/dbraw/zinc/40/26/82/950402682.db2.gz DCRQRXHKXXYBAX-QWRGUYRKSA-N 0 1 269.345 0.790 20 30 CCEDMN C[C@@H]1[C@@H](Nc2cnc(-c3nnn[n-]3)c(C#N)n2)CC[N@H+]1C ZINC001575922936 950655239 /nfs/dbraw/zinc/65/52/39/950655239.db2.gz AZFVQDSSEILIMB-SFYZADRCSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@@H]1[C@@H](Nc2cnc(-c3nn[n-]n3)c(C#N)n2)CC[N@@H+]1C ZINC001575922936 950655288 /nfs/dbraw/zinc/65/52/88/950655288.db2.gz AZFVQDSSEILIMB-SFYZADRCSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@@H]1[C@@H](Nc2cnc(-c3nn[n-]n3)c(C#N)n2)CC[N@H+]1C ZINC001575922936 950655305 /nfs/dbraw/zinc/65/53/05/950655305.db2.gz AZFVQDSSEILIMB-SFYZADRCSA-N 0 1 285.315 0.033 20 30 CCEDMN C[N@H+]1CCCC[C@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC001593780513 954648346 /nfs/dbraw/zinc/64/83/46/954648346.db2.gz GHJJYXWSNWLQEB-BXUZGUMPSA-N 0 1 281.356 0.839 20 30 CCEDMN N#CC1(NC(=O)C[N@H+](CC(=O)[O-])CC2CC2)CCC1 ZINC001594601732 956139332 /nfs/dbraw/zinc/13/93/32/956139332.db2.gz GEOXDRKTBIGOIK-UHFFFAOYSA-N 0 1 265.313 0.345 20 30 CCEDMN N#CC1(NC(=O)C[N@@H+](CC(=O)[O-])CC2CC2)CCC1 ZINC001594601732 956139343 /nfs/dbraw/zinc/13/93/43/956139343.db2.gz GEOXDRKTBIGOIK-UHFFFAOYSA-N 0 1 265.313 0.345 20 30 CCEDMN C[C@]1(C(=O)[O-])CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000062876798 957624313 /nfs/dbraw/zinc/62/43/13/957624313.db2.gz BUOYFHRDJKAQED-LBPRGKRZSA-N 0 1 253.302 0.203 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)C[N@@H+](C)CCc1ccccc1 ZINC001588402615 958093069 /nfs/dbraw/zinc/09/30/69/958093069.db2.gz XTIFXHDBJBKLLO-UHFFFAOYSA-N 0 1 288.347 0.707 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@@H](C)C[N@H+]1CCC[C@H](C)C1 ZINC001588407647 958101288 /nfs/dbraw/zinc/10/12/88/958101288.db2.gz SOIGULKCLJTUJI-STQMWFEESA-N 0 1 295.383 0.836 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCC[C@H]1CCC[N@H+](C)C1 ZINC001588408555 958113082 /nfs/dbraw/zinc/11/30/82/958113082.db2.gz RMXGZYKPHVSAJB-GFCCVEGCSA-N 0 1 281.356 0.448 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)C2(C(=O)[O-])CC2)C1 ZINC001588430115 958253559 /nfs/dbraw/zinc/25/35/59/958253559.db2.gz IIXLHWCMPQULIQ-JTQLQIEISA-N 0 1 250.298 0.065 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)C2(C(=O)[O-])CC2)C1 ZINC001588430115 958253576 /nfs/dbraw/zinc/25/35/76/958253576.db2.gz IIXLHWCMPQULIQ-JTQLQIEISA-N 0 1 250.298 0.065 20 30 CCEDMN C=C[C@@H]1CCCC[N@@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC001588451798 958415151 /nfs/dbraw/zinc/41/51/51/958415151.db2.gz BBENGPCOSIZBPO-MNOVXSKESA-N 0 1 252.314 0.712 20 30 CCEDMN C=C(Br)C[N@@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001573327102 958484720 /nfs/dbraw/zinc/48/47/20/958484720.db2.gz DDTOUQMPDZJFNW-WPRPVWTQSA-N 0 1 276.130 0.928 20 30 CCEDMN C=CCN1CCN(C[N@H+]2CC[C@@H](C(=O)[O-])[C@@H](C)C2)C1=O ZINC001588465586 958506804 /nfs/dbraw/zinc/50/68/04/958506804.db2.gz VDOHNGBLVBUKEQ-NWDGAFQWSA-N 0 1 281.356 0.910 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1ccc(C#N)cn1)[C@@H](C)C(=O)[O-] ZINC001588514783 958782120 /nfs/dbraw/zinc/78/21/20/958782120.db2.gz CVJPIYCGEWMKOQ-JTQLQIEISA-N 0 1 290.323 0.364 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001573301472 962627937 /nfs/dbraw/zinc/62/79/37/962627937.db2.gz CVWBFRQMIAIKKB-MNOVXSKESA-N 0 1 252.314 0.121 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)[O-] ZINC000299994085 962962554 /nfs/dbraw/zinc/96/25/54/962962554.db2.gz MNWLXCOBMOZDTD-HOSYDEDBSA-N 0 1 252.314 0.474 20 30 CCEDMN C[C@@H](C#N)OCC[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001573366111 963058016 /nfs/dbraw/zinc/05/80/16/963058016.db2.gz YOZJROXUXCYLAX-ZMLRMANQSA-N 0 1 252.314 0.958 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2CC[C@H](NC(=O)CNC(=O)[O-])C[C@@H]2C1 ZINC001573369034 963091407 /nfs/dbraw/zinc/09/14/07/963091407.db2.gz VOSLONLUPNXUGY-TUAOUCFPSA-N 0 1 281.356 0.657 20 30 CCEDMN C=CC[N@H+]1C[C@@]2(C)CN(C(=O)CNC(=O)[O-])C[C@@]2(C)C1 ZINC001573369367 963096056 /nfs/dbraw/zinc/09/60/56/963096056.db2.gz YQEPYMOXFUJZOS-OKILXGFUSA-N 0 1 281.356 0.610 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CC[N@@H+](CCOCCC#N)C1 ZINC000385164657 968126573 /nfs/dbraw/zinc/12/65/73/968126573.db2.gz AOICANQNSFELQU-GFCCVEGCSA-N 0 1 256.302 0.340 20 30 CCEDMN N#Cc1cc(C[N@@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)ccn1 ZINC001605591949 973890947 /nfs/dbraw/zinc/89/09/47/973890947.db2.gz KBVCLMXNKQAQPZ-BXUZGUMPSA-N 0 1 273.292 0.486 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC001588369240 983312302 /nfs/dbraw/zinc/31/23/02/983312302.db2.gz GUZANWGTAGZJOL-QWHCGFSZSA-N 0 1 295.383 0.979 20 30 CCEDMN C#C[C@@H](CO)[NH2+]Cc1oc(Br)cc1C(=O)[O-] ZINC001588370593 983320260 /nfs/dbraw/zinc/32/02/60/983320260.db2.gz IGWIWEZUTZZQAD-LURJTMIESA-N 0 1 288.097 0.824 20 30 CCEDMN C#CC[C@@H]1CC[N@H+]([C@@H]2CCCN(CCC(=O)[O-])C2=O)C1 ZINC001588433783 983468612 /nfs/dbraw/zinc/46/86/12/983468612.db2.gz CNVNPXVKKSOSKZ-CHWSQXEVSA-N 0 1 278.352 0.797 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588453704 983477009 /nfs/dbraw/zinc/47/70/09/983477009.db2.gz OCRIQWKJSSSHNQ-NEPJUHHUSA-N 0 1 264.325 0.265 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[C@@H]([N@H+]2CC[C@@H](O)C2)C1 ZINC001588613767 983705178 /nfs/dbraw/zinc/70/51/78/983705178.db2.gz CVXKUGYGOKZWJH-VXGBXAGGSA-N 0 1 282.340 0.075 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N[C@H](C)CCN1CC[NH+](C)CC1 ZINC001588619161 983715083 /nfs/dbraw/zinc/71/50/83/983715083.db2.gz MJUAFUPYCVJXDO-CYBMUJFWSA-N 0 1 297.399 0.550 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NC[C@@H]1CCC[N@@H+]1CCCO ZINC001588624400 983732054 /nfs/dbraw/zinc/73/20/54/983732054.db2.gz HTBPMFXUJRBMAL-LBPRGKRZSA-N 0 1 284.356 0.370 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](C(=O)NCC2CC2)C1 ZINC001588662543 983789815 /nfs/dbraw/zinc/78/98/15/983789815.db2.gz BMFROKOKUUUXSQ-NEPJUHHUSA-N 0 1 266.341 0.864 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@@H+]1CCC[C@@](O)(Cc2nc(CC)no2)C1 ZINC001588664076 983798333 /nfs/dbraw/zinc/79/83/33/983798333.db2.gz SIZROTNLKBRAKX-IINYFYTJSA-N 0 1 295.339 0.641 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@](O)(Cc2nc(CC)no2)C1 ZINC001588664076 983798336 /nfs/dbraw/zinc/79/83/36/983798336.db2.gz SIZROTNLKBRAKX-IINYFYTJSA-N 0 1 295.339 0.641 20 30 CCEDMN C=C[C@H](C(=O)[O-])N1CCC(C(N)=O)([NH+]2CCCCC2)CC1 ZINC001588664087 983798377 /nfs/dbraw/zinc/79/83/77/983798377.db2.gz SMBKORFJZVHROK-GFCCVEGCSA-N 0 1 295.383 0.431 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CC[C@@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC001588664150 983798827 /nfs/dbraw/zinc/79/88/27/983798827.db2.gz UAUWRYWUWXBOPX-VXNVDRBHSA-N 0 1 265.269 0.316 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@@H+]1CCN(c2cnccn2)[C@@H](C)C1 ZINC001588670130 983818841 /nfs/dbraw/zinc/81/88/41/983818841.db2.gz WTIZQEKWDFETRR-QWRGUYRKSA-N 0 1 262.313 0.626 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC001588692534 983883781 /nfs/dbraw/zinc/88/37/81/983883781.db2.gz DEGCHNPIOWQPCO-VXGBXAGGSA-N 0 1 299.371 0.378 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCN2C(=O)OCC[C@H]2C1 ZINC001588729385 983989937 /nfs/dbraw/zinc/98/99/37/983989937.db2.gz JPDNQZNMNAOSRF-UWVGGRQHSA-N 0 1 254.286 0.542 20 30 CCEDMN C=CC[C@H]1CCC[N@H+](CC(=O)NCCC(=O)[O-])C1 ZINC001588771121 984138092 /nfs/dbraw/zinc/13/80/92/984138092.db2.gz OOJKDDFOCGMNIR-NSHDSACASA-N 0 1 254.330 0.865 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+](C)C/C=C(\C)C(=O)[O-])C1=O ZINC001588839156 984344744 /nfs/dbraw/zinc/34/47/44/984344744.db2.gz PHQAAXRCHVGMGI-IGLBNKAOSA-N 0 1 252.314 0.736 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1[C@H](C)C[C@@H](C(=O)[O-])C[C@@H]1C ZINC001588843679 984353627 /nfs/dbraw/zinc/35/36/27/984353627.db2.gz FNADQBIEPRIAOO-JGPRNRPPSA-N 0 1 297.355 0.572 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001588868883 984435835 /nfs/dbraw/zinc/43/58/35/984435835.db2.gz FGMPIIPQHZEAMH-MNOVXSKESA-N 0 1 271.313 0.527 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001588868883 984435838 /nfs/dbraw/zinc/43/58/38/984435838.db2.gz FGMPIIPQHZEAMH-MNOVXSKESA-N 0 1 271.313 0.527 20 30 CCEDMN CC#CCCNC(=O)N1CC[N@@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588926966 984595200 /nfs/dbraw/zinc/59/52/00/984595200.db2.gz GCNSQTDABKVPIK-NSHDSACASA-N 0 1 267.329 0.058 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC001598469188 991997175 /nfs/dbraw/zinc/99/71/75/991997175.db2.gz YJRBDMZRDXOYNE-NSHDSACASA-N 0 1 299.396 0.641 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)CC(C)(C)C#N)C[C@H]1C(=O)[O-] ZINC001598572253 993217153 /nfs/dbraw/zinc/21/71/53/993217153.db2.gz HYGNQURLYJWVAN-BDAKNGLRSA-N 0 1 254.286 0.627 20 30 CCEDMN C[N@H+]1CCN(C(=O)NCC2(CC#N)CC2)C[C@H](C(=O)[O-])C1 ZINC001598605762 993652481 /nfs/dbraw/zinc/65/24/81/993652481.db2.gz CROFNCXFJMWDSH-LLVKDONJSA-N 0 1 294.355 0.338 20 30 CCEDMN CN1CC[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)CCC1=O ZINC001598611725 993789745 /nfs/dbraw/zinc/78/97/45/993789745.db2.gz MBOBQDQKHXERFX-AWEZNQCLSA-N 0 1 287.319 0.848 20 30 CCEDMN C[N@H+]1CCN(c2ccc(C#N)cn2)C[C@@H](C(=O)[O-])C1 ZINC001598622750 994031927 /nfs/dbraw/zinc/03/19/27/994031927.db2.gz XVMUAXMZUXMYSI-NSHDSACASA-N 0 1 260.297 0.406 20 30 CCEDMN CNC(=O)[C@H]1CC[N@H+]([C@@H](C(=O)[O-])c2cccc(C#N)c2)C1 ZINC001598638374 994277894 /nfs/dbraw/zinc/27/78/94/994277894.db2.gz AXVUEHTXZDGSSK-QWHCGFSZSA-N 0 1 287.319 0.752 20 30 CCEDMN COC(=O)[C@@H]1CC[N@@H+](CCO[C@H](C)C#N)[C@@H](C(=O)[O-])C1 ZINC001598800056 996132568 /nfs/dbraw/zinc/13/25/68/996132568.db2.gz NAAYBMCFLPLSML-GMTAPVOTSA-N 0 1 284.312 0.253 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CCC[N@H+]1C[C@@H](O)CC1(C#N)CCC1 ZINC001599193239 997164880 /nfs/dbraw/zinc/16/48/80/997164880.db2.gz BVYFARGPWJHRPM-SWLSCSKDSA-N 0 1 296.367 0.997 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)CC1(C)COC1 ZINC000599574551 361750195 /nfs/dbraw/zinc/75/01/95/361750195.db2.gz UMRGANKCYQPJEV-CQSZACIVSA-N 0 1 265.357 0.763 20 30 CCEDMN CC[C@@H]1CN(CC)CCN1C(=O)Cn1cnc(C#N)n1 ZINC000456377517 529386787 /nfs/dbraw/zinc/38/67/87/529386787.db2.gz LOWKLNBUAWUFLN-LLVKDONJSA-N 0 1 276.344 0.092 20 30 CCEDMN CC[C@]1(O)CCN(C([O-])=[NH+][C@H]2CCn3ccnc3C2)C1 ZINC000330281432 529434265 /nfs/dbraw/zinc/43/42/65/529434265.db2.gz UQNDCOQXASWTCR-FZMZJTMJSA-N 0 1 278.356 0.959 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000352273586 529490719 /nfs/dbraw/zinc/49/07/19/529490719.db2.gz OTTFBWIZOAMCIS-OCCSQVGLSA-N 0 1 266.389 0.678 20 30 CCEDMN CCn1nc(C)cc1C(=O)NC1(C#N)CCN(C)CC1 ZINC000112021539 349777990 /nfs/dbraw/zinc/77/79/90/349777990.db2.gz FRXKVONETMTVCQ-UHFFFAOYSA-N 0 1 275.356 0.929 20 30 CCEDMN C/C=C/CNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000600756016 362055908 /nfs/dbraw/zinc/05/59/08/362055908.db2.gz KPEJTZZMYADQLU-NSCUHMNNSA-N 0 1 265.338 0.893 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC000601028244 362134096 /nfs/dbraw/zinc/13/40/96/362134096.db2.gz HTLQSFKRJJJFKG-ZDUSSCGKSA-N 0 1 290.371 0.430 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC000601028244 362134098 /nfs/dbraw/zinc/13/40/98/362134098.db2.gz HTLQSFKRJJJFKG-ZDUSSCGKSA-N 0 1 290.371 0.430 20 30 CCEDMN CN(CC#N)C(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000352105249 529824520 /nfs/dbraw/zinc/82/45/20/529824520.db2.gz GCDSFCXUDFFTRK-UHFFFAOYSA-N 0 1 273.340 0.429 20 30 CCEDMN CN([C@@H]1CCC[C@H]1C#N)S(=O)(=O)c1ncc[nH]1 ZINC000601510677 362296250 /nfs/dbraw/zinc/29/62/50/362296250.db2.gz ZCJRFTXXMLZUJD-DTWKUNHWSA-N 0 1 254.315 0.722 20 30 CCEDMN CCN1CCN(CC(=O)N(C)[C@@H]2CCC[C@@H]2C#N)CC1 ZINC000601808916 362398696 /nfs/dbraw/zinc/39/86/96/362398696.db2.gz PORPMHWIGWUPKP-ZIAGYGMSSA-N 0 1 278.400 0.775 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N[C@@H]2CC[C@H](C#N)C2)C1 ZINC000333689934 530070542 /nfs/dbraw/zinc/07/05/42/530070542.db2.gz FYLDUHOQTMFKNA-XQQFMLRXSA-N 0 1 279.388 0.224 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)CCCc1nn[nH]n1 ZINC000602154671 362529954 /nfs/dbraw/zinc/52/99/54/362529954.db2.gz GYKIMWVEVJWCCJ-UHFFFAOYSA-N 0 1 250.306 0.531 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H](C)CCC#N)CC1 ZINC000602430425 362612530 /nfs/dbraw/zinc/61/25/30/362612530.db2.gz MFKXOTCUQGFSJD-CQSZACIVSA-N 0 1 278.400 0.846 20 30 CCEDMN C[C@]1(C(=O)NCC2(C#N)CCCCC2)CNCCO1 ZINC000602521178 362654298 /nfs/dbraw/zinc/65/42/98/362654298.db2.gz KHTGLRDUHVUTFU-CYBMUJFWSA-N 0 1 265.357 0.955 20 30 CCEDMN N#Cc1cccc(CN[C@H]2CC(=O)N(C3CC3)C2)n1 ZINC000602586954 362693349 /nfs/dbraw/zinc/69/33/49/362693349.db2.gz FGOCSVRIUMQZTK-LBPRGKRZSA-N 0 1 256.309 0.806 20 30 CCEDMN CN(Cc1cccc(C#N)n1)[C@@H]1CCN2CCO[C@H]1C2 ZINC000602673406 362743434 /nfs/dbraw/zinc/74/34/34/362743434.db2.gz UJYSYTQLFVLMDJ-CABCVRRESA-N 0 1 272.352 0.858 20 30 CCEDMN N#Cc1c(F)cccc1CN1CC(N2CC[C@@H](O)C2)C1 ZINC000602709180 362762016 /nfs/dbraw/zinc/76/20/16/362762016.db2.gz BTXABWQDCNAFGC-CYBMUJFWSA-N 0 1 275.327 0.948 20 30 CCEDMN CO[C@H](CN1CCN(CCC#N)CC1)C1CCOCC1 ZINC000602748686 362782038 /nfs/dbraw/zinc/78/20/38/362782038.db2.gz QFZVQWIKFMZNKH-OAHLLOKOSA-N 0 1 281.400 0.959 20 30 CCEDMN C[C@@H]1CN(C[C@@H]2COCCO2)C[C@@H](C)N1CC#N ZINC000602848234 362835957 /nfs/dbraw/zinc/83/59/57/362835957.db2.gz FYFRXUJMXGUZDL-JHJVBQTASA-N 0 1 253.346 0.320 20 30 CCEDMN C[C@@H]1CN(C[C@@H]2CCc3ncnn32)C[C@@H](C)N1CC#N ZINC000602850829 362837246 /nfs/dbraw/zinc/83/72/46/362837246.db2.gz SOCBQXHONMPKMM-UPJWGTAASA-N 0 1 274.372 0.684 20 30 CCEDMN CC(=O)NCCCN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602853722 362840685 /nfs/dbraw/zinc/84/06/85/362840685.db2.gz LCBPCXDCTZWWBU-TXEJJXNPSA-N 0 1 252.362 0.431 20 30 CCEDMN Cc1ccc2nc(NC(=O)Cn3cnc(C#N)n3)[nH]c2c1 ZINC000344486454 137197024 /nfs/dbraw/zinc/19/70/24/137197024.db2.gz RRMJRXXRKACATO-UHFFFAOYSA-N 0 1 281.279 0.973 20 30 CCEDMN C[C@@H](Oc1ccccc1C#N)C(=O)NCc1nnc[nH]1 ZINC000037920714 348219049 /nfs/dbraw/zinc/21/90/49/348219049.db2.gz XLAZGCQVKPLUBV-SECBINFHSA-N 0 1 271.280 0.760 20 30 CCEDMN CCN(C(=O)N=c1[n-]n(C)cc1C#N)[C@@H]1CC[N@@H+](C)C1 ZINC000602886047 362864275 /nfs/dbraw/zinc/86/42/75/362864275.db2.gz IQWCGRYXFPAOSK-LLVKDONJSA-N 0 1 276.344 0.272 20 30 CCEDMN C[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H]1CO ZINC000602886087 362864855 /nfs/dbraw/zinc/86/48/55/362864855.db2.gz IYJNPGPHDANXPO-ONGXEEELSA-N 0 1 277.328 0.196 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@]3(CC3(F)F)C2)[nH]1 ZINC000602886147 362864972 /nfs/dbraw/zinc/86/49/72/362864972.db2.gz JQXULUYKVZBRPT-LLVKDONJSA-N 0 1 281.266 0.977 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC[C@H]2CCCOC2)[nH]1 ZINC000602886640 362866022 /nfs/dbraw/zinc/86/60/22/362866022.db2.gz KWTGWWZWNYFMMA-SNVBAGLBSA-N 0 1 277.328 0.652 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@H](CCCO)C2)[nH]1 ZINC000602878907 362859160 /nfs/dbraw/zinc/85/91/60/362859160.db2.gz CIJJQLCEZIUUBW-JTQLQIEISA-N 0 1 277.328 0.340 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2OC3CCC2CC3)[nH]1 ZINC000602880628 362860622 /nfs/dbraw/zinc/86/06/22/362860622.db2.gz HKBMJOWVGDRVKV-UHFFFAOYSA-N 0 1 261.285 0.804 20 30 CCEDMN CC[C@]1(O)CCCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000602983267 362922170 /nfs/dbraw/zinc/92/21/70/362922170.db2.gz GPBNREBUXVCMOG-AWEZNQCLSA-N 0 1 265.357 0.786 20 30 CCEDMN C=CCNC(=O)CN1CCCC[C@H]1CC(=O)OC ZINC000048739383 348424658 /nfs/dbraw/zinc/42/46/58/348424658.db2.gz UVRCKZAOWWVTKU-NSHDSACASA-N 0 1 254.330 0.706 20 30 CCEDMN CN(CC(=O)N(CC#N)C1CC1)c1ncnc2[nH]cnc21 ZINC000065894772 348727660 /nfs/dbraw/zinc/72/76/60/348727660.db2.gz NSMADNRRBUQKQT-UHFFFAOYSA-N 0 1 285.311 0.304 20 30 CCEDMN C#CCNC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000064514240 348701349 /nfs/dbraw/zinc/70/13/49/348701349.db2.gz JIHNLVDDMQFOKY-UHFFFAOYSA-N 0 1 270.292 0.432 20 30 CCEDMN CNS(=O)(=O)[C@H]1CCCN(CCCSCC#N)C1 ZINC000072518236 348923140 /nfs/dbraw/zinc/92/31/40/348923140.db2.gz FIUHCDFTAJRFAN-NSHDSACASA-N 0 1 291.442 0.647 20 30 CCEDMN CC[C@@H](O)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000075636979 349043507 /nfs/dbraw/zinc/04/35/07/349043507.db2.gz KYQLGCFOUXYNKC-CYBMUJFWSA-N 0 1 260.341 0.846 20 30 CCEDMN C[C@H](c1ccc(-n2cncn2)cc1)N(C)CC(=O)NCC#N ZINC000076668120 349089667 /nfs/dbraw/zinc/08/96/67/349089667.db2.gz JSZODVLUTJBTNF-GFCCVEGCSA-N 0 1 298.350 0.900 20 30 CCEDMN CC[C@@H](CO)N1CCN(CC(=O)N(CC)CCC#N)CC1 ZINC000075961948 349059808 /nfs/dbraw/zinc/05/98/08/349059808.db2.gz YSGZCJFNZBMDAM-AWEZNQCLSA-N 0 1 296.415 0.137 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)C[C@H](O)C1CC1 ZINC000079770083 349190136 /nfs/dbraw/zinc/19/01/36/349190136.db2.gz BPFZGVFAYKTFSE-GXTWGEPZSA-N 0 1 267.373 0.744 20 30 CCEDMN N#C[C@H]1CN(S(=O)(=O)c2ccccc2O)CCO1 ZINC000128019691 350183324 /nfs/dbraw/zinc/18/33/24/350183324.db2.gz JUPFVBFJBFQKAN-VIFPVBQESA-N 0 1 268.294 0.305 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)N1CC(N(C)C)C1 ZINC000134969582 350381292 /nfs/dbraw/zinc/38/12/92/350381292.db2.gz ABQPBHCLDVVAOY-UHFFFAOYSA-N 0 1 289.335 0.718 20 30 CCEDMN Cc1ccnc(N2CCCN(CCO)CC2)c1C#N ZINC000135212179 350388185 /nfs/dbraw/zinc/38/81/85/350388185.db2.gz UVTVWWFHYVEPAQ-UHFFFAOYSA-N 0 1 260.341 0.766 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2c[nH]nn2)C[C@@H](C)N1CC#N ZINC000603092089 362958729 /nfs/dbraw/zinc/95/87/29/362958729.db2.gz MLKDUGIIVLDDDC-GHMZBOCLSA-N 0 1 276.344 0.182 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnn[nH]2)C[C@@H](C)N1CC#N ZINC000603092089 362958732 /nfs/dbraw/zinc/95/87/32/362958732.db2.gz MLKDUGIIVLDDDC-GHMZBOCLSA-N 0 1 276.344 0.182 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C2CCOCC2)CC1 ZINC000154853051 350602503 /nfs/dbraw/zinc/60/25/03/350602503.db2.gz PMLGUEALDACECI-UHFFFAOYSA-N 0 1 280.368 0.350 20 30 CCEDMN Cc1cc(NC(=O)N2CCCN(C)CC2)ncc1C#N ZINC000603116695 362968701 /nfs/dbraw/zinc/96/87/01/362968701.db2.gz ARYPOXOTSBWNBD-UHFFFAOYSA-N 0 1 273.340 0.853 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)C[C@H](O)C1CC1 ZINC000160814044 350707102 /nfs/dbraw/zinc/70/71/02/350707102.db2.gz MWIZNGABDXOXEK-ONGXEEELSA-N 0 1 269.345 0.089 20 30 CCEDMN CC1CC[NH+](CC(=O)Nc2nc(C#N)c(C#N)[n-]2)CC1 ZINC000162713430 350751283 /nfs/dbraw/zinc/75/12/83/350751283.db2.gz UCBHNDPEWZLSRZ-UHFFFAOYSA-N 0 1 272.312 0.823 20 30 CCEDMN CN(CCC#N)C(=O)CCN1C[C@@H](CO)OC(C)(C)C1 ZINC000163111527 350760495 /nfs/dbraw/zinc/76/04/95/350760495.db2.gz YMUKJIZLMDITSI-LBPRGKRZSA-N 0 1 283.372 0.220 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@H](C)[C@H]2C)CC1 ZINC000166163659 350796909 /nfs/dbraw/zinc/79/69/09/350796909.db2.gz VGTDIUDEWKUZKS-OLZOCXBDSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCCO[C@H](C)C1 ZINC000170767019 350859776 /nfs/dbraw/zinc/85/97/76/350859776.db2.gz IYNICLHWLKOHRJ-MNOVXSKESA-N 0 1 269.345 0.498 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C)CCC(=O)NC ZINC000170783311 350860466 /nfs/dbraw/zinc/86/04/66/350860466.db2.gz GCBVRPXZWXJBBI-UHFFFAOYSA-N 0 1 255.362 0.479 20 30 CCEDMN CNC(C)(C)c1cn(CC(=O)NC2(C#N)CCC2)nn1 ZINC000603199603 362997260 /nfs/dbraw/zinc/99/72/60/362997260.db2.gz CPHUSARGNRZCMG-UHFFFAOYSA-N 0 1 276.344 0.295 20 30 CCEDMN N#Cc1ccncc1C(=O)N[C@@H](CO)CN1CCCCC1 ZINC000413799054 233605726 /nfs/dbraw/zinc/60/57/26/233605726.db2.gz MRVFFVYFKNNMRG-CYBMUJFWSA-N 0 1 288.351 0.530 20 30 CCEDMN CN[C@H](C)c1cn(CC(=O)NCc2cccc(C#N)c2)nn1 ZINC000603211926 363002000 /nfs/dbraw/zinc/00/20/00/363002000.db2.gz WSLSEKIXOXPRGY-LLVKDONJSA-N 0 1 298.350 0.747 20 30 CCEDMN N#Cc1csc(Cn2cc(CNC3CC3)nn2)n1 ZINC000603249079 363022350 /nfs/dbraw/zinc/02/23/50/363022350.db2.gz NRGUGAKDYJWTCB-UHFFFAOYSA-N 0 1 260.326 0.907 20 30 CCEDMN CCNCc1cn(C[C@H](O)CC(C)(C)C#N)nn1 ZINC000603268561 363036930 /nfs/dbraw/zinc/03/69/30/363036930.db2.gz XCLVQKQHYCZROE-LLVKDONJSA-N 0 1 251.334 0.688 20 30 CCEDMN C=CCOCCCNC(=O)CSc1nnc(C)[nH]1 ZINC000618523496 366037505 /nfs/dbraw/zinc/03/75/05/366037505.db2.gz JABIZJAESUYMIL-UHFFFAOYSA-N 0 1 270.358 0.914 20 30 CCEDMN C=CCOCCCNC(=O)CSc1nc(C)n[nH]1 ZINC000618523496 366037514 /nfs/dbraw/zinc/03/75/14/366037514.db2.gz JABIZJAESUYMIL-UHFFFAOYSA-N 0 1 270.358 0.914 20 30 CCEDMN Cn1cc(CN[C@@H]2CCCS(=O)(=O)C2)cc1C#N ZINC000232315281 352143318 /nfs/dbraw/zinc/14/33/18/352143318.db2.gz BLCMDHHQJADOTB-LLVKDONJSA-N 0 1 267.354 0.564 20 30 CCEDMN CC[C@@H]1CN(C(=O)c2cc(C#N)c[nH]2)CCN1C[C@H](C)O ZINC000246626064 352376923 /nfs/dbraw/zinc/37/69/23/352376923.db2.gz ARNBCARJGUDXLR-WCQYABFASA-N 0 1 290.367 0.804 20 30 CCEDMN C[C@H]1CN(c2nccnc2C#N)CCN1CCCCO ZINC000268324902 352825355 /nfs/dbraw/zinc/82/53/55/352825355.db2.gz LVKVHSHHCSNIOR-LBPRGKRZSA-N 0 1 275.356 0.631 20 30 CCEDMN C[C@H](O)CN1CCN(c2cnccc2C#N)C[C@@H]1C ZINC000270004575 352895043 /nfs/dbraw/zinc/89/50/43/352895043.db2.gz ZHQWTSYBYFUCOI-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1ccc(C(=O)NC)cc1 ZINC000272885019 353103570 /nfs/dbraw/zinc/10/35/70/353103570.db2.gz WPSOITBSAJXFEV-UHFFFAOYSA-N 0 1 296.392 0.737 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1nc(C)ccc1O ZINC000272857866 353101312 /nfs/dbraw/zinc/10/13/12/353101312.db2.gz PYRJZEHORDUZDS-UHFFFAOYSA-N 0 1 270.354 0.786 20 30 CCEDMN Cc1cc(C#N)nc(N2CCC[C@@H](c3nc(=O)[nH][nH]3)C2)n1 ZINC000286573103 353596395 /nfs/dbraw/zinc/59/63/95/353596395.db2.gz VVDLKRKOQBAQKQ-SECBINFHSA-N 0 1 285.311 0.452 20 30 CCEDMN N#Cc1cnc(N2CCC[C@@H](c3n[nH]c(=O)o3)C2)cn1 ZINC000285805211 353561321 /nfs/dbraw/zinc/56/13/21/353561321.db2.gz YIDKMNSRXUDSRV-MRVPVSSYSA-N 0 1 272.268 0.409 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](C(=O)OC)C2)CC1 ZINC000299168657 353996632 /nfs/dbraw/zinc/99/66/32/353996632.db2.gz SDMQCKLTHBWFMY-ZDUSSCGKSA-N 0 1 278.352 0.353 20 30 CCEDMN C#CCCN1CCN(c2ncnc3c2ncn3CC)CC1 ZINC000299625187 354033382 /nfs/dbraw/zinc/03/33/82/354033382.db2.gz PNEVQRWTUALRAI-UHFFFAOYSA-N 0 1 284.367 0.992 20 30 CCEDMN C=CC[C@H](CO)NCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000321086715 354228397 /nfs/dbraw/zinc/22/83/97/354228397.db2.gz COKBZTNEJAJPLW-CYBMUJFWSA-N 0 1 294.376 0.859 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CC(C)(C#N)C1 ZINC000330093751 354292922 /nfs/dbraw/zinc/29/29/22/354292922.db2.gz ZZJWNABWXDIPDY-LLVKDONJSA-N 0 1 251.330 0.327 20 30 CCEDMN COC1(C)CN(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)C1 ZINC000330275405 354315296 /nfs/dbraw/zinc/31/52/96/354315296.db2.gz LLQWCSMTVXFBAW-SNVBAGLBSA-N 0 1 264.329 0.833 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000330296752 354318344 /nfs/dbraw/zinc/31/83/44/354318344.db2.gz KJHWHXXAWJCUFM-RNJOBUHISA-N 0 1 290.367 0.815 20 30 CCEDMN C[C@]1(O)CCN(CC(=O)NCc2cccc(C#N)c2)C1 ZINC000331110087 354452491 /nfs/dbraw/zinc/45/24/91/354452491.db2.gz IOZHJIBYCUVOBJ-HNNXBMFYSA-N 0 1 273.336 0.631 20 30 CCEDMN Cc1c(CN(C)C(=O)N=c2[nH]n(C)cc2C#N)cnn1C ZINC000619203902 366216352 /nfs/dbraw/zinc/21/63/52/366216352.db2.gz DFQFDAHQWJZVCA-UHFFFAOYSA-N 0 1 287.327 0.420 20 30 CCEDMN Cc1nc(=NC(=O)c2ncc(C#N)cc2C)[nH]n1C ZINC000557828606 291045468 /nfs/dbraw/zinc/04/54/68/291045468.db2.gz YFAZGVGRARLQHP-UHFFFAOYSA-N 0 1 256.269 0.373 20 30 CCEDMN CC(C)[C@H]1CN(C)CCN1C(=O)[C@@H](C)n1cnc(C#N)n1 ZINC000337009256 355247359 /nfs/dbraw/zinc/24/73/59/355247359.db2.gz DPQCEFOQBFCICB-VXGBXAGGSA-N 0 1 290.371 0.509 20 30 CCEDMN C=CCN1CC[C@H](N(CCOC)[C@H]2CCO[C@@H]2C)C1=O ZINC000337214349 355285112 /nfs/dbraw/zinc/28/51/12/355285112.db2.gz XIRWVSKRVDHIBW-RDBSUJKOSA-N 0 1 282.384 0.899 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCN1CCN(C)CC1 ZINC000343609145 355776300 /nfs/dbraw/zinc/77/63/00/355776300.db2.gz WTGWEVINIRRZOJ-AWEZNQCLSA-N 0 1 253.390 0.952 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CCc3nc[nH]c3C2)[nH]1 ZINC000336334119 283130394 /nfs/dbraw/zinc/13/03/94/283130394.db2.gz VELVZLXNFMIGIF-MRVPVSSYSA-N 0 1 255.281 0.897 20 30 CCEDMN COc1cc(C#N)ccc1OCCN(C)[C@H]1COC[C@H]1O ZINC000352798855 356082441 /nfs/dbraw/zinc/08/24/41/356082441.db2.gz DPNIIADNYKTZCJ-QWHCGFSZSA-N 0 1 292.335 0.637 20 30 CCEDMN N#CC[C@H](CC(=O)NCc1nn[nH]n1)c1ccccc1 ZINC000619435990 366251802 /nfs/dbraw/zinc/25/18/02/366251802.db2.gz YZZCRJMKMMBIFC-LLVKDONJSA-N 0 1 270.296 0.903 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCC(C)(C)C#N)[C@@H](C)C1 ZINC000355079903 356571023 /nfs/dbraw/zinc/57/10/23/356571023.db2.gz JMKZJXISIBABAG-NWDGAFQWSA-N 0 1 282.388 0.633 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@]2(C#N)CCSC2)CC1 ZINC000610414529 363556289 /nfs/dbraw/zinc/55/62/89/363556289.db2.gz JOCMOZUXQMOEDQ-CYBMUJFWSA-N 0 1 282.413 0.139 20 30 CCEDMN C[C@@H](N[C@@H](C)C(=O)NC(N)=O)c1cccc(C#N)c1 ZINC000610343820 363552364 /nfs/dbraw/zinc/55/23/64/363552364.db2.gz SVZLCYFONRZSMM-BDAKNGLRSA-N 0 1 260.297 0.792 20 30 CCEDMN CN(C(=O)c1cnn(C)c1C#N)[C@H]1CCCN(C)C1 ZINC000356201299 356721498 /nfs/dbraw/zinc/72/14/98/356721498.db2.gz HYCLWBBJXVYACN-JTQLQIEISA-N 0 1 261.329 0.458 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](OC)C1 ZINC000361363252 357046055 /nfs/dbraw/zinc/04/60/55/357046055.db2.gz YFLCCSLLJSANDE-UWVGGRQHSA-N 0 1 255.318 0.107 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(O[C@H]2CCOC2)CC1 ZINC000361423495 357047055 /nfs/dbraw/zinc/04/70/55/357047055.db2.gz CDQXPRRDUGVZBD-JSGCOSHPSA-N 0 1 282.384 0.947 20 30 CCEDMN Cc1cc2[nH]ncc2cc1NC(=O)Cn1cnc(C#N)n1 ZINC000360433660 357011078 /nfs/dbraw/zinc/01/10/78/357011078.db2.gz MVLOSYJUBPPIPM-UHFFFAOYSA-N 0 1 281.279 0.973 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2(O)CCCCC2)[nH]1 ZINC000610561931 363575952 /nfs/dbraw/zinc/57/59/52/363575952.db2.gz SVIJGSBGJPVLCA-UHFFFAOYSA-N 0 1 277.328 0.530 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCC(=O)NC(C)(C)C)[nH]1 ZINC000610565602 363580757 /nfs/dbraw/zinc/58/07/57/363580757.db2.gz ZVWRAYAQAMSIKR-UHFFFAOYSA-N 0 1 292.343 0.140 20 30 CCEDMN C=CCCCN(C)S(=O)(=O)C[C@H]1CNCCO1 ZINC000383996845 357719692 /nfs/dbraw/zinc/71/96/92/357719692.db2.gz GUGCCUDOIMRURJ-LLVKDONJSA-N 0 1 262.375 0.203 20 30 CCEDMN N#C[C@@H]1CC[C@H](N2CCC[C@H](S(N)(=O)=O)C2)C1 ZINC000407993926 357856489 /nfs/dbraw/zinc/85/64/89/357856489.db2.gz WNQSIERLVZJDEF-VWYCJHECSA-N 0 1 257.359 0.432 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2(C)CCOCC2)[nH]1 ZINC000610652575 363590569 /nfs/dbraw/zinc/59/05/69/363590569.db2.gz HSMUBHGDLOSKDG-UHFFFAOYSA-N 0 1 277.328 0.652 20 30 CCEDMN CO[C@@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)C1(C)C ZINC000610652608 363590892 /nfs/dbraw/zinc/59/08/92/363590892.db2.gz ZHPPRIMRBDUTKU-NXEZZACHSA-N 0 1 277.328 0.649 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CCCN(CCCO)C2)o1 ZINC000452386348 236241938 /nfs/dbraw/zinc/24/19/38/236241938.db2.gz WYVGUMZHLYDQDY-LLVKDONJSA-N 0 1 277.324 0.728 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](COC(F)F)C1 ZINC000491178645 358292747 /nfs/dbraw/zinc/29/27/47/358292747.db2.gz AXKLMJFPZDGOQI-NXEZZACHSA-N 0 1 260.284 0.685 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000579869548 359058265 /nfs/dbraw/zinc/05/82/65/359058265.db2.gz FCQQBADAILABQS-JOYOIKCWSA-N 0 1 262.317 0.846 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](c3nccn3C)C2)C1=O ZINC000584788709 359208338 /nfs/dbraw/zinc/20/83/38/359208338.db2.gz OYGLVXLTZBRROZ-STQMWFEESA-N 0 1 274.368 0.996 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@]3(C2)CCCOC3)[nH]1 ZINC000585797236 359277490 /nfs/dbraw/zinc/27/74/90/359277490.db2.gz JJWMHZIYZKGPCN-AWEZNQCLSA-N 0 1 289.339 0.748 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2ncccc2F)[nH]1 ZINC000611050012 363653799 /nfs/dbraw/zinc/65/37/99/363653799.db2.gz WNQHJNFHANHWDR-UHFFFAOYSA-N 0 1 274.259 0.569 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCc3nc[nH]c3C2)[n-]1 ZINC000589332691 359379299 /nfs/dbraw/zinc/37/92/99/359379299.db2.gz OAAUXQYIZUKTHX-VIFPVBQESA-N 0 1 285.311 0.116 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCc3nc[nH]c3C2)[nH]1 ZINC000589332691 359379302 /nfs/dbraw/zinc/37/93/02/359379302.db2.gz OAAUXQYIZUKTHX-VIFPVBQESA-N 0 1 285.311 0.116 20 30 CCEDMN N#CC1(C(=O)N2CC[C@@H](N3CC[C@@H](O)C3)C2)CCC1 ZINC000588862920 359343603 /nfs/dbraw/zinc/34/36/03/359343603.db2.gz LCCKTPAKMCRYON-VXGBXAGGSA-N 0 1 263.341 0.348 20 30 CCEDMN CN1C[C@H]2[C@@H](CCN2S(=O)(=O)c2cccnc2C#N)C1 ZINC000589928229 359410828 /nfs/dbraw/zinc/41/08/28/359410828.db2.gz DYFVKJMNJRBRTR-JQWIXIFHSA-N 0 1 292.364 0.278 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000590005650 359416219 /nfs/dbraw/zinc/41/62/19/359416219.db2.gz DSMUJTFTQUWFGM-BIGJJFBESA-N 0 1 279.384 0.599 20 30 CCEDMN N#CC1CN(C(=O)CCNC(=O)c2n[nH]c3ccccc32)C1 ZINC000590490189 359477307 /nfs/dbraw/zinc/47/73/07/359477307.db2.gz CPOOIVQPYLTWBN-UHFFFAOYSA-N 0 1 297.318 0.665 20 30 CCEDMN N#Cc1nc(NC[C@@]2(O)CCOC2)sc1Cl ZINC000590581808 359490230 /nfs/dbraw/zinc/49/02/30/359490230.db2.gz OGLHCEMFKQSALO-VIFPVBQESA-N 0 1 259.718 0.653 20 30 CCEDMN C=CCCCS(=O)(=O)NCc1n[nH]c(CC)n1 ZINC000296925635 200036377 /nfs/dbraw/zinc/03/63/77/200036377.db2.gz FYHQOBUURUJMDC-UHFFFAOYSA-N 0 1 258.347 0.753 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCCNC[C@@H]1C(=O)OC ZINC000592554389 359884999 /nfs/dbraw/zinc/88/49/99/359884999.db2.gz MALUYJMFRJZJMC-NWDGAFQWSA-N 0 1 284.356 0.331 20 30 CCEDMN CCN1C(=O)[C@H]2CN([C@H](C)CCC#N)CCN2C1=O ZINC000593015116 360014199 /nfs/dbraw/zinc/01/41/99/360014199.db2.gz MXYWABBHZBCABI-GHMZBOCLSA-N 0 1 264.329 0.647 20 30 CCEDMN CC[C@H](C#N)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000593466458 360094291 /nfs/dbraw/zinc/09/42/91/360094291.db2.gz WJRCCRFKBZYHNH-VXGBXAGGSA-N 0 1 252.362 0.288 20 30 CCEDMN N#Cc1ccc(CN2CC(N3CC[C@@H](O)C3)C2)cc1F ZINC000593679581 360133668 /nfs/dbraw/zinc/13/36/68/360133668.db2.gz LHBZHVQYDKLBDJ-CQSZACIVSA-N 0 1 275.327 0.948 20 30 CCEDMN CC[C@@H](C#N)C(=O)N=c1cc(-c2nccn2C)[nH][nH]1 ZINC000593894613 360197255 /nfs/dbraw/zinc/19/72/55/360197255.db2.gz OZVFWMNAVYWFLD-QMMMGPOBSA-N 0 1 258.285 0.720 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000593855656 360177089 /nfs/dbraw/zinc/17/70/89/360177089.db2.gz MSUIBOQJWXTUIR-ZDUSSCGKSA-N 0 1 276.344 0.389 20 30 CCEDMN N#Cc1cccc(C(=O)NCCCc2nc[nH]n2)n1 ZINC000593911389 360206873 /nfs/dbraw/zinc/20/68/73/360206873.db2.gz ZRSYRQARKVVIQU-UHFFFAOYSA-N 0 1 256.269 0.434 20 30 CCEDMN CNC(=O)CCCN1CCN([C@@H](C#N)C(C)C)CC1 ZINC000593968012 360223631 /nfs/dbraw/zinc/22/36/31/360223631.db2.gz GMSAFHVDWKQAJU-ZDUSSCGKSA-N 0 1 266.389 0.678 20 30 CCEDMN C[C@@H](NCC(=O)N1CCN(C)CC1)c1cccc(C#N)c1 ZINC000611386497 363712033 /nfs/dbraw/zinc/71/20/33/363712033.db2.gz LGYWTEHFHRZHPZ-CYBMUJFWSA-N 0 1 286.379 0.983 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CCN(CC#N)CC1 ZINC000594294552 360268793 /nfs/dbraw/zinc/26/87/93/360268793.db2.gz JUFOWNHWPYCCAW-LLVKDONJSA-N 0 1 261.329 0.256 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)CCCCC#N)[C@H](C)C1 ZINC000594344473 360277896 /nfs/dbraw/zinc/27/78/96/360277896.db2.gz CLHLNWJNJZABEQ-CHWSQXEVSA-N 0 1 267.373 0.984 20 30 CCEDMN N#C[C@@]1(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)CC12CCCC2 ZINC000594387179 360288880 /nfs/dbraw/zinc/28/88/80/360288880.db2.gz RSTQKDVXJUAXBK-WOSRLPQWSA-N 0 1 289.379 0.738 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@H]2C(C)(C)O)[nH]1 ZINC000611503832 363728576 /nfs/dbraw/zinc/72/85/76/363728576.db2.gz YIXCNUSLBXPTTH-JTQLQIEISA-N 0 1 277.328 0.481 20 30 CCEDMN C[C@H]([N-]S(=O)(=O)c1ccc(C#N)o1)[C@H]1CCC[NH2+]C1 ZINC000595106426 360436976 /nfs/dbraw/zinc/43/69/76/360436976.db2.gz WZBCFEFRKSTSQM-UWVGGRQHSA-N 0 1 283.353 0.818 20 30 CCEDMN CN(CCN1C(=O)CN(C)C1=O)Cc1cccc(C#N)c1 ZINC000595289140 360483020 /nfs/dbraw/zinc/48/30/20/360483020.db2.gz PFKRLQWNSUVVOS-UHFFFAOYSA-N 0 1 286.335 0.884 20 30 CCEDMN N#Cc1ccc(NC(=O)C(=O)N2CC[C@@H](F)[C@H](N)C2)cc1 ZINC000595242483 360454427 /nfs/dbraw/zinc/45/44/27/360454427.db2.gz RXHBQFBGSXIDGY-VXGBXAGGSA-N 0 1 290.298 0.394 20 30 CCEDMN CCCN1CC[C@@H](NS(=O)(=O)c2ccc(C#N)o2)C1 ZINC000595353339 360505924 /nfs/dbraw/zinc/50/59/24/360505924.db2.gz FPICNRPWFULJKF-SNVBAGLBSA-N 0 1 283.353 0.914 20 30 CCEDMN CCCN1CC[C@@H](NS(=O)(=O)N(CC)CCC#N)C1 ZINC000595355720 360506112 /nfs/dbraw/zinc/50/61/12/360506112.db2.gz QOJIQYOEXVUIBG-GFCCVEGCSA-N 0 1 288.417 0.541 20 30 CCEDMN CCOC(=O)[C@@H]1CC[C@H](C)N(CC(=O)NCC#N)[C@@H]1C ZINC000595553978 360578921 /nfs/dbraw/zinc/57/89/21/360578921.db2.gz CMAWPCHBCYTVBZ-QJPTWQEYSA-N 0 1 281.356 0.678 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CC1=CCCOC1 ZINC000595752530 360639809 /nfs/dbraw/zinc/63/98/09/360639809.db2.gz MLVNFZSAQXQNEV-NSHDSACASA-N 0 1 281.356 0.665 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1C[C@H]2COC[C@]2(C)C1)C1CC1 ZINC000595755943 360641080 /nfs/dbraw/zinc/64/10/80/360641080.db2.gz RQBQRGAIBNTOGP-QEJZJMRPSA-N 0 1 277.368 0.763 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)N1CCC[C@H](C(=O)OCC)C1 ZINC000595863410 360683646 /nfs/dbraw/zinc/68/36/46/360683646.db2.gz GANYWKBLOAZCBQ-RWMBFGLXSA-N 0 1 298.383 0.313 20 30 CCEDMN COc1cc(C#N)ccc1CN1CCC(CO)(CO)C1 ZINC000595807452 360661802 /nfs/dbraw/zinc/66/18/02/360661802.db2.gz PGYVXSXCXFUNEU-UHFFFAOYSA-N 0 1 276.336 0.744 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCC2(COC2)C1 ZINC000595835726 360672626 /nfs/dbraw/zinc/67/26/26/360672626.db2.gz XLRJTDSBYDBKCO-LLVKDONJSA-N 0 1 263.341 0.660 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)N1CC[C@@](C)(C#N)C1 ZINC000595984019 360758804 /nfs/dbraw/zinc/75/88/04/360758804.db2.gz TVHZJLSQSMHLAW-WFASDCNBSA-N 0 1 292.383 0.349 20 30 CCEDMN Cn1ncc(C#N)c1N1CCN(CC(C)(C)O)CC1 ZINC000596103946 360795297 /nfs/dbraw/zinc/79/52/97/360795297.db2.gz IHPHWWVFTVETQS-UHFFFAOYSA-N 0 1 263.345 0.185 20 30 CCEDMN N#Cc1cnnc(N2CCC(c3n[nH]c(=O)o3)CC2)c1 ZINC000596228015 360844021 /nfs/dbraw/zinc/84/40/21/360844021.db2.gz VIPVIKXQQINCMO-UHFFFAOYSA-N 0 1 272.268 0.821 20 30 CCEDMN CCc1nc(C#N)cc(N2CCN(Cc3nnc[nH]3)CC2)n1 ZINC000596294658 360872604 /nfs/dbraw/zinc/87/26/04/360872604.db2.gz HYQTWDCDLNBUFI-UHFFFAOYSA-N 0 1 298.354 0.351 20 30 CCEDMN N#Cc1nc(N2CCN3C[C@H](O)C[C@H]3C2)sc1Cl ZINC000596300982 360874651 /nfs/dbraw/zinc/87/46/51/360874651.db2.gz WAVYHWWLBGNSCI-JGVFFNPUSA-N 0 1 284.772 0.923 20 30 CCEDMN CCOC(=O)c1cn(C)[nH]c1=NC(=O)N1CC(CC#N)C1 ZINC000596823345 360962407 /nfs/dbraw/zinc/96/24/07/360962407.db2.gz LLBSWKUDHNCRJH-UHFFFAOYSA-N 0 1 291.311 0.396 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)C[C@@H]1N[C@H]1CCC[C@@H](C#N)C1 ZINC000597192726 361028478 /nfs/dbraw/zinc/02/84/78/361028478.db2.gz TTWBKVMDRVXNGV-NOOOWODRSA-N 0 1 272.370 0.470 20 30 CCEDMN CC(C)(C#N)CC(=O)NC[C@H]1CN2CCN1CCC2 ZINC000597623871 361165575 /nfs/dbraw/zinc/16/55/75/361165575.db2.gz CTQPXHYPIFTQMH-LBPRGKRZSA-N 0 1 264.373 0.432 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(C(=O)[C@@H](C)CC#N)C1 ZINC000597772011 361239723 /nfs/dbraw/zinc/23/97/23/361239723.db2.gz BCKCGPWSLXSSHF-QWRGUYRKSA-N 0 1 267.329 0.099 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CC(CC#N)C1 ZINC000598030116 361317591 /nfs/dbraw/zinc/31/75/91/361317591.db2.gz LRZQQQVLIYZKKR-NSHDSACASA-N 0 1 251.330 0.327 20 30 CCEDMN CCN(CC(=O)N(C)C)C[C@H](O)CC(C)(C)C#N ZINC000598587015 361448378 /nfs/dbraw/zinc/44/83/78/361448378.db2.gz HDABQWWNDXVHAF-LLVKDONJSA-N 0 1 255.362 0.697 20 30 CCEDMN N#Cc1cscc1C(=O)NCCN1CCC(O)CC1 ZINC000598739631 361503774 /nfs/dbraw/zinc/50/37/74/361503774.db2.gz IVBXWLJLABSAEU-UHFFFAOYSA-N 0 1 279.365 0.806 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccnc(C#N)n2)[C@@H](C)C1 ZINC000564479065 291282719 /nfs/dbraw/zinc/28/27/19/291282719.db2.gz KWWMSMSFPDGNGS-WDEREUQCSA-N 0 1 261.329 0.240 20 30 CCEDMN CC(C)(C#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599399514 361686011 /nfs/dbraw/zinc/68/60/11/361686011.db2.gz UOAHPMOVHMFAPV-UHFFFAOYSA-N 0 1 282.325 0.485 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000612697505 363994714 /nfs/dbraw/zinc/99/47/14/363994714.db2.gz WJNDFCMVDZAGPT-BXUZGUMPSA-N 0 1 290.367 0.854 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000614431547 364604505 /nfs/dbraw/zinc/60/45/05/364604505.db2.gz FGTWDJZLOGCJJF-HIFRSBDPSA-N 0 1 282.384 0.637 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000614521656 364647995 /nfs/dbraw/zinc/64/79/95/364647995.db2.gz QSPJVLAMRSLFHT-OLZOCXBDSA-N 0 1 268.357 0.104 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@]3(CCOC3)C2)CCC1 ZINC000615227824 364890322 /nfs/dbraw/zinc/89/03/22/364890322.db2.gz UQKPRIDULLGILV-ZDUSSCGKSA-N 0 1 263.341 0.661 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000616221573 365221947 /nfs/dbraw/zinc/22/19/47/365221947.db2.gz IFDCNRBFINLLNL-GFCCVEGCSA-N 0 1 252.318 0.655 20 30 CCEDMN C=C[C@H](O)C(=O)Nc1c(C(C)C)n[nH]c1C(N)=O ZINC000616162171 365198958 /nfs/dbraw/zinc/19/89/58/365198958.db2.gz GWOVCVRXNWSYCP-LURJTMIESA-N 0 1 252.274 0.117 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000616409891 365311542 /nfs/dbraw/zinc/31/15/42/365311542.db2.gz YGROSCFHBAEJCP-NHCYSSNCSA-N 0 1 276.340 0.932 20 30 CCEDMN C=CCN(CCOC)C(=O)N=c1[nH]n(C)cc1C#N ZINC000616791720 365452804 /nfs/dbraw/zinc/45/28/04/365452804.db2.gz ZVNDKQALLQANMR-UHFFFAOYSA-N 0 1 263.301 0.380 20 30 CCEDMN C=C[C@@H](C)NS(=O)(=O)CCCN1CCN(C)CC1 ZINC000632092832 370866798 /nfs/dbraw/zinc/86/67/98/370866798.db2.gz MIRUYBGVXZEDCD-GFCCVEGCSA-N 0 1 275.418 0.118 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)COc1ccc(C#N)cc1 ZINC000566407909 291400207 /nfs/dbraw/zinc/40/02/07/291400207.db2.gz RDVKDMUYBLLORC-LLVKDONJSA-N 0 1 259.309 0.757 20 30 CCEDMN C=CCOCCNC(=O)N1CCNC[C@@H]1C(C)C ZINC000627012320 368736209 /nfs/dbraw/zinc/73/62/09/368736209.db2.gz XXCFDYLKNZIMRD-GFCCVEGCSA-N 0 1 255.362 0.828 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H](C)CN1CCN(C)CC1)C(C)C ZINC000490994855 261285132 /nfs/dbraw/zinc/28/51/32/261285132.db2.gz GJXWRBGOQOZZND-KBPBESRZSA-N 0 1 280.416 0.579 20 30 CCEDMN CN(CCc1ccccc1)CC(=O)NCC#CCO ZINC000635900511 373075755 /nfs/dbraw/zinc/07/57/55/373075755.db2.gz KOYBCXPREKYBBM-UHFFFAOYSA-N 0 1 260.337 0.273 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(NC(C)=O)CC2)C1=O ZINC000685000595 545783054 /nfs/dbraw/zinc/78/30/54/545783054.db2.gz UMRIMPFSYMCFRT-ZDUSSCGKSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639823277 376033776 /nfs/dbraw/zinc/03/37/76/376033776.db2.gz AGAHCZBAHZKARQ-NXEZZACHSA-N 0 1 279.344 0.352 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnn(C)c1 ZINC000639974414 376165175 /nfs/dbraw/zinc/16/51/75/376165175.db2.gz UXBZJLKZRVFSCS-CYBMUJFWSA-N 0 1 260.341 0.638 20 30 CCEDMN N#Cc1cncc(NCCN2C[C@H]3CC[C@@H](C2)O3)n1 ZINC000515411296 249133410 /nfs/dbraw/zinc/13/34/10/249133410.db2.gz OLKMAYBOQNOMNM-TXEJJXNPSA-N 0 1 259.313 0.623 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000515479957 249136002 /nfs/dbraw/zinc/13/60/02/249136002.db2.gz QTJDXVHITAJSRY-VIFPVBQESA-N 0 1 286.295 0.993 20 30 CCEDMN N#Cc1cccc(N2CCC[C@H](C(=O)N3CCNCC3)C2)n1 ZINC000515525704 249138000 /nfs/dbraw/zinc/13/80/00/249138000.db2.gz YIQBPLKSBNEGPI-ZDUSSCGKSA-N 0 1 299.378 0.601 20 30 CCEDMN N#Cc1ccc(CN2CC[C@](O)(C(N)=O)C2)s1 ZINC000517956509 249237400 /nfs/dbraw/zinc/23/74/00/249237400.db2.gz CEHNNTROLPEXIN-LLVKDONJSA-N 0 1 251.311 0.042 20 30 CCEDMN N#Cc1cccnc1N1CCC[C@@H](C(=O)N2CCNCC2)C1 ZINC000517542561 249222431 /nfs/dbraw/zinc/22/24/31/249222431.db2.gz LOTHLJSEXFMWDZ-CQSZACIVSA-N 0 1 299.378 0.601 20 30 CCEDMN C#CCCN1CCN(c2cncc(-n3cccn3)n2)CC1 ZINC000271562581 533054136 /nfs/dbraw/zinc/05/41/36/533054136.db2.gz ABGYXXPGIDHMIN-UHFFFAOYSA-N 0 1 282.351 0.808 20 30 CCEDMN C=CCCn1cc(CNCC[C@](C)(O)COC)nn1 ZINC000657477647 412998728 /nfs/dbraw/zinc/99/87/28/412998728.db2.gz PJBYXQFPDQTJCS-ZDUSSCGKSA-N 0 1 268.361 0.731 20 30 CCEDMN N#Cc1ccnc(C(=O)NC2(c3nnc[nH]3)CCC2)c1 ZINC000288230491 197032416 /nfs/dbraw/zinc/03/24/16/197032416.db2.gz UYZVJGSWMHLOMM-UHFFFAOYSA-N 0 1 268.280 0.881 20 30 CCEDMN Cc1cc(C#N)nc(NCCN2C[C@H]3CC[C@@H](C2)O3)n1 ZINC000574875975 335054370 /nfs/dbraw/zinc/05/43/70/335054370.db2.gz IUTODEBSJCRLNF-BETUJISGSA-N 0 1 273.340 0.354 20 30 CCEDMN C=CCSCCNC(=O)C(=O)NCc1cn[nH]c1C ZINC000283852720 388770439 /nfs/dbraw/zinc/77/04/39/388770439.db2.gz DLPSOJJHNDCCCL-UHFFFAOYSA-N 0 1 282.369 0.370 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)NCCCCN1CCOCC1 ZINC000284236312 388774818 /nfs/dbraw/zinc/77/48/18/388774818.db2.gz GIHSOSYAAQSSLN-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000286707790 388844805 /nfs/dbraw/zinc/84/48/05/388844805.db2.gz UKMKWVUATACHGA-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000287400296 388851904 /nfs/dbraw/zinc/85/19/04/388851904.db2.gz CMMXDWJSRFGJGK-LLVKDONJSA-N 0 1 276.340 0.986 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)C[C@H](C)C(=O)OC ZINC000048335673 388890500 /nfs/dbraw/zinc/89/05/00/388890500.db2.gz JLLIDWNZVNMLDX-LBPRGKRZSA-N 0 1 268.357 0.928 20 30 CCEDMN C=C[C@H](CO)NC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000290894030 388914404 /nfs/dbraw/zinc/91/44/04/388914404.db2.gz BVEJOIZMZDJBHW-WCBMZHEXSA-N 0 1 252.318 0.495 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN1C(=O)c2ccccc2C1=O ZINC000293161424 388980035 /nfs/dbraw/zinc/98/00/35/388980035.db2.gz OUJROEMVTYFCJY-GFCCVEGCSA-N 0 1 286.331 0.599 20 30 CCEDMN CO[C@]1(C)C[C@@H](N(C)CC(=O)NCC#N)C1(C)C ZINC000076152190 388986650 /nfs/dbraw/zinc/98/66/50/388986650.db2.gz RRJZXIWNRSOOAI-ZWNOBZJWSA-N 0 1 253.346 0.762 20 30 CCEDMN CCc1nc(CNC(=O)COc2ccccc2C#N)n[nH]1 ZINC000295279345 388998853 /nfs/dbraw/zinc/99/88/53/388998853.db2.gz HNPBEMZSGGAMME-UHFFFAOYSA-N 0 1 285.307 0.934 20 30 CCEDMN CS(=O)(=O)NCCNCc1cc(C#N)cs1 ZINC000086500238 389008446 /nfs/dbraw/zinc/00/84/46/389008446.db2.gz JLCHOZIKKNONJW-UHFFFAOYSA-N 0 1 259.356 0.259 20 30 CCEDMN C=CCN(CCOC)C(=O)CSc1nnc(C)[nH]1 ZINC000191965986 389022205 /nfs/dbraw/zinc/02/22/05/389022205.db2.gz KEUQHWZQZGRJPP-UHFFFAOYSA-N 0 1 270.358 0.866 20 30 CCEDMN C=CCN(CCOC)C(=O)CSc1nc(C)n[nH]1 ZINC000191965986 389022207 /nfs/dbraw/zinc/02/22/07/389022207.db2.gz KEUQHWZQZGRJPP-UHFFFAOYSA-N 0 1 270.358 0.866 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](OCCOCC)C2)C1=O ZINC000337180782 389050569 /nfs/dbraw/zinc/05/05/69/389050569.db2.gz MDOMGUCCEMGTKP-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN1CC[C@H](N2CCN([C@@H](CC)CO)CC2)C1=O ZINC000337192885 389052078 /nfs/dbraw/zinc/05/20/78/389052078.db2.gz UEJWOKBSEUGSQY-KBPBESRZSA-N 0 1 281.400 0.162 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](O)COCC(C)C)C1=O ZINC000337200919 389053644 /nfs/dbraw/zinc/05/36/44/389053644.db2.gz CGJFSLQYAAHSQO-UONOGXRCSA-N 0 1 284.400 0.739 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](O)CCOCC)C1=O ZINC000337227314 389057149 /nfs/dbraw/zinc/05/71/49/389057149.db2.gz QBTHCXNNZRIZGP-CHWSQXEVSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N[C@H]1CCCN(C)[C@H]1C ZINC000355305647 389057710 /nfs/dbraw/zinc/05/77/10/389057710.db2.gz ZJPRGODEHKQUIY-RYUDHWBXSA-N 0 1 299.440 0.621 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N[C@@H]1CCCN(C)[C@@H]1C ZINC000337779286 389068542 /nfs/dbraw/zinc/06/85/42/389068542.db2.gz RWXPLBBRMNOYGH-IJLUTSLNSA-N 0 1 288.417 0.537 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N[C@@H]1CCN(C)[C@H](C)C1 ZINC000337987591 389071896 /nfs/dbraw/zinc/07/18/96/389071896.db2.gz WXAZLBBGLHWCCQ-IJLUTSLNSA-N 0 1 288.417 0.537 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(OCC)CC1 ZINC000193778752 389079354 /nfs/dbraw/zinc/07/93/54/389079354.db2.gz XEZPOBSCQLTZJF-LLVKDONJSA-N 0 1 283.372 0.888 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CCCN(CC(=O)N(C)C)CC1 ZINC000305104449 389084428 /nfs/dbraw/zinc/08/44/28/389084428.db2.gz YZWJXPVQYYIUFZ-AWEZNQCLSA-N 0 1 283.416 0.409 20 30 CCEDMN CC#CCCNC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000355153234 389043472 /nfs/dbraw/zinc/04/34/72/389043472.db2.gz CTUGBZZXMITABN-QWHCGFSZSA-N 0 1 267.373 0.496 20 30 CCEDMN CCc1nc([C@@H](C)NC(=O)CN2C(=O)CN(C)C2=O)n[nH]1 ZINC000328954240 389146874 /nfs/dbraw/zinc/14/68/74/389146874.db2.gz YIGWXARTWCIFSQ-SSDOTTSWSA-N 0 1 294.315 0.279 20 30 CCEDMN C[C@@H](CNC(=O)c1ccc(C#N)cn1)N1CCN(C)CC1 ZINC000107781808 389097294 /nfs/dbraw/zinc/09/72/94/389097294.db2.gz AMJGOVIPUHEEBP-LBPRGKRZSA-N 0 1 287.367 0.319 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@H](C(=O)NC)C1 ZINC000111267748 389104216 /nfs/dbraw/zinc/10/42/16/389104216.db2.gz PKHYOMNRMDNMQL-OLZOCXBDSA-N 0 1 294.399 0.453 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CCC(C)(C#N)CC2)C1 ZINC000355743927 389115828 /nfs/dbraw/zinc/11/58/28/389115828.db2.gz UNEMLVPLSWQSRI-CYBMUJFWSA-N 0 1 293.415 0.567 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2(CC#N)CC2)[C@@H](C)C1 ZINC000355873214 389117554 /nfs/dbraw/zinc/11/75/54/389117554.db2.gz LVAQNDVUHLMQBL-STQMWFEESA-N 0 1 294.399 0.777 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(CC(=O)NCC=C)CC1 ZINC000121476866 389149228 /nfs/dbraw/zinc/14/92/28/389149228.db2.gz SGWMVHHVEUVHPJ-AWEZNQCLSA-N 0 1 281.400 0.233 20 30 CCEDMN C=C(C)CN(C)C(=O)[C@@H](Cc1cnc[nH]1)NC(C)=O ZINC000339342330 389130667 /nfs/dbraw/zinc/13/06/67/389130667.db2.gz HKVQPPZQEYMZJB-GFCCVEGCSA-N 0 1 264.329 0.491 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NC[C@@H]1CN(C)CCN1C)OCC ZINC000339372244 389130854 /nfs/dbraw/zinc/13/08/54/389130854.db2.gz XUGIOFJQJGMHEJ-ZIAGYGMSSA-N 0 1 283.416 0.720 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCCN1CCN(C)CC1)OCC ZINC000339440384 389132938 /nfs/dbraw/zinc/13/29/38/389132938.db2.gz RLVZKYLBXJYWHI-CQSZACIVSA-N 0 1 283.416 0.721 20 30 CCEDMN O=C(NC[C@H](O)C1CC1)[C@H]1CCc2[nH]nnc2C1 ZINC000328764593 389136182 /nfs/dbraw/zinc/13/61/82/389136182.db2.gz GINLJUPNCAFABA-KWQFWETISA-N 0 1 250.302 0.637 20 30 CCEDMN O=C(NC[C@H](O)C1CC1)[C@H]1CCc2nn[nH]c2C1 ZINC000328764593 389136184 /nfs/dbraw/zinc/13/61/84/389136184.db2.gz GINLJUPNCAFABA-KWQFWETISA-N 0 1 250.302 0.637 20 30 CCEDMN C[C@H](CN1CCCC1=O)NC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000328766428 389137443 /nfs/dbraw/zinc/13/74/43/389137443.db2.gz HESVNKAMRAVCCD-NXEZZACHSA-N 0 1 291.355 0.877 20 30 CCEDMN C[C@H](CN1CCCC1=O)NC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000328766428 389137448 /nfs/dbraw/zinc/13/74/48/389137448.db2.gz HESVNKAMRAVCCD-NXEZZACHSA-N 0 1 291.355 0.877 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@](CO)(C(C)C)C1 ZINC000339701375 389137753 /nfs/dbraw/zinc/13/77/53/389137753.db2.gz GVBIKNQSRUPAQL-HNNXBMFYSA-N 0 1 297.399 0.729 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(OCCO)CC1 ZINC000339785040 389140012 /nfs/dbraw/zinc/14/00/12/389140012.db2.gz YPYITIXNBFSTLR-UHFFFAOYSA-N 0 1 283.372 0.222 20 30 CCEDMN CNC(=O)CON=C(N)c1ccc(N(C)C)cc1 ZINC000120371387 389142584 /nfs/dbraw/zinc/14/25/84/389142584.db2.gz OBGATCAQVMPMIC-UHFFFAOYSA-N 0 1 250.302 0.136 20 30 CCEDMN N#CCC1(CS(=O)(=O)N2CCN3CCC[C@H]3C2)CC1 ZINC000329640219 389181323 /nfs/dbraw/zinc/18/13/23/389181323.db2.gz ZHOLAONWGXLMDX-LBPRGKRZSA-N 0 1 283.397 0.790 20 30 CCEDMN [O-]C(=[NH+]C[C@@H]1CCc2nccn2C1)N1CCOCC1 ZINC000329849739 389205729 /nfs/dbraw/zinc/20/57/29/389205729.db2.gz RYZBKSWPTMCYDJ-NSHDSACASA-N 0 1 264.329 0.692 20 30 CCEDMN Cc1nnc2n1C[C@@H](CNC(=O)N1CC(F)C1)CC2 ZINC000329759459 389197180 /nfs/dbraw/zinc/19/71/80/389197180.db2.gz OXIUSXAHYIUQGF-SECBINFHSA-N 0 1 267.308 0.717 20 30 CCEDMN Cc1nnc2n1C[C@H](CNC(=O)N[C@H]1CCCOC1)CC2 ZINC000329302361 389155369 /nfs/dbraw/zinc/15/53/69/389155369.db2.gz WTEMEHSMDSSCCN-RYUDHWBXSA-N 0 1 293.371 0.832 20 30 CCEDMN Cc1nnc2n1C[C@H](C[NH+]=C([O-])N[C@H]1CCCOC1)CC2 ZINC000329302361 389155373 /nfs/dbraw/zinc/15/53/73/389155373.db2.gz WTEMEHSMDSSCCN-RYUDHWBXSA-N 0 1 293.371 0.832 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N2CCOCC3(CC3)C2)C1 ZINC000329345708 389158150 /nfs/dbraw/zinc/15/81/50/389158150.db2.gz UUJGPMFGXAKFAH-CYBMUJFWSA-N 0 1 297.399 0.734 20 30 CCEDMN Cc1nc2n(n1)C[C@H]([NH+]=C([O-])N[C@]1(C)CCO[C@H]1C)CC2 ZINC000329579959 389172083 /nfs/dbraw/zinc/17/20/83/389172083.db2.gz ULIXUGORZWMSIC-DRCTWCGVSA-N 0 1 293.371 0.972 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N[C@]1(C)CCO[C@H]1C)CC2 ZINC000329579959 389172087 /nfs/dbraw/zinc/17/20/87/389172087.db2.gz ULIXUGORZWMSIC-DRCTWCGVSA-N 0 1 293.371 0.972 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@H]1CNC(=O)C1 ZINC000329610099 389175937 /nfs/dbraw/zinc/17/59/37/389175937.db2.gz BXEXQEAALABORU-NXEZZACHSA-N 0 1 255.318 0.640 20 30 CCEDMN C=CCOCCCC(=O)N1CCc2[nH]nnc2C1 ZINC000359568333 389177381 /nfs/dbraw/zinc/17/73/81/389177381.db2.gz XQHZXMKGROFSCB-UHFFFAOYSA-N 0 1 250.302 0.672 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)[C@@H](C)CN1CCOCC1 ZINC000330572399 389242296 /nfs/dbraw/zinc/24/22/96/389242296.db2.gz NHZIJDBYQGINAN-STQMWFEESA-N 0 1 267.373 0.963 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](C(=O)NCC2CC2)C1 ZINC000248743191 389249992 /nfs/dbraw/zinc/24/99/92/389249992.db2.gz BNJKPASIZREIPK-GXTWGEPZSA-N 0 1 291.395 0.363 20 30 CCEDMN CN(C(=O)C(=O)NCCCCC#N)[C@@H]1CCN(C2CC2)C1 ZINC000343146164 389258835 /nfs/dbraw/zinc/25/88/35/389258835.db2.gz FCTSCBXCKAAQBS-CYBMUJFWSA-N 0 1 292.383 0.492 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)C(=O)NCCCCC#N)CCCN1C ZINC000343307037 389263563 /nfs/dbraw/zinc/26/35/63/389263563.db2.gz XOXXUFVXGXNSNK-RYUDHWBXSA-N 0 1 280.372 0.395 20 30 CCEDMN O=C(NC1CCSCC1)N1CCn2ncnc2C1 ZINC000329911853 389210782 /nfs/dbraw/zinc/21/07/82/389210782.db2.gz AWPIQKBEMRAGNJ-UHFFFAOYSA-N 0 1 267.358 0.903 20 30 CCEDMN C=CCOc1cccnc1C(=O)N1CCc2[nH]nnc2C1 ZINC000342416718 389217730 /nfs/dbraw/zinc/21/77/30/389217730.db2.gz PKAWCZSGTMGZRJ-UHFFFAOYSA-N 0 1 285.307 0.963 20 30 CCEDMN CCN(CC(=O)N(CCC#N)CCC#N)C[C@@H](C)OC ZINC000361558281 389219276 /nfs/dbraw/zinc/21/92/76/389219276.db2.gz GQFOGZUUIXINDL-CYBMUJFWSA-N 0 1 280.372 0.999 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1CCN(C)CC[C@H]1C ZINC000342479859 389219345 /nfs/dbraw/zinc/21/93/45/389219345.db2.gz MTAXBXSFXFPJEQ-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H]2[C@@H](CCC(=O)N2C2CC2)C1 ZINC000246383292 389227715 /nfs/dbraw/zinc/22/77/15/389227715.db2.gz ZUVPYGYMCDRZTP-JSGCOSHPSA-N 0 1 291.395 0.764 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000330455174 389231812 /nfs/dbraw/zinc/23/18/12/389231812.db2.gz MUGKZZHTWZWGES-IUODEOHRSA-N 0 1 284.400 0.617 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000330539689 389239095 /nfs/dbraw/zinc/23/90/95/389239095.db2.gz UZFPEUVEVVGDFQ-ZJUUUORDSA-N 0 1 261.329 0.918 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000330539689 389239097 /nfs/dbraw/zinc/23/90/97/389239097.db2.gz UZFPEUVEVVGDFQ-ZJUUUORDSA-N 0 1 261.329 0.918 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCC2)[C@@H](C)CN1C ZINC000347014922 389343597 /nfs/dbraw/zinc/34/35/97/389343597.db2.gz UUUJRBMZJGAWBU-NEPJUHHUSA-N 0 1 264.373 0.573 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@@H](C)C(=O)NC2(C#N)CCC2)[C@@H]1C ZINC000346649148 389333738 /nfs/dbraw/zinc/33/37/38/389333738.db2.gz GMGZYTWWXNZMIK-GRYCIOLGSA-N 0 1 293.367 0.821 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N2CCO[C@@H](C#N)C2)n[nH]1 ZINC000408368315 389342353 /nfs/dbraw/zinc/34/23/53/389342353.db2.gz GDXIDQQYQAJAIY-CABZTGNLSA-N 0 1 277.328 0.583 20 30 CCEDMN Cc1nnc(SCC(=O)N[C@H](C#N)C2CC2)[nH]1 ZINC000345465123 389305826 /nfs/dbraw/zinc/30/58/26/389305826.db2.gz VDLISVXMVXXSIN-MRVPVSSYSA-N 0 1 251.315 0.624 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N[C@H](C#N)C2CC2)n1 ZINC000345465123 389305828 /nfs/dbraw/zinc/30/58/28/389305828.db2.gz VDLISVXMVXXSIN-MRVPVSSYSA-N 0 1 251.315 0.624 20 30 CCEDMN O=C1N[C@@H](Cc2cnc[nH]2)C(=O)N1CC#Cc1ccccc1 ZINC000348435854 389376899 /nfs/dbraw/zinc/37/68/99/389376899.db2.gz JZXCXPLUADILGW-AWEZNQCLSA-N 0 1 294.314 0.924 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N(CCCc2cn[nH]c2C)C1=O ZINC000348627463 389387257 /nfs/dbraw/zinc/38/72/57/389387257.db2.gz WFIGJEILUHVXQH-LBPRGKRZSA-N 0 1 292.339 0.774 20 30 CCEDMN C[N@H+]1CC[C@H]2OCCN(C(=O)CSCC#N)[C@@H]2C1 ZINC000332699908 389358926 /nfs/dbraw/zinc/35/89/26/389358926.db2.gz VARGEQYGFZSGGU-GHMZBOCLSA-N 0 1 269.370 0.175 20 30 CCEDMN CN1CC[C@H]2OCCN(C(=O)CSCC#N)[C@@H]2C1 ZINC000332699908 389358928 /nfs/dbraw/zinc/35/89/28/389358928.db2.gz VARGEQYGFZSGGU-GHMZBOCLSA-N 0 1 269.370 0.175 20 30 CCEDMN CN(CC(=O)N1CCSC[C@H]1C#N)[C@H]1CCSC1 ZINC000334223340 389462017 /nfs/dbraw/zinc/46/20/17/389462017.db2.gz ZMISWOBNNIXSGH-WDEREUQCSA-N 0 1 285.438 0.891 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1C[C@H](O)C[C@H](C)C1 ZINC000412031757 389472865 /nfs/dbraw/zinc/47/28/65/389472865.db2.gz AYMZJXIXMZWERZ-SDDRHHMPSA-N 0 1 253.346 0.450 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@H](O)C[C@H](C)C1 ZINC000412045750 389473113 /nfs/dbraw/zinc/47/31/13/389473113.db2.gz QAAGKEDZZKTJTB-GARJFASQSA-N 0 1 269.345 0.089 20 30 CCEDMN C[C@@H]1[C@@H](CO)CCCN1CC(=O)N(CCC#N)CCC#N ZINC000412099618 389476155 /nfs/dbraw/zinc/47/61/55/389476155.db2.gz WPHJMKWPZMRAIP-ZIAGYGMSSA-N 0 1 292.383 0.735 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)NC[C@H]1C[N@@H+](C)CCN1C ZINC000350050008 389481669 /nfs/dbraw/zinc/48/16/69/389481669.db2.gz LOWGLAYUVVTZSJ-RYUDHWBXSA-N 0 1 267.377 0.033 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000350050008 389481671 /nfs/dbraw/zinc/48/16/71/389481671.db2.gz LOWGLAYUVVTZSJ-RYUDHWBXSA-N 0 1 267.377 0.033 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCCCC1)Cc1nnc[nH]1 ZINC000174819167 389530218 /nfs/dbraw/zinc/53/02/18/389530218.db2.gz HEJRPSCGXOFLJY-UHFFFAOYSA-N 0 1 276.344 0.579 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2C[C@H](C)NC[C@@H]2C)CC1 ZINC000335050167 389534333 /nfs/dbraw/zinc/53/43/33/389534333.db2.gz KHXJYXGSGPXMCX-RYUDHWBXSA-N 0 1 279.384 0.620 20 30 CCEDMN C[C@@H]1C[C@]1(C#N)C(=O)N[C@@H](CO)CN1CCCCC1 ZINC000413797477 389559251 /nfs/dbraw/zinc/55/92/51/389559251.db2.gz ISVFDQLJHUULHY-YRGRVCCFSA-N 0 1 265.357 0.499 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H]3NC(=O)OC[C@H]3C2)C1=O ZINC000281206338 389611194 /nfs/dbraw/zinc/61/11/94/389611194.db2.gz CUCPRWLBBBRJHW-WOPDTQHZSA-N 0 1 279.340 0.204 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCN2C2CC2)C1=O ZINC000281650540 389622130 /nfs/dbraw/zinc/62/21/30/389622130.db2.gz ICQORVFBEZQZEZ-ZIAGYGMSSA-N 0 1 291.395 0.859 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@H]2CC[C@H](C#N)C2)CC1 ZINC000424968798 389636764 /nfs/dbraw/zinc/63/67/64/389636764.db2.gz YFBHDUTVRZHUHY-QWHCGFSZSA-N 0 1 264.373 0.432 20 30 CCEDMN C=C(C)CN(C)CCNS(=O)(=O)CCCOC ZINC000181323739 389684091 /nfs/dbraw/zinc/68/40/91/389684091.db2.gz SLSCIHJIVIVBMB-UHFFFAOYSA-N 0 1 264.391 0.450 20 30 CCEDMN C#CCSCCNC(=O)N[C@@H](C)c1nnc[nH]1 ZINC000183047101 389723714 /nfs/dbraw/zinc/72/37/14/389723714.db2.gz HPFDJNQRHOEMBV-QMMMGPOBSA-N 0 1 253.331 0.531 20 30 CCEDMN C[C@@H](NCC(=O)NCC#N)c1ccc2c(c1)OCO2 ZINC000182294654 389705099 /nfs/dbraw/zinc/70/50/99/389705099.db2.gz DCJGISLENVWNDD-SECBINFHSA-N 0 1 261.281 0.706 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)NCC2(C#N)CCC2)[nH]1 ZINC000416863241 389776697 /nfs/dbraw/zinc/77/66/97/389776697.db2.gz NDRVHVYEGOABDQ-UHFFFAOYSA-N 0 1 290.371 0.682 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NC[C@H](Cc1ccccc1)N(C)C ZINC000416368579 389737035 /nfs/dbraw/zinc/73/70/35/389737035.db2.gz HLWORWKNRMKYOO-JSGCOSHPSA-N 0 1 295.408 0.991 20 30 CCEDMN COC(=O)CN(C)C(=O)CCN1CCC(F)(C#N)CC1 ZINC000352449430 389812626 /nfs/dbraw/zinc/81/26/26/389812626.db2.gz MWNZYAAKLIWETQ-UHFFFAOYSA-N 0 1 285.319 0.336 20 30 CCEDMN CN(C1CCN(CCCC#N)CC1)S(C)(=O)=O ZINC000407949761 389816001 /nfs/dbraw/zinc/81/60/01/389816001.db2.gz NVWJBEZXDDUXMV-UHFFFAOYSA-N 0 1 259.375 0.646 20 30 CCEDMN Cc1nc([C@@H](C)NS(=O)(=O)N(C)[C@@H](C)CC#N)n[nH]1 ZINC000352512968 389822812 /nfs/dbraw/zinc/82/28/12/389822812.db2.gz SVHHZRXADAMKQL-JGVFFNPUSA-N 0 1 286.361 0.243 20 30 CCEDMN N#CCSCCCN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000352973311 389843697 /nfs/dbraw/zinc/84/36/97/389843697.db2.gz ACXUXBDGJDYGFY-WDEREUQCSA-N 0 1 274.411 0.752 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)[C@@H]2CN(C)C[C@H](C)O2)[nH]1 ZINC000527556793 285349753 /nfs/dbraw/zinc/34/97/53/285349753.db2.gz QHPDTQCYBIGYJY-JQWIXIFHSA-N 0 1 291.355 0.415 20 30 CCEDMN C=CCC[C@@H](O)CN1CCC[C@@H]1C(=O)NCC(=O)OC ZINC000419347153 389891521 /nfs/dbraw/zinc/89/15/21/389891521.db2.gz PIENWZRTVXBYBK-VXGBXAGGSA-N 0 1 284.356 0.067 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCCC#N)[C@H](C)CN1CCO ZINC000419475843 389892363 /nfs/dbraw/zinc/89/23/63/389892363.db2.gz IJBYDBZNUZRZJI-VXGBXAGGSA-N 0 1 268.361 0.387 20 30 CCEDMN CN(C[C@@H]1CCN(S(C)(=O)=O)C1)CC(C)(C)C#N ZINC000433323882 389908753 /nfs/dbraw/zinc/90/87/53/389908753.db2.gz ZSVKBCFXWWZHDN-NSHDSACASA-N 0 1 273.402 0.749 20 30 CCEDMN C=C(C)CS(=O)(=O)N1C[C@@H](SC)[C@@H](N(C)C)C1 ZINC000435427086 389956821 /nfs/dbraw/zinc/95/68/21/389956821.db2.gz LCZQLACZUWVWLN-WDEREUQCSA-N 0 1 278.443 0.870 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)CCSc1nnc(C)[nH]1 ZINC000433976501 389922299 /nfs/dbraw/zinc/92/22/99/389922299.db2.gz MLUVUQJFQYJAOC-NSHDSACASA-N 0 1 283.357 0.640 20 30 CCEDMN C[C@H]1CN(Cc2cnc3c(C#N)cnn3c2)CC[C@@H]1O ZINC000579008467 390134783 /nfs/dbraw/zinc/13/47/83/390134783.db2.gz XVBJWTLHZGUKCJ-GWCFXTLKSA-N 0 1 271.324 0.804 20 30 CCEDMN CC#CC[C@H](CO)Nc1cc(-n2cccn2)nc(N)n1 ZINC000645406300 390421421 /nfs/dbraw/zinc/42/14/21/390421421.db2.gz WKNDIGGNWTZPGQ-SNVBAGLBSA-N 0 1 272.312 0.261 20 30 CCEDMN C=CCN1CC[C@H](N[C@@H]2COC[C@H]2OCC)C1=O ZINC000562548846 390489486 /nfs/dbraw/zinc/48/94/86/390489486.db2.gz USKQEMGVPUNVBK-QJPTWQEYSA-N 0 1 254.330 0.167 20 30 CCEDMN CN(Cc1cnc2c(C#N)cnn2c1)C1CC(O)C1 ZINC000565422916 390512866 /nfs/dbraw/zinc/51/28/66/390512866.db2.gz WPSVUANDSZLHTG-UHFFFAOYSA-N 0 1 257.297 0.556 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1cnccc1N(C)C ZINC000649183573 390513718 /nfs/dbraw/zinc/51/37/18/390513718.db2.gz WAABPAGNFNNCIS-NSHDSACASA-N 0 1 261.325 0.652 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2CCCCN2CCO)nc1 ZINC000556361816 390479462 /nfs/dbraw/zinc/47/94/62/390479462.db2.gz QYVFLIDJFCNTNR-ZDUSSCGKSA-N 0 1 288.351 0.530 20 30 CCEDMN CC[C@H](CC#N)NCCN1C(=O)NC(C)(C)C1=O ZINC000569937634 390716887 /nfs/dbraw/zinc/71/68/87/390716887.db2.gz LSBVKFRBKJGPKO-SECBINFHSA-N 0 1 252.318 0.599 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN2CCC(C)(C)C2)C1=O ZINC000570295095 390718152 /nfs/dbraw/zinc/71/81/52/390718152.db2.gz YFAMWRFWXOKXCJ-CYBMUJFWSA-N 0 1 293.411 0.964 20 30 CCEDMN COC(C)(C)CN(C)CC(=O)NC1(C#N)CCC1 ZINC000526296018 390681218 /nfs/dbraw/zinc/68/12/18/390681218.db2.gz PVDVIJYCSUMZPB-UHFFFAOYSA-N 0 1 253.346 0.906 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)ccn1 ZINC000527000936 390688408 /nfs/dbraw/zinc/68/84/08/390688408.db2.gz SUCICEWYEXJFLX-SNVBAGLBSA-N 0 1 283.295 0.486 20 30 CCEDMN N#Cc1cc(NC(=O)NC[C@H]2COCCN2)ccc1F ZINC000528678575 390767750 /nfs/dbraw/zinc/76/77/50/390767750.db2.gz GAPUICSLFRMNRE-NSHDSACASA-N 0 1 278.287 0.807 20 30 CCEDMN CC(=O)NCC(=O)N1CCCN([C@H](C)CCC#N)CC1 ZINC000528736950 390772943 /nfs/dbraw/zinc/77/29/43/390772943.db2.gz JKNKQFSZZUUPMU-GFCCVEGCSA-N 0 1 280.372 0.349 20 30 CCEDMN N#Cc1ccncc1C(=O)NCCCCN1CCOCC1 ZINC000341839979 130084462 /nfs/dbraw/zinc/08/44/62/130084462.db2.gz LDXSIWCSBJLESX-UHFFFAOYSA-N 0 1 288.351 0.795 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCCC[C@@H]1CC(N)=O ZINC000339139157 397455509 /nfs/dbraw/zinc/45/55/09/397455509.db2.gz BMLIOQWEUSYPBO-GFCCVEGCSA-N 0 1 280.372 0.478 20 30 CCEDMN N#Cc1csc(C(=O)NC2(c3nn[nH]n3)CC2)c1 ZINC000357046827 144053290 /nfs/dbraw/zinc/05/32/90/144053290.db2.gz KWNZTUKMVJCCCD-UHFFFAOYSA-N 0 1 260.282 0.552 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000649537644 397630674 /nfs/dbraw/zinc/63/06/74/397630674.db2.gz FKKOZRUJWVGZOK-VHSXEESVSA-N 0 1 253.302 0.892 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC[C@@H](NC(=O)N(C)C)C1 ZINC000650279034 397708336 /nfs/dbraw/zinc/70/83/36/397708336.db2.gz MSGAPPPEVJJPIF-VXGBXAGGSA-N 0 1 255.362 0.659 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)Nc1ccncc1C#N ZINC000548152756 286011137 /nfs/dbraw/zinc/01/11/37/286011137.db2.gz VGCJBUXLPFEBCW-GFCCVEGCSA-N 0 1 259.357 0.423 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1cccc(C#N)c1)c1nn[nH]n1 ZINC000548138458 286009733 /nfs/dbraw/zinc/00/97/33/286009733.db2.gz BKZNYVCJSABZOZ-JTQLQIEISA-N 0 1 284.323 0.876 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1ccc(C#N)cc1 ZINC000007612674 170294053 /nfs/dbraw/zinc/29/40/53/170294053.db2.gz VSOGUJSYCPIJQY-UHFFFAOYSA-N 0 1 253.327 0.398 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000652903374 412154742 /nfs/dbraw/zinc/15/47/42/412154742.db2.gz XKQXILOUUVUQAX-LBPRGKRZSA-N 0 1 293.371 0.430 20 30 CCEDMN C=CCCn1cc(CN2CCN([C@H](CC)CO)CC2)nn1 ZINC000653560126 412317251 /nfs/dbraw/zinc/31/72/51/412317251.db2.gz FUROCYGBVVZLFI-OAHLLOKOSA-N 0 1 293.415 0.743 20 30 CCEDMN CN(CC(=O)Nc1nccs1)CC(=O)N1CCNCC1 ZINC000038031439 174026246 /nfs/dbraw/zinc/02/62/46/174026246.db2.gz PRNGYLRUDHTLAL-UHFFFAOYSA-N 0 1 297.384 0.095 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@@]2(O)C[C@H]2C1 ZINC000661712683 414668446 /nfs/dbraw/zinc/66/84/46/414668446.db2.gz WNGFUKHYIREOHI-GXTWGEPZSA-N 0 1 250.342 0.644 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)N[C@H]2[C@@H]3COC[C@@H]32)n[nH]1 ZINC000329249105 227381424 /nfs/dbraw/zinc/38/14/24/227381424.db2.gz APHIABXHUJQKIU-URJBTRRKSA-N 0 1 251.290 0.323 20 30 CCEDMN CCc1nn(C)cc1C(=O)NC[C@H]1CN(C)CCN1C ZINC000329098124 227296381 /nfs/dbraw/zinc/29/63/81/227296381.db2.gz LVALPDVXUPSLMC-NSHDSACASA-N 0 1 279.388 0.533 20 30 CCEDMN CCn1cnnc1NC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000329133108 227317940 /nfs/dbraw/zinc/31/79/40/227317940.db2.gz PLLYMLHFWMNZFH-SSDOTTSWSA-N 0 1 261.289 0.809 20 30 CCEDMN CCn1cnnc1NC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000329133108 227317947 /nfs/dbraw/zinc/31/79/47/227317947.db2.gz PLLYMLHFWMNZFH-SSDOTTSWSA-N 0 1 261.289 0.809 20 30 CCEDMN CO[C@H]1CCN(C([O-])=[NH+]C[C@H]2CCc3nnc(C)n3C2)C1 ZINC000330140886 228048666 /nfs/dbraw/zinc/04/86/66/228048666.db2.gz XFIIKOFYFFUTET-NEPJUHHUSA-N 0 1 293.371 0.784 20 30 CCEDMN Cc1nnccc1NC(=O)NC[C@H]1CN(C)CCN1C ZINC000330156058 228051515 /nfs/dbraw/zinc/05/15/15/228051515.db2.gz OLGUPPWQDBOHRF-NSHDSACASA-N 0 1 278.360 0.357 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NCCN(C)C1CC1 ZINC000331798422 228128579 /nfs/dbraw/zinc/12/85/79/228128579.db2.gz RVRXNUVHZONMFJ-SNVBAGLBSA-N 0 1 274.390 0.149 20 30 CCEDMN CNC(=O)C1CCN([C@@H]2CC(=O)N(C3CC3)C2=O)CC1 ZINC000328913975 545020160 /nfs/dbraw/zinc/02/01/60/545020160.db2.gz IOZAKLIMKVEJCF-LLVKDONJSA-N 0 1 279.340 0.575 20 30 CCEDMN CN1CCOC[C@@H]1C(=O)N[C@H]1CCc2[nH]cnc2C1 ZINC000328955073 545020547 /nfs/dbraw/zinc/02/05/47/545020547.db2.gz IREWARYZXFBWOZ-JOYOIKCWSA-N 0 1 264.329 0.554 20 30 CCEDMN [O-]C(NC[C@@H]1CC[C@H](O)C1)=[NH+][C@H]1CCn2ccnc2C1 ZINC000329914758 545027709 /nfs/dbraw/zinc/02/77/09/545027709.db2.gz CPZOZCZHEASKNY-WOPDTQHZSA-N 0 1 278.356 0.863 20 30 CCEDMN N#Cc1cc2c(nc1NC[C@H]1COCCN1)CCOC2 ZINC000600953680 420492972 /nfs/dbraw/zinc/49/29/72/420492972.db2.gz AKJNLIXIPYAJEB-LBPRGKRZSA-N 0 1 274.324 0.426 20 30 CCEDMN CC(=O)Nc1cccc([C@H](C)NCC(=O)NCC#N)c1 ZINC000037900915 260119461 /nfs/dbraw/zinc/11/94/61/260119461.db2.gz FWESDPJWOJUYSP-JTQLQIEISA-N 0 1 274.324 0.935 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@H](C)Cc1cnc[nH]1 ZINC000517757040 260189150 /nfs/dbraw/zinc/18/91/50/260189150.db2.gz MLDIYVVOYYXILS-SECBINFHSA-N 0 1 264.285 0.113 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H]([C@@H](C)O)C2)CC1 ZINC000490866478 261230659 /nfs/dbraw/zinc/23/06/59/261230659.db2.gz PJRYJRCTXXJUHV-HIFRSBDPSA-N 0 1 278.396 0.951 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@@H](C)C(=O)N(C)CCC#N)C[C@@H]1C ZINC000249056209 261239149 /nfs/dbraw/zinc/23/91/49/261239149.db2.gz IWFQAHPTXZWKKO-RWMBFGLXSA-N 0 1 295.383 0.878 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](O)[C@@H](CC)C2)CC1 ZINC000490892658 261239579 /nfs/dbraw/zinc/23/95/79/261239579.db2.gz NYESQTLVOFIRKO-JSGCOSHPSA-N 0 1 264.369 0.561 20 30 CCEDMN CCN(CC(=O)N[C@](C)(C#N)C1CC1)[C@@H]1CCOC1 ZINC000361579015 261347294 /nfs/dbraw/zinc/34/72/94/261347294.db2.gz UBXJQPJIMDUELU-TZMCWYRMSA-N 0 1 265.357 0.906 20 30 CCEDMN CC#CCCCC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000364170446 262179184 /nfs/dbraw/zinc/17/91/84/262179184.db2.gz RFSKJCQXMNIGJW-JTQLQIEISA-N 0 1 263.301 0.293 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@H](C(N)=O)C(C)(C)C ZINC000109331542 262197823 /nfs/dbraw/zinc/19/78/23/262197823.db2.gz BCKPQTMDAPBJDR-CMPLNLGQSA-N 0 1 282.388 0.484 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1C[C@H](O)C2(CC2)C1 ZINC000364320544 262201354 /nfs/dbraw/zinc/20/13/54/262201354.db2.gz XPSOQZKSPMWVQQ-QWRGUYRKSA-N 0 1 251.330 0.204 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](O)C3(CC3)C2)CC1 ZINC000364462541 262244079 /nfs/dbraw/zinc/24/40/79/262244079.db2.gz LVYMCZZTAFFCKW-CYBMUJFWSA-N 0 1 262.353 0.315 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000364530533 262253036 /nfs/dbraw/zinc/25/30/36/262253036.db2.gz YXZPBYLNBCVNOR-NXEZZACHSA-N 0 1 281.316 0.081 20 30 CCEDMN CNS(=O)(=O)C[C@H]1CCCN1CCC(C)(C)C#N ZINC000336727320 262384972 /nfs/dbraw/zinc/38/49/72/262384972.db2.gz LZLNNRHSTSIECA-LLVKDONJSA-N 0 1 273.402 0.940 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)c1ccc2[nH]nnc2c1 ZINC000269576385 262971794 /nfs/dbraw/zinc/97/17/94/262971794.db2.gz JLHGNZJEMPNXNH-GFCCVEGCSA-N 0 1 259.269 0.616 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000340183248 263083691 /nfs/dbraw/zinc/08/36/91/263083691.db2.gz YMFXVXVUMNNJFY-GFCCVEGCSA-N 0 1 253.390 0.951 20 30 CCEDMN CN(Cc1ccc(OCC#N)cc1)[C@@H]1COC[C@H]1O ZINC000285677618 263095006 /nfs/dbraw/zinc/09/50/06/263095006.db2.gz UPVNZAWVTFXMCR-ZIAGYGMSSA-N 0 1 262.309 0.780 20 30 CCEDMN CC(C)N1CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000045778781 263302696 /nfs/dbraw/zinc/30/26/96/263302696.db2.gz NAMPMJJRDJAYRF-CYBMUJFWSA-N 0 1 266.389 0.773 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000341704496 263347191 /nfs/dbraw/zinc/34/71/91/263347191.db2.gz RYHDUIJVUKRWGQ-LBPRGKRZSA-N 0 1 295.387 0.173 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H]1C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC000960498804 649854729 /nfs/dbraw/zinc/85/47/29/649854729.db2.gz DCQBICRQAXLVIJ-ZJUUUORDSA-N 0 1 292.343 0.093 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H]1C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC000960498804 649854731 /nfs/dbraw/zinc/85/47/31/649854731.db2.gz DCQBICRQAXLVIJ-ZJUUUORDSA-N 0 1 292.343 0.093 20 30 CCEDMN C[C@@H]1CN(C2CC2)C[C@@H]1NC(=O)NCC1([S@](C)=O)CC1 ZINC000328614161 263828833 /nfs/dbraw/zinc/82/88/33/263828833.db2.gz QXCJCKUCYKYUKH-FQKDVGPYSA-N 0 1 299.440 0.884 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc2c1CCC2 ZINC000960726415 649858168 /nfs/dbraw/zinc/85/81/68/649858168.db2.gz GTXULQAPWBPXJY-IAZYJMLFSA-N 0 1 271.324 0.082 20 30 CCEDMN C[C@H](CS(C)(=O)=O)NC(=O)N(C)CCn1cccn1 ZINC000330759036 264030946 /nfs/dbraw/zinc/03/09/46/264030946.db2.gz JYGLSOCFUDKALA-SNVBAGLBSA-N 0 1 288.373 0.162 20 30 CCEDMN CCc1nc2n(n1)C[C@@H](NC(=O)N(C)CCOC)CC2 ZINC000330807373 264043568 /nfs/dbraw/zinc/04/35/68/264043568.db2.gz KQWDVOCMNIPFGW-JTQLQIEISA-N 0 1 281.360 0.648 20 30 CCEDMN C[C@@H]1CN(C(=O)CCCC#N)CCN1CC(C)(C)O ZINC000330887662 264063756 /nfs/dbraw/zinc/06/37/56/264063756.db2.gz HNFJDNJGBKWREI-GFCCVEGCSA-N 0 1 267.373 0.984 20 30 CCEDMN Cc1cnn(CC[NH+]=C([O-])N2CC[C@H](CCO)C2)c1 ZINC000330934866 264079759 /nfs/dbraw/zinc/07/97/59/264079759.db2.gz KPHWIGTZACZMHW-GFCCVEGCSA-N 0 1 266.345 0.810 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCO[C@H](c2n[nH]c(C)n2)C1 ZINC000330967418 264091211 /nfs/dbraw/zinc/09/12/11/264091211.db2.gz QXIRBAPYGOLZIL-GXFFZTMASA-N 0 1 280.328 0.340 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000330967354 264091241 /nfs/dbraw/zinc/09/12/41/264091241.db2.gz QPMYEMAFFBKVDC-ZFWWWQNUSA-N 0 1 282.384 0.494 20 30 CCEDMN CC(C)[N@@H+](C)CCNC(=O)N[C@@H]1CC[S@@](=O)C1 ZINC000331042064 264108042 /nfs/dbraw/zinc/10/80/42/264108042.db2.gz CXXBCDPPVQYXSS-BMLIUANNSA-N 0 1 261.391 0.351 20 30 CCEDMN CC(C)CN(CCN(C)C)C(=O)N[C@@H]1CC[S@](=O)C1 ZINC000331043607 264108130 /nfs/dbraw/zinc/10/81/30/264108130.db2.gz DQCAKVIEATWALV-BLVKFPJESA-N 0 1 289.445 0.941 20 30 CCEDMN C[C@@H](CNC(=O)C#Cc1ccccc1)N1CCN(C)CC1 ZINC000080653306 264409884 /nfs/dbraw/zinc/40/98/84/264409884.db2.gz YQRAQZFNKULIRZ-HNNXBMFYSA-N 0 1 285.391 0.790 20 30 CCEDMN C#CCONC(=O)[C@@H]1COc2ccc(OC)cc2C1 ZINC000291337224 264823053 /nfs/dbraw/zinc/82/30/53/264823053.db2.gz VKIGGFQMFLXCAB-NSHDSACASA-N 0 1 261.277 0.927 20 30 CCEDMN N#CC1(NC(=O)CN2C[C@@H]3CC[C@@H](O)[C@H]3C2)CCC1 ZINC000525886643 265036190 /nfs/dbraw/zinc/03/61/90/265036190.db2.gz KJPYYBWINYLIAW-SDDRHHMPSA-N 0 1 263.341 0.252 20 30 CCEDMN CC1(C(N)=O)CN(CCOc2ccccc2C#N)C1 ZINC000520224444 266962926 /nfs/dbraw/zinc/96/29/26/266962926.db2.gz GCPRMXTVWKHBDQ-UHFFFAOYSA-N 0 1 259.309 0.744 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@H]1CCCc2n[nH]cc21 ZINC000367602750 267102919 /nfs/dbraw/zinc/10/29/19/267102919.db2.gz VZRAQJZUFKUSAU-NSHDSACASA-N 0 1 269.370 0.978 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@H](CC(N)=O)C2)CCCCC1 ZINC000367989080 267132745 /nfs/dbraw/zinc/13/27/45/267132745.db2.gz OFADWSIBFHUNJV-GFCCVEGCSA-N 0 1 292.383 0.526 20 30 CCEDMN C#CCCN1CCC(S(=O)(=O)N2CCCC2)CC1 ZINC000370295125 267307977 /nfs/dbraw/zinc/30/79/77/267307977.db2.gz BNGUBULIHDSCPU-UHFFFAOYSA-N 0 1 270.398 0.900 20 30 CCEDMN Cn1ncc(C#N)c1N1CCC(C)(N2CCOCC2)CC1 ZINC000528191574 268130840 /nfs/dbraw/zinc/13/08/40/268130840.db2.gz WRAUODVYKRKCEG-UHFFFAOYSA-N 0 1 289.383 0.983 20 30 CCEDMN CN1C[C@H](NC(=O)[C@@H]2CCCN2C2CC2)CC1=O ZINC000330271772 277201467 /nfs/dbraw/zinc/20/14/67/277201467.db2.gz WMZXPDTVOSVGSA-KOLCDFICSA-N 0 1 251.330 0.800 20 30 CCEDMN O=C(N[C@H]1CCc2ncnn2C1)N1CC2(C1)CCOCC2 ZINC000330187029 295388126 /nfs/dbraw/zinc/38/81/26/295388126.db2.gz KDBPYYFUEJBOHH-NSHDSACASA-N 0 1 291.355 0.619 20 30 CCEDMN C=CCN(CCOC)S(=O)(=O)CCN(CC)CC ZINC000352190638 526301553 /nfs/dbraw/zinc/30/15/53/526301553.db2.gz QGBZVCBGADTWTH-UHFFFAOYSA-N 0 1 278.418 0.792 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)NCCN1CCCC1 ZINC000336885305 327947117 /nfs/dbraw/zinc/94/71/17/327947117.db2.gz FMKSXVAYUQLPOP-UHFFFAOYSA-N 0 1 274.390 0.152 20 30 CCEDMN N#CCN(CC1=Nc2ccccc2S(=O)(=O)N1)C1CC1 ZINC000339732297 328019219 /nfs/dbraw/zinc/01/92/19/328019219.db2.gz XOFVOJHABKHTPJ-UHFFFAOYSA-N 0 1 290.348 0.996 20 30 CCEDMN N#CCC1(CNC(=O)N2CC[C@H](c3nc[nH]n3)C2)CC1 ZINC000571077045 328084511 /nfs/dbraw/zinc/08/45/11/328084511.db2.gz VHECYDDVCFDUPY-JTQLQIEISA-N 0 1 274.328 0.997 20 30 CCEDMN CC[C@@H](CC#N)NC[C@H](O)COC1CCOCC1 ZINC000571175223 328090773 /nfs/dbraw/zinc/09/07/73/328090773.db2.gz AYMAWROBFFZKSS-RYUDHWBXSA-N 0 1 256.346 0.825 20 30 CCEDMN CN(C)C(=O)[C@@H]1CC[C@H](CNC[C@@H](C#N)CCC#N)O1 ZINC000563709863 328486382 /nfs/dbraw/zinc/48/63/82/328486382.db2.gz QKXARBKNLAVYPP-UPJWGTAASA-N 0 1 278.356 0.655 20 30 CCEDMN C[C@@H]1CN(CCCNc2ncncc2C#N)C[C@@H](C)O1 ZINC000573362851 327138244 /nfs/dbraw/zinc/13/82/44/327138244.db2.gz BLUWHYOSUXTUIA-VXGBXAGGSA-N 0 1 275.356 0.681 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@]2(C)CCCO2)CC1 ZINC000094789156 327250532 /nfs/dbraw/zinc/25/05/32/327250532.db2.gz LBWRLXHYVQCBBA-LBPRGKRZSA-N 0 1 251.330 0.660 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NCC(=O)Nc1cc(C)no1 ZINC000560321135 327299088 /nfs/dbraw/zinc/29/90/88/327299088.db2.gz AYJWWKZOZJQWGJ-ZJUUUORDSA-N 0 1 251.286 0.855 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC(N)=O)CC(C)C)CC1 ZINC000159683696 327554507 /nfs/dbraw/zinc/55/45/07/327554507.db2.gz SARJUXYOJXKDGM-UHFFFAOYSA-N 0 1 279.384 0.302 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](CC(N)=O)C1 ZINC000377607533 329020075 /nfs/dbraw/zinc/02/00/75/329020075.db2.gz QJQLPDJCUJEFCZ-NEPJUHHUSA-N 0 1 280.372 0.192 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](CC(N)=O)C1 ZINC000377607533 329020076 /nfs/dbraw/zinc/02/00/76/329020076.db2.gz QJQLPDJCUJEFCZ-NEPJUHHUSA-N 0 1 280.372 0.192 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC[C@H](OCCO)C1 ZINC000172299535 329084490 /nfs/dbraw/zinc/08/44/90/329084490.db2.gz XSXZYFPCXJSGOE-STQMWFEESA-N 0 1 283.372 0.220 20 30 CCEDMN C=CCOCCCC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000173106724 329144810 /nfs/dbraw/zinc/14/48/10/329144810.db2.gz BVPGPRXGAVDUNJ-UHFFFAOYSA-N 0 1 284.400 0.884 20 30 CCEDMN N#CCSCCC[N@H+]1CCN2C(=O)NC[C@H]2C1 ZINC000175889802 329170787 /nfs/dbraw/zinc/17/07/87/329170787.db2.gz HHGOQKKNBXLXDB-JTQLQIEISA-N 0 1 254.359 0.343 20 30 CCEDMN N#CCSCCCN1CCN2C(=O)NC[C@H]2C1 ZINC000175889802 329170789 /nfs/dbraw/zinc/17/07/89/329170789.db2.gz HHGOQKKNBXLXDB-JTQLQIEISA-N 0 1 254.359 0.343 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(C)(O)CC1 ZINC000177303964 329186057 /nfs/dbraw/zinc/18/60/57/329186057.db2.gz ZDGVZKMAZKVZJA-JTQLQIEISA-N 0 1 269.345 0.234 20 30 CCEDMN C[C@@H]1CCCN(CCNS(=O)(=O)CC2(C#N)CC2)C1 ZINC000180406323 329216039 /nfs/dbraw/zinc/21/60/39/329216039.db2.gz FHMOFSFFLMEWHV-GFCCVEGCSA-N 0 1 285.413 0.941 20 30 CCEDMN COC[C@@H](C)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000582900120 329283160 /nfs/dbraw/zinc/28/31/60/329283160.db2.gz DIAHLBHCLNWNPK-SNVBAGLBSA-N 0 1 283.353 0.351 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)C2(C#N)CCC2)C1 ZINC000227639695 329329234 /nfs/dbraw/zinc/32/92/34/329329234.db2.gz RUGHCMAEJMHWJG-NSHDSACASA-N 0 1 250.346 0.042 20 30 CCEDMN C=CCN(CCOC)c1cc(-n2cccn2)nc(N)n1 ZINC000189633910 329384728 /nfs/dbraw/zinc/38/47/28/329384728.db2.gz BJTJPHORJVYMBW-UHFFFAOYSA-N 0 1 274.328 0.883 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N1CCNC[C@@H]1C ZINC000419134199 329708803 /nfs/dbraw/zinc/70/88/03/329708803.db2.gz IENYSNPXZRDLOO-WDEREUQCSA-N 0 1 253.346 0.278 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)N1CCNC[C@H]1CCC ZINC000419408912 329724294 /nfs/dbraw/zinc/72/42/94/329724294.db2.gz YELQKDVXAWIBTK-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C[C@H]1CN(C(=[NH2+])NCc2ncccc2[O-])CCO1 ZINC000262493036 330017444 /nfs/dbraw/zinc/01/74/44/330017444.db2.gz VOACNSHPVBBEJS-VIFPVBQESA-N 0 1 250.302 0.323 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cc(-c3ccoc3)[nH]n2)C1 ZINC000527254127 330241849 /nfs/dbraw/zinc/24/18/49/330241849.db2.gz ZOOXNIWELLJSFL-UHFFFAOYSA-N 0 1 272.264 0.770 20 30 CCEDMN CCC(C#N)(CC)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000527906103 330290048 /nfs/dbraw/zinc/29/00/48/330290048.db2.gz KVYQTLWTSZBIDI-UHFFFAOYSA-N 0 1 294.399 0.549 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000424544418 330372839 /nfs/dbraw/zinc/37/28/39/330372839.db2.gz KTNDVTQEPNLZHV-YNEHKIRRSA-N 0 1 292.383 0.395 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)C[C@@H](C)N1 ZINC000424551366 330374217 /nfs/dbraw/zinc/37/42/17/330374217.db2.gz VKQYZJASFKEOKU-WISYIIOYSA-N 0 1 278.356 0.004 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@@H]2C2CC2)CC1 ZINC000089972801 330389155 /nfs/dbraw/zinc/38/91/55/330389155.db2.gz MJIBXUJZHMZODS-OAHLLOKOSA-N 0 1 276.380 0.969 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCC[N@H+](C)CCCOC ZINC000454560195 331148934 /nfs/dbraw/zinc/14/89/34/331148934.db2.gz OPKCQTDBALZATN-OLZOCXBDSA-N 0 1 285.388 0.597 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@H]1COC[C@H]1OC ZINC000459833836 331302416 /nfs/dbraw/zinc/30/24/16/331302416.db2.gz VMQKYOMCAVFKSA-FRRDWIJNSA-N 0 1 268.357 0.579 20 30 CCEDMN C=CCn1cccc1C(=O)NC(C)(C)c1nn[nH]n1 ZINC000490016412 332076734 /nfs/dbraw/zinc/07/67/34/332076734.db2.gz GXCPFICRUNKLIB-UHFFFAOYSA-N 0 1 260.301 0.852 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](C)CN2CCOCC2)CC1 ZINC000490714718 332107743 /nfs/dbraw/zinc/10/77/43/332107743.db2.gz QLGJMFZDBADEBD-CQSZACIVSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](C)c2nnnn2C)CC1 ZINC000490719996 332108624 /nfs/dbraw/zinc/10/86/24/332108624.db2.gz PLRPMMSQXYXJBJ-JTQLQIEISA-N 0 1 277.328 0.160 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCS[C@H](C)[C@@H]2C)CC1 ZINC000490760466 332112995 /nfs/dbraw/zinc/11/29/95/332112995.db2.gz LVIUOUBJLACKQG-UONOGXRCSA-N 0 1 295.452 0.590 20 30 CCEDMN C#CCN1CCC(C(=O)NCC[C@@H](C)[S@@](C)=O)CC1 ZINC000490830896 332118515 /nfs/dbraw/zinc/11/85/15/332118515.db2.gz NNJXQFSUZXOEHA-CWTRNNRKSA-N 0 1 284.425 0.605 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@H]2CCOC2)CC1 ZINC000490850443 332120179 /nfs/dbraw/zinc/12/01/79/332120179.db2.gz AYQWNVSJYPPUJL-LBPRGKRZSA-N 0 1 251.326 0.911 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCNC(=O)OC ZINC000490875226 332124032 /nfs/dbraw/zinc/12/40/32/332124032.db2.gz OXNKNRIPFVZQMZ-LLVKDONJSA-N 0 1 268.313 0.373 20 30 CCEDMN C#CCN(CC#N)C(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000490984367 332135825 /nfs/dbraw/zinc/13/58/25/332135825.db2.gz DSNMXFWMQNJKBJ-UHFFFAOYSA-N 0 1 282.307 0.892 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H](C)C(=O)NCC(C)C)CC1 ZINC000491103049 332150039 /nfs/dbraw/zinc/15/00/39/332150039.db2.gz NZPDMKIRUPZBNH-CYBMUJFWSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](C)c2nncn2C)CC1 ZINC000491142616 332155289 /nfs/dbraw/zinc/15/52/89/332155289.db2.gz DDEUUHAIILRGLI-LLVKDONJSA-N 0 1 276.340 0.765 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H]2COC)CC1 ZINC000491144825 332155834 /nfs/dbraw/zinc/15/58/34/332155834.db2.gz IUKYBXDFVZJFJR-CYBMUJFWSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H]2COC)CC1 ZINC000491144826 332155902 /nfs/dbraw/zinc/15/59/02/332155902.db2.gz IUKYBXDFVZJFJR-ZDUSSCGKSA-N 0 1 250.342 0.579 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)NCCCc1cn[nH]c1C ZINC000491297668 332184513 /nfs/dbraw/zinc/18/45/13/332184513.db2.gz ROXZTEKZIFCFKJ-CYBMUJFWSA-N 0 1 276.340 0.694 20 30 CCEDMN C#CCN(CC#CC)C(=O)NCc1n[nH]c(C2CC2)n1 ZINC000491452591 332214032 /nfs/dbraw/zinc/21/40/32/332214032.db2.gz MBUKPADVUBNCFL-UHFFFAOYSA-N 0 1 271.324 0.850 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NCCC(=O)OC(C)C ZINC000491618829 332245021 /nfs/dbraw/zinc/24/50/21/332245021.db2.gz CYOBYIICLUDLEF-UHFFFAOYSA-N 0 1 283.372 0.582 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC(CF)CC2)C1=O ZINC000491655749 332267721 /nfs/dbraw/zinc/26/77/21/332267721.db2.gz CNUDVPCZDNLAML-LLVKDONJSA-N 0 1 252.289 0.429 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1c(C)cccc1C ZINC000491666163 332274711 /nfs/dbraw/zinc/27/47/11/332274711.db2.gz SYMORLWMFMPFBM-KGLIPLIRSA-N 0 1 263.337 0.627 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC(CCC#N)CC2)C1=O ZINC000491705787 332298898 /nfs/dbraw/zinc/29/88/98/332298898.db2.gz DABDLCCGAQTKCM-ZDUSSCGKSA-N 0 1 273.336 0.763 20 30 CCEDMN C#CCN(C(=O)N=c1ccn(CCN(C)C)[nH]1)C1CC1 ZINC000491731176 332312844 /nfs/dbraw/zinc/31/28/44/332312844.db2.gz AQVWBVROFDLYLX-UHFFFAOYSA-N 0 1 275.356 0.496 20 30 CCEDMN C#CCNC(=O)CCN[C@@H]1COc2ccc(F)cc21 ZINC000491767118 332335021 /nfs/dbraw/zinc/33/50/21/332335021.db2.gz GJNWQANIYKSZOZ-GFCCVEGCSA-N 0 1 262.284 0.988 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCCF)CC1 ZINC000491818203 332368772 /nfs/dbraw/zinc/36/87/72/332368772.db2.gz XRAJTORRBJUOBA-UHFFFAOYSA-N 0 1 255.337 0.103 20 30 CCEDMN CO[C@H]1CN(CC(=O)N(CCC#N)CCC#N)CC[C@H]1C ZINC000189365008 333191328 /nfs/dbraw/zinc/19/13/28/333191328.db2.gz PGXUNXZHDNIQSK-KGLIPLIRSA-N 0 1 292.383 0.999 20 30 CCEDMN CCCNC(=O)CCC(=O)NC1(C#N)CCN(C)CC1 ZINC000522019765 333230334 /nfs/dbraw/zinc/23/03/34/333230334.db2.gz GDDLXJVRXWATSZ-UHFFFAOYSA-N 0 1 280.372 0.397 20 30 CCEDMN Cc1cc(C#N)ccc1OC[C@H](O)CN1CCN(C)CC1 ZINC000575894109 335128906 /nfs/dbraw/zinc/12/89/06/335128906.db2.gz QLWGQYLORWPREY-OAHLLOKOSA-N 0 1 289.379 0.854 20 30 CCEDMN C[C@@H](CNS(=O)(=O)N(C)CCC#N)N1CCCC1 ZINC000548369392 334152404 /nfs/dbraw/zinc/15/24/04/334152404.db2.gz QGDJYRRBSVROAV-NSHDSACASA-N 0 1 274.390 0.151 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C[C@H](C)O)[C@@H](C)CO ZINC000248995323 334324199 /nfs/dbraw/zinc/32/41/99/334324199.db2.gz XXXKJIARLZOSPD-SGMGOOAPSA-N 0 1 285.388 0.104 20 30 CCEDMN CC[C@@H](CC#N)NCC(O)CN[C@@H](CC)CC#N ZINC000565783800 334596777 /nfs/dbraw/zinc/59/67/77/334596777.db2.gz RTEXOBMCZLQLSQ-RYUDHWBXSA-N 0 1 252.362 0.911 20 30 CCEDMN C=CCn1cc(CNC(=O)NCc2cn[nH]c2C)nn1 ZINC000580546363 335272312 /nfs/dbraw/zinc/27/23/12/335272312.db2.gz HQHWBAONGIYEJV-UHFFFAOYSA-N 0 1 275.316 0.495 20 30 CCEDMN CCS(=O)(=O)NCCNCc1cc(C#N)cs1 ZINC000177691393 335379245 /nfs/dbraw/zinc/37/92/45/335379245.db2.gz AGTXQPIJBNRMHU-UHFFFAOYSA-N 0 1 273.383 0.649 20 30 CCEDMN Cc1cc(NCCN2C[C@H]3CC[C@@H](C2)O3)c(C#N)cn1 ZINC000581067967 336003237 /nfs/dbraw/zinc/00/32/37/336003237.db2.gz SYMXKGGUMQEXMJ-OKILXGFUSA-N 0 1 272.352 0.959 20 30 CCEDMN CN(Cc1ccc(C#N)cn1)[C@H]1CCN2CCO[C@H]1C2 ZINC000581201647 336024112 /nfs/dbraw/zinc/02/41/12/336024112.db2.gz OCXWCKVSGPPUJW-GJZGRUSLSA-N 0 1 272.352 0.858 20 30 CCEDMN CCN1CC[C@H]2OCCN(C(=O)[C@]3(C#N)C[C@H]3C)[C@H]2C1 ZINC000581985033 336184649 /nfs/dbraw/zinc/18/46/49/336184649.db2.gz UBTUEDISHRZVDO-COMQUAJESA-N 0 1 277.368 0.858 20 30 CCEDMN N#CC[N@@H+]1CC[C@@H]([C@H]2CCCN(C(=O)c3nnc[n-]3)C2)C1 ZINC000961249273 649866648 /nfs/dbraw/zinc/86/66/48/649866648.db2.gz LNJMUPZLFGTFNH-NWDGAFQWSA-N 0 1 288.355 0.502 20 30 CCEDMN N#CC[N@H+]1CC[C@@H]([C@H]2CCCN(C(=O)c3nnc[n-]3)C2)C1 ZINC000961249273 649866646 /nfs/dbraw/zinc/86/66/46/649866646.db2.gz LNJMUPZLFGTFNH-NWDGAFQWSA-N 0 1 288.355 0.502 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)C(=O)N1CCNC[C@@H]1C ZINC000415339290 533720379 /nfs/dbraw/zinc/72/03/79/533720379.db2.gz BOTDNDDRNJIIIK-JTQLQIEISA-N 0 1 286.335 0.626 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)N[C@H]2[C@@H]3COC[C@@H]32)n[nH]1 ZINC000329988887 533752689 /nfs/dbraw/zinc/75/26/89/533752689.db2.gz HQHVPNCRGVQHPC-HNXYORABSA-N 0 1 264.329 0.798 20 30 CCEDMN C=CCN(C(=O)NCc1n[nH]c(COC)n1)[C@H](C)COC ZINC000666112431 545112562 /nfs/dbraw/zinc/11/25/62/545112562.db2.gz CGWAJRDIUGVILE-SNVBAGLBSA-N 0 1 297.359 0.684 20 30 CCEDMN C=CCN(C(=O)NCc1nnc(COC)[nH]1)[C@H](C)COC ZINC000666112431 545112563 /nfs/dbraw/zinc/11/25/63/545112563.db2.gz CGWAJRDIUGVILE-SNVBAGLBSA-N 0 1 297.359 0.684 20 30 CCEDMN C=C(C)COCCNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000354492142 526641404 /nfs/dbraw/zinc/64/14/04/526641404.db2.gz DNHRTQHHUDQYHZ-CYBMUJFWSA-N 0 1 284.404 0.124 20 30 CCEDMN C=CCOCCCNC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000666514527 545124620 /nfs/dbraw/zinc/12/46/20/545124620.db2.gz CBDNHYDTVLDHDE-KGLIPLIRSA-N 0 1 299.415 0.676 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@H]1CCCN(C)[C@@H]1C ZINC000347756078 527305591 /nfs/dbraw/zinc/30/55/91/527305591.db2.gz FPCQNEFATAXWBQ-NEPJUHHUSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000347889784 527397004 /nfs/dbraw/zinc/39/70/04/527397004.db2.gz SAUUKNHPHCKYME-CHWSQXEVSA-N 0 1 269.389 0.330 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN1CCCOCC1 ZINC000356014732 527544040 /nfs/dbraw/zinc/54/40/40/527544040.db2.gz VZGIZSPDCZSFEH-CYBMUJFWSA-N 0 1 256.346 0.152 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000352509802 528392530 /nfs/dbraw/zinc/39/25/30/528392530.db2.gz HVGUVJORYLOUFE-QMMMGPOBSA-N 0 1 286.361 0.244 20 30 CCEDMN CC(C)c1nnc2n1C[C@H](NC(=O)N1CC(CO)C1)CC2 ZINC000330051771 528477184 /nfs/dbraw/zinc/47/71/84/528477184.db2.gz OAKJCLHRLRHNOS-LLVKDONJSA-N 0 1 293.371 0.554 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)CC1(O)CCC1 ZINC000353488413 528689876 /nfs/dbraw/zinc/68/98/76/528689876.db2.gz GVYIGESWPVSUTC-GFCCVEGCSA-N 0 1 267.373 0.841 20 30 CCEDMN C=CCCOCC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000673140672 545280324 /nfs/dbraw/zinc/28/03/24/545280324.db2.gz DGDYIOFQKWHHNE-CYBMUJFWSA-N 0 1 284.400 0.883 20 30 CCEDMN CCO[C@H]1C[C@@H](O)C12CCN(CC#CCOC)CC2 ZINC000677133463 545358389 /nfs/dbraw/zinc/35/83/89/545358389.db2.gz XCZRCMWHPXWZKQ-KGLIPLIRSA-N 0 1 267.369 0.888 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2[nH]nnc2c1 ZINC000962511845 649902671 /nfs/dbraw/zinc/90/26/71/649902671.db2.gz VPPSZKHSRYQSQU-IWIIMEHWSA-N 0 1 282.307 0.141 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@@H]3CSCN3C2=O)CC1 ZINC000777644084 581127615 /nfs/dbraw/zinc/12/76/15/581127615.db2.gz ZNZXROVSOQNCNF-NSHDSACASA-N 0 1 281.381 0.974 20 30 CCEDMN COC(=O)CCN1CCC(=NNC(=S)N(C)C)CC1 ZINC000788716011 581122723 /nfs/dbraw/zinc/12/27/23/581122723.db2.gz ZOPIGFOZFACMEQ-UHFFFAOYSA-N 0 1 286.401 0.437 20 30 CCEDMN COC[C@H](C)NN=Cc1c(C)nn(C)c1N1CCOCC1 ZINC000777894701 581138251 /nfs/dbraw/zinc/13/82/51/581138251.db2.gz OMGQKGNOIFHNSO-NSHDSACASA-N 0 1 295.387 0.524 20 30 CCEDMN C=C(CO)C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000791299929 581213461 /nfs/dbraw/zinc/21/34/61/581213461.db2.gz GCUAUTOJOLXOBL-GFCCVEGCSA-N 0 1 256.346 0.008 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](CNC(=O)C(C)C)C1 ZINC000726187662 581232003 /nfs/dbraw/zinc/23/20/03/581232003.db2.gz FLASEXPOOAESNB-CYBMUJFWSA-N 0 1 279.384 0.220 20 30 CCEDMN Cc1cc(CNC(=O)[C@H](O)c2ccc(C#N)cc2)n[nH]1 ZINC000780938106 581250857 /nfs/dbraw/zinc/25/08/57/581250857.db2.gz KRBWLSJJNBWOST-CYBMUJFWSA-N 0 1 270.292 0.940 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)N[C@H]2CCc3nc[nH]c3C2)cc1 ZINC000780986797 581254702 /nfs/dbraw/zinc/25/47/02/581254702.db2.gz QDLQESVFWZTGIG-WFASDCNBSA-N 0 1 296.330 0.988 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000781018443 581256508 /nfs/dbraw/zinc/25/65/08/581256508.db2.gz FIPPZEVPNXESEB-NWDGAFQWSA-N 0 1 267.373 0.495 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCC(CC#N)CC2)CCO1 ZINC000782089366 581287714 /nfs/dbraw/zinc/28/77/14/581287714.db2.gz TWWLDSOAYQLNII-GFCCVEGCSA-N 0 1 265.357 0.859 20 30 CCEDMN COCC#CCOC(=O)CCCCc1cn[nH]n1 ZINC000782311783 581296670 /nfs/dbraw/zinc/29/66/70/581296670.db2.gz OZDLDPFHWHWFSG-UHFFFAOYSA-N 0 1 251.286 0.711 20 30 CCEDMN CCNc1nc(=NN=Cc2cn(C)cn2)nc(NCC)[nH]1 ZINC000794473141 581371071 /nfs/dbraw/zinc/37/10/71/581371071.db2.gz ZPFALPPQPDQHLU-UHFFFAOYSA-N 0 1 289.347 0.915 20 30 CCEDMN C#CCNC(=O)CON=C(N)CN1[C@H](C)CCC[C@@H]1C ZINC000794532098 581374784 /nfs/dbraw/zinc/37/47/84/581374784.db2.gz SWIMHGRTAYVCCA-TXEJJXNPSA-N 0 1 280.372 0.497 20 30 CCEDMN C#CCOCCNC(=O)N1C[C@@H](C)N(CC)C[C@@H]1C ZINC000784541777 581387873 /nfs/dbraw/zinc/38/78/73/581387873.db2.gz YXSSLKHCULWCGI-OLZOCXBDSA-N 0 1 267.373 0.760 20 30 CCEDMN NC(=O)COc1ccc(C=NNc2cncnc2)cc1 ZINC000794976934 581393436 /nfs/dbraw/zinc/39/34/36/581393436.db2.gz SQHBUHWXXGWGMU-UHFFFAOYSA-N 0 1 271.280 0.787 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2ccnc(C)n2)CC1 ZINC000796443978 581440526 /nfs/dbraw/zinc/44/05/26/581440526.db2.gz BXLWGWUEXIGOPV-UHFFFAOYSA-N 0 1 272.352 0.746 20 30 CCEDMN Cn1nncc1NS(=O)(=O)c1cccc(C#N)c1 ZINC000788206052 581485276 /nfs/dbraw/zinc/48/52/76/581485276.db2.gz UZQNVZLPUIGZIW-UHFFFAOYSA-N 0 1 263.282 0.488 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000765237435 581507096 /nfs/dbraw/zinc/50/70/96/581507096.db2.gz ZFIMHXRWNNXIQB-MNOVXSKESA-N 0 1 275.356 0.642 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000739171634 581516463 /nfs/dbraw/zinc/51/64/63/581516463.db2.gz CNXFOACEVQKBJB-IJLUTSLNSA-N 0 1 296.371 0.725 20 30 CCEDMN COC[C@@H](C)NC(=S)NN=C1CCN2CCC[C@@H]12 ZINC000765558229 581524937 /nfs/dbraw/zinc/52/49/37/581524937.db2.gz ADOHLCXLRRFRHK-KOLCDFICSA-N 0 1 270.402 0.710 20 30 CCEDMN S=C(NC[C@@H]1CCCO1)NN=C1CCN2CCC[C@@H]12 ZINC000765555191 581524951 /nfs/dbraw/zinc/52/49/51/581524951.db2.gz IALHERVFYWLQAE-JQWIXIFHSA-N 0 1 282.413 0.854 20 30 CCEDMN C#CCOCCNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000753231561 581532744 /nfs/dbraw/zinc/53/27/44/581532744.db2.gz MLWKZCWJMFGNOW-UHFFFAOYSA-N 0 1 270.292 0.851 20 30 CCEDMN C#CCOCCNC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000753520925 581545740 /nfs/dbraw/zinc/54/57/40/581545740.db2.gz GFMFTVPQKNHNPZ-UHFFFAOYSA-N 0 1 264.237 0.680 20 30 CCEDMN Oc1ccc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)c(O)c1O ZINC000753937700 581565725 /nfs/dbraw/zinc/56/57/25/581565725.db2.gz FUZBMVHVVCEDMC-NXEZZACHSA-N 0 1 290.323 0.997 20 30 CCEDMN C#CCNC(=O)CN[C@@H](CCO)c1cccs1 ZINC000754389134 581589607 /nfs/dbraw/zinc/58/96/07/581589607.db2.gz ZRAHKBAQDDQYBC-JTQLQIEISA-N 0 1 252.339 0.511 20 30 CCEDMN C[N@H+](CCCNC(=O)[O-])[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000739677281 581590901 /nfs/dbraw/zinc/59/09/01/581590901.db2.gz USANHLIHKCJMFL-LBPRGKRZSA-N 0 1 290.323 0.674 20 30 CCEDMN C[N@@H+](CCCNC(=O)[O-])[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000739677281 581590904 /nfs/dbraw/zinc/59/09/04/581590904.db2.gz USANHLIHKCJMFL-LBPRGKRZSA-N 0 1 290.323 0.674 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)C1(C(=O)NC)CCC1 ZINC000756545723 581670658 /nfs/dbraw/zinc/67/06/58/581670658.db2.gz UVHYEBDEBXJQNP-LBPRGKRZSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)N2CCCCC2)CC1 ZINC000735940160 581673397 /nfs/dbraw/zinc/67/33/97/581673397.db2.gz MRXZKDSJFNHFNE-UHFFFAOYSA-N 0 1 292.379 0.887 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@H](CC(C)C)C(=O)[O-] ZINC000736079982 581688205 /nfs/dbraw/zinc/68/82/05/581688205.db2.gz QCNVOOKPWMZQRC-STQMWFEESA-N 0 1 280.368 0.947 20 30 CCEDMN C#CCCCC(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC000760483528 581705786 /nfs/dbraw/zinc/70/57/86/581705786.db2.gz JQODINKIYFPVLX-UHFFFAOYSA-N 0 1 294.395 0.476 20 30 CCEDMN C#CCNC(=O)COC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000758137274 581723031 /nfs/dbraw/zinc/72/30/31/581723031.db2.gz OERZIGIKTRXZQR-VIFPVBQESA-N 0 1 261.281 0.122 20 30 CCEDMN CC[C@@H](C(=O)OCC(=O)NCCC#N)N(CC)CC ZINC000741032850 581728596 /nfs/dbraw/zinc/72/85/96/581728596.db2.gz NYWWYUFHLDUWKO-NSHDSACASA-N 0 1 269.345 0.680 20 30 CCEDMN N#CCc1ccc(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)cc1 ZINC000759027645 581781316 /nfs/dbraw/zinc/78/13/16/581781316.db2.gz OHIWYKLRGISJRP-GFCCVEGCSA-N 0 1 298.306 0.479 20 30 CCEDMN C#CCOCCC(=O)OC[C@@H]1CN(C(C)C)CCO1 ZINC000788038801 581797919 /nfs/dbraw/zinc/79/79/19/581797919.db2.gz FBCKRWCXIWGPSC-ZDUSSCGKSA-N 0 1 269.341 0.679 20 30 CCEDMN COC(=O)[C@@H](c1ccc(C#N)cc1)N1CCc2n[nH]nc2C1 ZINC000759521646 581803075 /nfs/dbraw/zinc/80/30/75/581803075.db2.gz NZEYKZPJYPOSTN-CQSZACIVSA-N 0 1 297.318 0.949 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000760492488 581862095 /nfs/dbraw/zinc/86/20/95/581862095.db2.gz UBPTVLZPVPZNCB-MRVPVSSYSA-N 0 1 296.289 0.744 20 30 CCEDMN C#CCCCN1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000766895207 581874027 /nfs/dbraw/zinc/87/40/27/581874027.db2.gz AENUNKJWNKNHSW-CYBMUJFWSA-N 0 1 263.385 0.685 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCN(C)[C@H](C)C1 ZINC000761078574 581886939 /nfs/dbraw/zinc/88/69/39/581886939.db2.gz RPYKAWGRACFQRY-QWHCGFSZSA-N 0 1 266.389 0.630 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@H](NC(=O)[O-])C1 ZINC000737492786 581966016 /nfs/dbraw/zinc/96/60/16/581966016.db2.gz RCAFLOOAHCOTGE-RYUDHWBXSA-N 0 1 279.340 0.343 20 30 CCEDMN N#Cc1cccc(OCC(=O)NCc2cnc[nH]2)c1 ZINC000748323366 581968413 /nfs/dbraw/zinc/96/84/13/581968413.db2.gz YUNKLCXGIAXRBJ-UHFFFAOYSA-N 0 1 256.265 0.977 20 30 CCEDMN C#CCNC(=O)CN[C@H](CCO)c1ccc(OC)cc1 ZINC000774848147 581999490 /nfs/dbraw/zinc/99/94/90/581999490.db2.gz RPPJTRXDVRJDSY-CQSZACIVSA-N 0 1 276.336 0.458 20 30 CCEDMN C#C[C@H](C)NC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000775383883 582021758 /nfs/dbraw/zinc/02/17/58/582021758.db2.gz OSSODWMLOMFMFL-LBPRGKRZSA-N 0 1 272.352 0.585 20 30 CCEDMN C#C[C@H](NCCn1c(C)csc1=O)[C@H]1CCCO1 ZINC000775789013 582038261 /nfs/dbraw/zinc/03/82/61/582038261.db2.gz AFBYKGGYGOKGGN-NWDGAFQWSA-N 0 1 266.366 0.989 20 30 CCEDMN C#C[C@@H](NCCn1cc([N+](=O)[O-])ccc1=O)[C@H]1CCCO1 ZINC000775790962 582038304 /nfs/dbraw/zinc/03/83/04/582038304.db2.gz RHPPIMMLLVMUKA-CHWSQXEVSA-N 0 1 291.307 0.527 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NC(=O)NC1CC1)[C@H]1CCCO1 ZINC000775786655 582038433 /nfs/dbraw/zinc/03/84/33/582038433.db2.gz ZEUNKJZODRWDAJ-ZMLRMANQSA-N 0 1 279.340 0.134 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NC(=O)NC(C)C)[C@H]1CCCO1 ZINC000775794366 582038895 /nfs/dbraw/zinc/03/88/95/582038895.db2.gz QFEVJZQTJNEGLC-SDDRHHMPSA-N 0 1 281.356 0.380 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000738489230 582055482 /nfs/dbraw/zinc/05/54/82/582055482.db2.gz BAFFDOPZYICRKW-NSHDSACASA-N 0 1 267.329 0.529 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000738489230 582055485 /nfs/dbraw/zinc/05/54/85/582055485.db2.gz BAFFDOPZYICRKW-NSHDSACASA-N 0 1 267.329 0.529 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CSCCS2)CC1 ZINC000751018678 582068469 /nfs/dbraw/zinc/06/84/69/582068469.db2.gz SBNKCFKVJHJHGV-SNVBAGLBSA-N 0 1 285.438 0.939 20 30 CCEDMN C=C(C)C[C@H](CO)NS(=O)(=O)N=[S@@](C)(=O)CC ZINC000882846082 613358423 /nfs/dbraw/zinc/35/84/23/613358423.db2.gz DXXCCVTUJGAFBK-ABKXIKBNSA-N 0 1 284.403 0.266 20 30 CCEDMN CNC(=O)NC[C@@H]1CCCCN1C[C@H](O)CC#N ZINC000931477063 616750816 /nfs/dbraw/zinc/75/08/16/616750816.db2.gz RDKQZTIYVZBHJM-WDEREUQCSA-N 0 1 254.334 0.044 20 30 CCEDMN C#CCOCC[N@@H+]1CC[C@@](C)([N-]C(=O)C(F)(F)F)C1 ZINC000879766610 612984150 /nfs/dbraw/zinc/98/41/50/612984150.db2.gz AQMISEZBJUFHKG-LLVKDONJSA-N 0 1 278.274 0.779 20 30 CCEDMN C[C@@H]1C[C@H](N(C)C(=O)[C@@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC000820228694 598228414 /nfs/dbraw/zinc/22/84/14/598228414.db2.gz RRIKBXLXBXPNAC-JTNHKYCSSA-N 0 1 281.356 0.932 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000826701519 612999815 /nfs/dbraw/zinc/99/98/15/612999815.db2.gz YPGBBZWKXLKOIG-ZIAGYGMSSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCSCC(=O)N1CCC[C@H]1c1nnc[nH]1 ZINC000899109188 613009891 /nfs/dbraw/zinc/00/98/91/613009891.db2.gz UNSDJYSDTPBJOS-VIFPVBQESA-N 0 1 250.327 0.835 20 30 CCEDMN C#CCSCC(=O)N1CC[C@@H](N2CC[C@H](O)C2)C1 ZINC000908203931 613010809 /nfs/dbraw/zinc/01/08/09/613010809.db2.gz SKRQMDPVOFCOGW-NEPJUHHUSA-N 0 1 268.382 0.020 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@@H](C)[C@H](CC(=O)OC)C1 ZINC000932110603 612947848 /nfs/dbraw/zinc/94/78/48/612947848.db2.gz AQGSRFUFIPJWHE-IJLUTSLNSA-N 0 1 266.341 0.255 20 30 CCEDMN C[C@H](CC(=O)[O-])[NH+]1CCN(C(=O)CSCC#N)CC1 ZINC000827361686 601106393 /nfs/dbraw/zinc/10/63/93/601106393.db2.gz DEVCBQSNQLMXBG-SNVBAGLBSA-N 0 1 285.369 0.251 20 30 CCEDMN C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCCCC2)C[C@H]1C(=O)[O-] ZINC000828433074 601506497 /nfs/dbraw/zinc/50/64/97/601506497.db2.gz QZIFQSOERLODMC-VXGBXAGGSA-N 0 1 293.367 0.982 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC000825972435 601945959 /nfs/dbraw/zinc/94/59/59/601945959.db2.gz RBIVDWLNMVEJOS-ZWNOBZJWSA-N 0 1 267.329 0.544 20 30 CCEDMN C#CC1(O)CCN(CCc2nc(C(C)=O)c(C)[nH]2)CC1 ZINC000931151504 612952367 /nfs/dbraw/zinc/95/23/67/612952367.db2.gz XJCGFPAXYALLTF-UHFFFAOYSA-N 0 1 275.352 0.923 20 30 CCEDMN C#CC1(O)CCN(CCc2nc(C)c(C(C)=O)[nH]2)CC1 ZINC000931151504 612952368 /nfs/dbraw/zinc/95/23/68/612952368.db2.gz XJCGFPAXYALLTF-UHFFFAOYSA-N 0 1 275.352 0.923 20 30 CCEDMN C[C@@H](NC(=O)[O-])[C@H]1C[N@H+](CCCSCC#N)CCO1 ZINC000825350629 604596498 /nfs/dbraw/zinc/59/64/98/604596498.db2.gz JWZKKINUVNCFIZ-GHMZBOCLSA-N 0 1 287.385 0.990 20 30 CCEDMN C[C@@H](NC(=O)[O-])[C@H]1C[N@@H+](CCCSCC#N)CCO1 ZINC000825350629 604596500 /nfs/dbraw/zinc/59/65/00/604596500.db2.gz JWZKKINUVNCFIZ-GHMZBOCLSA-N 0 1 287.385 0.990 20 30 CCEDMN C#CCNC(=S)NC[C@H]1CC[N@H+]1C1CCOCC1 ZINC000899601611 612953689 /nfs/dbraw/zinc/95/36/89/612953689.db2.gz NLGDFCLAHZHCQN-GFCCVEGCSA-N 0 1 267.398 0.337 20 30 CCEDMN C#CC[C@@H](NS(=O)(=O)C[C@]1(C)CC1(F)F)C(=O)OC ZINC000882251128 613042948 /nfs/dbraw/zinc/04/29/48/613042948.db2.gz GGHKYHNMQCCZJN-SCZZXKLOSA-N 0 1 295.307 0.516 20 30 CCEDMN C#CCC[C@H](O)CNS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000849390902 613064607 /nfs/dbraw/zinc/06/46/07/613064607.db2.gz CRTPEXKBPQDDSZ-VIFPVBQESA-N 0 1 299.308 0.042 20 30 CCEDMN C#CC[C@H](COC)N[C@@H](Cc1ccccc1)C(N)=O ZINC000863391702 613066771 /nfs/dbraw/zinc/06/67/71/613066771.db2.gz WCAYCULPGNWNFJ-KGLIPLIRSA-N 0 1 260.337 0.711 20 30 CCEDMN C#CC1(O)CN(C(=O)N[C@H](C)Cc2cc(C)[nH]n2)C1 ZINC000893746452 612956764 /nfs/dbraw/zinc/95/67/64/612956764.db2.gz PGOAYMZPKWSCLE-SECBINFHSA-N 0 1 262.313 0.039 20 30 CCEDMN C#CCN(C)S(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914426233 613079422 /nfs/dbraw/zinc/07/94/22/613079422.db2.gz BKVSWNYLTYIUOI-LBPRGKRZSA-N 0 1 256.371 0.367 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000854073795 613083306 /nfs/dbraw/zinc/08/33/06/613083306.db2.gz BYVMJPJJWKPUJX-LBPRGKRZSA-N 0 1 267.373 0.496 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnn2CC)C1 ZINC000971397047 613087079 /nfs/dbraw/zinc/08/70/79/613087079.db2.gz MMNSYAIFYLXEIG-GFCCVEGCSA-N 0 1 260.341 0.683 20 30 CCEDMN N#CCSCCCn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000826381937 609176746 /nfs/dbraw/zinc/17/67/46/609176746.db2.gz GYJKLSOIIPBEEF-UHFFFAOYSA-N 0 1 289.328 0.164 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC000980289126 613091531 /nfs/dbraw/zinc/09/15/31/613091531.db2.gz MCTZPTSENKKIFX-STQMWFEESA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN(CC(=O)NC(=O)NCC=C)C(C)(C)C ZINC000932466356 613102827 /nfs/dbraw/zinc/10/28/27/613102827.db2.gz ZCPOSHRYAJJTMR-UHFFFAOYSA-N 0 1 251.330 0.732 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)NC[C@H]1CCN1CC ZINC000890059710 613109780 /nfs/dbraw/zinc/10/97/80/613109780.db2.gz LDWDILMFSUOEJQ-VXGBXAGGSA-N 0 1 251.330 0.124 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)NCC1(CS(C)(=O)=O)CC1 ZINC000876700960 613389657 /nfs/dbraw/zinc/38/96/57/613389657.db2.gz KEWVNTLRAOVJNC-VIFPVBQESA-N 0 1 294.804 0.397 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N[C@@H]1CCCS(=O)(=O)C1 ZINC000876659573 613388350 /nfs/dbraw/zinc/38/83/50/613388350.db2.gz BBDIBVSQBRSDBK-RKDXNWHRSA-N 0 1 280.777 0.150 20 30 CCEDMN COc1cc(OC)cc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834512079 617211209 /nfs/dbraw/zinc/21/12/09/617211209.db2.gz RNAUZJANVBWNRW-MFKMUULPSA-N 0 1 275.308 0.545 20 30 CCEDMN C#CCCCCNC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000806959425 612977358 /nfs/dbraw/zinc/97/73/58/612977358.db2.gz NEPHDAPVJSIPMG-KBPBESRZSA-N 0 1 281.400 0.886 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC000806917221 612980627 /nfs/dbraw/zinc/98/06/27/612980627.db2.gz ZMNVIOARWUDESG-DGCLKSJQSA-N 0 1 277.368 0.115 20 30 CCEDMN COc1ccc(C(=O)[O-])cc1C=NNC1=[NH+]C[C@H](C)N1 ZINC000901216117 617235393 /nfs/dbraw/zinc/23/53/93/617235393.db2.gz OSYWRRWNVBTMSD-QMMMGPOBSA-N 0 1 276.296 0.665 20 30 CCEDMN COc1ccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c(OC)c1 ZINC000841011176 617269900 /nfs/dbraw/zinc/26/99/00/617269900.db2.gz LXVGJEULBUEZSY-JOYOIKCWSA-N 0 1 290.323 0.937 20 30 CCEDMN COc1cccc(NC(=O)C(C#N)C(=O)c2ncn(C)n2)c1 ZINC000810529618 617304229 /nfs/dbraw/zinc/30/42/29/617304229.db2.gz KSRHLHHTGIBEQW-LLVKDONJSA-N 0 1 299.290 0.785 20 30 CCEDMN C#CC1(O)CCN(CCn2ccc([N+](=O)[O-])n2)CC1 ZINC000931143996 612952333 /nfs/dbraw/zinc/95/23/33/612952333.db2.gz QAGDUBLOWCVITJ-UHFFFAOYSA-N 0 1 264.285 0.251 20 30 CCEDMN C#CC1CCN(C(=O)NCc2n[nH]c(COC)n2)CC1 ZINC000821159585 612963549 /nfs/dbraw/zinc/96/35/49/612963549.db2.gz DRSRVOAQTQWQQV-UHFFFAOYSA-N 0 1 277.328 0.506 20 30 CCEDMN C#CC1CCN(C(=O)NCc2nnc(COC)[nH]2)CC1 ZINC000821159585 612963550 /nfs/dbraw/zinc/96/35/50/612963550.db2.gz DRSRVOAQTQWQQV-UHFFFAOYSA-N 0 1 277.328 0.506 20 30 CCEDMN C#CCC1(NCc2cn(CC(N)=O)nn2)CCCCC1 ZINC000922980746 612970999 /nfs/dbraw/zinc/97/09/99/612970999.db2.gz ASMZXNUPUXJEMX-UHFFFAOYSA-N 0 1 275.356 0.579 20 30 CCEDMN C#CCC1(O)CCN(C/C=C/C(=O)OCC)CC1 ZINC000931699636 612973355 /nfs/dbraw/zinc/97/33/55/612973355.db2.gz GFZJPQWIFKLOGO-AATRIKPKSA-N 0 1 251.326 0.956 20 30 CCEDMN C#CCC1(O)CCN(C[C@H]2CN(C3CC3)C(=O)O2)CC1 ZINC000880482747 612973586 /nfs/dbraw/zinc/97/35/86/612973586.db2.gz PYJQBPDZCQMZSL-ZDUSSCGKSA-N 0 1 278.352 0.820 20 30 CCEDMN C#CCC1(O)CCN([C@@H](C)C(=O)NC[C@H]2CCCO2)CC1 ZINC000880478071 612974159 /nfs/dbraw/zinc/97/41/59/612974159.db2.gz RXLAKXWNMKOWKH-UONOGXRCSA-N 0 1 294.395 0.520 20 30 CCEDMN C#CCC1(O)CCN([C@H](C)C(=O)NC[C@@H]2CCCO2)CC1 ZINC000880478070 612974234 /nfs/dbraw/zinc/97/42/34/612974234.db2.gz RXLAKXWNMKOWKH-KGLIPLIRSA-N 0 1 294.395 0.520 20 30 CCEDMN C#CCCCCNC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833361650 612977480 /nfs/dbraw/zinc/97/74/80/612977480.db2.gz FXELJUSZBGYGOF-ZDUSSCGKSA-N 0 1 295.383 0.980 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000806428152 612980537 /nfs/dbraw/zinc/98/05/37/612980537.db2.gz QWBYMYYEJPOBEK-LLVKDONJSA-N 0 1 274.324 0.433 20 30 CCEDMN C#CCCN(CCOC)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000898989088 612986850 /nfs/dbraw/zinc/98/68/50/612986850.db2.gz SPRBEPYEFZLDOC-AWEZNQCLSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCCN(CCOC)C(=O)N[C@H]1CCCN(C)C1 ZINC000853470008 612988111 /nfs/dbraw/zinc/98/81/11/612988111.db2.gz XNJKJVXXSMBWOM-ZDUSSCGKSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCONC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000812782869 612992001 /nfs/dbraw/zinc/99/20/01/612992001.db2.gz MSHGYKSQDFYZKB-UHFFFAOYSA-N 0 1 286.291 0.364 20 30 CCEDMN C#CCONC(=O)[C@H]1CC(=O)N(C[C@H]2CCC[N@@H+]2CC)C1 ZINC000812786751 612992965 /nfs/dbraw/zinc/99/29/65/612992965.db2.gz UKBRQMSXJFCEHU-QWHCGFSZSA-N 0 1 293.367 0.000 20 30 CCEDMN C#CCO[N-]C(=O)[C@H]1CC(=O)N(C[C@H]2CCC[N@H+]2CC)C1 ZINC000812786751 612992967 /nfs/dbraw/zinc/99/29/67/612992967.db2.gz UKBRQMSXJFCEHU-QWHCGFSZSA-N 0 1 293.367 0.000 20 30 CCEDMN C#CCO[N-]C(=O)[C@H]1CC(=O)N(C[C@H]2CCC[N@@H+]2CC)C1 ZINC000812786751 612992968 /nfs/dbraw/zinc/99/29/68/612992968.db2.gz UKBRQMSXJFCEHU-QWHCGFSZSA-N 0 1 293.367 0.000 20 30 CCEDMN C#CCCN1CC([C@@H](C)NC(=O)c2c[nH]c(C)cc2=O)C1 ZINC000970686251 612994837 /nfs/dbraw/zinc/99/48/37/612994837.db2.gz MRLCCJBHEWUBOY-GFCCVEGCSA-N 0 1 287.363 0.757 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H](C)CC(=O)[O-])CC1 ZINC000825608930 613010050 /nfs/dbraw/zinc/01/00/50/613010050.db2.gz OBQZRHPNTVSQKA-LLVKDONJSA-N 0 1 252.314 0.265 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NCc1n[nH]c(C2CC2)n1 ZINC000875629964 613021042 /nfs/dbraw/zinc/02/10/42/613021042.db2.gz ABAXDMHGPREWNS-NSHDSACASA-N 0 1 291.355 0.910 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)N[C@H](C)c1nnc[nH]1 ZINC000875918090 613021738 /nfs/dbraw/zinc/02/17/38/613021738.db2.gz LJPDNOBORQEZFW-ZJUUUORDSA-N 0 1 265.317 0.593 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000863781951 613022010 /nfs/dbraw/zinc/02/20/10/613022010.db2.gz QDBVFTOBFWHBHV-WDEREUQCSA-N 0 1 276.340 0.769 20 30 CCEDMN C#CC[C@@H](CCOC)NCc1cn(C[C@@H]2CCOC2)nn1 ZINC000865645981 613022703 /nfs/dbraw/zinc/02/27/03/613022703.db2.gz DQXPFPJHVBDHSK-KBPBESRZSA-N 0 1 292.383 0.833 20 30 CCEDMN C#CC[C@@H](CCOC)NCc1cn(C[C@H]2CCCO2)nn1 ZINC000865646596 613022818 /nfs/dbraw/zinc/02/28/18/613022818.db2.gz WUSUFCVKRISKRF-DZGCQCFKSA-N 0 1 292.383 0.975 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000863805893 613036980 /nfs/dbraw/zinc/03/69/80/613036980.db2.gz XKCSMHDLFFJBPA-VHSXEESVSA-N 0 1 262.313 0.379 20 30 CCEDMN C#CC[C@@H](COC)Nc1cc(-n2cccn2)nc(N)n1 ZINC000858859905 613038592 /nfs/dbraw/zinc/03/85/92/613038592.db2.gz FWOYTXANOBVSQX-JTQLQIEISA-N 0 1 272.312 0.695 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NC[C@H]1CCN1CC ZINC000883747641 613051193 /nfs/dbraw/zinc/05/11/93/613051193.db2.gz NZTVIJLIOHPNLZ-CHWSQXEVSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@H]1CCCCN1CC ZINC000799079651 613063024 /nfs/dbraw/zinc/06/30/24/613063024.db2.gz VBQSONKNEJEGLX-QWHCGFSZSA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@H]1CCN1C(C)(C)C ZINC000897656965 613063115 /nfs/dbraw/zinc/06/31/15/613063115.db2.gz KQAPUJLFWWVZKN-NWDGAFQWSA-N 0 1 252.358 0.750 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000858468608 613064229 /nfs/dbraw/zinc/06/42/29/613064229.db2.gz JTKUFWARDHVKEQ-GHMZBOCLSA-N 0 1 276.340 0.605 20 30 CCEDMN C#CCN(C(=O)CSCC(C)=O)C1CCN(C)CC1 ZINC000886577285 613066170 /nfs/dbraw/zinc/06/61/70/613066170.db2.gz CMYFNIZFJLOEHQ-UHFFFAOYSA-N 0 1 282.409 0.865 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H](c1ccccc1)[NH+](C)C)C(=O)[O-] ZINC000910051800 613069400 /nfs/dbraw/zinc/06/94/00/613069400.db2.gz HSDAJBAGRTXUEN-STQMWFEESA-N 0 1 274.320 0.882 20 30 CCEDMN C#CCN(C)CCCNC(=O)COc1c(C)occc1=O ZINC000820688669 613073320 /nfs/dbraw/zinc/07/33/20/613073320.db2.gz YFBGYTBHVMMBLD-UHFFFAOYSA-N 0 1 292.335 0.398 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CN2CCCCCC2=O)C1 ZINC000980348989 613081033 /nfs/dbraw/zinc/08/10/33/613081033.db2.gz DXYIXWFSYWJRAO-CQSZACIVSA-N 0 1 291.395 0.555 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC000980504362 613082283 /nfs/dbraw/zinc/08/22/83/613082283.db2.gz SBLYCPWDCRVSQP-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)c2cncnc2)C1 ZINC000972166696 613082439 /nfs/dbraw/zinc/08/24/39/613082439.db2.gz VXMRNXHFZXVVCU-GXTWGEPZSA-N 0 1 272.352 0.746 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC000972717497 613082782 /nfs/dbraw/zinc/08/27/82/613082782.db2.gz HETZQXCOSONLHI-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000821566992 613083183 /nfs/dbraw/zinc/08/31/83/613083183.db2.gz BNROGRSXNBGEQQ-KBPBESRZSA-N 0 1 279.384 0.762 20 30 CCEDMN C#C[C@@H](CC)NS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000915042371 613084636 /nfs/dbraw/zinc/08/46/36/613084636.db2.gz XHKXRBVRJDGSQE-STQMWFEESA-N 0 1 270.398 0.804 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cccnc2N(C)C)C1 ZINC000980391178 613087191 /nfs/dbraw/zinc/08/71/91/613087191.db2.gz ONRIYICCHHTXPG-CYBMUJFWSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc(C)nc2C)C1 ZINC000972564790 613087494 /nfs/dbraw/zinc/08/74/94/613087494.db2.gz HRCRRLOUDYSJRO-CYBMUJFWSA-N 0 1 272.352 0.873 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2coc(OCC)n2)C1 ZINC000971868310 613087799 /nfs/dbraw/zinc/08/77/99/613087799.db2.gz YJZSCDABXIGZFK-LLVKDONJSA-N 0 1 277.324 0.853 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnnc(C)c2)C1 ZINC000971418901 613087905 /nfs/dbraw/zinc/08/79/05/613087905.db2.gz VHZKWRIJXQYSHN-CYBMUJFWSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2nc(C)no2)C1 ZINC000972047663 613090063 /nfs/dbraw/zinc/09/00/63/613090063.db2.gz CHOATECBKRBWBM-LBPRGKRZSA-N 0 1 276.340 0.477 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c(C)n[nH]c2C)C1 ZINC000972560286 613093321 /nfs/dbraw/zinc/09/33/21/613093321.db2.gz UXXODVYSPQUJMB-LBPRGKRZSA-N 0 1 260.341 0.806 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(C#C)nc2)C1 ZINC000972755048 613094491 /nfs/dbraw/zinc/09/44/91/613094491.db2.gz CIBVMWMPTVDJLT-HNNXBMFYSA-N 0 1 267.332 0.842 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn(C)c2OC)C1 ZINC000980274683 613096900 /nfs/dbraw/zinc/09/69/00/613096900.db2.gz JPDUCIZJYUWEHB-NSHDSACASA-N 0 1 276.340 0.208 20 30 CCEDMN C#CCN(CC#C)C(=O)c1cc([C@H]2CCCN2C)n[nH]1 ZINC000897175133 613098772 /nfs/dbraw/zinc/09/87/72/613098772.db2.gz NODHMRLVTKHXRK-CQSZACIVSA-N 0 1 270.336 0.885 20 30 CCEDMN C#C[C@@H](NC(=O)c1cncc2nc[nH]c21)[C@@H]1CCCO1 ZINC000897431062 613099312 /nfs/dbraw/zinc/09/93/12/613099312.db2.gz HUCTZUIAGOFDBB-PWSUYJOCSA-N 0 1 270.292 0.869 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)NCc2n[nH]c(CC)n2)C1 ZINC000847377041 613104692 /nfs/dbraw/zinc/10/46/92/613104692.db2.gz CLKJUWVGKWOHJO-SNVBAGLBSA-N 0 1 261.329 0.922 20 30 CCEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)N(C)CC(=O)NC2CC2)C1 ZINC000847023217 613106907 /nfs/dbraw/zinc/10/69/07/613106907.db2.gz BLWDNWJPKMJSOJ-QWHCGFSZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#C[C@H](C)N(C)c1nnc([C@@H]2CN3CCC[C@@H]3CO2)n1C ZINC000828242981 613114854 /nfs/dbraw/zinc/11/48/54/613114854.db2.gz OLBSPTAMXDNGDU-XQQFMLRXSA-N 0 1 289.383 0.809 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCN(C(C)=O)C2)CC1 ZINC000936301845 613128336 /nfs/dbraw/zinc/12/83/36/613128336.db2.gz DPTWZVPERBUAAT-OAHLLOKOSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)Cc2nc(C)no2)CC1 ZINC000848353410 613128740 /nfs/dbraw/zinc/12/87/40/613128740.db2.gz BKQKPPFVRUYZLA-UHFFFAOYSA-N 0 1 276.340 0.682 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@H](C)CC2)CC1 ZINC000928531187 613128980 /nfs/dbraw/zinc/12/89/80/613128980.db2.gz DEESOBVAAKNWPW-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C#C[C@H](CO)NS(=O)(=O)c1cc2cn[nH]c2cc1C ZINC000867341814 613130730 /nfs/dbraw/zinc/13/07/30/613130730.db2.gz QNLUKGOKRLBFOX-SNVBAGLBSA-N 0 1 279.321 0.144 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCC(=O)NCCC)CC1 ZINC000928658258 613132854 /nfs/dbraw/zinc/13/28/54/613132854.db2.gz ONZRWRQOGOLJCD-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H]2CCOC[C@@H]2OC)CC1 ZINC000928650506 613133303 /nfs/dbraw/zinc/13/33/03/613133303.db2.gz CZJGEJQCAWEZLF-HIFRSBDPSA-N 0 1 294.395 0.642 20 30 CCEDMN C#C[C@H](NC(=O)CN1CC[C@H](C)C1)[C@@H]1CCCO1 ZINC000847759545 613133354 /nfs/dbraw/zinc/13/33/54/613133354.db2.gz VZTUJGGKDZSGIH-AVGNSLFASA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC[C@@H](C)OC)CC1 ZINC000916176631 613133916 /nfs/dbraw/zinc/13/39/16/613133916.db2.gz LDKBWVUTXAWKIY-GFCCVEGCSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H]2C[C@H]2C(=O)OC)CC1 ZINC000924056527 613134374 /nfs/dbraw/zinc/13/43/74/613134374.db2.gz WRJXIDIEFQCHIW-DGCLKSJQSA-N 0 1 293.367 0.192 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@]2(OC)CCOC2)CC1 ZINC000921984016 613134403 /nfs/dbraw/zinc/13/44/03/613134403.db2.gz YIUSLLZTJJWSPU-OAHLLOKOSA-N 0 1 295.383 0.189 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ccn(C)n2)CC1 ZINC000921724933 613134610 /nfs/dbraw/zinc/13/46/10/613134610.db2.gz ITYNHAKIMKZYAM-UHFFFAOYSA-N 0 1 275.356 0.317 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)[C@@H](C)OC)CC1 ZINC000922540142 613134937 /nfs/dbraw/zinc/13/49/37/613134937.db2.gz GOUKXSHDUWDDMP-VXGBXAGGSA-N 0 1 267.373 0.807 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)[C@H]2CCOC2)CC1 ZINC000920474297 613134973 /nfs/dbraw/zinc/13/49/73/613134973.db2.gz XOOWAYDOZCWIPB-STQMWFEESA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](NC(C)=O)C(C)(C)C)CC1 ZINC000928650702 613135691 /nfs/dbraw/zinc/13/56/91/613135691.db2.gz GGYVJKJBSLMAQM-CQSZACIVSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)OC[C@@H]2CCCO2)CC1 ZINC000928656445 613136496 /nfs/dbraw/zinc/13/64/96/613136496.db2.gz ABYVFYDQUVIJPQ-ZFWWWQNUSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@]23CCOC3)CC1 ZINC000928654188 613136500 /nfs/dbraw/zinc/13/65/00/613136500.db2.gz QNLHXQYWRLHOAL-ZFWWWQNUSA-N 0 1 262.353 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@]2(C)CCC(=O)NC2)CC1 ZINC000928653501 613136878 /nfs/dbraw/zinc/13/68/78/613136878.db2.gz ONJBQAMVDPFEOV-OAHLLOKOSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc(OCC)cn2)CC1 ZINC000928653082 613137755 /nfs/dbraw/zinc/13/77/55/613137755.db2.gz YLXWIDMHBUREHO-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)CC)CCO2 ZINC000948992685 613139494 /nfs/dbraw/zinc/13/94/94/613139494.db2.gz NRDYNNXHKJRPEL-UHFFFAOYSA-N 0 1 250.342 0.723 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC000981758761 613139931 /nfs/dbraw/zinc/13/99/31/613139931.db2.gz DNQZUTMBKBEFIK-UHFFFAOYSA-N 0 1 256.349 0.957 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@H]1C[C@H]1C)CCO2 ZINC000949015695 613139964 /nfs/dbraw/zinc/13/99/64/613139964.db2.gz UZUPTSXSEGDNQF-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@H]1CCN1C)[C@H]1CCCO1 ZINC000922047883 613141459 /nfs/dbraw/zinc/14/14/59/613141459.db2.gz PJGRUZLENLNLHH-GRYCIOLGSA-N 0 1 251.330 0.171 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cc[nH]c(=O)c3)C2)C1 ZINC000981711400 613144594 /nfs/dbraw/zinc/14/45/94/613144594.db2.gz ZVMIATOYHWOQLC-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN C#C[C@H](NC(=O)[C@H]1CCCc2n[nH]nc21)[C@H]1CCCO1 ZINC000832417525 613147551 /nfs/dbraw/zinc/14/75/51/613147551.db2.gz HLPFMMBNCJKXJK-JBLDHEPKSA-N 0 1 274.324 0.522 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1COCCO1 ZINC000968758878 613148542 /nfs/dbraw/zinc/14/85/42/613148542.db2.gz UZRUYCQMOJUUAE-KBPBESRZSA-N 0 1 280.368 0.396 20 30 CCEDMN C#C[C@H]1CCCCN1CCc1cn(CC(=O)OC)nn1 ZINC000934515557 613155722 /nfs/dbraw/zinc/15/57/22/613155722.db2.gz VUKAFDICPVMVEG-ZDUSSCGKSA-N 0 1 276.340 0.481 20 30 CCEDMN C#CCN1CCC[C@@H](NS(=O)(=O)c2ccccc2O)C1 ZINC000885508320 613176211 /nfs/dbraw/zinc/17/62/11/613176211.db2.gz FLCREILSIGISPC-GFCCVEGCSA-N 0 1 294.376 0.768 20 30 CCEDMN C#Cc1ccc(NC(=O)CCN2CCCNC(=O)C2)cc1 ZINC000929482947 613194955 /nfs/dbraw/zinc/19/49/55/613194955.db2.gz XGYAJBZGBOESAI-UHFFFAOYSA-N 0 1 285.347 0.818 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)[N-]OCCOC(C)C ZINC000812808300 613200933 /nfs/dbraw/zinc/20/09/33/613200933.db2.gz BQYNRGSBNASFSJ-LBPRGKRZSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CCC(=O)N1C ZINC000838795164 613203249 /nfs/dbraw/zinc/20/32/49/613203249.db2.gz CEIFSZSIUKOMLF-RYUDHWBXSA-N 0 1 264.325 0.248 20 30 CCEDMN C#Cc1cnc(N2CCN(CC(C)(C)O)[C@H](C)C2)nc1 ZINC000827985523 613209477 /nfs/dbraw/zinc/20/94/77/613209477.db2.gz LDKDSNLCIZUPBK-GFCCVEGCSA-N 0 1 274.368 0.739 20 30 CCEDMN C#Cc1cnc(N2CCN([C@H](CC)CO)CC2)nc1 ZINC000827697495 613209641 /nfs/dbraw/zinc/20/96/41/613209641.db2.gz XARBMDIDLKLBBL-CYBMUJFWSA-N 0 1 260.341 0.351 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC000968524861 613214436 /nfs/dbraw/zinc/21/44/36/613214436.db2.gz IHNDICSBSBGWOU-HIFRSBDPSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC000965845767 613215901 /nfs/dbraw/zinc/21/59/01/613215901.db2.gz QDXDXPQMLXVDNJ-YPMHNXCESA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC000968458780 613218612 /nfs/dbraw/zinc/21/86/12/613218612.db2.gz DYKWAINFDZCLNV-VXGBXAGGSA-N 0 1 260.341 0.412 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CN2CCCC2=O)C(C)(C)C1 ZINC000940564837 613225530 /nfs/dbraw/zinc/22/55/30/613225530.db2.gz WPLVMBBVYCCXHD-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2nonc2C)C(C)(C)C1 ZINC000941137409 613228451 /nfs/dbraw/zinc/22/84/51/613228451.db2.gz ZTRNJRISMGIQSY-CYBMUJFWSA-N 0 1 290.367 0.770 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2COCCN2C)C(C)(C)C1 ZINC000940695103 613228925 /nfs/dbraw/zinc/22/89/25/613228925.db2.gz LWNOKVBZWDQAGB-UONOGXRCSA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000940816942 613229878 /nfs/dbraw/zinc/22/98/78/613229878.db2.gz BUFISHJCVASDMN-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C(N)=O)o2)[C@H](C)C1 ZINC000942405850 613235288 /nfs/dbraw/zinc/23/52/88/613235288.db2.gz IFQNZHXYGUSZNE-GHMZBOCLSA-N 0 1 289.335 0.452 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn(C)n2)C(C)(C)C1 ZINC000941221442 613238438 /nfs/dbraw/zinc/23/84/38/613238438.db2.gz VAIZJZPBUCJYPH-CYBMUJFWSA-N 0 1 274.368 0.884 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccnn2C)C(C)(C)C1 ZINC000940621626 613239428 /nfs/dbraw/zinc/23/94/28/613239428.db2.gz UTAHSNJNMYTQJS-CYBMUJFWSA-N 0 1 274.368 0.884 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnccn2)C[C@@H]1C ZINC000947005186 613241475 /nfs/dbraw/zinc/24/14/75/613241475.db2.gz LQGTVVHEAAHHKU-NWDGAFQWSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2OC)[C@H](C)C1 ZINC000944348270 613242014 /nfs/dbraw/zinc/24/20/14/613242014.db2.gz UKMCGRWKPVVHIK-DGCLKSJQSA-N 0 1 290.367 0.502 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000950985855 613242589 /nfs/dbraw/zinc/24/25/89/613242589.db2.gz FHRWHJPZSQGIHJ-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2coc(OC)n2)C[C@H]1C ZINC000947576058 613242967 /nfs/dbraw/zinc/24/29/67/613242967.db2.gz GYYZEBRSBLGRFJ-GHMZBOCLSA-N 0 1 277.324 0.899 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2n[nH]cc2F)[C@H](C)C1 ZINC000942599536 613243375 /nfs/dbraw/zinc/24/33/75/613243375.db2.gz BEDMVUJJOTWAOS-MWLCHTKSSA-N 0 1 264.304 0.622 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncnc3[nH]ccc32)[C@@H](C)C1 ZINC000942288170 613244356 /nfs/dbraw/zinc/24/43/56/613244356.db2.gz PISMDRJUDFRRPU-WCQYABFASA-N 0 1 297.362 0.983 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nn(C)cc2C)[C@@H](C)C1 ZINC000942046066 613244817 /nfs/dbraw/zinc/24/48/17/613244817.db2.gz QCPATSDTPRVQCY-WCQYABFASA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2snnc2C)[C@H](C)C1 ZINC000941797456 613245618 /nfs/dbraw/zinc/24/56/18/613245618.db2.gz CAFFFBAISGSYRS-MWLCHTKSSA-N 0 1 278.381 0.920 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000940816943 613263546 /nfs/dbraw/zinc/26/35/46/613263546.db2.gz BUFISHJCVASDMN-OLZOCXBDSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CCC)nn2)C[C@H]1C ZINC000947871638 613273346 /nfs/dbraw/zinc/27/33/46/613273346.db2.gz AGMGANVEJAREFV-OLZOCXBDSA-N 0 1 289.383 0.904 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2OC)[C@@H](C)C1 ZINC000944348269 613275807 /nfs/dbraw/zinc/27/58/07/613275807.db2.gz UKMCGRWKPVVHIK-AAEUAGOBSA-N 0 1 290.367 0.502 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncccn2)C(C)(C)C1 ZINC000941117877 613277930 /nfs/dbraw/zinc/27/79/30/613277930.db2.gz OONXDGZBVRVHHD-LBPRGKRZSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nonc2C)[C@@H](C)C1 ZINC000945946235 613278497 /nfs/dbraw/zinc/27/84/97/613278497.db2.gz ZSEZXZOFZYNSNJ-ONGXEEELSA-N 0 1 262.313 0.451 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2=CCOCC2)C(C)(C)C1 ZINC000974487537 613280158 /nfs/dbraw/zinc/28/01/58/613280158.db2.gz AGQLXLMKSCIUFT-CYBMUJFWSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2cc(C)cn2)C(C)(C)C1 ZINC000972844942 613281310 /nfs/dbraw/zinc/28/13/10/613281310.db2.gz XCGOEUYELNXFRA-CYBMUJFWSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C(C)(C)C1 ZINC000977526347 613282130 /nfs/dbraw/zinc/28/21/30/613282130.db2.gz LASHVCHGIWQSRJ-UONOGXRCSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccccc2OC)C1 ZINC000958611353 613286726 /nfs/dbraw/zinc/28/67/26/613286726.db2.gz ZZEYYSJNYVSMNZ-GXTWGEPZSA-N 0 1 288.347 0.351 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccncc2Cl)C1 ZINC000958429597 613286753 /nfs/dbraw/zinc/28/67/53/613286753.db2.gz KWAHVHGFWAYINK-GXFFZTMASA-N 0 1 293.754 0.391 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccccc2C)C1 ZINC000957834855 613286813 /nfs/dbraw/zinc/28/68/13/613286813.db2.gz ODERGAMLWJDBLS-DZGCQCFKSA-N 0 1 272.348 0.651 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2nc(C)c(C)s2)C1 ZINC000958693430 613287725 /nfs/dbraw/zinc/28/77/25/613287725.db2.gz FCLWLFZECGEOTE-NWDGAFQWSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2csc(C)n2)C1 ZINC000958338454 613287755 /nfs/dbraw/zinc/28/77/55/613287755.db2.gz CYCGWXXNHCMURJ-CMPLNLGQSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc[nH]c2C(C)C)[C@H](O)C1 ZINC000958335002 613289041 /nfs/dbraw/zinc/28/90/41/613289041.db2.gz RXWMUPXMIRKYER-TZMCWYRMSA-N 0 1 289.379 0.794 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccncc2CC)[C@H](O)C1 ZINC000958190152 613289582 /nfs/dbraw/zinc/28/95/82/613289582.db2.gz BLMSFZQQMYURDN-UKRRQHHQSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC000958354589 613294532 /nfs/dbraw/zinc/29/45/32/613294532.db2.gz IQHXOANUBFRAOU-JSGCOSHPSA-N 0 1 287.363 0.548 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)cs2)C1 ZINC000958051605 613294681 /nfs/dbraw/zinc/29/46/81/613294681.db2.gz RHVYFQKKNJEZLG-RYUDHWBXSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc[nH]c2C(C)C)C1 ZINC000958335000 613294833 /nfs/dbraw/zinc/29/48/33/613294833.db2.gz RXWMUPXMIRKYER-JSGCOSHPSA-N 0 1 289.379 0.794 20 30 CCEDMN C=C(C)CN1CC(N(CC)C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC000950055879 613294898 /nfs/dbraw/zinc/29/48/98/613294898.db2.gz PBSRLYQMLXCNHY-VXGBXAGGSA-N 0 1 267.329 0.760 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C)s2)C1 ZINC000957816145 613295071 /nfs/dbraw/zinc/29/50/71/613295071.db2.gz HBIJHCFCXHYRPT-RYUDHWBXSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccn2CC=C)C1 ZINC000958138065 613295167 /nfs/dbraw/zinc/29/51/67/613295167.db2.gz CGCIZUUNZIHJHJ-ZFWWWQNUSA-N 0 1 287.363 0.330 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc(OC)c2)C1 ZINC000958614782 613295350 /nfs/dbraw/zinc/29/53/50/613295350.db2.gz XXHDZFZQTKADSU-ZFWWWQNUSA-N 0 1 288.347 0.351 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2CCOC2)C(C)(C)C1 ZINC000972843339 613298993 /nfs/dbraw/zinc/29/89/93/613298993.db2.gz FFSDRSXCTCTYPQ-RYUDHWBXSA-N 0 1 250.342 0.483 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc[nH]c2CCC)C1 ZINC000958335417 613302997 /nfs/dbraw/zinc/30/29/97/613302997.db2.gz XBLXCGJAVOKROQ-DOMZBBRYSA-N 0 1 289.379 0.623 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ncccc1F ZINC000961599991 613315085 /nfs/dbraw/zinc/31/50/85/613315085.db2.gz HSRUFXKPAMBBQM-HBYGRHMLSA-N 0 1 273.311 0.443 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1ccc(C(F)F)n1 ZINC000960229630 613315141 /nfs/dbraw/zinc/31/51/41/613315141.db2.gz UPHXNEMCZGNAJP-IWIIMEHWSA-N 0 1 294.305 0.500 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCC1(F)F ZINC000960487949 613315803 /nfs/dbraw/zinc/31/58/03/613315803.db2.gz OSTVVTIBXHCYSZ-ZRUFSTJUSA-N 0 1 254.280 0.711 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@]1(C)CCCOC1 ZINC000962163191 613316447 /nfs/dbraw/zinc/31/64/47/613316447.db2.gz GXGVEXGAQKWEFQ-JLNYLFASSA-N 0 1 262.353 0.483 20 30 CCEDMN C=C(C)CN1CCN(C(=O)[C@@H](C)CN2CCOCC2)CC1 ZINC000957667602 613317337 /nfs/dbraw/zinc/31/73/37/613317337.db2.gz IKQAWJMIFSHXQE-HNNXBMFYSA-N 0 1 295.427 0.675 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C(F)F)n(C)n1 ZINC000961136784 613317837 /nfs/dbraw/zinc/31/78/37/613317837.db2.gz IZSSUPNRCMEYBH-GDGBQDQQSA-N 0 1 294.305 0.651 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)cn2ccnc12 ZINC000961362000 613318065 /nfs/dbraw/zinc/31/80/65/613318065.db2.gz WRLSNOAFZGQRDP-FICVDOATSA-N 0 1 294.358 0.936 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2n[nH]cc2c1 ZINC000960493383 613321488 /nfs/dbraw/zinc/32/14/88/613321488.db2.gz AZIWTIVTYMALKL-ITGUQSILSA-N 0 1 281.319 0.251 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c2c1CCCC2 ZINC000960731978 613322026 /nfs/dbraw/zinc/32/20/26/613322026.db2.gz QNKWPFRSVRGJGO-IMRBUKKESA-N 0 1 284.363 0.582 20 30 CCEDMN C#CCNC(=O)CCN(C)CC(=O)N(C)C1CCCCC1 ZINC000815018099 613323779 /nfs/dbraw/zinc/32/37/79/613323779.db2.gz GIKYYTYTBACWME-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCNC(=O)CCN1C2CCC1(C(=O)OC)CC2 ZINC000879328100 613323983 /nfs/dbraw/zinc/32/39/83/613323983.db2.gz HYMXLOMDPIEUAI-UHFFFAOYSA-N 0 1 264.325 0.296 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](n2ccnn2)CC1 ZINC000878939055 613329020 /nfs/dbraw/zinc/32/90/20/613329020.db2.gz KGNCZMZRNGNQDS-LBPRGKRZSA-N 0 1 261.329 0.055 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@](COC)(C(=O)OCC)C1 ZINC000799199155 613329227 /nfs/dbraw/zinc/32/92/27/613329227.db2.gz OIHZTPSCYQGBCP-HNNXBMFYSA-N 0 1 296.367 0.028 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](C(=O)NCc2ccccc2)C1 ZINC000830189456 613330091 /nfs/dbraw/zinc/33/00/91/613330091.db2.gz IUCOYSFDYWLIIL-OAHLLOKOSA-N 0 1 299.374 0.374 20 30 CCEDMN C=C(C)COCCNS(=O)(=O)N=S1(=O)CCCC1 ZINC000866964958 613352217 /nfs/dbraw/zinc/35/22/17/613352217.db2.gz QFFLBDWYTICIBV-UHFFFAOYSA-N 0 1 296.414 0.675 20 30 CCEDMN C=C(C)CONC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000845596257 613353718 /nfs/dbraw/zinc/35/37/18/613353718.db2.gz SODUCVPNDAMNLQ-GFCCVEGCSA-N 0 1 270.377 0.429 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000883702083 613354944 /nfs/dbraw/zinc/35/49/44/613354944.db2.gz DKASDYMLDMQSDH-MNOVXSKESA-N 0 1 278.356 0.893 20 30 CCEDMN C=C(C)[C@H](CC(=O)N1CCC[N@@H+](CC(=O)[O-])CC1)OCC ZINC000911220089 613362086 /nfs/dbraw/zinc/36/20/86/613362086.db2.gz LFZTYPUNIQXSHD-ZDUSSCGKSA-N 0 1 298.383 0.977 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC[C@@](O)(Cc2nc(CC)no2)C1 ZINC000856676899 613362333 /nfs/dbraw/zinc/36/23/33/613362333.db2.gz LLRUBMIICVKASY-SWLSCSKDSA-N 0 1 295.383 0.938 20 30 CCEDMN C=C(CO)C(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000862959288 613367084 /nfs/dbraw/zinc/36/70/84/613367084.db2.gz ROIZIQAWMHKNTL-UHFFFAOYSA-N 0 1 268.357 0.248 20 30 CCEDMN C=C(Cl)CN1CC[C@H](C)[C@@H](NC(=O)Cc2nnc[nH]2)C1 ZINC000968516766 613377506 /nfs/dbraw/zinc/37/75/06/613377506.db2.gz FITDZYPMVCJOPP-ONGXEEELSA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2ccn(C)n2)C1 ZINC000968638965 613384696 /nfs/dbraw/zinc/38/46/96/613384696.db2.gz DEYNGOJHQVJBLK-GFCCVEGCSA-N 0 1 282.775 0.906 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC000969271262 613384839 /nfs/dbraw/zinc/38/48/39/613384839.db2.gz LOGYLURDVOJLEG-VXGBXAGGSA-N 0 1 299.802 0.988 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CN(C)CCO2)C1 ZINC000968301407 613385520 /nfs/dbraw/zinc/38/55/20/613385520.db2.gz IOHSHQHSDWNELR-VXGBXAGGSA-N 0 1 287.791 0.260 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccnn2C)C1 ZINC000967626254 613385928 /nfs/dbraw/zinc/38/59/28/613385928.db2.gz PKGVLTGZUPRRFP-SNVBAGLBSA-N 0 1 268.748 0.977 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2nc[nH]n2)C[C@H]1C ZINC000939337977 613386994 /nfs/dbraw/zinc/38/69/94/613386994.db2.gz MDEXNHOUCXUJRX-APPZFPTMSA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@@]1(C)CCS(=O)(=O)C1 ZINC000876555960 613390404 /nfs/dbraw/zinc/39/04/04/613390404.db2.gz IMRDENLVHWCFBB-WPRPVWTQSA-N 0 1 280.777 0.150 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN(C[C@H](C)O)CC1 ZINC000878048585 613397539 /nfs/dbraw/zinc/39/75/39/613397539.db2.gz RPFWJRIPUURPFU-RYUDHWBXSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN(C[C@@H](C)O)CC1 ZINC000878048579 613397747 /nfs/dbraw/zinc/39/77/47/613397747.db2.gz RPFWJRIPUURPFU-NEPJUHHUSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935235820 613401568 /nfs/dbraw/zinc/40/15/68/613401568.db2.gz OBQGQOGIFRVSGJ-GFCCVEGCSA-N 0 1 295.383 0.676 20 30 CCEDMN C=CC[C@H](C(=O)OC)N(C)CC1(O)CCOCC1 ZINC000878477454 613409632 /nfs/dbraw/zinc/40/96/32/613409632.db2.gz KVVAWMXDXQMKAE-LLVKDONJSA-N 0 1 257.330 0.577 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN([C@@H](CC)CO)CC1 ZINC000878142467 613411593 /nfs/dbraw/zinc/41/15/93/613411593.db2.gz ZMMFLSVYDXHOCZ-QWHCGFSZSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1C[C@H]2COCC[C@@]2(C(=O)OC)C1 ZINC000878541518 613413310 /nfs/dbraw/zinc/41/33/10/613413310.db2.gz QNXJILAFCIPBAX-YWPYICTPSA-N 0 1 297.351 0.616 20 30 CCEDMN C=CC[C@H](CO)NS(=O)(=O)N=S(=O)(CC)CC ZINC000867101418 613418691 /nfs/dbraw/zinc/41/86/91/613418691.db2.gz UNWMPHKVBKAGJW-SECBINFHSA-N 0 1 284.403 0.266 20 30 CCEDMN C=CCn1cc(CNC[C@H](O)CCC2(O)CCC2)nn1 ZINC000905290120 613435722 /nfs/dbraw/zinc/43/57/22/613435722.db2.gz KQURVPLHWQXSMV-CYBMUJFWSA-N 0 1 280.372 0.610 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncn[nH]1 ZINC000976990455 613443762 /nfs/dbraw/zinc/44/37/62/613443762.db2.gz PHAZDGVIWDKKGY-MYJAWHEDSA-N 0 1 289.339 0.204 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc[nH]n1 ZINC000976990455 613443763 /nfs/dbraw/zinc/44/37/63/613443763.db2.gz PHAZDGVIWDKKGY-MYJAWHEDSA-N 0 1 289.339 0.204 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NCc1n[nH]c(C2CC2)n1 ZINC000845617359 613446277 /nfs/dbraw/zinc/44/62/77/613446277.db2.gz MJWRLEYFVSIOBW-SSDOTTSWSA-N 0 1 251.290 0.987 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NC[C@H]1CN(C)CCN1C ZINC000845634661 613446436 /nfs/dbraw/zinc/44/64/36/613446436.db2.gz UZFUWVONOVAQCZ-MNOVXSKESA-N 0 1 256.350 0.038 20 30 CCEDMN C=CC(C)(C)CCCNC(=O)C(=O)N1CCNC[C@@H]1C ZINC000885098795 613446601 /nfs/dbraw/zinc/44/66/01/613446601.db2.gz WTWRZHWRNVVMPE-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CC1CCN(C(=O)NCc2n[nH]c(=O)n2C)CC1 ZINC000889584279 613452374 /nfs/dbraw/zinc/45/23/74/613452374.db2.gz MXVCQSSUAKUERL-UHFFFAOYSA-N 0 1 265.317 0.628 20 30 CCEDMN C=CCC1(C(=O)N[C@H]2CNC[C@@H]2C#N)CCOCC1 ZINC000834484627 613456926 /nfs/dbraw/zinc/45/69/26/613456926.db2.gz LBIBQTHQIOLDSM-RYUDHWBXSA-N 0 1 263.341 0.587 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NC12CCN(CC1)C2 ZINC000913635553 613457307 /nfs/dbraw/zinc/45/73/07/613457307.db2.gz JOCCYIMIAHOCCA-NEPJUHHUSA-N 0 1 250.342 0.932 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(C)CCN(C)CC1 ZINC000895181147 613470316 /nfs/dbraw/zinc/47/03/16/613470316.db2.gz SWJQTIKCGOQBLQ-KGLIPLIRSA-N 0 1 296.415 0.219 20 30 CCEDMN C=CCCC[C@@H](NC(=O)C1=NC(=O)N(C)C1)C(=O)OC ZINC000928319830 613471509 /nfs/dbraw/zinc/47/15/09/613471509.db2.gz NWTJPYAATKDFLU-SECBINFHSA-N 0 1 281.312 0.753 20 30 CCEDMN C=CCCC[C@H]1NC(=O)N(CCN2CCCOCC2)C1=O ZINC000925346645 613474905 /nfs/dbraw/zinc/47/49/05/613474905.db2.gz CGWLJSUBXFZUSR-CYBMUJFWSA-N 0 1 295.383 0.985 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000845636988 613485200 /nfs/dbraw/zinc/48/52/00/613485200.db2.gz UROUNFKTSXFSSQ-AVGNSLFASA-N 0 1 283.372 0.733 20 30 CCEDMN C=C[C@H](CO)N[C@H]1COCCN(C(=O)OC(C)(C)C)C1 ZINC000926725850 613492772 /nfs/dbraw/zinc/49/27/72/613492772.db2.gz DHPNNCUGAOWJSE-VXGBXAGGSA-N 0 1 286.372 0.759 20 30 CCEDMN C=CCCN1CCN(C(=O)CCn2cnccc2=O)CC1 ZINC000956955637 613502619 /nfs/dbraw/zinc/50/26/19/613502619.db2.gz NTIIPFSUKMVTJX-UHFFFAOYSA-N 0 1 290.367 0.354 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CC(=O)N(CCC)C2)CC1 ZINC000950961680 613505243 /nfs/dbraw/zinc/50/52/43/613505243.db2.gz FLIAOALQPUFWHS-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NC[C@@H]1C[C@H](O)CN1C ZINC000924980191 613505563 /nfs/dbraw/zinc/50/55/63/613505563.db2.gz DZLKFNASJDQPBV-SGMGOOAPSA-N 0 1 270.373 0.396 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N1CCN(C)CCN(C)CC1 ZINC000888986616 613505679 /nfs/dbraw/zinc/50/56/79/613505679.db2.gz NJYCUJVFRMSXSQ-MRXNPFEDSA-N 0 1 297.443 0.921 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NC[C@@H]1C[C@@H](O)CN1C ZINC000924980189 613505756 /nfs/dbraw/zinc/50/57/56/613505756.db2.gz DZLKFNASJDQPBV-OUCADQQQSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCCN(C(N)=O)C2)CC1 ZINC000949178395 613508331 /nfs/dbraw/zinc/50/83/31/613508331.db2.gz BBRSXXLLMGXSLL-ZDUSSCGKSA-N 0 1 294.399 0.497 20 30 CCEDMN C=CCCN1CCN(C(=O)c2c[nH]c(=O)n2C)CC1 ZINC000949654481 613511594 /nfs/dbraw/zinc/51/15/94/613511594.db2.gz DQKNDXMBQZUBRT-UHFFFAOYSA-N 0 1 264.329 0.460 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC000970432900 613531194 /nfs/dbraw/zinc/53/11/94/613531194.db2.gz DSIPKEONBQIHFC-KWCYVHTRSA-N 0 1 291.395 0.171 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CCCO2)C1 ZINC000969261881 613531293 /nfs/dbraw/zinc/53/12/93/613531293.db2.gz XGJZKHSXEZNXIN-DGCLKSJQSA-N 0 1 250.342 0.625 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC000970217451 613531303 /nfs/dbraw/zinc/53/13/03/613531303.db2.gz OTOQYMKMCARPPL-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2COCCN2CC)C1 ZINC000970002452 613531381 /nfs/dbraw/zinc/53/13/81/613531381.db2.gz WQBTXGNGVAPAAH-UKRRQHHQSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC000970821585 613536544 /nfs/dbraw/zinc/53/65/44/613536544.db2.gz RXMZRRAMGZFZOY-JSGCOSHPSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2c[nH]c(C#N)c2)C1 ZINC000969513183 613537880 /nfs/dbraw/zinc/53/78/80/613537880.db2.gz AMBFHNBBFCDEMB-NSHDSACASA-N 0 1 270.336 0.960 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3cn(C)cn3)C2)C1 ZINC000981714293 613543838 /nfs/dbraw/zinc/54/38/38/613543838.db2.gz UXGCATKEHPAVIB-UHFFFAOYSA-N 0 1 286.379 0.981 20 30 CCEDMN CC#CCN1CCCN(C(=O)Cc2ccc(=O)[nH]c2)CC1 ZINC000981630169 613549477 /nfs/dbraw/zinc/54/94/77/613549477.db2.gz YFQVCFAXWJSOJK-UHFFFAOYSA-N 0 1 287.363 0.887 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cn2cccn2)C1 ZINC000965147985 613575213 /nfs/dbraw/zinc/57/52/13/613575213.db2.gz HACIZEKGUPWTHO-ZIAGYGMSSA-N 0 1 274.368 0.733 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCN(C)CCN(C)CC1 ZINC000888994205 613579074 /nfs/dbraw/zinc/57/90/74/613579074.db2.gz YMDPIPGYYFEZEG-CQSZACIVSA-N 0 1 283.416 0.673 20 30 CCEDMN C=CCCS(=O)(=O)N1CC(CN2CCN(C)CC2)C1 ZINC000917898837 613580071 /nfs/dbraw/zinc/58/00/71/613580071.db2.gz OMIGFLJBTHVBEJ-UHFFFAOYSA-N 0 1 287.429 0.072 20 30 CCEDMN C=CCC[C@@H](NC(=O)[C@@H]1CC[N@@H+](C)[C@@H]1C)C(=O)[O-] ZINC000909716265 613585254 /nfs/dbraw/zinc/58/52/54/613585254.db2.gz QGUYJXWFZNTQKD-GMTAPVOTSA-N 0 1 254.330 0.862 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2nonc2C)[C@H](C)C1 ZINC000945943218 613589766 /nfs/dbraw/zinc/58/97/66/613589766.db2.gz NTYYHGQLELJTKV-ZYHUDNBSSA-N 0 1 276.340 0.842 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967724752 613594792 /nfs/dbraw/zinc/59/47/92/613594792.db2.gz RHZYKSZVSBTAES-NWDGAFQWSA-N 0 1 290.367 0.589 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C(C)(C)C1 ZINC000973038411 613608653 /nfs/dbraw/zinc/60/86/53/613608653.db2.gz NQZYZXCUWAMYPL-QWHCGFSZSA-N 0 1 292.379 0.790 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cnccc2C)C1 ZINC000957957331 613613625 /nfs/dbraw/zinc/61/36/25/613613625.db2.gz LDJUPAQPWFGWNY-DZGCQCFKSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc[nH]c2)[C@H](O)C1 ZINC000958080454 613614541 /nfs/dbraw/zinc/61/45/41/613614541.db2.gz BNCNYMDHGGRXLR-CHWSQXEVSA-N 0 1 261.325 0.061 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2nocc2C)[C@H](O)C1 ZINC000958571455 613616353 /nfs/dbraw/zinc/61/63/53/613616353.db2.gz XILNZWZLOZHHIV-VXGBXAGGSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)oc2C)C1 ZINC000957843839 613618380 /nfs/dbraw/zinc/61/83/80/613618380.db2.gz WHQDVDHZFCSMQI-ZFWWWQNUSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2[nH]c(C)cc2C)C1 ZINC000958183742 613618396 /nfs/dbraw/zinc/61/83/96/613618396.db2.gz PWVMBXZFJVTMAC-KBPBESRZSA-N 0 1 289.379 0.677 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2coc(C)n2)C1 ZINC000958003800 613619587 /nfs/dbraw/zinc/61/95/87/613619587.db2.gz AETFDPZDJJIBRK-AAEUAGOBSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2oncc2C)C1 ZINC000958563283 613620306 /nfs/dbraw/zinc/62/03/06/613620306.db2.gz LKLIJARGELVYNS-RYUDHWBXSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2oc(C)nc2C)C1 ZINC000957954223 613620548 /nfs/dbraw/zinc/62/05/48/613620548.db2.gz ZTMWXAHHOLIUDW-STQMWFEESA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)oc2C)C1 ZINC000957843837 613625160 /nfs/dbraw/zinc/62/51/60/613625160.db2.gz WHQDVDHZFCSMQI-HIFRSBDPSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(F)c[nH]2)C1 ZINC000958267563 613625169 /nfs/dbraw/zinc/62/51/69/613625169.db2.gz NTXXYJWYIUOWCC-MFKMUULPSA-N 0 1 279.315 0.200 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(OC)o2)C1 ZINC000958514580 613625276 /nfs/dbraw/zinc/62/52/76/613625276.db2.gz BGRNEKCLOCZGKF-NEPJUHHUSA-N 0 1 292.335 0.334 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ocnc2CC)C1 ZINC000958389331 613626450 /nfs/dbraw/zinc/62/64/50/613626450.db2.gz DUYLAIJYBFKTSN-YPMHNXCESA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2sccc2F)C1 ZINC000958031940 613626469 /nfs/dbraw/zinc/62/64/69/613626469.db2.gz YIWXSKNIMPFPKO-PWSUYJOCSA-N 0 1 296.367 0.933 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC000961853053 613636324 /nfs/dbraw/zinc/63/63/24/613636324.db2.gz AUMVIWZBDKJDPV-ZVDSWSACSA-N 0 1 298.390 0.593 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2cccnc2n1 ZINC000960788335 613640989 /nfs/dbraw/zinc/64/09/89/613640989.db2.gz HPHKAAAYMHZNLS-IMRBUKKESA-N 0 1 295.346 0.413 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(C)c1 ZINC000958565086 613641276 /nfs/dbraw/zinc/64/12/76/613641276.db2.gz DZRPUWIQMGYUPO-WDNDVIMCSA-N 0 1 257.337 0.708 20 30 CCEDMN C=CCN(CC(=O)N1CCN(C(=O)C2CC2)CC1)C(C)C ZINC000917068823 613641873 /nfs/dbraw/zinc/64/18/73/613641873.db2.gz DKXLJAGGJMQPSH-UHFFFAOYSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nccn1CC ZINC000958726801 613643336 /nfs/dbraw/zinc/64/33/36/613643336.db2.gz FCTABPKXZROZAO-ITGUQSILSA-N 0 1 272.352 0.586 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)NC[C@H]1CC[N@@H+]1CC ZINC000890059071 613648777 /nfs/dbraw/zinc/64/87/77/613648777.db2.gz BKKMTQYCGPIZBB-NWDGAFQWSA-N 0 1 253.346 0.154 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)NC[C@H]1CCN1CC ZINC000890059071 613648780 /nfs/dbraw/zinc/64/87/80/613648780.db2.gz BKKMTQYCGPIZBB-NWDGAFQWSA-N 0 1 253.346 0.154 20 30 CCEDMN CC(=NNC(=S)NC(C)(C)C)c1c[nH]c(N)n1 ZINC000901149117 613653358 /nfs/dbraw/zinc/65/33/58/613653358.db2.gz GFXCOHSXOWYFTA-UHFFFAOYSA-N 0 1 254.363 0.979 20 30 CCEDMN CC(=NN[C@H]1CCCS(=O)(=O)C1)C1CCN(C)CC1 ZINC000863133155 613656784 /nfs/dbraw/zinc/65/67/84/613656784.db2.gz DITOCRVDJNCGHU-ZDUSSCGKSA-N 0 1 287.429 0.871 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC000970314825 613662670 /nfs/dbraw/zinc/66/26/70/613662670.db2.gz NKDNARVAMUUSFV-ZYHUDNBSSA-N 0 1 265.357 0.230 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnn3c2OCCC3)C1 ZINC000970054378 613666975 /nfs/dbraw/zinc/66/69/75/613666975.db2.gz KPQGPYKGHFQGNA-LLVKDONJSA-N 0 1 290.367 0.902 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC000970916019 613668621 /nfs/dbraw/zinc/66/86/21/613668621.db2.gz IQVPYSKUSDZUAL-NWDGAFQWSA-N 0 1 289.383 0.463 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000970311412 613669858 /nfs/dbraw/zinc/66/98/58/613669858.db2.gz AIUOGIFVTWMANV-VIFPVBQESA-N 0 1 276.340 0.727 20 30 CCEDMN C=CCN1CCCN(C(=O)CCn2ccnn2)CC1 ZINC000981050447 613689150 /nfs/dbraw/zinc/68/91/50/613689150.db2.gz RIIVWYWSICQSGV-UHFFFAOYSA-N 0 1 263.345 0.389 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](C)N2C(=O)CCC2=O)CC1 ZINC000981281775 613693802 /nfs/dbraw/zinc/69/38/02/613693802.db2.gz MTUURAVULLEGDM-LBPRGKRZSA-N 0 1 293.367 0.244 20 30 CCEDMN C=CCN1CCCN(C(=O)c2ccc(=O)n(C)c2)CC1 ZINC000981536520 613697379 /nfs/dbraw/zinc/69/73/79/613697379.db2.gz FJLHNGRQYWTKPP-UHFFFAOYSA-N 0 1 275.352 0.719 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC000981880021 613698883 /nfs/dbraw/zinc/69/88/83/613698883.db2.gz RGYJBFQRQUAXKH-UHFFFAOYSA-N 0 1 264.329 0.460 20 30 CCEDMN C=CCN1CCN(C(=O)[C@@H](C)Cc2cnc[nH]2)CC1 ZINC000951607876 613710001 /nfs/dbraw/zinc/71/00/01/613710001.db2.gz YTMVUFJMBXZDFQ-LBPRGKRZSA-N 0 1 262.357 0.919 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)C2=COCCO2)C1 ZINC000964714079 613733105 /nfs/dbraw/zinc/73/31/05/613733105.db2.gz MAEIXUVYTDLYFH-VXGBXAGGSA-N 0 1 266.341 0.887 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC3(CC2)C[C@H](O)CCO3)C1=O ZINC000933056827 613739211 /nfs/dbraw/zinc/73/92/11/613739211.db2.gz VKJXCIOCFDMSIA-ZIAGYGMSSA-N 0 1 294.395 0.779 20 30 CCEDMN C=CCN1CC[C@@H](N[C@@H]2C(=O)NCC2(CC)CC)C1=O ZINC000933285465 613763772 /nfs/dbraw/zinc/76/37/72/613763772.db2.gz JVKOZNVFSLVYAZ-VXGBXAGGSA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2nonc2C)C1 ZINC000968493867 613772245 /nfs/dbraw/zinc/77/22/45/613772245.db2.gz YQYKZHFIDFFJSP-GXFFZTMASA-N 0 1 278.356 0.933 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCC2OCCO2)C1=O ZINC000799176961 613776604 /nfs/dbraw/zinc/77/66/04/613776604.db2.gz GIWCFSIEHKOGOA-NSHDSACASA-N 0 1 254.330 0.468 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CCCC[C@H]2C(=O)[O-])C1=O ZINC000873646431 613777628 /nfs/dbraw/zinc/77/76/28/613777628.db2.gz HLBMDFNDAOHWIN-QWRGUYRKSA-N 0 1 252.314 0.712 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCCC[C@H]2C(=O)[O-])C1=O ZINC000873646431 613777629 /nfs/dbraw/zinc/77/76/29/613777629.db2.gz HLBMDFNDAOHWIN-QWRGUYRKSA-N 0 1 252.314 0.712 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](NC(C)=O)C(C)(C)C2)C1=O ZINC000972721369 613778538 /nfs/dbraw/zinc/77/85/38/613778538.db2.gz NFUGRRKPHLUMEF-QWHCGFSZSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)C(C)(C)C1 ZINC000974976327 613809334 /nfs/dbraw/zinc/80/93/34/613809334.db2.gz OVFHNUQGBRYTTJ-LLVKDONJSA-N 0 1 276.340 0.396 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CCCNC2=O)C(C)(C)C1 ZINC000974690860 613827778 /nfs/dbraw/zinc/82/77/78/613827778.db2.gz KLCAKQOHVUTNPQ-RYUDHWBXSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2C[C@H]2C(N)=O)C(C)(C)C1 ZINC000975051157 613828183 /nfs/dbraw/zinc/82/81/83/613828183.db2.gz VUFAPWSIERJARO-VWYCJHECSA-N 0 1 265.357 0.120 20 30 CCEDMN C=CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)ccc1=O ZINC000960978251 613843276 /nfs/dbraw/zinc/84/32/76/613843276.db2.gz DTOYWTFDMGULPT-YABSGUDNSA-N 0 1 273.336 0.231 20 30 CCEDMN C=CCNC(=O)CN1CCC(O)(C2CCC2)CC1 ZINC000932822335 613845192 /nfs/dbraw/zinc/84/51/92/613845192.db2.gz ALMAWXWAESSUDC-UHFFFAOYSA-N 0 1 252.358 0.916 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC000825687599 613851180 /nfs/dbraw/zinc/85/11/80/613851180.db2.gz QOMSMVXKQVXKKN-RNCFNFMXSA-N 0 1 283.328 0.183 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(CC1)C[C@@H](O)CCO2 ZINC000933063627 613853424 /nfs/dbraw/zinc/85/34/24/613853424.db2.gz OSBNVIZVYDKQHH-OLZOCXBDSA-N 0 1 282.384 0.683 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](C(=O)OCC)C1 ZINC000932650975 613855311 /nfs/dbraw/zinc/85/53/11/613855311.db2.gz XLJRQCDOKHHEDM-WDEREUQCSA-N 0 1 254.330 0.562 20 30 CCEDMN C=CCOCC(=O)N[C@@H](CCSC)c1nn[nH]n1 ZINC000912859930 613879403 /nfs/dbraw/zinc/87/94/03/613879403.db2.gz DIWQNNFUDUCWTR-QMMMGPOBSA-N 0 1 271.346 0.313 20 30 CCEDMN C=CCOCCNC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833376146 613880803 /nfs/dbraw/zinc/88/08/03/613880803.db2.gz UZJQMQNRKRDXIO-GFCCVEGCSA-N 0 1 299.371 0.379 20 30 CCEDMN C=CCOC[C@@H](NCc1n[nH]c(C)c1C)C(=O)OC ZINC000894642380 613882053 /nfs/dbraw/zinc/88/20/53/613882053.db2.gz MBAAHJNAEPHIMD-GFCCVEGCSA-N 0 1 267.329 0.860 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CC[C@H]2CCCC[N@H+]21)C(=O)[O-] ZINC000909771829 613882548 /nfs/dbraw/zinc/88/25/48/613882548.db2.gz QYOUDLFNUXTMDZ-AGIUHOORSA-N 0 1 296.367 0.775 20 30 CCEDMN C=CCO[N-]C(=O)[C@H]1CC(=O)N(C[C@@H]2CCC[N@H+]2CC)C1 ZINC000837048517 613884499 /nfs/dbraw/zinc/88/44/99/613884499.db2.gz SXTQVTWERMASNX-STQMWFEESA-N 0 1 295.383 0.553 20 30 CCEDMN C=CCO[N-]C(=O)[C@H]1CC(=O)N(C[C@@H]2CCC[N@@H+]2CC)C1 ZINC000837048517 613884500 /nfs/dbraw/zinc/88/45/00/613884500.db2.gz SXTQVTWERMASNX-STQMWFEESA-N 0 1 295.383 0.553 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@H]1[C@@H](C(=O)OC)C1(F)F ZINC000894436606 613885694 /nfs/dbraw/zinc/88/56/94/613885694.db2.gz IUCXXKXBNWVBFV-IUCAKERBSA-N 0 1 297.323 0.231 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1cnn2c1CCC2 ZINC000850244622 613885810 /nfs/dbraw/zinc/88/58/10/613885810.db2.gz YHNKGOLDVKUJKS-UHFFFAOYSA-N 0 1 269.370 0.520 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@@H]1C[C@@H](OCC)[C@@H]1OC ZINC000893505712 613886029 /nfs/dbraw/zinc/88/60/29/613886029.db2.gz NVKNKBYKTHTXMH-IJLUTSLNSA-N 0 1 277.386 0.369 20 30 CCEDMN CC(C)(C)c1nc[nH]c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000876802964 614046482 /nfs/dbraw/zinc/04/64/82/614046482.db2.gz SWZQIWSYAKVGEC-RKDXNWHRSA-N 0 1 261.329 0.549 20 30 CCEDMN CC(=O)Nc1ccccc1CC(=O)NCC#CCN(C)C ZINC000913522006 614206482 /nfs/dbraw/zinc/20/64/82/614206482.db2.gz WFZQNTOLFXYWHQ-UHFFFAOYSA-N 0 1 287.363 0.869 20 30 CCEDMN CC(=O)Nc1ccccc1CC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834500697 614206880 /nfs/dbraw/zinc/20/68/80/614206880.db2.gz WILCATDLSZNFPA-OCCSQVGLSA-N 0 1 286.335 0.415 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)NC2(C#N)CCC2)cc1=O ZINC000930794349 614235629 /nfs/dbraw/zinc/23/56/29/614235629.db2.gz LMVVXDYKNGRBFO-UHFFFAOYSA-N 0 1 276.340 0.937 20 30 CCEDMN CC(C)[C@H]1CN(CC(=O)NCCC#N)CCCO1 ZINC000815316292 614272288 /nfs/dbraw/zinc/27/22/88/614272288.db2.gz ORAMMMYXPZHVKC-GFCCVEGCSA-N 0 1 253.346 0.763 20 30 CCEDMN CC(C)(C(=O)N[C@@H]1CCCN(O)C1=O)N1CCCCC1 ZINC000908402327 614300327 /nfs/dbraw/zinc/30/03/27/614300327.db2.gz FKFOXFQBSQGBRN-LLVKDONJSA-N 0 1 283.372 0.747 20 30 CCEDMN CC(C)(C(=O)N[C@@H]1CNC[C@@H]1C#N)c1cccnc1 ZINC000834505719 614301500 /nfs/dbraw/zinc/30/15/00/614301500.db2.gz NERXLNHIFKFJJF-CMPLNLGQSA-N 0 1 258.325 0.587 20 30 CCEDMN CC(C)(C)C(=O)NCCCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834498590 614343151 /nfs/dbraw/zinc/34/31/51/614343151.db2.gz AFIVHPASGZJBJU-MNOVXSKESA-N 0 1 280.372 0.157 20 30 CCEDMN CC(C)n1ncc2cc(C(=O)N[C@@H]3CNC[C@H]3C#N)cnc21 ZINC000834517529 614370964 /nfs/dbraw/zinc/37/09/64/614370964.db2.gz WSAXWHQZCRYROX-CHWSQXEVSA-N 0 1 298.350 0.854 20 30 CCEDMN CCC[N@@H+](CC(=O)[O-])CC(=O)N(CC)C[C@H](C)C#N ZINC000829473061 614560265 /nfs/dbraw/zinc/56/02/65/614560265.db2.gz LOSVCDBFCOHZCW-LLVKDONJSA-N 0 1 269.345 0.791 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])CC(=O)N(CC)C[C@H](C)C#N ZINC000829473061 614560262 /nfs/dbraw/zinc/56/02/62/614560262.db2.gz LOSVCDBFCOHZCW-LLVKDONJSA-N 0 1 269.345 0.791 20 30 CCEDMN CC1(C)CN(CC#N)C[C@H]1NC(=O)c1[nH]ncc1F ZINC000975015351 614610940 /nfs/dbraw/zinc/61/09/40/614610940.db2.gz MRKFXXDPDLDCGM-SECBINFHSA-N 0 1 265.292 0.513 20 30 CCEDMN CCCC(=O)N1CCC[C@@H]1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000843463192 614760392 /nfs/dbraw/zinc/76/03/92/614760392.db2.gz VJRABGHDOYXLCM-IJLUTSLNSA-N 0 1 278.356 0.005 20 30 CCEDMN CCCCCCCN1CCN2[C@@H](CNS2(=O)=O)C1 ZINC000933099807 614901195 /nfs/dbraw/zinc/90/11/95/614901195.db2.gz GMPQXLQGQAGDLB-LBPRGKRZSA-N 0 1 275.418 0.791 20 30 CCEDMN CCN(CC#N)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000930619621 614923288 /nfs/dbraw/zinc/92/32/88/614923288.db2.gz RQFWUGMIZLRZPI-UHFFFAOYSA-N 0 1 264.329 0.747 20 30 CCEDMN CC[N@H+]1CC[C@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820347948 615271193 /nfs/dbraw/zinc/27/11/93/615271193.db2.gz BCEIWGSVIUMBJN-ZWNOBZJWSA-N 0 1 267.329 0.449 20 30 CCEDMN CC[N@@H+]1C[C@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])[C@@H](C)C1 ZINC000820347932 615396787 /nfs/dbraw/zinc/39/67/87/615396787.db2.gz AKEOKMDQCHNUQL-OUJBWJOFSA-N 0 1 267.329 0.447 20 30 CCEDMN CCc1nc(COC(=O)c2ccc(C#N)n2C)n[nH]1 ZINC000837996971 615749408 /nfs/dbraw/zinc/74/94/08/615749408.db2.gz IEDNUFAMMUYDMD-UHFFFAOYSA-N 0 1 259.269 0.934 20 30 CCEDMN CN(C)CC#CCNC(=O)C(F)(F)C1(O)CCCC1 ZINC000913521776 615857514 /nfs/dbraw/zinc/85/75/14/615857514.db2.gz MVFKZVAIGDQKSM-UHFFFAOYSA-N 0 1 274.311 0.608 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)NC1CCCCC1 ZINC000913517759 615858558 /nfs/dbraw/zinc/85/85/58/615858558.db2.gz ONZCXMNXWJFCGZ-UHFFFAOYSA-N 0 1 294.399 0.300 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1cc(C2CC2)cn1 ZINC000913523864 615859002 /nfs/dbraw/zinc/85/90/02/615859002.db2.gz PMFMPNHPKQPYGY-UHFFFAOYSA-N 0 1 260.341 0.442 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCO[C@H]1c1ccnn1C ZINC000913520880 615859387 /nfs/dbraw/zinc/85/93/87/615859387.db2.gz BKKVQUSUWNPHSN-TZMCWYRMSA-N 0 1 290.367 0.179 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1C[C@]12C(=O)Nc1ccccc12 ZINC000827972221 615860414 /nfs/dbraw/zinc/86/04/14/615860414.db2.gz WKBGTAXISVAJGI-CXAGYDPISA-N 0 1 297.358 0.578 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(-c2cn[nH]c2)cn1 ZINC000913525344 615860653 /nfs/dbraw/zinc/86/06/53/615860653.db2.gz SLHFZAAVCZVMNR-UHFFFAOYSA-N 0 1 283.335 0.767 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccccc1Cn1ccnn1 ZINC000913524681 615861532 /nfs/dbraw/zinc/86/15/32/615861532.db2.gz YDYKVYQPMKMGSH-UHFFFAOYSA-N 0 1 297.362 0.621 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(-c2ccccc2)nn1 ZINC000913517174 615861817 /nfs/dbraw/zinc/86/18/17/615861817.db2.gz WEGUKLVNMLEROQ-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CCn1cc(-c2n[nH]cc2C(=O)N[C@H]2CNC[C@@H]2C#N)cn1 ZINC000834498674 615929155 /nfs/dbraw/zinc/92/91/55/615929155.db2.gz BNEFRRAVUUSQNJ-CABZTGNLSA-N 0 1 299.338 0.134 20 30 CCEDMN CN(C(=O)c1[nH]nc2c1CNCC2)[C@@H]1CCC[C@@H]1C#N ZINC000877197967 615937224 /nfs/dbraw/zinc/93/72/24/615937224.db2.gz ZSMRNMJRVISJLJ-BXKDBHETSA-N 0 1 273.340 0.820 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CNCCNS(C)(=O)=O ZINC000865431400 616012055 /nfs/dbraw/zinc/01/20/55/616012055.db2.gz KNIWEGGNWMRANA-UHFFFAOYSA-N 0 1 296.396 0.263 20 30 CCEDMN CN(C)c1cc[nH+]c(C[N-]S(=O)(=O)CC2(C#N)CC2)c1 ZINC000921025721 616028048 /nfs/dbraw/zinc/02/80/48/616028048.db2.gz RFIGBGCMMOBXIH-UHFFFAOYSA-N 0 1 294.380 0.871 20 30 CCEDMN CN(C)c1ccnc(CNS(=O)(=O)CC2(C#N)CC2)c1 ZINC000921025721 616028050 /nfs/dbraw/zinc/02/80/50/616028050.db2.gz RFIGBGCMMOBXIH-UHFFFAOYSA-N 0 1 294.380 0.871 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC000978970244 616030698 /nfs/dbraw/zinc/03/06/98/616030698.db2.gz GGCHRDGSYYBUBP-DEZZGGKRSA-N 0 1 299.378 0.579 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCC[C@H]1c1nnc[nH]1 ZINC000931139984 616067500 /nfs/dbraw/zinc/06/75/00/616067500.db2.gz COHDNKLIITXDBP-NSHDSACASA-N 0 1 276.344 0.704 20 30 CCEDMN CN(CCCNC(=O)OC(C)(C)C)CC(=O)NCC#N ZINC000125267374 616075199 /nfs/dbraw/zinc/07/51/99/616075199.db2.gz MGYMNBSBSXTZQU-UHFFFAOYSA-N 0 1 284.360 0.473 20 30 CCEDMN CN(CCCOc1cccc(C#N)c1)CCS(N)(=O)=O ZINC000880084197 616076219 /nfs/dbraw/zinc/07/62/19/616076219.db2.gz MEAGRTNGCKQHDK-UHFFFAOYSA-N 0 1 297.380 0.547 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)NCCNc1ccc(C#N)cn1 ZINC000851982525 616093340 /nfs/dbraw/zinc/09/33/40/616093340.db2.gz WETDZDDPJFSUPJ-UHFFFAOYSA-N 0 1 299.338 0.930 20 30 CCEDMN C[N@@H+](CCNc1ncc(C#N)cc1Cl)CCOCCO ZINC000882951944 616097623 /nfs/dbraw/zinc/09/76/23/616097623.db2.gz CBURAAHPVUJNKZ-UHFFFAOYSA-N 0 1 298.774 0.959 20 30 CCEDMN CN(CCc1cccc(C#N)c1)C(=O)[C@@]1(C)CNCCO1 ZINC000877223310 616124906 /nfs/dbraw/zinc/12/49/06/616124906.db2.gz DNROWNVBZSBREZ-MRXNPFEDSA-N 0 1 287.363 0.938 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@H]1CN(CC#N)CC1(C)C ZINC000974727667 616180678 /nfs/dbraw/zinc/18/06/78/616180678.db2.gz CFGXOJWYUAFJHE-OLZOCXBDSA-N 0 1 278.400 0.821 20 30 CCEDMN CN1CCN(C)CCN(C(=O)CCCC#N)CC1 ZINC000888983728 616235078 /nfs/dbraw/zinc/23/50/78/616235078.db2.gz ODUWVCBCUAKBIQ-UHFFFAOYSA-N 0 1 252.362 0.386 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2coc(C#N)c2)C1 ZINC000865706213 616237873 /nfs/dbraw/zinc/23/78/73/616237873.db2.gz PKEDJZCUQFUGBA-NSHDSACASA-N 0 1 262.313 0.127 20 30 CCEDMN CN1CCN(CC(=O)NCCC#N)C[C@H]1C(C)(C)C ZINC000878841469 616239609 /nfs/dbraw/zinc/23/96/09/616239609.db2.gz DFQSFOKBFINDLO-LBPRGKRZSA-N 0 1 266.389 0.678 20 30 CCEDMN C[NH+]1CCN(N=Cc2ccc(OCC(=O)[O-])cc2)CC1 ZINC000916248032 616246146 /nfs/dbraw/zinc/24/61/46/616246146.db2.gz GKOBRQFKQXVIRH-UHFFFAOYSA-N 0 1 277.324 0.731 20 30 CCEDMN C[N@H+]1C[C@@H](O)C[C@@]1(C)C(=O)[N-]Oc1cccc(C#N)c1 ZINC000913667066 616321159 /nfs/dbraw/zinc/32/11/59/616321159.db2.gz UUSDYPFNEMMDKH-FZMZJTMJSA-N 0 1 275.308 0.423 20 30 CCEDMN COC(=O)[C@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CC2)CC1 ZINC000797943880 616321852 /nfs/dbraw/zinc/32/18/52/616321852.db2.gz XGXQLRGHSIITGT-CKYFFXLPSA-N 0 1 292.335 0.953 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)Nc2ccc(C#N)cn2)C1 ZINC000889225123 616395459 /nfs/dbraw/zinc/39/54/59/616395459.db2.gz HTURTLVTXUHLBS-GFCCVEGCSA-N 0 1 289.339 0.795 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)[C@@]2(C#N)CCCOC2)C1 ZINC000865584312 616396098 /nfs/dbraw/zinc/39/60/98/616396098.db2.gz OXDLSXVESGCMKP-TZMCWYRMSA-N 0 1 281.356 0.144 20 30 CCEDMN CN1CC[C@H]1CNC(=O)CNC(=O)c1ccc(C#N)cc1 ZINC000928558298 616423173 /nfs/dbraw/zinc/42/31/73/616423173.db2.gz KEYUFIQVJJIMML-ZDUSSCGKSA-N 0 1 286.335 0.108 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCc1ccc(C#N)c(F)c1 ZINC000909390525 616475421 /nfs/dbraw/zinc/47/54/21/616475421.db2.gz HXSIXCKAGJJXOY-DOMZBBRYSA-N 0 1 291.326 0.769 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC000870139942 616495738 /nfs/dbraw/zinc/49/57/38/616495738.db2.gz ZCQFLANSXMPZEL-YNEHKIRRSA-N 0 1 294.351 0.521 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@@H]1[C@H]1C[C@@H]1C ZINC000870139342 616495834 /nfs/dbraw/zinc/49/58/34/616495834.db2.gz ULULBWQUZBMKSJ-PREPNJAASA-N 0 1 264.325 0.750 20 30 CCEDMN COCCOCc1ccc(C=NNC2=NCCN2)cc1 ZINC000841659319 616569211 /nfs/dbraw/zinc/56/92/11/616569211.db2.gz JOAGNDNBWLKCND-UHFFFAOYSA-N 0 1 276.340 0.732 20 30 CCEDMN COCCOc1ccc(CN=Nc2nccn(C)c2=O)o1 ZINC000901196249 616590998 /nfs/dbraw/zinc/59/09/98/616590998.db2.gz ZZIMOJDXVUYZJR-UHFFFAOYSA-N 0 1 292.295 0.845 20 30 CCEDMN COCCOc1ccc(CNCCn2cnc(C#N)n2)o1 ZINC000894419417 616593735 /nfs/dbraw/zinc/59/37/35/616593735.db2.gz DGAVRWZDODRHSI-UHFFFAOYSA-N 0 1 291.311 0.558 20 30 CCEDMN COCCn1cc(NC(=O)NCC#CCN(C)C)cn1 ZINC000905152713 616737191 /nfs/dbraw/zinc/73/71/91/616737191.db2.gz GISPNAVQGVAKRF-UHFFFAOYSA-N 0 1 279.344 0.216 20 30 CCEDMN COC[C@H]1CNCCN1C(=O)Nc1cccc(CC#N)n1 ZINC000904539087 616789037 /nfs/dbraw/zinc/78/90/37/616789037.db2.gz KUAMZBLZHZYNJZ-GFCCVEGCSA-N 0 1 289.339 0.600 20 30 CCEDMN COC(=O)N1CCC(C(=O)C(C#N)C(=O)NC2CC2)CC1 ZINC000850759989 616819579 /nfs/dbraw/zinc/81/95/79/616819579.db2.gz UKBVTAJRDZUZDT-LLVKDONJSA-N 0 1 293.323 0.452 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC(C)(C)COC ZINC000842286236 616897587 /nfs/dbraw/zinc/89/75/87/616897587.db2.gz WFYHTZVXBHPOED-NSHDSACASA-N 0 1 284.356 0.911 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)Cc1c(C)noc1C ZINC000916870438 616897638 /nfs/dbraw/zinc/89/76/38/616897638.db2.gz KEGUQRPFVNELKG-LBPRGKRZSA-N 0 1 293.323 0.696 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1O[C@H](C)C[C@@H]1C ZINC000800385117 616902360 /nfs/dbraw/zinc/90/23/60/616902360.db2.gz RWYQRKZYXOFLLX-SBFPOUOMSA-N 0 1 282.340 0.661 20 30 CCEDMN COCc1cccc(CC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834499677 616903295 /nfs/dbraw/zinc/90/32/95/616903295.db2.gz LDYLUKISUQPLKL-KBPBESRZSA-N 0 1 273.336 0.603 20 30 CCEDMN COC(=O)c1ccc(CN[C@H]2CCCN(O)C2=O)cc1 ZINC000895163134 616906456 /nfs/dbraw/zinc/90/64/56/616906456.db2.gz RKDOPHHSJOMRHJ-LBPRGKRZSA-N 0 1 278.308 0.943 20 30 CCEDMN COCc1cnc(NC(=O)NCC#CCN(C)C)s1 ZINC000931378474 616912820 /nfs/dbraw/zinc/91/28/20/616912820.db2.gz HSASXTGMPFXNBI-UHFFFAOYSA-N 0 1 282.369 0.976 20 30 CCEDMN COC(=O)c1cccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000841040910 616931047 /nfs/dbraw/zinc/93/10/47/616931047.db2.gz CNGDDHWXLAQWJB-CMPLNLGQSA-N 0 1 288.307 0.706 20 30 CCEDMN CNC(=S)NN=Cc1cc(C(F)(F)F)n[nH]1 ZINC000799466193 616931763 /nfs/dbraw/zinc/93/17/63/616931763.db2.gz ZVNHUKUDFZHROR-UHFFFAOYSA-N 0 1 251.237 0.856 20 30 CCEDMN COCc1nc(C)c(C(=O)NCC#CCN(C)C)s1 ZINC000913520558 616932381 /nfs/dbraw/zinc/93/23/81/616932381.db2.gz SERUWWOQTZPLFD-UHFFFAOYSA-N 0 1 281.381 0.893 20 30 CCEDMN COCCN(C)c1ccc(C=NNCC(C)(C)O)cn1 ZINC000863138386 616972414 /nfs/dbraw/zinc/97/24/14/616972414.db2.gz AXEZBZAHARXOKN-UHFFFAOYSA-N 0 1 280.372 0.859 20 30 CCEDMN CON=CC(=O)NCc1nc(-c2ccc(OC)cc2)n[nH]1 ZINC000809666003 617105510 /nfs/dbraw/zinc/10/55/10/617105510.db2.gz KVTFZEABFDAMEQ-UHFFFAOYSA-N 0 1 289.295 0.729 20 30 CCEDMN CON=CC(=O)NCc1n[nH]c(-c2ccc(OC)cc2)n1 ZINC000809666003 617105511 /nfs/dbraw/zinc/10/55/11/617105511.db2.gz KVTFZEABFDAMEQ-UHFFFAOYSA-N 0 1 289.295 0.729 20 30 CCEDMN COc1c(O)cccc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC000812390974 617148964 /nfs/dbraw/zinc/14/89/64/617148964.db2.gz CSEFHQAXTXDYBV-UHFFFAOYSA-N 0 1 292.320 0.832 20 30 CCEDMN COc1cc(C#N)ccc1CN1C[C@@H]2C(=O)N(C)C(=O)[C@@H]2C1 ZINC000879533992 617152580 /nfs/dbraw/zinc/15/25/80/617152580.db2.gz KXOOEZRXPHYJBK-BETUJISGSA-N 0 1 299.330 0.613 20 30 CCEDMN COc1cc(C(=O)NCC#CCN(C)C)ccc1[N+](=O)[O-] ZINC000913517830 617160310 /nfs/dbraw/zinc/16/03/10/617160310.db2.gz RCNMIXWVOFZIMU-UHFFFAOYSA-N 0 1 291.307 0.898 20 30 CCEDMN CO[C@@H]1CCCC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913522670 617171494 /nfs/dbraw/zinc/17/14/94/617171494.db2.gz TYGFBCRLISECKB-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC001025908174 625301409 /nfs/dbraw/zinc/30/14/09/625301409.db2.gz SGQFXTURUQYVEL-PRHQYYMVSA-N 0 1 299.378 0.646 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC[C@@H](F)C1 ZINC001025979169 625315129 /nfs/dbraw/zinc/31/51/29/625315129.db2.gz UTNCELURGFYNML-KVSVUVNWSA-N 0 1 250.317 0.804 20 30 CCEDMN CN(Cc1nnc[nH]1)C[C@@H](O)c1ccc(C#N)cc1 ZINC000178334448 625353527 /nfs/dbraw/zinc/35/35/27/625353527.db2.gz AHYSVEHXKMEHBR-GFCCVEGCSA-N 0 1 257.297 0.842 20 30 CCEDMN C=C(Br)CN1CCN(C(=O)CN(C)C)CC1 ZINC000052441130 622940522 /nfs/dbraw/zinc/94/05/22/622940522.db2.gz YUJXZMKOIWCGEA-UHFFFAOYSA-N 0 1 290.205 0.601 20 30 CCEDMN N#CCN1CCC[C@H](CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001023428113 623029571 /nfs/dbraw/zinc/02/95/71/623029571.db2.gz YVGGOIPNHRPDEL-JHJVBQTASA-N 0 1 287.367 0.865 20 30 CCEDMN Cc1nnc(CNC[C@@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC001026835528 625633135 /nfs/dbraw/zinc/63/31/35/625633135.db2.gz YYEFKANRPGIYBG-ZDUSSCGKSA-N 0 1 288.351 0.730 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C1=COCCO1 ZINC001024316072 623284700 /nfs/dbraw/zinc/28/47/00/623284700.db2.gz HRXVFEVXEXHQPN-CYBMUJFWSA-N 0 1 278.352 0.869 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024390891 623309255 /nfs/dbraw/zinc/30/92/55/623309255.db2.gz MSMJPFRMRUBGRS-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024390891 623309261 /nfs/dbraw/zinc/30/92/61/623309261.db2.gz MSMJPFRMRUBGRS-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001006903249 623361138 /nfs/dbraw/zinc/36/11/38/623361138.db2.gz FACFJQIZYQRPSD-AWEZNQCLSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CN(C)C(=O)N1 ZINC001024597322 623387688 /nfs/dbraw/zinc/38/76/88/623387688.db2.gz VCWDHLBEJHKETG-QWHCGFSZSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001024782070 623440027 /nfs/dbraw/zinc/44/00/27/623440027.db2.gz GGKNSLNOGIKJDL-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C[C@H]1CCCCN1CC(N)=NOCc1ncnn1C ZINC000177673620 623443816 /nfs/dbraw/zinc/44/38/16/623443816.db2.gz JAIIDVCHUCWXTE-JTQLQIEISA-N 0 1 266.349 0.478 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H]([C@@H](C)NC(=O)c2cn[nH]n2)C1 ZINC001025467152 623809203 /nfs/dbraw/zinc/80/92/03/623809203.db2.gz YEQBOBFQXAWSKZ-NEPJUHHUSA-N 0 1 275.356 0.658 20 30 CCEDMN C#CCC[N@H+]1CCC[C@H]([C@@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001025467152 623809205 /nfs/dbraw/zinc/80/92/05/623809205.db2.gz YEQBOBFQXAWSKZ-NEPJUHHUSA-N 0 1 275.356 0.658 20 30 CCEDMN C[C@H](NC(=O)c1nnc[n-]1)[C@H]1CCC[N@@H+](CC#N)C1 ZINC001025561591 623827524 /nfs/dbraw/zinc/82/75/24/623827524.db2.gz MKLGIJFCVLRADL-UWVGGRQHSA-N 0 1 262.317 0.159 20 30 CCEDMN C[C@H](NC(=O)c1nnc[nH]1)[C@H]1CCCN(CC#N)C1 ZINC001025561591 623827527 /nfs/dbraw/zinc/82/75/27/623827527.db2.gz MKLGIJFCVLRADL-UWVGGRQHSA-N 0 1 262.317 0.159 20 30 CCEDMN C#CCN(CC#C)C(=O)c1nnc2ccccc2c1O ZINC000175727289 624482257 /nfs/dbraw/zinc/48/22/57/624482257.db2.gz GCOYZTOGESNGOJ-UHFFFAOYSA-N 0 1 265.272 0.632 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@H](NCc3ncnn3C)C2)C1 ZINC001020562566 624525261 /nfs/dbraw/zinc/52/52/61/624525261.db2.gz DRSPESMCXPOBKY-HAQNSBGRSA-N 0 1 289.383 0.908 20 30 CCEDMN C[C@H](NC(=O)c1cncc2nc[nH]c21)C1CN(CC#N)C1 ZINC000969721358 624534870 /nfs/dbraw/zinc/53/48/70/624534870.db2.gz MLBXMXFKUPELCA-VIFPVBQESA-N 0 1 284.323 0.532 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)[C@@H](O)c2ccc(C#N)cc2)n[nH]1 ZINC000804814386 624639024 /nfs/dbraw/zinc/63/90/24/624639024.db2.gz TWICIBXJIHECOJ-PELKAZGASA-N 0 1 285.307 0.896 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001006812428 624648813 /nfs/dbraw/zinc/64/88/13/624648813.db2.gz PGGLGOMXNOPXTF-OLZOCXBDSA-N 0 1 266.341 0.006 20 30 CCEDMN C[C@@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)C1CN(CC#N)C1 ZINC000970340059 624677841 /nfs/dbraw/zinc/67/78/41/624677841.db2.gz XKJNZEGGDXHYHG-MWLCHTKSSA-N 0 1 288.355 0.185 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001021210434 624682005 /nfs/dbraw/zinc/68/20/05/624682005.db2.gz FYROBUDGQZMRSQ-WTUNAVPPSA-N 0 1 276.384 0.716 20 30 CCEDMN O=C(NCC#C[C@H]1CCCCO1)C1CN([C@H]2CCOC2)C1 ZINC000891112257 624727439 /nfs/dbraw/zinc/72/74/39/624727439.db2.gz GZSLVHXOGYVMJF-LSDHHAIUSA-N 0 1 292.379 0.396 20 30 CCEDMN O=C(NCC#C[C@H]1CCCCO1)[C@@H]1CCCc2n[nH]nc21 ZINC000891115845 624728256 /nfs/dbraw/zinc/72/82/56/624728256.db2.gz HZSCLJYEBYFLHD-VXGBXAGGSA-N 0 1 288.351 0.913 20 30 CCEDMN Cc1nc(CC(=O)NCC#C[C@@H]2CCCCO2)n[nH]1 ZINC000891115072 624728636 /nfs/dbraw/zinc/72/86/36/624728636.db2.gz BZFQOZCHHJDXMO-NSHDSACASA-N 0 1 262.313 0.344 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCC#C[C@H]1CCCCO1 ZINC000891122308 624731164 /nfs/dbraw/zinc/73/11/64/624731164.db2.gz CDLIHGCWNVGCPS-HUUCEWRRSA-N 0 1 294.395 0.643 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC[C@@H]1CCOC1 ZINC000176086718 624939126 /nfs/dbraw/zinc/93/91/26/624939126.db2.gz FYBZMAABZCYQEX-VXGBXAGGSA-N 0 1 282.340 0.665 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2ccn3cncc3c2)C1 ZINC001022370345 624939763 /nfs/dbraw/zinc/93/97/63/624939763.db2.gz GJXVQNKEVCOWAB-TXEJJXNPSA-N 0 1 269.308 0.708 20 30 CCEDMN C[C@H](NC[C@@]1(CO)COCCN1C)c1cc(C#N)ccn1 ZINC000903280170 634550428 /nfs/dbraw/zinc/55/04/28/634550428.db2.gz SVNXUXFMLBXMQH-SWLSCSKDSA-N 0 1 290.367 0.297 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1cnn(C2CCC2)c1 ZINC000904558406 634754708 /nfs/dbraw/zinc/75/47/08/634754708.db2.gz PJBKSVLAWPCWAD-BXKDBHETSA-N 0 1 274.328 0.841 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027812086 630940483 /nfs/dbraw/zinc/94/04/83/630940483.db2.gz DCSMHMYMSQSXGO-GFCCVEGCSA-N 0 1 256.309 0.714 20 30 CCEDMN N#CCN[C@H]1CCC[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000981352765 631271898 /nfs/dbraw/zinc/27/18/98/631271898.db2.gz FEZREUNVXQTVFU-QWRGUYRKSA-N 0 1 261.329 0.493 20 30 CCEDMN Cc1cc(CN[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)on1 ZINC000967943974 650005301 /nfs/dbraw/zinc/00/53/01/650005301.db2.gz NNBAKSOTOZIYNU-GXSJLCMTSA-N 0 1 262.313 0.833 20 30 CCEDMN N#Cc1cnc(Cl)c(CN[C@H]2C[C@@H](C(N)=O)C2)c1 ZINC000877611024 631590532 /nfs/dbraw/zinc/59/05/32/631590532.db2.gz VKYSVMSAFVPZQL-WAAGHKOSSA-N 0 1 264.716 0.960 20 30 CCEDMN O=C(C#CC1CC1)N1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn[nH]1 ZINC000968558689 650020036 /nfs/dbraw/zinc/02/00/36/650020036.db2.gz RMKGUCLEIXYEAV-BETUJISGSA-N 0 1 298.346 0.639 20 30 CCEDMN N#Cc1ccc(N2CC(N3CC[C@@H](O)C3)C2)c(CO)c1 ZINC000894348477 631724772 /nfs/dbraw/zinc/72/47/72/631724772.db2.gz CQABSLTWWHMNNZ-CQSZACIVSA-N 0 1 273.336 0.306 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@H]1CNC(=O)c1ncn[nH]1 ZINC000937466584 631920776 /nfs/dbraw/zinc/92/07/76/631920776.db2.gz GFJUEAPNEUQJPH-ZJUUUORDSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@H]1CNC(=O)c1nc[nH]n1 ZINC000937466584 631920780 /nfs/dbraw/zinc/92/07/80/631920780.db2.gz GFJUEAPNEUQJPH-ZJUUUORDSA-N 0 1 290.327 0.075 20 30 CCEDMN C#C[C@@H](C)NC(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000186328836 631966107 /nfs/dbraw/zinc/96/61/07/631966107.db2.gz KCZCWIDIPDNWEM-SECBINFHSA-N 0 1 287.344 0.823 20 30 CCEDMN Cn1ncc2cc(CN[C@H]3CCCN(O)C3=O)cnc21 ZINC000895157942 631990355 /nfs/dbraw/zinc/99/03/55/631990355.db2.gz UIZBGYJCURFHTQ-NSHDSACASA-N 0 1 275.312 0.438 20 30 CCEDMN Cc1ccc(O)c(CN[C@@H]2CCCN(O)C2=O)n1 ZINC000895164335 631998109 /nfs/dbraw/zinc/99/81/09/631998109.db2.gz FLFAHDJRIFIQCA-SECBINFHSA-N 0 1 251.286 0.565 20 30 CCEDMN Cc1cc(C#N)nc(N[C@@H](C)[C@@H]2CN(C)CCN2C)n1 ZINC000895248243 632032357 /nfs/dbraw/zinc/03/23/57/632032357.db2.gz ZBTMQFVBHBJJNY-AAEUAGOBSA-N 0 1 274.372 0.703 20 30 CCEDMN C[C@H](Nc1cccc(C#N)n1)[C@@H]1CN(C)CCN1C ZINC000895246705 632038175 /nfs/dbraw/zinc/03/81/75/632038175.db2.gz PSZRJBOAVINXSA-AAEUAGOBSA-N 0 1 259.357 0.999 20 30 CCEDMN C[C@]1(CO)C[C@H](O)CN1Cc1cc(C#N)cs1 ZINC000895327517 632059512 /nfs/dbraw/zinc/05/95/12/632059512.db2.gz DKPPPCMEFMZYKT-CMPLNLGQSA-N 0 1 252.339 0.937 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2COCCO2)C1 ZINC001006810870 650053272 /nfs/dbraw/zinc/05/32/72/650053272.db2.gz LAWYQHBEEGFBMO-QWHCGFSZSA-N 0 1 268.357 0.559 20 30 CCEDMN Cc1nc(CN(C)C2CCN(C(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC000985339742 632442601 /nfs/dbraw/zinc/44/26/01/632442601.db2.gz YVEFRIOBGIEFBH-SNVBAGLBSA-N 0 1 290.371 0.696 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cncnc2)C1 ZINC001007227531 650071339 /nfs/dbraw/zinc/07/13/39/650071339.db2.gz PWHLKYCITPQORV-AWEZNQCLSA-N 0 1 272.352 0.623 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC001007225680 650071380 /nfs/dbraw/zinc/07/13/80/650071380.db2.gz HYRMRTJOUSDZNC-JTQLQIEISA-N 0 1 265.313 0.359 20 30 CCEDMN N#CCCNC(=O)CSc1nc(C2CC2)n[nH]1 ZINC000021737072 632563649 /nfs/dbraw/zinc/56/36/49/632563649.db2.gz RWKDETPDSXBKMK-UHFFFAOYSA-N 0 1 251.315 0.804 20 30 CCEDMN N#CCCNC(=O)CSc1n[nH]c(C2CC2)n1 ZINC000021737072 632563651 /nfs/dbraw/zinc/56/36/51/632563651.db2.gz RWKDETPDSXBKMK-UHFFFAOYSA-N 0 1 251.315 0.804 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCCNC2=O)C1 ZINC001007531643 650081841 /nfs/dbraw/zinc/08/18/41/650081841.db2.gz JJFXFAKAOMLYHD-NEPJUHHUSA-N 0 1 265.357 0.279 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001007735233 650089657 /nfs/dbraw/zinc/08/96/57/650089657.db2.gz CCLXRRHTOONTFU-CHWSQXEVSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn(C)nn2)[C@@H]1C ZINC000986235470 632733434 /nfs/dbraw/zinc/73/34/34/632733434.db2.gz STCRCDUTNXFHDY-ZJUUUORDSA-N 0 1 283.763 0.760 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnnn2C)[C@H]1C ZINC000986241434 632734618 /nfs/dbraw/zinc/73/46/18/632734618.db2.gz IXAMCKHKCZQWIX-UWVGGRQHSA-N 0 1 283.763 0.760 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2nnc(C)[nH]2)[C@H]1C ZINC000986251741 632734979 /nfs/dbraw/zinc/73/49/79/632734979.db2.gz OEPIAPHSJJSJMI-GXSJLCMTSA-N 0 1 297.790 0.987 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001008037407 650100241 /nfs/dbraw/zinc/10/02/41/650100241.db2.gz UVYRPCKNDLICCZ-IUODEOHRSA-N 0 1 279.384 0.669 20 30 CCEDMN C[C@@H]1[C@@H](NCC#N)CCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000987505900 632874411 /nfs/dbraw/zinc/87/44/11/632874411.db2.gz VFCUXAGSUSIGQQ-KOLCDFICSA-N 0 1 284.323 0.674 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2[nH]nnc2C)C1 ZINC001008141892 650103193 /nfs/dbraw/zinc/10/31/93/650103193.db2.gz OBTGTBQCQWANIX-NSHDSACASA-N 0 1 263.345 0.883 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CN2CN=NC2=O)C1 ZINC000988664748 633075249 /nfs/dbraw/zinc/07/52/49/633075249.db2.gz YSTOTMUKBNYXAJ-UWVGGRQHSA-N 0 1 299.762 0.315 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCn2ccnn2)C1 ZINC000988714053 633089173 /nfs/dbraw/zinc/08/91/73/633089173.db2.gz SLTFWURMQVVCEJ-NEPJUHHUSA-N 0 1 297.790 1.000 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001008337267 650110352 /nfs/dbraw/zinc/11/03/52/650110352.db2.gz DCLHLVYLOLJBJA-NEPJUHHUSA-N 0 1 289.383 0.991 20 30 CCEDMN CC#CCN1CCCN(C(=O)Cc2[nH]cnc2C)CC1 ZINC000989563342 633327754 /nfs/dbraw/zinc/32/77/54/633327754.db2.gz VYNFKDQZSZUGGL-UHFFFAOYSA-N 0 1 274.368 0.818 20 30 CCEDMN C=CCN1CCCN(C(=O)Cn2ccccc2=O)CC1 ZINC000989638208 633338506 /nfs/dbraw/zinc/33/85/06/633338506.db2.gz PMACTPFEHVMAJH-UHFFFAOYSA-N 0 1 275.352 0.569 20 30 CCEDMN C[C@H]1C[C@@H](NCC#N)CN1C(=O)c1ccc2[nH]nnc2c1 ZINC000989783453 633353306 /nfs/dbraw/zinc/35/33/06/633353306.db2.gz VSTOPZJKNYDXIX-GXSJLCMTSA-N 0 1 284.323 0.674 20 30 CCEDMN CCn1ncnc1CNC1CCN(C(=O)[C@H](C)C#N)CC1 ZINC000990261187 633497771 /nfs/dbraw/zinc/49/77/71/633497771.db2.gz BZKCFZAQVIXSTD-LLVKDONJSA-N 0 1 290.371 0.538 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cc(=O)n(C)o3)CCC[C@H]12 ZINC000990434890 633531833 /nfs/dbraw/zinc/53/18/33/633531833.db2.gz HOALUXUYEAIWKK-SWLSCSKDSA-N 0 1 289.335 0.338 20 30 CCEDMN N#CC[C@H]1CCCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000898580043 633626471 /nfs/dbraw/zinc/62/64/71/633626471.db2.gz AKKKZLMHIGWEAZ-TZMCWYRMSA-N 0 1 277.368 0.859 20 30 CCEDMN Cc1cc(C#N)cc(C)c1C(=O)NCCN1CC[C@H](O)C1 ZINC000899749261 633891305 /nfs/dbraw/zinc/89/13/05/633891305.db2.gz KPLSJQULSXUVOG-AWEZNQCLSA-N 0 1 287.363 0.972 20 30 CCEDMN Cc1ncc(C(=O)NC2(C#N)CCN(C)CC2)n1C ZINC000900608649 634054467 /nfs/dbraw/zinc/05/44/67/634054467.db2.gz NURGXMYPCIMWOA-UHFFFAOYSA-N 0 1 261.329 0.446 20 30 CCEDMN CC(C)(C(=O)N[C@@H]1CCCN(CC#N)C1)c1cnc[nH]1 ZINC001009212170 650140141 /nfs/dbraw/zinc/14/01/41/650140141.db2.gz FDKXXOWMYYUYDW-LLVKDONJSA-N 0 1 275.356 0.791 20 30 CCEDMN C#C[C@@H](NC(=O)c1cccc2nc(CO)[nH]c21)[C@H]1CCCO1 ZINC000907485183 635134690 /nfs/dbraw/zinc/13/46/90/635134690.db2.gz FBBHHOCOSYXMCI-DGCLKSJQSA-N 0 1 299.330 0.966 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC001010342544 650203207 /nfs/dbraw/zinc/20/32/07/650203207.db2.gz WDTIILLHOOVUID-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC(NC(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC000991287213 635848950 /nfs/dbraw/zinc/84/89/50/635848950.db2.gz HOSTWICTRHGXOX-GHMZBOCLSA-N 0 1 295.339 0.581 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001010570063 650242467 /nfs/dbraw/zinc/24/24/67/650242467.db2.gz HPPXQUKBVYXIER-MNOVXSKESA-N 0 1 252.314 0.316 20 30 CCEDMN C[C@H]1C(=O)N(NC(=O)c2sccc2CC#N)C(=O)N1C ZINC000912817652 636683815 /nfs/dbraw/zinc/68/38/15/636683815.db2.gz ZQXUAZOVGQRZMO-ZETCQYMHSA-N 0 1 292.320 0.741 20 30 CCEDMN Cn1cc(C(N)=O)cc1C(=O)Nc1cc(C#N)ccc1O ZINC000151134737 636754271 /nfs/dbraw/zinc/75/42/71/636754271.db2.gz JLILVPVEHSUSHT-UHFFFAOYSA-N 0 1 284.275 0.954 20 30 CCEDMN Cc1ccn2cnc(C(=O)NCC#CCN(C)C)c2c1 ZINC000913523786 636832236 /nfs/dbraw/zinc/83/22/36/636832236.db2.gz MHWTXAADFHKITO-UHFFFAOYSA-N 0 1 270.336 0.938 20 30 CCEDMN C[C@H]1CCc2[nH]nc(C(=O)NCC#CCN(C)C)c2C1 ZINC000913524129 636833032 /nfs/dbraw/zinc/83/30/32/636833032.db2.gz ANKVBDNUAUSEQQ-NSHDSACASA-N 0 1 274.368 0.829 20 30 CCEDMN C[C@H](NC(=O)CC(C)(C)C)C(=O)NCC#CCN(C)C ZINC000913520848 636835427 /nfs/dbraw/zinc/83/54/27/636835427.db2.gz AKBLBKSQJZGEJJ-LBPRGKRZSA-N 0 1 281.400 0.609 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccnc(F)c2)CC1 ZINC000225592375 636953398 /nfs/dbraw/zinc/95/33/98/636953398.db2.gz CPRRQDGSKULYNA-UHFFFAOYSA-N 0 1 262.288 0.938 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cn(C)ccc3=O)CCC[C@H]12 ZINC000991775429 637051400 /nfs/dbraw/zinc/05/14/00/637051400.db2.gz AAXOKTXZLMXOCL-RDJZCZTQSA-N 0 1 299.374 0.745 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001014993524 637284907 /nfs/dbraw/zinc/28/49/07/637284907.db2.gz ADDUJVSZPMVVIP-UONOGXRCSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3[nH]nnc3C)CCC[C@@H]12 ZINC000992102360 637305430 /nfs/dbraw/zinc/30/54/30/637305430.db2.gz ZWYMCZBRTOYVEY-RISCZKNCSA-N 0 1 273.340 0.473 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(CCCCCO)CC1 ZINC000913693554 637410401 /nfs/dbraw/zinc/41/04/01/637410401.db2.gz GWZOABHHSGKUJH-OAHLLOKOSA-N 0 1 284.400 0.620 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)NCc2cnnn2C)C[C@H]1C ZINC000329703643 637657685 /nfs/dbraw/zinc/65/76/85/637657685.db2.gz IWMMTWBHTKXFFD-MNOVXSKESA-N 0 1 280.376 0.644 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2nnn(C)c2C)[C@@H]1C ZINC000993180300 637727982 /nfs/dbraw/zinc/72/79/82/637727982.db2.gz GURLXCVGVMNNTE-CMPLNLGQSA-N 0 1 277.372 0.892 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2ccnn2C)[C@H]1C ZINC000993292608 637765601 /nfs/dbraw/zinc/76/56/01/637765601.db2.gz XQLJHZCZWWYWHA-HIFRSBDPSA-N 0 1 288.395 0.955 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cn2nccn2)[C@H]1C ZINC000993393970 637800133 /nfs/dbraw/zinc/80/01/33/637800133.db2.gz MIVSISAYDBPTOY-OLZOCXBDSA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2ccnc3n[nH]nc32)[C@H]1C ZINC000993569514 637818810 /nfs/dbraw/zinc/81/88/10/637818810.db2.gz GSYBGOGUYAMUOX-ZYHUDNBSSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2ccnc3n[nH]nc32)[C@H]1C ZINC000993569507 637818910 /nfs/dbraw/zinc/81/89/10/637818910.db2.gz GSYBGOGUYAMUOX-PWSUYJOCSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2c(C)nc[nH]c2=O)[C@@H]1C ZINC000993722406 637835173 /nfs/dbraw/zinc/83/51/73/637835173.db2.gz XCKQYIGIHBXIHL-RYUDHWBXSA-N 0 1 288.351 0.707 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2c(C)nc[nH]c2=O)[C@@H]1C ZINC000993722405 637835403 /nfs/dbraw/zinc/83/54/03/637835403.db2.gz XCKQYIGIHBXIHL-NWDGAFQWSA-N 0 1 288.351 0.707 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2cnon2)[C@H]1C ZINC000994046163 637862996 /nfs/dbraw/zinc/86/29/96/637862996.db2.gz XCGUEQDSEUIAPH-MNOVXSKESA-N 0 1 262.313 0.676 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnon2)[C@H]1C ZINC000994046163 637863001 /nfs/dbraw/zinc/86/30/01/637863001.db2.gz XCGUEQDSEUIAPH-MNOVXSKESA-N 0 1 262.313 0.676 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(=O)n(C)n2)[C@@H]1C ZINC000994354752 637920376 /nfs/dbraw/zinc/92/03/76/637920376.db2.gz VLFGSSZKYBUJDE-RYUDHWBXSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC000994431435 637938028 /nfs/dbraw/zinc/93/80/28/637938028.db2.gz HSZFNGXMCVFIQC-PWSUYJOCSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC000994431435 637938038 /nfs/dbraw/zinc/93/80/38/637938038.db2.gz HSZFNGXMCVFIQC-PWSUYJOCSA-N 0 1 288.351 0.330 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)[nH]n1 ZINC000939967819 638426046 /nfs/dbraw/zinc/42/60/46/638426046.db2.gz SGSUKVZCZGHVJY-MWLCHTKSSA-N 0 1 289.339 0.137 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000940705332 638487850 /nfs/dbraw/zinc/48/78/50/638487850.db2.gz OZZOXFRURXGIAO-CKYFFXLPSA-N 0 1 289.339 0.075 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCN(C(=O)CN2CCCC2)CC1 ZINC000941107532 638513455 /nfs/dbraw/zinc/51/34/55/638513455.db2.gz WDCBNDDGDRCGJI-ZDUSSCGKSA-N 0 1 292.383 0.303 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@H]1NC(=O)Cc1cnc[nH]1 ZINC000943177465 638708628 /nfs/dbraw/zinc/70/86/28/638708628.db2.gz OPOHSXKFAQTVJH-ZYHUDNBSSA-N 0 1 261.329 0.302 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cn2cnnn2)CC1(C)C ZINC000995428440 638757761 /nfs/dbraw/zinc/75/77/61/638757761.db2.gz KXCYZGUUVHFAAJ-JTQLQIEISA-N 0 1 298.778 0.252 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2nnn(C)n2)CC1(C)C ZINC000996170155 638811440 /nfs/dbraw/zinc/81/14/40/638811440.db2.gz PCKFQODITIKPKQ-VIFPVBQESA-N 0 1 298.778 0.403 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cnn(C)c2N)C1 ZINC000998988579 638953516 /nfs/dbraw/zinc/95/35/16/638953516.db2.gz GVXGOCMRKGQDOK-JTQLQIEISA-N 0 1 297.790 0.949 20 30 CCEDMN C=C1CC(C)(C(=O)NC2CN(C(=O)Cc3ncn[nH]3)C2)C1 ZINC000999284497 638971610 /nfs/dbraw/zinc/97/16/10/638971610.db2.gz RXSXBYKYFVWZMT-UHFFFAOYSA-N 0 1 289.339 0.031 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC000999575010 638986917 /nfs/dbraw/zinc/98/69/17/638986917.db2.gz WYHASFDAWDYBEK-NEPJUHHUSA-N 0 1 299.802 0.846 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccn3nnnc23)C1 ZINC001014579743 650464602 /nfs/dbraw/zinc/46/46/02/650464602.db2.gz MVUZEIQMIKCQNE-JTQLQIEISA-N 0 1 272.312 0.114 20 30 CCEDMN C=CCN1CC[C@@H](N2CC=C(CNC(C)=O)CC2)C1=O ZINC001000347729 639076327 /nfs/dbraw/zinc/07/63/27/639076327.db2.gz HVLAXQKITXYDIC-CQSZACIVSA-N 0 1 277.368 0.542 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2ccnn2C)CC1 ZINC001000509454 639111140 /nfs/dbraw/zinc/11/11/40/639111140.db2.gz AVXGNWVNYFVMMS-UHFFFAOYSA-N 0 1 272.352 0.805 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cc2nnc[nH]2)C1 ZINC001000642050 639145613 /nfs/dbraw/zinc/14/56/13/639145613.db2.gz BLEBBIRSUFOJRY-JTQLQIEISA-N 0 1 283.763 0.680 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001000639952 639146090 /nfs/dbraw/zinc/14/60/90/639146090.db2.gz XYXBYVHZBROPFW-VXGBXAGGSA-N 0 1 287.367 0.619 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnc(N(C)C)cn2)CC1 ZINC001000687269 639152541 /nfs/dbraw/zinc/15/25/41/639152541.db2.gz XLHYYNTWYKYDNW-UHFFFAOYSA-N 0 1 299.378 0.538 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnn3c2CCC3)CC1 ZINC001000753878 639165595 /nfs/dbraw/zinc/16/55/95/639165595.db2.gz BGFSXDINASOEAO-UHFFFAOYSA-N 0 1 284.363 0.824 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnn(C)c2CC)CC1 ZINC001000900994 639186079 /nfs/dbraw/zinc/18/60/79/639186079.db2.gz CPUBSEYISNRAAD-UHFFFAOYSA-N 0 1 286.379 0.978 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001014689689 650478090 /nfs/dbraw/zinc/47/80/90/650478090.db2.gz SDXVZBPQGTUTDN-ZFWWWQNUSA-N 0 1 298.390 0.795 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001014700994 650480157 /nfs/dbraw/zinc/48/01/57/650480157.db2.gz PHYRFQRSTZWEEG-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN N#CCN1CC=C(CNC(=O)[C@@H]2CCc3[nH]cnc3C2)CC1 ZINC001001352074 639285263 /nfs/dbraw/zinc/28/52/63/639285263.db2.gz WSSXMIDMQBIBBK-CYBMUJFWSA-N 0 1 299.378 0.786 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCc3n[nH]cc3C2)CC1 ZINC001001360602 639287745 /nfs/dbraw/zinc/28/77/45/639287745.db2.gz MYUDDARHBGMFJN-CQSZACIVSA-N 0 1 298.390 0.896 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2[nH]cnc2CC)CC1 ZINC001001413187 639296964 /nfs/dbraw/zinc/29/69/64/639296964.db2.gz NRYZUKHKLZAUSQ-UHFFFAOYSA-N 0 1 272.352 0.967 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc(C(N)=O)cc2)CC1 ZINC001001441319 639305494 /nfs/dbraw/zinc/30/54/94/639305494.db2.gz DCXVCROVPWZNQE-UHFFFAOYSA-N 0 1 297.358 0.781 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cc(C)n(C)n2)CC1 ZINC001002407289 639408924 /nfs/dbraw/zinc/40/89/24/639408924.db2.gz KTZRHAQHNHSLNO-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)[C@H](C)N2CCCCC2=O)C1 ZINC001016324198 639439925 /nfs/dbraw/zinc/43/99/25/639439925.db2.gz VXXZQGXCHPOKOZ-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cn3c(n2)COCC3)C1 ZINC001014938589 650506380 /nfs/dbraw/zinc/50/63/80/650506380.db2.gz VNGBVLPXDFYVPQ-LBPRGKRZSA-N 0 1 290.367 0.794 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc3[nH]c(=O)[nH]c3c2)C1 ZINC001015016262 650514466 /nfs/dbraw/zinc/51/44/66/650514466.db2.gz WMWJBTMFQWOBDS-LLVKDONJSA-N 0 1 299.334 0.491 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnn3cc[nH]c23)CC1 ZINC001004050474 639669065 /nfs/dbraw/zinc/66/90/65/639669065.db2.gz NGVWQNWKGMRBDM-UHFFFAOYSA-N 0 1 285.351 0.880 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCCC(=O)N2C)CC1 ZINC001004072214 639671717 /nfs/dbraw/zinc/67/17/17/639671717.db2.gz DBPZMPSAGAIVRQ-CQSZACIVSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cncnc2)CC1 ZINC001005301134 639899054 /nfs/dbraw/zinc/89/90/54/639899054.db2.gz ZTJZOPXEVKNFHO-UHFFFAOYSA-N 0 1 258.325 0.646 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cc2ccn(C)n2)CC1 ZINC001005368208 639906378 /nfs/dbraw/zinc/90/63/78/639906378.db2.gz DWFDEFMVNGGHMB-UHFFFAOYSA-N 0 1 288.395 0.909 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2c(C)ncn2C)CC1 ZINC001005539639 639916314 /nfs/dbraw/zinc/91/63/14/639916314.db2.gz VFQJLNLSPFBORI-UHFFFAOYSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CN2CCCCC2=O)CC1 ZINC001005653010 639947813 /nfs/dbraw/zinc/94/78/13/639947813.db2.gz PZOCEGAPLSJZAY-UHFFFAOYSA-N 0 1 291.395 0.555 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccn(CCOC)n2)C1 ZINC001015224104 650540786 /nfs/dbraw/zinc/54/07/86/650540786.db2.gz SSBPAVBDIGWFTG-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc(Cl)n2C)C1 ZINC001015266580 650546378 /nfs/dbraw/zinc/54/63/78/650546378.db2.gz CJTWGTZWTIMRGC-SNVBAGLBSA-N 0 1 280.759 0.901 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001015311961 650552675 /nfs/dbraw/zinc/55/26/75/650552675.db2.gz VAUIIGOLLCYTIS-LBPRGKRZSA-N 0 1 259.309 0.153 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001015371268 650556000 /nfs/dbraw/zinc/55/60/00/650556000.db2.gz AMQNLDUXVLYOOH-FZMZJTMJSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(OCC)n[nH]2)C1 ZINC001014887114 640193735 /nfs/dbraw/zinc/19/37/35/640193735.db2.gz BYNOEYXTWQNXHB-LLVKDONJSA-N 0 1 276.340 0.636 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCO[C@H]2C)CC1 ZINC001006205580 640205799 /nfs/dbraw/zinc/20/57/99/640205799.db2.gz RRCQKVJJRPFLFY-JSGCOSHPSA-N 0 1 264.369 0.967 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001015592895 650586111 /nfs/dbraw/zinc/58/61/11/650586111.db2.gz QJABSQOGXLGBJO-WFASDCNBSA-N 0 1 279.384 0.669 20 30 CCEDMN C[C@@H]1C[C@H](C)[C@H](C(=O)C(C#N)C(=O)NC2CC2)O1 ZINC000800384507 640611126 /nfs/dbraw/zinc/61/11/26/640611126.db2.gz DMNHBXTVFPBNNT-RBPQBLHMSA-N 0 1 250.298 0.787 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001015621248 650595650 /nfs/dbraw/zinc/59/56/50/650595650.db2.gz TXQWQOUGRHAYCX-WDEREUQCSA-N 0 1 275.356 0.601 20 30 CCEDMN C[C@@H](C#N)OCCN1CCCC[C@@H]1CNS(C)(=O)=O ZINC000801915240 640672555 /nfs/dbraw/zinc/67/25/55/640672555.db2.gz OQIHRXPWXCXUGM-NWDGAFQWSA-N 0 1 289.401 0.319 20 30 CCEDMN N#CC(C(=O)CCCCC(N)=O)C(=O)NC1CCCC1 ZINC000810536453 640937081 /nfs/dbraw/zinc/93/70/81/640937081.db2.gz LRIMWYXOXGUKMN-NSHDSACASA-N 0 1 279.340 0.800 20 30 CCEDMN CS(=O)(=O)CCNN=C1CCCc2ccc(N)cc21 ZINC000814849022 641078849 /nfs/dbraw/zinc/07/88/49/641078849.db2.gz DOZMKKFTDAAZIE-UHFFFAOYSA-N 0 1 281.381 0.943 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)C(=O)N2CCC[C@@H](C)C2)C1 ZINC001015798941 650631740 /nfs/dbraw/zinc/63/17/40/650631740.db2.gz AYVZSWGJSXWNDO-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(C)nc2Cl)C1 ZINC001015980472 650668842 /nfs/dbraw/zinc/66/88/42/650668842.db2.gz SLGFGBLEOKQTLY-JTQLQIEISA-N 0 1 280.759 0.901 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC001015986394 650669719 /nfs/dbraw/zinc/66/97/19/650669719.db2.gz KHSHBTXPFPDLGM-SNVBAGLBSA-N 0 1 287.323 0.425 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC001016102835 650682174 /nfs/dbraw/zinc/68/21/74/650682174.db2.gz ZJYPAVXNCUSROP-CHWSQXEVSA-N 0 1 279.384 0.812 20 30 CCEDMN Cc1nc(CC(=O)NCCNc2ncccc2C#N)n[nH]1 ZINC000823626592 641778778 /nfs/dbraw/zinc/77/87/78/641778778.db2.gz KFVXXYHZGMCPAH-UHFFFAOYSA-N 0 1 285.311 0.151 20 30 CCEDMN C[C@H](CC#N)N(C)C(=O)C[NH+]1CCC(NC(=O)[O-])CC1 ZINC000824543647 641831483 /nfs/dbraw/zinc/83/14/83/641831483.db2.gz KBNJRMCMHIEGNX-SNVBAGLBSA-N 0 1 282.344 0.479 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2c(C)nc(C)[nH]c2=O)C1 ZINC001016242030 650701961 /nfs/dbraw/zinc/70/19/61/650701961.db2.gz IBQKOCKLVIXRPP-LBPRGKRZSA-N 0 1 288.351 0.165 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001016344851 650719845 /nfs/dbraw/zinc/71/98/45/650719845.db2.gz VDLMKVBPQANXAW-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CC[C@H]1CCCN(CC(=O)NCC(=O)NC(C)(C)C)C1 ZINC000829799242 642193729 /nfs/dbraw/zinc/19/37/29/642193729.db2.gz LYYCJNGBYNQBPS-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@H]1CCCN(CCCO)C1 ZINC000831810873 642397260 /nfs/dbraw/zinc/39/72/60/642397260.db2.gz ZAIYCNLJFXMIOD-ZDUSSCGKSA-N 0 1 288.413 0.166 20 30 CCEDMN CO[C@@H]1C[C@H](N(C)CC(=O)NCCC#N)C12CCC2 ZINC000832205033 642459199 /nfs/dbraw/zinc/45/91/99/642459199.db2.gz VRRGVZUDTYKOTG-NWDGAFQWSA-N 0 1 265.357 0.906 20 30 CCEDMN C#CCN1CCC2(C[C@@H]2NC(=O)c2cn(C)ccc2=O)CC1 ZINC001016726256 650756337 /nfs/dbraw/zinc/75/63/37/650756337.db2.gz MDVZZFGHUQNNMP-HNNXBMFYSA-N 0 1 299.374 0.603 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000834480489 642572822 /nfs/dbraw/zinc/57/28/22/642572822.db2.gz QSVPBGMPDYDWJE-AAEUAGOBSA-N 0 1 288.307 0.755 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc(-c2nc[nH]n2)c1 ZINC000834484272 642574483 /nfs/dbraw/zinc/57/44/83/642574483.db2.gz GNLNINPNLVKBKM-VXGBXAGGSA-N 0 1 282.307 0.313 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc2c([nH]c1=O)CCCC2 ZINC000834485458 642576695 /nfs/dbraw/zinc/57/66/95/642576695.db2.gz XDNRJDOQNXOQFO-GXFFZTMASA-N 0 1 286.335 0.507 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCc1ccccc1N ZINC000834485234 642576921 /nfs/dbraw/zinc/57/69/21/642576921.db2.gz TYYSZWFYJSZCKO-AAEUAGOBSA-N 0 1 258.325 0.429 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccnc(-n2cccn2)c1 ZINC000834488314 642578541 /nfs/dbraw/zinc/57/85/41/642578541.db2.gz BMOCXMIHQWNEMY-NWDGAFQWSA-N 0 1 282.307 0.109 20 30 CCEDMN Cc1nn(C)c(C)c1C[C@H](C)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834489253 642580486 /nfs/dbraw/zinc/58/04/86/642580486.db2.gz LFJRWSOIABOMBB-DUFXMDAXSA-N 0 1 289.383 0.443 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC000834491000 642581850 /nfs/dbraw/zinc/58/18/50/642581850.db2.gz ZFGDMXMVARBGHL-SKDRFNHKSA-N 0 1 272.308 0.117 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CNC(=O)CC1CCCCC1 ZINC000834493924 642583085 /nfs/dbraw/zinc/58/30/85/642583085.db2.gz IUMVFAHQRLUDSX-STQMWFEESA-N 0 1 292.383 0.301 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1c(F)ccc(F)c1F ZINC000834500930 642590391 /nfs/dbraw/zinc/59/03/91/642590391.db2.gz YEPVUHYIUJHLHT-HZGVNTEJSA-N 0 1 269.226 0.945 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCC(=O)c1ccccc1 ZINC000834505149 642591808 /nfs/dbraw/zinc/59/18/08/642591808.db2.gz IPPOEXNZZPRRAC-QWHCGFSZSA-N 0 1 271.320 0.877 20 30 CCEDMN Cc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1[N+](=O)[O-] ZINC000834505754 642591971 /nfs/dbraw/zinc/59/19/71/642591971.db2.gz NPTBRPXJADLZCU-KOLCDFICSA-N 0 1 274.280 0.745 20 30 CCEDMN Cc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1[N+](=O)[O-] ZINC000834506620 642593392 /nfs/dbraw/zinc/59/33/92/642593392.db2.gz UNIKSZJBHQQYGH-WDEREUQCSA-N 0 1 274.280 0.745 20 30 CCEDMN Cc1ccccc1OCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834510953 642595154 /nfs/dbraw/zinc/59/51/54/642595154.db2.gz HRDZKYIRVQKFKG-RYUDHWBXSA-N 0 1 259.309 0.602 20 30 CCEDMN C[C@H](NC(=O)c1cccs1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834516169 642598938 /nfs/dbraw/zinc/59/89/38/642598938.db2.gz FUEAOBQGOOYBLP-IVZWLZJFSA-N 0 1 292.364 0.094 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1[nH]nc2ccccc21 ZINC000834516738 642599695 /nfs/dbraw/zinc/59/96/95/642599695.db2.gz LMEPFRKMMSYBAM-KWQFWETISA-N 0 1 255.281 0.404 20 30 CCEDMN Cc1noc2nc(C)cc(C(=O)N[C@H]3CNC[C@@H]3C#N)c12 ZINC000834517196 642600234 /nfs/dbraw/zinc/60/02/34/642600234.db2.gz ROZDHHYGEZYTMX-ONGXEEELSA-N 0 1 285.307 0.681 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C1C[C@H]2CCC[C@@H](C1)C2=O ZINC000834517437 642600583 /nfs/dbraw/zinc/60/05/83/642600583.db2.gz VJFUCXUXEVYMCU-GJHMDTBVSA-N 0 1 275.352 0.610 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1c(F)cccc1F ZINC000834516466 642600726 /nfs/dbraw/zinc/60/07/26/642600726.db2.gz ITHMLCCFQPFHAM-XCBNKYQSSA-N 0 1 251.236 0.806 20 30 CCEDMN COc1cccc(COCC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834521719 642601525 /nfs/dbraw/zinc/60/15/25/642601525.db2.gz QZJUQQAVRXWICT-JSGCOSHPSA-N 0 1 289.335 0.440 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1cc2ccccc2[nH]c1=O ZINC000834521771 642602024 /nfs/dbraw/zinc/60/20/24/642602024.db2.gz SNIYROFJTKQHJG-JSGCOSHPSA-N 0 1 296.330 0.711 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(-c2cccs2)n[nH]1 ZINC000834521816 642603504 /nfs/dbraw/zinc/60/35/04/642603504.db2.gz UANPLDSNLRZPQU-KCJUWKMLSA-N 0 1 287.348 0.980 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1C=NN(C)[C@H](C)CO ZINC000842542817 642911162 /nfs/dbraw/zinc/91/11/62/642911162.db2.gz VTBOPQFNPJQQAG-LLVKDONJSA-N 0 1 295.387 0.212 20 30 CCEDMN CC#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn[nH]1 ZINC001017372323 650797727 /nfs/dbraw/zinc/79/77/27/650797727.db2.gz XAIRMBVEJXKTQC-TXEJJXNPSA-N 0 1 258.325 0.722 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cn1cc(-c2ccccc2)nn1 ZINC000843460295 643064188 /nfs/dbraw/zinc/06/41/88/643064188.db2.gz NGWRDGOFNYHJJU-QWHCGFSZSA-N 0 1 296.334 0.173 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CSc1ccncc1 ZINC000843460360 643065349 /nfs/dbraw/zinc/06/53/49/643065349.db2.gz OAAKHKPHWJVENP-ONGXEEELSA-N 0 1 262.338 0.401 20 30 CCEDMN Cc1ccc(NC(=O)C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000843462311 643066034 /nfs/dbraw/zinc/06/60/34/643066034.db2.gz PKELCPPKEMDNDE-JQWIXIFHSA-N 0 1 272.308 0.161 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1noc2ccccc12 ZINC000843462277 643067016 /nfs/dbraw/zinc/06/70/16/643067016.db2.gz PDQVBBASNUZYHW-SKDRFNHKSA-N 0 1 270.292 0.598 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccnc1N1CCCCC1 ZINC000844195719 643179247 /nfs/dbraw/zinc/17/92/47/643179247.db2.gz YSDXMGWKFWIBOQ-TZMCWYRMSA-N 0 1 299.378 0.913 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(=O)N1C ZINC001017837762 650826290 /nfs/dbraw/zinc/82/62/90/650826290.db2.gz XRKDXKVRNYFBRN-HZSPNIEDSA-N 0 1 289.379 0.306 20 30 CCEDMN CC#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c[nH]nn1 ZINC001017965459 650837333 /nfs/dbraw/zinc/83/73/33/650837333.db2.gz LLRPVIDJJFFAAB-OKILXGFUSA-N 0 1 287.367 0.436 20 30 CCEDMN CC#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cnn[nH]1 ZINC001017965459 650837334 /nfs/dbraw/zinc/83/73/34/650837334.db2.gz LLRPVIDJJFFAAB-OKILXGFUSA-N 0 1 287.367 0.436 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)N[C@@H]1CCCN(O)C1=O ZINC000848135007 643604708 /nfs/dbraw/zinc/60/47/08/643604708.db2.gz IAKHYSLCXNLITM-SECBINFHSA-N 0 1 280.328 0.952 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1scc2c1OCCO2 ZINC000848418608 643634144 /nfs/dbraw/zinc/63/41/44/643634144.db2.gz LLQLRYQUJCTEMB-JGVFFNPUSA-N 0 1 279.321 0.361 20 30 CCEDMN C#CCN(C[C@@](O)(C(N)=O)c1ccccc1)C1CC1 ZINC000851938721 643900009 /nfs/dbraw/zinc/90/00/09/643900009.db2.gz XBCXHLUJGPLOLT-HNNXBMFYSA-N 0 1 258.321 0.457 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@@H](N2CCOC2=O)C1 ZINC000852438195 643965451 /nfs/dbraw/zinc/96/54/51/643965451.db2.gz NMUIGDAJINHOAV-WDEREUQCSA-N 0 1 253.302 0.442 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCCc1cccnc1 ZINC000852828344 644014134 /nfs/dbraw/zinc/01/41/34/644014134.db2.gz WSPXAVSCXRIJQZ-OLZOCXBDSA-N 0 1 258.325 0.632 20 30 CCEDMN Cc1ccn2cnc(C(=O)N[C@H]3CNC[C@H]3C#N)c2c1 ZINC000852828534 644015342 /nfs/dbraw/zinc/01/53/42/644015342.db2.gz YJPYNPKDJPXKFZ-MNOVXSKESA-N 0 1 269.308 0.484 20 30 CCEDMN C[C@H]1CCCCN1CC(N)=NOCC(=O)N1CCCC1 ZINC000111179259 644092615 /nfs/dbraw/zinc/09/26/15/644092615.db2.gz KECWCMPJCPYVTR-LBPRGKRZSA-N 0 1 282.388 0.772 20 30 CCEDMN N#C[C@@H](CO)NC(=O)C(F)(F)c1ccc([N+](=O)[O-])cc1 ZINC000854871527 644268739 /nfs/dbraw/zinc/26/87/39/644268739.db2.gz YMNOLITUGNWEEN-QMMMGPOBSA-N 0 1 285.206 0.687 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC001018954293 650924175 /nfs/dbraw/zinc/92/41/75/650924175.db2.gz BYXJCIUWRWMZFT-ZETCQYMHSA-N 0 1 287.245 0.756 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc(=O)n(C)cn2)C1 ZINC001018987096 650927152 /nfs/dbraw/zinc/92/71/52/650927152.db2.gz AMDYJBBGVARBSK-JTQLQIEISA-N 0 1 296.758 0.337 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@H]2CC[C@@H](C1)[S@@]2=O ZINC000859886776 644737631 /nfs/dbraw/zinc/73/76/31/644737631.db2.gz RFFGAVYEBCPFOW-YXPOGWMNSA-N 0 1 256.371 0.900 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CCOC(=O)N2)c1 ZINC000860445289 644801902 /nfs/dbraw/zinc/80/19/02/644801902.db2.gz PQFCAVDRJIKLJP-MRVPVSSYSA-N 0 1 261.237 0.701 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001019618436 650993616 /nfs/dbraw/zinc/99/36/16/650993616.db2.gz GZQMURZDWIJAIZ-MNOVXSKESA-N 0 1 273.340 0.229 20 30 CCEDMN Cn1cc(CN[C@@H]2Cc3ccc(C#N)cc3C2)nn1 ZINC000865847301 645427267 /nfs/dbraw/zinc/42/72/67/645427267.db2.gz BTGNGLFKGFNNTJ-CYBMUJFWSA-N 0 1 253.309 0.944 20 30 CCEDMN Cn1ccc(CN[C@H]2C[C@H](NC(=O)c3ccc(C#N)[nH]3)C2)n1 ZINC001020084742 651036245 /nfs/dbraw/zinc/03/62/45/651036245.db2.gz XBNJZQIVTRPWCN-JOCQHMNTSA-N 0 1 298.350 0.670 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001020154649 651044731 /nfs/dbraw/zinc/04/47/31/651044731.db2.gz JSQCZIIMAHVRRA-DCAQKATOSA-N 0 1 273.340 0.590 20 30 CCEDMN C[C@@](O)(C[C@@H](O)CNCc1ccc(C#N)cn1)C1CC1 ZINC000872208346 646221018 /nfs/dbraw/zinc/22/10/18/646221018.db2.gz IRRXNWGGTHUVOH-HUUCEWRRSA-N 0 1 275.352 0.955 20 30 CCEDMN Cc1nccnc1[C@H](C)NC[C@@H](O)CN(C)CCC#N ZINC000872249572 646228786 /nfs/dbraw/zinc/22/87/86/646228786.db2.gz XOBVXDPVLNMVGD-QWHCGFSZSA-N 0 1 277.372 0.642 20 30 CCEDMN Cc1ccc2n[nH]cc2c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000876801659 646669106 /nfs/dbraw/zinc/66/91/06/646669106.db2.gz HGHHLFPSGAFVOS-BXKDBHETSA-N 0 1 269.308 0.713 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C(F)(F)C1CCOCC1 ZINC000876801430 646669261 /nfs/dbraw/zinc/66/92/61/646669261.db2.gz CEKFHYAGTPVNLX-SCZZXKLOSA-N 0 1 273.283 0.276 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc2c([nH]1)CCCC2 ZINC000876801575 646669367 /nfs/dbraw/zinc/66/93/67/646669367.db2.gz FOZVLQFKROFGSY-GXFFZTMASA-N 0 1 258.325 0.735 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(-c2c[nH]cn2)cc1 ZINC000876801803 646669417 /nfs/dbraw/zinc/66/94/17/646669417.db2.gz IUACNHNDWAHEIR-TZMCWYRMSA-N 0 1 281.319 0.918 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NCC(F)(F)CN ZINC000876808067 646672622 /nfs/dbraw/zinc/67/26/22/646672622.db2.gz DACOQATULFQXLK-GFCCVEGCSA-N 0 1 294.305 0.455 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN(CCn3cnnn3)C2)nc1 ZINC000878640845 646949345 /nfs/dbraw/zinc/94/93/45/646949345.db2.gz KUTLYMITVHWBFH-GFCCVEGCSA-N 0 1 285.311 0.093 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@H](O)CC2(O)CCCC2)C1 ZINC000878761435 646974527 /nfs/dbraw/zinc/97/45/27/646974527.db2.gz LHPJGQPJZIWVLZ-TZMCWYRMSA-N 0 1 268.357 0.393 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2ccc(CN3CCOCC3)o2)NO1 ZINC000879329556 647047732 /nfs/dbraw/zinc/04/77/32/647047732.db2.gz YZBTZLNMRREZCU-SNVBAGLBSA-N 0 1 293.323 0.964 20 30 CCEDMN OC[C@H]1CN(CC#Cc2ccc(F)cc2)C[C@H]1CO ZINC000879696202 647097070 /nfs/dbraw/zinc/09/70/70/647097070.db2.gz LQQGKCFVNDLYGV-OKILXGFUSA-N 0 1 263.312 0.710 20 30 CCEDMN N#Cc1ccccc1OC[C@H](O)CN1CC=C[C@@H]1CO ZINC000880494824 647213513 /nfs/dbraw/zinc/21/35/13/647213513.db2.gz SZHOYQPYIDNXAQ-ZIAGYGMSSA-N 0 1 274.320 0.531 20 30 CCEDMN Cc1ccc(NC[C@@H]2CN(C)CCN2C)c(C#N)n1 ZINC000881966724 647359939 /nfs/dbraw/zinc/35/99/39/647359939.db2.gz JECYAPPCJSWRPF-GFCCVEGCSA-N 0 1 259.357 0.919 20 30 CCEDMN Cn1nc2c(c1C(=O)N[C@@H]1CNC[C@H]1C#N)CSCC2 ZINC000884250456 647680959 /nfs/dbraw/zinc/68/09/59/647680959.db2.gz FMQJDHVNYAHISH-LDYMZIIASA-N 0 1 291.380 0.051 20 30 CCEDMN C[C@@H]1CC(=O)NCCN1C[C@H](O)CC1(C#N)CCC1 ZINC000886092282 647899936 /nfs/dbraw/zinc/89/99/36/647899936.db2.gz SNIQVENFJZIFFF-VXGBXAGGSA-N 0 1 265.357 0.642 20 30 CCEDMN N#Cc1cccc(CN2CCC(O)(COCCO)CC2)c1 ZINC000886734458 648002144 /nfs/dbraw/zinc/00/21/44/648002144.db2.gz HCHPBMHAJIJNAE-UHFFFAOYSA-N 0 1 290.363 0.894 20 30 CCEDMN N#Cc1ccc(CN2CCC(O)(COCCO)CC2)s1 ZINC000886751251 648010238 /nfs/dbraw/zinc/01/02/38/648010238.db2.gz LKEMGRAPZNEHHX-UHFFFAOYSA-N 0 1 296.392 0.956 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H](CC#N)C(F)(F)F)n[nH]1 ZINC000928804513 648661844 /nfs/dbraw/zinc/66/18/44/648661844.db2.gz XNCNWQCFECIHAM-LURJTMIESA-N 0 1 261.207 0.616 20 30 CCEDMN N#CC[C@@H](O)CN[C@@H](CN1CCOCC1)c1ccccc1 ZINC000930188007 648834906 /nfs/dbraw/zinc/83/49/06/648834906.db2.gz SWGWKNGAIOXQRU-CVEARBPZSA-N 0 1 289.379 0.924 20 30 CCEDMN N#CC[C@@H](O)CN1CCC[C@H](N2CCOCC2)C1 ZINC000930599537 648878751 /nfs/dbraw/zinc/87/87/51/648878751.db2.gz AHPHEEBTIKDUKQ-QWHCGFSZSA-N 0 1 253.346 0.058 20 30 CCEDMN N#Cc1cncc(CN2CCN(Cc3nnc[nH]3)CC2)c1 ZINC000930858701 648918660 /nfs/dbraw/zinc/91/86/60/648918660.db2.gz IEOJNPZWNFCTJQ-UHFFFAOYSA-N 0 1 283.339 0.389 20 30 CCEDMN C#CCN(C[C@H]1CCC2(COC2)O1)C1CSC1 ZINC000930959854 648929971 /nfs/dbraw/zinc/92/99/71/648929971.db2.gz DSLBWPXFQATMEU-GFCCVEGCSA-N 0 1 253.367 0.985 20 30 CCEDMN N#CC1(C[C@H](O)CN2C3CCC2(C(N)=O)CC3)CCC1 ZINC000932936716 649101890 /nfs/dbraw/zinc/10/18/90/649101890.db2.gz CFJSMIDKZOOGHP-AVERBVTBSA-N 0 1 277.368 0.914 20 30 CCEDMN N#CCCNC(=O)CN1C[C@@H](Cc2ccccc2)[C@H](O)C1 ZINC000934439259 649175660 /nfs/dbraw/zinc/17/56/60/649175660.db2.gz DSQNKFSIPCEIFI-HUUCEWRRSA-N 0 1 287.363 0.552 20 30 CCEDMN C[C@H]1C[C@H](NC(=O)c2[nH]ncc2F)CCN1CC#N ZINC000947698298 649347876 /nfs/dbraw/zinc/34/78/76/649347876.db2.gz NIMFGGCSMIHGBK-DTWKUNHWSA-N 0 1 265.292 0.655 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnnn2C)C1 ZINC001007241010 649626588 /nfs/dbraw/zinc/62/65/88/649626588.db2.gz FJSFUBNYTTXFRF-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nnc(Cl)cc3C#N)C[C@@H]21 ZINC001164672670 719381621 /nfs/dbraw/zinc/38/16/21/719381621.db2.gz ZXQZFWGXMFHOTG-VHSXEESVSA-N 0 1 279.731 0.521 20 30 CCEDMN Cc1cnc(N2C[C@H]3OCCN(C)[C@H]3C2)c(C#N)c1 ZINC001164667923 719374628 /nfs/dbraw/zinc/37/46/28/719374628.db2.gz LMESGHVLCDCDEM-QWHCGFSZSA-N 0 1 258.325 0.781 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncc(Cl)nc3C#N)C[C@@H]21 ZINC001164669909 719378684 /nfs/dbraw/zinc/37/86/84/719378684.db2.gz SEAWKHBOIKWAPH-UWVGGRQHSA-N 0 1 279.731 0.521 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncc(C#N)cc3C#N)C[C@H]21 ZINC001164671912 719383120 /nfs/dbraw/zinc/38/31/20/719383120.db2.gz ZTXYIMDYWJYHHX-OLZOCXBDSA-N 0 1 269.308 0.344 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ccc4c(C#N)c[nH]c4n3)C[C@H]21 ZINC001164668308 719400000 /nfs/dbraw/zinc/40/00/00/719400000.db2.gz QWYJDDXIULYOHC-CHWSQXEVSA-N 0 1 283.335 0.954 20 30 CCEDMN CC#CCN1CCC(NC(=O)CNC(=O)C(C)(C)C)CC1 ZINC001230240979 805587969 /nfs/dbraw/zinc/58/79/69/805587969.db2.gz XLHXSJGPQJWVFD-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC1CCN(CC#CCOC)CC1 ZINC001230407668 805599872 /nfs/dbraw/zinc/59/98/72/805599872.db2.gz WMKKQNDJPNVSSE-CQSZACIVSA-N 0 1 294.395 0.808 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCc2cn(C)nn2)CC1 ZINC001230466771 805603366 /nfs/dbraw/zinc/60/33/66/805603366.db2.gz NSCJODSQWUHULC-UHFFFAOYSA-N 0 1 289.383 0.352 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cccnc1 ZINC001266250409 790329007 /nfs/dbraw/zinc/32/90/07/790329007.db2.gz PJKJRPQOKKVMPQ-UHFFFAOYSA-N 0 1 261.325 0.393 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)c2ccoc2)CC1 ZINC001266256852 790338615 /nfs/dbraw/zinc/33/86/15/790338615.db2.gz LEZNOIPTOPZVDO-UHFFFAOYSA-N 0 1 275.352 0.650 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@]23C[C@H]2CCC3)CC1 ZINC001266269168 790368948 /nfs/dbraw/zinc/36/89/48/790368948.db2.gz BJPAAKCUAMPNEE-NVXWUHKLSA-N 0 1 289.423 0.934 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCCOCC1 ZINC001266271230 790370115 /nfs/dbraw/zinc/37/01/15/790370115.db2.gz HPYGORCTGDOZKE-CYBMUJFWSA-N 0 1 252.358 0.874 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CCCOCC1 ZINC001266271232 790371666 /nfs/dbraw/zinc/37/16/66/790371666.db2.gz HPYGORCTGDOZKE-ZDUSSCGKSA-N 0 1 252.358 0.874 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H](C)C#N)CC1 ZINC001266281389 790391565 /nfs/dbraw/zinc/39/15/65/790391565.db2.gz NCKYFBNZIRPACQ-GFCCVEGCSA-N 0 1 250.346 0.066 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccnc(OC)c1 ZINC001266290616 790427463 /nfs/dbraw/zinc/42/74/63/790427463.db2.gz GHMRUZJQVMNPDV-UHFFFAOYSA-N 0 1 291.351 0.402 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)CNc1ccc(C#N)nn1 ZINC001104225943 790452938 /nfs/dbraw/zinc/45/29/38/790452938.db2.gz GIVOMVPGCLDLRL-JTQLQIEISA-N 0 1 299.338 0.478 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CC[N@H+](CC#CC)C1 ZINC001266317528 790493891 /nfs/dbraw/zinc/49/38/91/790493891.db2.gz RHAAITWJPBJRFE-TZMCWYRMSA-N 0 1 250.342 0.527 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CCN(CC#CC)C1 ZINC001266317528 790493900 /nfs/dbraw/zinc/49/39/00/790493900.db2.gz RHAAITWJPBJRFE-TZMCWYRMSA-N 0 1 250.342 0.527 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CCN(CC(=C)C)C1 ZINC001266320104 790499829 /nfs/dbraw/zinc/49/98/29/790499829.db2.gz YVZRWGKJRZSUMT-CHWSQXEVSA-N 0 1 250.342 0.791 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCCO1 ZINC001038171444 790506757 /nfs/dbraw/zinc/50/67/57/790506757.db2.gz NTPDRECZWJVVQN-OLZOCXBDSA-N 0 1 250.342 0.769 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cc(C)n(C)c1C ZINC001266358182 790582061 /nfs/dbraw/zinc/58/20/61/790582061.db2.gz NBQXVBLVGNJCBO-UHFFFAOYSA-N 0 1 291.395 0.953 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cnn2ncccc12 ZINC001266394764 790625911 /nfs/dbraw/zinc/62/59/11/790625911.db2.gz YQNGUZYBJUEJOY-UHFFFAOYSA-N 0 1 285.351 0.804 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1ccc(C(N)=O)cn1 ZINC001266440141 790708979 /nfs/dbraw/zinc/70/89/79/790708979.db2.gz IEFWMTVWLHIIGJ-UHFFFAOYSA-N 0 1 288.351 0.256 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)CC2CC2)C1 ZINC001266497233 790791485 /nfs/dbraw/zinc/79/14/85/790791485.db2.gz CPJXVLMIUZTCQS-JSGCOSHPSA-N 0 1 291.395 0.363 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2cncnc2)C1 ZINC001266509760 790825850 /nfs/dbraw/zinc/82/58/50/790825850.db2.gz RKRUYOTWNMOXHO-ZDUSSCGKSA-N 0 1 288.351 0.178 20 30 CCEDMN CC[C@H]1OC(C)=C(OCC(=O)N2CCOCC2)C1=O ZINC001210286243 790852843 /nfs/dbraw/zinc/85/28/43/790852843.db2.gz PIKUZTQWYDKZEB-SNVBAGLBSA-N 0 1 269.297 0.471 20 30 CCEDMN C#CCN(CCNC(=O)c1cnc[nH]c1=O)C1CC1 ZINC001266597219 791000997 /nfs/dbraw/zinc/00/09/97/791000997.db2.gz VYEDQRYXDYDRLG-UHFFFAOYSA-N 0 1 260.297 0.010 20 30 CCEDMN C#CCN(CCNC(=O)C1(COC)CC1)C1CC1 ZINC001266602297 791010555 /nfs/dbraw/zinc/01/05/55/791010555.db2.gz ANBHSVFLRRWJHQ-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN COCC#CCN(CCNC(=O)c1nc(C)c[nH]1)C1CC1 ZINC001266614105 791032945 /nfs/dbraw/zinc/03/29/45/791032945.db2.gz RYKYMDOERHTPIL-UHFFFAOYSA-N 0 1 290.367 0.562 20 30 CCEDMN N#CCN(CCCNC(=O)c1cnn[nH]1)Cc1cccnc1 ZINC001230796749 805667695 /nfs/dbraw/zinc/66/76/95/805667695.db2.gz MPMGBUBBRSRRRB-UHFFFAOYSA-N 0 1 299.338 0.345 20 30 CCEDMN CN(C[C@H]1CCN1CCCO)C(=O)CSCC#N ZINC001230818687 805670958 /nfs/dbraw/zinc/67/09/58/805670958.db2.gz BGRNQNLUUUOHTK-LLVKDONJSA-N 0 1 271.386 0.158 20 30 CCEDMN COCC#CCN(C)CCOCCNC(=O)C=C1CCC1 ZINC001277481593 805715005 /nfs/dbraw/zinc/71/50/05/805715005.db2.gz WLXCRXAMBJXXQQ-UHFFFAOYSA-N 0 1 294.395 0.811 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1cnn(C)c1 ZINC001231159899 805741164 /nfs/dbraw/zinc/74/11/64/805741164.db2.gz CKZDHSQRCOMZJG-CYBMUJFWSA-N 0 1 262.357 0.681 20 30 CCEDMN COc1cc(C#N)ccc1CN1CC[C@H](O)[C@@H](CO)C1 ZINC001231616480 805820261 /nfs/dbraw/zinc/82/02/61/805820261.db2.gz BKXGXRJTVGPIGW-KGLIPLIRSA-N 0 1 276.336 0.742 20 30 CCEDMN CN(CCN1CCN(C)CC1)Cc1[nH]ccc1C#N ZINC001233048122 806013261 /nfs/dbraw/zinc/01/32/61/806013261.db2.gz WKWKTNMSOPHHLF-UHFFFAOYSA-N 0 1 261.373 0.566 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C[C@@H]1CCNC1=O ZINC001266844317 791283051 /nfs/dbraw/zinc/28/30/51/791283051.db2.gz JEJRPHDDIBAPLH-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@H](CNCc2ccon2)C1 ZINC001267038291 791470087 /nfs/dbraw/zinc/47/00/87/791470087.db2.gz BQQLBMBXJVASLV-STQMWFEESA-N 0 1 291.351 0.651 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cncnc3C)C[C@@H]21 ZINC001041953865 791499083 /nfs/dbraw/zinc/49/90/83/791499083.db2.gz PBJZMWMPMXKQCR-ZFWWWQNUSA-N 0 1 284.363 0.955 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCCN(C(=O)COC)C1 ZINC001267098275 791533299 /nfs/dbraw/zinc/53/32/99/791533299.db2.gz KHUWFQFQZQZUTC-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN CC#CC[N@H+](C)[C@@H]1CCCN(C(=O)Cc2nnc[n-]2)C1 ZINC001267113538 791553903 /nfs/dbraw/zinc/55/39/03/791553903.db2.gz NJGTVLWPAGLDHK-GFCCVEGCSA-N 0 1 275.356 0.293 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCCN(C(=O)Cc2nnc[n-]2)C1 ZINC001267113538 791553909 /nfs/dbraw/zinc/55/39/09/791553909.db2.gz NJGTVLWPAGLDHK-GFCCVEGCSA-N 0 1 275.356 0.293 20 30 CCEDMN CN(CCNC(=O)C#CC(C)(C)C)CCN1CCCC1=O ZINC001267194159 791654157 /nfs/dbraw/zinc/65/41/57/791654157.db2.gz JQBRPYVOQPXLSM-UHFFFAOYSA-N 0 1 293.411 0.706 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1cc(C)on1 ZINC001233666162 806103649 /nfs/dbraw/zinc/10/36/49/806103649.db2.gz RNTOMNAQRLAIMW-UHFFFAOYSA-N 0 1 294.355 0.337 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H](C)n1cncn1 ZINC001233751728 806114837 /nfs/dbraw/zinc/11/48/37/806114837.db2.gz PQAQBOLJUKHJJV-CHWSQXEVSA-N 0 1 277.372 0.948 20 30 CCEDMN CN(CCCNC(=O)CC(C)(C)C)CC(=O)NCC#N ZINC001234006208 806167129 /nfs/dbraw/zinc/16/71/29/806167129.db2.gz YHJKXYXFVZBQFY-UHFFFAOYSA-N 0 1 282.388 0.500 20 30 CCEDMN CC(C)C#CC(=O)N(C)C[C@@H]1CCN1CCCO ZINC001234130619 806188739 /nfs/dbraw/zinc/18/87/39/806188739.db2.gz NQZOUBIUENPRNG-ZDUSSCGKSA-N 0 1 252.358 0.561 20 30 CCEDMN COC[C@@H](O)CN1CC[C@@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234207132 806204428 /nfs/dbraw/zinc/20/44/28/806204428.db2.gz ZYQNVZZOSYOXNG-KGLIPLIRSA-N 0 1 296.411 0.576 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@@H]1CCN1CCOC(C)C ZINC001234246443 806210553 /nfs/dbraw/zinc/21/05/53/806210553.db2.gz NTQPGUDBMDLUJQ-HNNXBMFYSA-N 0 1 296.411 0.984 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H](C)NC(N)=O ZINC001234276883 806215373 /nfs/dbraw/zinc/21/53/73/806215373.db2.gz UWPRHRNUTPGACQ-QWRGUYRKSA-N 0 1 268.361 0.152 20 30 CCEDMN CC[C@@H](O)C(=O)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234529783 806267429 /nfs/dbraw/zinc/26/74/29/806267429.db2.gz FSFBCSXARMQGAA-SNVBAGLBSA-N 0 1 273.248 0.883 20 30 CCEDMN C=C1O[C@H](CC)C(=O)C1Oc1cc(C(N)=O)on1 ZINC001234591180 806279818 /nfs/dbraw/zinc/27/98/18/806279818.db2.gz SHDINJLQFBFEDR-ZCFIWIBFSA-N 0 1 252.226 0.762 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CN(C)C(=O)C(C)C ZINC001234921062 806313818 /nfs/dbraw/zinc/31/38/18/806313818.db2.gz GEHNFJPOROZUIT-CQSZACIVSA-N 0 1 293.411 0.657 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H](C)COC ZINC001235651925 806483940 /nfs/dbraw/zinc/48/39/40/806483940.db2.gz SPSBXZPIXKBTGC-LBPRGKRZSA-N 0 1 285.388 0.009 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)Cc1cnn(C)c1 ZINC001235698442 806495243 /nfs/dbraw/zinc/49/52/43/806495243.db2.gz KJJJCHBAQUXBKH-UHFFFAOYSA-N 0 1 280.372 0.561 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)Cc1cnn(C)c1 ZINC001235698442 806495249 /nfs/dbraw/zinc/49/52/49/806495249.db2.gz KJJJCHBAQUXBKH-UHFFFAOYSA-N 0 1 280.372 0.561 20 30 CCEDMN N#CCC1CN(C(=O)[C@@H]2CC23CN(C[C@H]2CCCO2)C3)C1 ZINC001277971612 806506476 /nfs/dbraw/zinc/50/64/76/806506476.db2.gz FKZLSKWSVZKOSY-KGLIPLIRSA-N 0 1 289.379 0.859 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](NCC2(C#N)CCCC2)CN1 ZINC001246092129 807151724 /nfs/dbraw/zinc/15/17/24/807151724.db2.gz MTIACPPSTNWTEG-NEPJUHHUSA-N 0 1 265.357 0.954 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(Cl)c[nH]1 ZINC001278047251 806636592 /nfs/dbraw/zinc/63/65/92/806636592.db2.gz BAAKNPAKTWLLTR-SNVBAGLBSA-N 0 1 269.732 0.372 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1CCc2cc(F)ccc21 ZINC001278112547 806681305 /nfs/dbraw/zinc/68/13/05/806681305.db2.gz MJQHHVWDGCJJPX-ZFWWWQNUSA-N 0 1 290.338 0.555 20 30 CCEDMN C=CCOC[C@@H]1c2c(ncn2C)CCN1Cc1nnc[nH]1 ZINC001237603887 806697351 /nfs/dbraw/zinc/69/73/51/806697351.db2.gz DVBXXLKZTRJZRJ-GFCCVEGCSA-N 0 1 288.355 0.840 20 30 CCEDMN C=CCn1c(C2=NO[C@H](CO)C2)nnc1N(C)CC1CC1 ZINC001121657239 799063146 /nfs/dbraw/zinc/06/31/46/799063146.db2.gz GWORLYNIOMKLHO-NSHDSACASA-N 0 1 291.355 0.796 20 30 CCEDMN CN(Cc1cc(Cl)cc(C#N)c1)C[C@@H](O)CO ZINC001237749565 806714785 /nfs/dbraw/zinc/71/47/85/806714785.db2.gz WIUVWZGHNVUNPV-GFCCVEGCSA-N 0 1 254.717 0.997 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc(OC)cc1OC ZINC001121932808 799099418 /nfs/dbraw/zinc/09/94/18/799099418.db2.gz KZTFSDAUFFFLPY-UHFFFAOYSA-N 0 1 276.336 0.585 20 30 CCEDMN C#CCN(C(=O)c1cnnc(C)c1)C1CCN(CC#C)CC1 ZINC001278144869 806716727 /nfs/dbraw/zinc/71/67/27/806716727.db2.gz RTIXFLJZZAFPOC-UHFFFAOYSA-N 0 1 296.374 0.958 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCOc2ccc(F)cc21 ZINC001121953633 799106391 /nfs/dbraw/zinc/10/63/91/799106391.db2.gz HCSWSKHMDJMGFW-GFCCVEGCSA-N 0 1 262.284 0.988 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(C)c(Cl)c1 ZINC001278148699 806719539 /nfs/dbraw/zinc/71/95/39/806719539.db2.gz ZCXZKEBXVWHDIZ-GFCCVEGCSA-N 0 1 280.755 0.962 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCOCC12CCCC2 ZINC001122483945 799245885 /nfs/dbraw/zinc/24/58/85/799245885.db2.gz FVVXFEDALHBJMQ-GFCCVEGCSA-N 0 1 250.342 0.675 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)[C@H]1CN(C)CCN1C)C(C)C ZINC001123559875 799432951 /nfs/dbraw/zinc/43/29/51/799432951.db2.gz TVYQYVMUPCUXME-HZSPNIEDSA-N 0 1 280.416 0.578 20 30 CCEDMN COc1ncccc1CNCCNC(=O)CSCC#N ZINC001123787325 799473741 /nfs/dbraw/zinc/47/37/41/799473741.db2.gz LSZCBZHDSIGICP-UHFFFAOYSA-N 0 1 294.380 0.553 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@H]1COCCO1 ZINC001123870455 799491278 /nfs/dbraw/zinc/49/12/78/799491278.db2.gz UPRSQXVKKGJGIA-SECBINFHSA-N 0 1 293.161 0.016 20 30 CCEDMN N#CCSCC(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001123914342 799503475 /nfs/dbraw/zinc/50/34/75/799503475.db2.gz NZPVDYUVEZTNFD-VIFPVBQESA-N 0 1 280.357 0.238 20 30 CCEDMN C#Cc1cccc(NC(=O)CNCCNC(=O)[C@@H](C)C#N)c1 ZINC001124897480 799644918 /nfs/dbraw/zinc/64/49/18/799644918.db2.gz UOVMJTWFPLEYHL-LBPRGKRZSA-N 0 1 298.346 0.472 20 30 CCEDMN C=CCc1cccc(CN2CCN(CC(N)=O)CC2)c1O ZINC001138628117 799743578 /nfs/dbraw/zinc/74/35/78/799743578.db2.gz OMNDFFAZTYOWAJ-UHFFFAOYSA-N 0 1 289.379 0.724 20 30 CCEDMN C=CCN1CCN(Cc2ccc(OCC(N)=O)cc2)CC1 ZINC001138871093 799765675 /nfs/dbraw/zinc/76/56/75/799765675.db2.gz FBZAKPFRMHZMKW-UHFFFAOYSA-N 0 1 289.379 0.854 20 30 CCEDMN C=CCn1cc(CN2CC[C@H](CO)[C@@H](O)C2)cn1 ZINC001139768728 799838823 /nfs/dbraw/zinc/83/88/23/799838823.db2.gz SXPHTUHPZMGBLS-OLZOCXBDSA-N 0 1 251.330 0.244 20 30 CCEDMN C=CCn1cc(CN2CC[C@@](C)(CO)[C@@H](O)C2)cn1 ZINC001139767806 799839837 /nfs/dbraw/zinc/83/98/37/799839837.db2.gz BPJPCSKINPYCKO-KBPBESRZSA-N 0 1 265.357 0.634 20 30 CCEDMN N#CCOc1cccc(NC(=O)Cc2nc[nH]n2)c1 ZINC001141762442 800033566 /nfs/dbraw/zinc/03/35/66/800033566.db2.gz QNRNBDPMLLKTSI-UHFFFAOYSA-N 0 1 257.253 0.888 20 30 CCEDMN C=CCN1CCN(C(=O)[C@H]2CC3CCN2CC3)CC1 ZINC001142630291 800141484 /nfs/dbraw/zinc/14/14/84/800141484.db2.gz YCGBOVJFCZCIDL-CQSZACIVSA-N 0 1 263.385 0.801 20 30 CCEDMN C=CCOCCN1CC([C@H](C)NC(=O)c2csnn2)C1 ZINC001276404979 800188402 /nfs/dbraw/zinc/18/84/02/800188402.db2.gz HSAJGKCOXDACRQ-JTQLQIEISA-N 0 1 296.396 0.791 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H](C)n2cccn2)C1 ZINC001149225812 800237904 /nfs/dbraw/zinc/23/79/04/800237904.db2.gz AIQHJEGPWSOYSB-KGLIPLIRSA-N 0 1 292.383 0.837 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@@H](CNC(=O)[C@H](CC)OC)C1 ZINC001149355861 800250851 /nfs/dbraw/zinc/25/08/51/800250851.db2.gz NVMWTBVTCCBGQP-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H](CC)OC)C1 ZINC001149355861 800250855 /nfs/dbraw/zinc/25/08/55/800250855.db2.gz NVMWTBVTCCBGQP-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CC(C)(C)O)C1 ZINC001149630857 800284652 /nfs/dbraw/zinc/28/46/52/800284652.db2.gz DENARVNSLDMWNJ-GFCCVEGCSA-N 0 1 270.373 0.541 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1CN(CC=C)CCCO1 ZINC001149701634 800291460 /nfs/dbraw/zinc/29/14/60/800291460.db2.gz UFMFLDDEDGYXRX-KBPBESRZSA-N 0 1 280.368 0.418 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CN1CCCCCC1=O ZINC001150372478 800332190 /nfs/dbraw/zinc/33/21/90/800332190.db2.gz KGGGEKCQBHRDSG-UHFFFAOYSA-N 0 1 287.791 0.847 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C(C)(C)NC(C)=O)C1 ZINC001150451879 800336848 /nfs/dbraw/zinc/33/68/48/800336848.db2.gz BAOKETHWLKILAG-ZDUSSCGKSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCN1CCN(C(=O)c2cnc3cccnc3c2O)CC1 ZINC001153855554 800679015 /nfs/dbraw/zinc/67/90/15/800679015.db2.gz HRFMESDVYOGTQQ-UHFFFAOYSA-N 0 1 298.346 0.867 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(C(N)=O)ccn1 ZINC001153930192 800691680 /nfs/dbraw/zinc/69/16/80/800691680.db2.gz SRSNCSOPFKCYEX-UHFFFAOYSA-N 0 1 282.731 0.252 20 30 CCEDMN COc1cc2[nH]ncc2cc1C(=O)N1CCO[C@@H](C#N)C1 ZINC001154793354 800846538 /nfs/dbraw/zinc/84/65/38/800846538.db2.gz DOSUAQZEYKBGOY-JTQLQIEISA-N 0 1 286.291 0.936 20 30 CCEDMN C[C@@H](NCCCNC(=O)CSCC#N)c1ncccn1 ZINC001154814958 800850837 /nfs/dbraw/zinc/85/08/37/800850837.db2.gz SKAYXCYCYUPTSI-LLVKDONJSA-N 0 1 293.396 0.890 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1ncccn1 ZINC001155725216 801044354 /nfs/dbraw/zinc/04/43/54/801044354.db2.gz KRHSVUJXLLBHFO-UHFFFAOYSA-N 0 1 264.329 0.275 20 30 CCEDMN CCn1ncc(CNCCCNC(=O)[C@@H](C)C#N)n1 ZINC001155836975 801062426 /nfs/dbraw/zinc/06/24/26/801062426.db2.gz TZZZPRUGPLOWHB-JTQLQIEISA-N 0 1 264.333 0.054 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN[C@@H](C)c1nnnn1C1CC1 ZINC001155842661 801064177 /nfs/dbraw/zinc/06/41/77/801064177.db2.gz PTBDSZPPMSAEFJ-ZJUUUORDSA-N 0 1 291.359 0.325 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN[C@@H](C)c1ncccn1 ZINC001155849463 801067071 /nfs/dbraw/zinc/06/70/71/801067071.db2.gz YPPHZDVVOPTPOA-MNOVXSKESA-N 0 1 261.329 0.793 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)c1c2c(nn1C)CCC2 ZINC001156335610 801152364 /nfs/dbraw/zinc/15/23/64/801152364.db2.gz LHWSAQVXVAOXTQ-GFCCVEGCSA-N 0 1 288.395 0.982 20 30 CCEDMN C=CCCCNC(=S)NC[C@]1(O)CCNC[C@@H]1F ZINC001239354094 806889751 /nfs/dbraw/zinc/88/97/51/806889751.db2.gz VSSPFTMJSKYRDG-CMPLNLGQSA-N 0 1 275.393 0.479 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](Cc1cnc[nH]1)C(=O)OC ZINC001157036845 801289848 /nfs/dbraw/zinc/28/98/48/801289848.db2.gz KBSXXODBTKLUDZ-LLVKDONJSA-N 0 1 265.313 0.966 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCN[C@H](C)c1nnc(C)[nH]1 ZINC001157202125 801324676 /nfs/dbraw/zinc/32/46/76/801324676.db2.gz OSMRZTDPNFYJKZ-YGRLFVJLSA-N 0 1 295.387 0.597 20 30 CCEDMN C[C@H](C#N)N(C)c1ncccc1C(=O)N1CCNCC1 ZINC001157489600 801398193 /nfs/dbraw/zinc/39/81/93/801398193.db2.gz FZOCHRVKTQHVAH-LLVKDONJSA-N 0 1 273.340 0.475 20 30 CCEDMN COc1ccnc(C(N)=Nc2ccc(Cl)c[n+]2[O-])n1 ZINC001157635129 801441353 /nfs/dbraw/zinc/44/13/53/801441353.db2.gz LPUIYGYFVTYBKD-UHFFFAOYSA-N 0 1 279.687 0.809 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CNC(=O)CC ZINC001157702496 801457497 /nfs/dbraw/zinc/45/74/97/801457497.db2.gz VDGNGLXGBJFYSJ-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1cc(C)on1 ZINC001157863338 801506017 /nfs/dbraw/zinc/50/60/17/801506017.db2.gz DSKRZRUUPIGBFO-LBPRGKRZSA-N 0 1 279.340 0.617 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1nc[nH]n1 ZINC001158616205 801672211 /nfs/dbraw/zinc/67/22/11/801672211.db2.gz IATBXMYVMLLUSV-LLVKDONJSA-N 0 1 261.329 0.364 20 30 CCEDMN CN(C1CN(c2ncc(C#N)cc2C#N)C1)[C@H]1CCOC1 ZINC001158731651 801694551 /nfs/dbraw/zinc/69/45/51/801694551.db2.gz RYOSAVZLZDWWSE-ZDUSSCGKSA-N 0 1 283.335 0.734 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccn(C)n1 ZINC001158856941 801715475 /nfs/dbraw/zinc/71/54/75/801715475.db2.gz SEZSYDIDPVMBIG-CYBMUJFWSA-N 0 1 274.368 0.980 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCC1=CCN([C@@H](C)C(N)=O)CC1 ZINC001159586882 801834940 /nfs/dbraw/zinc/83/49/40/801834940.db2.gz DRMJIAZDSCURBV-QWRGUYRKSA-N 0 1 278.356 0.158 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC2(C[C@@H]2C(=O)N(C)C)CC1 ZINC001159864057 801882382 /nfs/dbraw/zinc/88/23/82/801882382.db2.gz ZXPQBIMACMRFMV-RISCZKNCSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cc2nnc(C)o2)CC1 ZINC001160076004 801904961 /nfs/dbraw/zinc/90/49/61/801904961.db2.gz XWPDRSNSPIAXJI-UHFFFAOYSA-N 0 1 288.351 0.692 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@H]1Cc1ccccn1 ZINC001160545814 801966886 /nfs/dbraw/zinc/96/68/86/801966886.db2.gz UWASSYUGAPQWCW-ZFWWWQNUSA-N 0 1 257.337 0.966 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1CCOC[C@@H]1C#N ZINC001160934137 802048819 /nfs/dbraw/zinc/04/88/19/802048819.db2.gz WVUNGNXXIYMMKN-KBPBESRZSA-N 0 1 284.319 0.179 20 30 CCEDMN C#C[C@](C)(N)C(=O)N(C)[C@H]1CCC2(C1)OCCO2 ZINC001161219420 802110654 /nfs/dbraw/zinc/11/06/54/802110654.db2.gz QLVZAEFRBMXBBK-JQWIXIFHSA-N 0 1 252.314 0.091 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@H](Oc2ccncc2C)C1 ZINC001161387227 802144198 /nfs/dbraw/zinc/14/41/98/802144198.db2.gz PAJHTKAPEKWRJC-WFASDCNBSA-N 0 1 273.336 0.720 20 30 CCEDMN CN1CCC(C#N)(Nc2cccc(S(N)(=O)=O)n2)CC1 ZINC001162230992 802293959 /nfs/dbraw/zinc/29/39/59/802293959.db2.gz IZQKIQWWLGDTGS-UHFFFAOYSA-N 0 1 295.368 0.129 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cncc(F)c1 ZINC001278850023 808333252 /nfs/dbraw/zinc/33/32/52/808333252.db2.gz MMAZGIXQCWKACL-ZDUSSCGKSA-N 0 1 279.315 0.219 20 30 CCEDMN Cc1cnc(NCc2nnc3n2CCCNC3)cc1C#N ZINC001163017091 802459056 /nfs/dbraw/zinc/45/90/56/802459056.db2.gz QSKFPPWWBBYJTB-UHFFFAOYSA-N 0 1 283.339 0.959 20 30 CCEDMN N#CN=C(Nc1cnc(CN)nc1)c1ccncc1 ZINC001164513439 802754530 /nfs/dbraw/zinc/75/45/30/802754530.db2.gz ANGOWYJDOLQYMN-UHFFFAOYSA-N 0 1 253.269 0.479 20 30 CCEDMN N#CCNCC1(CCNC(=O)c2cncc3nc[nH]c32)CC1 ZINC001164604616 802771931 /nfs/dbraw/zinc/77/19/31/802771931.db2.gz QKRHAPHKTJMUHA-UHFFFAOYSA-N 0 1 298.350 0.971 20 30 CCEDMN N#Cc1cnc2cc[nH]c(N3CCNC[C@H]3C#N)c1-2 ZINC001164653004 802776172 /nfs/dbraw/zinc/77/61/72/802776172.db2.gz YMDLGSTWQNKRFY-SNVBAGLBSA-N 0 1 252.281 0.736 20 30 CCEDMN CN(C1CN(c2ncc(Cl)nc2C#N)C1)[C@@H]1CCOC1 ZINC001165204238 802794966 /nfs/dbraw/zinc/79/49/66/802794966.db2.gz XKEINQDJYPYGGC-SECBINFHSA-N 0 1 293.758 0.911 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1cc(C#N)cc(C)n1 ZINC001165442315 802845577 /nfs/dbraw/zinc/84/55/77/802845577.db2.gz JMOOOQOLFCXVQB-NSHDSACASA-N 0 1 260.297 0.213 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCCN(CCOCCO)C1 ZINC001267924849 811871537 /nfs/dbraw/zinc/87/15/37/811871537.db2.gz KWFCGNOIXWCISZ-CQSZACIVSA-N 0 1 282.384 0.379 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCC[C@H]1CNCc1nnnn1C ZINC001268272565 812436703 /nfs/dbraw/zinc/43/67/03/812436703.db2.gz PZJCGNDWDWETHE-RYUDHWBXSA-N 0 1 292.387 0.551 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCC[C@@H]1CNCc1nnnn1C ZINC001268272563 812436986 /nfs/dbraw/zinc/43/69/86/812436986.db2.gz PZJCGNDWDWETHE-NEPJUHHUSA-N 0 1 292.387 0.551 20 30 CCEDMN N#CCc1cncc(N2CC[C@H](N)C(F)(F)C2)n1 ZINC001166958334 802993908 /nfs/dbraw/zinc/99/39/08/802993908.db2.gz BEBGJFNYDRWQHI-VIFPVBQESA-N 0 1 253.256 0.715 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@H]3OCCO[C@H]3C)[C@@H]2C1 ZINC001075903100 814937038 /nfs/dbraw/zinc/93/70/38/814937038.db2.gz QUIFJQURYASXFH-FQUUOJAGSA-N 0 1 280.368 0.509 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN([C@@H](C)C(=O)NC)C[C@H]1C ZINC001206885818 803298208 /nfs/dbraw/zinc/29/82/08/803298208.db2.gz HFRHSEHOBKARRZ-HZSPNIEDSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CN(CC#CC)C[C@H]1C ZINC001206917149 803307494 /nfs/dbraw/zinc/30/74/94/803307494.db2.gz RNXSXOHYFMXARF-UMVBOHGHSA-N 0 1 264.369 0.773 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@]2(C1)CN(CC)CCO2 ZINC001276539996 803418865 /nfs/dbraw/zinc/41/88/65/803418865.db2.gz ISWMDESMWHTPLC-HNNXBMFYSA-N 0 1 280.368 0.350 20 30 CCEDMN C[C@@H](CNC(=O)c1[nH]ncc1F)Nc1cncc(C#N)n1 ZINC001098238031 814764304 /nfs/dbraw/zinc/76/43/04/814764304.db2.gz MUGBBEZGTKWQQQ-ZETCQYMHSA-N 0 1 289.274 0.441 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2ccon2)C1 ZINC001207996478 803477651 /nfs/dbraw/zinc/47/76/51/803477651.db2.gz QFIQGDJSYIPPLO-DGCLKSJQSA-N 0 1 261.325 0.677 20 30 CCEDMN Cn1ccc(CNC[C@@H](NC(=O)C#CC2CC2)C2CC2)n1 ZINC001276584496 803542778 /nfs/dbraw/zinc/54/27/78/803542778.db2.gz WBARMOQWAVRNBL-OAHLLOKOSA-N 0 1 286.379 0.818 20 30 CCEDMN C=CCN(CCN1C[C@H]2[C@H](C(=O)OC)[C@H]2C1)C(=O)OCC ZINC001209022153 803564758 /nfs/dbraw/zinc/56/47/58/803564758.db2.gz IMRBCGRCJPUMLW-ITGUQSILSA-N 0 1 296.367 0.982 20 30 CCEDMN N#Cc1ccc(NS(=O)(=O)c2cc(N)ccc2N)cn1 ZINC001209677865 803622079 /nfs/dbraw/zinc/62/20/79/803622079.db2.gz JHUQXFQEEVJHLN-UHFFFAOYSA-N 0 1 289.320 0.918 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001213273145 803688112 /nfs/dbraw/zinc/68/81/12/803688112.db2.gz HJIZTZPJKVFRHU-VXGBXAGGSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CCCF)C[C@H]1OC ZINC001213959645 803707650 /nfs/dbraw/zinc/70/76/50/803707650.db2.gz VHBVEOQYCQEETN-CHWSQXEVSA-N 0 1 288.363 0.754 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H](C)NC(C)=O)C[C@H]1C ZINC001214094548 803720048 /nfs/dbraw/zinc/72/00/48/803720048.db2.gz LZJWAFJIRVTOAJ-UBHAPETDSA-N 0 1 287.791 0.700 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H](C)[C@H](NCc2nccn2C)C1 ZINC001214331818 803735115 /nfs/dbraw/zinc/73/51/15/803735115.db2.gz TXWMHURSUHZOAO-CHWSQXEVSA-N 0 1 292.383 0.559 20 30 CCEDMN CS(=O)(=O)N1CC[C@H](NCC#Cc2ccccc2)C1 ZINC000400229629 803765545 /nfs/dbraw/zinc/76/55/45/803765545.db2.gz RAHXIURGQVFCPQ-AWEZNQCLSA-N 0 1 278.377 0.662 20 30 CCEDMN C=CCOCC(=O)N1CCO[C@@H]2CN(C(C)C)C[C@@H]21 ZINC001217523128 803896366 /nfs/dbraw/zinc/89/63/66/803896366.db2.gz KROIGPYTASJBGU-QWHCGFSZSA-N 0 1 268.357 0.509 20 30 CCEDMN C[C@H](O)CN1C[C@H]2OCCN(C(=O)C#CC(C)(C)C)[C@H]2C1 ZINC001217844261 803920336 /nfs/dbraw/zinc/92/03/36/803920336.db2.gz TZEZGVUZPMQPLJ-MELADBBJSA-N 0 1 294.395 0.328 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1Oc1ccc(Cl)cc1CNN ZINC001218199379 803935812 /nfs/dbraw/zinc/93/58/12/803935812.db2.gz FDNDITMONLSLQK-CABZTGNLSA-N 0 1 266.732 0.794 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CCC(F)F)[C@H]2C1 ZINC001218320622 803948516 /nfs/dbraw/zinc/94/85/16/803948516.db2.gz JXWDRPPYVKDYNI-NWDGAFQWSA-N 0 1 286.322 0.967 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)COCC=C)[C@H](OC)C1 ZINC001212031944 814982303 /nfs/dbraw/zinc/98/23/03/814982303.db2.gz BYQYFDCRQNDAJR-ZIAGYGMSSA-N 0 1 298.383 0.207 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)[C@H]1Cc2ccccc2CN1 ZINC001218654544 804063963 /nfs/dbraw/zinc/06/39/63/804063963.db2.gz AVFRWSBPTVNMBL-LLVKDONJSA-N 0 1 267.292 0.934 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001219065866 804189325 /nfs/dbraw/zinc/18/93/25/804189325.db2.gz RJXCCDVDEBNRHS-RWMBFGLXSA-N 0 1 268.357 0.509 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2CCCC2)[C@@H](O)C1 ZINC001219089634 804194824 /nfs/dbraw/zinc/19/48/24/804194824.db2.gz MXMIUEBPKXEHFJ-KGLIPLIRSA-N 0 1 282.384 0.541 20 30 CCEDMN CC/C=C\CCN1C[C@@H](NC(=O)CSCC#N)[C@@H](O)C1 ZINC001219216446 804240262 /nfs/dbraw/zinc/24/02/62/804240262.db2.gz MDUYRNLURPDWNL-JXLSXLBDSA-N 0 1 297.424 0.761 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COC2CCCC2)[C@@H](O)C1 ZINC001219259764 804249932 /nfs/dbraw/zinc/24/99/32/804249932.db2.gz VVHFQWHRJWGOAP-KGLIPLIRSA-N 0 1 282.384 0.683 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCSCC)[C@@H](O)C1 ZINC001219263240 804250523 /nfs/dbraw/zinc/25/05/23/804250523.db2.gz FAGHBKRDWFKXHW-NEPJUHHUSA-N 0 1 270.398 0.314 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)/C=C(\C)C2CC2)[C@@H](O)C1 ZINC001219279518 804255022 /nfs/dbraw/zinc/25/50/22/804255022.db2.gz SMELXLJCMUWEFG-HKCLJGFPSA-N 0 1 250.342 0.690 20 30 CCEDMN C[C@H]1COCC[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000311445717 804259594 /nfs/dbraw/zinc/25/95/94/804259594.db2.gz WMMYAXTWLXTZCL-NWDGAFQWSA-N 0 1 265.357 0.763 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C[C@H](C)CC)[C@@H](O)C1 ZINC001219528548 804337753 /nfs/dbraw/zinc/33/77/53/804337753.db2.gz DHYAQRSPRCEUCC-MCIONIFRSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOC2CCC2)C[C@@H]1O ZINC001219539674 804341337 /nfs/dbraw/zinc/34/13/37/804341337.db2.gz WHDPMIWAHAQAOP-CABCVRRESA-N 0 1 294.395 0.520 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2(C)CC2)[C@@H](O)C1 ZINC001219667535 804397734 /nfs/dbraw/zinc/39/77/34/804397734.db2.gz BLZMIWYKMMRJLS-NEPJUHHUSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2coc(OC)n2)[C@@H](O)C1 ZINC001220080253 804495525 /nfs/dbraw/zinc/49/55/25/804495525.db2.gz RWIDMMCYUBVNPA-PWSUYJOCSA-N 0 1 295.339 0.424 20 30 CCEDMN N#C[C@H]1CC[C@H](NC(=O)[C@@H]2CCCN2C(=O)CN)CC1 ZINC001220094761 804497591 /nfs/dbraw/zinc/49/75/91/804497591.db2.gz HRPDPRQGHAMJNK-SRVKXCTJSA-N 0 1 278.356 0.135 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N[C@@H]1CCC[C@@H]1O ZINC001220099467 804499956 /nfs/dbraw/zinc/49/99/56/804499956.db2.gz NPFXFFMQNBLRQB-MJBXVCDLSA-N 0 1 273.336 0.458 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@@H](N)c1ccccc1 ZINC001220124585 804506794 /nfs/dbraw/zinc/50/67/94/804506794.db2.gz DEWWAMNKKMOTHI-LBPRGKRZSA-N 0 1 262.309 0.922 20 30 CCEDMN C[C@@]1(O)C[C@H](NC(=O)[C@@H](N)Cc2ccccc2C#N)C1 ZINC001220149979 804516728 /nfs/dbraw/zinc/51/67/28/804516728.db2.gz LSXQEUWZKMUKBQ-KCQAQPDRSA-N 0 1 273.336 0.458 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)CC(=C)C)[C@@H](O)C1 ZINC001220201420 804536208 /nfs/dbraw/zinc/53/62/08/804536208.db2.gz PJMSNNKEHMJCSV-MCIONIFRSA-N 0 1 264.369 0.773 20 30 CCEDMN C[C@@H](C#N)C(=O)N(CCO)CCNCC#Cc1ccccc1 ZINC001272129689 815023290 /nfs/dbraw/zinc/02/32/90/815023290.db2.gz MOYYWSLTVLINIV-HNNXBMFYSA-N 0 1 299.374 0.608 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(C)(F)F)[C@@H](O)C1 ZINC001220305477 804567985 /nfs/dbraw/zinc/56/79/85/804567985.db2.gz AUIVHKRSOVNURI-ZJUUUORDSA-N 0 1 260.284 0.216 20 30 CCEDMN COC(=O)n1ncc(C#N)c1NC(=O)[C@@H]1C[C@@H](F)CCN1 ZINC001220415438 804598594 /nfs/dbraw/zinc/59/85/94/804598594.db2.gz KUTWJDOJURJTTC-IUCAKERBSA-N 0 1 295.274 0.398 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)CC=C)[C@@H](O)C1 ZINC001220436066 804603488 /nfs/dbraw/zinc/60/34/88/804603488.db2.gz PYXXVNZXQJYMJL-OLZOCXBDSA-N 0 1 264.369 0.773 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)Cc2ccco2)[C@@H](O)C1 ZINC001220532301 804622858 /nfs/dbraw/zinc/62/28/58/804622858.db2.gz NGWCOOJQVOIYGI-CFVMTHIKSA-N 0 1 290.363 0.643 20 30 CCEDMN N#CC1(NC(=O)[C@@H]2Cc3ccccc3CN2)CCOCC1 ZINC001220967075 804710516 /nfs/dbraw/zinc/71/05/16/804710516.db2.gz QXRNUVNMIKHROY-AWEZNQCLSA-N 0 1 285.347 0.890 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)[C@@H]3CCCO3)[C@@H]2C1 ZINC001221241611 804754354 /nfs/dbraw/zinc/75/43/54/804754354.db2.gz CMMHISAFRPDUAM-KFWWJZLASA-N 0 1 294.395 0.901 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@@H]2CN(C(=O)c3cc[nH]n3)[C@@H]2C1 ZINC001221285904 804765313 /nfs/dbraw/zinc/76/53/13/804765313.db2.gz CDDGECPPHYXADW-TZMCWYRMSA-N 0 1 290.367 0.759 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)c3cc[nH]n3)[C@@H]2C1 ZINC001221285904 804765314 /nfs/dbraw/zinc/76/53/14/804765314.db2.gz CDDGECPPHYXADW-TZMCWYRMSA-N 0 1 290.367 0.759 20 30 CCEDMN CC(C)C[C@@](C)(C#N)NC(=O)C[C@H]1COCCN1 ZINC001221292336 804768331 /nfs/dbraw/zinc/76/83/31/804768331.db2.gz JXRHPFJCIQTVAO-AAEUAGOBSA-N 0 1 253.346 0.809 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](C)NC(C)=O)C[C@@H]21 ZINC001222229952 804885491 /nfs/dbraw/zinc/88/54/91/804885491.db2.gz QWEXGCZHUVUBMM-BNOWGMLFSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)CCCC)CC1 ZINC001222398805 804898376 /nfs/dbraw/zinc/89/83/76/804898376.db2.gz GOZPJDLZZSDJRB-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN NC(=O)C(=O)NCC1CCN(CC#Cc2ccccc2)CC1 ZINC001224295400 805024882 /nfs/dbraw/zinc/02/48/82/805024882.db2.gz XYJOEZKWSPQZAZ-UHFFFAOYSA-N 0 1 299.374 0.352 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)CCC(C)C)CC1 ZINC001276997378 805078074 /nfs/dbraw/zinc/07/80/74/805078074.db2.gz LZMUYSSZZHFTQM-ZDUSSCGKSA-N 0 1 293.411 0.799 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)[C@H]1C ZINC001088657408 815051301 /nfs/dbraw/zinc/05/13/01/815051301.db2.gz DHGVOHMJKYVKEL-XUJVJEKNSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCNC1(CNC(=O)c2coc(S(C)(=O)=O)c2)CC1 ZINC001277060891 805147752 /nfs/dbraw/zinc/14/77/52/805147752.db2.gz UWWZWVLFHFOFHS-UHFFFAOYSA-N 0 1 296.348 0.168 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001225884457 805173281 /nfs/dbraw/zinc/17/32/81/805173281.db2.gz OIMGTXPBCJBSAD-GXTWGEPZSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2COCCN2CC)[C@H]1C ZINC001088683941 815055748 /nfs/dbraw/zinc/05/57/48/815055748.db2.gz RYYRIXZGXOPEEP-ILXRZTDVSA-N 0 1 293.411 0.309 20 30 CCEDMN C[N@H+]1[C@@H]2C[C@@H](Oc3nc(=O)[n-]cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001226330781 805218469 /nfs/dbraw/zinc/21/84/69/805218469.db2.gz BUUJHKUYDRERRB-WQDSJKFHSA-N 0 1 294.267 0.082 20 30 CCEDMN C[N@@H+]1[C@@H]2C[C@@H](Oc3nc(=O)[n-]cc3[N+](=O)[O-])C[C@H]1[C@@H]1O[C@@H]12 ZINC001226330781 805218475 /nfs/dbraw/zinc/21/84/75/805218475.db2.gz BUUJHKUYDRERRB-WQDSJKFHSA-N 0 1 294.267 0.082 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C[C@H](C)OC)CC1 ZINC001226503559 805239258 /nfs/dbraw/zinc/23/92/58/805239258.db2.gz WSIZVGPRXOTJJO-ZDUSSCGKSA-N 0 1 282.384 0.642 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(C(=O)OC)nn1 ZINC001226569822 805249336 /nfs/dbraw/zinc/24/93/36/805249336.db2.gz UUYNIGMAKHDGAA-ZCFIWIBFSA-N 0 1 264.237 0.861 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCn2ccnn2)CC1 ZINC001227076284 805320776 /nfs/dbraw/zinc/32/07/76/805320776.db2.gz IFLOVNMUVAOOTO-UHFFFAOYSA-N 0 1 275.356 0.272 20 30 CCEDMN C#CCCCC(=O)NC1CCN([C@@H](CC)C(N)=O)CC1 ZINC001227108114 805323043 /nfs/dbraw/zinc/32/30/43/805323043.db2.gz LTUROWLGLGWVGJ-ZDUSSCGKSA-N 0 1 279.384 0.634 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1CCN([C@H](CC)C(N)=O)CC1 ZINC001227124283 805325049 /nfs/dbraw/zinc/32/50/49/805325049.db2.gz PNZAULHCAOFIER-DOMZBBRYSA-N 0 1 297.399 0.158 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCc2ncc[nH]2)CC1 ZINC001227137657 805326462 /nfs/dbraw/zinc/32/64/62/805326462.db2.gz DDCSNFGPNAIRFB-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN CO[C@@H](CNC(=O)NCC#CCN(C)C)C(F)(F)F ZINC001227316735 805341511 /nfs/dbraw/zinc/34/15/11/805341511.db2.gz SCEIGWDKUMFWIZ-VIFPVBQESA-N 0 1 281.278 0.428 20 30 CCEDMN C=C(C)C(=O)N1CCc2nc[nH]c2[C@H]1C(=O)OCC ZINC001142512402 815069741 /nfs/dbraw/zinc/06/97/41/815069741.db2.gz WCRPXXRYMKEREL-NSHDSACASA-N 0 1 263.297 0.975 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)NC1CCN(CC#N)CC1 ZINC001227629285 805373491 /nfs/dbraw/zinc/37/34/91/805373491.db2.gz SALCFQUWVLWVIP-CYBMUJFWSA-N 0 1 284.379 0.770 20 30 CCEDMN N#CCN1CC[C@H](Oc2[nH]c(=O)ncc2Cl)C1 ZINC001228481606 805451688 /nfs/dbraw/zinc/45/16/88/805451688.db2.gz FGJQSZCOJDVCDR-ZETCQYMHSA-N 0 1 254.677 0.812 20 30 CCEDMN C=CCOCC[NH+]1CCC(NC(=O)c2[nH]ncc2F)CC1 ZINC001229049042 805500377 /nfs/dbraw/zinc/50/03/77/805500377.db2.gz RPRPTHYHCQIZGF-UHFFFAOYSA-N 0 1 296.346 0.946 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)OCCOC)CC1 ZINC001229446589 805536241 /nfs/dbraw/zinc/53/62/41/805536241.db2.gz ZWSXHDVXYCJXCK-GFCCVEGCSA-N 0 1 268.357 0.252 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2CCO[C@H]2C)CC1 ZINC001229723565 805551075 /nfs/dbraw/zinc/55/10/75/805551075.db2.gz SSHJEFBGOVDRBF-ZFWWWQNUSA-N 0 1 294.395 0.642 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)c2cnn[nH]2)[C@H]1C ZINC001088694736 815089148 /nfs/dbraw/zinc/08/91/48/815089148.db2.gz QKRHPDDNIOUVRX-BDAKNGLRSA-N 0 1 269.736 0.750 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCC(=O)N(C)C2)CC1 ZINC000989745552 815090711 /nfs/dbraw/zinc/09/07/11/815090711.db2.gz RXWHMWQQVXYTBC-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](N2CCC[C@@H](CC#N)C2)CN1 ZINC001246799878 807386789 /nfs/dbraw/zinc/38/67/89/807386789.db2.gz UUBKVCVYKYISEZ-XQQFMLRXSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3ncn(C)n3)CCC[C@@H]12 ZINC000990547811 815125022 /nfs/dbraw/zinc/12/50/22/815125022.db2.gz VWMJMBKKNZDNGS-BXUZGUMPSA-N 0 1 275.356 0.728 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCC(=O)NC3)[C@@H]2C1 ZINC001075978558 815136740 /nfs/dbraw/zinc/13/67/40/815136740.db2.gz QIQHSADSFPAEOV-HZSPNIEDSA-N 0 1 289.379 0.069 20 30 CCEDMN CN(C)S(=O)(=O)Nc1cc(Cl)cnc1C#N ZINC001251058838 807659361 /nfs/dbraw/zinc/65/93/61/807659361.db2.gz URFLOKMNZJSEFG-UHFFFAOYSA-N 0 1 260.706 0.825 20 30 CCEDMN CC(=O)c1ccc(NS(=O)(=O)N(C)C)c(C#N)c1 ZINC001251094946 807663741 /nfs/dbraw/zinc/66/37/41/807663741.db2.gz UFWOAFJGBQTYAW-UHFFFAOYSA-N 0 1 267.310 0.979 20 30 CCEDMN N#C[C@H]1CN(C[C@@H](O)COc2ccccc2)CCC1=O ZINC001251189413 807673917 /nfs/dbraw/zinc/67/39/17/807673917.db2.gz KRZDQPOJJYUVJX-QWHCGFSZSA-N 0 1 274.320 0.841 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1NC[C@H](O)COc1ccccc1 ZINC001251247816 807678200 /nfs/dbraw/zinc/67/82/00/807678200.db2.gz QPSKQWUWYPZJHJ-GVXVVHGQSA-N 0 1 280.324 0.252 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)C[C@@H]1CCC[C@H]1O ZINC001251707809 807695597 /nfs/dbraw/zinc/69/55/97/807695597.db2.gz GTLHASGQRMOPCE-QWHCGFSZSA-N 0 1 267.373 0.354 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)Cc1cccnc1 ZINC001251707758 807695888 /nfs/dbraw/zinc/69/58/88/807695888.db2.gz FOKPFJJKIMDAKS-UHFFFAOYSA-N 0 1 260.341 0.788 20 30 CCEDMN C#CCOC[C@H](O)CN1CCC(=O)[C@@H]2CCCC[C@@H]21 ZINC001251821268 807709184 /nfs/dbraw/zinc/70/91/84/807709184.db2.gz KYTJVQNJBHHZHS-MCIONIFRSA-N 0 1 265.353 0.831 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1NC[C@H](O)COc1ccc(F)cc1 ZINC001251947488 807749017 /nfs/dbraw/zinc/74/90/17/807749017.db2.gz SQLUNVTWHOYZPC-GAFUQQFSSA-N 0 1 298.314 0.391 20 30 CCEDMN C=CCOC[C@@H](O)CNCC(=O)Nc1ccccc1 ZINC001252488641 807890544 /nfs/dbraw/zinc/89/05/44/807890544.db2.gz RGEXSWBFXNMDMQ-ZDUSSCGKSA-N 0 1 264.325 0.778 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cn(CC2CC2)cn1 ZINC001252495023 807893933 /nfs/dbraw/zinc/89/39/33/807893933.db2.gz JISNPCDERURCER-AWEZNQCLSA-N 0 1 265.357 0.946 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(c2ncc(OC)cn2)CC1 ZINC001252558382 807911336 /nfs/dbraw/zinc/91/13/36/807911336.db2.gz VEKICGGUTNQDQO-CQSZACIVSA-N 0 1 278.356 0.544 20 30 CCEDMN C=CCC[C@@H](O)CN1CCCC(O)=C1C(=O)OCC ZINC001252599846 807917177 /nfs/dbraw/zinc/91/71/77/807917177.db2.gz LMXUQBJQNJOSBY-YPMHNXCESA-N 0 1 269.341 0.910 20 30 CCEDMN C=CCC[C@H](O)CN1CC2(C1)CCOC[C@H]2C(=O)OC ZINC001252603470 807918358 /nfs/dbraw/zinc/91/83/58/807918358.db2.gz XEWXCAKEIIDFLK-STQMWFEESA-N 0 1 283.368 0.825 20 30 CCEDMN C=CCC[C@@H](O)CNCc1cc(OCCOC)ncn1 ZINC001252624070 807921610 /nfs/dbraw/zinc/92/16/10/807921610.db2.gz WOLSVUHPVLIXLW-CYBMUJFWSA-N 0 1 281.356 0.919 20 30 CCEDMN C#CCN(C)C[C@@H](O)COc1ccc(CCO)cc1 ZINC001253143618 808011404 /nfs/dbraw/zinc/01/14/04/808011404.db2.gz PQYDZMNVXAVOKP-CQSZACIVSA-N 0 1 263.337 0.526 20 30 CCEDMN C=C[C@@H](O)CN1CC2CC1(C(=O)NCc1ccco1)C2 ZINC001253582466 808089616 /nfs/dbraw/zinc/08/96/16/808089616.db2.gz PDONHJLIGRMCDI-MQYJIDSJSA-N 0 1 276.336 0.907 20 30 CCEDMN C=C[C@H](O)CN[C@@H](CC(=O)OCc1ccccc1)C(N)=O ZINC001253601546 808095045 /nfs/dbraw/zinc/09/50/45/808095045.db2.gz SADWTLQRIYGEMI-STQMWFEESA-N 0 1 292.335 0.110 20 30 CCEDMN C=C[C@H](O)CNCc1ccnc(OCC2CCOCC2)n1 ZINC001253610622 808097110 /nfs/dbraw/zinc/09/71/10/808097110.db2.gz UVNUSOFNUWSCLZ-AWEZNQCLSA-N 0 1 293.367 0.919 20 30 CCEDMN C=C[C@@H](O)CNCc1ccnc(OCC2CCOCC2)n1 ZINC001253610623 808097156 /nfs/dbraw/zinc/09/71/56/808097156.db2.gz UVNUSOFNUWSCLZ-CQSZACIVSA-N 0 1 293.367 0.919 20 30 CCEDMN CN1CCC(C#N)(NC[C@@H](O)C(=O)OC(C)(C)C)CC1 ZINC001253709976 808125909 /nfs/dbraw/zinc/12/59/09/808125909.db2.gz VIYVVIYONIXPLC-LLVKDONJSA-N 0 1 283.372 0.267 20 30 CCEDMN CC(C)[C@H](O)CN1CCN(c2nccnc2C#N)CC1 ZINC001253766315 808135621 /nfs/dbraw/zinc/13/56/21/808135621.db2.gz RDQMCRBFJHIPKC-CYBMUJFWSA-N 0 1 275.356 0.487 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2csnc2C)C1 ZINC001278771202 808199833 /nfs/dbraw/zinc/19/98/33/808199833.db2.gz LWBBFRIKWOIQDT-AWEZNQCLSA-N 0 1 293.392 0.641 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2C(C)C)[C@H]1C ZINC001088814256 815192531 /nfs/dbraw/zinc/19/25/31/815192531.db2.gz HFBYPZWYVUHPSO-RDBSUJKOSA-N 0 1 291.395 0.598 20 30 CCEDMN CC[C@@H](CC#N)NCCOCCOCCOCCOC ZINC001255156523 808323545 /nfs/dbraw/zinc/32/35/45/808323545.db2.gz LIQHMVXVIWWHPY-AWEZNQCLSA-N 0 1 288.388 0.964 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccc(C)[nH]c1=O ZINC001278877695 808417338 /nfs/dbraw/zinc/41/73/38/808417338.db2.gz ATLMVBROGVAEPG-LBPRGKRZSA-N 0 1 291.351 0.094 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)Cc1cscn1 ZINC001278877762 808418507 /nfs/dbraw/zinc/41/85/07/808418507.db2.gz CEKRKPDUPZMGOD-CYBMUJFWSA-N 0 1 295.408 0.460 20 30 CCEDMN N#C[C@@H]1CN(C2CCN(c3ncccn3)CC2)CCC1=O ZINC001256059844 808463695 /nfs/dbraw/zinc/46/36/95/808463695.db2.gz MRPDHWXWMWJCPH-GFCCVEGCSA-N 0 1 285.351 0.860 20 30 CCEDMN C#CCN1CCN(C2CCN(C(=O)OCC)CC2)CC1 ZINC001256244890 808486475 /nfs/dbraw/zinc/48/64/75/808486475.db2.gz PZLKPEBLTURLHC-UHFFFAOYSA-N 0 1 279.384 0.858 20 30 CCEDMN C[C@@H](O)[C@@H](NC(=O)NCC#CCN(C)C)c1ccccc1 ZINC001256584486 808537958 /nfs/dbraw/zinc/53/79/58/808537958.db2.gz DQKWHWJZGZAIGD-UKRRQHHQSA-N 0 1 289.379 0.973 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cccc(CC)n2)[C@@H](O)C1 ZINC001083528091 815215904 /nfs/dbraw/zinc/21/59/04/815215904.db2.gz ACHNTJCHIIXEIA-CABCVRRESA-N 0 1 289.379 0.995 20 30 CCEDMN CC(C)(C)[C@@H](CNCC#N)NC(=O)c1cnn[nH]1 ZINC001278977418 808571295 /nfs/dbraw/zinc/57/12/95/808571295.db2.gz BQWBZXVAVVYNTM-SECBINFHSA-N 0 1 250.306 0.062 20 30 CCEDMN COC(=O)CC1CCC([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)CC1 ZINC001256977259 808573392 /nfs/dbraw/zinc/57/33/92/808573392.db2.gz QVNBXIMWZLCYNC-YUVKLTJASA-N 0 1 284.356 0.934 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cn(C)nc2C)[C@@H](O)C1 ZINC001083529539 815219766 /nfs/dbraw/zinc/21/97/66/815219766.db2.gz ULFIJKXSTRYHJH-KGLIPLIRSA-N 0 1 292.383 0.008 20 30 CCEDMN COC(=O)CC[C@@H](C)NC1(C#N)CCN(C)CC1 ZINC001258361892 808677126 /nfs/dbraw/zinc/67/71/26/808677126.db2.gz RNQPBCHSBZZHNM-LLVKDONJSA-N 0 1 253.346 0.906 20 30 CCEDMN C#CC[C@H](NC(=O)C(=O)N1CCNC[C@@H]1C)c1ccccc1 ZINC001261377789 808962584 /nfs/dbraw/zinc/96/25/84/808962584.db2.gz FYJTVRZJFBJDFE-ZFWWWQNUSA-N 0 1 299.374 0.688 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2cnc(C3CC3)[nH]2)CC1 ZINC001262152236 809207040 /nfs/dbraw/zinc/20/70/40/809207040.db2.gz MFQHVGCWWJVRSJ-UHFFFAOYSA-N 0 1 259.309 0.887 20 30 CCEDMN C=CCCSCCNC(=O)N1CCNC[C@H]1COC ZINC001262587676 809360241 /nfs/dbraw/zinc/36/02/41/809360241.db2.gz JLVDINDAMLNRBF-LBPRGKRZSA-N 0 1 287.429 0.926 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccncn3)[C@@H]2C1 ZINC001076376700 815285176 /nfs/dbraw/zinc/28/51/76/815285176.db2.gz OOVBPPNDHOUCIK-GXTWGEPZSA-N 0 1 270.336 0.646 20 30 CCEDMN C=CCNC(=O)CNC(=O)N1CCN(C)C[C@H]1CC(C)C ZINC001263097269 809441778 /nfs/dbraw/zinc/44/17/78/809441778.db2.gz QGAKNYXRTBBUPN-CYBMUJFWSA-N 0 1 296.415 0.660 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1onc2c1CCCC2 ZINC001263105529 809446722 /nfs/dbraw/zinc/44/67/22/809446722.db2.gz QRURORBPUIUUNI-KWQFWETISA-N 0 1 260.297 0.395 20 30 CCEDMN C#CC1(O)CCN(C(=O)N[C@H](C)Cc2cc(C)[nH]n2)CC1 ZINC001263721657 809557529 /nfs/dbraw/zinc/55/75/29/809557529.db2.gz YBFCAQRYTITYMU-LLVKDONJSA-N 0 1 290.367 0.819 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cnn(CC)c3)[C@@H]2C1 ZINC001076448322 815298513 /nfs/dbraw/zinc/29/85/13/815298513.db2.gz MVFCEHXQSDTMEB-LSDHHAIUSA-N 0 1 286.379 0.611 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCN3C(C)=O)[C@@H]2C1 ZINC001076479465 815302390 /nfs/dbraw/zinc/30/23/90/815302390.db2.gz ZXJBWTUXSNLIDK-SOUVJXGZSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2ncoc2C)C1 ZINC001264628472 809666845 /nfs/dbraw/zinc/66/68/45/809666845.db2.gz OQLFFCXRZGPJDP-ZDUSSCGKSA-N 0 1 291.351 0.515 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H]2CN(C(=O)C3CC3)C[C@@H]2C1 ZINC001264636772 809668073 /nfs/dbraw/zinc/66/80/73/809668073.db2.gz ZOMKKYUBZQLDNF-KGLIPLIRSA-N 0 1 291.395 0.479 20 30 CCEDMN C=CCN1CCN(CCNC(=O)CC[C@@H]2CCCO2)CC1 ZINC001265255840 809731860 /nfs/dbraw/zinc/73/18/60/809731860.db2.gz CHTZXOISKKSQAF-HNNXBMFYSA-N 0 1 295.427 0.865 20 30 CCEDMN CN(CC(=O)N[C@@H]1CCN(CC#N)C1)C1CCC1 ZINC001265288371 809748081 /nfs/dbraw/zinc/74/80/81/809748081.db2.gz SLEOQTRTBYDETA-LLVKDONJSA-N 0 1 250.346 0.185 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)NC(=O)NCCC)C1 ZINC001265319256 809757330 /nfs/dbraw/zinc/75/73/30/809757330.db2.gz KKIANPMTKZDSDH-LBPRGKRZSA-N 0 1 296.415 0.851 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CC12CCN(CC(N)=O)CC2 ZINC001265328302 809758778 /nfs/dbraw/zinc/75/87/78/809758778.db2.gz YMGVWFKAOSYFPC-LBPRGKRZSA-N 0 1 277.368 0.102 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H]2[C@@H](CCCN2C(C)=O)C1 ZINC001265520687 809795786 /nfs/dbraw/zinc/79/57/86/809795786.db2.gz IPAYMXGUBBHKHA-UONOGXRCSA-N 0 1 279.384 0.621 20 30 CCEDMN N#CCN1CCCC[C@@H]1CNC(=O)CCc1c[nH]nn1 ZINC001265653848 809820851 /nfs/dbraw/zinc/82/08/51/809820851.db2.gz JELNIGUGTCRQHR-GFCCVEGCSA-N 0 1 276.344 0.232 20 30 CCEDMN N#CCN1CCCC[C@@H]1CNC(=O)CCc1cnn[nH]1 ZINC001265653848 809820856 /nfs/dbraw/zinc/82/08/56/809820856.db2.gz JELNIGUGTCRQHR-GFCCVEGCSA-N 0 1 276.344 0.232 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCCn1cncn1 ZINC001265655259 809821113 /nfs/dbraw/zinc/82/11/13/809821113.db2.gz DISXDRYXAIDILE-CQSZACIVSA-N 0 1 289.383 0.662 20 30 CCEDMN C#CC[NH2+][C@@H](C)CNC(=O)c1[n-]cnc1C(F)(F)F ZINC001265782688 809851441 /nfs/dbraw/zinc/85/14/41/809851441.db2.gz ARJWXXZFBYFTPV-ZETCQYMHSA-N 0 1 274.246 0.770 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3[nH]cnc3C)[C@@H]2C1 ZINC001076644098 815325211 /nfs/dbraw/zinc/32/52/11/815325211.db2.gz FDVMNMGXKPBENQ-NWDGAFQWSA-N 0 1 258.325 0.498 20 30 CCEDMN CC(C)C#CC(=O)NCCCN(C)[C@H](C)C(=O)NC1CC1 ZINC001265843356 809872429 /nfs/dbraw/zinc/87/24/29/809872429.db2.gz ZPGZXYDDYCBQCF-CYBMUJFWSA-N 0 1 293.411 0.751 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)C#CC1CC1 ZINC001265843301 809872961 /nfs/dbraw/zinc/87/29/61/809872961.db2.gz YOTWJQJRXKTRSY-ZDUSSCGKSA-N 0 1 291.395 0.529 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCn3cc(C)cn3)[C@@H]2C1 ZINC001076605613 815319785 /nfs/dbraw/zinc/31/97/85/815319785.db2.gz JJFJLJCXASQYFI-LSDHHAIUSA-N 0 1 286.379 0.748 20 30 CCEDMN C#CCC[N@@H+](CC)CCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001266032959 809921592 /nfs/dbraw/zinc/92/15/92/809921592.db2.gz QFOLLHNIXJEDLN-UHFFFAOYSA-N 0 1 278.356 0.889 20 30 CCEDMN C#CCC[N@H+](CC)CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001266032959 809921597 /nfs/dbraw/zinc/92/15/97/809921597.db2.gz QFOLLHNIXJEDLN-UHFFFAOYSA-N 0 1 278.356 0.889 20 30 CCEDMN C#CCC[N@@H+](CC)CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001266032959 809921603 /nfs/dbraw/zinc/92/16/03/809921603.db2.gz QFOLLHNIXJEDLN-UHFFFAOYSA-N 0 1 278.356 0.889 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)N[C@H](C)c1nnnn1C ZINC001266141055 809947286 /nfs/dbraw/zinc/94/72/86/809947286.db2.gz WYNQGEWDRCWCAG-NWDGAFQWSA-N 0 1 292.387 0.559 20 30 CCEDMN CN(C(=O)COc1ccccc1C#N)c1nn[nH]n1 ZINC001279180975 809971502 /nfs/dbraw/zinc/97/15/02/809971502.db2.gz RRYQCSXHABQZAA-UHFFFAOYSA-N 0 1 258.241 0.113 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H](C)n2cncn2)CC1 ZINC001279536448 809986040 /nfs/dbraw/zinc/98/60/40/809986040.db2.gz PPHOBBHZPLDVSZ-CYBMUJFWSA-N 0 1 287.367 0.611 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cncc(C)c2)C1 ZINC001076834268 815387391 /nfs/dbraw/zinc/38/73/91/815387391.db2.gz KXWFHHQMRVJEAD-ZIAGYGMSSA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnccc2C)C1 ZINC001076834181 815387423 /nfs/dbraw/zinc/38/74/23/815387423.db2.gz HMCGSVBTNJUXCQ-ZIAGYGMSSA-N 0 1 275.352 0.741 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC2(C)C)C1 ZINC001076895542 815407205 /nfs/dbraw/zinc/40/72/05/815407205.db2.gz MOXUBYLNYFHZNX-QJPTWQEYSA-N 0 1 252.358 0.770 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2coc(C)c2)C1 ZINC001076928755 815415611 /nfs/dbraw/zinc/41/56/11/815415611.db2.gz ABYQWVLHGNZUPF-CHWSQXEVSA-N 0 1 262.309 0.386 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H]1CCN(CC(N)=O)C1 ZINC001267291308 811108323 /nfs/dbraw/zinc/10/83/23/811108323.db2.gz DDRRYZAAVBLJHB-LBPRGKRZSA-N 0 1 265.357 0.103 20 30 CCEDMN CC(C)NC(=O)CN1CC[C@@H](CNC(=O)C#CC2CC2)C1 ZINC001267292875 811110039 /nfs/dbraw/zinc/11/00/39/811110039.db2.gz HJIOFARCIIXMNL-AWEZNQCLSA-N 0 1 291.395 0.363 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)C#CC2CC2)C1 ZINC001267292816 811110162 /nfs/dbraw/zinc/11/01/62/811110162.db2.gz GBELELDRSHCSRI-CQSZACIVSA-N 0 1 289.379 0.140 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@H](C)CNc2cncc(C#N)n2)n[nH]1 ZINC001104487307 811133142 /nfs/dbraw/zinc/13/31/42/811133142.db2.gz SBXYBJONVWDTDS-SNVBAGLBSA-N 0 1 299.338 0.952 20 30 CCEDMN CC#CCN(CCNC(=O)Cc1cn(C)nc1C)C1CC1 ZINC001267327082 811160263 /nfs/dbraw/zinc/16/02/63/811160263.db2.gz ACWTUYZGJNKHTE-UHFFFAOYSA-N 0 1 288.395 0.875 20 30 CCEDMN C#CCN(CCNC(=O)CCc1ccnc(C)n1)C1CC1 ZINC001267331065 811164319 /nfs/dbraw/zinc/16/43/19/811164319.db2.gz WTKKSHCXIMTAEP-UHFFFAOYSA-N 0 1 286.379 0.931 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccncc2C)C1 ZINC001076973432 815426580 /nfs/dbraw/zinc/42/65/80/815426580.db2.gz KQFGCBBKEQZDPC-ZIAGYGMSSA-N 0 1 273.336 0.188 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(c3ccccn3)CC2)C1 ZINC001076992087 815429084 /nfs/dbraw/zinc/42/90/84/815429084.db2.gz DUIVUEDKBIISIM-ZIAGYGMSSA-N 0 1 299.374 0.298 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2OCC[C@H]2C(C)C)C1 ZINC001077013239 815432554 /nfs/dbraw/zinc/43/25/54/815432554.db2.gz ODCIAGPMYZONLI-YJNKXOJESA-N 0 1 294.395 0.232 20 30 CCEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@@H]2COC(=O)N2)C1 ZINC001267459892 811324313 /nfs/dbraw/zinc/32/43/13/811324313.db2.gz OWYPFUZSGPDQTJ-STQMWFEESA-N 0 1 293.367 0.431 20 30 CCEDMN CC1(C)[C@H](NC(=O)c2cnn[nH]2)[C@@H]2CCCN(CC#N)[C@H]21 ZINC001087294665 811379572 /nfs/dbraw/zinc/37/95/72/811379572.db2.gz WSYRRMUIQNFMGW-MVWJERBFSA-N 0 1 288.355 0.547 20 30 CCEDMN CC#CCN[C@H](CNC(=O)c1cnnn1C)c1ccccc1 ZINC001267519671 811398128 /nfs/dbraw/zinc/39/81/28/811398128.db2.gz IALHPCCFIQUCCU-CQSZACIVSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@]1(C)CCNC1=O)c1ccccc1 ZINC001267523137 811401949 /nfs/dbraw/zinc/40/19/49/811401949.db2.gz PMGANKQLKIKYDW-RHSMWYFYSA-N 0 1 299.374 0.593 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2ccn(C)n2)CC1 ZINC001267575909 811469957 /nfs/dbraw/zinc/46/99/57/811469957.db2.gz MGYHBBPVARMQPP-UHFFFAOYSA-N 0 1 291.399 0.296 20 30 CCEDMN C#CCNC(=O)C[N@@H+](C)C[C@@H]1CCN(C(=O)C(C)(C)C)C1 ZINC001267593261 811501437 /nfs/dbraw/zinc/50/14/37/811501437.db2.gz GWDAKJITTKUHCP-ZDUSSCGKSA-N 0 1 293.411 0.562 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)C(C)(C)C)C1 ZINC001267593261 811501442 /nfs/dbraw/zinc/50/14/42/811501442.db2.gz GWDAKJITTKUHCP-ZDUSSCGKSA-N 0 1 293.411 0.562 20 30 CCEDMN Cc1[nH]c(NC(=O)[C@@H](N)CC[S@](C)=O)c(C#N)c1C ZINC001218555763 811582368 /nfs/dbraw/zinc/58/23/68/811582368.db2.gz KDZNINBNASHAHK-OVWNDWIMSA-N 0 1 282.369 0.538 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)/C(C)=C/C)C1 ZINC001267638879 811600457 /nfs/dbraw/zinc/60/04/57/811600457.db2.gz UJOSTYXSMQFJSK-BLXFFLACSA-N 0 1 291.395 0.482 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001038331160 811602134 /nfs/dbraw/zinc/60/21/34/811602134.db2.gz WFFYGRQDHOTZBM-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H](C)OCC)C1 ZINC001149272969 811626546 /nfs/dbraw/zinc/62/65/46/811626546.db2.gz QFNVCAQDWJSFPI-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)CCc2cn(C)nn2)C1 ZINC001267672730 811637023 /nfs/dbraw/zinc/63/70/23/811637023.db2.gz JUCOLBZPQIAVMX-UHFFFAOYSA-N 0 1 277.372 0.514 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CC2OCCCO2)C1 ZINC001267678659 811642045 /nfs/dbraw/zinc/64/20/45/811642045.db2.gz GBFVWIHFARMPJP-UHFFFAOYSA-N 0 1 268.357 0.764 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)Cc2c[nH]cn2)C1 ZINC001267693469 811659506 /nfs/dbraw/zinc/65/95/06/811659506.db2.gz GSUYRNHPKJZULY-UHFFFAOYSA-N 0 1 278.356 0.203 20 30 CCEDMN O=C(CC#Cc1ccccc1)NCC1CN(CCO)C1 ZINC001267699552 811663529 /nfs/dbraw/zinc/66/35/29/811663529.db2.gz WUSBTIOUDIFJOX-UHFFFAOYSA-N 0 1 272.348 0.469 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2(O)CCC2)C1 ZINC001267741102 811723088 /nfs/dbraw/zinc/72/30/88/811723088.db2.gz ISYQERLDZDAGQU-GFCCVEGCSA-N 0 1 250.342 0.505 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCn2c(C)csc2=O)C1 ZINC001267744339 811730556 /nfs/dbraw/zinc/73/05/56/811730556.db2.gz OKIBZVKGSZAIQF-GFCCVEGCSA-N 0 1 295.408 0.985 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)CN(C)C(C)=O)C1 ZINC001267757198 811752179 /nfs/dbraw/zinc/75/21/79/811752179.db2.gz TYKBAPQPQOVCSW-CYBMUJFWSA-N 0 1 265.357 0.021 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CN(C)C(C)=O)C1 ZINC001267757198 811752187 /nfs/dbraw/zinc/75/21/87/811752187.db2.gz TYKBAPQPQOVCSW-CYBMUJFWSA-N 0 1 265.357 0.021 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)c1cnn[nH]1 ZINC001077297656 815474207 /nfs/dbraw/zinc/47/42/07/815474207.db2.gz QVXKTFQMLUWHKH-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(CC)C(=O)Cc2cn(C)nc2C)C1 ZINC001267786870 811787889 /nfs/dbraw/zinc/78/78/89/811787889.db2.gz QZBITBIPJFKULH-HNNXBMFYSA-N 0 1 288.395 0.827 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)Cc2cn(C)nc2C)C1 ZINC001267786870 811787894 /nfs/dbraw/zinc/78/78/94/811787894.db2.gz QZBITBIPJFKULH-HNNXBMFYSA-N 0 1 288.395 0.827 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@]23CCC[C@H]2OCC3)C1 ZINC001077370302 815487378 /nfs/dbraw/zinc/48/73/78/815487378.db2.gz KWFSAJHXHGGTBA-KQTLUZQSSA-N 0 1 294.395 0.683 20 30 CCEDMN C#CC[NH2+]C[C@@H](C)CNC(=O)c1n[n-]c2ccccc2c1=O ZINC001268005984 811942603 /nfs/dbraw/zinc/94/26/03/811942603.db2.gz SNYZQSFHQHJPNM-LLVKDONJSA-N 0 1 298.346 0.924 20 30 CCEDMN C[C@@H](CNCC#N)CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001268008405 811947062 /nfs/dbraw/zinc/94/70/62/811947062.db2.gz IADLXRJFSRQOBC-NSHDSACASA-N 0 1 298.350 0.951 20 30 CCEDMN C#CCOCCC(=O)NC[C@H]1CN(CCC(C)C)CCO1 ZINC001268022832 811975453 /nfs/dbraw/zinc/97/54/53/811975453.db2.gz ZAKUUHRJJXHASQ-HNNXBMFYSA-N 0 1 296.411 0.889 20 30 CCEDMN CN(CCN(C)c1nccnc1C#N)C(=O)c1ccn[nH]1 ZINC001105338789 812004283 /nfs/dbraw/zinc/00/42/83/812004283.db2.gz FRBRMJMZUCIIFA-UHFFFAOYSA-N 0 1 285.311 0.280 20 30 CCEDMN CN(CCN(C)c1cnc(C#N)cn1)C(=O)c1ccn[nH]1 ZINC001105338963 812005609 /nfs/dbraw/zinc/00/56/09/812005609.db2.gz IIJASRYBTACFEX-UHFFFAOYSA-N 0 1 285.311 0.280 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccccc2)[C@@H](O)C1 ZINC001083314872 812095566 /nfs/dbraw/zinc/09/55/66/812095566.db2.gz CYSRHNUOODHHKU-KGLIPLIRSA-N 0 1 258.321 0.485 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc(OC)ncn1 ZINC001027884846 812117049 /nfs/dbraw/zinc/11/70/49/812117049.db2.gz RRWQBWXSWOHMME-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCc2ncncc2C1 ZINC001027928417 812146378 /nfs/dbraw/zinc/14/63/78/812146378.db2.gz OQRGSRGNBGSCSJ-DZGCQCFKSA-N 0 1 298.390 0.795 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc2c(c1)nnn2C ZINC001027967566 812174452 /nfs/dbraw/zinc/17/44/52/812174452.db2.gz BZXHXDYZSLRVNB-CYBMUJFWSA-N 0 1 297.362 0.796 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1coc(C(N)=O)c1 ZINC001028045488 812231802 /nfs/dbraw/zinc/23/18/02/812231802.db2.gz JCBOARRFHKSGPU-LLVKDONJSA-N 0 1 277.324 0.759 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001028050177 812233393 /nfs/dbraw/zinc/23/33/93/812233393.db2.gz NQQLSTUZWBZXEI-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cn(C)nc1OC ZINC001028070617 812247687 /nfs/dbraw/zinc/24/76/87/812247687.db2.gz HAUXEGMPNSKCCS-NSHDSACASA-N 0 1 278.356 0.809 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001038156971 812301216 /nfs/dbraw/zinc/30/12/16/812301216.db2.gz HDIJLTPGYDOYOM-NEPJUHHUSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(CCC)C1 ZINC001028173877 812330631 /nfs/dbraw/zinc/33/06/31/812330631.db2.gz TZKLKBLLAVSTKT-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCC[C@H](C)N(C)CCN(C)C(=O)CS(C)(=O)=O ZINC001268231511 812387970 /nfs/dbraw/zinc/38/79/70/812387970.db2.gz BVOLLUJNMIXITC-LBPRGKRZSA-N 0 1 290.429 0.776 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CCN2CCCC2=O)C1 ZINC001268237095 812400777 /nfs/dbraw/zinc/40/07/77/812400777.db2.gz VSRSNCJTBAQRGJ-ZDUSSCGKSA-N 0 1 279.384 0.766 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cccc2nccn21 ZINC001268242646 812408204 /nfs/dbraw/zinc/40/82/04/812408204.db2.gz SMBMFVUGWZWCBK-UHFFFAOYSA-N 0 1 270.336 0.971 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ncccc1OC ZINC001268255957 812420457 /nfs/dbraw/zinc/42/04/57/812420457.db2.gz PMZJFSASIJKFMD-UHFFFAOYSA-N 0 1 261.325 0.727 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)Cc1c(C)n[nH]c1C ZINC001268256672 812424184 /nfs/dbraw/zinc/42/41/84/812424184.db2.gz JAHYZLSBRCVQSU-UHFFFAOYSA-N 0 1 276.384 0.983 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccc(OC)nn1 ZINC001028240635 812464618 /nfs/dbraw/zinc/46/46/18/812464618.db2.gz CFUCDHJBSVXBKE-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN Cc1nnc(CNCC[C@H](C)NC(=O)C#CC(C)C)[nH]1 ZINC001268362127 812519800 /nfs/dbraw/zinc/51/98/00/812519800.db2.gz BUXZPMPYOPVZIK-NSHDSACASA-N 0 1 277.372 0.757 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001038976916 812521324 /nfs/dbraw/zinc/52/13/24/812521324.db2.gz RUTNFUDJZAZYIL-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN CCN(CCNCc1cnnn1C)C(=O)CSCC#N ZINC001268436084 812567095 /nfs/dbraw/zinc/56/70/95/812567095.db2.gz SQNNZKORDWKQBC-UHFFFAOYSA-N 0 1 296.400 0.010 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1nnc(C)[nH]1 ZINC001268450205 812578566 /nfs/dbraw/zinc/57/85/66/812578566.db2.gz SIOYUXWHNGIAST-UHFFFAOYSA-N 0 1 285.779 0.846 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC001028311878 812610716 /nfs/dbraw/zinc/61/07/16/812610716.db2.gz XWAYMANZWYXSME-LLVKDONJSA-N 0 1 284.323 0.676 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2ccc(F)nc2)C1 ZINC001028451417 812716250 /nfs/dbraw/zinc/71/62/50/812716250.db2.gz RDZZLSQZAIGMEL-NSHDSACASA-N 0 1 261.300 0.906 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC/C=C\CNCc1cnn(C)c1 ZINC001268533397 812776960 /nfs/dbraw/zinc/77/69/60/812776960.db2.gz POVZNIAEDVTUIT-YVACAVLKSA-N 0 1 292.383 0.509 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN[C@H](C)c1n[nH]c(CC)n1 ZINC001126377791 812808561 /nfs/dbraw/zinc/80/85/61/812808561.db2.gz FULAHXKIWJTSBY-QMTHXVAHSA-N 0 1 295.387 0.461 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1ocnc1C ZINC001126378009 812810021 /nfs/dbraw/zinc/81/00/21/812810021.db2.gz HOPMPHMMPJTESL-CYBMUJFWSA-N 0 1 267.329 0.516 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001028542439 812831357 /nfs/dbraw/zinc/83/13/57/812831357.db2.gz QEROEYMJUKCJKS-JSGCOSHPSA-N 0 1 286.379 0.901 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001268582160 812865485 /nfs/dbraw/zinc/86/54/85/812865485.db2.gz GSSWQQFSOQMRPO-NQHOJNORSA-N 0 1 299.802 0.917 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2cc(C)no2)[C@@H](O)C1 ZINC001083335028 812882100 /nfs/dbraw/zinc/88/21/00/812882100.db2.gz VTHIIBLDWMDWBP-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC[C@@H]3C[C@@H]3CC2)C1 ZINC001077429340 815557161 /nfs/dbraw/zinc/55/71/61/815557161.db2.gz OEOGYLDHISIRBY-IBEHDNSVSA-N 0 1 290.407 0.997 20 30 CCEDMN CN(CCN(C)c1cncc(C#N)n1)C(=O)Cc1ccn[nH]1 ZINC001105373756 812915596 /nfs/dbraw/zinc/91/55/96/812915596.db2.gz OBANOQQMMISWRE-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ncoc2CC)[C@@H](O)C1 ZINC001083378966 812922634 /nfs/dbraw/zinc/92/26/34/812922634.db2.gz ONZRVMDJNNHBQA-MNOVXSKESA-N 0 1 279.340 0.588 20 30 CCEDMN C=CCC(C)(C)C(=O)NC/C=C\CNCC(=O)NC ZINC001268596283 812922644 /nfs/dbraw/zinc/92/26/44/812922644.db2.gz IQNIXMQTNWQGOS-SREVYHEPSA-N 0 1 267.373 0.597 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@@H](C)N(C)C2=O)CC1 ZINC000348421375 815565653 /nfs/dbraw/zinc/56/56/53/815565653.db2.gz NRENCSIBZWKEKY-SNVBAGLBSA-N 0 1 251.330 0.919 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1ccnn1C ZINC001126393476 812968601 /nfs/dbraw/zinc/96/86/01/812968601.db2.gz VIVOKAINGNVYJG-UHFFFAOYSA-N 0 1 256.737 0.421 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2cc3n(n2)CCCC3)C1 ZINC001028616290 812975527 /nfs/dbraw/zinc/97/55/27/812975527.db2.gz NVTIXMGQAAVQNI-CYBMUJFWSA-N 0 1 286.379 0.904 20 30 CCEDMN CCc1nocc1CNC[C@H](C)NC(=O)[C@@H](C)C#N ZINC001268684011 813007213 /nfs/dbraw/zinc/00/72/13/813007213.db2.gz XYJBRPFQKXYZOH-UWVGGRQHSA-N 0 1 264.329 0.991 20 30 CCEDMN C[C@@H](CNCC#N)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC001268689254 813011669 /nfs/dbraw/zinc/01/16/69/813011669.db2.gz SYMPWNQBZOCELV-JTQLQIEISA-N 0 1 284.323 0.703 20 30 CCEDMN CCc1n[nH]cc1C(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001268735838 813055171 /nfs/dbraw/zinc/05/51/71/813055171.db2.gz OJZMXJHTCZCPCW-LBPRGKRZSA-N 0 1 292.383 0.672 20 30 CCEDMN C=CCCOCC(=O)N[C@@H](C)CN(C)[C@H]1CCN(C)C1=O ZINC001268759907 813070901 /nfs/dbraw/zinc/07/09/01/813070901.db2.gz QCHKCANBDBTQHN-STQMWFEESA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H](NC(C)=O)[C@@H](C)CC ZINC001268757277 813071713 /nfs/dbraw/zinc/07/17/13/813071713.db2.gz RBYVLAQITFGITA-SCRDCRAPSA-N 0 1 281.400 0.607 20 30 CCEDMN CN(CCCNCc1nncs1)C(=O)CSCC#N ZINC001268781432 813078945 /nfs/dbraw/zinc/07/89/45/813078945.db2.gz FXHXMVFKSBXGRF-UHFFFAOYSA-N 0 1 299.425 0.733 20 30 CCEDMN C#CC(=O)N1CCc2cc(C(=O)NCc3cnc[nH]3)[nH]c2C1 ZINC001268854814 813114953 /nfs/dbraw/zinc/11/49/53/813114953.db2.gz CHBKYPVZLNUAPI-UHFFFAOYSA-N 0 1 297.318 0.186 20 30 CCEDMN C=CCCCC(=O)NCC1CN(CCN2CCNC2=O)C1 ZINC001268867894 813124549 /nfs/dbraw/zinc/12/45/49/813124549.db2.gz VNTRDMZQQIGHBL-UHFFFAOYSA-N 0 1 294.399 0.416 20 30 CCEDMN N#Cc1ccc2n[nH]c(C(=O)N3C[C@H]4C[C@@]4(C(N)=O)C3)c2c1 ZINC001269243375 813286258 /nfs/dbraw/zinc/28/62/58/813286258.db2.gz RJFSUXSIQCBMBA-RFAUZJTJSA-N 0 1 295.302 0.382 20 30 CCEDMN COCC(=O)NCC1(NCC#Cc2ccccc2)CC1 ZINC001269356147 813335696 /nfs/dbraw/zinc/33/56/96/813335696.db2.gz NWFXLWZVLMYJAI-UHFFFAOYSA-N 0 1 272.348 0.923 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)CC2CC2)CC1 ZINC001269361933 813339035 /nfs/dbraw/zinc/33/90/35/813339035.db2.gz MYBYISQSXAGYLI-NSHDSACASA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)C=C(C)C)CC1 ZINC001269368640 813342949 /nfs/dbraw/zinc/34/29/49/813342949.db2.gz NANSFIJKSZKLJW-LBPRGKRZSA-N 0 1 277.368 0.329 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1(NCC(=O)NC(C)C)CC1 ZINC001269464399 813380207 /nfs/dbraw/zinc/38/02/07/813380207.db2.gz KKBZPTPGACTZFG-UHFFFAOYSA-N 0 1 281.400 0.962 20 30 CCEDMN CC[C@H](CNC(=O)C(C)(C)c1cnc[nH]1)NCC#N ZINC001269492186 813391138 /nfs/dbraw/zinc/39/11/38/813391138.db2.gz KZHMDIHXVWYLFW-SNVBAGLBSA-N 0 1 263.345 0.695 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCC1(NCCF)CC1 ZINC001269501883 813394540 /nfs/dbraw/zinc/39/45/40/813394540.db2.gz HCVAPDPIDYESJX-LBPRGKRZSA-N 0 1 285.363 0.665 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)Cc2cncn2C)CC1 ZINC001270261250 813701883 /nfs/dbraw/zinc/70/18/83/813701883.db2.gz NEFWJWNDRJCTKN-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)CNC(=O)C2CC2)C1 ZINC001270547740 813804996 /nfs/dbraw/zinc/80/49/96/813804996.db2.gz GOSOLHSXXKWOHX-OAHLLOKOSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cn2cc(C)cn2)C1 ZINC001149311092 813805468 /nfs/dbraw/zinc/80/54/68/813805468.db2.gz SMMGIZCNLUQNMH-AWEZNQCLSA-N 0 1 292.383 0.585 20 30 CCEDMN C=C(Cl)CN1C[C@@H](NC(=O)Cc2cc(C)n[nH]2)[C@@H](O)C1 ZINC001083401865 813815426 /nfs/dbraw/zinc/81/54/26/813815426.db2.gz BRHQONWMAJMWBM-NEPJUHHUSA-N 0 1 298.774 0.174 20 30 CCEDMN Cc1nc(CN2CC[C@](C)(NC(=O)C#CC(C)C)C2)n[nH]1 ZINC001270582273 813827550 /nfs/dbraw/zinc/82/75/50/813827550.db2.gz FFGFOWPTMPOHTI-HNNXBMFYSA-N 0 1 289.383 0.853 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CC(n2cc(CNCC)nn2)C1 ZINC001105381094 813844545 /nfs/dbraw/zinc/84/45/45/813844545.db2.gz NHCNRHQYUDCVHP-NSHDSACASA-N 0 1 293.371 0.362 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)COCCC)CC2 ZINC001270838254 813964776 /nfs/dbraw/zinc/96/47/76/813964776.db2.gz SZPGYYPTDGQBOY-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(C)ncn2)[C@@H](O)C1 ZINC001083411925 814000917 /nfs/dbraw/zinc/00/09/17/814000917.db2.gz MDSAJIKEGBOGPX-OLZOCXBDSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nnn(C)c2C)[C@H]1CC ZINC001087528460 814069688 /nfs/dbraw/zinc/06/96/88/814069688.db2.gz JPIOZXSXQNVRCG-NWDGAFQWSA-N 0 1 275.356 0.339 20 30 CCEDMN C=CCOCC(=O)N(C)[C@@H](C)CNCc1cc(C)ncn1 ZINC001270914020 814083582 /nfs/dbraw/zinc/08/35/82/814083582.db2.gz MTSIOSLXUHPTNR-ZDUSSCGKSA-N 0 1 292.383 0.924 20 30 CCEDMN COCC#CCN1CCC(NC(=O)CC(C)(C)O)CC1 ZINC001227147439 814295920 /nfs/dbraw/zinc/29/59/20/814295920.db2.gz XXIOUALHQHYISK-UHFFFAOYSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CC(C2CC2)C2CC2)C1 ZINC001271229914 814343569 /nfs/dbraw/zinc/34/35/69/814343569.db2.gz ZLITTZZJQSHQHV-QGZVFWFLSA-N 0 1 290.407 0.999 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CC(C2CC2)C2CC2)C1 ZINC001271229913 814343969 /nfs/dbraw/zinc/34/39/69/814343969.db2.gz ZLITTZZJQSHQHV-KRWDZBQOSA-N 0 1 290.407 0.999 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)[C@H]2CCCO2)C1 ZINC001271235381 814345291 /nfs/dbraw/zinc/34/52/91/814345291.db2.gz DANQTOQRKNNQGZ-QWHCGFSZSA-N 0 1 282.384 0.683 20 30 CCEDMN C=CCCC(=O)NCC1(O)CN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC001271253298 814351885 /nfs/dbraw/zinc/35/18/85/814351885.db2.gz BEQZMCSCENFKQM-JTQLQIEISA-N 0 1 293.371 0.303 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)C[C@@](C)(O)C=C)C1 ZINC001271276401 814362411 /nfs/dbraw/zinc/36/24/11/814362411.db2.gz QKMKNZLHNDKVQS-ZFWWWQNUSA-N 0 1 296.411 0.831 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC1(O)CN([C@H](C)CCC=C)C1 ZINC001271281865 814365047 /nfs/dbraw/zinc/36/50/47/814365047.db2.gz JZTQZBOUIKKIRN-ZIAGYGMSSA-N 0 1 294.395 0.542 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CCc3c[nH]nn3)C[C@@H]2C1 ZINC001088112097 814413318 /nfs/dbraw/zinc/41/33/18/814413318.db2.gz MFMHGMJEBLFOIA-NEPJUHHUSA-N 0 1 288.355 0.041 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CCc3cnn[nH]3)C[C@@H]2C1 ZINC001088112097 814413322 /nfs/dbraw/zinc/41/33/22/814413322.db2.gz MFMHGMJEBLFOIA-NEPJUHHUSA-N 0 1 288.355 0.041 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)c2ccn[nH]2)[C@H](OC)C1 ZINC001211894998 814433046 /nfs/dbraw/zinc/43/30/46/814433046.db2.gz ZXSIZQVXVLBKPY-CHWSQXEVSA-N 0 1 294.355 0.041 20 30 CCEDMN C#CCN1CC[C@@H](OCC2CCN(C(C)=O)CC2)C1 ZINC001088225596 814449643 /nfs/dbraw/zinc/44/96/43/814449643.db2.gz RAFHCOUXXRXUDF-OAHLLOKOSA-N 0 1 264.369 0.969 20 30 CCEDMN N#CCNCC1(CCNC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC001168079423 814558903 /nfs/dbraw/zinc/55/89/03/814558903.db2.gz ZYLRXHWCHAWQDQ-UHFFFAOYSA-N 0 1 298.350 0.971 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001059717711 814741148 /nfs/dbraw/zinc/74/11/48/814741148.db2.gz XILWPHZYPJMIAE-PHIMTYICSA-N 0 1 297.322 0.373 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2coc(C)c2)[C@@H](O)C1 ZINC001083427402 814758702 /nfs/dbraw/zinc/75/87/02/814758702.db2.gz BDILQNWMBJBPBZ-OLZOCXBDSA-N 0 1 264.325 0.939 20 30 CCEDMN C=CCCC(=O)NCC[C@H](C)NC(=O)C1=NC(=O)N(C)C1 ZINC001075883704 814765746 /nfs/dbraw/zinc/76/57/46/814765746.db2.gz QFXSBPMGCNOVSH-JTQLQIEISA-N 0 1 294.355 0.717 20 30 CCEDMN Cn1cnc(C(=O)NCCNCc2ccccc2C#N)n1 ZINC001126677909 814876215 /nfs/dbraw/zinc/87/62/15/814876215.db2.gz HJKYBYUDDBGUJP-UHFFFAOYSA-N 0 1 284.323 0.206 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cc(OC)ns1 ZINC001127045978 815601528 /nfs/dbraw/zinc/60/15/28/815601528.db2.gz JXMCNSBSCDACLZ-JTQLQIEISA-N 0 1 297.380 0.396 20 30 CCEDMN C=CCCC(=O)NC[C@@H](O)CNc1ncnc2[nH]cnc21 ZINC001105740263 815694120 /nfs/dbraw/zinc/69/41/20/815694120.db2.gz WIQGYRXAZUPANY-SECBINFHSA-N 0 1 290.327 0.160 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)Cc2ccnn2C)C1 ZINC001030259320 815936297 /nfs/dbraw/zinc/93/62/97/815936297.db2.gz GVAZJSUOFKEQRH-UHFFFAOYSA-N 0 1 262.357 0.729 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001030470110 816052893 /nfs/dbraw/zinc/05/28/93/816052893.db2.gz WXNKVGDIJHPBLA-VXGBXAGGSA-N 0 1 260.341 0.890 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncc(OC)n2)[C@H]1C ZINC001088900565 816165250 /nfs/dbraw/zinc/16/52/50/816165250.db2.gz RDZXGAIAFNNQEY-MNOVXSKESA-N 0 1 276.340 0.864 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C(C)(C)N2CCOCC2)[C@H]1C ZINC001088921115 816176535 /nfs/dbraw/zinc/17/65/35/816176535.db2.gz QKTGAEPIQAHYOS-KGLIPLIRSA-N 0 1 293.411 0.309 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2nonc2C)[C@H]1C ZINC001089076319 816237262 /nfs/dbraw/zinc/23/72/62/816237262.db2.gz UFPGUHHVWQFGPT-MNOVXSKESA-N 0 1 262.313 0.594 20 30 CCEDMN C#CCCN1CC(NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001030860787 816359612 /nfs/dbraw/zinc/35/96/12/816359612.db2.gz AYFPMBYAQOPULT-LLVKDONJSA-N 0 1 273.340 0.048 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001030934966 816462662 /nfs/dbraw/zinc/46/26/62/816462662.db2.gz TYJKOUBCFHOUOL-GFCCVEGCSA-N 0 1 272.352 0.653 20 30 CCEDMN C=CCN1C(=O)COCC12CN(CCC1CCOCC1)C2 ZINC001272514827 816539154 /nfs/dbraw/zinc/53/91/54/816539154.db2.gz CSCXVHURNIXMFL-UHFFFAOYSA-N 0 1 294.395 0.902 20 30 CCEDMN C[C@H](CCNc1cncc(C#N)n1)NC(=O)Cc1cnc[nH]1 ZINC001106597936 816817978 /nfs/dbraw/zinc/81/79/78/816817978.db2.gz CAPHESRFARXXOK-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@H](CCNc1nccnc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001106598146 816820001 /nfs/dbraw/zinc/82/00/01/816820001.db2.gz DXJUIDFVHZSNCZ-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc[nH]c2CCC)C1 ZINC001077547090 817010033 /nfs/dbraw/zinc/01/00/33/817010033.db2.gz BXGKHELDEHFVCM-HUUCEWRRSA-N 0 1 289.379 0.765 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc[nH]c2CCC)C1 ZINC001077547090 817010042 /nfs/dbraw/zinc/01/00/42/817010042.db2.gz BXGKHELDEHFVCM-HUUCEWRRSA-N 0 1 289.379 0.765 20 30 CCEDMN Cc1nccnc1CNCCNC(=O)CSCC#N ZINC001123799133 817074836 /nfs/dbraw/zinc/07/48/36/817074836.db2.gz JDPYYEKRUZXBMB-UHFFFAOYSA-N 0 1 279.369 0.248 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1OC ZINC001212257991 817258627 /nfs/dbraw/zinc/25/86/27/817258627.db2.gz LBJRJHDLULKEMF-HUUCEWRRSA-N 0 1 294.395 0.808 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(C3CC3)n(C)n2)C1 ZINC001031718091 817284086 /nfs/dbraw/zinc/28/40/86/817284086.db2.gz SRHNDDGKVCQNIP-UHFFFAOYSA-N 0 1 286.379 0.982 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ncc(OC)cc2F)C1 ZINC001031720043 817286288 /nfs/dbraw/zinc/28/62/88/817286288.db2.gz PTTROYXASFWAGS-UHFFFAOYSA-N 0 1 291.326 0.914 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn3ccncc23)C1 ZINC001031734181 817299562 /nfs/dbraw/zinc/29/95/62/817299562.db2.gz AEXCYGGAIPKSRZ-UHFFFAOYSA-N 0 1 271.324 0.577 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc3n2CCC3)C1 ZINC001031742172 817305820 /nfs/dbraw/zinc/30/58/20/817305820.db2.gz XADBVQULOSBMKT-UHFFFAOYSA-N 0 1 260.341 0.677 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccn(CC(F)F)n2)C1 ZINC001031753277 817338064 /nfs/dbraw/zinc/33/80/64/817338064.db2.gz HFOQVVFMEHLEIW-UHFFFAOYSA-N 0 1 284.310 0.996 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CC=CCC2)C1 ZINC001047295409 817346514 /nfs/dbraw/zinc/34/65/14/817346514.db2.gz HNWFVWOTQDTBIO-KKUMJFAQSA-N 0 1 276.380 0.870 20 30 CCEDMN C=CCN1CC(CNC(=O)c2nc(OC)cc(OC)n2)C1 ZINC001031771661 817352878 /nfs/dbraw/zinc/35/28/78/817352878.db2.gz FMHDDWAUEISAJQ-UHFFFAOYSA-N 0 1 292.339 0.341 20 30 CCEDMN C#CCN1CC(CNC(=O)[C@@H]2CCc3nc(C)[nH]c3C2)C1 ZINC001031787469 817368886 /nfs/dbraw/zinc/36/88/86/817368886.db2.gz CWDCDKJBXKZJMV-CYBMUJFWSA-N 0 1 286.379 0.504 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001031804306 817378072 /nfs/dbraw/zinc/37/80/72/817378072.db2.gz WTWFPCHADGJQPE-LBPRGKRZSA-N 0 1 265.357 0.137 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ncc(OC)cn2)C1 ZINC001031834287 817403077 /nfs/dbraw/zinc/40/30/77/817403077.db2.gz YJUBFMXXDQJCKF-UHFFFAOYSA-N 0 1 274.324 0.170 20 30 CCEDMN C=CCN1CC(CNC(=O)c2coc(C(N)=O)c2)C1 ZINC001031872276 817433602 /nfs/dbraw/zinc/43/36/02/817433602.db2.gz HXDJMOUGTINVEB-UHFFFAOYSA-N 0 1 263.297 0.226 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001031877023 817435874 /nfs/dbraw/zinc/43/58/74/817435874.db2.gz IBSHXPKDBIFOIB-GFCCVEGCSA-N 0 1 265.357 0.137 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(C)nc2OC)C1 ZINC001031896292 817448539 /nfs/dbraw/zinc/44/85/39/817448539.db2.gz AOPPSBJIKFNRNE-UHFFFAOYSA-N 0 1 276.340 0.114 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCN[C@H](C)c1n[nH]c(C)n1 ZINC001124487816 817486256 /nfs/dbraw/zinc/48/62/56/817486256.db2.gz GTXIEXCLDYFBHG-GHMZBOCLSA-N 0 1 295.387 0.861 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCOC[C@@H]2C)C1 ZINC001031958025 817495552 /nfs/dbraw/zinc/49/55/52/817495552.db2.gz LPXKILZFGYEYSI-WCQYABFASA-N 0 1 252.358 0.893 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001031980805 817517668 /nfs/dbraw/zinc/51/76/68/817517668.db2.gz DYWILSQSXBBRBX-NEPJUHHUSA-N 0 1 265.357 0.122 20 30 CCEDMN C=CCCC(=O)NCCNCC(=O)Nc1nncs1 ZINC001124636890 817530767 /nfs/dbraw/zinc/53/07/67/817530767.db2.gz ZPCDHMIFXBOMQI-UHFFFAOYSA-N 0 1 283.357 0.149 20 30 CCEDMN C=CCCC(=O)NCCNCc1cncc(OC)n1 ZINC001124640643 817531178 /nfs/dbraw/zinc/53/11/78/817531178.db2.gz MQKGCYUEUSIZHA-UHFFFAOYSA-N 0 1 264.329 0.657 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@H](C)COC ZINC001124748928 817559839 /nfs/dbraw/zinc/55/98/39/817559839.db2.gz LSHQOJAVMYNLMW-MRVPVSSYSA-N 0 1 279.178 0.883 20 30 CCEDMN C=CCOCC(=O)NCCNCC(=O)Nc1ccccc1 ZINC001124765296 817566957 /nfs/dbraw/zinc/56/69/57/817566957.db2.gz SANHNLNWQCPAQA-UHFFFAOYSA-N 0 1 291.351 0.534 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc(C)nc2C)C1 ZINC001032058807 817587705 /nfs/dbraw/zinc/58/77/05/817587705.db2.gz QVDSMHMNVNABPO-UHFFFAOYSA-N 0 1 260.341 0.941 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn(C(C)C)nn2)C1 ZINC001032079794 817610219 /nfs/dbraw/zinc/61/02/19/817610219.db2.gz SODYYJRNBHEXRQ-UHFFFAOYSA-N 0 1 263.345 0.707 20 30 CCEDMN Cc1cccc(NC(=O)CNCCNC(=O)[C@H](C)C#N)c1 ZINC001124888292 817615799 /nfs/dbraw/zinc/61/57/99/817615799.db2.gz GAESSVMQPSJGHV-GFCCVEGCSA-N 0 1 288.351 0.799 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(OC)nn2)C1 ZINC001032102929 817636367 /nfs/dbraw/zinc/63/63/67/817636367.db2.gz ZOPBPZGUKDFKGZ-UHFFFAOYSA-N 0 1 262.313 0.333 20 30 CCEDMN Cc1cc(C#N)nc(N[C@@H](C)CN(C)C(=O)c2ccn[nH]2)n1 ZINC001115656202 817687871 /nfs/dbraw/zinc/68/78/71/817687871.db2.gz CTHYRPZRFUGDDY-JTQLQIEISA-N 0 1 299.338 0.952 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCCO1 ZINC001032294335 817795031 /nfs/dbraw/zinc/79/50/31/817795031.db2.gz MRRUCEHUSSVDBR-MELADBBJSA-N 0 1 262.353 0.864 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)c2ncn[nH]2)nc1 ZINC001107288925 817824504 /nfs/dbraw/zinc/82/45/04/817824504.db2.gz OOPBZFSTGGOFPN-UPHRSURJSA-N 0 1 283.295 0.469 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)c2nc[nH]n2)nc1 ZINC001107288925 817824515 /nfs/dbraw/zinc/82/45/15/817824515.db2.gz OOPBZFSTGGOFPN-UPHRSURJSA-N 0 1 283.295 0.469 20 30 CCEDMN Cc1ccc(C#N)c(NCC=CCNC(=O)c2ncn[nH]2)n1 ZINC001107287252 817826011 /nfs/dbraw/zinc/82/60/11/817826011.db2.gz OJDFJQBJDAQEIJ-NSCUHMNNSA-N 0 1 297.322 0.778 20 30 CCEDMN Cc1ccc(C#N)c(NCC=CCNC(=O)c2nc[nH]n2)n1 ZINC001107287252 817826017 /nfs/dbraw/zinc/82/60/17/817826017.db2.gz OJDFJQBJDAQEIJ-NSCUHMNNSA-N 0 1 297.322 0.778 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCOC1 ZINC001032313428 817843472 /nfs/dbraw/zinc/84/34/72/817843472.db2.gz KWZSYMJVOLJPMY-RDBSUJKOSA-N 0 1 262.353 0.721 20 30 CCEDMN Cc1nc(CN2C[C@@H]3C[C@H]2CN3C(=O)[C@@H](C)C#N)n[nH]1 ZINC001032318760 817852037 /nfs/dbraw/zinc/85/20/37/817852037.db2.gz XNWWGBBBHXJGCQ-LSJOCFKGSA-N 0 1 274.328 0.058 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](CCC)C[C@H]2O)C1 ZINC001077645775 817912667 /nfs/dbraw/zinc/91/26/67/817912667.db2.gz WSWRWCPIUTVHTI-VXGBXAGGSA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc[nH]c(=O)c1 ZINC001032349641 817952205 /nfs/dbraw/zinc/95/22/05/817952205.db2.gz BOAMLSMQAJMHJV-STQMWFEESA-N 0 1 271.320 0.709 20 30 CCEDMN C=CCOCC(=O)NC[C@@]1(C)CN(CC2CC2)CCO1 ZINC001107810486 818023256 /nfs/dbraw/zinc/02/32/56/818023256.db2.gz CADXDJDQTRDFNQ-HNNXBMFYSA-N 0 1 282.384 0.806 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cn1ccnc1 ZINC001032814806 818034265 /nfs/dbraw/zinc/03/42/65/818034265.db2.gz JXLQVRLTGRYNRU-STQMWFEESA-N 0 1 260.341 0.744 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cn(C)nn1 ZINC001032819430 818064405 /nfs/dbraw/zinc/06/44/05/818064405.db2.gz ZNPHLJVCCIIPRS-KBPBESRZSA-N 0 1 287.367 0.056 20 30 CCEDMN COCC#CC(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC001168693757 818093906 /nfs/dbraw/zinc/09/39/06/818093906.db2.gz KOLSLWDLTINUEN-GFCCVEGCSA-N 0 1 271.280 0.055 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)NCCc2nc[nH]n2)cc1 ZINC001212492170 818207488 /nfs/dbraw/zinc/20/74/88/818207488.db2.gz AQYMJGAVGACEAH-UHFFFAOYSA-N 0 1 276.321 0.307 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1ccncn1 ZINC001128424635 818234618 /nfs/dbraw/zinc/23/46/18/818234618.db2.gz ZQDIFLVHISZQRG-UHFFFAOYSA-N 0 1 268.748 0.868 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C(N)=O ZINC001272993182 818274047 /nfs/dbraw/zinc/27/40/47/818274047.db2.gz JQEFMNQOAUKFEB-SECBINFHSA-N 0 1 259.737 0.195 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C2=COCCO2)C1 ZINC001032875221 818332520 /nfs/dbraw/zinc/33/25/20/818332520.db2.gz BEHYGZCQFQEBGK-LLVKDONJSA-N 0 1 252.314 0.593 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(Cl)c[nH]2)[C@H](O)C1 ZINC001090057446 818400954 /nfs/dbraw/zinc/40/09/54/818400954.db2.gz LQHVTWMZXHRTPH-WCQYABFASA-N 0 1 295.770 0.856 20 30 CCEDMN CN(C(=O)c1cc(C#N)c[nH]1)[C@@H]1CCN(CCO)C1 ZINC001032992445 818415240 /nfs/dbraw/zinc/41/52/40/818415240.db2.gz PYKVUKQLUGKXLT-LLVKDONJSA-N 0 1 262.313 0.025 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2Cc2cn(C)nc2C)C1=O ZINC001273019128 818433596 /nfs/dbraw/zinc/43/35/96/818433596.db2.gz PZPYLXZPSZTSID-INIZCTEOSA-N 0 1 286.379 0.929 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2ccn(C)n2)C1 ZINC001033079698 818498219 /nfs/dbraw/zinc/49/82/19/818498219.db2.gz UDZJBNUPTMLSIB-CQSZACIVSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2cncc3ncn(C)c32)C1 ZINC001033164557 818593779 /nfs/dbraw/zinc/59/37/79/818593779.db2.gz ILVVFFUUBCCGLA-GFCCVEGCSA-N 0 1 297.362 0.748 20 30 CCEDMN COc1ccc(C#N)c(NC(=N)N2CCN(C)CC2)n1 ZINC001168746331 818626940 /nfs/dbraw/zinc/62/69/40/818626940.db2.gz KDZYUKZLTXDXBU-UHFFFAOYSA-N 0 1 274.328 0.556 20 30 CCEDMN C[C@H](CNc1nccnc1C#N)NC(=O)c1[nH]ncc1F ZINC001108091463 818627516 /nfs/dbraw/zinc/62/75/16/818627516.db2.gz IZYBMTMSIJAOSF-SSDOTTSWSA-N 0 1 289.274 0.441 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033205274 818648881 /nfs/dbraw/zinc/64/88/81/818648881.db2.gz XTALFBKPVWVOQW-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCc3cncn3C2)C1 ZINC001033204894 818649854 /nfs/dbraw/zinc/64/98/54/818649854.db2.gz APOKCWKPEYVKHS-DZGCQCFKSA-N 0 1 286.379 0.611 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033341721 818727030 /nfs/dbraw/zinc/72/70/30/818727030.db2.gz PHDLQKNGDWECMY-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033348705 818728727 /nfs/dbraw/zinc/72/87/27/818728727.db2.gz ZKNTXLBMFITOHO-NSHDSACASA-N 0 1 274.324 0.270 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001033351766 818731289 /nfs/dbraw/zinc/73/12/89/818731289.db2.gz SFXSBRKOJCBFET-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)[C@H]2CCN(CCO)C2)c1 ZINC001033405765 818767087 /nfs/dbraw/zinc/76/70/87/818767087.db2.gz PLKLMVFZTFNDHJ-AWEZNQCLSA-N 0 1 273.336 0.202 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001128644361 818770267 /nfs/dbraw/zinc/77/02/67/818770267.db2.gz QFUNSFSCBLNQCR-SNVBAGLBSA-N 0 1 273.764 0.313 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001033489939 818801904 /nfs/dbraw/zinc/80/19/04/818801904.db2.gz CERIGGNUUPXOQR-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnn(C)c2OC)C1 ZINC001033628543 818863623 /nfs/dbraw/zinc/86/36/23/818863623.db2.gz UBBDKPSNLQGAGW-LLVKDONJSA-N 0 1 278.356 0.761 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C2CCN(C(N)=O)CC2)C1 ZINC001033649154 818872062 /nfs/dbraw/zinc/87/20/62/818872062.db2.gz VCYWAPIQEGDGHN-ZDUSSCGKSA-N 0 1 294.399 0.496 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(C(N)=O)ccn2)C1 ZINC001033714931 818899555 /nfs/dbraw/zinc/89/95/55/818899555.db2.gz XRVOMTXACJPMJG-LBPRGKRZSA-N 0 1 288.351 0.513 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)N2)C1 ZINC001033771333 818924796 /nfs/dbraw/zinc/92/47/96/818924796.db2.gz DHODKRHBCBNRBZ-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(CC)c2)[C@@H](O)C1 ZINC001090145653 818956952 /nfs/dbraw/zinc/95/69/52/818956952.db2.gz ROOKOSDHNTUNQW-OLZOCXBDSA-N 0 1 278.356 0.254 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033848495 818965578 /nfs/dbraw/zinc/96/55/78/818965578.db2.gz NIJUDKBWIOKRPW-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2CCOCC2)[C@H](O)C1 ZINC001090148615 818980855 /nfs/dbraw/zinc/98/08/55/818980855.db2.gz BIDHDBYXYUNSRV-UONOGXRCSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(=O)[nH]2)[C@@H](O)C1 ZINC001090168426 819004656 /nfs/dbraw/zinc/00/46/56/819004656.db2.gz AYEZUIIITRWUQI-JQWIXIFHSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncc(C)c2)[C@@H](O)C1 ZINC001090163804 819005189 /nfs/dbraw/zinc/00/51/89/819005189.db2.gz QWRDSORFQHUYSH-KGLIPLIRSA-N 0 1 275.352 0.741 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2c[nH]c(=O)cn2)C1 ZINC001033935957 819008249 /nfs/dbraw/zinc/00/82/49/819008249.db2.gz LIFPBQJFZPONGG-GFCCVEGCSA-N 0 1 288.351 0.330 20 30 CCEDMN C#Cc1cncc(C(=O)N(CC)[C@@H]2CCN(CCO)C2)c1 ZINC001033957190 819012701 /nfs/dbraw/zinc/01/27/01/819012701.db2.gz ZHLBVKHBXJERFX-OAHLLOKOSA-N 0 1 287.363 0.592 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033939833 819015343 /nfs/dbraw/zinc/01/53/43/819015343.db2.gz CUNNMGMYUWWYPQ-NSHDSACASA-N 0 1 276.340 0.295 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001034052698 819040265 /nfs/dbraw/zinc/04/02/65/819040265.db2.gz NQFDUOHECPCCLF-LSDHHAIUSA-N 0 1 291.395 0.553 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccn(C)c2)[C@H](O)C1 ZINC001090188145 819066502 /nfs/dbraw/zinc/06/65/02/819066502.db2.gz CNIPEWDFPSKPQL-UONOGXRCSA-N 0 1 275.352 0.213 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2=CCCC2)[C@H](O)C1 ZINC001090189555 819070652 /nfs/dbraw/zinc/07/06/52/819070652.db2.gz OKTLFCIFPGIFIQ-UONOGXRCSA-N 0 1 262.353 0.671 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2cnnn2C)C1 ZINC001034186248 819110436 /nfs/dbraw/zinc/11/04/36/819110436.db2.gz BZHBWNJFYBSNPY-LBPRGKRZSA-N 0 1 275.356 0.423 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)CC2OCCCO2)C1 ZINC001034182176 819117067 /nfs/dbraw/zinc/11/70/67/819117067.db2.gz YNLPSUXQAGXWIW-CYBMUJFWSA-N 0 1 280.368 0.743 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC001034179951 819117129 /nfs/dbraw/zinc/11/71/29/819117129.db2.gz XXFUBXYSISXXAH-GFCCVEGCSA-N 0 1 291.351 0.586 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001034231548 819129842 /nfs/dbraw/zinc/12/98/42/819129842.db2.gz NQMILAONDGKXAA-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccnc3ccnn32)C1 ZINC001034244305 819138684 /nfs/dbraw/zinc/13/86/84/819138684.db2.gz PCWBNYIVGKWVFE-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001034270301 819147774 /nfs/dbraw/zinc/14/77/74/819147774.db2.gz YYSMCCDSHBVSHV-CJNGLKHVSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001034276816 819151632 /nfs/dbraw/zinc/15/16/32/819151632.db2.gz SBYGWBNVIQWXML-AWEZNQCLSA-N 0 1 287.363 0.603 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)c2cncnc2)[C@@H](O)C1 ZINC001090210488 819184984 /nfs/dbraw/zinc/18/49/84/819184984.db2.gz ODTOLHHLOCKIMJ-STQMWFEESA-N 0 1 276.340 0.218 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cncnc2)[C@@H](O)C1 ZINC001090210488 819184987 /nfs/dbraw/zinc/18/49/87/819184987.db2.gz ODTOLHHLOCKIMJ-STQMWFEESA-N 0 1 276.340 0.218 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001034385085 819188755 /nfs/dbraw/zinc/18/87/55/819188755.db2.gz PCCDCZHVWHXDJU-DOMZBBRYSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CCC[N@H+]1CC[C@H](NC(=O)c2ncccc2O)[C@@H](O)C1 ZINC001090213574 819194079 /nfs/dbraw/zinc/19/40/79/819194079.db2.gz MSFPOKUKDJPJAP-AAEUAGOBSA-N 0 1 291.351 0.528 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090213574 819194085 /nfs/dbraw/zinc/19/40/85/819194085.db2.gz MSFPOKUKDJPJAP-AAEUAGOBSA-N 0 1 291.351 0.528 20 30 CCEDMN C=CCC[N@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001090213574 819194092 /nfs/dbraw/zinc/19/40/92/819194092.db2.gz MSFPOKUKDJPJAP-AAEUAGOBSA-N 0 1 291.351 0.528 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cnn(C)n2)C1 ZINC001034441514 819206211 /nfs/dbraw/zinc/20/62/11/819206211.db2.gz GMXBVZVAHYSOQZ-GFCCVEGCSA-N 0 1 275.356 0.423 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1CC[C@H](NCC#N)CC1 ZINC001034612085 819260775 /nfs/dbraw/zinc/26/07/75/819260775.db2.gz PGZPLUXOFNPVLC-JHJVBQTASA-N 0 1 264.373 0.621 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@@H](C)NC(=O)Cc2cnc[nH]2)n1 ZINC001108136095 819280923 /nfs/dbraw/zinc/28/09/23/819280923.db2.gz MLWRAWPQQJLBCW-SNVBAGLBSA-N 0 1 299.338 0.539 20 30 CCEDMN N#CCN[C@H]1CC[C@H](NC(=O)CN2CCCC2)CC1 ZINC001034795303 819332148 /nfs/dbraw/zinc/33/21/48/819332148.db2.gz ZOIHWDBIYCWOCJ-JOCQHMNTSA-N 0 1 264.373 0.623 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001035375489 819493451 /nfs/dbraw/zinc/49/34/51/819493451.db2.gz PJUCTBHUXXSQAA-DZKIICNBSA-N 0 1 294.395 0.806 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2[nH]nnc2C)C1 ZINC001035469302 819538556 /nfs/dbraw/zinc/53/85/56/819538556.db2.gz DVPPTRHMJYRRRD-LBPRGKRZSA-N 0 1 293.371 0.510 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cc(C)n(C)n1)CC2 ZINC001035661715 819594963 /nfs/dbraw/zinc/59/49/63/819594963.db2.gz IBXFEJYIJXBWON-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1nccnc1N)CC2 ZINC001035675862 819600332 /nfs/dbraw/zinc/60/03/32/819600332.db2.gz UWGRBNVRCATXFZ-UHFFFAOYSA-N 0 1 299.378 0.620 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)n1cncn1)CC2 ZINC001035689692 819604716 /nfs/dbraw/zinc/60/47/16/819604716.db2.gz CKOLKYGBMSLXGW-CYBMUJFWSA-N 0 1 289.383 0.950 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)c3ccn[nH]3)CC[C@@H]21 ZINC001036656800 819872855 /nfs/dbraw/zinc/87/28/55/819872855.db2.gz JBEGJYFHUHSAMR-JQWIXIFHSA-N 0 1 259.313 0.470 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn3c(n2)CCC3)[C@@H](O)C1 ZINC001090275561 820050778 /nfs/dbraw/zinc/05/07/78/820050778.db2.gz ZVENEOIKLGYFDG-YPMHNXCESA-N 0 1 290.367 0.180 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@@H]1CCN(c2ccnc(C#N)n2)C1 ZINC001062890766 820120853 /nfs/dbraw/zinc/12/08/53/820120853.db2.gz RRPBFKZCPPHNIH-SNVBAGLBSA-N 0 1 297.322 0.422 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CNC(=O)CN2CCCC2)CCC1 ZINC001063108138 820134286 /nfs/dbraw/zinc/13/42/86/820134286.db2.gz RVIGTIIQYOIHHO-GFCCVEGCSA-N 0 1 292.383 0.397 20 30 CCEDMN C=C(C)CCC(=O)NCC1(NC(=O)c2ncn[nH]2)CCC1 ZINC001063257210 820139538 /nfs/dbraw/zinc/13/95/38/820139538.db2.gz URBVGIGNVRJCOP-UHFFFAOYSA-N 0 1 291.355 0.930 20 30 CCEDMN C=C(C)CCC(=O)NCC1(NC(=O)c2nc[nH]n2)CCC1 ZINC001063257210 820139542 /nfs/dbraw/zinc/13/95/42/820139542.db2.gz URBVGIGNVRJCOP-UHFFFAOYSA-N 0 1 291.355 0.930 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCOCC)[C@@H](O)C1 ZINC001099698678 820320862 /nfs/dbraw/zinc/32/08/62/820320862.db2.gz SDPLVGWMSKRWIK-STQMWFEESA-N 0 1 270.373 0.541 20 30 CCEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC001079662101 820451964 /nfs/dbraw/zinc/45/19/64/820451964.db2.gz HLMJQRQTZGGVEV-DGCLKSJQSA-N 0 1 277.372 0.924 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)c2ccnn2C)C1 ZINC001079716223 820458178 /nfs/dbraw/zinc/45/81/78/820458178.db2.gz LGZCZDAXBFTZRB-MGPQQGTHSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cc3c([nH]2)CCOC3)C1 ZINC001079831306 820473833 /nfs/dbraw/zinc/47/38/33/820473833.db2.gz UGJNXNNHCZXYAP-IAQYHMDHSA-N 0 1 287.363 0.771 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001079881395 820480304 /nfs/dbraw/zinc/48/03/04/820480304.db2.gz AORDEQZDMNCOKK-DGCLKSJQSA-N 0 1 274.368 0.584 20 30 CCEDMN CCN(C(=O)[C@@H](C)C#N)C1CN(C(=O)Cc2ccn[nH]2)C1 ZINC001080411677 820570363 /nfs/dbraw/zinc/57/03/63/820570363.db2.gz QXAZUECZNMJFMK-JTQLQIEISA-N 0 1 289.339 0.171 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2Cc3ccncc3C2)C1 ZINC001080452253 820574082 /nfs/dbraw/zinc/57/40/82/820574082.db2.gz HBYJQEXVGOWJQC-XNRPHZJLSA-N 0 1 283.375 0.866 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001080511948 820585191 /nfs/dbraw/zinc/58/51/91/820585191.db2.gz BKIVIWGDPDFFCX-ZWNOBZJWSA-N 0 1 273.336 0.779 20 30 CCEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cnn(C)n2)C1 ZINC001080613573 820598997 /nfs/dbraw/zinc/59/89/97/820598997.db2.gz CLXBTQHNMQBAOB-ZYHUDNBSSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCN(C(C)=O)C2)C1 ZINC001080738616 820627473 /nfs/dbraw/zinc/62/74/73/820627473.db2.gz NFDHTHOTYDMWPB-XPKDYRNWSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001080856977 820648123 /nfs/dbraw/zinc/64/81/23/820648123.db2.gz CHYDRGMREBTRGC-ZYHUDNBSSA-N 0 1 278.356 0.665 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001081076262 820675767 /nfs/dbraw/zinc/67/57/67/820675767.db2.gz ZAQRQNPLRWOSHN-MBNYWOFBSA-N 0 1 274.368 0.593 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(C)no2)[C@H](OC)C1 ZINC001081356068 820745145 /nfs/dbraw/zinc/74/51/45/820745145.db2.gz XEKGFTZFLWUYFY-DGCLKSJQSA-N 0 1 279.340 0.988 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccnc(F)c2)[C@H](OC)C1 ZINC001081423590 820762537 /nfs/dbraw/zinc/76/25/37/820762537.db2.gz FZLJSQGLEFHEMC-CHWSQXEVSA-N 0 1 291.326 0.673 20 30 CCEDMN CO[C@@H]1CN(CCCF)C[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC001081552271 820788052 /nfs/dbraw/zinc/78/80/52/820788052.db2.gz BIZXFXXHMYXUPT-CHWSQXEVSA-N 0 1 294.330 0.675 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CCCCO2)[C@H](OC)C1 ZINC001081546359 820796606 /nfs/dbraw/zinc/79/66/06/820796606.db2.gz JJBZILFYPFISQP-MGPQQGTHSA-N 0 1 280.368 0.394 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2cnon2)[C@H](OC)C1 ZINC001082257398 820925395 /nfs/dbraw/zinc/92/53/95/820925395.db2.gz WMYFQYLVZXOZMQ-VXGBXAGGSA-N 0 1 280.328 0.465 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(C(C)C)C[C@H]2OC)cn1 ZINC001082333851 820937419 /nfs/dbraw/zinc/93/74/19/820937419.db2.gz DCGQMSMJQKMQIR-HUUCEWRRSA-N 0 1 287.363 0.900 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCCCN2CC)[C@H](OC)C1 ZINC001082384832 820953573 /nfs/dbraw/zinc/95/35/73/820953573.db2.gz NJYQLSOPKPXCIA-RBSFLKMASA-N 0 1 295.427 0.862 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cnnn2C)C[C@H]1C ZINC001082496350 820987608 /nfs/dbraw/zinc/98/76/08/820987608.db2.gz RZAFNDFJIGGWSK-PSASIEDQSA-N 0 1 283.763 0.618 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(CCF)c2)[C@@H](O)C1 ZINC001090381693 821035911 /nfs/dbraw/zinc/03/59/11/821035911.db2.gz LUZYJFLEDFVFOW-STQMWFEESA-N 0 1 296.346 0.204 20 30 CCEDMN CCN1C[C@H]2OCCN(C(=O)c3cc(C#N)c[nH]3)[C@H]2C1 ZINC001083040450 821123962 /nfs/dbraw/zinc/12/39/62/821123962.db2.gz NGHPNTFAFOLWSO-QWHCGFSZSA-N 0 1 274.324 0.431 20 30 CCEDMN CCCN1C[C@H]2OCCN(C(=O)c3cc(C#N)c[nH]3)[C@H]2C1 ZINC001083040619 821124203 /nfs/dbraw/zinc/12/42/03/821124203.db2.gz VXKAFZVLNOSUEN-UONOGXRCSA-N 0 1 288.351 0.822 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCCF)C[C@@H]2O)nc1 ZINC001083968727 821176910 /nfs/dbraw/zinc/17/69/10/821176910.db2.gz BTJBYTIFJGCQID-KGLIPLIRSA-N 0 1 291.326 0.197 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CN3CCCC3=O)[C@@H]2C1 ZINC001084178236 821225548 /nfs/dbraw/zinc/22/55/48/821225548.db2.gz DKRKBZBDQLRUMR-ZIAGYGMSSA-N 0 1 289.379 0.165 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CCN(CCn3cccn3)C[C@H]21 ZINC001084232849 821249641 /nfs/dbraw/zinc/24/96/41/821249641.db2.gz JWMRIOBALRACRD-MGPQQGTHSA-N 0 1 287.367 0.575 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCNC3=O)[C@@H]2C1 ZINC001084427855 821285730 /nfs/dbraw/zinc/28/57/30/821285730.db2.gz UWLFQBJWRVUAIH-HZSPNIEDSA-N 0 1 289.379 0.069 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@]3(C)CNC(=O)C3)[C@@H]2C1 ZINC001084541291 821309499 /nfs/dbraw/zinc/30/94/99/821309499.db2.gz JPPFAIKZBBRMFG-IOASZLSFSA-N 0 1 291.395 0.621 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3c[nH]c(=O)n3C)[C@@H]2C1 ZINC001084576837 821318341 /nfs/dbraw/zinc/31/83/41/821318341.db2.gz SNMWLTSKJYGFSS-ZYHUDNBSSA-N 0 1 276.340 0.458 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@H]3CCN(CCO)C[C@H]32)c1 ZINC001084648539 821337594 /nfs/dbraw/zinc/33/75/94/821337594.db2.gz IOORXWGWGAPWNA-UKRRQHHQSA-N 0 1 285.347 0.202 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccnnc3)[C@@H]2C1 ZINC001084681222 821350011 /nfs/dbraw/zinc/35/00/11/821350011.db2.gz YKINMGXXXMGNPK-CHWSQXEVSA-N 0 1 258.325 0.809 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C#CC2CC2)[C@H](O)C1 ZINC001099827590 821388102 /nfs/dbraw/zinc/38/81/02/821388102.db2.gz XPJYLUSXWQQZPX-UONOGXRCSA-N 0 1 262.353 0.527 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccn(C)n3)[C@@H]2C1 ZINC001084830670 821404852 /nfs/dbraw/zinc/40/48/52/821404852.db2.gz CWOLRKYKNKWVLY-DGCLKSJQSA-N 0 1 260.341 0.752 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](C)n3cncn3)C[C@@H]21 ZINC001084924791 821433456 /nfs/dbraw/zinc/43/34/56/821433456.db2.gz QNVNZJHBOLGELQ-MJBXVCDLSA-N 0 1 287.367 0.395 20 30 CCEDMN C#CCN1CC[C@]2(CC[N@H+](Cc3ccnc(NC)n3)C2)C1=O ZINC001273281647 821548215 /nfs/dbraw/zinc/54/82/15/821548215.db2.gz JYSYENQWEXMGDE-INIZCTEOSA-N 0 1 299.378 0.576 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCC[C@H]1NC(=O)C(N)=O ZINC001085316388 821658058 /nfs/dbraw/zinc/65/80/58/821658058.db2.gz FUYKURALQOXXOC-RKDXNWHRSA-N 0 1 259.737 0.241 20 30 CCEDMN CC(C)(CC#N)CNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000826703595 821669481 /nfs/dbraw/zinc/66/94/81/821669481.db2.gz JPEOHHWNHOEBNA-GFCCVEGCSA-N 0 1 265.357 0.763 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)[C@@H]1CCCN1C ZINC001085513766 821760161 /nfs/dbraw/zinc/76/01/61/821760161.db2.gz ZMBDVTQSPLTHGK-RYUDHWBXSA-N 0 1 250.346 0.137 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCOC1 ZINC001085515548 821762458 /nfs/dbraw/zinc/76/24/58/821762458.db2.gz ZWFRJTZKHLKIPZ-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C[C@@H](O)CN1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534336 821774032 /nfs/dbraw/zinc/77/40/32/821774032.db2.gz IFMMYKOYCGRMOU-PWSUYJOCSA-N 0 1 276.340 0.413 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1CN(C(C)=O)C1 ZINC001085567449 821815740 /nfs/dbraw/zinc/81/57/40/821815740.db2.gz JOVMSYGHOKYZHQ-CQSZACIVSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCn1cccc1C(=O)N(C)C[C@@H]1CCN1CCO ZINC001085640290 821866788 /nfs/dbraw/zinc/86/67/88/821866788.db2.gz IDHQLDKQRWSDOZ-ZDUSSCGKSA-N 0 1 277.368 0.813 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCN(C)C(=O)C1 ZINC001085677027 821896414 /nfs/dbraw/zinc/89/64/14/821896414.db2.gz CRKFMRRGSQZYEU-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cncn1C ZINC001085701924 821910894 /nfs/dbraw/zinc/91/08/94/821910894.db2.gz CPYOLSCOKLYFDV-LBPRGKRZSA-N 0 1 260.341 0.590 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CN(C)C(=O)N1 ZINC001085761466 821934418 /nfs/dbraw/zinc/93/44/18/821934418.db2.gz KQUNFZSJIGZRLK-RYUDHWBXSA-N 0 1 280.372 0.119 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCC(=O)N1C ZINC001085781036 821946756 /nfs/dbraw/zinc/94/67/56/821946756.db2.gz KCZQPPJKGJNBSX-CHWSQXEVSA-N 0 1 277.368 0.163 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCC(=O)N1C ZINC001085780989 821948184 /nfs/dbraw/zinc/94/81/84/821948184.db2.gz INVVKCVCNLBVHC-QWHCGFSZSA-N 0 1 279.384 0.716 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)C[C@H]2CCN2C[C@@H](C)O)c1 ZINC001085809981 821962688 /nfs/dbraw/zinc/96/26/88/821962688.db2.gz RSWYQVHKBIHATM-IUODEOHRSA-N 0 1 287.363 0.590 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCCC(=O)N1 ZINC001085814150 821967301 /nfs/dbraw/zinc/96/73/01/821967301.db2.gz QUKXOZINZKZYDX-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccnnc1 ZINC001085839355 821978751 /nfs/dbraw/zinc/97/87/51/821978751.db2.gz IKYNLSXDVOHCNJ-ZDUSSCGKSA-N 0 1 258.325 0.646 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cncc(OC)n1 ZINC001085857324 821981316 /nfs/dbraw/zinc/98/13/16/821981316.db2.gz VPZZZQOVKWTCBW-GFCCVEGCSA-N 0 1 288.351 0.655 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)C[C@@H]1CCN1CCCO ZINC001085869841 821988796 /nfs/dbraw/zinc/98/87/96/821988796.db2.gz DCJBWKZSGHWTEY-KKUMJFAQSA-N 0 1 296.411 0.883 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(C(N)=O)c[nH]1 ZINC001085892796 822002420 /nfs/dbraw/zinc/00/24/20/822002420.db2.gz IXOWQASKNRQSDX-GFCCVEGCSA-N 0 1 290.367 0.836 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccnc(C)n1 ZINC001085978629 822049932 /nfs/dbraw/zinc/04/99/32/822049932.db2.gz XHDHBNWXUHHMCD-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccnc(C)n1 ZINC001085978628 822050277 /nfs/dbraw/zinc/05/02/77/822050277.db2.gz XHDHBNWXUHHMCD-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCN1C(C)=O ZINC001085992136 822058947 /nfs/dbraw/zinc/05/89/47/822058947.db2.gz DEJWFZMLFJGOFP-HUUCEWRRSA-N 0 1 291.395 0.553 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001086187715 822147188 /nfs/dbraw/zinc/14/71/88/822147188.db2.gz MGZIRZAPZNJIOA-OCAPTIKFSA-N 0 1 251.265 0.170 20 30 CCEDMN C=C(Cl)CN1CCO[C@](C)(CNC(=O)c2nc[nH]n2)C1 ZINC001108285135 822294953 /nfs/dbraw/zinc/29/49/53/822294953.db2.gz PCJFNYJULMABPL-GFCCVEGCSA-N 0 1 299.762 0.378 20 30 CCEDMN C=C(Cl)CN1CCO[C@](C)(CNC(=O)c2ncn[nH]2)C1 ZINC001108285135 822294962 /nfs/dbraw/zinc/29/49/62/822294962.db2.gz PCJFNYJULMABPL-GFCCVEGCSA-N 0 1 299.762 0.378 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C(C)C)C[C@H]21 ZINC001114004440 837401214 /nfs/dbraw/zinc/40/12/14/837401214.db2.gz IHSALLXNQAHLCR-VIKVFOODSA-N 0 1 293.411 0.866 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1[C@H]2CN(Cc3cncn3C)C[C@H]21 ZINC001114064949 837425495 /nfs/dbraw/zinc/42/54/95/837425495.db2.gz XFKPPVDLLCXYBR-XEZLXBQYSA-N 0 1 273.340 0.126 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(CC#CC)C[C@H]21 ZINC001114263628 837493283 /nfs/dbraw/zinc/49/32/83/837493283.db2.gz YCTFZGJHNOOTPK-MQYQWHSLSA-N 0 1 260.337 0.094 20 30 CCEDMN C#CCOCCC(=O)NCCCN[C@@H](C)c1nncn1C ZINC001157846145 837494745 /nfs/dbraw/zinc/49/47/45/837494745.db2.gz MERSQXFEXURYIV-LBPRGKRZSA-N 0 1 293.371 0.012 20 30 CCEDMN CC(C)(C(N)=O)C(=O)NCCNCc1ccccc1C#N ZINC001129931261 837589145 /nfs/dbraw/zinc/58/91/45/837589145.db2.gz NPKQBGWNCPCBNC-UHFFFAOYSA-N 0 1 288.351 0.276 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)CC)C1 ZINC001130329586 837767219 /nfs/dbraw/zinc/76/72/19/837767219.db2.gz VYKYONVBYDDROD-LLVKDONJSA-N 0 1 260.341 0.858 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C)CCC2)[C@@H](O)C1 ZINC001090484631 837797527 /nfs/dbraw/zinc/79/75/27/837797527.db2.gz BSXJSMULBWQLTN-STQMWFEESA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C(F)F)C[C@H]1c1cn(C)cn1 ZINC001130470981 837804957 /nfs/dbraw/zinc/80/49/57/837804957.db2.gz JYDRMLXKUWRKCH-CMPLNLGQSA-N 0 1 296.321 0.593 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)CC)C[C@H]1c1cn(C)cn1 ZINC001130471295 837806363 /nfs/dbraw/zinc/80/63/63/837806363.db2.gz XVMOYFZSLRGWPP-GXTWGEPZSA-N 0 1 274.368 0.737 20 30 CCEDMN C[C@@H](CNc1cnc(C#N)cn1)NC(=O)CN1CCCC1 ZINC001108385119 835996691 /nfs/dbraw/zinc/99/66/91/835996691.db2.gz UXEDEQMLTUIIQE-NSHDSACASA-N 0 1 288.355 0.361 20 30 CCEDMN CC(C)(C(N)=O)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001169189422 836157943 /nfs/dbraw/zinc/15/79/43/836157943.db2.gz FTQAWMPPQIXUOH-UHFFFAOYSA-N 0 1 271.280 0.885 20 30 CCEDMN CC(=O)N1CC[C@@H](N2CCC3SC(=O)C=C3C2)C1 ZINC001169536972 836337515 /nfs/dbraw/zinc/33/75/15/836337515.db2.gz HIZRFTRZVDBJRC-VXGBXAGGSA-N 0 1 266.366 0.881 20 30 CCEDMN C=C[C@@H](CO)Nc1cccc2nc([C@@H]3CNCCO3)cn21 ZINC001169784855 836444623 /nfs/dbraw/zinc/44/46/23/836444623.db2.gz WPFUCIYBXHMILV-AAEUAGOBSA-N 0 1 288.351 0.954 20 30 CCEDMN C#CC[NH2+]C[C@H]1CCN(C(=O)CCc2nc[nH]n2)C[C@H]1C ZINC001184157612 844171130 /nfs/dbraw/zinc/17/11/30/844171130.db2.gz BZKPFQTXOFEZPY-CHWSQXEVSA-N 0 1 289.383 0.445 20 30 CCEDMN N#CCSCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCCO ZINC001108952393 836581917 /nfs/dbraw/zinc/58/19/17/836581917.db2.gz YGLVYZIGZIWPAE-WOPDTQHZSA-N 0 1 283.397 0.347 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN(C)C(C)=O)C2 ZINC001108956274 836583249 /nfs/dbraw/zinc/58/32/49/836583249.db2.gz LGWSIBNJKFMDSM-AGIUHOORSA-N 0 1 265.357 0.372 20 30 CCEDMN C[C@H](CN(C)c1ccncc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001109255083 836648724 /nfs/dbraw/zinc/64/87/24/836648724.db2.gz LLLCQISJCNPLDQ-LLVKDONJSA-N 0 1 298.350 0.860 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)NC(=O)COC)C2 ZINC001109324954 836656279 /nfs/dbraw/zinc/65/62/79/836656279.db2.gz QJHSDCAMPCRMOM-RNJOBUHISA-N 0 1 295.383 0.045 20 30 CCEDMN C[C@@H](CN(C)c1ncccc1C#N)NC(=O)c1cnn[nH]1 ZINC001109306878 836656484 /nfs/dbraw/zinc/65/64/84/836656484.db2.gz QWLDVACHFIZNFK-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCCNc2nccnc2C#N)[nH]1 ZINC001109395112 836670880 /nfs/dbraw/zinc/67/08/80/836670880.db2.gz XRWZNRSALANOOZ-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN CN(CCCNc1ccc(C#N)nn1)C(=O)Cc1ccn[nH]1 ZINC001109399105 836672165 /nfs/dbraw/zinc/67/21/65/836672165.db2.gz XTHLDAANHOLHJD-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCCNc1ccc(C#N)cn1 ZINC001109574888 836698344 /nfs/dbraw/zinc/69/83/44/836698344.db2.gz WFBSHVIFRUEVAM-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](COC)OC)C2 ZINC001109696094 836716999 /nfs/dbraw/zinc/71/69/99/836716999.db2.gz QBUVCXUMCHRVOO-VOAKCMCISA-N 0 1 268.357 0.555 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC(=O)NCCC)C2 ZINC001109994813 836758034 /nfs/dbraw/zinc/75/80/34/836758034.db2.gz CEMKMRFMYNVQEC-RDBSUJKOSA-N 0 1 291.395 0.648 20 30 CCEDMN CCOC(=O)c1cnc(NC[C@H]2COCCN2)c(C#N)c1 ZINC001170043656 836776120 /nfs/dbraw/zinc/77/61/20/836776120.db2.gz JLQGZRNMOYUCNG-LBPRGKRZSA-N 0 1 290.323 0.530 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CNC(=O)C2CC2)CC1 ZINC001112648305 836843765 /nfs/dbraw/zinc/84/37/65/836843765.db2.gz IRUBFRIUZWNJQT-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC001112683917 836859250 /nfs/dbraw/zinc/85/92/50/836859250.db2.gz VCIMKKHROGQLGO-CHWSQXEVSA-N 0 1 280.368 0.906 20 30 CCEDMN C=CCOCC(=O)N1CCN([C@H](C)c2n[nH]c(C)n2)CC1 ZINC001112708374 836875851 /nfs/dbraw/zinc/87/58/51/836875851.db2.gz CNYCDLLWQSYXQX-LLVKDONJSA-N 0 1 293.371 0.521 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@@H](CC)OC)CC1 ZINC001112814963 836924762 /nfs/dbraw/zinc/92/47/62/836924762.db2.gz ZOBHCSVFYOMDRJ-HIFRSBDPSA-N 0 1 284.400 0.883 20 30 CCEDMN Cc1nc([C@@H](C)N2CCN(C(=O)C#CC(C)C)CC2)n[nH]1 ZINC001112832985 836932308 /nfs/dbraw/zinc/93/23/08/836932308.db2.gz BBCUMOXMSWKTJU-GFCCVEGCSA-N 0 1 289.383 0.978 20 30 CCEDMN C=CCCN1CCN(C(=O)CCNC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001112911467 836960313 /nfs/dbraw/zinc/96/03/13/836960313.db2.gz UFBCHPUICHAKFP-ZIAGYGMSSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCCN1CCN(C(=O)CCNC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001112911464 836960751 /nfs/dbraw/zinc/96/07/51/836960751.db2.gz UFBCHPUICHAKFP-KBPBESRZSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCCCN1CCN(C(=O)CN(C)C(=O)COC)CC1 ZINC001112917666 836966776 /nfs/dbraw/zinc/96/67/76/836966776.db2.gz PRGDRHGWWZFTIW-UHFFFAOYSA-N 0 1 297.399 0.202 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)COC ZINC001157383453 836973464 /nfs/dbraw/zinc/97/34/64/836973464.db2.gz HZAMNXJFTGZQHN-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CCCCN1CCN(C(=O)C[C@H]2CCNC2=O)CC1 ZINC001112960734 836979029 /nfs/dbraw/zinc/97/90/29/836979029.db2.gz PSXXSRXGTIBNBC-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cn[nH]n2)CC1 ZINC001113062107 837014596 /nfs/dbraw/zinc/01/45/96/837014596.db2.gz IUDKIPVHUOQBPI-LLVKDONJSA-N 0 1 263.345 0.917 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@@H](C)Nc1ccc(C#N)nn1 ZINC001113114569 837028066 /nfs/dbraw/zinc/02/80/66/837028066.db2.gz JVZZDGZUVWWRIY-HTQZYQBOSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@@H](C)Nc1ccc(C#N)nn1 ZINC001113114569 837028073 /nfs/dbraw/zinc/02/80/73/837028073.db2.gz JVZZDGZUVWWRIY-HTQZYQBOSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](C)CC(N)=O)CC1 ZINC001113194552 837050476 /nfs/dbraw/zinc/05/04/76/837050476.db2.gz ZIPGSLMSLVVODC-LLVKDONJSA-N 0 1 253.346 0.218 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C(C)C)cn2)[C@H](O)C1 ZINC001090451011 837106317 /nfs/dbraw/zinc/10/63/17/837106317.db2.gz DNJQMDFPJFODBF-TZMCWYRMSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CCCN1CCN(C(=O)C(C)(C)NC(C)=O)CC1 ZINC001113436548 837115953 /nfs/dbraw/zinc/11/59/53/837115953.db2.gz OEXVMTOYSPWJGG-UHFFFAOYSA-N 0 1 267.373 0.621 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C=C(C)C ZINC001113813408 837252753 /nfs/dbraw/zinc/25/27/53/837252753.db2.gz SQBUZEBNAASRMW-CXTNEJHOSA-N 0 1 289.379 0.137 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CN1CCCCC1=O ZINC001130759287 837921493 /nfs/dbraw/zinc/92/14/93/837921493.db2.gz KWLBFSGTONXMDK-UHFFFAOYSA-N 0 1 273.764 0.457 20 30 CCEDMN C#CCN1CCOC[C@H]1c1nc(CNC(=O)C(C)C)n[nH]1 ZINC001130828521 837935684 /nfs/dbraw/zinc/93/56/84/837935684.db2.gz KCZFGTACFGJPGR-NSHDSACASA-N 0 1 291.355 0.083 20 30 CCEDMN C#CCN1CCOC[C@H]1c1nnc(CNC(=O)C(C)C)[nH]1 ZINC001130828521 837935687 /nfs/dbraw/zinc/93/56/87/837935687.db2.gz KCZFGTACFGJPGR-NSHDSACASA-N 0 1 291.355 0.083 20 30 CCEDMN Cc1cnc(CN)n1-c1ncc2c(C#N)c[nH]c2n1 ZINC001158166007 837956085 /nfs/dbraw/zinc/95/60/85/837956085.db2.gz DRVRKELVWLQVMC-UHFFFAOYSA-N 0 1 253.269 0.782 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC001131014440 837969599 /nfs/dbraw/zinc/96/95/99/837969599.db2.gz WWKPTDCSTIGRAG-GFCCVEGCSA-N 0 1 287.791 0.703 20 30 CCEDMN C#CCN1C[C@@H](F)C[C@@H]1c1nc(CNC(=O)CCC)n[nH]1 ZINC001131138862 838000977 /nfs/dbraw/zinc/00/09/77/838000977.db2.gz YUBXCZSSZRDILD-WDEREUQCSA-N 0 1 293.346 0.939 20 30 CCEDMN C#CCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(=O)CCC)[nH]1 ZINC001131138862 838000986 /nfs/dbraw/zinc/00/09/86/838000986.db2.gz YUBXCZSSZRDILD-WDEREUQCSA-N 0 1 293.346 0.939 20 30 CCEDMN CC#CCN1CC[C@@]2(C1)CN(C(=O)CCOC)C[C@H](C)O2 ZINC001131616288 838156830 /nfs/dbraw/zinc/15/68/30/838156830.db2.gz LDUROQKJHIQHET-GOEBONIOSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)COCC)C[C@@H](C)O2 ZINC001131640046 838163632 /nfs/dbraw/zinc/16/36/32/838163632.db2.gz XUCNDHMWSSXGLQ-HIFRSBDPSA-N 0 1 280.368 0.348 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CNC(=O)OC)CC[C@@H]1C ZINC001131843779 838240059 /nfs/dbraw/zinc/24/00/59/838240059.db2.gz NJKWGZLWJOCIAT-NWDGAFQWSA-N 0 1 281.356 0.335 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@H]1CCCS1(=O)=O ZINC001131869744 838251049 /nfs/dbraw/zinc/25/10/49/838251049.db2.gz IHOLYLXYKOVPEU-SNVBAGLBSA-N 0 1 294.804 0.412 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC(=O)NCC)CC[C@H]1C ZINC001131980374 838281927 /nfs/dbraw/zinc/28/19/27/838281927.db2.gz MAOVRVOKIXXUJS-NEPJUHHUSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2c[nH]cn2)CC[C@H]1C ZINC001132086551 838319293 /nfs/dbraw/zinc/31/92/93/838319293.db2.gz HJTCAORTOMWKPL-OCCSQVGLSA-N 0 1 274.368 0.945 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2n[nH]c(C)n2)cc1 ZINC001132223359 838340291 /nfs/dbraw/zinc/34/02/91/838340291.db2.gz WANHIACGLRNEPB-UHFFFAOYSA-N 0 1 283.335 0.614 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2cncn2C)CC[C@@H]1C ZINC001132458468 838403872 /nfs/dbraw/zinc/40/38/72/838403872.db2.gz UTBAIJYPNRBCDC-KBPBESRZSA-N 0 1 288.395 0.955 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCC(=O)N1C ZINC001132520110 838420064 /nfs/dbraw/zinc/42/00/64/838420064.db2.gz ZPZCECNVKYEVKU-VIFPVBQESA-N 0 1 259.737 0.066 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](C)[C@@H](CNCC#N)C2)[nH]1 ZINC001132705659 838472389 /nfs/dbraw/zinc/47/23/89/838472389.db2.gz PXAULFWHNXANRG-PWSUYJOCSA-N 0 1 275.356 0.930 20 30 CCEDMN C[C@H]1CCN(C(=O)Cc2ccn[nH]2)C[C@@H]1CNCC#N ZINC001132721187 838478136 /nfs/dbraw/zinc/47/81/36/838478136.db2.gz GRCREFKBDGTSFO-RYUDHWBXSA-N 0 1 275.356 0.550 20 30 CCEDMN C[C@@H]1CCN(C(=O)CCc2nc[nH]n2)C[C@@H]1CNCC#N ZINC001132754304 838486821 /nfs/dbraw/zinc/48/68/21/838486821.db2.gz DWGJGYFHLRPERB-NEPJUHHUSA-N 0 1 290.371 0.335 20 30 CCEDMN NC(=O)C1(C(=O)NCCNCC#Cc2ccccc2)CC1 ZINC001133187893 838568426 /nfs/dbraw/zinc/56/84/26/838568426.db2.gz KXGUDKJDPPTFJZ-UHFFFAOYSA-N 0 1 285.347 0.009 20 30 CCEDMN C[C@@]1(C(=O)NCCNCC#Cc2ccccc2)CCC(=O)N1 ZINC001133467416 838642968 /nfs/dbraw/zinc/64/29/68/838642968.db2.gz HTFIGYNCNBTAQM-KRWDZBQOSA-N 0 1 299.374 0.413 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@H](C)NCc1cn(C)nn1 ZINC001133910015 838774549 /nfs/dbraw/zinc/77/45/49/838774549.db2.gz UUDICTSNFZIJRV-NWDGAFQWSA-N 0 1 295.387 0.391 20 30 CCEDMN Cc1ccn(CC(=O)NCCNCC#Cc2ccccc2)n1 ZINC001134002147 838809107 /nfs/dbraw/zinc/80/91/07/838809107.db2.gz LGVUTWGMAVLSGE-UHFFFAOYSA-N 0 1 296.374 0.949 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1cncs1 ZINC001134052871 838845324 /nfs/dbraw/zinc/84/53/24/838845324.db2.gz FJLAVLYOQDEVFI-NEPJUHHUSA-N 0 1 281.381 0.940 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C[C@@H](C)NCc1ncnn1C ZINC001134340623 838945351 /nfs/dbraw/zinc/94/53/51/838945351.db2.gz GYOMYAFTOAZZKN-CHWSQXEVSA-N 0 1 291.399 0.847 20 30 CCEDMN CN1CC(C(=O)NCc2nc(C#N)cs2)=NC1=O ZINC001134478583 838989798 /nfs/dbraw/zinc/98/97/98/838989798.db2.gz NRYSDYSEJCQYDF-UHFFFAOYSA-N 0 1 263.282 0.384 20 30 CCEDMN C=CCCc1ccc(C(=O)NCc2c[nH]nn2)c(=O)[nH]1 ZINC001185362531 844402573 /nfs/dbraw/zinc/40/25/73/844402573.db2.gz GIKQTYDAPQUVTP-UHFFFAOYSA-N 0 1 273.296 0.954 20 30 CCEDMN O=C(NCCNCC#Cc1ccc(F)cc1)c1cnon1 ZINC001135573807 839301957 /nfs/dbraw/zinc/30/19/57/839301957.db2.gz UOPFDSRYQBVEQX-UHFFFAOYSA-N 0 1 288.282 0.580 20 30 CCEDMN CC[C@](N)(CO)Nc1ccc([N+](=O)[O-])c(C#N)n1 ZINC001170854542 839422758 /nfs/dbraw/zinc/42/27/58/839422758.db2.gz FLYIFTBDCCPMSW-SNVBAGLBSA-N 0 1 251.246 0.331 20 30 CCEDMN CC[C@](N)(CO)Nc1ncc([N+](=O)[O-])cc1C#N ZINC001159743679 839563871 /nfs/dbraw/zinc/56/38/71/839563871.db2.gz KCILMQPKRYGKIH-SNVBAGLBSA-N 0 1 251.246 0.331 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)[nH]c2C)[C@H](O)C1 ZINC001090592732 839663550 /nfs/dbraw/zinc/66/35/50/839663550.db2.gz HKHCTJXIUJIHQJ-ZIAGYGMSSA-N 0 1 277.368 0.983 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(COC)on2)[C@@H](O)C1 ZINC001090629290 839687952 /nfs/dbraw/zinc/68/79/52/839687952.db2.gz RTMAUZPXPLWMAM-AAEUAGOBSA-N 0 1 295.339 0.172 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccncn2)[C@H](O)C1 ZINC001090662804 839715479 /nfs/dbraw/zinc/71/54/79/839715479.db2.gz IIPRYXMWOBULOR-WCQYABFASA-N 0 1 276.340 0.218 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncccc2F)[C@@H](O)C1 ZINC001090677015 839729183 /nfs/dbraw/zinc/72/91/83/839729183.db2.gz XISOOMWHNDBQRU-NEPJUHHUSA-N 0 1 279.315 0.572 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CN(C)CCN1C ZINC001136761839 839751228 /nfs/dbraw/zinc/75/12/28/839751228.db2.gz YCVJCEXUAROLPF-IHRRRGAJSA-N 0 1 281.400 0.330 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(CC)on2)[C@H](O)C1 ZINC001090730464 839759926 /nfs/dbraw/zinc/75/99/26/839759926.db2.gz LSUTUGNNTOJEHO-TZMCWYRMSA-N 0 1 293.367 0.978 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]cnc2CC)[C@H](O)C1 ZINC001090767314 839787953 /nfs/dbraw/zinc/78/79/53/839787953.db2.gz IOHMLMOWGVODAL-QWHCGFSZSA-N 0 1 290.367 0.160 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2csc(C)n2)[C@@H](O)C1 ZINC001090790602 839812596 /nfs/dbraw/zinc/81/25/96/839812596.db2.gz MYZLOIFLMWYYLE-OLZOCXBDSA-N 0 1 295.408 0.731 20 30 CCEDMN C#CC(=O)N(Cc1noc2c1CNCC2)c1ncccn1 ZINC001143907102 839890047 /nfs/dbraw/zinc/89/00/47/839890047.db2.gz LAXFHCIDACRHSP-UHFFFAOYSA-N 0 1 283.291 0.277 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CCN(CC#CC)C[C@H]2O)CCC1 ZINC001099876254 840275968 /nfs/dbraw/zinc/27/59/68/840275968.db2.gz ADBQTSZBGRQTNL-HUUCEWRRSA-N 0 1 288.391 0.755 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c[nH]c(C)cc1=O ZINC001146527896 840317645 /nfs/dbraw/zinc/31/76/45/840317645.db2.gz HXKAPXRQKCGESC-UHFFFAOYSA-N 0 1 269.732 0.755 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@]2(C)C1 ZINC001091615777 840492825 /nfs/dbraw/zinc/49/28/25/840492825.db2.gz DUMWJVWAEYZVEA-WBMJQRKESA-N 0 1 285.347 0.814 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)c3ncccc3[O-])C[C@]2(C)C1 ZINC001091615777 840492829 /nfs/dbraw/zinc/49/28/29/840492829.db2.gz DUMWJVWAEYZVEA-WBMJQRKESA-N 0 1 285.347 0.814 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](CCSC)c1nn[nH]n1 ZINC001148261359 840772177 /nfs/dbraw/zinc/77/21/77/840772177.db2.gz RVHDNPVUPCJSOX-KWQFWETISA-N 0 1 285.373 0.437 20 30 CCEDMN C=C[C@H](C(=O)NCc1n[nH]c(CO)n1)c1ccccc1 ZINC001148340523 840786494 /nfs/dbraw/zinc/78/64/94/840786494.db2.gz UPHQQJTYOQQRFB-NSHDSACASA-N 0 1 272.308 0.883 20 30 CCEDMN C=C[C@H](C(=O)NCc1nnc(CO)[nH]1)c1ccccc1 ZINC001148340523 840786499 /nfs/dbraw/zinc/78/64/99/840786499.db2.gz UPHQQJTYOQQRFB-NSHDSACASA-N 0 1 272.308 0.883 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001148363127 840793371 /nfs/dbraw/zinc/79/33/71/840793371.db2.gz AYQQCKRBGPUVTM-LBPRGKRZSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)OCC=C)C2)C1 ZINC001148874246 840892845 /nfs/dbraw/zinc/89/28/45/840892845.db2.gz OEAHXBREZASZDI-ZDUSSCGKSA-N 0 1 262.353 0.745 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CCOC)C1 ZINC001148968917 840915630 /nfs/dbraw/zinc/91/56/30/840915630.db2.gz GYXOUVREONDLHZ-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN COC(O)=c1cc2c(o1)=CNC(N=C1C=CC=C1)=C2 ZINC001171359606 840946469 /nfs/dbraw/zinc/94/64/69/840946469.db2.gz USTOGAMIMLEHPB-UHFFFAOYSA-N 0 1 255.253 0.629 20 30 CCEDMN C[C@@]12CN(CC#N)C[C@@H]1CN(C(=O)CN1CCCC1)C2 ZINC001092275535 841005845 /nfs/dbraw/zinc/00/58/45/841005845.db2.gz HPZFQGRYNWDKJH-HIFRSBDPSA-N 0 1 276.384 0.386 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])[C@H](C)C1 ZINC001092549050 841039924 /nfs/dbraw/zinc/03/99/24/841039924.db2.gz ZEBFKHVOHQIBAR-ZYHUDNBSSA-N 0 1 290.367 0.745 20 30 CCEDMN C=CCN(C)CCCN(C)C(=O)CCS(C)(=O)=O ZINC001273529159 844606530 /nfs/dbraw/zinc/60/65/30/844606530.db2.gz DQQAMWYLVVXAHN-UHFFFAOYSA-N 0 1 276.402 0.387 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCOC(C)C)[C@@H]2C1 ZINC001186892622 844612355 /nfs/dbraw/zinc/61/23/55/844612355.db2.gz IDNNMRDAAZLAAH-UONOGXRCSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CC[C@@H](C)OC)[C@@H]2C1 ZINC001187613034 844739289 /nfs/dbraw/zinc/73/92/89/844739289.db2.gz AMJWOEYDQCMIQK-HZSPNIEDSA-N 0 1 264.369 0.967 20 30 CCEDMN Cc1ccc(C#N)c(NCCNC(=O)[C@H]2CCCN2C)n1 ZINC001093531093 841311863 /nfs/dbraw/zinc/31/18/63/841311863.db2.gz DWSQRCSANDLPFG-CYBMUJFWSA-N 0 1 287.367 0.884 20 30 CCEDMN Cc1nsc(NCCNC(=O)c2cnn[nH]2)c1C#N ZINC001094256878 841590312 /nfs/dbraw/zinc/59/03/12/841590312.db2.gz QVZUGSPRAWUJQK-UHFFFAOYSA-N 0 1 277.313 0.283 20 30 CCEDMN CNC(=O)CN[C@H]1CCN(C(=O)OC(C)(C)C)[C@H](C#N)C1 ZINC001172972390 841990511 /nfs/dbraw/zinc/99/05/11/841990511.db2.gz XXQBLRZXNDKAPW-QWRGUYRKSA-N 0 1 296.371 0.614 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN1CCCC1=O)C2 ZINC001095162688 842081037 /nfs/dbraw/zinc/08/10/37/842081037.db2.gz FUJRZTOFQZWMOM-RDBSUJKOSA-N 0 1 289.379 0.354 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001177176745 842515824 /nfs/dbraw/zinc/51/58/24/842515824.db2.gz PUBJPCVJMCFCHT-VHSXEESVSA-N 0 1 260.297 0.266 20 30 CCEDMN CCc1ccc(C#CC(=O)N[C@H](COC)c2nn[nH]n2)cc1 ZINC001177828698 842674103 /nfs/dbraw/zinc/67/41/03/842674103.db2.gz PPMGEHHMEZYWER-CYBMUJFWSA-N 0 1 299.334 0.618 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NCCn1ccnn1 ZINC001177905778 842706157 /nfs/dbraw/zinc/70/61/57/842706157.db2.gz ZMVSMVGDGFWNGN-JTQLQIEISA-N 0 1 275.337 0.838 20 30 CCEDMN CCC(CO)(CO)NC(=O)C(C#N)Cc1cccs1 ZINC001177915559 842707531 /nfs/dbraw/zinc/70/75/31/842707531.db2.gz ORHSOGGWYONOEZ-SNVBAGLBSA-N 0 1 282.365 0.680 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc3c[nH]nc3c2)CC1 ZINC001178207493 842789135 /nfs/dbraw/zinc/78/91/35/842789135.db2.gz IVAFIBAVOSQLGM-UHFFFAOYSA-N 0 1 283.335 0.785 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](Cc1cnc[nH]1)C(=O)OC ZINC001179028838 842960057 /nfs/dbraw/zinc/96/00/57/842960057.db2.gz DYTYKZGXSXPZHY-SNVBAGLBSA-N 0 1 265.313 0.822 20 30 CCEDMN CC(C)N1CCN(CC(=O)Nc2c[nH]nc2C#N)CC1 ZINC001180342809 843147190 /nfs/dbraw/zinc/14/71/90/843147190.db2.gz HVPSXBWKSSCPFZ-UHFFFAOYSA-N 0 1 276.344 0.246 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)COCC)CC1 ZINC001150924518 843158477 /nfs/dbraw/zinc/15/84/77/843158477.db2.gz ISIUPWSGYCDIOG-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)CCc1nc[nH]n1 ZINC000151828778 843585327 /nfs/dbraw/zinc/58/53/27/843585327.db2.gz MUORSHKAILZVSY-UHFFFAOYSA-N 0 1 266.301 0.315 20 30 CCEDMN N#Cc1cccc(CCC(=O)N[C@@H](CO)Cc2cnc[nH]2)c1 ZINC001182341498 843774578 /nfs/dbraw/zinc/77/45/78/843774578.db2.gz OZGLYQOHPSEVME-OAHLLOKOSA-N 0 1 298.346 0.934 20 30 CCEDMN CCn1nncc1CN[C@@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001182388869 843800452 /nfs/dbraw/zinc/80/04/52/843800452.db2.gz MNDZZMMRDUGPLM-CYBMUJFWSA-N 0 1 289.383 0.648 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@H](NCc2ccn(C)n2)C1 ZINC001182496495 843845293 /nfs/dbraw/zinc/84/52/93/843845293.db2.gz MCJHUAVLFMUAAM-CQSZACIVSA-N 0 1 290.367 0.151 20 30 CCEDMN COCC#CC(=O)Nc1ccc(N2CCN(C)CC2)cn1 ZINC001187122042 844653757 /nfs/dbraw/zinc/65/37/57/844653757.db2.gz MYBGFIHUXCTQQZ-UHFFFAOYSA-N 0 1 288.351 0.422 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)CC[C@@H](C)OC)[C@@H]2C1 ZINC001187613034 844739285 /nfs/dbraw/zinc/73/92/85/844739285.db2.gz AMJWOEYDQCMIQK-HZSPNIEDSA-N 0 1 264.369 0.967 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)c1n[nH]cc1Cl ZINC001187846083 844780562 /nfs/dbraw/zinc/78/05/62/844780562.db2.gz PZPKWHKJKAMLPJ-UHFFFAOYSA-N 0 1 257.677 0.912 20 30 CCEDMN COC(=O)CCS(=O)(=O)Nc1cc(C#N)ccn1 ZINC001188343758 844870047 /nfs/dbraw/zinc/87/00/47/844870047.db2.gz NNCYNBBBCLSWED-UHFFFAOYSA-N 0 1 269.282 0.258 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001188959249 845007510 /nfs/dbraw/zinc/00/75/10/845007510.db2.gz POMKVVRAJFPJCE-NSHDSACASA-N 0 1 251.355 0.409 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cnns2)C1 ZINC001189068416 845033010 /nfs/dbraw/zinc/03/30/10/845033010.db2.gz SAUYYALXMRDIGU-LLVKDONJSA-N 0 1 294.380 0.334 20 30 CCEDMN CCO[C@@H](CC)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189196011 845073838 /nfs/dbraw/zinc/07/38/38/845073838.db2.gz GGAFNZGZFWLMSE-CABCVRRESA-N 0 1 296.411 0.984 20 30 CCEDMN CC(C)(C)c1cc(C(=O)N(O)C(=N)c2nonc2N)[nH]n1 ZINC001189239939 845085427 /nfs/dbraw/zinc/08/54/27/845085427.db2.gz JLBHMISPVZXXEW-UHFFFAOYSA-N 0 1 293.287 0.529 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)[C@H](CC(C)C)NC(C)=O ZINC001273577893 845097986 /nfs/dbraw/zinc/09/79/86/845097986.db2.gz HZTYUOFHHTYTCU-HNNXBMFYSA-N 0 1 295.427 0.951 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCOC2)C1 ZINC001189302271 845100064 /nfs/dbraw/zinc/10/00/64/845100064.db2.gz WFSUSQNQRXGQLH-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(=O)NC)C1 ZINC001189318783 845107052 /nfs/dbraw/zinc/10/70/52/845107052.db2.gz PFFLOWFFYXVYNI-VXGBXAGGSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@H]2CCC(=O)NC2=O)C1 ZINC001189317957 845107978 /nfs/dbraw/zinc/10/79/78/845107978.db2.gz GOIRTDGPVMEPKG-RYUDHWBXSA-N 0 1 293.367 0.291 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N(C)[C@H](C)C(=O)N(C)C)C1 ZINC001189363369 845127719 /nfs/dbraw/zinc/12/77/19/845127719.db2.gz MSNZFHFWMVMYBP-CHWSQXEVSA-N 0 1 297.399 0.198 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COCCOC)C1 ZINC001189473184 845138657 /nfs/dbraw/zinc/13/86/57/845138657.db2.gz ZPPALUNWGYGLEO-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2nccnc2N)C1 ZINC001189438161 845139317 /nfs/dbraw/zinc/13/93/17/845139317.db2.gz OOERRHYJPHMQSO-LLVKDONJSA-N 0 1 273.340 0.228 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CC(=O)NCC3CC3)[C@@H]2C1 ZINC001189724092 845191917 /nfs/dbraw/zinc/19/19/17/845191917.db2.gz YSMJWAWFDVKOKN-UONOGXRCSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CO[C@H](C)CC)C1 ZINC001189716264 845197173 /nfs/dbraw/zinc/19/71/73/845197173.db2.gz IAFDTBWEWBJFMJ-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCCC(=O)NC)C1 ZINC001189770522 845203646 /nfs/dbraw/zinc/20/36/46/845203646.db2.gz VHTQXGXLWTVKNU-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CC[C@@H](N(C)C[C@@H](F)CC)C1 ZINC001189760207 845212144 /nfs/dbraw/zinc/21/21/44/845212144.db2.gz ITSANLBRRVSXMM-QWHCGFSZSA-N 0 1 297.374 0.407 20 30 CCEDMN COc1ccc(C(=NO)NC(=O)c2nnc(C)[nH]2)nc1 ZINC001189870087 845237734 /nfs/dbraw/zinc/23/77/34/845237734.db2.gz CCQRDRDOKDGNEH-UHFFFAOYSA-N 0 1 276.256 0.083 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCC(=O)NCCC)[C@@H]2C1 ZINC001189842964 845254417 /nfs/dbraw/zinc/25/44/17/845254417.db2.gz FPNYICAZJQIRBC-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@@H]2CCN(C)C2=O)C1 ZINC001189926727 845273064 /nfs/dbraw/zinc/27/30/64/845273064.db2.gz RCKANJBPGNXSRP-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2n[nH]cc2C)C1 ZINC001190024002 845311570 /nfs/dbraw/zinc/31/15/70/845311570.db2.gz HHBDBVSXJMVRMX-CYBMUJFWSA-N 0 1 290.367 0.514 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2n[nH]cc2C)C1 ZINC001190024283 845312944 /nfs/dbraw/zinc/31/29/44/845312944.db2.gz JESWDXHAOQQGEW-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2n[nH]cc2C)C1 ZINC001190024281 845312979 /nfs/dbraw/zinc/31/29/79/845312979.db2.gz JESWDXHAOQQGEW-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCNC(=O)C2CC2)C1 ZINC001190493270 845420730 /nfs/dbraw/zinc/42/07/30/845420730.db2.gz WZKIUOFPUHEALU-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc3n(n2)CCO3)C1 ZINC001190685645 845464124 /nfs/dbraw/zinc/46/41/24/845464124.db2.gz XAVHSXHHBNAEDK-GFCCVEGCSA-N 0 1 288.351 0.445 20 30 CCEDMN O=S(=O)(NCC#Cc1ccccc1)c1ncc[nH]1 ZINC001190749309 845489148 /nfs/dbraw/zinc/48/91/48/845489148.db2.gz YFTZRTACISIPCN-UHFFFAOYSA-N 0 1 261.306 0.740 20 30 CCEDMN CC(C)CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)CSCC#N)C1 ZINC001191161483 845598565 /nfs/dbraw/zinc/59/85/65/845598565.db2.gz DFYFAURZFQDBDH-CHWSQXEVSA-N 0 1 299.440 0.841 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cncn2C)C1 ZINC001191170137 845600725 /nfs/dbraw/zinc/60/07/25/845600725.db2.gz KIJDOGCMORXTQS-CYBMUJFWSA-N 0 1 290.367 0.216 20 30 CCEDMN CC(C)(O)C#Cc1ccc(C(=O)NCc2c[nH]nn2)cc1 ZINC001191188481 845613125 /nfs/dbraw/zinc/61/31/25/845613125.db2.gz DRGIDALJSJRJGW-UHFFFAOYSA-N 0 1 284.319 0.857 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccnc3n[nH]nc32)C1 ZINC001191267362 845620740 /nfs/dbraw/zinc/62/07/40/845620740.db2.gz RWAGSWLFHYJYKT-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1n[nH]cc1-c1ccccn1 ZINC001191485364 845660471 /nfs/dbraw/zinc/66/04/71/845660471.db2.gz CUDDRXNWUGVDPC-JTQLQIEISA-N 0 1 282.307 0.409 20 30 CCEDMN CN1C(=O)C[C@@]2(CCCN(Cc3cncc(C#N)c3)C2)C1=O ZINC001273620469 845692702 /nfs/dbraw/zinc/69/27/02/845692702.db2.gz WOMBGUKKBCODEA-MRXNPFEDSA-N 0 1 298.346 0.924 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1C[N@@H+](CC2CC(C)C2)C[C@H]1O ZINC001191777436 845725798 /nfs/dbraw/zinc/72/57/98/845725798.db2.gz LOCUJWPSENWETA-NWINJMCUSA-N 0 1 282.384 0.396 20 30 CCEDMN CC[C@@H](F)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001191753376 845731228 /nfs/dbraw/zinc/73/12/28/845731228.db2.gz BMQGHTXXLWHEHP-CHWSQXEVSA-N 0 1 270.348 0.917 20 30 CCEDMN CC[C@@H](F)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001191753378 845731410 /nfs/dbraw/zinc/73/14/10/845731410.db2.gz BMQGHTXXLWHEHP-QWHCGFSZSA-N 0 1 270.348 0.917 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CSC)C1 ZINC001191815412 845733820 /nfs/dbraw/zinc/73/38/20/845733820.db2.gz WJUACBZYFJRAIF-QJPTWQEYSA-N 0 1 270.398 0.170 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@H](N(C)[C@H]2CCNC2=O)C1 ZINC001191839334 845747935 /nfs/dbraw/zinc/74/79/35/845747935.db2.gz QEWUEOQCGSPIAH-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCCCC(=O)N1CC[C@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001192092633 845782680 /nfs/dbraw/zinc/78/26/80/845782680.db2.gz BFZJOUJIMQROLO-NSHDSACASA-N 0 1 293.371 0.899 20 30 CCEDMN C=CCCCC(=O)N1CC[C@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001192092633 845782687 /nfs/dbraw/zinc/78/26/87/845782687.db2.gz BFZJOUJIMQROLO-NSHDSACASA-N 0 1 293.371 0.899 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001192366741 845829762 /nfs/dbraw/zinc/82/97/62/845829762.db2.gz DBKQREBZLDYTKF-RDBSUJKOSA-N 0 1 291.395 0.444 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001192445806 845854083 /nfs/dbraw/zinc/85/40/83/845854083.db2.gz RWNNYKSCPXXGDP-RBSFLKMASA-N 0 1 294.395 0.736 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ccnnc2)C1 ZINC001192514395 845860822 /nfs/dbraw/zinc/86/08/22/845860822.db2.gz YXVOCFIXPIWNQG-AWEZNQCLSA-N 0 1 288.351 0.273 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC2(OC)CCC2)C1 ZINC001192555243 845870021 /nfs/dbraw/zinc/87/00/21/845870021.db2.gz HUEQBLYHHVVVFY-CHWSQXEVSA-N 0 1 280.368 0.130 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cn2nccc2C)C1 ZINC001192596548 845880548 /nfs/dbraw/zinc/88/05/48/845880548.db2.gz NGQKOWMQFKGWNP-CQSZACIVSA-N 0 1 274.368 0.748 20 30 CCEDMN COCCCCN1C[C@@H](O)[C@H](NC(=O)C#CC(C)C)C1 ZINC001192805520 845912734 /nfs/dbraw/zinc/91/27/34/845912734.db2.gz ZOTRSTTWPXAQRM-ZIAGYGMSSA-N 0 1 282.384 0.234 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CN(C[C@@H]2CCCCO2)C[C@H]1O ZINC001192828226 845918590 /nfs/dbraw/zinc/91/85/90/845918590.db2.gz ZRLQJGNCGGZJRO-RRFJBIMHSA-N 0 1 292.379 0.130 20 30 CCEDMN N#Cc1ccncc1C(=O)Nc1cn[nH]c1C(N)=O ZINC001192962726 845938339 /nfs/dbraw/zinc/93/83/39/845938339.db2.gz SKUKOQDVIVSZHC-UHFFFAOYSA-N 0 1 256.225 0.028 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc(C(=O)CCCO)cc1 ZINC001192986259 845945554 /nfs/dbraw/zinc/94/55/54/845945554.db2.gz VLARHLAKGDMJNO-UHFFFAOYSA-N 0 1 282.321 0.907 20 30 CCEDMN CN1CCc2cc(NS(=O)(=O)CC#N)ccc2C1=O ZINC001192985796 845945568 /nfs/dbraw/zinc/94/55/68/845945568.db2.gz OAFKMGZPJUZGGL-UHFFFAOYSA-N 0 1 279.321 0.580 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ncccn2)C1 ZINC001192989501 845947789 /nfs/dbraw/zinc/94/77/89/845947789.db2.gz XBGQJVFZFGINLJ-ZDUSSCGKSA-N 0 1 288.351 0.273 20 30 CCEDMN CN(C)c1cc(NC(=O)c2cnccc2C#N)n[nH]1 ZINC001192967972 845957543 /nfs/dbraw/zinc/95/75/43/845957543.db2.gz NXXIZXQQHVHZSZ-UHFFFAOYSA-N 0 1 256.269 0.995 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc2c(c1)CNC2=O ZINC001192978822 845961163 /nfs/dbraw/zinc/96/11/63/845961163.db2.gz IFOHSHMSJXUBIX-UHFFFAOYSA-N 0 1 251.267 0.195 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)[N-]c1cccn2cc[nH+]c12 ZINC001193104990 845978438 /nfs/dbraw/zinc/97/84/38/845978438.db2.gz PVOANEWWCAGHJI-MRVPVSSYSA-N 0 1 250.283 0.988 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cccc2c1CNC(=O)N2C ZINC001193157668 846008601 /nfs/dbraw/zinc/00/86/01/846008601.db2.gz HKZAEDCFOGIVMQ-QMMMGPOBSA-N 0 1 294.336 1.000 20 30 CCEDMN COC(=O)[C@@H](NS(=O)(=O)[C@H](C)C#N)c1ccncc1 ZINC001193182597 846025970 /nfs/dbraw/zinc/02/59/70/846025970.db2.gz OYTRXRVHILVMTQ-SCZZXKLOSA-N 0 1 283.309 0.127 20 30 CCEDMN COC(=O)[C@H](NS(=O)(=O)[C@@H](C)C#N)c1ccncc1 ZINC001193182598 846027798 /nfs/dbraw/zinc/02/77/98/846027798.db2.gz OYTRXRVHILVMTQ-WCBMZHEXSA-N 0 1 283.309 0.127 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001193365233 846063228 /nfs/dbraw/zinc/06/32/28/846063228.db2.gz BRGWQYLSKXTWSA-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#Cc1cnc(NS(=O)(=O)CC2CCC2)c(C#C)n1 ZINC001193480208 846090755 /nfs/dbraw/zinc/09/07/55/846090755.db2.gz YVCXPLXHBIDEHL-UHFFFAOYSA-N 0 1 275.333 0.981 20 30 CCEDMN CN(C)C(=O)CN(C)C(=O)c1ccc(O)c(C#N)c1 ZINC001193503971 846100127 /nfs/dbraw/zinc/10/01/27/846100127.db2.gz KVKDKSGCCHRLPY-UHFFFAOYSA-N 0 1 261.281 0.424 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCCC(=O)N(C)C)C1 ZINC001193543656 846100291 /nfs/dbraw/zinc/10/02/91/846100291.db2.gz XBSUKEIRAMMAIC-CQSZACIVSA-N 0 1 293.411 0.801 20 30 CCEDMN N#Cc1cc(C(=O)NCCc2cc[nH]n2)ccc1O ZINC001193512601 846103319 /nfs/dbraw/zinc/10/33/19/846103319.db2.gz PJUUBAJEWUQTRL-UHFFFAOYSA-N 0 1 256.265 0.959 20 30 CCEDMN CN1C[C@@H](CNC(=O)c2ccc(O)c(C#N)c2)CC1=O ZINC001193514238 846103337 /nfs/dbraw/zinc/10/33/37/846103337.db2.gz DJVTVLMHDVZWHP-SECBINFHSA-N 0 1 273.292 0.472 20 30 CCEDMN Cc1[nH][nH]c(=O)c1CCNC(=O)c1ccc(O)c(C#N)c1 ZINC001193514192 846103416 /nfs/dbraw/zinc/10/34/16/846103416.db2.gz DAQTZUUNCPRFAG-UHFFFAOYSA-N 0 1 286.291 0.974 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCS(=O)(=O)CC2)c1O ZINC001193642538 846135252 /nfs/dbraw/zinc/13/52/52/846135252.db2.gz IERUELNPYUKYCH-UHFFFAOYSA-N 0 1 280.305 0.134 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ccn(C)n2)C1 ZINC001194011833 846195657 /nfs/dbraw/zinc/19/56/57/846195657.db2.gz ZIJYWRJICKLCRW-CYBMUJFWSA-N 0 1 290.367 0.216 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CNC(=O)CC(C)(C)C)C1 ZINC001194113250 846216328 /nfs/dbraw/zinc/21/63/28/846216328.db2.gz ITHWCFZOJQMQEW-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001194472106 846300969 /nfs/dbraw/zinc/30/09/69/846300969.db2.gz QMSLVVLAWKYOCA-STQMWFEESA-N 0 1 292.383 0.086 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1O ZINC001195255216 846485760 /nfs/dbraw/zinc/48/57/60/846485760.db2.gz OVUMMRPKIBNNOE-JHJVBQTASA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CCCF)C1 ZINC001195357490 846513850 /nfs/dbraw/zinc/51/38/50/846513850.db2.gz IZCVYQRZCGFURE-VXGBXAGGSA-N 0 1 258.337 0.864 20 30 CCEDMN Cn1c(=O)cc(NC(=O)c2ccc(C#N)cc2O)[nH]c1=O ZINC001195763715 846611915 /nfs/dbraw/zinc/61/19/15/846611915.db2.gz BKEFLDVSOHTFHU-UHFFFAOYSA-N 0 1 286.247 0.315 20 30 CCEDMN N#CCCN1CCN(C(=O)c2ccc(C#N)cc2O)CC1 ZINC001195741579 846618870 /nfs/dbraw/zinc/61/88/70/846618870.db2.gz NPVXWROYBHTRBA-UHFFFAOYSA-N 0 1 284.319 0.935 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCCN([C@@H](C)COC)CC1 ZINC001195805941 846630529 /nfs/dbraw/zinc/63/05/29/846630529.db2.gz IKVDVVXFHGEREL-KBPBESRZSA-N 0 1 282.384 0.594 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(CCOC)C[C@H]2O)CC1 ZINC001195905922 846647950 /nfs/dbraw/zinc/64/79/50/846647950.db2.gz FWIJXYXRKKHYCH-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CCCN(C(=O)CNC(=O)c2ccoc2)CC1 ZINC001195945041 846648014 /nfs/dbraw/zinc/64/80/14/846648014.db2.gz YLYZFHOBIOQFEN-UHFFFAOYSA-N 0 1 291.351 0.730 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2n[nH]nc2C)CC1 ZINC001196711612 846790413 /nfs/dbraw/zinc/79/04/13/846790413.db2.gz YBXDHUJQNXJUPY-UHFFFAOYSA-N 0 1 293.371 0.464 20 30 CCEDMN C=CCCC[N@@H+]1CCCN(C(=O)c2cnn(C)n2)CC1 ZINC001197435710 846912382 /nfs/dbraw/zinc/91/23/82/846912382.db2.gz OUIVFYKHJMUHQP-UHFFFAOYSA-N 0 1 277.372 0.929 20 30 CCEDMN Cc1cc(C(=O)N2CCO[C@H](C#N)C2)nn1-c1ccncc1 ZINC001197532169 846929051 /nfs/dbraw/zinc/92/90/51/846929051.db2.gz ABIKVSJPZVQSNB-CYBMUJFWSA-N 0 1 297.318 0.940 20 30 CCEDMN C#CCCN1CCCN(C(=O)C(C)(C)NC(=O)NC)CC1 ZINC001198260163 847069225 /nfs/dbraw/zinc/06/92/25/847069225.db2.gz WXHJDGLUQASEKH-UHFFFAOYSA-N 0 1 294.399 0.252 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CCCN(C[C@@H](C)O)CC1 ZINC001198344224 847090354 /nfs/dbraw/zinc/09/03/54/847090354.db2.gz RRFHDAGYPHLWSC-OLZOCXBDSA-N 0 1 270.373 0.493 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)C2CC2)C1 ZINC001199163806 847239539 /nfs/dbraw/zinc/23/95/39/847239539.db2.gz MQMLEJFLWNKBAZ-IACUBPJLSA-N 0 1 264.369 0.607 20 30 CCEDMN Cc1cc(C(=O)N2CCCO[C@@H](CNCC#N)C2)n[nH]1 ZINC001199956980 847487533 /nfs/dbraw/zinc/48/75/33/847487533.db2.gz JSITVQVWVBUZHB-NSHDSACASA-N 0 1 277.328 0.062 20 30 CCEDMN C=C[C@H](O)CN1CCC[C@@]12CCN([C@H](C)C(C)=O)C2=O ZINC001273880470 847721865 /nfs/dbraw/zinc/72/18/65/847721865.db2.gz CFNIIZAEDMWLFI-ZLDLUXBVSA-N 0 1 280.368 0.578 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccnn1C)C2 ZINC001110613816 847921081 /nfs/dbraw/zinc/92/10/81/847921081.db2.gz LWALLWKZHKXRJG-KFWWJZLASA-N 0 1 286.379 0.707 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cn2cccnc2n1 ZINC001252450625 847971037 /nfs/dbraw/zinc/97/10/37/847971037.db2.gz DVORYUGFLGATTJ-LBPRGKRZSA-N 0 1 262.313 0.382 20 30 CCEDMN C=CCOC[C@H](O)CN1CCO[C@@H]2C[C@@H](CO)C[C@H]21 ZINC001252460321 847973683 /nfs/dbraw/zinc/97/36/83/847973683.db2.gz CZEVDIGLNPSYRC-REWJHTLYSA-N 0 1 271.357 0.022 20 30 CCEDMN C=CCOC[C@H](O)CN1CCO[C@]2(CCOC2)C1 ZINC001252460295 847974136 /nfs/dbraw/zinc/97/41/36/847974136.db2.gz CVUZWIHAIWMBLG-CHWSQXEVSA-N 0 1 257.330 0.041 20 30 CCEDMN C=C[C@@](C)(O)CN1Cc2nccn2C[C@H](COC)C1 ZINC001252553121 848001579 /nfs/dbraw/zinc/00/15/79/848001579.db2.gz RPNIMLJFHLIYEF-TZMCWYRMSA-N 0 1 265.357 0.898 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccccc1 ZINC001114471709 848076943 /nfs/dbraw/zinc/07/69/43/848076943.db2.gz LXQVXNDRKVJRTJ-VQFNDLOPSA-N 0 1 298.386 0.925 20 30 CCEDMN COCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C#N)[nH]1)C2 ZINC001095327694 848208462 /nfs/dbraw/zinc/20/84/62/848208462.db2.gz ASBZUGIUPREYSS-XBFCOCLRSA-N 0 1 288.351 0.868 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC/C=C/CNCc1ccnn1C ZINC001274017069 848303686 /nfs/dbraw/zinc/30/36/86/848303686.db2.gz QMWVMXCMHGQSCC-URWSZGRFSA-N 0 1 290.367 0.220 20 30 CCEDMN CC(C)CNC(=O)CNC/C=C\CNC(=O)C#CC1CC1 ZINC001274018105 848305498 /nfs/dbraw/zinc/30/54/98/848305498.db2.gz XMDWGKGWMVCSDS-ARJAWSKDSA-N 0 1 291.395 0.434 20 30 CCEDMN C#Cc1ccc(C(=O)NC/C=C/CNCC(=O)NCC)cc1 ZINC001274254221 848429915 /nfs/dbraw/zinc/42/99/15/848429915.db2.gz RHDPIXQPBPDHQW-AATRIKPKSA-N 0 1 299.374 0.680 20 30 CCEDMN C=C1CC(C)(C(=O)NC/C=C/CNCC(=O)NC2CC2)C1 ZINC001274348564 848456082 /nfs/dbraw/zinc/45/60/82/848456082.db2.gz NXLPWUGEDJAEFT-ONEGZZNKSA-N 0 1 291.395 0.883 20 30 CCEDMN C=CCN1CC[C@]2(CCN(Cc3nnc[nH]3)C2)C1=O ZINC001274354636 848457201 /nfs/dbraw/zinc/45/72/01/848457201.db2.gz PTXHCRWOLUQYNO-ZDUSSCGKSA-N 0 1 261.329 0.415 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)[C@@H]1C[C@H]1CC ZINC001274379951 848463861 /nfs/dbraw/zinc/46/38/61/848463861.db2.gz XOCQHXONJIKRCC-FBVTZJDUSA-N 0 1 277.368 0.044 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2c2ncnc3[nH]cnc32)C1=O ZINC001274672404 848538257 /nfs/dbraw/zinc/53/82/57/848538257.db2.gz ARTYPVNZDGOCFA-OAHLLOKOSA-N 0 1 296.334 0.557 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CNCc1cc(C)no1 ZINC001274733462 848555171 /nfs/dbraw/zinc/55/51/71/848555171.db2.gz VQNQCDGDBOLJQM-RISCZKNCSA-N 0 1 281.356 0.904 20 30 CCEDMN C[C@H](CNCC#N)NC(=O)CN(C)CCc1ccccc1 ZINC001274925744 848593108 /nfs/dbraw/zinc/59/31/08/848593108.db2.gz MPUCCQOLEMQKPX-CQSZACIVSA-N 0 1 288.395 0.779 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H]2CC23CC3)CC1 ZINC001274930294 848595116 /nfs/dbraw/zinc/59/51/16/848595116.db2.gz YIZUNJAXZLYQRQ-LBPRGKRZSA-N 0 1 264.369 0.916 20 30 CCEDMN C=CCCCN1CC2(C1)CN(S(=O)(=O)N(C)C)C2 ZINC001274964747 848602541 /nfs/dbraw/zinc/60/25/41/848602541.db2.gz WRNCWKRWIBJRDS-UHFFFAOYSA-N 0 1 273.402 0.377 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C(=O)NCC1CC1 ZINC001274967629 848603690 /nfs/dbraw/zinc/60/36/90/848603690.db2.gz LAUZOEDLSQRGJV-SECBINFHSA-N 0 1 273.764 0.359 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)Cc1ccn(C)n1 ZINC001275108988 848640831 /nfs/dbraw/zinc/64/08/31/848640831.db2.gz SFMMVRKJOBRGTJ-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN Cn1cc(CN2C[C@H]3C[C@@H](C2)N3Cc2c[nH]nn2)cc1C#N ZINC001275228889 848674139 /nfs/dbraw/zinc/67/41/39/848674139.db2.gz HWEKGAKONRUYJR-GASCZTMLSA-N 0 1 297.366 0.474 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CC2(C[C@H]2C(=O)NCc2cnc[nH]2)C1 ZINC001275344899 848694305 /nfs/dbraw/zinc/69/43/05/848694305.db2.gz MEQIUFABDHFQRH-JLLWLGSASA-N 0 1 299.334 0.034 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1ccc(OC)o1 ZINC001275681401 848791135 /nfs/dbraw/zinc/79/11/35/848791135.db2.gz ROFYKFODCBYYHS-LBPRGKRZSA-N 0 1 294.351 0.988 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccc(NC(N)=O)cc1 ZINC001275712790 848798241 /nfs/dbraw/zinc/79/82/41/848798241.db2.gz KTPLKYDFMWMZKJ-NSHDSACASA-N 0 1 288.351 0.861 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1c[nH]c(C(N)=O)c1 ZINC001275720959 848800457 /nfs/dbraw/zinc/80/04/57/848800457.db2.gz OVYGMJJMWCSZHV-JTQLQIEISA-N 0 1 276.340 0.187 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@@H](C)CNC(=O)C(C)C)C1=O ZINC001275775086 848819287 /nfs/dbraw/zinc/81/92/87/848819287.db2.gz USPUCMIEIVMDJM-QWHCGFSZSA-N 0 1 281.400 0.866 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CCCn1ccccc1=O ZINC001275896835 848859707 /nfs/dbraw/zinc/85/97/07/848859707.db2.gz FETBVAZBVFSCIB-AWEZNQCLSA-N 0 1 289.379 0.698 20 30 CCEDMN N#Cc1cc(C(=O)N2CC3(C[C@@H]3C(N)=O)C2)ccc1O ZINC001276156792 848942577 /nfs/dbraw/zinc/94/25/77/848942577.db2.gz YZNZNRCOGQSHJO-SNVBAGLBSA-N 0 1 271.276 0.211 20 30 CCEDMN CN(CCCNC(=O)c1nc[nH]n1)c1ncccc1C#N ZINC001095608932 848998382 /nfs/dbraw/zinc/99/83/82/848998382.db2.gz ZNIVZBNFMLGDOF-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN CN(CCCNC(=O)c1ncn[nH]1)c1ncccc1C#N ZINC001095608932 848998378 /nfs/dbraw/zinc/99/83/78/848998378.db2.gz ZNIVZBNFMLGDOF-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@](C)(C=C)CCOC ZINC001114626853 849333478 /nfs/dbraw/zinc/33/34/78/849333478.db2.gz MLDOPJJVHUXRDF-ORIJERBGSA-N 0 1 276.380 0.895 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CF)CC1 ZINC001114824990 849408512 /nfs/dbraw/zinc/40/85/12/849408512.db2.gz ZABCNQRLQYCAKL-ITGUQSILSA-N 0 1 280.343 0.432 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(F)(F)C(F)F ZINC001114837591 849420907 /nfs/dbraw/zinc/42/09/07/849420907.db2.gz HXZNQBAJOJGQDK-MBTKJCJQSA-N 0 1 278.249 0.957 20 30 CCEDMN CC[C@@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC[C@H]1NCC#N ZINC001037917583 849606143 /nfs/dbraw/zinc/60/61/43/849606143.db2.gz CPCDTWMAJYYIPB-GHMZBOCLSA-N 0 1 291.355 0.480 20 30 CCEDMN CN(CCCN(C)C(=O)c1ccn[nH]1)C(=O)C#CC1CC1 ZINC001066891768 849674921 /nfs/dbraw/zinc/67/49/21/849674921.db2.gz WWQBBVTWSAGBJO-UHFFFAOYSA-N 0 1 288.351 0.744 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCSC[C@H]1C(=O)[O-] ZINC000380906688 849696614 /nfs/dbraw/zinc/69/66/14/849696614.db2.gz IHTQWXXOEIKQPM-NSHDSACASA-N 0 1 299.396 0.153 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1Nc1cnc(C#N)cn1 ZINC001067030153 849715188 /nfs/dbraw/zinc/71/51/88/849715188.db2.gz QTSRFZKOWMCHQQ-JOYOIKCWSA-N 0 1 297.322 0.644 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1c(C)nnn1CC ZINC001038338082 849858433 /nfs/dbraw/zinc/85/84/33/849858433.db2.gz NAXTYQSXIUPTLW-LBPRGKRZSA-N 0 1 277.372 0.987 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(C(N)=O)cn1C ZINC001038467584 849913749 /nfs/dbraw/zinc/91/37/49/849913749.db2.gz FCKVSUTZGQBNEW-LBPRGKRZSA-N 0 1 290.367 0.504 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001038560914 849942298 /nfs/dbraw/zinc/94/22/98/849942298.db2.gz DTZIDUTTXWEYMI-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001038560914 849942307 /nfs/dbraw/zinc/94/23/07/849942307.db2.gz DTZIDUTTXWEYMI-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001038702436 849986147 /nfs/dbraw/zinc/98/61/47/849986147.db2.gz PSHGIWSQSVXAKZ-QWHCGFSZSA-N 0 1 279.384 0.812 20 30 CCEDMN C#CC[N@H+]1CC[C@H]1CNC(=O)c1nnc2ccccc2c1[O-] ZINC001038763310 850009049 /nfs/dbraw/zinc/00/90/49/850009049.db2.gz DNGJWYFWDNTDES-NSHDSACASA-N 0 1 296.330 0.773 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]1CNC(=O)c1nnc2ccccc2c1[O-] ZINC001038763310 850009052 /nfs/dbraw/zinc/00/90/52/850009052.db2.gz DNGJWYFWDNTDES-NSHDSACASA-N 0 1 296.330 0.773 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc2n[nH]nc2c1 ZINC001039013348 850118176 /nfs/dbraw/zinc/11/81/76/850118176.db2.gz RYHLQPOTQKIZCH-LBPRGKRZSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc2n[nH]nc2c1 ZINC001039013346 850118640 /nfs/dbraw/zinc/11/86/40/850118640.db2.gz RYHLQPOTQKIZCH-GFCCVEGCSA-N 0 1 283.335 0.785 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(=O)n1C ZINC001039416817 850187450 /nfs/dbraw/zinc/18/74/50/850187450.db2.gz KBJCOQFAXUMEMQ-NEPJUHHUSA-N 0 1 290.367 0.991 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)n1 ZINC001039451386 850192704 /nfs/dbraw/zinc/19/27/04/850192704.db2.gz UXCOAHDWAGJKFC-NEPJUHHUSA-N 0 1 275.356 0.680 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC2(C1)CCN([C@@H](C)C(N)=O)CC2 ZINC001040636913 850310115 /nfs/dbraw/zinc/31/01/15/850310115.db2.gz UJTLLAQXLJCHRA-RYUDHWBXSA-N 0 1 292.383 0.334 20 30 CCEDMN N#CCN1CCC2(CCN(C(=O)CN3CCCC3)C2)CC1 ZINC001040939942 850332929 /nfs/dbraw/zinc/33/29/29/850332929.db2.gz YJKCGXPNFGKXFQ-UHFFFAOYSA-N 0 1 290.411 0.920 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnn(C)c3)C[C@H]21 ZINC001041925591 850529368 /nfs/dbraw/zinc/52/93/68/850529368.db2.gz WVNVDWWGPSRRNS-TZMCWYRMSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc(C)n(C)n3)C[C@H]21 ZINC001041964665 850542077 /nfs/dbraw/zinc/54/20/77/850542077.db2.gz RAFNITPRRUKONV-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2COC(=O)N2)C1 ZINC001042675940 850730042 /nfs/dbraw/zinc/73/00/42/850730042.db2.gz DQBHRBQOKYTTHU-LLVKDONJSA-N 0 1 267.329 0.204 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2nc[nH]c2C(F)(F)F)C1 ZINC001042930998 850782314 /nfs/dbraw/zinc/78/23/14/850782314.db2.gz RMLLQBLISPHYFE-UHFFFAOYSA-N 0 1 286.257 0.818 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC001043387226 850865988 /nfs/dbraw/zinc/86/59/88/850865988.db2.gz WLIMDDHKNKRJHZ-XHDPSFHLSA-N 0 1 286.379 0.851 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)c2cn(C)c(=O)cn2)C1 ZINC001043402339 850868188 /nfs/dbraw/zinc/86/81/88/850868188.db2.gz QKQSNMPKZVXDHT-UHFFFAOYSA-N 0 1 290.367 0.503 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001043534662 850888517 /nfs/dbraw/zinc/88/85/17/850888517.db2.gz DIMDJPNLCVBRLL-GFCCVEGCSA-N 0 1 280.372 0.119 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](NCC#N)C[C@@H]2C)n[nH]1 ZINC001044498345 851112757 /nfs/dbraw/zinc/11/27/57/851112757.db2.gz NQIGRYSQHLTWQC-QWRGUYRKSA-N 0 1 261.329 0.824 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCN(C(C)=O)C2)CC1 ZINC001045630414 851290171 /nfs/dbraw/zinc/29/01/71/851290171.db2.gz BIOQNCDHGWYQSE-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCC(=O)N(C)C2)CC1 ZINC001045646437 851291757 /nfs/dbraw/zinc/29/17/57/851291757.db2.gz IOYUXQYFERDSCU-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC001045646436 851292669 /nfs/dbraw/zinc/29/26/69/851292669.db2.gz IOYUXQYFERDSCU-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC[N@H+]1CC[C@](C)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001046245861 851436171 /nfs/dbraw/zinc/43/61/71/851436171.db2.gz FKCBCNSRJCSSHJ-ZDUSSCGKSA-N 0 1 262.313 0.251 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001046245861 851436178 /nfs/dbraw/zinc/43/61/78/851436178.db2.gz FKCBCNSRJCSSHJ-ZDUSSCGKSA-N 0 1 262.313 0.251 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cccc3n[nH]nc32)C1 ZINC001046340710 851470091 /nfs/dbraw/zinc/47/00/91/851470091.db2.gz GQPORSQUIQLLSF-OAHLLOKOSA-N 0 1 283.335 0.785 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001046382175 851481932 /nfs/dbraw/zinc/48/19/32/851481932.db2.gz PDYHDMMSQUUMFD-HNNXBMFYSA-N 0 1 298.350 0.570 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cn3c(n2)COCC3)C1 ZINC001046413977 851494648 /nfs/dbraw/zinc/49/46/48/851494648.db2.gz DQHMYLWOEOOTAG-HNNXBMFYSA-N 0 1 290.367 0.794 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)C1 ZINC001046421166 851497686 /nfs/dbraw/zinc/49/76/86/851497686.db2.gz SOCYYRGHIHABKH-UMVBOHGHSA-N 0 1 281.400 0.472 20 30 CCEDMN N#Cc1nccnc1N[C@H]1C[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001046432153 851499388 /nfs/dbraw/zinc/49/93/88/851499388.db2.gz WDSJRCJXQBFAQY-DTORHVGOSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1nccnc1N[C@H]1C[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001046432153 851499392 /nfs/dbraw/zinc/49/93/92/851499392.db2.gz WDSJRCJXQBFAQY-DTORHVGOSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc3nnnn3c2)C1 ZINC001046477356 851521332 /nfs/dbraw/zinc/52/13/32/851521332.db2.gz CQNXJHPGLSQLIJ-CQSZACIVSA-N 0 1 286.339 0.505 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001046516918 851528974 /nfs/dbraw/zinc/52/89/74/851528974.db2.gz SDNKVYJZCJDKDT-SWLSCSKDSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccnnc2)C1 ZINC001046645850 851572627 /nfs/dbraw/zinc/57/26/27/851572627.db2.gz IIJMTYUDCCOEPI-CQSZACIVSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001046640252 851573015 /nfs/dbraw/zinc/57/30/15/851573015.db2.gz FTBZQRDVMRUMTJ-GUIRCDHDSA-N 0 1 262.353 0.768 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001046640198 851573752 /nfs/dbraw/zinc/57/37/52/851573752.db2.gz DUWXCERLILVYNU-LZWOXQAQSA-N 0 1 286.379 0.737 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@H+](CCOC)C2)cn1 ZINC001046780025 851611173 /nfs/dbraw/zinc/61/11/73/851611173.db2.gz ZMDDDUBMHRZRAZ-INIZCTEOSA-N 0 1 287.363 0.904 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cncs2)C1 ZINC001047316324 851711416 /nfs/dbraw/zinc/71/14/16/851711416.db2.gz QRWKQVYNHFJQET-QWRGUYRKSA-N 0 1 281.381 0.836 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cccnc2)C1 ZINC001047347789 851731265 /nfs/dbraw/zinc/73/12/65/851731265.db2.gz LTHNXRSVDUROTM-GJZGRUSLSA-N 0 1 289.379 0.704 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccoc2)C1 ZINC001047357788 851735787 /nfs/dbraw/zinc/73/57/87/851735787.db2.gz JFLHFZIYUUTYPO-KBPBESRZSA-N 0 1 276.336 0.349 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CCCOCC2)C1 ZINC001047364475 851736807 /nfs/dbraw/zinc/73/68/07/851736807.db2.gz SITUFNXQTLSMDI-KKUMJFAQSA-N 0 1 296.411 0.883 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2Cc3ccccc32)C1 ZINC001047507998 851794759 /nfs/dbraw/zinc/79/47/59/851794759.db2.gz WENDZJBNEMFOHQ-IKGGRYGDSA-N 0 1 298.386 0.853 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001047537193 851807139 /nfs/dbraw/zinc/80/71/39/851807139.db2.gz XLRVFNRLLVWGPA-ZOBORPQBSA-N 0 1 280.368 0.081 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccn(CC)n2)C1 ZINC001047550888 851813151 /nfs/dbraw/zinc/81/31/51/851813151.db2.gz HTMBKCOSLXHYRR-KBPBESRZSA-N 0 1 290.367 0.043 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N(C)[C@H]1CN(CC)C[C@@H]1O ZINC001047557185 851814308 /nfs/dbraw/zinc/81/43/08/851814308.db2.gz HMTBMXWOUVINMS-AJNGGQMLSA-N 0 1 296.411 0.881 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCC(=O)N1)C2 ZINC001096380240 851973070 /nfs/dbraw/zinc/97/30/70/851973070.db2.gz HLRBZLLVGATAIX-RHYQMDGZSA-N 0 1 263.341 0.173 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+]([C@@H](CC)C(N)=O)C[C@@H]2C1 ZINC001048979618 852124289 /nfs/dbraw/zinc/12/42/89/852124289.db2.gz YVRUIBBNLYFRSN-XQQFMLRXSA-N 0 1 293.411 0.853 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)C3(C(N)=O)CC3)C[C@@H]2C1 ZINC001049022803 852141171 /nfs/dbraw/zinc/14/11/71/852141171.db2.gz SEFLEMTUFLFVNY-PHIMTYICSA-N 0 1 297.786 0.395 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CN([C@H](C)C(N)=O)C[C@H]2C1 ZINC001049033961 852144299 /nfs/dbraw/zinc/14/42/99/852144299.db2.gz BRRUIMZXPNHSST-FOCJUVANSA-N 0 1 293.411 0.853 20 30 CCEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049455943 852283548 /nfs/dbraw/zinc/28/35/48/852283548.db2.gz HFSQNQIVXLNYIZ-STQMWFEESA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](O)C(C)C ZINC001049455042 852283638 /nfs/dbraw/zinc/28/36/38/852283638.db2.gz WQIFEUWJWBKHIO-BFHYXJOUSA-N 0 1 264.369 0.702 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc[n+]([O-])cc1)C2 ZINC001096762464 852436751 /nfs/dbraw/zinc/43/67/51/852436751.db2.gz PRZJMWNKZGFHOJ-MCIONIFRSA-N 0 1 273.336 0.841 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(C)c1)C2 ZINC001096782698 852438854 /nfs/dbraw/zinc/43/88/54/852438854.db2.gz IELFARQYWOECMQ-UPJWGTAASA-N 0 1 260.341 0.941 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1cccn1)C2 ZINC001096804154 852441885 /nfs/dbraw/zinc/44/18/85/852441885.db2.gz JWKWPYYWEUQHBF-MCIONIFRSA-N 0 1 272.352 0.628 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CC(=O)N(C)C1)C2 ZINC001097169110 852509335 /nfs/dbraw/zinc/50/93/35/852509335.db2.gz XOYPEXPQWJCYFF-DGAVXFQQSA-N 0 1 289.379 0.210 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn[nH]c(=O)c1)C2 ZINC001097279692 852523944 /nfs/dbraw/zinc/52/39/44/852523944.db2.gz WTTRPMDOLPQTBM-UPJWGTAASA-N 0 1 286.335 0.541 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCN(C)C1=O)C2 ZINC001097291487 852525061 /nfs/dbraw/zinc/52/50/61/852525061.db2.gz BWIGADUTEBMAOL-DGAVXFQQSA-N 0 1 289.379 0.210 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1COCCN1CC)C2 ZINC001097386648 852533820 /nfs/dbraw/zinc/53/38/20/852533820.db2.gz LIULAQYUTAZQOR-KBXIAJHMSA-N 0 1 293.411 0.615 20 30 CCEDMN C[C@H](CNC(=O)[C@H]1CCCN1C)Nc1ncccc1C#N ZINC001097729926 852593890 /nfs/dbraw/zinc/59/38/90/852593890.db2.gz PAAPMIYBZYSNLZ-DGCLKSJQSA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)Nc1ncnc2[nH]cnc21 ZINC001097739048 852597503 /nfs/dbraw/zinc/59/75/03/852597503.db2.gz AUCGGRWAFOTQKY-HTQZYQBOSA-N 0 1 273.300 0.429 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H](C)Nc2cnc(C#N)cn2)c1C ZINC001097760708 852601183 /nfs/dbraw/zinc/60/11/83/852601183.db2.gz VRONKBCDFUBDIE-MRVPVSSYSA-N 0 1 299.338 0.919 20 30 CCEDMN C=CCN1CC2(C1)CN(C(=O)[C@@H]1CCCCN1C)CCO2 ZINC001053310928 852727126 /nfs/dbraw/zinc/72/71/26/852727126.db2.gz QUARXYYIXJTXLT-AWEZNQCLSA-N 0 1 293.411 0.570 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@H](CNC(=O)c1ccn[nH]1)O2 ZINC001053574378 852761823 /nfs/dbraw/zinc/76/18/23/852761823.db2.gz CHMLZEBKDSNJKE-GFCCVEGCSA-N 0 1 290.367 0.949 20 30 CCEDMN CC#CCN1CC2(C1)C[C@H](NC(=O)[C@@H]1CCCN1C)CO2 ZINC001053755928 852806377 /nfs/dbraw/zinc/80/63/77/852806377.db2.gz LXAJWQZNMNSNEQ-KBPBESRZSA-N 0 1 291.395 0.063 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)[C@H]1CCCN1C)CO2 ZINC001053758459 852808925 /nfs/dbraw/zinc/80/89/25/852808925.db2.gz RPHYQFSLNFAZNO-QWHCGFSZSA-N 0 1 279.384 0.226 20 30 CCEDMN C#CC[N@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncn[n-]1 ZINC001054056681 852875098 /nfs/dbraw/zinc/87/50/98/852875098.db2.gz OXEKDDKLPUVHMY-AWEZNQCLSA-N 0 1 295.346 0.595 20 30 CCEDMN C#CC[N@@H+]1Cc2ccccc2C[C@H]1CNC(=O)c1ncn[n-]1 ZINC001054056681 852875102 /nfs/dbraw/zinc/87/51/02/852875102.db2.gz OXEKDDKLPUVHMY-AWEZNQCLSA-N 0 1 295.346 0.595 20 30 CCEDMN C#CCN1Cc2ccccc2C[C@H]1CNC(=O)c1ncn[nH]1 ZINC001054056681 852875107 /nfs/dbraw/zinc/87/51/07/852875107.db2.gz OXEKDDKLPUVHMY-AWEZNQCLSA-N 0 1 295.346 0.595 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2nccnc2N)C[C@H]1C ZINC001054491234 852958286 /nfs/dbraw/zinc/95/82/86/852958286.db2.gz CWOSVFFZXKAZLD-PSASIEDQSA-N 0 1 295.774 0.861 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCn2ccnn2)C[C@@H]1C ZINC001054596923 852977616 /nfs/dbraw/zinc/97/76/16/852977616.db2.gz UJBIEWFWDYIQGF-CMPLNLGQSA-N 0 1 297.790 0.857 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cn[nH]n2)C[C@H]1C ZINC001054842862 853022209 /nfs/dbraw/zinc/02/22/09/853022209.db2.gz XVJFTTWFOHHRLV-GMSGAONNSA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2nnn(C)n2)C[C@@H]1C ZINC001054981286 853048951 /nfs/dbraw/zinc/04/89/51/853048951.db2.gz MFNKSOZTUBWNKM-CBAPKCEASA-N 0 1 284.751 0.013 20 30 CCEDMN Cc1cc(N[C@@H]2CCN(C(=O)c3ccn[nH]3)C2)c(C#N)cn1 ZINC001056558290 853170605 /nfs/dbraw/zinc/17/06/05/853170605.db2.gz WEQYHMVOQIMPPP-GFCCVEGCSA-N 0 1 296.334 0.733 20 30 CCEDMN N#Cc1cncc(N[C@@H]2CCN(C(=O)Cc3c[nH]cn3)C2)n1 ZINC001056790739 853214924 /nfs/dbraw/zinc/21/49/24/853214924.db2.gz VELJGCLLKSGROI-SNVBAGLBSA-N 0 1 297.322 0.327 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C3=CCOCC3)[C@@H]2C1 ZINC001050032022 853299354 /nfs/dbraw/zinc/29/93/54/853299354.db2.gz PAEMRRNEGMHZGC-LSDHHAIUSA-N 0 1 274.364 0.889 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3cnnn3C)[C@@H]2C1 ZINC001050038523 853302589 /nfs/dbraw/zinc/30/25/89/853302589.db2.gz NPANRAQLBSDJNK-GXTWGEPZSA-N 0 1 287.367 0.375 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CO[C@H]3CCOC3)[C@@H]2C1 ZINC001050047414 853303966 /nfs/dbraw/zinc/30/39/66/853303966.db2.gz YXFZPVXAPKHKSF-SOUVJXGZSA-N 0 1 292.379 0.348 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCC[C@H]2CN(CC#N)C[C@H]21 ZINC001050119989 853316784 /nfs/dbraw/zinc/31/67/84/853316784.db2.gz POVZWCLENNZAAD-SOUVJXGZSA-N 0 1 290.411 0.917 20 30 CCEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3cnn(C)n3)[C@@H]2C1 ZINC001050161591 853326842 /nfs/dbraw/zinc/32/68/42/853326842.db2.gz CSOBEJBZVDAWID-WCQYABFASA-N 0 1 275.356 0.538 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@@H]3CCC(=O)N3)[C@@H]2C1 ZINC001050163016 853327692 /nfs/dbraw/zinc/32/76/92/853327692.db2.gz CWVHVHUWROEVHT-MELADBBJSA-N 0 1 289.379 0.211 20 30 CCEDMN N#CCN1C[C@@H]2CCCN(C(=O)CN3CCCC3)[C@@H]2C1 ZINC001050203951 853335866 /nfs/dbraw/zinc/33/58/66/853335866.db2.gz NGEFLGSWUUHUDC-UONOGXRCSA-N 0 1 276.384 0.529 20 30 CCEDMN N#Cc1nccnc1N[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001057590903 853434884 /nfs/dbraw/zinc/43/48/84/853434884.db2.gz HKOLGDMZZGHPMK-SNVBAGLBSA-N 0 1 297.322 0.788 20 30 CCEDMN N#Cc1nccc(N[C@H]2CCCN(C(=O)c3ccn[nH]3)C2)n1 ZINC001057592886 853434893 /nfs/dbraw/zinc/43/48/93/853434893.db2.gz YHCZIQGLOGSCDL-JTQLQIEISA-N 0 1 297.322 0.210 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001057594163 853436014 /nfs/dbraw/zinc/43/60/14/853436014.db2.gz OUSSSXBHGMPBPV-ZJUUUORDSA-N 0 1 299.338 0.915 20 30 CCEDMN CC(=O)N1CCO[C@@H](CNCc2ccccc2C#N)C1 ZINC001051382941 853573524 /nfs/dbraw/zinc/57/35/24/853573524.db2.gz HGFQQYZPBAYCDM-HNNXBMFYSA-N 0 1 273.336 0.895 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)C(C)(F)F)C2)CC1 ZINC001051968619 853665902 /nfs/dbraw/zinc/66/59/02/853665902.db2.gz CBSJQBNEMQZIAV-CYBMUJFWSA-N 0 1 299.365 0.883 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)Cn2ncnn2)CC1 ZINC001052378509 853744394 /nfs/dbraw/zinc/74/43/94/853744394.db2.gz IPTSFVQDGVYOOG-NSHDSACASA-N 0 1 298.778 0.396 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)c2[n-]nnc2C)CC1 ZINC001052615205 853769699 /nfs/dbraw/zinc/76/96/99/853769699.db2.gz HWQHQNIPJUHMGW-LLVKDONJSA-N 0 1 261.329 0.331 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)c2[n-]nnc2C)CC1 ZINC001052615205 853769701 /nfs/dbraw/zinc/76/97/01/853769701.db2.gz HWQHQNIPJUHMGW-LLVKDONJSA-N 0 1 261.329 0.331 20 30 CCEDMN C#CCCCC(=O)NCC1CC(NCc2nncn2C)C1 ZINC001100283788 853895197 /nfs/dbraw/zinc/89/51/97/853895197.db2.gz WEJWSIKPDVGUGW-UHFFFAOYSA-N 0 1 289.383 0.603 20 30 CCEDMN C[C@H]1[C@H](Nc2nccnc2C#N)CCN1C(=O)c1ccn[nH]1 ZINC001068750723 853922826 /nfs/dbraw/zinc/92/28/26/853922826.db2.gz WANNLAVOEYYAEH-VHSXEESVSA-N 0 1 297.322 0.786 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)C(F)F)[C@@H](n2ccnn2)C1 ZINC001069885904 853993338 /nfs/dbraw/zinc/99/33/38/853993338.db2.gz CUTCIVMHAVGLBC-ZJUUUORDSA-N 0 1 285.298 0.461 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C[C@H]2C=CCC2)C[C@@H]1n1ccnn1 ZINC001070135830 854028269 /nfs/dbraw/zinc/02/82/69/854028269.db2.gz DFHNVYMNGLMTEO-ZNMIVQPWSA-N 0 1 299.378 0.609 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@]2(C)C=CCC2)C[C@@H]1n1ccnn1 ZINC001070252786 854037302 /nfs/dbraw/zinc/03/73/02/854037302.db2.gz ATZYZDOEJGUOND-YCPHGPKFSA-N 0 1 299.378 0.609 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)c2ncc[nH]2)C1 ZINC001070407240 854056723 /nfs/dbraw/zinc/05/67/23/854056723.db2.gz AMBXHLCBRGTZPH-LLVKDONJSA-N 0 1 298.350 0.485 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N(CC(=O)[O-])C1CCCC1 ZINC000392923625 854097596 /nfs/dbraw/zinc/09/75/96/854097596.db2.gz GOOLQUMXJMNMRJ-UHFFFAOYSA-N 0 1 295.383 0.980 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)c1cc[nH]c1)C[C@H](C)O2 ZINC001071168702 854132408 /nfs/dbraw/zinc/13/24/08/854132408.db2.gz GJNULFNZKWWIMB-BBRMVZONSA-N 0 1 287.363 0.953 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])CC[C@H]1C ZINC001071440856 854197082 /nfs/dbraw/zinc/19/70/82/854197082.db2.gz UUDHKRBCFWKPMN-KOLCDFICSA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC2OCCCO2)CC[C@@H]1C ZINC001071457545 854205313 /nfs/dbraw/zinc/20/53/13/854205313.db2.gz JPIQWKDKHFQELB-QWHCGFSZSA-N 0 1 280.368 0.742 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cc(=O)n(C)o2)CC[C@@H]1C ZINC001071462973 854206687 /nfs/dbraw/zinc/20/66/87/854206687.db2.gz DZHBDDHKAKARBB-QWRGUYRKSA-N 0 1 277.324 0.194 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cn(C)nn2)CC[C@@H]1C ZINC001071466501 854207646 /nfs/dbraw/zinc/20/76/46/854207646.db2.gz CYFWZIAZICQNCJ-RYUDHWBXSA-N 0 1 277.372 0.974 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cnnn2C)CC[C@H]1C ZINC001071481747 854215543 /nfs/dbraw/zinc/21/55/43/854215543.db2.gz NQDQGRRUJHKERD-GHMZBOCLSA-N 0 1 263.345 0.584 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1C ZINC001071652630 854258598 /nfs/dbraw/zinc/25/85/98/854258598.db2.gz XGKQUYLFHMWSBF-WCQYABFASA-N 0 1 288.351 0.646 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C ZINC001071652632 854258697 /nfs/dbraw/zinc/25/86/97/854258697.db2.gz XGKQUYLFHMWSBF-YPMHNXCESA-N 0 1 288.351 0.646 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2nc[nH]n2)CC[C@H]1C ZINC001071977063 854329510 /nfs/dbraw/zinc/32/95/10/854329510.db2.gz MFYWCBWTFZJIHD-NEPJUHHUSA-N 0 1 275.356 0.340 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2[nH]cnc2C)CC[C@@H]1C ZINC001072026073 854337455 /nfs/dbraw/zinc/33/74/55/854337455.db2.gz BWVOJJUMUWMTKD-WCQYABFASA-N 0 1 274.368 0.863 20 30 CCEDMN O=C(c1cccc(C#CCO)c1)N1CCc2[nH]nnc2C1 ZINC000396814617 854380528 /nfs/dbraw/zinc/38/05/28/854380528.db2.gz RVZDXIHDEXTQOT-UHFFFAOYSA-N 0 1 282.303 0.347 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)n3cncn3)C2)C1 ZINC001072645058 854435944 /nfs/dbraw/zinc/43/59/44/854435944.db2.gz YNCYGNFSLCXEIF-LBPRGKRZSA-N 0 1 273.340 0.007 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCc3ncc[nH]3)C2)C1 ZINC001072676128 854444879 /nfs/dbraw/zinc/44/48/79/854444879.db2.gz SACPLDISXRKHKF-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cnn(CC)n3)C2)C1 ZINC001072704990 854450185 /nfs/dbraw/zinc/45/01/85/854450185.db2.gz NUNBEAZGOYJIFZ-UHFFFAOYSA-N 0 1 287.367 0.469 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CC[C@H](OC)C3)C2)C1 ZINC001072868020 854483453 /nfs/dbraw/zinc/48/34/53/854483453.db2.gz XJAVYUPHHNQQSD-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CO[C@@H](C)C3)C2)C1 ZINC001072871425 854484405 /nfs/dbraw/zinc/48/44/05/854484405.db2.gz IFYHEZALCPMGTJ-QWHCGFSZSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnn(C)c3CC)C2)C1 ZINC001072894204 854489414 /nfs/dbraw/zinc/48/94/14/854489414.db2.gz FFONXQHCHNODKT-UHFFFAOYSA-N 0 1 286.379 0.764 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCO[C@H]3C=C)C2)C1 ZINC001072994901 854512121 /nfs/dbraw/zinc/51/21/21/854512121.db2.gz YOBLQFUXKWOSGH-KGLIPLIRSA-N 0 1 274.364 0.745 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)c3cc(C4CC4)[nH]n3)C2)C1 ZINC001073008809 854513504 /nfs/dbraw/zinc/51/35/04/854513504.db2.gz VZSVOCRMIGYCGJ-UHFFFAOYSA-N 0 1 285.351 0.959 20 30 CCEDMN CCOC(=O)c1cn(C)[nH]c1=NC(=O)NCCC#N ZINC000488573099 854513956 /nfs/dbraw/zinc/51/39/56/854513956.db2.gz AXGFEPGDZDGAQW-UHFFFAOYSA-N 0 1 265.273 0.054 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCc3cn[nH]c3)C2)C1 ZINC001073044000 854519700 /nfs/dbraw/zinc/51/97/00/854519700.db2.gz IUGWSWCEQSICPH-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(CCC=C)nn3)C2)C1 ZINC001073048645 854520399 /nfs/dbraw/zinc/52/03/99/854520399.db2.gz CNGAEJIJJWXPQX-UHFFFAOYSA-N 0 1 299.378 0.635 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cccn(C)c3=O)C2)C1 ZINC001073134704 854535974 /nfs/dbraw/zinc/53/59/74/854535974.db2.gz NPOUBYHMPZNUQN-UHFFFAOYSA-N 0 1 285.347 0.166 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCN3CCCC3=O)C2)C1 ZINC001073437110 854561001 /nfs/dbraw/zinc/56/10/01/854561001.db2.gz JGIJQQRTHQTMOH-UHFFFAOYSA-N 0 1 289.379 0.166 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2ccc[nH]2)C1 ZINC001073533486 854580338 /nfs/dbraw/zinc/58/03/38/854580338.db2.gz GXQOONGMJCIQPN-ZDUSSCGKSA-N 0 1 275.352 0.859 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccon2)C1 ZINC001073540915 854584653 /nfs/dbraw/zinc/58/46/53/854584653.db2.gz CFCCXDXQVUKROD-NSHDSACASA-N 0 1 265.313 0.681 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC001073577307 854601935 /nfs/dbraw/zinc/60/19/35/854601935.db2.gz XARHWMFLDSAGFZ-AWEZNQCLSA-N 0 1 288.351 0.893 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnco2)C1 ZINC001073717221 854634713 /nfs/dbraw/zinc/63/47/13/854634713.db2.gz ZGJKMOSPJYVDCZ-NSHDSACASA-N 0 1 265.313 0.681 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2c(C)cnn2C)C1 ZINC001073739333 854637731 /nfs/dbraw/zinc/63/77/31/854637731.db2.gz QCJLHQKBASHTBU-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)CCn2ccccc2=O)C1 ZINC001276389237 854675818 /nfs/dbraw/zinc/67/58/18/854675818.db2.gz PTOIVKWHSRVLAB-CYBMUJFWSA-N 0 1 289.379 0.861 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)Nc1cnc(C#N)cn1 ZINC001098306787 854856525 /nfs/dbraw/zinc/85/65/25/854856525.db2.gz NIOUJEQLABMYNI-SECBINFHSA-N 0 1 285.311 0.231 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccn(C)n1)C2 ZINC001098391611 854860823 /nfs/dbraw/zinc/86/08/23/854860823.db2.gz LHOCQVRYPKVUNK-BNOWGMLFSA-N 0 1 272.352 0.779 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001098405362 854865508 /nfs/dbraw/zinc/86/55/08/854865508.db2.gz WXCQVCJKQBCEKB-MRVPVSSYSA-N 0 1 286.299 0.005 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnn(C)c2)C1 ZINC001098723166 854879724 /nfs/dbraw/zinc/87/97/24/854879724.db2.gz HBLZVTCWMBJELO-ZFWWWQNUSA-N 0 1 272.352 0.638 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2COCCO2)C1 ZINC001098736391 854880731 /nfs/dbraw/zinc/88/07/31/854880731.db2.gz GDRLLJWQYUUTOF-LZWOXQAQSA-N 0 1 292.379 0.396 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2nccnc2N)C1 ZINC001098764546 854883742 /nfs/dbraw/zinc/88/37/42/854883742.db2.gz STSQIBSYTLPFRX-NHYWBVRUSA-N 0 1 285.351 0.276 20 30 CCEDMN C[C@H]1CCN(CC(=O)N[C@]23CCC[C@H]2CN(CC#N)C3)C1 ZINC001099029681 854908353 /nfs/dbraw/zinc/90/83/53/854908353.db2.gz IKKZDGDORBKGFX-DZKIICNBSA-N 0 1 290.411 0.822 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](O)C(C)C)C[C@H]1C(F)(F)F ZINC001099245350 854925167 /nfs/dbraw/zinc/92/51/67/854925167.db2.gz ANCJNCGPVSUCSO-GMTAPVOTSA-N 0 1 292.301 0.615 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ccnnc2)C[C@H]1C(F)(F)F ZINC001099312445 854926458 /nfs/dbraw/zinc/92/64/58/854926458.db2.gz WNMLPKADASAPFJ-GHMZBOCLSA-N 0 1 298.268 0.702 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(Cc2n[nH]c(C)n2)C[C@@H]1O ZINC001099729074 854978944 /nfs/dbraw/zinc/97/89/44/854978944.db2.gz BCWIUFLQBRCPEQ-NEPJUHHUSA-N 0 1 293.371 0.131 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C(=O)C(C)(C)C)[C@H](O)C1 ZINC001099743509 854983113 /nfs/dbraw/zinc/98/31/13/854983113.db2.gz KVHQYNFIQNOOPF-VXGBXAGGSA-N 0 1 282.384 0.729 20 30 CCEDMN C=CCOCCCC(=O)N[C@H]1CCN(CC#CC)C[C@H]1O ZINC001099765705 854987482 /nfs/dbraw/zinc/98/74/82/854987482.db2.gz AKBFBTSBRKMJRY-LSDHHAIUSA-N 0 1 294.395 0.544 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C\C2CC2)[C@@H](O)C1 ZINC001099769216 854990052 /nfs/dbraw/zinc/99/00/52/854990052.db2.gz OWDQCRFMXWPRSI-KFBXHMBNSA-N 0 1 262.353 0.527 20 30 CCEDMN C[C@H](CCNC(=O)c1cnn[nH]1)Nc1ncccc1C#N ZINC001099777538 854992187 /nfs/dbraw/zinc/99/21/87/854992187.db2.gz ROIOYNTWZNYKLA-SECBINFHSA-N 0 1 285.311 0.692 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(CCO)C[C@H]1O ZINC001099847149 855010747 /nfs/dbraw/zinc/01/07/47/855010747.db2.gz IDYJHSOOOXKGIL-NWDGAFQWSA-N 0 1 270.373 0.132 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCC2CCCC2)[C@H](O)C1 ZINC001099882993 855018315 /nfs/dbraw/zinc/01/83/15/855018315.db2.gz WCVKKGGGXRUIRA-LSDHHAIUSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC(C)(C)COC)[C@H](O)C1 ZINC001099933420 855036514 /nfs/dbraw/zinc/03/65/14/855036514.db2.gz OFCMGRKKVYMRRX-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCC#CC)[C@H](O)C1 ZINC001099960494 855050855 /nfs/dbraw/zinc/05/08/55/855050855.db2.gz URGICRLRWUIUAI-ZIAGYGMSSA-N 0 1 264.369 0.917 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(CF)CC2)[C@H](O)C1 ZINC001100000524 855067373 /nfs/dbraw/zinc/06/73/73/855067373.db2.gz GGIXBXOKZVVLQC-NWDGAFQWSA-N 0 1 268.332 0.311 20 30 CCEDMN CN(CCNC(=O)CCc1nc[nH]n1)c1ccc(C#N)nc1 ZINC001100017848 855074657 /nfs/dbraw/zinc/07/46/57/855074657.db2.gz IDRMIOYSCQJKFA-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCn2cccc2)[C@@H](O)C1 ZINC001100053389 855087002 /nfs/dbraw/zinc/08/70/02/855087002.db2.gz NABLEWNJLJRYRM-GJZGRUSLSA-N 0 1 289.379 0.453 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cncn2C)[C@@H](O)C1 ZINC001100094726 855097151 /nfs/dbraw/zinc/09/71/51/855097151.db2.gz REJPDFALBIGLTO-KGLIPLIRSA-N 0 1 292.383 0.090 20 30 CCEDMN CCN(CCNC(=O)Cc1cnc[nH]1)c1ccc(C#N)nn1 ZINC001100734622 855215632 /nfs/dbraw/zinc/21/56/32/855215632.db2.gz MFFXNMYZMUPMPF-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CN(CC(=O)NC2CC2)C[C@H]1C ZINC001101805681 855369899 /nfs/dbraw/zinc/36/98/99/855369899.db2.gz ZJPHTNCEQGREPY-CHWSQXEVSA-N 0 1 293.411 0.915 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)CN1CCC(C)(C)C1 ZINC001102374075 855431635 /nfs/dbraw/zinc/43/16/35/855431635.db2.gz WWDKNPCHQQVYDH-ZIAGYGMSSA-N 0 1 292.427 0.926 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3ncn[nH]3)CCC2)nc1 ZINC001111830161 855596619 /nfs/dbraw/zinc/59/66/19/855596619.db2.gz NDOIMQCHBLTKMC-UHFFFAOYSA-N 0 1 297.322 0.836 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3nc[nH]n3)CCC2)nc1 ZINC001111830161 855596625 /nfs/dbraw/zinc/59/66/25/855596625.db2.gz NDOIMQCHBLTKMC-UHFFFAOYSA-N 0 1 297.322 0.836 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@]1(C)CCOC1 ZINC001115015535 855634669 /nfs/dbraw/zinc/63/46/69/855634669.db2.gz GPQPIAZFWOYDFK-ORIJERBGSA-N 0 1 292.379 0.109 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC001116705242 855816117 /nfs/dbraw/zinc/81/61/17/855816117.db2.gz AIGIPUDTUMEIMI-QWHCGFSZSA-N 0 1 299.415 0.674 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)NCCN2CCCOCC2)C1 ZINC001117838775 856089221 /nfs/dbraw/zinc/08/92/21/856089221.db2.gz NCQPSKVRMIPYJF-CQSZACIVSA-N 0 1 297.399 0.695 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC[C@](C)(C#N)C2)C[C@@H](C)N1 ZINC001118059622 856149977 /nfs/dbraw/zinc/14/99/77/856149977.db2.gz PYAQXRCKHFOTHD-LALPHHSUSA-N 0 1 292.383 0.347 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CC[C@@](O)(CC#N)C1 ZINC001118182400 856190131 /nfs/dbraw/zinc/19/01/31/856190131.db2.gz BEDMZIRGFJJTRS-LBPRGKRZSA-N 0 1 263.301 0.278 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001118382611 856284065 /nfs/dbraw/zinc/28/40/65/856284065.db2.gz KDZVONPBGAOHDK-UWVGGRQHSA-N 0 1 278.312 0.311 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2cn3c(n2)COCC3)NO1 ZINC001118908205 856487096 /nfs/dbraw/zinc/48/70/96/856487096.db2.gz QRDFHSACAKMAAZ-ZETCQYMHSA-N 0 1 250.258 0.265 20 30 CCEDMN C=CC[C@H](NC(=O)NC[C@@H](C)C[NH+]1CCOCC1)C(=O)[O-] ZINC001119577598 856750982 /nfs/dbraw/zinc/75/09/82/856750982.db2.gz QSDOWNSKTANPLB-NEPJUHHUSA-N 0 1 299.371 0.283 20 30 CCEDMN CNc1ccc([N+](=O)[O-])cc1C(=O)NCC#CCN(C)C ZINC001119595569 856766320 /nfs/dbraw/zinc/76/63/20/856766320.db2.gz CAZPQGXHIZCACT-UHFFFAOYSA-N 0 1 290.323 0.931 20 30 CCEDMN CSc1nc(=O)[n-]c(C)c1C(=O)NCC#CC[NH+](C)C ZINC001119663848 856825229 /nfs/dbraw/zinc/82/52/29/856825229.db2.gz WVJKRLJUMXZTTJ-UHFFFAOYSA-N 0 1 294.380 0.507 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C[C@H](C)Nc1ncccc1C#N ZINC001120401022 857058352 /nfs/dbraw/zinc/05/83/52/857058352.db2.gz ZSIULGADMFBVQY-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN C=CCCC(=O)NCC1(NCc2n[nH]c(C)n2)CC1 ZINC001323406496 912329244 /nfs/dbraw/zinc/32/92/44/912329244.db2.gz PUZLPDDDYOFDNT-UHFFFAOYSA-N 0 1 263.345 0.818 20 30 CCEDMN C#CCNC1(CNC(=O)C(=O)N2CCC(C)CC2)CC1 ZINC001323568616 912420388 /nfs/dbraw/zinc/42/03/88/912420388.db2.gz UKRPTYJOSXIDMQ-UHFFFAOYSA-N 0 1 277.368 0.117 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)NCC3(NCC#N)CC3)c2C1 ZINC001323583391 912428619 /nfs/dbraw/zinc/42/86/19/912428619.db2.gz UXXDNEMPIDNCFV-SNVBAGLBSA-N 0 1 287.367 0.910 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2[nH]cnc2C)CCO1 ZINC001393588522 912664885 /nfs/dbraw/zinc/66/48/85/912664885.db2.gz NBZFLNMEXKOVFV-NSHDSACASA-N 0 1 298.774 0.901 20 30 CCEDMN C=C(Cl)CN[C@@]1(CO)CCCN(C(=O)[C@H](C)C#N)C1 ZINC001393686698 912716126 /nfs/dbraw/zinc/71/61/26/912716126.db2.gz APLXBHWIBVOBRY-MFKMUULPSA-N 0 1 285.775 0.842 20 30 CCEDMN C[C@@H](CCNC(=O)c1c[nH]c(C#N)c1)NCc1ncccn1 ZINC001393799345 912766138 /nfs/dbraw/zinc/76/61/38/912766138.db2.gz MFCJJIAQNBJEOY-NSHDSACASA-N 0 1 298.350 0.975 20 30 CCEDMN C#CCN(C(=O)c1cc(C)nn1C)C1CCN(CC#C)CC1 ZINC001324373127 912806375 /nfs/dbraw/zinc/80/63/75/912806375.db2.gz ADRBOZOZQZUXCB-UHFFFAOYSA-N 0 1 298.390 0.902 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@](C)(NC(=O)Cc2cc[nH]n2)C1 ZINC001324601119 912926578 /nfs/dbraw/zinc/92/65/78/912926578.db2.gz JNGWQIHWQPRJHL-OAHLLOKOSA-N 0 1 292.383 0.735 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC001324794560 913039407 /nfs/dbraw/zinc/03/94/07/913039407.db2.gz MFXXOQVYSSXJMG-GFCCVEGCSA-N 0 1 288.351 0.823 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H]2[C@@H](CNC(C)=O)[C@@H]2C1 ZINC001394280977 913112147 /nfs/dbraw/zinc/11/21/47/913112147.db2.gz OKDPSUZZBCDICE-ZSOGYDGISA-N 0 1 292.383 0.062 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@@H](COC)OC)C1 ZINC001324959986 913120735 /nfs/dbraw/zinc/12/07/35/913120735.db2.gz CRWGEJSHWTYLAB-HIFRSBDPSA-N 0 1 282.384 0.499 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CNC(=O)OC ZINC001394456829 913180854 /nfs/dbraw/zinc/18/08/54/913180854.db2.gz BRTRPPTUJRYXOP-SECBINFHSA-N 0 1 277.752 0.531 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)CO[C@H](C)CC)C1 ZINC001325087710 913202773 /nfs/dbraw/zinc/20/27/73/913202773.db2.gz WLLXJXZRRPCBSV-UKRRQHHQSA-N 0 1 282.384 0.378 20 30 CCEDMN Cc1cc(CN[C@H](CO)CNC(=O)[C@H](C)C#N)cs1 ZINC001394585978 913294798 /nfs/dbraw/zinc/29/47/98/913294798.db2.gz ZWYKGEYHHZCIFP-SKDRFNHKSA-N 0 1 281.381 0.783 20 30 CCEDMN C#CCOCCN(C)[C@H](C)C(=O)NCC(F)(F)F ZINC001325513966 913444311 /nfs/dbraw/zinc/44/43/11/913444311.db2.gz YNXFNEKAZWXWDF-SECBINFHSA-N 0 1 266.263 0.635 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCNC(=O)c1cnn[nH]1 ZINC001283294997 891728044 /nfs/dbraw/zinc/72/80/44/891728044.db2.gz JUSGOPDMITYWBZ-ZJUUUORDSA-N 0 1 279.344 0.499 20 30 CCEDMN C#CCN(C)CCNC(=O)c1c(F)cccc1NC(N)=O ZINC001480917458 891799831 /nfs/dbraw/zinc/79/98/31/891799831.db2.gz MNCFRUNSUHBJFV-UHFFFAOYSA-N 0 1 292.314 0.611 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)Cc2nonc2C)C1 ZINC001325861080 913613998 /nfs/dbraw/zinc/61/39/98/913613998.db2.gz RREYQHAQAPKACH-CQSZACIVSA-N 0 1 294.355 0.050 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)C[C@H]1CCCO1 ZINC001480963084 891984652 /nfs/dbraw/zinc/98/46/52/891984652.db2.gz VDWPXAGISOBNEC-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCN(CC)CC#CCOC ZINC001481002064 892042411 /nfs/dbraw/zinc/04/24/11/892042411.db2.gz KBYKIGHPZUCWMY-CABCVRRESA-N 0 1 294.395 0.665 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CCCC(=O)N1C ZINC001481014273 892059843 /nfs/dbraw/zinc/05/98/43/892059843.db2.gz GPOPOQMNWKBQFW-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN(CCNC(=O)c1ccnc2ccnn21)C1CC1 ZINC001481173233 892269116 /nfs/dbraw/zinc/26/91/16/892269116.db2.gz MWEWEQCZEFTYJD-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN(CCNC(=O)[C@H]1CCCc2nn[nH]c21)C1CC1 ZINC001481182091 892279681 /nfs/dbraw/zinc/27/96/81/892279681.db2.gz FMOYUICXCLBACH-LBPRGKRZSA-N 0 1 287.367 0.438 20 30 CCEDMN CN1CCC(CN2C[C@H]3CS(=O)(=O)C[C@]3(C#N)C2)CC1 ZINC001414187608 892482468 /nfs/dbraw/zinc/48/24/68/892482468.db2.gz NLHLXEOHKKAFPN-KBPBESRZSA-N 0 1 297.424 0.198 20 30 CCEDMN CC(C)N1CCC[C@@H]1C(=O)[C@H](C#N)C(=O)NC1CC1 ZINC001342049597 892661303 /nfs/dbraw/zinc/66/13/03/892661303.db2.gz SXTXBYIPBLEIRH-NWDGAFQWSA-N 0 1 263.341 0.847 20 30 CCEDMN C#CC[N@H+]1CC[C@H](CCNC(=O)c2[n-]nnc2C)C1 ZINC001481442950 892668074 /nfs/dbraw/zinc/66/80/74/892668074.db2.gz NHMDMLXCHMOKOU-NSHDSACASA-N 0 1 261.329 0.188 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CCNC(=O)c2[n-]nnc2C)C1 ZINC001481442950 892668085 /nfs/dbraw/zinc/66/80/85/892668085.db2.gz NHMDMLXCHMOKOU-NSHDSACASA-N 0 1 261.329 0.188 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1c(C)ncn1C ZINC001027948139 892766965 /nfs/dbraw/zinc/76/69/65/892766965.db2.gz ADSINEXPUQEREC-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCN1CC(NC(=O)[C@H](F)CN(CC)CC)C1 ZINC001481562970 892838081 /nfs/dbraw/zinc/83/80/81/892838081.db2.gz KRRSJDMLZNXGSZ-GFCCVEGCSA-N 0 1 257.353 0.653 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)C2=COCCO2)C1 ZINC001481610786 892898316 /nfs/dbraw/zinc/89/83/16/892898316.db2.gz RMUGQMFVIARYDE-UHFFFAOYSA-N 0 1 282.340 0.125 20 30 CCEDMN C=CCN1CC(CNC(=O)COc2c(C)nn(C)c2C)C1 ZINC001481625842 892924388 /nfs/dbraw/zinc/92/43/88/892924388.db2.gz RBYAWXLFUATCQW-UHFFFAOYSA-N 0 1 292.383 0.650 20 30 CCEDMN C=CCN1CC(CNC(=O)CN2CCCCCC2=O)C1 ZINC001481659664 892976857 /nfs/dbraw/zinc/97/68/57/892976857.db2.gz ZQXNLLXVGYIBTI-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)NC(C)=O)C1 ZINC001481694437 893018032 /nfs/dbraw/zinc/01/80/32/893018032.db2.gz UAIIUQKIKHUDAE-NSHDSACASA-N 0 1 253.346 0.278 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC[C@@]2(C)CCC(=O)N2)C1 ZINC001481697366 893020310 /nfs/dbraw/zinc/02/03/10/893020310.db2.gz JDUYTNQXDVOWEH-BBRMVZONSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H](NC(C)=O)[C@@H](C)CC)C1 ZINC001481752790 893112923 /nfs/dbraw/zinc/11/29/23/893112923.db2.gz JASMIAJZEXMONP-CFVMTHIKSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@H]2CCOC2)C1 ZINC001481761415 893123736 /nfs/dbraw/zinc/12/37/36/893123736.db2.gz KUJBTHDUBQLSLO-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H](C)C#N)NCc1ccc(OC)nn1 ZINC001481796064 893165094 /nfs/dbraw/zinc/16/50/94/893165094.db2.gz BTJKJRKGPAYFEP-QWRGUYRKSA-N 0 1 291.355 0.629 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)c2cncnc2)C1 ZINC001482186701 893478410 /nfs/dbraw/zinc/47/84/10/893478410.db2.gz LFKYMYDSHDXQNY-CQSZACIVSA-N 0 1 290.367 0.873 20 30 CCEDMN C=CCN1CCO[C@@H](CNC(=O)[C@@H](C)Cc2cnc[nH]2)C1 ZINC001482200403 893524444 /nfs/dbraw/zinc/52/44/44/893524444.db2.gz LJRSNZOPXVTWFC-JSGCOSHPSA-N 0 1 292.383 0.591 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N(C)C1CC(NCC#N)C1 ZINC001284818651 893709809 /nfs/dbraw/zinc/70/98/09/893709809.db2.gz JJMCIXXPGZSHNN-IYXRBSQSSA-N 0 1 294.399 0.057 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1c[nH]c(=O)cn1 ZINC001482323782 893861122 /nfs/dbraw/zinc/86/11/22/893861122.db2.gz QVHRHOOTCOTEBL-VIFPVBQESA-N 0 1 284.747 0.573 20 30 CCEDMN N#Cc1cc(CS(=O)(=O)NCCc2nc[nH]n2)cs1 ZINC001364013047 893889723 /nfs/dbraw/zinc/88/97/23/893889723.db2.gz NTZUXKFTHVIPBK-UHFFFAOYSA-N 0 1 297.365 0.400 20 30 CCEDMN N#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCO)[nH]1 ZINC001029275685 893948473 /nfs/dbraw/zinc/94/84/73/893948473.db2.gz LTCNZDFVUWCEKJ-TXEJJXNPSA-N 0 1 274.324 0.167 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@](CO)(NC(=O)CCCOC)C1 ZINC001271941592 894228281 /nfs/dbraw/zinc/22/82/81/894228281.db2.gz OETBFGWGRHQCRU-OAHLLOKOSA-N 0 1 282.384 0.379 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)C[C@@H]1N[C@@H](C)CC(C)(C)C#N ZINC000697293051 894315246 /nfs/dbraw/zinc/31/52/46/894315246.db2.gz UTSCKRKMTNKXRI-GARJFASQSA-N 0 1 274.386 0.716 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)Cn1ncc2cccnc21 ZINC001482615076 894413241 /nfs/dbraw/zinc/41/32/41/894413241.db2.gz YJLIRVZOTHFEFK-UHFFFAOYSA-N 0 1 299.378 0.845 20 30 CCEDMN N#Cc1ccccc1CNC[C@@H](O)CNC(=O)c1ccc[nH]1 ZINC001482706321 894522687 /nfs/dbraw/zinc/52/26/87/894522687.db2.gz BPVIXNSKRDWJQZ-CQSZACIVSA-N 0 1 298.346 0.767 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1(C)CCOCC1 ZINC001482777353 894595340 /nfs/dbraw/zinc/59/53/40/894595340.db2.gz AIJUTTJYAROSTA-NSHDSACASA-N 0 1 290.791 0.622 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@@]1(C)CCCOC1 ZINC001506030795 894729935 /nfs/dbraw/zinc/72/99/35/894729935.db2.gz UJKOXXLUFMQPGE-ZBFHGGJFSA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001506621428 894879321 /nfs/dbraw/zinc/87/93/21/894879321.db2.gz LMVOVTPMWIATJE-NWDGAFQWSA-N 0 1 279.384 0.361 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H]1CCCC(=O)N1 ZINC001483146467 895027110 /nfs/dbraw/zinc/02/71/10/895027110.db2.gz AGIVLQLRJPZODW-GHMZBOCLSA-N 0 1 287.791 0.892 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCc1cn(C)nn1 ZINC001409469520 895194623 /nfs/dbraw/zinc/19/46/23/895194623.db2.gz NHDPQQQIZDYROM-GHMZBOCLSA-N 0 1 299.806 0.983 20 30 CCEDMN C=C(Cl)CN1CC(CCO)(NC(=O)Cc2cnc[nH]2)C1 ZINC001409741323 895357888 /nfs/dbraw/zinc/35/78/88/895357888.db2.gz QEMTYNCVROHAHV-UHFFFAOYSA-N 0 1 298.774 0.258 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)[C@]1(C)C=CCC1 ZINC001507227229 895492314 /nfs/dbraw/zinc/49/23/14/895492314.db2.gz VQGYUSROZIKVEI-UKRRQHHQSA-N 0 1 280.368 0.059 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CCNC(=O)C(C)(C)C ZINC001483368983 895505543 /nfs/dbraw/zinc/50/55/43/895505543.db2.gz GAVKLGHYKAWUHU-ZDUSSCGKSA-N 0 1 295.427 0.999 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cnc(C)n1C ZINC001483377378 895518872 /nfs/dbraw/zinc/51/88/72/895518872.db2.gz JCICVLSHCDPAHP-LLVKDONJSA-N 0 1 262.357 0.802 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccnc2c1nnn2C ZINC001483377740 895519343 /nfs/dbraw/zinc/51/93/43/895519343.db2.gz KWMOFDMPEVNVNJ-JTQLQIEISA-N 0 1 286.339 0.047 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)Cc1ccn(C)n1 ZINC001483650278 895794894 /nfs/dbraw/zinc/79/48/94/895794894.db2.gz SCYGUTAXQAYZLV-ZDUSSCGKSA-N 0 1 262.357 0.729 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CCn2ccnn2)CC1 ZINC001483728834 895924472 /nfs/dbraw/zinc/92/44/72/895924472.db2.gz NNEMKKULNHKXAD-UHFFFAOYSA-N 0 1 283.763 0.659 20 30 CCEDMN C#CCOCCC(=O)NCC1(NCc2cnn(C)c2)CC1 ZINC001483732120 895931864 /nfs/dbraw/zinc/93/18/64/895931864.db2.gz DLDMZAHKMRERFR-UHFFFAOYSA-N 0 1 290.367 0.198 20 30 CCEDMN C[C@@H](NCc1nc(C#N)cs1)[C@@H]1CN(C)CCN1C ZINC001365614820 895977269 /nfs/dbraw/zinc/97/72/69/895977269.db2.gz JHIJLXIBUZUAJJ-PWSUYJOCSA-N 0 1 279.413 0.739 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2c[nH]c(=O)cn2)CC1 ZINC001483762687 896023860 /nfs/dbraw/zinc/02/38/60/896023860.db2.gz MGCXVCODELMGLA-UHFFFAOYSA-N 0 1 282.731 0.374 20 30 CCEDMN CC#CCNC1(CNC(=O)C(=O)N2CCC(C)CC2)CC1 ZINC001483774161 896041920 /nfs/dbraw/zinc/04/19/20/896041920.db2.gz ZKJWQFBNKQIYTA-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](C)NC(C)=O ZINC001483920108 896116330 /nfs/dbraw/zinc/11/63/30/896116330.db2.gz NCSPAVLSOCRYIM-JQWIXIFHSA-N 0 1 287.791 0.844 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCCc2nc(C)no2)C1 ZINC001484072677 896182341 /nfs/dbraw/zinc/18/23/41/896182341.db2.gz ZNXNHDATWKBSOG-HNNXBMFYSA-N 0 1 290.367 0.915 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)Cc2cc[nH]n2)C1 ZINC001484155240 896211234 /nfs/dbraw/zinc/21/12/34/896211234.db2.gz STXAXMXYAYXTEL-OAHLLOKOSA-N 0 1 274.368 0.804 20 30 CCEDMN CCn1ncc(CN[C@H]2C[C@H](CNC(=O)[C@H](C)C#N)C2)n1 ZINC001397108704 914045967 /nfs/dbraw/zinc/04/59/67/914045967.db2.gz KNYYPGYEKKVIPP-IJLUTSLNSA-N 0 1 290.371 0.442 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CC2CCCC2)C1 ZINC001484201548 896244288 /nfs/dbraw/zinc/24/42/88/896244288.db2.gz FPMFRBUOATUPSF-OAHLLOKOSA-N 0 1 264.369 0.753 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@H](CC)SC)C1 ZINC001484214514 896248112 /nfs/dbraw/zinc/24/81/12/896248112.db2.gz KMQJTKDIRQHFCG-GXTWGEPZSA-N 0 1 284.425 0.704 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C(C)=C2CCC2)C1 ZINC001484219574 896260369 /nfs/dbraw/zinc/26/03/69/896260369.db2.gz YILWJJCOCYCTKJ-OAHLLOKOSA-N 0 1 262.353 0.673 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](O)(CNC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001484230453 896265604 /nfs/dbraw/zinc/26/56/04/896265604.db2.gz LULILBPONTXRBU-STTCQZRRSA-N 0 1 292.379 0.149 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N1CC[C@H]([C@H](C)NCC#N)C1 ZINC001484359432 896353657 /nfs/dbraw/zinc/35/36/57/896353657.db2.gz WDUCHGLBHKZAMF-STQMWFEESA-N 0 1 266.389 0.677 20 30 CCEDMN CC(C)C(=O)NCCN1CCC(NCC#N)CC1 ZINC001484416755 896385161 /nfs/dbraw/zinc/38/51/61/896385161.db2.gz PXKYMXSSUFGLPK-UHFFFAOYSA-N 0 1 252.362 0.336 20 30 CCEDMN C#CCOCCC(=O)N1CC2(C1)CCN(CCF)CC2 ZINC001484577839 896479798 /nfs/dbraw/zinc/47/97/98/896479798.db2.gz MVWWQMNBIVDDMK-UHFFFAOYSA-N 0 1 282.359 0.920 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCCN(CC(=O)NCC)CC1 ZINC001484709774 896560201 /nfs/dbraw/zinc/56/02/01/896560201.db2.gz NNJKUMGXZMSVOE-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN CC#CC[N@H+](C)CCCN(C)C(=O)[C@]1(CC)CCNC1=O ZINC001484745666 896576627 /nfs/dbraw/zinc/57/66/27/896576627.db2.gz OJUWXHPNDYVDJB-MRXNPFEDSA-N 0 1 293.411 0.706 20 30 CCEDMN C=C(C)CN(C)CCCN(C)C(=O)CCS(C)(=O)=O ZINC001484747459 896583895 /nfs/dbraw/zinc/58/38/95/896583895.db2.gz QQBMKGFNGMQEHV-UHFFFAOYSA-N 0 1 290.429 0.778 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H](C)n2cccc2)CC1 ZINC001485016287 896720638 /nfs/dbraw/zinc/72/06/38/896720638.db2.gz APEBVRATYNXUCJ-AWEZNQCLSA-N 0 1 289.379 0.625 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2[nH]cnc2CC)CC1 ZINC001485028662 896724738 /nfs/dbraw/zinc/72/47/38/896724738.db2.gz XXLFMZKIWYXUQY-UHFFFAOYSA-N 0 1 292.383 0.715 20 30 CCEDMN CC[C@H](C(N)=O)N(C)C[C@H]1CCCN1C(=O)C#CC1CC1 ZINC001485056130 896731143 /nfs/dbraw/zinc/73/11/43/896731143.db2.gz PONDZNXBCSOWLK-ZIAGYGMSSA-N 0 1 291.395 0.587 20 30 CCEDMN COCC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](C)COC ZINC001485050543 896739088 /nfs/dbraw/zinc/73/90/88/896739088.db2.gz NYYWFNCBTDQHFP-LSDHHAIUSA-N 0 1 296.411 0.842 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@]1(C)CCNC1=O ZINC001485069285 896746357 /nfs/dbraw/zinc/74/63/57/896746357.db2.gz NHUOWEPENGCDLO-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001397249989 914114551 /nfs/dbraw/zinc/11/45/51/914114551.db2.gz BTFSRJJHBCXOIU-WISYIIOYSA-N 0 1 278.356 0.004 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)CCn2cccn2)C1 ZINC001485489010 897073942 /nfs/dbraw/zinc/07/39/42/897073942.db2.gz APXTVUZRCTVJDW-LBPRGKRZSA-N 0 1 262.357 0.896 20 30 CCEDMN NC(=O)C(=O)N1CCCC[C@@H]1CNCC#Cc1ccccc1 ZINC001326736731 914126224 /nfs/dbraw/zinc/12/62/24/914126224.db2.gz XHWYFWNJHIURJR-OAHLLOKOSA-N 0 1 299.374 0.494 20 30 CCEDMN C[C@@H](NC(=O)CC#Cc1ccccc1)C1CN(CCO)C1 ZINC001485538766 897107589 /nfs/dbraw/zinc/10/75/89/897107589.db2.gz FIBCAMLTDRSYGF-CQSZACIVSA-N 0 1 286.375 0.857 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C(=O)N2CCC[C@H](C)C2)C1 ZINC001485527166 897107852 /nfs/dbraw/zinc/10/78/52/897107852.db2.gz QMEOIFQYURJZQV-QWHCGFSZSA-N 0 1 293.411 0.867 20 30 CCEDMN COCCCN1CCO[C@@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001107821333 897324524 /nfs/dbraw/zinc/32/45/24/897324524.db2.gz NEVLRJFIZZGASN-OCCSQVGLSA-N 0 1 283.372 0.390 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1ccnn1C ZINC001032409229 897488125 /nfs/dbraw/zinc/48/81/25/897488125.db2.gz GEGLHZUZCJTOCX-RDBSUJKOSA-N 0 1 286.379 0.832 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H](O)C(C)C)C1 ZINC001107917286 897496861 /nfs/dbraw/zinc/49/68/61/897496861.db2.gz XNTGPQQJTXCHQZ-HIFRSBDPSA-N 0 1 284.400 0.787 20 30 CCEDMN C[C@H](CNc1ncccc1C#N)NC(=O)Cc1nnc[nH]1 ZINC001107920892 897501064 /nfs/dbraw/zinc/50/10/64/897501064.db2.gz LCFIERNIXRGRRO-SECBINFHSA-N 0 1 285.311 0.231 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@]1(C)CN(CCC=C)CCO1 ZINC001107939378 897528817 /nfs/dbraw/zinc/52/88/17/897528817.db2.gz AJVVHSZMYLCMSA-ZBFHGGJFSA-N 0 1 294.395 0.808 20 30 CCEDMN C[N@@H+](CC(=O)N1C[C@@H]2C[C@H]1CN2CC#N)C1CCC1 ZINC001032447757 897574651 /nfs/dbraw/zinc/57/46/51/897574651.db2.gz XGQNAIAQXILHII-STQMWFEESA-N 0 1 262.357 0.279 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCNC(=O)CC1 ZINC001032542001 897725319 /nfs/dbraw/zinc/72/53/19/897725319.db2.gz JFXXUJOYFAEUSH-RDBSUJKOSA-N 0 1 289.379 0.211 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)[C@@H](O)C1 ZINC001083793935 897863714 /nfs/dbraw/zinc/86/37/14/897863714.db2.gz SYCHYZPIFSRVHQ-POQQGIQPSA-N 0 1 280.368 0.291 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3cccnc3C)C2)OCC1=O ZINC001272828328 898020794 /nfs/dbraw/zinc/02/07/94/898020794.db2.gz NSAISWFVDKAGQU-KRWDZBQOSA-N 0 1 299.374 0.827 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cccn(C)c1=O ZINC001032739090 898043839 /nfs/dbraw/zinc/04/38/39/898043839.db2.gz XYOSAZHROCGNHU-STQMWFEESA-N 0 1 287.363 0.860 20 30 CCEDMN COC(CN1CCC[C@]12CCN(CCCC#N)C2=O)OC ZINC001272860402 898089088 /nfs/dbraw/zinc/08/90/88/898089088.db2.gz CIIXKVWMAUIING-OAHLLOKOSA-N 0 1 295.383 0.976 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC23CCCC3)C1 ZINC001077951728 898101780 /nfs/dbraw/zinc/10/17/80/898101780.db2.gz CNGWTSUOWPTPKW-BFHYXJOUSA-N 0 1 276.380 0.751 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)C(C)(C)C(N)=O)C1 ZINC001108007095 898103957 /nfs/dbraw/zinc/10/39/57/898103957.db2.gz MCLGQUSKGHZTHR-HNNXBMFYSA-N 0 1 297.399 0.281 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3cc(C)n(C)n3)C2)C1=O ZINC001272880753 898116370 /nfs/dbraw/zinc/11/63/70/898116370.db2.gz MOOXWAYUIKUJCE-MRXNPFEDSA-N 0 1 286.379 0.786 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CCN1CCCC1=O ZINC001032787356 898210696 /nfs/dbraw/zinc/21/06/96/898210696.db2.gz LIGSKVJSKLQBSP-KBPBESRZSA-N 0 1 291.395 0.860 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2csc(C)n2)C1 ZINC001078140056 898261965 /nfs/dbraw/zinc/26/19/65/898261965.db2.gz VPLDLDVRRPGZMQ-CHWSQXEVSA-N 0 1 295.408 0.731 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H](C)NC(=O)[C@H]1CCCN1C ZINC001078136761 898263312 /nfs/dbraw/zinc/26/33/12/898263312.db2.gz VGBJLUZESADSLC-GRYCIOLGSA-N 0 1 280.372 0.251 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2c(C)n[nH]c2C)C1 ZINC001078167754 898284052 /nfs/dbraw/zinc/28/40/52/898284052.db2.gz OWXBYZJEGKDYAU-ZIAGYGMSSA-N 0 1 292.383 0.306 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001078258119 898316263 /nfs/dbraw/zinc/31/62/63/898316263.db2.gz RMQLGWIMHILTRZ-RMPHEQRESA-N 0 1 288.391 0.463 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)C1 ZINC001078258119 898316278 /nfs/dbraw/zinc/31/62/78/898316278.db2.gz RMQLGWIMHILTRZ-RMPHEQRESA-N 0 1 288.391 0.463 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC23CCOCC3)C1 ZINC001078292705 898336832 /nfs/dbraw/zinc/33/68/32/898336832.db2.gz UMXIBAYPTGYIMP-BFHYXJOUSA-N 0 1 294.395 0.541 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)CC1=CCCCC1 ZINC001485982153 898565490 /nfs/dbraw/zinc/56/54/90/898565490.db2.gz JRUPLDNQTRGETD-HNNXBMFYSA-N 0 1 294.395 0.593 20 30 CCEDMN Cn1cc(CN[C@H](CO)CNC(=O)C#CC(C)(C)C)cn1 ZINC001485996900 898582905 /nfs/dbraw/zinc/58/29/05/898582905.db2.gz ZHIZRGDPRMPEMP-ZDUSSCGKSA-N 0 1 292.383 0.036 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(C)n([C@@H](C)CC)n1 ZINC001486011531 898605566 /nfs/dbraw/zinc/60/55/66/898605566.db2.gz VQTRCTTUGDYWLK-AAEUAGOBSA-N 0 1 292.383 0.476 20 30 CCEDMN C#CCNC(=O)CN1CC[C@]2(NC(=O)CCC)CCC[C@@H]12 ZINC001486134724 898680364 /nfs/dbraw/zinc/68/03/64/898680364.db2.gz GQXSLNGDPHMCHE-CZUORRHYSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H](C)c1cccnc1 ZINC001486355514 898817376 /nfs/dbraw/zinc/81/73/76/898817376.db2.gz IWZQRZKCAGHTRI-DZGCQCFKSA-N 0 1 289.379 0.569 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1occ2c1CCC2 ZINC001486344793 898819230 /nfs/dbraw/zinc/81/92/30/898819230.db2.gz WLVPRATVKKOTGY-ZDUSSCGKSA-N 0 1 290.363 0.766 20 30 CCEDMN C=CCCC(=O)N1CCO[C@@H](CNCc2cnns2)C1 ZINC001326956565 914292416 /nfs/dbraw/zinc/29/24/16/914292416.db2.gz CZHHAQRPVTZMSJ-NSHDSACASA-N 0 1 296.396 0.821 20 30 CCEDMN COCC#CCN1CC=C(CNC(=O)[C@@H]2CCCO2)CC1 ZINC001486502379 898905049 /nfs/dbraw/zinc/90/50/49/898905049.db2.gz PYJLSZLXWRYOMY-HNNXBMFYSA-N 0 1 292.379 0.564 20 30 CCEDMN COCC#CCN1CC=C(CNC(=O)c2cn[nH]c2)CC1 ZINC001486502573 898905951 /nfs/dbraw/zinc/90/59/51/898905951.db2.gz MUMVVKLRCNUJEU-UHFFFAOYSA-N 0 1 288.351 0.421 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](CNCc2ncccn2)C1 ZINC001410180962 899261796 /nfs/dbraw/zinc/26/17/96/899261796.db2.gz ILBNQTDMFSIUKR-IJLUTSLNSA-N 0 1 273.340 0.621 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC(C)(C)CC#N)C1 ZINC001434773822 899499592 /nfs/dbraw/zinc/49/95/92/899499592.db2.gz NLWFWQVQNSTPSZ-GFCCVEGCSA-N 0 1 281.404 0.471 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC001494701055 899565112 /nfs/dbraw/zinc/56/51/12/899565112.db2.gz HJWFRULURNXTFI-NSHDSACASA-N 0 1 293.371 0.009 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](C)NC(=O)C(C)(C)C)C1 ZINC001494770352 899621612 /nfs/dbraw/zinc/62/16/12/899621612.db2.gz ALKKLTXESAYORH-VXGBXAGGSA-N 0 1 281.400 0.914 20 30 CCEDMN C=CCOCCN1CCN([C@H]2CCN(C(=O)CC)C2)CC1 ZINC001327082295 914365273 /nfs/dbraw/zinc/36/52/73/914365273.db2.gz LSFUOXSSUKBORA-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@H](NC(=O)COC)CC1 ZINC001327093656 914369919 /nfs/dbraw/zinc/36/99/19/914369919.db2.gz FFVKFJWWUZNXGT-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001415293922 899767873 /nfs/dbraw/zinc/76/78/73/899767873.db2.gz WZRXPUUNFPJEFJ-NXEZZACHSA-N 0 1 275.312 0.148 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCCF)C[C@@H]1n1ccnn1 ZINC001129969357 899812868 /nfs/dbraw/zinc/81/28/68/899812868.db2.gz PBOPBMIHYNMSNL-NEPJUHHUSA-N 0 1 279.319 0.003 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)C#CC2CC2)CCN1C(=O)c1ccn[nH]1 ZINC001488118746 900225830 /nfs/dbraw/zinc/22/58/30/900225830.db2.gz PXGWQHDXMPJRNN-ZYHUDNBSSA-N 0 1 286.335 0.542 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](CNC(=O)c1cnn[nH]1)C(C)(C)C ZINC001488518900 900305170 /nfs/dbraw/zinc/30/51/70/900305170.db2.gz QENXCJSOGKPEKZ-PSASIEDQSA-N 0 1 292.343 0.225 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(=O)NCC2CC2)C[C@H]1C ZINC001488532131 900318678 /nfs/dbraw/zinc/31/86/78/900318678.db2.gz NOFSIUHOOIVTGC-BXKDBHETSA-N 0 1 299.802 0.702 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)COC[C@@H]1CCCO1 ZINC001488701266 900365075 /nfs/dbraw/zinc/36/50/75/900365075.db2.gz AQUISPKRVUVDMH-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N[C@@H]1CCCN(CC#N)C1 ZINC001490438325 900621081 /nfs/dbraw/zinc/62/10/81/900621081.db2.gz GFAKYUPLNVCGIY-OLZOCXBDSA-N 0 1 284.379 0.770 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)Cc2ccon2)CC1 ZINC001490464797 900631879 /nfs/dbraw/zinc/63/18/79/900631879.db2.gz ATWSWYKRZMDNMO-UHFFFAOYSA-N 0 1 292.383 0.527 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C2CC(F)(F)C2)CC1 ZINC001490464349 900646502 /nfs/dbraw/zinc/64/65/02/900646502.db2.gz CGUFBWBIADPSOW-UHFFFAOYSA-N 0 1 299.365 0.789 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](NCc1nccn1C)C1CC1 ZINC001490645680 900674413 /nfs/dbraw/zinc/67/44/13/900674413.db2.gz WAOQBULLURDQEA-CMPLNLGQSA-N 0 1 275.356 0.564 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)CNCc1ncccn1 ZINC001319185756 900872755 /nfs/dbraw/zinc/87/27/55/900872755.db2.gz LGXTUDZXPGPVDE-CYBMUJFWSA-N 0 1 274.368 0.978 20 30 CCEDMN CC(C)n1ncnc1CNCCCN(C)C(=O)[C@@H](C)C#N ZINC001322442412 901005483 /nfs/dbraw/zinc/00/54/83/901005483.db2.gz LOCUZDWVCNYXED-LBPRGKRZSA-N 0 1 292.387 0.957 20 30 CCEDMN CC#CC(=O)NC(C)(C)CN1CCN(CC)CC1 ZINC001299467347 901010921 /nfs/dbraw/zinc/01/09/21/901010921.db2.gz VQGHLEBUINSCBM-UHFFFAOYSA-N 0 1 251.374 0.542 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](C)n1cncn1 ZINC001445548869 901212535 /nfs/dbraw/zinc/21/25/35/901212535.db2.gz AAUWYLIPEYAZTM-VHSXEESVSA-N 0 1 271.752 0.686 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)nc1 ZINC001411937943 901342936 /nfs/dbraw/zinc/34/29/36/901342936.db2.gz NNJJDXWDJSHYHJ-SNVBAGLBSA-N 0 1 283.295 0.486 20 30 CCEDMN CC(C)c1nc(CNC(=O)[C@@]2(C#N)CCCOC2)n[nH]1 ZINC001412168275 901519536 /nfs/dbraw/zinc/51/95/36/901519536.db2.gz BZTMMFNFCSMMDI-CYBMUJFWSA-N 0 1 277.328 0.865 20 30 CCEDMN Cc1nc(C(=O)N2CCC(c3nn[nH]n3)CC2)ccc1C#N ZINC001412486163 901760182 /nfs/dbraw/zinc/76/01/82/901760182.db2.gz IWYZXSKQEJIADS-UHFFFAOYSA-N 0 1 297.322 0.795 20 30 CCEDMN N#CCc1ccc(C(=O)NCCN2CCC(O)CC2)cc1 ZINC001412966287 902401405 /nfs/dbraw/zinc/40/14/05/902401405.db2.gz FSGIOMMQTYBVAW-UHFFFAOYSA-N 0 1 287.363 0.939 20 30 CCEDMN N#CC1(CC(=O)N2CC[C@@H](c3nc[nH]n3)C2)CCOCC1 ZINC001413146930 902733085 /nfs/dbraw/zinc/73/30/85/902733085.db2.gz VHMXDPXJKNHPGS-LLVKDONJSA-N 0 1 289.339 0.831 20 30 CCEDMN C=CCCCC(=O)N1CCN(C(=O)Cc2c[nH]cn2)CC1 ZINC001491044743 903307980 /nfs/dbraw/zinc/30/79/80/903307980.db2.gz MHLIPVMJNRHOIQ-UHFFFAOYSA-N 0 1 290.367 0.979 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](C)NCc1cc2n(n1)CCCC2 ZINC001491413677 903562800 /nfs/dbraw/zinc/56/28/00/903562800.db2.gz GGQPLYUPZUZEFI-RYUDHWBXSA-N 0 1 289.383 0.973 20 30 CCEDMN CCn1nnc(C)c1CN[C@@H](C)CNC(=O)C#CC1CC1 ZINC001491450900 903603218 /nfs/dbraw/zinc/60/32/18/903603218.db2.gz COHUMJJCADSWGS-NSHDSACASA-N 0 1 289.383 0.614 20 30 CCEDMN CCc1cc(C(=O)N(C)CCCN(C)CC#N)n[nH]1 ZINC001280787210 904152574 /nfs/dbraw/zinc/15/25/74/904152574.db2.gz JPCUTDQIEPNHNL-UHFFFAOYSA-N 0 1 263.345 0.890 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cn1ccccc1=O ZINC001316609744 904259420 /nfs/dbraw/zinc/25/94/20/904259420.db2.gz NXGMWLIWFWKSRR-ZDUSSCGKSA-N 0 1 275.352 0.567 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)COCCOCC)C(C)(C)C1 ZINC001281567923 904307803 /nfs/dbraw/zinc/30/78/03/904307803.db2.gz RHVQJLDKUZYZBF-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)c2cc(Cl)c[nH]2)CC1 ZINC001281798599 904350249 /nfs/dbraw/zinc/35/02/49/904350249.db2.gz GUPOAOOULCXZDH-UHFFFAOYSA-N 0 1 295.770 0.858 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@@H]1CN(C)CC#CCOC ZINC001281916349 904369649 /nfs/dbraw/zinc/36/96/49/904369649.db2.gz JPUBZNTYRTUBEN-OAHLLOKOSA-N 0 1 294.395 0.762 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1cnn(C)c1C ZINC001282354037 904451309 /nfs/dbraw/zinc/45/13/09/904451309.db2.gz GJBVQJOMNGEBCU-GFCCVEGCSA-N 0 1 292.383 0.428 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cc(C)nn1CC ZINC001282466218 904486849 /nfs/dbraw/zinc/48/68/49/904486849.db2.gz BGTVGLMFPIUYGS-GFCCVEGCSA-N 0 1 262.357 0.895 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCNC(=O)CC(C)C ZINC001282504328 904494892 /nfs/dbraw/zinc/49/48/92/904494892.db2.gz NYZGRYWLLKWAJY-CQSZACIVSA-N 0 1 295.427 0.999 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H](CC(C)C)C(N)=O ZINC001282505256 904496675 /nfs/dbraw/zinc/49/66/75/904496675.db2.gz WXAPPEJLRUEWFI-CHWSQXEVSA-N 0 1 281.400 0.594 20 30 CCEDMN COCCCN1CCO[C@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC001282775231 904539096 /nfs/dbraw/zinc/53/90/96/904539096.db2.gz OVMMZAHBPZJFSJ-UKRRQHHQSA-N 0 1 295.383 0.486 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC001327914955 914857099 /nfs/dbraw/zinc/85/70/99/914857099.db2.gz XSTCTXZZAUCWNJ-VXGBXAGGSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(C)oc1C ZINC001283734775 904975766 /nfs/dbraw/zinc/97/57/66/904975766.db2.gz QMWLJIDRJDTWMU-LLVKDONJSA-N 0 1 250.298 0.210 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cccc(CC)n1 ZINC001283765825 905003187 /nfs/dbraw/zinc/00/31/87/905003187.db2.gz WTMRAAVHMIMASD-CYBMUJFWSA-N 0 1 275.352 0.348 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1Cc2ccc(F)cc21 ZINC001283796649 905019325 /nfs/dbraw/zinc/01/93/25/905019325.db2.gz JWONTRBKJXWCHN-JSGCOSHPSA-N 0 1 276.311 0.165 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1CC(C(C)(C)C)C1 ZINC001283832101 905044606 /nfs/dbraw/zinc/04/46/06/905044606.db2.gz RKQLVUDPJWHVTL-BPCQOVAHSA-N 0 1 266.385 0.759 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CC#Cc1ccccc1 ZINC001283832205 905049474 /nfs/dbraw/zinc/04/94/74/905049474.db2.gz RRGNVIXFGUBNBS-OAHLLOKOSA-N 0 1 270.332 0.128 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@]2(NC(C)=O)CCC[C@H]12 ZINC001284031864 905123162 /nfs/dbraw/zinc/12/31/62/905123162.db2.gz SBYKHPDGWHIQIW-WHOFXGATSA-N 0 1 277.368 0.257 20 30 CCEDMN C=CCCCC(=O)N1CC[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001284030890 905124300 /nfs/dbraw/zinc/12/43/00/905124300.db2.gz PFZPNPQXGQSEAE-NSHDSACASA-N 0 1 291.355 0.421 20 30 CCEDMN C=CCOCC(=O)N[C@]12CCC[C@@H]1N([C@@H](C)C(N)=O)CC2 ZINC001284047954 905133045 /nfs/dbraw/zinc/13/30/45/905133045.db2.gz ZJUDZVDRCDQHSM-HUBLWGQQSA-N 0 1 295.383 0.176 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)NC(=O)Cc1cc(C)n[nH]1 ZINC001284210242 905194935 /nfs/dbraw/zinc/19/49/35/905194935.db2.gz WONXPVDGBBTNCD-LLVKDONJSA-N 0 1 278.356 0.848 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)NC(=O)CCc1c[nH]nn1 ZINC001284256922 905223879 /nfs/dbraw/zinc/22/38/79/905223879.db2.gz PKZYGXGHSKPNIG-NSHDSACASA-N 0 1 293.371 0.715 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)NC(=O)CCc1cnn[nH]1 ZINC001284256922 905223900 /nfs/dbraw/zinc/22/39/00/905223900.db2.gz PKZYGXGHSKPNIG-NSHDSACASA-N 0 1 293.371 0.715 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H](CCC)OC ZINC001284504773 905311236 /nfs/dbraw/zinc/31/12/36/905311236.db2.gz HRFHSWBRIDHYLD-ZIAGYGMSSA-N 0 1 284.400 0.576 20 30 CCEDMN C#CCN(C)CCN(C(=O)c1ccc(C(N)=O)[nH]1)C(C)C ZINC001284544989 905365031 /nfs/dbraw/zinc/36/50/31/905365031.db2.gz RIPZNZYCEICUGV-UHFFFAOYSA-N 0 1 290.367 0.529 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@H]1CN(CC)CCO1)C(C)C ZINC001284558929 905372304 /nfs/dbraw/zinc/37/23/04/905372304.db2.gz PQARTKCBXRJQGS-OAHLLOKOSA-N 0 1 295.427 0.509 20 30 CCEDMN C=CCN(CCNC(=O)[C@]1(C)CCC(=O)NC1)CCOC ZINC001284657125 905412346 /nfs/dbraw/zinc/41/23/46/905412346.db2.gz FDDMAODKKGDYGF-OAHLLOKOSA-N 0 1 297.399 0.153 20 30 CCEDMN C[C@@H](CNCc1nnnn1C1CC1)CNC(=O)[C@@H](C)C#N ZINC001378562535 905685697 /nfs/dbraw/zinc/68/56/97/905685697.db2.gz VLUJBYAXRQBLTP-UWVGGRQHSA-N 0 1 291.359 0.010 20 30 CCEDMN C=CCCCC(=O)N[C@@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001285771047 905760095 /nfs/dbraw/zinc/76/00/95/905760095.db2.gz BVIPDPKVASBMNX-NSHDSACASA-N 0 1 278.356 0.929 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H]1CNC(=O)[C@@H]1CCCN1C ZINC001285840527 905780692 /nfs/dbraw/zinc/78/06/92/905780692.db2.gz HQZGCRUHEVAXCH-KBPBESRZSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@@H](CN(C)C(=O)C#CC1CC1)NC(=O)CN1CCCC1 ZINC001287613049 905942530 /nfs/dbraw/zinc/94/25/30/905942530.db2.gz UTXOVXTWKCWWBR-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CC[N@@H+](CCN2CCOCC2)C1 ZINC001337143352 921198879 /nfs/dbraw/zinc/19/88/79/921198879.db2.gz SNBYRTXLWSPRNK-OAHLLOKOSA-N 0 1 295.427 0.865 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC001337143352 921198886 /nfs/dbraw/zinc/19/88/86/921198886.db2.gz SNBYRTXLWSPRNK-OAHLLOKOSA-N 0 1 295.427 0.865 20 30 CCEDMN C[C@]1(C#N)CCN(C(=O)CN2CCC(CO)CC2)C1 ZINC001337297588 921230961 /nfs/dbraw/zinc/23/09/61/921230961.db2.gz YHMXSWUWZSJIRS-CQSZACIVSA-N 0 1 265.357 0.453 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N(C)C[C@@H](C)NC(=O)C#CC1CC1 ZINC001337341876 921237198 /nfs/dbraw/zinc/23/71/98/921237198.db2.gz GTVCIKRFWKWXTG-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)C[C@@H]1CCC(=O)N1)C1CC1 ZINC001379907509 906530798 /nfs/dbraw/zinc/53/07/98/906530798.db2.gz JPXAEPNJTOYEJJ-RYUDHWBXSA-N 0 1 299.802 0.892 20 30 CCEDMN CCC(C#N)(CC)C(=O)NCc1n[nH]c(COC)n1 ZINC001335299218 906640219 /nfs/dbraw/zinc/64/02/19/906640219.db2.gz BLXWZYLXBPHTCR-UHFFFAOYSA-N 0 1 265.317 0.897 20 30 CCEDMN CCC(C#N)(CC)C(=O)NCc1nnc(COC)[nH]1 ZINC001335299218 906640225 /nfs/dbraw/zinc/64/02/25/906640225.db2.gz BLXWZYLXBPHTCR-UHFFFAOYSA-N 0 1 265.317 0.897 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001295672505 906786454 /nfs/dbraw/zinc/78/64/54/906786454.db2.gz BMQVSIMAYUVUIE-LLVKDONJSA-N 0 1 278.356 0.929 20 30 CCEDMN CN(CCNC(=O)[C@H]1CCCN1C)C(=O)C#CC(C)(C)C ZINC001296026198 906849026 /nfs/dbraw/zinc/84/90/26/906849026.db2.gz BFIJSZSOEWMBGH-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(CC(=O)Nc1c[nH]nn1)C(=O)OC(C)(C)C ZINC001296613732 906940444 /nfs/dbraw/zinc/94/04/44/906940444.db2.gz RBQSWHMVWLKQEU-UHFFFAOYSA-N 0 1 279.300 0.614 20 30 CCEDMN C=CC(C)(C)C(=O)NC1CN(C(=O)c2ccn[nH]2)C1 ZINC001297111905 907017512 /nfs/dbraw/zinc/01/75/12/907017512.db2.gz CUJFYBFQQQDWGB-UHFFFAOYSA-N 0 1 262.313 0.563 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H](CC)CNC(=O)c2cnn[nH]2)C1 ZINC001297443579 907078453 /nfs/dbraw/zinc/07/84/53/907078453.db2.gz VTYLEMFEDVPSSL-SNVBAGLBSA-N 0 1 291.355 0.786 20 30 CCEDMN CC#CC(=O)Nc1ccc(O)c(S(=O)(=O)NC)c1 ZINC001297653872 907096247 /nfs/dbraw/zinc/09/62/47/907096247.db2.gz VEIBQWIBDBEQHW-UHFFFAOYSA-N 0 1 268.294 0.262 20 30 CCEDMN C=CCCC(=O)N[C@H](CO)CNC(=O)[C@@H]1CCCCN1C ZINC001337803861 921294456 /nfs/dbraw/zinc/29/44/56/921294456.db2.gz ZZTWIOHLQPKYRP-STQMWFEESA-N 0 1 297.399 0.030 20 30 CCEDMN C=C(Cl)CN1CCC(CO)(NC(=O)c2ncn[nH]2)CC1 ZINC001381254470 907133191 /nfs/dbraw/zinc/13/31/91/907133191.db2.gz CRVPHTSSVUNDFA-UHFFFAOYSA-N 0 1 299.762 0.114 20 30 CCEDMN C=C(Cl)CN1CCC(CO)(NC(=O)c2nc[nH]n2)CC1 ZINC001381254470 907133196 /nfs/dbraw/zinc/13/31/96/907133196.db2.gz CRVPHTSSVUNDFA-UHFFFAOYSA-N 0 1 299.762 0.114 20 30 CCEDMN N#Cc1ccc2c(c1)CN(C(=O)Cc1ncn[nH]1)C2 ZINC001299941708 907410841 /nfs/dbraw/zinc/41/08/41/907410841.db2.gz WVSZGWMNQMVOGO-UHFFFAOYSA-N 0 1 253.265 0.761 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1nnn(C(C)(C)C)n1 ZINC001492257115 907810505 /nfs/dbraw/zinc/81/05/05/907810505.db2.gz FVTKJOFMZFJOCU-UHFFFAOYSA-N 0 1 278.360 0.113 20 30 CCEDMN CC[C@H]1CC[C@H](C(=O)NCCN(C)CC#CCOC)O1 ZINC001492276926 907824614 /nfs/dbraw/zinc/82/46/14/907824614.db2.gz MRAVXGCGLHFUCS-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001492383621 907888824 /nfs/dbraw/zinc/88/88/24/907888824.db2.gz GIWDSDORGIEGLV-ZDUSSCGKSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCSCC(=O)N1C[C@H](O)C[C@H]1c1n[nH]c(CC)n1 ZINC001305722536 908214360 /nfs/dbraw/zinc/21/43/60/908214360.db2.gz HVGYCYPJLUEFRG-ZJUUUORDSA-N 0 1 294.380 0.368 20 30 CCEDMN Cc1cccn2cc(Cn3c(=O)[n-]cc(C#N)c3=O)[nH+]c12 ZINC001307359624 908307305 /nfs/dbraw/zinc/30/73/05/908307305.db2.gz LYQZDYVPMUQYPR-UHFFFAOYSA-N 0 1 281.275 0.413 20 30 CCEDMN C#CCN(C(=O)C(=O)NC[C@H]1CCN1C(C)(C)C)C(C)C ZINC001338208146 921399877 /nfs/dbraw/zinc/39/98/77/921399877.db2.gz NYIAQRHZQQKKEH-CYBMUJFWSA-N 0 1 293.411 0.846 20 30 CCEDMN N#Cc1cncc(CN2C[C@@H](CO)[C@H](CCO)C2)c1 ZINC001308161693 908389132 /nfs/dbraw/zinc/38/91/32/908389132.db2.gz CVZVTKMSBHOCQZ-KGLIPLIRSA-N 0 1 261.325 0.376 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H]1CCCN1CC#CC ZINC001317499645 908545857 /nfs/dbraw/zinc/54/58/57/908545857.db2.gz PJEAKGVABRVBCX-UKRRQHHQSA-N 0 1 264.369 0.917 20 30 CCEDMN CO[C@@H]1CC[C@@H](NC(=O)NCC#CCN(C)C)C1 ZINC001312503888 908606450 /nfs/dbraw/zinc/60/64/50/908606450.db2.gz HJMARACMSXQPQE-VXGBXAGGSA-N 0 1 253.346 0.418 20 30 CCEDMN C#CCCN(C)CCCNC(=O)[C@@H](C)CS(C)(=O)=O ZINC001316869207 908658999 /nfs/dbraw/zinc/65/89/99/908658999.db2.gz FLIGBQNSYNQSKL-LBPRGKRZSA-N 0 1 288.413 0.129 20 30 CCEDMN C=CCCCN1CCN([C@]2(C(=O)OC)CCOC2)CC1 ZINC001328820107 908714444 /nfs/dbraw/zinc/71/44/44/908714444.db2.gz MAGSPVJEJAIVAZ-OAHLLOKOSA-N 0 1 282.384 0.902 20 30 CCEDMN CN(CC#N)C[C@H]1CCCN(C(=O)CCc2nc[nH]n2)C1 ZINC001317032247 908720062 /nfs/dbraw/zinc/72/00/62/908720062.db2.gz CLCOLCSPCMLVHN-GFCCVEGCSA-N 0 1 290.371 0.431 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN(C)CC(=O)NC(C)(C)C ZINC001316815838 908739830 /nfs/dbraw/zinc/73/98/30/908739830.db2.gz USFTYIZTXFVZGL-NSHDSACASA-N 0 1 282.388 0.499 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)NC(=O)CN1CCC(C)CC1 ZINC001386455804 908750050 /nfs/dbraw/zinc/75/00/50/908750050.db2.gz IFZNCASHZTZWHT-OLZOCXBDSA-N 0 1 294.399 0.499 20 30 CCEDMN CCN(CCCNC(=O)[C@H](C)C#N)Cc1ccnn1C ZINC001316886950 908823381 /nfs/dbraw/zinc/82/33/81/908823381.db2.gz NEDGJAMASTYKNF-GFCCVEGCSA-N 0 1 277.372 0.908 20 30 CCEDMN COCCOCCN1CCC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001316943819 908858845 /nfs/dbraw/zinc/85/88/45/908858845.db2.gz IWCWWOTZPKBLCK-OAHLLOKOSA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCCC(=O)N(C)C)C1 ZINC001316954575 908866324 /nfs/dbraw/zinc/86/63/24/908866324.db2.gz NARXPYWNYXWXBW-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)c2cccnn2)CC1 ZINC001316960240 908867115 /nfs/dbraw/zinc/86/71/15/908867115.db2.gz LBYDIWDUUQDSJM-UHFFFAOYSA-N 0 1 289.383 0.400 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cnsn2)CC1 ZINC001316962634 908873794 /nfs/dbraw/zinc/87/37/94/908873794.db2.gz OLUFXRFQEZJHNI-UHFFFAOYSA-N 0 1 281.385 0.072 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cnc(C)cn2)C1 ZINC001316968555 908880545 /nfs/dbraw/zinc/88/05/45/908880545.db2.gz FBCKVFFOVWVMNC-ZDUSSCGKSA-N 0 1 290.367 0.792 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC001317038364 908933955 /nfs/dbraw/zinc/93/39/55/908933955.db2.gz DAKLQAXATSLTKA-NSHDSACASA-N 0 1 276.344 0.041 20 30 CCEDMN C=C(C)CNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC001338450010 921466324 /nfs/dbraw/zinc/46/63/24/921466324.db2.gz PSLMYDZRVQPSMC-UHFFFAOYSA-N 0 1 265.338 0.893 20 30 CCEDMN C[C@H](NC(=O)CN1CCCC1)[C@H]1CCCN(CC#N)C1 ZINC001317205072 909042210 /nfs/dbraw/zinc/04/22/10/909042210.db2.gz PCBHNAYPIQHEET-KBPBESRZSA-N 0 1 278.400 0.822 20 30 CCEDMN CCn1nncc1CN[C@@H](C)CNC(=O)C#CC(C)(C)C ZINC001317239639 909079466 /nfs/dbraw/zinc/07/94/66/909079466.db2.gz COTLHPQEEXXNAD-LBPRGKRZSA-N 0 1 291.399 0.942 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001317373376 909160142 /nfs/dbraw/zinc/16/01/42/909160142.db2.gz NVJVWHCZHQTRPJ-STQMWFEESA-N 0 1 279.384 0.442 20 30 CCEDMN C#CCN(C)CCNC(=O)c1snnc1C1CC1 ZINC001317452420 909223547 /nfs/dbraw/zinc/22/35/47/909223547.db2.gz HWICPMDLEAIJCN-UHFFFAOYSA-N 0 1 264.354 0.710 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC001317465482 909241734 /nfs/dbraw/zinc/24/17/34/909241734.db2.gz AICOFOCWTPEZOE-UONOGXRCSA-N 0 1 282.384 0.499 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)C1(COC)CCC1 ZINC001317482711 909255968 /nfs/dbraw/zinc/25/59/68/909255968.db2.gz ZWPVDSLTWGPMBC-UHFFFAOYSA-N 0 1 296.411 0.891 20 30 CCEDMN CCN(C)C(=O)CN1CC[C@@H](CNC(=O)C#CC2CC2)C1 ZINC001317524040 909292192 /nfs/dbraw/zinc/29/21/92/909292192.db2.gz XHCQWRZQMNQFNR-AWEZNQCLSA-N 0 1 291.395 0.316 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)[C@@H]1CCO[C@H]1C ZINC001317544062 909313570 /nfs/dbraw/zinc/31/35/70/909313570.db2.gz GLGGPOLHGQGIIL-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN C#C[C@H](NC[C@H](O)C[C@@]1(O)CCOC1)c1ccccc1 ZINC001338506113 921491749 /nfs/dbraw/zinc/49/17/49/921491749.db2.gz VKCZFZGQZMDHCO-PMPSAXMXSA-N 0 1 275.348 0.853 20 30 CCEDMN CCn1nncc1CNCCN(C)C(=O)C#CC1CC1 ZINC001317584355 909380901 /nfs/dbraw/zinc/38/09/01/909380901.db2.gz ABRINUDBVUTRQN-UHFFFAOYSA-N 0 1 275.356 0.259 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001317753725 909480513 /nfs/dbraw/zinc/48/05/13/909480513.db2.gz IRYMJRVZIYQLKN-CABCVRRESA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCN1CC[C@H](N(CCC)C(=O)CN(C)C(C)=O)C1 ZINC001317752509 909481559 /nfs/dbraw/zinc/48/15/59/909481559.db2.gz AWTUYAHFGJDORY-AWEZNQCLSA-N 0 1 279.384 0.411 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)[C@H]2C[C@@H]2C)CC1 ZINC001317814195 909511000 /nfs/dbraw/zinc/51/10/00/909511000.db2.gz SBQCAWIJYYMLON-GJZGRUSLSA-N 0 1 277.412 0.742 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCC1(C#N)CCCC1 ZINC001338533701 921502811 /nfs/dbraw/zinc/50/28/11/921502811.db2.gz CHEGHDLCAZXOFY-CYBMUJFWSA-N 0 1 264.373 0.866 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NCC1CN(CC#N)C1 ZINC001318160712 909647068 /nfs/dbraw/zinc/64/70/68/909647068.db2.gz BSTVMQCOGGOGGJ-JTQLQIEISA-N 0 1 261.329 0.160 20 30 CCEDMN C=CCN1CC(CNC(=O)C(C)(C)NC(=O)NCCC)C1 ZINC001318177643 909652338 /nfs/dbraw/zinc/65/23/38/909652338.db2.gz ZAVVEHKFRMIBCZ-UHFFFAOYSA-N 0 1 296.415 0.708 20 30 CCEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnnn1C ZINC001318195195 909663231 /nfs/dbraw/zinc/66/32/31/909663231.db2.gz AFZVJDUDPKFBQT-RYUDHWBXSA-N 0 1 275.356 0.680 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H](C)COC)C1 ZINC001318290554 909689379 /nfs/dbraw/zinc/68/93/79/909689379.db2.gz ASQHPSDIUMNWQK-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2nonc2C)C1 ZINC001318309667 909701384 /nfs/dbraw/zinc/70/13/84/909701384.db2.gz WETIMJKORHSCIG-NSHDSACASA-N 0 1 280.328 0.385 20 30 CCEDMN Cc1nc(-c2ncccn2)[nH]c(=O)c1CC(=O)N(C)CC#N ZINC001318429286 909752926 /nfs/dbraw/zinc/75/29/26/909752926.db2.gz XBPWZYJSXYRKCE-UHFFFAOYSA-N 0 1 298.306 0.472 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)CNC(C)=O)C1CC1 ZINC001389543806 909961205 /nfs/dbraw/zinc/96/12/05/909961205.db2.gz ALVFWLSMOMTMAA-NSHDSACASA-N 0 1 273.764 0.359 20 30 CCEDMN CC(C)(CO)N1CCN(C[C@@H](C#N)CCC#N)CC1 ZINC001319385581 910126816 /nfs/dbraw/zinc/12/68/16/910126816.db2.gz PXDXWLWURYYGGU-CYBMUJFWSA-N 0 1 264.373 0.818 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N(C)[C@@H](C)CNCC#N ZINC001319467841 910164643 /nfs/dbraw/zinc/16/46/43/910164643.db2.gz WSMUMUDKSXENNP-NWDGAFQWSA-N 0 1 272.368 0.626 20 30 CCEDMN C#CCOCC[N@H+](C)Cc1cc(=O)n2[n-]ccc2n1 ZINC001319552471 910199670 /nfs/dbraw/zinc/19/96/70/910199670.db2.gz LMZGDSFDVRVBDP-UHFFFAOYSA-N 0 1 260.297 0.104 20 30 CCEDMN C[C@@H]1CCCN(C(=O)Cc2ccn[nH]2)[C@@H]1CNCC#N ZINC001319837891 910314532 /nfs/dbraw/zinc/31/45/32/910314532.db2.gz QJCNCPQZEVJESO-DGCLKSJQSA-N 0 1 275.356 0.692 20 30 CCEDMN N#Cc1cccc(C2(C(=O)NCc3nn[nH]n3)CC2)c1 ZINC001319930301 910358006 /nfs/dbraw/zinc/35/80/06/910358006.db2.gz XBTYKWQZPOIYCL-UHFFFAOYSA-N 0 1 268.280 0.419 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@H]1CC[N@H+](C)C1 ZINC001338805094 921579863 /nfs/dbraw/zinc/57/98/63/921579863.db2.gz UGDCNJJZNDOEOB-LBPRGKRZSA-N 0 1 286.335 0.626 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)N[C@H]1CCN(C)C1 ZINC001338805094 921579867 /nfs/dbraw/zinc/57/98/67/921579867.db2.gz UGDCNJJZNDOEOB-LBPRGKRZSA-N 0 1 286.335 0.626 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC001320293897 910584614 /nfs/dbraw/zinc/58/46/14/910584614.db2.gz DJFQUHAJBACWMF-MELADBBJSA-N 0 1 299.415 0.986 20 30 CCEDMN C=CCO[C@@H]1CCN([C@H](C)C(=O)NC(=O)NC2CC2)C1 ZINC001320898754 910924410 /nfs/dbraw/zinc/92/44/10/910924410.db2.gz MZPLYIIWJUKDEE-ZYHUDNBSSA-N 0 1 281.356 0.640 20 30 CCEDMN C=CCCC(=O)NC/C=C\CNCC(=O)N(C)C ZINC001320998492 910996321 /nfs/dbraw/zinc/99/63/21/910996321.db2.gz HKGIUMLTMWCJRH-SREVYHEPSA-N 0 1 253.346 0.303 20 30 CCEDMN N#CCCNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC001321087013 911075056 /nfs/dbraw/zinc/07/50/56/911075056.db2.gz GZIIWVBCKBCBHQ-UHFFFAOYSA-N 0 1 284.279 0.236 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C1CS(=O)(=O)C1 ZINC001392069196 911561522 /nfs/dbraw/zinc/56/15/22/911561522.db2.gz ZCLMSVVWZZHBPR-VIFPVBQESA-N 0 1 294.804 0.220 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001322232059 911716616 /nfs/dbraw/zinc/71/66/16/911716616.db2.gz ZERVRSFBYLDEGW-NEPJUHHUSA-N 0 1 289.383 0.684 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)Cn1cc(Cl)cn1 ZINC001322257731 911732551 /nfs/dbraw/zinc/73/25/51/911732551.db2.gz HNPPFEHBCBUFAE-LLVKDONJSA-N 0 1 282.775 0.996 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H](C)c1cnn(C)c1 ZINC001322315506 911759597 /nfs/dbraw/zinc/75/95/97/911759597.db2.gz UPZUFPBSHJBIPQ-VXGBXAGGSA-N 0 1 262.357 0.593 20 30 CCEDMN N#CCNC[C@H]1CCCC[C@@H]1NC(=O)CCc1nc[nH]n1 ZINC001322329209 911763771 /nfs/dbraw/zinc/76/37/71/911763771.db2.gz GAUHASBSLLDVBW-NEPJUHHUSA-N 0 1 290.371 0.525 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@@H](CNCC#N)C1CC1 ZINC001323079653 912105695 /nfs/dbraw/zinc/10/56/95/912105695.db2.gz BDKITXLWORPVAP-GWCFXTLKSA-N 0 1 275.356 0.596 20 30 CCEDMN Cc1nnsc1CNC[C@@H](NC(=O)[C@@H](C)C#N)C1CC1 ZINC001392776451 912144396 /nfs/dbraw/zinc/14/43/96/912144396.db2.gz ZGMGETPSUSYXAM-GZMMTYOYSA-N 0 1 293.396 0.991 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@](C)(O)C1CC1 ZINC001323215283 912188473 /nfs/dbraw/zinc/18/84/73/912188473.db2.gz VKPNBSFSTXIFCU-HIFRSBDPSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCOCCC(=O)NC[C@H]1CCN1C[C@H]1CCCCO1 ZINC001323227979 912200519 /nfs/dbraw/zinc/20/05/19/912200519.db2.gz VAMRFPBVSCTTGZ-HUUCEWRRSA-N 0 1 294.395 0.786 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H]1CCN1Cc1n[nH]c(C)n1 ZINC001323231616 912209088 /nfs/dbraw/zinc/20/90/88/912209088.db2.gz LJCUEJCTOBLUIQ-RISCZKNCSA-N 0 1 293.371 0.131 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2coc(C)n2)CC1 ZINC001328520226 915250348 /nfs/dbraw/zinc/25/03/48/915250348.db2.gz OMDQHQOFBZJRDW-UHFFFAOYSA-N 0 1 279.340 0.726 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1 ZINC001339553314 921759514 /nfs/dbraw/zinc/75/95/14/921759514.db2.gz JEEULIWNJSCRCW-YXMPFFBPSA-N 0 1 276.336 0.454 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1C[C@@H]2C[C@]2(C(=O)[O-])C1 ZINC001339553314 921759532 /nfs/dbraw/zinc/75/95/32/921759532.db2.gz JEEULIWNJSCRCW-YXMPFFBPSA-N 0 1 276.336 0.454 20 30 CCEDMN C#C[C@@H](C)N(C)c1nnc(C2=NO[C@H](CO)C2)n1CC ZINC001339568046 921761418 /nfs/dbraw/zinc/76/14/18/921761418.db2.gz YGBYDQYOTJWHEF-ZJUUUORDSA-N 0 1 277.328 0.241 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](C)OC ZINC001328707493 915371546 /nfs/dbraw/zinc/37/15/46/915371546.db2.gz QAUAZDISYNFBIP-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H]1C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001328707988 915371836 /nfs/dbraw/zinc/37/18/36/915371836.db2.gz UNWSOXRNNGGWAW-LLVKDONJSA-N 0 1 293.371 0.899 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H]1C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001328707988 915371853 /nfs/dbraw/zinc/37/18/53/915371853.db2.gz UNWSOXRNNGGWAW-LLVKDONJSA-N 0 1 293.371 0.899 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ncn(C)n1 ZINC001328719729 915382091 /nfs/dbraw/zinc/38/20/91/915382091.db2.gz JOZPKBXBRSMVQP-GFCCVEGCSA-N 0 1 275.356 0.375 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1=CCN(C(=O)c2ccn[nH]2)CC1 ZINC001339591529 921772066 /nfs/dbraw/zinc/77/20/66/921772066.db2.gz XUJPHASWMJZFNA-JTQLQIEISA-N 0 1 287.323 0.458 20 30 CCEDMN C=CCN(C(=O)C(=O)NCc1cn[nH]c1C)[C@@H](C)COC ZINC001328838005 915461097 /nfs/dbraw/zinc/46/10/97/915461097.db2.gz VODIGYWRDGOBKC-JTQLQIEISA-N 0 1 294.355 0.384 20 30 CCEDMN CC1CCN(CC(=O)NC2CC(CNCC#N)C2)CC1 ZINC001328938516 915530913 /nfs/dbraw/zinc/53/09/13/915530913.db2.gz OAIQNELRJIQMMF-UHFFFAOYSA-N 0 1 278.400 0.726 20 30 CCEDMN C=CCC(CC=C)C(=O)NCc1n[nH]c(CO)n1 ZINC001339676657 921790202 /nfs/dbraw/zinc/79/02/02/921790202.db2.gz DAENSPSLMGVTBF-UHFFFAOYSA-N 0 1 250.302 0.682 20 30 CCEDMN C=CCC(CC=C)C(=O)NCc1nnc(CO)[nH]1 ZINC001339676657 921790220 /nfs/dbraw/zinc/79/02/20/921790220.db2.gz DAENSPSLMGVTBF-UHFFFAOYSA-N 0 1 250.302 0.682 20 30 CCEDMN N#CCCC[C@H]([NH3+])C(=O)N1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC001329288536 915794254 /nfs/dbraw/zinc/79/42/54/915794254.db2.gz RITYQZUOXQRPNF-UWVGGRQHSA-N 0 1 293.327 0.502 20 30 CCEDMN CCn1cc(C(=O)NC[C@H](C)N(C)CC#CCOC)cn1 ZINC001329394608 915878098 /nfs/dbraw/zinc/87/80/98/915878098.db2.gz AAMYAIDMMCCHBW-ZDUSSCGKSA-N 0 1 292.383 0.603 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@]1(CC)CCNC1=O ZINC001329471874 915946620 /nfs/dbraw/zinc/94/66/20/915946620.db2.gz NDYYBDHCHZQOTI-WFASDCNBSA-N 0 1 279.384 0.363 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@](C)(NC(=O)Cc2cnc[nH]2)C1 ZINC001400935724 915993201 /nfs/dbraw/zinc/99/32/01/915993201.db2.gz MIIRDIBQDLTEAH-IINYFYTJSA-N 0 1 289.339 0.219 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1COc2ccccc2O1 ZINC001329608791 916060196 /nfs/dbraw/zinc/06/01/96/916060196.db2.gz NGLNQRIFQGCWSF-WFASDCNBSA-N 0 1 288.347 0.896 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cccc(-n2ccnn2)c1 ZINC001329627676 916081522 /nfs/dbraw/zinc/08/15/22/916081522.db2.gz HZCGQXHNOLTPGG-ZDUSSCGKSA-N 0 1 297.362 0.951 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1nn(C)cc1C ZINC001401502634 916288245 /nfs/dbraw/zinc/28/82/45/916288245.db2.gz KHVONQRDCKJJLC-SNVBAGLBSA-N 0 1 286.763 0.161 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccc(=O)[nH]c1 ZINC001401575241 916338839 /nfs/dbraw/zinc/33/88/39/916338839.db2.gz PHOVBMGGVJRDKB-JTQLQIEISA-N 0 1 285.731 0.220 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CN(CC#C)CC1(C)C ZINC001330201630 916471835 /nfs/dbraw/zinc/47/18/35/916471835.db2.gz FGUGPOURJNLJEH-CYBMUJFWSA-N 0 1 262.353 0.486 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H](COC)OC)C(C)(C)C1 ZINC001330229034 916495993 /nfs/dbraw/zinc/49/59/93/916495993.db2.gz AXOZQTCBOZEYBC-QWHCGFSZSA-N 0 1 282.384 0.498 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H](C)CC(N)=O)C[C@@H]2C1 ZINC001402438913 917017082 /nfs/dbraw/zinc/01/70/82/917017082.db2.gz QFDFOIUBYFKNIV-ZMLRMANQSA-N 0 1 299.802 0.641 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CS(C)(=O)=O ZINC001402958774 917354523 /nfs/dbraw/zinc/35/45/23/917354523.db2.gz JVPHKJSVPRKIQE-RKDXNWHRSA-N 0 1 282.793 0.266 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)COCc1ccc(C)cc1 ZINC001331724908 917547930 /nfs/dbraw/zinc/54/79/30/917547930.db2.gz MGRCMYRKZYEEKG-OAHLLOKOSA-N 0 1 290.363 0.212 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](CO)NCc1cccnc1 ZINC001331770457 917594589 /nfs/dbraw/zinc/59/45/89/917594589.db2.gz HEOWGUTVKUFKJO-CYBMUJFWSA-N 0 1 277.368 0.861 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(Cl)c(OC)c1 ZINC001331806631 917626217 /nfs/dbraw/zinc/62/62/17/917626217.db2.gz YPAXQXKNCZAQFU-NSHDSACASA-N 0 1 296.754 0.662 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@H]1CC[N@@H+](C)[C@@H](C)C1)C(=O)[O-] ZINC001331818943 917637733 /nfs/dbraw/zinc/63/77/33/917637733.db2.gz PQLGGINGJLXCQN-GARJFASQSA-N 0 1 254.330 0.862 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCc1cc(C)cc(C)c1 ZINC001331824438 917641198 /nfs/dbraw/zinc/64/11/98/917641198.db2.gz INRWZLSGEMMFAJ-INIZCTEOSA-N 0 1 288.391 0.936 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H](CO)NC[C@@H](F)CC)cn1 ZINC001331825716 917644531 /nfs/dbraw/zinc/64/45/31/917644531.db2.gz QIGGKZSMOIVWRO-JSGCOSHPSA-N 0 1 293.342 0.491 20 30 CCEDMN Cc1cc(C)n(CCN(C)CCNC(=O)[C@H](C)C#N)n1 ZINC001403505774 917754763 /nfs/dbraw/zinc/75/47/63/917754763.db2.gz JRILFDNFXGDXIM-LLVKDONJSA-N 0 1 277.372 0.708 20 30 CCEDMN Cc1cc(CN[C@@H](C)CN(C)C(=O)[C@@H](C)C#N)ncn1 ZINC001403523402 917768948 /nfs/dbraw/zinc/76/89/48/917768948.db2.gz IUURMBQGLXXDDX-JQWIXIFHSA-N 0 1 275.356 0.881 20 30 CCEDMN C#CCCS(=O)(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001332073612 917842952 /nfs/dbraw/zinc/84/29/52/917842952.db2.gz IBBWWHHIAQWCDM-UHFFFAOYSA-N 0 1 298.368 0.141 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@H](CCF)C(=O)[O-] ZINC001332159515 917918138 /nfs/dbraw/zinc/91/81/38/917918138.db2.gz KSDHFULZRYNAHR-SNVBAGLBSA-N 0 1 273.308 0.054 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCC[N@@H+](CC(=O)[O-])CC2)C1 ZINC001332205027 917955336 /nfs/dbraw/zinc/95/53/36/917955336.db2.gz WHCOJNAEXIEYMU-UHFFFAOYSA-N 0 1 266.341 0.962 20 30 CCEDMN C=CC[C@@H]1CC[N@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001332420867 918146463 /nfs/dbraw/zinc/14/64/63/918146463.db2.gz SYPIPWOELOLWHP-SNVBAGLBSA-N 0 1 250.302 0.761 20 30 CCEDMN C=CC[C@H](NC(=O)C[N@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC001332613107 918308930 /nfs/dbraw/zinc/30/89/30/918308930.db2.gz BXARYUXJZCCPKV-ZJUUUORDSA-N 0 1 272.370 0.569 20 30 CCEDMN CC[C@@H](F)C(=O)N(C)C[C@H](O)CN(C)CC#CCOC ZINC001332647301 918346435 /nfs/dbraw/zinc/34/64/35/918346435.db2.gz JGLLMCSTXVASTB-CHWSQXEVSA-N 0 1 288.363 0.135 20 30 CCEDMN CC[C@H](F)C(=O)N(C)C[C@H](O)CN(C)CC#CCOC ZINC001332647302 918348497 /nfs/dbraw/zinc/34/84/97/918348497.db2.gz JGLLMCSTXVASTB-OLZOCXBDSA-N 0 1 288.363 0.135 20 30 CCEDMN C#CCN(C)CCN(C(=O)Cc1nonc1C)C(C)C ZINC001332735870 918411450 /nfs/dbraw/zinc/41/14/50/918411450.db2.gz SLIATDFVCZMUFT-UHFFFAOYSA-N 0 1 278.356 0.723 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@H](C)CSC ZINC001406218981 919117668 /nfs/dbraw/zinc/11/76/68/919117668.db2.gz OBWLAKAWRZRRNW-PSASIEDQSA-N 0 1 280.821 0.805 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)N(C)C(=O)Cc1ncn[nH]1 ZINC001334180065 919404850 /nfs/dbraw/zinc/40/48/50/919404850.db2.gz YCCVZKUNESAAKY-SNVBAGLBSA-N 0 1 279.344 0.277 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCCc2nn(C)cc21 ZINC001334251762 919457724 /nfs/dbraw/zinc/45/77/24/919457724.db2.gz JFGQTPPIICVGOH-GFCCVEGCSA-N 0 1 274.368 0.521 20 30 CCEDMN C=CC[C@@H](NC(=O)C1(N(C)C)CC1)C(=O)OCC ZINC001334371321 919531691 /nfs/dbraw/zinc/53/16/91/919531691.db2.gz FDQSVUKWVMDMID-SNVBAGLBSA-N 0 1 254.330 0.705 20 30 CCEDMN C[C@@H](NC(=O)CCCCC#N)[C@@H]1CN(C)CCN1C ZINC001334416497 919568338 /nfs/dbraw/zinc/56/83/38/919568338.db2.gz SBXSJSVHSHELJM-OLZOCXBDSA-N 0 1 266.389 0.821 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(C)CCN([C@H](C)C(N)=O)CC1 ZINC001407265808 919684809 /nfs/dbraw/zinc/68/48/09/919684809.db2.gz UJBLEMPCDVRLOG-GHMZBOCLSA-N 0 1 280.372 0.238 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CCC(=O)N2)CCC1 ZINC001407836726 919913742 /nfs/dbraw/zinc/91/37/42/919913742.db2.gz YLWYXGLCJZATND-JTQLQIEISA-N 0 1 285.775 0.646 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCC(NC(=O)c2ncn[nH]2)CC1 ZINC001408056872 920004576 /nfs/dbraw/zinc/00/45/76/920004576.db2.gz KHUUNRDORQIAQM-XNWIYYODSA-N 0 1 290.327 0.122 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCC(NC(=O)c2nc[nH]n2)CC1 ZINC001408056872 920004588 /nfs/dbraw/zinc/00/45/88/920004588.db2.gz KHUUNRDORQIAQM-XNWIYYODSA-N 0 1 290.327 0.122 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@H]1CCOC)C(C)C ZINC001336099433 920608279 /nfs/dbraw/zinc/60/82/79/920608279.db2.gz HXTDNEABPFGXJA-OLZOCXBDSA-N 0 1 267.373 0.664 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@]3(CCOC3=O)C2)CC1 ZINC001336357397 920731357 /nfs/dbraw/zinc/73/13/57/920731357.db2.gz IHGOSBMEVLIJFI-INIZCTEOSA-N 0 1 290.363 0.497 20 30 CCEDMN C[C@@H]1CN(CCNC[C@@H](C#N)CCC#N)CCO1 ZINC001336763919 920987948 /nfs/dbraw/zinc/98/79/48/920987948.db2.gz VIEVZVSPXWFJPN-CHWSQXEVSA-N 0 1 250.346 0.740 20 30 CCEDMN C#CCCOC(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001339835556 921845228 /nfs/dbraw/zinc/84/52/28/921845228.db2.gz QLXFGMAUBAPFQL-SECBINFHSA-N 0 1 264.285 0.558 20 30 CCEDMN C#CCCOC(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001339835556 921845248 /nfs/dbraw/zinc/84/52/48/921845248.db2.gz QLXFGMAUBAPFQL-SECBINFHSA-N 0 1 264.285 0.558 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1ccc(S(C)(=O)=O)cc1 ZINC001339972169 921900113 /nfs/dbraw/zinc/90/01/13/921900113.db2.gz ZEOCHXZGRJPWGM-UHFFFAOYSA-N 0 1 294.376 0.271 20 30 CCEDMN CCN(C(=O)C#CC(C)C)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001340153568 921985569 /nfs/dbraw/zinc/98/55/69/921985569.db2.gz OOHPVCRLFWCQDO-LLVKDONJSA-N 0 1 291.355 0.431 20 30 CCEDMN CCN(C(=O)C#CC(C)C)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001340153568 921985581 /nfs/dbraw/zinc/98/55/81/921985581.db2.gz OOHPVCRLFWCQDO-LLVKDONJSA-N 0 1 291.355 0.431 20 30 CCEDMN CCCn1cc(NC(=O)NCC#CCN(C)C)cn1 ZINC001341279793 922410230 /nfs/dbraw/zinc/41/02/30/922410230.db2.gz IUWRRSGQJCHYDE-UHFFFAOYSA-N 0 1 263.345 0.980 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@@H](c2ccc(C#N)cc2)C1 ZINC001341351998 922437942 /nfs/dbraw/zinc/43/79/42/922437942.db2.gz LTHZPENIUNMHBV-OAHLLOKOSA-N 0 1 283.331 0.681 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC001418863207 922459386 /nfs/dbraw/zinc/45/93/86/922459386.db2.gz KALAFTYUTUBBIL-GFCCVEGCSA-N 0 1 298.306 0.594 20 30 CCEDMN C#CCNCC(=O)N[C@H]1C[C@@](CO)(c2ccccc2)C1 ZINC001341393474 922461263 /nfs/dbraw/zinc/46/12/63/922461263.db2.gz UPSZFIVVIJPPEZ-FZNQNYSPSA-N 0 1 272.348 0.418 20 30 CCEDMN C#CCNCC(=O)N1CCc2ccc(NC(C)=O)cc2C1 ZINC001341576036 922559595 /nfs/dbraw/zinc/55/95/95/922559595.db2.gz BAELIAJOOYISIE-UHFFFAOYSA-N 0 1 285.347 0.753 20 30 CCEDMN N#Cc1cccc(OCC(=O)N2CC[C@@H](c3nn[nH]n3)C2)c1 ZINC001419045329 922597245 /nfs/dbraw/zinc/59/72/45/922597245.db2.gz ZHWRRUYZJLJQNF-LLVKDONJSA-N 0 1 298.306 0.466 20 30 CCEDMN C#CCNCC(=O)N1CCN([C@@H](C)C(F)(F)F)CC1 ZINC001341716906 922641196 /nfs/dbraw/zinc/64/11/96/922641196.db2.gz KZMGFGJQDWOCTO-JTQLQIEISA-N 0 1 277.290 0.304 20 30 CCEDMN N#Cc1csc(C(=O)NC2(c3nn[nH]n3)CCC2)c1 ZINC001419158577 922669803 /nfs/dbraw/zinc/66/98/03/922669803.db2.gz DXAGBTUHZOITAJ-UHFFFAOYSA-N 0 1 274.309 0.942 20 30 CCEDMN C=CCNC(=O)[C@H](C#N)C(=O)c1ccc2n[nH]c(=O)n2c1 ZINC001341803273 922682629 /nfs/dbraw/zinc/68/26/29/922682629.db2.gz TUVBWBFKIFAJPY-SECBINFHSA-N 0 1 285.263 0.060 20 30 CCEDMN CCO[C@@H]1[C@H](C)[C@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC001341948631 922735065 /nfs/dbraw/zinc/73/50/65/922735065.db2.gz VOWJZVZKFDCVCI-WCXZBXRPSA-N 0 1 250.298 0.645 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)Cn1cncn1 ZINC001419280972 922746348 /nfs/dbraw/zinc/74/63/48/922746348.db2.gz RZIFLICJOYIKMD-JTQLQIEISA-N 0 1 271.752 0.515 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](C(=O)OC)[C@@H]2CCCC[C@@H]21 ZINC001342221782 922866385 /nfs/dbraw/zinc/86/63/85/922866385.db2.gz XUCXSTJICAFGKA-IHRRRGAJSA-N 0 1 292.379 0.790 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)[C@H](C)OC)CC1 ZINC001343097513 923201376 /nfs/dbraw/zinc/20/13/76/923201376.db2.gz GOUKXSHDUWDDMP-RYUDHWBXSA-N 0 1 267.373 0.807 20 30 CCEDMN CC(C)n1ccc(CNC[C@H](O)CNC(=O)[C@@H](C)C#N)n1 ZINC001420111047 923314591 /nfs/dbraw/zinc/31/45/91/923314591.db2.gz NRIYTXJIDBQTPZ-AAEUAGOBSA-N 0 1 293.371 0.190 20 30 CCEDMN CCO[C@@H]1[C@H](C)[C@H]1C(=O)C(C#N)C(=O)NCCCOC ZINC001343472599 923336464 /nfs/dbraw/zinc/33/64/64/923336464.db2.gz DDHOOJJQRKZSIM-HNCHTBHHSA-N 0 1 282.340 0.519 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@@H]1[C@@H]1CCCO1 ZINC001343641220 923403377 /nfs/dbraw/zinc/40/33/77/923403377.db2.gz RHNCJXSLJOIAPH-OLZOCXBDSA-N 0 1 250.342 0.769 20 30 CCEDMN C=CCn1cc(CNC(=O)c2ccc3c(n2)CNCC3)nn1 ZINC001343701896 923430339 /nfs/dbraw/zinc/43/03/39/923430339.db2.gz UJAFFZBVGCPYPM-UHFFFAOYSA-N 0 1 298.350 0.435 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cn3c(n2)COCC3)CC1 ZINC001344373436 923586779 /nfs/dbraw/zinc/58/67/79/923586779.db2.gz OQXJDZJPLCWXSV-UHFFFAOYSA-N 0 1 289.335 0.668 20 30 CCEDMN COCC[N@H+](CCC(=O)[O-])Cc1ccncc1C#N ZINC001345138539 923804363 /nfs/dbraw/zinc/80/43/63/923804363.db2.gz YKBBQXBZQWZERV-UHFFFAOYSA-N 0 1 263.297 0.876 20 30 CCEDMN COCC[N@@H+](CCC(=O)[O-])Cc1ccncc1C#N ZINC001345138539 923804371 /nfs/dbraw/zinc/80/43/71/923804371.db2.gz YKBBQXBZQWZERV-UHFFFAOYSA-N 0 1 263.297 0.876 20 30 CCEDMN Cc1cc(CN)oc1C(=O)N1CCO[C@@](C)(C#N)C1 ZINC001345390974 923872270 /nfs/dbraw/zinc/87/22/70/923872270.db2.gz HBMPRCVLVHIGAY-ZDUSSCGKSA-N 0 1 263.297 0.801 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@H]1CCNC1=O)C1CC1 ZINC001420746240 923917035 /nfs/dbraw/zinc/91/70/35/923917035.db2.gz XTXYZURDDAAAAJ-VXGBXAGGSA-N 0 1 299.802 0.750 20 30 CCEDMN C#CCN1CCC(OC(=O)CCN2C(=O)CCC2=O)CC1 ZINC001345673940 923946118 /nfs/dbraw/zinc/94/61/18/923946118.db2.gz ZBRNOILSOWGYLK-UHFFFAOYSA-N 0 1 292.335 0.166 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CCCS(C)(=O)=O ZINC001346026064 924046482 /nfs/dbraw/zinc/04/64/82/924046482.db2.gz FSQNGPDMFJZYAF-SECBINFHSA-N 0 1 274.342 0.045 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H]1Cc1ccnn1C ZINC001346270520 924139116 /nfs/dbraw/zinc/13/91/16/924139116.db2.gz TZIKVVUOWQWHBD-ZDUSSCGKSA-N 0 1 260.341 0.176 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)NCCCCC#N ZINC001346410683 924193870 /nfs/dbraw/zinc/19/38/70/924193870.db2.gz OEPXQQABMRRHHS-NEPJUHHUSA-N 0 1 250.346 0.185 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001346438404 924212211 /nfs/dbraw/zinc/21/22/11/924212211.db2.gz WEVVPKYQLFCBQO-ZIAGYGMSSA-N 0 1 280.416 0.723 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001347233293 924403968 /nfs/dbraw/zinc/40/39/68/924403968.db2.gz HIHQMROIGDVMCQ-JTQLQIEISA-N 0 1 264.329 0.395 20 30 CCEDMN C=CCC1(O)CN(C(=O)c2n[nH]cc2Br)C1 ZINC001347378139 924435509 /nfs/dbraw/zinc/43/55/09/924435509.db2.gz CKJLUZDRAXUZCN-UHFFFAOYSA-N 0 1 286.129 0.935 20 30 CCEDMN C#CCN1CCC(OC(=O)CCCCC(N)=O)CC1 ZINC001347401277 924439230 /nfs/dbraw/zinc/43/92/30/924439230.db2.gz WBBFIUYCKVGHDQ-UHFFFAOYSA-N 0 1 266.341 0.673 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)N(CC#N)C1CCCC1 ZINC001347741652 924524548 /nfs/dbraw/zinc/52/45/48/924524548.db2.gz DGHJANQCBJXBST-GXTWGEPZSA-N 0 1 276.384 0.669 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)[C@H](C)OC)C1 ZINC001479898606 924607531 /nfs/dbraw/zinc/60/75/31/924607531.db2.gz NYKLTKVKZGJQPE-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN C=C(CO)C(=O)N1CCc2nc[nH]c2[C@H]1c1ccnn1C ZINC001348726687 924788802 /nfs/dbraw/zinc/78/88/02/924788802.db2.gz AHEIPXCRHLYMDI-CYBMUJFWSA-N 0 1 287.323 0.166 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001349004070 924865229 /nfs/dbraw/zinc/86/52/29/924865229.db2.gz YOOIOMMNPFJGPR-ZDUSSCGKSA-N 0 1 281.319 0.740 20 30 CCEDMN C#Cc1ccc(NC(=O)[C@@H]2CN3CCN2C[C@@H]3C)cc1 ZINC001349586958 924995663 /nfs/dbraw/zinc/99/56/63/924995663.db2.gz AYLSAIOJRRNWHY-WFASDCNBSA-N 0 1 269.348 0.995 20 30 CCEDMN CCn1ccnc1C(C#N)C(=O)C1CN(C(C)=O)C1 ZINC001349703680 925026582 /nfs/dbraw/zinc/02/65/82/925026582.db2.gz ZFCOTZQOSKGJGO-NSHDSACASA-N 0 1 260.297 0.558 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@]2(C)CCC(=O)NC2)CC1 ZINC001349770096 925051460 /nfs/dbraw/zinc/05/14/60/925051460.db2.gz CGHBAKWNCKQSJM-HNNXBMFYSA-N 0 1 278.352 0.544 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCCNC(=O)C#CC1CC1 ZINC001350004195 925113407 /nfs/dbraw/zinc/11/34/07/925113407.db2.gz SUVWEWZXQBCUGX-CYBMUJFWSA-N 0 1 277.368 0.117 20 30 CCEDMN CCN(C(=O)[C@@H]1CN2CCN1C[C@H]2C)[C@H](C)C#N ZINC001350091097 925129502 /nfs/dbraw/zinc/12/95/02/925129502.db2.gz JCOGIJPTOQNAJW-UTUOFQBUSA-N 0 1 250.346 0.135 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001350447175 925200965 /nfs/dbraw/zinc/20/09/65/925200965.db2.gz BCAVPIDIAYWHNY-LLVKDONJSA-N 0 1 288.351 0.790 20 30 CCEDMN C=CCN(CCOC)C(=O)N[C@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC001351787988 925471852 /nfs/dbraw/zinc/47/18/52/925471852.db2.gz RWQGLWLBIMPWCN-ZIAGYGMSSA-N 0 1 298.431 0.465 20 30 CCEDMN CC(C)(C(=O)N1CCO[C@](C)(C#N)C1)c1c[nH]cn1 ZINC001352129212 925526389 /nfs/dbraw/zinc/52/63/89/925526389.db2.gz CWRHKNKPIRFXFM-CYBMUJFWSA-N 0 1 262.313 0.828 20 30 CCEDMN C[C@@H]1CN2CC[N@H+]1C[C@@H]2C(=O)[N-]Oc1cccc(C#N)c1 ZINC001352496405 925587489 /nfs/dbraw/zinc/58/74/89/925587489.db2.gz LWQGROOIQOCJBD-BXUZGUMPSA-N 0 1 286.335 0.357 20 30 CCEDMN C#CCCCC(=O)NC[C@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001353094734 925727566 /nfs/dbraw/zinc/72/75/66/925727566.db2.gz UQKYVKKSXWBBQS-NSHDSACASA-N 0 1 289.339 0.233 20 30 CCEDMN C#CCCCC(=O)NC[C@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001353094734 925727570 /nfs/dbraw/zinc/72/75/70/925727570.db2.gz UQKYVKKSXWBBQS-NSHDSACASA-N 0 1 289.339 0.233 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H](C)NC(N)=O ZINC001480459685 925777053 /nfs/dbraw/zinc/77/70/53/925777053.db2.gz MWFJDNHKEQSZAE-BDAKNGLRSA-N 0 1 276.768 0.280 20 30 CCEDMN C#CCNCC(=O)NCCN1c2ccccc2C[C@@H]1C ZINC001353517122 925858309 /nfs/dbraw/zinc/85/83/09/925858309.db2.gz BHFLERZDGGDDKO-ZDUSSCGKSA-N 0 1 271.364 0.777 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCC(O)(c3nn[nH]n3)CC2)C1 ZINC001354014496 925987881 /nfs/dbraw/zinc/98/78/81/925987881.db2.gz FIAAFHZHRFJCRC-UHFFFAOYSA-N 0 1 277.328 0.366 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CNC(=O)[C@@H]1CCCCN1C ZINC001354531489 926124145 /nfs/dbraw/zinc/12/41/45/926124145.db2.gz PAJUPWZEOKQFDP-KBPBESRZSA-N 0 1 293.411 0.751 20 30 CCEDMN CC1(C)CN(c2cnc(C#N)c(C#N)n2)CCN1CCO ZINC001472759348 926139014 /nfs/dbraw/zinc/13/90/14/926139014.db2.gz HNVSUBZYTVKPGN-UHFFFAOYSA-N 0 1 286.339 0.113 20 30 CCEDMN C=CCCCC(=O)N[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001354638399 926143631 /nfs/dbraw/zinc/14/36/31/926143631.db2.gz KTCWCSUJEIYWPL-SECBINFHSA-N 0 1 265.317 0.396 20 30 CCEDMN C=CCCCC(=O)N[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001354638399 926143638 /nfs/dbraw/zinc/14/36/38/926143638.db2.gz KTCWCSUJEIYWPL-SECBINFHSA-N 0 1 265.317 0.396 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)C[C@@H](C)NC(N)=O)CC2 ZINC001495974060 926176418 /nfs/dbraw/zinc/17/64/18/926176418.db2.gz KVVNOPXXDSLROR-GFCCVEGCSA-N 0 1 294.399 0.544 20 30 CCEDMN C=CCCC(=O)NCCN(CC)C(=O)C1=NC(=O)N(C)C1 ZINC001356000903 926334515 /nfs/dbraw/zinc/33/45/15/926334515.db2.gz RTFGMAPAMYPOHH-UHFFFAOYSA-N 0 1 294.355 0.670 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1C[C@H](c2ccccc2)CO1 ZINC001357857125 926603512 /nfs/dbraw/zinc/60/35/12/926603512.db2.gz UDJDTDNFWSLTFU-GJZGRUSLSA-N 0 1 272.348 0.898 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NC1(CNC(=O)c2ncn[nH]2)CC1 ZINC001358684923 926789195 /nfs/dbraw/zinc/78/91/95/926789195.db2.gz YQNIIAMJBUGFNG-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NC1(CNC(=O)c2nc[nH]n2)CC1 ZINC001358684923 926789202 /nfs/dbraw/zinc/78/92/02/926789202.db2.gz YQNIIAMJBUGFNG-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN C[C@@H](CNC(=O)CN1CCCC1)CNC(=O)[C@@H](C)C#N ZINC001416463205 926893023 /nfs/dbraw/zinc/89/30/23/926893023.db2.gz DIFBADYROWVEOR-RYUDHWBXSA-N 0 1 280.372 0.110 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)CNC(=O)CN1CCCC1 ZINC001416463208 926896227 /nfs/dbraw/zinc/89/62/27/926896227.db2.gz DIFBADYROWVEOR-VXGBXAGGSA-N 0 1 280.372 0.110 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cncc(F)c1 ZINC001422280548 927190833 /nfs/dbraw/zinc/19/08/33/927190833.db2.gz CXCLYCFCNPGPSV-LLVKDONJSA-N 0 1 287.722 0.654 20 30 CCEDMN N#Cc1ccccc1CNC[C@H](O)CNC(=O)c1ncc[nH]1 ZINC001422300825 927205499 /nfs/dbraw/zinc/20/54/99/927205499.db2.gz CVUWLYYTTPTIOM-ZDUSSCGKSA-N 0 1 299.334 0.162 20 30 CCEDMN CN(C(=O)CSc1ccc(C#N)cc1)c1nn[nH]n1 ZINC001361667214 927233179 /nfs/dbraw/zinc/23/31/79/927233179.db2.gz OSQZXVGUNVNIFM-UHFFFAOYSA-N 0 1 274.309 0.826 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)c1cc(C#N)oc1C ZINC001361727027 927280409 /nfs/dbraw/zinc/28/04/09/927280409.db2.gz QZLAYUMJXWUIJH-UHFFFAOYSA-N 0 1 274.240 0.190 20 30 CCEDMN N#Cc1cccc(CNC(=O)CCCc2nn[nH]n2)c1 ZINC001361787430 927330119 /nfs/dbraw/zinc/33/01/19/927330119.db2.gz HZFRVUKVJVFRKC-UHFFFAOYSA-N 0 1 270.296 0.710 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CN1CCCC1=O ZINC001423021149 927630137 /nfs/dbraw/zinc/63/01/37/927630137.db2.gz FROCYZKGNYCEJK-NSHDSACASA-N 0 1 287.791 0.798 20 30 CCEDMN COCCC(=O)NC[C@H](CO)NCc1ccc(C#N)cc1 ZINC001423191147 927726439 /nfs/dbraw/zinc/72/64/39/927726439.db2.gz ZZUNTBABGYPOEF-CQSZACIVSA-N 0 1 291.351 0.161 20 30 CCEDMN N#Cc1ccc(CNC[C@@]2(O)CCS(=O)(=O)C2)cc1F ZINC001423204232 927739195 /nfs/dbraw/zinc/73/91/95/927739195.db2.gz JSCCKYKCDYZPRQ-ZDUSSCGKSA-N 0 1 298.339 0.337 20 30 CCEDMN N#C[C@@H]1CSCCN1C(=O)CCN1CC[C@H](F)C1 ZINC001362251268 927781396 /nfs/dbraw/zinc/78/13/96/927781396.db2.gz IQUSJVZNRVIZGV-WDEREUQCSA-N 0 1 271.361 0.888 20 30 CCEDMN C[C@H](CN(C)C(=O)[C@]1(C#N)CCCOC1)c1nn[nH]n1 ZINC001362487053 928087269 /nfs/dbraw/zinc/08/72/69/928087269.db2.gz CFONCXHQNVOAHZ-SKDRFNHKSA-N 0 1 278.316 0.082 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)ncc1C#N ZINC001362543399 928155397 /nfs/dbraw/zinc/15/53/97/928155397.db2.gz QZTFSUVJZKBTST-SNVBAGLBSA-N 0 1 297.322 0.795 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1COC2(CN(CC3CCC3)C2)C1 ZINC001424360452 928168631 /nfs/dbraw/zinc/16/86/31/928168631.db2.gz VIWRYCAUDHCYSX-DGCLKSJQSA-N 0 1 277.368 0.906 20 30 CCEDMN CC(C)(C#N)CS(=O)(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC001424502225 928201976 /nfs/dbraw/zinc/20/19/76/928201976.db2.gz ADXGJWWXJQUORU-VIFPVBQESA-N 0 1 283.357 0.474 20 30 CCEDMN N#Cc1ccc(CN[C@H](CO)CNC(=O)c2ncc[nH]2)cc1 ZINC001425748512 928654188 /nfs/dbraw/zinc/65/41/88/928654188.db2.gz UMUNYUCJCFKCHU-ZDUSSCGKSA-N 0 1 299.334 0.162 20 30 CCEDMN Cc1csc(CNC[C@@H](O)CN(C)C(=O)[C@H](C)C#N)n1 ZINC001425978139 928723228 /nfs/dbraw/zinc/72/32/28/928723228.db2.gz CMLJAEFILWVKDT-MWLCHTKSSA-N 0 1 296.396 0.520 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001426389553 928833453 /nfs/dbraw/zinc/83/34/53/928833453.db2.gz QWQHQNRKTFWISB-SSDOTTSWSA-N 0 1 291.315 0.320 20 30 CCEDMN N#Cc1cc(C(=O)NCc2n[nH]c(CO)n2)ccc1Cl ZINC001363130815 928903385 /nfs/dbraw/zinc/90/33/85/928903385.db2.gz ZWUAOTIWCIYXSI-UHFFFAOYSA-N 0 1 291.698 0.752 20 30 CCEDMN N#Cc1cc(C(=O)NCc2nnc(CO)[nH]2)ccc1Cl ZINC001363130815 928903392 /nfs/dbraw/zinc/90/33/92/928903392.db2.gz ZWUAOTIWCIYXSI-UHFFFAOYSA-N 0 1 291.698 0.752 20 30 CCEDMN C[C@H]1COCCC[N@@H+]1Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001363211893 928978565 /nfs/dbraw/zinc/97/85/65/928978565.db2.gz OXTPBUCGLJSGLS-JTQLQIEISA-N 0 1 287.323 0.917 20 30 CCEDMN C[C@H]1COCCC[N@H+]1Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001363211893 928978570 /nfs/dbraw/zinc/97/85/70/928978570.db2.gz OXTPBUCGLJSGLS-JTQLQIEISA-N 0 1 287.323 0.917 20 30 CCEDMN C[C@@H]1CC[N@H+](Cc2cc(=O)n3[n-]cc(C#N)c3n2)CCO1 ZINC001363333217 929125907 /nfs/dbraw/zinc/12/59/07/929125907.db2.gz ZELRANQYHWGUCI-SNVBAGLBSA-N 0 1 287.323 0.917 20 30 CCEDMN C[C@@H]1CC[N@@H+](Cc2cc(=O)n3[n-]cc(C#N)c3n2)CCO1 ZINC001363333217 929125912 /nfs/dbraw/zinc/12/59/12/929125912.db2.gz ZELRANQYHWGUCI-SNVBAGLBSA-N 0 1 287.323 0.917 20 30 CCEDMN CCc1ncc(CNC(=O)CNc2ccc(C#N)cn2)[nH]1 ZINC001363597744 929404465 /nfs/dbraw/zinc/40/44/65/929404465.db2.gz MHSBSKRGTZKXIX-UHFFFAOYSA-N 0 1 284.323 0.967 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2C[C@@H](NC(=O)[C@@H](C)C#N)C2)c1C ZINC001372596621 929889645 /nfs/dbraw/zinc/88/96/45/929889645.db2.gz INJGOFUUQDFEKM-BKDNQFJXSA-N 0 1 289.339 0.563 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](O)CNCc1c(F)cccc1F ZINC001445149821 930050569 /nfs/dbraw/zinc/05/05/69/930050569.db2.gz HFZHMIBEYOQTNU-ZJUUUORDSA-N 0 1 297.305 0.691 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cccn1CC ZINC001445270363 930078198 /nfs/dbraw/zinc/07/81/98/930078198.db2.gz NHPPSVSXAFYCEG-NSHDSACASA-N 0 1 285.775 0.941 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H]1CCNC(=O)C1 ZINC001445554658 930169117 /nfs/dbraw/zinc/16/91/17/930169117.db2.gz YPZXRFOTAGCLJI-UWVGGRQHSA-N 0 1 273.764 0.359 20 30 CCEDMN Cc1cc(C)n(CCN2CC(CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001373502487 930222594 /nfs/dbraw/zinc/22/25/94/930222594.db2.gz JRPRAWAMFZYQMR-NSHDSACASA-N 0 1 289.383 0.708 20 30 CCEDMN CCCn1nnnc1CNC[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001373987488 930392803 /nfs/dbraw/zinc/39/28/03/930392803.db2.gz GRRLQTZCHQQULU-QWRGUYRKSA-N 0 1 293.375 0.085 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(O)CN(CC2CCCCC2)C1 ZINC001446830836 930495243 /nfs/dbraw/zinc/49/52/43/930495243.db2.gz NFQHLFXHOKXOAA-LBPRGKRZSA-N 0 1 279.384 0.889 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)Cn2ccnc2)CCO1 ZINC001447296665 930603470 /nfs/dbraw/zinc/60/34/70/930603470.db2.gz CGHIPTTVHWRGHI-LBPRGKRZSA-N 0 1 298.774 0.453 20 30 CCEDMN Cc1nc(N(C)CCNC(=O)c2ncn[nH]2)ccc1C#N ZINC001374794343 930667080 /nfs/dbraw/zinc/66/70/80/930667080.db2.gz IAQAPWPCPVUCCR-UHFFFAOYSA-N 0 1 285.311 0.246 20 30 CCEDMN Cc1nc(N(C)CCNC(=O)c2nc[nH]n2)ccc1C#N ZINC001374794343 930667083 /nfs/dbraw/zinc/66/70/83/930667083.db2.gz IAQAPWPCPVUCCR-UHFFFAOYSA-N 0 1 285.311 0.246 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCN(C)C(=O)CCc1cnc[nH]1 ZINC001376318019 931121183 /nfs/dbraw/zinc/12/11/83/931121183.db2.gz NOXUCUQIDJKXRP-NSHDSACASA-N 0 1 291.355 0.419 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001376781776 931269437 /nfs/dbraw/zinc/26/94/37/931269437.db2.gz URJGRDZPBQFYPV-VIFPVBQESA-N 0 1 299.758 0.844 20 30 CCEDMN C#Cc1ccc(C(=O)Nc2n[nH]c3ncnn23)cn1 ZINC001450642745 931321806 /nfs/dbraw/zinc/32/18/06/931321806.db2.gz SXKPSVFNDLEKMV-UHFFFAOYSA-N 0 1 253.225 0.081 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H]1CC[C@@H]2CCCC[N@@H+]12 ZINC001602683098 971272017 /nfs/dbraw/zinc/27/20/17/971272017.db2.gz YSBTZWQCLITADM-NWDGAFQWSA-N 0 1 264.325 0.550 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](Cc2c(C(=O)[O-])cnn2C)C1 ZINC001602723271 971395694 /nfs/dbraw/zinc/39/56/94/971395694.db2.gz YBYAFQTXPQXGHK-JTQLQIEISA-N 0 1 265.313 0.895 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC000399049486 951628261 /nfs/dbraw/zinc/62/82/61/951628261.db2.gz FZVPLJNRNLANJW-VWYCJHECSA-N 0 1 254.330 0.720 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@@H+]1C[C@H](C(=O)[O-])C[C@H](C)C1 ZINC000399049483 951628527 /nfs/dbraw/zinc/62/85/27/951628527.db2.gz FZVPLJNRNLANJW-GARJFASQSA-N 0 1 254.330 0.720 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@@H+]1C[C@H](C(=O)[O-])C[C@H](C)C1 ZINC000399055098 951629713 /nfs/dbraw/zinc/62/97/13/951629713.db2.gz HNZVWPZADHXMRG-GARJFASQSA-N 0 1 252.314 0.167 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@@H+](C)C[C@H](O)CC1(C#N)CCOCC1 ZINC001589440670 954705583 /nfs/dbraw/zinc/70/55/83/954705583.db2.gz DPMZUEXMYQBIIG-NEPJUHHUSA-N 0 1 284.356 0.853 20 30 CCEDMN COC(=O)CC[N@@H+](CC(=O)[O-])[C@H]1CC[C@@H](C#N)C1 ZINC001593840733 955358468 /nfs/dbraw/zinc/35/84/68/955358468.db2.gz DUTWOXZHFSVMKX-ZJUUUORDSA-N 0 1 254.286 0.628 20 30 CCEDMN N#CC[C@@]1(O)CC[N@@H+](CCC2(C(=O)[O-])CCC2)C1 ZINC001594605830 956173643 /nfs/dbraw/zinc/17/36/43/956173643.db2.gz JGQAOVRXEIGNNB-CYBMUJFWSA-N 0 1 252.314 0.982 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)[C@H]2CC[C@H]2C(=O)[O-])C1 ZINC001588430770 958260335 /nfs/dbraw/zinc/26/03/35/958260335.db2.gz OJMQVMJILCGCGF-SDDRHHMPSA-N 0 1 264.325 0.311 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)[C@H]2CC[C@H]2C(=O)[O-])C1 ZINC001588430770 958260350 /nfs/dbraw/zinc/26/03/50/958260350.db2.gz OJMQVMJILCGCGF-SDDRHHMPSA-N 0 1 264.325 0.311 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@H](C(=O)[O-])C[C@H](C)C1 ZINC001588431386 958268284 /nfs/dbraw/zinc/26/82/84/958268284.db2.gz XBTSTSRPBAQYSW-XQQFMLRXSA-N 0 1 278.352 0.653 20 30 CCEDMN C#CC[N@H+](CCCC(=O)NCC(C)C)CC(=O)[O-] ZINC001588432247 958277844 /nfs/dbraw/zinc/27/78/44/958277844.db2.gz GWALPQDHBZBBJJ-UHFFFAOYSA-N 0 1 254.330 0.559 20 30 CCEDMN C=C[C@@H](C(=O)OC)[N@@H+]1CC[C@@](COC)(C(=O)[O-])C1 ZINC001588450395 958391910 /nfs/dbraw/zinc/39/19/10/958391910.db2.gz IMEMDBFXFHNGTN-JOYOIKCWSA-N 0 1 257.286 0.137 20 30 CCEDMN C=C[C@@H](C(=O)OC)[N@H+]1CC[C@@](COC)(C(=O)[O-])C1 ZINC001588450395 958391924 /nfs/dbraw/zinc/39/19/24/958391924.db2.gz IMEMDBFXFHNGTN-JOYOIKCWSA-N 0 1 257.286 0.137 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)C(=O)[C@]1(C(=O)[O-])CCCO1 ZINC001588463370 958484773 /nfs/dbraw/zinc/48/47/73/958484773.db2.gz OFEYTEMDRGGCQR-AWEZNQCLSA-N 0 1 284.356 0.587 20 30 CCEDMN C=C(Cl)C[NH+]1CCC(N(C)C(=O)CNC(=O)[O-])CC1 ZINC001573338655 962877774 /nfs/dbraw/zinc/87/77/74/962877774.db2.gz FUKQADAIQPFUSL-UHFFFAOYSA-N 0 1 289.763 0.929 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000381069276 968087403 /nfs/dbraw/zinc/08/74/03/968087403.db2.gz BBIXICKCTJDTLU-DCAQKATOSA-N 0 1 252.314 0.167 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC001603498745 973462817 /nfs/dbraw/zinc/46/28/17/973462817.db2.gz XNJBLIPKFMEVJN-MFKMUULPSA-N 0 1 269.345 0.836 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC001603498745 973462823 /nfs/dbraw/zinc/46/28/23/973462823.db2.gz XNJBLIPKFMEVJN-MFKMUULPSA-N 0 1 269.345 0.836 20 30 CCEDMN N#Cc1ccc(C[N@H+]2C[C@H](O)C[C@H](C(=O)[O-])C2)cc1 ZINC000401936694 973545786 /nfs/dbraw/zinc/54/57/86/973545786.db2.gz MXYMHINVXVJCJF-QWHCGFSZSA-N 0 1 260.293 0.826 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@@H+](C)CCCc1[nH]nc(N)c1C#N ZINC001594770008 981734728 /nfs/dbraw/zinc/73/47/28/981734728.db2.gz DZIRBLGIJYMFOE-JTQLQIEISA-N 0 1 265.317 0.591 20 30 CCEDMN CC[C@@H](C)[N@@H+](CCC(=O)N(C)CCC#N)CC(=O)[O-] ZINC001594983328 982785426 /nfs/dbraw/zinc/78/54/26/982785426.db2.gz BFEPVCORFKMZTC-LLVKDONJSA-N 0 1 269.345 0.934 20 30 CCEDMN C#CC[C@@H]1CC[N@H+](CCc2cn(CC(=O)[O-])nn2)C1 ZINC001588434275 983469676 /nfs/dbraw/zinc/46/96/76/983469676.db2.gz IQPUBKVLMQHSML-LLVKDONJSA-N 0 1 262.313 0.250 20 30 CCEDMN C#CC[C@H]1CCC[N@H+]([C@H](C)C(=O)NCC(=O)[O-])C1 ZINC001588434670 983471390 /nfs/dbraw/zinc/47/13/90/983471390.db2.gz PYFZSKKSLUNKDF-MNOVXSKESA-N 0 1 252.314 0.311 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1ccc(C(=O)[O-])n1C ZINC001588463869 983492812 /nfs/dbraw/zinc/49/28/12/983492812.db2.gz PXJKNPPBLKIWDM-UHFFFAOYSA-N 0 1 277.324 0.408 20 30 CCEDMN C#Cc1ccc(C[N@H+]2C[C@H](C(=O)[O-])[C@@H](C(=O)OC)C2)cc1 ZINC001588516382 983560902 /nfs/dbraw/zinc/56/09/02/983560902.db2.gz JKCROOAOFKPEPL-KBPBESRZSA-N 0 1 287.315 0.974 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCCN(C(=O)C2CC2)CC1 ZINC001588662532 983789981 /nfs/dbraw/zinc/78/99/81/983789981.db2.gz BEFJTFWIKHASFI-NSHDSACASA-N 0 1 252.314 0.570 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](N2CCNC2=O)C1 ZINC001588663589 983795590 /nfs/dbraw/zinc/79/55/90/983795590.db2.gz OFQDTPABXDVGHC-NXEZZACHSA-N 0 1 253.302 0.115 20 30 CCEDMN C=C[C@@H](C(=O)[O-])n1cc(C[N@H+]2CCC[C@@H]2CO)nn1 ZINC001588672490 983825191 /nfs/dbraw/zinc/82/51/91/983825191.db2.gz SXPLBYNAHSHKRJ-MNOVXSKESA-N 0 1 266.301 0.047 20 30 CCEDMN C=C[C@@H](C(=O)[O-])n1cc(C[N@@H+]2CCC[C@@H]2CO)nn1 ZINC001588672490 983825195 /nfs/dbraw/zinc/82/51/95/983825195.db2.gz SXPLBYNAHSHKRJ-MNOVXSKESA-N 0 1 266.301 0.047 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](C)C[C@@H]1CCS(=O)(=O)C1 ZINC001588730360 983998617 /nfs/dbraw/zinc/99/86/17/983998617.db2.gz YSAVVTFVIVOAIM-UWVGGRQHSA-N 0 1 261.343 0.382 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[C@@](O)(C(=O)[O-])C1 ZINC001588825385 984299667 /nfs/dbraw/zinc/29/96/67/984299667.db2.gz NLVNMWCDFKDWGG-FZMZJTMJSA-N 0 1 282.340 0.097 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC001588838294 984336619 /nfs/dbraw/zinc/33/66/19/984336619.db2.gz DDVVJJIZEIHRTP-VIFPVBQESA-N 0 1 295.364 0.933 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@H](c2ncc(C(=O)[O-])s2)C1 ZINC001588838294 984336623 /nfs/dbraw/zinc/33/66/23/984336623.db2.gz DDVVJJIZEIHRTP-VIFPVBQESA-N 0 1 295.364 0.933 20 30 CCEDMN C=CCN1CC[C@H]([NH2+][C@@H](Cc2cncs2)C(=O)[O-])C1=O ZINC001588838785 984341150 /nfs/dbraw/zinc/34/11/50/984341150.db2.gz JTQUEQJEWINWBB-QWRGUYRKSA-N 0 1 295.364 0.515 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CCC[C@H]2CC(=O)[O-])C1=O ZINC001588839071 984344704 /nfs/dbraw/zinc/34/47/04/984344704.db2.gz NXTLPHGLCMYTJJ-WDEREUQCSA-N 0 1 252.314 0.712 20 30 CCEDMN C=CCOCC[N@@H+]1CCCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588868959 984437073 /nfs/dbraw/zinc/43/70/73/984437073.db2.gz IDVNFKZJQJVNJU-STQMWFEESA-N 0 1 296.367 0.444 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CC[N@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588916802 984563444 /nfs/dbraw/zinc/56/34/44/984563444.db2.gz FXJBAIJAZBTPDL-TUAOUCFPSA-N 0 1 282.340 0.052 20 30 CCEDMN CC#CC[N@@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001588931031 984600828 /nfs/dbraw/zinc/60/08/28/984600828.db2.gz JFSXPHASLDCVPY-UHFFFAOYSA-N 0 1 266.341 0.845 20 30 CCEDMN CC#CC[N@H+](C)CC(=O)NC1(C(=O)[O-])CCCCC1 ZINC001588931031 984600824 /nfs/dbraw/zinc/60/08/24/984600824.db2.gz JFSXPHASLDCVPY-UHFFFAOYSA-N 0 1 266.341 0.845 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1c1cc(C#N)ncn1 ZINC001594528777 986104811 /nfs/dbraw/zinc/10/48/11/986104811.db2.gz RCWZDPKTZBHZFO-JTQLQIEISA-N 0 1 275.312 0.333 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCSC[C@@H](C(=O)[O-])C1 ZINC001589339566 986237499 /nfs/dbraw/zinc/23/74/99/986237499.db2.gz DKWIAJBOHPEONJ-MNOVXSKESA-N 0 1 299.396 0.497 20 30 CCEDMN CC(C)(C#N)CC[N@H+]1CCC[C@@H]1C(=O)NCC(=O)[O-] ZINC001589554864 987304131 /nfs/dbraw/zinc/30/41/31/987304131.db2.gz RPQLQCOBBJKXDO-SNVBAGLBSA-N 0 1 267.329 0.591 20 30 CCEDMN CN(CC(=O)[O-])C(=O)CC[N@@H+]1CCC[C@@H](C#N)C1 ZINC001598404668 991712448 /nfs/dbraw/zinc/71/24/48/991712448.db2.gz JUESVFMNZVYBNQ-JTQLQIEISA-N 0 1 253.302 0.155 20 30 CCEDMN CN(CC(=O)[O-])C(=O)CC[N@H+]1CCC[C@@H](C#N)C1 ZINC001598404668 991712454 /nfs/dbraw/zinc/71/24/54/991712454.db2.gz JUESVFMNZVYBNQ-JTQLQIEISA-N 0 1 253.302 0.155 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)c2cccc(C#N)c2)C[C@@H]1C(=O)[O-] ZINC001598576068 993277084 /nfs/dbraw/zinc/27/70/84/993277084.db2.gz QLIKORHAHKXUIL-NWDGAFQWSA-N 0 1 274.276 0.872 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2sccc2C#N)C[C@H](C(=O)[O-])C1 ZINC001598611668 993786609 /nfs/dbraw/zinc/78/66/09/993786609.db2.gz LAYAAACJAWKGMJ-SNVBAGLBSA-N 0 1 293.348 0.708 20 30 CCEDMN CO[C@H]1CC[N@@H+](CCOCCC#N)[C@@H](C(=O)[O-])C1 ZINC001599123137 997779330 /nfs/dbraw/zinc/77/93/30/997779330.db2.gz HNUWBLOTDQARHI-WDEREUQCSA-N 0 1 256.302 0.481 20 30 CCEDMN N#Cc1cccc(CNC(=O)CN2CCC[C@H]2CO)c1 ZINC000337670113 214208668 /nfs/dbraw/zinc/20/86/68/214208668.db2.gz UBLKPTRFDYWNPB-AWEZNQCLSA-N 0 1 273.336 0.631 20 30 CCEDMN N#Cc1ccc(NCC(=O)N2CCc3nc[nH]c3C2)nc1 ZINC000599952397 361841471 /nfs/dbraw/zinc/84/14/71/361841471.db2.gz MSQWLIGXZFAOEK-UHFFFAOYSA-N 0 1 282.307 0.095 20 30 CCEDMN N#Cc1ccc(NCC(=O)NCCN2CC[C@@H](O)C2)cc1 ZINC000600530321 362003331 /nfs/dbraw/zinc/00/33/31/362003331.db2.gz CHWHWALPNKWQGM-CQSZACIVSA-N 0 1 288.351 0.153 20 30 CCEDMN N#CCCCS(=O)(=O)NC1CCN(C2CC2)CC1 ZINC000104109708 349708060 /nfs/dbraw/zinc/70/80/60/349708060.db2.gz VUCPVLZPJHTLCE-UHFFFAOYSA-N 0 1 271.386 0.836 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)NCCN1CC[C@@H](O)C1 ZINC000600536019 362006132 /nfs/dbraw/zinc/00/61/32/362006132.db2.gz VRVVWCXLCNTUQV-SNVBAGLBSA-N 0 1 263.297 0.256 20 30 CCEDMN C/C=C\CNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000600756015 362055241 /nfs/dbraw/zinc/05/52/41/362055241.db2.gz KPEJTZZMYADQLU-IHWYPQMZSA-N 0 1 265.338 0.893 20 30 CCEDMN CCC(C#N)(CC)C(=O)NCCN1CC[C@H](O)C1 ZINC000600808374 362065606 /nfs/dbraw/zinc/06/56/06/362065606.db2.gz LZWMOJLHCFYMGA-NSHDSACASA-N 0 1 253.346 0.499 20 30 CCEDMN CN1CC[C@@H](N2CCC(Nc3ccncc3C#N)CC2)C1=O ZINC000601176130 362166956 /nfs/dbraw/zinc/16/69/56/362166956.db2.gz GIORHSUXUYSBCK-OAHLLOKOSA-N 0 1 299.378 0.482 20 30 CCEDMN CN1CCOC[C@@H]1C(=O)Nc1nc(C2CCC2)n[nH]1 ZINC000329046459 529780806 /nfs/dbraw/zinc/78/08/06/529780806.db2.gz PYDQSXKFLVQVMX-SECBINFHSA-N 0 1 265.317 0.991 20 30 CCEDMN CN1CCOC[C@@H]1C(=O)Nc1n[nH]c(C2CCC2)n1 ZINC000329046459 529780807 /nfs/dbraw/zinc/78/08/07/529780807.db2.gz PYDQSXKFLVQVMX-SECBINFHSA-N 0 1 265.317 0.991 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)[C@@H]1CCCOC1 ZINC000352194016 529826423 /nfs/dbraw/zinc/82/64/23/529826423.db2.gz HEAHVBAOJSJOLP-CYBMUJFWSA-N 0 1 278.356 0.753 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)CC1(O)CCC1 ZINC000353495417 529837219 /nfs/dbraw/zinc/83/72/19/529837219.db2.gz NPRXGPPIDSIEBQ-CYBMUJFWSA-N 0 1 265.357 0.642 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)NCC1(N(C)C)CC1 ZINC000601450052 362276887 /nfs/dbraw/zinc/27/68/87/362276887.db2.gz HOVLTVGVOHOYHV-LLVKDONJSA-N 0 1 288.417 0.397 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@H](C)CN1CCOCC1)C(=O)OC ZINC000601787118 362393832 /nfs/dbraw/zinc/39/38/32/362393832.db2.gz JYWMKZFOEBSESH-OLZOCXBDSA-N 0 1 298.383 0.579 20 30 CCEDMN N#CCCN1CCN(C(=O)c2coc(CN)c2)CC1 ZINC000601850972 362410218 /nfs/dbraw/zinc/41/02/18/362410218.db2.gz JPJQSVGAHJTRES-UHFFFAOYSA-N 0 1 262.313 0.410 20 30 CCEDMN C[C@H](CCC#N)CN1CCN(C(C)(C)C(N)=O)CC1 ZINC000602475020 362636768 /nfs/dbraw/zinc/63/67/68/362636768.db2.gz HHUGPCJACBYUEP-GFCCVEGCSA-N 0 1 266.389 0.808 20 30 CCEDMN N#Cc1cccc(OCCN2CC[C@@H](NC(N)=O)C2)c1 ZINC000270642101 190754865 /nfs/dbraw/zinc/75/48/65/190754865.db2.gz NFNYNLIYHFIJSK-GFCCVEGCSA-N 0 1 274.324 0.680 20 30 CCEDMN C[C@@H](Oc1ccc(C#N)cc1)C(=O)NC[C@@H]1COCCN1 ZINC000602549146 362673861 /nfs/dbraw/zinc/67/38/61/362673861.db2.gz CHGREKQXQADDFH-DGCLKSJQSA-N 0 1 289.335 0.430 20 30 CCEDMN C=C(C)C[C@H](NC(=O)c1coc(CN)c1)C(=O)OC ZINC000602600947 362706534 /nfs/dbraw/zinc/70/65/34/362706534.db2.gz QAOLNKFSMNHILX-NSHDSACASA-N 0 1 266.297 0.976 20 30 CCEDMN N#Cc1cc(N2CCN([C@@H]3CCC[C@H]3O)CC2)ncn1 ZINC000617948616 365854622 /nfs/dbraw/zinc/85/46/22/365854622.db2.gz CKKFXHUNUMXLOG-CHWSQXEVSA-N 0 1 273.340 0.384 20 30 CCEDMN C[C@H]1CN(CCc2cnccn2)C[C@H](C)N1CC#N ZINC000602848980 362836025 /nfs/dbraw/zinc/83/60/25/362836025.db2.gz IZVDFJDZPVCKHW-STQMWFEESA-N 0 1 259.357 0.937 20 30 CCEDMN C[C@@H](CCO)NS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000120331275 349981368 /nfs/dbraw/zinc/98/13/68/349981368.db2.gz UQLSTHHZUVDOMZ-ZETCQYMHSA-N 0 1 290.291 0.886 20 30 CCEDMN C[C@H](CCO)NS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000120331432 349981917 /nfs/dbraw/zinc/98/19/17/349981917.db2.gz UQLSTHHZUVDOMZ-SSDOTTSWSA-N 0 1 290.291 0.886 20 30 CCEDMN C[C@H]1C[C@@H](CO)CN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602888395 362866873 /nfs/dbraw/zinc/86/68/73/362866873.db2.gz UKZDEZYRDPPXAC-VHSXEESVSA-N 0 1 277.328 0.196 20 30 CCEDMN CCc1nocc1CN(C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000602880266 362860642 /nfs/dbraw/zinc/86/06/42/362860642.db2.gz KSBJQCHIXAFOJW-UHFFFAOYSA-N 0 1 288.311 0.928 20 30 CCEDMN C[C@]12CN(Cc3ccncc3C#N)C[C@@]1(C)C(=O)NC2=O ZINC000602934454 362895418 /nfs/dbraw/zinc/89/54/18/362895418.db2.gz HPDOKDGVIHERAU-GASCZTMLSA-N 0 1 284.319 0.438 20 30 CCEDMN COC[C@](C)(CO)NCC(=O)Nc1ccc(C#N)cc1 ZINC000602931138 362892817 /nfs/dbraw/zinc/89/28/17/362892817.db2.gz HQTRYTSCPXCFDD-AWEZNQCLSA-N 0 1 277.324 0.484 20 30 CCEDMN COC(=O)CNC(=O)CCN(C)[C@@H]1CCC[C@H]1C#N ZINC000602976007 362918531 /nfs/dbraw/zinc/91/85/31/362918531.db2.gz JQWAYYLLPPNYAM-WDEREUQCSA-N 0 1 267.329 0.290 20 30 CCEDMN C[C@@H](Oc1ccc(C#N)cc1)C(=O)N1CCNCC1 ZINC000070143025 348873721 /nfs/dbraw/zinc/87/37/21/348873721.db2.gz CVOLSSXQOCCHTO-LLVKDONJSA-N 0 1 259.309 0.757 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC[C@@]2(CNC(=O)C2)C1 ZINC000072832293 348935588 /nfs/dbraw/zinc/93/55/88/348935588.db2.gz MVHVHMZLPUAUBA-MRXNPFEDSA-N 0 1 291.395 0.789 20 30 CCEDMN CN(CCN1C(=O)CNC1=O)Cc1ccc(C#N)cc1 ZINC000073615903 348957301 /nfs/dbraw/zinc/95/73/01/348957301.db2.gz LBJAJOVUCYYMNP-UHFFFAOYSA-N 0 1 272.308 0.542 20 30 CCEDMN N#Cc1ccccc1OCC(=O)NCCc1nc[nH]n1 ZINC000080579460 349218907 /nfs/dbraw/zinc/21/89/07/349218907.db2.gz YSVJRISPFNOSLU-UHFFFAOYSA-N 0 1 271.280 0.414 20 30 CCEDMN CCN1CCN(CC(=O)N[C@H](C#N)CC(C)C)CC1 ZINC000081325383 349258389 /nfs/dbraw/zinc/25/83/89/349258389.db2.gz YYZXIYZWUFXMEY-ZDUSSCGKSA-N 0 1 266.389 0.678 20 30 CCEDMN Cc1cc(NC(=O)N[C@@H]2CCC[N@H+](C)C2)ncc1C#N ZINC000603119487 362968839 /nfs/dbraw/zinc/96/88/39/362968839.db2.gz YOYDJUXXMKSULO-GFCCVEGCSA-N 0 1 273.340 0.899 20 30 CCEDMN CNC(C)(C)c1cn([C@H](C)C(=O)N(C)CCC#N)nn1 ZINC000603189802 362991373 /nfs/dbraw/zinc/99/13/73/362991373.db2.gz SKWFTPUMQJXGGR-SNVBAGLBSA-N 0 1 278.360 0.666 20 30 CCEDMN N#Cc1cnccc1Cn1cc(CNC2CC2)nn1 ZINC000603254181 363024293 /nfs/dbraw/zinc/02/42/93/363024293.db2.gz LZIRPTGZOSHIEL-UHFFFAOYSA-N 0 1 254.297 0.845 20 30 CCEDMN C[C@@H](O)CN(CC(=O)NC1(C#N)CCCCC1)[C@@H](C)CO ZINC000190838110 351736909 /nfs/dbraw/zinc/73/69/09/351736909.db2.gz AAXLEVMWOJJTBT-QWHCGFSZSA-N 0 1 297.399 0.393 20 30 CCEDMN C[C@@H](CO)NS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000122832778 187054183 /nfs/dbraw/zinc/05/41/83/187054183.db2.gz CGJJLJZEILHVEA-LURJTMIESA-N 0 1 276.264 0.496 20 30 CCEDMN CCN1CCC[C@H]1CNS(=O)(=O)c1cccnc1C#N ZINC000233491312 352150897 /nfs/dbraw/zinc/15/08/97/352150897.db2.gz WOOCRMYNOKZVMY-NSHDSACASA-N 0 1 294.380 0.716 20 30 CCEDMN N#Cc1cc2c(nc1NC[C@H]1COCCN1)CCC2 ZINC000236235968 352193061 /nfs/dbraw/zinc/19/30/61/352193061.db2.gz JUXQFFNRQHJMRY-LBPRGKRZSA-N 0 1 258.325 0.842 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)CNCc2ccncn2)cc1 ZINC000236518610 352196611 /nfs/dbraw/zinc/19/66/11/352196611.db2.gz YJEJTYYKJYIFOT-AWEZNQCLSA-N 0 1 284.319 0.878 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)CC[C@H](C)O ZINC000253190097 352529205 /nfs/dbraw/zinc/52/92/05/352529205.db2.gz KPEWGHWXURSEEY-WCQYABFASA-N 0 1 255.362 0.744 20 30 CCEDMN C#CCCN(C)CC(=O)N1CCC(C(=O)OCC)CC1 ZINC000265397238 352636015 /nfs/dbraw/zinc/63/60/15/352636015.db2.gz GTHWXVHKDQBHRN-UHFFFAOYSA-N 0 1 280.368 0.743 20 30 CCEDMN CC(C)N(CCO)CC(=O)NCc1ccc(C#N)cc1 ZINC000267909699 352797255 /nfs/dbraw/zinc/79/72/55/352797255.db2.gz FLJZBMSCEWZFBH-UHFFFAOYSA-N 0 1 275.352 0.877 20 30 CCEDMN C=CCN(CCCS(N)(=O)=O)CCc1ccco1 ZINC000272336316 353054108 /nfs/dbraw/zinc/05/41/08/353054108.db2.gz XNGCGTSVKGSNMG-UHFFFAOYSA-N 0 1 272.370 0.989 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(Cn2ccnn2)CC1 ZINC000155672994 188220033 /nfs/dbraw/zinc/22/00/33/188220033.db2.gz WGFWOQZPXMVZCC-LBPRGKRZSA-N 0 1 277.372 0.681 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)Sc1c[nH]nn1 ZINC000155889051 188233979 /nfs/dbraw/zinc/23/39/79/188233979.db2.gz FALDXIHFWRALFU-ZCFIWIBFSA-N 0 1 255.303 0.297 20 30 CCEDMN CCn1c(=O)[nH]nc1S(=O)(=O)Cc1ccccc1C#N ZINC000283263565 353496247 /nfs/dbraw/zinc/49/62/47/353496247.db2.gz FGEUGQFTOCWSNQ-UHFFFAOYSA-N 0 1 292.320 0.849 20 30 CCEDMN N#Cc1ccc(N2CCN(Cc3c[nH]nn3)CC2)nc1 ZINC000284262843 353554961 /nfs/dbraw/zinc/55/49/61/353554961.db2.gz MIDAPDGJEYPTSI-UHFFFAOYSA-N 0 1 269.312 0.394 20 30 CCEDMN C[C@H](O)CN1CCN(c2cc(C#N)ccn2)[C@@H](C)C1 ZINC000287052829 353625210 /nfs/dbraw/zinc/62/52/10/353625210.db2.gz XASKFBOWUKOIAE-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1ccc(C#N)o1 ZINC000287713480 353663020 /nfs/dbraw/zinc/66/30/20/353663020.db2.gz GELGSKFPLBEVHE-UHFFFAOYSA-N 0 1 257.315 0.333 20 30 CCEDMN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1ccc(C#N)c(F)c1 ZINC000290505106 353731142 /nfs/dbraw/zinc/73/11/42/353731142.db2.gz AHYHCYQQZNBBCB-MRVPVSSYSA-N 0 1 299.327 0.916 20 30 CCEDMN C=CCN(C(=O)[C@@H]1CCN(C)C1)[C@@H]1CCS(=O)(=O)C1 ZINC000521071514 260395755 /nfs/dbraw/zinc/39/57/55/260395755.db2.gz QNIGHGHXKCROMZ-VXGBXAGGSA-N 0 1 286.397 0.140 20 30 CCEDMN C#CCNC(=O)CC[NH+]1CC(N(C)Cc2ccccc2)C1 ZINC000295924255 353924369 /nfs/dbraw/zinc/92/43/69/353924369.db2.gz HOOCREZSRJKEOZ-UHFFFAOYSA-N 0 1 285.391 0.942 20 30 CCEDMN C#CCNC(=O)CCN1CC(N(C)Cc2ccccc2)C1 ZINC000295924255 353924373 /nfs/dbraw/zinc/92/43/73/353924373.db2.gz HOOCREZSRJKEOZ-UHFFFAOYSA-N 0 1 285.391 0.942 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1nnc(C)s1 ZINC000296122152 353932537 /nfs/dbraw/zinc/93/25/37/353932537.db2.gz CCBHSHNTRNTLLL-UHFFFAOYSA-N 0 1 252.343 0.531 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H](C)c1cnn(C)c1 ZINC000296303740 353941081 /nfs/dbraw/zinc/94/10/81/353941081.db2.gz FUECRICLKJDCCP-LBPRGKRZSA-N 0 1 277.372 0.735 20 30 CCEDMN CCc1nc(C#N)cc(N2CCN(CC(C)(C)O)CC2)n1 ZINC000308390188 354184744 /nfs/dbraw/zinc/18/47/44/354184744.db2.gz XQGWOMISDNFNIA-UHFFFAOYSA-N 0 1 289.383 0.804 20 30 CCEDMN C[C@@H]1C[C@H](O)CN1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000329752780 354259878 /nfs/dbraw/zinc/25/98/78/354259878.db2.gz WKGZVGQBWROEFY-UTLUCORTSA-N 0 1 264.329 0.636 20 30 CCEDMN C[C@@H]1C[C@H](O)CN1C([O-])=[NH+][C@H]1CCc2nc[nH]c2C1 ZINC000329752780 354259881 /nfs/dbraw/zinc/25/98/81/354259881.db2.gz WKGZVGQBWROEFY-UTLUCORTSA-N 0 1 264.329 0.636 20 30 CCEDMN C[C@@H]1C[C@H](O)CN1C([O-])=[NH+][C@H]1CCc2[nH]cnc2C1 ZINC000329752780 354259882 /nfs/dbraw/zinc/25/98/82/354259882.db2.gz WKGZVGQBWROEFY-UTLUCORTSA-N 0 1 264.329 0.636 20 30 CCEDMN CCCN(CC(N)=O)C[C@H](O)COc1ccccc1C#N ZINC000313838499 354219501 /nfs/dbraw/zinc/21/95/01/354219501.db2.gz FLWLLSGYLFIMJI-ZDUSSCGKSA-N 0 1 291.351 0.495 20 30 CCEDMN C=CC[C@H](CO)NCCS(=O)(=O)c1cccc(C#N)c1 ZINC000321022944 354228351 /nfs/dbraw/zinc/22/83/51/354228351.db2.gz ONKKJBIINPXUQP-CYBMUJFWSA-N 0 1 294.376 0.859 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2ccc(C#N)cc2)CC[C@@H]1O ZINC000331128042 354458715 /nfs/dbraw/zinc/45/87/15/354458715.db2.gz FIGLEZMJUHFCHX-DOMZBBRYSA-N 0 1 287.363 0.877 20 30 CCEDMN CN1CC[C@@H](NC(=O)N2CCN(C3CCC3)CC2)C1=O ZINC000329473118 283037676 /nfs/dbraw/zinc/03/76/76/283037676.db2.gz ZOPMPMYSXUAFSX-GFCCVEGCSA-N 0 1 280.372 0.301 20 30 CCEDMN CN(C)C[C@H]1CCCN1S(=O)(=O)c1cncc(C#N)c1 ZINC000336840119 355211002 /nfs/dbraw/zinc/21/10/02/355211002.db2.gz PNRGMMMXSQTNGJ-GFCCVEGCSA-N 0 1 294.380 0.668 20 30 CCEDMN C=CCOCCN1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000339136535 355492490 /nfs/dbraw/zinc/49/24/90/355492490.db2.gz WUNGVNZRCBTIEO-CYBMUJFWSA-N 0 1 288.413 0.689 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@H](c3n[nH]c(=O)o3)C2)[nH]1 ZINC000425307492 235284011 /nfs/dbraw/zinc/28/40/11/235284011.db2.gz UBOLRQXVQNHUSC-QMMMGPOBSA-N 0 1 287.279 0.995 20 30 CCEDMN CN(CCOc1ccc(C#N)cc1)[C@@H]1CCNC1=O ZINC000340221423 355528245 /nfs/dbraw/zinc/52/82/45/355528245.db2.gz JTHGUBGUSMJJDO-CYBMUJFWSA-N 0 1 259.309 0.757 20 30 CCEDMN N#Cc1ccccc1OCC(=O)NC1(c2nn[nH]n2)CC1 ZINC000348284462 355903873 /nfs/dbraw/zinc/90/38/73/355903873.db2.gz UPYQYPXKBWCGHV-UHFFFAOYSA-N 0 1 284.279 0.256 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](OC)CCN1C(=O)C(C)C#N ZINC000351534648 356003239 /nfs/dbraw/zinc/00/32/39/356003239.db2.gz KYZTURIGNNIYAX-UTLUCORTSA-N 0 1 254.286 0.325 20 30 CCEDMN N#Cc1cccc(F)c1CC(=O)NCCc1nc[nH]n1 ZINC000353470895 356231727 /nfs/dbraw/zinc/23/17/27/356231727.db2.gz KOJKTWVZCZFMOT-UHFFFAOYSA-N 0 1 273.271 0.717 20 30 CCEDMN N#Cc1ccccc1N1CCCN([C@H]2COC[C@H]2O)CC1 ZINC000559794559 291088297 /nfs/dbraw/zinc/08/82/97/291088297.db2.gz YXBSNCNIGFFCNO-JKSUJKDBSA-N 0 1 287.363 0.830 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2cccc(C#N)c2)C[C@@H]1CO ZINC000362062721 138044262 /nfs/dbraw/zinc/04/42/62/138044262.db2.gz FITHOBKSQGXMBO-SWLSCSKDSA-N 0 1 287.363 0.735 20 30 CCEDMN Cc1onc(CC(=O)N2CCN(C)CC2(C)C)c1C#N ZINC000354631359 356458626 /nfs/dbraw/zinc/45/86/26/356458626.db2.gz YLQRCGAYNGWVOP-UHFFFAOYSA-N 0 1 276.340 0.950 20 30 CCEDMN N#Cc1ccc(C[C@@H](C#N)C(=O)NCc2c[nH]nn2)cc1 ZINC000619377367 366242402 /nfs/dbraw/zinc/24/24/02/366242402.db2.gz UIMWWXUMWJOVGP-LBPRGKRZSA-N 0 1 280.291 0.675 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000619556379 366280058 /nfs/dbraw/zinc/28/00/58/366280058.db2.gz UWIRAYIQVRDMKL-CMPLNLGQSA-N 0 1 289.339 0.816 20 30 CCEDMN C[C@@H](C(=O)NCCN1CC=CCC1)n1cnc(C#N)n1 ZINC000619617455 366301582 /nfs/dbraw/zinc/30/15/82/366301582.db2.gz UYXIIQPXSMTPQC-NSHDSACASA-N 0 1 274.328 0.089 20 30 CCEDMN N#CCNC(=O)CN1C[C@@H]2CN(c3ccccc3)C[C@@H]2C1 ZINC000609417650 363495264 /nfs/dbraw/zinc/49/52/64/363495264.db2.gz POPNBOFBMRYOEO-OKILXGFUSA-N 0 1 284.363 0.694 20 30 CCEDMN CCN1CCN(CC(=O)N[C@]2(C#N)CCSC2)CC1 ZINC000610414530 363556755 /nfs/dbraw/zinc/55/67/55/363556755.db2.gz JOCMOZUXQMOEDQ-ZDUSSCGKSA-N 0 1 282.413 0.139 20 30 CCEDMN N#Cc1csc(C(=O)NC[C@@H]2CN3CCN2CCC3)c1 ZINC000619873342 366368341 /nfs/dbraw/zinc/36/83/41/366368341.db2.gz FBCOSKDEIYMORX-GFCCVEGCSA-N 0 1 290.392 0.739 20 30 CCEDMN C=CCN(CCOC)C(=O)c1n[nH]c(C)c1[N+](=O)[O-] ZINC000361080846 357043738 /nfs/dbraw/zinc/04/37/38/357043738.db2.gz UOMABMZHOWOSKK-UHFFFAOYSA-N 0 1 268.273 0.901 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C(C)C)[C@@H]1CCOC1 ZINC000361407089 357047687 /nfs/dbraw/zinc/04/76/87/357047687.db2.gz CGLFDPPNCPXPRS-LLVKDONJSA-N 0 1 269.345 0.498 20 30 CCEDMN Cc1nccn1CCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610528242 363574066 /nfs/dbraw/zinc/57/40/66/363574066.db2.gz YJDGGHSYUZLRLK-UHFFFAOYSA-N 0 1 287.327 0.430 20 30 CCEDMN C[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)C(=O)OC(C)(C)C ZINC000610560338 363574514 /nfs/dbraw/zinc/57/45/14/363574514.db2.gz BBCHFVXVMXYURO-QMMMGPOBSA-N 0 1 293.327 0.565 20 30 CCEDMN C[C@H](Cc1ccncc1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610560554 363574758 /nfs/dbraw/zinc/57/47/58/363574758.db2.gz FZABUCZAPVRYCY-SNVBAGLBSA-N 0 1 284.323 0.861 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@H](c3ncc[nH]3)C2)[nH]1 ZINC000610560447 363574848 /nfs/dbraw/zinc/57/48/48/363574848.db2.gz IHRGIBANAVYUJS-JTQLQIEISA-N 0 1 299.338 0.848 20 30 CCEDMN CO[C@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610560384 363575441 /nfs/dbraw/zinc/57/54/41/363575441.db2.gz BLXFKOVHARRIET-JTQLQIEISA-N 0 1 263.301 0.356 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2ccc(O)cc2)[nH]1 ZINC000610561980 363576620 /nfs/dbraw/zinc/57/66/20/363576620.db2.gz UKLRUEFSNJTBKI-UHFFFAOYSA-N 0 1 271.280 0.741 20 30 CCEDMN COc1cccc(CNC(=O)N=c2[nH]n(C)cc2C#N)n1 ZINC000610561411 363576878 /nfs/dbraw/zinc/57/68/78/363576878.db2.gz KBRSVLPRLDUMLX-UHFFFAOYSA-N 0 1 286.295 0.439 20 30 CCEDMN CN(Cc1cc[nH]n1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610562630 363577053 /nfs/dbraw/zinc/57/70/53/363577053.db2.gz ZKQJUYHKBRHUCH-UHFFFAOYSA-N 0 1 259.273 0.101 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCOC[C@@H]2CCCO2)[nH]1 ZINC000610564700 363578809 /nfs/dbraw/zinc/57/88/09/363578809.db2.gz RHZRCXWTOYHFOT-NSHDSACASA-N 0 1 293.327 0.031 20 30 CCEDMN CC#CCN(C)C(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000294347872 199006748 /nfs/dbraw/zinc/00/67/48/199006748.db2.gz AVBKSKPHRGQQKJ-UHFFFAOYSA-N 0 1 257.297 0.906 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CCCN(CCCO)C2)cn1 ZINC000452386626 236241858 /nfs/dbraw/zinc/24/18/58/236241858.db2.gz YBAUMODPUDAAMB-CQSZACIVSA-N 0 1 288.351 0.530 20 30 CCEDMN C[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)C1CCOCC1 ZINC000610773248 363613011 /nfs/dbraw/zinc/61/30/11/363613011.db2.gz UJPUUKRYQCZGEL-VIFPVBQESA-N 0 1 277.328 0.650 20 30 CCEDMN C[C@H](C#N)CNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000543804271 358548502 /nfs/dbraw/zinc/54/85/02/358548502.db2.gz ZLCKWTKOEATRFF-NEPJUHHUSA-N 0 1 267.377 0.081 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCC[C@]1(C)CO ZINC000544760986 358549931 /nfs/dbraw/zinc/54/99/31/358549931.db2.gz AJDAQMNKAJGXID-ZIAGYGMSSA-N 0 1 267.373 0.888 20 30 CCEDMN C=CCn1cc(CNC(=O)N2CCN(C)C[C@@H]2CC)nn1 ZINC000573319649 358956699 /nfs/dbraw/zinc/95/66/99/358956699.db2.gz WNWPVAUQASZLOP-ZDUSSCGKSA-N 0 1 292.387 0.700 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@@H](O)C23CCCC3)CCC1 ZINC000579909261 359060466 /nfs/dbraw/zinc/06/04/66/359060466.db2.gz PXXOQDVCTBYCKH-GFCCVEGCSA-N 0 1 277.368 0.928 20 30 CCEDMN N#Cc1cnc(NCCN2C[C@H]3CC[C@@H](C2)O3)cn1 ZINC000579916284 359061512 /nfs/dbraw/zinc/06/15/12/359061512.db2.gz QGBQKLZXMAUFCG-TXEJJXNPSA-N 0 1 259.313 0.623 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)c1ccc(C#N)cc1F ZINC000585739490 359269305 /nfs/dbraw/zinc/26/93/05/359269305.db2.gz WWFIIMBMOBWLQZ-UHFFFAOYSA-N 0 1 295.299 0.636 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)C(=O)c1cc(C#N)cs1 ZINC000588849457 359340540 /nfs/dbraw/zinc/34/05/40/359340540.db2.gz PPKAVGCSHABELT-UHFFFAOYSA-N 0 1 264.266 0.981 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(c3cnccc3C#N)CC2)[nH]1 ZINC000590046802 359419329 /nfs/dbraw/zinc/41/93/29/359419329.db2.gz BSQVCCYYRBSCRL-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN N#CC1(CC(=O)NC2(c3nn[nH]n3)CCCC2)CC1 ZINC000590260543 359433588 /nfs/dbraw/zinc/43/35/88/359433588.db2.gz FNRXNINOJPOVQT-UHFFFAOYSA-N 0 1 260.301 0.779 20 30 CCEDMN CC(C)(CO)N1CCN(c2cccnc2C#N)CC1 ZINC000589573645 359391756 /nfs/dbraw/zinc/39/17/56/359391756.db2.gz ZKUBWIICMNUFJM-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN CC(=O)NC[C@H]1CN(C[C@@H](C)CCC#N)CCO1 ZINC000589820434 359403239 /nfs/dbraw/zinc/40/32/39/359403239.db2.gz OLMNQSDYMPQSAR-AAEUAGOBSA-N 0 1 253.346 0.763 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000590355359 359443383 /nfs/dbraw/zinc/44/33/83/359443383.db2.gz RGCPBUMBVSTNTE-ZDUSSCGKSA-N 0 1 287.367 0.016 20 30 CCEDMN Cc1nnc(SCCC(=O)N2CC(C#N)C2)[nH]1 ZINC000590484143 359474227 /nfs/dbraw/zinc/47/42/27/359474227.db2.gz QQRUHYFFDUVOKR-UHFFFAOYSA-N 0 1 251.315 0.577 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCN(C(=O)CC#N)CC1 ZINC000590679172 359506749 /nfs/dbraw/zinc/50/67/49/359506749.db2.gz XYFBSICUCYWZMG-LBPRGKRZSA-N 0 1 278.356 0.055 20 30 CCEDMN C=C[C@H](C(=O)OC)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000590758920 359517416 /nfs/dbraw/zinc/51/74/16/359517416.db2.gz OHUJVZSHGQVOCZ-GRYCIOLGSA-N 0 1 256.346 0.101 20 30 CCEDMN CO[C@@H]1COC[C@@H]1NCc1cnc2ccc(C#N)cn12 ZINC000590972776 359544804 /nfs/dbraw/zinc/54/48/04/359544804.db2.gz IJRISWMRHDOPSJ-QWHCGFSZSA-N 0 1 272.308 0.709 20 30 CCEDMN C=CCCOCC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000591225142 359571683 /nfs/dbraw/zinc/57/16/83/359571683.db2.gz LAVQGMFBHHZXSA-LBPRGKRZSA-N 0 1 284.356 0.142 20 30 CCEDMN C[NH+](C)CC[C@](C)(O)CNC(=O)c1cc([O-])cc(C#N)c1 ZINC000591422685 359588877 /nfs/dbraw/zinc/58/88/77/359588877.db2.gz RBVYFIWXQBQRGC-HNNXBMFYSA-N 0 1 291.351 0.696 20 30 CCEDMN N#Cc1ccc(CN2CCC[C@](O)(CC(N)=O)C2)cc1F ZINC000591630406 359616640 /nfs/dbraw/zinc/61/66/40/359616640.db2.gz LMPRAJZEPIZANV-HNNXBMFYSA-N 0 1 291.326 0.900 20 30 CCEDMN CC[C@H](CO)N1CCN(Cc2ccncc2C#N)CC1 ZINC000592070506 359746111 /nfs/dbraw/zinc/74/61/11/359746111.db2.gz QIXYOFYENKXEFP-OAHLLOKOSA-N 0 1 274.368 0.842 20 30 CCEDMN N#CC[C@]1(O)CCN(CCOC[C@@H]2CCCO2)C1 ZINC000592152429 359770637 /nfs/dbraw/zinc/77/06/37/359770637.db2.gz XONMYYXRLIKZAO-STQMWFEESA-N 0 1 254.330 0.532 20 30 CCEDMN CC1(C)NC(=O)N(CCCN2CC[C@](O)(CC#N)C2)C1=O ZINC000592146420 359765495 /nfs/dbraw/zinc/76/54/95/359765495.db2.gz HQGFRYVVWRMNGZ-CQSZACIVSA-N 0 1 294.355 0.057 20 30 CCEDMN N#CCCN1CCN(C[C@@H](O)COCc2ccco2)CC1 ZINC000611175553 363672027 /nfs/dbraw/zinc/67/20/27/363672027.db2.gz HSTSLABXUOXBOZ-CQSZACIVSA-N 0 1 293.367 0.688 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@H](C3CC3)C2)[nH]1 ZINC000611201500 363679927 /nfs/dbraw/zinc/67/99/27/363679927.db2.gz COAXTUHJCJUTEE-SNVBAGLBSA-N 0 1 259.313 0.977 20 30 CCEDMN N#Cc1cnn2c1NC[C@H](C[N@H+]1CCCO[C@@H](CF)C1)C2 ZINC000592517453 359869368 /nfs/dbraw/zinc/86/93/68/359869368.db2.gz WTZSQEFZYIGSOF-YPMHNXCESA-N 0 1 293.346 0.857 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2COc3ccccc3O2)[nH]n1C ZINC000592646517 359907843 /nfs/dbraw/zinc/90/78/43/359907843.db2.gz KOYMMVSCYOYPSZ-CYBMUJFWSA-N 0 1 298.302 0.801 20 30 CCEDMN Cc1nc(C(=O)N=c2[nH]n(C)c(C)c2C#N)c(C)o1 ZINC000592648114 359908427 /nfs/dbraw/zinc/90/84/27/359908427.db2.gz AFEPSYHIMQKKLS-UHFFFAOYSA-N 0 1 259.269 0.879 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCc3cnn(C)c3C2)[nH]n1C ZINC000592648649 359908906 /nfs/dbraw/zinc/90/89/06/359908906.db2.gz DYHJBZVKHGNSGA-SNVBAGLBSA-N 0 1 298.350 0.499 20 30 CCEDMN CC[C@H]1CC[C@@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)O1 ZINC000592655077 359910522 /nfs/dbraw/zinc/91/05/22/359910522.db2.gz VLFLMJDVFCVOMO-ONGXEEELSA-N 0 1 262.313 0.918 20 30 CCEDMN COC(=O)[C@H]1CNCCCN1C(=O)c1ccc(C#N)cc1 ZINC000592557273 359887385 /nfs/dbraw/zinc/88/73/85/359887385.db2.gz XWTHYTVHQKJMED-CYBMUJFWSA-N 0 1 287.319 0.535 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CC[C@@](O)(CC#N)C1 ZINC000592843833 359951545 /nfs/dbraw/zinc/95/15/45/359951545.db2.gz HBRMPOBHXHBHFM-YPMHNXCESA-N 0 1 251.330 0.348 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)[C@H](C)CCO ZINC000593109964 360042209 /nfs/dbraw/zinc/04/22/09/360042209.db2.gz PGIODGHDSUEZFA-DGCLKSJQSA-N 0 1 255.362 0.744 20 30 CCEDMN COCCOCCN1CCN(CCCC#N)CC1 ZINC000593117626 360043582 /nfs/dbraw/zinc/04/35/82/360043582.db2.gz QJVJVJUBSILRAO-UHFFFAOYSA-N 0 1 255.362 0.571 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(Cc3nnc[nH]3)CC2)n1 ZINC000593508360 360102601 /nfs/dbraw/zinc/10/26/01/360102601.db2.gz OPAFKTSYUWSTJQ-UHFFFAOYSA-N 0 1 284.327 0.097 20 30 CCEDMN CC[C@H](C#N)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000593555627 360112648 /nfs/dbraw/zinc/11/26/48/360112648.db2.gz GHDBUOJMMAFHCV-SCZZXKLOSA-N 0 1 264.285 0.160 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1CCN(CCOC)C1 ZINC000593911498 360207267 /nfs/dbraw/zinc/20/72/67/360207267.db2.gz OTSQCHNPSBRUNY-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C[C@H](C)O)C1CC1 ZINC000594064285 360244913 /nfs/dbraw/zinc/24/49/13/360244913.db2.gz MJQRCOPQHIWKOR-RYUDHWBXSA-N 0 1 267.373 0.840 20 30 CCEDMN COC(=O)CCNC(=O)CN[C@H](C)c1cccc(C#N)c1 ZINC000611386184 363711644 /nfs/dbraw/zinc/71/16/44/363711644.db2.gz FSGUZWFBRXNJJE-LLVKDONJSA-N 0 1 289.335 0.888 20 30 CCEDMN CC[C@@H](CO)N1CCN(C(=O)CCCCC#N)CC1 ZINC000594321619 360274271 /nfs/dbraw/zinc/27/42/71/360274271.db2.gz LFQNQHNKLGJAKF-ZDUSSCGKSA-N 0 1 267.373 0.985 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC2(C)CCOCC2)[nH]1 ZINC000611481038 363721155 /nfs/dbraw/zinc/72/11/55/363721155.db2.gz LZBJKTHGJMUHBI-UHFFFAOYSA-N 0 1 263.301 0.404 20 30 CCEDMN COC(=O)C[C@H](N)C(=O)N1Cc2ccc(C#N)cc2C1 ZINC000594451466 360315529 /nfs/dbraw/zinc/31/55/29/360315529.db2.gz AEBPWUJTHOZNMO-LBPRGKRZSA-N 0 1 273.292 0.291 20 30 CCEDMN CC#CC[C@@H](CO)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594797663 360385135 /nfs/dbraw/zinc/38/51/35/360385135.db2.gz XOJPWJZOHVQUGY-VIFPVBQESA-N 0 1 299.308 0.042 20 30 CCEDMN C[C@H]1OCCN(C(=O)N=c2[nH]n(C)cc2C#N)[C@H]1C ZINC000611519415 363731819 /nfs/dbraw/zinc/73/18/19/363731819.db2.gz BDOOLRJFDHKIOM-DTWKUNHWSA-N 0 1 263.301 0.355 20 30 CCEDMN CCN(C(=O)C(=O)N1CC[C@@](C)(C#N)C1)[C@@H]1CCN(C)C1 ZINC000595196908 360449461 /nfs/dbraw/zinc/44/94/61/360449461.db2.gz ODCYDJHBVVPAFN-DOMZBBRYSA-N 0 1 292.383 0.301 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCN2CCCC[C@@H]2C1 ZINC000177754187 189067122 /nfs/dbraw/zinc/06/71/22/189067122.db2.gz STIMJOPAKDIAQL-CHWSQXEVSA-N 0 1 294.399 0.557 20 30 CCEDMN N#Cc1ccccc1N1CCN(C[C@H]2CNC(=O)C2)CC1 ZINC000595302662 360488631 /nfs/dbraw/zinc/48/86/31/360488631.db2.gz WONRCAOHQQJKGL-CYBMUJFWSA-N 0 1 284.363 0.816 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N(CC)[C@H](C)C#N ZINC000595357313 360506989 /nfs/dbraw/zinc/50/69/89/360506989.db2.gz MZGSCEUSDJTKEH-LLVKDONJSA-N 0 1 261.391 0.892 20 30 CCEDMN CO[C@H](C)CS(=O)(=O)Nc1cc(C)c(C#N)cn1 ZINC000563425986 291227962 /nfs/dbraw/zinc/22/79/62/291227962.db2.gz YVRDPAMROFLKLH-SECBINFHSA-N 0 1 269.326 0.460 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)Cc1n[nH]c(=O)o1 ZINC000595552260 360576983 /nfs/dbraw/zinc/57/69/83/360576983.db2.gz RMSBGHNQTWLJQV-GFCCVEGCSA-N 0 1 279.300 0.015 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@@H]2COC[C@]2(C)C1 ZINC000595757969 360642326 /nfs/dbraw/zinc/64/23/26/360642326.db2.gz LPQKCGMPBLTQCP-BZPMIXESSA-N 0 1 277.368 0.906 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@H]2COC[C@@]2(C)C1 ZINC000595757973 360642519 /nfs/dbraw/zinc/64/25/19/360642519.db2.gz LPQKCGMPBLTQCP-MBNYWOFBSA-N 0 1 277.368 0.906 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@@H]([C@@H]2CCCCO2)C1 ZINC000595791446 360656098 /nfs/dbraw/zinc/65/60/98/360656098.db2.gz UODRCDZGPWLDAM-OLZOCXBDSA-N 0 1 265.357 0.907 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC(=O)N[C@H]1CCCC[C@H]1C ZINC000595864022 360683684 /nfs/dbraw/zinc/68/36/84/360683684.db2.gz MSZKFSSWZOBJBP-UTUOFQBUSA-N 0 1 283.372 0.527 20 30 CCEDMN Cc1cc(C#N)ccc1S(=O)(=O)NCCN(C)C ZINC000178538458 189125754 /nfs/dbraw/zinc/12/57/54/189125754.db2.gz QYJVTAYPIZUOAX-UHFFFAOYSA-N 0 1 267.354 0.707 20 30 CCEDMN N#CCNC(=O)CN1C[C@]23COC[C@@]2(C1)CCCC3 ZINC000595907416 360700764 /nfs/dbraw/zinc/70/07/64/360700764.db2.gz BHGZSEAJUHRVAO-OKILXGFUSA-N 0 1 263.341 0.519 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N1CCCc2[nH]ncc2C1 ZINC000596005811 360773038 /nfs/dbraw/zinc/77/30/38/360773038.db2.gz MKTATGQGYPFPEQ-SNVBAGLBSA-N 0 1 297.384 0.637 20 30 CCEDMN COC[C@](C)(O)CNc1nc(C#N)c(Cl)s1 ZINC000596127393 360804976 /nfs/dbraw/zinc/80/49/76/360804976.db2.gz YPZUZHWVKSQKBQ-SECBINFHSA-N 0 1 261.734 0.899 20 30 CCEDMN C[C@H]1CN(c2c(C#N)cnn2C)CCN1CC(C)(C)O ZINC000596224024 360842210 /nfs/dbraw/zinc/84/22/10/360842210.db2.gz WTWYXIORBFQUES-NSHDSACASA-N 0 1 277.372 0.573 20 30 CCEDMN N#CC1(CC(=O)NCCN2CCC2)CCOCC1 ZINC000597438445 361094412 /nfs/dbraw/zinc/09/44/12/361094412.db2.gz RUMBLIQPAHPMBU-UHFFFAOYSA-N 0 1 251.330 0.519 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000597619586 361164549 /nfs/dbraw/zinc/16/45/49/361164549.db2.gz HWNOICXHWNKJLW-OLZOCXBDSA-N 0 1 265.357 0.859 20 30 CCEDMN COCCN(CC#N)C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000597755427 361236442 /nfs/dbraw/zinc/23/64/42/361236442.db2.gz UERMXRKZUPDDLX-SNVBAGLBSA-N 0 1 262.313 0.513 20 30 CCEDMN C[C@H](C#N)N(C(=O)[C@@H]1CN2CCN1CCC2)C1CC1 ZINC000598134509 361341045 /nfs/dbraw/zinc/34/10/45/361341045.db2.gz WVXPQUZUKIKJEN-YPMHNXCESA-N 0 1 262.357 0.279 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@@H](C)[C@H](CO)C1 ZINC000598646779 361471206 /nfs/dbraw/zinc/47/12/06/361471206.db2.gz ZEMBCULYMBECEO-VNHYZAJKSA-N 0 1 281.400 0.991 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599141949 361587867 /nfs/dbraw/zinc/58/78/67/361587867.db2.gz JXFXLSCODMBGDA-SECBINFHSA-N 0 1 296.352 0.685 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000613484450 364265041 /nfs/dbraw/zinc/26/50/41/364265041.db2.gz CLHPHURNHQFTEP-DTWKUNHWSA-N 0 1 250.302 0.278 20 30 CCEDMN COCC1=CCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000614182406 364524266 /nfs/dbraw/zinc/52/42/66/364524266.db2.gz PWXVDLRYKQKTAO-UHFFFAOYSA-N 0 1 275.312 0.524 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000614793798 364732095 /nfs/dbraw/zinc/73/20/95/364732095.db2.gz BVMSEABWJLVAKG-LDYMZIIASA-N 0 1 279.344 0.761 20 30 CCEDMN C=CCCCCCNC(=O)C(=O)N1CCNCC1 ZINC000656040663 412736868 /nfs/dbraw/zinc/73/68/68/412736868.db2.gz NVNNVOSECUDXBS-UHFFFAOYSA-N 0 1 253.346 0.281 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1n[nH]c(C2CC2)n1 ZINC000615670512 365045676 /nfs/dbraw/zinc/04/56/76/365045676.db2.gz VTJIHTAHLNGQBU-LLVKDONJSA-N 0 1 291.355 0.769 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000616027617 365159909 /nfs/dbraw/zinc/15/99/09/365159909.db2.gz BZNOERYSFVJDBL-UHFFFAOYSA-N 0 1 257.253 0.058 20 30 CCEDMN C=CC(C)(C)CCNS(=O)(=O)C[C@H]1CNCCO1 ZINC000631953690 370785681 /nfs/dbraw/zinc/78/56/81/370785681.db2.gz QAWLGHYMBMPYQX-LLVKDONJSA-N 0 1 276.402 0.497 20 30 CCEDMN Cn1cc(CNCCS(=O)(=O)NC(C)(C)C)cc1C#N ZINC000616472378 365333389 /nfs/dbraw/zinc/33/33/89/365333389.db2.gz GGDGQRTUYJPKLD-UHFFFAOYSA-N 0 1 298.412 0.704 20 30 CCEDMN C=CCCn1cc(CNCCN2CC[C@H](O)C2)nn1 ZINC000623838376 367674456 /nfs/dbraw/zinc/67/44/56/367674456.db2.gz RSFJJPSEFFJWBV-ZDUSSCGKSA-N 0 1 265.361 0.010 20 30 CCEDMN CCN(CC#CCN1C(=O)c2ccccc2C1=O)CCO ZINC000625136243 368073787 /nfs/dbraw/zinc/07/37/87/368073787.db2.gz JCVYTXUJPZOTKN-UHFFFAOYSA-N 0 1 286.331 0.600 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)C[C@H]1C[C@@H](O)C1 ZINC000626042510 368408221 /nfs/dbraw/zinc/40/82/21/368408221.db2.gz HWBGQNGYBHZXID-BETUJISGSA-N 0 1 252.358 0.890 20 30 CCEDMN C=C(CNC(=O)NC[C@H]1COCCN1)c1ccccc1 ZINC000627035616 368749086 /nfs/dbraw/zinc/74/90/86/368749086.db2.gz HGTZOCZZWATRGB-AWEZNQCLSA-N 0 1 275.352 0.987 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1CCNC[C@H]1C ZINC000627434748 369001478 /nfs/dbraw/zinc/00/14/78/369001478.db2.gz RFPDJKJGHWDEQT-LLVKDONJSA-N 0 1 267.373 0.525 20 30 CCEDMN CC(C)(C)n1cnc(=NC(=O)c2cc(C#N)c[nH]2)[nH]1 ZINC000330847913 203308522 /nfs/dbraw/zinc/30/85/22/203308522.db2.gz VKUUGVRCBKMHQR-UHFFFAOYSA-N 0 1 258.285 0.907 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNS(=O)(=O)c1ncc[nH]1 ZINC000632301449 370972207 /nfs/dbraw/zinc/97/22/07/370972207.db2.gz ZURFNMXNCVYWOM-NXEZZACHSA-N 0 1 271.342 0.669 20 30 CCEDMN COc1ccc(CNC[C@H]2CC(C(N)=O)=NO2)cc1C#N ZINC000276470635 193344660 /nfs/dbraw/zinc/34/46/60/193344660.db2.gz MPQOIJLIIQPTLP-LLVKDONJSA-N 0 1 288.307 0.287 20 30 CCEDMN CC#CCNC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC000637264912 374059613 /nfs/dbraw/zinc/05/96/13/374059613.db2.gz KMJHWWNSZUJRRO-UHFFFAOYSA-N 0 1 277.328 0.521 20 30 CCEDMN CC(=O)[C@](C)(O)CN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000639699028 375928725 /nfs/dbraw/zinc/92/87/25/375928725.db2.gz RBHNNADYQFCNSP-GMXVVIOVSA-N 0 1 253.346 0.245 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](n2ccnn2)CC1 ZINC000639815295 376024087 /nfs/dbraw/zinc/02/40/87/376024087.db2.gz KYZPYWPZDZLCPC-LBPRGKRZSA-N 0 1 263.345 0.607 20 30 CCEDMN C=CCOCC[N@H+]1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639820929 376028213 /nfs/dbraw/zinc/02/82/13/376028213.db2.gz FJLLHQRADWDDEX-SNVBAGLBSA-N 0 1 252.318 0.864 20 30 CCEDMN C=CCC1(C(=O)NCc2n[nH]c(=O)n2C)CCC1 ZINC000640649720 376479212 /nfs/dbraw/zinc/47/92/12/376479212.db2.gz ABVSOLMFKUYTPE-UHFFFAOYSA-N 0 1 250.302 0.883 20 30 CCEDMN C=CCN1C(=O)N=NC1SCCN1C(=O)CN(C)C1=O ZINC000641466412 377148368 /nfs/dbraw/zinc/14/83/68/377148368.db2.gz VVBXOXORYAGBOI-UHFFFAOYSA-N 0 1 297.340 0.156 20 30 CCEDMN COc1ccc(CN2CCC[C@](O)(CO)C2)cc1C#N ZINC000313691176 249001924 /nfs/dbraw/zinc/00/19/24/249001924.db2.gz VKGHZKZAQSBYIH-OAHLLOKOSA-N 0 1 276.336 0.886 20 30 CCEDMN N#Cc1ccc(NCCN2CCSCC2)nc1N ZINC000574770230 335046491 /nfs/dbraw/zinc/04/64/91/335046491.db2.gz HLFOAWGGCCAUGC-UHFFFAOYSA-N 0 1 263.370 0.418 20 30 CCEDMN CN(C)C[C@@H]1CCCN1S(=O)(=O)c1ccc(C#N)o1 ZINC000288368465 197060536 /nfs/dbraw/zinc/06/05/36/197060536.db2.gz YVRASFFEZZAQPA-JTQLQIEISA-N 0 1 283.353 0.866 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H](C)c1cnn(C)c1C ZINC000183398742 388754339 /nfs/dbraw/zinc/75/43/39/388754339.db2.gz LQHSGZFGOABMTI-VIFPVBQESA-N 0 1 279.344 0.391 20 30 CCEDMN NC(=O)[C@@]1(O)CC[N@@H+](CC#Cc2cccc(Cl)c2)C1 ZINC000284817823 388782661 /nfs/dbraw/zinc/78/26/61/388782661.db2.gz AISIGHXBSRUAHF-CQSZACIVSA-N 0 1 278.739 0.614 20 30 CCEDMN NC(=O)[C@@]1(O)CCN(CC#Cc2cccc(Cl)c2)C1 ZINC000284817823 388782664 /nfs/dbraw/zinc/78/26/64/388782664.db2.gz AISIGHXBSRUAHF-CQSZACIVSA-N 0 1 278.739 0.614 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@H](c3nc(=O)[nH][nH]3)C2)C1=O ZINC000288563690 388863935 /nfs/dbraw/zinc/86/39/35/388863935.db2.gz AGGQUEWPNQAKLR-QWRGUYRKSA-N 0 1 291.355 0.064 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@H](C(=O)OCC)C1 ZINC000048775949 388903603 /nfs/dbraw/zinc/90/36/03/388903603.db2.gz OKGCJRHZGDNXAO-RYUDHWBXSA-N 0 1 268.357 0.952 20 30 CCEDMN C#CCONC(=O)[C@H](CCS(C)(=O)=O)c1ccccc1 ZINC000291201905 388919499 /nfs/dbraw/zinc/91/94/99/388919499.db2.gz HPTUJTBDKTZDCT-CYBMUJFWSA-N 0 1 295.360 0.886 20 30 CCEDMN CCc1nn(Cc2n[nH]c(C)n2)c(=O)c(C#N)c1CC ZINC000291568564 388928457 /nfs/dbraw/zinc/92/84/57/388928457.db2.gz CXPUOBKVQHVQML-UHFFFAOYSA-N 0 1 272.312 0.715 20 30 CCEDMN C#CCNC(=O)CCN1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)OC ZINC000294585923 388992779 /nfs/dbraw/zinc/99/27/79/388992779.db2.gz MWLGJCQYGQGNLP-SGMGOOAPSA-N 0 1 278.352 0.399 20 30 CCEDMN N#Cc1ccc(CS(=O)(=O)NCCc2nc[nH]n2)cc1 ZINC000080624082 388998824 /nfs/dbraw/zinc/99/88/24/388998824.db2.gz KOURSSKMKINRII-UHFFFAOYSA-N 0 1 291.336 0.338 20 30 CCEDMN C#CCN(CC#C)C[C@H]1CCCN(S(C)(=O)=O)C1 ZINC000085824112 389006821 /nfs/dbraw/zinc/00/68/21/389006821.db2.gz XXOCILRRWGVVTN-CYBMUJFWSA-N 0 1 268.382 0.226 20 30 CCEDMN COc1ccc(CNCC(=O)NC2CC2)cc1C#N ZINC000087822991 389012374 /nfs/dbraw/zinc/01/23/74/389012374.db2.gz ALLOJSPXCRBYJL-UHFFFAOYSA-N 0 1 259.309 0.935 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(C[C@@H]3CCOC3)CC2)C1=O ZINC000337168415 389050721 /nfs/dbraw/zinc/05/07/21/389050721.db2.gz DBUYBQSLWFJDGK-LSDHHAIUSA-N 0 1 293.411 0.427 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](O)COCC(C)C)C1=O ZINC000337200917 389053215 /nfs/dbraw/zinc/05/32/15/389053215.db2.gz CGJFSLQYAAHSQO-KBPBESRZSA-N 0 1 284.400 0.739 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](OC)[C@@H](OC)C2)C1=O ZINC000337228308 389056785 /nfs/dbraw/zinc/05/67/85/389056785.db2.gz GYQOCPHXAXKRKE-SDDRHHMPSA-N 0 1 254.330 0.119 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC000355395533 389072027 /nfs/dbraw/zinc/07/20/27/389072027.db2.gz QPIOUTPEXJQXMA-CQSZACIVSA-N 0 1 288.307 0.927 20 30 CCEDMN Cc1ccc(N2CCN(CCO)[C@H](C)C2)nc1C#N ZINC000302793510 389078899 /nfs/dbraw/zinc/07/88/99/389078899.db2.gz ZVMBHNKKGGMKLZ-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN N#CCNC(=O)CN(CCCO)[C@@H]1CCc2ccccc21 ZINC000304098787 389082255 /nfs/dbraw/zinc/08/22/55/389082255.db2.gz GHXMGBOICHBEEE-OAHLLOKOSA-N 0 1 287.363 0.998 20 30 CCEDMN N#CCNS(=O)(=O)c1ccc(Br)o1 ZINC000307690086 389091668 /nfs/dbraw/zinc/09/16/68/389091668.db2.gz HNDDFNBKRLIGMQ-UHFFFAOYSA-N 0 1 265.088 0.844 20 30 CCEDMN CC(C)N1C[C@H](NC(=O)[C@@H]2C[N@H+]3CCN2CCC3)CC1=O ZINC000328939678 389146439 /nfs/dbraw/zinc/14/64/39/389146439.db2.gz SBCCOYYMJXCIPI-OLZOCXBDSA-N 0 1 294.399 0.342 20 30 CCEDMN Cc1ncc(S(=O)(=O)N2C[C@@H](C)NC[C@@H]2C)cc1C#N ZINC000313111440 389111090 /nfs/dbraw/zinc/11/10/90/389111090.db2.gz OHDWSEVTFSRJQB-ZJUUUORDSA-N 0 1 294.380 0.633 20 30 CCEDMN CN(CC(=O)N1CCN(CC#N)CC1)[C@H]1CCSC1 ZINC000328976934 389148358 /nfs/dbraw/zinc/14/83/58/389148358.db2.gz DCOUWMKMKPUOCH-LBPRGKRZSA-N 0 1 282.413 0.091 20 30 CCEDMN CSc1n[nH]c(NC(=O)[C@@H]2COCCN2C)n1 ZINC000328983815 389148413 /nfs/dbraw/zinc/14/84/13/389148413.db2.gz ZBFGRUNEQZPJIY-LURJTMIESA-N 0 1 257.319 0.445 20 30 CCEDMN N#CCCOCCN1CCCN(C(=O)C2CC2)CC1 ZINC000339106972 389125703 /nfs/dbraw/zinc/12/57/03/389125703.db2.gz DONQITQLDJENKW-UHFFFAOYSA-N 0 1 265.357 0.861 20 30 CCEDMN C=CCN1CC[C@@H](N[C@@H](C(=O)NCC)C(C)C)C1=O ZINC000339335659 389130675 /nfs/dbraw/zinc/13/06/75/389130675.db2.gz YTZVERQSMSPTAJ-VXGBXAGGSA-N 0 1 267.373 0.524 20 30 CCEDMN COCC[N@H+]1CC[C@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000328684420 389131705 /nfs/dbraw/zinc/13/17/05/389131705.db2.gz WVBVGTCHIJXNHG-LBPRGKRZSA-N 0 1 269.345 0.142 20 30 CCEDMN CN1CCO[C@H](C(=O)N[C@H]2CCc3nc[nH]c3C2)C1 ZINC000328706111 389132806 /nfs/dbraw/zinc/13/28/06/389132806.db2.gz LJKGVFQEBBSFQH-CABZTGNLSA-N 0 1 264.329 0.554 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N[C@@H]2CCO[C@H]2C2CC2)C1 ZINC000328851236 389141447 /nfs/dbraw/zinc/14/14/47/389141447.db2.gz UZZCUVDJIDIWMJ-MCIONIFRSA-N 0 1 296.415 0.303 20 30 CCEDMN C[C@H](Cn1ccnc1)[NH+]=C([O-])NC[C@@H](O)C1CCOCC1 ZINC000329650373 389182394 /nfs/dbraw/zinc/18/23/94/389182394.db2.gz CHCWXSYLTWTMJG-DGCLKSJQSA-N 0 1 296.371 0.563 20 30 CCEDMN C[C@H](Cn1ccnc1)NC([O-])=[NH+]C[C@@H](O)C1CCOCC1 ZINC000329650373 389182396 /nfs/dbraw/zinc/18/23/96/389182396.db2.gz CHCWXSYLTWTMJG-DGCLKSJQSA-N 0 1 296.371 0.563 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@]1(N(C)C)CCSC1 ZINC000360234131 389193863 /nfs/dbraw/zinc/19/38/63/389193863.db2.gz LVTURIWOLMPZES-LLVKDONJSA-N 0 1 278.443 0.919 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)N1C[C@H]2OCCN(C)[C@H]2C1 ZINC000329742698 389195228 /nfs/dbraw/zinc/19/52/28/389195228.db2.gz WSJBIPUSJCBQNJ-FRRDWIJNSA-N 0 1 293.371 0.200 20 30 CCEDMN COCc1nc2n(n1)C[C@@H](NC(=O)N1CC(F)C1)CC2 ZINC000329762531 389197429 /nfs/dbraw/zinc/19/74/29/389197429.db2.gz STOPEWLBUFTRRD-VIFPVBQESA-N 0 1 283.307 0.307 20 30 CCEDMN C=CCN(CCOC)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000358481969 389152950 /nfs/dbraw/zinc/15/29/50/389152950.db2.gz ZHCIOCDJUOUYJI-CYBMUJFWSA-N 0 1 284.404 0.076 20 30 CCEDMN C[C@@H](NC(=O)N[C@@H](C)c1cnn(C)c1)c1nnc[nH]1 ZINC000329306747 389156159 /nfs/dbraw/zinc/15/61/59/389156159.db2.gz YWFYKCOLMGWKSP-JGVFFNPUSA-N 0 1 263.305 0.864 20 30 CCEDMN CO[C@H]1C[C@@H](N(C)C(=O)N[C@H]2CCc3ncnn3C2)C1 ZINC000329308018 389156234 /nfs/dbraw/zinc/15/62/34/389156234.db2.gz ZJXPESYAXRIHNT-GARJFASQSA-N 0 1 279.344 0.616 20 30 CCEDMN [O-]C(N[C@@H]1CCCOC1)=[NH+][C@@H]1CCn2ccnc2C1 ZINC000329334883 389157467 /nfs/dbraw/zinc/15/74/67/389157467.db2.gz OGNWWNFPRSTWJO-GHMZBOCLSA-N 0 1 264.329 0.881 20 30 CCEDMN [O-]C(N[C@@H]1CCn2ccnc2C1)=[NH+][C@@H]1CCCOC1 ZINC000329334883 389157469 /nfs/dbraw/zinc/15/74/69/389157469.db2.gz OGNWWNFPRSTWJO-GHMZBOCLSA-N 0 1 264.329 0.881 20 30 CCEDMN CC[C@@H]1CN(C(=O)NCCn2cnc(C#N)n2)CCCO1 ZINC000329341915 389158070 /nfs/dbraw/zinc/15/80/70/389158070.db2.gz PHYFDSMQPLBUIL-LLVKDONJSA-N 0 1 292.343 0.565 20 30 CCEDMN C=CCN(Cc1ccccc1)C(=O)CNC(=O)CN ZINC000124573906 389161620 /nfs/dbraw/zinc/16/16/20/389161620.db2.gz FYXHZYNRYCNKGN-UHFFFAOYSA-N 0 1 261.325 0.276 20 30 CCEDMN C[C@@H](NS(=O)(=O)Cc1cccc(C#N)c1)c1nnc[nH]1 ZINC000128962668 389178969 /nfs/dbraw/zinc/17/89/69/389178969.db2.gz LTUBENRQKBRQAB-SECBINFHSA-N 0 1 291.336 0.857 20 30 CCEDMN CN(C)C(=O)CC[NH+]=C([O-])N1CCC(n2ccnc2)CC1 ZINC000330598905 389245096 /nfs/dbraw/zinc/24/50/96/389245096.db2.gz XFJDNMYWXNZFGK-UHFFFAOYSA-N 0 1 293.371 0.912 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCN2CCCC[C@H]2C1 ZINC000248366980 389245712 /nfs/dbraw/zinc/24/57/12/389245712.db2.gz STIMJOPAKDIAQL-STQMWFEESA-N 0 1 294.399 0.557 20 30 CCEDMN CC#CCNC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000135003290 389251677 /nfs/dbraw/zinc/25/16/77/389251677.db2.gz CGHJZVVRKYVHNY-CHWSQXEVSA-N 0 1 267.373 0.808 20 30 CCEDMN C[C@H](CO)N(C)CC(=O)NC1(C#N)CCCCC1 ZINC000135511622 389258105 /nfs/dbraw/zinc/25/81/05/389258105.db2.gz BXNJTCUVIXYVDN-LLVKDONJSA-N 0 1 253.346 0.642 20 30 CCEDMN COC(=O)[C@H](NC(=O)C(C)C#N)c1cccc(O)c1 ZINC000343136931 389258803 /nfs/dbraw/zinc/25/88/03/389258803.db2.gz YXSWGKPWBPVKER-GZMMTYOYSA-N 0 1 262.265 0.882 20 30 CCEDMN CO[C@]1(C(=O)N[C@@H](C)CN2CCN(C)CC2)CCOC1 ZINC000329941383 389213816 /nfs/dbraw/zinc/21/38/16/389213816.db2.gz UZVMTYFTYAWGMZ-GXTWGEPZSA-N 0 1 285.388 0.384 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(OC[C@@H]2CCOC2)CC1 ZINC000132025444 389220043 /nfs/dbraw/zinc/22/00/43/389220043.db2.gz VBJLVLUNEZCRII-UONOGXRCSA-N 0 1 294.395 0.642 20 30 CCEDMN CC(C)(C#N)CCCN1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000330365773 389221539 /nfs/dbraw/zinc/22/15/39/389221539.db2.gz RWAPPJHEOQTVHN-SNVBAGLBSA-N 0 1 264.329 0.552 20 30 CCEDMN C[C@H](Cn1cccn1)NC([O-])=[NH+][C@@H]1CCC(=O)NC1(C)C ZINC000330439297 389229583 /nfs/dbraw/zinc/22/95/83/389229583.db2.gz NZXGLTOXCJMMPU-GHMZBOCLSA-N 0 1 293.371 0.832 20 30 CCEDMN C[C@H](Cn1cccn1)[NH+]=C([O-])N[C@@H]1CCC(=O)NC1(C)C ZINC000330439297 389229586 /nfs/dbraw/zinc/22/95/86/389229586.db2.gz NZXGLTOXCJMMPU-GHMZBOCLSA-N 0 1 293.371 0.832 20 30 CCEDMN C[C@@H](Cn1ccnc1)[NH+]=C([O-])NC1CCOCC1 ZINC000330453952 389231041 /nfs/dbraw/zinc/23/10/41/389231041.db2.gz LUJTUKGOGKMFKU-JTQLQIEISA-N 0 1 252.318 0.954 20 30 CCEDMN C[C@@H](Cn1ccnc1)NC([O-])=[NH+]C1CCOCC1 ZINC000330453952 389231043 /nfs/dbraw/zinc/23/10/43/389231043.db2.gz LUJTUKGOGKMFKU-JTQLQIEISA-N 0 1 252.318 0.954 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@@]1(CC(=O)OC)CCOC1 ZINC000362269385 389233185 /nfs/dbraw/zinc/23/31/85/389233185.db2.gz IPIQBVXAUHBPGU-CQSZACIVSA-N 0 1 297.355 0.060 20 30 CCEDMN C#CCN(CC(=O)N(C)CC(=O)OCC)C1CCCC1 ZINC000155926548 389322480 /nfs/dbraw/zinc/32/24/80/389322480.db2.gz WSTQXXAQQUIZOZ-UHFFFAOYSA-N 0 1 280.368 0.886 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCCN1CCOCC1 ZINC000346133943 389322717 /nfs/dbraw/zinc/32/27/17/389322717.db2.gz CDZNUSRBKQCKDV-CQSZACIVSA-N 0 1 270.373 0.542 20 30 CCEDMN COC(=O)[C@]1(C)CCN([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346408400 389328661 /nfs/dbraw/zinc/32/86/61/389328661.db2.gz GZJBGKNGLHDQPZ-BXUZGUMPSA-N 0 1 293.367 0.822 20 30 CCEDMN CCC[C@@H](NC(=O)CSCC#N)c1nn[nH]n1 ZINC000343722247 389270629 /nfs/dbraw/zinc/27/06/29/389270629.db2.gz GSYJRCTWXSORRY-SSDOTTSWSA-N 0 1 254.319 0.414 20 30 CCEDMN Cc1nc(NC(=O)c2ccc(C#N)c(O)c2)nn1C ZINC000344551693 389282756 /nfs/dbraw/zinc/28/27/56/389282756.db2.gz DMCNUVIKUBOYEH-UHFFFAOYSA-N 0 1 257.253 0.953 20 30 CCEDMN CC(=O)Nc1cc(F)c(S(=O)(=O)NCC#N)c(F)c1 ZINC000152429780 389290428 /nfs/dbraw/zinc/29/04/28/389290428.db2.gz DJXPJTBLDBWSMW-UHFFFAOYSA-N 0 1 289.263 0.725 20 30 CCEDMN CC[C@@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@H]1O ZINC000346971729 389342477 /nfs/dbraw/zinc/34/24/77/389342477.db2.gz NOHVULXRPRQIIS-GHMZBOCLSA-N 0 1 251.330 0.252 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC1(c2nn[nH]n2)CC1 ZINC000348279705 389372386 /nfs/dbraw/zinc/37/23/86/389372386.db2.gz FTAQNZCACCVXDF-MRVPVSSYSA-N 0 1 251.290 0.286 20 30 CCEDMN CN([C@H]1CCN(C2CC2)C1)S(=O)(=O)CCCC#N ZINC000348350374 389373471 /nfs/dbraw/zinc/37/34/71/389373471.db2.gz XVJATDHFUBPCNV-LBPRGKRZSA-N 0 1 271.386 0.788 20 30 CCEDMN N#Cc1ccc([C@@H](O)CN2CCN3CCOC[C@@H]3C2)cc1 ZINC000368326006 389375661 /nfs/dbraw/zinc/37/56/61/389375661.db2.gz DGGUHTZGAYONSK-HOTGVXAUSA-N 0 1 287.363 0.608 20 30 CCEDMN CC#CCN1CCCN(CC(=O)N(CC)CC)CC1 ZINC000159073552 389357323 /nfs/dbraw/zinc/35/73/23/389357323.db2.gz WDJLBXJYDHQUQR-UHFFFAOYSA-N 0 1 265.401 0.886 20 30 CCEDMN CNC(=O)CCN(C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000170792428 389463389 /nfs/dbraw/zinc/46/33/89/389463389.db2.gz NTYCZANVRFSFSU-ZDUSSCGKSA-N 0 1 268.361 0.109 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](c2nccn2C)C1 ZINC000373108698 389464024 /nfs/dbraw/zinc/46/40/24/389464024.db2.gz DICSWGHBZALFFX-CHWSQXEVSA-N 0 1 274.368 0.737 20 30 CCEDMN CC#CCCNC(=O)N=c1[nH]n(C)cc1C(=O)OCC ZINC000350157598 389494009 /nfs/dbraw/zinc/49/40/09/389494009.db2.gz RCUURHWYDBIBSN-UHFFFAOYSA-N 0 1 278.312 0.554 20 30 CCEDMN C[C@@H]1[C@@H](CO)CCCN1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000412072588 389474375 /nfs/dbraw/zinc/47/43/75/389474375.db2.gz HJEBAFJVFYMRNJ-LALPHHSUSA-N 0 1 279.384 0.888 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@](C)(C(=O)OC)C1 ZINC000412091951 389475732 /nfs/dbraw/zinc/47/57/32/389475732.db2.gz CPJCGTYNHGPYHT-SMDDNHRTSA-N 0 1 268.357 0.952 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)c1ccc2[nH]nnc2c1 ZINC000269576386 389424311 /nfs/dbraw/zinc/42/43/11/389424311.db2.gz JLHGNZJEMPNXNH-LBPRGKRZSA-N 0 1 259.269 0.616 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000334070087 389453591 /nfs/dbraw/zinc/45/35/91/389453591.db2.gz GPYDDEWTYDTDLU-SYQHCUMBSA-N 0 1 297.399 0.426 20 30 CCEDMN C#CCCN1CCN(CCCc2nc(C)no2)CC1 ZINC000271998090 389454845 /nfs/dbraw/zinc/45/48/45/389454845.db2.gz GTMIKYQOZJBTDB-UHFFFAOYSA-N 0 1 262.357 0.952 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2ncccc2C#N)C1 ZINC000413492387 389520508 /nfs/dbraw/zinc/52/05/08/389520508.db2.gz CPJLQXBGAOQRKU-LBPRGKRZSA-N 0 1 274.324 0.494 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](n3cncn3)C2)C1=O ZINC000374172453 389553328 /nfs/dbraw/zinc/55/33/28/389553328.db2.gz NKVFYASTRAKREH-NEPJUHHUSA-N 0 1 261.329 0.312 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@](C)(O)C(=O)OCC)C1=O ZINC000281443121 389616089 /nfs/dbraw/zinc/61/60/89/389616089.db2.gz UELNWEVJQYTRGD-FZMZJTMJSA-N 0 1 284.356 0.019 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCc2n[nH]nc2C1 ZINC000351623568 389638440 /nfs/dbraw/zinc/63/84/40/389638440.db2.gz ZTPGKQNCEGCEOC-CYBMUJFWSA-N 0 1 276.344 0.217 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000413898019 389577192 /nfs/dbraw/zinc/57/71/92/389577192.db2.gz VTLKSUKYZCPOBQ-XQQFMLRXSA-N 0 1 296.367 0.141 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000280302074 389599172 /nfs/dbraw/zinc/59/91/72/389599172.db2.gz QVBBFQLDFVKRDB-HBNTYKKESA-N 0 1 279.344 0.743 20 30 CCEDMN CC(C)[C@@H](CNC(=O)NC[C@@H](C)C#N)N1CCN(C)CC1 ZINC000182415445 389708232 /nfs/dbraw/zinc/70/82/32/389708232.db2.gz APRGJUVRJCRAPI-UONOGXRCSA-N 0 1 295.431 0.717 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H](c2nnc[nH]2)C1 ZINC000425553403 389652143 /nfs/dbraw/zinc/65/21/43/389652143.db2.gz UIAXEJDYXKHRRG-GFCCVEGCSA-N 0 1 275.356 0.795 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@@H](c2nnc[nH]2)C1 ZINC000425553403 389652146 /nfs/dbraw/zinc/65/21/46/389652146.db2.gz UIAXEJDYXKHRRG-GFCCVEGCSA-N 0 1 275.356 0.795 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](c2nnc[nH]2)C1 ZINC000425554407 389652501 /nfs/dbraw/zinc/65/25/01/389652501.db2.gz WACTXDUKOMKGJO-FZMZJTMJSA-N 0 1 290.371 0.648 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CC[C@@H](c2nnc[nH]2)C1 ZINC000425553189 389652708 /nfs/dbraw/zinc/65/27/08/389652708.db2.gz TZJCPDGLFWJODJ-LLVKDONJSA-N 0 1 276.344 0.356 20 30 CCEDMN C=CCNC(=O)CNCc1cnc2ccc(C#N)cn12 ZINC000181127094 389681118 /nfs/dbraw/zinc/68/11/18/389681118.db2.gz SAXNOEQFYQXKMS-UHFFFAOYSA-N 0 1 269.308 0.598 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000181664705 389690259 /nfs/dbraw/zinc/69/02/59/389690259.db2.gz FIFGBBRFPFOOFN-VIFPVBQESA-N 0 1 262.313 0.248 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NC[C@@H](Cc1ccccc1)N(C)C ZINC000416368581 389736644 /nfs/dbraw/zinc/73/66/44/389736644.db2.gz HLWORWKNRMKYOO-TZMCWYRMSA-N 0 1 295.408 0.991 20 30 CCEDMN CS[C@@H]1CN(S(=O)(=O)[C@H](C)C#N)C[C@H]1N(C)C ZINC000416438833 389743837 /nfs/dbraw/zinc/74/38/37/389743837.db2.gz KQHNPQAWFGGHQF-OPRDCNLKSA-N 0 1 277.415 0.206 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)[C@@H]1CCC[C@@H]1O ZINC000352658107 389833432 /nfs/dbraw/zinc/83/34/32/389833432.db2.gz CYXPKSZXLPUDII-MBNYWOFBSA-N 0 1 265.357 0.640 20 30 CCEDMN CCN(C)CCNS(=O)(=O)CC1(C#N)CCCC1 ZINC000354077174 389859243 /nfs/dbraw/zinc/85/92/43/389859243.db2.gz XUGTYROSSCQUSR-UHFFFAOYSA-N 0 1 273.402 0.941 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)NCC(C)(C)CC#N)C[C@H](C)N1 ZINC000418968182 389885653 /nfs/dbraw/zinc/88/56/53/389885653.db2.gz AZQQBISYVIDLHD-QWRGUYRKSA-N 0 1 280.372 0.251 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(CCO)C1CCOCC1 ZINC000444776512 389918493 /nfs/dbraw/zinc/91/84/93/389918493.db2.gz LHFJWMWLYZYGGN-OAHLLOKOSA-N 0 1 297.399 0.514 20 30 CCEDMN CC#CCC[N@@H+]1C[C@H](CO)C[C@H](OCCOC)C1 ZINC000447396870 389954005 /nfs/dbraw/zinc/95/40/05/389954005.db2.gz XJNKZAAKUWFSBA-KGLIPLIRSA-N 0 1 255.358 0.746 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(O)(C(F)F)C2)CC1 ZINC000491386564 390112713 /nfs/dbraw/zinc/11/27/13/390112713.db2.gz VJQIENFHSQCICP-UHFFFAOYSA-N 0 1 272.295 0.170 20 30 CCEDMN C#CCNC(=O)CCN1CCO[C@@H](C2CCC2)C1 ZINC000491738273 390116520 /nfs/dbraw/zinc/11/65/20/390116520.db2.gz WPUVPHUTLJFCAP-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN CCN(C)CCNS(=O)(=O)N(CC)CCC#N ZINC000336918394 262406693 /nfs/dbraw/zinc/40/66/93/262406693.db2.gz OLDVRPMFUGUZLR-UHFFFAOYSA-N 0 1 262.379 0.008 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN2C(=O)OCC[C@H]2C1 ZINC000494035277 390142878 /nfs/dbraw/zinc/14/28/78/390142878.db2.gz XMKHELDRMXHXTN-NWDGAFQWSA-N 0 1 254.330 0.840 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](CO)c1ccccc1C ZINC000542910525 390276160 /nfs/dbraw/zinc/27/61/60/390276160.db2.gz VEKZGOKNKGCQJH-CYBMUJFWSA-N 0 1 291.351 0.630 20 30 CCEDMN Cc1cn(-c2ccc(C#N)cn2)nc1NS(C)(=O)=O ZINC000545181597 390316478 /nfs/dbraw/zinc/31/64/78/390316478.db2.gz VSPAEMDLJBSDFA-UHFFFAOYSA-N 0 1 277.309 0.819 20 30 CCEDMN C=CCNC(=O)CNC(=O)CN1CCC(C)(C)C1 ZINC000583881524 390320842 /nfs/dbraw/zinc/32/08/42/390320842.db2.gz DTQVRCYKBAPXKA-UHFFFAOYSA-N 0 1 253.346 0.137 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCc3nc[nH]c3C2)nn1 ZINC000648835129 390418647 /nfs/dbraw/zinc/41/86/47/390418647.db2.gz DRARIYCXPNNHOM-VIFPVBQESA-N 0 1 272.312 0.475 20 30 CCEDMN CCC#C[C@H](C)N1CCC(S(=O)(=O)NC)CC1 ZINC000645201649 390387080 /nfs/dbraw/zinc/38/70/80/390387080.db2.gz LISAXWBBTDUNMB-NSHDSACASA-N 0 1 258.387 0.802 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@H]2CCCc3[nH]cnc32)nn1 ZINC000562996267 390491949 /nfs/dbraw/zinc/49/19/49/390491949.db2.gz YMXZWPDOROIROD-LLVKDONJSA-N 0 1 286.339 0.924 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000518717490 390494111 /nfs/dbraw/zinc/49/41/11/390494111.db2.gz FAGPNWBNZQXKHY-BDAKNGLRSA-N 0 1 291.311 0.227 20 30 CCEDMN Cc1nc([C@@H](C)N2CCN(C(=O)CC#N)CC2)n[nH]1 ZINC000565162995 390500323 /nfs/dbraw/zinc/50/03/23/390500323.db2.gz NDNNHTYMFZMEPK-SECBINFHSA-N 0 1 262.317 0.232 20 30 CCEDMN COC(=O)c1ccc(N2CC[C@@H](c3nc[nH]n3)C2)c(C#N)n1 ZINC000565434654 390515113 /nfs/dbraw/zinc/51/51/13/390515113.db2.gz UUOUXZKCSUAARN-SECBINFHSA-N 0 1 298.306 0.852 20 30 CCEDMN N#Cc1cncc(N2CCC(c3n[nH]c(=O)o3)CC2)n1 ZINC000519818007 390547988 /nfs/dbraw/zinc/54/79/88/390547988.db2.gz LHTRPJNONKURPD-UHFFFAOYSA-N 0 1 272.268 0.821 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C(C)(C)CO)CC1 ZINC000649313827 390571924 /nfs/dbraw/zinc/57/19/24/390571924.db2.gz ANQWAYWAUWMNDK-AWEZNQCLSA-N 0 1 270.373 0.229 20 30 CCEDMN CCc1noc(C)c1CNC[C@H](O)CN(C)CCC#N ZINC000566673949 390637419 /nfs/dbraw/zinc/63/74/19/390637419.db2.gz IXULSLAZPKFQIV-LBPRGKRZSA-N 0 1 280.372 0.841 20 30 CCEDMN CCN1CC[C@H](NS(=O)(=O)CCC(C)(C)C#N)C1 ZINC000566705947 390641041 /nfs/dbraw/zinc/64/10/41/390641041.db2.gz FKIJTGSBGHZOEJ-NSHDSACASA-N 0 1 273.402 0.940 20 30 CCEDMN CNC(=O)[C@]1(C(F)(F)F)CCN(CC#CCOC)C1 ZINC000646112038 390603754 /nfs/dbraw/zinc/60/37/54/390603754.db2.gz FEVORNNIPMFXET-NSHDSACASA-N 0 1 278.274 0.637 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCC[C@@H](O)C2)CC1 ZINC000527379313 390704895 /nfs/dbraw/zinc/70/48/95/390704895.db2.gz CGGYOWFZNMXOOQ-NWDGAFQWSA-N 0 1 265.357 0.642 20 30 CCEDMN CC(C)[C@@H]1CNCCN1S(=O)(=O)c1ccc(C#N)o1 ZINC000528049563 390729419 /nfs/dbraw/zinc/72/94/19/390729419.db2.gz QIQUEBYPNYKOEN-NSHDSACASA-N 0 1 283.353 0.770 20 30 CCEDMN N#Cc1cc(NCCCCN2CCOCC2)ncn1 ZINC000526259285 390681538 /nfs/dbraw/zinc/68/15/38/390681538.db2.gz GWYWSGQIBKAQSV-UHFFFAOYSA-N 0 1 261.329 0.294 20 30 CCEDMN C=C[C@@H](O)C(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000646573531 390696059 /nfs/dbraw/zinc/69/60/59/390696059.db2.gz IQJTWBTWXWDHFZ-ZIAGYGMSSA-N 0 1 278.352 0.961 20 30 CCEDMN CC(=O)NCC(=O)N1CCCN([C@@H](C)CCC#N)CC1 ZINC000528736951 390773029 /nfs/dbraw/zinc/77/30/29/390773029.db2.gz JKNKQFSZZUUPMU-LBPRGKRZSA-N 0 1 280.372 0.349 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNc1ccncc1C(N)=O ZINC000578012255 390859418 /nfs/dbraw/zinc/85/94/18/390859418.db2.gz SGTQDYJWFMLBGX-GWCFXTLKSA-N 0 1 261.325 0.996 20 30 CCEDMN COC(=O)CN(C(=O)C(C)C#N)C1CCOCC1 ZINC000531303478 390878510 /nfs/dbraw/zinc/87/85/10/390878510.db2.gz OJGILBOJXKQDDY-VIFPVBQESA-N 0 1 254.286 0.327 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@@H]1CNC(=O)C1 ZINC000578767659 390901577 /nfs/dbraw/zinc/90/15/77/390901577.db2.gz YUXTUWMPIUJMIG-ZETCQYMHSA-N 0 1 254.315 0.398 20 30 CCEDMN COC(=O)[C@@H](O)C1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC000191445551 130237436 /nfs/dbraw/zinc/23/74/36/130237436.db2.gz FZWGRZPNXVVOPA-AWEZNQCLSA-N 0 1 291.351 0.643 20 30 CCEDMN C#CCC(C)(C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000767075592 581595464 /nfs/dbraw/zinc/59/54/64/581595464.db2.gz NFRKUEUDMIJYQE-GFCCVEGCSA-N 0 1 251.374 0.398 20 30 CCEDMN C=CCN(CC(F)(F)F)C(=O)[C@@H]1CNCCO1 ZINC000237844986 131060775 /nfs/dbraw/zinc/06/07/75/131060775.db2.gz YRQQTJBAKJXLST-QMMMGPOBSA-N 0 1 252.236 0.552 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2ccc(C#N)cc2)C[C@@H]1O ZINC000351776684 397462594 /nfs/dbraw/zinc/46/25/94/397462594.db2.gz CSNXIISVCRKCJG-RISCZKNCSA-N 0 1 273.336 0.487 20 30 CCEDMN N#Cc1ccc(NCC(=O)NC2(c3nn[nH]n3)CC2)cc1 ZINC000357078466 144058013 /nfs/dbraw/zinc/05/80/13/144058013.db2.gz QBFQCLFWNNAACK-UHFFFAOYSA-N 0 1 283.295 0.289 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN(CCOCCO)C2)nc1 ZINC000368333766 144218269 /nfs/dbraw/zinc/21/82/69/144218269.db2.gz GTRAZPFSDBHHAB-ZDUSSCGKSA-N 0 1 277.324 0.415 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)Nc1ccncc1C#N ZINC000548152757 286011447 /nfs/dbraw/zinc/01/14/47/286011447.db2.gz VGCJBUXLPFEBCW-LBPRGKRZSA-N 0 1 259.357 0.423 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1ccc(C)nc1 ZINC000653487700 412305935 /nfs/dbraw/zinc/30/59/35/412305935.db2.gz JAOQWAUHSFXRHP-UHFFFAOYSA-N 0 1 294.336 0.847 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1cccc(OC)c1 ZINC000662168109 414695342 /nfs/dbraw/zinc/69/53/42/414695342.db2.gz YFNJAZNASGHPCR-GFCCVEGCSA-N 0 1 264.325 0.448 20 30 CCEDMN C=C[C@H](CO)N[C@H]1CCN(Cc2ccc(OC)cc2)C1=O ZINC000662169868 414695635 /nfs/dbraw/zinc/69/56/35/414695635.db2.gz WFGCOTDTPHBJJP-HIFRSBDPSA-N 0 1 290.363 0.933 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCCCC#N)[C@H](C)C1 ZINC000355087135 227386280 /nfs/dbraw/zinc/38/62/80/227386280.db2.gz SBXJDKSLLBSWNP-NEPJUHHUSA-N 0 1 268.361 0.387 20 30 CCEDMN C[C@H](NC(=O)N[C@@H]1CC(=O)N([C@@H]2C[C@H]2C)C1)c1nnc[nH]1 ZINC000329270940 227398042 /nfs/dbraw/zinc/39/80/42/227398042.db2.gz HTANUFANSHVGNZ-UTINFBMNSA-N 0 1 292.343 0.379 20 30 CCEDMN C[C@H](NC(=O)NC[C@H]1CCCCO1)c1nnc[nH]1 ZINC000329271732 227398945 /nfs/dbraw/zinc/39/89/45/227398945.db2.gz IEMSVJQZJVOZLV-DTWKUNHWSA-N 0 1 253.306 0.938 20 30 CCEDMN O=C(NCCN1CCCOCC1)N[C@@H]1CCSC1 ZINC000329980252 228005815 /nfs/dbraw/zinc/00/58/15/228005815.db2.gz KYZRTQWVYXNNQD-LLVKDONJSA-N 0 1 273.402 0.718 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N[C@H]2[C@@H]3COC[C@@H]32)n[nH]1 ZINC000329988886 228008145 /nfs/dbraw/zinc/00/81/45/228008145.db2.gz HQHVPNCRGVQHPC-CWPPHPDTSA-N 0 1 264.329 0.798 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@H]2OCCN(C)[C@@H]2C1 ZINC000332672933 228164882 /nfs/dbraw/zinc/16/48/82/228164882.db2.gz IUXAEISMXKWUCO-MGPQQGTHSA-N 0 1 294.399 0.152 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cc3[nH]cnc3cc2F)C1 ZINC000333516530 228202656 /nfs/dbraw/zinc/20/26/56/228202656.db2.gz VHIHFHXEQJTLGR-UHFFFAOYSA-N 0 1 274.255 0.803 20 30 CCEDMN CCC[C@]1(NC(=O)NC[C@@H]2CN(C)CCN2C)CCOC1 ZINC000329407299 545022832 /nfs/dbraw/zinc/02/28/32/545022832.db2.gz CFIFLTXBOKYRCJ-HIFRSBDPSA-N 0 1 298.431 0.695 20 30 CCEDMN CCN1CC[C@H]2OCCN(C(=O)CSCC#N)[C@H]2C1 ZINC000332715445 260020754 /nfs/dbraw/zinc/02/07/54/260020754.db2.gz QBRBMYVJGZOJBH-NWDGAFQWSA-N 0 1 283.397 0.565 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CCO[C@H](C#N)C1 ZINC000408213657 260653401 /nfs/dbraw/zinc/65/34/01/260653401.db2.gz IDXAWDUSOAWYCT-SNVBAGLBSA-N 0 1 260.297 0.512 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CSc1c[nH]nn1 ZINC000155849374 261108531 /nfs/dbraw/zinc/10/85/31/261108531.db2.gz DYGFNPBIUJNSGH-MRVPVSSYSA-N 0 1 253.331 0.905 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N[C@@H](CO)c1sccc1C ZINC000491023452 261300940 /nfs/dbraw/zinc/30/09/40/261300940.db2.gz XJKDGVYVFBEIBW-MNOVXSKESA-N 0 1 266.366 0.817 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](OC)C(C)(C)C1 ZINC000491788392 262053844 /nfs/dbraw/zinc/05/38/44/262053844.db2.gz XDBKTYNEFHMTJR-VXGBXAGGSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](O)C3(CC3)C2)CC1 ZINC000364462543 262244083 /nfs/dbraw/zinc/24/40/83/262244083.db2.gz LVYMCZZTAFFCKW-ZDUSSCGKSA-N 0 1 262.353 0.315 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCC[C@H]1CCO)C1CC1 ZINC000311565848 262339082 /nfs/dbraw/zinc/33/90/82/262339082.db2.gz DQZBWEOGXCDWGA-GXTWGEPZSA-N 0 1 265.357 0.642 20 30 CCEDMN C=C(C)COCCNC(=O)NCCN1CCCOCC1 ZINC000187310024 262399182 /nfs/dbraw/zinc/39/91/82/262399182.db2.gz RSYXEVLZEAGTIJ-UHFFFAOYSA-N 0 1 285.388 0.601 20 30 CCEDMN C[C@H](NCC(=O)N(CCC#N)CCC#N)c1cnn(C)c1 ZINC000273385919 263016539 /nfs/dbraw/zinc/01/65/39/263016539.db2.gz NTUQUZUMEZOICS-LBPRGKRZSA-N 0 1 288.355 0.727 20 30 CCEDMN C[C@@H]1CN(C(=O)N[C@@H]2CC[C@H](C#N)C2)[C@H](C)CN1CCO ZINC000425868696 263087829 /nfs/dbraw/zinc/08/78/29/263087829.db2.gz TWFYVVXQCGOZQE-YIYPIFLZSA-N 0 1 294.399 0.775 20 30 CCEDMN CN(CC(=O)N[C@]1(C#N)CC1(C)C)c1ncnc2[nH]cnc21 ZINC000291474941 263122909 /nfs/dbraw/zinc/12/29/09/263122909.db2.gz QVEGAEVEYDPZSJ-AWEZNQCLSA-N 0 1 299.338 0.598 20 30 CCEDMN C[C@H](C#N)NC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000340449150 263155185 /nfs/dbraw/zinc/15/51/85/263155185.db2.gz AUIGAEUATVDHQO-LLVKDONJSA-N 0 1 273.340 0.475 20 30 CCEDMN C#CCN(C)C(=O)C(=O)N1CCN(C2CCCC2)CC1 ZINC000298123995 263215372 /nfs/dbraw/zinc/21/53/72/263215372.db2.gz PSEWXNJNQWQGML-UHFFFAOYSA-N 0 1 277.368 0.165 20 30 CCEDMN C=CCCOCCNC(=O)[C@H](C)CN1CCOCC1 ZINC000341895700 263366631 /nfs/dbraw/zinc/36/66/31/263366631.db2.gz IUZFAWAZSGCWFO-CYBMUJFWSA-N 0 1 270.373 0.664 20 30 CCEDMN CCOC(=O)[C@@](C)(O)CN1CCC(C#N)(COC)CC1 ZINC000341996032 263373512 /nfs/dbraw/zinc/37/35/12/263373512.db2.gz RNPSPRBTZAVSJV-ZDUSSCGKSA-N 0 1 284.356 0.553 20 30 CCEDMN O=C(N[C@@H]1CCCc2cn[nH]c21)N1C[C@@H]2COC[C@H](C1)O2 ZINC000328677879 263841588 /nfs/dbraw/zinc/84/15/88/263841588.db2.gz AUTVHVNZWCYMBH-GRYCIOLGSA-N 0 1 292.339 0.801 20 30 CCEDMN CC1(CO)CCN(C(=O)NCCn2cncn2)CC1 ZINC000330874894 264059857 /nfs/dbraw/zinc/05/98/57/264059857.db2.gz IVJWGRLJHZUBKA-UHFFFAOYSA-N 0 1 267.333 0.287 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(C[C@H](O)CC(F)(F)F)C1 ZINC000330967375 264091189 /nfs/dbraw/zinc/09/11/89/264091189.db2.gz QQWBUOODJAUIIM-HTQZYQBOSA-N 0 1 269.267 0.507 20 30 CCEDMN Cc1nc([C@@H]2CN(C(=O)CCCC#N)CCO2)n[nH]1 ZINC000330907605 264074689 /nfs/dbraw/zinc/07/46/89/264074689.db2.gz WITLMJLPMNBURK-JTQLQIEISA-N 0 1 263.301 0.707 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)CCCCc1cn[nH]n1 ZINC000330946227 264085014 /nfs/dbraw/zinc/08/50/14/264085014.db2.gz GUYDRJSUQJOOHL-NSHDSACASA-N 0 1 263.301 0.268 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)c2cnnn2C)C1 ZINC000330955511 264087067 /nfs/dbraw/zinc/08/70/67/264087067.db2.gz UAFJDWWGYXTHTN-LLVKDONJSA-N 0 1 281.360 0.477 20 30 CCEDMN C[S@](=O)CCC[NH+]=C([O-])N[C@@H]1CCc2c[nH]nc2C1 ZINC000331018928 264098361 /nfs/dbraw/zinc/09/83/61/264098361.db2.gz ZIEMDABXDKUBOJ-DGIBIBHMSA-N 0 1 284.385 0.539 20 30 CCEDMN C[S@](=O)CCCNC(=O)N[C@@H]1CCc2c[nH]nc2C1 ZINC000331018928 264098364 /nfs/dbraw/zinc/09/83/64/264098364.db2.gz ZIEMDABXDKUBOJ-DGIBIBHMSA-N 0 1 284.385 0.539 20 30 CCEDMN COCCC[NH+]=C([O-])N[C@H]1CCc2c[nH]nc2C1 ZINC000331011248 264107255 /nfs/dbraw/zinc/10/72/55/264107255.db2.gz VOTQGGFNFODBRE-JTQLQIEISA-N 0 1 252.318 0.807 20 30 CCEDMN COc1cc(C(=O)NC[C@H]2CC[N@@H+](C)C2)nn1C ZINC000331042534 264108106 /nfs/dbraw/zinc/10/81/06/264108106.db2.gz DDTGQWKMSFVNJU-SECBINFHSA-N 0 1 252.318 0.685 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2ccnc(C#N)c2)C1 ZINC000331085817 264117946 /nfs/dbraw/zinc/11/79/46/264117946.db2.gz ZYCYVZJMNCXMAC-CYBMUJFWSA-N 0 1 273.340 0.504 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)CN1[C@H](C)CC[C@@H]1C ZINC000331211713 264163589 /nfs/dbraw/zinc/16/35/89/264163589.db2.gz JFWGOWVPIULREG-LOWVWBTDSA-N 0 1 253.346 0.904 20 30 CCEDMN CCNC(=O)NC(=O)CN(CCO)[C@H]1CCCSC1 ZINC000331277298 264170628 /nfs/dbraw/zinc/17/06/28/264170628.db2.gz YIAPYMLZDGFHIR-JTQLQIEISA-N 0 1 289.401 0.226 20 30 CCEDMN C[C@@H](CO)N(C)C(=O)NCCN1CC[N@@H+](C)C(C)(C)C1 ZINC000331259909 264184217 /nfs/dbraw/zinc/18/42/17/264184217.db2.gz ABNZHRPWGHNCBV-LBPRGKRZSA-N 0 1 286.420 0.239 20 30 CCEDMN CNC(=O)NC(=O)CN(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC000331325211 264190510 /nfs/dbraw/zinc/19/05/10/264190510.db2.gz FHLXJCTYWPNFFP-UHFFFAOYSA-N 0 1 281.360 0.799 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000366866123 265060434 /nfs/dbraw/zinc/06/04/34/265060434.db2.gz LSJOBUUTRGUKGD-YNEHKIRRSA-N 0 1 295.383 0.735 20 30 CCEDMN C=CCNC(=O)CN1C[C@H](N2CCOCC2)C[C@H]1C ZINC000367603884 267103064 /nfs/dbraw/zinc/10/30/64/267103064.db2.gz PHIVIOADRREOQT-CHWSQXEVSA-N 0 1 267.373 0.084 20 30 CCEDMN C=CCNC(=O)CN1C[C@H](N2CCOCC2)C[C@@H]1C ZINC000367603886 267103692 /nfs/dbraw/zinc/10/36/92/267103692.db2.gz PHIVIOADRREOQT-QWHCGFSZSA-N 0 1 267.373 0.084 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(OCCOC)CC1 ZINC000116885559 267186638 /nfs/dbraw/zinc/18/66/38/267186638.db2.gz VERFVSCGZCGASK-GFCCVEGCSA-N 0 1 270.373 0.805 20 30 CCEDMN N#CCCCCNC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000368987707 267216759 /nfs/dbraw/zinc/21/67/59/267216759.db2.gz SXDSUHLLLBROJD-KGLIPLIRSA-N 0 1 294.399 0.921 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@@](O)(C2CC2)C1)C1CC1 ZINC000370085397 267290193 /nfs/dbraw/zinc/29/01/93/267290193.db2.gz VKYVWGLFOUCOJB-CABCVRRESA-N 0 1 277.368 0.642 20 30 CCEDMN N#Cc1ccc(NC(=O)NC[C@@H]2COCCN2)cc1F ZINC000528690531 268245739 /nfs/dbraw/zinc/24/57/39/268245739.db2.gz GYTRXZFHXLAPDI-LLVKDONJSA-N 0 1 278.287 0.807 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(C[C@H]2C(=O)OC)CC1 ZINC000265028972 275384140 /nfs/dbraw/zinc/38/41/40/275384140.db2.gz ICYKTJZWHZBAQN-RYUDHWBXSA-N 0 1 278.352 0.399 20 30 CCEDMN CN1CCC[C@@H]1CNS(=O)(=O)c1ccc(C#N)cc1 ZINC000221178513 277064530 /nfs/dbraw/zinc/06/45/30/277064530.db2.gz VLZLTQKKKGAGEW-GFCCVEGCSA-N 0 1 279.365 0.931 20 30 CCEDMN C[C@@H]1COCCN1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000329637197 288336192 /nfs/dbraw/zinc/33/61/92/288336192.db2.gz YNRNMBGOMHFCJR-NXEZZACHSA-N 0 1 264.329 0.902 20 30 CCEDMN C[C@@H]1COCCN1C([O-])=[NH+][C@@H]1CCc2nc[nH]c2C1 ZINC000329637197 288336196 /nfs/dbraw/zinc/33/61/96/288336196.db2.gz YNRNMBGOMHFCJR-NXEZZACHSA-N 0 1 264.329 0.902 20 30 CCEDMN C[C@@H]1COCCN1C([O-])=[NH+][C@@H]1CCc2[nH]cnc2C1 ZINC000329637197 288336198 /nfs/dbraw/zinc/33/61/98/288336198.db2.gz YNRNMBGOMHFCJR-NXEZZACHSA-N 0 1 264.329 0.902 20 30 CCEDMN N#CC1CCN(c2cc(-n3cccn3)nc(N)n2)CC1 ZINC000179900043 327803612 /nfs/dbraw/zinc/80/36/12/327803612.db2.gz BEFUUNBELQIJBC-UHFFFAOYSA-N 0 1 269.312 0.984 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000563639292 328080253 /nfs/dbraw/zinc/08/02/53/328080253.db2.gz CHEBYYKXUWBDMT-SYQHCUMBSA-N 0 1 297.399 0.426 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](CO)[C@@H]1C ZINC000412065258 328103503 /nfs/dbraw/zinc/10/35/03/328103503.db2.gz CPQXFQJKNKXZBT-STQMWFEESA-N 0 1 267.373 0.841 20 30 CCEDMN C[C@H](C#N)CNC[C@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000582601878 329114237 /nfs/dbraw/zinc/11/42/37/329114237.db2.gz YPBGTHVZTUENED-NDBYEHHHSA-N 0 1 255.362 0.206 20 30 CCEDMN O=C1NCC[C@@H]1O/N=C/c1ccc(N2CCOCC2)cc1 ZINC000269275455 328190326 /nfs/dbraw/zinc/19/03/26/328190326.db2.gz IEAKFYPJNJXJAP-MPMPPSQCSA-N 0 1 289.335 0.762 20 30 CCEDMN Cc1nnsc1C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000331002411 328472705 /nfs/dbraw/zinc/47/27/05/328472705.db2.gz XPQOLEXONXMQEG-SECBINFHSA-N 0 1 283.401 0.787 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)[C@@H]2CCNC2=O)CC1 ZINC000281641758 328500784 /nfs/dbraw/zinc/50/07/84/328500784.db2.gz AUXOIURJOYKKFC-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN CC(C)Cn1nccc1C(=O)N=c1[nH]n(C)cc1C#N ZINC000573804586 327258672 /nfs/dbraw/zinc/25/86/72/327258672.db2.gz OUGMHZMQIPMWML-UHFFFAOYSA-N 0 1 272.312 0.818 20 30 CCEDMN CCOC(=O)c1cn(C)[nH]c1=NC(=O)NCC1(C#N)CC1 ZINC000564700354 327355533 /nfs/dbraw/zinc/35/55/33/327355533.db2.gz BTULCFVQEXVQAA-UHFFFAOYSA-N 0 1 291.311 0.444 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC([C@H]2NC(=O)C2(C)C)CC1 ZINC000562477465 327484610 /nfs/dbraw/zinc/48/46/10/327484610.db2.gz AQFAYCBZNPRSKR-DGCLKSJQSA-N 0 1 293.411 0.914 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CNC(C)(C)C(=O)N(C)C ZINC000114458114 328646749 /nfs/dbraw/zinc/64/67/49/328646749.db2.gz YFGCCAOOBXYFPR-LLVKDONJSA-N 0 1 282.388 0.451 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CCN1C1CC1 ZINC000377683109 329024235 /nfs/dbraw/zinc/02/42/35/329024235.db2.gz ZKWGQIWZUPJJHI-LBPRGKRZSA-N 0 1 296.334 0.770 20 30 CCEDMN COC(=O)CC1(NCC(=O)N(CCC#N)CCC#N)CC1 ZINC000582789425 329203337 /nfs/dbraw/zinc/20/33/37/329203337.db2.gz ZEGAZJSWHRBUKR-UHFFFAOYSA-N 0 1 292.339 0.328 20 30 CCEDMN C=CCN(CCOC)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000188709309 329370718 /nfs/dbraw/zinc/37/07/18/329370718.db2.gz BPZLEMXRZOKEDF-AWEZNQCLSA-N 0 1 298.431 0.466 20 30 CCEDMN C[N@@H+](CCNC(=O)C(=O)NCC(C)(C)CC#N)C1CC1 ZINC000422260522 330140293 /nfs/dbraw/zinc/14/02/93/330140293.db2.gz NJRUHVZSHRJFAM-UHFFFAOYSA-N 0 1 280.372 0.253 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1 ZINC000423566085 330212231 /nfs/dbraw/zinc/21/22/31/330212231.db2.gz PXNUWHLSLOWGHR-JHJVBQTASA-N 0 1 279.384 0.762 20 30 CCEDMN N#CC1(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)CCCC1 ZINC000533113568 330345399 /nfs/dbraw/zinc/34/53/99/330345399.db2.gz CDMSMMXRFKGNQV-SNVBAGLBSA-N 0 1 274.328 0.990 20 30 CCEDMN CC(C)C[C@H](CN(C)C)NS(=O)(=O)[C@@H](C)C#N ZINC000088477129 330376599 /nfs/dbraw/zinc/37/65/99/330376599.db2.gz JVJGRJLJIVSPLL-WDEREUQCSA-N 0 1 261.391 0.794 20 30 CCEDMN COC[C@H](NCC(=O)N[C@@](C)(C#N)C1CC1)[C@H]1CCCO1 ZINC000434798218 330645587 /nfs/dbraw/zinc/64/55/87/330645587.db2.gz YIPSPKJOOGVAEJ-GUTXKFCHSA-N 0 1 295.383 0.578 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N(C)CC(C)(C)C#N)C1 ZINC000458412877 331250961 /nfs/dbraw/zinc/25/09/61/331250961.db2.gz BXMRQIGXDJABNY-LBPRGKRZSA-N 0 1 282.388 0.898 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@@](O)(CO)C1 ZINC000459647011 331292051 /nfs/dbraw/zinc/29/20/51/331292051.db2.gz JKBRVUZAMBPWSN-HIFRSBDPSA-N 0 1 284.400 0.619 20 30 CCEDMN C=CCCCS(=O)(=O)NCCN1CCN(C)CC1 ZINC000489641926 332062648 /nfs/dbraw/zinc/06/26/48/332062648.db2.gz XGOOOMCYMBUDOT-UHFFFAOYSA-N 0 1 275.418 0.119 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](C(=O)N2CCCC2)C1 ZINC000490672270 332101911 /nfs/dbraw/zinc/10/19/11/332101911.db2.gz YFJPDHDYRUUGRX-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](C)c2nnnn2C)CC1 ZINC000490719999 332108633 /nfs/dbraw/zinc/10/86/33/332108633.db2.gz PLRPMMSQXYXJBJ-SNVBAGLBSA-N 0 1 277.328 0.160 20 30 CCEDMN C#CCN(CC#CC)C(=O)CN(C)[C@H]1CCSC1 ZINC000490871119 332122977 /nfs/dbraw/zinc/12/29/77/332122977.db2.gz AJSLXXIBSHWTCZ-ZDUSSCGKSA-N 0 1 264.394 0.909 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)COC[C@@H]1CCCO1 ZINC000490874675 332123724 /nfs/dbraw/zinc/12/37/24/332123724.db2.gz NIKBGUYRFLIHBC-KBPBESRZSA-N 0 1 281.352 0.823 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](c2nccn2C)C1 ZINC000490891777 332125105 /nfs/dbraw/zinc/12/51/05/332125105.db2.gz HFQVMJROLBHHDG-NEPJUHHUSA-N 0 1 260.341 0.347 20 30 CCEDMN C#CCN1CCC(C(=O)NO[C@H]2CCOC2)CC1 ZINC000490935588 332130570 /nfs/dbraw/zinc/13/05/70/332130570.db2.gz NUKZXLQBIBWJCO-LBPRGKRZSA-N 0 1 252.314 0.168 20 30 CCEDMN C#C[C@H](NC(=O)CN(C)c1ncnc2[nH]cnc21)C(C)C ZINC000490961260 332133307 /nfs/dbraw/zinc/13/33/07/332133307.db2.gz GFXZZHKIBUPRKR-JTQLQIEISA-N 0 1 286.339 0.563 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@]1(C)CCC(=O)NC1 ZINC000490983894 332135563 /nfs/dbraw/zinc/13/55/63/332135563.db2.gz BRFWRNIZDIQSOQ-SWLSCSKDSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCNC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000490987497 332136657 /nfs/dbraw/zinc/13/66/57/332136657.db2.gz ZSGSQVRNVZXLKV-UHFFFAOYSA-N 0 1 282.321 0.006 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CCC(=O)N(C)C2)CC1 ZINC000491095360 332148589 /nfs/dbraw/zinc/14/85/89/332148589.db2.gz KDQTWTZQIOQXIC-AWEZNQCLSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(CC#N)C(=O)c1cc(F)cc2nn[nH]c21 ZINC000491105984 332150486 /nfs/dbraw/zinc/15/04/86/332150486.db2.gz XDPHCKJBYPXLHI-UHFFFAOYSA-N 0 1 257.228 0.696 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2C[C@@H](OC)C2)CC1 ZINC000491125027 332153132 /nfs/dbraw/zinc/15/31/32/332153132.db2.gz APSMGXXJNKJRNJ-OKILXGFUSA-N 0 1 264.369 0.967 20 30 CCEDMN C#C[C@H](CC)NC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000491142471 332155254 /nfs/dbraw/zinc/15/52/54/332155254.db2.gz CSRNLHPUTVOPEU-LLVKDONJSA-N 0 1 263.345 0.400 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H](C)C(=O)N[C@@H](C)CC)CC1 ZINC000491202963 332165318 /nfs/dbraw/zinc/16/53/18/332165318.db2.gz RKZRRAPQGKRLAF-QWHCGFSZSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cnc3cnccn23)CC1 ZINC000491203539 332165728 /nfs/dbraw/zinc/16/57/28/332165728.db2.gz XIAIMOVZORTLFS-UHFFFAOYSA-N 0 1 297.362 0.691 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1cc(C)ccc1Cl ZINC000491438432 332211212 /nfs/dbraw/zinc/21/12/12/332211212.db2.gz CIPAYFWXASQODQ-RYUDHWBXSA-N 0 1 283.755 0.972 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)[C@@H](NC(C)=O)C(C)C ZINC000491451942 332213961 /nfs/dbraw/zinc/21/39/61/332213961.db2.gz IJTDIKRZZBNRDP-ZDUSSCGKSA-N 0 1 267.373 0.218 20 30 CCEDMN C#CCNC(=O)CCNCc1ccc(OC(F)F)cn1 ZINC000491523901 332229193 /nfs/dbraw/zinc/22/91/93/332229193.db2.gz HXAUCJGEFVDMQH-UHFFFAOYSA-N 0 1 283.278 0.912 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2ccc(F)cn2)CC1 ZINC000491619965 332245960 /nfs/dbraw/zinc/24/59/60/332245960.db2.gz DIIZXJJFKYOLEP-UHFFFAOYSA-N 0 1 290.342 0.482 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CC1OCCCO1 ZINC000491653298 332265969 /nfs/dbraw/zinc/26/59/69/332265969.db2.gz NPFNRDLFRVCBDN-LBPRGKRZSA-N 0 1 267.325 0.780 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC(CF)CC2)CC1 ZINC000491779744 332344400 /nfs/dbraw/zinc/34/44/00/332344400.db2.gz KKQIBDFRLANZFE-UHFFFAOYSA-N 0 1 281.375 0.445 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC2(COC(=O)N2)CC1 ZINC000495255234 332513187 /nfs/dbraw/zinc/51/31/87/332513187.db2.gz UPEZERWDRURSPG-UHFFFAOYSA-N 0 1 293.367 0.761 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCOCC)CC1 ZINC000089224147 333095626 /nfs/dbraw/zinc/09/56/26/333095626.db2.gz RAOFABUSEXOTGE-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN Cc1cc(C)n(CC(=O)NC2(C#N)CCN(C)CC2)n1 ZINC000521241016 333116008 /nfs/dbraw/zinc/11/60/08/333116008.db2.gz OWIRBFRNWZBAQL-UHFFFAOYSA-N 0 1 275.356 0.604 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](C(=O)NCCC)C1 ZINC000250486390 333305708 /nfs/dbraw/zinc/30/57/08/333305708.db2.gz LQUBCJODPDJTTD-OLZOCXBDSA-N 0 1 279.384 0.363 20 30 CCEDMN CN1CCN(C[C@H](O)CNc2cccc(CC#N)c2)CC1 ZINC000575982165 335137603 /nfs/dbraw/zinc/13/76/03/335137603.db2.gz ITQURSJRRQKANC-MRXNPFEDSA-N 0 1 288.395 0.773 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@@H]([C@H](C)O)C1 ZINC000252764946 334391304 /nfs/dbraw/zinc/39/13/04/334391304.db2.gz IGHWYGRETRPKMC-OUCADQQQSA-N 0 1 267.373 0.744 20 30 CCEDMN N#CCNC(=O)CN1CCS[C@H]2CCCC[C@@H]21 ZINC000177210432 335368474 /nfs/dbraw/zinc/36/84/74/335368474.db2.gz FFIPVPABVJBDDG-QWRGUYRKSA-N 0 1 253.371 0.986 20 30 CCEDMN CCN1CCN(CC(=O)N2CCC(CC#N)CC2)CC1 ZINC000581210311 336025113 /nfs/dbraw/zinc/02/51/13/336025113.db2.gz FEFHBTSIVRKESO-UHFFFAOYSA-N 0 1 278.400 0.776 20 30 CCEDMN C[C@H]1CCNC(=O)[C@@H]1NCc1cnc2c(C#N)cnn2c1 ZINC000581429821 336075991 /nfs/dbraw/zinc/07/59/91/336075991.db2.gz JUSMPJLYCKNDIN-JOYOIKCWSA-N 0 1 284.323 0.215 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCC1(C#N)CCC1 ZINC000581812413 336146760 /nfs/dbraw/zinc/14/67/60/336146760.db2.gz YPQZCNQEXJLRLN-LBPRGKRZSA-N 0 1 250.346 0.476 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)C(=O)N1CCNC[C@H]1C ZINC000415339292 533720757 /nfs/dbraw/zinc/72/07/57/533720757.db2.gz BOTDNDDRNJIIIK-SNVBAGLBSA-N 0 1 286.335 0.626 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000330040326 533847608 /nfs/dbraw/zinc/84/76/08/533847608.db2.gz CJKXCTWZFBUCII-NNYUYHANSA-N 0 1 254.330 0.556 20 30 CCEDMN C#CCN(C)CCOc1ccc(S(=O)(=O)N(C)C)cc1 ZINC000192237435 517302366 /nfs/dbraw/zinc/30/23/66/517302366.db2.gz WKURDXHGVHMHCY-UHFFFAOYSA-N 0 1 296.392 0.881 20 30 CCEDMN N#CCNC(=O)CN[C@H](CCO)c1ccc(Cl)cc1 ZINC000347624594 534685911 /nfs/dbraw/zinc/68/59/11/534685911.db2.gz AKKQFZNRTPOLHU-GFCCVEGCSA-N 0 1 281.743 0.993 20 30 CCEDMN CN1CCC[C@H]1CNC(=O)C(=O)Nc1ccc(C#N)cn1 ZINC000340038234 523850864 /nfs/dbraw/zinc/85/08/64/523850864.db2.gz RWCASHCDBFUVRA-NSHDSACASA-N 0 1 287.323 0.102 20 30 CCEDMN C=C(C)CN(C)C(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000352138681 526486858 /nfs/dbraw/zinc/48/68/58/526486858.db2.gz ZGHDAUGTSYQCHN-GFCCVEGCSA-N 0 1 282.388 0.544 20 30 CCEDMN C=CCN(CC=C)C(=O)NCc1n[nH]c(COC)n1 ZINC000666125266 545113617 /nfs/dbraw/zinc/11/36/17/545113617.db2.gz XDFANNJORHLLDN-UHFFFAOYSA-N 0 1 265.317 0.835 20 30 CCEDMN C=CCN(CC=C)C(=O)NCc1nnc(COC)[nH]1 ZINC000666125266 545113618 /nfs/dbraw/zinc/11/36/18/545113618.db2.gz XDFANNJORHLLDN-UHFFFAOYSA-N 0 1 265.317 0.835 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCC[C@H](C)O)CC1 ZINC000354867835 526536766 /nfs/dbraw/zinc/53/67/66/526536766.db2.gz UPMLBBYTNQHZQC-ZDUSSCGKSA-N 0 1 269.389 0.067 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)CCc1nc[nH]n1 ZINC000332366008 526793753 /nfs/dbraw/zinc/79/37/53/526793753.db2.gz ZIQVGTUUBPLLED-GWCFXTLKSA-N 0 1 264.329 0.835 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)CCc1nc[nH]n1 ZINC000332366010 526844391 /nfs/dbraw/zinc/84/43/91/526844391.db2.gz ZIQVGTUUBPLLED-GXFFZTMASA-N 0 1 264.329 0.835 20 30 CCEDMN C=CCCOCCNC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000354610115 527350142 /nfs/dbraw/zinc/35/01/42/527350142.db2.gz JQWCIHDTJKNTEU-KBPBESRZSA-N 0 1 299.415 0.676 20 30 CCEDMN C=CC[C@@H]1CCCN(Cc2cn(CC(N)=O)nn2)C1 ZINC000668492959 545179161 /nfs/dbraw/zinc/17/91/61/545179161.db2.gz IBQZDSQPVMIHRW-LLVKDONJSA-N 0 1 263.345 0.552 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1cc(C)[nH]n1 ZINC000668732881 545189098 /nfs/dbraw/zinc/18/90/98/545189098.db2.gz LNUNLJRTROACMG-GFCCVEGCSA-N 0 1 264.329 0.805 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)NCc1cc(C)[nH]n1 ZINC000668876817 545194075 /nfs/dbraw/zinc/19/40/75/545194075.db2.gz ZHCBDOMSHMMYHG-UHFFFAOYSA-N 0 1 280.328 0.043 20 30 CCEDMN CC(C)N(C)CCNC(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000330432893 528814636 /nfs/dbraw/zinc/81/46/36/528814636.db2.gz KMCMPAYCSLETMN-LLVKDONJSA-N 0 1 291.417 0.360 20 30 CCEDMN C=CCOCCN1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000672064693 545262152 /nfs/dbraw/zinc/26/21/52/545262152.db2.gz AGHOUGHIZNXWQJ-JTQLQIEISA-N 0 1 264.285 0.075 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)NCc1cc(C)[nH]n1 ZINC000672539757 545269995 /nfs/dbraw/zinc/26/99/95/545269995.db2.gz QETLWNHYPXSKQY-UHFFFAOYSA-N 0 1 264.329 0.759 20 30 CCEDMN CCN1CCN([C@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000345857762 529151812 /nfs/dbraw/zinc/15/18/12/529151812.db2.gz OAEGZWZYHSRELI-GFCCVEGCSA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCN(CCO)C(C)(C)C1 ZINC000682036231 545471257 /nfs/dbraw/zinc/47/12/57/545471257.db2.gz MDAFFWGFVMNRQH-UHFFFAOYSA-N 0 1 297.443 0.800 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN(CCO)C(C)(C)C1 ZINC000682038614 545471512 /nfs/dbraw/zinc/47/15/12/545471512.db2.gz SBTJAYWCXVBCBE-LBPRGKRZSA-N 0 1 269.389 0.066 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC000791423101 581220926 /nfs/dbraw/zinc/22/09/26/581220926.db2.gz QRVQWACHDCCSDK-MNOVXSKESA-N 0 1 256.371 0.554 20 30 CCEDMN NC(=O)c1ccccc1C=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000788722612 581123504 /nfs/dbraw/zinc/12/35/04/581123504.db2.gz XLEDGRUKBKCYRF-STQMWFEESA-N 0 1 285.351 0.979 20 30 CCEDMN CCN1CCCN(S(=O)(=O)CC(C)(C)C#N)CC1 ZINC000777818016 581135693 /nfs/dbraw/zinc/13/56/93/581135693.db2.gz CFOQDPBVVZTZGQ-UHFFFAOYSA-N 0 1 273.402 0.894 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cn(C)nc2C(C)(C)C)N1 ZINC000779794262 581205473 /nfs/dbraw/zinc/20/54/73/581205473.db2.gz FQQKIBPIXWQMSE-SECBINFHSA-N 0 1 262.361 0.989 20 30 CCEDMN COc1ccc(C=NNC2=NC[C@H](C)N2)cc1OC ZINC000779794698 581205725 /nfs/dbraw/zinc/20/57/25/581205725.db2.gz LMFBHROZPHDBJS-VIFPVBQESA-N 0 1 262.313 0.975 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+](C)[C@@H](C)CNC(=O)[O-] ZINC000738942720 581211679 /nfs/dbraw/zinc/21/16/79/581211679.db2.gz WZCRKMSPMDHWIB-GWCFXTLKSA-N 0 1 284.360 0.629 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](C)[C@@H](C)CNC(=O)[O-] ZINC000738942720 581211683 /nfs/dbraw/zinc/21/16/83/581211683.db2.gz WZCRKMSPMDHWIB-GWCFXTLKSA-N 0 1 284.360 0.629 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@H]2C[C@@]2(CO)C1 ZINC000782610123 581313390 /nfs/dbraw/zinc/31/33/90/581313390.db2.gz UQVZODOFGQOSRU-OCCSQVGLSA-N 0 1 252.358 0.725 20 30 CCEDMN C#CCCCC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000793876875 581317613 /nfs/dbraw/zinc/31/76/13/581317613.db2.gz ZOMNZMHWZNVTEG-STQMWFEESA-N 0 1 252.358 0.703 20 30 CCEDMN N#Cc1ccc(C(=O)NN2CCCNC2=O)s1 ZINC000783606558 581352301 /nfs/dbraw/zinc/35/23/01/581352301.db2.gz QWFQGDXXSRSTSX-UHFFFAOYSA-N 0 1 250.283 0.680 20 30 CCEDMN CC(=NNC(=N)N)c1cc(S(N)(=O)=O)c(C)cc1C ZINC000794488509 581371640 /nfs/dbraw/zinc/37/16/40/581371640.db2.gz JHJABHHLMGBSLB-UHFFFAOYSA-N 0 1 283.357 0.158 20 30 CCEDMN C#C[C@H](C)NC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000784849919 581396134 /nfs/dbraw/zinc/39/61/34/581396134.db2.gz ZGHFVROPSPMTIB-JTQLQIEISA-N 0 1 283.291 0.344 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@H]1CCC[C@@H](C(N)=O)C1 ZINC000786929575 581453377 /nfs/dbraw/zinc/45/33/77/581453377.db2.gz LGTZMTVSFQWUOQ-HBNTYKKESA-N 0 1 279.340 0.512 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2ccc([N+](=O)[O-])n2C)=NO1 ZINC000765386361 581510719 /nfs/dbraw/zinc/51/07/19/581510719.db2.gz YXKGNYJKZQNAJG-ZCFIWIBFSA-N 0 1 252.230 0.785 20 30 CCEDMN N#CCCNC(=O)CN1CCN(C2CCC2)CC1 ZINC000733614157 581515491 /nfs/dbraw/zinc/51/54/91/581515491.db2.gz AAVKPEPZFNMVIB-UHFFFAOYSA-N 0 1 250.346 0.186 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C)[C@H](n2ccnc2)C1 ZINC000767436896 581613302 /nfs/dbraw/zinc/61/33/02/581613302.db2.gz ZRKABYJLRPXKGE-QWHCGFSZSA-N 0 1 260.341 0.515 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000768032157 581642027 /nfs/dbraw/zinc/64/20/27/581642027.db2.gz WULYXIYLRMTRAS-SECBINFHSA-N 0 1 263.301 0.149 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000735416925 581647912 /nfs/dbraw/zinc/64/79/12/581647912.db2.gz UJBAYDLTZNWIKV-NXEZZACHSA-N 0 1 281.316 0.081 20 30 CCEDMN CC(C)n1ccc(Cn2c(N)nc(-c3nn[nH]n3)c2C#N)n1 ZINC000735965033 581677985 /nfs/dbraw/zinc/67/79/85/581677985.db2.gz JCVJLSFPSJHJBI-UHFFFAOYSA-N 0 1 298.314 0.343 20 30 CCEDMN CC(=NNC(=N)N)c1ccc(N2CCCS2(=O)=O)cc1 ZINC000741159708 581733619 /nfs/dbraw/zinc/73/36/19/581733619.db2.gz DGULKCZLZAMWNR-UHFFFAOYSA-N 0 1 295.368 0.434 20 30 CCEDMN C=CCOC(=O)C1=C(C)NC(=S)N[C@H]1c1cn(C)nn1 ZINC000741648075 581758261 /nfs/dbraw/zinc/75/82/61/581758261.db2.gz HAHJLWIHGQKEHM-JTQLQIEISA-N 0 1 293.352 0.337 20 30 CCEDMN C#CCNC(=O)CN1CCSC2(CCOCC2)C1 ZINC000770686300 581761711 /nfs/dbraw/zinc/76/17/11/581761711.db2.gz YDUIXEMYHVOOAF-UHFFFAOYSA-N 0 1 268.382 0.334 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)c1[nH]nc2c1CCCC2 ZINC000731706797 581775944 /nfs/dbraw/zinc/77/59/44/581775944.db2.gz NUZVYDDJLMFTOQ-VIFPVBQESA-N 0 1 275.308 0.583 20 30 CCEDMN COC(=O)c1ccc2[nH]cc(C=NNC(=N)N)c2c1 ZINC000731922490 581791911 /nfs/dbraw/zinc/79/19/11/581791911.db2.gz FTZBMQXRCVDXRT-UHFFFAOYSA-N 0 1 259.269 0.771 20 30 CCEDMN CS(=O)(=O)CCNN=Cc1cc(-c2cccnc2)[nH]n1 ZINC000743440457 581812094 /nfs/dbraw/zinc/81/20/94/581812094.db2.gz OTIHMQJXKHSOHZ-UHFFFAOYSA-N 0 1 293.352 0.440 20 30 CCEDMN C#CCNC(=O)CCN[C@@H]1CCN(c2ccc(C)cc2)C1=O ZINC000743475000 581813342 /nfs/dbraw/zinc/81/33/42/581813342.db2.gz WTBDKYJQIWKRLW-OAHLLOKOSA-N 0 1 299.374 0.829 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1CC12CCC2 ZINC000759835722 581826328 /nfs/dbraw/zinc/82/63/28/581826328.db2.gz UIEJAEFUNWPNMP-ZJUUUORDSA-N 0 1 250.298 0.648 20 30 CCEDMN CC(C)NC(=O)CCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000744031208 581830423 /nfs/dbraw/zinc/83/04/23/581830423.db2.gz UGSDWMYCOOLWQT-NSHDSACASA-N 0 1 279.340 0.669 20 30 CCEDMN CC(=CS(C)(=O)=O)NNc1nccnc1Cl ZINC000733078760 581862255 /nfs/dbraw/zinc/86/22/55/581862255.db2.gz JWIFNUQMUAHTBL-UHFFFAOYSA-N 0 1 262.722 0.962 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](C)CCCNC(=O)[O-] ZINC000738767223 581868861 /nfs/dbraw/zinc/86/88/61/581868861.db2.gz UMJAKSZCYHYVKC-ZDUSSCGKSA-N 0 1 284.360 0.630 20 30 CCEDMN C#CCCN1CCN(CCn2ccccc2=O)CC1 ZINC000760831962 581874669 /nfs/dbraw/zinc/87/46/69/581874669.db2.gz OMDGYCMLAGPIQQ-UHFFFAOYSA-N 0 1 259.353 0.489 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(C[C@@H](C)CC)CC1 ZINC000761032120 581883775 /nfs/dbraw/zinc/88/37/75/581883775.db2.gz YKFDAIJHOFUMLK-LBPRGKRZSA-N 0 1 273.402 0.118 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2cccc(C#N)c2)CCN1C ZINC000761072916 581886042 /nfs/dbraw/zinc/88/60/42/581886042.db2.gz FTNSYOPTMBYIGH-ZDUSSCGKSA-N 0 1 286.379 0.810 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000773163266 581911823 /nfs/dbraw/zinc/91/18/23/581911823.db2.gz UOCIVBQGMICMQY-UWVGGRQHSA-N 0 1 297.384 0.797 20 30 CCEDMN Cc1cn2ccnc(C(=O)N=C3C[C@H](C)ON3)c2n1 ZINC000762191029 581934962 /nfs/dbraw/zinc/93/49/62/581934962.db2.gz AKYNBAWUNCWERN-QMMMGPOBSA-N 0 1 259.269 0.890 20 30 CCEDMN N#CCOc1ccccc1C(=O)N1CCc2[nH]nnc2C1 ZINC000748363467 581971616 /nfs/dbraw/zinc/97/16/16/581971616.db2.gz PDXONVQTJRWSQH-UHFFFAOYSA-N 0 1 283.291 0.906 20 30 CCEDMN C[C@H](NC(=O)COc1cccc(C#N)c1)c1nnc[nH]1 ZINC000748378662 581972688 /nfs/dbraw/zinc/97/26/88/581972688.db2.gz IIYDNOBEQCFIOP-VIFPVBQESA-N 0 1 271.280 0.933 20 30 CCEDMN N#Cc1cccc(OCC(=O)NCc2c[nH]nn2)c1 ZINC000748419220 581974375 /nfs/dbraw/zinc/97/43/75/581974375.db2.gz RJHQSJZCZQNLEE-UHFFFAOYSA-N 0 1 257.253 0.372 20 30 CCEDMN C#CCNC(=O)CN[C@@H](C)c1ccc2c(c1)OCO2 ZINC000774830635 581998883 /nfs/dbraw/zinc/99/88/83/581998883.db2.gz GOMXSTMJTWJTOF-JTQLQIEISA-N 0 1 260.293 0.815 20 30 CCEDMN C#C[C@H](NC(=O)CN(CC)C1CC1)[C@H]1CCCO1 ZINC000775347412 582020514 /nfs/dbraw/zinc/02/05/14/582020514.db2.gz MBUCCOJJFITJEH-QWHCGFSZSA-N 0 1 250.342 0.768 20 30 CCEDMN C[C@@H](C(=O)NCCNc1ncccc1C#N)N(C)C ZINC000750018382 582030910 /nfs/dbraw/zinc/03/09/10/582030910.db2.gz WGPSNDCPEFMXRT-JTQLQIEISA-N 0 1 261.329 0.431 20 30 CCEDMN C#C[C@H](NCCn1c(C)csc1=O)[C@@H]1CCCO1 ZINC000775789015 582038207 /nfs/dbraw/zinc/03/82/07/582038207.db2.gz AFBYKGGYGOKGGN-RYUDHWBXSA-N 0 1 266.366 0.989 20 30 CCEDMN C#C[C@H](N[C@@H]1CCN(CC(F)(F)F)C1=O)[C@@H]1CCCO1 ZINC000775792742 582038756 /nfs/dbraw/zinc/03/87/56/582038756.db2.gz ADMIRRTXBBRGPB-AXFHLTTASA-N 0 1 290.285 0.920 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)N1CCN(CC)CC1)[C@H]1CCCO1 ZINC000775793487 582039105 /nfs/dbraw/zinc/03/91/05/582039105.db2.gz DFKJUJMMAXTPEH-QLFBSQMISA-N 0 1 293.411 0.309 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)N1CCN(CC)CC1)[C@@H]1CCCO1 ZINC000775793486 582039113 /nfs/dbraw/zinc/03/91/13/582039113.db2.gz DFKJUJMMAXTPEH-KKUMJFAQSA-N 0 1 293.411 0.309 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@@H](CC(=O)[O-])C1)C1CC1 ZINC000817727978 597081183 /nfs/dbraw/zinc/08/11/83/597081183.db2.gz PDDVZBAEYOGBOK-NHYWBVRUSA-N 0 1 293.367 0.982 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000817727792 597083470 /nfs/dbraw/zinc/08/34/70/597083470.db2.gz JWGUESKWPBXKLX-NEPJUHHUSA-N 0 1 281.356 0.934 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC000805032465 612985079 /nfs/dbraw/zinc/98/50/79/612985079.db2.gz MELJSWBBACHXDB-WCQYABFASA-N 0 1 270.398 0.802 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC000868497078 612986246 /nfs/dbraw/zinc/98/62/46/612986246.db2.gz PTIHBSWNNUDHEY-CYBMUJFWSA-N 0 1 267.373 0.618 20 30 CCEDMN COc1cc(C(C)=NNCCO)cc([N+](=O)[O-])c1O ZINC000814942482 617173481 /nfs/dbraw/zinc/17/34/81/617173481.db2.gz DKGSEDRQBYMTIX-UHFFFAOYSA-N 0 1 269.257 0.615 20 30 CCEDMN N#CCSCC(=O)OC[C@@H]1CCC[N@H+]1CC(=O)[O-] ZINC000821324336 598069051 /nfs/dbraw/zinc/06/90/51/598069051.db2.gz ALFVVFKTRCKNBO-VIFPVBQESA-N 0 1 272.326 0.335 20 30 CCEDMN N#CCSCC(=O)OC[C@@H]1CCC[N@@H+]1CC(=O)[O-] ZINC000821324336 598069055 /nfs/dbraw/zinc/06/90/55/598069055.db2.gz ALFVVFKTRCKNBO-VIFPVBQESA-N 0 1 272.326 0.335 20 30 CCEDMN N#CC[N@H+]1CCC[C@H]1[C@H]1CCCN(C(=O)c2nnc[n-]2)C1 ZINC000963377135 649910863 /nfs/dbraw/zinc/91/08/63/649910863.db2.gz FUOBGSVMLASWBT-RYUDHWBXSA-N 0 1 288.355 0.645 20 30 CCEDMN N#CC[N@@H+]1CCC[C@H]1[C@H]1CCCN(C(=O)c2nnc[n-]2)C1 ZINC000963377135 649910866 /nfs/dbraw/zinc/91/08/66/649910866.db2.gz FUOBGSVMLASWBT-RYUDHWBXSA-N 0 1 288.355 0.645 20 30 CCEDMN N#CCNC(=O)C[N@H+]1[C@H](C(=O)[O-])C[C@@H]2CCCC[C@@H]21 ZINC000227221676 599826688 /nfs/dbraw/zinc/82/66/88/599826688.db2.gz ZHOZIXBLOBKURZ-DCAQKATOSA-N 0 1 265.313 0.344 20 30 CCEDMN C[C@H](C[N@@H+](C)CC(=O)N(CCC#N)CCC#N)C(=O)[O-] ZINC000042706488 600369208 /nfs/dbraw/zinc/36/92/08/600369208.db2.gz HPXUVRAJTKQQIP-LLVKDONJSA-N 0 1 280.328 0.295 20 30 CCEDMN C#CCCN1CCN(C[C@H](O)C[C@@](C)(O)C2CC2)CC1 ZINC000878314686 613011355 /nfs/dbraw/zinc/01/13/55/613011355.db2.gz ZSQLUFRODDPJEF-HZPDHXFCSA-N 0 1 280.412 0.539 20 30 CCEDMN C#CC1(F)CN(C(=O)NC[C@@H]2CN(CC(C)C)CCO2)C1 ZINC000883075740 612947389 /nfs/dbraw/zinc/94/73/89/612947389.db2.gz KQUGZULDPVZCSU-CYBMUJFWSA-N 0 1 297.374 0.710 20 30 CCEDMN C#CCSCC(=O)NC[C@H](C)CN1CC[NH+](C)CC1 ZINC000896708964 613012301 /nfs/dbraw/zinc/01/23/01/613012301.db2.gz XNFGGGCFPLEDAC-ZDUSSCGKSA-N 0 1 283.441 0.353 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@H+]2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000819095751 601958885 /nfs/dbraw/zinc/95/88/85/601958885.db2.gz KIYWZTLPULEEKP-CHWSQXEVSA-N 0 1 260.293 0.998 20 30 CCEDMN C#CCSCCNC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000838487102 613016643 /nfs/dbraw/zinc/01/66/43/613016643.db2.gz WHDJXXKUIDOKFC-BETUJISGSA-N 0 1 299.440 0.449 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@@H+](C)CC(C)(C)CNC(=O)[O-] ZINC000823654896 603626531 /nfs/dbraw/zinc/62/65/31/603626531.db2.gz NLAOMSLFAMENPF-NSHDSACASA-N 0 1 298.387 0.973 20 30 CCEDMN C[C@@H]1CCC[N@H+](CC(=O)NCCC#N)[C@H]1CNC(=O)[O-] ZINC000825923907 603729472 /nfs/dbraw/zinc/72/94/72/603729472.db2.gz AGXFCPSFMRYJDZ-MNOVXSKESA-N 0 1 282.344 0.384 20 30 CCEDMN C[C@@H]1CCC[N@@H+](CC(=O)NCCC#N)[C@H]1CNC(=O)[O-] ZINC000825923907 603729475 /nfs/dbraw/zinc/72/94/75/603729475.db2.gz AGXFCPSFMRYJDZ-MNOVXSKESA-N 0 1 282.344 0.384 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NC2CCO[C@@H]2C)o1 ZINC000826322917 607653673 /nfs/dbraw/zinc/65/36/73/607653673.db2.gz HKTBRGUEJLTKEE-RXMQYKEDSA-N 0 1 263.261 0.740 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CN(CC#N)CC[C@@H]2C)[nH]n1 ZINC000965890821 649939011 /nfs/dbraw/zinc/93/90/11/649939011.db2.gz MRRBZVQMEWAQRI-GXFFZTMASA-N 0 1 275.356 0.611 20 30 CCEDMN CS(=O)(=O)[C@H]1C[C@H](NCc2cccc(C#N)n2)C1 ZINC000895687923 617182742 /nfs/dbraw/zinc/18/27/42/617182742.db2.gz FBOKSLQBTSOAMW-HAQNSBGRSA-N 0 1 265.338 0.618 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000875929745 613035943 /nfs/dbraw/zinc/03/59/43/613035943.db2.gz UKCKOHIGCIHDGX-WPRPVWTQSA-N 0 1 265.317 0.512 20 30 CCEDMN C#CC1(O)CCN(C[C@H]2CN(C3CC3)C(=O)O2)CC1 ZINC000931151906 612952575 /nfs/dbraw/zinc/95/25/75/612952575.db2.gz ZEMHZYUVKSZGKB-LBPRGKRZSA-N 0 1 264.325 0.430 20 30 CCEDMN N#Cc1cccc([C@@H](C(=O)[O-])[N@H+]2CC[C@H](CO)C2)c1 ZINC000833074327 604767880 /nfs/dbraw/zinc/76/78/80/604767880.db2.gz VQMGXDOEUTWPMI-AAEUAGOBSA-N 0 1 260.293 0.998 20 30 CCEDMN CC(C)[N@@H+](CCC(=O)N(C)CCC#N)CC(=O)[O-] ZINC000820019861 604844104 /nfs/dbraw/zinc/84/41/04/604844104.db2.gz PRQHAVKJHKPWNS-UHFFFAOYSA-N 0 1 255.318 0.544 20 30 CCEDMN CC(C)C[N@H+](CCC#N)CCc1cn(CC(=O)[O-])nn1 ZINC000833487861 604897340 /nfs/dbraw/zinc/89/73/40/604897340.db2.gz KNGYUESSHVGHJC-UHFFFAOYSA-N 0 1 279.344 0.777 20 30 CCEDMN CC(C)C[N@@H+](CCC#N)CCc1cn(CC(=O)[O-])nn1 ZINC000833487861 604897344 /nfs/dbraw/zinc/89/73/44/604897344.db2.gz KNGYUESSHVGHJC-UHFFFAOYSA-N 0 1 279.344 0.777 20 30 CCEDMN N#CCC1CC[NH+](CN2C[C@@H](NC(=O)[O-])CC2=O)CC1 ZINC000833970027 605996876 /nfs/dbraw/zinc/99/68/76/605996876.db2.gz ZQLZZFZUVQHDCT-NSHDSACASA-N 0 1 280.328 0.438 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC000888251996 613073243 /nfs/dbraw/zinc/07/32/43/613073243.db2.gz VDTZGRKYLUOFJK-UONOGXRCSA-N 0 1 278.400 0.287 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(Cn2cccn2)cc1 ZINC000912460074 612956568 /nfs/dbraw/zinc/95/65/68/612956568.db2.gz ZFQPFPSKJAYNFC-UHFFFAOYSA-N 0 1 282.347 0.770 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)c1ccc(-n2cncn2)cc1 ZINC000912091019 612956817 /nfs/dbraw/zinc/95/68/17/612956817.db2.gz MRXTWVZTAFORSV-GFCCVEGCSA-N 0 1 283.335 0.667 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnnc(C)c2)C1 ZINC000971418902 613096962 /nfs/dbraw/zinc/09/69/62/613096962.db2.gz VHZKWRIJXQYSHN-ZDUSSCGKSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nn(C)cc2Cl)C1 ZINC000971593051 613097134 /nfs/dbraw/zinc/09/71/34/613097134.db2.gz SOVISDNEGLKLKE-JTQLQIEISA-N 0 1 280.759 0.853 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(CC)[C@@H](C)CS(C)(=O)=O ZINC000876662536 613387161 /nfs/dbraw/zinc/38/71/61/613387161.db2.gz NKBLCNVMUZUYSQ-VHSXEESVSA-N 0 1 296.820 0.738 20 30 CCEDMN C#CCC(CC#C)C(=O)NCc1nc(CSC)n[nH]1 ZINC000899473942 612967360 /nfs/dbraw/zinc/96/73/60/612967360.db2.gz UQBWFZWFUGHNDX-UHFFFAOYSA-N 0 1 276.365 0.947 20 30 CCEDMN C#CCC(CC#C)C(=O)NCc1nnc(CSC)[nH]1 ZINC000899473942 612967361 /nfs/dbraw/zinc/96/73/61/612967361.db2.gz UQBWFZWFUGHNDX-UHFFFAOYSA-N 0 1 276.365 0.947 20 30 CCEDMN COc1ccc(/C=C\C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834493498 617221524 /nfs/dbraw/zinc/22/15/24/617221524.db2.gz DVAWUWVWJZORRF-LAHFUMORSA-N 0 1 271.320 0.936 20 30 CCEDMN C#CCC1(NC(=O)NCCCc2nc[nH]n2)CCC1 ZINC000923126791 612968897 /nfs/dbraw/zinc/96/88/97/612968897.db2.gz CUEAOMYQZQSJCN-UHFFFAOYSA-N 0 1 261.329 0.983 20 30 CCEDMN C#CCOCCC(=O)NCCN1CCSCC1 ZINC000755895219 612979512 /nfs/dbraw/zinc/97/95/12/612979512.db2.gz XPNVSUCBLWOQEJ-UHFFFAOYSA-N 0 1 256.371 0.191 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1F ZINC000834510415 617232911 /nfs/dbraw/zinc/23/29/11/617232911.db2.gz AQGQOCKUZZKXQF-GXSJLCMTSA-N 0 1 263.272 0.676 20 30 CCEDMN COc1ccc(F)cc1CC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834500016 617265409 /nfs/dbraw/zinc/26/54/09/617265409.db2.gz PRJNQQKRBIPBLT-ZYHUDNBSSA-N 0 1 277.299 0.605 20 30 CCEDMN COc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1[N+](=O)[O-] ZINC000834500753 617292941 /nfs/dbraw/zinc/29/29/41/617292941.db2.gz WUYFBEWENYRKTM-WCBMZHEXSA-N 0 1 290.279 0.445 20 30 CCEDMN COc1cccc(OC)c1CC(=O)NCC#CCN(C)C ZINC000913519557 617305823 /nfs/dbraw/zinc/30/58/23/617305823.db2.gz FMJXTRJDYGSGHA-UHFFFAOYSA-N 0 1 290.363 0.928 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000840503209 612965440 /nfs/dbraw/zinc/96/54/40/612965440.db2.gz KTIVVUHKPGDHIE-GFCCVEGCSA-N 0 1 280.368 0.599 20 30 CCEDMN C#CCC(C)(C)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000862649034 612965635 /nfs/dbraw/zinc/96/56/35/612965635.db2.gz OPFZCDSFXMCFFI-LBPRGKRZSA-N 0 1 279.384 0.492 20 30 CCEDMN C#CCCCNC(=O)C(=O)N1CCC(c2cnc[nH]2)CC1 ZINC000806913509 612979116 /nfs/dbraw/zinc/97/91/16/612979116.db2.gz YGCCVMHAFBNACJ-UHFFFAOYSA-N 0 1 288.351 0.645 20 30 CCEDMN C#CCOCCN1CCSC[C@H]1CC(=O)OC ZINC000851814320 612984345 /nfs/dbraw/zinc/98/43/45/612984345.db2.gz MMQSDWQUCZZTDB-LLVKDONJSA-N 0 1 257.355 0.617 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1[C@H](C)CN(C)[C@@H](C)[C@H]1C ZINC000844646306 613001035 /nfs/dbraw/zinc/00/10/35/613001035.db2.gz KYCSLFADIOYZRR-YVECIDJPSA-N 0 1 252.358 0.964 20 30 CCEDMN C#CCO[C@H](C)C(=O)OC[C@@H]1CN(C(C)C)CCO1 ZINC000835297560 613004198 /nfs/dbraw/zinc/00/41/98/613004198.db2.gz LVPPGOMGZYSAIT-OLZOCXBDSA-N 0 1 269.341 0.677 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2c[nH]c(C)cc2=O)CC1 ZINC000983586309 613005499 /nfs/dbraw/zinc/00/54/99/613005499.db2.gz PLUJGVUFVSSIHT-UHFFFAOYSA-N 0 1 287.363 0.855 20 30 CCEDMN C#CCCN1CCN(C(=O)c2cc(CC)[nH]n2)CC1 ZINC000127881322 613010819 /nfs/dbraw/zinc/01/08/19/613010819.db2.gz COZUINGPWBGQSH-UHFFFAOYSA-N 0 1 260.341 0.753 20 30 CCEDMN C#CCCN1CCN(Cc2cnc(OC)nc2C)CC1 ZINC000799146839 613011947 /nfs/dbraw/zinc/01/19/47/613011947.db2.gz HZLBCTLKOUJXOP-UHFFFAOYSA-N 0 1 274.368 0.935 20 30 CCEDMN C#CCC[NH+]1CCN(c2ncc(C(=O)[O-])c(C)n2)CC1 ZINC000910345409 613012256 /nfs/dbraw/zinc/01/22/56/613012256.db2.gz MUFKSIKTAUXGLH-UHFFFAOYSA-N 0 1 274.324 0.629 20 30 CCEDMN COc1cccc2c(C(=O)n3ncc(C#N)c3N)n[nH]c21 ZINC000809626163 617311337 /nfs/dbraw/zinc/31/13/37/617311337.db2.gz FIUOAQTVJFJPKI-UHFFFAOYSA-N 0 1 282.263 0.910 20 30 CCEDMN C#CC[C@@H]1C(=O)N(c2ncnc3c2cnn3C)N=C1C ZINC000899347488 613043378 /nfs/dbraw/zinc/04/33/78/613043378.db2.gz WHQBQWJMYUJRBM-VIFPVBQESA-N 0 1 268.280 0.725 20 30 CCEDMN C#CCCOC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000919982224 613050623 /nfs/dbraw/zinc/05/06/23/613050623.db2.gz RJXQEBCPLYPFAX-RYUDHWBXSA-N 0 1 253.346 0.370 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000799075456 613063605 /nfs/dbraw/zinc/06/36/05/613063605.db2.gz CHVWPOZUZVYOCX-ZDUSSCGKSA-N 0 1 284.319 0.976 20 30 CCEDMN C#CCN(C(=O)NCc1n[nH]c(=O)n1C)C(C)C ZINC000923877690 613067701 /nfs/dbraw/zinc/06/77/01/613067701.db2.gz CYFICTMVSRFWKK-UHFFFAOYSA-N 0 1 251.290 0.074 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CCC[N@@H+]1C(C)C)C(=O)[O-] ZINC000910049129 613069430 /nfs/dbraw/zinc/06/94/30/613069430.db2.gz TUXFWGXADJFXKR-WDEREUQCSA-N 0 1 252.314 0.452 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)N[C@@H](C)CN2CCN(C)CC2)C1 ZINC000888191281 613073511 /nfs/dbraw/zinc/07/35/11/613073511.db2.gz ICTDBZMNFUGKER-GJZGRUSLSA-N 0 1 292.427 0.677 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)NCc2n[nH]c(COC)n2)C1 ZINC000889345165 613073729 /nfs/dbraw/zinc/07/37/29/613073729.db2.gz FIQWNPFSKTTYMY-JTQLQIEISA-N 0 1 277.328 0.506 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)NCc2nnc(COC)[nH]2)C1 ZINC000889345165 613073731 /nfs/dbraw/zinc/07/37/31/613073731.db2.gz FIQWNPFSKTTYMY-JTQLQIEISA-N 0 1 277.328 0.506 20 30 CCEDMN C#CCn1cc(CNC(=O)Cc2n[nH]c3c2CCCC3)cn1 ZINC000834612409 613077073 /nfs/dbraw/zinc/07/70/73/613077073.db2.gz QRJQNUDZWBXHSO-UHFFFAOYSA-N 0 1 297.362 0.977 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)Cc2c[nH]cn2)n1 ZINC000907838373 613078220 /nfs/dbraw/zinc/07/82/20/613078220.db2.gz OJPWEFCCGNDZBN-UHFFFAOYSA-N 0 1 271.324 0.831 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2ccon2)C1 ZINC000971942691 613080619 /nfs/dbraw/zinc/08/06/19/613080619.db2.gz IHNDFSARAYBEIS-CYBMUJFWSA-N 0 1 261.325 0.773 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@H](C)N2CCCC2=O)C1 ZINC000972714438 613080708 /nfs/dbraw/zinc/08/07/08/613080708.db2.gz UDJHNUYTEZADJJ-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC000972016397 613082537 /nfs/dbraw/zinc/08/25/37/613082537.db2.gz KRDSJCATWBXIKD-KGLIPLIRSA-N 0 1 290.367 0.274 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC000972718936 613083008 /nfs/dbraw/zinc/08/30/08/613083008.db2.gz REERXEAKKBSIOC-TZMCWYRMSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC)N2CCCC2=O)C1 ZINC000980439325 613083579 /nfs/dbraw/zinc/08/35/79/613083579.db2.gz DSKQBOZXHVSGRE-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC000980447360 613083723 /nfs/dbraw/zinc/08/37/23/613083723.db2.gz DTHZOWJOKICJTF-GXTWGEPZSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@]2(CC)CCNC2=O)C1 ZINC000971717538 613084408 /nfs/dbraw/zinc/08/44/08/613084408.db2.gz YRLUPVVTOMLMSL-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC000971777245 613084518 /nfs/dbraw/zinc/08/45/18/613084518.db2.gz CNPPLEFCYZFHGH-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@]2(F)CCOC2)C1 ZINC000972167621 613084704 /nfs/dbraw/zinc/08/47/04/613084704.db2.gz HRHHMJDCMGXWRL-YPMHNXCESA-N 0 1 254.305 0.281 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc3n[nH]nc3c2)C1 ZINC000980368878 613086656 /nfs/dbraw/zinc/08/66/56/613086656.db2.gz GBPAABXUFCDMTD-GFCCVEGCSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc(C)nc2OC)C1 ZINC000971649432 613087585 /nfs/dbraw/zinc/08/75/85/613087585.db2.gz XHVJTFPCHYRGET-GFCCVEGCSA-N 0 1 288.351 0.573 20 30 CCEDMN C#C[C@@H](NC(=O)C(C)(C)c1cnc[nH]1)[C@@H]1CCCO1 ZINC000857182441 613091302 /nfs/dbraw/zinc/09/13/02/613091302.db2.gz WUUCVUOFROQQBZ-MNOVXSKESA-N 0 1 261.325 0.984 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC000972386560 613091873 /nfs/dbraw/zinc/09/18/73/613091873.db2.gz PVXISCVJTCJQRM-SOUVJXGZSA-N 0 1 286.379 0.690 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC000972718935 613092149 /nfs/dbraw/zinc/09/21/49/613092149.db2.gz REERXEAKKBSIOC-OCCSQVGLSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC000972680019 613092783 /nfs/dbraw/zinc/09/27/83/613092783.db2.gz HFDHCFRHXUDCGQ-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC000980289125 613093062 /nfs/dbraw/zinc/09/30/62/613093062.db2.gz MCTZPTSENKKIFX-QWHCGFSZSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(OC)ncn2)C1 ZINC000971542937 613094421 /nfs/dbraw/zinc/09/44/21/613094421.db2.gz PNDAVPUGTKWSCM-NSHDSACASA-N 0 1 274.324 0.265 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc3[nH]cnc3c2)C1 ZINC000971610974 613096073 /nfs/dbraw/zinc/09/60/73/613096073.db2.gz PJNGDWOZSHYXAT-LBPRGKRZSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn3cccnc3n2)C1 ZINC000971860787 613096418 /nfs/dbraw/zinc/09/64/18/613096418.db2.gz GAOBTLLJGGCVDV-LBPRGKRZSA-N 0 1 283.335 0.509 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1cc(N)ccn1)[C@@H]1CCCO1 ZINC000891813209 613096502 /nfs/dbraw/zinc/09/65/02/613096502.db2.gz IRYWJENVLXWZMM-OLZOCXBDSA-N 0 1 274.324 0.644 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn(CCF)c2)C1 ZINC000971833202 613096913 /nfs/dbraw/zinc/09/69/13/613096913.db2.gz SGRNHJOJPPTARJ-ZDUSSCGKSA-N 0 1 278.331 0.632 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2snnc2CC)C1 ZINC000971884838 613097659 /nfs/dbraw/zinc/09/76/59/613097659.db2.gz BZIVKXKTCPSNPW-JTQLQIEISA-N 0 1 278.381 0.880 20 30 CCEDMN C#CCN(CC#CC)C(=O)NCc1nc(CSC)n[nH]1 ZINC000891090276 613100050 /nfs/dbraw/zinc/10/00/50/613100050.db2.gz BDVXDHTYPPGHPU-UHFFFAOYSA-N 0 1 291.380 0.836 20 30 CCEDMN C#CCN(CC#CC)C(=O)NCc1nnc(CSC)[nH]1 ZINC000891090276 613100051 /nfs/dbraw/zinc/10/00/51/613100051.db2.gz BDVXDHTYPPGHPU-UHFFFAOYSA-N 0 1 291.380 0.836 20 30 CCEDMN C#C[C@@H](NS(=O)(=O)c1cc(O)cc(F)c1)[C@@H]1CCCO1 ZINC000867125818 613100282 /nfs/dbraw/zinc/10/02/82/613100282.db2.gz ZHZPLJHPOXMQAR-OLZOCXBDSA-N 0 1 299.323 0.990 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)NCCC(=O)OC)C1 ZINC000847026546 613105922 /nfs/dbraw/zinc/10/59/22/613105922.db2.gz FSGLFLACIPYQGZ-LLVKDONJSA-N 0 1 252.314 0.011 20 30 CCEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)NCCCOC)C1 ZINC000847024843 613106857 /nfs/dbraw/zinc/10/68/57/613106857.db2.gz UAWLFJZRSDREQN-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)C1 ZINC000847022140 613107043 /nfs/dbraw/zinc/10/70/43/613107043.db2.gz FRBPMOLJIIVRGH-MJBXVCDLSA-N 0 1 291.395 0.444 20 30 CCEDMN COc1ccccc1OCCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000843462671 617316718 /nfs/dbraw/zinc/31/67/18/617316718.db2.gz RWVBKHKVAPBQSY-NEPJUHHUSA-N 0 1 289.335 0.692 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000861340147 613114169 /nfs/dbraw/zinc/11/41/69/613114169.db2.gz FGDRTMUTKYSIHP-VIFPVBQESA-N 0 1 281.337 0.547 20 30 CCEDMN C#C[C@H](CC)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935629983 613119595 /nfs/dbraw/zinc/11/95/95/613119595.db2.gz BIDFDSZCOKBDRP-LLVKDONJSA-N 0 1 263.341 0.903 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)CCC(N)=O)CC1 ZINC000928821758 613128769 /nfs/dbraw/zinc/12/87/69/613128769.db2.gz NKKFPBUVGHHRIU-UHFFFAOYSA-N 0 1 265.357 0.056 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](N(C)C(C)=O)C2)CC1 ZINC000938669194 613128984 /nfs/dbraw/zinc/12/89/84/613128984.db2.gz FXSIPIIQJSVYPW-OAHLLOKOSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](O)C(F)(F)C2)CC1 ZINC000855448127 613129716 /nfs/dbraw/zinc/12/97/16/613129716.db2.gz LXTROJLEVJPTNS-LBPRGKRZSA-N 0 1 286.322 0.560 20 30 CCEDMN C#CCN1CCC(C(=O)N2[C@H](C)C(=O)N[C@@H](C)[C@@H]2C)CC1 ZINC000911785815 613130023 /nfs/dbraw/zinc/13/00/23/613130023.db2.gz JCHFNYRIXWMFAK-RWMBFGLXSA-N 0 1 291.395 0.456 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1ccc2cc[nH]c2c1 ZINC000905364300 613130291 /nfs/dbraw/zinc/13/02/91/613130291.db2.gz DCZQTKLFGYIKIX-CHWSQXEVSA-N 0 1 274.320 0.491 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2n[nH]c3[nH]c(=O)ccc23)CC1 ZINC000816681363 613131831 /nfs/dbraw/zinc/13/18/31/613131831.db2.gz RYSJWIXDOVDSNS-UHFFFAOYSA-N 0 1 299.334 0.947 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C\Cn2cncn2)CC1 ZINC000928648694 613132240 /nfs/dbraw/zinc/13/22/40/613132240.db2.gz QJTNAJZLQGQTQQ-ARJAWSKDSA-N 0 1 273.340 0.048 20 30 CCEDMN C#CCN1CCC(NC(=O)CCOC[C@@H]2CCCO2)CC1 ZINC000928657346 613132907 /nfs/dbraw/zinc/13/29/07/613132907.db2.gz YEEQWQINVWADQY-HNNXBMFYSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCN1CCC(NC(=O)COc2cccnc2)CC1 ZINC000928658214 613133213 /nfs/dbraw/zinc/13/32/13/613133213.db2.gz MWVGMNXZRZZBLW-UHFFFAOYSA-N 0 1 273.336 0.674 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H](C)CC(=O)OC)CC1 ZINC000928650964 613133492 /nfs/dbraw/zinc/13/34/92/613133492.db2.gz IWTZLEDBEGPAFH-LBPRGKRZSA-N 0 1 280.368 0.790 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cncc(O)c2)CC1 ZINC000927783125 613134612 /nfs/dbraw/zinc/13/46/12/613134612.db2.gz BWXJPVSXAQDLFO-UHFFFAOYSA-N 0 1 288.351 0.684 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2C[C@H](C)O[C@H]2C)CC1 ZINC000923615581 613135008 /nfs/dbraw/zinc/13/50/08/613135008.db2.gz HAQWFFBPPVEORJ-SGMGOOAPSA-N 0 1 279.384 0.949 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)c2cn(C)nn2)CC1 ZINC000925955262 613135554 /nfs/dbraw/zinc/13/55/54/613135554.db2.gz DHRCBGZJYTURHB-LLVKDONJSA-N 0 1 290.371 0.273 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CC(=O)N(CCC)C2)CC1 ZINC000928649701 613135704 /nfs/dbraw/zinc/13/57/04/613135704.db2.gz QFEOBNXXLIQEGW-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCC[C@@H](C(N)=O)C2)CC1 ZINC000928653014 613135872 /nfs/dbraw/zinc/13/58/72/613135872.db2.gz VKVASJADDLWIFA-CHWSQXEVSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@]2(C)CCCO2)CC1 ZINC000928656107 613136492 /nfs/dbraw/zinc/13/64/92/613136492.db2.gz LENWZEZPQRAHHS-CQSZACIVSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccn(C)c(=O)c2)CC1 ZINC000928657059 613137455 /nfs/dbraw/zinc/13/74/55/613137455.db2.gz OBUQDMWAOZTYCX-UHFFFAOYSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ncn(C(C)(C)C)n2)CC1 ZINC000928650509 613138235 /nfs/dbraw/zinc/13/82/35/613138235.db2.gz DBANFGIAUKCPGA-UHFFFAOYSA-N 0 1 289.383 0.861 20 30 CCEDMN C#CCN1CCC(Nc2cc(C(N)=O)nc(Cl)n2)CC1 ZINC000895810535 613138658 /nfs/dbraw/zinc/13/86/58/613138658.db2.gz FNOVVTKOPFVYMO-UHFFFAOYSA-N 0 1 293.758 0.738 20 30 CCEDMN C#CCN1CCC(Nc2ccc(C(=O)OC)nn2)CC1 ZINC000895807310 613138732 /nfs/dbraw/zinc/13/87/32/613138732.db2.gz NXYLQKVHYMJVCB-UHFFFAOYSA-N 0 1 274.324 0.773 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@H](C)OC)CCO2 ZINC000949040734 613140124 /nfs/dbraw/zinc/14/01/24/613140124.db2.gz BAVHCKFWWWGXEM-ZDUSSCGKSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CCc3ncccn3)C2)C1 ZINC000982076518 613140677 /nfs/dbraw/zinc/14/06/77/613140677.db2.gz FWHVZWRCERTQBM-UHFFFAOYSA-N 0 1 298.390 0.967 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cn3cc(C)cn3)C2)C1 ZINC000981651873 613141321 /nfs/dbraw/zinc/14/13/21/613141321.db2.gz NHUDRHDRKWJUNS-UHFFFAOYSA-N 0 1 286.379 0.749 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)C2)C1 ZINC000981951321 613142767 /nfs/dbraw/zinc/14/27/67/613142767.db2.gz SBANUJQIDHDOCD-WDNDVIMCSA-N 0 1 274.364 0.436 20 30 CCEDMN C#C[C@H](NC(=O)N[C@@H]1CCc2nc[nH]c2C1)[C@@H]1CCCO1 ZINC000811905624 613143292 /nfs/dbraw/zinc/14/32/92/613143292.db2.gz HROYBJOSBXYLPO-SUNKGSAMSA-N 0 1 288.351 0.747 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnccn3)C2)C1 ZINC000981592821 613144749 /nfs/dbraw/zinc/14/47/49/613144749.db2.gz KGMAISJAJWLLBW-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3n[nH]cc3F)C2)C1 ZINC000981993831 613145564 /nfs/dbraw/zinc/14/55/64/613145564.db2.gz IOOOXFYXQBZOPQ-UHFFFAOYSA-N 0 1 276.315 0.720 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3nccc(C)n3)C2)C1 ZINC000981740649 613145649 /nfs/dbraw/zinc/14/56/49/613145649.db2.gz WMAQAJZFBRFQNY-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CN(C)C(=O)N1 ZINC000968982339 613146179 /nfs/dbraw/zinc/14/61/79/613146179.db2.gz HICVFFIRGGGSKR-OLZOCXBDSA-N 0 1 292.383 0.004 20 30 CCEDMN C#C[C@H](NC(=O)[C@@]1(C)C[C@H](O)CN1C)C(C)(C)C ZINC000908224675 613146676 /nfs/dbraw/zinc/14/66/76/613146676.db2.gz JOBSMPWNUDZAFE-COPLHBTASA-N 0 1 252.358 0.606 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1nnn(C)c1C ZINC000968797234 613150175 /nfs/dbraw/zinc/15/01/75/613150175.db2.gz ULOPETBZVTVHHR-ZDUSSCGKSA-N 0 1 289.383 0.731 20 30 CCEDMN C#C[C@H](N[C@H]1C[C@@H](OCC)[C@@H]1OC)[C@@H]1CCCO1 ZINC000893675174 613152700 /nfs/dbraw/zinc/15/27/00/613152700.db2.gz DQGUYQGNTVIQBI-QSLWVIQJSA-N 0 1 253.342 0.949 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)NCc2cc(C)[nH]n2)C1 ZINC000884163852 613169778 /nfs/dbraw/zinc/16/97/78/613169778.db2.gz RACKVXISLURCLT-GFCCVEGCSA-N 0 1 275.356 0.615 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CN3CCN2CCC3)nc1 ZINC000854353715 613179986 /nfs/dbraw/zinc/17/99/86/613179986.db2.gz DGPPIKIIMDVFNZ-CQSZACIVSA-N 0 1 284.363 0.183 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2nnc([C@H]3CCOC3)[nH]2)cn1 ZINC000898857298 613182102 /nfs/dbraw/zinc/18/21/02/613182102.db2.gz ROEUHJXRZLWMLE-NSHDSACASA-N 0 1 297.318 0.615 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2n[nH]c([C@H]3CCOC3)n2)cn1 ZINC000898857298 613182104 /nfs/dbraw/zinc/18/21/04/613182104.db2.gz ROEUHJXRZLWMLE-NSHDSACASA-N 0 1 297.318 0.615 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N2CCNC[C@@H]2C)c1 ZINC000842033575 613199133 /nfs/dbraw/zinc/19/91/33/613199133.db2.gz YATRFICQAOWFJG-LBPRGKRZSA-N 0 1 285.347 0.104 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCc1nc(CSC)n[nH]1 ZINC000899474253 613200474 /nfs/dbraw/zinc/20/04/74/613200474.db2.gz ZPWJAKLFJNVPMM-JTQLQIEISA-N 0 1 293.396 0.382 20 30 CCEDMN C#Cc1cccc(CNC(=O)NCc2n[nH]c(COC)n2)c1 ZINC000854122593 613200783 /nfs/dbraw/zinc/20/07/83/613200783.db2.gz QGOBOWFBYWAVQQ-UHFFFAOYSA-N 0 1 299.334 0.932 20 30 CCEDMN C#Cc1cccc(CNC(=O)NCc2nnc(COC)[nH]2)c1 ZINC000854122593 613200784 /nfs/dbraw/zinc/20/07/84/613200784.db2.gz QGOBOWFBYWAVQQ-UHFFFAOYSA-N 0 1 299.334 0.932 20 30 CCEDMN C#CCN1CCN(C(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)CC1 ZINC000878449448 613207881 /nfs/dbraw/zinc/20/78/81/613207881.db2.gz YLINHYGXZTXMKX-SWLSCSKDSA-N 0 1 286.379 0.853 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC000966557294 613218966 /nfs/dbraw/zinc/21/89/66/613218966.db2.gz CJYULUVUOQJLBN-IUODEOHRSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC000967282879 613219183 /nfs/dbraw/zinc/21/91/83/613219183.db2.gz CJGCVSBQASWXIM-IUODEOHRSA-N 0 1 288.395 0.960 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC000966839041 613219215 /nfs/dbraw/zinc/21/92/15/613219215.db2.gz CNRHYXRSJFAZDF-TZMCWYRMSA-N 0 1 288.395 0.974 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965911437 613221258 /nfs/dbraw/zinc/22/12/58/613221258.db2.gz SUMLVEWFNGNDIQ-MWLCHTKSSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965911437 613221261 /nfs/dbraw/zinc/22/12/61/613221261.db2.gz SUMLVEWFNGNDIQ-MWLCHTKSSA-N 0 1 276.340 0.497 20 30 CCEDMN C(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1cnc2ncccn12 ZINC000755813244 613223467 /nfs/dbraw/zinc/22/34/67/613223467.db2.gz BQYUJKBDNKPCMW-VXGBXAGGSA-N 0 1 283.339 0.923 20 30 CCEDMN c1c(CN=Nc2ccncn2)nnn1C[C@@H]1CCOC1 ZINC000872380294 613224140 /nfs/dbraw/zinc/22/41/40/613224140.db2.gz FRXJTACDSKMZAM-JTQLQIEISA-N 0 1 273.300 0.551 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(OC)n(C)n2)C[C@@H]1C ZINC000947533987 613233862 /nfs/dbraw/zinc/23/38/62/613233862.db2.gz WZWVYVQQGMOQCE-NWDGAFQWSA-N 0 1 290.367 0.645 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(CCF)c2)[C@H](C)C1 ZINC000942208461 613241951 /nfs/dbraw/zinc/24/19/51/613241951.db2.gz PBVGXCPUDQUMLG-TZMCWYRMSA-N 0 1 292.358 0.926 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC000950985854 613243553 /nfs/dbraw/zinc/24/35/53/613243553.db2.gz FHRWHJPZSQGIHJ-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nn(CC)nc2C)C[C@H]1C ZINC000948100100 613244777 /nfs/dbraw/zinc/24/47/77/613244777.db2.gz PFVMMLJIPUWZCJ-DGCLKSJQSA-N 0 1 289.383 0.822 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cn2ccc(C)n2)C1 ZINC000968340336 613247113 /nfs/dbraw/zinc/24/71/13/613247113.db2.gz NRFFBYCIYCWQGM-JSGCOSHPSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000967407494 613251678 /nfs/dbraw/zinc/25/16/78/613251678.db2.gz GPWIYTSPLOVKHH-GXTWGEPZSA-N 0 1 287.363 0.790 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967718845 613254903 /nfs/dbraw/zinc/25/49/03/613254903.db2.gz FQJTYLVDPVTEOJ-WDEREUQCSA-N 0 1 276.340 0.199 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2COCCO2)C(C)(C)C1 ZINC000940589704 613263190 /nfs/dbraw/zinc/26/31/90/613263190.db2.gz XMPDVKPPDXGHGF-OLZOCXBDSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000940785272 613263619 /nfs/dbraw/zinc/26/36/19/613263619.db2.gz IVMKNWBGIDBGJZ-STQMWFEESA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc3n[nH]nc32)[C@H](C)C1 ZINC000942434444 613272751 /nfs/dbraw/zinc/27/27/51/613272751.db2.gz XXBNJBPASQJRGT-PWSUYJOCSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn[nH]c2)C(C)(C)C1 ZINC000940597514 613274575 /nfs/dbraw/zinc/27/45/75/613274575.db2.gz FYCHAJZKOGLRTP-LBPRGKRZSA-N 0 1 260.341 0.873 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2OC)C[C@H]1C ZINC000947971035 613275792 /nfs/dbraw/zinc/27/57/92/613275792.db2.gz GWCVMTSJULUCCN-NEPJUHHUSA-N 0 1 290.367 0.645 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnnc(C)c2)[C@@H](C)C1 ZINC000942000321 613275952 /nfs/dbraw/zinc/27/59/52/613275952.db2.gz HCDAPWNEJPLIQD-FZMZJTMJSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nc3ncccn3n2)[C@@H](C)C1 ZINC000943728522 613277087 /nfs/dbraw/zinc/27/70/87/613277087.db2.gz ZRZOGOQXYZCMEY-RYUDHWBXSA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2n[nH]cc2F)C[C@H]1C ZINC000947699520 613277190 /nfs/dbraw/zinc/27/71/90/613277190.db2.gz YUBJNUTVNZXROY-ZJUUUORDSA-N 0 1 264.304 0.765 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nc3ncccn3n2)[C@H](C)C1 ZINC000943728520 613277362 /nfs/dbraw/zinc/27/73/62/613277362.db2.gz ZRZOGOQXYZCMEY-NEPJUHHUSA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2n[nH]cc2F)[C@@H](C)C1 ZINC000942599537 613277555 /nfs/dbraw/zinc/27/75/55/613277555.db2.gz BEDMVUJJOTWAOS-ONGXEEELSA-N 0 1 264.304 0.622 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncc(OC)cn2)C[C@@H]1C ZINC000947533941 613277894 /nfs/dbraw/zinc/27/78/94/613277894.db2.gz WEMPLCBSQAXIGE-RYUDHWBXSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2nc(CC)c[nH]2)C(C)(C)C1 ZINC000974686442 613280895 /nfs/dbraw/zinc/28/08/95/613280895.db2.gz ONYWQHMKSUVGDY-CYBMUJFWSA-N 0 1 288.395 0.974 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C(C)(C)C1 ZINC000974840394 613281331 /nfs/dbraw/zinc/28/13/31/613281331.db2.gz ZJRBKKWUFBUDIC-CYBMUJFWSA-N 0 1 287.363 0.790 20 30 CCEDMN C#CC[N@H+]1C[C@@H](NC(=O)c2ccc3nn[n-]c3n2)C(C)(C)C1 ZINC000974646654 613283891 /nfs/dbraw/zinc/28/38/91/613283891.db2.gz WQTBYZAQYKDLAO-GFCCVEGCSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccc3n[nH]nc3n2)C(C)(C)C1 ZINC000974646654 613283892 /nfs/dbraw/zinc/28/38/92/613283892.db2.gz WQTBYZAQYKDLAO-GFCCVEGCSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2nc(CC)oc2C)C1 ZINC000958467374 613287871 /nfs/dbraw/zinc/28/78/71/613287871.db2.gz HQETYQZCLHQCLM-NWDGAFQWSA-N 0 1 291.351 0.201 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)ccc2F)[C@H](O)C1 ZINC000958434027 613288600 /nfs/dbraw/zinc/28/86/00/613288600.db2.gz GJKHMHRNPUWJQY-IUODEOHRSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c(F)cccc2F)[C@H](O)C1 ZINC000958623271 613288633 /nfs/dbraw/zinc/28/86/33/613288633.db2.gz RALGRSWDZYNNRG-ZWNOBZJWSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C)cc2C)[C@H](O)C1 ZINC000958644545 613288973 /nfs/dbraw/zinc/28/89/73/613288973.db2.gz JQTRLXAFHUDSPF-GDBMZVCRSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cnc3cc[nH]c3c2)[C@H](O)C1 ZINC000958179399 613289928 /nfs/dbraw/zinc/28/99/28/613289928.db2.gz MDIYFVPYYNOWAI-IUODEOHRSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cnn(C(C)C)c2)[C@H](O)C1 ZINC000958583342 613289995 /nfs/dbraw/zinc/28/99/95/613289995.db2.gz HALUPWOFQXOLKU-TZMCWYRMSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2coc(C3CC3)n2)[C@H](O)C1 ZINC000958458954 613290177 /nfs/dbraw/zinc/29/01/77/613290177.db2.gz MCWMEXFIDJYGKT-DGCLKSJQSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccoc2CC)[C@H](O)C1 ZINC000958031435 613290218 /nfs/dbraw/zinc/29/02/18/613290218.db2.gz QEJFFQNWEDBBAT-DGCLKSJQSA-N 0 1 276.336 0.498 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[NH2+][C@@]1(C(=O)[O-])CCOC1 ZINC000833369288 613293445 /nfs/dbraw/zinc/29/34/45/613293445.db2.gz PEMMLOCCLSJYRP-ZDUSSCGKSA-N 0 1 270.329 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2CCCC2)C1 ZINC000957807041 613294096 /nfs/dbraw/zinc/29/40/96/613294096.db2.gz AQESLYBRGHQPQD-STQMWFEESA-N 0 1 250.342 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccccc2Cl)C1 ZINC000958598290 613294927 /nfs/dbraw/zinc/29/49/27/613294927.db2.gz JAIHQOIVKRKKFE-FZMZJTMJSA-N 0 1 292.766 0.996 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc(CC)n2)C1 ZINC000958149262 613294932 /nfs/dbraw/zinc/29/49/32/613294932.db2.gz QARACQFMQPVBAT-WFASDCNBSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2conc2C(C)C)C1 ZINC000958129865 613296277 /nfs/dbraw/zinc/29/62/77/613296277.db2.gz ADKOLJKRWQGVKI-AAEUAGOBSA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ncc(C)cc2C)C1 ZINC000958277111 613296373 /nfs/dbraw/zinc/29/63/73/613296373.db2.gz NLIFKFBCXRBOSD-KBPBESRZSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@@H]2CCOC2)C(C)(C)C1 ZINC000972979691 613297096 /nfs/dbraw/zinc/29/70/96/613297096.db2.gz JIEUTEMMCMDHJC-STQMWFEESA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cn(C)nc2C)C(C)(C)C1 ZINC000974677945 613297750 /nfs/dbraw/zinc/29/77/50/613297750.db2.gz YMVVLINRSUYBIV-AWEZNQCLSA-N 0 1 288.395 0.731 20 30 CCEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2nnn(C)c2C)C1 ZINC000969433724 613301473 /nfs/dbraw/zinc/30/14/73/613301473.db2.gz QZWPOPZFCJVNOQ-SNVBAGLBSA-N 0 1 277.372 0.750 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc3n[nH]cc32)C1 ZINC000958309505 613303373 /nfs/dbraw/zinc/30/33/73/613303373.db2.gz MOVPCCHIGFAMPD-ABAIWWIYSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cn2ccccc2n1 ZINC000960615471 613314434 /nfs/dbraw/zinc/31/44/34/613314434.db2.gz GIWOVVHTUUHQNI-HALDLXJZSA-N 0 1 294.358 0.556 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC000961834683 613316116 /nfs/dbraw/zinc/31/61/16/613316116.db2.gz QYBPROJNMYTGOF-NTASLKFISA-N 0 1 284.363 0.203 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)c1c(C)n[nH]c1C ZINC000962074534 613316441 /nfs/dbraw/zinc/31/64/41/613316441.db2.gz KUYBTBHBVOJRIV-JWFUOXDNSA-N 0 1 286.379 0.810 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCO[C@H]1C(=C)C ZINC000962019834 613317107 /nfs/dbraw/zinc/31/71/07/613317107.db2.gz VKFJDCFASQQBKG-AHDPXTMNSA-N 0 1 274.364 0.647 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]nc1-c1ccoc1 ZINC000961361952 613317581 /nfs/dbraw/zinc/31/75/81/613317581.db2.gz WFBIQSHSMOYOSQ-NHAGDIPZSA-N 0 1 296.330 0.963 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)nc(C)n1 ZINC000962033014 613317945 /nfs/dbraw/zinc/31/79/45/613317945.db2.gz FMAZFIXXUJPRMJ-IMRBUKKESA-N 0 1 270.336 0.387 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2c(cn1)OCCO2 ZINC000960305657 613318756 /nfs/dbraw/zinc/31/87/56/613318756.db2.gz QFOKLWXEZDZHHV-HBYGRHMLSA-N 0 1 299.330 0.146 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2c(n1)OCCO2 ZINC000960776366 613319842 /nfs/dbraw/zinc/31/98/42/613319842.db2.gz QWUKGRYBCSVXEN-YABSGUDNSA-N 0 1 299.330 0.146 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccn2ccnc12 ZINC000962038459 613320082 /nfs/dbraw/zinc/32/00/82/613320082.db2.gz GOZIPHMBKAYXSV-WDNDVIMCSA-N 0 1 280.331 0.628 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2c[nH]nc21 ZINC000961444159 613320295 /nfs/dbraw/zinc/32/02/95/613320295.db2.gz RBVOPKSEUUAEJY-NHAGDIPZSA-N 0 1 280.331 0.856 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(CC(C)C)c1 ZINC000962147680 613321265 /nfs/dbraw/zinc/32/12/65/613321265.db2.gz KKIGMSQXZSPNAK-FICVDOATSA-N 0 1 286.379 0.832 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2ccccc12 ZINC000962062653 613321385 /nfs/dbraw/zinc/32/13/85/613321385.db2.gz UGNPLRUEFMQZDP-NHAGDIPZSA-N 0 1 280.331 0.628 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnnc2ccccc21 ZINC000961878325 613321584 /nfs/dbraw/zinc/32/15/84/613321584.db2.gz JDTYLFFGAKSHEP-FOLVSLTJSA-N 0 1 292.342 0.923 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(CCC)c1C ZINC000962132223 613321593 /nfs/dbraw/zinc/32/15/93/613321593.db2.gz CUXFKTDSEDJENO-FICVDOATSA-N 0 1 286.379 0.895 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C(F)F)c1 ZINC000962143598 613321608 /nfs/dbraw/zinc/32/16/08/613321608.db2.gz LNBUIUIUMNQDMK-URLYPYJESA-N 0 1 280.278 0.571 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1CC ZINC000960690842 613322110 /nfs/dbraw/zinc/32/21/10/613322110.db2.gz LBNHLDQBOZKWGP-NHAGDIPZSA-N 0 1 269.348 0.937 20 30 CCEDMN C#CCNC(=O)CCN1[C@H](C)C(=O)N(C)[C@@H](C)[C@H]1C ZINC000878753249 613325786 /nfs/dbraw/zinc/32/57/86/613325786.db2.gz XTABKRQYZJCBDS-QJPTWQEYSA-N 0 1 265.357 0.065 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)OC(C)(C)C ZINC000839656215 613327600 /nfs/dbraw/zinc/32/76/00/613327600.db2.gz WRWPMIPSKOEIQU-UHFFFAOYSA-N 0 1 297.399 0.925 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@](CO)(C(C)C)C1 ZINC000815231942 613329258 /nfs/dbraw/zinc/32/92/58/613329258.db2.gz OPNNGZXEERMCSB-AWEZNQCLSA-N 0 1 252.358 0.466 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC2=CCCC2)CC1 ZINC000827870403 613329757 /nfs/dbraw/zinc/32/97/57/613329757.db2.gz HKLSZAIGRIIWHV-UHFFFAOYSA-N 0 1 261.369 0.464 20 30 CCEDMN C=C(C)C[N@H+]1C[C@H](O)[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000957975611 613343079 /nfs/dbraw/zinc/34/30/79/613343079.db2.gz RGSKXMVPEQXNIU-MNOVXSKESA-N 0 1 294.355 0.022 20 30 CCEDMN C=C(C)CONC(=O)N[C@@H]1CCN(CCOC)C1 ZINC000845599724 613353526 /nfs/dbraw/zinc/35/35/26/613353526.db2.gz QQQVQNKMTZPJOJ-LLVKDONJSA-N 0 1 257.334 0.514 20 30 CCEDMN C=C(C)CO[N-]C(=O)[C@@H]1CC(=O)N(CC[NH+](C)C)C1 ZINC000846538944 613353789 /nfs/dbraw/zinc/35/37/89/613353789.db2.gz MMVIBTPOOSCMMZ-LLVKDONJSA-N 0 1 269.345 0.020 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NC[C@H]1CCN1C ZINC000922323842 613361906 /nfs/dbraw/zinc/36/19/06/613361906.db2.gz JIDKONPMKLPWSD-UPJWGTAASA-N 0 1 267.373 0.971 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC000847026933 613362123 /nfs/dbraw/zinc/36/21/23/613362123.db2.gz PEMMNOHWLMNLFT-ONGXEEELSA-N 0 1 265.313 0.837 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NC[C@H]1C[C@@H](O)CN1C ZINC000924990953 613362973 /nfs/dbraw/zinc/36/29/73/613362973.db2.gz LNSKSTKHMSYFSL-FDYHWXHSSA-N 0 1 268.357 0.149 20 30 CCEDMN COc1cnc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(F)c1 ZINC000870940985 617330590 /nfs/dbraw/zinc/33/05/90/617330590.db2.gz OWRKGPCBYVSPOP-XVKPBYJWSA-N 0 1 264.260 0.071 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC000969638293 613385450 /nfs/dbraw/zinc/38/54/50/613385450.db2.gz YIXWWBVLUUAEQJ-MNOVXSKESA-N 0 1 285.775 0.408 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2nonc2C)C1 ZINC000970864335 613386024 /nfs/dbraw/zinc/38/60/24/613386024.db2.gz QBSZXZODCWUDFN-SECBINFHSA-N 0 1 270.720 0.935 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cnon2)C[C@H]1C ZINC000939347773 613386394 /nfs/dbraw/zinc/38/63/94/613386394.db2.gz IQABSIKDJADXCN-GMSGAONNSA-N 0 1 270.720 0.872 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N[C@H](C)CS(C)(=O)=O ZINC000876661662 613388372 /nfs/dbraw/zinc/38/83/72/613388372.db2.gz GYUCPMMECOPEJS-HTQZYQBOSA-N 0 1 268.766 0.006 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@@H](C)CCS(C)(=O)=O ZINC000876693037 613390176 /nfs/dbraw/zinc/39/01/76/613390176.db2.gz NTJVZVAWNTUYKY-IUCAKERBSA-N 0 1 282.793 0.396 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN(CCCCO)CC1 ZINC000878259564 613397845 /nfs/dbraw/zinc/39/78/45/613397845.db2.gz HJJROAVYDSLULJ-ZDUSSCGKSA-N 0 1 270.373 0.494 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CC[C@@H](C(=O)N(C)C)C1 ZINC000878709251 613398254 /nfs/dbraw/zinc/39/82/54/613398254.db2.gz IWKYWMZKRYIIOS-MNOVXSKESA-N 0 1 254.330 0.514 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000878745156 613399117 /nfs/dbraw/zinc/39/91/17/613399117.db2.gz VPQLZHBEEOWBIE-MXWKQRLJSA-N 0 1 255.314 0.845 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN(C(C)(C)CO)CC1 ZINC000878248414 613411244 /nfs/dbraw/zinc/41/12/44/613411244.db2.gz YGIDQABHDPEXCJ-GFCCVEGCSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@H]2NC(=O)CC[C@@H]2C1 ZINC000878934420 613412402 /nfs/dbraw/zinc/41/24/02/613412402.db2.gz KMWTXFYPAUBQHN-IJLUTSLNSA-N 0 1 266.341 0.705 20 30 CCEDMN C=CCn1cc(CNC(=O)NC[C@@H]2CCN2CC)nn1 ZINC000883698606 613434734 /nfs/dbraw/zinc/43/47/34/613434734.db2.gz LMGDQMHTZXKMMT-LBPRGKRZSA-N 0 1 278.360 0.358 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC000941450634 613435481 /nfs/dbraw/zinc/43/54/81/613435481.db2.gz AERQMIXVHVXODG-SECBINFHSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CCn1cccc1C(=O)N1CCCN(CCO)CC1 ZINC000981337299 613436757 /nfs/dbraw/zinc/43/67/57/613436757.db2.gz LSEKSSHKXLFXBU-UHFFFAOYSA-N 0 1 277.368 0.814 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn[nH]1 ZINC000976809661 613443777 /nfs/dbraw/zinc/44/37/77/613443777.db2.gz PSIKFJWVMZHTER-JZYVYDRUSA-N 0 1 289.339 0.204 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000878132201 613445272 /nfs/dbraw/zinc/44/52/72/613445272.db2.gz OSODFSWECVRXQM-UHFFFAOYSA-N 0 1 281.400 0.821 20 30 CCEDMN C=CCC(F)(F)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896537668 613455114 /nfs/dbraw/zinc/45/51/14/613455114.db2.gz AEDUWALSDUPNLQ-QWRGUYRKSA-N 0 1 275.343 0.948 20 30 CCEDMN C=CCC1(O)CCN(C(=O)[C@@H](C)CN2CCOCC2)CC1 ZINC000899591309 613458707 /nfs/dbraw/zinc/45/87/07/613458707.db2.gz XQQXXCFCFQVJIJ-AWEZNQCLSA-N 0 1 296.411 0.884 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897910402 613460094 /nfs/dbraw/zinc/46/00/94/613460094.db2.gz XEKFRCSOOXJOKW-MCIONIFRSA-N 0 1 280.368 0.557 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)C(=O)NC[C@@H]1COCCN1 ZINC000884814194 613463905 /nfs/dbraw/zinc/46/39/05/613463905.db2.gz AGADERBJHTWBKH-GFCCVEGCSA-N 0 1 297.399 0.200 20 30 CCEDMN C=CCCC[C@@H]1NC(=O)N(Cc2n[nH]c(C)n2)C1=O ZINC000900150262 613471923 /nfs/dbraw/zinc/47/19/23/613471923.db2.gz WWHVNDFIUKQNLR-VIFPVBQESA-N 0 1 263.301 0.890 20 30 CCEDMN C=CCCC[C@H]([NH3+])C(=O)[N-]S(=O)(=O)C1(C=C)CC1 ZINC000901058638 613472998 /nfs/dbraw/zinc/47/29/98/613472998.db2.gz GKCWTYHKXCDWKK-JTQLQIEISA-N 0 1 272.370 0.835 20 30 CCEDMN C=CCCC[C@H](NC(=O)c1cnn[nH]1)C(=O)OC ZINC000928314327 613474663 /nfs/dbraw/zinc/47/46/63/613474663.db2.gz RUDMWPWMNXDBOG-QMMMGPOBSA-N 0 1 252.274 0.432 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN(CCCCO)CC1 ZINC000800359578 613482134 /nfs/dbraw/zinc/48/21/34/613482134.db2.gz IEIZOOGYRFTUQB-GFCCVEGCSA-N 0 1 256.346 0.104 20 30 CCEDMN C=C[C@H](C)O[N-]C(=O)C[N@@H+]1CCC[C@H](C(=O)OCC)C1 ZINC000911903582 613484248 /nfs/dbraw/zinc/48/42/48/613484248.db2.gz UZVPTINPNVMUMC-RYUDHWBXSA-N 0 1 284.356 0.884 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN(C)CCN(C)CC1 ZINC000895577871 613485554 /nfs/dbraw/zinc/48/55/54/613485554.db2.gz BMCDBHKFCWFMOV-LBPRGKRZSA-N 0 1 270.377 0.381 20 30 CCEDMN C=C[C@H](CC)CC(=O)Nc1nn[nH]c1C(=O)NC ZINC000905934379 613490087 /nfs/dbraw/zinc/49/00/87/613490087.db2.gz KUNOTHIONMQJPP-SSDOTTSWSA-N 0 1 251.290 0.705 20 30 CCEDMN C=C[C@H](CO)N[C@@H]1COCCN(C(=O)OC(C)(C)C)C1 ZINC000926725847 613493069 /nfs/dbraw/zinc/49/30/69/613493069.db2.gz DHPNNCUGAOWJSE-NEPJUHHUSA-N 0 1 286.372 0.759 20 30 CCEDMN C=C[C@H](O)C(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000928085058 613496802 /nfs/dbraw/zinc/49/68/02/613496802.db2.gz YLRUTXKJGIYUGX-MXWKQRLJSA-N 0 1 256.371 0.229 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000950998461 613503724 /nfs/dbraw/zinc/50/37/24/613503724.db2.gz OQSIYNSLMOMNGU-QMTHXVAHSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC000949655415 613505791 /nfs/dbraw/zinc/50/57/91/613505791.db2.gz LPUZCSUDSPQSLS-NWDGAFQWSA-N 0 1 265.357 0.218 20 30 CCEDMN C=C[C@](C)(O)C(=O)NC[C@@H](N)c1ccccc1OC ZINC000911663143 613507873 /nfs/dbraw/zinc/50/78/73/613507873.db2.gz BIRNJJQPNFMQNX-RISCZKNCSA-N 0 1 264.325 0.748 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC000950336017 613509201 /nfs/dbraw/zinc/50/92/01/613509201.db2.gz VQCPMHKCXKYIEA-AWEZNQCLSA-N 0 1 265.357 0.375 20 30 CCEDMN C=CCCOC(=O)[C@@H](C)OC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000842373523 613570529 /nfs/dbraw/zinc/57/05/29/613570529.db2.gz OXGHYDSHIVJQEM-IWSPIJDZSA-N 0 1 257.242 0.703 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000970883275 613532363 /nfs/dbraw/zinc/53/23/63/613532363.db2.gz LHBNUWVTQJGWCY-SNVBAGLBSA-N 0 1 288.351 0.187 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2OCC[C@H]2C)C1 ZINC000970446009 613536809 /nfs/dbraw/zinc/53/68/09/613536809.db2.gz YPAIKPLQKHCVRD-DYEKYZERSA-N 0 1 264.369 0.871 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000969909642 613536834 /nfs/dbraw/zinc/53/68/34/613536834.db2.gz AGYMHBQOBGSHKV-VIFPVBQESA-N 0 1 276.340 0.497 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC000969989233 613537089 /nfs/dbraw/zinc/53/70/89/613537089.db2.gz NRMPBQKQSDSPJP-STQMWFEESA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cccc(C(N)=O)c2)C1 ZINC000969984822 613538298 /nfs/dbraw/zinc/53/82/98/613538298.db2.gz MAUZDUOWFVJVPO-LBPRGKRZSA-N 0 1 299.374 0.859 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)Cc3ncc[nH]3)C2)C1 ZINC000982086530 613542354 /nfs/dbraw/zinc/54/23/54/613542354.db2.gz GTDLKWGWSJZXGL-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966043353 613575295 /nfs/dbraw/zinc/57/52/95/613575295.db2.gz CKZAPQWOYHQHLJ-DGCLKSJQSA-N 0 1 289.383 0.506 20 30 CCEDMN C=CCCONC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000862217676 613577832 /nfs/dbraw/zinc/57/78/32/613577832.db2.gz NRRPMSWRWOBMAR-UHFFFAOYSA-N 0 1 285.325 0.691 20 30 CCEDMN C=CCC[C@@H](O)C[N@@H+]1CCCC[C@H]1CS(N)(=O)=O ZINC000931231488 613585971 /nfs/dbraw/zinc/58/59/71/613585971.db2.gz IQSHRHLXZIQCOB-NWDGAFQWSA-N 0 1 276.402 0.457 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@H](C(=O)OC)C[C@H]1C(=O)[O-] ZINC000833372998 613590938 /nfs/dbraw/zinc/59/09/38/613590938.db2.gz CSAIZWJJODFYDV-SRVKXCTJSA-N 0 1 285.340 0.652 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968180429 613594691 /nfs/dbraw/zinc/59/46/91/613594691.db2.gz XMHNXSPNRVGEKI-NWDGAFQWSA-N 0 1 290.367 0.589 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nonc2C)C[C@H]1C ZINC000948094954 613607263 /nfs/dbraw/zinc/60/72/63/613607263.db2.gz RGIBCPNHCFRYBC-PWSUYJOCSA-N 0 1 276.340 0.984 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@]23C[C@H]2COC3)C(C)(C)C1 ZINC000974611935 613610258 /nfs/dbraw/zinc/61/02/58/613610258.db2.gz XOYQQNDJDKJIRS-ZENOOKHLSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccnc2C)C1 ZINC000958359007 613613097 /nfs/dbraw/zinc/61/30/97/613613097.db2.gz ACQKOLQISACKIM-DZGCQCFKSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CC=CC2)C1 ZINC000957930100 613613319 /nfs/dbraw/zinc/61/33/19/613613319.db2.gz FCFGFTGZBRMYGI-UONOGXRCSA-N 0 1 262.353 0.385 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc(C)on2)[C@H](O)C1 ZINC000957847766 613614590 /nfs/dbraw/zinc/61/45/90/613614590.db2.gz UDWQUOUGUKOCOH-DGCLKSJQSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)C2CC3(CCC3)C2)C1 ZINC000958328127 613618332 /nfs/dbraw/zinc/61/83/32/613618332.db2.gz AHUQJMRXFUWJKR-GJZGRUSLSA-N 0 1 290.407 0.999 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccccc2O)C1 ZINC000957877944 613618647 /nfs/dbraw/zinc/61/86/47/613618647.db2.gz DTDRLDRVQDLPCN-WFASDCNBSA-N 0 1 288.347 0.438 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2[nH]c(C)cc2C)C1 ZINC000958183743 613625196 /nfs/dbraw/zinc/62/51/96/613625196.db2.gz PWVMBXZFJVTMAC-KGLIPLIRSA-N 0 1 289.379 0.677 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2occc2CC)C1 ZINC000958370442 613626564 /nfs/dbraw/zinc/62/65/64/613626564.db2.gz PZOHULYDQSZNDG-KGLIPLIRSA-N 0 1 290.363 0.888 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1OCCCO1 ZINC000958668947 613633399 /nfs/dbraw/zinc/63/33/99/613633399.db2.gz UQDHAPXCZBGLAM-JYAVWHMHSA-N 0 1 278.352 0.209 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCCC(=O)N1 ZINC000961767213 613635136 /nfs/dbraw/zinc/63/51/36/613635136.db2.gz XAXBOCBRBPMMGS-SFDCQRBFSA-N 0 1 289.379 0.115 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCN1C(C)=O ZINC000962337698 613635706 /nfs/dbraw/zinc/63/57/06/613635706.db2.gz NXBFNYJBLRKDGM-LJISPDSOSA-N 0 1 289.379 0.067 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2n[nH]cc2c1 ZINC000960488574 613642255 /nfs/dbraw/zinc/64/22/55/613642255.db2.gz QOAIARLOYSMTMT-WDNDVIMCSA-N 0 1 295.346 0.641 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)[C@@H]1CCC[N@@H+]1C(C)C ZINC000909516647 613643326 /nfs/dbraw/zinc/64/33/26/613643326.db2.gz LTSFLYOTXWOFMK-NSHDSACASA-N 0 1 254.330 0.958 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CC[C@](O)(C(=O)OC)C1 ZINC000880560155 613648430 /nfs/dbraw/zinc/64/84/30/613648430.db2.gz LTGOKAHAJXZMFM-SWLSCSKDSA-N 0 1 296.367 0.185 20 30 CCEDMN CC(=NNc1ncnc2nc[nH]c21)c1cnnn1C ZINC000814813493 613657677 /nfs/dbraw/zinc/65/76/77/613657677.db2.gz FVSRZPNNOSYVOI-UHFFFAOYSA-N 0 1 257.261 0.318 20 30 CCEDMN CC(N=Nc1cnnn1C)c1ccc(S(N)(=O)=O)cc1 ZINC000814984370 613657817 /nfs/dbraw/zinc/65/78/17/613657817.db2.gz XLTYWVHVNSDZNB-UHFFFAOYSA-N 0 1 294.340 0.299 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC000970498625 613662021 /nfs/dbraw/zinc/66/20/21/613662021.db2.gz ZZDNNVRNQIIYLA-CHWSQXEVSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2COCCO2)C1 ZINC000969324920 613663355 /nfs/dbraw/zinc/66/33/55/613663355.db2.gz VHEKSFPBAGVQES-ZYHUDNBSSA-N 0 1 254.330 0.024 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC000969770666 613668601 /nfs/dbraw/zinc/66/86/01/613668601.db2.gz IPJMYTCGJWASDW-QWHCGFSZSA-N 0 1 293.411 0.866 20 30 CCEDMN C=CCN1CCO[C@@]2(CCN(C(=O)CN3CCCC3)C2)C1 ZINC000972674777 613723420 /nfs/dbraw/zinc/72/34/20/613723420.db2.gz SLGRTGAMLVVYTA-INIZCTEOSA-N 0 1 293.411 0.572 20 30 CCEDMN C=CCN1CCO[C@@]2(CCN(C(=O)c3ccn[nH]3)C2)C1 ZINC000972277076 613724583 /nfs/dbraw/zinc/72/45/83/613724583.db2.gz VBBNRSCJTFOGJI-AWEZNQCLSA-N 0 1 276.340 0.513 20 30 CCEDMN CC(=O)NCC[N@@H+](CCC(C)(C)C#N)[C@@H](C)C(=O)[O-] ZINC000819770488 613736917 /nfs/dbraw/zinc/73/69/17/613736917.db2.gz VSDITOPIZONZNA-JTQLQIEISA-N 0 1 269.345 0.837 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1ccnc(C#N)c1)[C@@H](C)C(=O)[O-] ZINC000819780241 613737695 /nfs/dbraw/zinc/73/76/95/613737695.db2.gz GKZBMBONBJOUQQ-JTQLQIEISA-N 0 1 290.323 0.364 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2[nH]nnc2C)C1 ZINC000967700680 613767580 /nfs/dbraw/zinc/76/75/80/613767580.db2.gz JEDVGWOGGJJCQV-ONGXEEELSA-N 0 1 263.345 0.739 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965528536 613772419 /nfs/dbraw/zinc/77/24/19/613772419.db2.gz QRZGFZPPTZKMKW-GXTWGEPZSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC000966750409 613773156 /nfs/dbraw/zinc/77/31/56/613773156.db2.gz HUMMOULICMDAKH-WCQYABFASA-N 0 1 290.367 0.902 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cnnn2CC)C1 ZINC000965980866 613775363 /nfs/dbraw/zinc/77/53/63/613775363.db2.gz PYPHBMCWEHQDAS-NWDGAFQWSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H]2CC3(CC3)C(=O)O2)C1=O ZINC000799177650 613777283 /nfs/dbraw/zinc/77/72/83/613777283.db2.gz STKFWXGEUQADHD-NEPJUHHUSA-N 0 1 278.352 0.801 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@@H]2CCN(C(=O)CC)C2)C1=O ZINC000970907629 613777317 /nfs/dbraw/zinc/77/73/17/613777317.db2.gz MLJDPFIWKUMQTE-OLZOCXBDSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2nonc2C)C(C)(C)C1 ZINC000977419005 613807001 /nfs/dbraw/zinc/80/70/01/613807001.db2.gz CRZZIEOOIJEFRL-GFCCVEGCSA-N 0 1 278.356 0.933 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C(C)(C)C1 ZINC000974659677 613807509 /nfs/dbraw/zinc/80/75/09/613807509.db2.gz SKRLMJVLEWFCHJ-CHWSQXEVSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cn2nccn2)C(C)(C)C1 ZINC000974629526 613807696 /nfs/dbraw/zinc/80/76/96/613807696.db2.gz DLLROAJRGJZEKQ-LLVKDONJSA-N 0 1 263.345 0.291 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974927872 613807924 /nfs/dbraw/zinc/80/79/24/613807924.db2.gz JBPGSFRLWHTELC-NWDGAFQWSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C(C)(C)C1 ZINC000974971302 613827851 /nfs/dbraw/zinc/82/78/51/613827851.db2.gz JLBMGJSKJUCJNW-QNWHQSFQSA-N 0 1 264.369 0.891 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974944315 613827880 /nfs/dbraw/zinc/82/78/80/613827880.db2.gz JBPGSFRLWHTELC-NEPJUHHUSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)[C@H]1CC[C@H]1OC ZINC000934126226 613851821 /nfs/dbraw/zinc/85/18/21/613851821.db2.gz UQIDKNVITCSXAE-GARJFASQSA-N 0 1 269.345 0.496 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(CN2CCNC2=O)CC1 ZINC000933589659 613853302 /nfs/dbraw/zinc/85/33/02/613853302.db2.gz DUVQVCMIIUQUEO-GFCCVEGCSA-N 0 1 294.399 0.414 20 30 CCEDMN C=CCNC(=O)[C@H](C)N[C@H]1C(=O)NCC1(CC)CC ZINC000933293503 613855178 /nfs/dbraw/zinc/85/51/78/613855178.db2.gz OPNWJBGBZPWZOT-QWRGUYRKSA-N 0 1 267.373 0.572 20 30 CCEDMN C=CCNC(=S)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000840856499 613856429 /nfs/dbraw/zinc/85/64/29/613856429.db2.gz TUNABKNPNZVWFI-JTQLQIEISA-N 0 1 271.386 0.084 20 30 CCEDMN C=CCOCC(=O)O[C@H]1CCCN(c2ccnc(N)n2)C1 ZINC000810762442 613879600 /nfs/dbraw/zinc/87/96/00/613879600.db2.gz LLNYWPAWONJJSN-NSHDSACASA-N 0 1 292.339 0.773 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@@H]1CCC[N@@H+]1C(C)C)C(=O)[O-] ZINC000909767579 613882443 /nfs/dbraw/zinc/88/24/43/613882443.db2.gz PTGOTXHEUALFCC-RYUDHWBXSA-N 0 1 284.356 0.631 20 30 CCEDMN C=CCONC(=O)N1CCN(CC2CCOCC2)CC1 ZINC000838708451 613883393 /nfs/dbraw/zinc/88/33/93/613883393.db2.gz VOUGWDYVGIKWFR-UHFFFAOYSA-N 0 1 283.372 0.858 20 30 CCEDMN C=CCONC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000838710023 613883471 /nfs/dbraw/zinc/88/34/71/613883471.db2.gz CCODAUSSSHPZBR-CYBMUJFWSA-N 0 1 283.372 0.858 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)[C@H]1CCN(C)[C@@H](C)C1 ZINC000810995618 613885422 /nfs/dbraw/zinc/88/54/22/613885422.db2.gz LFPHTIUQDSNDKY-RYUDHWBXSA-N 0 1 288.413 0.434 20 30 CCEDMN CC(C)(C)N1CC[C@H]1C(=O)N1CCN(CC#N)CC1 ZINC000900777642 613938650 /nfs/dbraw/zinc/93/86/50/613938650.db2.gz SXLJUVJQAGYJKK-LBPRGKRZSA-N 0 1 264.373 0.527 20 30 CCEDMN CC(=O)N[C@@H](CCC(C)C)C(=O)NCC#CCN(C)C ZINC000913523467 613968846 /nfs/dbraw/zinc/96/88/46/613968846.db2.gz AWQMMPTZTLPFIJ-AWEZNQCLSA-N 0 1 281.400 0.609 20 30 CCEDMN CC(C)Oc1cccnc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834479498 614057722 /nfs/dbraw/zinc/05/77/22/614057722.db2.gz FBQBAVZPAKBIPR-GHMZBOCLSA-N 0 1 274.324 0.710 20 30 CCEDMN CC(C)(O)CNN=Cc1ccnn1-c1ccncc1 ZINC000863137158 614194559 /nfs/dbraw/zinc/19/45/59/614194559.db2.gz SBFZSONYSBRLKF-UHFFFAOYSA-N 0 1 259.313 0.962 20 30 CCEDMN CC(=O)Nc1ccccc1CC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834500696 614206715 /nfs/dbraw/zinc/20/67/15/614206715.db2.gz WILCATDLSZNFPA-JSGCOSHPSA-N 0 1 286.335 0.415 20 30 CCEDMN CC(=O)c1cccc(OCC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000843461220 614258293 /nfs/dbraw/zinc/25/82/93/614258293.db2.gz KLUWQLPPTMAIMM-TZMCWYRMSA-N 0 1 287.319 0.496 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N[C@@H]1C(=O)NCC1(C)C ZINC000931662801 614287035 /nfs/dbraw/zinc/28/70/35/614287035.db2.gz CVUKYOQHHIDQJG-RWSFTLGLSA-N 0 1 294.399 0.544 20 30 CCEDMN CC(C)N(CCC#N)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000900469660 614290522 /nfs/dbraw/zinc/29/05/22/614290522.db2.gz JXNOKAHBRZZIKD-ZDUSSCGKSA-N 0 1 265.357 0.858 20 30 CCEDMN CCC[N@@H+](CC(=O)NCC#N)C1CCN(C(=O)[O-])CC1 ZINC000826898505 614558142 /nfs/dbraw/zinc/55/81/42/614558142.db2.gz WVDLMIKEMAEMHC-UHFFFAOYSA-N 0 1 282.344 0.481 20 30 CCEDMN CCCC(=O)N1CSC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913518288 614849788 /nfs/dbraw/zinc/84/97/88/614849788.db2.gz LLKVWWGCEHUOKH-GFCCVEGCSA-N 0 1 297.424 0.369 20 30 CCEDMN CCCCCCCNC(=O)NCC(=O)N1CCNCC1 ZINC000861638683 614902714 /nfs/dbraw/zinc/90/27/14/614902714.db2.gz FDYKDXJMAAJZMM-UHFFFAOYSA-N 0 1 284.404 0.688 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@H]1CCOC[C@H]1OC ZINC000880429711 615021605 /nfs/dbraw/zinc/02/16/05/615021605.db2.gz WVELXUMEEACYRU-QWHCGFSZSA-N 0 1 283.372 0.484 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000827111688 615022076 /nfs/dbraw/zinc/02/20/76/615022076.db2.gz QNYVLZFSWWWWDA-NSHDSACASA-N 0 1 282.344 0.433 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000827111688 615022078 /nfs/dbraw/zinc/02/20/78/615022078.db2.gz QNYVLZFSWWWWDA-NSHDSACASA-N 0 1 282.344 0.433 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000829692350 615022195 /nfs/dbraw/zinc/02/21/95/615022195.db2.gz HMNFVRQATJWUTA-QWRGUYRKSA-N 0 1 267.329 0.401 20 30 CCEDMN CCNS(=O)(=O)CCNCc1ccc(C#N)c(OC)c1 ZINC000799335518 615105269 /nfs/dbraw/zinc/10/52/69/615105269.db2.gz FRTXLTYEWZDKGQ-UHFFFAOYSA-N 0 1 297.380 0.596 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N[C@H](C)C1CN(CC#N)C1 ZINC000970927387 615111825 /nfs/dbraw/zinc/11/18/25/615111825.db2.gz DGCIWGOXCTUOSJ-TZMCWYRMSA-N 0 1 278.400 0.821 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC000979076905 615115174 /nfs/dbraw/zinc/11/51/74/615115174.db2.gz JLBMCDPACQJNCI-XQLPTFJDSA-N 0 1 290.411 0.678 20 30 CCEDMN CC[N@@H+]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@@H]1C ZINC000820353946 615152047 /nfs/dbraw/zinc/15/20/47/615152047.db2.gz IWRNCYBRTDUGSL-GXFFZTMASA-N 0 1 267.329 0.544 20 30 CCEDMN CC[N@H+]1CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@@H]1C ZINC000820353946 615152049 /nfs/dbraw/zinc/15/20/49/615152049.db2.gz IWRNCYBRTDUGSL-GXFFZTMASA-N 0 1 267.329 0.544 20 30 CCEDMN CCOC(=O)C1(C(=O)NCC#CCN(C)C)CCOCC1 ZINC000913522391 615154032 /nfs/dbraw/zinc/15/40/32/615154032.db2.gz HPOJLFRBJPOWHG-UHFFFAOYSA-N 0 1 296.367 0.028 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@@H]1C ZINC000124298945 615245542 /nfs/dbraw/zinc/24/55/42/615245542.db2.gz YUKXWSSAXFOKBP-GARJFASQSA-N 0 1 252.314 0.894 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907479952 615365455 /nfs/dbraw/zinc/36/54/55/615365455.db2.gz JVYDTWIHJKTCJI-JGVFFNPUSA-N 0 1 266.330 0.366 20 30 CCEDMN CC[C@@H](C#N)C(=O)NCc1nc(CSC)n[nH]1 ZINC000899474067 615365897 /nfs/dbraw/zinc/36/58/97/615365897.db2.gz XGKUKBRLSGZGTP-ZETCQYMHSA-N 0 1 253.331 0.834 20 30 CCEDMN CC[C@@H](C#N)C(=O)NCc1nnc(CSC)[nH]1 ZINC000899474067 615365898 /nfs/dbraw/zinc/36/58/98/615365898.db2.gz XGKUKBRLSGZGTP-ZETCQYMHSA-N 0 1 253.331 0.834 20 30 CCEDMN CCO[C@@H](C(=O)NCC#CCN(C)C)[C@H]1CCOC1 ZINC000913525150 615388875 /nfs/dbraw/zinc/38/88/75/615388875.db2.gz MBRVWXKXBRMRJK-QWHCGFSZSA-N 0 1 268.357 0.109 20 30 CCEDMN CC[N@H+]1C[C@H](C)[C@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820347931 615400512 /nfs/dbraw/zinc/40/05/12/615400512.db2.gz AKEOKMDQCHNUQL-OPQQBVKSSA-N 0 1 267.329 0.447 20 30 CCEDMN CCO[C@@H]1COCC[C@@H]1CC(=O)C(C#N)C(=O)NC1CC1 ZINC000870143430 615422316 /nfs/dbraw/zinc/42/23/16/615422316.db2.gz VGQYUUNVVJDXAM-MPKXVKKWSA-N 0 1 294.351 0.806 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC(C)C)[C@H]1CCOC1 ZINC000870142953 615476704 /nfs/dbraw/zinc/47/67/04/615476704.db2.gz OZTCDUDNECOEKO-LOWVWBTDSA-N 0 1 282.340 0.661 20 30 CCEDMN CC[C@@H]1C[C@@H](C(=O)NCC#CCN(C)C)CCO1 ZINC000913520198 615570462 /nfs/dbraw/zinc/57/04/62/615570462.db2.gz HYHYJGMXFIOZIE-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971512035 615614881 /nfs/dbraw/zinc/61/48/81/615614881.db2.gz WHSLXTBVMITIFW-CHWSQXEVSA-N 0 1 277.368 0.196 20 30 CCEDMN CCc1ccc(C#CC(=O)NCCN2CC[C@@H](O)C2)cc1 ZINC000863318941 615660428 /nfs/dbraw/zinc/66/04/28/615660428.db2.gz YEJISOFUBOKGNR-MRXNPFEDSA-N 0 1 286.375 0.783 20 30 CCEDMN CCc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1[N+](=O)[O-] ZINC000834480780 615669778 /nfs/dbraw/zinc/66/97/78/615669778.db2.gz WBWILAKBOUNMEV-NEPJUHHUSA-N 0 1 288.307 0.999 20 30 CCEDMN CCc1cnc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000841130996 615709144 /nfs/dbraw/zinc/70/91/44/615709144.db2.gz VDKPTAOIOAGHCH-IONNQARKSA-N 0 1 265.342 0.939 20 30 CCEDMN CCn1ncc2cc(C(=O)N[C@H]3CNC[C@H]3C#N)c(C)nc21 ZINC000834506831 615718006 /nfs/dbraw/zinc/71/80/06/615718006.db2.gz WHNJUUNSNDZTTK-YPMHNXCESA-N 0 1 298.350 0.601 20 30 CCEDMN CCc1nc(C#N)cc(N[C@@H](C)[C@@H]2C[N@@H+](C)CCN2C)n1 ZINC000895245249 615724741 /nfs/dbraw/zinc/72/47/41/615724741.db2.gz DZSUFVSQDSSJJE-AAEUAGOBSA-N 0 1 288.399 0.957 20 30 CCEDMN CN(C)CC#CCNC(=O)CCOCC(F)(F)C(F)F ZINC000913521941 615857750 /nfs/dbraw/zinc/85/77/50/615857750.db2.gz UGNNCMKODUIGRC-UHFFFAOYSA-N 0 1 298.280 0.975 20 30 CCEDMN CN(C)CC#CCNC(=O)CSCc1ccccn1 ZINC000913517054 615858536 /nfs/dbraw/zinc/85/85/36/615858536.db2.gz QMHVLUSMUNQOAH-UHFFFAOYSA-N 0 1 277.393 0.996 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc(C(N)=O)cc1 ZINC000905152174 615858895 /nfs/dbraw/zinc/85/88/95/615858895.db2.gz VUQJLCOAQXIJDG-UHFFFAOYSA-N 0 1 274.324 0.472 20 30 CCEDMN Cn1[n-]cc2c(=NC(=O)NCC#CC[NH+](C)C)ccnc1-2 ZINC000931130607 615859171 /nfs/dbraw/zinc/85/91/71/615859171.db2.gz XHNKICSBMAILRL-UHFFFAOYSA-N 0 1 286.339 0.028 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC000913524340 615859501 /nfs/dbraw/zinc/85/95/01/615859501.db2.gz JCLLLAWKNHEMNO-OLZOCXBDSA-N 0 1 250.342 0.483 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CC(=O)N[C@@H]2CCCC[C@H]21 ZINC000913523844 615859622 /nfs/dbraw/zinc/85/96/22/615859622.db2.gz OPXMFBTYBHMPKM-BFHYXJOUSA-N 0 1 291.395 0.363 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CS[C@]2(C)CCC(=O)N12 ZINC000913516282 615860143 /nfs/dbraw/zinc/86/01/43/615860143.db2.gz JMZYYXJBPCVQER-BXUZGUMPSA-N 0 1 295.408 0.122 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(Cn2cccn2)on1 ZINC000913522490 615860643 /nfs/dbraw/zinc/86/06/43/615860643.db2.gz LSJARDWCSBJIKG-UHFFFAOYSA-N 0 1 287.323 0.214 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc(-n2ccnn2)c1 ZINC000913520636 615861375 /nfs/dbraw/zinc/86/13/75/615861375.db2.gz VVPWRTJRJQAHPP-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc2sccn2c1=O ZINC000913515873 615862147 /nfs/dbraw/zinc/86/21/47/615862147.db2.gz QWBAHKXOWDGMDH-UHFFFAOYSA-N 0 1 290.348 0.051 20 30 CCEDMN CCn1cc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)nn1 ZINC000901206408 615963122 /nfs/dbraw/zinc/96/31/22/615963122.db2.gz KUYOWWDUSRLYGC-QWRGUYRKSA-N 0 1 261.333 0.492 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000971539323 616040355 /nfs/dbraw/zinc/04/03/55/616040355.db2.gz LMJMQOSFFNQOPL-NEPJUHHUSA-N 0 1 287.367 0.886 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000820580116 616067483 /nfs/dbraw/zinc/06/74/83/616067483.db2.gz UFCOVBGDWGBDAE-GFCCVEGCSA-N 0 1 281.356 0.935 20 30 CCEDMN CN(CCN1CCOC1=O)C[C@@H](O)c1cccc(C#N)c1 ZINC000842151938 616088903 /nfs/dbraw/zinc/08/89/03/616088903.db2.gz FMCXPPGPSLLQIR-CQSZACIVSA-N 0 1 289.335 0.976 20 30 CCEDMN C[N@@H+](CCNc1cccc(C#N)n1)CCOCCO ZINC000882951552 616097468 /nfs/dbraw/zinc/09/74/68/616097468.db2.gz KHQJLXLTISNSAM-UHFFFAOYSA-N 0 1 264.329 0.306 20 30 CCEDMN CN1C(=O)CN(NC(=O)c2cscc2C#N)C1=O ZINC000815906565 616137087 /nfs/dbraw/zinc/13/70/87/616137087.db2.gz CSDOLYXXUIXUKF-UHFFFAOYSA-N 0 1 264.266 0.159 20 30 CCEDMN CN1C(=O)[C@H]2CN(Cc3cc(C#N)cs3)C[C@H]2C1=O ZINC000877719993 616158440 /nfs/dbraw/zinc/15/84/40/616158440.db2.gz HYPQJXOAYMPAAW-PHIMTYICSA-N 0 1 275.333 0.666 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)NCc1ccc(C#N)cn1 ZINC000852339512 616163348 /nfs/dbraw/zinc/16/33/48/616163348.db2.gz RDNFQDFOCGZMNP-UHFFFAOYSA-N 0 1 273.340 0.656 20 30 CCEDMN CN1CCC(C#N)(NC(=O)/C=C/[C@@H]2CCCO2)CC1 ZINC000819250090 616165376 /nfs/dbraw/zinc/16/53/76/616165376.db2.gz AAYFFWKVSTWNEE-ITKZLYELSA-N 0 1 263.341 0.826 20 30 CCEDMN CN1CC=C(CNS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000907097971 616165564 /nfs/dbraw/zinc/16/55/64/616165564.db2.gz OPKHECQLOJMCMP-UHFFFAOYSA-N 0 1 292.364 0.493 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC000978346639 616183636 /nfs/dbraw/zinc/18/36/36/616183636.db2.gz DFTGPKHBEQBKKG-FQUUOJAGSA-N 0 1 276.384 0.288 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1CCN(CC#N)CC1(C)C ZINC000940621779 616194030 /nfs/dbraw/zinc/19/40/30/616194030.db2.gz VLRWCMWNYLAHJP-CHWSQXEVSA-N 0 1 278.400 0.821 20 30 CCEDMN CN1CCC[C@@H]1c1cc(C(=O)N2CCO[C@@H](C#N)C2)[nH]n1 ZINC000896209296 616197160 /nfs/dbraw/zinc/19/71/60/616197160.db2.gz XLRBEPUKBHAVTL-GXFFZTMASA-N 0 1 289.339 0.541 20 30 CCEDMN CN1CCC[C@H]2[C@H]1CCN2S(=O)(=O)CCCC#N ZINC000872662317 616217263 /nfs/dbraw/zinc/21/72/63/616217263.db2.gz DFUVTHFTSFNDHO-NEPJUHHUSA-N 0 1 271.386 0.788 20 30 CCEDMN CN1CCN(C)CCN(Cc2nc(C#N)cs2)CC1 ZINC000933990173 616237219 /nfs/dbraw/zinc/23/72/19/616237219.db2.gz CTXNQXKVCFIJAC-UHFFFAOYSA-N 0 1 279.413 0.694 20 30 CCEDMN CN1CCN(c2ccc(CNC(=O)C#CC3CC3)cn2)CC1 ZINC000837325271 616247191 /nfs/dbraw/zinc/24/71/91/616247191.db2.gz FUMQLUNFDCPYSN-UHFFFAOYSA-N 0 1 298.390 0.863 20 30 CCEDMN CNc1ncnc2cc(C(=O)NCC#CCN(C)C)ccc21 ZINC000913522926 616277424 /nfs/dbraw/zinc/27/74/24/616277424.db2.gz FTIKGYODPZLQKN-UHFFFAOYSA-N 0 1 297.362 0.966 20 30 CCEDMN CN1C[C@@H](O)C[C@@H]1CNC(=O)c1ccc(F)c(C#N)c1 ZINC000924981954 616306120 /nfs/dbraw/zinc/30/61/20/616306120.db2.gz MVZPYJVOGVKRQZ-NEPJUHHUSA-N 0 1 277.299 0.492 20 30 CCEDMN COC(=O)C1(C#N)CCN(C[C@@H](O)C2(O)CCCC2)CC1 ZINC000930641292 616345539 /nfs/dbraw/zinc/34/55/39/616345539.db2.gz ZRVIQGXGARKUKG-GFCCVEGCSA-N 0 1 296.367 0.431 20 30 CCEDMN COC(=O)C1([C@]2(O)CCCN(C[C@H](O)CC#N)C2)CCC1 ZINC000933835571 616395767 /nfs/dbraw/zinc/39/57/67/616395767.db2.gz LZZIQMZPNOYMNE-DOMZBBRYSA-N 0 1 296.367 0.431 20 30 CCEDMN COC1(OC)CCOC[C@@H]1NCCC(=O)N(C)CCC#N ZINC000931673228 616477154 /nfs/dbraw/zinc/47/71/54/616477154.db2.gz MFZVOGFOUQJAPJ-LBPRGKRZSA-N 0 1 299.371 0.116 20 30 CCEDMN COCCN1[C@H](C)CN(CC(=O)NCCC#N)C[C@@H]1C ZINC000830042969 616479763 /nfs/dbraw/zinc/47/97/63/616479763.db2.gz CYFMSDAHBABYOL-BETUJISGSA-N 0 1 282.388 0.057 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1CCC[C@H]2C[C@H]21 ZINC000850756939 616495929 /nfs/dbraw/zinc/49/59/29/616495929.db2.gz FCGLUSFBLWVTSO-IRCOFANPSA-N 0 1 264.325 0.894 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cccc(F)c1O ZINC000933217924 616587638 /nfs/dbraw/zinc/58/76/38/616587638.db2.gz HXTHSUJGBNKUQV-UHFFFAOYSA-N 0 1 266.228 0.670 20 30 CCEDMN COCCOc1ccc(C=NNC2=NC[C@H](C)N2)o1 ZINC000901215126 616590670 /nfs/dbraw/zinc/59/06/70/616590670.db2.gz HRGMOMDRZMXKMQ-VIFPVBQESA-N 0 1 266.301 0.576 20 30 CCEDMN CO[C@@H]1CC[C@H]1N(C)CC(=O)NC1(C#N)CCC1 ZINC000934110114 616712623 /nfs/dbraw/zinc/71/26/23/616712623.db2.gz DGHBGEOIOFRKIL-GHMZBOCLSA-N 0 1 251.330 0.658 20 30 CCEDMN COC(=O)c1c(C)[nH]c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1C ZINC000834504826 616810422 /nfs/dbraw/zinc/81/04/22/616810422.db2.gz FOJJQDWKSPXPFC-VHSXEESVSA-N 0 1 290.323 0.260 20 30 CCEDMN COC(=O)c1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834507337 616884605 /nfs/dbraw/zinc/88/46/05/616884605.db2.gz ZUTRFBMXWVIIGN-VXGBXAGGSA-N 0 1 273.292 0.315 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC000892462020 616898067 /nfs/dbraw/zinc/89/80/67/616898067.db2.gz MBROENVGWWKYRI-ZJQBRPOHSA-N 0 1 294.351 0.663 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H](OC)C1CCC1 ZINC000850753428 616898235 /nfs/dbraw/zinc/89/82/35/616898235.db2.gz ZUPGELGVHQYFHE-WCQYABFASA-N 0 1 282.340 0.663 20 30 CCEDMN COCc1cnc(C=NNC2=NC[C@H](C)N2)s1 ZINC000814897495 616912788 /nfs/dbraw/zinc/91/27/88/616912788.db2.gz KDVHDLYBGOZQSJ-ZETCQYMHSA-N 0 1 253.331 0.561 20 30 CCEDMN COC(=O)[C@@H](F)CNC[C@H](O)COc1ccc(C#N)cc1 ZINC000905488229 617002234 /nfs/dbraw/zinc/00/22/34/617002234.db2.gz CITVBMDXPXRUDG-AAEUAGOBSA-N 0 1 296.298 0.399 20 30 CCEDMN COCCN(Cc1ccc(C#N)cc1)C[C@H](O)[C@H](C)O ZINC000929395059 617026831 /nfs/dbraw/zinc/02/68/31/617026831.db2.gz LTILWJZOCQRDAJ-WFASDCNBSA-N 0 1 278.352 0.748 20 30 CCEDMN CNc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1F ZINC000876801270 617063913 /nfs/dbraw/zinc/06/39/13/617063913.db2.gz AFXYWICMHQHYOE-CABZTGNLSA-N 0 1 262.288 0.709 20 30 CCEDMN CNc1nc(C(=O)N[C@H]2CNC[C@@H]2C#N)cs1 ZINC000884249407 617071384 /nfs/dbraw/zinc/07/13/84/617071384.db2.gz LAOQZSPHQZJPDF-BQBZGAKWSA-N 0 1 251.315 0.026 20 30 CCEDMN Cn1ncnc1CN[C@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001020085491 625287619 /nfs/dbraw/zinc/28/76/19/625287619.db2.gz WRLALZUMTITEGX-XYPYZODXSA-N 0 1 299.338 0.065 20 30 CCEDMN C#C[C@@H]1CCCCN1CCN1C(=O)NC(C)(C)C1=O ZINC000934510828 622730252 /nfs/dbraw/zinc/73/02/52/622730252.db2.gz CPGMZEXJRWUDPE-LLVKDONJSA-N 0 1 263.341 0.805 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@]3(C2)CN(CC#N)CCO3)[nH]1 ZINC000972301952 622749868 /nfs/dbraw/zinc/74/98/68/622749868.db2.gz PXYQXELYBYVDBO-CQSZACIVSA-N 0 1 289.339 0.159 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001023137894 622923715 /nfs/dbraw/zinc/92/37/15/622923715.db2.gz XBLGDLSZNOJOMN-TXEJJXNPSA-N 0 1 296.334 0.846 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2cn(C)nc2OC)C1 ZINC001023529016 623050070 /nfs/dbraw/zinc/05/00/70/623050070.db2.gz UQSDGDQMJJNYFU-LBPRGKRZSA-N 0 1 290.367 0.504 20 30 CCEDMN CN1N=C(C(=O)Nc2cc(C#N)ccc2O)CCC1=O ZINC000176817132 623079583 /nfs/dbraw/zinc/07/95/83/623079583.db2.gz XGKCVUYKUXNOEA-UHFFFAOYSA-N 0 1 272.264 0.811 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2CN(CC#N)CC2(C)C)c1C ZINC000972864247 623250461 /nfs/dbraw/zinc/25/04/61/623250461.db2.gz XJUPDUZBDQHPTB-LLVKDONJSA-N 0 1 275.356 0.990 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC001024355987 623298156 /nfs/dbraw/zinc/29/81/56/623298156.db2.gz DXCVCVMTULPVHC-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1ccc2nn[n-]c2n1 ZINC001024468381 623330180 /nfs/dbraw/zinc/33/01/80/623330180.db2.gz JWCSFFITGBJYRJ-LLVKDONJSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001024468381 623330186 /nfs/dbraw/zinc/33/01/86/623330186.db2.gz JWCSFFITGBJYRJ-LLVKDONJSA-N 0 1 298.350 0.570 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001024466984 623331715 /nfs/dbraw/zinc/33/17/15/623331715.db2.gz AVUCFAVNDDRNCR-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001024605227 623392279 /nfs/dbraw/zinc/39/22/79/623392279.db2.gz UXPUUQVMJZYGHM-IGQOVBAYSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001024646467 623407509 /nfs/dbraw/zinc/40/75/09/623407509.db2.gz YTFRBAQDVCZNFF-ZIAGYGMSSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cnn(C)n1 ZINC001024705862 623419762 /nfs/dbraw/zinc/41/97/62/623419762.db2.gz OAWKYVOBVARJPQ-LBPRGKRZSA-N 0 1 277.372 0.976 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001024775939 623439543 /nfs/dbraw/zinc/43/95/43/623439543.db2.gz MJLXHWRTMURLPS-GFCCVEGCSA-N 0 1 285.351 0.880 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCC(=O)N2)C1 ZINC001006919694 623489790 /nfs/dbraw/zinc/48/97/90/623489790.db2.gz LVGISHSRQIJIHK-WDEREUQCSA-N 0 1 251.330 0.032 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCC(=O)N1 ZINC001017646009 623614521 /nfs/dbraw/zinc/61/45/21/623614521.db2.gz NGDPPUKCIUEYLE-HZSPNIEDSA-N 0 1 291.395 0.906 20 30 CCEDMN Cc1cnc(CN[C@H]2CCN(C(=O)C#CC3CC3)C2)cn1 ZINC001018818194 623743368 /nfs/dbraw/zinc/74/33/68/623743368.db2.gz CSTFZCMFHDUWTB-AWEZNQCLSA-N 0 1 284.363 0.889 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]([C@@H](C)NC(=O)c2[nH]nnc2C)C1 ZINC001025507115 623820318 /nfs/dbraw/zinc/82/03/18/623820318.db2.gz SGCRITNZWCYSOB-YPMHNXCESA-N 0 1 289.383 0.967 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@H]([C@@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025507115 623820319 /nfs/dbraw/zinc/82/03/19/623820319.db2.gz SGCRITNZWCYSOB-YPMHNXCESA-N 0 1 289.383 0.967 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]([C@@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025507115 623820324 /nfs/dbraw/zinc/82/03/24/623820324.db2.gz SGCRITNZWCYSOB-YPMHNXCESA-N 0 1 289.383 0.967 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]([C@H](C)NC(=O)c2[nH]nnc2C)C1 ZINC001025507112 623820540 /nfs/dbraw/zinc/82/05/40/623820540.db2.gz SGCRITNZWCYSOB-AAEUAGOBSA-N 0 1 289.383 0.967 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@H]([C@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025507112 623820547 /nfs/dbraw/zinc/82/05/47/623820547.db2.gz SGCRITNZWCYSOB-AAEUAGOBSA-N 0 1 289.383 0.967 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]([C@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025507112 623820549 /nfs/dbraw/zinc/82/05/49/623820549.db2.gz SGCRITNZWCYSOB-AAEUAGOBSA-N 0 1 289.383 0.967 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC[C@@H](CC)O1 ZINC001025807489 623884602 /nfs/dbraw/zinc/88/46/02/623884602.db2.gz QDMLJPQZEJRXAK-DGTMBMJNSA-N 0 1 262.353 0.624 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCC[C@H]1OC ZINC001025822937 623889671 /nfs/dbraw/zinc/88/96/71/623889671.db2.gz LUAUFYNMRZSOHA-QMIVOQANSA-N 0 1 276.380 0.871 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](CNCc2nncn2C)C1 ZINC001026994774 625685316 /nfs/dbraw/zinc/68/53/16/625685316.db2.gz YHZNNWGMRQDFAL-LBPRGKRZSA-N 0 1 291.399 0.965 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)[C@H](O)c2ccc(C#N)cc2)n[nH]1 ZINC000804814387 624638836 /nfs/dbraw/zinc/63/88/36/624638836.db2.gz TWICIBXJIHECOJ-PRHODGIISA-N 0 1 285.307 0.896 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H]2CCN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC001021129009 624664444 /nfs/dbraw/zinc/66/44/44/624664444.db2.gz MLZRAAVLONQPSA-JBLDHEPKSA-N 0 1 287.323 0.242 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2CCN(CCn3cccn3)C2)c[nH]1 ZINC001010663054 624688138 /nfs/dbraw/zinc/68/81/38/624688138.db2.gz MFCPLRJKJBXWEM-CYBMUJFWSA-N 0 1 298.350 0.587 20 30 CCEDMN C[C@H](C#N)OCCN1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000801917685 634403401 /nfs/dbraw/zinc/40/34/01/634403401.db2.gz LSCMTBBGKWNJEM-ZIAGYGMSSA-N 0 1 295.383 0.628 20 30 CCEDMN O=C(NCC#C[C@H]1CCCCO1)[C@@H]1CC1[N+](=O)[O-] ZINC000891115040 624728619 /nfs/dbraw/zinc/72/86/19/624728619.db2.gz BPOLMMDMYBVDLY-GMTAPVOTSA-N 0 1 252.270 0.340 20 30 CCEDMN C[C@@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)C1CN(CC#N)C1 ZINC000970710498 624734966 /nfs/dbraw/zinc/73/49/66/624734966.db2.gz LBGYNQICIOTHAB-MNOVXSKESA-N 0 1 287.367 0.475 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)c2cc[nH]n2)C1 ZINC001021529209 624761358 /nfs/dbraw/zinc/76/13/58/624761358.db2.gz LDHVUSWXPXYJLA-GXTWGEPZSA-N 0 1 272.352 0.969 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2[C@@H](CCCN2C(=O)c2cc[nH]n2)C1 ZINC001021529209 624761363 /nfs/dbraw/zinc/76/13/63/624761363.db2.gz LDHVUSWXPXYJLA-GXTWGEPZSA-N 0 1 272.352 0.969 20 30 CCEDMN C[C@@H](NC(=O)c1ccc2[nH]nnc2c1)C1CN(CC#N)C1 ZINC000970860349 624773640 /nfs/dbraw/zinc/77/36/40/624773640.db2.gz DDTJVGDUIBEYGE-SECBINFHSA-N 0 1 284.323 0.532 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2nccc(C)n2)C1 ZINC001010814073 624774231 /nfs/dbraw/zinc/77/42/31/624774231.db2.gz FZBOVNNYUXEMSF-GFCCVEGCSA-N 0 1 258.325 0.612 20 30 CCEDMN Cc1nc(CN(C)[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001027336708 625836641 /nfs/dbraw/zinc/83/66/41/625836641.db2.gz UGENKGJEJXUVKL-JQWIXIFHSA-N 0 1 290.371 0.696 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001027335753 625836652 /nfs/dbraw/zinc/83/66/52/625836652.db2.gz JQTRNDABVFFUAF-NXEZZACHSA-N 0 1 292.343 0.093 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001027335753 625836657 /nfs/dbraw/zinc/83/66/57/625836657.db2.gz JQTRNDABVFFUAF-NXEZZACHSA-N 0 1 292.343 0.093 20 30 CCEDMN C#CC[N@@H+](C)[C@@H]1CCCN(C(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)C1 ZINC001027464287 625898102 /nfs/dbraw/zinc/89/81/02/625898102.db2.gz KTHLOTVGYUVNNK-RBSFLKMASA-N 0 1 293.411 0.262 20 30 CCEDMN N#CCCOCCN1CC[C@@H](Nc2ncccn2)C1 ZINC000903024618 634527461 /nfs/dbraw/zinc/52/74/61/634527461.db2.gz VUZYUVKHNFATFD-GFCCVEGCSA-N 0 1 261.329 0.893 20 30 CCEDMN O=C([C@@H]1CN2CCN1CCC2)N(O)Cc1ccc(F)cc1 ZINC000904173668 634711188 /nfs/dbraw/zinc/71/11/88/634711188.db2.gz OAUVQJZGANTMSS-AWEZNQCLSA-N 0 1 293.342 0.933 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnccn1 ZINC001027732251 630882366 /nfs/dbraw/zinc/88/23/66/630882366.db2.gz QTMVYSRPDLFQSZ-LBPRGKRZSA-N 0 1 258.325 0.694 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CC[C@@H](N(C)CC#N)C1 ZINC000980412449 631017870 /nfs/dbraw/zinc/01/78/70/631017870.db2.gz JYHYZXACWYOSKN-ZIAGYGMSSA-N 0 1 294.399 0.011 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCC[C@@H](NCC#N)C2)[nH]n1 ZINC000981006381 631091877 /nfs/dbraw/zinc/09/18/77/631091877.db2.gz LINSKGMNBYLZTL-NEPJUHHUSA-N 0 1 275.356 0.801 20 30 CCEDMN N#CC1(CNC[C@H](O)C2(O)CCOCC2)CCCCC1 ZINC000905382622 634851761 /nfs/dbraw/zinc/85/17/61/634851761.db2.gz CMYNXMNQSNHSMT-ZDUSSCGKSA-N 0 1 282.384 0.952 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@@H]1NC(=O)Cc1cnc[nH]1 ZINC000968359919 650012436 /nfs/dbraw/zinc/01/24/36/650012436.db2.gz DNTLSLQZKHHTGB-PWSUYJOCSA-N 0 1 261.329 0.302 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NC[C@@H](C)[N@@H+](C)C1CC1 ZINC000220017340 631959622 /nfs/dbraw/zinc/95/96/22/631959622.db2.gz MQRDZMQIVREJCG-SNVBAGLBSA-N 0 1 269.345 0.751 20 30 CCEDMN Cn1nnc2cc(CN[C@@H]3CCCN(O)C3=O)ccc21 ZINC000895164071 631998675 /nfs/dbraw/zinc/99/86/75/631998675.db2.gz BKJZOFAVUPJBMX-SNVBAGLBSA-N 0 1 275.312 0.438 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnn(C)c2C)C1 ZINC001006761848 650050625 /nfs/dbraw/zinc/05/06/25/650050625.db2.gz SYZJSFVAIKRNTF-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN Cc1n[nH]c(C2CN(c3nc(C)cc(C#N)n3)C2)n1 ZINC000895328015 632057909 /nfs/dbraw/zinc/05/79/09/632057909.db2.gz JOVOCEXEGAAITG-UHFFFAOYSA-N 0 1 255.285 0.687 20 30 CCEDMN Cc1nc(C2CN(c3nc(C)cc(C#N)n3)C2)n[nH]1 ZINC000895328015 632057915 /nfs/dbraw/zinc/05/79/15/632057915.db2.gz JOVOCEXEGAAITG-UHFFFAOYSA-N 0 1 255.285 0.687 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC001007225685 650071481 /nfs/dbraw/zinc/07/14/81/650071481.db2.gz HYRMRTJOUSDZNC-SNVBAGLBSA-N 0 1 265.313 0.359 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@H]2CCNC2=O)C1 ZINC001007497210 650080181 /nfs/dbraw/zinc/08/01/81/650080181.db2.gz VKGVWTIHVTWHMX-NEPJUHHUSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001008036459 650100215 /nfs/dbraw/zinc/10/02/15/650100215.db2.gz IKJVLHRUXDMNBQ-LJISPDSOSA-N 0 1 294.395 0.805 20 30 CCEDMN N#Cc1ccc(CNC(=O)C2CN([C@H]3CCOC3)C2)nc1 ZINC000897496509 633042837 /nfs/dbraw/zinc/04/28/37/633042837.db2.gz NHGONLVVTMUXQP-AWEZNQCLSA-N 0 1 286.335 0.290 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2nnn(C)n2)C1 ZINC000989309185 633188890 /nfs/dbraw/zinc/18/88/90/633188890.db2.gz SQYGSIAIXQKAIB-BDAKNGLRSA-N 0 1 284.751 0.155 20 30 CCEDMN Cc1nc(CNC(=O)NCC#C[C@@H]2CCCCO2)n[nH]1 ZINC000898344797 633306934 /nfs/dbraw/zinc/30/69/34/633306934.db2.gz LCJIABMVGRBBQD-NSHDSACASA-N 0 1 277.328 0.485 20 30 CCEDMN C=CC[N@H+]1CCCN(C(=O)[C@@H]2CCc3nncn3C2)CC1 ZINC000989728469 633349301 /nfs/dbraw/zinc/34/93/01/633349301.db2.gz LNTBBGLVVSZOSC-CYBMUJFWSA-N 0 1 289.383 0.561 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCc3nncn3C2)CC1 ZINC000989728469 633349306 /nfs/dbraw/zinc/34/93/06/633349306.db2.gz LNTBBGLVVSZOSC-CYBMUJFWSA-N 0 1 289.383 0.561 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnccn3)CCC[C@H]12 ZINC000989919236 633384318 /nfs/dbraw/zinc/38/43/18/633384318.db2.gz MRWVBXJMTVGVIH-DZGCQCFKSA-N 0 1 270.336 0.837 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cncnc3)CCC[C@@H]12 ZINC000990354887 633515895 /nfs/dbraw/zinc/51/58/95/633515895.db2.gz NWZROLUNJPTMPK-HIFRSBDPSA-N 0 1 270.336 0.837 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001008490916 650117189 /nfs/dbraw/zinc/11/71/89/650117189.db2.gz WHBZNPRPELZNDL-VXGBXAGGSA-N 0 1 287.367 0.934 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2nccn3ccnc23)C1 ZINC001008575271 650120544 /nfs/dbraw/zinc/12/05/44/650120544.db2.gz MKNWJSOETBBYRR-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001008868187 650130065 /nfs/dbraw/zinc/13/00/65/650130065.db2.gz JVMQWWQONMORTC-CQSZACIVSA-N 0 1 295.427 0.864 20 30 CCEDMN C[C@H](NC(=O)C#Cc1ccccc1)[C@H]1CN(C)CCN1C ZINC000900812231 634097845 /nfs/dbraw/zinc/09/78/45/634097845.db2.gz TWXIXCAHAYDJEQ-GOEBONIOSA-N 0 1 285.391 0.789 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cc2cncn2C)C1 ZINC001009208238 650140043 /nfs/dbraw/zinc/14/00/43/650140043.db2.gz NCIVRJKWLZLGGS-LBPRGKRZSA-N 0 1 262.357 0.729 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001009668254 650154263 /nfs/dbraw/zinc/15/42/63/650154263.db2.gz MBFLWHQCXVXVLZ-NSHDSACASA-N 0 1 288.351 0.561 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC001009760612 650158836 /nfs/dbraw/zinc/15/88/36/650158836.db2.gz KBVGCOGGQRVFLW-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN Cc1cc(C#N)cc(C)c1C(=O)N(C)c1nn[nH]n1 ZINC000906045194 634952294 /nfs/dbraw/zinc/95/22/94/634952294.db2.gz LLHDPYPEIYJFDI-UHFFFAOYSA-N 0 1 256.269 0.965 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907480137 635141253 /nfs/dbraw/zinc/14/12/53/635141253.db2.gz LMSPMTWSATWSFL-YUMQZZPRSA-N 0 1 266.330 0.366 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001010051765 650181459 /nfs/dbraw/zinc/18/14/59/650181459.db2.gz MMRCGYYLGWAZOA-JTQLQIEISA-N 0 1 260.297 0.010 20 30 CCEDMN N#CC1CN(C(=O)c2cccc3[nH]c(CO)nc32)C1 ZINC000908399329 635365326 /nfs/dbraw/zinc/36/53/26/635365326.db2.gz PRHLRQXPUGXFFH-UHFFFAOYSA-N 0 1 256.265 0.651 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)NCC(=O)N1CCNCC1 ZINC000911701414 636355199 /nfs/dbraw/zinc/35/51/99/636355199.db2.gz AZINELUWGOJIKK-UHFFFAOYSA-N 0 1 286.335 0.028 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010734524 650258999 /nfs/dbraw/zinc/25/89/99/650258999.db2.gz UXRVWCITKCQXGA-LLVKDONJSA-N 0 1 259.309 0.615 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001010734524 650259001 /nfs/dbraw/zinc/25/90/01/650259001.db2.gz UXRVWCITKCQXGA-LLVKDONJSA-N 0 1 259.309 0.615 20 30 CCEDMN N#C[C@@]1(C(=O)N2CC[C@H](N3CCC3)C2)CCCOC1 ZINC000912899761 636693836 /nfs/dbraw/zinc/69/38/36/636693836.db2.gz ARZWRXUQWAEATR-JSGCOSHPSA-N 0 1 263.341 0.613 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)N1CC[C@@H](N2CCC2)C1 ZINC000912916683 636701766 /nfs/dbraw/zinc/70/17/66/636701766.db2.gz ZSTBPIWEUWHYSW-GFCCVEGCSA-N 0 1 258.325 0.817 20 30 CCEDMN C[C@H]1CCc2n[nH]cc2[C@H]1C(=O)NCC#CCN(C)C ZINC000913525207 636833566 /nfs/dbraw/zinc/83/35/66/636833566.db2.gz NRLVGHIEVBABHN-FZMZJTMJSA-N 0 1 274.368 0.757 20 30 CCEDMN CSCc1noc(CCC(=O)NCC#CCN(C)C)n1 ZINC000913519520 636834549 /nfs/dbraw/zinc/83/45/49/636834549.db2.gz DASSXPHZUPWUIZ-UHFFFAOYSA-N 0 1 296.396 0.546 20 30 CCEDMN C[C@H]1C(=O)CC[C@@H]1CC(=O)NCC#CCN(C)C ZINC000913522053 636835874 /nfs/dbraw/zinc/83/58/74/636835874.db2.gz XVLHXMNRYIAWOB-VXGBXAGGSA-N 0 1 250.342 0.673 20 30 CCEDMN C[C@H](O)c1ncc(C(=O)NCC#CCN(C)C)s1 ZINC000913522016 636835914 /nfs/dbraw/zinc/83/59/14/636835914.db2.gz WQOZQWKGPUOWJS-VIFPVBQESA-N 0 1 267.354 0.491 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cncn3C)CCC[C@@H]12 ZINC000991653078 636969028 /nfs/dbraw/zinc/96/90/28/636969028.db2.gz HFRMFJVEXFRMIJ-UKRRQHHQSA-N 0 1 272.352 0.780 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)NCCN2CCCC2)c1 ZINC000172305197 637169337 /nfs/dbraw/zinc/16/93/37/637169337.db2.gz MYPVDJUBVSKSMN-UHFFFAOYSA-N 0 1 285.347 0.818 20 30 CCEDMN CC[C@@H](C#N)NC(=O)c1nnc2ccccc2c1O ZINC000172378415 637172599 /nfs/dbraw/zinc/17/25/99/637172599.db2.gz TVPZTRDYXGCDIQ-QMMMGPOBSA-N 0 1 256.265 0.955 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3[nH]nnc3C)CCC[C@H]12 ZINC000992102361 637306106 /nfs/dbraw/zinc/30/61/06/637306106.db2.gz ZWYMCZBRTOYVEY-SMDDNHRTSA-N 0 1 273.340 0.473 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cn(CC=C)nn3)CCC[C@@H]12 ZINC000992303029 637361431 /nfs/dbraw/zinc/36/14/31/637361431.db2.gz JCKOKDMOXABDMA-ZBFHGGJFSA-N 0 1 299.378 0.824 20 30 CCEDMN C=CCN1CC[C@]2(NC(=O)c3cnon3)CCC[C@H]12 ZINC000992355674 637374795 /nfs/dbraw/zinc/37/47/95/637374795.db2.gz AVBDMXDBHUBBRA-WCQYABFASA-N 0 1 262.313 0.982 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@@H]3CCC(=O)N3)CCC[C@@H]12 ZINC000992423527 637401819 /nfs/dbraw/zinc/40/18/19/637401819.db2.gz QSNNVRBBCSVBBR-ZOWXZIJZSA-N 0 1 275.352 0.011 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3nonc3C)CCC[C@@H]12 ZINC000992530809 637464450 /nfs/dbraw/zinc/46/44/50/637464450.db2.gz JZIRBDMPHNAWMC-BXUZGUMPSA-N 0 1 274.324 0.738 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2ccc3n[nH]cc3c2)C1 ZINC001015242055 637478655 /nfs/dbraw/zinc/47/86/55/637478655.db2.gz CKHOORMLBJDJFP-CQSZACIVSA-N 0 1 282.347 0.929 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(=O)c(OC)c[nH]2)C1 ZINC001015275374 637536353 /nfs/dbraw/zinc/53/63/53/637536353.db2.gz XRPPEQOHIUHARG-LLVKDONJSA-N 0 1 289.335 0.211 20 30 CCEDMN Cc1nc(C=NNc2ccc(S(N)(=O)=O)cn2)cs1 ZINC000914697222 637701730 /nfs/dbraw/zinc/70/17/30/637701730.db2.gz KGKOXNMBNTVUBB-UHFFFAOYSA-N 0 1 297.365 0.940 20 30 CCEDMN N=C(N)NN=C1C(=O)Nc2c1c(Cl)ccc2F ZINC000915048572 637723655 /nfs/dbraw/zinc/72/36/55/637723655.db2.gz HRFIOTJXXCLMGN-UHFFFAOYSA-N 0 1 255.640 0.618 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC001015604637 637736352 /nfs/dbraw/zinc/73/63/52/637736352.db2.gz VFXVHMXDIJZSRZ-OLZOCXBDSA-N 0 1 279.384 0.762 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC000993281013 637763197 /nfs/dbraw/zinc/76/31/97/637763197.db2.gz NMGOYSFFNIZYPJ-GHMZBOCLSA-N 0 1 279.340 0.747 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2nnc(C)o2)[C@H]1C ZINC000993337972 637790487 /nfs/dbraw/zinc/79/04/87/637790487.db2.gz XMPOKGPRUYSIAI-PWSUYJOCSA-N 0 1 276.340 0.523 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cn(C)nc2C)[C@H]1C ZINC000993417896 637803855 /nfs/dbraw/zinc/80/38/55/637803855.db2.gz DLNKIQCLNVXIDU-UKRRQHHQSA-N 0 1 288.395 0.873 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[C@@H]1C ZINC000993704223 637838362 /nfs/dbraw/zinc/83/83/62/637838362.db2.gz MIHDIMIKALQNIS-VQJWOFKYSA-N 0 1 276.380 0.871 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2c[nH]cn2)[C@@H]1C ZINC000993883423 637852135 /nfs/dbraw/zinc/85/21/35/637852135.db2.gz CTGCCOAQCKWASI-GXTWGEPZSA-N 0 1 274.368 0.945 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cn2cncn2)[C@H]1C ZINC000994377025 637924469 /nfs/dbraw/zinc/92/44/69/637924469.db2.gz MJILOUNVOXKALU-OLZOCXBDSA-N 0 1 275.356 0.271 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCN(C(=O)[C@H]2CCCN2C)CC1 ZINC000940508854 638469491 /nfs/dbraw/zinc/46/94/91/638469491.db2.gz VRMUQHKTKAISPQ-CHWSQXEVSA-N 0 1 292.383 0.301 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(C2CN(CC[C@H](C)F)C2)CC1 ZINC000941349569 638528839 /nfs/dbraw/zinc/52/88/39/638528839.db2.gz YSEYQLWFTQYRDI-OLZOCXBDSA-N 0 1 296.390 0.723 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnn(CC)n2)C1 ZINC001014367061 650442338 /nfs/dbraw/zinc/44/23/38/650442338.db2.gz DUJMAORNRYWTFJ-LLVKDONJSA-N 0 1 261.329 0.125 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cn[nH]n2)CC1(C)C ZINC000995985087 638806567 /nfs/dbraw/zinc/80/65/67/638806567.db2.gz AMMKQVJBIJKPKC-JTQLQIEISA-N 0 1 283.763 0.997 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC001015974744 638850307 /nfs/dbraw/zinc/85/03/07/638850307.db2.gz JTRKXZSURIGUMF-CYBMUJFWSA-N 0 1 262.357 0.822 20 30 CCEDMN CC#CC[NH+]1CCC([C@@H](C)NC(=O)c2[n-]nnc2C)CC1 ZINC000997256642 638861020 /nfs/dbraw/zinc/86/10/20/638861020.db2.gz ZUSSENNLBHAHEQ-LLVKDONJSA-N 0 1 289.383 0.967 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nnn(C(C)(C)C)n2)C1 ZINC001014494213 650457252 /nfs/dbraw/zinc/45/72/52/650457252.db2.gz LJACWODILCPTOB-NSHDSACASA-N 0 1 292.387 0.808 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001016118552 638933287 /nfs/dbraw/zinc/93/32/87/638933287.db2.gz CIYDONLCNFIHPN-QWHCGFSZSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnn(C)c2)CC1 ZINC001000418465 639085951 /nfs/dbraw/zinc/08/59/51/639085951.db2.gz JRVREBXJJQBFID-UHFFFAOYSA-N 0 1 258.325 0.415 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cnn(C)c2)CC1 ZINC001000430381 639088311 /nfs/dbraw/zinc/08/83/11/639088311.db2.gz VTHOQOWGBMKARV-UHFFFAOYSA-N 0 1 272.352 0.805 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2ccn[nH]2)CC1 ZINC001000463168 639092036 /nfs/dbraw/zinc/09/20/36/639092036.db2.gz PDURWBMNVJMQJM-UHFFFAOYSA-N 0 1 258.325 0.795 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2CCC(=O)N2)CC1 ZINC001000525608 639113008 /nfs/dbraw/zinc/11/30/08/639113008.db2.gz MHMAMAJXIKBDOL-CYBMUJFWSA-N 0 1 275.352 0.037 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC001014633888 650470286 /nfs/dbraw/zinc/47/02/86/650470286.db2.gz OHQRIIBUANAXOT-ZIAGYGMSSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2nn(C)cc2C)CC1 ZINC001000674290 639155013 /nfs/dbraw/zinc/15/50/13/639155013.db2.gz NJIAWZIFFVTDNL-UHFFFAOYSA-N 0 1 272.352 0.724 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2[nH]c(=O)[nH]c2C)CC1 ZINC001000805530 639170307 /nfs/dbraw/zinc/17/03/07/639170307.db2.gz BBMVZDDTRMQJOD-UHFFFAOYSA-N 0 1 274.324 0.419 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc3nncn3c2)CC1 ZINC001001262414 639263829 /nfs/dbraw/zinc/26/38/29/639263829.db2.gz DBDYSXIMAUGKMF-UHFFFAOYSA-N 0 1 295.346 0.724 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001014744248 650484659 /nfs/dbraw/zinc/48/46/59/650484659.db2.gz SDGHLQIOWCCTQX-LLVKDONJSA-N 0 1 284.323 0.180 20 30 CCEDMN N#Cc1cc(C(=O)NCC2CN(C(=O)c3ccn[nH]3)C2)c[nH]1 ZINC001001494307 639307211 /nfs/dbraw/zinc/30/72/11/639307211.db2.gz ULJHMNFUGPYAHU-UHFFFAOYSA-N 0 1 298.306 0.111 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cnccc2C)C1 ZINC001014777223 650488054 /nfs/dbraw/zinc/48/80/54/650488054.db2.gz VIKYAXSGGSQWQH-AWEZNQCLSA-N 0 1 257.337 0.756 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(C)c(C)cc2=O)C1 ZINC001014828949 650492542 /nfs/dbraw/zinc/49/25/42/650492542.db2.gz LANPKAQGWFJBCF-CYBMUJFWSA-N 0 1 287.363 0.521 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](C)n2cncn2)CC1 ZINC001002640687 639437841 /nfs/dbraw/zinc/43/78/41/639437841.db2.gz BONONXLAIKRJKX-LBPRGKRZSA-N 0 1 275.356 0.443 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCc3nnc(C)n3C2)C1 ZINC001016326776 639448656 /nfs/dbraw/zinc/44/86/56/639448656.db2.gz GDMLLILNFRNHDF-OLZOCXBDSA-N 0 1 289.383 0.525 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001014919823 650503765 /nfs/dbraw/zinc/50/37/65/650503765.db2.gz JNQIDJVURUKTNZ-IUODEOHRSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn3ccncc23)CC1 ZINC001003032880 639497468 /nfs/dbraw/zinc/49/74/68/639497468.db2.gz OYGIVLBQAFABIZ-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2coc(C(N)=O)c2)CC1 ZINC001003375268 639534800 /nfs/dbraw/zinc/53/48/00/639534800.db2.gz LHWXFQSZTBZZSB-UHFFFAOYSA-N 0 1 289.335 0.596 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001015015730 650515019 /nfs/dbraw/zinc/51/50/19/650515019.db2.gz UMQPETSEXBLCEG-ZIAGYGMSSA-N 0 1 286.379 0.659 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@@H]2CCc3[nH]nnc3C2)CC1 ZINC001003957015 639656444 /nfs/dbraw/zinc/65/64/44/639656444.db2.gz DFYUWIWUHNBKQM-SNVBAGLBSA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@@H]2CCc3nn[nH]c3C2)CC1 ZINC001003957015 639656447 /nfs/dbraw/zinc/65/64/47/639656447.db2.gz DFYUWIWUHNBKQM-SNVBAGLBSA-N 0 1 288.355 0.014 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2n[nH]c3c2CCC3)C1 ZINC001015076652 650526057 /nfs/dbraw/zinc/52/60/57/650526057.db2.gz IAFOABOPIXPRJK-SNVBAGLBSA-N 0 1 260.341 0.889 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn3cccnc3n2)C1 ZINC001015083707 650526352 /nfs/dbraw/zinc/52/63/52/650526352.db2.gz IYTNYEKQEOYGIX-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnn3c2OCCC3)C1 ZINC001015108341 650528277 /nfs/dbraw/zinc/52/82/77/650528277.db2.gz OXSIZDLGBOWQGS-GFCCVEGCSA-N 0 1 288.351 0.493 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccnnc2C)C1 ZINC001015120684 650529286 /nfs/dbraw/zinc/52/92/86/650529286.db2.gz QWJGAMQKWRUYBF-GFCCVEGCSA-N 0 1 258.325 0.612 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC001005169352 639884974 /nfs/dbraw/zinc/88/49/74/639884974.db2.gz AIILRWSUPVCDSC-CHWSQXEVSA-N 0 1 278.352 0.352 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC001005169354 639885369 /nfs/dbraw/zinc/88/53/69/639885369.db2.gz AIILRWSUPVCDSC-QWHCGFSZSA-N 0 1 278.352 0.352 20 30 CCEDMN CN(C(=O)Cc1ccn[nH]1)C1CCN(CC#N)CC1 ZINC001005208273 639889980 /nfs/dbraw/zinc/88/99/80/639889980.db2.gz ZJXRLFBZRRQHAY-UHFFFAOYSA-N 0 1 261.329 0.399 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CO[C@@H](C)C2)CC1 ZINC001005610129 639944756 /nfs/dbraw/zinc/94/47/56/639944756.db2.gz FUXNGEYBFCDRRJ-QWHCGFSZSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC001005818748 639975100 /nfs/dbraw/zinc/97/51/00/639975100.db2.gz TWWQLVIWUSVFSQ-STQMWFEESA-N 0 1 277.368 0.054 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCC(=O)N2C)CC1 ZINC001005868720 640003275 /nfs/dbraw/zinc/00/32/75/640003275.db2.gz ALCBTKQCIRREMQ-CQSZACIVSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc3n[nH]cc3c2)C1 ZINC001014883300 640185680 /nfs/dbraw/zinc/18/56/80/640185680.db2.gz AOATVKBVPTYGQK-ZDUSSCGKSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccncn2)CC1 ZINC001006154552 640187697 /nfs/dbraw/zinc/18/76/97/640187697.db2.gz GJSYWJPUEOTAPV-UHFFFAOYSA-N 0 1 258.325 0.646 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2nc[nH]n2)CC1 ZINC001006165635 640191110 /nfs/dbraw/zinc/19/11/10/640191110.db2.gz YNFXCTXNKGQLTM-UHFFFAOYSA-N 0 1 261.329 0.364 20 30 CCEDMN Oc1ccc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)nc1 ZINC000755816174 640338627 /nfs/dbraw/zinc/33/86/27/640338627.db2.gz YTEGLQQWCFDKBZ-VXGBXAGGSA-N 0 1 259.313 0.981 20 30 CCEDMN O=C1C=C(NNc2ccc(-n3cccn3)nn2)[C@@H]2CCCN12 ZINC000799477183 640575257 /nfs/dbraw/zinc/57/52/57/640575257.db2.gz KAJOIPWJPBJGQG-NSHDSACASA-N 0 1 297.322 0.825 20 30 CCEDMN C[C@H](C#N)OCCN1CCC[C@@H](N2CCNC2=O)C1 ZINC000801921385 640674225 /nfs/dbraw/zinc/67/42/25/640674225.db2.gz BJJQZUCJFXAGJS-VXGBXAGGSA-N 0 1 266.345 0.405 20 30 CCEDMN C[C@@H](C#N)OCCN1CCC[C@@H]1C(=O)NC1CC1 ZINC000801921063 640674833 /nfs/dbraw/zinc/67/48/33/640674833.db2.gz XAOFUCKMKJUDLM-CMPLNLGQSA-N 0 1 251.330 0.658 20 30 CCEDMN C[C@H](C#N)OCCN[C@](C)(C(N)=O)c1ccccc1 ZINC000801931280 640675846 /nfs/dbraw/zinc/67/58/46/640675846.db2.gz WKFMZEXZYSWPAF-RISCZKNCSA-N 0 1 261.325 0.905 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CCN(CCO)C2)CC1 ZINC001015655541 650602460 /nfs/dbraw/zinc/60/24/60/650602460.db2.gz RYJIHDPGEGXAQG-CYBMUJFWSA-N 0 1 252.358 0.916 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nnn(CC)c2CC)C1 ZINC001015691318 650610008 /nfs/dbraw/zinc/61/00/08/650610008.db2.gz BEXNNVXRAJDHPX-LLVKDONJSA-N 0 1 277.372 0.851 20 30 CCEDMN Cc1nn2c(C=[NH+][N-]c3nc[nH]n3)c(C)nc2s1 ZINC000807997391 640844697 /nfs/dbraw/zinc/84/46/97/640844697.db2.gz LGQLTPUAWDFQGU-UHFFFAOYSA-N 0 1 262.302 0.972 20 30 CCEDMN CC1(C)CCN(CC(=O)N[C@@H]2CCN(CC#N)C2)C1 ZINC001015704657 650611693 /nfs/dbraw/zinc/61/16/93/650611693.db2.gz BVZWLSILHIXZEL-GFCCVEGCSA-N 0 1 264.373 0.432 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001015761855 650625104 /nfs/dbraw/zinc/62/51/04/650625104.db2.gz MCIUWKVLZLNBMH-LBPRGKRZSA-N 0 1 273.336 0.923 20 30 CCEDMN Cn1ncc(CN=Nc2nc(-c3ccncc3)no2)c1N ZINC000814827447 641077302 /nfs/dbraw/zinc/07/73/02/641077302.db2.gz KPLHPEBPBGLWNE-UHFFFAOYSA-N 0 1 284.283 0.893 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC2(CC1)OCCCO2 ZINC000815154414 641097490 /nfs/dbraw/zinc/09/74/90/641097490.db2.gz BQLCMNMBJSHGIY-ZDUSSCGKSA-N 0 1 295.383 0.976 20 30 CCEDMN C[C@@H](C(=O)N[C@@H]1CCN(O)C1=O)N1CCCCCC1 ZINC000816696565 641199294 /nfs/dbraw/zinc/19/92/94/641199294.db2.gz AXPDIGHSSYQYAS-WDEREUQCSA-N 0 1 269.345 0.357 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc3n[nH]c(=O)n3c2)C1 ZINC001015836388 650637653 /nfs/dbraw/zinc/63/76/53/650637653.db2.gz NPLHNFKLWJXPTO-LLVKDONJSA-N 0 1 287.323 0.425 20 30 CCEDMN O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1nnc[n-]1 ZINC001015873790 650643674 /nfs/dbraw/zinc/64/36/74/650643674.db2.gz KTLBMSLAFLKXBD-CQSZACIVSA-N 0 1 295.346 0.661 20 30 CCEDMN N#CCNC(=O)CNC[C@H](O)c1c(F)cccc1F ZINC000819311485 641399992 /nfs/dbraw/zinc/39/99/92/641399992.db2.gz NJMMDIWHXXRBHT-JTQLQIEISA-N 0 1 269.251 0.228 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001015962257 650663734 /nfs/dbraw/zinc/66/37/34/650663734.db2.gz FZWQFAWNCGAZEW-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001016007295 650673633 /nfs/dbraw/zinc/67/36/33/650673633.db2.gz OVAYDQQEDXDCQR-LBPRGKRZSA-N 0 1 289.383 0.923 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H](C2CC2)N2CCOCC2)C1 ZINC001016312648 650715957 /nfs/dbraw/zinc/71/59/57/650715957.db2.gz ZIYFLXMJWSORMS-CABCVRRESA-N 0 1 293.411 0.474 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC001016434284 650731054 /nfs/dbraw/zinc/73/10/54/650731054.db2.gz WMHLHPIPXKAJEU-QWHCGFSZSA-N 0 1 267.373 0.084 20 30 CCEDMN N#CC[C@]1(O)CCN(Cc2ccc(OCC(N)=O)cc2)C1 ZINC000829974764 642214120 /nfs/dbraw/zinc/21/41/20/642214120.db2.gz JDLUGXCWTHZXEL-HNNXBMFYSA-N 0 1 289.335 0.401 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccnn1C1CCCC1 ZINC000834480815 642572258 /nfs/dbraw/zinc/57/22/58/642572258.db2.gz WTUVWQAFKYCXKX-JQWIXIFHSA-N 0 1 273.340 0.840 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1c[nH]c2ncccc12 ZINC000834481008 642572494 /nfs/dbraw/zinc/57/24/94/642572494.db2.gz ZDOMHWZOKQUZJE-CMPLNLGQSA-N 0 1 269.308 0.333 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000834480490 642572721 /nfs/dbraw/zinc/57/27/21/642572721.db2.gz QSVPBGMPDYDWJE-DGCLKSJQSA-N 0 1 288.307 0.755 20 30 CCEDMN Cc1c2ccccc2oc(=O)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834480859 642572751 /nfs/dbraw/zinc/57/27/51/642572751.db2.gz XIPSSMHBVPHTGZ-ZYHUDNBSSA-N 0 1 297.314 0.943 20 30 CCEDMN C[C@@H]1CCN(c2cc(C(=O)N[C@H]3CNC[C@@H]3C#N)ccn2)C1 ZINC000834480664 642572855 /nfs/dbraw/zinc/57/28/55/642572855.db2.gz ULVRLMBPSXOYQP-XBFCOCLRSA-N 0 1 299.378 0.769 20 30 CCEDMN CC(C)Cc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc(=O)[nH]1 ZINC000834485073 642575899 /nfs/dbraw/zinc/57/58/99/642575899.db2.gz RLWWXHVEPXDMAE-DGCLKSJQSA-N 0 1 288.351 0.827 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1coc(=O)c2ccccc12 ZINC000834485053 642576989 /nfs/dbraw/zinc/57/69/89/642576989.db2.gz RCDPYPZNOAOAJK-RNCFNFMXSA-N 0 1 283.287 0.634 20 30 CCEDMN Cn1cc(-c2cccc(C(=O)N[C@@H]3CNC[C@H]3C#N)c2)cn1 ZINC000834489069 642579639 /nfs/dbraw/zinc/57/96/39/642579639.db2.gz JBDFRFTYUZPVKB-UKRRQHHQSA-N 0 1 295.346 0.928 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(Cl)cn1 ZINC000834490864 642582298 /nfs/dbraw/zinc/58/22/98/642582298.db2.gz XPZAFNIGHINRJK-XVKPBYJWSA-N 0 1 250.689 0.576 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc([N+](=O)[O-])c1 ZINC000834493200 642583833 /nfs/dbraw/zinc/58/38/33/642583833.db2.gz BQKQWBHXAKEPNG-CMPLNLGQSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CNC(=O)CCC1CCCC1 ZINC000834494923 642584753 /nfs/dbraw/zinc/58/47/53/642584753.db2.gz QYQRLCVKRWYRLU-CHWSQXEVSA-N 0 1 292.383 0.301 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc(Cl)n1 ZINC000834495419 642585246 /nfs/dbraw/zinc/58/52/46/642585246.db2.gz VLDSIELHQHQXNJ-VXNVDRBHSA-N 0 1 250.689 0.576 20 30 CCEDMN C[C@H](Oc1ccc(F)c(F)c1)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834495201 642585371 /nfs/dbraw/zinc/58/53/71/642585371.db2.gz SVQXNDBWEWWRMJ-RVBZMBCESA-N 0 1 295.289 0.960 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCc1nccs1 ZINC000834495273 642585727 /nfs/dbraw/zinc/58/57/27/642585727.db2.gz TUSLWUDWRFIOAA-BDAKNGLRSA-N 0 1 250.327 0.303 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc(Cl)n1 ZINC000834495418 642586012 /nfs/dbraw/zinc/58/60/12/642586012.db2.gz VLDSIELHQHQXNJ-IONNQARKSA-N 0 1 250.689 0.576 20 30 CCEDMN Cc1cccc(-n2cc(C(=O)N[C@@H]3CNC[C@H]3C#N)nn2)c1 ZINC000834495800 642587098 /nfs/dbraw/zinc/58/70/98/642587098.db2.gz ZUPREKWYZDKOIL-DGCLKSJQSA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccncc1C(F)(F)F ZINC000834500042 642588575 /nfs/dbraw/zinc/58/85/75/642588575.db2.gz PXUNCRYQLLJPQV-XVKPBYJWSA-N 0 1 284.241 0.942 20 30 CCEDMN CCCCn1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(C)n1 ZINC000834499316 642588752 /nfs/dbraw/zinc/58/87/52/642588752.db2.gz HCDFAWOWMKSBRG-DGCLKSJQSA-N 0 1 275.356 0.833 20 30 CCEDMN C[C@H](NC(=O)CC(C)(C)C)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834499252 642589366 /nfs/dbraw/zinc/58/93/66/642589366.db2.gz GOAKKQSBZKVVIJ-GARJFASQSA-N 0 1 280.372 0.155 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(-c2ccncc2)on1 ZINC000834501154 642590834 /nfs/dbraw/zinc/59/08/34/642590834.db2.gz ZRLLAYOUDSDWKY-ZYHUDNBSSA-N 0 1 283.291 0.578 20 30 CCEDMN Cc1nc(C)c(C(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000834506803 642593402 /nfs/dbraw/zinc/59/34/02/642593402.db2.gz WCCAXQXLKYBWOQ-RKDXNWHRSA-N 0 1 250.327 0.601 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnn(-c2ccccc2)n1 ZINC000834511163 642595924 /nfs/dbraw/zinc/59/59/24/642595924.db2.gz IYWKREKGSCJXAR-CMPLNLGQSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(=O)[nH]c2ccccc21 ZINC000834512276 642597125 /nfs/dbraw/zinc/59/71/25/642597125.db2.gz UKWDQHYXTDPTNQ-RNCFNFMXSA-N 0 1 282.303 0.782 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(=O)[nH]c2ccccc21 ZINC000834512277 642597701 /nfs/dbraw/zinc/59/77/01/642597701.db2.gz UKWDQHYXTDPTNQ-TVQRCGJNSA-N 0 1 282.303 0.782 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1CC(=O)N(C2CCCC2)C1 ZINC000834511942 642597772 /nfs/dbraw/zinc/59/77/72/642597772.db2.gz QBSWQWHWMDQZOS-NQBHXWOUSA-N 0 1 290.367 0.005 20 30 CCEDMN CC(=O)Nc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834512010 642597867 /nfs/dbraw/zinc/59/78/67/642597867.db2.gz QXEHYJGOMWVPPG-WCQYABFASA-N 0 1 272.308 0.486 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(-n2ccnc2)nc1 ZINC000834517714 642602076 /nfs/dbraw/zinc/60/20/76/642602076.db2.gz YMMMYWKOVKYBAE-NEPJUHHUSA-N 0 1 282.307 0.109 20 30 CCEDMN Cc1sc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1[N+](=O)[O-] ZINC000834517837 642602275 /nfs/dbraw/zinc/60/22/75/642602275.db2.gz ZXZLYTLVIHQBJG-SFYZADRCSA-N 0 1 280.309 0.806 20 30 CCEDMN Cc1ccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c(C)n1 ZINC000841141661 642804622 /nfs/dbraw/zinc/80/46/22/642804622.db2.gz OGPXBFQESZCMQN-ZYHUDNBSSA-N 0 1 259.313 0.932 20 30 CCEDMN Cc1nccc(NC(=O)N[C@H]2CNC[C@H]2C#N)c1Cl ZINC000841178389 642807087 /nfs/dbraw/zinc/80/70/87/642807087.db2.gz CQVUUEZWCFUKTC-SCZZXKLOSA-N 0 1 279.731 0.698 20 30 CCEDMN Cc1cc2[nH]ncc2cc1S(=O)(=O)O[C@H]1CNOC1 ZINC000867256439 642808934 /nfs/dbraw/zinc/80/89/34/642808934.db2.gz KEFNUVGNPCPKEN-VIFPVBQESA-N 0 1 283.309 0.480 20 30 CCEDMN O=S(=O)(O[C@H]1CNOC1)c1cccc(Cl)c1O ZINC000867255842 642809044 /nfs/dbraw/zinc/80/90/44/642809044.db2.gz DOVMRZONSNFVBT-LURJTMIESA-N 0 1 279.701 0.654 20 30 CCEDMN N#C[C@@H](C(=O)NCc1ccccc1)C(=O)[C@@H]1C[C@H]1[N+](=O)[O-] ZINC000842288191 642889586 /nfs/dbraw/zinc/88/95/86/642889586.db2.gz HCNLXWMLGKELIS-IJLUTSLNSA-N 0 1 287.275 0.677 20 30 CCEDMN C#CC[C@@H]1CCCN(CN2CC(=O)N(CC)C2=O)C1 ZINC000842628656 642920196 /nfs/dbraw/zinc/92/01/96/642920196.db2.gz DKFXVOCKBUYZCF-GFCCVEGCSA-N 0 1 263.341 0.963 20 30 CCEDMN Cn1nccc1[C@@H](CO)NC[C@H](O)c1ccc(C#N)cc1 ZINC000843108455 642997712 /nfs/dbraw/zinc/99/77/12/642997712.db2.gz ODDDNBRKGOCWTJ-HIFRSBDPSA-N 0 1 286.335 0.648 20 30 CCEDMN C[C@H](O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(C#N)c1 ZINC001017489842 650805535 /nfs/dbraw/zinc/80/55/35/650805535.db2.gz KWBFCRUMYNQKBV-LEWSCRJBSA-N 0 1 288.351 0.556 20 30 CCEDMN Cc1cccc(OCCC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000843459452 643063818 /nfs/dbraw/zinc/06/38/18/643063818.db2.gz FGTVNKJDKOMOOW-OCCSQVGLSA-N 0 1 273.336 0.992 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc2n[nH]cc21 ZINC000843460586 643065130 /nfs/dbraw/zinc/06/51/30/643065130.db2.gz HWTVPYVUIHYSAK-PRHODGIISA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CSC(F)(F)F ZINC000843460418 643065166 /nfs/dbraw/zinc/06/51/66/643065166.db2.gz HNMAPSIAIKGISO-RITPCOANSA-N 0 1 253.249 0.467 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(F)c(F)c1 ZINC000843462425 643065993 /nfs/dbraw/zinc/06/59/93/643065993.db2.gz QEXFDNINMBFLAS-KWQFWETISA-N 0 1 251.236 0.806 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CCNC1=O ZINC001017936294 650835711 /nfs/dbraw/zinc/83/57/11/650835711.db2.gz GPEBINSHBCRLEK-DVOMOZLQSA-N 0 1 291.395 0.764 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(-n2cc[nH]c2=O)cc1 ZINC000846676983 643441785 /nfs/dbraw/zinc/44/17/85/643441785.db2.gz JBTRNJBPRBZLHB-WCQYABFASA-N 0 1 297.318 0.419 20 30 CCEDMN COCC(=O)N1CC[C@H](NCc2ccccc2C#N)C1 ZINC001018389384 650858355 /nfs/dbraw/zinc/85/83/55/650858355.db2.gz XBWPIMCLOJDGCX-AWEZNQCLSA-N 0 1 273.336 0.895 20 30 CCEDMN N#CC[C@]1(O)CCN(S(=O)(=O)c2ccccc2O)C1 ZINC000849402355 643739231 /nfs/dbraw/zinc/73/92/31/643739231.db2.gz ZKIDYNZXPRPSMK-LBPRGKRZSA-N 0 1 282.321 0.431 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccc2c(c1)CCNC2=O ZINC000850754126 643811259 /nfs/dbraw/zinc/81/12/59/643811259.db2.gz JRMRKXZUAQFUNM-MFKMUULPSA-N 0 1 299.334 0.206 20 30 CCEDMN N#CC(C(=O)NC1CCCC1)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000850768082 643812305 /nfs/dbraw/zinc/81/23/05/643812305.db2.gz LTBLFZAMUYECRT-NNYUYHANSA-N 0 1 262.309 0.646 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@H](N2CCOC2=O)C1 ZINC000852438193 643964642 /nfs/dbraw/zinc/96/46/42/643964642.db2.gz NMUIGDAJINHOAV-MNOVXSKESA-N 0 1 253.302 0.442 20 30 CCEDMN CC#CC(=O)N1CC[C@@H](C)[C@@H](NC(=O)C(F)(F)F)C1 ZINC000856415901 644418409 /nfs/dbraw/zinc/41/84/09/644418409.db2.gz IZMZBHJAFQEWFL-BDAKNGLRSA-N 0 1 276.258 0.925 20 30 CCEDMN N#CCC(=O)N1CCN(C[C@H]2CCSC2)CC1 ZINC000857760753 644556894 /nfs/dbraw/zinc/55/68/94/644556894.db2.gz GIGDTYQRZGNXRA-LLVKDONJSA-N 0 1 253.371 0.797 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2cccc3n[nH]cc32)C1 ZINC001019221209 650948097 /nfs/dbraw/zinc/94/80/97/650948097.db2.gz WIJDTLKCFLQXPY-JTQLQIEISA-N 0 1 269.308 0.891 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1cnn(CCF)c1 ZINC000859733732 644728004 /nfs/dbraw/zinc/72/80/04/644728004.db2.gz XZDUFVHRQMDUJL-PSASIEDQSA-N 0 1 266.280 0.086 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001019367649 650966250 /nfs/dbraw/zinc/96/62/50/650966250.db2.gz HSXFQFXPQFBMMB-RYUDHWBXSA-N 0 1 299.802 0.988 20 30 CCEDMN C[C@@H]1C[N@H+](Cc2ccccc2)CC1N=NC1=NCC(=O)[N-]1 ZINC000863175106 645065279 /nfs/dbraw/zinc/06/52/79/645065279.db2.gz RTYGUEIKDLBOBT-LLVKDONJSA-N 0 1 285.351 0.570 20 30 CCEDMN C[C@@H]1C[N@@H+](Cc2ccccc2)CC1N=NC1=NCC(=O)[N-]1 ZINC000863175106 645065284 /nfs/dbraw/zinc/06/52/84/645065284.db2.gz RTYGUEIKDLBOBT-LLVKDONJSA-N 0 1 285.351 0.570 20 30 CCEDMN O=C(C#Cc1ccccc1)NCCN1CC[C@@H](O)C1 ZINC000863321955 645080709 /nfs/dbraw/zinc/08/07/09/645080709.db2.gz JNNDCTVNFARLGX-CQSZACIVSA-N 0 1 258.321 0.221 20 30 CCEDMN N#C[C@H](C(=O)NCc1ccccc1)C(=O)[C@H]1CCC(=O)O1 ZINC000870136427 645963891 /nfs/dbraw/zinc/96/38/91/645963891.db2.gz NRBPRHITAILJGH-NWDGAFQWSA-N 0 1 286.287 0.717 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@@H](NC(=O)[C@@H]1CNCCO1)C2 ZINC000871012158 646077251 /nfs/dbraw/zinc/07/72/51/646077251.db2.gz JYYLBKWHQUFMIK-KBPBESRZSA-N 0 1 271.320 0.130 20 30 CCEDMN Cc1nccnc1[C@H](C)NC[C@H](O)CN(C)CCC#N ZINC000872249573 646229403 /nfs/dbraw/zinc/22/94/03/646229403.db2.gz XOBVXDPVLNMVGD-STQMWFEESA-N 0 1 277.372 0.642 20 30 CCEDMN C[C@H](C#N)CNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872456164 646264483 /nfs/dbraw/zinc/26/44/83/646264483.db2.gz JXOJYHGBMGHGTF-BCTVWOGZSA-N 0 1 281.403 0.877 20 30 CCEDMN N#C[C@H]1CN(C(=O)CN2CCCC2)CCN1C1CC1 ZINC000874460820 646467178 /nfs/dbraw/zinc/46/71/78/646467178.db2.gz MHZVPACKYVEGHO-ZDUSSCGKSA-N 0 1 262.357 0.281 20 30 CCEDMN Cn1nccc1CNC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000876598260 646630265 /nfs/dbraw/zinc/63/02/65/646630265.db2.gz WSPRXURROCZBSH-OAHLLOKOSA-N 0 1 295.346 0.795 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NCCn1cncn1 ZINC000876648295 646637059 /nfs/dbraw/zinc/63/70/59/646637059.db2.gz PYOGMXRHIZNJNM-CQSZACIVSA-N 0 1 296.334 0.153 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C(F)(F)C1CCOCC1 ZINC000876801429 646669246 /nfs/dbraw/zinc/66/92/46/646669246.db2.gz CEKFHYAGTPVNLX-PSASIEDQSA-N 0 1 273.283 0.276 20 30 CCEDMN C[C@]1(Br)C[C@@H]1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000876801360 646669434 /nfs/dbraw/zinc/66/94/34/646669434.db2.gz BNSOFHJYWIYZSN-DQUBFYRCSA-N 0 1 272.146 0.388 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@H](O)CC2(O)CCCCC2)C1 ZINC000878761566 646975372 /nfs/dbraw/zinc/97/53/72/646975372.db2.gz NPYWJPCEDLYKLG-UKRRQHHQSA-N 0 1 282.384 0.783 20 30 CCEDMN N#Cc1ccc(C2CCN([C@H]3CCC(=O)NC3=O)CC2)nc1 ZINC000879023715 647014993 /nfs/dbraw/zinc/01/49/93/647014993.db2.gz PVTYPGUINRDZKZ-AWEZNQCLSA-N 0 1 298.346 0.938 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H](CO)CN2CCCC2)co1 ZINC000879989167 647139170 /nfs/dbraw/zinc/13/91/70/647139170.db2.gz CVGKVIDJQPTLHE-NSHDSACASA-N 0 1 263.297 0.338 20 30 CCEDMN N#Cc1ccccc1OC[C@@H](O)CN1CC=C[C@@H]1CO ZINC000880494822 647214172 /nfs/dbraw/zinc/21/41/72/647214172.db2.gz SZHOYQPYIDNXAQ-KGLIPLIRSA-N 0 1 274.320 0.531 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCCC[C@H]2C(N)=O)CCC1 ZINC000885947065 647887036 /nfs/dbraw/zinc/88/70/36/647887036.db2.gz ZHZWYMHPBXFBNX-NEPJUHHUSA-N 0 1 265.357 0.771 20 30 CCEDMN N#Cc1ccc([C@H]2CN(C(=O)Cc3ncn[nH]3)CCO2)cc1 ZINC000887737523 648149172 /nfs/dbraw/zinc/14/91/72/648149172.db2.gz XRTDPHLHWKJYEF-CYBMUJFWSA-N 0 1 297.318 0.819 20 30 CCEDMN N#Cc1cc(C(=O)N2CCc3nc[nH]c3C2)ccn1 ZINC000929081508 648699172 /nfs/dbraw/zinc/69/91/72/648699172.db2.gz NAOYPSODQIZLOA-UHFFFAOYSA-N 0 1 253.265 0.875 20 30 CCEDMN C[C@@H](O)[C@@H](O)CN1CCN(Cc2ccc(C#N)cc2)CC1 ZINC000929235946 648716209 /nfs/dbraw/zinc/71/62/09/648716209.db2.gz GJYMDDWVKPLSES-CJNGLKHVSA-N 0 1 289.379 0.418 20 30 CCEDMN N#CC[C@@H](O)CN1CCCC[C@@H]1CN1CCCC1=O ZINC000929622815 648768285 /nfs/dbraw/zinc/76/82/85/648768285.db2.gz XYSGKOXJBCIIIJ-CHWSQXEVSA-N 0 1 265.357 0.738 20 30 CCEDMN C#CCN(C[C@@H]1C[C@H]2COC[C@@H]2O1)C1CSC1 ZINC000930959643 648929742 /nfs/dbraw/zinc/92/97/42/648929742.db2.gz BINBVOPQLPAVNL-DRZSPHRISA-N 0 1 253.367 0.841 20 30 CCEDMN N#Cc1ccc(CN2CC[C@@H](CS(N)(=O)=O)C2)cc1F ZINC000932947269 649103321 /nfs/dbraw/zinc/10/33/21/649103321.db2.gz AVOHQKFUVYLQHN-LLVKDONJSA-N 0 1 297.355 0.808 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H](NC(=O)CN2CCCC2)C1 ZINC000958381655 649803579 /nfs/dbraw/zinc/80/35/79/649803579.db2.gz YZHRXQALWUYONP-YNEHKIRRSA-N 0 1 292.383 0.205 20 30 CCEDMN CN1CCO[C@H]2CN(c3nc(Cl)cnc3C#N)C[C@@H]21 ZINC001164671407 719380031 /nfs/dbraw/zinc/38/00/31/719380031.db2.gz XFZOJPVEUHIIRI-UWVGGRQHSA-N 0 1 279.731 0.521 20 30 CCEDMN C=CCOc1cc(C)nc(N2C[C@@H]3[C@H](C2)OCCN3C)n1 ZINC001164668028 719396120 /nfs/dbraw/zinc/39/61/20/719396120.db2.gz PMWZXADTXIRBLJ-OLZOCXBDSA-N 0 1 290.367 0.869 20 30 CCEDMN Cc1nc(Cl)nc(N2C[C@H]3OCCN(C)[C@@H]3C2)c1C#N ZINC001164671238 719396424 /nfs/dbraw/zinc/39/64/24/719396424.db2.gz YFBMTZGXQVOFSS-GHMZBOCLSA-N 0 1 293.758 0.829 20 30 CCEDMN Cc1nc(N2C[C@H]3OCCN(C)[C@@H]3C2)ccc1CC#N ZINC001164666997 719453648 /nfs/dbraw/zinc/45/36/48/719453648.db2.gz FDFKNYCACNNHLU-ZIAGYGMSSA-N 0 1 272.352 0.975 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccco1 ZINC001266250390 790329140 /nfs/dbraw/zinc/32/91/40/790329140.db2.gz OWIXFWNFFRAIHV-UHFFFAOYSA-N 0 1 250.298 0.591 20 30 CCEDMN CC#CCN1CCN(CCCNC(=O)C2CCC2)CC1 ZINC001266254721 790333394 /nfs/dbraw/zinc/33/33/94/790333394.db2.gz SPHJVDSBIPUEQJ-UHFFFAOYSA-N 0 1 277.412 0.934 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cc(Cl)c[nH]1 ZINC001266255582 790335704 /nfs/dbraw/zinc/33/57/04/790335704.db2.gz WMWBQUAWQDMCDC-UHFFFAOYSA-N 0 1 283.759 0.980 20 30 CCEDMN Cc1nc(CN2CC[C@H](NC(=O)C#CC(C)(C)C)C2)n[nH]1 ZINC001266319529 790497226 /nfs/dbraw/zinc/49/72/26/790497226.db2.gz NDJLHCWMAPIBCZ-LBPRGKRZSA-N 0 1 289.383 0.853 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H](Cc1ccccc1)OC ZINC001266360155 790585146 /nfs/dbraw/zinc/58/51/46/790585146.db2.gz SPBBWYAQQBZMGS-OAHLLOKOSA-N 0 1 274.364 0.925 20 30 CCEDMN CCc1nc(C(=O)NCCN(CC)CC#CCOC)co1 ZINC001266386075 790620422 /nfs/dbraw/zinc/62/04/22/790620422.db2.gz QWUVHHNMRWNKTC-UHFFFAOYSA-N 0 1 293.367 0.939 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](CNC(=O)C(C)(C)F)C1 ZINC001266497443 790791273 /nfs/dbraw/zinc/79/12/73/790791273.db2.gz FUEHQNMSQYKUJZ-NWDGAFQWSA-N 0 1 299.390 0.863 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H]1CCN([C@@H](C)C(=O)N(C)C)C1 ZINC001266511259 790832044 /nfs/dbraw/zinc/83/20/44/790832044.db2.gz LBXXIFJKKGOBBW-KBPBESRZSA-N 0 1 293.411 0.561 20 30 CCEDMN CC#CCN(CCNC(=O)[C@]1(F)CCOC1)C1CC1 ZINC001266606747 791015148 /nfs/dbraw/zinc/01/51/48/791015148.db2.gz CEBOTZUOETXZIH-AWEZNQCLSA-N 0 1 268.332 0.719 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)C1=COCCO1 ZINC001230653935 805643293 /nfs/dbraw/zinc/64/32/93/805643293.db2.gz JKKHSKVOPXNQTH-ZDUSSCGKSA-N 0 1 296.367 0.610 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)CCCNC(=O)C1CC1 ZINC001231064857 805722410 /nfs/dbraw/zinc/72/24/10/805722410.db2.gz QADGNCJTQDKMDQ-UHFFFAOYSA-N 0 1 294.399 0.597 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@@H](C)OC ZINC001231149151 805739550 /nfs/dbraw/zinc/73/95/50/805739550.db2.gz KCMXESCOGUGDQF-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)CC(C)C ZINC001231320276 805776734 /nfs/dbraw/zinc/77/67/34/805776734.db2.gz UOUKXSDXPGFZTD-UHFFFAOYSA-N 0 1 267.373 0.220 20 30 CCEDMN COc1cc(C#N)ccc1CN1C[C@@H](O)[C@H](CO)C1 ZINC001231617365 805820700 /nfs/dbraw/zinc/82/07/00/805820700.db2.gz UXHBNRLLONIOML-QWHCGFSZSA-N 0 1 262.309 0.352 20 30 CCEDMN CN(C(=O)C#CC1CC1)C1CN(C[C@H]2CCOC2)C1 ZINC001277593184 805877282 /nfs/dbraw/zinc/87/72/82/805877282.db2.gz CZFLJQRYADUATP-CYBMUJFWSA-N 0 1 262.353 0.579 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2CCNC2=O)C1 ZINC001277637279 805914459 /nfs/dbraw/zinc/91/44/59/805914459.db2.gz VXKSUXYIQGDOET-WCQYABFASA-N 0 1 279.384 0.620 20 30 CCEDMN COC(=O)[C@H]1CN(Cc2cc(C#N)ccc2O)CCO1 ZINC001232673644 805967260 /nfs/dbraw/zinc/96/72/60/805967260.db2.gz KLMQFXVUZMSMDA-CYBMUJFWSA-N 0 1 276.292 0.638 20 30 CCEDMN CC(=O)N1CC[NH+](Cc2cc(C#N)ccc2[O-])CC1 ZINC001232675145 805969194 /nfs/dbraw/zinc/96/91/94/805969194.db2.gz LZSPRTMLLIEWAC-UHFFFAOYSA-N 0 1 259.309 0.928 20 30 CCEDMN N#Cc1cccc(CN2CCN(CCCO)CC2)n1 ZINC001232922551 805996552 /nfs/dbraw/zinc/99/65/52/805996552.db2.gz IJHYMTUBVACETC-UHFFFAOYSA-N 0 1 260.341 0.453 20 30 CCEDMN CN1CCN(C2CN(Cc3cccc(C#N)n3)C2)CC1 ZINC001232926743 805998128 /nfs/dbraw/zinc/99/81/28/805998128.db2.gz ADSBRBZWTGDZTP-UHFFFAOYSA-N 0 1 271.368 0.385 20 30 CCEDMN C=CCCCN1CC[C@@H]2C[C@@]21C(=O)NCC(=O)N(C)C ZINC001277758776 806018876 /nfs/dbraw/zinc/01/88/76/806018876.db2.gz XGODEZSOMHPLCX-DOMZBBRYSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](CNC(=O)[C@@H](C)CC)C1 ZINC001266739756 791201785 /nfs/dbraw/zinc/20/17/85/791201785.db2.gz DABNGJGQPFTTTH-KBPBESRZSA-N 0 1 293.411 0.610 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCCN(CC(=O)NCC)C1 ZINC001266747400 791207443 /nfs/dbraw/zinc/20/74/43/791207443.db2.gz QTNDCSOOJYDBRZ-AWEZNQCLSA-N 0 1 293.411 0.754 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)Cc3ncc[nH]3)[C@H]2C1 ZINC001083234065 791468882 /nfs/dbraw/zinc/46/88/82/791468882.db2.gz JWYRWSIRXIZAFQ-QWHCGFSZSA-N 0 1 290.367 0.440 20 30 CCEDMN COCC#CC[N@@H+](C)CCCNC(=O)[C@H]1C[C@H]1C ZINC001233503084 806069142 /nfs/dbraw/zinc/06/91/42/806069142.db2.gz OGBGVBDGLSXUJR-OLZOCXBDSA-N 0 1 252.358 0.730 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C=C(C)C ZINC001233535601 806077391 /nfs/dbraw/zinc/07/73/91/806077391.db2.gz UFOYKLIMAIOPOC-UHFFFAOYSA-N 0 1 265.357 0.140 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CC(=O)N(C(C)(C)C)C1 ZINC001267198770 791659144 /nfs/dbraw/zinc/65/91/44/791659144.db2.gz AUQPYOYOFNGBDX-GFCCVEGCSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnn(CCF)c1 ZINC001267205194 791671426 /nfs/dbraw/zinc/67/14/26/791671426.db2.gz WBZQITIYGBYDCQ-UHFFFAOYSA-N 0 1 252.293 0.147 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H](C)NC(=O)c1ccoc1 ZINC001267198301 791661256 /nfs/dbraw/zinc/66/12/56/791661256.db2.gz KRELNEXOQQDMOO-GFCCVEGCSA-N 0 1 291.351 0.469 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1[nH]c(C)cc1C ZINC001267203682 791667340 /nfs/dbraw/zinc/66/73/40/791667340.db2.gz XDRIXHDOTNQMSV-UHFFFAOYSA-N 0 1 277.368 0.943 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc(-n2cnnc2)cc1 ZINC001267221304 791694916 /nfs/dbraw/zinc/69/49/16/791694916.db2.gz BYDAZVPGAWUHBP-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CC#CC[N@H+](C)CCNC(=O)c1cc(CC)n(C)n1 ZINC001267233330 791716581 /nfs/dbraw/zinc/71/65/81/791716581.db2.gz MRESJAVDXSTMKI-UHFFFAOYSA-N 0 1 262.357 0.667 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cc(CC)n(C)n1 ZINC001267233330 791716587 /nfs/dbraw/zinc/71/65/87/791716587.db2.gz MRESJAVDXSTMKI-UHFFFAOYSA-N 0 1 262.357 0.667 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)O ZINC001234013768 806168618 /nfs/dbraw/zinc/16/86/18/806168618.db2.gz XNOFSLGPTZTFOJ-LBPRGKRZSA-N 0 1 252.358 0.703 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC(C)(C)O ZINC001234013768 806168621 /nfs/dbraw/zinc/16/86/21/806168621.db2.gz XNOFSLGPTZTFOJ-LBPRGKRZSA-N 0 1 252.358 0.703 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1cccn1C ZINC001234027371 806170593 /nfs/dbraw/zinc/17/05/93/806170593.db2.gz IEYCGEJYJYYKBB-UHFFFAOYSA-N 0 1 292.383 0.379 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)c1ncc[nH]1 ZINC001234086910 806182081 /nfs/dbraw/zinc/18/20/81/806182081.db2.gz FHRVLNSTDBZGAV-LBPRGKRZSA-N 0 1 278.356 0.759 20 30 CCEDMN CN(C[C@H]1CCN1CCCO)C(=O)C#CC(C)(C)C ZINC001234216230 806204473 /nfs/dbraw/zinc/20/44/73/806204473.db2.gz VRMVDKVCWRVDEO-CYBMUJFWSA-N 0 1 266.385 0.951 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCCN(CC)CC#CC ZINC001267250728 793244995 /nfs/dbraw/zinc/24/49/95/793244995.db2.gz MXCKRMIQFWVZAA-OAHLLOKOSA-N 0 1 293.411 0.919 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@]1(C)CCOC1 ZINC001267253266 793247870 /nfs/dbraw/zinc/24/78/70/793247870.db2.gz HQGQTJXXEBNCEZ-HNNXBMFYSA-N 0 1 282.384 0.501 20 30 CCEDMN C#CC[NH2+]C[C@@H]1CCCN1C(=O)c1cccc2nn[n-]c21 ZINC001277858314 806247765 /nfs/dbraw/zinc/24/77/65/806247765.db2.gz LMOMFQXUIGOLGY-NSHDSACASA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)/C=C(\C)C1CC1 ZINC001234539872 806268898 /nfs/dbraw/zinc/26/88/98/806268898.db2.gz GWAXWPWEJHTNHW-ACCUITESSA-N 0 1 291.395 0.530 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(=O)[C@H](C)OC)C1=O ZINC001234601028 806282648 /nfs/dbraw/zinc/28/26/48/806282648.db2.gz AVJKDXYMCRKSNH-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CN(C)C(=O)C1CC1 ZINC001234975011 806321226 /nfs/dbraw/zinc/32/12/26/806321226.db2.gz PDWWYIYWKDJLQX-ZDUSSCGKSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCN(C)CC(=O)NCC ZINC001235245732 806394346 /nfs/dbraw/zinc/39/43/46/806394346.db2.gz NZKRUEMTDPWNBG-CYBMUJFWSA-N 0 1 299.415 0.542 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H](CC)OC ZINC001235325481 806406047 /nfs/dbraw/zinc/40/60/47/806406047.db2.gz KKBSAFWPQAAQDX-GFCCVEGCSA-N 0 1 285.388 0.152 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@@H](CC)OC ZINC001235386245 806414498 /nfs/dbraw/zinc/41/44/98/806414498.db2.gz KLWUWJJYQXFINQ-QWHCGFSZSA-N 0 1 299.415 0.540 20 30 CCEDMN CC[C@@H](C)c1nc([C@H](C)NC(=O)NCC#CCO)n[nH]1 ZINC001235580359 806461310 /nfs/dbraw/zinc/46/13/10/806461310.db2.gz FJEKLUZBSAKQSP-ZJUUUORDSA-N 0 1 279.344 0.674 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)[C@H](C)C(=O)N(C)C ZINC001235684209 806490650 /nfs/dbraw/zinc/49/06/50/806490650.db2.gz SPJBSOIOTJGBSH-GFCCVEGCSA-N 0 1 285.388 0.104 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@]1(C)CC=CCC1 ZINC001278086702 806654652 /nfs/dbraw/zinc/65/46/52/806654652.db2.gz YMCJPCHGWGAUOL-UKRRQHHQSA-N 0 1 264.369 0.823 20 30 CCEDMN N#Cc1cc(CN2CCN(CCCO)CC2)ccn1 ZINC001237464499 806671504 /nfs/dbraw/zinc/67/15/04/806671504.db2.gz SQSYWVOWJVBXPO-UHFFFAOYSA-N 0 1 260.341 0.453 20 30 CCEDMN C#CCN(C(=O)Cn1cccn1)C1CCN(CC#C)CC1 ZINC001278115098 806686124 /nfs/dbraw/zinc/68/61/24/806686124.db2.gz GYLQUTQIXBLFNM-UHFFFAOYSA-N 0 1 284.363 0.443 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1c[nH]cn1)Nc1cncc(C#N)n1 ZINC001120712579 798920194 /nfs/dbraw/zinc/92/01/94/798920194.db2.gz ONZBFMRQPAEUTP-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CC2(CC(NCc3cnon3)C2)C1 ZINC001120853615 798949331 /nfs/dbraw/zinc/94/93/31/798949331.db2.gz GEPFNVDGJLKULU-NJQQVTKISA-N 0 1 289.339 0.746 20 30 CCEDMN C#Cc1ccc(N2CCN(CCCCO)CC2)nc1 ZINC001120902284 798959215 /nfs/dbraw/zinc/95/92/15/798959215.db2.gz MHFAEYUOAIUZSM-UHFFFAOYSA-N 0 1 259.353 0.957 20 30 CCEDMN C=CCCC(=O)NC[C@H](CO)Nc1ncnc2[nH]cnc21 ZINC001121197837 799013003 /nfs/dbraw/zinc/01/30/03/799013003.db2.gz MHVMLODWEJPGMV-SECBINFHSA-N 0 1 290.327 0.208 20 30 CCEDMN Cn1c(-c2c[nH]nn2)nnc1N1CC[C@@](F)(C#N)C1 ZINC001121465030 799047003 /nfs/dbraw/zinc/04/70/03/799047003.db2.gz VOFCVEFHNFWRNY-SNVBAGLBSA-N 0 1 262.252 0.042 20 30 CCEDMN C=CCn1c(C2=NO[C@H](CO)C2)nnc1N1CCCCC1 ZINC001121658515 799063160 /nfs/dbraw/zinc/06/31/60/799063160.db2.gz UUEGEPFYZJFUQX-NSHDSACASA-N 0 1 291.355 0.940 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(N2CCCCC2)nc1 ZINC001121903554 799088137 /nfs/dbraw/zinc/08/81/37/799088137.db2.gz IGNOKGZODWIIPU-UHFFFAOYSA-N 0 1 286.379 0.911 20 30 CCEDMN C#CCNCC(=O)NCCCNC(=O)c1occc1C ZINC001121960978 799108373 /nfs/dbraw/zinc/10/83/73/799108373.db2.gz BPCBRACBCPBRBC-UHFFFAOYSA-N 0 1 277.324 0.047 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@H]1c1ccnn1C ZINC001122047545 799130929 /nfs/dbraw/zinc/13/09/29/799130929.db2.gz LNZFXVSHCMAMAC-ZDUSSCGKSA-N 0 1 260.341 0.697 20 30 CCEDMN C#CCNCC(=O)NCC1(C(=O)OC)CCCCC1 ZINC001122050137 799131226 /nfs/dbraw/zinc/13/12/26/799131226.db2.gz PQTDKGBQYPFITR-UHFFFAOYSA-N 0 1 266.341 0.449 20 30 CCEDMN C#CCNCC(=O)NCc1c(OC)cccc1OC ZINC001122062435 799132814 /nfs/dbraw/zinc/13/28/14/799132814.db2.gz NGKUDWKJISMBOL-UHFFFAOYSA-N 0 1 262.309 0.543 20 30 CCEDMN COc1ccccc1NC(=O)C(C#N)C(=O)c1cn(C)nn1 ZINC001122419835 799230375 /nfs/dbraw/zinc/23/03/75/799230375.db2.gz PKOLYJZNVXJEPC-SECBINFHSA-N 0 1 299.290 0.785 20 30 CCEDMN C#Cc1ccc(COC(=O)CCc2nc[nH]n2)nc1 ZINC001122963051 799322563 /nfs/dbraw/zinc/32/25/63/799322563.db2.gz IBMFHTOHIPPVRB-UHFFFAOYSA-N 0 1 256.265 0.857 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(OC)c(C)c1 ZINC001278171234 806739509 /nfs/dbraw/zinc/73/95/09/806739509.db2.gz CDXDQWDKZAUWOI-ZDUSSCGKSA-N 0 1 276.336 0.317 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@H]1CCCO1 ZINC001123143661 799362728 /nfs/dbraw/zinc/36/27/28/799362728.db2.gz KGUXQSMJMDWPGF-SECBINFHSA-N 0 1 277.162 0.780 20 30 CCEDMN C=C(C)CCNC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001123751945 799466171 /nfs/dbraw/zinc/46/61/71/799466171.db2.gz QWTSZQQIJGBFGE-OLZOCXBDSA-N 0 1 268.405 0.886 20 30 CCEDMN CC(C)[C@@H](C#N)NC(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001123790582 799474935 /nfs/dbraw/zinc/47/49/35/799474935.db2.gz URHZKRUCRZTKTE-QJPTWQEYSA-N 0 1 250.346 0.039 20 30 CCEDMN CC(C)[C@@H](C#N)NC(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001123790580 799475143 /nfs/dbraw/zinc/47/51/43/799475143.db2.gz URHZKRUCRZTKTE-IJLUTSLNSA-N 0 1 250.346 0.039 20 30 CCEDMN CC#CCN(C)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001123788646 799475337 /nfs/dbraw/zinc/47/53/37/799475337.db2.gz MQWNIOMGJOUGJV-CYBMUJFWSA-N 0 1 266.389 0.287 20 30 CCEDMN C=CCCC(=O)NCCNCc1cc(=O)c(OC)co1 ZINC001124646337 799622129 /nfs/dbraw/zinc/62/21/29/799622129.db2.gz ODWHEYNGMMNRFH-UHFFFAOYSA-N 0 1 280.324 0.820 20 30 CCEDMN C=CCOCC(=O)NCCN[C@@H](C)c1n[nH]c(CC)n1 ZINC001124767258 799633606 /nfs/dbraw/zinc/63/36/06/799633606.db2.gz ANTPLQNZEDGDRS-JTQLQIEISA-N 0 1 281.360 0.337 20 30 CCEDMN Cc1cnc([C@@H](C)NCCNC(=O)[C@@H](C)C#N)cn1 ZINC001124895354 799644448 /nfs/dbraw/zinc/64/44/48/799644448.db2.gz GXCPHBXXGYDCLF-GXSJLCMTSA-N 0 1 261.329 0.712 20 30 CCEDMN Cc1cc(NC(=O)[C@@H](C)NCCNC(=O)[C@H](C)C#N)on1 ZINC001124907859 799645855 /nfs/dbraw/zinc/64/58/55/799645855.db2.gz AWFCUOAWXVMXTC-PSASIEDQSA-N 0 1 293.327 0.176 20 30 CCEDMN N#Cc1ccc(CN2CCN(CCCO)CC2)cn1 ZINC001140539948 799920682 /nfs/dbraw/zinc/92/06/82/799920682.db2.gz DZXBJTOKRFSQKP-UHFFFAOYSA-N 0 1 260.341 0.453 20 30 CCEDMN CN(C1CN(Cc2ccc(C#N)nc2)C1)[C@H]1CCOC1 ZINC001140544533 799922107 /nfs/dbraw/zinc/92/21/07/799922107.db2.gz SPWWWKHPYMIGRR-AWEZNQCLSA-N 0 1 272.352 0.858 20 30 CCEDMN N#C[C@@H]1CN(Cc2cc3c(cn2)OCCO3)CCC1=O ZINC001143063890 800192556 /nfs/dbraw/zinc/19/25/56/800192556.db2.gz HAILXJKNNQQKRB-SNVBAGLBSA-N 0 1 273.292 0.767 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C[C@@H]2CCCO2)C1 ZINC001149227758 800237578 /nfs/dbraw/zinc/23/75/78/800237578.db2.gz NJKAYZYLYDHMKZ-LSDHHAIUSA-N 0 1 294.395 0.786 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H](C)OC)C1 ZINC001149309786 800245889 /nfs/dbraw/zinc/24/58/89/800245889.db2.gz IXTOQNPQQITCTC-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C#CC2CC2)C1 ZINC001149686169 800290263 /nfs/dbraw/zinc/29/02/63/800290263.db2.gz BGDJTVNEXBDOCX-AWEZNQCLSA-N 0 1 262.353 0.793 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CO[C@H]2CCOC2)C1 ZINC001149721546 800293933 /nfs/dbraw/zinc/29/39/33/800293933.db2.gz OTPNDZFADRYUDF-KBPBESRZSA-N 0 1 298.383 0.185 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@@H](CNC(=O)[C@]2(C)CCOC2)C1 ZINC001150487319 800339983 /nfs/dbraw/zinc/33/99/83/800339983.db2.gz HGEWNQXHSZCWEW-GOEBONIOSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@]2(C)CCOC2)C1 ZINC001150487319 800339991 /nfs/dbraw/zinc/33/99/91/800339991.db2.gz HGEWNQXHSZCWEW-GOEBONIOSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2ncc[nH]2)C1 ZINC001150507429 800343048 /nfs/dbraw/zinc/34/30/48/800343048.db2.gz FUIAFVPCBHUXSV-LBPRGKRZSA-N 0 1 278.356 0.345 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)COC)CC1 ZINC001150842737 800373049 /nfs/dbraw/zinc/37/30/49/800373049.db2.gz XOCMZOCWCCYAHB-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1ccc2cncn2c1 ZINC001152196854 800500677 /nfs/dbraw/zinc/50/06/77/800500677.db2.gz NUZLPEQIQKHQDS-GFCCVEGCSA-N 0 1 255.281 0.272 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1cc(OC)ns1 ZINC001153081193 800593767 /nfs/dbraw/zinc/59/37/67/800593767.db2.gz NTGKNSBPTZGPJQ-UHFFFAOYSA-N 0 1 299.396 0.950 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCNC(=O)CC(C)C ZINC001153605659 800645733 /nfs/dbraw/zinc/64/57/33/800645733.db2.gz LSIQIRSQZABWNA-UHFFFAOYSA-N 0 1 289.807 0.997 20 30 CCEDMN N#Cc1ccnnc1NC(=O)c1cnc2cccnc2c1O ZINC001153852147 800677786 /nfs/dbraw/zinc/67/77/86/800677786.db2.gz YFKSVXCLXRPXQR-UHFFFAOYSA-N 0 1 292.258 0.837 20 30 CCEDMN Cc1nc2c(C(=O)Nc3nc[nH]c3C#N)c[nH]n2n1 ZINC001154658853 800824162 /nfs/dbraw/zinc/82/41/62/800824162.db2.gz QSAZQOVEISHXMA-UHFFFAOYSA-N 0 1 256.229 0.213 20 30 CCEDMN COc1cc(NCc2nnc3n2CCCNC3)ncc1C#N ZINC001155424487 800971820 /nfs/dbraw/zinc/97/18/20/800971820.db2.gz CUMKXAZPRPAQTM-UHFFFAOYSA-N 0 1 299.338 0.659 20 30 CCEDMN Cc1ccnc(NCc2nnc3n2CCCNC3)c1C#N ZINC001155425463 800973272 /nfs/dbraw/zinc/97/32/72/800973272.db2.gz YMNMSQDAQLVKRJ-UHFFFAOYSA-N 0 1 283.339 0.959 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCNCc1nnc(C)[nH]1 ZINC001155447154 800978463 /nfs/dbraw/zinc/97/84/63/800978463.db2.gz UFPWBOKLLBFATB-LLVKDONJSA-N 0 1 295.387 0.690 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCNCc1cn(C)nn1 ZINC001155447317 800979013 /nfs/dbraw/zinc/97/90/13/800979013.db2.gz XSFAKSVLEILGFS-LBPRGKRZSA-N 0 1 295.387 0.392 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cccc2cncn21 ZINC001155793906 801052980 /nfs/dbraw/zinc/05/29/80/801052980.db2.gz ZRSMPZBQXQPVLY-LLVKDONJSA-N 0 1 256.265 0.699 20 30 CCEDMN Cc1cc2nc(C(=O)Nc3nc[nH]c3C#N)cn2cn1 ZINC001156423370 801164961 /nfs/dbraw/zinc/16/49/61/801164961.db2.gz BYHOUXCJHXWOHC-UHFFFAOYSA-N 0 1 267.252 0.885 20 30 CCEDMN Cc1nc(Cl)nc(N[C@H]2CCN(C)C2)c1C#N ZINC001156580901 801189534 /nfs/dbraw/zinc/18/95/34/801189534.db2.gz ZSFSOVPRLWGHRX-QMMMGPOBSA-N 0 1 251.721 0.848 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cccc2[nH]nc(N)c21 ZINC001156737925 801227184 /nfs/dbraw/zinc/22/71/84/801227184.db2.gz IRVJWAUMQYSFGO-UHFFFAOYSA-N 0 1 267.252 0.992 20 30 CCEDMN Cc1nc(-n2c(C)ncc(CN)c2=N)ccc1CC#N ZINC001156830159 801245350 /nfs/dbraw/zinc/24/53/50/801245350.db2.gz ANHFWMNJAHDRSB-UHFFFAOYSA-N 0 1 268.324 0.888 20 30 CCEDMN Cc1nc(CNC(=O)c2[nH]nc3cc(C#N)ccc32)n[nH]1 ZINC001156893576 801256812 /nfs/dbraw/zinc/25/68/12/801256812.db2.gz YBZWOGWDPAJFPX-UHFFFAOYSA-N 0 1 281.279 0.791 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1ncccn1 ZINC001157190042 801321749 /nfs/dbraw/zinc/32/17/49/801321749.db2.gz YIOVGGZZLPQJFI-AWEZNQCLSA-N 0 1 278.356 0.400 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1cn(CC)nn1 ZINC001157202635 801324212 /nfs/dbraw/zinc/32/42/12/801324212.db2.gz VZSUPCOTVUFADD-CQSZACIVSA-N 0 1 295.387 0.221 20 30 CCEDMN N#Cc1csc(CNC(=O)CCc2nc[nH]n2)n1 ZINC001157493007 801399860 /nfs/dbraw/zinc/39/98/60/801399860.db2.gz VVPUHPNWJSTBNT-UHFFFAOYSA-N 0 1 262.298 0.382 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cnn(C)c1 ZINC001157503222 801401201 /nfs/dbraw/zinc/40/12/01/801401201.db2.gz DDSYYXFXIHNSEG-CQSZACIVSA-N 0 1 274.368 0.980 20 30 CCEDMN Cc1nc(CNCCCNC(=O)C#CC2CC2)co1 ZINC001157682817 801454457 /nfs/dbraw/zinc/45/44/57/801454457.db2.gz RGDWWOSYZXHKJK-UHFFFAOYSA-N 0 1 261.325 0.992 20 30 CCEDMN CC(C)(C)C#CC(=O)NCCCNCc1cnon1 ZINC001157727465 801464613 /nfs/dbraw/zinc/46/46/13/801464613.db2.gz PEWKTRYLIXHNMS-UHFFFAOYSA-N 0 1 264.329 0.715 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1ncc(C)cn1 ZINC001157859562 801504153 /nfs/dbraw/zinc/50/41/53/801504153.db2.gz NOZFQBFHHXYXET-UHFFFAOYSA-N 0 1 290.367 0.421 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1cscn1 ZINC001157881284 801510564 /nfs/dbraw/zinc/51/05/64/801510564.db2.gz ZNHMWSZIZKPDIW-LLVKDONJSA-N 0 1 281.381 0.777 20 30 CCEDMN CN1CCN(C(=N)Nc2nc(Cl)ncc2C#N)CC1 ZINC001157915442 801521011 /nfs/dbraw/zinc/52/10/11/801521011.db2.gz XEGOFTRVXCSKSA-UHFFFAOYSA-N 0 1 279.735 0.596 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN2CCC(O)CC2)cn1 ZINC001158273656 801611688 /nfs/dbraw/zinc/61/16/88/801611688.db2.gz FXSSYBLAMBFXGX-UHFFFAOYSA-N 0 1 273.336 0.249 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)CC(N)=O ZINC001158316777 801619060 /nfs/dbraw/zinc/61/90/60/801619060.db2.gz RNPYQAXHJGZPOT-QWHCGFSZSA-N 0 1 279.384 0.444 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cc1cncn1C ZINC001158750265 801698682 /nfs/dbraw/zinc/69/86/82/801698682.db2.gz IPNFQGGGZGIXBZ-CQSZACIVSA-N 0 1 288.395 0.909 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2nccnc2N)CC1 ZINC001159642246 801842681 /nfs/dbraw/zinc/84/26/81/801842681.db2.gz GQUXVFPNUOJXDU-UHFFFAOYSA-N 0 1 285.351 0.444 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1Nc1nc(Cl)nc2c1CNCC2 ZINC001160173381 801912649 /nfs/dbraw/zinc/91/26/49/801912649.db2.gz GGXIZBXKIABVCT-RCOVLWMOSA-N 0 1 297.746 0.424 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCn2c(Br)cnc2C1 ZINC001160546221 801967202 /nfs/dbraw/zinc/96/72/02/801967202.db2.gz WNWNXGGTYBBFSP-NSHDSACASA-N 0 1 297.156 0.338 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2ccc(=O)n(C)c2)CC1 ZINC001160587001 801977645 /nfs/dbraw/zinc/97/76/45/801977645.db2.gz IFFLTQJVLLYERE-UHFFFAOYSA-N 0 1 299.374 0.771 20 30 CCEDMN C=CC[N@@H+]1CC=C(CCNC(=O)[C@H]2CCN(C)C2=O)CC1 ZINC001160962161 802053442 /nfs/dbraw/zinc/05/34/42/802053442.db2.gz ZVQXJCUVKFKCRW-CQSZACIVSA-N 0 1 291.395 0.789 20 30 CCEDMN COCC#CCN1CCC(CNC(C)=O)(COC)CC1 ZINC001162031453 802266408 /nfs/dbraw/zinc/26/64/08/802266408.db2.gz IFLOZIYRAWQPGD-UHFFFAOYSA-N 0 1 282.384 0.501 20 30 CCEDMN COCC1(CNC(=O)[C@@H](C)C#N)CCN(CCF)CC1 ZINC001162166109 802284114 /nfs/dbraw/zinc/28/41/14/802284114.db2.gz UJYBYRIYLCKKLD-LBPRGKRZSA-N 0 1 285.363 0.960 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2CC3(CCC3)C2)C1 ZINC001278800252 808267442 /nfs/dbraw/zinc/26/74/42/808267442.db2.gz LRPFAQDJVKMVSK-INIZCTEOSA-N 0 1 276.380 0.753 20 30 CCEDMN C=CCS(=O)(=O)[N-]C(=O)[C@H]1CCCC[N@@H+]1CCC ZINC001255147654 808322390 /nfs/dbraw/zinc/32/23/90/808322390.db2.gz DBYPOUOVBOYCAJ-LLVKDONJSA-N 0 1 274.386 0.883 20 30 CCEDMN CCOC(=O)c1cn(-c2ccc(C#N)cn2)nc1CN ZINC001163521540 802567724 /nfs/dbraw/zinc/56/77/24/802567724.db2.gz MAISBJSLGIUENA-UHFFFAOYSA-N 0 1 271.280 0.774 20 30 CCEDMN CC1(C#N)CN(c2ncccc2C(=O)N2CCNCC2)C1 ZINC001163952224 802640303 /nfs/dbraw/zinc/64/03/03/802640303.db2.gz YZUCHZVKOHPBBL-UHFFFAOYSA-N 0 1 285.351 0.477 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCN(CCOC2CCC2)C1 ZINC001265275811 809740886 /nfs/dbraw/zinc/74/08/86/809740886.db2.gz TVMGDFHMESPUTP-ZDUSSCGKSA-N 0 1 282.384 0.949 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H](CC)NC(C)=O ZINC001279577445 809987755 /nfs/dbraw/zinc/98/77/55/809987755.db2.gz SUMWUVMDOPPEGS-RRFJBIMHSA-N 0 1 291.395 0.600 20 30 CCEDMN CC#CCCCC(=O)NCCCNCc1cnn(C)n1 ZINC001164244829 802696767 /nfs/dbraw/zinc/69/67/67/802696767.db2.gz OVVYQRYYKANATG-UHFFFAOYSA-N 0 1 277.372 0.605 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001164346566 802726557 /nfs/dbraw/zinc/72/65/57/802726557.db2.gz SRQDEWWGWZRIDZ-NEPJUHHUSA-N 0 1 294.403 0.969 20 30 CCEDMN N#CNC(=Nc1cnc(C(N)=O)cn1)c1ccncc1 ZINC001164503184 802751582 /nfs/dbraw/zinc/75/15/82/802751582.db2.gz XDCGMXFZZMYSNN-UHFFFAOYSA-N 0 1 267.252 0.310 20 30 CCEDMN C[C@H]1C(=O)N=C2N=CNC(c3cccnc3C#N)=C21 ZINC001242308572 806998071 /nfs/dbraw/zinc/99/80/71/806998071.db2.gz HSFCVTIRCYOKNL-SSDOTTSWSA-N 0 1 251.249 0.871 20 30 CCEDMN CN(C1CN(c2ncnc3[nH]cc(C#N)c32)C1)[C@@H]1CCOC1 ZINC001165204081 802794396 /nfs/dbraw/zinc/79/43/96/802794396.db2.gz SQSJWUWMICMUDA-LLVKDONJSA-N 0 1 298.350 0.739 20 30 CCEDMN CN(C1CN(c2ncc(C#N)cc2C#N)C1)[C@@H]1CCOC1 ZINC001165204040 802794786 /nfs/dbraw/zinc/79/47/86/802794786.db2.gz RYOSAVZLZDWWSE-CYBMUJFWSA-N 0 1 283.335 0.734 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1ccc(CC#N)c(C)n1 ZINC001165441694 802843849 /nfs/dbraw/zinc/84/38/49/802843849.db2.gz HNSNUZQNWFWDNS-GFCCVEGCSA-N 0 1 274.324 0.407 20 30 CCEDMN C=CCC1(C(=O)NCCCNCc2nnnn2C)CCC1 ZINC001165998071 802879922 /nfs/dbraw/zinc/87/99/22/802879922.db2.gz NAXRBFIXKOJVSL-UHFFFAOYSA-N 0 1 292.387 0.552 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCN[C@@H](C)c2nnnn2C)C1 ZINC001166238723 802894898 /nfs/dbraw/zinc/89/48/98/802894898.db2.gz FPVLOKIXBRQTPS-NSHDSACASA-N 0 1 292.387 0.723 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](CNC(=O)c2cnnn2CC)C1 ZINC001028527969 812816892 /nfs/dbraw/zinc/81/68/92/812816892.db2.gz ZOABNMZOHXRJGF-GFCCVEGCSA-N 0 1 275.356 0.373 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@]1(C)CCNC1=O ZINC001268702352 813019339 /nfs/dbraw/zinc/01/93/39/813019339.db2.gz DQXCIDNFGQMBKE-JOYOIKCWSA-N 0 1 273.764 0.359 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H](COC)OC)C1 ZINC001276431455 803055041 /nfs/dbraw/zinc/05/50/41/803055041.db2.gz DNJUKUKVUBWRIX-AAEUAGOBSA-N 0 1 268.357 0.108 20 30 CCEDMN CCOC(=O)C(=O)COC1=C(C)O[C@H](CC)C1=O ZINC001204845715 803104284 /nfs/dbraw/zinc/10/42/84/803104284.db2.gz IVIURAXECKQPDV-SECBINFHSA-N 0 1 256.254 0.745 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN([C@@H](C)C(=O)N(C)C)C[C@H]1C ZINC001206614575 803274725 /nfs/dbraw/zinc/27/47/25/803274725.db2.gz VONRYIMOHZMEFN-FRRDWIJNSA-N 0 1 297.399 0.102 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)[C@H]1CC ZINC001087812062 814219829 /nfs/dbraw/zinc/21/98/29/814219829.db2.gz UWQYLDRMGBNSSY-QLFBSQMISA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)SC)[C@H](OC)C1 ZINC001211912648 814866912 /nfs/dbraw/zinc/86/69/12/814866912.db2.gz XZSYZDPSHWLSFB-IJLUTSLNSA-N 0 1 270.398 0.577 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CC(=C)C)NC(C)=O)C1 ZINC001209178852 803577268 /nfs/dbraw/zinc/57/72/68/803577268.db2.gz VHULVHTZBGJDNR-BPLDGKMQSA-N 0 1 291.395 0.527 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2(NC(C)=O)CCCC2)C1 ZINC001209381605 803600289 /nfs/dbraw/zinc/60/02/89/803600289.db2.gz USFRRVILOPGOCK-TZMCWYRMSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001209389874 803600808 /nfs/dbraw/zinc/60/08/08/803600808.db2.gz PSKRXUNRONNGLR-TZMCWYRMSA-N 0 1 293.411 0.609 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](COC)OC)C1 ZINC001209542700 803609523 /nfs/dbraw/zinc/60/95/23/803609523.db2.gz ALUQJODHTFCJIS-RYUDHWBXSA-N 0 1 290.791 0.981 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@](C)(NC(C)=O)C(C)C)C1 ZINC001211406840 803679394 /nfs/dbraw/zinc/67/93/94/803679394.db2.gz UXVIKJFFDPPMBN-XNRPHZJLSA-N 0 1 293.411 0.607 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)NC(=O)C2CCC2)C1 ZINC001211468488 803683274 /nfs/dbraw/zinc/68/32/74/803683274.db2.gz DREGKAKRCIJHFH-YRGRVCCFSA-N 0 1 291.395 0.361 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](F)CC)[C@H](OC)C1 ZINC001213278111 803688776 /nfs/dbraw/zinc/68/87/76/803688776.db2.gz QGLRIOUHMOFWLM-IJLUTSLNSA-N 0 1 256.321 0.573 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CN(CCCF)C[C@H]1OC ZINC001213962003 803707746 /nfs/dbraw/zinc/70/77/46/803707746.db2.gz YZZQHFUVMCQOHH-YNEHKIRRSA-N 0 1 288.363 0.753 20 30 CCEDMN C#CCC[NH2+][C@@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001214493653 803744585 /nfs/dbraw/zinc/74/45/85/803744585.db2.gz TWZSZGHOKAAMDI-VXGBXAGGSA-N 0 1 273.336 0.861 20 30 CCEDMN C=CCOCC(=O)N1CCO[C@@H]2CN(C[C@@H](C)OC)C[C@@H]21 ZINC001217532095 803894831 /nfs/dbraw/zinc/89/48/31/803894831.db2.gz CTDYGRWONONJPG-HZSPNIEDSA-N 0 1 298.383 0.136 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CC2(F)F)[C@@H](O)C1 ZINC001083448614 814998916 /nfs/dbraw/zinc/99/89/16/814998916.db2.gz PUFZFTIOABKCRI-AEJSXWLSSA-N 0 1 260.284 0.379 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C=C(\C)C2CC2)[C@@H](O)C1 ZINC001219281531 804255706 /nfs/dbraw/zinc/25/57/06/804255706.db2.gz JLAZQSLHPBQJKY-NZETUCKYSA-N 0 1 294.395 0.707 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001219324432 804270345 /nfs/dbraw/zinc/27/03/45/804270345.db2.gz PVQDIYYPQOBPSO-UPJWGTAASA-N 0 1 288.363 0.489 20 30 CCEDMN CC(C)=CCN1C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](O)C1 ZINC001219381454 804291915 /nfs/dbraw/zinc/29/19/15/804291915.db2.gz SFGWQXJXPFINRJ-TUAOUCFPSA-N 0 1 251.330 0.274 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)CCN(C)CC#CCOC)c1 ZINC001272106743 815012657 /nfs/dbraw/zinc/01/26/57/815012657.db2.gz YNCBUYMQXAJKHW-UHFFFAOYSA-N 0 1 299.374 0.717 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C(F)F)CC2)[C@@H](O)C1 ZINC001219633063 804381631 /nfs/dbraw/zinc/38/16/31/804381631.db2.gz OBHLYUKSCSLYRX-ZJUUUORDSA-N 0 1 272.295 0.216 20 30 CCEDMN CC(C)C[C@H](N)C(=O)N[C@@H](C)C(=O)NC1=CC(=O)CCC1 ZINC001219885161 804439068 /nfs/dbraw/zinc/43/90/68/804439068.db2.gz JDWWPNXQBSIHTI-GWCFXTLKSA-N 0 1 295.383 0.618 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2ccncc2)[C@@H](O)C1 ZINC001219934253 804452080 /nfs/dbraw/zinc/45/20/80/804452080.db2.gz KLNMXLYLOUMJEF-CABCVRRESA-N 0 1 289.379 0.752 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCc1cccnn1 ZINC001220018427 804473426 /nfs/dbraw/zinc/47/34/26/804473426.db2.gz CISYXRWCDBUDDE-AWEZNQCLSA-N 0 1 281.319 0.534 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)[C@@H](N)c1ccccc1 ZINC001220036480 804479524 /nfs/dbraw/zinc/47/95/24/804479524.db2.gz OVPLPSHNUOUWLS-UWVGGRQHSA-N 0 1 268.338 0.274 20 30 CCEDMN CCCOCCNC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001220101701 804499060 /nfs/dbraw/zinc/49/90/60/804499060.db2.gz YOKJLJUPZHMBSS-AWEZNQCLSA-N 0 1 275.352 0.971 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C(C)(C)C(=C)C)[C@@H](O)C1 ZINC001220134042 804508044 /nfs/dbraw/zinc/50/80/44/804508044.db2.gz WSJLOSKHWQSWQO-KGLIPLIRSA-N 0 1 296.411 0.953 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC1(C(F)(F)F)CCOCC1 ZINC001220156601 804519925 /nfs/dbraw/zinc/51/99/25/804519925.db2.gz BTWONNZFTNUNEZ-VIFPVBQESA-N 0 1 264.247 0.565 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](F)C(C)C)[C@@H](O)C1 ZINC001220178413 804527359 /nfs/dbraw/zinc/52/73/59/804527359.db2.gz JYDGLPNMDWRMKC-WOPDTQHZSA-N 0 1 256.321 0.165 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001220299239 804565673 /nfs/dbraw/zinc/56/56/73/804565673.db2.gz WAONXZOVQQKOOQ-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C(C)(F)F)CC2)[C@@H](O)C1 ZINC001220373990 804586474 /nfs/dbraw/zinc/58/64/74/804586474.db2.gz LMRLCTRWVVUTDZ-MNOVXSKESA-N 0 1 286.322 0.606 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCn2cccc2)[C@@H](O)C1 ZINC001220611847 804637719 /nfs/dbraw/zinc/63/77/19/804637719.db2.gz FHPLAMSCKAEFFW-KGLIPLIRSA-N 0 1 275.352 0.063 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)NCc1ncc(C#N)s1 ZINC001220872032 804685720 /nfs/dbraw/zinc/68/57/20/804685720.db2.gz HTORRBFALAPTDB-VIFPVBQESA-N 0 1 282.369 0.773 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@@H](C(=O)OCC)c1ccccc1 ZINC001220875902 804687036 /nfs/dbraw/zinc/68/70/36/804687036.db2.gz ATOSJLIVIQRFKK-CJNGLKHVSA-N 0 1 288.347 0.800 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2cncn2C)[C@@H](O)C1 ZINC001220882313 804688551 /nfs/dbraw/zinc/68/85/51/804688551.db2.gz QVAJPTFGVNWHID-KGLIPLIRSA-N 0 1 292.383 0.090 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC000316551668 804708731 /nfs/dbraw/zinc/70/87/31/804708731.db2.gz YKTZJWOBFYKPJJ-MNOVXSKESA-N 0 1 269.345 0.799 20 30 CCEDMN C[C@@H](OC(C)(C)C)[C@@H](N)C(=O)NC1(C#N)CCOCC1 ZINC001220967071 804710658 /nfs/dbraw/zinc/71/06/58/804710658.db2.gz QSWROCCZDTYITP-GHMZBOCLSA-N 0 1 283.372 0.706 20 30 CCEDMN Cc1nnc(CNC(=O)[C@@H](N)Cc2ccccc2C#N)o1 ZINC001221010451 804716558 /nfs/dbraw/zinc/71/65/58/804716558.db2.gz HSSDDPFPXAOYKV-LBPRGKRZSA-N 0 1 285.307 0.436 20 30 CCEDMN C#CC1(NC(=O)C[C@@H]2COCCN2)CCCCC1 ZINC001221275415 804761866 /nfs/dbraw/zinc/76/18/66/804761866.db2.gz BZEQQWIPPYIBHG-GFCCVEGCSA-N 0 1 250.342 0.817 20 30 CCEDMN C=CCOCC(=O)N1C[C@H]2CCN(CCOC)C[C@H]21 ZINC001221436192 804815943 /nfs/dbraw/zinc/81/59/43/804815943.db2.gz PCFDOTFUFYCDBY-CHWSQXEVSA-N 0 1 268.357 0.368 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCCCNC(N)=O)[C@@H]2C1 ZINC001221676685 804837112 /nfs/dbraw/zinc/83/71/12/804837112.db2.gz XMRYEYNPPFDDNH-CHWSQXEVSA-N 0 1 294.399 0.544 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CC(=O)N(C)C)[C@@H]2C1 ZINC001221857790 804850084 /nfs/dbraw/zinc/85/00/84/804850084.db2.gz BYEQDRCTWHBRFS-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN COCC#CCN1CC[C@@H]2CCN(C(C)=O)C[C@@H]21 ZINC001222155796 804877478 /nfs/dbraw/zinc/87/74/78/804877478.db2.gz WIOPOZLNCDTAAW-KGLIPLIRSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001276836507 804933272 /nfs/dbraw/zinc/93/32/72/804933272.db2.gz WWFNUNVOGUEIOU-LLVKDONJSA-N 0 1 294.355 0.430 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001276836507 804933274 /nfs/dbraw/zinc/93/32/74/804933274.db2.gz WWFNUNVOGUEIOU-LLVKDONJSA-N 0 1 294.355 0.430 20 30 CCEDMN CN(C)C(=O)[C@]12C[C@H]1CCN2C(=O)c1ccc(C#N)cc1O ZINC001276864834 804954811 /nfs/dbraw/zinc/95/48/11/804954811.db2.gz KOHAUDNPXAGGCO-BZNIZROVSA-N 0 1 299.330 0.957 20 30 CCEDMN CN(C)C(=O)CN1CCC(CNC(=O)C#CC2CC2)CC1 ZINC001223129926 804955468 /nfs/dbraw/zinc/95/54/68/804955468.db2.gz HAHGHEGYXLAWSV-UHFFFAOYSA-N 0 1 291.395 0.316 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C1(COC)CC1 ZINC001276883988 804967004 /nfs/dbraw/zinc/96/70/04/804967004.db2.gz OLHGHTKIPJPNBC-LBPRGKRZSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H](CC(=C)C)NC(C)=O ZINC001276942669 805007002 /nfs/dbraw/zinc/00/70/02/805007002.db2.gz ZKLKBZKICLKNRE-LSDHHAIUSA-N 0 1 291.395 0.671 20 30 CCEDMN C#CCCCN1CCc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001276979297 805049477 /nfs/dbraw/zinc/04/94/77/805049477.db2.gz FBBPNEBJEVTBJT-UHFFFAOYSA-N 0 1 260.341 0.883 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cc(C)on2)CC1 ZINC001225954146 805179700 /nfs/dbraw/zinc/17/97/00/805179700.db2.gz OJTMZIKBJYYFDP-UHFFFAOYSA-N 0 1 291.351 0.827 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)[C@H]1C ZINC001088670368 815055213 /nfs/dbraw/zinc/05/52/13/815055213.db2.gz DLVKHPBEYRNPGB-UPJWGTAASA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCc3cncn3C2)[C@H]1C ZINC001088679863 815055700 /nfs/dbraw/zinc/05/57/00/815055700.db2.gz ZMQAQZHKCQDYOW-IPYPFGDCSA-N 0 1 286.379 0.658 20 30 CCEDMN CCO[C@H]1C[C@H]1C(=O)NC1CCN(CC#CCOC)CC1 ZINC001226230075 805206658 /nfs/dbraw/zinc/20/66/58/805206658.db2.gz ZSMRJDLUMIDFLL-CABCVRRESA-N 0 1 294.395 0.642 20 30 CCEDMN Cc1nc([C@@H](C)N2CCC(NC(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001226641378 805259220 /nfs/dbraw/zinc/25/92/20/805259220.db2.gz ZKTIJUOZTWWMDB-VHSXEESVSA-N 0 1 290.371 0.914 20 30 CCEDMN C=CCNC(=O)N1CCN(C)C[C@@]2(CCCC(=O)N2)C1 ZINC001277173688 805309450 /nfs/dbraw/zinc/30/94/50/805309450.db2.gz GHTONVWLURRHFH-AWEZNQCLSA-N 0 1 280.372 0.168 20 30 CCEDMN C[C@@H](CCCNCC#N)NC(=O)CCc1cnc[nH]1 ZINC001277201027 805341263 /nfs/dbraw/zinc/34/12/63/805341263.db2.gz OHEVWLISXRAWID-NSHDSACASA-N 0 1 263.345 0.740 20 30 CCEDMN C[C@H](CCCNCC#N)NC(=O)CCc1cnc[nH]1 ZINC001277201026 805342474 /nfs/dbraw/zinc/34/24/74/805342474.db2.gz OHEVWLISXRAWID-LLVKDONJSA-N 0 1 263.345 0.740 20 30 CCEDMN C[C@H](CCCNCC#N)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001277279876 805423352 /nfs/dbraw/zinc/42/33/52/805423352.db2.gz KCKUPDNCILILAR-MNOVXSKESA-N 0 1 290.371 0.623 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001228613538 805459900 /nfs/dbraw/zinc/45/99/00/805459900.db2.gz GPGRNVFRFDGTTB-YRGRVCCFSA-N 0 1 293.411 0.914 20 30 CCEDMN COCC#CCN1CCC(NC(=O)CCCF)CC1 ZINC001228686934 805469090 /nfs/dbraw/zinc/46/90/90/805469090.db2.gz QVGGSJJXZQEMPT-UHFFFAOYSA-N 0 1 270.348 0.967 20 30 CCEDMN N#CCCN1CC(Oc2[nH]c(=O)[nH]c(=O)c2F)C1 ZINC001229123281 805505118 /nfs/dbraw/zinc/50/51/18/805505118.db2.gz BUWADCHCTYPBII-UHFFFAOYSA-N 0 1 254.221 0.004 20 30 CCEDMN N#CC1(NC(=O)[C@H]2CC23CN(Cc2c[nH]nn2)C3)CCC1 ZINC001277380195 805527936 /nfs/dbraw/zinc/52/79/36/805527936.db2.gz WTPCOYKXLNKDBQ-LLVKDONJSA-N 0 1 286.339 0.189 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2nccs2)CC1 ZINC001229696528 805548082 /nfs/dbraw/zinc/54/80/82/805548082.db2.gz DVLNZLVXMFKKJW-UHFFFAOYSA-N 0 1 293.392 0.987 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H]2CCO[C@@H]2C)CC1 ZINC001229723564 805551827 /nfs/dbraw/zinc/55/18/27/805551827.db2.gz SSHJEFBGOVDRBF-UKRRQHHQSA-N 0 1 294.395 0.642 20 30 CCEDMN C=C1O[C@H](CC)C(=O)C1Oc1[nH]c(=O)ncc1C(=O)OC ZINC001229870546 805562389 /nfs/dbraw/zinc/56/23/89/805562389.db2.gz KENKMBNPBXVHCC-MRVPVSSYSA-N 0 1 294.263 0.957 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@@H](C)O ZINC001277432267 805568303 /nfs/dbraw/zinc/56/83/03/805568303.db2.gz WPXDPLASXSNRBJ-BARDWOONSA-N 0 1 294.395 0.471 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2c(Cl)cnn2C)[C@@H](O)C1 ZINC001083465019 815084704 /nfs/dbraw/zinc/08/47/04/815084704.db2.gz KIAILVKLYGPDGY-MNOVXSKESA-N 0 1 298.774 0.425 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)[C@@H](CC)[NH+](C)C)C1 ZINC001278438908 807198696 /nfs/dbraw/zinc/19/86/96/807198696.db2.gz YKCZDOMQAICROC-OCCSQVGLSA-N 0 1 251.374 0.540 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCCCC(=O)NC)C1 ZINC001278449490 807257975 /nfs/dbraw/zinc/25/79/75/807257975.db2.gz CXXYDJZFAGIDHJ-HNNXBMFYSA-N 0 1 279.384 0.507 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](N2CCC(C#N)CC2)CN1 ZINC001246528181 807274794 /nfs/dbraw/zinc/27/47/94/807274794.db2.gz OOBVRIUMTKMPFL-RYUDHWBXSA-N 0 1 251.330 0.516 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1ncc[nH]1 ZINC001126799806 815111230 /nfs/dbraw/zinc/11/12/30/815111230.db2.gz BFHFSPRACIPQTG-UHFFFAOYSA-N 0 1 273.134 0.638 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccn(C)c(=O)c3)[C@@H]2C1 ZINC001075955429 815120125 /nfs/dbraw/zinc/12/01/25/815120125.db2.gz KRPVCLCLIIUGTJ-LSDHHAIUSA-N 0 1 299.374 0.555 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cn4cccnc4n3)[C@@H]2C1 ZINC001075986380 815139035 /nfs/dbraw/zinc/13/90/35/815139035.db2.gz BRQZXWCPHFFMHH-GXTWGEPZSA-N 0 1 295.346 0.509 20 30 CCEDMN C[C@H](NC(=O)NCC#CCN(C)C)[C@@H](O)c1ccccc1 ZINC001251708152 807696638 /nfs/dbraw/zinc/69/66/38/807696638.db2.gz LXBJSQZHHURKCV-DZGCQCFKSA-N 0 1 289.379 0.973 20 30 CCEDMN C[C@H]1CN(C(=O)NCC#CCN(C)C)C[C@@]1(C)CO ZINC001251708679 807696898 /nfs/dbraw/zinc/69/68/98/807696898.db2.gz WRLVFXXMGWEVAW-JSGCOSHPSA-N 0 1 267.373 0.211 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1nccn1CC(F)(F)F ZINC001251899903 807741157 /nfs/dbraw/zinc/74/11/57/807741157.db2.gz FIRZVVUFQSMJRP-JTQLQIEISA-N 0 1 291.273 0.546 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)[C@H]1C ZINC001088766318 815146958 /nfs/dbraw/zinc/14/69/58/815146958.db2.gz IDQQMRRALSXULR-CAEXGNQWSA-N 0 1 292.379 0.250 20 30 CCEDMN C=CCOC[C@@H](O)CN1CC(N2CCC(F)CC2)C1 ZINC001252465261 807876344 /nfs/dbraw/zinc/87/63/44/807876344.db2.gz DSNHQYXZUISPFY-AWEZNQCLSA-N 0 1 272.364 0.668 20 30 CCEDMN C=CCOC[C@H](O)CN[C@H]1Cc2ccccc2NC1=O ZINC001252481804 807887427 /nfs/dbraw/zinc/88/74/27/807887427.db2.gz GHRGEASBXCDDRP-OCCSQVGLSA-N 0 1 276.336 0.703 20 30 CCEDMN C=C[C@](C)(O)CN1CCCn2nnc(COCC)c2C1 ZINC001252549999 807906781 /nfs/dbraw/zinc/90/67/81/807906781.db2.gz IQSUENRYCVETGL-AWEZNQCLSA-N 0 1 280.372 0.957 20 30 CCEDMN C=C[C@@](C)(O)CN[C@@H](Cc1cnc[nH]1)C(=O)OCC ZINC001252585614 807915985 /nfs/dbraw/zinc/91/59/85/807915985.db2.gz RHBHDXMUEDKYON-WCQYABFASA-N 0 1 267.329 0.411 20 30 CCEDMN C=CCC[C@H](O)CN1CCCC(O)=C1C(=O)OCC ZINC001252599843 807917159 /nfs/dbraw/zinc/91/71/59/807917159.db2.gz LMXUQBJQNJOSBY-AAEUAGOBSA-N 0 1 269.341 0.910 20 30 CCEDMN C=CCC[C@H](O)CN1CC2(C1)CCOC[C@@H]2C(=O)OC ZINC001252603469 807919138 /nfs/dbraw/zinc/91/91/38/807919138.db2.gz XEWXCAKEIIDFLK-QWHCGFSZSA-N 0 1 283.368 0.825 20 30 CCEDMN C=CCC[C@@H](O)CNC1(C(=O)OC)CCN(C)CC1 ZINC001252620788 807922123 /nfs/dbraw/zinc/92/21/23/807922123.db2.gz OTYGADFSCAWODK-GFCCVEGCSA-N 0 1 270.373 0.541 20 30 CCEDMN C=C[C@@H](O)CN1Cc2cnn(CC)c2[C@H](COC)C1 ZINC001253578201 808088682 /nfs/dbraw/zinc/08/86/82/808088682.db2.gz XBGXPBQSLCUGHF-QWHCGFSZSA-N 0 1 265.357 0.996 20 30 CCEDMN Cn1cc(CNCCNC(=O)C#CC2CC2)c(C(F)F)n1 ZINC001126875814 815175175 /nfs/dbraw/zinc/17/51/75/815175175.db2.gz ODCOQUCUBMZRKP-UHFFFAOYSA-N 0 1 296.321 0.977 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001278792791 808257899 /nfs/dbraw/zinc/25/78/99/808257899.db2.gz WRCUZEUDGVKQPL-GDPRMGEGSA-N 0 1 260.765 0.804 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@H](F)CC)C1 ZINC001278795422 808262422 /nfs/dbraw/zinc/26/24/22/808262422.db2.gz OIMOAEVNJVJAIN-DGCLKSJQSA-N 0 1 256.321 0.311 20 30 CCEDMN CCOC(=O)[C@H](C#N)N[C@H]1CC2CCN(CC2)C1 ZINC001254847176 808286726 /nfs/dbraw/zinc/28/67/26/808286726.db2.gz FBVZENFDERRZEP-RYUDHWBXSA-N 0 1 251.330 0.516 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)/C=C(/C)C1CC1 ZINC001278849649 808330961 /nfs/dbraw/zinc/33/09/61/808330961.db2.gz HRQFSWJKWVTISC-UQTJOTSZSA-N 0 1 264.369 0.727 20 30 CCEDMN Cn1nncc1CNCCNC(=O)C#CC(C)(C)C ZINC001126893421 815196936 /nfs/dbraw/zinc/19/69/36/815196936.db2.gz MYMJOLKZZWNWKF-UHFFFAOYSA-N 0 1 263.345 0.070 20 30 CCEDMN N#C[C@H]1CN(C2CCN(c3ncccn3)CC2)CCC1=O ZINC001256059845 808464333 /nfs/dbraw/zinc/46/43/33/808464333.db2.gz MRPDHWXWMWJCPH-LBPRGKRZSA-N 0 1 285.351 0.860 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ncc(OC)cn1 ZINC001038496563 815211263 /nfs/dbraw/zinc/21/12/63/815211263.db2.gz JCUVMTTVWYBZRS-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCc3nn[nH]c32)[C@H]1C ZINC001088824165 815213370 /nfs/dbraw/zinc/21/33/70/815213370.db2.gz RXAYWAKKNIAVLL-WOPDTQHZSA-N 0 1 287.367 0.437 20 30 CCEDMN C#CCN1CCN([C@@H]2CC[C@H](C(=O)OCC)C2)CC1 ZINC001257062874 808587680 /nfs/dbraw/zinc/58/76/80/808587680.db2.gz FXHZRWKMBUTNCA-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CCCC(=O)N2)CC1 ZINC001258309827 808670305 /nfs/dbraw/zinc/67/03/05/808670305.db2.gz FQBJGOGWFOICMC-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN N#Cc1ccc(CN2C(=O)N[C@@H](Cc3cnc[nH]3)C2=O)nc1 ZINC001259061491 808730561 /nfs/dbraw/zinc/73/05/61/808730561.db2.gz ZIHRRLMZCTYKIO-LBPRGKRZSA-N 0 1 296.290 0.339 20 30 CCEDMN N#CCNC[C@H]1CCC[C@H]1NC(=O)CCc1nc[nH]n1 ZINC001272252050 815232344 /nfs/dbraw/zinc/23/23/44/815232344.db2.gz IHZWNSWZGJXADD-GHMZBOCLSA-N 0 1 276.344 0.135 20 30 CCEDMN CCc1nocc1CNCCNC(=O)C1N=CC=CC1=O ZINC001261237819 808935459 /nfs/dbraw/zinc/93/54/59/808935459.db2.gz GSADJMVRANQMPG-YPKPFQOOSA-N 0 1 290.323 0.853 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cc3cnoc3)[C@@H]2C1 ZINC001076163836 815253690 /nfs/dbraw/zinc/25/36/90/815253690.db2.gz SZDIJDDDMVIAJL-UONOGXRCSA-N 0 1 273.336 0.773 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C(=O)N(C)Cc2cnc[nH]2)C1 ZINC001261623197 809033364 /nfs/dbraw/zinc/03/33/64/809033364.db2.gz PXEFZCXDQQRJLG-LLVKDONJSA-N 0 1 274.324 0.240 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)N1CCC[C@H](CC#N)C1 ZINC001261686762 809054844 /nfs/dbraw/zinc/05/48/44/809054844.db2.gz WBMMZVBXHMMLJZ-LLVKDONJSA-N 0 1 289.339 0.487 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N1CCNC[C@H]1C(C)C ZINC001261820375 809097157 /nfs/dbraw/zinc/09/71/57/809097157.db2.gz YOPMJPJQVHBQQY-OLZOCXBDSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3c[nH]c(=O)cc3C)[C@@H]2C1 ZINC001076225335 815261629 /nfs/dbraw/zinc/26/16/29/815261629.db2.gz GDZMUYLDBBXEJW-GXTWGEPZSA-N 0 1 285.347 0.875 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)NCCCCS(C)(=O)=O ZINC001262008756 809152840 /nfs/dbraw/zinc/15/28/40/809152840.db2.gz XFFUBYNIHTYPCZ-SECBINFHSA-N 0 1 282.793 0.397 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cncs2)[C@@H](O)C1 ZINC001083545421 815270235 /nfs/dbraw/zinc/27/02/35/815270235.db2.gz PKLNIZNHGUDARB-NEPJUHHUSA-N 0 1 281.381 0.423 20 30 CCEDMN Cn1nc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1C(F)F ZINC001263105265 809446692 /nfs/dbraw/zinc/44/66/92/809446692.db2.gz IZFXGKJLNNMFSE-XPUUQOCRSA-N 0 1 269.255 0.199 20 30 CCEDMN C#CCNCC(=O)NCC1([C@@H](O)c2ccccc2)CC1 ZINC001263123677 809453070 /nfs/dbraw/zinc/45/30/70/809453070.db2.gz VAWKCVNHQCVJRY-HNNXBMFYSA-N 0 1 272.348 0.839 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCc1nccn1C ZINC001263805900 809573440 /nfs/dbraw/zinc/57/34/40/809573440.db2.gz YEBNVLNOJTZRPU-AWEZNQCLSA-N 0 1 288.395 0.909 20 30 CCEDMN C=C[C@H](C)NC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001263830601 809582267 /nfs/dbraw/zinc/58/22/67/809582267.db2.gz QHBLWOROFRRBAM-RYUDHWBXSA-N 0 1 254.378 0.496 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001263886446 809599533 /nfs/dbraw/zinc/59/95/33/809599533.db2.gz KCZTYTCQSJKPND-ZWNOBZJWSA-N 0 1 279.344 0.744 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001263896115 809602022 /nfs/dbraw/zinc/60/20/22/809602022.db2.gz OVKGDQUOKOZDSP-ZDUSSCGKSA-N 0 1 290.367 0.767 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CNC(=O)C(C)(C)C)[C@H]1CC ZINC001264070560 809626665 /nfs/dbraw/zinc/62/66/65/809626665.db2.gz SEPNEYKVLVSYLX-QWHCGFSZSA-N 0 1 293.411 0.751 20 30 CCEDMN CC#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H](C)OCC)C1 ZINC001264073079 809627299 /nfs/dbraw/zinc/62/72/99/809627299.db2.gz IVPDHQUVNFAMNH-HUUCEWRRSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@H]2CC2(F)F)C1 ZINC001264074771 809628107 /nfs/dbraw/zinc/62/81/07/809628107.db2.gz IUQJSLMKPBPNGQ-NWDGAFQWSA-N 0 1 286.322 0.560 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2ccnnc2)C1 ZINC001264078089 809628473 /nfs/dbraw/zinc/62/84/73/809628473.db2.gz BVUQJLFUEFCFDE-AWEZNQCLSA-N 0 1 288.351 0.009 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCCN1C(=O)[C@H]1CCCN1C ZINC001264184180 809635922 /nfs/dbraw/zinc/63/59/22/809635922.db2.gz ISDLAOSYGPKDGI-UONOGXRCSA-N 0 1 278.400 0.917 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCCC(=O)N1 ZINC001264365502 809642938 /nfs/dbraw/zinc/64/29/38/809642938.db2.gz YUWHXPHCRQSOMY-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cn1ccc(C)cc1=O ZINC001264373568 809646611 /nfs/dbraw/zinc/64/66/11/809646611.db2.gz WWDWSPKUWZYGLR-CQSZACIVSA-N 0 1 289.379 0.875 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)COCC(F)F)CC1 ZINC001265194697 809722689 /nfs/dbraw/zinc/72/26/89/809722689.db2.gz LONNJSLQOKYRAW-UHFFFAOYSA-N 0 1 274.311 0.824 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001265234792 809729272 /nfs/dbraw/zinc/72/92/72/809729272.db2.gz BERWSPMAGCPZFH-CHWSQXEVSA-N 0 1 281.400 0.901 20 30 CCEDMN C=CCN1CCN(CCNC(=O)COCCC)CC1 ZINC001265256259 809731827 /nfs/dbraw/zinc/73/18/27/809731827.db2.gz RFXJPHMPMJIVQI-UHFFFAOYSA-N 0 1 269.389 0.333 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H](C)Cc2cnn(C)c2)C1 ZINC001265310029 809754265 /nfs/dbraw/zinc/75/42/65/809754265.db2.gz GGSOGAOJUJZASN-UKRRQHHQSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CCNC(=O)CN1CCCC1 ZINC001076595070 815318443 /nfs/dbraw/zinc/31/84/43/815318443.db2.gz DWFNFKYZOVINHV-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCN3C(C)=O)[C@@H]2C1 ZINC001076617376 815321319 /nfs/dbraw/zinc/32/13/19/815321319.db2.gz ZXJBWTUXSNLIDK-RRFJBIMHSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@H](F)C(C)C ZINC001265906970 809891140 /nfs/dbraw/zinc/89/11/40/809891140.db2.gz WBFZONCRQNWKKH-CHWSQXEVSA-N 0 1 299.390 0.557 20 30 CCEDMN C#CC[NH2+]CCN(Cc1ccccc1)C(=O)Cc1nnc[n-]1 ZINC001279318741 809974734 /nfs/dbraw/zinc/97/47/34/809974734.db2.gz PJKDGGDQBVNNRF-UHFFFAOYSA-N 0 1 297.362 0.599 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)C[C@@H]2CCCOC2)C1 ZINC001279528609 809986407 /nfs/dbraw/zinc/98/64/07/809986407.db2.gz CPFOUDQZRDSERZ-GOEBONIOSA-N 0 1 296.411 0.932 20 30 CCEDMN CC(C)C#CC(=O)NCC1CC(NC(=O)c2cnn[nH]2)C1 ZINC001280052115 810004950 /nfs/dbraw/zinc/00/49/50/810004950.db2.gz LHDQGKJUFGAVGS-UHFFFAOYSA-N 0 1 289.339 0.089 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCCC[C@@H]1CNCC#N ZINC001280449160 810021037 /nfs/dbraw/zinc/02/10/37/810021037.db2.gz LZZNYMFZVNRSRU-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc(F)c2)C1 ZINC001076703702 815350877 /nfs/dbraw/zinc/35/08/77/815350877.db2.gz DOZJXBUCRWVKKN-ZIAGYGMSSA-N 0 1 276.311 0.624 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2cncs2)C1 ZINC001076779235 815371471 /nfs/dbraw/zinc/37/14/71/815371471.db2.gz ITMIPGVZXKQUJZ-GHMZBOCLSA-N 0 1 281.381 0.884 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H]2CCCOC2)C1 ZINC001076793722 815376904 /nfs/dbraw/zinc/37/69/04/815376904.db2.gz KCMOMSLEOPJGLF-YNEHKIRRSA-N 0 1 268.357 0.150 20 30 CCEDMN N#Cc1cc(F)cc(-c2noc(C3(N)CNC3)n2)c1 ZINC001212126665 815414599 /nfs/dbraw/zinc/41/45/99/815414599.db2.gz MSCACABPDHLZQZ-UHFFFAOYSA-N 0 1 259.244 0.505 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCCN1[C@H]1CCCNC1=O ZINC001267268390 811068911 /nfs/dbraw/zinc/06/89/11/811068911.db2.gz YDVWKKNEPBIYLN-KGLIPLIRSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)C[C@@H](C)COC ZINC001267279508 811087111 /nfs/dbraw/zinc/08/71/11/811087111.db2.gz GLHVDNCOCVOGNE-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCCC(=O)NCC ZINC001267281720 811090400 /nfs/dbraw/zinc/09/04/00/811090400.db2.gz PRNCKCXJHDCDCW-ZDUSSCGKSA-N 0 1 279.384 0.507 20 30 CCEDMN C=CCCOCC(=O)NC[C@H]1CCN([C@H](C)C(=O)NC)C1 ZINC001267310105 811132402 /nfs/dbraw/zinc/13/24/02/811132402.db2.gz QEIJVOLSSUVCKB-CHWSQXEVSA-N 0 1 297.399 0.152 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccncc2C)C1 ZINC001077000677 815428346 /nfs/dbraw/zinc/42/83/46/815428346.db2.gz LDGVPDQIWALAFP-HUUCEWRRSA-N 0 1 287.363 0.117 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](CC(C)C)C(N)=O ZINC001267479075 811362737 /nfs/dbraw/zinc/36/27/37/811362737.db2.gz YOIWXGSVGQSSFJ-NSHDSACASA-N 0 1 289.807 0.935 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cc3cnoc3)C[C@@H]21 ZINC001042198509 811380462 /nfs/dbraw/zinc/38/04/62/811380462.db2.gz LALUZZZOAZKKGM-KBPBESRZSA-N 0 1 273.336 0.773 20 30 CCEDMN C#CCN[C@@H](CNC(=O)c1nccnc1N)c1ccccc1 ZINC001267516543 811392821 /nfs/dbraw/zinc/39/28/21/811392821.db2.gz FNCICLWFUPFRHQ-ZDUSSCGKSA-N 0 1 295.346 0.753 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2cc(C)no2)CC1 ZINC001267604895 811535866 /nfs/dbraw/zinc/53/58/66/811535866.db2.gz HNHPNCHELGDOKO-UHFFFAOYSA-N 0 1 292.383 0.859 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(C3CC3)CC2)C1 ZINC001077189443 815457853 /nfs/dbraw/zinc/45/78/53/815457853.db2.gz ABNPBPQYOZEECK-CHWSQXEVSA-N 0 1 264.369 0.914 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)CNC(=O)CC)C1 ZINC001267642904 811608773 /nfs/dbraw/zinc/60/87/73/811608773.db2.gz KRIAICJCHYTHAK-NSHDSACASA-N 0 1 267.373 0.668 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC1CN(CCOC(C)C)C1 ZINC001267680766 811645253 /nfs/dbraw/zinc/64/52/53/811645253.db2.gz WPMRVWALTYAYJF-HNNXBMFYSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001267693431 811659623 /nfs/dbraw/zinc/65/96/23/811659623.db2.gz FJHIILSEBKIYMW-KFWWJZLASA-N 0 1 294.395 0.805 20 30 CCEDMN C=CCCOCC(=O)N[C@@H](C)CCNC(=O)c1cnn[nH]1 ZINC001077298439 815474432 /nfs/dbraw/zinc/47/44/32/815474432.db2.gz GHUROGWMGWTQSK-JTQLQIEISA-N 0 1 295.343 0.022 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CCC[C@H]1CNCc1cnon1 ZINC001272260350 815472463 /nfs/dbraw/zinc/47/24/63/815472463.db2.gz GKQAESPXOLAMEI-GXTWGEPZSA-N 0 1 288.351 0.858 20 30 CCEDMN C=CCOCCN1CC[C@@H](N(C)C(=O)c2ccn(C)n2)C1 ZINC001267776974 811776603 /nfs/dbraw/zinc/77/66/03/811776603.db2.gz HTBRWSSQNHEGQR-CYBMUJFWSA-N 0 1 292.383 0.769 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)CCCC(=O)NC)C1 ZINC001267785698 811785562 /nfs/dbraw/zinc/78/55/62/811785562.db2.gz WZSUQCTYUGHTAY-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)CCCC(=O)NC)C1 ZINC001267785698 811785569 /nfs/dbraw/zinc/78/55/69/811785569.db2.gz WZSUQCTYUGHTAY-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)CCNC(=O)c1cnn[nH]1 ZINC001077300461 815477145 /nfs/dbraw/zinc/47/71/45/815477145.db2.gz YUMKXSHGHGTUKR-NSHDSACASA-N 0 1 291.355 0.623 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)CC)C2)nn1 ZINC001105124270 811847512 /nfs/dbraw/zinc/84/75/12/811847512.db2.gz VMCYQIXJYVLDPH-UHFFFAOYSA-N 0 1 261.329 0.184 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)C3(C)CC3)C2)nn1 ZINC001105243533 811870852 /nfs/dbraw/zinc/87/08/52/811870852.db2.gz CMYLAVYLFGKUSA-UHFFFAOYSA-N 0 1 287.367 0.574 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001267956984 811893284 /nfs/dbraw/zinc/89/32/84/811893284.db2.gz TYFGXRCDINWVEG-ZIAGYGMSSA-N 0 1 292.383 0.874 20 30 CCEDMN C#CCCCCC(=O)NCCNCc1n[nH]c(C)n1 ZINC001125938641 811897135 /nfs/dbraw/zinc/89/71/35/811897135.db2.gz FMNOLGRXTBTWTR-UHFFFAOYSA-N 0 1 263.345 0.513 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)CNCc1nncn1C ZINC001267984340 811924868 /nfs/dbraw/zinc/92/48/68/811924868.db2.gz BLCYFDHUGGANLC-GFCCVEGCSA-N 0 1 277.372 0.316 20 30 CCEDMN C=CCOCC[NH2+]CCNC(=O)c1ncccc1[O-] ZINC001126125386 812020859 /nfs/dbraw/zinc/02/08/59/812020859.db2.gz UYLVFIFFUSLOOW-UHFFFAOYSA-N 0 1 265.313 0.309 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC2(CN(CC#C)C2)CC1 ZINC001268043834 812081963 /nfs/dbraw/zinc/08/19/63/812081963.db2.gz RCDVPMCBSFZPQG-AWEZNQCLSA-N 0 1 274.364 0.582 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001027918975 812140357 /nfs/dbraw/zinc/14/03/57/812140357.db2.gz RHELVBGKZADHDW-SNVBAGLBSA-N 0 1 286.339 0.733 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)[C@H](C)CNCc1nccn1C ZINC001268058513 812161621 /nfs/dbraw/zinc/16/16/21/812161621.db2.gz DKMCRBPRNZDQKC-IUODEOHRSA-N 0 1 294.399 0.684 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc2c(c1)nnn2C ZINC001027967568 812174376 /nfs/dbraw/zinc/17/43/76/812174376.db2.gz BZXHXDYZSLRVNB-ZDUSSCGKSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)o1 ZINC001028014502 812217920 /nfs/dbraw/zinc/21/79/20/812217920.db2.gz HBAMBZDFXCQITB-LLVKDONJSA-N 0 1 289.335 0.596 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccncc2CC)[C@@H](O)C1 ZINC001083589173 815511024 /nfs/dbraw/zinc/51/10/24/815511024.db2.gz UHTDDDGMVUKLPY-CABCVRRESA-N 0 1 287.363 0.442 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001028096036 812274900 /nfs/dbraw/zinc/27/49/00/812274900.db2.gz SBLQDNODFSSVMX-KGLIPLIRSA-N 0 1 291.395 0.600 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnc(C)cn2)[C@@H](O)C1 ZINC001083320361 812295368 /nfs/dbraw/zinc/29/53/68/812295368.db2.gz JTLNMGHBBTUONW-OLZOCXBDSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c[nH]c(=O)cc1OC ZINC001028142465 812311205 /nfs/dbraw/zinc/31/12/05/812311205.db2.gz MOLWYNOGHSBWNQ-LLVKDONJSA-N 0 1 289.335 0.623 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1nccn2ccnc12 ZINC001028145731 812313355 /nfs/dbraw/zinc/31/33/55/812313355.db2.gz WXIAQBXCIRUXGR-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CC[C@@H](C)O1 ZINC001028151299 812318163 /nfs/dbraw/zinc/31/81/63/812318163.db2.gz QEXRFLHFKIUCET-JHJVBQTASA-N 0 1 250.342 0.768 20 30 CCEDMN C=CCCn1cc(C(=O)NC[C@@H]2CCCN2CCO)nn1 ZINC001028192103 812345070 /nfs/dbraw/zinc/34/50/70/812345070.db2.gz GVQLWJPWFPGZTL-LBPRGKRZSA-N 0 1 293.371 0.041 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2[C@H](C)COC)cn1 ZINC001038927951 812353881 /nfs/dbraw/zinc/35/38/81/812353881.db2.gz FLKGQOTVTWRTAZ-DOMZBBRYSA-N 0 1 287.363 0.902 20 30 CCEDMN C#CCCCC(=O)N(C)CCN(C)Cc1n[nH]c(C)n1 ZINC001268235217 812397456 /nfs/dbraw/zinc/39/74/56/812397456.db2.gz DVHAETZPEZRISH-UHFFFAOYSA-N 0 1 277.372 0.807 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@@H]2CN(C)CCO2)C1 ZINC001268263535 812428447 /nfs/dbraw/zinc/42/84/47/812428447.db2.gz CKXUNOYHOAUDSP-JSGCOSHPSA-N 0 1 281.400 0.472 20 30 CCEDMN N#CCNC[C@@H]1CCC[C@@H]1NC(=O)c1[nH]ncc1F ZINC001268285419 812447727 /nfs/dbraw/zinc/44/77/27/812447727.db2.gz HNOYBQRDYACSBS-WPRPVWTQSA-N 0 1 265.292 0.560 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H](F)C(C)C ZINC001268322095 812485190 /nfs/dbraw/zinc/48/51/90/812485190.db2.gz WRWNHYXLGLKKME-ZJUUUORDSA-N 0 1 266.744 0.800 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001028248667 812491858 /nfs/dbraw/zinc/49/18/58/812491858.db2.gz AXZKVAJTYIKKAD-CHWSQXEVSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001028248667 812491853 /nfs/dbraw/zinc/49/18/53/812491853.db2.gz AXZKVAJTYIKKAD-CHWSQXEVSA-N 0 1 286.379 0.728 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001028248698 812491980 /nfs/dbraw/zinc/49/19/80/812491980.db2.gz BLEXGTKSUSGIGV-VXGBXAGGSA-N 0 1 287.367 0.619 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)c1ccoc1C ZINC001268510697 812743353 /nfs/dbraw/zinc/74/33/53/812743353.db2.gz NKHYKWJCTSMRRE-PLNGDYQASA-N 0 1 289.335 0.213 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1ocnc1C ZINC001126378011 812809742 /nfs/dbraw/zinc/80/97/42/812809742.db2.gz HOPMPHMMPJTESL-ZDUSSCGKSA-N 0 1 267.329 0.516 20 30 CCEDMN C=CCCCC(=O)NC/C=C/CN[C@H]1CCNC1=O ZINC001268583196 812868441 /nfs/dbraw/zinc/86/84/41/812868441.db2.gz DSAFOAUSLDXQCD-FYJFLYSWSA-N 0 1 265.357 0.493 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)c2ccncc2F)[C@@H](O)C1 ZINC001083383099 812925604 /nfs/dbraw/zinc/92/56/04/812925604.db2.gz NWVQOBBPBDGECV-KGLIPLIRSA-N 0 1 293.342 0.962 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H]2CCCCO2)[C@@H](O)C1 ZINC001083399856 812939855 /nfs/dbraw/zinc/93/98/55/812939855.db2.gz QTKOWQYTTBBOCA-MJBXVCDLSA-N 0 1 282.384 0.683 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1c(C)onc1CC ZINC001127027797 815565504 /nfs/dbraw/zinc/56/55/04/815565504.db2.gz ZYZJZGDIAGKGIP-UHFFFAOYSA-N 0 1 293.367 0.791 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)CNCc1cc(C)ncn1 ZINC001268646824 812971040 /nfs/dbraw/zinc/97/10/40/812971040.db2.gz ZTBFZCJCLFHYNM-CYBMUJFWSA-N 0 1 290.367 0.419 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cnc(C)[nH]2)C1 ZINC001268703871 813022143 /nfs/dbraw/zinc/02/21/43/813022143.db2.gz RADAWTKEJIVIKP-UHFFFAOYSA-N 0 1 278.356 0.582 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cnn2c1OCCC2 ZINC001268737669 813051222 /nfs/dbraw/zinc/05/12/22/813051222.db2.gz LWFHYHZQEOWEDA-LBPRGKRZSA-N 0 1 290.367 0.739 20 30 CCEDMN C[C@@H](CN(C)CC#N)NC(=O)c1[nH]nc2c1CCCC2 ZINC001268736814 813052277 /nfs/dbraw/zinc/05/22/77/813052277.db2.gz WAQHYHGKMZLMNY-JTQLQIEISA-N 0 1 275.356 0.862 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001268741898 813055275 /nfs/dbraw/zinc/05/52/75/813055275.db2.gz AMZKNVZNUGDQJG-KGLIPLIRSA-N 0 1 293.411 0.846 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001268758611 813069818 /nfs/dbraw/zinc/06/98/18/813069818.db2.gz YBRBMOGYVGGGTD-STQMWFEESA-N 0 1 288.395 0.974 20 30 CCEDMN C=CC(=O)N1CCc2c(n[nH]c2C(=O)N2CC(CC#N)C2)C1 ZINC001268861858 813123433 /nfs/dbraw/zinc/12/34/33/813123433.db2.gz CYMTXASLKSILNH-UHFFFAOYSA-N 0 1 299.334 0.466 20 30 CCEDMN CCC#CC(=O)N1CCc2c(n[nH]c2C(=O)N2CC=CC2)C1 ZINC001268875525 813128845 /nfs/dbraw/zinc/12/88/45/813128845.db2.gz HMENMKFOBHEYES-UHFFFAOYSA-N 0 1 298.346 0.720 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCOCC2CC2)C1 ZINC001269025803 813182405 /nfs/dbraw/zinc/18/24/05/813182405.db2.gz HTHMPYZEEIKBSO-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](C)n2ccc(C)n2)C1 ZINC001269051934 813194232 /nfs/dbraw/zinc/19/42/32/813194232.db2.gz PFMPLUGBEPRTPH-KGLIPLIRSA-N 0 1 274.368 0.966 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)c2ccn3cncc3c2)C1 ZINC001028662211 813195171 /nfs/dbraw/zinc/19/51/71/813195171.db2.gz VCGKSRVLIAFHEW-LBPRGKRZSA-N 0 1 283.335 0.910 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cncs1 ZINC001127045459 815599430 /nfs/dbraw/zinc/59/94/30/815599430.db2.gz BKHLAQSHZSAMJJ-JTQLQIEISA-N 0 1 267.354 0.387 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2C(=O)[C@@H]2CCCN2C)C1=O ZINC001269085234 813208642 /nfs/dbraw/zinc/20/86/42/813208642.db2.gz YAQCOPXRFARQTN-BBRMVZONSA-N 0 1 291.395 0.860 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2C(=O)[C@@H]2CCCN2C)C1=O ZINC001269084996 813208737 /nfs/dbraw/zinc/20/87/37/813208737.db2.gz VKSRDEDBRQEGGI-BBRMVZONSA-N 0 1 289.379 0.307 20 30 CCEDMN C=C(C)CN1CC2(CN(C(=O)c3ccn[nH]3)C2)OCC1=O ZINC001269131766 813232232 /nfs/dbraw/zinc/23/22/32/813232232.db2.gz KXLDJWJPAJNTPL-UHFFFAOYSA-N 0 1 290.323 0.039 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CO[C@H]1CCOC1 ZINC001269235350 813282022 /nfs/dbraw/zinc/28/20/22/813282022.db2.gz KBUQMZUNZKNMDO-OLZOCXBDSA-N 0 1 266.341 0.006 20 30 CCEDMN CC#CCN1CC[C@@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001028682180 813304352 /nfs/dbraw/zinc/30/43/52/813304352.db2.gz GKLQCJXLPNJDAN-ZDUSSCGKSA-N 0 1 287.363 0.460 20 30 CCEDMN C=CCN1CC[C@]2(CCN(C(=O)c3[nH]nnc3C)C2)C1=O ZINC001269350700 813334217 /nfs/dbraw/zinc/33/42/17/813334217.db2.gz CTISRVFDKAMIMU-CQSZACIVSA-N 0 1 289.339 0.364 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)C=C(C)C)CC1 ZINC001269368639 813343444 /nfs/dbraw/zinc/34/34/44/813343444.db2.gz NANSFIJKSZKLJW-GFCCVEGCSA-N 0 1 277.368 0.329 20 30 CCEDMN CC[C@H](CNC(=O)c1ccn(-c2ccncc2)n1)NCC#N ZINC001269438611 813372217 /nfs/dbraw/zinc/37/22/17/813372217.db2.gz YEHQXSYFETVKPA-GFCCVEGCSA-N 0 1 298.350 0.889 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001028766136 813465124 /nfs/dbraw/zinc/46/51/24/813465124.db2.gz MYCXEZQLEOWDFK-QWRGUYRKSA-N 0 1 288.355 0.186 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2nnn(CC)c2CC)C1 ZINC001028799985 813526385 /nfs/dbraw/zinc/52/63/85/813526385.db2.gz MDOUJGGCCFIUQD-LBPRGKRZSA-N 0 1 289.383 0.545 20 30 CCEDMN N#CCCNC(=O)CCN1CCN(c2ccccn2)CC1 ZINC000427616704 813613262 /nfs/dbraw/zinc/61/32/62/813613262.db2.gz YZSPQIMKKMAWOT-UHFFFAOYSA-N 0 1 287.367 0.624 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001028917025 813653509 /nfs/dbraw/zinc/65/35/09/813653509.db2.gz DOBZUQKWLDJFMU-RYUDHWBXSA-N 0 1 287.367 0.476 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)C[C@@H]2CCNC2=O)CC1 ZINC001270236978 813690414 /nfs/dbraw/zinc/69/04/14/813690414.db2.gz FGAXICFYSBRUIT-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H](OC)C3CC3)[C@@H]2C1 ZINC001075749365 813708333 /nfs/dbraw/zinc/70/83/33/813708333.db2.gz ROZOTYFNOPGVEC-ZNMIVQPWSA-N 0 1 276.380 0.967 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](C)CNCc1nncs1 ZINC001270394195 813745701 /nfs/dbraw/zinc/74/57/01/813745701.db2.gz QKHPRIVARYNWSE-ZWNOBZJWSA-N 0 1 298.412 0.707 20 30 CCEDMN N#CCNC[C@H](NC(=O)CCc1c[nH]nn1)C1CCCC1 ZINC001270429437 813762402 /nfs/dbraw/zinc/76/24/02/813762402.db2.gz AYKYCMIHTYPCJP-ZDUSSCGKSA-N 0 1 290.371 0.525 20 30 CCEDMN N#CCNC[C@H](NC(=O)CCc1cnn[nH]1)C1CCCC1 ZINC001270429437 813762410 /nfs/dbraw/zinc/76/24/10/813762410.db2.gz AYKYCMIHTYPCJP-ZDUSSCGKSA-N 0 1 290.371 0.525 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cn2cc(C)cn2)C1 ZINC001149311093 813806384 /nfs/dbraw/zinc/80/63/84/813806384.db2.gz SMMGIZCNLUQNMH-CQSZACIVSA-N 0 1 292.383 0.585 20 30 CCEDMN C#CCCCC(=O)N[C@@]1(C)CCN([C@H]2CCN(C)C2=O)C1 ZINC001270575615 813822490 /nfs/dbraw/zinc/82/24/90/813822490.db2.gz FOFLRGPHXOUGTO-BBRMVZONSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1CC ZINC001087491827 813952682 /nfs/dbraw/zinc/95/26/82/813952682.db2.gz RKOOQJBNMDLYFM-CMPLNLGQSA-N 0 1 274.324 0.398 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CN(CCCF)C[C@@H]2O)[nH]1 ZINC001083413920 814037831 /nfs/dbraw/zinc/03/78/31/814037831.db2.gz CVHARMPGACCUIG-NEPJUHHUSA-N 0 1 280.303 0.021 20 30 CCEDMN C[C@H](F)CCN1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)[C@@H](O)C1 ZINC001083417828 814224386 /nfs/dbraw/zinc/22/43/86/814224386.db2.gz FPXPFZMIMRQFDY-BIMULSAOSA-N 0 1 294.330 0.409 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2CCCO ZINC001029482087 814302877 /nfs/dbraw/zinc/30/28/77/814302877.db2.gz TYTJLJLDQFITDI-BARDWOONSA-N 0 1 294.395 0.635 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C[C@H](C)COC)C1 ZINC001271207584 814333253 /nfs/dbraw/zinc/33/32/53/814333253.db2.gz DUBYPKJEJANGPD-DZGCQCFKSA-N 0 1 282.384 0.235 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCOC)cn1 ZINC001029557982 814424465 /nfs/dbraw/zinc/42/44/65/814424465.db2.gz BBPAJBDFISLYPW-IYBDPMFKSA-N 0 1 299.374 0.998 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CN(C)Cc2cncn2C)C1 ZINC001029678573 814534557 /nfs/dbraw/zinc/53/45/57/814534557.db2.gz QDTUTEXWVHKVIO-QWHCGFSZSA-N 0 1 289.383 0.860 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)c2cscn2)C1 ZINC001271949806 814644378 /nfs/dbraw/zinc/64/43/78/814644378.db2.gz KCGCZCBOCPIOCM-CQSZACIVSA-N 0 1 293.392 0.723 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cc(C)ncn1 ZINC001127032020 815582236 /nfs/dbraw/zinc/58/22/36/815582236.db2.gz OMZPZLQMJWCPDH-UHFFFAOYSA-N 0 1 276.340 0.031 20 30 CCEDMN Cc1nc(CN(C)CCN(C)C(=O)C#CC2CC2)n[nH]1 ZINC001272012864 814716412 /nfs/dbraw/zinc/71/64/12/814716412.db2.gz AQRYBMNZMHSKNN-UHFFFAOYSA-N 0 1 275.356 0.417 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2COC(=O)C2)[C@H]1C ZINC001088522768 814850058 /nfs/dbraw/zinc/85/00/58/814850058.db2.gz DIZKQXGLFAZWLF-WOPDTQHZSA-N 0 1 264.325 0.152 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCCO2)[C@H]1C ZINC001088525970 814852879 /nfs/dbraw/zinc/85/28/79/814852879.db2.gz WGEIWJLKQITYEH-FRRDWIJNSA-N 0 1 250.342 0.768 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(C)c2N)[C@H]1C ZINC001088537727 814858870 /nfs/dbraw/zinc/85/88/70/814858870.db2.gz NAPXNUBMOGWIHF-KOLCDFICSA-N 0 1 263.345 0.381 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)Cc3cnc[nH]3)C2)nc1 ZINC001059847496 814895129 /nfs/dbraw/zinc/89/51/29/814895129.db2.gz LZHZSKUDMXYYOD-TXEJJXNPSA-N 0 1 296.334 0.978 20 30 CCEDMN C=C(C)C(=O)OC[C@@H](C)Oc1[nH]c(=O)[nH]c(=O)c1F ZINC001229119368 814919011 /nfs/dbraw/zinc/91/90/11/814919011.db2.gz JNRVOHIQKCEWJL-ZCFIWIBFSA-N 0 1 272.232 0.914 20 30 CCEDMN C=C(C)CC[N@H+]1CC[C@@H]1CNC(=O)c1nccnc1N ZINC001038126918 815678021 /nfs/dbraw/zinc/67/80/21/815678021.db2.gz MWPDNOHZALQRQX-LLVKDONJSA-N 0 1 275.356 0.829 20 30 CCEDMN C=C(C)CCN1CC[C@@H]1CNC(=O)c1nccnc1N ZINC001038126918 815678024 /nfs/dbraw/zinc/67/80/24/815678024.db2.gz MWPDNOHZALQRQX-LLVKDONJSA-N 0 1 275.356 0.829 20 30 CCEDMN COc1cc(CN2C[C@H](O)C[C@@]2(C)CO)ccc1C#N ZINC000698217677 815717913 /nfs/dbraw/zinc/71/79/13/815717913.db2.gz XZTUQDIPFQBPSX-HIFRSBDPSA-N 0 1 276.336 0.884 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2coc(OC)n2)C1 ZINC001077459158 815945486 /nfs/dbraw/zinc/94/54/86/815945486.db2.gz WDCONRXWDAURPZ-ZYHUDNBSSA-N 0 1 295.339 0.424 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](CNCc2nnnn2C)[C@H](C)C1 ZINC001105921928 815997602 /nfs/dbraw/zinc/99/76/02/815997602.db2.gz NATMJGAPBXCTCO-VXGBXAGGSA-N 0 1 292.387 0.360 20 30 CCEDMN C=C(Br)CNC(=O)C[C@H]1COCCN1 ZINC000168295341 815998452 /nfs/dbraw/zinc/99/84/52/815998452.db2.gz HFVYZOWJEZHUFE-QMMMGPOBSA-N 0 1 263.135 0.390 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1cccc(C)c1=O ZINC001127181209 816052774 /nfs/dbraw/zinc/05/27/74/816052774.db2.gz IHNQXDKTBNRGRY-UHFFFAOYSA-N 0 1 283.759 0.615 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1OC ZINC001212184555 816054651 /nfs/dbraw/zinc/05/46/51/816054651.db2.gz AXNYNQMAHOWZNW-MGPQQGTHSA-N 0 1 282.384 0.640 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]c(=O)cc2C)[C@H]1C ZINC001088848946 816066487 /nfs/dbraw/zinc/06/64/87/816066487.db2.gz XBAGMTUQYQDNTD-YPMHNXCESA-N 0 1 273.336 0.921 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCCC(=O)N2)[C@H]1C ZINC001088850363 816072012 /nfs/dbraw/zinc/07/20/12/816072012.db2.gz NGZDQMVFKQMAQF-FRRDWIJNSA-N 0 1 279.384 0.810 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2csnn2)[C@@H](O)C1 ZINC001083677461 816115983 /nfs/dbraw/zinc/11/59/83/816115983.db2.gz VAGAWCKJRBFMAV-KOLCDFICSA-N 0 1 282.369 0.279 20 30 CCEDMN C=CCN1CC(NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001030599224 816136520 /nfs/dbraw/zinc/13/65/20/816136520.db2.gz YXSGFVAIAONNNF-UHFFFAOYSA-N 0 1 260.341 0.889 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CCOC2)[C@H]1C ZINC001088942413 816187207 /nfs/dbraw/zinc/18/72/07/816187207.db2.gz STRARBXXLZVESI-MBNYWOFBSA-N 0 1 250.342 0.625 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ncc[nH]2)[C@H]1C ZINC001088941875 816187682 /nfs/dbraw/zinc/18/76/82/816187682.db2.gz YEKNJBQTWXBXTM-NEPJUHHUSA-N 0 1 260.341 0.555 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCN2C(C)=O)[C@H]1C ZINC001089065728 816230365 /nfs/dbraw/zinc/23/03/65/816230365.db2.gz YWAHZOXEFRQFBD-VHDGCEQUSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)c2cnn(C)c2)[C@H]1C ZINC001089099140 816249262 /nfs/dbraw/zinc/24/92/62/816249262.db2.gz YDQWURQRLRVTPJ-SCRDCRAPSA-N 0 1 274.368 0.736 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CC1CCCC1)C2 ZINC001272500139 816512533 /nfs/dbraw/zinc/51/25/33/816512533.db2.gz RUKOUASVBTXJPT-UHFFFAOYSA-N 0 1 262.353 0.723 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC1CN(C[C@H]2CCOC2)C1 ZINC001030979027 816528484 /nfs/dbraw/zinc/52/84/84/816528484.db2.gz FBQIOYTUFMZJKV-BNOWGMLFSA-N 0 1 280.368 0.414 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)CCCCN2Cc1cn[nH]c1 ZINC001272543662 816567362 /nfs/dbraw/zinc/56/73/62/816567362.db2.gz KWUQOSULBIGPLS-OAHLLOKOSA-N 0 1 272.352 1.000 20 30 CCEDMN C#CCN1C(=O)C[C@@]2(CCC[N@@H+](Cc3cn[nH]c3)C2)C1=O ZINC001272544289 816567869 /nfs/dbraw/zinc/56/78/69/816567869.db2.gz PXOOTRBXDJGCAR-OAHLLOKOSA-N 0 1 286.335 0.384 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)c2cncnc2)[C@@H](O)C1 ZINC001083722295 816653570 /nfs/dbraw/zinc/65/35/70/816653570.db2.gz OAGMJQVRMCNUJV-BNOWGMLFSA-N 0 1 290.367 0.318 20 30 CCEDMN C[C@@H](CCNc1nccnc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001106598145 816820848 /nfs/dbraw/zinc/82/08/48/816820848.db2.gz DXJUIDFVHZSNCZ-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@H](CCNc1ccc(C#N)nn1)NC(=O)Cc1cnc[nH]1 ZINC001106599026 816820891 /nfs/dbraw/zinc/82/08/91/816820891.db2.gz VYJKGTLHXVSYKA-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN CCN(CCNc1cncc(C#N)n1)C(=O)c1cc(C)[nH]n1 ZINC001106691753 816852402 /nfs/dbraw/zinc/85/24/02/816852402.db2.gz FIZGDHGYCWWMGT-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN CCN(CCNc1ncnc2[nH]cnc21)C(=O)[C@@H](C)C#N ZINC001106707297 816860635 /nfs/dbraw/zinc/86/06/35/816860635.db2.gz DIOWFUYTUPIYLS-VIFPVBQESA-N 0 1 287.327 0.725 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc3n[nH]cc3c2)[C@@H](O)C1 ZINC001083735715 816876621 /nfs/dbraw/zinc/87/66/21/816876621.db2.gz PVEKSIWQACHDHG-CABCVRRESA-N 0 1 298.346 0.361 20 30 CCEDMN C#CCN1CC(NC(=O)c2n[nH]c3ccccc32)C1 ZINC001031340690 816990809 /nfs/dbraw/zinc/99/08/09/816990809.db2.gz LHBVHWPGQYHLTA-UHFFFAOYSA-N 0 1 254.293 0.610 20 30 CCEDMN COCCN1CC(CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001031619232 817189224 /nfs/dbraw/zinc/18/92/24/817189224.db2.gz OVVZSSYFWDXTKY-UHFFFAOYSA-N 0 1 262.313 0.194 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(OC)ncn2)C1 ZINC001031674911 817237797 /nfs/dbraw/zinc/23/77/97/817237797.db2.gz VLWLMABIBMFBGY-UHFFFAOYSA-N 0 1 262.313 0.333 20 30 CCEDMN COC(=O)[C@@H]1CN(Cc2ccc(C#N)cc2[O-])C[C@H]1[NH3+] ZINC001236349807 817279252 /nfs/dbraw/zinc/27/92/52/817279252.db2.gz HOQLYTWGWKBTRT-VXGBXAGGSA-N 0 1 275.308 0.196 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnc3nccnc3c2)C1 ZINC001031736636 817301888 /nfs/dbraw/zinc/30/18/88/817301888.db2.gz HOTHLKZHWZEWJB-UHFFFAOYSA-N 0 1 295.346 0.710 20 30 CCEDMN CCN(c1ccc(C#N)nc1)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001089682806 817313605 /nfs/dbraw/zinc/31/36/05/817313605.db2.gz OMLGUGWNHGZDDG-SNVBAGLBSA-N 0 1 299.338 0.716 20 30 CCEDMN CCN(c1ccc(C#N)nc1)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001089682806 817313614 /nfs/dbraw/zinc/31/36/14/817313614.db2.gz OMLGUGWNHGZDDG-SNVBAGLBSA-N 0 1 299.338 0.716 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2C[C@@H](C)O)cc1 ZINC001038589513 817322897 /nfs/dbraw/zinc/32/28/97/817322897.db2.gz QGAAWHCJWSITJX-IUODEOHRSA-N 0 1 272.348 0.853 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1ccn[nH]1 ZINC001089787808 817354625 /nfs/dbraw/zinc/35/46/25/817354625.db2.gz OZCBJYLCPAILMV-MHEUCROKSA-N 0 1 299.378 0.645 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCn3cncc3C2)C1 ZINC001031776214 817356514 /nfs/dbraw/zinc/35/65/14/817356514.db2.gz QRJPNQJSMBUETM-ZDUSSCGKSA-N 0 1 274.368 0.680 20 30 CCEDMN C=CCN1CC(CNC(=O)c2csc(NC(C)=O)n2)C1 ZINC001031808075 817384507 /nfs/dbraw/zinc/38/45/07/817384507.db2.gz PNQRTYLFVSAJLS-UHFFFAOYSA-N 0 1 294.380 0.949 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001212337451 817384849 /nfs/dbraw/zinc/38/48/49/817384849.db2.gz OEAJLDQNCHCTTA-GHMZBOCLSA-N 0 1 290.791 0.573 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1OC ZINC001212338479 817387465 /nfs/dbraw/zinc/38/74/65/817387465.db2.gz MQVMJPZDXZSTBL-YNEHKIRRSA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ncnc3[nH]ccc32)C1 ZINC001031816148 817392015 /nfs/dbraw/zinc/39/20/15/817392015.db2.gz BFWZXJGWEVANKP-UHFFFAOYSA-N 0 1 271.324 0.757 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn3c2OCCC3)C1 ZINC001031814950 817392226 /nfs/dbraw/zinc/39/22/26/817392226.db2.gz FCXXLVJJYKSKSJ-UHFFFAOYSA-N 0 1 288.351 0.351 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ncc(OC)cn2)C1 ZINC001031834051 817405719 /nfs/dbraw/zinc/40/57/19/817405719.db2.gz MIYIYPMOLFZGOT-UHFFFAOYSA-N 0 1 276.340 0.723 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cncc(-n3ccnn3)c2)C1 ZINC001031877081 817435768 /nfs/dbraw/zinc/43/57/68/817435768.db2.gz KDJKFJKWGYIJIV-UHFFFAOYSA-N 0 1 298.350 0.510 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2csnn2)C1 ZINC001031880804 817437800 /nfs/dbraw/zinc/43/78/00/817437800.db2.gz UFCUFTSWAOMVFM-UHFFFAOYSA-N 0 1 252.343 0.776 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCNCc1cc(C)ncn1 ZINC001124488256 817487668 /nfs/dbraw/zinc/48/76/68/817487668.db2.gz MMGFSIJHSQNBQM-ZDUSSCGKSA-N 0 1 292.383 0.972 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCNCc1cnc(C)cn1 ZINC001124494109 817489257 /nfs/dbraw/zinc/48/92/57/817489257.db2.gz DZNDQWVBOWYMIZ-CYBMUJFWSA-N 0 1 292.383 0.972 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn(CC=C)nn2)C1 ZINC001032034733 817567141 /nfs/dbraw/zinc/56/71/41/817567141.db2.gz CBYUTOXCEIEKMR-UHFFFAOYSA-N 0 1 261.329 0.312 20 30 CCEDMN C=CCOCC(=O)NCCN[C@H](C)c1cnc(C)cn1 ZINC001124768351 817569519 /nfs/dbraw/zinc/56/95/19/817569519.db2.gz YJPWGMSNYWCHFP-GFCCVEGCSA-N 0 1 278.356 0.754 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NCC1CN(CCO)C1 ZINC001032040371 817573678 /nfs/dbraw/zinc/57/36/78/817573678.db2.gz ZLRDMMNFMVNWPL-KBPBESRZSA-N 0 1 282.384 0.398 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc3n[nH]c(=O)n3c2)C1 ZINC001032049437 817578799 /nfs/dbraw/zinc/57/87/99/817578799.db2.gz HZHIPPSMMBRJTB-UHFFFAOYSA-N 0 1 287.323 0.283 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2coc(COC)n2)C1 ZINC001032083102 817612162 /nfs/dbraw/zinc/61/21/62/817612162.db2.gz NYGANQYKWUWDJU-UHFFFAOYSA-N 0 1 277.324 0.506 20 30 CCEDMN CC(C)c1nc(CNCCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001124887915 817614730 /nfs/dbraw/zinc/61/47/30/817614730.db2.gz ANYJRMDVYLUMQH-VIFPVBQESA-N 0 1 264.333 0.294 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(Cc1ccnn1C)CC2 ZINC001272734723 817621238 /nfs/dbraw/zinc/62/12/38/817621238.db2.gz DHSHAVFBKZZAEV-AWEZNQCLSA-N 0 1 286.379 0.772 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)NCC3CN(CC#N)C3)c2C1 ZINC001032095564 817622536 /nfs/dbraw/zinc/62/25/36/817622536.db2.gz VHEWGSBQRRTKMP-SNVBAGLBSA-N 0 1 287.367 0.720 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN[C@@H](C)C(=O)Nc1nccs1 ZINC001124896596 817623529 /nfs/dbraw/zinc/62/35/29/817623529.db2.gz OPFBQVNQMXZZKR-IUCAKERBSA-N 0 1 295.368 0.336 20 30 CCEDMN COc1coc(CNCCNC(=O)[C@H](C)C#N)cc1=O ZINC001124903567 817629364 /nfs/dbraw/zinc/62/93/64/817629364.db2.gz RWXIQPHMASFSLC-SECBINFHSA-N 0 1 279.296 0.014 20 30 CCEDMN C=C(Br)CNCCNC(=O)Cc1ccon1 ZINC001125104013 817705982 /nfs/dbraw/zinc/70/59/82/817705982.db2.gz QHCBSLCGDORRET-UHFFFAOYSA-N 0 1 288.145 0.832 20 30 CCEDMN N#CCN1CC(CNC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001032211002 817709544 /nfs/dbraw/zinc/70/95/44/817709544.db2.gz WSBWNSBODXJWDS-UHFFFAOYSA-N 0 1 296.334 0.657 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001032223743 817727561 /nfs/dbraw/zinc/72/75/61/817727561.db2.gz CNUFNBPWSMFWNL-UHFFFAOYSA-N 0 1 274.324 0.028 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H]3C[C@H]2CN3C(=O)CC)C1=O ZINC001032238023 817741614 /nfs/dbraw/zinc/74/16/14/817741614.db2.gz DAXDQDZJVOHDOV-AVGNSLFASA-N 0 1 277.368 0.468 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCOC1 ZINC001032307846 817832102 /nfs/dbraw/zinc/83/21/02/817832102.db2.gz WWVWTJBQGBXSMT-AVGNSLFASA-N 0 1 250.342 0.884 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCOC1 ZINC001032307846 817832112 /nfs/dbraw/zinc/83/21/12/817832112.db2.gz WWVWTJBQGBXSMT-AVGNSLFASA-N 0 1 250.342 0.884 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](CC)OC ZINC001032312192 817842506 /nfs/dbraw/zinc/84/25/06/817842506.db2.gz ZIQSUDXVRKZBEH-AVGNSLFASA-N 0 1 250.342 0.720 20 30 CCEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)C(N)=O)CCC[C@@H]12 ZINC001107528507 817874951 /nfs/dbraw/zinc/87/49/51/817874951.db2.gz YPAQHYLDFAXTMS-ZWNOBZJWSA-N 0 1 285.775 0.585 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN([C@H](C)COC)C[C@H]2O)CC1 ZINC001077625057 817883383 /nfs/dbraw/zinc/88/33/83/817883383.db2.gz OSROPTFWJXERIB-BPLDGKMQSA-N 0 1 296.411 0.929 20 30 CCEDMN Cc1nc(NCC=CCNC(=O)c2cnn[nH]2)ccc1C#N ZINC001107586614 817890867 /nfs/dbraw/zinc/89/08/67/817890867.db2.gz FBVHALIWPKYQOV-NSCUHMNNSA-N 0 1 297.322 0.778 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)COCC)C1 ZINC001107710662 817956116 /nfs/dbraw/zinc/95/61/16/817956116.db2.gz YZEVKXBJCHGSPQ-CQSZACIVSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@@H]2CCCO2)C1 ZINC001107719311 817964419 /nfs/dbraw/zinc/96/44/19/817964419.db2.gz APLCYDQWIJMHMB-ZFWWWQNUSA-N 0 1 282.384 0.949 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)C[NH+]2CC[C@H](C)C2)C1 ZINC001077678983 817983943 /nfs/dbraw/zinc/98/39/43/817983943.db2.gz DJDCONJUZWXVBE-BFHYXJOUSA-N 0 1 281.400 0.066 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)Cc2cnc[nH]2)C12CCC2 ZINC001078734974 818038061 /nfs/dbraw/zinc/03/80/61/818038061.db2.gz WNSMORNQIXGLKG-NWDGAFQWSA-N 0 1 273.340 0.493 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCNCC(=O)Nc1ccon1 ZINC001128252016 818131619 /nfs/dbraw/zinc/13/16/19/818131619.db2.gz BRCLBGCQZYCMRS-UHFFFAOYSA-N 0 1 294.355 0.921 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(F)F)[C@H](O)C1 ZINC001089998777 818245950 /nfs/dbraw/zinc/24/59/50/818245950.db2.gz AAVNLTQWIMLPEW-VHSXEESVSA-N 0 1 260.284 0.216 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cc[n+]([O-])cc2)C1 ZINC001032893057 818343129 /nfs/dbraw/zinc/34/31/29/818343129.db2.gz XZSYEHVKDLXWAF-AWEZNQCLSA-N 0 1 273.336 0.490 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C)o2)[C@H](O)C1 ZINC001090051537 818356797 /nfs/dbraw/zinc/35/67/97/818356797.db2.gz SHFRQLFRQONLQX-VXGBXAGGSA-N 0 1 264.325 0.939 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccoc2C)[C@@H](O)C1 ZINC001090054094 818372125 /nfs/dbraw/zinc/37/21/25/818372125.db2.gz HPKGVQJLJBXJHU-OLZOCXBDSA-N 0 1 264.325 0.939 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cnn(C)c2N)C1 ZINC001033026298 818439689 /nfs/dbraw/zinc/43/96/89/818439689.db2.gz VGKUEEIWKVMRLN-NSHDSACASA-N 0 1 275.356 0.172 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(C)n2C)[C@H](O)C1 ZINC001090069383 818457588 /nfs/dbraw/zinc/45/75/88/818457588.db2.gz PTRMPJPVZIXFPB-UKRRQHHQSA-N 0 1 289.379 0.522 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C)nc2)[C@@H](O)C1 ZINC001090074574 818464921 /nfs/dbraw/zinc/46/49/21/818464921.db2.gz IETPCQICSAREAF-KBPBESRZSA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnn(CC)n2)C1 ZINC001033061474 818478164 /nfs/dbraw/zinc/47/81/64/818478164.db2.gz MNQLMQLYQHMWSD-LLVKDONJSA-N 0 1 263.345 0.630 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cccn2C)[C@H](O)C1 ZINC001090088404 818487389 /nfs/dbraw/zinc/48/73/89/818487389.db2.gz OEFBNTNTIDDQBT-DGCLKSJQSA-N 0 1 263.341 0.376 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccn2C)[C@H](O)C1 ZINC001090088404 818487391 /nfs/dbraw/zinc/48/73/91/818487391.db2.gz OEFBNTNTIDDQBT-DGCLKSJQSA-N 0 1 263.341 0.376 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2nnc(C)[nH]2)C1 ZINC001033080233 818502010 /nfs/dbraw/zinc/50/20/10/818502010.db2.gz CPPWMFQZWOZOND-LBPRGKRZSA-N 0 1 275.356 0.212 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@]23C[C@H]2COC3)C1 ZINC001033100218 818518546 /nfs/dbraw/zinc/51/85/46/818518546.db2.gz VOYVIVYNJFTXLV-OBJOEFQTSA-N 0 1 250.342 0.742 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)[C@H](C)N2C(=O)CCC2=O)C1 ZINC001033121321 818546839 /nfs/dbraw/zinc/54/68/39/818546839.db2.gz DCPZZRCXRYSKNV-RYUDHWBXSA-N 0 1 293.367 0.243 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)N2C(=O)CCC2=O)C1 ZINC001033121321 818546847 /nfs/dbraw/zinc/54/68/47/818546847.db2.gz DCPZZRCXRYSKNV-RYUDHWBXSA-N 0 1 293.367 0.243 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(C)C(=O)c2ccc3nn[n-]c3n2)C1 ZINC001033129507 818554823 /nfs/dbraw/zinc/55/48/23/818554823.db2.gz BCNSFLTYBRSGDG-JTQLQIEISA-N 0 1 284.323 0.132 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001033129507 818554829 /nfs/dbraw/zinc/55/48/29/818554829.db2.gz BCNSFLTYBRSGDG-JTQLQIEISA-N 0 1 284.323 0.132 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)C[C@H]2CCNC2=O)C1 ZINC001033137495 818561739 /nfs/dbraw/zinc/56/17/39/818561739.db2.gz AHVWXISCPVEKAF-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@@]2(CC[N@H+](Cc3ccncc3[O-])C2)C1=O ZINC001273080837 818580648 /nfs/dbraw/zinc/58/06/48/818580648.db2.gz QDTLCBFIGJXZTR-MRXNPFEDSA-N 0 1 285.347 0.845 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033161453 818587864 /nfs/dbraw/zinc/58/78/64/818587864.db2.gz LZDXKMXXAQKHJP-MJBXVCDLSA-N 0 1 280.368 0.346 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033160229 818588481 /nfs/dbraw/zinc/58/84/81/818588481.db2.gz IIFZFDSTERBIQD-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001033244408 818672824 /nfs/dbraw/zinc/67/28/24/818672824.db2.gz REJHJHVFWHVNRN-GJZGRUSLSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc3n(n2)CCCO3)C1 ZINC001033249658 818676569 /nfs/dbraw/zinc/67/65/69/818676569.db2.gz BAQYXPVKTYRQQN-GFCCVEGCSA-N 0 1 290.367 0.998 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(C)s2)[C@H](O)C1 ZINC001090129957 818687391 /nfs/dbraw/zinc/68/73/91/818687391.db2.gz RSTXHWBXWJEVDU-GHMZBOCLSA-N 0 1 281.381 0.803 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)C2(C#N)CC2)CC1 ZINC001143173757 818694878 /nfs/dbraw/zinc/69/48/78/818694878.db2.gz IQNCFLBVMGVDTB-JTQLQIEISA-N 0 1 278.308 0.661 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033331529 818716986 /nfs/dbraw/zinc/71/69/86/818716986.db2.gz ILUNLMHOHQMZRL-SNVBAGLBSA-N 0 1 264.329 0.458 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2csc(=O)[nH]2)C1 ZINC001033346687 818729298 /nfs/dbraw/zinc/72/92/98/818729298.db2.gz RSFQGTSLVSGWBG-SECBINFHSA-N 0 1 265.338 0.628 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@]2(F)CCOC2)C1 ZINC001033364380 818733553 /nfs/dbraw/zinc/73/35/53/818733553.db2.gz DTKMTUJLXOIXAL-AAEUAGOBSA-N 0 1 256.321 0.834 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@]2(F)CCOC2)C1 ZINC001033364381 818734101 /nfs/dbraw/zinc/73/41/01/818734101.db2.gz DTKMTUJLXOIXAL-DGCLKSJQSA-N 0 1 256.321 0.834 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033386620 818754896 /nfs/dbraw/zinc/75/48/96/818754896.db2.gz AXLZVRHTZMKLQQ-JTQLQIEISA-N 0 1 264.329 0.458 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cn(C)nc2Cl)C1 ZINC001033414745 818761671 /nfs/dbraw/zinc/76/16/71/818761671.db2.gz DSQBXBXQWHWMKL-GFCCVEGCSA-N 0 1 294.786 0.782 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cn2ccc(C)n2)C1 ZINC001033438436 818776275 /nfs/dbraw/zinc/77/62/75/818776275.db2.gz QQWHASZUFOVWHO-CYBMUJFWSA-N 0 1 260.341 0.357 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001033577959 818837306 /nfs/dbraw/zinc/83/73/06/818837306.db2.gz RZAYIUYLOYZGEP-GXTWGEPZSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001033577963 818837730 /nfs/dbraw/zinc/83/77/30/818837730.db2.gz RZAYIUYLOYZGEP-TZMCWYRMSA-N 0 1 286.379 0.681 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001033569460 818838964 /nfs/dbraw/zinc/83/89/64/818838964.db2.gz VSAOTFJWGFYZFZ-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001033668081 818877499 /nfs/dbraw/zinc/87/74/99/818877499.db2.gz KTNWANBYRLSHPZ-GFCCVEGCSA-N 0 1 288.351 0.283 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001033668081 818877502 /nfs/dbraw/zinc/87/75/02/818877502.db2.gz KTNWANBYRLSHPZ-GFCCVEGCSA-N 0 1 288.351 0.283 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H](C)N2CCOCC2)C1 ZINC001033717562 818901483 /nfs/dbraw/zinc/90/14/83/818901483.db2.gz DSDBBABOKIEUOT-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C2=COCCO2)C1 ZINC001033736945 818909977 /nfs/dbraw/zinc/90/99/77/818909977.db2.gz HQGHDNMMLLXOFA-CYBMUJFWSA-N 0 1 278.352 0.821 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)N2)C1 ZINC001033771332 818924884 /nfs/dbraw/zinc/92/48/84/818924884.db2.gz DHODKRHBCBNRBZ-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN CCN(C(=O)[C@@H]1CCCN1C)[C@H]1CCN(CC#N)C1 ZINC001033778242 818938423 /nfs/dbraw/zinc/93/84/23/818938423.db2.gz YWZJXDHAEKNCLY-STQMWFEESA-N 0 1 264.373 0.527 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033806296 818944036 /nfs/dbraw/zinc/94/40/36/818944036.db2.gz DLLMFWVVXZJZKF-LBPRGKRZSA-N 0 1 291.351 0.538 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2COCCN2C)C1 ZINC001033802215 818948847 /nfs/dbraw/zinc/94/88/47/818948847.db2.gz REWIUZBWAYVWFA-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(C)[nH]2)[C@H](O)C1 ZINC001090148349 818980500 /nfs/dbraw/zinc/98/05/00/818980500.db2.gz HSQMKEAHVXPBCJ-ZYHUDNBSSA-N 0 1 264.329 0.069 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033919921 818994314 /nfs/dbraw/zinc/99/43/14/818994314.db2.gz OZMOUUBWGDCQNO-NSHDSACASA-N 0 1 278.356 0.848 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2oc(C)nc2C)[C@@H](O)C1 ZINC001090164823 819003079 /nfs/dbraw/zinc/00/30/79/819003079.db2.gz XEMGKYCULFAGHU-RYUDHWBXSA-N 0 1 279.340 0.642 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2oc(C)nc2C)[C@@H](O)C1 ZINC001090164823 819003083 /nfs/dbraw/zinc/00/30/83/819003083.db2.gz XEMGKYCULFAGHU-RYUDHWBXSA-N 0 1 279.340 0.642 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001033950840 819011186 /nfs/dbraw/zinc/01/11/86/819011186.db2.gz YUYKCOKWAXNOIX-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCN1CC[C@@H](N(CC)C(=O)c2cnon2)C1 ZINC001033997878 819028943 /nfs/dbraw/zinc/02/89/43/819028943.db2.gz XZKNDXXCTYKAMJ-LLVKDONJSA-N 0 1 262.313 0.629 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]c(C#N)c2)[C@H](O)C1 ZINC001090194449 819123315 /nfs/dbraw/zinc/12/33/15/819123315.db2.gz JHPSPLMCYQPCHW-CHWSQXEVSA-N 0 1 274.324 0.237 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]c(C#N)c2)[C@@H](O)C1 ZINC001090194452 819123586 /nfs/dbraw/zinc/12/35/86/819123586.db2.gz JHPSPLMCYQPCHW-STQMWFEESA-N 0 1 274.324 0.237 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cnnn2CC)C1 ZINC001034207511 819124952 /nfs/dbraw/zinc/12/49/52/819124952.db2.gz MCEBZNXNUPHOOT-CYBMUJFWSA-N 0 1 289.383 0.906 20 30 CCEDMN C=CC[N@H+]1CCCC[C@@H](NC(=O)[C@H]2CCCNC2=O)C1 ZINC001034253054 819144180 /nfs/dbraw/zinc/14/41/80/819144180.db2.gz DPRPOUZEHSCFJB-OLZOCXBDSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001034264711 819145867 /nfs/dbraw/zinc/14/58/67/819145867.db2.gz MJVRZRRPINHANR-GFCCVEGCSA-N 0 1 274.324 0.400 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cccc3ncnn32)C1 ZINC001034291987 819156683 /nfs/dbraw/zinc/15/66/83/819156683.db2.gz AFYWPGQGKJEKQU-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001034315426 819166618 /nfs/dbraw/zinc/16/66/18/819166618.db2.gz MKPJMHMMYTWVEW-AWEZNQCLSA-N 0 1 287.363 0.934 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001034391335 819185664 /nfs/dbraw/zinc/18/56/64/819185664.db2.gz HVTUUVSKASXZKM-CYBMUJFWSA-N 0 1 287.363 0.603 20 30 CCEDMN C=CCN1CCCC[C@H](NC(=O)c2cnon2)C1 ZINC001034452741 819210922 /nfs/dbraw/zinc/21/09/22/819210922.db2.gz CLSULSFPFQKTPF-JTQLQIEISA-N 0 1 250.302 0.840 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001034542277 819238613 /nfs/dbraw/zinc/23/86/13/819238613.db2.gz WONXUGPSCBHVCL-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOCC(C)C)[C@@H](O)C1 ZINC001099678435 819285054 /nfs/dbraw/zinc/28/50/54/819285054.db2.gz KPLLFKFHAHIMHE-KBPBESRZSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CC[NH2+][C@H]1CC[C@H](NC(=O)c2[n-]nnc2C)CC1 ZINC001034704844 819303390 /nfs/dbraw/zinc/30/33/90/819303390.db2.gz AKDSFIHHHHCNGL-XYPYZODXSA-N 0 1 261.329 0.377 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2n[nH]cc2C)[C@H](O)C1 ZINC001090252521 819315115 /nfs/dbraw/zinc/31/51/15/819315115.db2.gz TYUWUKLMIDBSKZ-NWDGAFQWSA-N 0 1 278.356 0.459 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)COCC=C)C1 ZINC001111658874 819324816 /nfs/dbraw/zinc/32/48/16/819324816.db2.gz SUTFZDMNAGOOJK-ZFWWWQNUSA-N 0 1 262.353 0.793 20 30 CCEDMN CC(=NNC1=NCCN1)c1c(C)nc2sccn21 ZINC001118024319 819370402 /nfs/dbraw/zinc/37/04/02/819370402.db2.gz CPYWQULSSLWLNI-UHFFFAOYSA-N 0 1 262.342 0.977 20 30 CCEDMN C=C(C)CN1CCO[C@H](CNC(=O)[C@H]2CCCN2C)C1 ZINC001035308104 819459606 /nfs/dbraw/zinc/45/96/06/819459606.db2.gz UPRZDHZDEBAARB-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN CC#CCN1CCO[C@H](CNC(=O)c2[nH]nc(C)c2C)C1 ZINC001035322697 819470939 /nfs/dbraw/zinc/47/09/39/819470939.db2.gz FQSPSILXLVPBQI-CYBMUJFWSA-N 0 1 290.367 0.480 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cn1cccn1)CC2 ZINC001035647907 819592272 /nfs/dbraw/zinc/59/22/72/819592272.db2.gz CDTIUEIQTHSUKX-UHFFFAOYSA-N 0 1 272.352 0.441 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)Cc1cc[nH]n1)CC2 ZINC001035675234 819600067 /nfs/dbraw/zinc/60/00/67/819600067.db2.gz RTOJKNZWNOYSJG-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCc1ncc[nH]1)CC2 ZINC001035694897 819607918 /nfs/dbraw/zinc/60/79/18/819607918.db2.gz HLWPYAUKFFRCSC-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCc1c[nH]cn1)CC2 ZINC001035718354 819609586 /nfs/dbraw/zinc/60/95/86/819609586.db2.gz IHKJLWIEBKRUOU-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cn1ccnc1)CC2 ZINC001035861513 819637977 /nfs/dbraw/zinc/63/79/77/819637977.db2.gz WGMUCKBYRNMDFM-UHFFFAOYSA-N 0 1 272.352 0.441 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H](NCC#N)[C@H](C)C2)n[nH]1 ZINC001035947292 819659169 /nfs/dbraw/zinc/65/91/69/819659169.db2.gz LXNCYWRZNSNCLE-KOLCDFICSA-N 0 1 261.329 0.682 20 30 CCEDMN C[C@@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)CC[C@H]1NCC#N ZINC001036041577 819675606 /nfs/dbraw/zinc/67/56/06/819675606.db2.gz JFWYCVNXPUJNPN-ZYHUDNBSSA-N 0 1 298.350 0.922 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](NCC#N)[C@@H](C)C1 ZINC001036144205 819692181 /nfs/dbraw/zinc/69/21/81/819692181.db2.gz YDDKTYHIPGDJRG-WCBMZHEXSA-N 0 1 262.317 0.077 20 30 CCEDMN C#CC1(O)CCN(CC(=O)N(CC=C)CC=C)CC1 ZINC000708172088 819762287 /nfs/dbraw/zinc/76/22/87/819762287.db2.gz ODEZDEAUACWBRR-UHFFFAOYSA-N 0 1 262.353 0.647 20 30 CCEDMN C=C1CCN(C(=O)[C@@]2(COC)CNCCO2)CC1 ZINC000710845587 819849157 /nfs/dbraw/zinc/84/91/57/819849157.db2.gz UHMSSNVVLXSEKN-ZDUSSCGKSA-N 0 1 254.330 0.170 20 30 CCEDMN C#CCCS(=O)(=O)N1CC[C@H](N2CCCCC2)C1 ZINC000711065166 819880221 /nfs/dbraw/zinc/88/02/21/819880221.db2.gz NCNSCCNWDHKKMM-ZDUSSCGKSA-N 0 1 270.398 0.900 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CNC(=O)CN2CCCC2)CCC1 ZINC001063108139 820133715 /nfs/dbraw/zinc/13/37/15/820133715.db2.gz RVIGTIIQYOIHHO-LBPRGKRZSA-N 0 1 292.383 0.397 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nn(C)cc2Cl)[C@@H](O)C1 ZINC001090291894 820216240 /nfs/dbraw/zinc/21/62/40/820216240.db2.gz LCPSHBPHCDQDJO-MNOVXSKESA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(OCC)n[nH]2)[C@@H](O)C1 ZINC001090355875 820296086 /nfs/dbraw/zinc/29/60/86/820296086.db2.gz SJWLDUIGARTZAO-PWSUYJOCSA-N 0 1 294.355 0.159 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2[nH]c(C)cc2C)[C@@H](O)C1 ZINC001090363440 820300337 /nfs/dbraw/zinc/30/03/37/820300337.db2.gz OIXLRAWFGAIHEF-KGLIPLIRSA-N 0 1 289.379 0.820 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCOC2)C1 ZINC001079480415 820400863 /nfs/dbraw/zinc/40/08/63/820400863.db2.gz QPIKYJRUZSTDIU-JHJVBQTASA-N 0 1 250.342 0.483 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCC2CC2)[C@H](O)C1 ZINC001099701727 820405970 /nfs/dbraw/zinc/40/59/70/820405970.db2.gz IRXNGZDECTWBKQ-QWHCGFSZSA-N 0 1 268.357 0.150 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC001079533089 820416516 /nfs/dbraw/zinc/41/65/16/820416516.db2.gz UWXJXZRROAPFRY-ZYHUDNBSSA-N 0 1 259.309 0.471 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCOC2)C1 ZINC001079514395 820418358 /nfs/dbraw/zinc/41/83/58/820418358.db2.gz PHZMPWDYPWQNPC-MGPQQGTHSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnn2CCOC)C1 ZINC001079929169 820489904 /nfs/dbraw/zinc/48/99/04/820489904.db2.gz PWZZUKXOVPVXSF-CHWSQXEVSA-N 0 1 290.367 0.213 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CN2CCCCC2=O)C1 ZINC001080030698 820505177 /nfs/dbraw/zinc/50/51/77/820505177.db2.gz QFYFXPZYTYHYCW-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(OC)n(C)n2)C1 ZINC001080097172 820515375 /nfs/dbraw/zinc/51/53/75/820515375.db2.gz NKPDVZINHWSRHP-DGCLKSJQSA-N 0 1 290.367 0.502 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3nnnn3c2)C1 ZINC001080120914 820517311 /nfs/dbraw/zinc/51/73/11/820517311.db2.gz HBCSYIGZBTYHCE-ZYHUDNBSSA-N 0 1 286.339 0.360 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)co2)C1 ZINC001080415335 820571811 /nfs/dbraw/zinc/57/18/11/820571811.db2.gz LMMBRWVNDVRPFS-MWLCHTKSSA-N 0 1 277.324 0.615 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2nccn3ccnc23)C1 ZINC001080464264 820579673 /nfs/dbraw/zinc/57/96/73/820579673.db2.gz OIMWUXKKQRFMEP-VXGBXAGGSA-N 0 1 285.351 0.965 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CSCCC)[C@H](O)C1 ZINC001099716239 820589524 /nfs/dbraw/zinc/58/95/24/820589524.db2.gz SKQVZQBCTWYLMG-CHWSQXEVSA-N 0 1 284.425 0.704 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnc3c(cnn3C)c2)C1 ZINC001080669838 820620983 /nfs/dbraw/zinc/62/09/83/820620983.db2.gz OLSMMCIWEHBGNF-BXUZGUMPSA-N 0 1 297.362 0.652 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001080712394 820624363 /nfs/dbraw/zinc/62/43/63/820624363.db2.gz HZXWIJQZEKTQOO-ZWNOBZJWSA-N 0 1 275.352 0.919 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCN(C(C)=O)C2)C1 ZINC001080738615 820628315 /nfs/dbraw/zinc/62/83/15/820628315.db2.gz NFDHTHOTYDMWPB-XNRPHZJLSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001080813003 820641558 /nfs/dbraw/zinc/64/15/58/820641558.db2.gz CQNCHWCUXSGWJT-BNOWGMLFSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001080869514 820650360 /nfs/dbraw/zinc/65/03/60/820650360.db2.gz NJLXPPBCPHVPAP-UPJWGTAASA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2nn(C)cc2C)[C@H](OC)C1 ZINC001081654275 820812377 /nfs/dbraw/zinc/81/23/77/820812377.db2.gz PQGLFKIAGFIDPJ-CHWSQXEVSA-N 0 1 290.367 0.181 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccnnc2C)[C@H](OC)C1 ZINC001081901138 820859540 /nfs/dbraw/zinc/85/95/40/820859540.db2.gz PSEQCHXEQOVVBF-ZIAGYGMSSA-N 0 1 288.351 0.237 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccc3cncn3c2)[C@H](OC)C1 ZINC001081948251 820868712 /nfs/dbraw/zinc/86/87/12/820868712.db2.gz PYWJWPYLHJPGRI-HUUCEWRRSA-N 0 1 298.346 0.397 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccc[nH]2)[C@H](OC)C1 ZINC001082379624 820952212 /nfs/dbraw/zinc/95/22/12/820952212.db2.gz FTGFQKSIQTZXLQ-ZIAGYGMSSA-N 0 1 275.352 0.396 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)NC(C)=O ZINC001098874498 820956252 /nfs/dbraw/zinc/95/62/52/820956252.db2.gz XSGFMYGAPLUIGF-OCCSQVGLSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2[nH]cnc2C)[C@H](OC)C1 ZINC001082399273 820957968 /nfs/dbraw/zinc/95/79/68/820957968.db2.gz QTHCRKUOHATDER-VXGBXAGGSA-N 0 1 278.356 0.723 20 30 CCEDMN C=CCC[N@H+]1C[C@H]2OCCN(C(=O)[C@@H]3CCCO3)[C@H]2C1 ZINC001082992317 821094197 /nfs/dbraw/zinc/09/41/97/821094197.db2.gz VXYJYLZLIZTKSA-MELADBBJSA-N 0 1 280.368 0.653 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@@H]3CCCO3)[C@H]2C1 ZINC001082992317 821094206 /nfs/dbraw/zinc/09/42/06/821094206.db2.gz VXYJYLZLIZTKSA-MELADBBJSA-N 0 1 280.368 0.653 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3ccon3)[C@H]2C1 ZINC001083012749 821107579 /nfs/dbraw/zinc/10/75/79/821107579.db2.gz AAQMWTQQCLVGFH-QWHCGFSZSA-N 0 1 277.324 0.776 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3ncc[nH]3)[C@H]2C1 ZINC001083075732 821120625 /nfs/dbraw/zinc/12/06/25/821120625.db2.gz JJWHAZPPCJVZDR-NWDGAFQWSA-N 0 1 276.340 0.511 20 30 CCEDMN CCCN1C[C@H]2OCCN(C(=O)C#CC3CC3)[C@H]2C1 ZINC001083075428 821120998 /nfs/dbraw/zinc/12/09/98/821120998.db2.gz UGMIEYZPDLLFKG-UONOGXRCSA-N 0 1 262.353 0.721 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@@H](C)n3cncn3)[C@@H]2C1 ZINC001084292047 821254244 /nfs/dbraw/zinc/25/42/44/821254244.db2.gz SQUBDSCYVVRGQN-MGPQQGTHSA-N 0 1 289.383 0.948 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)C3=CCOCC3)[C@@H]2C1 ZINC001084298690 821254661 /nfs/dbraw/zinc/25/46/61/821254661.db2.gz RYRYEKWAOIPNFV-HUUCEWRRSA-N 0 1 274.364 0.889 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3ccnn3C)[C@@H]2C1 ZINC001084309436 821267775 /nfs/dbraw/zinc/26/77/75/821267775.db2.gz JTGTYERVWHNYKE-TZMCWYRMSA-N 0 1 274.368 0.681 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3cncnc3)[C@@H]2C1 ZINC001084309308 821267817 /nfs/dbraw/zinc/26/78/17/821267817.db2.gz BZVHNDFMMRXEGK-ZIAGYGMSSA-N 0 1 272.352 0.738 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)[C@H]3CCCNC3=O)[C@@H]2C1 ZINC001084427688 821286383 /nfs/dbraw/zinc/28/63/83/821286383.db2.gz QLTSMRLIQIZUFA-HZSPNIEDSA-N 0 1 291.395 0.621 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3ccn(C)c(=O)c3)[C@@H]2C1 ZINC001084457775 821290185 /nfs/dbraw/zinc/29/01/85/821290185.db2.gz CZMJXJBJJZTFKN-HUUCEWRRSA-N 0 1 299.374 0.555 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc4nnnn4c3)[C@@H]2C1 ZINC001084517092 821304484 /nfs/dbraw/zinc/30/44/84/821304484.db2.gz YZIVZRMIBMCVSR-DGCLKSJQSA-N 0 1 298.350 0.457 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3cn(CC)nn3)[C@@H]2C1 ZINC001084537877 821308873 /nfs/dbraw/zinc/30/88/73/821308873.db2.gz QADRBLCTSFGLIJ-TZMCWYRMSA-N 0 1 289.383 0.559 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ncc[nH]2)[C@@H](O)C1 ZINC001099804796 821310142 /nfs/dbraw/zinc/31/01/42/821310142.db2.gz RXLHMJTZSPGBOA-NEPJUHHUSA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@H]3CCC(=O)N3C)[C@@H]2C1 ZINC001084570910 821314379 /nfs/dbraw/zinc/31/43/79/821314379.db2.gz ZOXNEOSAJMVFLW-MGPQQGTHSA-N 0 1 291.395 0.716 20 30 CCEDMN C[NH+](C)C[C@H](NCc1ccc(C#N)cc1F)C(=O)[O-] ZINC001119550854 821362235 /nfs/dbraw/zinc/36/22/35/821362235.db2.gz PDSHAWDQLFRIRK-LBPRGKRZSA-N 0 1 265.288 0.802 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](NC(=O)CC2(COC)CC2)[C@H](O)C1 ZINC001099830615 821394856 /nfs/dbraw/zinc/39/48/56/821394856.db2.gz VMZSRYCYGUYETH-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@]34C[C@H]3COC4)C[C@@H]21 ZINC001084945237 821438129 /nfs/dbraw/zinc/43/81/29/821438129.db2.gz OBNDXEHZDDRUIX-HOSILWTGSA-N 0 1 274.364 0.579 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CNC(=O)OC ZINC001098932073 821447023 /nfs/dbraw/zinc/44/70/23/821447023.db2.gz AUCNGRHTCYTIDQ-LBPRGKRZSA-N 0 1 295.383 0.582 20 30 CCEDMN C=CCCC(=O)NCCN(C)c1ncnc2[nH]cnc21 ZINC001099958568 821647102 /nfs/dbraw/zinc/64/71/02/821647102.db2.gz YCNGWYLVJLSYKT-UHFFFAOYSA-N 0 1 274.328 0.872 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(CC#CC)C[C@H]1O ZINC001099960397 821649298 /nfs/dbraw/zinc/64/92/98/821649298.db2.gz UBOXUGFDORGFEL-QWHCGFSZSA-N 0 1 264.369 0.773 20 30 CCEDMN C#CCN1CC[C@@]2(CC[N@@H+](Cc3ncccc3[O-])C2)C1=O ZINC001273324678 821678425 /nfs/dbraw/zinc/67/84/25/821678425.db2.gz ARHHBMCQYPNWSB-MRXNPFEDSA-N 0 1 285.347 0.845 20 30 CCEDMN C#CCN1CC[C@@]2(CC[N@H+](Cc3ncccc3[O-])C2)C1=O ZINC001273324678 821678428 /nfs/dbraw/zinc/67/84/28/821678428.db2.gz ARHHBMCQYPNWSB-MRXNPFEDSA-N 0 1 285.347 0.845 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCNC(=O)C1 ZINC001085544050 821778740 /nfs/dbraw/zinc/77/87/40/821778740.db2.gz JZABBUOBUIDRLN-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1COCCN1C ZINC001085555318 821786174 /nfs/dbraw/zinc/78/61/74/821786174.db2.gz QJDIETVZLBZXNB-UONOGXRCSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@H]1CCNC1=O ZINC001085763770 821943856 /nfs/dbraw/zinc/94/38/56/821943856.db2.gz JNWJAKDISDPFQC-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CF)CC2)[C@@H](O)C1 ZINC001100006280 822007205 /nfs/dbraw/zinc/00/72/05/822007205.db2.gz OUQUDCKHGOCDEH-MNOVXSKESA-N 0 1 256.321 0.474 20 30 CCEDMN C=CCN1CCO[C@](C)(CNC(=O)CCc2c[nH]nn2)C1 ZINC001108224688 822031322 /nfs/dbraw/zinc/03/13/22/822031322.db2.gz PJTARBYOVKUNFW-CQSZACIVSA-N 0 1 293.371 0.130 20 30 CCEDMN C=CCN1CCO[C@](C)(CNC(=O)CCc2cnn[nH]2)C1 ZINC001108224688 822031330 /nfs/dbraw/zinc/03/13/30/822031330.db2.gz PJTARBYOVKUNFW-CQSZACIVSA-N 0 1 293.371 0.130 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)c1ccc2[nH]nnc2c1 ZINC001085966170 822042343 /nfs/dbraw/zinc/04/23/43/822042343.db2.gz CHTYLVSFKMYAGS-LLVKDONJSA-N 0 1 284.323 0.628 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H](NC(=O)c2ncn[nH]2)CC1 ZINC001066387478 822109054 /nfs/dbraw/zinc/10/90/54/822109054.db2.gz WGCMYSMZBCGJCA-NSHDSACASA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H](NC(=O)c2nc[nH]n2)CC1 ZINC001066387478 822109057 /nfs/dbraw/zinc/10/90/57/822109057.db2.gz WGCMYSMZBCGJCA-NSHDSACASA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCCC(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001155586928 822196501 /nfs/dbraw/zinc/19/65/01/822196501.db2.gz QJBMETHVHLZUMM-JTQLQIEISA-N 0 1 266.349 0.333 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H]2C[C@H](NCC#N)C2)c1C ZINC001086328284 822207925 /nfs/dbraw/zinc/20/79/25/822207925.db2.gz JOEMNSDIOVHUGS-XYPYZODXSA-N 0 1 261.329 0.648 20 30 CCEDMN C[C@@H](CNc1ncccc1C#N)NC(=O)[C@H]1CCCN1C ZINC001108312034 822355268 /nfs/dbraw/zinc/35/52/68/822355268.db2.gz XZMJEKAUASBKAT-WCQYABFASA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@@H](CNC(=O)c1cnn[nH]1)N(C)c1ncccc1C#N ZINC001113969850 837387199 /nfs/dbraw/zinc/38/71/99/837387199.db2.gz CDNNFWNBUMCHEC-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114160232 837457983 /nfs/dbraw/zinc/45/79/83/837457983.db2.gz UICJOQIJTXFKHM-QLPKVWCKSA-N 0 1 288.391 0.876 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CCC)n[nH]2)[C@@H](O)C1 ZINC001090476503 837476092 /nfs/dbraw/zinc/47/60/92/837476092.db2.gz BUYAANJYVQIQRI-JSGCOSHPSA-N 0 1 292.383 0.713 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC1(F)F ZINC001114223716 837479716 /nfs/dbraw/zinc/47/97/16/837479716.db2.gz JZGNVFVUXGUABG-WHOHXGKFSA-N 0 1 284.306 0.338 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OCC)C(C)C ZINC001114249727 837486713 /nfs/dbraw/zinc/48/67/13/837486713.db2.gz ICRLCXPCJGCDBW-ZOBORPQBSA-N 0 1 264.369 0.727 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)[C@@H](C)OC)C1 ZINC001130352739 837774605 /nfs/dbraw/zinc/77/46/05/837774605.db2.gz YCQSZGAVPYWVOZ-VXGBXAGGSA-N 0 1 290.367 0.483 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C(C)(C)NC(=O)NCC ZINC001134696818 839049890 /nfs/dbraw/zinc/04/98/90/839049890.db2.gz NCNHSRGYLWCWAC-UHFFFAOYSA-N 0 1 290.795 0.542 20 30 CCEDMN CNC(=O)N[C@@H]1CCCN(CCO[C@@H](C)C#N)C1 ZINC001176122270 842329730 /nfs/dbraw/zinc/32/97/30/842329730.db2.gz ULHXLHVOEVCCJP-WDEREUQCSA-N 0 1 254.334 0.308 20 30 CCEDMN COC(=O)c1ccc(-n2c(C)cnc2CN)c(C#N)n1 ZINC001169029475 836106705 /nfs/dbraw/zinc/10/67/05/836106705.db2.gz ROXWYJAHDNNEPN-UHFFFAOYSA-N 0 1 271.280 0.693 20 30 CCEDMN CCCCN1CC(N2C[C@@H](NC(=O)[C@@H](C)C#N)CC2=O)C1 ZINC001108527640 836422839 /nfs/dbraw/zinc/42/28/39/836422839.db2.gz MIPOPIQKAOPFIO-RYUDHWBXSA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCCCNCc1cnn(C)n1 ZINC001169822909 836454363 /nfs/dbraw/zinc/45/43/63/836454363.db2.gz ZGRVFQNHXJLQMH-RYUDHWBXSA-N 0 1 292.387 0.739 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nn1)NC(=O)Cc1cnc[nH]1 ZINC001108718560 836514892 /nfs/dbraw/zinc/51/48/92/836514892.db2.gz IPGXCUZBIAEHJJ-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN COCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)C#N)C2 ZINC001109080457 836612856 /nfs/dbraw/zinc/61/28/56/836612856.db2.gz VNGYKRXCBYJDLT-WYUUTHIRSA-N 0 1 251.330 0.514 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC(=O)NC)C2 ZINC001109180618 836631955 /nfs/dbraw/zinc/63/19/55/836631955.db2.gz JTTLKKRFNDAGGV-AGIUHOORSA-N 0 1 277.368 0.257 20 30 CCEDMN C[C@@H](CN(C)c1ccc(C#N)cn1)NC(=O)Cc1cnc[nH]1 ZINC001109255412 836648603 /nfs/dbraw/zinc/64/86/03/836648603.db2.gz RNZMPZGUMDEIBQ-NSHDSACASA-N 0 1 298.350 0.860 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(CC)CCNC1=O)C2 ZINC001109420562 836677639 /nfs/dbraw/zinc/67/76/39/836677639.db2.gz HVCSRWLPYKHTAX-LMOYCYGVSA-N 0 1 291.395 0.810 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(COC)CCOC1)C2 ZINC001109566726 836697042 /nfs/dbraw/zinc/69/70/42/836697042.db2.gz PCJSTCRVGVQGFP-HOSILWTGSA-N 0 1 294.395 0.947 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(COC)CCOC1)C2 ZINC001109566726 836697050 /nfs/dbraw/zinc/69/70/50/836697050.db2.gz PCJSTCRVGVQGFP-HOSILWTGSA-N 0 1 294.395 0.947 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H](NC(=O)c2cc(F)c[nH]2)[C@H](O)C1 ZINC001090438453 836798099 /nfs/dbraw/zinc/79/80/99/836798099.db2.gz MJROQGKERCHZMC-DGCLKSJQSA-N 0 1 279.315 0.342 20 30 CCEDMN C[C@@H](Nc1ncccc1C#N)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001113114449 837026938 /nfs/dbraw/zinc/02/69/38/837026938.db2.gz HXVSWZGBJXUXLN-BDAKNGLRSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](Nc1ncccc1C#N)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001113114449 837026950 /nfs/dbraw/zinc/02/69/50/837026950.db2.gz HXVSWZGBJXUXLN-BDAKNGLRSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)cn1)[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001113150115 837036579 /nfs/dbraw/zinc/03/65/79/837036579.db2.gz HKILPPQLRDJXMT-ZJUUUORDSA-N 0 1 299.338 0.619 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)CC(N)=O)CC1 ZINC001113196898 837057623 /nfs/dbraw/zinc/05/76/23/837057623.db2.gz ZGMXLWZRWFIQDK-LBPRGKRZSA-N 0 1 267.373 0.608 20 30 CCEDMN C[C@@H](NC(=O)Cc1cnc[nH]1)[C@H](C)Nc1cncc(C#N)n1 ZINC001113313760 837083940 /nfs/dbraw/zinc/08/39/40/837083940.db2.gz MVELXXJYQFOCFA-VHSXEESVSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001113559186 837159883 /nfs/dbraw/zinc/15/98/83/837159883.db2.gz IAFLFNPXRWSMRF-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCCCN1CCN(C(=O)C(C)(C)NC(=O)NC)CC1 ZINC001113681191 837193729 /nfs/dbraw/zinc/19/37/29/837193729.db2.gz JEIZOYXYWHJOOL-UHFFFAOYSA-N 0 1 296.415 0.804 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC001113687739 837200338 /nfs/dbraw/zinc/20/03/38/837200338.db2.gz IZYXHBRHGJDNRF-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCCCN1CCN(C(=O)C[C@H]2CC(=O)NC2=O)CC1 ZINC001113743710 837211168 /nfs/dbraw/zinc/21/11/68/837211168.db2.gz URHGHCCNGVJQIU-GFCCVEGCSA-N 0 1 293.367 0.150 20 30 CCEDMN N#Cc1cnc2cc(N3C[C@H](O)C[C@@H]3CO)c[nH]c1-2 ZINC001170287627 837296250 /nfs/dbraw/zinc/29/62/50/837296250.db2.gz QYEONWMJWVSLPS-GHMZBOCLSA-N 0 1 258.281 0.366 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCSC ZINC001113839306 837336106 /nfs/dbraw/zinc/33/61/06/837336106.db2.gz MZVXUEQFUNQHQH-IMRBUKKESA-N 0 1 282.409 0.436 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)no1 ZINC001113852012 837337221 /nfs/dbraw/zinc/33/72/21/837337221.db2.gz HGPBZUCTRFIULD-IMRBUKKESA-N 0 1 289.335 0.293 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)N(C)c1ccnc(C#N)n1 ZINC001113898659 837358064 /nfs/dbraw/zinc/35/80/64/837358064.db2.gz ZFOFFEAOOFNDDZ-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@H]1CCCC(=O)N1 ZINC001131104300 837994300 /nfs/dbraw/zinc/99/43/00/837994300.db2.gz WNNJTCXCAMIOAD-SNVBAGLBSA-N 0 1 273.764 0.504 20 30 CCEDMN C#CCN1CCN([C@@H]2CC[C@@H](C(=O)OC)C2)CC1 ZINC001170560691 838101678 /nfs/dbraw/zinc/10/16/78/838101678.db2.gz MAWNVHUPLJESLD-CHWSQXEVSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)CNC(C)=O)C[C@H](C)O2 ZINC001131620538 838159807 /nfs/dbraw/zinc/15/98/07/838159807.db2.gz HVIDBLLNIGLQGS-SWLSCSKDSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCNC(=O)NC)CC[C@H]1C ZINC001132014251 838298713 /nfs/dbraw/zinc/29/87/13/838298713.db2.gz TXNMYEDIPQZDQM-OLZOCXBDSA-N 0 1 294.399 0.298 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC2(O)CCC2)CC[C@H]1C ZINC001132016049 838300532 /nfs/dbraw/zinc/30/05/32/838300532.db2.gz DFRAFQMYRJQNOP-CHWSQXEVSA-N 0 1 264.369 0.894 20 30 CCEDMN C[C@H]1CC[C@@H](NC(=O)C#CC2CC2)CN1CCOCCO ZINC001132033610 838301699 /nfs/dbraw/zinc/30/16/99/838301699.db2.gz ITYJNLAJKMGDHK-DZGCQCFKSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCC(=O)NCCC)CC[C@@H]1C ZINC001132440793 838404052 /nfs/dbraw/zinc/40/40/52/838404052.db2.gz FYAIZYSKTNTLNM-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCNC(N)=O)CC[C@H]1C ZINC001132479057 838413199 /nfs/dbraw/zinc/41/31/99/838413199.db2.gz UKTUWXVSJBYLIF-VXGBXAGGSA-N 0 1 280.372 0.037 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COCCOCC)CC[C@@H]1C ZINC001132517274 838418750 /nfs/dbraw/zinc/41/87/50/838418750.db2.gz AGZNQZZRSPANGM-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C[C@H]1CCN(C(=O)Cc2ccn[nH]2)C[C@H]1CNCC#N ZINC001132721186 838477935 /nfs/dbraw/zinc/47/79/35/838477935.db2.gz GRCREFKBDGTSFO-NWDGAFQWSA-N 0 1 275.356 0.550 20 30 CCEDMN C=C1CC(C)(C(=O)NCCNCc2cnnn2CC)C1 ZINC001133570373 838669185 /nfs/dbraw/zinc/66/91/85/838669185.db2.gz YPXQKQNBQXMGDO-UHFFFAOYSA-N 0 1 277.372 0.860 20 30 CCEDMN CSc1ncc(O)c(C(=O)N2CCOC[C@@H]2C#N)n1 ZINC001185176338 844354267 /nfs/dbraw/zinc/35/42/67/844354267.db2.gz IQKIFQKGPMRCNG-ZETCQYMHSA-N 0 1 280.309 0.269 20 30 CCEDMN CSc1ncc(O)c(C(=O)N(C)[C@@H](C)C#N)n1 ZINC001185176891 844355068 /nfs/dbraw/zinc/35/50/68/844355068.db2.gz ORTWXJQDYZHHSV-LURJTMIESA-N 0 1 252.299 0.888 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@H](C)NCc1ncnn1C ZINC001133909804 838775749 /nfs/dbraw/zinc/77/57/49/838775749.db2.gz RFDNKUIINLSCHI-RYUDHWBXSA-N 0 1 295.387 0.391 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1nnc2c1CCCC2 ZINC001133959723 838792154 /nfs/dbraw/zinc/79/21/54/838792154.db2.gz BJVUZTADYMOHFN-UHFFFAOYSA-N 0 1 297.790 0.615 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)c1cccnc1 ZINC001134161102 838887664 /nfs/dbraw/zinc/88/76/64/838887664.db2.gz IEFSTJSKEPMELV-UHFFFAOYSA-N 0 1 296.758 0.270 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)C[C@H](C)NCc1cn(C)nn1 ZINC001134205658 838903713 /nfs/dbraw/zinc/90/37/13/838903713.db2.gz KLWIBCMNCDEUFS-STQMWFEESA-N 0 1 291.399 0.992 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@]1(C)CCCS1(=O)=O ZINC001135158336 839169543 /nfs/dbraw/zinc/16/95/43/839169543.db2.gz DRTOVVZFIHKGQP-NSHDSACASA-N 0 1 294.804 0.412 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2COCCO2)CC1 ZINC001159415423 839171487 /nfs/dbraw/zinc/17/14/87/839171487.db2.gz ZKRJDZREMOJIFM-CQSZACIVSA-N 0 1 278.352 0.173 20 30 CCEDMN CC[C@](N)(CO)Nc1nccc(/C=N/O)c1C(OC)OC ZINC001170856496 839435200 /nfs/dbraw/zinc/43/52/00/839435200.db2.gz CQDWOADNYOAVNA-DFWYYUMKSA-N 0 1 298.343 0.650 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCCC2)CC[C@@H]1CNCC#N ZINC001185682032 844445694 /nfs/dbraw/zinc/44/56/94/844445694.db2.gz ONOHBAQXWJYEKP-ZIAGYGMSSA-N 0 1 278.400 0.680 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccnc2OC)[C@@H](O)C1 ZINC001090543807 839630873 /nfs/dbraw/zinc/63/08/73/839630873.db2.gz OUKOYROHYICAQT-OLZOCXBDSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnoc2CC)[C@@H](O)C1 ZINC001090614738 839677842 /nfs/dbraw/zinc/67/78/42/839677842.db2.gz ZYUSZYSKVPCRAO-NEPJUHHUSA-N 0 1 279.340 0.588 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CC)on2)[C@@H](O)C1 ZINC001090739057 839764449 /nfs/dbraw/zinc/76/44/49/839764449.db2.gz DVLXDWGPWFKAKN-YPMHNXCESA-N 0 1 279.340 0.588 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnn(C)c1OC ZINC001149196337 839939241 /nfs/dbraw/zinc/93/92/41/839939241.db2.gz MOWKJOZYYGXBSL-UHFFFAOYSA-N 0 1 272.736 0.501 20 30 CCEDMN COc1cccc(C[C@@H](N)C(=O)N2CC(C)(C#N)C2)c1 ZINC001144933409 840195345 /nfs/dbraw/zinc/19/53/45/840195345.db2.gz PQXRGZCQIXTNTP-CYBMUJFWSA-N 0 1 273.336 0.937 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)CC3CC3)C2)C1 ZINC001146872357 840410201 /nfs/dbraw/zinc/41/02/01/840410201.db2.gz XXDXHOVQSYBVOT-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001147165121 840509158 /nfs/dbraw/zinc/50/91/58/840509158.db2.gz BGLKSXQQXKIWIQ-LLVKDONJSA-N 0 1 265.357 0.361 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)Cc1nc[nH]n1 ZINC001147277880 840545878 /nfs/dbraw/zinc/54/58/78/840545878.db2.gz OZZWUHSNJHGSJO-UHFFFAOYSA-N 0 1 284.323 0.125 20 30 CCEDMN CN(C)[C@](C)(C(=O)NCCNCC#N)c1ccccc1 ZINC001147409436 840586202 /nfs/dbraw/zinc/58/62/02/840586202.db2.gz DFSGRGOTBXFPJO-HNNXBMFYSA-N 0 1 274.368 0.693 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)CC(C)(C)O)C2)C1 ZINC001147462176 840592460 /nfs/dbraw/zinc/59/24/60/840592460.db2.gz RXAZRPVLJOORFD-UHFFFAOYSA-N 0 1 294.395 0.332 20 30 CCEDMN CNC(=O)[C@H](C)N1CCC2(CN(C(=O)C#CC(C)C)C2)C1 ZINC001147514882 840607125 /nfs/dbraw/zinc/60/71/25/840607125.db2.gz ZGQGSPYOTQSCMG-ZDUSSCGKSA-N 0 1 291.395 0.315 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCCC(=O)N(C)C ZINC001148197794 840751219 /nfs/dbraw/zinc/75/12/19/840751219.db2.gz CTEWIFYZBMKKBF-UHFFFAOYSA-N 0 1 275.780 0.703 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccccc1C(N)=O ZINC001148525450 840819989 /nfs/dbraw/zinc/81/99/89/840819989.db2.gz MUFJIJSDXSYLGU-UHFFFAOYSA-N 0 1 255.237 0.633 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@@](C)(O)C=C)C1 ZINC001149622496 841009298 /nfs/dbraw/zinc/00/92/98/841009298.db2.gz ANKVYLODAAWKDE-HIFRSBDPSA-N 0 1 282.384 0.707 20 30 CCEDMN CC#CC[N@@H+]1C[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])[C@H](C)C1 ZINC001092549039 841038303 /nfs/dbraw/zinc/03/83/03/841038303.db2.gz YNGGZUKOAIXDKF-ZYHUDNBSSA-N 0 1 290.367 0.745 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C3CS(=O)(=O)C3)CC[C@H]21 ZINC001036724680 841144752 /nfs/dbraw/zinc/14/47/52/841144752.db2.gz KIIZVNXFWFTCRF-DGCLKSJQSA-N 0 1 298.408 0.140 20 30 CCEDMN C[C@H](O)C[N@H+]1C[C@@H]2CCN(C(=O)CSCC#N)[C@@H]2C1 ZINC001186917003 844621435 /nfs/dbraw/zinc/62/14/35/844621435.db2.gz FFHFLGMYZJTKBS-SDDRHHMPSA-N 0 1 283.397 0.157 20 30 CCEDMN N#Cc1ccc(C(=O)NCCNc2ncnc3[nH]cnc32)[nH]1 ZINC001093588411 841354375 /nfs/dbraw/zinc/35/43/75/841354375.db2.gz YTZGDYKUGXROHP-UHFFFAOYSA-N 0 1 296.294 0.346 20 30 CCEDMN N#Cc1cnc(NCCNC(=O)CN2CCCC2)c(F)c1 ZINC001093652338 841404220 /nfs/dbraw/zinc/40/42/20/841404220.db2.gz NHPUDODERXONCE-UHFFFAOYSA-N 0 1 291.330 0.716 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](CNCc2cnn(C)n2)[C@H](C)C1 ZINC001093679565 841425716 /nfs/dbraw/zinc/42/57/16/841425716.db2.gz XCBOPWWJDMJJHY-IJLUTSLNSA-N 0 1 290.371 0.159 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)Cc2cnc[nH]2)nc1 ZINC001094150699 841549323 /nfs/dbraw/zinc/54/93/23/841549323.db2.gz WCPCSLYCWLPXLQ-UHFFFAOYSA-N 0 1 270.296 0.447 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC(C)(C)COC)[C@@H](O)C1 ZINC001099933941 841565192 /nfs/dbraw/zinc/56/51/92/841565192.db2.gz WWBTWVTVCHCYBZ-KGLIPLIRSA-N 0 1 296.411 0.624 20 30 CCEDMN C[C@@H]1C[C@@H](N[C@H](C#N)C(N)=O)CN1Cc1ccccc1 ZINC001171731399 841755025 /nfs/dbraw/zinc/75/50/25/841755025.db2.gz AIXCORMUDMUTQE-MRVWCRGKSA-N 0 1 272.352 0.616 20 30 CCEDMN C#CCNC(=O)CCN1[C@@H]2CC[C@@H](C2)[C@H]1C(=O)OCC ZINC001177044339 842489443 /nfs/dbraw/zinc/48/94/43/842489443.db2.gz UGWNXDZAZTYVQE-SCRDCRAPSA-N 0 1 278.352 0.542 20 30 CCEDMN N#CCNCCCNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001177073447 842502458 /nfs/dbraw/zinc/50/24/58/842502458.db2.gz CNTLEFXTAAAFET-UHFFFAOYSA-N 0 1 284.323 0.705 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)C[N@H+](C)CCC)C[C@@H]21 ZINC001177139513 842511205 /nfs/dbraw/zinc/51/12/05/842511205.db2.gz RLYAIIQWTWQERQ-GJZGRUSLSA-N 0 1 295.427 0.816 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1ncnn1CC ZINC001177264528 842539885 /nfs/dbraw/zinc/53/98/85/842539885.db2.gz NGTTVKOVPBGNBP-UHFFFAOYSA-N 0 1 295.387 0.487 20 30 CCEDMN C=C[C@H]1C[C@]1(NC(=O)Cc1n[nH]c(C)n1)C(=O)OCC ZINC001177443383 842608258 /nfs/dbraw/zinc/60/82/58/842608258.db2.gz WXWVFNZXWURAFR-TVQRCGJNSA-N 0 1 278.312 0.280 20 30 CCEDMN CN(C)c1cc(NC(=O)C#Cc2cccnc2)n[nH]1 ZINC001177773640 842659268 /nfs/dbraw/zinc/65/92/68/842659268.db2.gz DTVWFODKYVGOMB-UHFFFAOYSA-N 0 1 255.281 0.861 20 30 CCEDMN CCOC(=O)c1nc[nH]c1NC(=O)C#Cc1cccnc1 ZINC001177772906 842659345 /nfs/dbraw/zinc/65/93/45/842659345.db2.gz FKXWUEURPBOGHS-UHFFFAOYSA-N 0 1 284.275 0.972 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H]1CNC(=O)CO1 ZINC001177916439 842707005 /nfs/dbraw/zinc/70/70/05/842707005.db2.gz WKXMISJYXFWYOO-UWVGGRQHSA-N 0 1 293.348 0.062 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)C1(c2cnccn2)CC1 ZINC001178017425 842726866 /nfs/dbraw/zinc/72/68/66/842726866.db2.gz HLCNUSCUSIGSJK-UHFFFAOYSA-N 0 1 254.253 0.742 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2nccn2C)[C@@H]1C ZINC001178335725 842812787 /nfs/dbraw/zinc/81/27/87/842812787.db2.gz IEOCOMYVZMPVDJ-STQMWFEESA-N 0 1 292.383 0.702 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)Cc3nnc[nH]3)CC2)cn1 ZINC001110224394 842921719 /nfs/dbraw/zinc/92/17/19/842921719.db2.gz PBYSZLOCFBNAIL-UHFFFAOYSA-N 0 1 297.322 0.375 20 30 CCEDMN CC#CC(=O)N1CCc2ncc(CN3CCCC3)n2CC1 ZINC001179750831 843045960 /nfs/dbraw/zinc/04/59/60/843045960.db2.gz SMANTFXJHXOTQH-UHFFFAOYSA-N 0 1 286.379 0.887 20 30 CCEDMN COC(=O)[C@H](COC(C)(C)C)NC(=O)C(C)C#N ZINC001179900085 843072829 /nfs/dbraw/zinc/07/28/29/843072829.db2.gz BWTHJEGFRKWDSA-BDAKNGLRSA-N 0 1 256.302 0.619 20 30 CCEDMN CC(C)N1CCN(CC(=O)Nc2cn(C)nc2C#N)CC1 ZINC001180342595 843146586 /nfs/dbraw/zinc/14/65/86/843146586.db2.gz BNNKNAWBDYJFSL-UHFFFAOYSA-N 0 1 290.371 0.256 20 30 CCEDMN CC(C)N1CCN(CC(=O)Nc2[nH]ccc2C#N)CC1 ZINC001180342710 843147455 /nfs/dbraw/zinc/14/74/55/843147455.db2.gz FXQZWPWYUBRZCD-UHFFFAOYSA-N 0 1 275.356 0.851 20 30 CCEDMN CCOC(=O)C(C#N)NC(=O)C(C)(C)C(=O)OCC ZINC001181056645 843385033 /nfs/dbraw/zinc/38/50/33/843385033.db2.gz NGTKZKFASOGBEK-MRVPVSSYSA-N 0 1 270.285 0.147 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2nc[nH]n2)C[C@@]1(C)CNCC#N ZINC001181061878 843385596 /nfs/dbraw/zinc/38/55/96/843385596.db2.gz TWHVSBMBOHNFLZ-BXUZGUMPSA-N 0 1 290.371 0.335 20 30 CCEDMN Cc1nocc1CN[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001181097043 843398311 /nfs/dbraw/zinc/39/83/11/843398311.db2.gz FHMFYKXMHYXEKA-GFCCVEGCSA-N 0 1 294.380 0.930 20 30 CCEDMN Cc1cc(CN[C@@H]2CCN(C(=O)CSCC#N)C2)on1 ZINC001181096647 843399864 /nfs/dbraw/zinc/39/98/64/843399864.db2.gz ACWSHBFOBKANCI-LLVKDONJSA-N 0 1 294.380 0.930 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N1CCOC[C@H]1C#N ZINC001181997078 843670090 /nfs/dbraw/zinc/67/00/90/843670090.db2.gz VLSIJLIRNVPNOV-NEPJUHHUSA-N 0 1 251.330 0.612 20 30 CCEDMN CN1CCC(O)(C(=O)NC2=CC(=O)CC(C)(C)C2)CC1 ZINC001182035757 843685835 /nfs/dbraw/zinc/68/58/35/843685835.db2.gz CZTXHVNHBZCUCC-UHFFFAOYSA-N 0 1 280.368 0.832 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)Cc1[nH]nc2ccccc21 ZINC001182063189 843701686 /nfs/dbraw/zinc/70/16/86/843701686.db2.gz VJZKNZXTMKZXPJ-GFCCVEGCSA-N 0 1 286.291 0.677 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@H](NCc2cnoc2C)C1 ZINC001182504587 843847238 /nfs/dbraw/zinc/84/72/38/843847238.db2.gz GTURWRGLXAZSGE-GXTWGEPZSA-N 0 1 291.351 0.712 20 30 CCEDMN CN1CC2(C1)CN(C(=O)COc1ccc(C#N)cc1)C2 ZINC001182916439 843937967 /nfs/dbraw/zinc/93/79/67/843937967.db2.gz IEFQDZSGSCTXHX-UHFFFAOYSA-N 0 1 271.320 0.711 20 30 CCEDMN C=C[C@H]1C[C@]1(NC(=O)C(O)C(F)(F)F)C(=O)OCC ZINC001183201929 843984745 /nfs/dbraw/zinc/98/47/45/843984745.db2.gz REHJGPBMXUXFFX-MHYGZLNHSA-N 0 1 281.230 0.534 20 30 CCEDMN N#CN=C(NC(=O)[C@H](CO)C(F)(F)F)c1ccncc1 ZINC001183287896 844005561 /nfs/dbraw/zinc/00/55/61/844005561.db2.gz LNJVXRQCARDOEY-QMMMGPOBSA-N 0 1 286.213 0.596 20 30 CCEDMN N#CN=C(NC(=O)C(CO)C(F)(F)F)c1ccncc1 ZINC001183287896 844005571 /nfs/dbraw/zinc/00/55/71/844005571.db2.gz LNJVXRQCARDOEY-QMMMGPOBSA-N 0 1 286.213 0.596 20 30 CCEDMN COCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)C#N)C2 ZINC001110396671 844767682 /nfs/dbraw/zinc/76/76/82/844767682.db2.gz VNGYKRXCBYJDLT-QCNOEVLYSA-N 0 1 251.330 0.514 20 30 CCEDMN C#Cc1cccnc1NS(=O)(=O)CCC(=O)OC ZINC001188350285 844879971 /nfs/dbraw/zinc/87/99/71/844879971.db2.gz MHBOCVZJJWLKFU-UHFFFAOYSA-N 0 1 268.294 0.368 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(C)=O)C1 ZINC001188545100 844912398 /nfs/dbraw/zinc/91/23/98/844912398.db2.gz KTGWVWXWUMLNDT-CMPLNLGQSA-N 0 1 253.346 0.230 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)CCOC)C1 ZINC001188600907 844917201 /nfs/dbraw/zinc/91/72/01/844917201.db2.gz SZWVRKGVIAUACN-STQMWFEESA-N 0 1 297.399 0.246 20 30 CCEDMN COc1ccc(C(=NO)NC(=O)c2ccncc2O)nc1 ZINC001188638404 844943347 /nfs/dbraw/zinc/94/33/47/844943347.db2.gz NHZOSVJWGHOUPZ-UHFFFAOYSA-N 0 1 288.263 0.757 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)OCC2CC2)C1 ZINC001189231252 845082841 /nfs/dbraw/zinc/08/28/41/845082841.db2.gz BBZWJKXTRFTHGA-GXTWGEPZSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)OCC2CC2)C1 ZINC001189231253 845083632 /nfs/dbraw/zinc/08/36/32/845083632.db2.gz BBZWJKXTRFTHGA-JSGCOSHPSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H](C)OC)C1 ZINC001189287096 845095321 /nfs/dbraw/zinc/09/53/21/845095321.db2.gz CGNRCWTWQNLVJY-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C[C@@H](C)OC)C1 ZINC001189290553 845095408 /nfs/dbraw/zinc/09/54/08/845095408.db2.gz QIQWPNPRSQIKPZ-KGLIPLIRSA-N 0 1 282.384 0.594 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCCOC)C1 ZINC001189259829 845100145 /nfs/dbraw/zinc/10/01/45/845100145.db2.gz BPGJGMLEVLYORJ-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189379303 845120595 /nfs/dbraw/zinc/12/05/95/845120595.db2.gz IEGYZSOXMZUHAZ-CQSZACIVSA-N 0 1 280.368 0.372 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cn(C)nc2C)C1 ZINC001189418483 845134542 /nfs/dbraw/zinc/13/45/42/845134542.db2.gz LZPHHSSHHQTFGN-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCC[N@H+](C)[C@@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001189664333 845190811 /nfs/dbraw/zinc/19/08/11/845190811.db2.gz NLKKPZSFEUWCRQ-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCCCC(N)=O)[C@@H]2C1 ZINC001189732306 845198430 /nfs/dbraw/zinc/19/84/30/845198430.db2.gz IZLBHRJBCWJJGL-UONOGXRCSA-N 0 1 291.395 0.588 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(=O)NC)C1 ZINC001189744656 845198942 /nfs/dbraw/zinc/19/89/42/845198942.db2.gz WRIJNUXAVBCQJG-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@H](N(C)CC#CC)C1 ZINC001190105615 845324023 /nfs/dbraw/zinc/32/40/23/845324023.db2.gz PHDDWVPBDFQQFW-KBPBESRZSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001190277976 845381271 /nfs/dbraw/zinc/38/12/71/845381271.db2.gz DAYIOOKILPMBRJ-STQMWFEESA-N 0 1 291.395 0.457 20 30 CCEDMN COC(=O)c1ccc(C(=O)Nc2nc[nH]c2C#N)nc1 ZINC001190311226 845385987 /nfs/dbraw/zinc/38/59/87/845385987.db2.gz UIQCWAGNUUKVQC-UHFFFAOYSA-N 0 1 271.236 0.715 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCc2ccn(C)n2)C1 ZINC001190414905 845402135 /nfs/dbraw/zinc/40/21/35/845402135.db2.gz LPLQLQRWIYSGRV-HNNXBMFYSA-N 0 1 288.395 0.909 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H]([N@@H+](C)CCOCCO)C1 ZINC001190428698 845403930 /nfs/dbraw/zinc/40/39/30/845403930.db2.gz NTJDCRPTDQZJFA-AWEZNQCLSA-N 0 1 284.400 0.884 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CN(C)C(=O)C2CC2)C1 ZINC001190473019 845408215 /nfs/dbraw/zinc/40/82/15/845408215.db2.gz RYKDWACHPGICLU-AWEZNQCLSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc3n(n2)CCC3)C1 ZINC001190519896 845417105 /nfs/dbraw/zinc/41/71/05/845417105.db2.gz MEMFFZORWLKXTP-CQSZACIVSA-N 0 1 286.379 0.999 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2CCCC2)C1 ZINC001190588274 845441519 /nfs/dbraw/zinc/44/15/19/845441519.db2.gz MXMIUEBPKXEHFJ-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2c(C)nnn2CC)C1 ZINC001190635760 845452256 /nfs/dbraw/zinc/45/22/56/845452256.db2.gz ZVIXGEVEFQZLPN-CYBMUJFWSA-N 0 1 289.383 0.776 20 30 CCEDMN N#Cc1ccc(OCCNS(=O)(=O)c2ncc[nH]2)cc1 ZINC001190754974 845471824 /nfs/dbraw/zinc/47/18/24/845471824.db2.gz HHULXZUWWCWOAD-UHFFFAOYSA-N 0 1 292.320 0.639 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001190734741 845480772 /nfs/dbraw/zinc/48/07/72/845480772.db2.gz RLYDZJNCABYTSV-IHRRRGAJSA-N 0 1 280.368 0.346 20 30 CCEDMN C=CCCN(C)[C@H]1CCN(C(=O)[C@H](C)S(C)(=O)=O)C1 ZINC001190955169 845561824 /nfs/dbraw/zinc/56/18/24/845561824.db2.gz BRNBUEPKUSGDKA-RYUDHWBXSA-N 0 1 288.413 0.528 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2csnn2)C1 ZINC001191587337 845686365 /nfs/dbraw/zinc/68/63/65/845686365.db2.gz VDWIWXGKMYUPHV-NSHDSACASA-N 0 1 294.380 0.334 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)NC(=O)C(C)(C)C)C1 ZINC001191649648 845706931 /nfs/dbraw/zinc/70/69/31/845706931.db2.gz CEARWMNQPQHHRA-QWHCGFSZSA-N 0 1 293.411 0.703 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CCc2ccccc2)C[C@H]1O ZINC001191863884 845745153 /nfs/dbraw/zinc/74/51/53/845745153.db2.gz JOQUCKSGKVWWJW-NWANDNLSSA-N 0 1 287.363 0.550 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCC2CC2)C1 ZINC001191926469 845755364 /nfs/dbraw/zinc/75/53/64/845755364.db2.gz HFORUBOFJWGWMS-CHWSQXEVSA-N 0 1 252.358 0.914 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@]2(F)CCOC2)C1 ZINC001191946561 845760280 /nfs/dbraw/zinc/76/02/80/845760280.db2.gz CTUJUNHSFGEAKW-ZFWWWQNUSA-N 0 1 298.358 0.298 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1noc(C)n1 ZINC001192004499 845762173 /nfs/dbraw/zinc/76/21/73/845762173.db2.gz HYXFIFXFNDMCIL-JTQLQIEISA-N 0 1 250.302 0.758 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)/C(C)=C\C)C1 ZINC001192127660 845790737 /nfs/dbraw/zinc/79/07/37/845790737.db2.gz LDNRHIRFAOKSPU-WNIKWDQDSA-N 0 1 268.357 0.317 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)SC)C1 ZINC001192313059 845817908 /nfs/dbraw/zinc/81/79/08/845817908.db2.gz MSCRUHOEJBFJTE-IJLUTSLNSA-N 0 1 272.414 0.865 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](CC)NC(C)=O)C1 ZINC001192472969 845851787 /nfs/dbraw/zinc/85/17/87/845851787.db2.gz SBLFBKUODNOUIH-KBPBESRZSA-N 0 1 279.384 0.457 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2cc(O)cc(F)c2F)c1N ZINC001192651823 845886215 /nfs/dbraw/zinc/88/62/15/845886215.db2.gz OJAKIUPAJNQGFW-UHFFFAOYSA-N 0 1 279.206 0.591 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1c(O)ccc(F)c1F ZINC001192788051 845909842 /nfs/dbraw/zinc/90/98/42/845909842.db2.gz ZECJARYYCJZDIK-ZETCQYMHSA-N 0 1 284.218 0.855 20 30 CCEDMN CN(C1CN(C(=O)c2cnccc2C#N)C1)[C@H]1CCOC1 ZINC001192974288 845959002 /nfs/dbraw/zinc/95/90/02/845959002.db2.gz QTEGYMLJKMVIAK-LBPRGKRZSA-N 0 1 286.335 0.498 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cnc2c(c1)CN(C)CC2 ZINC001193104975 845978628 /nfs/dbraw/zinc/97/86/28/845978628.db2.gz PGNVHLYUJJCJKI-VIFPVBQESA-N 0 1 280.353 0.723 20 30 CCEDMN Cc1cc(NS(=O)(=O)[C@@H](C)C#N)cnc1C#N ZINC001193111568 845980815 /nfs/dbraw/zinc/98/08/15/845980815.db2.gz IYLGLOBRXFMPSI-QMMMGPOBSA-N 0 1 250.283 0.915 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COCCCOC)C1 ZINC001193350148 846059056 /nfs/dbraw/zinc/05/90/56/846059056.db2.gz NOIUIXOWDDQGLN-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCOCCCOC)C1 ZINC001193357689 846060223 /nfs/dbraw/zinc/06/02/23/846060223.db2.gz IQNRYKBJQAVFJU-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCC(=O)N(C)C)C1 ZINC001193524417 846104874 /nfs/dbraw/zinc/10/48/74/846104874.db2.gz XHAYHWSVXZMRFP-CYBMUJFWSA-N 0 1 279.384 0.411 20 30 CCEDMN Cn1ccnc1S(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001193568741 846109485 /nfs/dbraw/zinc/10/94/85/846109485.db2.gz GQCPYGXKIMWHGJ-SECBINFHSA-N 0 1 299.377 0.383 20 30 CCEDMN Cc1nnc(CNC(=O)c2cccc(C#N)c2O)[nH]1 ZINC001193659803 846140035 /nfs/dbraw/zinc/14/00/35/846140035.db2.gz QSQDOQOZYRUJQR-UHFFFAOYSA-N 0 1 257.253 0.620 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COCc2cccnc2)C1 ZINC001193736883 846146251 /nfs/dbraw/zinc/14/62/51/846146251.db2.gz TVUSOKUYBGPWCT-HNNXBMFYSA-N 0 1 287.363 0.764 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)COc2cc(C)on2)C1 ZINC001193918497 846183481 /nfs/dbraw/zinc/18/34/81/846183481.db2.gz DKHYSZMVJOFCLG-VXGBXAGGSA-N 0 1 295.339 0.099 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](N(C)[C@H](C)C(=O)NC)C1 ZINC001194397803 846285260 /nfs/dbraw/zinc/28/52/60/846285260.db2.gz ZUZNNOKACLYAFS-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)OCC=C)C1 ZINC001194396865 846285907 /nfs/dbraw/zinc/28/59/07/846285907.db2.gz KQDTYCLDNMOYTP-QWHCGFSZSA-N 0 1 250.342 0.743 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cncn1CCOC ZINC001194657907 846333611 /nfs/dbraw/zinc/33/36/11/846333611.db2.gz CTNYIPGEJXBJEC-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(COC)CCC2)C1 ZINC001194778753 846382499 /nfs/dbraw/zinc/38/24/99/846382499.db2.gz VLBCLBFLEOXOFF-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@](C)(C=C)CCOC)C1 ZINC001195020544 846447268 /nfs/dbraw/zinc/44/72/68/846447268.db2.gz MIAJUGSKRFVASV-FMKPAKJESA-N 0 1 294.395 0.400 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001195277518 846491417 /nfs/dbraw/zinc/49/14/17/846491417.db2.gz GODSDSPZIAOBNA-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1O ZINC001195301590 846497883 /nfs/dbraw/zinc/49/78/83/846497883.db2.gz MAVCAXYRZBLLLY-RRFJBIMHSA-N 0 1 296.411 0.766 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1O ZINC001195306630 846499885 /nfs/dbraw/zinc/49/98/85/846499885.db2.gz CMOWBXOGKDUTSP-RBSFLKMASA-N 0 1 296.411 0.766 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(CC)CCC2)C1 ZINC001195542013 846572480 /nfs/dbraw/zinc/57/24/80/846572480.db2.gz CTQLUXIRFIPSEC-CHWSQXEVSA-N 0 1 264.369 0.751 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001195566984 846584333 /nfs/dbraw/zinc/58/43/33/846584333.db2.gz GOPHEYAAVXOBPF-YNEHKIRRSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2cnnn2C)CC1 ZINC001195691740 846596620 /nfs/dbraw/zinc/59/66/20/846596620.db2.gz NQARWSUVFHSROE-UHFFFAOYSA-N 0 1 293.371 0.166 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCn3cnnc3C2)c(O)c1 ZINC001195733612 846603840 /nfs/dbraw/zinc/60/38/40/846603840.db2.gz TWCVFTIHSJBDBG-UHFFFAOYSA-N 0 1 269.264 0.511 20 30 CCEDMN CN(C(=O)c1ccc(C#N)cc1O)c1nccnc1N ZINC001195733249 846604710 /nfs/dbraw/zinc/60/47/10/846604710.db2.gz GQVLFGCQIBXAMA-UHFFFAOYSA-N 0 1 269.264 0.913 20 30 CCEDMN CC[C@H](C(N)=O)N1CC[C@@H]2[C@H]1CCC(=O)N2CCCC#N ZINC001273704689 846608456 /nfs/dbraw/zinc/60/84/56/846608456.db2.gz SHYFUCHNPDDWDQ-JHJVBQTASA-N 0 1 292.383 0.619 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2c[nH]c(=O)nc2N)c(O)c1 ZINC001195758816 846611884 /nfs/dbraw/zinc/61/18/84/846611884.db2.gz UNKBCAAJRLCRSA-UHFFFAOYSA-N 0 1 271.236 0.594 20 30 CCEDMN Cn1ccc(CNC(=O)c2ccc(C#N)cc2O)cc1=O ZINC001195763813 846612562 /nfs/dbraw/zinc/61/25/62/846612562.db2.gz CWKJFYDYPWPRHH-UHFFFAOYSA-N 0 1 283.287 0.893 20 30 CCEDMN CN1CCOC[C@@H]1CNC(=O)c1ccc(C#N)cc1O ZINC001195764315 846613055 /nfs/dbraw/zinc/61/30/55/846613055.db2.gz JHXKUALUJLANSI-NSHDSACASA-N 0 1 275.308 0.324 20 30 CCEDMN C[C@@H]1COCCN1CCNC(=O)c1ccc(C#N)cc1O ZINC001195767877 846616021 /nfs/dbraw/zinc/61/60/21/846616021.db2.gz BDDYLSRETUYYOE-LLVKDONJSA-N 0 1 289.335 0.714 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)NC(=O)COC)CC1 ZINC001195951180 846660180 /nfs/dbraw/zinc/66/01/80/846660180.db2.gz VMLVAILWDQJECI-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2(C(C)C)CC2)C1 ZINC001196075749 846682116 /nfs/dbraw/zinc/68/21/16/846682116.db2.gz PTKFGTBHQYMVQL-ZIAGYGMSSA-N 0 1 296.411 0.787 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccncn2)C1 ZINC001197041853 846830164 /nfs/dbraw/zinc/83/01/64/846830164.db2.gz PWMUPIOSNCXYST-CHWSQXEVSA-N 0 1 276.340 0.218 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](CC)NC(C)=O)CC1 ZINC001197227227 846884721 /nfs/dbraw/zinc/88/47/21/846884721.db2.gz MFBVSQMUGGCJNU-ZDUSSCGKSA-N 0 1 267.373 0.621 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(CC)CCOCC2)C1 ZINC001197289538 846893457 /nfs/dbraw/zinc/89/34/57/846893457.db2.gz VYBOMFJEPQXANU-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](C)OCCOC)CC1 ZINC001197332794 846896276 /nfs/dbraw/zinc/89/62/76/846896276.db2.gz ZRWCSUXPFIJSIG-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C=CCN1CCCN(C(=O)C(C)(C)NC(=O)NCC)CC1 ZINC001197325881 846896546 /nfs/dbraw/zinc/89/65/46/846896546.db2.gz YYHJNULOOAOPHB-UHFFFAOYSA-N 0 1 296.415 0.804 20 30 CCEDMN C=CCN1C(=O)COCC12CN(CCCn1cccc1)C2 ZINC001273746893 846932549 /nfs/dbraw/zinc/93/25/49/846932549.db2.gz IKFPQZUETGPLDJ-UHFFFAOYSA-N 0 1 289.379 0.977 20 30 CCEDMN C=CS(=O)(=O)Nc1c(C#N)cnn1-c1ncccn1 ZINC001198569785 847128795 /nfs/dbraw/zinc/12/87/95/847128795.db2.gz LUIRLGFQMDSMJZ-UHFFFAOYSA-N 0 1 276.281 0.419 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCC[C@@H](c2noc(C)n2)C1 ZINC001251832977 847188901 /nfs/dbraw/zinc/18/89/01/847188901.db2.gz WMMKRAAKHUSWHD-OLZOCXBDSA-N 0 1 279.340 0.568 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1c(F)cccc1OC ZINC001251885109 847321740 /nfs/dbraw/zinc/32/17/40/847321740.db2.gz NWXQNSASJMZQQD-NSHDSACASA-N 0 1 267.300 0.935 20 30 CCEDMN N#Cc1cnc(C(=O)Nc2cc(=O)[nH]c(Cl)n2)cn1 ZINC001199664036 847388909 /nfs/dbraw/zinc/38/89/09/847388909.db2.gz BKVYZTDZNAJWTJ-UHFFFAOYSA-N 0 1 276.643 0.750 20 30 CCEDMN C=CCCC(=O)N1CCC(NCc2ncnn2C)CC1 ZINC001199726084 847410514 /nfs/dbraw/zinc/41/05/14/847410514.db2.gz ONVWICLFBLZONQ-UHFFFAOYSA-N 0 1 277.372 0.862 20 30 CCEDMN C#CCCCC(=O)N1CCC(NCc2ncnn2C)CC1 ZINC001200325114 847605858 /nfs/dbraw/zinc/60/58/58/847605858.db2.gz OKYQCNPGUORYOF-UHFFFAOYSA-N 0 1 289.383 0.699 20 30 CCEDMN C#CCN1C(=O)C[C@]2(CCCN(CC(=O)CC)C2)C1=O ZINC001273952053 847928087 /nfs/dbraw/zinc/92/80/87/847928087.db2.gz VPQYNWBKLLADTA-HNNXBMFYSA-N 0 1 276.336 0.440 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CCO)CCC1 ZINC001110652581 847942668 /nfs/dbraw/zinc/94/26/68/847942668.db2.gz LDTJPWGDWLGOJI-MCIONIFRSA-N 0 1 276.380 0.894 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ccnc(OC)n1 ZINC001252488213 847986959 /nfs/dbraw/zinc/98/69/59/847986959.db2.gz HYUXWMMMOHBSOY-NSHDSACASA-N 0 1 253.302 0.138 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(=O)NCC(F)F)C2 ZINC001110752660 847994984 /nfs/dbraw/zinc/99/49/84/847994984.db2.gz BYXCNTVGNVFNSK-MXWKQRLJSA-N 0 1 299.321 0.113 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1ccon1)C2 ZINC001110808905 848007397 /nfs/dbraw/zinc/00/73/97/848007397.db2.gz SATXAJXHYBUUBS-MCIONIFRSA-N 0 1 273.336 0.962 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)N(C)C)C[C@H]21 ZINC001114352695 848023398 /nfs/dbraw/zinc/02/33/98/848023398.db2.gz FUOOHMRWCUOFSL-RMRHIDDWSA-N 0 1 293.411 0.866 20 30 CCEDMN C=CCOC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC001202531021 848075274 /nfs/dbraw/zinc/07/52/74/848075274.db2.gz YXGREIFNWFGBND-LBPRGKRZSA-N 0 1 254.330 0.963 20 30 CCEDMN COC[C@@H](O)CN1CCN(c2cccc(C#N)c2)CC1 ZINC001252818714 848097317 /nfs/dbraw/zinc/09/73/17/848097317.db2.gz ABYLQJZQUUSKGL-HNNXBMFYSA-N 0 1 275.352 0.688 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2Cc2cccc(N)n2)C1=O ZINC001274158958 848361681 /nfs/dbraw/zinc/36/16/81/848361681.db2.gz GPKBMURFGBOBKW-INIZCTEOSA-N 0 1 284.363 0.864 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(COC)CCOC1)C2 ZINC001110863612 848416117 /nfs/dbraw/zinc/41/61/17/848416117.db2.gz PCJSTCRVGVQGFP-NYTXWWLZSA-N 0 1 294.395 0.947 20 30 CCEDMN O=S(=O)(NCC#CCO)c1c(F)cc(F)cc1F ZINC000717571720 848452210 /nfs/dbraw/zinc/45/22/10/848452210.db2.gz XXGPQIRNVLEQTP-UHFFFAOYSA-N 0 1 279.239 0.378 20 30 CCEDMN C=CCCC(C)(C)NC(=O)[C@@H](N)CCS(C)(=O)=O ZINC000717640455 848465588 /nfs/dbraw/zinc/46/55/88/848465588.db2.gz AFILUQQGXASVFD-JTQLQIEISA-N 0 1 276.402 0.609 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2Cc2ccc(N)nc2)C1=O ZINC001274543708 848499431 /nfs/dbraw/zinc/49/94/31/848499431.db2.gz LMSRIZYUHZHJIB-INIZCTEOSA-N 0 1 284.363 0.864 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C[C@](C)(O)C2CC2)CC1 ZINC001274903888 848588742 /nfs/dbraw/zinc/58/87/42/848588742.db2.gz QFOVMEXGPZLIMA-HNNXBMFYSA-N 0 1 296.411 0.667 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)CNCc1ccn(C)n1 ZINC001275149720 848650797 /nfs/dbraw/zinc/65/07/97/848650797.db2.gz JFXMTNQCGQHFTN-LBPRGKRZSA-N 0 1 278.356 0.054 20 30 CCEDMN Cn1cc(CNCC2CC(NC(=O)C#CC3CC3)C2)nn1 ZINC001275227296 848672657 /nfs/dbraw/zinc/67/26/57/848672657.db2.gz RVBXGGORUOQURP-UHFFFAOYSA-N 0 1 287.367 0.213 20 30 CCEDMN CCn1nncc1CN[C@H](C)[C@H](C)NC(=O)C#CC1CC1 ZINC001275394360 848709155 /nfs/dbraw/zinc/70/91/55/848709155.db2.gz LBRGBARASGQHJI-NEPJUHHUSA-N 0 1 289.383 0.694 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1cscn1 ZINC001275521710 848749035 /nfs/dbraw/zinc/74/90/35/848749035.db2.gz VYEUAZBORIIOKA-LLVKDONJSA-N 0 1 281.381 0.843 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1ccc(OC)o1 ZINC001275681400 848791746 /nfs/dbraw/zinc/79/17/46/848791746.db2.gz ROFYKFODCBYYHS-GFCCVEGCSA-N 0 1 294.351 0.988 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1nc2nccc(C)n2n1 ZINC001275714494 848799199 /nfs/dbraw/zinc/79/91/99/848799199.db2.gz WQQIUPNWZNMECF-SNVBAGLBSA-N 0 1 286.339 0.116 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@@H](C)CNC(=O)C(C)C)C1=O ZINC001275775088 848819494 /nfs/dbraw/zinc/81/94/94/848819494.db2.gz USPUCMIEIVMDJM-STQMWFEESA-N 0 1 281.400 0.866 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnc2n[nH]cc2c1 ZINC001275858015 848848758 /nfs/dbraw/zinc/84/87/58/848848758.db2.gz GGAGESGQUOYINT-SNVBAGLBSA-N 0 1 271.324 0.641 20 30 CCEDMN C#CC[N@H+](C)[C@@H](C)CNC(=O)C(=O)Nc1ccccc1 ZINC001275865311 848850163 /nfs/dbraw/zinc/85/01/63/848850163.db2.gz IBOMTHMMNLIVAX-LBPRGKRZSA-N 0 1 273.336 0.695 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C(=O)Nc1ccccc1 ZINC001275865311 848850170 /nfs/dbraw/zinc/85/01/70/848850170.db2.gz IBOMTHMMNLIVAX-LBPRGKRZSA-N 0 1 273.336 0.695 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)COCC(F)F ZINC001275886755 848856497 /nfs/dbraw/zinc/85/64/97/848856497.db2.gz FCTWDUNUFMGGBZ-SNVBAGLBSA-N 0 1 262.300 0.728 20 30 CCEDMN C=C(C)CC[N@H+](C)[C@H](C)CNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001275988297 848883658 /nfs/dbraw/zinc/88/36/58/848883658.db2.gz XSLSYTLJTWPMIX-SNVBAGLBSA-N 0 1 297.359 0.092 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)COCCOC ZINC001276291831 848986514 /nfs/dbraw/zinc/98/65/14/848986514.db2.gz ZNKGUZIPFRGPEI-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](COC)OC)C2 ZINC001111000673 849040407 /nfs/dbraw/zinc/04/04/07/849040407.db2.gz QBUVCXUMCHRVOO-NDBYEHHHSA-N 0 1 268.357 0.555 20 30 CCEDMN CC[C@@H](C)[N@@H+](CCC[NH3+])C[C@@H](S)OP(=O)([O-])[O-] ZINC001257435752 849047250 /nfs/dbraw/zinc/04/72/50/849047250.db2.gz BWKCAUWCNFAZBO-RKDXNWHRSA-N 0 1 286.334 0.801 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cn(CC)nn1)C2 ZINC001095799032 849142635 /nfs/dbraw/zinc/14/26/35/849142635.db2.gz OODCHTVDYFUFTE-RDBSUJKOSA-N 0 1 289.383 0.748 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC(=O)NCCC)C2 ZINC001111290498 849155676 /nfs/dbraw/zinc/15/56/76/849155676.db2.gz CEMKMRFMYNVQEC-MCIONIFRSA-N 0 1 291.395 0.648 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCC(=O)N1C)C2 ZINC001095860086 849204126 /nfs/dbraw/zinc/20/41/26/849204126.db2.gz FNIFUQVHVJCSJO-CRWXNKLISA-N 0 1 291.395 0.905 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114683063 849361878 /nfs/dbraw/zinc/36/18/78/849361878.db2.gz ILGBDJSGPCIJRS-WDNDVIMCSA-N 0 1 276.380 0.895 20 30 CCEDMN C=C[C@H](O)CN1CCN(c2ncccc2OC)CC1 ZINC001253580888 849549462 /nfs/dbraw/zinc/54/94/62/849549462.db2.gz AKCZAHSMNZGJEN-LBPRGKRZSA-N 0 1 263.341 0.759 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccoc1CC(N)=O ZINC001038636134 849760166 /nfs/dbraw/zinc/76/01/66/849760166.db2.gz IZYBSEQEKOHNQS-NSHDSACASA-N 0 1 291.351 0.688 20 30 CCEDMN C=CCOCCN1CC([C@H](C)NC(=O)c2ncn(C)n2)C1 ZINC001276377950 849796863 /nfs/dbraw/zinc/79/68/63/849796863.db2.gz ZSEDVDCKJYEYBO-NSHDSACASA-N 0 1 293.371 0.068 20 30 CCEDMN Cc1nc(CN2CC[C@H]2CNC(=O)c2c[nH]c(C#N)c2)n[nH]1 ZINC001038175474 849807790 /nfs/dbraw/zinc/80/77/90/849807790.db2.gz PLJHMJQEYMBPIT-LBPRGKRZSA-N 0 1 299.338 0.317 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001038196139 849812618 /nfs/dbraw/zinc/81/26/18/849812618.db2.gz VYCOWWOOEKQESD-JTQLQIEISA-N 0 1 265.313 0.359 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NC[C@@H]1CCN1CCCO ZINC001038267397 849834239 /nfs/dbraw/zinc/83/42/39/849834239.db2.gz SWEMYWHIJYZERJ-MJBXVCDLSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1nnn(C(C)(C)C)n1 ZINC001038280194 849840061 /nfs/dbraw/zinc/84/00/61/849840061.db2.gz KWIBEEHGJQCMEW-LLVKDONJSA-N 0 1 292.387 0.808 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001038365819 849866472 /nfs/dbraw/zinc/86/64/72/849866472.db2.gz DIFUTLUGPBPHOV-JHJVBQTASA-N 0 1 272.352 0.727 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccc(C(N)=O)o1 ZINC001038488223 849919820 /nfs/dbraw/zinc/91/98/20/849919820.db2.gz CXIGRZWFUAJSDW-SNVBAGLBSA-N 0 1 277.324 0.759 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2C[C@H](C)O)nc1 ZINC001038929235 850087974 /nfs/dbraw/zinc/08/79/74/850087974.db2.gz AXODEELCCRCNRU-AAEUAGOBSA-N 0 1 273.336 0.248 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2[C@@H](C)COC)nc1 ZINC001038929645 850088492 /nfs/dbraw/zinc/08/84/92/850088492.db2.gz CRUCRUZGYFMARX-GXTWGEPZSA-N 0 1 287.363 0.902 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(C#C)nc1 ZINC001038930432 850090728 /nfs/dbraw/zinc/09/07/28/850090728.db2.gz CHAJUGRKFWTIIC-HNNXBMFYSA-N 0 1 267.332 0.890 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)c1cnccc1N(C)C ZINC001038936412 850093458 /nfs/dbraw/zinc/09/34/58/850093458.db2.gz QZCFTMQEMCKHOX-CYBMUJFWSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc2nnn(C)c2c1 ZINC001038969056 850104557 /nfs/dbraw/zinc/10/45/57/850104557.db2.gz OXKRHZWRUGTVDO-CYBMUJFWSA-N 0 1 297.362 0.796 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NC[C@H]1CCN1CC#N ZINC001039047199 850136693 /nfs/dbraw/zinc/13/66/93/850136693.db2.gz CEBNMHGTJNERLZ-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cccnc1C(N)=O ZINC001039043261 850137814 /nfs/dbraw/zinc/13/78/14/850137814.db2.gz OYPLDCPQQWZVJA-NSHDSACASA-N 0 1 288.351 0.561 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1nonc1C ZINC001039082793 850150612 /nfs/dbraw/zinc/15/06/12/850150612.db2.gz RBBZSBGVYLOHRE-SNVBAGLBSA-N 0 1 250.302 0.758 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COCCO1 ZINC001039352445 850176499 /nfs/dbraw/zinc/17/64/99/850176499.db2.gz RZBQKSQWLREOEO-RDBSUJKOSA-N 0 1 280.368 0.653 20 30 CCEDMN C#CC[N@H+]1CCC[C@@]2(CCN(C(=O)Cc3nnc[n-]3)C2)C1 ZINC001040489380 850296609 /nfs/dbraw/zinc/29/66/09/850296609.db2.gz PYIFVYVKQYARIQ-OAHLLOKOSA-N 0 1 287.367 0.295 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@]2(CCN(C(=O)Cc3nnc[n-]3)C2)C1 ZINC001040489380 850296623 /nfs/dbraw/zinc/29/66/23/850296623.db2.gz PYIFVYVKQYARIQ-OAHLLOKOSA-N 0 1 287.367 0.295 20 30 CCEDMN CNC(=O)CN1CCC2(CCN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001040641353 850311895 /nfs/dbraw/zinc/31/18/95/850311895.db2.gz OSWMFCJZKRXALC-GFCCVEGCSA-N 0 1 292.383 0.207 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@]2(CCN(CC(=O)N(C)C)C2)C1 ZINC001041137918 850381150 /nfs/dbraw/zinc/38/11/50/850381150.db2.gz ZGDRSDDWEFIQBE-WFASDCNBSA-N 0 1 292.383 0.159 20 30 CCEDMN C[C@H](C(N)=O)N1CC[C@@]2(CCN(C(=O)C#CC3CC3)C2)C1 ZINC001041265704 850416291 /nfs/dbraw/zinc/41/62/91/850416291.db2.gz LOABKKXUFQWTEL-MLGOLLRUSA-N 0 1 289.379 0.198 20 30 CCEDMN N#CCN1CC[C@@]2(CCN(C(=O)CCc3cnc[nH]3)C2)C1 ZINC001041343496 850433458 /nfs/dbraw/zinc/43/34/58/850433458.db2.gz AUNZGVITDNTPSI-OAHLLOKOSA-N 0 1 287.367 0.790 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cn[nH]c3)C[C@@H]21 ZINC001041954266 850539195 /nfs/dbraw/zinc/53/91/95/850539195.db2.gz PWFVVMBVTFEQPY-OCCSQVGLSA-N 0 1 272.352 0.969 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2CCN(C(=O)c3cc[nH]n3)C[C@@H]21 ZINC001041959024 850539223 /nfs/dbraw/zinc/53/92/23/850539223.db2.gz VLHFBQLTQFIFFI-YPMHNXCESA-N 0 1 258.325 0.579 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)c3cc[nH]n3)C[C@@H]21 ZINC001041959024 850539232 /nfs/dbraw/zinc/53/92/32/850539232.db2.gz VLHFBQLTQFIFFI-YPMHNXCESA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc[nH]n3)C[C@@H]21 ZINC001041959024 850539244 /nfs/dbraw/zinc/53/92/44/850539244.db2.gz VLHFBQLTQFIFFI-YPMHNXCESA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3csnn3)C[C@H]21 ZINC001042167918 850586669 /nfs/dbraw/zinc/58/66/69/850586669.db2.gz PESYBXACHHCECR-ZYHUDNBSSA-N 0 1 276.365 0.708 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)nc2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC001042642911 850721648 /nfs/dbraw/zinc/72/16/48/850721648.db2.gz LPBDGAOFIXUBAX-NOZJJQNGSA-N 0 1 297.322 0.326 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001043193335 850831019 /nfs/dbraw/zinc/83/10/19/850831019.db2.gz UXKRXWLYAUAQIA-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(Cl)CN1CC(N(C)C(=O)c2[nH]nnc2C)C1 ZINC001043507033 850889076 /nfs/dbraw/zinc/88/90/76/850889076.db2.gz VWERZCUQLRJEJQ-UHFFFAOYSA-N 0 1 269.736 0.622 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cncnc2)CC1 ZINC001045414068 851254304 /nfs/dbraw/zinc/25/43/04/851254304.db2.gz FICLQTQQGPSINO-UHFFFAOYSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cncc(OC)n2)CC1 ZINC001045581239 851284089 /nfs/dbraw/zinc/28/40/89/851284089.db2.gz KMCXVSHFVDQKGU-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CCC(C)(NC(=O)c2cnon2)CC1 ZINC001045592051 851286871 /nfs/dbraw/zinc/28/68/71/851286871.db2.gz LGFXBKUYNNOETR-UHFFFAOYSA-N 0 1 262.313 0.677 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN([C@H]2CCN(CCF)C2)CC1 ZINC001046012062 851350827 /nfs/dbraw/zinc/35/08/27/851350827.db2.gz KJGCLDWHQCKWNE-STQMWFEESA-N 0 1 282.363 0.334 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc(=O)n(C)o2)C1 ZINC001046270237 851446971 /nfs/dbraw/zinc/44/69/71/851446971.db2.gz OMEQCIXMVBFAKI-AWEZNQCLSA-N 0 1 277.324 0.196 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cn(CCOC)nn2)C1 ZINC001046305398 851460683 /nfs/dbraw/zinc/46/06/83/851460683.db2.gz JASQVNPLGVXSQK-AWEZNQCLSA-N 0 1 293.371 0.305 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001046382546 851482497 /nfs/dbraw/zinc/48/24/97/851482497.db2.gz VFNQGYIUYCPAIW-CQSZACIVSA-N 0 1 286.339 0.733 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2nccn3ccnc23)C1 ZINC001046632175 851571235 /nfs/dbraw/zinc/57/12/35/851571235.db2.gz UOIQQNKGIHMSGY-HNNXBMFYSA-N 0 1 283.335 0.557 20 30 CCEDMN C[C@@]1(NC(=O)[C@@H]2CCc3[nH]cnc3C2)CCN(CC#N)C1 ZINC001046758300 851605495 /nfs/dbraw/zinc/60/54/95/851605495.db2.gz OWAFAERJPDRUJV-IAQYHMDHSA-N 0 1 287.367 0.619 20 30 CCEDMN C[C@]1(NC(=O)[C@@H]2CCc3[nH]cnc3C2)CCN(CC#N)C1 ZINC001046758299 851607471 /nfs/dbraw/zinc/60/74/71/851607471.db2.gz OWAFAERJPDRUJV-ABAIWWIYSA-N 0 1 287.367 0.619 20 30 CCEDMN N#Cc1cnc(N[C@H]2C[C@H](CNC(=O)c3cnn[nH]3)C2)cn1 ZINC001047087218 851668599 /nfs/dbraw/zinc/66/85/99/851668599.db2.gz QRTMYYWNCDQPIY-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C2CCC2)C1 ZINC001047273939 851690061 /nfs/dbraw/zinc/69/00/61/851690061.db2.gz WDZFGRNJFHSCRL-STQMWFEESA-N 0 1 252.358 0.866 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cccc(C)n2)C1 ZINC001047308001 851707502 /nfs/dbraw/zinc/70/75/02/851707502.db2.gz DQIHLILMNYXMLK-GJZGRUSLSA-N 0 1 287.363 0.530 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2coc(C)n2)C1 ZINC001047360022 851736502 /nfs/dbraw/zinc/73/65/02/851736502.db2.gz FDQMHARSEOQUBN-STQMWFEESA-N 0 1 279.340 0.676 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001047521342 851801702 /nfs/dbraw/zinc/80/17/02/851801702.db2.gz NIQUYEJYLSABEM-KBXIAJHMSA-N 0 1 278.396 0.949 20 30 CCEDMN CN(C(=O)c1cccc2[nH]cnc21)[C@H]1CN(CC#N)C[C@@H]1O ZINC001047573720 851819797 /nfs/dbraw/zinc/81/97/97/851819797.db2.gz VDJLFMPKZMNUPS-STQMWFEESA-N 0 1 299.334 0.204 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@H]2C[N@@H+](CCC=C)C[C@@H]2O)cn1 ZINC001047599385 851830685 /nfs/dbraw/zinc/83/06/85/851830685.db2.gz UBJHDBDZJHMUOC-HOTGVXAUSA-N 0 1 299.374 0.756 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2nonc2C)C1 ZINC001047648384 851843358 /nfs/dbraw/zinc/84/33/58/851843358.db2.gz LZAWVHSOHVGPFM-QWRGUYRKSA-N 0 1 280.328 0.071 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2nnn(C)c2C)CC[C@@H]1C ZINC001071404304 851905730 /nfs/dbraw/zinc/90/57/30/851905730.db2.gz LYQSVQBQTDTNER-JQWIXIFHSA-N 0 1 277.372 0.892 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cccn(C)c1=O)C2 ZINC001096376618 851971560 /nfs/dbraw/zinc/97/15/60/851971560.db2.gz YBQGINBOXFRHDJ-XBFCOCLRSA-N 0 1 285.347 0.354 20 30 CCEDMN C#CCN1C[C@@H]2CN(C(=O)c3cn(C)c(C)cc3=O)C[C@@H]2C1 ZINC001048877959 852095730 /nfs/dbraw/zinc/09/57/30/852095730.db2.gz VSRHMIWQHLNUED-OKILXGFUSA-N 0 1 299.374 0.331 20 30 CCEDMN CC#CCN1C[C@@H]2CN(C(=O)c3c[nH]c(C)cc3=O)C[C@@H]2C1 ZINC001049151294 852178433 /nfs/dbraw/zinc/17/84/33/852178433.db2.gz SCFMCQDVSPGHFR-OKILXGFUSA-N 0 1 299.374 0.710 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCCO1 ZINC001049308202 852226146 /nfs/dbraw/zinc/22/61/46/852226146.db2.gz QDQZYAGWVNUUTO-MCIONIFRSA-N 0 1 262.353 0.864 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccon1 ZINC001049353456 852238772 /nfs/dbraw/zinc/23/87/72/852238772.db2.gz LCHSDWPPGGZNJL-OLZOCXBDSA-N 0 1 259.309 0.987 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cn[nH]n1 ZINC001049570573 852318167 /nfs/dbraw/zinc/31/81/67/852318167.db2.gz NVNUHEWKQONWTQ-VXGBXAGGSA-N 0 1 259.313 0.117 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnco1 ZINC001049622688 852321347 /nfs/dbraw/zinc/32/13/47/852321347.db2.gz YZLYWUCXZGAGPG-NWDGAFQWSA-N 0 1 259.309 0.987 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1csnn1 ZINC001049628272 852324998 /nfs/dbraw/zinc/32/49/98/852324998.db2.gz WZNNQBQABGKWAZ-VXGBXAGGSA-N 0 1 276.365 0.850 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1c[nH]c(=O)n1C ZINC001049637017 852326564 /nfs/dbraw/zinc/32/65/64/852326564.db2.gz WAVMQCQMVFPLFM-NWDGAFQWSA-N 0 1 288.351 0.438 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccncn1 ZINC001049792930 852373081 /nfs/dbraw/zinc/37/30/81/852373081.db2.gz LVSUVZCRWBVAQZ-ZIAGYGMSSA-N 0 1 270.336 0.789 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnon1 ZINC001049808597 852377677 /nfs/dbraw/zinc/37/76/77/852377677.db2.gz QVYQPWQLPHCREG-QWHCGFSZSA-N 0 1 274.324 0.772 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cn(CC)nn1 ZINC001049816090 852379689 /nfs/dbraw/zinc/37/96/89/852379689.db2.gz HHZDUXFOZFBZNS-UONOGXRCSA-N 0 1 287.367 0.610 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCC(=O)NC1)C2 ZINC001097402609 852533854 /nfs/dbraw/zinc/53/38/54/852533854.db2.gz FSFHGFFZOYTVRJ-DGAVXFQQSA-N 0 1 289.379 0.257 20 30 CCEDMN C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[NH+]1CC[C@@H](C)C1)C2 ZINC001097852013 852631418 /nfs/dbraw/zinc/63/14/18/852631418.db2.gz QOQLCQYXJYWCHN-TUVASFSCSA-N 0 1 275.396 0.683 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@@]2(CCN(CC#N)C2)C1 ZINC001054173619 852891051 /nfs/dbraw/zinc/89/10/51/852891051.db2.gz DCEHNCOLIMRUDZ-AWEZNQCLSA-N 0 1 288.355 0.565 20 30 CCEDMN N#CCN1CC[C@@]2(C1)CCCN(C(=O)CN1CCCC1)C2 ZINC001054238939 852897427 /nfs/dbraw/zinc/89/74/27/852897427.db2.gz CDQLDHOKTSDJOU-MRXNPFEDSA-N 0 1 290.411 0.920 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(=O)n(C)o2)C[C@@H]1C ZINC001054601312 852977843 /nfs/dbraw/zinc/97/78/43/852977843.db2.gz OBHQUVTVMAPKEP-WCBMZHEXSA-N 0 1 299.758 0.781 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cn2nccn2)C[C@H]1C ZINC001054700009 852998973 /nfs/dbraw/zinc/99/89/73/852998973.db2.gz XNPUEMVCXICNIV-KOLCDFICSA-N 0 1 283.763 0.467 20 30 CCEDMN CCCC(=O)NCCN1CCC(NC(=O)[C@H](C)C#N)CC1 ZINC001055497810 853082708 /nfs/dbraw/zinc/08/27/08/853082708.db2.gz HXLHAZIPMPRWLK-GFCCVEGCSA-N 0 1 294.399 0.643 20 30 CCEDMN C=C(C)CCN1CCOC[C@@H]1CNC(=O)[C@H]1CCCN1C ZINC001050847619 853458905 /nfs/dbraw/zinc/45/89/05/853458905.db2.gz BBZKFNOCRPEHBC-LSDHHAIUSA-N 0 1 295.427 0.864 20 30 CCEDMN C=C(C)CN1CCOC[C@H]1CNC(=O)c1[nH]ncc1F ZINC001051104675 853524921 /nfs/dbraw/zinc/52/49/21/853524921.db2.gz ZSMXKATWCFTNQG-SNVBAGLBSA-N 0 1 282.319 0.556 20 30 CCEDMN CC[C@H](CC#N)N1CCC[C@@H](NC(=O)CN(C)C)C1 ZINC001255176372 853780915 /nfs/dbraw/zinc/78/09/15/853780915.db2.gz AKSWGXWPWSJUEP-CHWSQXEVSA-N 0 1 266.389 0.821 20 30 CCEDMN N#Cc1cccnc1N1CCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001058268819 853807886 /nfs/dbraw/zinc/80/78/86/853807886.db2.gz XMUNAOFCCIWYIC-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cnc(NCC2CC(NC(=O)c3cnn[nH]3)C2)cn1 ZINC001067901200 853864532 /nfs/dbraw/zinc/86/45/32/853864532.db2.gz ZUMDYAQJSPHTMN-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN C[C@@H]1[C@@H](Nc2nccnc2C#N)CCN1C(=O)c1ccn[nH]1 ZINC001068750725 853922810 /nfs/dbraw/zinc/92/28/10/853922810.db2.gz WANNLAVOEYYAEH-ZJUUUORDSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2CC2)[C@@H](n2ccnn2)C1 ZINC001069889213 853994685 /nfs/dbraw/zinc/99/46/85/853994685.db2.gz LZQZFXWGDXCBSG-KGLIPLIRSA-N 0 1 287.367 0.443 20 30 CCEDMN COC(=O)n1ncc(C#N)c1N[C@@H]1CCCN(C)C1 ZINC001255471961 854022726 /nfs/dbraw/zinc/02/27/26/854022726.db2.gz KVBPVXZIRVBAIK-SNVBAGLBSA-N 0 1 263.301 0.875 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ccccc2)C[C@@H]1n1ccnn1 ZINC001070127878 854027757 /nfs/dbraw/zinc/02/77/57/854027757.db2.gz QAYZQPSWVPQPSX-CABCVRRESA-N 0 1 295.346 0.567 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@]23C[C@H]2CCC3)C[C@@H]1n1ccnn1 ZINC001070237542 854037016 /nfs/dbraw/zinc/03/70/16/854037016.db2.gz KGXWOFORRXJFLJ-HGTKMLMNSA-N 0 1 299.378 0.443 20 30 CCEDMN CC#CCN1CC[C@@H](c2n[nH]cc2CNC(C)=O)C1 ZINC001070391948 854055129 /nfs/dbraw/zinc/05/51/29/854055129.db2.gz IXMDZVITXYWYMZ-GFCCVEGCSA-N 0 1 260.341 0.858 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CSC)C[C@H]1c1cn(C)cn1 ZINC001070464936 854063382 /nfs/dbraw/zinc/06/33/82/854063382.db2.gz NCSNTBWZOPAGBI-WCQYABFASA-N 0 1 292.408 0.300 20 30 CCEDMN C=C(C)CN1CCOC[C@@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070576544 854078117 /nfs/dbraw/zinc/07/81/17/854078117.db2.gz AZFZAIMRMBOVMA-LLVKDONJSA-N 0 1 279.344 0.390 20 30 CCEDMN C=C(C)CN1CCOC[C@@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070576544 854078121 /nfs/dbraw/zinc/07/81/21/854078121.db2.gz AZFZAIMRMBOVMA-LLVKDONJSA-N 0 1 279.344 0.390 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@H](C)O2 ZINC001071167482 854131711 /nfs/dbraw/zinc/13/17/11/854131711.db2.gz ZTKNIBGEJJBRIF-WFASDCNBSA-N 0 1 290.367 0.901 20 30 CCEDMN C#CCC[N@@H+]1C[C@H](NC(=O)Cc2cnn(C)c2)CC[C@@H]1C ZINC001071383352 854172291 /nfs/dbraw/zinc/17/22/91/854172291.db2.gz GKPHLAYGEQKQRE-DZGCQCFKSA-N 0 1 288.395 0.955 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cc(=O)n(C)o2)CC[C@@H]1C ZINC001071454508 854203656 /nfs/dbraw/zinc/20/36/56/854203656.db2.gz MTXQAWVRENRSJL-NWDGAFQWSA-N 0 1 291.351 0.584 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2cn(C)nn2)CC[C@@H]1C ZINC001071466500 854207315 /nfs/dbraw/zinc/20/73/15/854207315.db2.gz CYFWZIAZICQNCJ-NWDGAFQWSA-N 0 1 277.372 0.974 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnnn2C)CC[C@H]1C ZINC001071481727 854216654 /nfs/dbraw/zinc/21/66/54/854216654.db2.gz NNLSYKSKZIBJNN-NEPJUHHUSA-N 0 1 277.372 0.974 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2ccn(C)n2)CC[C@H]1C ZINC001071500813 854221493 /nfs/dbraw/zinc/22/14/93/854221493.db2.gz CETDFJBLHBGWQA-UKRRQHHQSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cn(C)nc2C)CC[C@H]1C ZINC001071571639 854239251 /nfs/dbraw/zinc/23/92/51/854239251.db2.gz JSJJAPRDQPOYJK-DOMZBBRYSA-N 0 1 288.395 0.873 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cc(C)nn2C)CC[C@@H]1C ZINC001071683277 854265430 /nfs/dbraw/zinc/26/54/30/854265430.db2.gz ZDXPFCTTWCBNPY-UONOGXRCSA-N 0 1 288.395 0.873 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@@H]1C ZINC001071803464 854297886 /nfs/dbraw/zinc/29/78/86/854297886.db2.gz MONNXZRISFBKKD-NWDGAFQWSA-N 0 1 290.367 0.732 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2nonc2C)CC[C@@H]1C ZINC001072116872 854348761 /nfs/dbraw/zinc/34/87/61/854348761.db2.gz SOURIHKFVHBCDT-JQWIXIFHSA-N 0 1 276.340 0.984 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCCOC3)C2)C1 ZINC001072539428 854411059 /nfs/dbraw/zinc/41/10/59/854411059.db2.gz RQHDEYLOOKQNKC-ZDUSSCGKSA-N 0 1 262.353 0.581 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3ccc(C)nn3)C2)C1 ZINC001072725136 854452424 /nfs/dbraw/zinc/45/24/24/854452424.db2.gz IJGCJLRNSCPRCW-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnn4c3CCC4)C2)C1 ZINC001072800115 854464144 /nfs/dbraw/zinc/46/41/44/854464144.db2.gz CJPFGJUWABQLSU-UHFFFAOYSA-N 0 1 284.363 0.610 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnc4n[nH]nc4c3)C2)C1 ZINC001072817960 854471858 /nfs/dbraw/zinc/47/18/58/854471858.db2.gz DCZWZINPQXTBJI-UHFFFAOYSA-N 0 1 296.334 0.134 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCc4nccn4C3)C2)C1 ZINC001072860936 854481499 /nfs/dbraw/zinc/48/14/99/854481499.db2.gz OJIGOXKCAPOSAC-AWEZNQCLSA-N 0 1 298.390 0.613 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CC(=O)N[C@@H](C)C3)C2)C1 ZINC001072884804 854487587 /nfs/dbraw/zinc/48/75/87/854487587.db2.gz LFZJRQVKXRSHBR-STQMWFEESA-N 0 1 289.379 0.069 20 30 CCEDMN NC(=O)C(=O)N1CC2(C1)CCN(CC#Cc1ccccc1)C2 ZINC001072934818 854496563 /nfs/dbraw/zinc/49/65/63/854496563.db2.gz WHCIDXFRZBFNDD-UHFFFAOYSA-N 0 1 297.358 0.058 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCO[C@H]3C)C2)C1 ZINC001073080713 854526408 /nfs/dbraw/zinc/52/64/08/854526408.db2.gz MUTYIWYYXLGPOS-QWHCGFSZSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc(C#C)nc3)C2)C1 ZINC001073137741 854536012 /nfs/dbraw/zinc/53/60/12/854536012.db2.gz IDEJUIYCDNSNJL-UHFFFAOYSA-N 0 1 279.343 0.844 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCn3cc(C)cn3)C2)C1 ZINC001073457162 854559858 /nfs/dbraw/zinc/55/98/58/854559858.db2.gz DBMDQHYJNMGWSS-UHFFFAOYSA-N 0 1 286.379 0.749 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001073523433 854576685 /nfs/dbraw/zinc/57/66/85/854576685.db2.gz ZFFLNSRWLNCSJM-STQMWFEESA-N 0 1 268.357 0.559 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cncnc2)C1 ZINC001073582266 854600971 /nfs/dbraw/zinc/60/09/71/854600971.db2.gz HLZRVJPFBSFHCA-CYBMUJFWSA-N 0 1 276.340 0.483 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2coc(C)n2)C1 ZINC001073578400 854601678 /nfs/dbraw/zinc/60/16/78/854601678.db2.gz LGFDNSCQRSTATF-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN C=C(C)CN1CCCO[C@@H](CNC(=O)c2csnn2)C1 ZINC001073713605 854632568 /nfs/dbraw/zinc/63/25/68/854632568.db2.gz GBVUZHRPPOUAIZ-NSHDSACASA-N 0 1 296.396 0.935 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001073755552 854639770 /nfs/dbraw/zinc/63/97/70/854639770.db2.gz CFSJZBNVBFZLDK-LLVKDONJSA-N 0 1 294.355 0.132 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2nonc2C)C1 ZINC001073908459 854660937 /nfs/dbraw/zinc/66/09/37/854660937.db2.gz MDHIRWZFDDOASW-NSHDSACASA-N 0 1 280.328 0.385 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@@H]21 ZINC001074184811 854690636 /nfs/dbraw/zinc/69/06/36/854690636.db2.gz RXSIMGDLZFIBNT-UONOGXRCSA-N 0 1 290.367 0.819 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NCc2cnns2)[C@@H]1C ZINC001074568013 854749134 /nfs/dbraw/zinc/74/91/34/854749134.db2.gz GNOXBEJNCVWSHP-QXEWZRGKSA-N 0 1 279.369 0.777 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCNC2=O)[C@H]1C ZINC001074790758 854784294 /nfs/dbraw/zinc/78/42/94/854784294.db2.gz NTSWFDDCLMDKJI-DCAQKATOSA-N 0 1 285.775 0.454 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H]2CCC(=O)N2)[C@H]1C ZINC001074964867 854802021 /nfs/dbraw/zinc/80/20/21/854802021.db2.gz WSTVELPYBSANAQ-TUAOUCFPSA-N 0 1 299.802 0.987 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001075025704 854806666 /nfs/dbraw/zinc/80/66/66/854806666.db2.gz JIFNNDUMTIDEKH-TUAOUCFPSA-N 0 1 287.367 0.617 20 30 CCEDMN C[C@@H](CNC(=O)CCc1cnc[nH]1)Nc1cnc(C#N)cn1 ZINC001098344842 854859164 /nfs/dbraw/zinc/85/91/64/854859164.db2.gz WXAZQVAAQFRKIK-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN Cc1cc(N[C@@H](C)CNC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001098404609 854863325 /nfs/dbraw/zinc/86/33/25/854863325.db2.gz JMWYYEBFXINQAA-VIFPVBQESA-N 0 1 285.311 0.032 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)Cc2cc[nH]n2)C1 ZINC001098767382 854885303 /nfs/dbraw/zinc/88/53/03/854885303.db2.gz AQEWLRFHSDYJLX-WFASDCNBSA-N 0 1 272.352 0.556 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001098882590 854894412 /nfs/dbraw/zinc/89/44/12/854894412.db2.gz KXHKYPXZOAAXTQ-YXWQFLTLSA-N 0 1 292.379 0.394 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnco2)C1 ZINC001098964898 854900481 /nfs/dbraw/zinc/90/04/81/854900481.db2.gz OBIVYKGCQCVCRK-FZMZJTMJSA-N 0 1 259.309 0.892 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnon2)C1 ZINC001099088131 854913671 /nfs/dbraw/zinc/91/36/71/854913671.db2.gz WIQYSHHFMZGBGO-GWCFXTLKSA-N 0 1 260.297 0.287 20 30 CCEDMN C=C(C)CN1CC(C)(C)CC[C@H]1CNC(=O)C(N)=O ZINC001099203786 854921040 /nfs/dbraw/zinc/92/10/40/854921040.db2.gz RTTMVENYRXLPOG-NSHDSACASA-N 0 1 267.373 0.655 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)CCCC(C)=O)[C@@H](O)C1 ZINC001099671614 854961022 /nfs/dbraw/zinc/96/10/22/854961022.db2.gz KQANSAYMPBLXIH-KGLIPLIRSA-N 0 1 280.368 0.320 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)CCCC(C)=O)[C@H](O)C1 ZINC001099671616 854961932 /nfs/dbraw/zinc/96/19/32/854961932.db2.gz KQANSAYMPBLXIH-ZIAGYGMSSA-N 0 1 280.368 0.320 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCOCC)[C@@H](O)C1 ZINC001099701650 854970218 /nfs/dbraw/zinc/97/02/18/854970218.db2.gz HZGLLYOIKZDMOP-KGLIPLIRSA-N 0 1 282.384 0.378 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCOCC(C)C)[C@H](O)C1 ZINC001099698638 854971266 /nfs/dbraw/zinc/97/12/66/854971266.db2.gz RLDFKALOWAHAJX-LSDHHAIUSA-N 0 1 296.411 0.624 20 30 CCEDMN C[C@H](CCNC(=O)Cc1cnc[nH]1)Nc1ccncc1C#N ZINC001099713017 854975975 /nfs/dbraw/zinc/97/59/75/854975975.db2.gz JQPPTVRILSTLMC-LLVKDONJSA-N 0 1 298.350 0.648 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C(=O)C(C)(C)C)[C@H](O)C1 ZINC001099743507 854983124 /nfs/dbraw/zinc/98/31/24/854983124.db2.gz KVHQYNFIQNOOPF-NWDGAFQWSA-N 0 1 282.384 0.729 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CCOC)CC2)[C@H](O)C1 ZINC001099871490 855014830 /nfs/dbraw/zinc/01/48/30/855014830.db2.gz FLYYAJVZQCAMAA-QWHCGFSZSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CC)CC2)[C@H](O)C1 ZINC001099989704 855062757 /nfs/dbraw/zinc/06/27/57/855062757.db2.gz UEKHBXWQDSBDOT-VXGBXAGGSA-N 0 1 252.358 0.914 20 30 CCEDMN CN(CCNC(=O)c1[nH]ncc1F)c1ccc(C#N)nn1 ZINC001100310824 855145134 /nfs/dbraw/zinc/14/51/34/855145134.db2.gz LRJYYUWSTPMOLP-UHFFFAOYSA-N 0 1 289.274 0.077 20 30 CCEDMN CCc1cc(C(=O)N(C)CCNc2cncc(C#N)n2)n[nH]1 ZINC001101508899 855300288 /nfs/dbraw/zinc/30/02/88/855300288.db2.gz VYLHMQKOOWXIEE-UHFFFAOYSA-N 0 1 299.338 0.818 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001101804019 855369509 /nfs/dbraw/zinc/36/95/09/855369509.db2.gz KPGYUQYWVWZVGX-CHWSQXEVSA-N 0 1 292.383 0.276 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001101805426 855369871 /nfs/dbraw/zinc/36/98/71/855369871.db2.gz QLNWDVVJLPUSEG-GHMZBOCLSA-N 0 1 253.346 0.122 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102253484 855422595 /nfs/dbraw/zinc/42/25/95/855422595.db2.gz BILQWMUCFYCQID-CHWSQXEVSA-N 0 1 279.384 0.349 20 30 CCEDMN CC[C@@H](CNC(=O)Cc1cnc[nH]1)Nc1cncc(C#N)n1 ZINC001103335095 855512726 /nfs/dbraw/zinc/51/27/26/855512726.db2.gz UTEBGFFJPZEDNH-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN CC[C@H](CNC(=O)Cc1cnc[nH]1)Nc1cnc(C#N)cn1 ZINC001103334542 855513402 /nfs/dbraw/zinc/51/34/02/855513402.db2.gz FVOJEFRGWPEHFX-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN CC[C@H](CNC(=O)c1cnn[nH]1)Nc1cncc(C#N)n1 ZINC001103371283 855514678 /nfs/dbraw/zinc/51/46/78/855514678.db2.gz NIFWKNACCHNNJX-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)COCC)C1 ZINC001111574736 855579688 /nfs/dbraw/zinc/57/96/88/855579688.db2.gz QJIYMCOIFVHSLB-JSGCOSHPSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001111877877 855602243 /nfs/dbraw/zinc/60/22/43/855602243.db2.gz HJANVQFBNSLMBM-LZWOXQAQSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCn3nc(C)nc3C2)CC1 ZINC001116194823 855728779 /nfs/dbraw/zinc/72/87/79/855728779.db2.gz WNIJPZFDTAEKEG-UHFFFAOYSA-N 0 1 287.367 0.274 20 30 CCEDMN C=CCO[C@@H]1CCN([C@H](C)C(=O)NC[C@H]2CCCO2)C1 ZINC001116555790 855787784 /nfs/dbraw/zinc/78/77/84/855787784.db2.gz IOFROSUWWFWRLZ-MGPQQGTHSA-N 0 1 282.384 0.947 20 30 CCEDMN C#CCNC(=O)COC(=O)c1ccc2cncn2c1C ZINC001116601728 855795930 /nfs/dbraw/zinc/79/59/30/855795930.db2.gz QWOQRIPBWJFONG-UHFFFAOYSA-N 0 1 271.276 0.549 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC001117375581 855959106 /nfs/dbraw/zinc/95/91/06/855959106.db2.gz QTYUJYJYVRYVJT-GXTWGEPZSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC001117808227 856082341 /nfs/dbraw/zinc/08/23/41/856082341.db2.gz BGFACAJEOUPKTM-XQQFMLRXSA-N 0 1 285.388 0.284 20 30 CCEDMN COCCOC[C@@H](O)CNCc1ccc(C#N)cn1 ZINC001118089932 856156634 /nfs/dbraw/zinc/15/66/34/856156634.db2.gz CJXPFAFMERZXHZ-ZDUSSCGKSA-N 0 1 265.313 0.067 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001118374907 856279036 /nfs/dbraw/zinc/27/90/36/856279036.db2.gz YXFHGFPKOKEMHQ-VHSXEESVSA-N 0 1 277.328 0.819 20 30 CCEDMN C=CCCOCC(=O)NCc1n[nH]c(COC)n1 ZINC001118728680 856414931 /nfs/dbraw/zinc/41/49/31/856414931.db2.gz WNZVLDJHALTHGQ-UHFFFAOYSA-N 0 1 254.290 0.160 20 30 CCEDMN C=CCCOCC(=O)NCc1nnc(COC)[nH]1 ZINC001118728680 856414933 /nfs/dbraw/zinc/41/49/33/856414933.db2.gz WNZVLDJHALTHGQ-UHFFFAOYSA-N 0 1 254.290 0.160 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)N2CCN[C@@H](C)C2)C1 ZINC001118962219 856508985 /nfs/dbraw/zinc/50/89/85/856508985.db2.gz IULDVJCRGKLCCK-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCC1(O)CCN(C[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001119271605 856606971 /nfs/dbraw/zinc/60/69/71/856606971.db2.gz YAYRSROXKGLFHZ-LBPRGKRZSA-N 0 1 271.382 0.271 20 30 CCEDMN CC(C)(C)N1CC[C@@H]1C(=O)N1CCOC[C@@H]1C#N ZINC001119454706 856690790 /nfs/dbraw/zinc/69/07/90/856690790.db2.gz LDTAOILYOZCQFZ-WDEREUQCSA-N 0 1 251.330 0.610 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCc1n[nH]c(C2CC2)n1 ZINC001119567282 856744364 /nfs/dbraw/zinc/74/43/64/856744364.db2.gz NVFGHELETQCYLV-NSHDSACASA-N 0 1 273.340 0.396 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NCc1n[nH]c(COC)n1 ZINC001119658907 856821764 /nfs/dbraw/zinc/82/17/64/856821764.db2.gz QKCNYEBJPXSOPS-BXKDBHETSA-N 0 1 280.328 0.549 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NCc1nnc(COC)[nH]1 ZINC001119658907 856821779 /nfs/dbraw/zinc/82/17/79/856821779.db2.gz QKCNYEBJPXSOPS-BXKDBHETSA-N 0 1 280.328 0.549 20 30 CCEDMN Cc1cccc(NC(=O)C(=O)N[C@@H]2CCN(C)C2)c1C#N ZINC001336930216 921110532 /nfs/dbraw/zinc/11/05/32/921110532.db2.gz XGZUPZIZJQHSMC-LLVKDONJSA-N 0 1 286.335 0.626 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H](NC(N)=O)C(C)(C)C ZINC001323337510 912283035 /nfs/dbraw/zinc/28/30/35/912283035.db2.gz WXRJNNAKUNWYBH-VXGBXAGGSA-N 0 1 294.399 0.283 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)NC(=O)C(C)(C)c1cnc[nH]1 ZINC001392957828 912288221 /nfs/dbraw/zinc/28/82/21/912288221.db2.gz JJTBKAYOJXHRPV-ZJUUUORDSA-N 0 1 291.355 0.468 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)[C@H]2CC2(C)C)CC1 ZINC001323424210 912335927 /nfs/dbraw/zinc/33/59/27/912335927.db2.gz RAFHQEFWFNFQPX-NWDGAFQWSA-N 0 1 293.411 0.962 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C2(F)CCCC2)CC1 ZINC001323480054 912367416 /nfs/dbraw/zinc/36/74/16/912367416.db2.gz PSAMTKTYRVZXLL-UHFFFAOYSA-N 0 1 295.358 0.257 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)C(=O)Nc2ccc(C#N)cc2)n[nH]1 ZINC001323807615 912525343 /nfs/dbraw/zinc/52/53/43/912525343.db2.gz GFPWQPLOMRJEGZ-QMMMGPOBSA-N 0 1 298.306 0.801 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H](C)CC(N)=O)C1 ZINC001323965189 912606468 /nfs/dbraw/zinc/60/64/68/912606468.db2.gz ZXYCAJXXRAFVJE-NSHDSACASA-N 0 1 267.373 0.607 20 30 CCEDMN CC#CCS(=O)(=O)[N-]C(=O)[C@@H]1CCCC[N@@H+]1CCC ZINC001324192061 912712537 /nfs/dbraw/zinc/71/25/37/912712537.db2.gz GQYSVGIRTBBIBN-LBPRGKRZSA-N 0 1 286.397 0.720 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)CCC(=O)NCCC)C1 ZINC001324662491 912968750 /nfs/dbraw/zinc/96/87/50/912968750.db2.gz VASMQRBYIRKMPC-MRXNPFEDSA-N 0 1 293.411 0.897 20 30 CCEDMN COCC#CCN1CC[C@](O)(CNC(=O)c2ccoc2)C1 ZINC001325069586 913186790 /nfs/dbraw/zinc/18/67/90/913186790.db2.gz TWKBDWSOBHRMFB-HNNXBMFYSA-N 0 1 292.335 0.096 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C[C@@H](C)SC)C1 ZINC001325081167 913193753 /nfs/dbraw/zinc/19/37/53/913193753.db2.gz GMRZAYFYNKFWAQ-TZMCWYRMSA-N 0 1 284.425 0.704 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](CO)NCc1ccc(C#N)s1 ZINC001394579427 913283199 /nfs/dbraw/zinc/28/31/99/913283199.db2.gz OAIBBTAOQRTTFQ-UWVGGRQHSA-N 0 1 292.364 0.346 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC001325627552 913498405 /nfs/dbraw/zinc/49/84/05/913498405.db2.gz NMOINSRUTRRLEI-NWDGAFQWSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H](OC)[C@H]2CCOC2)CC1 ZINC001344942028 891409504 /nfs/dbraw/zinc/40/95/04/891409504.db2.gz GVLFQBQLOJUEHV-JSGCOSHPSA-N 0 1 281.352 0.679 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H](C)CC(N)=O ZINC001282665510 891469483 /nfs/dbraw/zinc/46/94/83/891469483.db2.gz NIUDTEDWPIVXJD-STQMWFEESA-N 0 1 279.384 0.492 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)C#N)N(C)C(=O)CCc1cnc[nH]1 ZINC001395162494 913569740 /nfs/dbraw/zinc/56/97/40/913569740.db2.gz VAEZFQPMOKVXPF-WDEREUQCSA-N 0 1 291.355 0.465 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)Cc3cnc[nH]3)[C@H]2C1 ZINC001083206476 891557106 /nfs/dbraw/zinc/55/71/06/891557106.db2.gz BXXUADONZCZSSB-UONOGXRCSA-N 0 1 290.367 0.440 20 30 CCEDMN CO[C@@H](C(=O)NCCN1CCC(NCC#N)CC1)C(C)C ZINC001325805741 913582473 /nfs/dbraw/zinc/58/24/73/913582473.db2.gz KZJOXTACGLXIAF-CQSZACIVSA-N 0 1 296.415 0.351 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CCC(=O)N1 ZINC001419185392 891933459 /nfs/dbraw/zinc/93/34/59/891933459.db2.gz ZALXTBDFHYSDNI-NXEZZACHSA-N 0 1 273.764 0.502 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc2c(c1)[nH]c(=O)n2C ZINC001480951499 891936285 /nfs/dbraw/zinc/93/62/85/891936285.db2.gz CWELJMXVZWGCQN-UHFFFAOYSA-N 0 1 286.335 0.574 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cc[n+]([O-])cc1 ZINC001480959597 891976272 /nfs/dbraw/zinc/97/62/72/891976272.db2.gz SYACJWSVTKKNFJ-UHFFFAOYSA-N 0 1 261.325 0.395 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnc2[nH]c(=O)[nH]c2c1 ZINC001480985130 892014679 /nfs/dbraw/zinc/01/46/79/892014679.db2.gz RXJGECMMXGNTQF-UHFFFAOYSA-N 0 1 287.323 0.348 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1CCOC[C@@H]1C ZINC001480999143 892034868 /nfs/dbraw/zinc/03/48/68/892034868.db2.gz KTQUKLBEUZPEGC-QWHCGFSZSA-N 0 1 252.358 0.730 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H](CCC)NC(N)=O ZINC001481014025 892056596 /nfs/dbraw/zinc/05/65/96/892056596.db2.gz XBJXBTYWNBCKEX-GFCCVEGCSA-N 0 1 282.388 0.285 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCN(CC(=O)NC(C)(C)C)C1 ZINC001481082868 892142979 /nfs/dbraw/zinc/14/29/79/892142979.db2.gz GOGKSSKTPFPPJP-RYUDHWBXSA-N 0 1 294.399 0.499 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C(=O)NCC(F)F ZINC001325897302 913632189 /nfs/dbraw/zinc/63/21/89/913632189.db2.gz KIWMAGJTGVQUHS-QWRGUYRKSA-N 0 1 299.321 0.066 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1CC12CCCC2 ZINC001283819423 892443532 /nfs/dbraw/zinc/44/35/32/892443532.db2.gz VXGZSCVRAFOILM-NWDGAFQWSA-N 0 1 250.342 0.267 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H](C)NC(N)=O)C1 ZINC001481395036 892611451 /nfs/dbraw/zinc/61/14/51/892611451.db2.gz KSSZVFKSPRHUTJ-RYUDHWBXSA-N 0 1 282.388 0.542 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](CN(C)CC(=O)NC)C1 ZINC001481485020 892735696 /nfs/dbraw/zinc/73/56/96/892735696.db2.gz VYRMOJYZJZESMN-CYBMUJFWSA-N 0 1 279.384 0.316 20 30 CCEDMN C[N@H+](CC#N)CC1CCN(C(=O)c2nnc[n-]2)CC1 ZINC001481519610 892766878 /nfs/dbraw/zinc/76/68/78/892766878.db2.gz LSWBHRCIIWQXNM-UHFFFAOYSA-N 0 1 262.317 0.112 20 30 CCEDMN C[N@@H+](CC#N)CC1CCN(C(=O)c2nnc[n-]2)CC1 ZINC001481519610 892766884 /nfs/dbraw/zinc/76/68/84/892766884.db2.gz LSWBHRCIIWQXNM-UHFFFAOYSA-N 0 1 262.317 0.112 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)CN(C)C(=O)COC)C1 ZINC001481562776 892838952 /nfs/dbraw/zinc/83/89/52/892838952.db2.gz WHSDEJMDMMNHKB-GFCCVEGCSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C)Oc1ccccc1OC ZINC001343297430 892870626 /nfs/dbraw/zinc/87/06/26/892870626.db2.gz DTVFJGINYHYRJL-GFCCVEGCSA-N 0 1 276.336 0.802 20 30 CCEDMN C[C@H](NCC#N)[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001496436576 892882649 /nfs/dbraw/zinc/88/26/49/892882649.db2.gz ZWUJMVVCBSYESK-WDEREUQCSA-N 0 1 261.329 0.764 20 30 CCEDMN C[C@H](OC1CCCCC1)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC001326057833 913723202 /nfs/dbraw/zinc/72/32/02/913723202.db2.gz MEVSUUXDEJXEOR-GVXVVHGQSA-N 0 1 265.357 0.952 20 30 CCEDMN C[C@H](OC1CCCCC1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC001326057830 913723360 /nfs/dbraw/zinc/72/33/60/913723360.db2.gz MEVSUUXDEJXEOR-DMDPSCGWSA-N 0 1 265.357 0.952 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CO[C@@H]2CCOC2)C1 ZINC001481767111 893132361 /nfs/dbraw/zinc/13/23/61/893132361.db2.gz VZISQKGZXYFVOW-ZIAGYGMSSA-N 0 1 280.368 0.348 20 30 CCEDMN C=C(Cl)CNC[C@@]1(NC(=O)C(N)=O)CCCC[C@@H]1C ZINC001481963279 893289600 /nfs/dbraw/zinc/28/96/00/893289600.db2.gz VBUNJPXZQAPLON-ZANVPECISA-N 0 1 287.791 0.879 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](CCNCc2cnon2)C1 ZINC001481971033 893292678 /nfs/dbraw/zinc/29/26/78/893292678.db2.gz BXRQMFWDECTOJR-RYUDHWBXSA-N 0 1 291.355 0.948 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CC[C@H](NCc2nncn2C)CC1 ZINC001482012401 893310488 /nfs/dbraw/zinc/31/04/88/893310488.db2.gz IMFPKSWQPXVOFV-IJLUTSLNSA-N 0 1 290.371 0.492 20 30 CCEDMN C[C@@H](CNCc1nncn1C)CNC(=O)C#CC1CC1 ZINC001482100252 893390646 /nfs/dbraw/zinc/39/06/46/893390646.db2.gz DNXHMOZYFBMNSN-NSHDSACASA-N 0 1 275.356 0.070 20 30 CCEDMN C#CCOCCC(=O)NC[C@H]1CN(CCC(=C)C)CCO1 ZINC001482191710 893485199 /nfs/dbraw/zinc/48/51/99/893485199.db2.gz GTRHRZIYBRVMSE-HNNXBMFYSA-N 0 1 294.395 0.810 20 30 CCEDMN C#CC[C@@H](NC(=O)C(C)(C)c1cnc[nH]1)C(=O)OC ZINC001299177470 894021402 /nfs/dbraw/zinc/02/14/02/894021402.db2.gz BJZCNGCBVFDHIZ-SECBINFHSA-N 0 1 263.297 0.368 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)C[C@H]1N[C@@H](C)CC(C)(C)C#N ZINC000697293053 894314899 /nfs/dbraw/zinc/31/48/99/894314899.db2.gz UTSCKRKMTNKXRI-HBNTYKKESA-N 0 1 274.386 0.716 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)CC(N)=O ZINC001272034716 894329567 /nfs/dbraw/zinc/32/95/67/894329567.db2.gz QIXJPZDHZUHSKP-FRRDWIJNSA-N 0 1 277.368 0.196 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)CC(N)=O ZINC001272034716 894329582 /nfs/dbraw/zinc/32/95/82/894329582.db2.gz QIXJPZDHZUHSKP-FRRDWIJNSA-N 0 1 277.368 0.196 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(C)nnc1C ZINC001482599991 894384982 /nfs/dbraw/zinc/38/49/82/894384982.db2.gz LUICDNITKUUIIM-UHFFFAOYSA-N 0 1 260.341 0.730 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CNC(=O)C(C)(C)F ZINC001482703147 894504339 /nfs/dbraw/zinc/50/43/39/894504339.db2.gz RFUAJGGVMXZASQ-MRVPVSSYSA-N 0 1 297.168 0.710 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001483072879 894878372 /nfs/dbraw/zinc/87/83/72/894878372.db2.gz RCUHBXPCTNJFAZ-QUCGXOGASA-N 0 1 285.775 0.670 20 30 CCEDMN C=C(Cl)CN(C)[C@H](C)CNC(=O)CCc1c[nH]nn1 ZINC001409610860 895277941 /nfs/dbraw/zinc/27/79/41/895277941.db2.gz JCLJQEQTLINIRD-SNVBAGLBSA-N 0 1 285.779 0.926 20 30 CCEDMN C=C(Cl)CN(C)[C@H](C)CNC(=O)CCc1cnn[nH]1 ZINC001409610860 895277954 /nfs/dbraw/zinc/27/79/54/895277954.db2.gz JCLJQEQTLINIRD-SNVBAGLBSA-N 0 1 285.779 0.926 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cccc2ncnn21 ZINC001483359030 895496445 /nfs/dbraw/zinc/49/64/45/895496445.db2.gz ZLGBUCGSRKOXPG-LBPRGKRZSA-N 0 1 285.351 0.803 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H]1C[C@H]1C(N)=O)C1CC1 ZINC001483587960 895667443 /nfs/dbraw/zinc/66/74/43/895667443.db2.gz QAXLHDAEKPYYTI-OUAUKWLOSA-N 0 1 285.775 0.345 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)C1CC(NC(=O)C#CC2CC2)C1 ZINC001288290015 895764769 /nfs/dbraw/zinc/76/47/69/895764769.db2.gz DRJWSXRKFOPGGD-UHFFFAOYSA-N 0 1 286.335 0.542 20 30 CCEDMN COC(=O)c1ccoc1S(=O)(=O)N[C@H](C)CC#N ZINC001365034615 895847586 /nfs/dbraw/zinc/84/75/86/895847586.db2.gz YFUDNLCQVHUPHK-SSDOTTSWSA-N 0 1 272.282 0.647 20 30 CCEDMN C=CCN1CC[C@@H](NC2(CNC(C)=O)CC2)C1=O ZINC001483711648 895892760 /nfs/dbraw/zinc/89/27/60/895892760.db2.gz VKJJQCPOYIULHT-LLVKDONJSA-N 0 1 251.330 0.032 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)c2coc(C)n2)CC1 ZINC001483729784 895927183 /nfs/dbraw/zinc/92/71/83/895927183.db2.gz PJKFQGQEPOFZPH-UHFFFAOYSA-N 0 1 292.339 0.137 20 30 CCEDMN Cc1nnc([C@H](C)N[C@H]2C[C@@H](CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001397059815 914030857 /nfs/dbraw/zinc/03/08/57/914030857.db2.gz SLGVFBRBHUGXOR-FSZOTQKASA-N 0 1 290.371 0.818 20 30 CCEDMN C=CCOCC(=O)NC[C@]1(O)CCN(C/C=C\Cl)C1 ZINC001484208171 896237311 /nfs/dbraw/zinc/23/73/11/896237311.db2.gz MJECJPFBEGXTKV-HSBHDXMMSA-N 0 1 288.775 0.495 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C[C@@H]2C=CCC2)C1 ZINC001484201204 896242690 /nfs/dbraw/zinc/24/26/90/896242690.db2.gz HMUFXAUTERGUAQ-ZBFHGGJFSA-N 0 1 276.380 0.919 20 30 CCEDMN C=CCOCCN1CCC[C@@](CO)(NC(=O)C2CCC2)C1 ZINC001484425619 896392107 /nfs/dbraw/zinc/39/21/07/896392107.db2.gz VRIRHEOBURZUMX-MRXNPFEDSA-N 0 1 296.411 0.932 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H](COC)OC)[C@@H]2C1 ZINC001484473567 896423339 /nfs/dbraw/zinc/42/33/39/896423339.db2.gz ZITLXGUJDYVCPI-MJBXVCDLSA-N 0 1 280.368 0.204 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCCN(CC(=O)NC)CC1 ZINC001484713662 896556070 /nfs/dbraw/zinc/55/60/70/896556070.db2.gz HMLDTRXZXDUFNK-CYBMUJFWSA-N 0 1 279.384 0.507 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)CCn2ccnn2)C[C@H]1C ZINC001484973041 896692891 /nfs/dbraw/zinc/69/28/91/896692891.db2.gz PVBHNXSZXCIPQJ-ZIAGYGMSSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CC1OCCCO1 ZINC001485052669 896736456 /nfs/dbraw/zinc/73/64/56/896736456.db2.gz GTKGNNBDEIFXOA-CYBMUJFWSA-N 0 1 280.368 0.696 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CCC(=O)N1C ZINC001485068079 896745951 /nfs/dbraw/zinc/74/59/51/896745951.db2.gz ZSKAOLYYJAWLQE-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN CCNC(=O)CN1CC[C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@@H]2C1 ZINC001397209102 914098205 /nfs/dbraw/zinc/09/82/05/914098205.db2.gz JBPVOECDYCRZPB-XQQFMLRXSA-N 0 1 292.383 0.062 20 30 CCEDMN C#CC[N@H+](C)[C@@H](C)CNC(=O)C[C@](C)(O)C1CC1 ZINC001485297222 896924047 /nfs/dbraw/zinc/92/40/47/896924047.db2.gz VHTCIRLHGRITQK-FZMZJTMJSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C[C@](C)(O)C1CC1 ZINC001485297222 896924067 /nfs/dbraw/zinc/92/40/67/896924067.db2.gz VHTCIRLHGRITQK-FZMZJTMJSA-N 0 1 252.358 0.607 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@]1(F)CCOC1 ZINC001485341687 896970009 /nfs/dbraw/zinc/97/00/09/896970009.db2.gz KRMKXLJEJDFYDJ-JSGCOSHPSA-N 0 1 286.347 0.201 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCc1cnn(C)n1 ZINC001485350988 896982479 /nfs/dbraw/zinc/98/24/79/896982479.db2.gz RGIMXOKAROSEDF-LBPRGKRZSA-N 0 1 277.372 0.208 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)CCn2cccn2)C1 ZINC001485489009 897075799 /nfs/dbraw/zinc/07/57/99/897075799.db2.gz APXTVUZRCTVJDW-GFCCVEGCSA-N 0 1 262.357 0.896 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@]2(C1)CN(CC)CCO2 ZINC001485557223 897115621 /nfs/dbraw/zinc/11/56/21/897115621.db2.gz WOKVSJUOOIEIEF-LSDHHAIUSA-N 0 1 282.384 0.637 20 30 CCEDMN COCC#CCN(C)CCOCCNC(=O)C1CC(C)C1 ZINC001485772404 897240604 /nfs/dbraw/zinc/24/06/04/897240604.db2.gz GAGVCPGHAJVSBW-UHFFFAOYSA-N 0 1 296.411 0.747 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1CCC(O)CC1 ZINC001032379769 897375029 /nfs/dbraw/zinc/37/50/29/897375029.db2.gz FOWNDVJXAFUDBP-GQKFXUNGSA-N 0 1 276.380 0.846 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](OC)C1CC1 ZINC001032380824 897377155 /nfs/dbraw/zinc/37/71/55/897377155.db2.gz BERHRSVVZYPNAX-IHRRRGAJSA-N 0 1 262.353 0.720 20 30 CCEDMN C=CCN1C[C@]2(CCN(Cc3c[nH]nc3C)C2)OCC1=O ZINC001272754042 897510051 /nfs/dbraw/zinc/51/00/51/897510051.db2.gz BPPSVSZEVDCXCK-OAHLLOKOSA-N 0 1 290.367 0.707 20 30 CCEDMN N#Cc1cnccc1NC[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001060820077 897531252 /nfs/dbraw/zinc/53/12/52/897531252.db2.gz GBFGSKJKQFHDPC-LLVKDONJSA-N 0 1 296.334 0.672 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@]1(C)CN(CCC)CCO1 ZINC001107942502 897534436 /nfs/dbraw/zinc/53/44/36/897534436.db2.gz UDBXUUIZROFPNR-UKRRQHHQSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnn2ccncc12 ZINC001032449057 897579880 /nfs/dbraw/zinc/57/98/80/897579880.db2.gz GVKNOIDBEMTUQC-STQMWFEESA-N 0 1 295.346 0.651 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(C(N)=O)c1 ZINC001032482311 897629816 /nfs/dbraw/zinc/62/98/16/897629816.db2.gz WSWQLRFDNUXRKS-GJZGRUSLSA-N 0 1 297.358 0.708 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)CNC(=O)C1 ZINC001032538045 897718423 /nfs/dbraw/zinc/71/84/23/897718423.db2.gz IMORPAPFVQBYEL-SLEUVZQESA-N 0 1 277.368 0.374 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(=O)n1C ZINC001032561227 897746431 /nfs/dbraw/zinc/74/64/31/897746431.db2.gz IEQZXXQTNJPSJR-QWRGUYRKSA-N 0 1 274.324 0.048 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccnc(OC)c1 ZINC001032628515 897846672 /nfs/dbraw/zinc/84/66/72/897846672.db2.gz FGGFDMZWINOWRE-GJZGRUSLSA-N 0 1 299.374 0.941 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1C[C@@H]2C[C@H]1CN2CCCO ZINC001032677632 897923272 /nfs/dbraw/zinc/92/32/72/897923272.db2.gz OPTCHLOQXPGYGQ-AJNGGQMLSA-N 0 1 294.395 0.635 20 30 CCEDMN CC#CCCCC(=O)NCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001077899139 898014441 /nfs/dbraw/zinc/01/44/41/898014441.db2.gz RGFYQKKTQXHZGJ-NSHDSACASA-N 0 1 291.355 0.623 20 30 CCEDMN CC#CCCCC(=O)NCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001077899139 898014454 /nfs/dbraw/zinc/01/44/54/898014454.db2.gz RGFYQKKTQXHZGJ-NSHDSACASA-N 0 1 291.355 0.623 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2C[C@@H](NCC#N)C23CCC3)n[nH]1 ZINC001078621286 898440367 /nfs/dbraw/zinc/44/03/67/898440367.db2.gz CSAWOFURCVLJLU-MNOVXSKESA-N 0 1 288.355 0.196 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cnn(C(C)(C)C)c1C ZINC001486002014 898561944 /nfs/dbraw/zinc/56/19/44/898561944.db2.gz LMKGLMGVPDUFEN-GFCCVEGCSA-N 0 1 292.383 0.260 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cnc2n1[C@H](C)CCC2 ZINC001485996946 898581900 /nfs/dbraw/zinc/58/19/00/898581900.db2.gz SABOUZUCNVEORF-VXGBXAGGSA-N 0 1 290.367 0.094 20 30 CCEDMN CC1(C(=O)NC[C@H](CO)NCc2cccc(C#N)c2)CC1 ZINC001485996980 898582058 /nfs/dbraw/zinc/58/20/58/898582058.db2.gz SWBGHQJDFLOSCW-CQSZACIVSA-N 0 1 287.363 0.925 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cnc2n1[C@H](C)CCC2 ZINC001485996943 898583232 /nfs/dbraw/zinc/58/32/32/898583232.db2.gz SABOUZUCNVEORF-NEPJUHHUSA-N 0 1 290.367 0.094 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H]1CCCC12CC2 ZINC001486008648 898605759 /nfs/dbraw/zinc/60/57/59/898605759.db2.gz QBPSSIIXVQUOIX-VXGBXAGGSA-N 0 1 250.342 0.267 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@](C)(F)CCCC ZINC001486010674 898606692 /nfs/dbraw/zinc/60/66/92/898606692.db2.gz LYZZBNKYYIOOBR-DGCLKSJQSA-N 0 1 258.337 0.605 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ncccc1OC(C)C ZINC001486056532 898645566 /nfs/dbraw/zinc/64/55/66/898645566.db2.gz AVUIURMRKYRWAU-LBPRGKRZSA-N 0 1 291.351 0.182 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CNC(=O)C2CC2)C1 ZINC001486104117 898662773 /nfs/dbraw/zinc/66/27/73/898662773.db2.gz BUJVXBXZPUFVBB-ZYHUDNBSSA-N 0 1 299.802 0.844 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCn2cnnn2)[C@H]1C ZINC001486182995 898699260 /nfs/dbraw/zinc/69/92/60/898699260.db2.gz BTHNVOBHXJRYIJ-OLZOCXBDSA-N 0 1 290.371 0.056 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CC1(OC)CCC1 ZINC001486329994 898795401 /nfs/dbraw/zinc/79/54/01/898795401.db2.gz HVKQLEQYDQBNCK-ZDUSSCGKSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CCc1cc(C)on1 ZINC001486348478 898824747 /nfs/dbraw/zinc/82/47/47/898824747.db2.gz CRXFSBISGXENQM-AWEZNQCLSA-N 0 1 293.367 0.300 20 30 CCEDMN C#CCN1CC=C(CNC(=O)CC(C)(C)O)CC1 ZINC001486505067 898910059 /nfs/dbraw/zinc/91/00/59/898910059.db2.gz BACWOEOQBCGSEI-UHFFFAOYSA-N 0 1 250.342 0.529 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc2n(n1)CCO2 ZINC001437142062 899602076 /nfs/dbraw/zinc/60/20/76/899602076.db2.gz CAFWRIOTQKYQAR-SECBINFHSA-N 0 1 284.747 0.736 20 30 CCEDMN CN1CCN(c2ccc(-n3nc(N)c(C#N)c3N)cc2)CC1 ZINC001174815972 899948841 /nfs/dbraw/zinc/94/88/41/899948841.db2.gz MKYUVLYMJZFQTC-UHFFFAOYSA-N 0 1 297.366 0.660 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)CC(N)=O)[C@H]1CC ZINC001489124845 900434629 /nfs/dbraw/zinc/43/46/29/900434629.db2.gz CUGFBSGBVJBXQP-FRRDWIJNSA-N 0 1 279.384 0.490 20 30 CCEDMN CC#CCN(CCO)[C@@H]1CCCN(C(=O)[C@@H](C)OC)C1 ZINC001489149759 900442438 /nfs/dbraw/zinc/44/24/38/900442438.db2.gz LQAVJFNDLHAXBC-ZIAGYGMSSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C=C1CCC1 ZINC001490177120 900557405 /nfs/dbraw/zinc/55/74/05/900557405.db2.gz UBBLRXOMAMBPMT-CYBMUJFWSA-N 0 1 291.395 0.673 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)[C@@H](C)C2CC2)CC1 ZINC001490486975 900637693 /nfs/dbraw/zinc/63/76/93/900637693.db2.gz RHJGEDBCZVIUCT-AWEZNQCLSA-N 0 1 277.412 0.790 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)CNC(=O)N2CCCC2)C1 ZINC001490538764 900649978 /nfs/dbraw/zinc/64/99/78/900649978.db2.gz BYVKXNLCBOWRSE-LBPRGKRZSA-N 0 1 280.372 0.168 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CNC(=O)N2CCCC2)C1 ZINC001490538764 900649984 /nfs/dbraw/zinc/64/99/84/900649984.db2.gz BYVKXNLCBOWRSE-LBPRGKRZSA-N 0 1 280.372 0.168 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCC(=O)N(C)C)C1 ZINC001490569629 900669639 /nfs/dbraw/zinc/66/96/39/900669639.db2.gz FMOIKYJGMFUDQT-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](NCc1nccn1C)C1CC1 ZINC001490645682 900675426 /nfs/dbraw/zinc/67/54/26/900675426.db2.gz WAOQBULLURDQEA-PWSUYJOCSA-N 0 1 275.356 0.564 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CCC(=O)N1C)C1CC1 ZINC001490696023 900706823 /nfs/dbraw/zinc/70/68/23/900706823.db2.gz CXZXMVZOXKVOAL-NEPJUHHUSA-N 0 1 299.802 0.844 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc3nncn3c2)CC1 ZINC001327343662 914490001 /nfs/dbraw/zinc/49/00/01/914490001.db2.gz PNYRXKWJQQGADB-UHFFFAOYSA-N 0 1 284.323 0.447 20 30 CCEDMN C=CCn1cnnc1S(=O)(=O)[C@H](C)c1n[nH]c(CC)n1 ZINC001327381135 914509003 /nfs/dbraw/zinc/50/90/03/914509003.db2.gz BSGUDUDTEPUWAD-MRVPVSSYSA-N 0 1 296.356 0.680 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)NCCN1CCN(C)CC1 ZINC001411619006 901161519 /nfs/dbraw/zinc/16/15/19/901161519.db2.gz SPJWTNRFUQPMGR-UHFFFAOYSA-N 0 1 275.356 0.172 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)C1CN(C(=O)Cc2ccn[nH]2)C1 ZINC001411990998 901381748 /nfs/dbraw/zinc/38/17/48/901381748.db2.gz BCXWRQMIMKFELT-UWVGGRQHSA-N 0 1 289.339 0.075 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)c2cnccc2C#N)n1 ZINC001412300596 901629834 /nfs/dbraw/zinc/62/98/34/901629834.db2.gz DOHVYANPNNQZKF-UHFFFAOYSA-N 0 1 288.336 0.864 20 30 CCEDMN CSCc1nnc(CNC(=O)c2cnccc2C#N)[nH]1 ZINC001412300596 901629842 /nfs/dbraw/zinc/62/98/42/901629842.db2.gz DOHVYANPNNQZKF-UHFFFAOYSA-N 0 1 288.336 0.864 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@H](NCc2ccn(C)n2)C1 ZINC001490819804 902018697 /nfs/dbraw/zinc/01/86/97/902018697.db2.gz RQRGLXLROIJCIP-DZGCQCFKSA-N 0 1 292.383 0.438 20 30 CCEDMN COC(=O)[C@@H]1Cc2ccc(O)cc2CN1C(=O)C(C)C#N ZINC001412798531 902133448 /nfs/dbraw/zinc/13/34/48/902133448.db2.gz NOBQVPGHFVXJRI-ZANVPECISA-N 0 1 288.303 0.978 20 30 CCEDMN CS(=O)(=O)Cc1n[n-]c(C[NH2+]C2CCC(C#N)CC2)n1 ZINC001413253157 902816354 /nfs/dbraw/zinc/81/63/54/902816354.db2.gz OYBUYQXYJLQFGQ-UHFFFAOYSA-N 0 1 297.384 0.521 20 30 CCEDMN N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)CCc1cnn[nH]1 ZINC001049741481 903047735 /nfs/dbraw/zinc/04/77/35/903047735.db2.gz PMSXHIXQOLFQKB-CHWSQXEVSA-N 0 1 288.355 0.326 20 30 CCEDMN N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)CCc1c[nH]nn1 ZINC001049741481 903047720 /nfs/dbraw/zinc/04/77/20/903047720.db2.gz PMSXHIXQOLFQKB-CHWSQXEVSA-N 0 1 288.355 0.326 20 30 CCEDMN COCC#CCN1CCC[C@@H](CNC(=O)COC(C)C)C1 ZINC001491097391 903357904 /nfs/dbraw/zinc/35/79/04/903357904.db2.gz FIOSQMLJVYFSMQ-HNNXBMFYSA-N 0 1 296.411 0.889 20 30 CCEDMN Cc1cc(CN[C@H](C)CNC(=O)[C@@H](C)C#N)ncn1 ZINC001491414122 903563069 /nfs/dbraw/zinc/56/30/69/903563069.db2.gz IODCXIQAIPUTII-GXSJLCMTSA-N 0 1 261.329 0.539 20 30 CCEDMN C[C@@H](CNCC#N)CNC(=O)Cc1n[nH]c2c1CCCC2 ZINC001495664042 903603050 /nfs/dbraw/zinc/60/30/50/903603050.db2.gz FVVGNHPFICFGOL-NSHDSACASA-N 0 1 289.383 0.696 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1(C(N)=O)CC1 ZINC001281957377 903991851 /nfs/dbraw/zinc/99/18/51/903991851.db2.gz QZVAMYFABOAZNX-LBPRGKRZSA-N 0 1 277.368 0.198 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1c(Cl)cnn1CC ZINC001331724873 904009811 /nfs/dbraw/zinc/00/98/11/904009811.db2.gz LRELRHXJLLDLDF-JTQLQIEISA-N 0 1 298.774 0.260 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)Cn1cccn1 ZINC001281918729 904368114 /nfs/dbraw/zinc/36/81/14/904368114.db2.gz COOZTSLLMOMAAI-CQSZACIVSA-N 0 1 274.368 0.829 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CCCC(=O)N(C)C ZINC001281967662 904380214 /nfs/dbraw/zinc/38/02/14/904380214.db2.gz FVKBSFBMZJXZBB-AWEZNQCLSA-N 0 1 293.411 0.801 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCCN(C(=O)CC)C1 ZINC001282389311 904465492 /nfs/dbraw/zinc/46/54/92/904465492.db2.gz NKAZZNKFDFGNPE-ZIAGYGMSSA-N 0 1 293.411 0.705 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)N(C)CC#N ZINC001282403548 904471185 /nfs/dbraw/zinc/47/11/85/904471185.db2.gz VZRMQVUAHXEFQL-HBNTYKKESA-N 0 1 261.329 0.473 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H](CC)N1CCCC1=O ZINC001282495650 904492531 /nfs/dbraw/zinc/49/25/31/904492531.db2.gz UQGJTAAOAGCBFV-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)COC[C@@H]2CCCO2)C1 ZINC001282742061 904536378 /nfs/dbraw/zinc/53/63/78/904536378.db2.gz XAGYCYMSJXVGCA-ZFWWWQNUSA-N 0 1 294.395 0.642 20 30 CCEDMN Cc1nonc1CNCCC[C@@H](C)NC(=O)[C@H](C)C#N ZINC001283146538 904709723 /nfs/dbraw/zinc/70/97/23/904709723.db2.gz RJNFCCJLBMQEOL-NXEZZACHSA-N 0 1 279.344 0.912 20 30 CCEDMN CC(C)C#CC(=O)N1CCC(NC(=O)c2ncn[nH]2)CC1 ZINC001283425234 904843505 /nfs/dbraw/zinc/84/35/05/904843505.db2.gz MZUAEVPASFIHLA-UHFFFAOYSA-N 0 1 289.339 0.185 20 30 CCEDMN CC(C)C#CC(=O)N1CCC(NC(=O)c2nc[nH]n2)CC1 ZINC001283425234 904843521 /nfs/dbraw/zinc/84/35/21/904843521.db2.gz MZUAEVPASFIHLA-UHFFFAOYSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@H](C)NCc1ocnc1C ZINC001283608518 904926228 /nfs/dbraw/zinc/92/62/28/904926228.db2.gz RIKIQYDNYRPGFI-WCQYABFASA-N 0 1 293.367 0.958 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC001327914066 914858162 /nfs/dbraw/zinc/85/81/62/914858162.db2.gz LKEHPSSBAMDSGL-CHWSQXEVSA-N 0 1 291.395 0.906 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](CO)NCc1cccnc1 ZINC001283758183 904998640 /nfs/dbraw/zinc/99/86/40/904998640.db2.gz ZTHMIQQKGVZJBI-AWEZNQCLSA-N 0 1 275.352 0.308 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@H](CO)N[C@H](C)C(=O)N(C)C ZINC001283760172 905001566 /nfs/dbraw/zinc/00/15/66/905001566.db2.gz TZSRHPURQOUVQS-VXGBXAGGSA-N 0 1 299.415 0.132 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cnn(C(C)(C)C)c1 ZINC001283769332 905008414 /nfs/dbraw/zinc/00/84/14/905008414.db2.gz BVCOQMAUJIHQML-ZDUSSCGKSA-N 0 1 292.383 0.342 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1CC2(CC2)C1 ZINC001283803902 905024380 /nfs/dbraw/zinc/02/43/80/905024380.db2.gz LKELKWAJDYWEBJ-GFCCVEGCSA-N 0 1 250.342 0.267 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CCC1(C)CC1 ZINC001283819802 905036269 /nfs/dbraw/zinc/03/62/69/905036269.db2.gz ZZTOFEMNINPMLY-LBPRGKRZSA-N 0 1 252.358 0.657 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](F)CC1CCCCC1 ZINC001283818961 905036361 /nfs/dbraw/zinc/03/63/61/905036361.db2.gz SEGJEAUFCJAWQA-KGLIPLIRSA-N 0 1 284.375 0.995 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001284063029 905138833 /nfs/dbraw/zinc/13/88/33/905138833.db2.gz ROPNOGUOUIPGJE-DCAQKATOSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N[C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001284063029 905138839 /nfs/dbraw/zinc/13/88/39/905138839.db2.gz ROPNOGUOUIPGJE-DCAQKATOSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1nnn(C)n1 ZINC001377832471 905179017 /nfs/dbraw/zinc/17/90/17/905179017.db2.gz HABPEUCPCPZXLO-HTQZYQBOSA-N 0 1 272.740 0.059 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H](C)NC(=O)C#CC2CC2)c1C ZINC001284214183 905198352 /nfs/dbraw/zinc/19/83/52/905198352.db2.gz RCJGJBZDQIFQMW-VIFPVBQESA-N 0 1 288.351 0.674 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)CC(C)(C)C ZINC001284483215 905313886 /nfs/dbraw/zinc/31/38/86/905313886.db2.gz QSFLMULBFHQZOM-AWEZNQCLSA-N 0 1 298.427 0.824 20 30 CCEDMN C=CCN(CCNC(=O)[C@H]1C[C@@H](C)CO1)CCOC ZINC001284657484 905412892 /nfs/dbraw/zinc/41/28/92/905412892.db2.gz JNSNJELLGWRHSY-CHWSQXEVSA-N 0 1 270.373 0.662 20 30 CCEDMN C=CCCCC(=O)N1CCC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001284682076 905415319 /nfs/dbraw/zinc/41/53/19/905415319.db2.gz SGLKQVLNAFEGEH-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN C[C@@H](NC(=O)C#CC1CC1)C1CCN([C@@H](C)C(N)=O)CC1 ZINC001284684481 905415692 /nfs/dbraw/zinc/41/56/92/905415692.db2.gz PBFPUBULZJQXBG-NEPJUHHUSA-N 0 1 291.395 0.490 20 30 CCEDMN C=CCCC(=O)N1CC(NC(=O)[C@H](C)Cc2cnc[nH]2)C1 ZINC001284910151 905483670 /nfs/dbraw/zinc/48/36/70/905483670.db2.gz LSUQAAYKNAKMCF-LLVKDONJSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CCOCC(=O)NCC1CN(C(=O)c2cc(C)[nH]n2)C1 ZINC001284954769 905508536 /nfs/dbraw/zinc/50/85/36/905508536.db2.gz KLXBRCIMUMBWSK-UHFFFAOYSA-N 0 1 292.339 0.109 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)NC(=O)c1[nH]ncc1F ZINC001285691852 905720323 /nfs/dbraw/zinc/72/03/23/905720323.db2.gz MBVARAQHZSJIGT-SECBINFHSA-N 0 1 280.303 0.443 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001285751068 905753001 /nfs/dbraw/zinc/75/30/01/905753001.db2.gz LBHAODPAGXFUGV-VHSXEESVSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NC1(CNC(=O)c2ncn[nH]2)CC1 ZINC001285952691 905822878 /nfs/dbraw/zinc/82/28/78/905822878.db2.gz LIGZCGGVDBFEIP-VHSXEESVSA-N 0 1 291.355 0.642 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NC1(CNC(=O)c2nc[nH]n2)CC1 ZINC001285952691 905822893 /nfs/dbraw/zinc/82/28/93/905822893.db2.gz LIGZCGGVDBFEIP-VHSXEESVSA-N 0 1 291.355 0.642 20 30 CCEDMN CN(C(=O)c1cnc(CN)nc1)[C@@H]1CCC[C@@H]1C#N ZINC001332992606 905878108 /nfs/dbraw/zinc/87/81/08/905878108.db2.gz IFYBDPJDWHUYJE-MWLCHTKSSA-N 0 1 259.313 0.700 20 30 CCEDMN C=C(C)CCC(=O)N(C)C[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001287633668 905941818 /nfs/dbraw/zinc/94/18/18/905941818.db2.gz GGTGZHJQVSAECO-NSHDSACASA-N 0 1 293.371 0.667 20 30 CCEDMN C=CCCC(=O)N1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC001292387974 906207471 /nfs/dbraw/zinc/20/74/71/906207471.db2.gz YJGHJDXKGBUXEX-UHFFFAOYSA-N 0 1 291.355 0.374 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001292382347 906207738 /nfs/dbraw/zinc/20/77/38/906207738.db2.gz VFXJCJUZEYSNLL-NSHDSACASA-N 0 1 292.339 0.285 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CNC(=O)C(C)(C)C ZINC001379456890 906257337 /nfs/dbraw/zinc/25/73/37/906257337.db2.gz CFSQYQVWBQONSG-SNVBAGLBSA-N 0 1 289.807 0.996 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@H](C)NC(N)=O ZINC001380269750 906705283 /nfs/dbraw/zinc/70/52/83/906705283.db2.gz KJAJIOCDKYBNJT-UWVGGRQHSA-N 0 1 288.779 0.376 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CNC(=O)CN1CCCC1 ZINC001295499030 906749817 /nfs/dbraw/zinc/74/98/17/906749817.db2.gz XIMLDJOUKZXYOM-CYBMUJFWSA-N 0 1 279.384 0.507 20 30 CCEDMN C=CCCCC(=O)N[C@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001295672521 906784542 /nfs/dbraw/zinc/78/45/42/906784542.db2.gz BVIPDPKVASBMNX-LLVKDONJSA-N 0 1 278.356 0.929 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCN(C)C(=O)c1ccn[nH]1 ZINC001296239380 906884057 /nfs/dbraw/zinc/88/40/57/906884057.db2.gz LBFLSLHHZUMJPF-PWSUYJOCSA-N 0 1 292.339 0.189 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H](C)NC(N)=O)CCC1 ZINC001380862663 906968292 /nfs/dbraw/zinc/96/82/92/906968292.db2.gz XROWEOSAKMIEOW-VIFPVBQESA-N 0 1 288.779 0.424 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC1CN(C(=O)c2[nH]nnc2C)C1 ZINC001297124012 907026959 /nfs/dbraw/zinc/02/69/59/907026959.db2.gz JUINGRSAQPBWFS-JTQLQIEISA-N 0 1 291.355 0.656 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](CC)NC(=O)c1[nH]ncc1F ZINC001297390705 907068503 /nfs/dbraw/zinc/06/85/03/907068503.db2.gz YOPXSAVJFMNYRK-SNVBAGLBSA-N 0 1 294.330 0.977 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCCN1CCC(O)CC1 ZINC001298012724 907140162 /nfs/dbraw/zinc/14/01/62/907140162.db2.gz BJRNFORQEVCFQD-OAHLLOKOSA-N 0 1 284.400 0.788 20 30 CCEDMN CC(C)[C@@H](CCNC(=O)[C@H](C)C#N)NC(=O)c1cnn[nH]1 ZINC001381696548 907375015 /nfs/dbraw/zinc/37/50/15/907375015.db2.gz MTPZZXOHOHNJIF-NXEZZACHSA-N 0 1 292.343 0.225 20 30 CCEDMN C=CC[N@H+]1CCCC[C@H]1CNC(=O)C[C@@H]1CCNC1=O ZINC001317175341 907405257 /nfs/dbraw/zinc/40/52/57/907405257.db2.gz ZVIYGRFVARUPRC-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN CCc1cc(C(=O)N(C)C[C@H](C)NC(=O)[C@H](C)C#N)n[nH]1 ZINC001381877756 907438474 /nfs/dbraw/zinc/43/84/74/907438474.db2.gz WEZBTYFMPHAHPC-ZJUUUORDSA-N 0 1 291.355 0.708 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(C(=O)NC)CCC1 ZINC001491645134 907481423 /nfs/dbraw/zinc/48/14/23/907481423.db2.gz CYCAGPQULXOCQC-JTQLQIEISA-N 0 1 287.791 0.750 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNC(=O)[C@H]1CCCN1C ZINC001491683596 907497534 /nfs/dbraw/zinc/49/75/34/907497534.db2.gz LRRUQOXCXPXGPY-DOMZBBRYSA-N 0 1 297.399 0.030 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@H](C)NC(N)=O ZINC001382064251 907528136 /nfs/dbraw/zinc/52/81/36/907528136.db2.gz GHTPLBFAOTZPCU-UWVGGRQHSA-N 0 1 290.795 0.622 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@@H]1CCC(=O)N1 ZINC001382136443 907566255 /nfs/dbraw/zinc/56/62/55/907566255.db2.gz ZXGDHRIAGICRCG-QWRGUYRKSA-N 0 1 287.791 0.844 20 30 CCEDMN C#Cc1cncc(C(=O)NCCN(C)CC#CC)c1 ZINC001492409645 907911590 /nfs/dbraw/zinc/91/15/90/907911590.db2.gz ZHWJLKCNIUDCNH-UHFFFAOYSA-N 0 1 255.321 0.748 20 30 CCEDMN N#CCCCCC(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC001302137433 908007132 /nfs/dbraw/zinc/00/71/32/908007132.db2.gz OWSLUFCXUVFUSD-SECBINFHSA-N 0 1 264.289 0.184 20 30 CCEDMN C=CCOCC(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001306873636 908272021 /nfs/dbraw/zinc/27/20/21/908272021.db2.gz JFDWVBRHJXKUHH-SECBINFHSA-N 0 1 266.301 0.127 20 30 CCEDMN C=CCOCC(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001306873636 908272037 /nfs/dbraw/zinc/27/20/37/908272037.db2.gz JFDWVBRHJXKUHH-SECBINFHSA-N 0 1 266.301 0.127 20 30 CCEDMN C#CCN(C(=O)C(=O)NC[C@@H]1CCN1C(C)(C)C)C(C)C ZINC001338208147 921398736 /nfs/dbraw/zinc/39/87/36/921398736.db2.gz NYIAQRHZQQKKEH-ZDUSSCGKSA-N 0 1 293.411 0.846 20 30 CCEDMN C[C@@H](NCc1cc(=O)n2ncc(C#N)c2[nH]1)c1ccnn1C ZINC001308015690 908369179 /nfs/dbraw/zinc/36/91/79/908369179.db2.gz HLVVSXFPKWMKPU-SECBINFHSA-N 0 1 297.322 0.891 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](N(C)C(=O)[C@H](C)C#N)C2)[nH]1 ZINC001385362783 908414533 /nfs/dbraw/zinc/41/45/33/908414533.db2.gz AEARRNKPYIRZTE-MWLCHTKSSA-N 0 1 289.339 0.551 20 30 CCEDMN C=C(C)C[C@@H](CO)NCc1ccc(S(N)(=O)=O)o1 ZINC001308501631 908419740 /nfs/dbraw/zinc/41/97/40/908419740.db2.gz PGKPSIZSWCUCML-VIFPVBQESA-N 0 1 274.342 0.344 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)Cc1cnn(CC)c1 ZINC001317510943 908561970 /nfs/dbraw/zinc/56/19/70/908561970.db2.gz ZTLNOGNOQYEZSR-CQSZACIVSA-N 0 1 274.368 0.659 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC001316743753 908565533 /nfs/dbraw/zinc/56/55/33/908565533.db2.gz JGWMIGNLAVDSPR-HZSPNIEDSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H](CCCC)NC(N)=O ZINC001317512739 908566271 /nfs/dbraw/zinc/56/62/71/908566271.db2.gz CSTVHQRKBROTTK-STQMWFEESA-N 0 1 294.399 0.427 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H](C)NCc1nncs1 ZINC001328312713 908663381 /nfs/dbraw/zinc/66/33/81/908663381.db2.gz KCQYZADOJNOPEB-IUCAKERBSA-N 0 1 267.358 0.682 20 30 CCEDMN COCC1(NC(=O)NCC#CCN(C)C)CCC1 ZINC001313439159 908665608 /nfs/dbraw/zinc/66/56/08/908665608.db2.gz HIABIZFIWVZPFY-UHFFFAOYSA-N 0 1 253.346 0.420 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C[C@@H]2CCOC2)CC1 ZINC001316956284 908699974 /nfs/dbraw/zinc/69/99/74/908699974.db2.gz ANLYSGXWHRYFGG-HNNXBMFYSA-N 0 1 293.411 0.170 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@@H]2CCCCO2)C1 ZINC001316975152 908711795 /nfs/dbraw/zinc/71/17/95/908711795.db2.gz HRHPKAWAOBKUSG-KGLIPLIRSA-N 0 1 282.384 0.949 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCCN1C(=O)CC(=O)NCC ZINC001316803208 908777514 /nfs/dbraw/zinc/77/75/14/908777514.db2.gz LGUSXWHULYLQBM-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CC(=O)NCC ZINC001316803208 908777524 /nfs/dbraw/zinc/77/75/24/908777524.db2.gz LGUSXWHULYLQBM-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H](C)C1CC1 ZINC001316821050 908788303 /nfs/dbraw/zinc/78/83/03/908788303.db2.gz TYLGTZCQIOPWMG-LBPRGKRZSA-N 0 1 279.384 0.220 20 30 CCEDMN C=CCCC(=O)NCCCN(C1CC1)[C@H]1CCNC1=O ZINC001316911455 908836953 /nfs/dbraw/zinc/83/69/53/908836953.db2.gz LRHYDCCQJOMJNF-ZDUSSCGKSA-N 0 1 279.384 0.812 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CCn2ccnn2)C1 ZINC001316939906 908859374 /nfs/dbraw/zinc/85/93/74/908859374.db2.gz DYSGYQDOFVNLGC-GFCCVEGCSA-N 0 1 263.345 0.435 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)CCCC#CC)CC1 ZINC001316967144 908873299 /nfs/dbraw/zinc/87/32/99/908873299.db2.gz SSJRJKYULAWATD-UHFFFAOYSA-N 0 1 289.423 0.937 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCCN([C@@H](C)C(=O)NC)C1 ZINC001317128248 909002207 /nfs/dbraw/zinc/00/22/07/909002207.db2.gz MPPIWQRHQFAWPH-QWHCGFSZSA-N 0 1 297.399 0.152 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](CNC(=O)[C@@H](F)CC)C1 ZINC001317140622 909013050 /nfs/dbraw/zinc/01/30/50/909013050.db2.gz UYXSYSISZKYFFF-OLZOCXBDSA-N 0 1 299.390 0.865 20 30 CCEDMN N#CC1(CNC[C@H](O)Cn2cccn2)CCOCC1 ZINC001338436245 921466377 /nfs/dbraw/zinc/46/63/77/921466377.db2.gz KDYLGMGRKRTRCF-LBPRGKRZSA-N 0 1 264.329 0.154 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(=O)NCC2CC2)C1 ZINC001317309615 909134933 /nfs/dbraw/zinc/13/49/33/909134933.db2.gz ZTMQPCJMNITCPU-NSHDSACASA-N 0 1 285.775 0.456 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001317373375 909159960 /nfs/dbraw/zinc/15/99/60/909159960.db2.gz NVJVWHCZHQTRPJ-QWHCGFSZSA-N 0 1 279.384 0.442 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1c(C)[nH]cc1NC(C)=O ZINC001317452358 909223964 /nfs/dbraw/zinc/22/39/64/909223964.db2.gz AUNREOJDEWGJAN-UHFFFAOYSA-N 0 1 290.367 0.966 20 30 CCEDMN CN(CCNC(=O)c1ncn[nH]1)c1ncc(C#N)cc1F ZINC001387818330 909274075 /nfs/dbraw/zinc/27/40/75/909274075.db2.gz YCHVRSXUVMHFHI-UHFFFAOYSA-N 0 1 289.274 0.077 20 30 CCEDMN CN(CCNC(=O)c1nc[nH]n1)c1ncc(C#N)cc1F ZINC001387818330 909274092 /nfs/dbraw/zinc/27/40/92/909274092.db2.gz YCHVRSXUVMHFHI-UHFFFAOYSA-N 0 1 289.274 0.077 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)COCc1ccnn1C ZINC001317504170 909276012 /nfs/dbraw/zinc/27/60/12/909276012.db2.gz VVEMDZRERGXHEG-CYBMUJFWSA-N 0 1 290.367 0.151 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@@H](C)COC)C1CC1 ZINC001317548862 909318318 /nfs/dbraw/zinc/31/83/18/909318318.db2.gz DDCVZGSZMJJQNP-ZDUSSCGKSA-N 0 1 282.384 0.499 20 30 CCEDMN CC(C)N(CC#N)CCCNC(=O)c1nc[nH]n1 ZINC001317706025 909472554 /nfs/dbraw/zinc/47/25/54/909472554.db2.gz ZQFPOVUUUAUZSG-UHFFFAOYSA-N 0 1 250.306 0.159 20 30 CCEDMN CC(C)N(CC#N)CCCNC(=O)c1ncn[nH]1 ZINC001317706025 909472558 /nfs/dbraw/zinc/47/25/58/909472558.db2.gz ZQFPOVUUUAUZSG-UHFFFAOYSA-N 0 1 250.306 0.159 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@@H](C)NC(C)=O)c1ccccc1 ZINC001317715315 909476583 /nfs/dbraw/zinc/47/65/83/909476583.db2.gz ZQSIHTIKPXXGFJ-IUODEOHRSA-N 0 1 287.363 0.591 20 30 CCEDMN CN(CC#N)CC1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001317872762 909532698 /nfs/dbraw/zinc/53/26/98/909532698.db2.gz YAPOLBKJTKRYBW-VXGBXAGGSA-N 0 1 280.328 0.346 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CCCC(=O)NC)C1 ZINC001317964153 909552964 /nfs/dbraw/zinc/55/29/64/909552964.db2.gz ILUBVDHUAYILAN-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CCCN(CCOCCO)C1 ZINC001317969406 909553042 /nfs/dbraw/zinc/55/30/42/909553042.db2.gz XBHYIHBXDOATGO-AWEZNQCLSA-N 0 1 282.384 0.235 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@@H](C)NC(N)=O)C1 ZINC001318024533 909585843 /nfs/dbraw/zinc/58/58/43/909585843.db2.gz KXNFGCQNHWJPBU-MNOVXSKESA-N 0 1 282.388 0.588 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC1CN(C[C@@H](C)CC)C1 ZINC001318024863 909588322 /nfs/dbraw/zinc/58/83/22/909588322.db2.gz PLOSJBISGUTGCT-NWDGAFQWSA-N 0 1 252.358 0.871 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CCCC(=O)NCC)C1 ZINC001318168284 909650496 /nfs/dbraw/zinc/65/04/96/909650496.db2.gz CBGQUJJWPXSIMC-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)COC ZINC001318191233 909656896 /nfs/dbraw/zinc/65/68/96/909656896.db2.gz YYFYFTQPFBEQMZ-AVGNSLFASA-N 0 1 250.342 0.577 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)COCC)C1 ZINC001318310746 909692229 /nfs/dbraw/zinc/69/22/29/909692229.db2.gz RNQZAIDVZMZKGI-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN C=CCCC(=O)N[C@H]1CC[C@H](NCc2nnnn2C)CC1 ZINC001318942924 909931478 /nfs/dbraw/zinc/93/14/78/909931478.db2.gz KTQIAGLKEWAVSU-HAQNSBGRSA-N 0 1 292.387 0.693 20 30 CCEDMN C/C=C(/C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001319033111 909962262 /nfs/dbraw/zinc/96/22/62/909962262.db2.gz KZUBQBHFBDTMMP-FAXJPDKMSA-N 0 1 263.297 0.595 20 30 CCEDMN C#C[C@@H](C)N(C)c1nnc(-c2nc(C3CC3)n[nH]2)n1C ZINC001338661473 921541703 /nfs/dbraw/zinc/54/17/03/921541703.db2.gz PQZNUULNGHRCNR-MRVPVSSYSA-N 0 1 271.328 0.936 20 30 CCEDMN C#C[C@@H](C)N(C)c1nnc(-c2nnc(C3CC3)[nH]2)n1C ZINC001338661473 921541713 /nfs/dbraw/zinc/54/17/13/921541713.db2.gz PQZNUULNGHRCNR-MRVPVSSYSA-N 0 1 271.328 0.936 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H]1CN(CCC(=C)C)CCO1 ZINC001319312078 910093482 /nfs/dbraw/zinc/09/34/82/910093482.db2.gz YUQAXPOWDQZHDN-LSDHHAIUSA-N 0 1 294.395 0.808 20 30 CCEDMN N#CC1CCN(C(=O)CN2CCC(CO)CC2)CC1 ZINC001338813767 921581590 /nfs/dbraw/zinc/58/15/90/921581590.db2.gz CIEJDXUVQBAFMI-UHFFFAOYSA-N 0 1 265.357 0.453 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC001320293899 910584444 /nfs/dbraw/zinc/58/44/44/910584444.db2.gz DJFQUHAJBACWMF-MJBXVCDLSA-N 0 1 299.415 0.986 20 30 CCEDMN Cc1nnc(CNCC[C@H](C)NC(=O)[C@H](C)C#N)[nH]1 ZINC001320372793 910641220 /nfs/dbraw/zinc/64/12/20/910641220.db2.gz RDZGPTUMLLWOMD-BDAKNGLRSA-N 0 1 264.333 0.257 20 30 CCEDMN C=CCn1c(C2=NO[C@H](CO)C2)nnc1N1CCC[C@@H]1C ZINC001338934738 921606125 /nfs/dbraw/zinc/60/61/25/921606125.db2.gz DBPAYORINMGEBF-QWRGUYRKSA-N 0 1 291.355 0.938 20 30 CCEDMN N#CCNC(=O)CN[C@@H](c1nnc[nH]1)c1ccccc1 ZINC001320895483 910919737 /nfs/dbraw/zinc/91/97/37/910919737.db2.gz QKJWXXSHVGYENI-GFCCVEGCSA-N 0 1 270.296 0.123 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)/C(C)=C\C ZINC001321014368 911015529 /nfs/dbraw/zinc/01/55/29/911015529.db2.gz RKRNAHDHNZVBSU-PRIXGWFASA-N 0 1 265.357 0.517 20 30 CCEDMN CCn1nncc1CNC/C=C/CNC(=O)C#CC(C)C ZINC001321050105 911046805 /nfs/dbraw/zinc/04/68/05/911046805.db2.gz BPQBOLBRHASCGW-AATRIKPKSA-N 0 1 289.383 0.719 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)c1ccns1 ZINC001321082516 911070953 /nfs/dbraw/zinc/07/09/53/911070953.db2.gz ILRZNBNVDIJYBY-ONEGZZNKSA-N 0 1 294.380 0.321 20 30 CCEDMN C#C[C@H](C)N(C)c1nnc(-c2c[nH]nn2)n1CC=C ZINC001339094131 921650026 /nfs/dbraw/zinc/65/00/26/921650026.db2.gz CJHVSYNWMDMKJX-VIFPVBQESA-N 0 1 257.301 0.707 20 30 CCEDMN C=CC[C@@H](N[C@@H]1CCN(CCOC)C1)C(=O)OCC ZINC001339093492 921650420 /nfs/dbraw/zinc/65/04/20/921650420.db2.gz HJMFNQZLLDZIJF-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C=CCn1c(C[N+](=O)[O-])nnc1N1CCN(CC)[C@H](C)C1 ZINC001339156850 921668278 /nfs/dbraw/zinc/66/82/78/921668278.db2.gz AXGJKBXHKWVLLC-LLVKDONJSA-N 0 1 294.359 0.771 20 30 CCEDMN C#CCCN(C)C[C@H](C)NC(=O)c1cn(C)c(C)cc1=O ZINC001322182208 911697119 /nfs/dbraw/zinc/69/71/19/911697119.db2.gz DJVLKVFYEQUFTF-LBPRGKRZSA-N 0 1 289.379 0.767 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCc2cncn2C1 ZINC001322191556 911704378 /nfs/dbraw/zinc/70/43/78/911704378.db2.gz BZRAHUGTNLWBEI-OLZOCXBDSA-N 0 1 274.368 0.515 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cn2cccnc2n1 ZINC001322198004 911709212 /nfs/dbraw/zinc/70/92/12/911709212.db2.gz YYFVFBBEUIZQJD-LBPRGKRZSA-N 0 1 285.351 0.803 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)CN(C)[C@@H]1CCN(C)C1=O ZINC001322222512 911711760 /nfs/dbraw/zinc/71/17/60/911711760.db2.gz GIXRQYVCYVIDNF-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN Cc1nnc(SCC(=O)NC2(C#N)CCOCC2)[nH]1 ZINC001322233704 911718605 /nfs/dbraw/zinc/71/86/05/911718605.db2.gz OECDOZNOMVFNHT-UHFFFAOYSA-N 0 1 281.341 0.394 20 30 CCEDMN Cc1n[nH]c(SCC(=O)NC2(C#N)CCOCC2)n1 ZINC001322233704 911718613 /nfs/dbraw/zinc/71/86/13/911718613.db2.gz OECDOZNOMVFNHT-UHFFFAOYSA-N 0 1 281.341 0.394 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1ccc2nncn2c1 ZINC001322262366 911734436 /nfs/dbraw/zinc/73/44/36/911734436.db2.gz NYMNBUVPGGTALY-GFCCVEGCSA-N 0 1 285.351 0.803 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H](C)n1cccn1 ZINC001392358009 911754715 /nfs/dbraw/zinc/75/47/15/911754715.db2.gz WIQDWQPTFMTGCO-GHMZBOCLSA-N 0 1 286.763 0.263 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1CCCN1C(C)=O ZINC001322307658 911757904 /nfs/dbraw/zinc/75/79/04/911757904.db2.gz RCFSAWMTIHMVCH-WCQYABFASA-N 0 1 265.357 0.067 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cnc(OCC)cn1 ZINC001322314082 911760131 /nfs/dbraw/zinc/76/01/31/911760131.db2.gz NHJYXHMYQYPHDO-LBPRGKRZSA-N 0 1 290.367 0.949 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc(C2CC2)on1 ZINC001392387225 911777977 /nfs/dbraw/zinc/77/79/77/911777977.db2.gz IGMAVMFTPBMIIA-SNVBAGLBSA-N 0 1 299.758 0.985 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1CCCC(=O)N1C ZINC001392528681 911896147 /nfs/dbraw/zinc/89/61/47/911896147.db2.gz BQTIAZXWDDUHCU-GHMZBOCLSA-N 0 1 287.791 0.844 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCS(C)(=O)=O ZINC001392569476 911947849 /nfs/dbraw/zinc/94/78/49/911947849.db2.gz GWYJLSVLDXNONH-VIFPVBQESA-N 0 1 282.793 0.268 20 30 CCEDMN N#CCNC[C@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)C1CC1 ZINC001323067734 912097402 /nfs/dbraw/zinc/09/74/02/912097402.db2.gz SNMAZTXWXFRWJO-PWSUYJOCSA-N 0 1 288.355 0.233 20 30 CCEDMN C#CCN(CC#CC)c1nnc(-c2c[nH]nn2)n1CC ZINC001339390541 921716208 /nfs/dbraw/zinc/71/62/08/921716208.db2.gz FLXPSJQZEHBHHM-UHFFFAOYSA-N 0 1 269.312 0.546 20 30 CCEDMN Cc1nnsc1CNC[C@@H](NC(=O)[C@H](C)C#N)C1CC1 ZINC001392776457 912144669 /nfs/dbraw/zinc/14/46/69/912144669.db2.gz ZGMGETPSUSYXAM-LDYMZIIASA-N 0 1 293.396 0.991 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H](OC)C1CC1 ZINC001323232873 912207585 /nfs/dbraw/zinc/20/75/85/912207585.db2.gz SFVKTUVOGCOORJ-STQMWFEESA-N 0 1 250.342 0.625 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](C)NCC(=O)Nc1ccccc1 ZINC001398863366 914887134 /nfs/dbraw/zinc/88/71/34/914887134.db2.gz BFDCHKWAVGAAAE-RYUDHWBXSA-N 0 1 288.351 0.879 20 30 CCEDMN N#CCC[C@H](C#N)CNC[C@@H]1CC[C@@H](C(N)=O)O1 ZINC001327975530 914901180 /nfs/dbraw/zinc/90/11/80/914901180.db2.gz KGWHTAUBWNCYSB-VWYCJHECSA-N 0 1 250.302 0.052 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001399082340 915038447 /nfs/dbraw/zinc/03/84/47/915038447.db2.gz MHOXUGPZVVASMO-UWVGGRQHSA-N 0 1 273.764 0.502 20 30 CCEDMN COCC#CCN(C)C[C@@H]1CCCN1C(=O)c1ncc[nH]1 ZINC001328721960 915382299 /nfs/dbraw/zinc/38/22/99/915382299.db2.gz XWFWDCZEKXJVJB-ZDUSSCGKSA-N 0 1 290.367 0.596 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cccn2nnnc12 ZINC001328738506 915396854 /nfs/dbraw/zinc/39/68/54/915396854.db2.gz UNAAHPCWKYQIHE-GFCCVEGCSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccnc2n[nH]nc21 ZINC001328750705 915410384 /nfs/dbraw/zinc/41/03/84/915410384.db2.gz UQZJCJHINCUOEJ-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C(=O)NCC1CC1 ZINC001328780564 915428450 /nfs/dbraw/zinc/42/84/50/915428450.db2.gz NGGGPUSSXNBPCA-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cnnc(C)c1 ZINC001329424385 915902489 /nfs/dbraw/zinc/90/24/89/915902489.db2.gz BUMYWESIBAAFII-GFCCVEGCSA-N 0 1 260.341 0.858 20 30 CCEDMN COCC#CC[N@@H+](C)[C@H](C)CNC(=O)Cc1nnc(C)o1 ZINC001329431117 915908303 /nfs/dbraw/zinc/90/83/03/915908303.db2.gz IZDZHYVRJQVEHH-LLVKDONJSA-N 0 1 294.355 0.007 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)Cc1nnc(C)o1 ZINC001329431117 915908319 /nfs/dbraw/zinc/90/83/19/915908319.db2.gz IZDZHYVRJQVEHH-LLVKDONJSA-N 0 1 294.355 0.007 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cn2c(n1)CCC2 ZINC001329439963 915916858 /nfs/dbraw/zinc/91/68/58/915916858.db2.gz DPZZWCMTHIYNHO-LBPRGKRZSA-N 0 1 274.368 0.903 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H](C)N(C)Cc1n[nH]c(C)n1 ZINC001329441542 915918619 /nfs/dbraw/zinc/91/86/19/915918619.db2.gz OAAOUBWILLQELC-GHMZBOCLSA-N 0 1 293.371 0.088 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cnc2n[nH]nc2c1 ZINC001329458870 915932937 /nfs/dbraw/zinc/93/29/37/915932937.db2.gz FTBSISRMIUDELJ-SNVBAGLBSA-N 0 1 286.339 0.426 20 30 CCEDMN C#CC[N@H+](C)[C@H](C)CNC(=O)c1cnn(-c2ccccn2)c1 ZINC001329559579 916010249 /nfs/dbraw/zinc/01/02/49/916010249.db2.gz KMHIVHZBFPDSDP-CYBMUJFWSA-N 0 1 297.362 0.951 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnn(-c2ccccn2)c1 ZINC001329559579 916010266 /nfs/dbraw/zinc/01/02/66/916010266.db2.gz KMHIVHZBFPDSDP-CYBMUJFWSA-N 0 1 297.362 0.951 20 30 CCEDMN C[C@@H](C#N)CNC[C@@H](O)CC1(C#N)CCOCC1 ZINC001329594512 916050524 /nfs/dbraw/zinc/05/05/24/916050524.db2.gz BMOZYPQIZAVCBQ-RYUDHWBXSA-N 0 1 251.330 0.807 20 30 CCEDMN N#CC1(C[C@@H](O)CNCc2ccc(C(N)=O)o2)CC1 ZINC001329597986 916052715 /nfs/dbraw/zinc/05/27/15/916052715.db2.gz ZCLDILLCMNQHKC-SECBINFHSA-N 0 1 263.297 0.523 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cccc(=O)[nH]1 ZINC001401500537 916289189 /nfs/dbraw/zinc/28/91/89/916289189.db2.gz FMOHNENUIGFGFY-VIFPVBQESA-N 0 1 285.731 0.220 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@@H](C)NC(N)=O)C1 ZINC001329996975 916345269 /nfs/dbraw/zinc/34/52/69/916345269.db2.gz IAUHNORWQCQAKN-NXEZZACHSA-N 0 1 268.361 0.056 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1ccn(C)n1 ZINC001401625975 916402482 /nfs/dbraw/zinc/40/24/82/916402482.db2.gz ZGGMCCHTMSXHGL-SNVBAGLBSA-N 0 1 270.764 0.809 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H](O)C(C)C)C(C)(C)C1 ZINC001330189820 916462157 /nfs/dbraw/zinc/46/21/57/916462157.db2.gz FPZHJTYIOVFIJQ-QWHCGFSZSA-N 0 1 266.385 0.853 20 30 CCEDMN C[C@@H](CNCc1cnns1)NC(=O)c1c[nH]c(C#N)c1 ZINC001401676447 916464600 /nfs/dbraw/zinc/46/46/00/916464600.db2.gz MBDXYQVQTBSMMC-QMMMGPOBSA-N 0 1 290.352 0.646 20 30 CCEDMN C[C@H](CN(C)CCN1CCCC1=O)NC(=O)[C@@H](C)C#N ZINC001401738915 916537088 /nfs/dbraw/zinc/53/70/88/916537088.db2.gz WDRQJLIDNPZORD-NWDGAFQWSA-N 0 1 280.372 0.205 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC2(C1)CCCN(CC#C)C2 ZINC001330485680 916659926 /nfs/dbraw/zinc/65/99/26/916659926.db2.gz JSFNEULQXCAKLH-CQSZACIVSA-N 0 1 274.364 0.582 20 30 CCEDMN CCC[C@H](C)NC(=O)CNC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001401942737 916725018 /nfs/dbraw/zinc/72/50/18/916725018.db2.gz VJBUAUNKCUAKMB-RYUDHWBXSA-N 0 1 294.399 0.689 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)CNC(=O)OC)C1CC1 ZINC001402268846 916913094 /nfs/dbraw/zinc/91/30/94/916913094.db2.gz RSVFAGXSXIFDOY-JTQLQIEISA-N 0 1 289.763 0.579 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CCCC(=O)N1)C1CC1 ZINC001402309522 916947213 /nfs/dbraw/zinc/94/72/13/916947213.db2.gz HBMDOXYWXGZBRU-VXGBXAGGSA-N 0 1 299.802 0.892 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCCN(CC(=O)N2CCC2)C1 ZINC001402653867 917158385 /nfs/dbraw/zinc/15/83/85/917158385.db2.gz AMAVIMGACSXJKF-CHWSQXEVSA-N 0 1 292.383 0.207 20 30 CCEDMN CN(C)C1CN(C(=O)Nc2cccc(CC#N)n2)C1 ZINC001331227055 917197521 /nfs/dbraw/zinc/19/75/21/917197521.db2.gz AQMADRXEVYPXRA-UHFFFAOYSA-N 0 1 259.313 0.925 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)N1C[C@H]2CC[N@H+](C)[C@H]2C1 ZINC001331231981 917203948 /nfs/dbraw/zinc/20/39/48/917203948.db2.gz FRQHTLZTYHLFLD-RDBSUJKOSA-N 0 1 291.395 0.716 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CNC(=O)NC ZINC001403003091 917414238 /nfs/dbraw/zinc/41/42/38/917414238.db2.gz UPXISKHXMDRWIS-BDAKNGLRSA-N 0 1 276.768 0.151 20 30 CCEDMN CC[C@H](F)C(=O)NC[C@H](CO)NCC#Cc1ccccc1 ZINC001331772805 917593453 /nfs/dbraw/zinc/59/34/53/917593453.db2.gz RPLVTWSZDOCSLW-CABCVRRESA-N 0 1 292.354 0.853 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc(OC(C)C)n1 ZINC001331803130 917619627 /nfs/dbraw/zinc/61/96/27/917619627.db2.gz BGVBYYBRKNSBNB-LBPRGKRZSA-N 0 1 291.351 0.182 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H](C)c1c(C)n[nH]c1C ZINC001331806426 917625041 /nfs/dbraw/zinc/62/50/41/917625041.db2.gz VFUMMAWWQZPETM-MFKMUULPSA-N 0 1 292.383 0.220 20 30 CCEDMN CC[C@@H](F)CN[C@@H](CO)CNC(=O)c1c[nH]c(C#N)c1 ZINC001403645040 917877727 /nfs/dbraw/zinc/87/77/27/917877727.db2.gz LLUZARXVXBGEQH-ZYHUDNBSSA-N 0 1 282.319 0.315 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1C[C@@H](F)C[C@H]1C(=O)[O-] ZINC001332161221 917917099 /nfs/dbraw/zinc/91/70/99/917917099.db2.gz VYZZADOVMMWRHW-QWRGUYRKSA-N 0 1 285.319 0.148 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCNC(N)=O)[C@@H]1C ZINC001332217804 917963231 /nfs/dbraw/zinc/96/32/31/917963231.db2.gz IVEUGMIKVQWUJV-RYUDHWBXSA-N 0 1 280.372 0.037 20 30 CCEDMN C=C(C)Cn1[nH]c(C)c([C@H]2CCS(=O)(=O)C2)c1=O ZINC001332221430 917963288 /nfs/dbraw/zinc/96/32/88/917963288.db2.gz GZOFIFJLRRQMEX-WDEREUQCSA-N 0 1 270.354 0.832 20 30 CCEDMN C=C1CCC(C(=O)NCc2n[nH]c(CO)n2)CC1 ZINC001332257961 917996875 /nfs/dbraw/zinc/99/68/75/917996875.db2.gz AQLQPVFEAZXAAV-UHFFFAOYSA-N 0 1 250.302 0.660 20 30 CCEDMN C=C1CCC(C(=O)NCc2nnc(CO)[nH]2)CC1 ZINC001332257961 917996891 /nfs/dbraw/zinc/99/68/91/917996891.db2.gz AQLQPVFEAZXAAV-UHFFFAOYSA-N 0 1 250.302 0.660 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c2ccccc2nn1C ZINC001332264201 918004514 /nfs/dbraw/zinc/00/45/14/918004514.db2.gz XWQNVTDQPUUGPB-UHFFFAOYSA-N 0 1 270.336 0.868 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cnsn1 ZINC001403828809 918044064 /nfs/dbraw/zinc/04/40/64/918044064.db2.gz LOHXXYNTRRXEQZ-QMMMGPOBSA-N 0 1 290.776 0.313 20 30 CCEDMN C=CCN(CCNC(=O)c1ccc(C)nn1)CCOC ZINC001332914126 918526789 /nfs/dbraw/zinc/52/67/89/918526789.db2.gz PJOFNMKUWFFKNA-UHFFFAOYSA-N 0 1 278.356 0.649 20 30 CCEDMN CC[C@@H](CC#N)NC[C@@H](O)CC1(O)CCOCC1 ZINC001333039960 918613893 /nfs/dbraw/zinc/61/38/93/918613893.db2.gz YYHUTKNLUNKHJV-RYUDHWBXSA-N 0 1 256.346 0.561 20 30 CCEDMN CC[C@@H](C(N)=O)N1CC=C(CNC(=O)[C@H](C)C#N)CC1 ZINC001333310913 918792972 /nfs/dbraw/zinc/79/29/72/918792972.db2.gz LWAGRRFCQDRLFR-PWSUYJOCSA-N 0 1 278.356 0.158 20 30 CCEDMN CC(C)C[C@H](CNCc1cnnn1C)NC(=O)[C@H](C)C#N ZINC001405919637 918974333 /nfs/dbraw/zinc/97/43/33/918974333.db2.gz DGAOOLCDGNOGNX-VXGBXAGGSA-N 0 1 292.387 0.595 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@H](OC)C1CC1 ZINC001406221322 919122622 /nfs/dbraw/zinc/12/26/22/919122622.db2.gz UJSDXLJSCKLMQW-GHMZBOCLSA-N 0 1 276.764 0.231 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001333853541 919209394 /nfs/dbraw/zinc/20/93/94/919209394.db2.gz XWCSZLCYZDWVQY-UWVGGRQHSA-N 0 1 279.344 0.323 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1coc(C(N)=O)c1 ZINC001406364430 919216693 /nfs/dbraw/zinc/21/66/93/919216693.db2.gz FOXOIUUBENCXMS-MRVPVSSYSA-N 0 1 285.731 0.839 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)n[nH]1 ZINC001406487612 919301180 /nfs/dbraw/zinc/30/11/80/919301180.db2.gz BCQZJSKMNDZMFT-MWLCHTKSSA-N 0 1 289.339 0.551 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1nc2ncccn2n1 ZINC001406544385 919337792 /nfs/dbraw/zinc/33/77/92/919337792.db2.gz PUNOMVAOAIQIRT-VIFPVBQESA-N 0 1 294.746 0.585 20 30 CCEDMN C#CC1(O)CCN(C[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC001334376185 919534049 /nfs/dbraw/zinc/53/40/49/919534049.db2.gz MHASBBWLGRGIHY-LBPRGKRZSA-N 0 1 271.382 0.271 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@H](C)[C@@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC001334449590 919592974 /nfs/dbraw/zinc/59/29/74/919592974.db2.gz UMHWYVLNVOHXND-VWYCJHECSA-N 0 1 285.344 0.034 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@H](C)[C@@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC001334449590 919592985 /nfs/dbraw/zinc/59/29/85/919592985.db2.gz UMHWYVLNVOHXND-VWYCJHECSA-N 0 1 285.344 0.034 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CNC(C)=O ZINC001407092237 919610258 /nfs/dbraw/zinc/61/02/58/919610258.db2.gz LLHUXGOPBKUNJS-NSHDSACASA-N 0 1 273.764 0.456 20 30 CCEDMN CCN1CCCN(C(=O)C(=O)N2CCC[C@@H](C#N)C2)CC1 ZINC001334931873 919844844 /nfs/dbraw/zinc/84/48/44/919844844.db2.gz HRGNZOIKMJWFFY-ZDUSSCGKSA-N 0 1 292.383 0.303 20 30 CCEDMN C=C(Cl)CN[C@@]1(CO)CCCN(C(=O)c2ncc[nH]2)C1 ZINC001408043794 919997113 /nfs/dbraw/zinc/99/71/13/919997113.db2.gz UANNRLSALRCVQV-ZDUSSCGKSA-N 0 1 298.774 0.719 20 30 CCEDMN COC(=O)[C@H]1CCCC[C@H]1Cn1c(=O)[nH]cc(C#N)c1=O ZINC001413929541 920217448 /nfs/dbraw/zinc/21/74/48/920217448.db2.gz KWQGVRFLLIHDHQ-ONGXEEELSA-N 0 1 291.307 0.388 20 30 CCEDMN C#C[C@H](NC[C@@H](O)COCCOC)c1ccccc1 ZINC001335986693 920546411 /nfs/dbraw/zinc/54/64/11/920546411.db2.gz OVDUVUPVEKLTQU-CABCVRRESA-N 0 1 263.337 0.974 20 30 CCEDMN CCS(=O)(=O)CCNCC#C[C@H]1CCCCO1 ZINC001336062340 920590433 /nfs/dbraw/zinc/59/04/33/920590433.db2.gz XEJHBGHPPPNXSN-GFCCVEGCSA-N 0 1 259.371 0.583 20 30 CCEDMN C#CCCCCNC(=O)N1CCNC[C@@H]1COC ZINC001336066109 920594093 /nfs/dbraw/zinc/59/40/93/920594093.db2.gz MWKXUNLWLYKXFF-GFCCVEGCSA-N 0 1 253.346 0.420 20 30 CCEDMN O=C1CC[C@@H](CN2CCC3(CC2)CC(=O)C=CO3)N1 ZINC001336394492 920751539 /nfs/dbraw/zinc/75/15/39/920751539.db2.gz SBBQHBMOPLIEOL-NSHDSACASA-N 0 1 264.325 0.603 20 30 CCEDMN C#CCC[NH+]1CCN(c2ncncc2C(=O)[O-])CC1 ZINC001336405917 920757047 /nfs/dbraw/zinc/75/70/47/920757047.db2.gz DCWXTLMJHRMZGD-UHFFFAOYSA-N 0 1 260.297 0.320 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N[C@@H](C)C#N)cc1=O ZINC001336498666 920806036 /nfs/dbraw/zinc/80/60/36/920806036.db2.gz YLRHIPXLUQDQRD-VIFPVBQESA-N 0 1 250.302 0.403 20 30 CCEDMN CCN(CCNC(=O)[C@@H]1CCCCN1C)C(=O)[C@H](C)C#N ZINC001415691547 920806793 /nfs/dbraw/zinc/80/67/93/920806793.db2.gz DWQVQPSJTCWGFY-OLZOCXBDSA-N 0 1 294.399 0.595 20 30 CCEDMN Cc1nc([C@@H]2CCN(c3nnccc3C#N)C2)n[nH]1 ZINC001336649018 920899423 /nfs/dbraw/zinc/89/94/23/920899423.db2.gz HURCUZLISXEIJM-SNVBAGLBSA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@@H]2CCN(c3nnccc3C#N)C2)[nH]1 ZINC001336649018 920899433 /nfs/dbraw/zinc/89/94/33/920899433.db2.gz HURCUZLISXEIJM-SNVBAGLBSA-N 0 1 255.285 0.769 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC001336659075 920909313 /nfs/dbraw/zinc/90/93/13/920909313.db2.gz REAVSZDROVLVRO-ZDUSSCGKSA-N 0 1 281.400 0.821 20 30 CCEDMN COCC[C@@H]1CNCCN1C(=O)NCCCCC#N ZINC001336797835 921015269 /nfs/dbraw/zinc/01/52/69/921015269.db2.gz FKQVLLYUENJDEG-GFCCVEGCSA-N 0 1 268.361 0.700 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCC(NCc2cnn(C)n2)CC1 ZINC001418183346 921879194 /nfs/dbraw/zinc/87/91/94/921879194.db2.gz KQWZPDSKRJTAEI-VOMCLLRMSA-N 0 1 290.371 0.492 20 30 CCEDMN C#CCNCC(=O)N1CCC(OC[C@@H]2CCCCO2)CC1 ZINC001339990128 921909398 /nfs/dbraw/zinc/90/93/98/921909398.db2.gz DMQPNXMULULFRN-HNNXBMFYSA-N 0 1 294.395 0.786 20 30 CCEDMN C=CCn1c([C@@H](C)O)nnc1N1CCN(CC)CC1 ZINC001340317764 922053026 /nfs/dbraw/zinc/05/30/26/922053026.db2.gz SAEVVYUUAPSYDG-LLVKDONJSA-N 0 1 265.361 0.659 20 30 CCEDMN C#CCNCC(=O)NCCCc1ccc(OC)c(OC)c1 ZINC001340634274 922148800 /nfs/dbraw/zinc/14/88/00/922148800.db2.gz DXWKIZPCARIUGC-UHFFFAOYSA-N 0 1 290.363 0.975 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001340906386 922266780 /nfs/dbraw/zinc/26/67/80/922266780.db2.gz BKIBTPPEKVGERJ-YVECIDJPSA-N 0 1 256.309 0.413 20 30 CCEDMN Cc1nc([C@@H](C)NS(=O)(=O)CC2(C#N)CC2)n[nH]1 ZINC001340976137 922299673 /nfs/dbraw/zinc/29/96/73/922299673.db2.gz JXTXNNOOLQQAIF-SSDOTTSWSA-N 0 1 269.330 0.397 20 30 CCEDMN C#CCNCC(=O)NCc1ccc2c(c1)C[C@@H](C)O2 ZINC001341052261 922328334 /nfs/dbraw/zinc/32/83/34/922328334.db2.gz WXTVZMUQRSXRAP-LLVKDONJSA-N 0 1 258.321 0.849 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](C(C)(C)C)[C@@H](O)C1 ZINC001341071763 922335814 /nfs/dbraw/zinc/33/58/14/922335814.db2.gz MOYNBPBIXSPTPN-RYUDHWBXSA-N 0 1 252.358 0.465 20 30 CCEDMN CCCNC(=O)CN1CC[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001418674112 922353273 /nfs/dbraw/zinc/35/32/73/922353273.db2.gz YNQCKTGBEVTBTF-NEPJUHHUSA-N 0 1 280.372 0.110 20 30 CCEDMN N#Cc1cccc(CC(=O)N2CCOC[C@H]2c2nn[nH]n2)c1 ZINC001418862518 922459519 /nfs/dbraw/zinc/45/95/19/922459519.db2.gz GIBZYNMOEMQSCT-LBPRGKRZSA-N 0 1 298.306 0.214 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc(NC(=O)OC)cc1 ZINC001341469533 922501263 /nfs/dbraw/zinc/50/12/63/922501263.db2.gz LDXYHCSUAIIOKC-UHFFFAOYSA-N 0 1 289.335 0.746 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCOc2c(F)cccc21 ZINC001341503463 922520230 /nfs/dbraw/zinc/52/02/30/922520230.db2.gz RHEVUHWETAUINZ-GFCCVEGCSA-N 0 1 262.284 0.988 20 30 CCEDMN CCN(C)C(=O)CN1CCC(N(C)C(=O)[C@H](C)C#N)CC1 ZINC001419073072 922619503 /nfs/dbraw/zinc/61/95/03/922619503.db2.gz MXZNYDYNQHIUFQ-GFCCVEGCSA-N 0 1 294.399 0.547 20 30 CCEDMN C#CCNCC(=O)NCc1nc2cc(C)ccc2n1C ZINC001341770959 922665913 /nfs/dbraw/zinc/66/59/13/922665913.db2.gz DDFPTPUOJOZPQL-UHFFFAOYSA-N 0 1 270.336 0.721 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CS(C)(=O)=O)c1ccccc1 ZINC001341786479 922672807 /nfs/dbraw/zinc/67/28/07/922672807.db2.gz OKZHZYFRUHCWLG-ZDUSSCGKSA-N 0 1 294.376 0.111 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@]1(C)CCC(=O)N1)C1CC1 ZINC001419284918 922750357 /nfs/dbraw/zinc/75/03/57/922750357.db2.gz SJRADZYOPGCHSB-FZMZJTMJSA-N 0 1 299.802 0.892 20 30 CCEDMN CO[C@H](C(=O)OC(C)(C)C)C(=O)C(C#N)c1nccn1C ZINC001342164338 922840793 /nfs/dbraw/zinc/84/07/93/922840793.db2.gz HFMDNAKEABIDNV-KOLCDFICSA-N 0 1 293.323 0.953 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](NCc2ncc(Cl)n2C)C1 ZINC001419461130 922855400 /nfs/dbraw/zinc/85/54/00/922855400.db2.gz LRUIQOGWXLMWTB-BBBLOLIVSA-N 0 1 295.774 0.970 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)[C@@]1(C)CCNC(=O)C1 ZINC001342268360 922884790 /nfs/dbraw/zinc/88/47/90/922884790.db2.gz MHFLUUGROISJJO-RNCFNFMXSA-N 0 1 260.297 0.513 20 30 CCEDMN CO[C@@H]1COCC[C@H]1CC(=O)C(C#N)C(=O)NC(C)C ZINC001342726184 923069249 /nfs/dbraw/zinc/06/92/49/923069249.db2.gz HYADRJMVLGLJBX-DMDPSCGWSA-N 0 1 282.340 0.661 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc(C)sn1 ZINC001420096325 923307146 /nfs/dbraw/zinc/30/71/46/923307146.db2.gz CGOGRUOYDISICW-SECBINFHSA-N 0 1 289.788 0.884 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC(C)C)[C@@H]1CCOC1 ZINC001343489667 923345405 /nfs/dbraw/zinc/34/54/05/923345405.db2.gz GCCCAHXRJWOHRT-FOGDFJRCSA-N 0 1 268.313 0.271 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CSC1CC1 ZINC001343564149 923371781 /nfs/dbraw/zinc/37/17/81/923371781.db2.gz SETJDZADRWMBFK-SNVBAGLBSA-N 0 1 270.354 0.744 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@H](C(=O)N(C)c2ccccc2)C1 ZINC001343658356 923407753 /nfs/dbraw/zinc/40/77/53/923407753.db2.gz CTAJZIKUCHUZGC-CQSZACIVSA-N 0 1 299.374 0.721 20 30 CCEDMN C#CCNCC(=O)N[C@H]1COc2cccc(C)c2C1 ZINC001344116856 923556181 /nfs/dbraw/zinc/55/61/81/923556181.db2.gz WNPZTDNFCHYDRO-GFCCVEGCSA-N 0 1 258.321 0.638 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC001344422881 923600476 /nfs/dbraw/zinc/60/04/76/923600476.db2.gz YNKVWOYAZBKTTR-VIFPVBQESA-N 0 1 273.292 0.933 20 30 CCEDMN C=CC(C)(C)Cn1nnnc1N(C)Cc1nnc[nH]1 ZINC001344541096 923631179 /nfs/dbraw/zinc/63/11/79/923631179.db2.gz RYUGXFAQUDGKDD-UHFFFAOYSA-N 0 1 262.321 0.640 20 30 CCEDMN Cc1ncc(C[N@@H+]2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)cn1 ZINC001420510951 923726331 /nfs/dbraw/zinc/72/63/31/923726331.db2.gz BIEMFDXSPONDOB-WCQYABFASA-N 0 1 287.367 0.883 20 30 CCEDMN Cc1ncc(CN2CC[C@H](CNC(=O)[C@@H](C)C#N)C2)cn1 ZINC001420510951 923726338 /nfs/dbraw/zinc/72/63/38/923726338.db2.gz BIEMFDXSPONDOB-WCQYABFASA-N 0 1 287.367 0.883 20 30 CCEDMN CCn1ccnc1[C@H](C#N)C(=O)[C@@H]1CCC(=O)N1C ZINC001345646349 923937401 /nfs/dbraw/zinc/93/74/01/923937401.db2.gz JGRNYSFFEPDNLO-ZJUUUORDSA-N 0 1 260.297 0.700 20 30 CCEDMN CC#CCCNC(=O)N[C@@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC001345858182 923996363 /nfs/dbraw/zinc/99/63/63/923996363.db2.gz SAAQQTRUYVPRLP-QWHCGFSZSA-N 0 1 266.389 0.333 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cn(C)c(=O)n2C)CC1 ZINC001421006037 924089380 /nfs/dbraw/zinc/08/93/80/924089380.db2.gz QJJHOHIIPDRMTP-UHFFFAOYSA-N 0 1 298.774 0.328 20 30 CCEDMN CON=CC(=O)NC[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC001348294437 924641988 /nfs/dbraw/zinc/64/19/88/924641988.db2.gz LPYAAQIKCRGGJK-STQMWFEESA-N 0 1 283.372 0.626 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1CCNC[C@H]1C(C)C ZINC001348319272 924650399 /nfs/dbraw/zinc/65/03/99/924650399.db2.gz FFFZLLDCRWKYQN-ZDUSSCGKSA-N 0 1 279.384 0.363 20 30 CCEDMN COc1nc(Cl)c(CN=Nc2nnc(C)n2C)n1C ZINC001348383403 924672113 /nfs/dbraw/zinc/67/21/13/924672113.db2.gz KZBUHTYYDYJGRY-UHFFFAOYSA-N 0 1 283.723 0.965 20 30 CCEDMN C#CCN(C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C)C1CC1 ZINC001349469146 924967118 /nfs/dbraw/zinc/96/71/18/924967118.db2.gz ZSXMNFYPSIRNAK-GXTWGEPZSA-N 0 1 278.400 0.428 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001349468784 924967596 /nfs/dbraw/zinc/96/75/96/924967596.db2.gz WPUQACIZPBZNPW-AVGNSLFASA-N 0 1 266.389 0.284 20 30 CCEDMN CNCc1cn([C@@H](C)C(=O)NC2(C#N)CCC2)nn1 ZINC001349750743 925043290 /nfs/dbraw/zinc/04/32/90/925043290.db2.gz MGGGAKXHMSANIH-VIFPVBQESA-N 0 1 262.317 0.121 20 30 CCEDMN C=CC[C@H](C)NC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001349878716 925083760 /nfs/dbraw/zinc/08/37/60/925083760.db2.gz FQFKCRFKAPKGLI-STQMWFEESA-N 0 1 268.405 0.886 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1C2CCC1(CO)CC2 ZINC001350898101 925307506 /nfs/dbraw/zinc/30/75/06/925307506.db2.gz CQLDIXCGYCPEDR-UHFFFAOYSA-N 0 1 279.384 0.640 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCC2(COC2)C1 ZINC001351778040 925468579 /nfs/dbraw/zinc/46/85/79/925468579.db2.gz RCBONPNQSFJGSW-UHFFFAOYSA-N 0 1 287.327 0.476 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC[C@H](O)CSC)c1 ZINC001353278262 925779871 /nfs/dbraw/zinc/77/98/71/925779871.db2.gz PHJHDCWXPKNSSX-ZDUSSCGKSA-N 0 1 278.377 0.920 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001353812962 925937244 /nfs/dbraw/zinc/93/72/44/925937244.db2.gz DJUJZTFYSXCXPE-MXWKQRLJSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@H]1C[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001353812962 925937253 /nfs/dbraw/zinc/93/72/53/925937253.db2.gz DJUJZTFYSXCXPE-MXWKQRLJSA-N 0 1 291.355 0.784 20 30 CCEDMN C=CCOC[C@H](NC(=O)N[C@@H]1CC[N@@H+](C)[C@H](C)C1)C(=O)[O-] ZINC001354041537 925994656 /nfs/dbraw/zinc/99/46/56/925994656.db2.gz PPLWIRBWYUWLPO-UTUOFQBUSA-N 0 1 299.371 0.424 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H]2C[C@@H](C)[C@H](C(=O)[O-])O2)CC1 ZINC001354074175 926007108 /nfs/dbraw/zinc/00/71/08/926007108.db2.gz ZOXGITYVVKMRMJ-JHJVBQTASA-N 0 1 294.351 0.032 20 30 CCEDMN N#CC1(CC(=O)NC23CCN(CC2)C3)CCOCC1 ZINC001354112410 926020559 /nfs/dbraw/zinc/02/05/59/926020559.db2.gz ZFXMWQHMFURQES-UHFFFAOYSA-N 0 1 263.341 0.661 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccc[n+]2[O-])CC1 ZINC001354284030 926070099 /nfs/dbraw/zinc/07/00/99/926070099.db2.gz RPZGOJGEMZRSLC-UHFFFAOYSA-N 0 1 259.309 0.147 20 30 CCEDMN CC#CCCCC(=O)NCCN(C)C(=O)Cc1ccn[nH]1 ZINC001355830595 926316756 /nfs/dbraw/zinc/31/67/56/926316756.db2.gz FSQCLZFMWGOASJ-UHFFFAOYSA-N 0 1 290.367 0.720 20 30 CCEDMN Cc1ccc(CNC[C@@H](O)CN(C)CCC#N)nc1 ZINC001356586532 926405204 /nfs/dbraw/zinc/40/52/04/926405204.db2.gz ZYAIGFAAHCIEOD-CQSZACIVSA-N 0 1 262.357 0.686 20 30 CCEDMN C#CCCCC(=O)N1CC(CNC(=O)[C@@H]2CCCN2C)C1 ZINC001356804030 926446051 /nfs/dbraw/zinc/44/60/51/926446051.db2.gz IUSGGXQGUUWAHC-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)CNC(=O)c1[nH]ncc1F ZINC001357011351 926474334 /nfs/dbraw/zinc/47/43/34/926474334.db2.gz JLDXZYKYUKONJQ-SECBINFHSA-N 0 1 282.319 0.997 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)CNC(=O)c1[nH]ncc1F ZINC001357011352 926475051 /nfs/dbraw/zinc/47/50/51/926475051.db2.gz JLDXZYKYUKONJQ-VIFPVBQESA-N 0 1 282.319 0.997 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001357026793 926476076 /nfs/dbraw/zinc/47/60/76/926476076.db2.gz FXBPCFWYZSAMJM-LLVKDONJSA-N 0 1 293.371 0.572 20 30 CCEDMN C=CCCC(=O)N(C)CCN(C)C(=O)Cc1ncn[nH]1 ZINC001357179589 926494353 /nfs/dbraw/zinc/49/43/53/926494353.db2.gz OTYQDXZWDMIEPX-UHFFFAOYSA-N 0 1 279.344 0.230 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](C(=O)N[C@@H](C)CCC)C1 ZINC001357226304 926499002 /nfs/dbraw/zinc/49/90/02/926499002.db2.gz FIPLOPGMKWBDST-KBPBESRZSA-N 0 1 293.411 0.753 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc2c1OCC2 ZINC001357444653 926532497 /nfs/dbraw/zinc/53/24/97/926532497.db2.gz HXWBBXDNRXAAJG-CMPLNLGQSA-N 0 1 257.293 0.463 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H]1CCN1C(=O)Cc1ccn[nH]1 ZINC001358603666 926760762 /nfs/dbraw/zinc/76/07/62/926760762.db2.gz IMBNVEZFFSGQRM-ZDUSSCGKSA-N 0 1 288.351 0.329 20 30 CCEDMN C=CCCCC(=O)NC1(CNC(=O)c2ncn[nH]2)CC1 ZINC001358684773 926788531 /nfs/dbraw/zinc/78/85/31/926788531.db2.gz UWFISJCPOAMFNZ-UHFFFAOYSA-N 0 1 277.328 0.540 20 30 CCEDMN C=CCCCC(=O)NC1(CNC(=O)c2nc[nH]n2)CC1 ZINC001358684773 926788536 /nfs/dbraw/zinc/78/85/36/926788536.db2.gz UWFISJCPOAMFNZ-UHFFFAOYSA-N 0 1 277.328 0.540 20 30 CCEDMN C[C@@H](Cc1ccc(C#N)cc1)C(=O)Nc1nn[nH]c1C(N)=O ZINC001361380964 927012672 /nfs/dbraw/zinc/01/26/72/927012672.db2.gz CGWXXDRNRZBUFJ-QMMMGPOBSA-N 0 1 298.306 0.593 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCn1cnnn1 ZINC001421961581 927014369 /nfs/dbraw/zinc/01/43/69/927014369.db2.gz QFZOCMCGEPVADZ-SNVBAGLBSA-N 0 1 286.767 0.252 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C[C@H](C)SC ZINC001422284309 927194396 /nfs/dbraw/zinc/19/43/96/927194396.db2.gz QTFAEZPZNIRLHF-VHSXEESVSA-N 0 1 280.821 0.947 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1CCC(O)CC1 ZINC001422291757 927201103 /nfs/dbraw/zinc/20/11/03/927201103.db2.gz DQZBIVFBGNYHMA-MCIGGMRASA-N 0 1 290.791 0.357 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@]12C[C@H]1CCC2 ZINC001422329085 927225554 /nfs/dbraw/zinc/22/55/54/927225554.db2.gz KLKUVPSNLTXJOJ-NQBHXWOUSA-N 0 1 272.776 0.996 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cn(C)c(=O)[nH]1 ZINC001422369286 927247900 /nfs/dbraw/zinc/24/79/00/927247900.db2.gz CCINRJMBYKMXKE-RKDXNWHRSA-N 0 1 286.763 0.975 20 30 CCEDMN Cc1cnc(CNC[C@@H](C)NC(=O)c2cc(C#N)c[nH]2)cn1 ZINC001422475534 927300964 /nfs/dbraw/zinc/30/09/64/927300964.db2.gz CJNHHSQXBXYVQB-LLVKDONJSA-N 0 1 298.350 0.893 20 30 CCEDMN CN(CC(=O)N(CCC#N)CC1CC1)[C@@H]1CCC[C@H]1O ZINC001362102321 927616443 /nfs/dbraw/zinc/61/64/43/927616443.db2.gz WUUYLYVMUVLSSI-ZIAGYGMSSA-N 0 1 279.384 0.984 20 30 CCEDMN N#CCOc1cc(F)ccc1NC(=O)CCc1nn[nH]n1 ZINC001362240947 927767885 /nfs/dbraw/zinc/76/78/85/927767885.db2.gz ADINRLCJVQUOOT-UHFFFAOYSA-N 0 1 290.258 0.812 20 30 CCEDMN Cc1nonc1CNS(=O)(=O)c1ccsc1C#N ZINC001424574835 928219762 /nfs/dbraw/zinc/21/97/62/928219762.db2.gz FVHWYXAILWGBOJ-UHFFFAOYSA-N 0 1 284.322 0.790 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](O)CNC/C(Cl)=C/Cl ZINC001425977304 928723102 /nfs/dbraw/zinc/72/31/02/928723102.db2.gz ALXXCPBIGMKECK-GZAIIUCFSA-N 0 1 294.182 0.874 20 30 CCEDMN COCc1nc(CNC(=O)c2cccc(F)c2C#N)n[nH]1 ZINC001363020575 928769656 /nfs/dbraw/zinc/76/96/56/928769656.db2.gz BTMYLOQHACHDII-UHFFFAOYSA-N 0 1 289.270 0.892 20 30 CCEDMN COCc1nnc(CNC(=O)c2cccc(F)c2C#N)[nH]1 ZINC001363020575 928769660 /nfs/dbraw/zinc/76/96/60/928769660.db2.gz BTMYLOQHACHDII-UHFFFAOYSA-N 0 1 289.270 0.892 20 30 CCEDMN Cc1nnc(SCC(=O)NCc2nc(C#N)cs2)[nH]1 ZINC001363026526 928775182 /nfs/dbraw/zinc/77/51/82/928775182.db2.gz DLKPDGSQFPPJNH-UHFFFAOYSA-N 0 1 294.365 0.850 20 30 CCEDMN Cc1n[nH]c(SCC(=O)NCc2nc(C#N)cs2)n1 ZINC001363026526 928775194 /nfs/dbraw/zinc/77/51/94/928775194.db2.gz DLKPDGSQFPPJNH-UHFFFAOYSA-N 0 1 294.365 0.850 20 30 CCEDMN CN(C[C@H](O)c1cccc(C#N)c1)C(=O)c1cnncc1O ZINC001363219970 928989492 /nfs/dbraw/zinc/98/94/92/928989492.db2.gz STRRWXCNNDZYLK-AWEZNQCLSA-N 0 1 298.302 0.859 20 30 CCEDMN COCc1nc(CNC(=O)c2ccc(F)c(C#N)c2)n[nH]1 ZINC001363219367 928989576 /nfs/dbraw/zinc/98/95/76/928989576.db2.gz ROYWMXQKBGHCEQ-UHFFFAOYSA-N 0 1 289.270 0.892 20 30 CCEDMN COCc1nnc(CNC(=O)c2ccc(F)c(C#N)c2)[nH]1 ZINC001363219367 928989583 /nfs/dbraw/zinc/98/95/83/928989583.db2.gz ROYWMXQKBGHCEQ-UHFFFAOYSA-N 0 1 289.270 0.892 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)NC[C@@H](O)c2cnc[nH]2)cc1 ZINC001363416743 929203442 /nfs/dbraw/zinc/20/34/42/929203442.db2.gz QKPDZEMFHLJDMB-OLZOCXBDSA-N 0 1 286.291 0.165 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)co1 ZINC001363826799 929621504 /nfs/dbraw/zinc/62/15/04/929621504.db2.gz PYKPXLWNEPJPFZ-UHFFFAOYSA-N 0 1 286.295 0.227 20 30 CCEDMN N#CCN1CCC(CNC(=O)CCN2CC[C@H](F)C2)CC1 ZINC001443987406 929761780 /nfs/dbraw/zinc/76/17/80/929761780.db2.gz AIPANGMRXAQDML-AWEZNQCLSA-N 0 1 296.390 0.772 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](O)CNC/C(Cl)=C\Cl ZINC001445164582 930056529 /nfs/dbraw/zinc/05/65/29/930056529.db2.gz WBNBKTMAPMUWPM-IUXJGCNLSA-N 0 1 280.155 0.532 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1CCNC(=O)C1 ZINC001445354886 930110121 /nfs/dbraw/zinc/11/01/21/930110121.db2.gz YPZXRFOTAGCLJI-ZJUUUORDSA-N 0 1 273.764 0.359 20 30 CCEDMN C[C@@H](CNCc1ccccc1C#N)NC(=O)c1cnn(C)n1 ZINC001445615943 930186648 /nfs/dbraw/zinc/18/66/48/930186648.db2.gz NVNRGWFRSWVCLH-NSHDSACASA-N 0 1 298.350 0.595 20 30 CCEDMN CCc1nc(NC(=O)c2cccc(C#CCO)c2)n[nH]1 ZINC001446559469 930438944 /nfs/dbraw/zinc/43/89/44/930438944.db2.gz YCXUSAMDEOOCDI-UHFFFAOYSA-N 0 1 270.292 0.963 20 30 CCEDMN CCc1n[nH]c(NC(=O)c2cccc(C#CCO)c2)n1 ZINC001446559469 930438951 /nfs/dbraw/zinc/43/89/51/930438951.db2.gz YCXUSAMDEOOCDI-UHFFFAOYSA-N 0 1 270.292 0.963 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1(C(F)F)CC1 ZINC001374597885 930584472 /nfs/dbraw/zinc/58/44/72/930584472.db2.gz ANQRXUPUWMHPJA-MRVPVSSYSA-N 0 1 282.718 0.851 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CN(C(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001374749637 930646479 /nfs/dbraw/zinc/64/64/79/930646479.db2.gz IREIYVAOAXRCMR-SECBINFHSA-N 0 1 289.339 0.174 20 30 CCEDMN Cc1cc(NC(=O)CNC[C@H](C)NC(=O)[C@@H](C)C#N)no1 ZINC001374873750 930702811 /nfs/dbraw/zinc/70/28/11/930702811.db2.gz SAUYEYILNLWNFT-IUCAKERBSA-N 0 1 293.327 0.176 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CNC(=O)OC ZINC001447826230 930714161 /nfs/dbraw/zinc/71/41/61/930714161.db2.gz BPMKEYAGAIIOFB-IUCAKERBSA-N 0 1 277.752 0.578 20 30 CCEDMN N#Cc1cc(CN[C@H](CO)CNC(=O)C2CC2)ccc1F ZINC001448779967 930981091 /nfs/dbraw/zinc/98/10/91/930981091.db2.gz KKCGPWZSCZTLCA-ZDUSSCGKSA-N 0 1 291.326 0.674 20 30 CCEDMN CC[C@@H](F)CN[C@H](CO)CNC(=O)c1ccc(C#N)[nH]1 ZINC001448821168 930995880 /nfs/dbraw/zinc/99/58/80/930995880.db2.gz UGHVLBMZDGWFDE-KOLCDFICSA-N 0 1 282.319 0.315 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CC(NCc2ccn(C)n2)C1 ZINC001449367357 931134891 /nfs/dbraw/zinc/13/48/91/931134891.db2.gz XZXHDWSXPKWHLL-QFWMXSHPSA-N 0 1 275.356 0.659 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001449560055 931158098 /nfs/dbraw/zinc/15/80/98/931158098.db2.gz OSHIUQZQYLFKDK-WHXUTIOJSA-N 0 1 289.339 0.123 20 30 CCEDMN C[C@H](CNC(=O)c1cnnn1C)NCc1ccccc1C#N ZINC001376717048 931242107 /nfs/dbraw/zinc/24/21/07/931242107.db2.gz QKUGJDKWVQVPJJ-LLVKDONJSA-N 0 1 298.350 0.595 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CS(=O)(=O)CC ZINC001376876491 931311393 /nfs/dbraw/zinc/31/13/93/931311393.db2.gz IKJGJLVJQOQUBQ-VIFPVBQESA-N 0 1 282.793 0.268 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NC(=O)c2ncn[nH]2)CCCC1 ZINC001451209631 931399012 /nfs/dbraw/zinc/39/90/12/931399012.db2.gz QSOQDHHYYHDITO-SECBINFHSA-N 0 1 290.327 0.123 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NC(=O)c2nc[nH]n2)CCCC1 ZINC001451209631 931399017 /nfs/dbraw/zinc/39/90/17/931399017.db2.gz QSOQDHHYYHDITO-SECBINFHSA-N 0 1 290.327 0.123 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@]1(C)C(=O)[O-] ZINC001589720452 950415521 /nfs/dbraw/zinc/41/55/21/950415521.db2.gz POORNBOIQYODOS-SMDDNHRTSA-N 0 1 281.356 0.934 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@]1(C)C(=O)[O-] ZINC001589720452 950415530 /nfs/dbraw/zinc/41/55/30/950415530.db2.gz POORNBOIQYODOS-SMDDNHRTSA-N 0 1 281.356 0.934 20 30 CCEDMN C[C@H]1[C@@H](Nc2cnc(-c3nn[n-]n3)c(C#N)n2)CC[N@@H+]1C ZINC001575922940 950653365 /nfs/dbraw/zinc/65/33/65/950653365.db2.gz AZFVQDSSEILIMB-YUMQZZPRSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@H]1[C@@H](Nc2cnc(-c3nnn[n-]3)c(C#N)n2)CC[N@H+]1C ZINC001575922940 950653334 /nfs/dbraw/zinc/65/33/34/950653334.db2.gz AZFVQDSSEILIMB-YUMQZZPRSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@H]1[C@@H](Nc2cnc(-c3nn[n-]n3)c(C#N)n2)CC[N@H+]1C ZINC001575922940 950653379 /nfs/dbraw/zinc/65/33/79/950653379.db2.gz AZFVQDSSEILIMB-YUMQZZPRSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@H]1CCN(c2cnc(C#N)c(-c3nn[nH]n3)n2)C[C@H]1F ZINC001576214259 950664838 /nfs/dbraw/zinc/66/48/38/950664838.db2.gz NZXKOGNMISOABL-JGVFFNPUSA-N 0 1 288.290 0.713 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)Nc1cccnc1C(=O)[O-] ZINC000328020744 951121255 /nfs/dbraw/zinc/12/12/55/951121255.db2.gz SFQOXVVEQXLRGO-UHFFFAOYSA-N 0 1 290.323 0.856 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@H+](C)C[C@H](C)C(=O)[O-] ZINC001589032872 955530470 /nfs/dbraw/zinc/53/04/70/955530470.db2.gz QRMULJYXMVCOOL-VHSXEESVSA-N 0 1 267.329 0.590 20 30 CCEDMN C[C@H](C[N@@H+](C)CC(=O)NCc1ccc(C#N)cc1)C(=O)[O-] ZINC001603156001 972257209 /nfs/dbraw/zinc/25/72/09/972257209.db2.gz RBRQGMBHSGNLPD-LLVKDONJSA-N 0 1 289.335 0.827 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1CCC[C@H](CCC(=O)[O-])C1 ZINC000043585444 957437326 /nfs/dbraw/zinc/43/73/26/957437326.db2.gz BBKQNVOTCBGVGI-SNVBAGLBSA-N 0 1 253.302 0.203 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@]1(F)CC[N@H+](C(C)(C)C)C1 ZINC001588399332 958073486 /nfs/dbraw/zinc/07/34/86/958073486.db2.gz JACZJAVFIWFIRD-CQSZACIVSA-N 0 1 284.331 0.745 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCC[N@@H+]1CCC[C@H]1C ZINC001588408273 958106053 /nfs/dbraw/zinc/10/60/53/958106053.db2.gz FIOYMQADRSDACB-LLVKDONJSA-N 0 1 267.329 0.200 20 30 CCEDMN C=CCCn1cc(C[N@H+]2CC[C@H](C(=O)[O-])C2)nn1 ZINC001588460686 958477600 /nfs/dbraw/zinc/47/76/00/958477600.db2.gz RYYHXMBYYZCPRE-JTQLQIEISA-N 0 1 250.302 0.761 20 30 CCEDMN C=CCCn1cc(C[N@@H+]2CC[C@H](C(=O)[O-])C2)nn1 ZINC001588460686 958477609 /nfs/dbraw/zinc/47/76/09/958477609.db2.gz RYYHXMBYYZCPRE-JTQLQIEISA-N 0 1 250.302 0.761 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+](C)[C@H](C(=O)[O-])C2CC2)C1=O ZINC001588464004 958488526 /nfs/dbraw/zinc/48/85/26/958488526.db2.gz DOBIRIHXNDJMEG-QWRGUYRKSA-N 0 1 252.314 0.568 20 30 CCEDMN C[C@H](C(=O)[O-])[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC001588597699 959399022 /nfs/dbraw/zinc/39/90/22/959399022.db2.gz ZUVZTXNUAKQSHO-MWLCHTKSSA-N 0 1 261.281 0.879 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](CCC(=O)[O-])C1 ZINC000221393430 959806865 /nfs/dbraw/zinc/80/68/65/959806865.db2.gz ORGZMELIQYGZRT-RYUDHWBXSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC000251032725 961235866 /nfs/dbraw/zinc/23/58/66/961235866.db2.gz LFRIQKYNDJINJN-KCJUWKMLSA-N 0 1 292.257 0.463 20 30 CCEDMN C=C(C)CC[N@@H+](CCNC(=O)CNC(=O)[O-])C1CC1 ZINC001573323662 962775278 /nfs/dbraw/zinc/77/52/78/962775278.db2.gz FDVSGHDYHHPAFM-UHFFFAOYSA-N 0 1 269.345 0.801 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H]2C[C@@H](C(=O)[O-])C2)CC1 ZINC001517397130 962783132 /nfs/dbraw/zinc/78/31/32/962783132.db2.gz DFSLRGVHDDLKLC-TXEJJXNPSA-N 0 1 264.325 0.265 20 30 CCEDMN C=CC[N@@H+](CC)CCCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001573360677 963041585 /nfs/dbraw/zinc/04/15/85/963041585.db2.gz VCDPSPKEIVKYPU-QWRGUYRKSA-N 0 1 254.330 0.721 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC001603368813 972886044 /nfs/dbraw/zinc/88/60/44/972886044.db2.gz UGAJFSWYUHKMEE-WOPDTQHZSA-N 0 1 281.356 0.790 20 30 CCEDMN COCC#CC[N@H+]1C[C@H](C(=O)[O-])C[C@@H](c2cnn(C)c2)C1 ZINC001604505193 973433212 /nfs/dbraw/zinc/43/32/12/973433212.db2.gz QNOCSLJBVVIAQN-CHWSQXEVSA-N 0 1 291.351 0.560 20 30 CCEDMN N#Cc1ccc(C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](O)C2)c(F)c1 ZINC000401929408 973540417 /nfs/dbraw/zinc/54/04/17/973540417.db2.gz USQXDEKBUHFPRS-NEPJUHHUSA-N 0 1 278.283 0.965 20 30 CCEDMN N#CC[C@H]1CCC[N@@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001605582036 973864272 /nfs/dbraw/zinc/86/42/72/973864272.db2.gz SBRZSUBVJISWAA-MNOVXSKESA-N 0 1 265.313 0.298 20 30 CCEDMN N#CC[C@H]1CCC[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001605582036 973864279 /nfs/dbraw/zinc/86/42/79/973864279.db2.gz SBRZSUBVJISWAA-MNOVXSKESA-N 0 1 265.313 0.298 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC001603736764 974176583 /nfs/dbraw/zinc/17/65/83/974176583.db2.gz QZUIBZIQYNOBRK-VXGBXAGGSA-N 0 1 281.356 0.791 20 30 CCEDMN CC(C)c1[nH+]ccn1CC(=O)N(CC#N)CCC(=O)[O-] ZINC001592037559 976755379 /nfs/dbraw/zinc/75/53/79/976755379.db2.gz RYCUSKVTVZIKRJ-UHFFFAOYSA-N 0 1 278.312 0.833 20 30 CCEDMN CC[C@@H](CC#N)[NH2+][C@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC001595030286 979165851 /nfs/dbraw/zinc/16/58/51/979165851.db2.gz NNVHEQZOTXDMFV-PWSUYJOCSA-N 0 1 281.356 0.980 20 30 CCEDMN CC[C@H](CC#N)[NH2+][C@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC001595030287 979166161 /nfs/dbraw/zinc/16/61/61/979166161.db2.gz NNVHEQZOTXDMFV-ZYHUDNBSSA-N 0 1 281.356 0.980 20 30 CCEDMN CC[C@@](COC)([NH2+]CC(=O)N[C@](C)(C#N)C(C)C)C(=O)[O-] ZINC001595195807 979917516 /nfs/dbraw/zinc/91/75/16/979917516.db2.gz ZGBHSYRKYDVIKD-KGLIPLIRSA-N 0 1 299.371 0.510 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC001588369239 983312607 /nfs/dbraw/zinc/31/26/07/983312607.db2.gz GUZANWGTAGZJOL-OLZOCXBDSA-N 0 1 295.383 0.979 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)c2ccc(C(=O)[O-])n2C)CC1 ZINC001588477140 983514574 /nfs/dbraw/zinc/51/45/74/983514574.db2.gz KORLYIVILOEONJ-UHFFFAOYSA-N 0 1 289.335 0.504 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)c2ccc(C(=O)[O-])n2C)CC1 ZINC001588477140 983514577 /nfs/dbraw/zinc/51/45/77/983514577.db2.gz KORLYIVILOEONJ-UHFFFAOYSA-N 0 1 289.335 0.504 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](Nc2cncc(C(=O)[O-])n2)C1 ZINC001588477539 983517029 /nfs/dbraw/zinc/51/70/29/983517029.db2.gz TYLGRXODIKVSJL-JTQLQIEISA-N 0 1 260.297 0.684 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](Nc2cncc(C(=O)[O-])n2)C1 ZINC001588477539 983517031 /nfs/dbraw/zinc/51/70/31/983517031.db2.gz TYLGRXODIKVSJL-JTQLQIEISA-N 0 1 260.297 0.684 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC/C=C(/C)C(=O)[O-] ZINC001588477638 983517512 /nfs/dbraw/zinc/51/75/12/983517512.db2.gz XHLMWPFIQOIJNN-YAEJEKNGSA-N 0 1 250.298 0.231 20 30 CCEDMN C#CCOCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@H]1[C@H]1CCCO1 ZINC001588490998 983534885 /nfs/dbraw/zinc/53/48/85/983534885.db2.gz BKPYFQLZFBPNAS-MELADBBJSA-N 0 1 281.352 0.980 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](C(=O)NCC2CC2)C1 ZINC001588662546 983789885 /nfs/dbraw/zinc/78/98/85/983789885.db2.gz BMFROKOKUUUXSQ-VXGBXAGGSA-N 0 1 266.341 0.864 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](N2CCOCC2)CC1 ZINC001588662577 983790253 /nfs/dbraw/zinc/79/02/53/983790253.db2.gz CJCZFGRLRUIWQI-CHWSQXEVSA-N 0 1 268.357 0.812 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](N2CCOCC2)CC1 ZINC001588662579 983790325 /nfs/dbraw/zinc/79/03/25/983790325.db2.gz CJCZFGRLRUIWQI-QWHCGFSZSA-N 0 1 268.357 0.812 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[NH+]1CCN(C(=O)c2cccc(O)c2)CC1 ZINC001588668914 983807249 /nfs/dbraw/zinc/80/72/49/983807249.db2.gz COMXKPQHPAPBJA-ZDUSSCGKSA-N 0 1 290.319 0.789 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCc2nn(CC)c(=O)cc2C1 ZINC001588669772 983815612 /nfs/dbraw/zinc/81/56/12/983815612.db2.gz OYHSJJWFHYUHAW-NSHDSACASA-N 0 1 263.297 0.261 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC001588729546 983991091 /nfs/dbraw/zinc/99/10/91/983991091.db2.gz KWFDKYZJAALMIL-GXSJLCMTSA-N 0 1 294.311 0.341 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](C(=O)N2CCOCC2)C1 ZINC001588729837 983994283 /nfs/dbraw/zinc/99/42/83/983994283.db2.gz NYBPPUQADGWNCP-OLZOCXBDSA-N 0 1 296.367 0.587 20 30 CCEDMN C=CCC[N@@H+]1CCCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588807696 984260627 /nfs/dbraw/zinc/26/06/27/984260627.db2.gz SILVCYRJZVEPBO-VXGBXAGGSA-N 0 1 266.341 0.818 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001588825384 984299531 /nfs/dbraw/zinc/29/95/31/984299531.db2.gz NLVNMWCDFKDWGG-BXUZGUMPSA-N 0 1 282.340 0.097 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCSC[C@H]2CC(=O)[O-])C1=O ZINC001588839622 984348937 /nfs/dbraw/zinc/34/89/37/984348937.db2.gz TZDCLGHAEGYXHU-MNOVXSKESA-N 0 1 284.381 0.665 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001588868884 984435939 /nfs/dbraw/zinc/43/59/39/984435939.db2.gz FGMPIIPQHZEAMH-QWRGUYRKSA-N 0 1 271.313 0.527 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001588868884 984435942 /nfs/dbraw/zinc/43/59/42/984435942.db2.gz FGMPIIPQHZEAMH-QWRGUYRKSA-N 0 1 271.313 0.527 20 30 CCEDMN CC#CCC[N@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001588927321 984597747 /nfs/dbraw/zinc/59/77/47/984597747.db2.gz SSJHGDUGLGBPFK-GHMZBOCLSA-N 0 1 253.298 0.738 20 30 CCEDMN CC#CCC[N@@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001588927321 984597751 /nfs/dbraw/zinc/59/77/51/984597751.db2.gz SSJHGDUGLGBPFK-GHMZBOCLSA-N 0 1 253.298 0.738 20 30 CCEDMN C[C@@H]1[C@H](C(=O)N(CC#N)CCC(=O)[O-])CC[N@H+]1C ZINC001599881638 989492984 /nfs/dbraw/zinc/49/29/84/989492984.db2.gz RYCDLHBEKXSDEC-NXEZZACHSA-N 0 1 253.302 0.153 20 30 CCEDMN C[N@H+](CCc1cn(CC(=O)[O-])nn1)[C@H]1CCC[C@@H]1C#N ZINC001598502921 992459479 /nfs/dbraw/zinc/45/94/79/992459479.db2.gz SJKDTMHDKJKEAL-PWSUYJOCSA-N 0 1 277.328 0.529 20 30 CCEDMN C[N@@H+](CCc1cn(CC(=O)[O-])nn1)[C@H]1CCC[C@@H]1C#N ZINC001598502921 992459485 /nfs/dbraw/zinc/45/94/85/992459485.db2.gz SJKDTMHDKJKEAL-PWSUYJOCSA-N 0 1 277.328 0.529 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)c2ccc(C#N)[nH]2)C[C@H]1C(=O)[O-] ZINC001598573830 993243497 /nfs/dbraw/zinc/24/34/97/993243497.db2.gz IOADVJMPSMNDHF-WPRPVWTQSA-N 0 1 263.253 0.200 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)c2ccc(C#N)o2)C[C@@H]1C(=O)[O-] ZINC001598574320 993250540 /nfs/dbraw/zinc/25/05/40/993250540.db2.gz KACRVEKDBGTWPO-RKDXNWHRSA-N 0 1 264.237 0.465 20 30 CCEDMN C[N@H+]1CCN(c2ncccc2C#N)C[C@@H](C(=O)[O-])C1 ZINC001598626485 994102823 /nfs/dbraw/zinc/10/28/23/994102823.db2.gz YDRVLFFHPRNQEL-NSHDSACASA-N 0 1 260.297 0.406 20 30 CCEDMN COC(=O)[C@@H]1C[N@H+](C[C@@H](C#N)CCC#N)C[C@@H]1C(=O)[O-] ZINC001598823738 996359188 /nfs/dbraw/zinc/35/91/88/996359188.db2.gz WYQAMLOAHJPUAR-OUAUKWLOSA-N 0 1 279.296 0.236 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](C[C@@H](C#N)CCC#N)C[C@@H]1C(=O)[O-] ZINC001598823738 996359193 /nfs/dbraw/zinc/35/91/93/996359193.db2.gz WYQAMLOAHJPUAR-OUAUKWLOSA-N 0 1 279.296 0.236 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](CCC(C)(C)C#N)C[C@@H]1C(=O)[O-] ZINC001598824336 996365468 /nfs/dbraw/zinc/36/54/68/996365468.db2.gz ZRVMMUPXVIFVAP-UWVGGRQHSA-N 0 1 268.313 0.732 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](Cc2ccc(C#N)o2)C[C@H]1C(=O)[O-] ZINC001598827852 996403125 /nfs/dbraw/zinc/40/31/25/996403125.db2.gz MZYJNAXWTSIXRW-GHMZBOCLSA-N 0 1 278.264 0.457 20 30 CCEDMN COCC#CC[N@@H+](CCC(=O)[O-])CC(OC)OC ZINC001599138177 998080098 /nfs/dbraw/zinc/08/00/98/998080098.db2.gz JNUVRHDYMDRUPM-UHFFFAOYSA-N 0 1 259.302 0.032 20 30 CCEDMN C#CCCN1CCN(c2ncnc3nc(N)ccc32)CC1 ZINC000091480380 349463501 /nfs/dbraw/zinc/46/35/01/349463501.db2.gz JUQZQMJYESHEQK-UHFFFAOYSA-N 0 1 282.351 0.752 20 30 CCEDMN C=CCn1cc(C(=O)N(CC)[C@@H]2CCN(C)C2)nn1 ZINC000617561953 365682877 /nfs/dbraw/zinc/68/28/77/365682877.db2.gz XOWMTMMLQFOKAW-LLVKDONJSA-N 0 1 263.345 0.630 20 30 CCEDMN C=CCN(C(=O)CN1CCN(CC)CC1)[C@@H](C)COC ZINC000617579858 365686183 /nfs/dbraw/zinc/68/61/83/365686183.db2.gz MRYCJJWPCQFGRN-AWEZNQCLSA-N 0 1 283.416 0.673 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@@H](N3CC[C@H](O)C3)C2)s1 ZINC000599939561 361835660 /nfs/dbraw/zinc/83/56/60/361835660.db2.gz XFOBMURSFYVGCW-MNOVXSKESA-N 0 1 291.376 0.901 20 30 CCEDMN CC1(N2CCOCC2)CCN(C(=O)CSCC#N)CC1 ZINC000600408928 361953147 /nfs/dbraw/zinc/95/31/47/361953147.db2.gz CVPZBNACYPECRR-UHFFFAOYSA-N 0 1 297.424 0.956 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)C(=O)N1CC[C@@](C)(C#N)C1 ZINC000600798599 362061989 /nfs/dbraw/zinc/06/19/89/362061989.db2.gz VFPUQEHPWKSOAU-WFASDCNBSA-N 0 1 292.383 0.205 20 30 CCEDMN N#Cc1cccc([C@@H]2C[C@H]2C(=O)NCCN2CC[C@H](O)C2)c1 ZINC000600809423 362066944 /nfs/dbraw/zinc/06/69/44/362066944.db2.gz YJSWYVXUKQRNCJ-HRCADAONSA-N 0 1 299.374 0.845 20 30 CCEDMN Cc1c(Cl)cnc(NC[C@H]2COCCN2)c1C#N ZINC000600953975 362098992 /nfs/dbraw/zinc/09/89/92/362098992.db2.gz IQBTVAUHKRZRTI-VIFPVBQESA-N 0 1 266.732 0.737 20 30 CCEDMN N#CC1(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)CC2(CC2)C1 ZINC000601361377 362243263 /nfs/dbraw/zinc/24/32/63/362243263.db2.gz ITTKGXPFJGHCBG-SNVBAGLBSA-N 0 1 286.339 0.990 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2cc(C#N)ccn2)CC1 ZINC000288481534 136398099 /nfs/dbraw/zinc/39/80/99/136398099.db2.gz QZMLMJQMRMKLIZ-ZDUSSCGKSA-N 0 1 260.341 0.846 20 30 CCEDMN N#CC1(C(=O)N2CC[C@H](N3CC[C@@H](O)C3)C2)CC2(CC2)C1 ZINC000601588835 362320272 /nfs/dbraw/zinc/32/02/72/362320272.db2.gz QNVZFNVELZNULB-QWHCGFSZSA-N 0 1 289.379 0.738 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2cccc(F)c2C#N)C1 ZINC000427008661 530071330 /nfs/dbraw/zinc/07/13/30/530071330.db2.gz OSDRXMJKCFTEAS-LLVKDONJSA-N 0 1 290.342 0.673 20 30 CCEDMN COCCN(CCC#N)C(=O)CN(C)[C@@H]1CCSC1 ZINC000119110562 349918893 /nfs/dbraw/zinc/91/88/93/349918893.db2.gz HSHYKPHIUNITOR-GFCCVEGCSA-N 0 1 285.413 0.812 20 30 CCEDMN C[C@H](C(=O)N1CCN(c2cccc(C#N)n2)CC1)N(C)C ZINC000602138689 362519247 /nfs/dbraw/zinc/51/92/47/362519247.db2.gz XAXZVWQXANZZEO-GFCCVEGCSA-N 0 1 287.367 0.552 20 30 CCEDMN N#Cc1ncn(CCNC(=O)[C@H]2CCN2C2CCCC2)n1 ZINC000602153451 362528266 /nfs/dbraw/zinc/52/82/66/362528266.db2.gz RFCFECWVADUMRD-GFCCVEGCSA-N 0 1 288.355 0.283 20 30 CCEDMN CC[C@H](NC(C)=O)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000602279713 362573667 /nfs/dbraw/zinc/57/36/67/362573667.db2.gz FLFXPARNCKUSAR-NSHDSACASA-N 0 1 291.355 0.785 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2cnn(C(F)F)c2)[nH]n1C ZINC000602351746 362595414 /nfs/dbraw/zinc/59/54/14/362595414.db2.gz JSTNSMLUTNXXIP-UHFFFAOYSA-N 0 1 280.238 0.866 20 30 CCEDMN CC(C)(CNCc1cccc(C#N)n1)NS(C)(=O)=O ZINC000602538443 362665947 /nfs/dbraw/zinc/66/59/47/362665947.db2.gz FRBDQBRABAESAM-UHFFFAOYSA-N 0 1 282.369 0.371 20 30 CCEDMN COCC[N@@H+]1CC[C@@H](NCc2cccc(C#N)n2)C1 ZINC000602548216 362672042 /nfs/dbraw/zinc/67/20/42/362672042.db2.gz SBLOYUNSOZGONX-CQSZACIVSA-N 0 1 260.341 0.764 20 30 CCEDMN N#Cc1cc(N2CCN([C@H]3CCC[C@H]3O)CC2)ncn1 ZINC000617948618 365854919 /nfs/dbraw/zinc/85/49/19/365854919.db2.gz CKKFXHUNUMXLOG-QWHCGFSZSA-N 0 1 273.340 0.384 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](O)CN(CC2(CC#N)CC2)C1 ZINC000602847637 362834916 /nfs/dbraw/zinc/83/49/16/362834916.db2.gz AAGYBYZENKUPHU-MNOVXSKESA-N 0 1 252.314 0.536 20 30 CCEDMN C[C@@H]1[C@H](C)N(CCC(=O)N(C)CCC#N)[C@@H](C)C(=O)N1C ZINC000602847778 362835009 /nfs/dbraw/zinc/83/50/09/362835009.db2.gz KNLZKNRKJUNDQB-AGIUHOORSA-N 0 1 294.399 0.688 20 30 CCEDMN CCOC(=O)COCCN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602848670 362835990 /nfs/dbraw/zinc/83/59/90/362835990.db2.gz HSCXIEBWVWXDKC-BETUJISGSA-N 0 1 283.372 0.484 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)CN[C@@H](C)CC#N)C1 ZINC000602860664 362845492 /nfs/dbraw/zinc/84/54/92/362845492.db2.gz DGSUKPNHXJFNOW-KBPBESRZSA-N 0 1 296.415 0.351 20 30 CCEDMN N#Cc1cc(CN2CCN(Cc3nnc[nH]3)CC2)ccn1 ZINC000602864990 362849120 /nfs/dbraw/zinc/84/91/20/362849120.db2.gz MCXZBQXUUGYHIH-UHFFFAOYSA-N 0 1 283.339 0.389 20 30 CCEDMN CCOc1ncccc1CNC[C@@H](O)CN(C)CCC#N ZINC000573794610 334966518 /nfs/dbraw/zinc/96/65/18/334966518.db2.gz WRJLTGWEPPBGKJ-CQSZACIVSA-N 0 1 292.383 0.776 20 30 CCEDMN Cc1nnc(SCC(=O)N(CCC#N)CCC#N)[nH]1 ZINC000014115766 348032221 /nfs/dbraw/zinc/03/22/21/348032221.db2.gz NYJMINUHZXWINF-UHFFFAOYSA-N 0 1 278.341 0.861 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N(CCC#N)CCC#N)n1 ZINC000014115766 348032224 /nfs/dbraw/zinc/03/22/24/348032224.db2.gz NYJMINUHZXWINF-UHFFFAOYSA-N 0 1 278.341 0.861 20 30 CCEDMN CCN1CCN(C[C@H](O)COc2ccc(C#N)cc2)CC1 ZINC000032757881 348138383 /nfs/dbraw/zinc/13/83/83/348138383.db2.gz CMXQRSFEWZZCRO-HNNXBMFYSA-N 0 1 289.379 0.935 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)[C@H](C)O1 ZINC000602884168 362862440 /nfs/dbraw/zinc/86/24/40/362862440.db2.gz VNTOYGDCPFIWKU-KHQFGBGNSA-N 0 1 263.301 0.401 20 30 CCEDMN C[C@@H]1CCC[C@H](CNC(=O)N=c2[nH]n(C)cc2C#N)O1 ZINC000602885944 362864604 /nfs/dbraw/zinc/86/46/04/362864604.db2.gz GSFWEVMOONVJRG-MWLCHTKSSA-N 0 1 277.328 0.793 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@@H](CCF)C2)[nH]1 ZINC000602885028 362865225 /nfs/dbraw/zinc/86/52/25/362865225.db2.gz YEGDLOSLAHPRFM-JTQLQIEISA-N 0 1 281.291 0.306 20 30 CCEDMN C[C@@H](Cc1ccccn1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602886671 362866529 /nfs/dbraw/zinc/86/65/29/362866529.db2.gz LYNZFLCLJPCJMS-JTQLQIEISA-N 0 1 284.323 0.861 20 30 CCEDMN C=CCOCCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000602889664 362866855 /nfs/dbraw/zinc/86/68/55/362866855.db2.gz YZBIVJZWAWSYJQ-UHFFFAOYSA-N 0 1 263.301 0.428 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2C[C@]3(C)COC[C@]3(C)C2)[nH]1 ZINC000602889082 362867167 /nfs/dbraw/zinc/86/71/67/362867167.db2.gz WHTLBFXNDJCYKJ-OKILXGFUSA-N 0 1 289.339 0.604 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@@](C)(C3CC3)C2)[nH]1 ZINC000602889125 362867278 /nfs/dbraw/zinc/86/72/78/362867278.db2.gz WXHRVJJHFFLJAB-CQSZACIVSA-N 0 1 289.339 0.746 20 30 CCEDMN CCO[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H]1C ZINC000602879977 362860635 /nfs/dbraw/zinc/86/06/35/362860635.db2.gz IGPRBUWGKVBYET-PWSUYJOCSA-N 0 1 291.355 0.992 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC(CO)CC1 ZINC000046090925 348349576 /nfs/dbraw/zinc/34/95/76/348349576.db2.gz BUMYHFGGDAZQSE-UHFFFAOYSA-N 0 1 252.358 0.891 20 30 CCEDMN CC(=O)NCC(=O)N1CCCN(CC2(CC#N)CC2)CC1 ZINC000602916751 362885297 /nfs/dbraw/zinc/88/52/97/362885297.db2.gz VHIXWKIBRKWPCE-UHFFFAOYSA-N 0 1 292.383 0.351 20 30 CCEDMN COC[C@@](C)(CO)NCC(=O)NCc1ccc(C#N)cc1 ZINC000602931077 362892820 /nfs/dbraw/zinc/89/28/20/362892820.db2.gz FTOIKVSROTVJQH-OAHLLOKOSA-N 0 1 291.351 0.161 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NC2(C#N)CCC2)[C@H](CO)C1 ZINC000602931786 362893964 /nfs/dbraw/zinc/89/39/64/362893964.db2.gz RNQZIIKPHGTIAS-NEPJUHHUSA-N 0 1 265.357 0.642 20 30 CCEDMN COC(=O)CN(C)C(=O)CCN(C)[C@@H]1CCC[C@H]1C#N ZINC000602978536 362920333 /nfs/dbraw/zinc/92/03/33/362920333.db2.gz SDWLZICNVCZBDY-NWDGAFQWSA-N 0 1 281.356 0.632 20 30 CCEDMN COc1cc(C#N)ccc1CN1[C@@H](CO)CC[C@H]1CO ZINC000347298324 137330104 /nfs/dbraw/zinc/33/01/04/137330104.db2.gz XHNDSULLEJNMCA-OKILXGFUSA-N 0 1 276.336 0.884 20 30 CCEDMN N#CCNC(=O)CN1CC[C@H](O)[C@H]2CCCC[C@@H]21 ZINC000602997994 362928504 /nfs/dbraw/zinc/92/85/04/362928504.db2.gz VFZGXVUPJNXLPY-SRVKXCTJSA-N 0 1 251.330 0.252 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@H](C(=O)OC)C1 ZINC000066834200 348757404 /nfs/dbraw/zinc/75/74/04/348757404.db2.gz YUFHEIKHWJDVDJ-MNOVXSKESA-N 0 1 254.330 0.562 20 30 CCEDMN Cc1nnc(SCC(=O)N(CC#N)C2CC2)[nH]1 ZINC000073314220 348948213 /nfs/dbraw/zinc/94/82/13/348948213.db2.gz NYFKRPUFIYLIIU-UHFFFAOYSA-N 0 1 251.315 0.720 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N(CC#N)C2CC2)n1 ZINC000073314220 348948217 /nfs/dbraw/zinc/94/82/17/348948217.db2.gz NYFKRPUFIYLIIU-UHFFFAOYSA-N 0 1 251.315 0.720 20 30 CCEDMN Cc1nnc(SCC(=O)N(C)C[C@@H](C)C#N)[nH]1 ZINC000073031244 348942418 /nfs/dbraw/zinc/94/24/18/348942418.db2.gz QGKNTOUSAGULMJ-ZETCQYMHSA-N 0 1 253.331 0.823 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N(C)C[C@@H](C)C#N)n1 ZINC000073031244 348942420 /nfs/dbraw/zinc/94/24/20/348942420.db2.gz QGKNTOUSAGULMJ-ZETCQYMHSA-N 0 1 253.331 0.823 20 30 CCEDMN C[C@H](O)CCN(C)CC(=O)N(CCC#N)CCC#N ZINC000072712931 348929140 /nfs/dbraw/zinc/92/91/40/348929140.db2.gz VKZMZTLERLQRLH-LBPRGKRZSA-N 0 1 266.345 0.345 20 30 CCEDMN CCc1nc([C@@H]2CN(C(=O)C3(C#N)CCC3)CCO2)n[nH]1 ZINC000078888770 349158055 /nfs/dbraw/zinc/15/80/55/349158055.db2.gz XQZOLTSJPUHIRE-JTQLQIEISA-N 0 1 289.339 0.961 20 30 CCEDMN CS(=O)(=O)N[C@H]1CCCN(CCCSCC#N)C1 ZINC000080628002 349223539 /nfs/dbraw/zinc/22/35/39/349223539.db2.gz ZKEDCSCVIBTSBR-NSHDSACASA-N 0 1 291.442 0.647 20 30 CCEDMN C[C@H](CNS(=O)(=O)c1ccc(C#N)nc1)N1CCCC1 ZINC000122081521 350062331 /nfs/dbraw/zinc/06/23/31/350062331.db2.gz QRFZDFRMPMEXRA-LLVKDONJSA-N 0 1 294.380 0.716 20 30 CCEDMN Cc1ccnc(NC[C@H]2CN(C)CCN2C)c1C#N ZINC000135079583 350384073 /nfs/dbraw/zinc/38/40/73/350384073.db2.gz NQZQEPAJRDHVGJ-LBPRGKRZSA-N 0 1 259.357 0.919 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN(Cc3c[nH]nn3)C2)nc1 ZINC000295155966 199376784 /nfs/dbraw/zinc/37/67/84/199376784.db2.gz CMQFSVNSHMZPCZ-LBPRGKRZSA-N 0 1 270.296 0.725 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)CN1CCC(C)CC1 ZINC000156079018 350625573 /nfs/dbraw/zinc/62/55/73/350625573.db2.gz OLSINQHJKBGHHN-ZDUSSCGKSA-N 0 1 253.346 0.763 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCCC[C@@H]1CO ZINC000157137187 350639833 /nfs/dbraw/zinc/63/98/33/350639833.db2.gz KTKRHVWRPSMQGS-LLVKDONJSA-N 0 1 269.345 0.235 20 30 CCEDMN CC(C)(C#N)CCN1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000157671694 350648471 /nfs/dbraw/zinc/64/84/71/350648471.db2.gz ZDTFNPJMDMSFTN-LLVKDONJSA-N 0 1 273.402 0.940 20 30 CCEDMN CN(C)CCNS(=O)(=O)Cc1cc(C#N)ccc1F ZINC000159987568 350693228 /nfs/dbraw/zinc/69/32/28/350693228.db2.gz HQVKMXDLIPQIBJ-UHFFFAOYSA-N 0 1 285.344 0.678 20 30 CCEDMN C=CCNC(=O)CN(C[C@@H](C)C(=O)OC)C1CC1 ZINC000171301158 350899663 /nfs/dbraw/zinc/89/96/63/350899663.db2.gz YCGWWOUEYCMNCE-SNVBAGLBSA-N 0 1 254.330 0.562 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2C(=O)NC)CC1 ZINC000171488919 350912131 /nfs/dbraw/zinc/91/21/31/350912131.db2.gz BFNHOKXXZXIJTF-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@H](C#N)CNC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000171805155 350934696 /nfs/dbraw/zinc/93/46/96/350934696.db2.gz VEOOKSRYZVXFIK-GFCCVEGCSA-N 0 1 287.367 0.723 20 30 CCEDMN N#Cc1cc(Cn2cc(CNC3CC3)nn2)ccn1 ZINC000603221783 363007478 /nfs/dbraw/zinc/00/74/78/363007478.db2.gz GUXGPSBVNCOWFT-UHFFFAOYSA-N 0 1 254.297 0.845 20 30 CCEDMN CN1CCN(C(=O)CCNCc2ccc(C#N)o2)CC1 ZINC000174348923 351080333 /nfs/dbraw/zinc/08/03/33/351080333.db2.gz KTDBMUCMGIPATD-UHFFFAOYSA-N 0 1 276.340 0.405 20 30 CCEDMN CN1CCC[C@@H]1CNS(=O)(=O)c1cccc(C#N)c1 ZINC000221178364 351976365 /nfs/dbraw/zinc/97/63/65/351976365.db2.gz GDMNTTKKBSMWQR-GFCCVEGCSA-N 0 1 279.365 0.931 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1cccnc1C#N)c1nnc[nH]1 ZINC000235215190 352181171 /nfs/dbraw/zinc/18/11/71/352181171.db2.gz KMFLYRXINYTQLM-SSDOTTSWSA-N 0 1 278.297 0.111 20 30 CCEDMN C[C@@H]1C[C@H](NS(=O)(=O)c2cccnc2C#N)CCN1C ZINC000235375354 352182845 /nfs/dbraw/zinc/18/28/45/352182845.db2.gz CETOWOAKVXWYOP-GHMZBOCLSA-N 0 1 294.380 0.714 20 30 CCEDMN CCN1CCC[C@H]1CN(C)S(=O)(=O)[C@H](C)C#N ZINC000252582636 352520123 /nfs/dbraw/zinc/52/01/23/352520123.db2.gz VVVUHUXYKYOEQP-MNOVXSKESA-N 0 1 259.375 0.644 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000270478456 352937672 /nfs/dbraw/zinc/93/76/72/352937672.db2.gz MVJQFHDVRDPYDW-LBPRGKRZSA-N 0 1 295.339 0.593 20 30 CCEDMN CNC(=O)CNCc1cn(C)nc1-c1ccc(C#N)cc1 ZINC000272184120 353041590 /nfs/dbraw/zinc/04/15/90/353041590.db2.gz TYMUKSIHDQPLIH-UHFFFAOYSA-N 0 1 283.335 0.794 20 30 CCEDMN C[C@@H]1CN(c2cccc(C#N)n2)[C@H](C)CN1CCO ZINC000418994432 234279907 /nfs/dbraw/zinc/27/99/07/234279907.db2.gz BSTQLHRCSAPUHO-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1ccc(C#N)cc1)c1nn[nH]n1 ZINC000274791002 353162508 /nfs/dbraw/zinc/16/25/08/353162508.db2.gz PWUYJEIUSVHVNB-JTQLQIEISA-N 0 1 284.323 0.876 20 30 CCEDMN CCN1CCC(NS(=O)(=O)c2cccnc2C#N)CC1 ZINC000276966336 353214048 /nfs/dbraw/zinc/21/40/48/353214048.db2.gz FBFGGRVLWIIXOY-UHFFFAOYSA-N 0 1 294.380 0.716 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN(C(=O)c3cnc[nH]3)C2)nc1 ZINC000292424657 198284117 /nfs/dbraw/zinc/28/41/17/198284117.db2.gz QDUVDHHSBULYAE-NSHDSACASA-N 0 1 283.291 0.970 20 30 CCEDMN COc1cc(CN2C[C@@H](O)C[C@H]2CO)ccc1C#N ZINC000282112968 353394397 /nfs/dbraw/zinc/39/43/97/353394397.db2.gz AIDYCUFHAURWIH-STQMWFEESA-N 0 1 262.309 0.494 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2ccc(F)c(C#N)c2)C1 ZINC000286231305 353570933 /nfs/dbraw/zinc/57/09/33/353570933.db2.gz ZDNSSBKGDQTPPA-CYBMUJFWSA-N 0 1 290.342 0.673 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NCC(F)(F)CO)o1 ZINC000287970843 353679541 /nfs/dbraw/zinc/67/95/41/353679541.db2.gz XZXPVEFJOPZMSV-UHFFFAOYSA-N 0 1 266.225 0.057 20 30 CCEDMN COc1cc(C#N)ccc1CN(CCO)C[C@H](C)O ZINC000289884432 353692239 /nfs/dbraw/zinc/69/22/39/353692239.db2.gz RNIORAWZOFVTMH-NSHDSACASA-N 0 1 264.325 0.742 20 30 CCEDMN COc1cc(C#N)ccc1CN1[C@@H](CO)CC[C@@H]1CO ZINC000287569586 353654338 /nfs/dbraw/zinc/65/43/38/353654338.db2.gz XHNDSULLEJNMCA-ZIAGYGMSSA-N 0 1 276.336 0.884 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](SC)C1 ZINC000296204435 353936478 /nfs/dbraw/zinc/93/64/78/353936478.db2.gz JKPNECWQEHICBE-UWVGGRQHSA-N 0 1 271.386 0.824 20 30 CCEDMN Cc1ncc(S(=O)(=O)N(C)CCN(C)C)cc1C#N ZINC000312116055 354207004 /nfs/dbraw/zinc/20/70/04/354207004.db2.gz PXINRRQLUFMWHF-UHFFFAOYSA-N 0 1 282.369 0.444 20 30 CCEDMN Cc1nc2n(n1)C[C@H]([NH+]=C([O-])N[C@]1(C)CCCOC1)CC2 ZINC000329148549 354253436 /nfs/dbraw/zinc/25/34/36/354253436.db2.gz PUFWZRPENJPZIZ-BXUZGUMPSA-N 0 1 293.371 0.974 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N[C@]1(C)CCCOC1)CC2 ZINC000329148549 354253438 /nfs/dbraw/zinc/25/34/38/354253438.db2.gz PUFWZRPENJPZIZ-BXUZGUMPSA-N 0 1 293.371 0.974 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@]1(C)CCO[C@@H]1C ZINC000329497899 354257457 /nfs/dbraw/zinc/25/74/57/354257457.db2.gz FGDXKPKSQCTGEF-UMVBOHGHSA-N 0 1 298.431 0.693 20 30 CCEDMN CCCCOC[C@H](O)CN1CCN(CCC#N)CC1 ZINC000619047481 366171084 /nfs/dbraw/zinc/17/10/84/366171084.db2.gz WNZRSEHECUQREI-CQSZACIVSA-N 0 1 269.389 0.695 20 30 CCEDMN Cn1ncc(C(=O)N=c2[nH]n(C(C)(C)C)cc2C#N)c1C#N ZINC000331197499 354488058 /nfs/dbraw/zinc/48/80/58/354488058.db2.gz ZKGGSJMXAANROC-UHFFFAOYSA-N 0 1 297.322 0.789 20 30 CCEDMN C=CCN1CCC(NC(=O)C(=O)c2cnn(C)c2)CC1 ZINC000171716985 188755656 /nfs/dbraw/zinc/75/56/56/188755656.db2.gz RKRHSNSXRCCAMW-UHFFFAOYSA-N 0 1 276.340 0.369 20 30 CCEDMN C[C@@H](C(=O)N1[C@H](C)CN(C)C[C@@H]1C)n1cnc(C#N)n1 ZINC000336990839 355241623 /nfs/dbraw/zinc/24/16/23/355241623.db2.gz LBCJSQYAECGGSN-AXFHLTTASA-N 0 1 276.344 0.262 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)c1ccc(C#N)cc1 ZINC000336863553 355218571 /nfs/dbraw/zinc/21/85/71/355218571.db2.gz OOOPDEKDKTUHGF-CYBMUJFWSA-N 0 1 298.302 0.795 20 30 CCEDMN C[C@H](C(=O)Nc1nc(C2CCC2)n[nH]1)n1cnc(C#N)n1 ZINC000337056942 355258387 /nfs/dbraw/zinc/25/83/87/355258387.db2.gz RIDRACIPCHNGPH-SSDOTTSWSA-N 0 1 286.299 0.735 20 30 CCEDMN C[C@H](C(=O)Nc1n[nH]c(C2CCC2)n1)n1cnc(C#N)n1 ZINC000337056942 355258390 /nfs/dbraw/zinc/25/83/90/355258390.db2.gz RIDRACIPCHNGPH-SSDOTTSWSA-N 0 1 286.299 0.735 20 30 CCEDMN C[C@H]1[C@@H](NS(=O)(=O)N(C)CCC#N)CCCN1C ZINC000343976694 355781044 /nfs/dbraw/zinc/78/10/44/355781044.db2.gz JMPFDVRHFKLUHL-QWRGUYRKSA-N 0 1 274.390 0.149 20 30 CCEDMN CCN1CCN(CC(=O)N(C)C2(C#N)CCC2)CC1 ZINC000344121903 355785320 /nfs/dbraw/zinc/78/53/20/355785320.db2.gz IIASUBRBFGFIIU-UHFFFAOYSA-N 0 1 264.373 0.529 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](CO)C[C@@H]1C ZINC000344185313 355789348 /nfs/dbraw/zinc/78/93/48/355789348.db2.gz VEOJVTVLMNHVEQ-QWRGUYRKSA-N 0 1 269.345 0.091 20 30 CCEDMN CN(CCOc1cccc(C#N)c1)[C@H]1COC[C@@H]1O ZINC000352802033 356083999 /nfs/dbraw/zinc/08/39/99/356083999.db2.gz VFSIFCOKCRQSBD-KBPBESRZSA-N 0 1 262.309 0.629 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N(C)CC(C)(C)C#N ZINC000353238708 356184382 /nfs/dbraw/zinc/18/43/82/356184382.db2.gz JDQWEXAFNDOCFT-GFCCVEGCSA-N 0 1 267.373 0.963 20 30 CCEDMN COCCN(CCC#N)C(=O)CCc1cnc[nH]1 ZINC000619434679 366251235 /nfs/dbraw/zinc/25/12/35/366251235.db2.gz XVOMVLQEBSXQGY-UHFFFAOYSA-N 0 1 250.302 0.731 20 30 CCEDMN N#Cc1ccnc(C(=O)N[C@@H](CO)CN2CCCCC2)c1 ZINC000293748680 198756668 /nfs/dbraw/zinc/75/66/68/198756668.db2.gz XXBKWHDIDMFRPP-CYBMUJFWSA-N 0 1 288.351 0.530 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000619556381 366280477 /nfs/dbraw/zinc/28/04/77/366280477.db2.gz UWIRAYIQVRDMKL-PWSUYJOCSA-N 0 1 289.339 0.816 20 30 CCEDMN N#CCNC(=O)CN1C[C@@H]2CN(c3ccccc3)C[C@H]2C1 ZINC000609417651 363494970 /nfs/dbraw/zinc/49/49/70/363494970.db2.gz POPNBOFBMRYOEO-ZIAGYGMSSA-N 0 1 284.363 0.694 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC000610425267 363557232 /nfs/dbraw/zinc/55/72/32/363557232.db2.gz WFAACYXICQUZFX-CYBMUJFWSA-N 0 1 275.356 0.645 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000619723742 366343432 /nfs/dbraw/zinc/34/34/32/366343432.db2.gz YFOSAIGUMILRBN-UONOGXRCSA-N 0 1 282.384 0.758 20 30 CCEDMN CN(C[C@@H]1CCCCO1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610562821 363577891 /nfs/dbraw/zinc/57/78/91/363577891.db2.gz HXHJTTSQTRGEEP-NSHDSACASA-N 0 1 277.328 0.746 20 30 CCEDMN Cc1occc1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610562161 363576859 /nfs/dbraw/zinc/57/68/59/363576859.db2.gz YPZLANDWGBXLCX-UHFFFAOYSA-N 0 1 259.269 0.937 20 30 CCEDMN N#Cc1cnccc1N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000364324664 283244544 /nfs/dbraw/zinc/24/45/44/283244544.db2.gz MHOAWVPVKKBLKK-HUUCEWRRSA-N 0 1 272.352 0.989 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2ccc3c(c2)CCO3)[nH]1 ZINC000610565590 363580465 /nfs/dbraw/zinc/58/04/65/363580465.db2.gz ZMKLBGPIBKGNOO-UHFFFAOYSA-N 0 1 297.318 0.970 20 30 CCEDMN CCCN(CC(C)(C)O)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610584887 363581581 /nfs/dbraw/zinc/58/15/81/363581581.db2.gz GKEDGYGEBGOEOH-UHFFFAOYSA-N 0 1 279.344 0.728 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCSC2)[nH]1 ZINC000610569845 363581114 /nfs/dbraw/zinc/58/11/14/363581114.db2.gz BJFXUNORXATKBO-QMMMGPOBSA-N 0 1 251.315 0.341 20 30 CCEDMN N#Cc1ccc(OCCN2C[C@H](O)C[C@H](O)C2)cc1 ZINC000610604657 363584105 /nfs/dbraw/zinc/58/41/05/363584105.db2.gz ZPZMYHRTQAMGLX-BETUJISGSA-N 0 1 262.309 0.365 20 30 CCEDMN COC[C@@H]1CCCN1CC(=O)N(CCC#N)CCC#N ZINC000610615575 363586088 /nfs/dbraw/zinc/58/60/88/363586088.db2.gz RIAHLPPVZHHAEY-ZDUSSCGKSA-N 0 1 278.356 0.753 20 30 CCEDMN CS(=O)(=O)N1CC[C@H](NCc2ccc(C#N)cc2F)C1 ZINC000400162182 357787760 /nfs/dbraw/zinc/78/77/60/357787760.db2.gz WMGPZIYPHZZGPR-LBPRGKRZSA-N 0 1 297.355 0.821 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@]2(C)CCCO2)[nH]1 ZINC000610674747 363595105 /nfs/dbraw/zinc/59/51/05/363595105.db2.gz JEAUPNOTQMXPSI-GFCCVEGCSA-N 0 1 263.301 0.404 20 30 CCEDMN C#CCNC(=O)CCN[C@H](COC)c1ccco1 ZINC000294706634 199144330 /nfs/dbraw/zinc/14/43/30/199144330.db2.gz UXMOEZXXGYTXGL-LLVKDONJSA-N 0 1 250.298 0.696 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H]2CCCS2)[nH]1 ZINC000610698548 363600608 /nfs/dbraw/zinc/60/06/08/363600608.db2.gz SESHZMKBINBOHX-SECBINFHSA-N 0 1 265.342 0.731 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](COC(F)F)C1 ZINC000491178646 358292387 /nfs/dbraw/zinc/29/23/87/358292387.db2.gz AXKLMJFPZDGOQI-UWVGGRQHSA-N 0 1 260.284 0.685 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(CC(C)(C)O)CC1)C(C)C ZINC000491813049 358297720 /nfs/dbraw/zinc/29/77/20/358297720.db2.gz JYQBCGVWVVJALG-ZDUSSCGKSA-N 0 1 281.400 0.742 20 30 CCEDMN N#Cc1cccc(NC(=O)C(=O)N[C@H]2CCN(C3CC3)C2)n1 ZINC000569360631 358758280 /nfs/dbraw/zinc/75/82/80/358758280.db2.gz GGUSSNUYUHAVLK-NSHDSACASA-N 0 1 299.334 0.245 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCCc3c[nH]nc32)[nH]1 ZINC000610821350 363617065 /nfs/dbraw/zinc/61/70/65/363617065.db2.gz IKRCYOTVMJQXGP-SNVBAGLBSA-N 0 1 285.311 0.636 20 30 CCEDMN COC(=O)C[C@@H]1CCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000610936184 363633500 /nfs/dbraw/zinc/63/35/00/363633500.db2.gz FQUAYHXKAULARO-JTQLQIEISA-N 0 1 291.311 0.273 20 30 CCEDMN C[C@H]1COCCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000610949311 363636794 /nfs/dbraw/zinc/63/67/94/363636794.db2.gz CONIUJFEBKEHOS-VIFPVBQESA-N 0 1 263.301 0.356 20 30 CCEDMN C[NH+]1CCC(O)(CNC(=O)c2cc([O-])cc(C#N)c2)CC1 ZINC000589187384 359366215 /nfs/dbraw/zinc/36/62/15/359366215.db2.gz ZYSUCDMQRCEAAU-UHFFFAOYSA-N 0 1 289.335 0.450 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2=CCCOC2)[nH]1 ZINC000588259973 359320021 /nfs/dbraw/zinc/32/00/21/359320021.db2.gz YFDQROVNHFJZMN-UHFFFAOYSA-N 0 1 261.285 0.182 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000588650822 359328338 /nfs/dbraw/zinc/32/83/38/359328338.db2.gz UZCYNRUVNTZBBE-SRVKXCTJSA-N 0 1 268.361 0.243 20 30 CCEDMN CCN(CC(=O)NC1CCN(CC#N)CC1)C1CC1 ZINC000611012046 363649406 /nfs/dbraw/zinc/64/94/06/363649406.db2.gz MAUJYMLQFCJRKP-UHFFFAOYSA-N 0 1 264.373 0.575 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(CC)[C@@H](C)C1 ZINC000611092462 363659582 /nfs/dbraw/zinc/65/95/82/363659582.db2.gz UVBXSKMKSCSILX-NSHDSACASA-N 0 1 268.361 0.024 20 30 CCEDMN C[C@@H](CO)CN1CCN(c2cnccc2C#N)CC1 ZINC000590013237 359419385 /nfs/dbraw/zinc/41/93/85/359419385.db2.gz FIFYAVKGYBTSKU-GFCCVEGCSA-N 0 1 260.341 0.704 20 30 CCEDMN CS(=O)(=O)N[C@@H]1CCCN([C@H]2CC[C@@H](C#N)C2)C1 ZINC000590157837 359427612 /nfs/dbraw/zinc/42/76/12/359427612.db2.gz UTUZRMNLWJQGJC-UTUOFQBUSA-N 0 1 271.386 0.692 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CC[C@H](O)CC2)[nH]1 ZINC000611075626 363656766 /nfs/dbraw/zinc/65/67/66/363656766.db2.gz NQPRIUFPPPFOOA-MGCOHNPYSA-N 0 1 263.301 0.139 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@H](n3cccn3)C2)[nH]1 ZINC000589761884 359400736 /nfs/dbraw/zinc/40/07/36/359400736.db2.gz XSXNESYEEGEUDQ-NSHDSACASA-N 0 1 285.311 0.389 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000590758921 359517741 /nfs/dbraw/zinc/51/77/41/359517741.db2.gz OHUJVZSHGQVOCZ-TUAOUCFPSA-N 0 1 256.346 0.101 20 30 CCEDMN C[C@H]1CN(CCO)CCN1Cc1ccnc(C#N)c1 ZINC000590765803 359521267 /nfs/dbraw/zinc/52/12/67/359521267.db2.gz FNSKGUREQGJLRQ-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN C[C@H]1CC[C@H](CNC(=O)N=c2[nH]n(C)cc2C#N)O1 ZINC000590822325 359526759 /nfs/dbraw/zinc/52/67/59/359526759.db2.gz NRHVVUZTHXKBNQ-WCBMZHEXSA-N 0 1 263.301 0.403 20 30 CCEDMN CC[C@H](C)[C@H](CNC(=O)N=c1[nH]n(C)cc1C#N)OC ZINC000590819572 359527595 /nfs/dbraw/zinc/52/75/95/359527595.db2.gz NEWGPHNBHVSITH-ONGXEEELSA-N 0 1 279.344 0.896 20 30 CCEDMN C[C@@H]1CCC[C@@]1(O)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000590819460 359527610 /nfs/dbraw/zinc/52/76/10/359527610.db2.gz KMYKZNDQSGVWEU-NOZJJQNGSA-N 0 1 277.328 0.386 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@H](C3CCC3)C2)[nH]1 ZINC000591338196 359579719 /nfs/dbraw/zinc/57/97/19/359579719.db2.gz WGPNKXPHEYTBHI-LBPRGKRZSA-N 0 1 289.339 0.746 20 30 CCEDMN C[C@@H](NC(=O)C(=O)N1CCNCC1)c1cccc(C#N)c1 ZINC000591404643 359587856 /nfs/dbraw/zinc/58/78/56/359587856.db2.gz WCBZMIDTKRQFJY-LLVKDONJSA-N 0 1 286.335 0.167 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCCN(CCOC)C1 ZINC000592094784 359754393 /nfs/dbraw/zinc/75/43/93/359754393.db2.gz UCUYXYGKAPYOKE-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN Cc1nc(CCNc2ncc(C#N)cc2[N+](=O)[O-])n[nH]1 ZINC000297543014 200223155 /nfs/dbraw/zinc/22/31/55/200223155.db2.gz QCVMKSNGQUBZRR-UHFFFAOYSA-N 0 1 273.256 0.943 20 30 CCEDMN Cc1nnc(CCNc2ncc(C#N)cc2[N+](=O)[O-])[nH]1 ZINC000297543014 200223157 /nfs/dbraw/zinc/22/31/57/200223157.db2.gz QCVMKSNGQUBZRR-UHFFFAOYSA-N 0 1 273.256 0.943 20 30 CCEDMN N#Cc1cccc(NC(=O)C(=O)NCCN2CCCC2)n1 ZINC000592285848 359811343 /nfs/dbraw/zinc/81/13/43/359811343.db2.gz WVKIUXZFBRGVIX-UHFFFAOYSA-N 0 1 287.323 0.104 20 30 CCEDMN COC(=O)[C@@H]1CCN(Cc2cnc3c(C#N)cnn3c2)[C@H]1C ZINC000592509344 359865491 /nfs/dbraw/zinc/86/54/91/359865491.db2.gz KGOKWXOWBXIDCK-GXFFZTMASA-N 0 1 299.334 0.984 20 30 CCEDMN N#Cc1cnn2c1NC[C@@H](C[N@H+]1CCCO[C@@H](CF)C1)C2 ZINC000592517450 359869093 /nfs/dbraw/zinc/86/90/93/359869093.db2.gz WTZSQEFZYIGSOF-AAEUAGOBSA-N 0 1 293.346 0.857 20 30 CCEDMN CCOc1cnc(C(=O)N=c2[nH]n(C)c(C)c2C#N)cn1 ZINC000592650024 359909141 /nfs/dbraw/zinc/90/91/41/359909141.db2.gz MFHJMLDWQICSJT-UHFFFAOYSA-N 0 1 286.295 0.463 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2C[C@]23CCOC3)[nH]n1C ZINC000592653120 359909453 /nfs/dbraw/zinc/90/94/53/359909453.db2.gz KRBPZHQYYNEJEG-MFKMUULPSA-N 0 1 260.297 0.387 20 30 CCEDMN C[C@H]1C[C@@H](CNC(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000611303353 363705217 /nfs/dbraw/zinc/70/52/17/363705217.db2.gz XUIRMNIIPUXGBN-UWVGGRQHSA-N 0 1 277.328 0.650 20 30 CCEDMN Cc1ccc(N2CCN(Cc3nnc[nH]3)CC2)nc1C#N ZINC000593509663 360103148 /nfs/dbraw/zinc/10/31/48/360103148.db2.gz YPOQIAPAUJSPJO-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1ccsc1C#N ZINC000593557778 360113159 /nfs/dbraw/zinc/11/31/59/360113159.db2.gz SCTULQDDKUMURV-UHFFFAOYSA-N 0 1 273.383 0.802 20 30 CCEDMN C[C@H](NCC(=O)N1CCNC(=O)C1)c1cccc(C#N)c1 ZINC000611388739 363712280 /nfs/dbraw/zinc/71/22/80/363712280.db2.gz SEYQSZYMADSFFR-NSHDSACASA-N 0 1 286.335 0.167 20 30 CCEDMN COCCN(CCC#N)C(=O)CN1CC[C@H](C)C1 ZINC000594274328 360267155 /nfs/dbraw/zinc/26/71/55/360267155.db2.gz XQESNZJOSAIEHP-LBPRGKRZSA-N 0 1 253.346 0.717 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CCC2(C[NH3+])CC2)o1 ZINC000595113925 360437908 /nfs/dbraw/zinc/43/79/08/360437908.db2.gz PKIDPCQNIHNIRO-UHFFFAOYSA-N 0 1 269.326 0.559 20 30 CCEDMN C[C@H]1OCCN(C(=O)N=c2[nH]n(C)cc2C#N)[C@@H]1C ZINC000611519417 363732701 /nfs/dbraw/zinc/73/27/01/363732701.db2.gz BDOOLRJFDHKIOM-RKDXNWHRSA-N 0 1 263.301 0.355 20 30 CCEDMN C[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@@H]1CCCOC1 ZINC000611557547 363737530 /nfs/dbraw/zinc/73/75/30/363737530.db2.gz XAVIVPYIKMWUER-VHSXEESVSA-N 0 1 277.328 0.650 20 30 CCEDMN Cc1nnc(CNC(=O)N=c2[nH]n(C)cc2C#N)s1 ZINC000611557449 363738043 /nfs/dbraw/zinc/73/80/43/363738043.db2.gz FQNLHHTXDDLXOX-UHFFFAOYSA-N 0 1 277.313 0.195 20 30 CCEDMN N#CC1(CNC(=O)C[C@@H]2COCCN2)CCCCC1 ZINC000595258132 360462179 /nfs/dbraw/zinc/46/21/79/360462179.db2.gz KVPVYZQSMWANAJ-GFCCVEGCSA-N 0 1 265.357 0.955 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)NC1CCN(CC#N)CC1 ZINC000595498504 360551501 /nfs/dbraw/zinc/55/15/01/360551501.db2.gz HZKRDTWJCUDORP-UHFFFAOYSA-N 0 1 279.388 0.177 20 30 CCEDMN CC(C)(CO)[C@H](NCC(=O)NCC#N)c1cccnc1 ZINC000595501561 360551961 /nfs/dbraw/zinc/55/19/61/360551961.db2.gz SWVSFSXXISEPDZ-CYBMUJFWSA-N 0 1 276.340 0.371 20 30 CCEDMN COC(=O)CCN1CC[C@@H](N2CCC[C@@H](CC#N)C2)C1=O ZINC000595613751 360597645 /nfs/dbraw/zinc/59/76/45/360597645.db2.gz AQKZUJWLFSQMCH-QWHCGFSZSA-N 0 1 293.367 0.776 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)NC(=O)NC1CCCCC1 ZINC000595864041 360683749 /nfs/dbraw/zinc/68/37/49/360683749.db2.gz NPDXBLGIDKZOTC-WDEREUQCSA-N 0 1 283.372 0.670 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](C(=O)OC(C)(C)C)C1 ZINC000178515870 189122895 /nfs/dbraw/zinc/12/28/95/189122895.db2.gz UHOYPBLLNYVKSR-NEPJUHHUSA-N 0 1 280.368 0.788 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)N1CC[C@@](C)(C#N)C1 ZINC000595984016 360758657 /nfs/dbraw/zinc/75/86/57/360758657.db2.gz TVHZJLSQSMHLAW-DOMZBBRYSA-N 0 1 292.383 0.349 20 30 CCEDMN N#Cc1nc(NCCc2nc[nH]n2)sc1Cl ZINC000596158164 360815819 /nfs/dbraw/zinc/81/58/19/360815819.db2.gz RTOLTEUGVLEOSP-UHFFFAOYSA-N 0 1 254.706 0.863 20 30 CCEDMN C[C@H]1CN(c2ccc(C#N)nn2)[C@@H](C)CN1CCO ZINC000596275332 360864409 /nfs/dbraw/zinc/86/44/09/360864409.db2.gz QCTSYLXRNOGDAD-QWRGUYRKSA-N 0 1 261.329 0.240 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)N=c1ccn(CCC#N)[nH]1 ZINC000596753712 360950994 /nfs/dbraw/zinc/95/09/94/360950994.db2.gz SNJOCVOLIOFEAM-UHFFFAOYSA-N 0 1 273.300 0.606 20 30 CCEDMN C[C@H](CC#N)NC(=O)c1cc(C(=O)N[C@@H](C)CC#N)n[nH]1 ZINC000596999780 360993289 /nfs/dbraw/zinc/99/32/89/360993289.db2.gz WKGBGDYLALBRFS-DTORHVGOSA-N 0 1 288.311 0.474 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000597170890 361022781 /nfs/dbraw/zinc/02/27/81/361022781.db2.gz UTZUNNGRTMPIGQ-UPJWGTAASA-N 0 1 265.357 0.594 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)C[C@@H]1N[C@@H]1CC[C@@H](C#N)C1 ZINC000597193218 361029146 /nfs/dbraw/zinc/02/91/46/361029146.db2.gz VSIXKWXOMIKGIP-CHWFTXMASA-N 0 1 258.343 0.080 20 30 CCEDMN N#CC1(CC(=O)NC[C@H]2CN3CCN2CCC3)CC1 ZINC000597626376 361167043 /nfs/dbraw/zinc/16/70/43/361167043.db2.gz SRKHGUWPLKBIAT-LBPRGKRZSA-N 0 1 262.357 0.186 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000611632356 363752416 /nfs/dbraw/zinc/75/24/16/363752416.db2.gz BHTPYCAAWQKNAI-UPJWGTAASA-N 0 1 280.372 0.387 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CC[C@](C)(C#N)C1 ZINC000598418348 361409595 /nfs/dbraw/zinc/40/95/95/361409595.db2.gz UQKOMHCRIJNAKR-GXTWGEPZSA-N 0 1 265.357 0.717 20 30 CCEDMN CC(C#N)C(=O)N=c1ccn(CC(=O)OC(C)(C)C)[nH]1 ZINC000598549185 361444803 /nfs/dbraw/zinc/44/48/03/361444803.db2.gz SVZCCEBTGYFOEG-VIFPVBQESA-N 0 1 278.312 0.745 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCN(c2cccnn2)CC1 ZINC000598591297 361451281 /nfs/dbraw/zinc/45/12/81/361451281.db2.gz YTLUYHHYLXDWTL-ZDUSSCGKSA-N 0 1 289.383 0.899 20 30 CCEDMN Cc1nsc(NCCN2CC[C@H](O)C2)c1C#N ZINC000599199246 361608856 /nfs/dbraw/zinc/60/88/56/361608856.db2.gz IDMNPPVSYXURBJ-VIFPVBQESA-N 0 1 252.343 0.802 20 30 CCEDMN CN(C[C@H](O)COc1ccccc1C#N)C(C)(C)C(N)=O ZINC000599430845 361697064 /nfs/dbraw/zinc/69/70/64/361697064.db2.gz BASQTLNIOUAVDU-LBPRGKRZSA-N 0 1 291.351 0.494 20 30 CCEDMN CN(C)C(=O)[C@H]1CCN(Cc2ccc(C#N)cn2)C1 ZINC000564666232 291292646 /nfs/dbraw/zinc/29/26/46/291292646.db2.gz NCFLNVSCMRFVPQ-LBPRGKRZSA-N 0 1 258.325 0.863 20 30 CCEDMN CCn1nccc1C(=O)NC1(C#N)CCN(C)CC1 ZINC000614222426 364540367 /nfs/dbraw/zinc/54/03/67/364540367.db2.gz UYKRDPYFAHHQLF-UHFFFAOYSA-N 0 1 261.329 0.621 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)[C@@H](C)[C@@H](C)O1 ZINC000615025476 364822227 /nfs/dbraw/zinc/82/22/27/364822227.db2.gz RGUJDGYGFSODBV-KXUCPTDWSA-N 0 1 277.328 0.743 20 30 CCEDMN C=C[C@](C)(O)C(=O)NC[C@@H](c1nc[nH]n1)c1ccccc1 ZINC000615999708 365154296 /nfs/dbraw/zinc/15/42/96/365154296.db2.gz GHMRUWBCOSDFIX-DOMZBBRYSA-N 0 1 286.335 0.990 20 30 CCEDMN C#CCCCN1CCN(Cc2nc(COC)no2)CC1 ZINC000275555444 193075197 /nfs/dbraw/zinc/07/51/97/193075197.db2.gz NDRBWYYMHQYRCQ-UHFFFAOYSA-N 0 1 278.356 0.747 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000656183764 412759693 /nfs/dbraw/zinc/75/96/93/412759693.db2.gz WAWQVXSWVAALIF-OLZOCXBDSA-N 0 1 297.399 0.428 20 30 CCEDMN CN(CC#CCN1C(=O)c2ccccc2C1=O)CCCO ZINC000625395874 368178493 /nfs/dbraw/zinc/17/84/93/368178493.db2.gz MLRMQPARIVFYBS-UHFFFAOYSA-N 0 1 286.331 0.600 20 30 CCEDMN N#Cc1ccc(CN2CCn3c(=O)[nH]nc3C2)nc1 ZINC000574217223 335001870 /nfs/dbraw/zinc/00/18/70/335001870.db2.gz HUULTDHRDFUPLC-UHFFFAOYSA-N 0 1 256.269 0.266 20 30 CCEDMN CC#CCN(C)C(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000627552828 369037049 /nfs/dbraw/zinc/03/70/49/369037049.db2.gz ASCNPKAUCANFBY-UHFFFAOYSA-N 0 1 281.337 0.548 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNS(=O)(=O)c1ncc[nH]1 ZINC000632301451 370972598 /nfs/dbraw/zinc/97/25/98/370972598.db2.gz ZURFNMXNCVYWOM-VHSXEESVSA-N 0 1 271.342 0.669 20 30 CCEDMN COc1ccc(C#N)cc1CN(CCO)C[C@@H](C)O ZINC000336810912 213677527 /nfs/dbraw/zinc/67/75/27/213677527.db2.gz KAJJYVVXDQOYLU-LLVKDONJSA-N 0 1 264.325 0.742 20 30 CCEDMN C=CCn1cc(CNC[C@H](OC)C2CCOCC2)nn1 ZINC000639276548 375690452 /nfs/dbraw/zinc/69/04/52/375690452.db2.gz ZZVDEUDZJQSINV-AWEZNQCLSA-N 0 1 280.372 0.995 20 30 CCEDMN C=CCNC(=O)[C@@H](C)n1cc(C(C)(C)NC)nn1 ZINC000640883814 376598602 /nfs/dbraw/zinc/59/86/02/376598602.db2.gz OIFFCIZBQGRFIE-SECBINFHSA-N 0 1 251.334 0.596 20 30 CCEDMN C=CC[C@H](CO)CNS(=O)(=O)c1ccccc1O ZINC000656950447 412867701 /nfs/dbraw/zinc/86/77/01/412867701.db2.gz ADEBSEMLXCMMNR-JTQLQIEISA-N 0 1 271.338 0.855 20 30 CCEDMN N#Cc1cc(NC(=O)C(=O)N2CCNCC2)ccc1Cl ZINC000415281129 249010150 /nfs/dbraw/zinc/01/01/50/249010150.db2.gz HQAHLRSAWJKFDS-UHFFFAOYSA-N 0 1 292.726 0.582 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@](C)(OC)C1 ZINC000279210381 194333646 /nfs/dbraw/zinc/33/36/46/194333646.db2.gz BSZMNMPDZFKQOM-FZMZJTMJSA-N 0 1 283.372 0.888 20 30 CCEDMN C=CCCCNC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000279285349 194367995 /nfs/dbraw/zinc/36/79/95/194367995.db2.gz XHJNRLRDCDRSBL-UHFFFAOYSA-N 0 1 274.328 0.872 20 30 CCEDMN NC(=O)[C@@]1(O)CCN(CC#Cc2ccc(Cl)cc2)C1 ZINC000279303312 194375905 /nfs/dbraw/zinc/37/59/05/194375905.db2.gz QNWFRNAXUGUUQP-CQSZACIVSA-N 0 1 278.739 0.614 20 30 CCEDMN C=CCn1c(SC[C@@H](COC)OC)n[nH]c1=O ZINC000657252082 412942477 /nfs/dbraw/zinc/94/24/77/412942477.db2.gz RLBPSGYCIOMTOB-MRVPVSSYSA-N 0 1 259.331 0.923 20 30 CCEDMN N#Cc1cccc(NC(=O)NC[C@H]2COCCN2)c1 ZINC000236408262 284956693 /nfs/dbraw/zinc/95/66/93/284956693.db2.gz UTGWYKATJLMQGL-LBPRGKRZSA-N 0 1 260.297 0.668 20 30 CCEDMN N#Cc1ccc([C@@H](O)CNCC(=O)NC2CC2)cc1 ZINC000037892665 184543392 /nfs/dbraw/zinc/54/33/92/184543392.db2.gz LHTALSRDNFJPMF-ZDUSSCGKSA-N 0 1 259.309 0.460 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(C(C)=O)C[C@@H]2C)CC1 ZINC000268669120 533146542 /nfs/dbraw/zinc/14/65/42/533146542.db2.gz AROWORSBNSWORS-ZDUSSCGKSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCCn1cc(CNC[C@H](OC)[C@H]2CCOC2)nn1 ZINC000657540117 413020273 /nfs/dbraw/zinc/02/02/73/413020273.db2.gz CFWGPOFWNLEUQJ-JSGCOSHPSA-N 0 1 280.372 0.995 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)CCOCCO ZINC000282591633 388755679 /nfs/dbraw/zinc/75/56/79/388755679.db2.gz VRCLZKAKSFCWOC-ZDUSSCGKSA-N 0 1 270.373 0.516 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](Cc1cnc[nH]1)NC(C)=O ZINC000282959987 388759504 /nfs/dbraw/zinc/75/95/04/388759504.db2.gz LPFHXZWCFMQXLC-ZDUSSCGKSA-N 0 1 278.356 0.882 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000013592870 388786722 /nfs/dbraw/zinc/78/67/22/388786722.db2.gz MVGRDPPDWWCFKB-SECBINFHSA-N 0 1 272.308 0.983 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC000288670598 388864794 /nfs/dbraw/zinc/86/47/94/388864794.db2.gz VZFNLTJQTUEIKC-WCQYABFASA-N 0 1 279.384 0.668 20 30 CCEDMN CCN1CCC[C@H]1CNS(=O)(=O)c1cncc(C#N)c1 ZINC000289679439 388881892 /nfs/dbraw/zinc/88/18/92/388881892.db2.gz HQVAAHHCKDYQHQ-LBPRGKRZSA-N 0 1 294.380 0.716 20 30 CCEDMN CCC[C@@]1(C)C(=O)NCCN1CCC(=O)N(C)CCC#N ZINC000187354403 388878475 /nfs/dbraw/zinc/87/84/75/388878475.db2.gz ZFYQGFLODUNWOQ-HNNXBMFYSA-N 0 1 294.399 0.739 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCO[C@H](c2n[nH]c(CC)n2)C1 ZINC000290875518 388914249 /nfs/dbraw/zinc/91/42/49/388914249.db2.gz PRVOFTXBLZVRMG-HZMBPMFUSA-N 0 1 294.355 0.594 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000291400587 388922421 /nfs/dbraw/zinc/92/24/21/388922421.db2.gz IPPQRTXLKJVGBU-QPUJVOFHSA-N 0 1 252.318 0.871 20 30 CCEDMN CCN(CCC(=O)OC)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000050054172 388925482 /nfs/dbraw/zinc/92/54/82/388925482.db2.gz CHEVFEBADLXBNX-AWEZNQCLSA-N 0 1 281.356 0.680 20 30 CCEDMN C=CCCCS(=O)(=O)N1CCC[N@H+](CC(=O)[O-])CC1 ZINC000291804472 388937243 /nfs/dbraw/zinc/93/72/43/388937243.db2.gz BSVCTWDIQAAPSF-UHFFFAOYSA-N 0 1 290.385 0.375 20 30 CCEDMN C[C@H](O)CN(CC(=O)NC1(C#N)CCCCC1)[C@@H](C)CO ZINC000190838096 389001395 /nfs/dbraw/zinc/00/13/95/389001395.db2.gz AAXLEVMWOJJTBT-STQMWFEESA-N 0 1 297.399 0.393 20 30 CCEDMN C=CCN1CC[C@H](N[C@@H](COC)[C@H]2CCCO2)C1=O ZINC000337219359 389055671 /nfs/dbraw/zinc/05/56/71/389055671.db2.gz BLRBLCYUXFCEGD-RWMBFGLXSA-N 0 1 268.357 0.557 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000305060398 389083973 /nfs/dbraw/zinc/08/39/73/389083973.db2.gz GIPULGKBTOYQAT-UKRRQHHQSA-N 0 1 295.427 0.598 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN(C)CCC)C1=O ZINC000337049356 389038597 /nfs/dbraw/zinc/03/85/97/389038597.db2.gz IKJMKHIYUKKYKP-GFCCVEGCSA-N 0 1 267.373 0.574 20 30 CCEDMN C[C@@H](C#N)NC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000112279065 389121449 /nfs/dbraw/zinc/12/14/49/389121449.db2.gz RYIYCOADOMKTIL-VIFPVBQESA-N 0 1 285.307 0.711 20 30 CCEDMN C[C@H](C(=O)NC1CC1)N1CCN(C(=O)c2cnc[nH]2)CC1 ZINC000328996111 389149233 /nfs/dbraw/zinc/14/92/33/389149233.db2.gz JUPPSHXDZWNOAH-SNVBAGLBSA-N 0 1 291.355 0.675 20 30 CCEDMN O=C(NC[C@]1(O)CCOC1)[C@@H]1CCCc2[nH]ncc21 ZINC000328746619 389135390 /nfs/dbraw/zinc/13/53/90/389135390.db2.gz APYSZSKIGJNKHY-NOZJJQNGSA-N 0 1 265.313 0.938 20 30 CCEDMN CN(CC(=O)NC1CC1)C(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC000328764889 389136903 /nfs/dbraw/zinc/13/69/03/389136903.db2.gz GPQQZTPWUWSVAL-MRVPVSSYSA-N 0 1 277.328 0.487 20 30 CCEDMN CN(CC(=O)NC1CC1)C(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC000328764889 389136904 /nfs/dbraw/zinc/13/69/04/389136904.db2.gz GPQQZTPWUWSVAL-MRVPVSSYSA-N 0 1 277.328 0.487 20 30 CCEDMN O=C(NCCn1cncn1)N1CC[C@H](C2CCOCC2)C1 ZINC000328811435 389138893 /nfs/dbraw/zinc/13/88/93/389138893.db2.gz FJKALMDTKFGFTH-ZDUSSCGKSA-N 0 1 293.371 0.941 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+]C[C@@H]1CCCCO1)CC2 ZINC000328849741 389140863 /nfs/dbraw/zinc/14/08/63/389140863.db2.gz YXNOOIRCLSZTHI-NEPJUHHUSA-N 0 1 293.371 0.974 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NC[C@@H]1CCCCO1)CC2 ZINC000328849741 389140867 /nfs/dbraw/zinc/14/08/67/389140867.db2.gz YXNOOIRCLSZTHI-NEPJUHHUSA-N 0 1 293.371 0.974 20 30 CCEDMN C=CCCS(=O)(=O)Nc1ccn(CCC(N)=O)n1 ZINC000120225301 389142053 /nfs/dbraw/zinc/14/20/53/389142053.db2.gz UCQIJQARNYDMDU-UHFFFAOYSA-N 0 1 272.330 0.076 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC000329651654 389182675 /nfs/dbraw/zinc/18/26/75/389182675.db2.gz CXKOBOGTLAONAU-SNVBAGLBSA-N 0 1 290.327 0.378 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)N[C@@H]1CCCOC1 ZINC000329662623 389184274 /nfs/dbraw/zinc/18/42/74/389184274.db2.gz PNTUWWXNJMUHIS-CHWSQXEVSA-N 0 1 299.415 0.856 20 30 CCEDMN CNC(=O)C1CN(C(=O)CN(C)[C@@H]2CCSC2)C1 ZINC000329848108 389206057 /nfs/dbraw/zinc/20/60/57/389206057.db2.gz RHVJCWNQYMONCZ-SNVBAGLBSA-N 0 1 271.386 0.468 20 30 CCEDMN O=C(N[C@H]1CCc2nc[nH]c2C1)N1CCC[C@@H](CO)C1 ZINC000329747290 389195710 /nfs/dbraw/zinc/19/57/10/389195710.db2.gz SFPMLRZTYCCZRT-MNOVXSKESA-N 0 1 278.356 0.885 20 30 CCEDMN [O-]C(=[NH+]CCn1ccnc1)N1CCOCC2(CC2)C1 ZINC000329328766 389157795 /nfs/dbraw/zinc/15/77/95/389157795.db2.gz XWIKNOPHWBOGNU-UHFFFAOYSA-N 0 1 264.329 0.910 20 30 CCEDMN O=C(N[C@@H]1CCCOC1)c1cccn2c(O)nnc12 ZINC000329363637 389159624 /nfs/dbraw/zinc/15/96/24/389159624.db2.gz ZOPKUGZNRHGHJE-MRVPVSSYSA-N 0 1 262.269 0.919 20 30 CCEDMN CN1C[C@H](NC(=O)N[C@H]2CCc3c[nH]nc3C2)CCC1=O ZINC000329815907 389204444 /nfs/dbraw/zinc/20/44/44/389204444.db2.gz DRWPZAYNCDPIFZ-WDEREUQCSA-N 0 1 291.355 0.391 20 30 CCEDMN C[C@@H]1[C@H](C)N(C(=O)Nc2nccn(C)c2=O)CCN1C ZINC000329457698 389164731 /nfs/dbraw/zinc/16/47/31/389164731.db2.gz QQSLZRWOOISEPS-ZJUUUORDSA-N 0 1 279.344 0.350 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N[C@@H]2CCCCC[C@H]2O)C1 ZINC000329454912 389165154 /nfs/dbraw/zinc/16/51/54/389165154.db2.gz PQYDLDRFQSBLTR-BFHYXJOUSA-N 0 1 298.431 0.429 20 30 CCEDMN O=C(NCCC1(O)CCC1)N1CCn2ncnc2C1 ZINC000329519797 389168284 /nfs/dbraw/zinc/16/82/84/389168284.db2.gz QDDQOFPVWXQWLD-UHFFFAOYSA-N 0 1 265.317 0.313 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)N1CCC[C@H](n2cncn2)C1 ZINC000329831066 389204847 /nfs/dbraw/zinc/20/48/47/389204847.db2.gz SRUKODRWUBDOCT-NWDGAFQWSA-N 0 1 295.343 0.244 20 30 CCEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCC[C@@H]1CO ZINC000329621727 389177823 /nfs/dbraw/zinc/17/78/23/389177823.db2.gz NBQRRAMMTVFENR-NXEZZACHSA-N 0 1 264.329 0.638 20 30 CCEDMN C[C@@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)CC1(C#N)CC1 ZINC000329626689 389178902 /nfs/dbraw/zinc/17/89/02/389178902.db2.gz PAYOVTUDOVTQAG-PWSUYJOCSA-N 0 1 283.397 0.692 20 30 CCEDMN CN(C)c1ncccc1C(=O)NCCN1CCN(C)CC1 ZINC000330603980 389245930 /nfs/dbraw/zinc/24/59/30/389245930.db2.gz KYBUOOOKDQGJQR-UHFFFAOYSA-N 0 1 291.399 0.700 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000248581450 389246675 /nfs/dbraw/zinc/24/66/75/389246675.db2.gz FLDCGZRJGBTWCV-ZIAGYGMSSA-N 0 1 299.415 0.579 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@H](C#N)C(C)C)CC1 ZINC000343621223 389267684 /nfs/dbraw/zinc/26/76/84/389267684.db2.gz HISZHBSNNQGMAR-LBPRGKRZSA-N 0 1 252.362 0.288 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@H](S(N)(=O)=O)C1 ZINC000330823939 389254880 /nfs/dbraw/zinc/25/48/80/389254880.db2.gz HWSNILSKTWEHOD-QWRGUYRKSA-N 0 1 262.375 0.066 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN[C@@H]1C(=O)NCC[C@H]1C ZINC000249546468 389255720 /nfs/dbraw/zinc/25/57/20/389255720.db2.gz KEUHHLRDAUSTCT-SCDSUCTJSA-N 0 1 280.372 0.155 20 30 CCEDMN C[C@H]1COC[C@H](C)N1CCC(=O)N(C)CCC#N ZINC000131679058 389216530 /nfs/dbraw/zinc/21/65/30/389216530.db2.gz QTQYCUVEWZXFLE-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN C=CCCN(C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000342450944 389218408 /nfs/dbraw/zinc/21/84/08/389218408.db2.gz CBWSGDIUKLVLLM-GFCCVEGCSA-N 0 1 254.378 0.450 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CC)C[C@H](C)OC ZINC000361559895 389219019 /nfs/dbraw/zinc/21/90/19/389219019.db2.gz YGFZQLMDGNIINS-JTQLQIEISA-N 0 1 257.334 0.355 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000136254427 389265792 /nfs/dbraw/zinc/26/57/92/389265792.db2.gz OCCGFTYYOBLBIM-YPMHNXCESA-N 0 1 252.362 0.288 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)N[C@@H](C)c1nnc[nH]1 ZINC000330379724 389222912 /nfs/dbraw/zinc/22/29/12/389222912.db2.gz TWICLDIGAKOLCR-BDAKNGLRSA-N 0 1 286.361 0.182 20 30 CCEDMN COC(=O)[C@H]1CCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000330414809 389226857 /nfs/dbraw/zinc/22/68/57/389226857.db2.gz CLARJBAHMBNMDU-MNOVXSKESA-N 0 1 267.329 0.242 20 30 CCEDMN C[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@@H]1CO ZINC000362054503 389228277 /nfs/dbraw/zinc/22/82/77/389228277.db2.gz KNSZZDSNASLADN-CHWSQXEVSA-N 0 1 278.356 0.203 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1C[C@@H](C)[C@H](CO)C1 ZINC000362086438 389230145 /nfs/dbraw/zinc/23/01/45/389230145.db2.gz JKTPVZOLFLHSAG-GUIRCDHDSA-N 0 1 281.400 0.990 20 30 CCEDMN C[C@H](NC(=O)N1CCN(CC(C)(C)O)CC1)c1cn[nH]c1 ZINC000330477311 389233893 /nfs/dbraw/zinc/23/38/93/389233893.db2.gz WCYQIKRFEKXLBQ-NSHDSACASA-N 0 1 295.387 0.773 20 30 CCEDMN N#CCc1cccc(C(=O)Nc2nn[nH]c2C(N)=O)c1 ZINC000362423069 389236257 /nfs/dbraw/zinc/23/62/57/389236257.db2.gz LONZYKOEQNXRIZ-UHFFFAOYSA-N 0 1 270.252 0.222 20 30 CCEDMN N#Cc1cc(NCCc2nc[nH]n2)cc(N)c1[N+](=O)[O-] ZINC000342660143 389236672 /nfs/dbraw/zinc/23/66/72/389236672.db2.gz HOVNHOKRSWKHFP-UHFFFAOYSA-N 0 1 273.256 0.821 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](C(=O)OC(C)(C)C)C1 ZINC000247151073 389236794 /nfs/dbraw/zinc/23/67/94/389236794.db2.gz UHOYPBLLNYVKSR-VXGBXAGGSA-N 0 1 280.368 0.788 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](C(=O)OC(C)(C)C)C1 ZINC000247151060 389237102 /nfs/dbraw/zinc/23/71/02/389237102.db2.gz UHOYPBLLNYVKSR-NWDGAFQWSA-N 0 1 280.368 0.788 20 30 CCEDMN C#CCOCCC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000133739732 389238987 /nfs/dbraw/zinc/23/89/87/389238987.db2.gz SBVILRTYSDHSIZ-OAHLLOKOSA-N 0 1 294.395 0.597 20 30 CCEDMN C#CCOCCC(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000155567294 389319782 /nfs/dbraw/zinc/31/97/82/389319782.db2.gz BDIUPXAMMRWUHP-UHFFFAOYSA-N 0 1 262.313 0.551 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCC[C@](C)(O)C1 ZINC000346516089 389330771 /nfs/dbraw/zinc/33/07/71/389330771.db2.gz CAPNDUXSKJBGCA-YPMHNXCESA-N 0 1 265.357 0.784 20 30 CCEDMN CC#CCCNS(=O)(=O)c1scnc1C(=O)OC ZINC000158291838 389338712 /nfs/dbraw/zinc/33/87/12/389338712.db2.gz UQAWNRLHXYLDON-UHFFFAOYSA-N 0 1 288.350 0.621 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCC#N)CCN1CC(C)(C)O ZINC000408364494 389341527 /nfs/dbraw/zinc/34/15/27/389341527.db2.gz CMDTXUVQDHIEPQ-LLVKDONJSA-N 0 1 268.361 0.387 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000370813810 389416169 /nfs/dbraw/zinc/41/61/69/389416169.db2.gz CAYOLSZDBSWEGM-LBPRGKRZSA-N 0 1 298.306 0.594 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N([C@H]2CCc3nc[nH]c3C2)C1=O ZINC000348474173 389379081 /nfs/dbraw/zinc/37/90/81/389379081.db2.gz OMPLNEQZGURVRU-CABZTGNLSA-N 0 1 290.323 0.390 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N([C@H](C)Cc2cc(C)[nH]n2)C1=O ZINC000348575977 389382999 /nfs/dbraw/zinc/38/29/99/389382999.db2.gz RYSXMPQTDFCIAN-PWSUYJOCSA-N 0 1 292.339 0.772 20 30 CCEDMN CC[C@H](CS(=O)(=O)Nc1ccc(C#N)cn1)OC ZINC000421229338 389355125 /nfs/dbraw/zinc/35/51/25/389355125.db2.gz RWRAQWFSRGPUGM-SNVBAGLBSA-N 0 1 269.326 0.542 20 30 CCEDMN C=C[C@H](CO)NS(=O)(=O)c1c(F)cccc1F ZINC000349798509 389437967 /nfs/dbraw/zinc/43/79/67/389437967.db2.gz IOCSCJGDCPEBRN-SSDOTTSWSA-N 0 1 263.265 0.790 20 30 CCEDMN Cc1[nH]nc(C(=O)N2CCSC[C@@H]2C#N)c1[N+](=O)[O-] ZINC000271800725 389445685 /nfs/dbraw/zinc/44/56/85/389445685.db2.gz WPEIJZPWWZWTOD-ZETCQYMHSA-N 0 1 281.297 0.708 20 30 CCEDMN N#CCC1(CNC(=O)[C@H]2C[N@H+]3CCN2CCC3)CC1 ZINC000373819835 389518634 /nfs/dbraw/zinc/51/86/34/389518634.db2.gz OTXBCNSYTGVPJW-GFCCVEGCSA-N 0 1 262.357 0.186 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2nc(C)cc(C#N)n2)C1 ZINC000413492153 389520810 /nfs/dbraw/zinc/52/08/10/389520810.db2.gz CKKUKXQBFDHTIH-NSHDSACASA-N 0 1 289.339 0.198 20 30 CCEDMN N#CCCN(CCCC(=O)NC(N)=O)CC1CC1 ZINC000176255753 389554926 /nfs/dbraw/zinc/55/49/26/389554926.db2.gz VJNGNXMFCTVESY-UHFFFAOYSA-N 0 1 252.318 0.587 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N[C@H](CO)CN1CCCCC1 ZINC000413793284 389558271 /nfs/dbraw/zinc/55/82/71/389558271.db2.gz ALIAWEYTKGPXBN-GXTWGEPZSA-N 0 1 267.373 0.889 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(c2c[nH]cn2)CC1 ZINC000374208864 389559118 /nfs/dbraw/zinc/55/91/18/389559118.db2.gz NVYDSKLSGHXZDA-LLVKDONJSA-N 0 1 260.341 0.727 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCCN2C)C1=O ZINC000281680054 389622970 /nfs/dbraw/zinc/62/29/70/389622970.db2.gz KLYLAUHSHKHXKX-NEPJUHHUSA-N 0 1 265.357 0.326 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000424665202 389628474 /nfs/dbraw/zinc/62/84/74/389628474.db2.gz NKKAGWFRYLNHIH-LBPRGKRZSA-N 0 1 253.390 0.951 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000351615041 389634751 /nfs/dbraw/zinc/63/47/51/389634751.db2.gz KSBYZSHXNDHOEF-LLVKDONJSA-N 0 1 290.367 0.966 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)CN2CCc3n[nH]nc3C2)cc1 ZINC000351618578 389636570 /nfs/dbraw/zinc/63/65/70/389636570.db2.gz JWNVHMSTJQVPKF-LBPRGKRZSA-N 0 1 299.334 0.474 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000351625058 389638467 /nfs/dbraw/zinc/63/84/67/389638467.db2.gz BUQQLEUGZXDIFL-SNVBAGLBSA-N 0 1 278.356 0.800 20 30 CCEDMN N#Cc1ccc(OCCNC(=O)CCc2nc[nH]n2)cc1 ZINC000177231721 389576726 /nfs/dbraw/zinc/57/67/26/389576726.db2.gz SEAMJUBBGROWEM-UHFFFAOYSA-N 0 1 285.307 0.804 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC([C@H]2CCOC2)CC1 ZINC000374623629 389594038 /nfs/dbraw/zinc/59/40/38/389594038.db2.gz OJPVSEAVGURNFE-JSGCOSHPSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1C[C@@H](O)C(C)(C)C1 ZINC000279792044 389595355 /nfs/dbraw/zinc/59/53/55/389595355.db2.gz OKXKKPDELGHLCB-NXEZZACHSA-N 0 1 269.345 0.089 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[C@H]2CCN(C)C[C@@H]21 ZINC000335743286 389602408 /nfs/dbraw/zinc/60/24/08/389602408.db2.gz REHFWOAVOUTIDZ-NEPJUHHUSA-N 0 1 258.387 0.918 20 30 CCEDMN CC(C)[C@H](CNC(=O)NC[C@H](C)C#N)N1CCN(C)CC1 ZINC000182415510 389708272 /nfs/dbraw/zinc/70/82/72/389708272.db2.gz APRGJUVRJCRAPI-KGLIPLIRSA-N 0 1 295.431 0.717 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2ncc(F)cc2F)[nH]1 ZINC000428641681 389711349 /nfs/dbraw/zinc/71/13/49/389711349.db2.gz WJTTWFKRXAWDJM-UHFFFAOYSA-N 0 1 263.207 0.639 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](N2CCOCC2)[C@H]1C ZINC000351937223 389719953 /nfs/dbraw/zinc/71/99/53/389719953.db2.gz NUYYRBXQKIVVFX-RDBSUJKOSA-N 0 1 281.400 0.472 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H](c2nnc[nH]2)C1 ZINC000425553190 389652206 /nfs/dbraw/zinc/65/22/06/389652206.db2.gz TZJCPDGLFWJODJ-NSHDSACASA-N 0 1 276.344 0.356 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](c2nnc[nH]2)C1 ZINC000425554410 389652774 /nfs/dbraw/zinc/65/27/74/389652774.db2.gz WACTXDUKOMKGJO-SMDDNHRTSA-N 0 1 290.371 0.648 20 30 CCEDMN CCc1nc(CN(CCC#N)CCN2CCOCC2)n[nH]1 ZINC000181729974 389693009 /nfs/dbraw/zinc/69/30/09/389693009.db2.gz BBOXWRBUVSVFLH-UHFFFAOYSA-N 0 1 292.387 0.415 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(CC(=O)OC)CC1 ZINC000429672769 389764306 /nfs/dbraw/zinc/76/43/06/389764306.db2.gz FPGYALBCYJBKIQ-GFCCVEGCSA-N 0 1 256.346 0.104 20 30 CCEDMN N#Cc1ccc(CNC(=O)CN2CCc3n[nH]nc3C2)cc1 ZINC000429303817 389750091 /nfs/dbraw/zinc/75/00/91/389750091.db2.gz DCPGIWAFXYLVSV-UHFFFAOYSA-N 0 1 296.334 0.351 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N(C)[C@@H]1CCCN(C)C1 ZINC000408238475 389837245 /nfs/dbraw/zinc/83/72/45/389837245.db2.gz SGZHYHDDIRYIOS-LLVKDONJSA-N 0 1 274.390 0.103 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N1CC(C)(C#N)C1 ZINC000352891670 389840025 /nfs/dbraw/zinc/84/00/25/389840025.db2.gz IBTRHHWBJRGKKA-UHFFFAOYSA-N 0 1 259.375 0.503 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1CCNC[C@H]1CCC ZINC000419155423 389888254 /nfs/dbraw/zinc/88/82/54/389888254.db2.gz SZMKWMUSYSPENH-GFCCVEGCSA-N 0 1 299.440 0.622 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H](COCCOC)C1 ZINC000174112974 260062075 /nfs/dbraw/zinc/06/20/75/260062075.db2.gz RRZZZGMAGUUPOV-STQMWFEESA-N 0 1 268.357 0.109 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@@H]1CCN(CCOC)C1 ZINC000435440422 389956928 /nfs/dbraw/zinc/95/69/28/389956928.db2.gz WMWFGSXVWTUPCY-LLVKDONJSA-N 0 1 262.375 0.203 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC000435441455 389957557 /nfs/dbraw/zinc/95/75/57/389957557.db2.gz FTTCDWUORIVOPH-ZYHUDNBSSA-N 0 1 258.387 0.965 20 30 CCEDMN CC#CCCNC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000436426608 389976395 /nfs/dbraw/zinc/97/63/95/389976395.db2.gz DATUJMBFTMJWMS-CYBMUJFWSA-N 0 1 281.400 0.886 20 30 CCEDMN C=C[C@H](C)Nc1nc(NCCOC)nc(N[C@@H](C)C=C)n1 ZINC000448133539 389979154 /nfs/dbraw/zinc/97/91/54/389979154.db2.gz XOYVIXVBDYRXSB-QWRGUYRKSA-N 0 1 292.387 0.168 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+](C)[C@H](CO)CC(C)(C)C ZINC000451778914 390004467 /nfs/dbraw/zinc/00/44/67/390004467.db2.gz KLBANKRNFWYTSS-NSHDSACASA-N 0 1 285.388 0.727 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@H](CO)CC(C)(C)C ZINC000451778914 390004469 /nfs/dbraw/zinc/00/44/69/390004469.db2.gz KLBANKRNFWYTSS-NSHDSACASA-N 0 1 285.388 0.727 20 30 CCEDMN CC[C@@H]1CN(C)CCCN1S(=O)(=O)N(C)CCC#N ZINC000439219113 390016678 /nfs/dbraw/zinc/01/66/78/390016678.db2.gz XWYMAVAIYAKZTC-GFCCVEGCSA-N 0 1 288.417 0.493 20 30 CCEDMN N#CCC1CCN([C@@H]2CC(=O)N(C3CC3)C2=O)CC1 ZINC000542836055 390274438 /nfs/dbraw/zinc/27/44/38/390274438.db2.gz VTWVSXWSAGFWAA-GFCCVEGCSA-N 0 1 261.325 0.902 20 30 CCEDMN N#CCC(=O)N1CCN(CC2(O)CCCC2)CC1 ZINC000563895684 390494389 /nfs/dbraw/zinc/49/43/89/390494389.db2.gz XGASSWXGWAQJME-UHFFFAOYSA-N 0 1 251.330 0.349 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000649182810 390512779 /nfs/dbraw/zinc/51/27/79/390512779.db2.gz FLHMBDPNEGZFBO-LLVKDONJSA-N 0 1 287.323 0.314 20 30 CCEDMN C[C@H](NCc1cnc2c(C#N)cnn2c1)C(=O)N(C)C ZINC000519608253 390517087 /nfs/dbraw/zinc/51/70/87/390517087.db2.gz ZLAUKIZOBDWQNR-VIFPVBQESA-N 0 1 272.312 0.167 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(CCO)CC1 ZINC000520747522 390589365 /nfs/dbraw/zinc/58/93/65/390589365.db2.gz BSOVXQZPCPHOFJ-LLVKDONJSA-N 0 1 283.372 0.481 20 30 CCEDMN CCC[C@@H](C#N)NC(=O)CN1CCN(CC)CC1 ZINC000525178169 390660247 /nfs/dbraw/zinc/66/02/47/390660247.db2.gz QYCHMGRZXZTMMM-LBPRGKRZSA-N 0 1 252.362 0.432 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H]2CCC(=O)N(C)C2)C1=O ZINC000568178355 390712156 /nfs/dbraw/zinc/71/21/56/390712156.db2.gz NBMHILVABIPXKP-NWDGAFQWSA-N 0 1 265.357 0.326 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN3CCOC[C@H]3C2)CC1 ZINC000528446775 390744790 /nfs/dbraw/zinc/74/47/90/390744790.db2.gz VVGNLNQPHAFLNQ-CHWSQXEVSA-N 0 1 265.357 0.058 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CC2(C#N)CC2)C[C@@]2(CCOC2)O1 ZINC000528484616 390749198 /nfs/dbraw/zinc/74/91/98/390749198.db2.gz ISJGVLBQNYLOIP-VNHYZAJKSA-N 0 1 280.368 0.921 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000529912672 390873044 /nfs/dbraw/zinc/87/30/44/390873044.db2.gz BMELNEVCLWYCHR-GFCCVEGCSA-N 0 1 265.357 0.859 20 30 CCEDMN C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NC[C@H](C)C#N ZINC000530596901 390876776 /nfs/dbraw/zinc/87/67/76/390876776.db2.gz APEWKODJQDQEEG-NXEZZACHSA-N 0 1 299.334 0.957 20 30 CCEDMN CCN1CCN(C(=O)[C@H](C)n2cnc(C#N)n2)[C@@H](C)C1 ZINC000341792779 130031368 /nfs/dbraw/zinc/03/13/68/130031368.db2.gz VOHAMLBOEXRXGP-QWRGUYRKSA-N 0 1 276.344 0.263 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+](CCC)CC(=O)[O-] ZINC000262390873 131217953 /nfs/dbraw/zinc/21/79/53/131217953.db2.gz RFGOXSAOONOBBI-VIFPVBQESA-N 0 1 271.317 0.183 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@@H+](CCC)CC(=O)[O-] ZINC000262390873 131217955 /nfs/dbraw/zinc/21/79/55/131217955.db2.gz RFGOXSAOONOBBI-VIFPVBQESA-N 0 1 271.317 0.183 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccc(C#N)cn2)[C@@H](C)C1 ZINC000275405017 135106166 /nfs/dbraw/zinc/10/61/66/135106166.db2.gz RROQURUWXNPMJT-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN CN1CCN(C[C@H](O)COc2ccccc2C#N)CC1 ZINC000034673884 397375842 /nfs/dbraw/zinc/37/58/42/397375842.db2.gz GUMXACLZJUKRMH-AWEZNQCLSA-N 0 1 275.352 0.545 20 30 CCEDMN N#Cc1ccncc1C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000358577062 144163557 /nfs/dbraw/zinc/16/35/57/144163557.db2.gz HRSCFQRJJRBNGK-LLVKDONJSA-N 0 1 256.309 0.920 20 30 CCEDMN CN(CC(=O)NCc1cccc(C#N)c1)CC(C)(C)O ZINC000358953920 144188093 /nfs/dbraw/zinc/18/80/93/144188093.db2.gz RRGPTFBHSYUMNX-UHFFFAOYSA-N 0 1 275.352 0.877 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@H]2CCCC[C@@H]2O)CC1 ZINC000649472282 397617144 /nfs/dbraw/zinc/61/71/44/397617144.db2.gz KDRMBMOSGDFZGW-CABCVRRESA-N 0 1 295.427 0.457 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCOC)CC1(C)C ZINC000649849100 397674143 /nfs/dbraw/zinc/67/41/43/397674143.db2.gz ODDAMFZPHMOWRH-UHFFFAOYSA-N 0 1 269.389 0.331 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N(C)CC1CN(C)C1 ZINC000658600600 413176315 /nfs/dbraw/zinc/17/63/15/413176315.db2.gz JVZREQXFYCWVCG-UHFFFAOYSA-N 0 1 267.373 0.431 20 30 CCEDMN N#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)Cc1nnc[nH]1 ZINC000960323593 649853399 /nfs/dbraw/zinc/85/33/99/649853399.db2.gz ILBWGHBQYFRBNT-IUODEOHRSA-N 0 1 296.334 0.243 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N(CC)[C@H]1CC[N@@H+](C)C1 ZINC000658801580 413207343 /nfs/dbraw/zinc/20/73/43/413207343.db2.gz JFEKMZWXSQWQSS-ZDUSSCGKSA-N 0 1 281.400 0.964 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC000658801580 413207351 /nfs/dbraw/zinc/20/73/51/413207351.db2.gz JFEKMZWXSQWQSS-ZDUSSCGKSA-N 0 1 281.400 0.964 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N(CC)[C@H]1CC[N@@H+](C)C1 ZINC000658800816 413210546 /nfs/dbraw/zinc/21/05/46/413210546.db2.gz AOAZNQOKQXVAQF-LBPRGKRZSA-N 0 1 267.373 0.574 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC000658800816 413210555 /nfs/dbraw/zinc/21/05/55/413210555.db2.gz AOAZNQOKQXVAQF-LBPRGKRZSA-N 0 1 267.373 0.574 20 30 CCEDMN C[C@H](Oc1ccc(C#N)cc1)C(=O)NCc1nn[nH]n1 ZINC000044928532 411631973 /nfs/dbraw/zinc/63/19/73/411631973.db2.gz XNWPXFGMLLAIAT-QMMMGPOBSA-N 0 1 272.268 0.155 20 30 CCEDMN N#Cc1ccccc1NC(=O)CCN1CCC[C@@H]1C(N)=O ZINC000020294733 171134963 /nfs/dbraw/zinc/13/49/63/171134963.db2.gz UQEFAMOYWMMXCM-CYBMUJFWSA-N 0 1 286.335 0.837 20 30 CCEDMN C=CCCn1cc(CN2CCN(C(C)(C)CO)CC2)nn1 ZINC000653580485 412320442 /nfs/dbraw/zinc/32/04/42/412320442.db2.gz SAIMUCBFCYLRDT-UHFFFAOYSA-N 0 1 293.415 0.743 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N(C)CC1CN(C)C1 ZINC000661271060 414587275 /nfs/dbraw/zinc/58/72/75/414587275.db2.gz JXTYTIPLVCTKJI-NEPJUHHUSA-N 0 1 253.346 0.533 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@H](C(=O)NC(C)C)C1 ZINC000662117235 414691596 /nfs/dbraw/zinc/69/15/96/414691596.db2.gz BOAOBMPQLCEHMK-STQMWFEESA-N 0 1 281.400 0.914 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)NCc1ccccc1F ZINC000662165577 414694875 /nfs/dbraw/zinc/69/48/75/414694875.db2.gz JLXZPUWXMUXODY-ZYHUDNBSSA-N 0 1 266.316 0.967 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)NCc1cccs1 ZINC000662166246 414695200 /nfs/dbraw/zinc/69/52/00/414695200.db2.gz XDRBYBGPKWFHKJ-NXEZZACHSA-N 0 1 254.355 0.889 20 30 CCEDMN C=C[C@H](O)C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000665537911 416451516 /nfs/dbraw/zinc/45/15/16/416451516.db2.gz AXCGSNVXQIMIBQ-RYUDHWBXSA-N 0 1 256.346 0.006 20 30 CCEDMN O=C(N[C@@H]1CC[N@H+](C2CC2)C1)N[C@@H]1C=C[C@H](CO)C1 ZINC000330106583 228041243 /nfs/dbraw/zinc/04/12/43/228041243.db2.gz LCKLPUUPMWFXDS-QJPTWQEYSA-N 0 1 265.357 0.664 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CCc2cnc[nH]2)C1=O ZINC000281695717 544961607 /nfs/dbraw/zinc/96/16/07/544961607.db2.gz LRFVTFPSPHXSMC-GFCCVEGCSA-N 0 1 276.340 0.588 20 30 CCEDMN C[C@H](C#N)CNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000538111976 420414307 /nfs/dbraw/zinc/41/43/07/420414307.db2.gz BLARBRMLVCSSOT-SNVBAGLBSA-N 0 1 298.306 0.482 20 30 CCEDMN CCN1CCC[C@H](NS(=O)(=O)N(C)CCC#N)C1 ZINC000408038929 260190068 /nfs/dbraw/zinc/19/00/68/260190068.db2.gz ROIQRDNVFJNOKN-NSHDSACASA-N 0 1 274.390 0.151 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)[C@H](C)CN1C ZINC000408075327 260214544 /nfs/dbraw/zinc/21/45/44/260214544.db2.gz YVLXAUDHEIBTQF-JMSVASOKSA-N 0 1 278.400 0.819 20 30 CCEDMN CCCNC(=O)CON=C(N)c1ccc(N(C)C)cc1 ZINC000079863458 260308560 /nfs/dbraw/zinc/30/85/60/260308560.db2.gz YXZJSAXMUDZFMI-UHFFFAOYSA-N 0 1 278.356 0.916 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](O)[C@@H](CC)C2)CC1 ZINC000490892657 261238880 /nfs/dbraw/zinc/23/88/80/261238880.db2.gz NYESQTLVOFIRKO-GXTWGEPZSA-N 0 1 264.369 0.561 20 30 CCEDMN C[C@@H](CNC(=O)N1CCO[C@@H](C#N)C1)[N@@H+](C)C1CC1 ZINC000106339304 261369654 /nfs/dbraw/zinc/36/96/54/261369654.db2.gz KAKKLDVEKDKBNV-JQWIXIFHSA-N 0 1 266.345 0.403 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)C[C@H](O)Cc1ccccc1 ZINC000491240682 261384887 /nfs/dbraw/zinc/38/48/87/261384887.db2.gz BVPAYEVSKWAYDJ-DZGCQCFKSA-N 0 1 274.364 0.660 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000491780328 262039123 /nfs/dbraw/zinc/03/91/23/262039123.db2.gz KGULGDNJIFOULW-OLZOCXBDSA-N 0 1 266.389 0.285 20 30 CCEDMN CN1CC[C@H]2CCN(S(=O)(=O)CCCC#N)[C@@H]2C1 ZINC000364854549 262262952 /nfs/dbraw/zinc/26/29/52/262262952.db2.gz ONNOBRWLQIHZDQ-NWDGAFQWSA-N 0 1 271.386 0.646 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCOCC2(CCOCC2)C1 ZINC000184103116 262269466 /nfs/dbraw/zinc/26/94/66/262269466.db2.gz KKLMXINXAHQSJU-ZDUSSCGKSA-N 0 1 282.384 0.806 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N[C@H](Cc1cnc[nH]1)C(=O)OC ZINC000354523820 262270090 /nfs/dbraw/zinc/27/00/90/262270090.db2.gz ZERWBVFKCGDGFJ-ZWNOBZJWSA-N 0 1 278.312 0.550 20 30 CCEDMN C=CCN1CC[C@H](N2CCC([C@@H](C)O)CC2)C1=O ZINC000337131946 262423544 /nfs/dbraw/zinc/42/35/44/262423544.db2.gz AGIIHUMYRPWUFG-YPMHNXCESA-N 0 1 252.358 0.866 20 30 CCEDMN CC[C@H](C#N)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000263815110 262854176 /nfs/dbraw/zinc/85/41/76/262854176.db2.gz OKLZCSRWBYNDHH-MRVPVSSYSA-N 0 1 273.300 0.207 20 30 CCEDMN CC(C)[C@](C)(NCC(=O)N(CCC#N)CCC#N)C(N)=O ZINC000289419802 263110131 /nfs/dbraw/zinc/11/01/31/263110131.db2.gz UPDDFFOYPRAIGT-AWEZNQCLSA-N 0 1 293.371 0.132 20 30 CCEDMN CCOC(=O)c1csc(C(C#N)C(=O)NCCOC)n1 ZINC000340346981 263117490 /nfs/dbraw/zinc/11/74/90/263117490.db2.gz CHRKZMGZOABDNW-QMMMGPOBSA-N 0 1 297.336 0.690 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)C1(C#N)CCCC1 ZINC000340590338 263214572 /nfs/dbraw/zinc/21/45/72/263214572.db2.gz UAGJBVCJFXSIKJ-LLVKDONJSA-N 0 1 290.323 0.694 20 30 CCEDMN CN1CCN(CC(=O)NCC#N)C[C@@H]1Cc1ccccc1 ZINC000341994001 263372368 /nfs/dbraw/zinc/37/23/68/263372368.db2.gz RRQYXZCDFVMGRM-HNNXBMFYSA-N 0 1 286.379 0.485 20 30 CCEDMN CC[C@H](CO)NC([O-])=[NH+][C@H]1CCc2nc(COC)nn2C1 ZINC000330659613 263991052 /nfs/dbraw/zinc/99/10/52/263991052.db2.gz ASJSVTWXMWNBRO-ZJUUUORDSA-N 0 1 297.359 0.014 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCn2c(n[nH]c2=O)C1 ZINC000330865083 264057210 /nfs/dbraw/zinc/05/72/10/264057210.db2.gz BOMPRSVAZZXNIW-UHFFFAOYSA-N 0 1 279.344 0.224 20 30 CCEDMN COCc1nc2n(n1)C[C@H]([NH+]=C([O-])NCC(C)(C)O)CC2 ZINC000330946948 264085214 /nfs/dbraw/zinc/08/52/14/264085214.db2.gz LJLDMXDDJUOHLD-SECBINFHSA-N 0 1 297.359 0.014 20 30 CCEDMN COCc1nc2n(n1)C[C@H](NC(=O)NCC(C)(C)O)CC2 ZINC000330946948 264085215 /nfs/dbraw/zinc/08/52/15/264085215.db2.gz LJLDMXDDJUOHLD-SECBINFHSA-N 0 1 297.359 0.014 20 30 CCEDMN CCOC[C@@H]1CCN(C(=O)NCCn2cncn2)C1 ZINC000330956266 264087577 /nfs/dbraw/zinc/08/75/77/264087577.db2.gz NJBFIHMMMTWRCA-LLVKDONJSA-N 0 1 267.333 0.551 20 30 CCEDMN C[C@H](C[S@](C)=O)NC(=O)NC[C@H]1CCc2nccn2C1 ZINC000330964117 264089644 /nfs/dbraw/zinc/08/96/44/264089644.db2.gz KREWOGXHBCQCLV-HZNYWTHISA-N 0 1 298.412 0.716 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@](C)(OC)C1 ZINC000330966216 264090682 /nfs/dbraw/zinc/09/06/82/264090682.db2.gz DROYCTZYBPHDFJ-CYBMUJFWSA-N 0 1 269.345 0.704 20 30 CCEDMN Cn1ccnc1CNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000330981587 264102412 /nfs/dbraw/zinc/10/24/12/264102412.db2.gz NYKHNYCNMNCSFB-UHFFFAOYSA-N 0 1 295.387 0.223 20 30 CCEDMN C=CCNC(=O)CN1CCC([C@@H](C)NC(C)=O)CC1 ZINC000331158115 264141833 /nfs/dbraw/zinc/14/18/33/264141833.db2.gz ZHBCNUZECLDRER-LLVKDONJSA-N 0 1 267.373 0.525 20 30 CCEDMN CCOC(C)(C)CNC(=O)NC[C@H]1CN(C)CCN1C ZINC000331218363 264164839 /nfs/dbraw/zinc/16/48/39/264164839.db2.gz HMFMVPOHAUGCBO-LBPRGKRZSA-N 0 1 286.420 0.551 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC(CC)(CO)CC1 ZINC000127752787 264259853 /nfs/dbraw/zinc/25/98/53/264259853.db2.gz WEAVFLAXODWVKN-UHFFFAOYSA-N 0 1 283.372 0.483 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@H](C(=O)NCC)C(C)C ZINC000293263114 264923155 /nfs/dbraw/zinc/92/31/55/264923155.db2.gz MUSVQLRLLFMASD-AWEZNQCLSA-N 0 1 281.400 0.937 20 30 CCEDMN COC(=O)Cn1cc(CCN2CCC(C)(C#N)CC2)nn1 ZINC000356429242 266111915 /nfs/dbraw/zinc/11/19/15/266111915.db2.gz CCBMQMPOGIPROZ-UHFFFAOYSA-N 0 1 291.355 0.619 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C)[C@H](C)[C@@H]1C ZINC000357076317 266242922 /nfs/dbraw/zinc/24/29/22/266242922.db2.gz WTIVAXFQLJAEIM-OLZOCXBDSA-N 0 1 266.389 0.773 20 30 CCEDMN C[C@H](CN(C)C(=O)c1ccc(C#N)[nH]1)c1nn[nH]n1 ZINC000352465548 278176990 /nfs/dbraw/zinc/17/69/90/278176990.db2.gz LGFMFCNSFVLKJG-SSDOTTSWSA-N 0 1 259.273 0.275 20 30 CCEDMN C[C@@]1(C(N)=O)CCN([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000361566225 289156293 /nfs/dbraw/zinc/15/62/93/289156293.db2.gz RGYCCKGPRULSBC-SWLSCSKDSA-N 0 1 286.335 0.282 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2[nH]cnc2C1)N1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000329747358 295384697 /nfs/dbraw/zinc/38/46/97/295384697.db2.gz SIEFKKFDUSXATJ-OXIWPEFWSA-N 0 1 290.367 0.884 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2[nH]cnc2C1)N1CCn2cncc2C1 ZINC000329741072 295384795 /nfs/dbraw/zinc/38/47/95/295384795.db2.gz OIGODAPJWXYQBR-SNVBAGLBSA-N 0 1 286.339 0.893 20 30 CCEDMN Cc1nnc(SCCC(=O)N2CCN(CC#N)CC2)[nH]1 ZINC000266910213 328170429 /nfs/dbraw/zinc/17/04/29/328170429.db2.gz SCRGIWPIANTQDW-UHFFFAOYSA-N 0 1 294.384 0.263 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2cnccn2)CC1 ZINC000122520449 328396544 /nfs/dbraw/zinc/39/65/44/328396544.db2.gz NYRYLQSKPYWKBW-ZDUSSCGKSA-N 0 1 262.357 0.926 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](CC)N(C)C)C1=O ZINC000281727053 328506082 /nfs/dbraw/zinc/50/60/82/328506082.db2.gz NTVGKEPKARTGTN-VXGBXAGGSA-N 0 1 267.373 0.572 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(CC(=O)N(C)C)CC1 ZINC000182213381 328506911 /nfs/dbraw/zinc/50/69/11/328506911.db2.gz DGIWRGZPEHQSSG-GFCCVEGCSA-N 0 1 281.400 0.867 20 30 CCEDMN N#CC1CCN(C[C@H](O)Cn2cc([N+](=O)[O-])cn2)CC1 ZINC000173587377 329149542 /nfs/dbraw/zinc/14/95/42/329149542.db2.gz OOUQXYDLKPXRFC-LBPRGKRZSA-N 0 1 279.300 0.388 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@@](C)(O)C1 ZINC000177970712 329190985 /nfs/dbraw/zinc/19/09/85/329190985.db2.gz BQXOJVKATVBIOQ-WCQYABFASA-N 0 1 253.346 0.451 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](C)c1cccnc1 ZINC000181305531 329230810 /nfs/dbraw/zinc/23/08/10/329230810.db2.gz ZUUVVGWUJNRJBS-SNVBAGLBSA-N 0 1 262.313 0.744 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000181665194 329235589 /nfs/dbraw/zinc/23/55/89/329235589.db2.gz XTDKUZNEDURJSK-UHFFFAOYSA-N 0 1 285.307 0.504 20 30 CCEDMN CNC(=O)[C@@H]1CN(CCCC(C)(C)C#N)CCO1 ZINC000184051122 329257335 /nfs/dbraw/zinc/25/73/35/329257335.db2.gz VIYWLOZPPBIEMD-NSHDSACASA-N 0 1 253.346 0.763 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H](C)c2n[nH]c(C)n2)C1=O ZINC000583070713 329310188 /nfs/dbraw/zinc/31/01/88/329310188.db2.gz ZCAQDFCGEWHABE-MWLCHTKSSA-N 0 1 263.345 0.893 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCC[C@@]12CCOC2=O ZINC000186645842 329346978 /nfs/dbraw/zinc/34/69/78/329346978.db2.gz YEPWABRTUFDGJX-AWEZNQCLSA-N 0 1 279.340 0.530 20 30 CCEDMN C=CCN(CCOC)CCN1C(=O)NC2(CCCC2)C1=O ZINC000189421549 329382213 /nfs/dbraw/zinc/38/22/13/329382213.db2.gz OTJVXKRZPUYQBC-UHFFFAOYSA-N 0 1 295.383 0.985 20 30 CCEDMN COC(=O)c1ncsc1S(=O)(=O)NCCCC#N ZINC000134705393 329660413 /nfs/dbraw/zinc/66/04/13/329660413.db2.gz BTDKDNQMLQXPOG-UHFFFAOYSA-N 0 1 289.338 0.512 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1C(=O)C(=O)NCC#Cc1ccccc1 ZINC000419124090 329708849 /nfs/dbraw/zinc/70/88/49/329708849.db2.gz ZBZNFAQVUJDYQU-UONOGXRCSA-N 0 1 299.374 0.363 20 30 CCEDMN C[C@@H](C#N)CNC[C@@H](O)CN1C(=O)c2ccccc2C1=O ZINC000572356805 329999963 /nfs/dbraw/zinc/99/99/63/329999963.db2.gz WEDCQILWFPINGA-WDEREUQCSA-N 0 1 287.319 0.393 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000423366565 330194629 /nfs/dbraw/zinc/19/46/29/330194629.db2.gz XWZUDKOJVIRCDY-TXEJJXNPSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](COCC)C1 ZINC000081520529 330212621 /nfs/dbraw/zinc/21/26/21/330212621.db2.gz USSQXSZOLSZNDT-LLVKDONJSA-N 0 1 269.345 0.357 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)C(=O)N1CCNC(C)(C)C1 ZINC000423712023 330214528 /nfs/dbraw/zinc/21/45/28/330214528.db2.gz NQIRFWINHVDJCZ-UHFFFAOYSA-N 0 1 280.372 0.205 20 30 CCEDMN CC#CCN(C)C(=O)C(=O)N1CCNC[C@H]1CCC ZINC000423718218 330214569 /nfs/dbraw/zinc/21/45/69/330214569.db2.gz KTDGZYPIEWULNY-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN CS(=O)(=O)c1ccc(NC(=O)[C@H](N)CCCC#N)cn1 ZINC000424131248 330262662 /nfs/dbraw/zinc/26/26/62/330262662.db2.gz IUGFQIVLPYFNQM-SNVBAGLBSA-N 0 1 296.352 0.445 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)c1ccnc(CN)c1 ZINC000424215186 330328869 /nfs/dbraw/zinc/32/88/69/330328869.db2.gz ZEFQXKFEIFTOOP-AAEUAGOBSA-N 0 1 261.325 0.861 20 30 CCEDMN C=CCn1cc(CN[C@H](COC)C2CCOCC2)nn1 ZINC000424290435 330334695 /nfs/dbraw/zinc/33/46/95/330334695.db2.gz BTZDELQNGDMQIG-CQSZACIVSA-N 0 1 280.372 0.995 20 30 CCEDMN Cc1noc(C[C@@H](NCC(=O)NCC#N)c2ccccc2)n1 ZINC000429553462 330548922 /nfs/dbraw/zinc/54/89/22/330548922.db2.gz FBTPWJNYCDAMQE-CYBMUJFWSA-N 0 1 299.334 0.891 20 30 CCEDMN CC(C)(CC#N)CS(=O)(=O)NCCN1CCCC1 ZINC000450994963 331011031 /nfs/dbraw/zinc/01/10/31/331011031.db2.gz CTGWYQSMFKKTPK-UHFFFAOYSA-N 0 1 273.402 0.941 20 30 CCEDMN CC[C@H](CO)N(C)CC(=O)N(CC)C[C@@H](C)C#N ZINC000451155635 331018004 /nfs/dbraw/zinc/01/80/04/331018004.db2.gz PKEHRPPNEQBMMW-NWDGAFQWSA-N 0 1 255.362 0.697 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](OC)[C@@H](C)C1 ZINC000451546114 331033409 /nfs/dbraw/zinc/03/34/09/331033409.db2.gz PCUOUYUCYVGXNY-WDEREUQCSA-N 0 1 269.345 0.355 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](CCCO)C1 ZINC000452127267 331055223 /nfs/dbraw/zinc/05/52/23/331055223.db2.gz KYVKYKXAXLVATA-NSHDSACASA-N 0 1 269.345 0.093 20 30 CCEDMN CC#CCN(C)C(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000454865905 331155201 /nfs/dbraw/zinc/15/52/01/331155201.db2.gz RZHXPCVIMGEEMV-UHFFFAOYSA-N 0 1 263.345 0.354 20 30 CCEDMN C=CCCCS(=O)(=O)NC[C@H](O)CN1CCCCC1 ZINC000489441069 332052852 /nfs/dbraw/zinc/05/28/52/332052852.db2.gz CWJIPIPDYGOTSX-ZDUSSCGKSA-N 0 1 290.429 0.719 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)c1cnn2cccnc12 ZINC000490615219 332095544 /nfs/dbraw/zinc/09/55/44/332095544.db2.gz DTTRTBDYHFDILM-GFCCVEGCSA-N 0 1 284.319 0.984 20 30 CCEDMN C#CCNC(=O)N1CC[C@H](N2CCN(CC)CC2)C1 ZINC000490627322 332096707 /nfs/dbraw/zinc/09/67/07/332096707.db2.gz BMXFQFKALISXDK-ZDUSSCGKSA-N 0 1 264.373 0.041 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1cnc2[n-]nnc2c1 ZINC000490713455 332107282 /nfs/dbraw/zinc/10/72/82/332107282.db2.gz KCCQSJFGTFQQTB-LLVKDONJSA-N 0 1 285.307 0.607 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](O)C[C@H]2C)CC1 ZINC000490739264 332110303 /nfs/dbraw/zinc/11/03/03/332110303.db2.gz HNTBGMLIKHMEPY-DGCLKSJQSA-N 0 1 250.342 0.313 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2nccs2)CC1 ZINC000491153999 332157517 /nfs/dbraw/zinc/15/75/17/332157517.db2.gz GCJDLELWSPSNKE-UHFFFAOYSA-N 0 1 278.381 0.405 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NCCCCN1CCOCC1 ZINC000491536008 332231611 /nfs/dbraw/zinc/23/16/11/332231611.db2.gz GWVRCENNEFWCCQ-ZDUSSCGKSA-N 0 1 267.373 0.810 20 30 CCEDMN C#C[C@@H](C)N(C)CC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000491657542 332268689 /nfs/dbraw/zinc/26/86/89/332268689.db2.gz GLLUPCHLKWLTEN-CABCVRRESA-N 0 1 295.427 0.413 20 30 CCEDMN C#C[C@H](NS(=O)(=O)CCN(CC)CC)C(C)C ZINC000491663404 332272570 /nfs/dbraw/zinc/27/25/70/332272570.db2.gz MTERQNPGCBZRLA-LBPRGKRZSA-N 0 1 260.403 0.905 20 30 CCEDMN C#C[C@@H](NS(=O)(=O)CCN(CC)CC)C(C)C ZINC000491663403 332272590 /nfs/dbraw/zinc/27/25/90/332272590.db2.gz MTERQNPGCBZRLA-GFCCVEGCSA-N 0 1 260.403 0.905 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000491699307 332293954 /nfs/dbraw/zinc/29/39/54/332293954.db2.gz UQHOFLBMFBYHCA-JTQLQIEISA-N 0 1 276.248 0.775 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1cccc2[nH]ccc21 ZINC000491741122 332319978 /nfs/dbraw/zinc/31/99/78/332319978.db2.gz YUCLSALDLOQOFV-NEPJUHHUSA-N 0 1 274.320 0.491 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2cnnn2C)CC1 ZINC000491759340 332331008 /nfs/dbraw/zinc/33/10/08/332331008.db2.gz MIAJWBLYQACMRN-UHFFFAOYSA-N 0 1 262.313 0.204 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)c1n[nH]c(CC)c1[N+](=O)[O-] ZINC000491761154 332331532 /nfs/dbraw/zinc/33/15/32/332331532.db2.gz UZHUTWAWRYFBLX-SSDOTTSWSA-N 0 1 250.258 0.974 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1ccc(C(C)=O)cc1 ZINC000491804350 332358045 /nfs/dbraw/zinc/35/80/45/332358045.db2.gz LMARVVSNBZMYOP-KBPBESRZSA-N 0 1 277.320 0.213 20 30 CCEDMN N#CCCNCCCN1C(=O)NC2(CCCC2)C1=O ZINC000552448417 334328277 /nfs/dbraw/zinc/32/82/77/334328277.db2.gz FHGIQKRTWRZWHB-UHFFFAOYSA-N 0 1 264.329 0.744 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000517580233 333103010 /nfs/dbraw/zinc/10/30/10/333103010.db2.gz JMNOATOZRCSQIL-UHFFFAOYSA-N 0 1 286.335 0.503 20 30 CCEDMN CNC(=O)[C@@H](NCC(=O)N[C@@](C)(C#N)C(C)C)C(C)C ZINC000183647436 333121504 /nfs/dbraw/zinc/12/15/04/333121504.db2.gz BBLJNNGFAUTGGW-JSGCOSHPSA-N 0 1 282.388 0.401 20 30 CCEDMN CCN(CCC(=O)N(C)CCC#N)C[C@H](O)C(F)(F)F ZINC000189459438 333193279 /nfs/dbraw/zinc/19/32/79/333193279.db2.gz YYYURNUCZPXZRY-JTQLQIEISA-N 0 1 295.305 0.994 20 30 CCEDMN C#CCN(C)CC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000192229520 333233132 /nfs/dbraw/zinc/23/31/32/333233132.db2.gz JVPUXBWYMPIOAX-AWEZNQCLSA-N 0 1 281.400 0.024 20 30 CCEDMN C[C@@]1(O)CCCN(CC(=O)N(CCC#N)CCC#N)CC1 ZINC000230379921 333316725 /nfs/dbraw/zinc/31/67/25/333316725.db2.gz GBRXSKWKHQBITD-OAHLLOKOSA-N 0 1 292.383 0.879 20 30 CCEDMN C=CCN1CC[C@@H](n2[nH]c(SC)nc2=O)C1=O ZINC000539708351 333686613 /nfs/dbraw/zinc/68/66/13/333686613.db2.gz SUEMRWSBADJWBP-SSDOTTSWSA-N 0 1 254.315 0.253 20 30 CCEDMN COC(=O)c1ncsc1S(=O)(=O)NC[C@@H](C)C#N ZINC000136430625 334154696 /nfs/dbraw/zinc/15/46/96/334154696.db2.gz OYAUCULYPCHFMG-LURJTMIESA-N 0 1 289.338 0.368 20 30 CCEDMN C[C@@H](O)CN(CC(=O)NC1(C#N)CCCCC1)[C@H](C)CO ZINC000248860923 334319847 /nfs/dbraw/zinc/31/98/47/334319847.db2.gz AAXLEVMWOJJTBT-CHWSQXEVSA-N 0 1 297.399 0.393 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1cccc2c1OCO2 ZINC000577588957 335374463 /nfs/dbraw/zinc/37/44/63/335374463.db2.gz NEWXDJBOJBAHQH-ZDUSSCGKSA-N 0 1 291.351 0.711 20 30 CCEDMN CO[C@@H]1COC[C@H]1N[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000578766369 335672492 /nfs/dbraw/zinc/67/24/92/335672492.db2.gz PBHNXGLRSNVHJT-BYNQJWBRSA-N 0 1 283.372 0.433 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000182815011 335844797 /nfs/dbraw/zinc/84/47/97/335844797.db2.gz XHQYCJLQHYKTLH-STQMWFEESA-N 0 1 280.372 0.510 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)c2cnccc2C#N)n[nH]1 ZINC000488445793 534542765 /nfs/dbraw/zinc/54/27/65/534542765.db2.gz SFQYEDKWZLOJAS-SSDOTTSWSA-N 0 1 256.269 0.871 20 30 CCEDMN CCN1C[C@@H](C)N(C(=O)c2cnn(C)c2C#N)C[C@@H]1C ZINC000356629059 521727186 /nfs/dbraw/zinc/72/71/86/521727186.db2.gz UTMSQWBODYBSLV-WDEREUQCSA-N 0 1 275.356 0.846 20 30 CCEDMN Cc1nc2ccc(NC(=O)Cn3cnc(C#N)n3)cc2[nH]1 ZINC000425951540 534658579 /nfs/dbraw/zinc/65/85/79/534658579.db2.gz DGYIHUBGARZVAL-UHFFFAOYSA-N 0 1 281.279 0.973 20 30 CCEDMN Cc1cc(CNCCS(=O)(=O)N(C)C)ccc1C#N ZINC000353123559 524756245 /nfs/dbraw/zinc/75/62/45/524756245.db2.gz QDOQGRFJNPHFBX-UHFFFAOYSA-N 0 1 281.381 0.848 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(CC)C[C@H]2C)C1=O ZINC000352698121 526480908 /nfs/dbraw/zinc/48/09/08/526480908.db2.gz LWRSUZISHVSAJR-CHWSQXEVSA-N 0 1 251.374 0.799 20 30 CCEDMN C=C(C)COCCNC(=O)NC[C@H]1CN(C)CCN1C ZINC000354492144 526641583 /nfs/dbraw/zinc/64/15/83/526641583.db2.gz DNHRTQHHUDQYHZ-ZDUSSCGKSA-N 0 1 284.404 0.124 20 30 CCEDMN C=CCCOCCNC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000354610118 527350107 /nfs/dbraw/zinc/35/01/07/527350107.db2.gz JQWCIHDTJKNTEU-UONOGXRCSA-N 0 1 299.415 0.676 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCCc1nc(C)n[nH]1 ZINC000668391528 545176014 /nfs/dbraw/zinc/17/60/14/545176014.db2.gz RARRCUFXSJXRGH-NSHDSACASA-N 0 1 279.344 0.243 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000668670905 545186556 /nfs/dbraw/zinc/18/65/56/545186556.db2.gz NIMRMPSFTICBKG-UKRRQHHQSA-N 0 1 283.416 0.312 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N(CC)CCC#N ZINC000352246548 528156939 /nfs/dbraw/zinc/15/69/39/528156939.db2.gz IJQKHEPOEATYDH-UHFFFAOYSA-N 0 1 261.391 0.894 20 30 CCEDMN CCN(CCOC)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000353455138 528563639 /nfs/dbraw/zinc/56/36/39/528563639.db2.gz PFLNWOLGEMOTMW-ZDUSSCGKSA-N 0 1 253.346 0.763 20 30 CCEDMN CCOC(=O)C[C@H](C)N(C)CC(=O)NC1(C#N)CCC1 ZINC000346975169 528894259 /nfs/dbraw/zinc/89/42/59/528894259.db2.gz VDCCESLORUQKCE-NSHDSACASA-N 0 1 281.356 0.822 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000676977512 545355762 /nfs/dbraw/zinc/35/57/62/545355762.db2.gz OXTDWXLMROFQMQ-ZJUUUORDSA-N 0 1 252.318 0.627 20 30 CCEDMN CCO[C@@H]1C[C@@H](O)C12CCN(CC#CCOC)CC2 ZINC000677133465 545358515 /nfs/dbraw/zinc/35/85/15/545358515.db2.gz XCZRCMWHPXWZKQ-ZIAGYGMSSA-N 0 1 267.369 0.888 20 30 CCEDMN COCC#CCN1CCCN(CC(=O)N2CCCC2)CC1 ZINC000677816835 545373201 /nfs/dbraw/zinc/37/32/01/545373201.db2.gz CYSDRMLRIBECGU-UHFFFAOYSA-N 0 1 293.411 0.266 20 30 CCEDMN CN(C)Cc1ccc(C(=O)NCCn2cnc(C#N)n2)cn1 ZINC000342868793 535997573 /nfs/dbraw/zinc/99/75/73/535997573.db2.gz JNWJJXLPALVVJH-UHFFFAOYSA-N 0 1 299.338 0.036 20 30 CCEDMN C=CCOCCNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000679491105 545410525 /nfs/dbraw/zinc/41/05/25/545410525.db2.gz FIAUCJSGOLURJY-CYBMUJFWSA-N 0 1 284.404 0.124 20 30 CCEDMN COCC#CCN[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000679933932 545419252 /nfs/dbraw/zinc/41/92/52/545419252.db2.gz ICSMFSAXOQNGOR-NSHDSACASA-N 0 1 282.365 0.634 20 30 CCEDMN COCC#CCN1C[C@@H](c2cnn(C)c2)[C@H](CO)C1 ZINC000683527456 545544306 /nfs/dbraw/zinc/54/43/06/545544306.db2.gz CXGQWIKRYWXPQI-KBPBESRZSA-N 0 1 263.341 0.078 20 30 CCEDMN C[N@H+](CC(=O)[O-])C[C@H](O)COc1ccc(CC#N)cc1 ZINC000737988326 581133315 /nfs/dbraw/zinc/13/33/15/581133315.db2.gz PNZNYVOGGIMNPX-LBPRGKRZSA-N 0 1 278.308 0.509 20 30 CCEDMN CN(CC(=O)NCCC#N)C[C@H]1CCCC[C@@H]1O ZINC000778245069 581147569 /nfs/dbraw/zinc/14/75/69/581147569.db2.gz IZDDYCLOBABJMD-NEPJUHHUSA-N 0 1 253.346 0.499 20 30 CCEDMN C=C(C)CN(C)C(=O)CCNC(O)=C1N=CC=CC1=O ZINC000778457516 581156880 /nfs/dbraw/zinc/15/68/80/581156880.db2.gz NWODHWJUIIFDER-BUHFOSPRSA-N 0 1 277.324 0.937 20 30 CCEDMN Cc1nn(C)c(N2CCOCC2)c1C=NN[C@H]1CCOC1 ZINC000788454773 581111889 /nfs/dbraw/zinc/11/18/89/581111889.db2.gz CRESIWSZGWHWFR-LBPRGKRZSA-N 0 1 293.371 0.278 20 30 CCEDMN C#CCNC(=O)CN1CC[C@]2(C1)C(=O)Nc1ccccc12 ZINC000778205723 581145251 /nfs/dbraw/zinc/14/52/51/581145251.db2.gz GFOCPBJTFHNRIF-MRXNPFEDSA-N 0 1 283.331 0.332 20 30 CCEDMN C=C(C)CN(C)C(=O)CCNC(O)=C1N=CC=CC1=O ZINC000778457524 581156717 /nfs/dbraw/zinc/15/67/17/581156717.db2.gz NWODHWJUIIFDER-YPKPFQOOSA-N 0 1 277.324 0.937 20 30 CCEDMN COC[C@H](C#N)OC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777079084 581187260 /nfs/dbraw/zinc/18/72/60/581187260.db2.gz CLRRKSIOTZTMQV-VIFPVBQESA-N 0 1 263.297 0.913 20 30 CCEDMN C[C@@H](CNC(=O)[O-])[N@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000738938169 581204482 /nfs/dbraw/zinc/20/44/82/581204482.db2.gz IFBLAYPBCPXQNC-NSHDSACASA-N 0 1 296.371 0.917 20 30 CCEDMN C[C@@H](CNC(=O)[O-])[N@@H+](C)CC(=O)NC1(C#N)CCCCC1 ZINC000738938169 581204484 /nfs/dbraw/zinc/20/44/84/581204484.db2.gz IFBLAYPBCPXQNC-NSHDSACASA-N 0 1 296.371 0.917 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cccc(OCC#N)c2)N1 ZINC000779798994 581206310 /nfs/dbraw/zinc/20/63/10/581206310.db2.gz RJAJGRSCQSJVQG-SNVBAGLBSA-N 0 1 257.297 0.860 20 30 CCEDMN Cc1cc(CNC(=O)NCc2ccc(C#N)cn2)n[nH]1 ZINC000780478069 581229996 /nfs/dbraw/zinc/22/99/96/581229996.db2.gz DJTQIXAQUCHGLA-UHFFFAOYSA-N 0 1 270.296 0.984 20 30 CCEDMN CC[C@H](N[NH+]=Cc1cnc(N2CCCC2)nc1)C(=O)[O-] ZINC000793073319 581283499 /nfs/dbraw/zinc/28/34/99/581283499.db2.gz SEWKVFIWYRTGKR-NSHDSACASA-N 0 1 277.328 0.864 20 30 CCEDMN C#CCNC(=O)CN1CCC(c2cc(C)n[nH]2)CC1 ZINC000782499180 581305830 /nfs/dbraw/zinc/30/58/30/581305830.db2.gz XWVSBNSYPOQWEY-UHFFFAOYSA-N 0 1 260.341 0.647 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCC[C@@H]1CCN(C(=O)[O-])C1 ZINC000738461623 581334027 /nfs/dbraw/zinc/33/40/27/581334027.db2.gz VBTUGNRCIGNQSX-OLZOCXBDSA-N 0 1 293.367 0.590 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@@H](C)c1c[nH]nc1C ZINC000783536250 581348998 /nfs/dbraw/zinc/34/89/98/581348998.db2.gz JRSIVUXRHUZQEO-VIFPVBQESA-N 0 1 257.359 0.970 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCc2ccc(O)cc2CC1 ZINC000728569799 581364406 /nfs/dbraw/zinc/36/44/06/581364406.db2.gz NUZPBLGFGROSHZ-LBPRGKRZSA-N 0 1 272.348 0.931 20 30 CCEDMN CCN1CCN(c2cccc(S(N)(=O)=O)c2C#N)CC1 ZINC000784541129 581387603 /nfs/dbraw/zinc/38/76/03/581387603.db2.gz MWIPDMODOUCSEL-UHFFFAOYSA-N 0 1 294.380 0.348 20 30 CCEDMN N#Cc1ncccc1OS(=O)(=O)c1c[nH]cn1 ZINC000795283225 581403072 /nfs/dbraw/zinc/40/30/72/581403072.db2.gz BBEYWOMBZQFUNX-UHFFFAOYSA-N 0 1 250.239 0.444 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000796264208 581435491 /nfs/dbraw/zinc/43/54/91/581435491.db2.gz JQFNMOZQOVVXRT-GZMMTYOYSA-N 0 1 264.289 0.039 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@@H]1CCCOCC1 ZINC000786306044 581436680 /nfs/dbraw/zinc/43/66/80/581436680.db2.gz JMMHDCADYCTRFL-MWLCHTKSSA-N 0 1 250.298 0.791 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCN(C)[C@H](C)C1 ZINC000787271401 581463637 /nfs/dbraw/zinc/46/36/37/581463637.db2.gz LXJSLZBEZCKIRN-NEPJUHHUSA-N 0 1 264.373 0.573 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2ccc(N3CCCC3)nn2)NO1 ZINC000765370003 581510025 /nfs/dbraw/zinc/51/00/25/581510025.db2.gz TZUJDGMZOYJMDK-SECBINFHSA-N 0 1 275.312 0.929 20 30 CCEDMN N#CC(C(=O)COC[C@H]1CCCO1)C(=O)NC1CC1 ZINC000733678979 581518014 /nfs/dbraw/zinc/51/80/14/581518014.db2.gz QNNPDIBYORCFGT-GHMZBOCLSA-N 0 1 266.297 0.169 20 30 CCEDMN C#Cc1ccc(C(=O)NC2(C#N)CCN(C)CC2)cn1 ZINC000728960592 581525777 /nfs/dbraw/zinc/52/57/77/581525777.db2.gz LTFMVVZKWZXQJH-UHFFFAOYSA-N 0 1 268.320 0.781 20 30 CCEDMN CCCN(C)C(=O)CCNC(O)=C1N=CC=CC1=O ZINC000733892809 581530775 /nfs/dbraw/zinc/53/07/75/581530775.db2.gz YXQLJECDVMMUPR-OUKQBFOZSA-N 0 1 265.313 0.771 20 30 CCEDMN N#CCCNC(=O)CN1CCC(Cn2ccnn2)CC1 ZINC000753220519 581531796 /nfs/dbraw/zinc/53/17/96/581531796.db2.gz FIAOJZSCLPEFDE-UHFFFAOYSA-N 0 1 276.344 0.020 20 30 CCEDMN N#Cc1ccc(N2CCN(C[C@@H](O)C3CC3)CC2)nc1 ZINC000734142358 581544524 /nfs/dbraw/zinc/54/45/24/581544524.db2.gz PIACUOZWVAXOJC-CQSZACIVSA-N 0 1 272.352 0.846 20 30 CCEDMN C[NH+]1CCN(N=C2CCC[C@@H]2CNC(=O)[O-])CC1 ZINC000739735875 581606381 /nfs/dbraw/zinc/60/63/81/581606381.db2.gz GAFDOBCMNZACID-SNVBAGLBSA-N 0 1 254.334 0.658 20 30 CCEDMN N#Cc1cccc(OCC(=O)OCCc2c[nH]nn2)c1 ZINC000735049976 581612413 /nfs/dbraw/zinc/61/24/13/581612413.db2.gz ITHMMBIVJDKJJU-UHFFFAOYSA-N 0 1 272.264 0.841 20 30 CCEDMN N#Cc1cccc(OCC(=O)OCCc2cnn[nH]2)c1 ZINC000735049976 581612416 /nfs/dbraw/zinc/61/24/16/581612416.db2.gz ITHMMBIVJDKJJU-UHFFFAOYSA-N 0 1 272.264 0.841 20 30 CCEDMN C#Cc1ccc(C(=O)NC2(c3nn[nH]n3)CCCC2)nc1 ZINC000735389573 581642602 /nfs/dbraw/zinc/64/26/02/581642602.db2.gz CPMDVUPBDQAFLV-UHFFFAOYSA-N 0 1 282.307 0.775 20 30 CCEDMN Cc1nonc1CNS(=O)(=O)c1cccnc1C#N ZINC000758126816 581722527 /nfs/dbraw/zinc/72/25/27/581722527.db2.gz TTYNFIYSZWJVNR-UHFFFAOYSA-N 0 1 279.281 0.123 20 30 CCEDMN N#CCNC(=O)COC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000758138441 581723261 /nfs/dbraw/zinc/72/32/61/581723261.db2.gz PBJVUSBFXDSVHR-MRVPVSSYSA-N 0 1 262.269 0.013 20 30 CCEDMN C#CCNC(=S)NCCCCN1CCOCC1 ZINC000758194165 581726213 /nfs/dbraw/zinc/72/62/13/581726213.db2.gz UEEFXPYBFJPDEC-UHFFFAOYSA-N 0 1 255.387 0.196 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(CCOC)C2)nc1 ZINC000730788662 581734822 /nfs/dbraw/zinc/73/48/22/581734822.db2.gz XDEUNQJHWAHYAW-ZDUSSCGKSA-N 0 1 273.336 0.513 20 30 CCEDMN N#CCNC(=O)COC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000731241192 581759450 /nfs/dbraw/zinc/75/94/50/581759450.db2.gz RXOYJKFJFRZPTR-UHFFFAOYSA-N 0 1 274.236 0.466 20 30 CCEDMN CON=Cc1ccc(C(=O)NCCc2nc[nH]n2)cc1 ZINC000742201936 581772313 /nfs/dbraw/zinc/77/23/13/581772313.db2.gz YQZRIKYCWYZMOY-UHFFFAOYSA-N 0 1 273.296 0.758 20 30 CCEDMN CC1(CCN[C@@H](C(N)=O)c2ccc(C#N)cc2)OCCO1 ZINC000759021157 581780214 /nfs/dbraw/zinc/78/02/14/581780214.db2.gz QQZQOFLZFURNNO-CYBMUJFWSA-N 0 1 289.335 0.827 20 30 CCEDMN COc1cc(C(C)=NOCC(N)=O)cc([N+](=O)[O-])c1O ZINC000771576299 581783358 /nfs/dbraw/zinc/78/33/58/581783358.db2.gz VPOCTZHZFDNNDW-UHFFFAOYSA-N 0 1 283.240 0.535 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCCC(C)C)CC1 ZINC000759402760 581796867 /nfs/dbraw/zinc/79/68/67/581796867.db2.gz XIAYSBKHGNCZTJ-UHFFFAOYSA-N 0 1 287.429 0.508 20 30 CCEDMN CN1CCN(c2ccc(C=Nn3cnnc3)cc2)CC1 ZINC000771552394 581807545 /nfs/dbraw/zinc/80/75/45/581807545.db2.gz GPUGEVMJTOXOBH-UHFFFAOYSA-N 0 1 270.340 0.912 20 30 CCEDMN CC(=NOCC(N)=O)c1ccc(N2CCOCC2)cc1 ZINC000771576087 581810075 /nfs/dbraw/zinc/81/00/75/581810075.db2.gz PPRYDTJQRLSJTM-UHFFFAOYSA-N 0 1 277.324 0.749 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)Cc2nc3ncccn3n2)c1 ZINC000759713153 581816940 /nfs/dbraw/zinc/81/69/40/581816940.db2.gz IUJRHPLLQKYWKU-UHFFFAOYSA-N 0 1 294.274 0.883 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCN(C2CC2)[C@H](C#N)C1 ZINC000732679274 581841877 /nfs/dbraw/zinc/84/18/77/581841877.db2.gz WQYHJVUUEYVVIV-ZIAGYGMSSA-N 0 1 276.384 0.669 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCN(C2CC2)[C@H](C#N)C1 ZINC000732679272 581841961 /nfs/dbraw/zinc/84/19/61/581841961.db2.gz WQYHJVUUEYVVIV-KGLIPLIRSA-N 0 1 276.384 0.669 20 30 CCEDMN CC(C)(C)CNC(=O)C(C)(C)NCC(=O)NCCC#N ZINC000767669619 581894461 /nfs/dbraw/zinc/89/44/61/581894461.db2.gz IZPUINNRCWEZNT-UHFFFAOYSA-N 0 1 282.388 0.547 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000737078817 581897653 /nfs/dbraw/zinc/89/76/53/581897653.db2.gz DDHBQBDYWVHNHI-NWDGAFQWSA-N 0 1 281.356 0.791 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@H](COCC)N(C)C2=O)CC1 ZINC000761255121 581899867 /nfs/dbraw/zinc/89/98/67/581899867.db2.gz VNFADDOGGWIZIN-ZDUSSCGKSA-N 0 1 295.383 0.936 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000737094520 581902684 /nfs/dbraw/zinc/90/26/84/581902684.db2.gz RVQRJFYADQRARH-JTQLQIEISA-N 0 1 253.302 0.298 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000737094520 581902687 /nfs/dbraw/zinc/90/26/87/581902687.db2.gz RVQRJFYADQRARH-JTQLQIEISA-N 0 1 253.302 0.298 20 30 CCEDMN CC1(OCC(=O)C(C#N)C(=O)NC2CC2)CCC1 ZINC000772972802 581903429 /nfs/dbraw/zinc/90/34/29/581903429.db2.gz XJBOXCYYDYHWFN-SNVBAGLBSA-N 0 1 250.298 0.933 20 30 CCEDMN C#CCNCC(=O)NCCO[C@@H]1CCCC[C@H]1C ZINC000746513985 581917928 /nfs/dbraw/zinc/91/79/28/581917928.db2.gz QFSGZCQXQRIIFE-CHWSQXEVSA-N 0 1 252.358 0.921 20 30 CCEDMN COc1ccc(CNC(=O)c2cnn[nH]2)cc1C#N ZINC000746928151 581927324 /nfs/dbraw/zinc/92/73/24/581927324.db2.gz XXXMWDXHCOKQQA-UHFFFAOYSA-N 0 1 257.253 0.615 20 30 CCEDMN CON=CC(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000773712455 581939265 /nfs/dbraw/zinc/93/92/65/581939265.db2.gz JGMNEXVNYXRJMZ-UHFFFAOYSA-N 0 1 283.372 0.770 20 30 CCEDMN CN(CC(=O)Nc1cc(C#N)ccc1O)S(C)(=O)=O ZINC000748002549 581959166 /nfs/dbraw/zinc/95/91/66/581959166.db2.gz KVNFURMHMJNEJM-UHFFFAOYSA-N 0 1 283.309 0.094 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC[C@H](OCC)C2)CC1 ZINC000748819396 581988819 /nfs/dbraw/zinc/98/88/19/581988819.db2.gz GNAWPRQAQITXOK-HNNXBMFYSA-N 0 1 293.411 0.265 20 30 CCEDMN CN1CCC(NS(=O)(=O)CC(C)(C)C#N)CC1 ZINC000763215138 581989225 /nfs/dbraw/zinc/98/92/25/581989225.db2.gz KJSNKCRTPMLRTM-UHFFFAOYSA-N 0 1 259.375 0.550 20 30 CCEDMN OCCOCCON=Cc1ccc(N2CCOCC2)cc1 ZINC000763424603 581998697 /nfs/dbraw/zinc/99/86/97/581998697.db2.gz UHAIHAXWKFEIRU-UHFFFAOYSA-N 0 1 294.351 0.883 20 30 CCEDMN C#CCCN1CCC(N(CC)S(C)(=O)=O)CC1 ZINC000764243225 582039482 /nfs/dbraw/zinc/03/94/82/582039482.db2.gz XPFNLMWWZUHXAY-UHFFFAOYSA-N 0 1 258.387 0.756 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NCCCOC)[C@H]1CCCO1 ZINC000775800029 582040256 /nfs/dbraw/zinc/04/02/56/582040256.db2.gz ODULKYSQVONLKQ-FRRDWIJNSA-N 0 1 268.357 0.298 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738495701 582056493 /nfs/dbraw/zinc/05/64/93/582056493.db2.gz OBDRYIIKGWNLPL-GHMZBOCLSA-N 0 1 269.345 0.751 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738495701 582056494 /nfs/dbraw/zinc/05/64/94/582056494.db2.gz OBDRYIIKGWNLPL-GHMZBOCLSA-N 0 1 269.345 0.751 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@]12CCOC2 ZINC000764759182 582067640 /nfs/dbraw/zinc/06/76/40/582067640.db2.gz INMSGGCKYCDASF-SUNKGSAMSA-N 0 1 280.324 0.275 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000764898015 582075134 /nfs/dbraw/zinc/07/51/34/582075134.db2.gz VQTKCBZQMOGWBS-RISCZKNCSA-N 0 1 272.352 0.481 20 30 CCEDMN C[C@@H]1C[C@@H](C(=O)C(C#N)C(=O)NC2CC2)CCO1 ZINC000776515603 582075403 /nfs/dbraw/zinc/07/54/03/582075403.db2.gz IPDWGZBJDDBXNW-WCABBAIRSA-N 0 1 250.298 0.789 20 30 CCEDMN CCN1CCC(=NNC2CCS(=O)(=O)CC2)[C@@H](C)C1 ZINC000776792920 582091304 /nfs/dbraw/zinc/09/13/04/582091304.db2.gz YKWXSIPCHUEDHS-NSHDSACASA-N 0 1 287.429 0.871 20 30 CCEDMN C=CCNC(=O)CNC(=O)NCc1cc(CC)[nH]n1 ZINC000776916601 582093380 /nfs/dbraw/zinc/09/33/80/582093380.db2.gz MUJBTQWTZZWJJW-UHFFFAOYSA-N 0 1 265.317 0.074 20 30 CCEDMN C=C(Br)CNC(=O)NC[C@H]1CCN1C ZINC000914418426 613237089 /nfs/dbraw/zinc/23/70/89/613237089.db2.gz KNVXYVPJHFSKRL-MRVPVSSYSA-N 0 1 262.151 0.898 20 30 CCEDMN C=C(Br)CNCCC(=O)N(C)OC ZINC000818979624 613238223 /nfs/dbraw/zinc/23/82/23/613238223.db2.gz SCUZZJCFJHONSZ-UHFFFAOYSA-N 0 1 251.124 0.895 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])CC(=O)Nc1ccc(C#N)cc1 ZINC000037932952 596998297 /nfs/dbraw/zinc/99/82/97/596998297.db2.gz RUMPIUGDZZDNHG-UHFFFAOYSA-N 0 1 261.281 0.903 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000805051489 612985069 /nfs/dbraw/zinc/98/50/69/612985069.db2.gz WGFFBMTWJNDJJC-VIFPVBQESA-N 0 1 270.358 0.761 20 30 CCEDMN C[C@H]1CCC[N@@H+](CCNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820237746 597635050 /nfs/dbraw/zinc/63/50/50/597635050.db2.gz CXMLZFLJLJWUFK-FZMZJTMJSA-N 0 1 281.356 0.839 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N[C@H]1CC[N@H+]2CCC[C@@H]2C1 ZINC000819835503 597634290 /nfs/dbraw/zinc/63/42/90/597634290.db2.gz VUCYNNBXGDQHHF-MISXGVKJSA-N 0 1 279.340 0.734 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N1CC[NH+](CC2CC2)CC1 ZINC000819822036 597636270 /nfs/dbraw/zinc/63/62/70/597636270.db2.gz LPPGVLANRQIOMA-AWEZNQCLSA-N 0 1 279.340 0.545 20 30 CCEDMN COc1cc(C)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1OC ZINC000834480246 617174094 /nfs/dbraw/zinc/17/40/94/617174094.db2.gz NGBQUPUKLPFIOR-CMPLNLGQSA-N 0 1 289.335 0.854 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000829736584 612994909 /nfs/dbraw/zinc/99/49/09/612994909.db2.gz LWBZDFWXLVUZDL-OLZOCXBDSA-N 0 1 282.384 0.328 20 30 CCEDMN C#CCO[C@H](C)C(=O)OCCCN1CCO[C@H](C)C1 ZINC000893386146 613004078 /nfs/dbraw/zinc/00/40/78/613004078.db2.gz RCIGLBCVVVMTRD-CHWSQXEVSA-N 0 1 269.341 0.679 20 30 CCEDMN C#CC(C)(C)NS(=O)(=O)c1scnc1C(=O)OC ZINC000134712625 612946152 /nfs/dbraw/zinc/94/61/52/612946152.db2.gz NQRNKAYPBUGYLU-UHFFFAOYSA-N 0 1 288.350 0.620 20 30 CCEDMN Cc1cc(CC(=O)NC2CC3(CC(NCC#N)C3)C2)[nH]n1 ZINC000963683952 649913294 /nfs/dbraw/zinc/91/32/94/649913294.db2.gz KVJGLSOYLOSWTF-UHFFFAOYSA-N 0 1 287.367 0.801 20 30 CCEDMN C#CCCN1CCN(CCOC2CCOCC2)CC1 ZINC000859213389 613011669 /nfs/dbraw/zinc/01/16/69/613011669.db2.gz MZSRRIWGKOFCDV-UHFFFAOYSA-N 0 1 266.385 0.823 20 30 CCEDMN C#CCSCC(=O)N[C@@H]1CCCN(CCCO)C1 ZINC000897878758 613013518 /nfs/dbraw/zinc/01/35/18/613013518.db2.gz CRXBQCXEXKOTPU-GFCCVEGCSA-N 0 1 270.398 0.316 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000883702978 612948080 /nfs/dbraw/zinc/94/80/80/612948080.db2.gz ZVVJFUYZQZSCEY-SECBINFHSA-N 0 1 262.288 0.634 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000823456586 612948150 /nfs/dbraw/zinc/94/81/50/612948150.db2.gz NTGZPSHXEKKDHS-UTUOFQBUSA-N 0 1 279.340 0.341 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000823456586 612948152 /nfs/dbraw/zinc/94/81/52/612948152.db2.gz NTGZPSHXEKKDHS-UTUOFQBUSA-N 0 1 279.340 0.341 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](NC(=O)c2ncn[nH]2)CC1 ZINC000965081693 649928142 /nfs/dbraw/zinc/92/81/42/649928142.db2.gz DYLICGNHAQTFMK-NXEZZACHSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](NC(=O)c2nc[nH]n2)CC1 ZINC000965081693 649928143 /nfs/dbraw/zinc/92/81/43/649928143.db2.gz DYLICGNHAQTFMK-NXEZZACHSA-N 0 1 290.327 0.075 20 30 CCEDMN C#CC1(F)CN(C(=O)c2cccc3[nH]c(CO)nc32)C1 ZINC000919956939 612949163 /nfs/dbraw/zinc/94/91/63/612949163.db2.gz WXMFVFFTNHWVBX-UHFFFAOYSA-N 0 1 273.267 0.853 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)[O-])C1CC1 ZINC000823648763 604068819 /nfs/dbraw/zinc/06/88/19/604068819.db2.gz WTSVAVDRDAQBQW-RISCZKNCSA-N 0 1 294.355 0.527 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@@H]1CNC(=O)[O-])C1CC1 ZINC000823648763 604068823 /nfs/dbraw/zinc/06/88/23/604068823.db2.gz WTSVAVDRDAQBQW-RISCZKNCSA-N 0 1 294.355 0.527 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H](C)Cc1cnc[nH]1)C(=O)OC ZINC000848220772 613040943 /nfs/dbraw/zinc/04/09/43/613040943.db2.gz MOOWUSRMQZCMRE-MWLCHTKSSA-N 0 1 263.297 0.269 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000847158975 613063052 /nfs/dbraw/zinc/06/30/52/613063052.db2.gz GAVHWTJBAHDJOT-QWRGUYRKSA-N 0 1 261.325 0.405 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NC[C@H]1CC[N@@H+]1CC ZINC000883747756 613063723 /nfs/dbraw/zinc/06/37/23/613063723.db2.gz QAKGWZOJKMSENV-VXGBXAGGSA-N 0 1 253.346 0.418 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NC[C@H]1CCN1CC ZINC000883747756 613063725 /nfs/dbraw/zinc/06/37/25/613063725.db2.gz QAKGWZOJKMSENV-VXGBXAGGSA-N 0 1 253.346 0.418 20 30 CCEDMN C#CC1(O)CN(C(=O)N[C@@H](C)Cc2cc(C)[nH]n2)C1 ZINC000893746453 612956262 /nfs/dbraw/zinc/95/62/62/612956262.db2.gz PGOAYMZPKWSCLE-VIFPVBQESA-N 0 1 262.313 0.039 20 30 CCEDMN C#CC[C@H](NS(=O)(=O)c1ccccc1O)C(=O)OC ZINC000849398604 613071211 /nfs/dbraw/zinc/07/12/11/613071211.db2.gz YYGJEVPHNYSMTK-VIFPVBQESA-N 0 1 283.305 0.235 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1[nH]nc(C)c1[O-] ZINC000818606572 613078443 /nfs/dbraw/zinc/07/84/43/613078443.db2.gz XKNXBGMGFABZQA-UHFFFAOYSA-N 0 1 250.302 0.109 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc[nH]c(=O)c2)C1 ZINC000971331990 613087194 /nfs/dbraw/zinc/08/71/94/613087194.db2.gz VTNSPWIVEFRAIE-GFCCVEGCSA-N 0 1 259.309 0.567 20 30 CCEDMN C#CCN(CCCN1C(=O)NC(C)(C)C1=O)C1CSC1 ZINC000878913731 613107578 /nfs/dbraw/zinc/10/75/78/613107578.db2.gz FECCVJSGAZPHSA-UHFFFAOYSA-N 0 1 295.408 0.758 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(C)CC(C)(C)S(C)(=O)=O ZINC000877232932 613387150 /nfs/dbraw/zinc/38/71/50/613387150.db2.gz GUMFWOQKKMKLNK-SECBINFHSA-N 0 1 296.820 0.738 20 30 CCEDMN C#CC1CCN(CC(=O)NC(=O)N[C@@H](C)COC)CC1 ZINC000830301562 612964615 /nfs/dbraw/zinc/96/46/15/612964615.db2.gz OJQDIYOYWNUHEB-NSHDSACASA-N 0 1 281.356 0.192 20 30 CCEDMN C#CCC(C)(C)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000816530657 612965688 /nfs/dbraw/zinc/96/56/88/612965688.db2.gz PYBDQHUASWNAKE-ZDUSSCGKSA-N 0 1 263.385 0.542 20 30 CCEDMN CC(C)CCC(=O)NCC(=O)NCC#CCN(C)C ZINC000913518070 614022439 /nfs/dbraw/zinc/02/24/39/614022439.db2.gz CPEFVLWJPLEXGC-UHFFFAOYSA-N 0 1 267.373 0.220 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)C2=NC(=O)N(C)C2)CC1 ZINC000825132117 614187428 /nfs/dbraw/zinc/18/74/28/614187428.db2.gz WXEACMYEDXZFBJ-LBPRGKRZSA-N 0 1 291.355 0.432 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@H](c2[nH]ncc2N)C1 ZINC000907413547 612978483 /nfs/dbraw/zinc/97/84/83/612978483.db2.gz VYIOUMTYGRSGCD-SNVBAGLBSA-N 0 1 262.313 0.348 20 30 CCEDMN COc1ccc(COCC(=O)NCC#CCN(C)C)cc1 ZINC000913517018 617261706 /nfs/dbraw/zinc/26/17/06/617261706.db2.gz PGYYMQZPXOEHKL-UHFFFAOYSA-N 0 1 290.363 0.893 20 30 CCEDMN COc1ccc(NC(=O)C(=O)NCC#CCN(C)C)cc1 ZINC000913518529 617268494 /nfs/dbraw/zinc/26/84/94/617268494.db2.gz STMMVCTUHRXAHA-UHFFFAOYSA-N 0 1 289.335 0.315 20 30 CCEDMN COc1ccc2c(c1)CC[C@@H]2C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000876803895 617284477 /nfs/dbraw/zinc/28/44/77/617284477.db2.gz YVHQEDCTVPVBQX-BYCMXARLSA-N 0 1 285.347 0.953 20 30 CCEDMN CC(C#N)C(=O)N[C@H](C(=O)OCc1ccccc1)[C@H](C)O ZINC000817242476 617295499 /nfs/dbraw/zinc/29/54/99/617295499.db2.gz ZTTLJJJIBKESAJ-GVXVVHGQSA-N 0 1 290.319 0.755 20 30 CCEDMN C[C@@H](C#N)OC(=O)[C@H](CN(C)C)NC(=O)OC(C)(C)C ZINC000869832569 617297055 /nfs/dbraw/zinc/29/70/55/617297055.db2.gz VTRMBPKEFRAPKL-UWVGGRQHSA-N 0 1 285.344 0.897 20 30 CCEDMN COc1cccc(NC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000841000046 617303923 /nfs/dbraw/zinc/30/39/23/617303923.db2.gz WGFCHDNDLOARPX-SKDRFNHKSA-N 0 1 260.297 0.928 20 30 CCEDMN COc1cccc(OC)c1CC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834480315 617305807 /nfs/dbraw/zinc/30/58/07/617305807.db2.gz OHRPBYDHNUUDBH-CMPLNLGQSA-N 0 1 289.335 0.474 20 30 CCEDMN C#CCNCC(=O)N(CCOC)Cc1ccccc1 ZINC000105964658 612956461 /nfs/dbraw/zinc/95/64/61/612956461.db2.gz BOCTXINMOYDWTR-UHFFFAOYSA-N 0 1 260.337 0.884 20 30 CCEDMN C#CC1CCN(C(=O)NC[C@H]2CN(C)CCN2C)CC1 ZINC000825979343 612963595 /nfs/dbraw/zinc/96/35/95/612963595.db2.gz PUMAIFAWPZXKEP-AWEZNQCLSA-N 0 1 278.400 0.287 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1nonc1C ZINC000960337212 612971532 /nfs/dbraw/zinc/97/15/32/612971532.db2.gz OBTJZLSKGNRNQG-UKRRQHHQSA-N 0 1 296.330 0.997 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H]1CCC[N@H+]2CCCC[C@@H]12 ZINC000848933993 612980840 /nfs/dbraw/zinc/98/08/40/612980840.db2.gz IKSVSHGDMQEHHL-KBPBESRZSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCOCCC(=O)Nc1n[nH]c2cc(C)n(C)c(=O)c21 ZINC000910645450 612981008 /nfs/dbraw/zinc/98/10/08/612981008.db2.gz FKPSKUKLZBAXSL-UHFFFAOYSA-N 0 1 288.307 0.548 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000822837046 612994656 /nfs/dbraw/zinc/99/46/56/612994656.db2.gz PZUYEMCOJUYASQ-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000829736587 612994867 /nfs/dbraw/zinc/99/48/67/612994867.db2.gz LWBZDFWXLVUZDL-STQMWFEESA-N 0 1 282.384 0.328 20 30 CCEDMN C#CCCN1CCC(NC(=O)[C@H]2[C@@H]3C(=O)CC[C@H]23)CC1 ZINC000906773942 612998917 /nfs/dbraw/zinc/99/89/17/612998917.db2.gz QNWFROREIMJOEW-AEGPPILISA-N 0 1 274.364 0.815 20 30 CCEDMN C#CCOc1ccc(CN2CCN(C)C[C@H]2CO)cc1 ZINC000877645055 613005778 /nfs/dbraw/zinc/00/57/78/613005778.db2.gz ZJTCXGQFGIGEEF-HNNXBMFYSA-N 0 1 274.364 0.807 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccnc(OC)n2)CC1 ZINC000981598669 613006216 /nfs/dbraw/zinc/00/62/16/613006216.db2.gz XZTVSUCBMNYTCN-UHFFFAOYSA-N 0 1 288.351 0.656 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2n[nH]cc2C)CC1 ZINC000981133166 613006780 /nfs/dbraw/zinc/00/67/80/613006780.db2.gz MDXLMSPWWDVVMN-UHFFFAOYSA-N 0 1 260.341 0.889 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C[C@@H](C)NC(=O)[O-])CC1 ZINC000823444640 613009438 /nfs/dbraw/zinc/00/94/38/613009438.db2.gz OMUIADLDWDVQBE-LLVKDONJSA-N 0 1 267.329 0.200 20 30 CCEDMN C#CCCN1CCN(C(=O)[C@H](C)Cc2cnc[nH]2)CC1 ZINC000847416259 613010073 /nfs/dbraw/zinc/01/00/73/613010073.db2.gz JGTKTTXTNARIAG-CYBMUJFWSA-N 0 1 274.368 0.756 20 30 CCEDMN C#CCCN1CCN(Cc2cn(CC3CC3)nn2)CC1 ZINC000828519401 613012095 /nfs/dbraw/zinc/01/20/95/613012095.db2.gz PKVJWTZNCWZPGL-UHFFFAOYSA-N 0 1 273.384 0.829 20 30 CCEDMN C#CCC[N@H+]1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000823844632 613012392 /nfs/dbraw/zinc/01/23/92/613012392.db2.gz DVUTWWHYMDDPCE-LLVKDONJSA-N 0 1 298.354 0.191 20 30 CCEDMN C#CCC[N@@H+]1CCN(c2nccnc2-c2nnn[n-]2)C[C@H]1C ZINC000823844632 613012393 /nfs/dbraw/zinc/01/23/93/613012393.db2.gz DVUTWWHYMDDPCE-LLVKDONJSA-N 0 1 298.354 0.191 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)C2CS(=O)(=O)C2)C[C@H]1C ZINC000947342827 613017398 /nfs/dbraw/zinc/01/73/98/613017398.db2.gz LRXYBZHJPQJEOZ-DGCLKSJQSA-N 0 1 298.408 0.023 20 30 CCEDMN C#CC[C@@H](CCOC)NCc1cc(C(=O)OC)no1 ZINC000877661349 613022812 /nfs/dbraw/zinc/02/28/12/613022812.db2.gz AGEWHVIPSQVMGK-JTQLQIEISA-N 0 1 266.297 0.979 20 30 CCEDMN C#CC[C@@H](CO)NCc1cnnn1-c1ccccc1 ZINC000883125737 613030107 /nfs/dbraw/zinc/03/01/07/613030107.db2.gz SITHROMROZTOMH-LBPRGKRZSA-N 0 1 256.309 0.741 20 30 CCEDMN C#CC[C@@H](CO)NCc1cc2c(cc1[N+](=O)[O-])OCCO2 ZINC000883124170 613030418 /nfs/dbraw/zinc/03/04/18/613030418.db2.gz YUCRDTMUZVBTKR-NSHDSACASA-N 0 1 292.291 0.840 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000827723186 613032619 /nfs/dbraw/zinc/03/26/19/613032619.db2.gz GZEMPKYDLNZQME-LBPRGKRZSA-N 0 1 293.367 0.529 20 30 CCEDMN C#CC[C@@H](COC)NCc1c2c(nn1C)CCOC2 ZINC000922300119 613038199 /nfs/dbraw/zinc/03/81/99/613038199.db2.gz UYSRMQUFNSKLRT-NSHDSACASA-N 0 1 263.341 0.621 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000863781944 613052180 /nfs/dbraw/zinc/05/21/80/613052180.db2.gz QDBVFTOBFWHBHV-GHMZBOCLSA-N 0 1 276.340 0.769 20 30 CCEDMN C#CCCS(=O)(=O)N1C[C@@H](SC)[C@H](N(C)C)C1 ZINC000808304378 613052507 /nfs/dbraw/zinc/05/25/07/613052507.db2.gz SZYJJXDGMYYAER-GHMZBOCLSA-N 0 1 276.427 0.317 20 30 CCEDMN C#CCCS(=O)(=O)N1C[C@@H](SC)[C@@H](N(C)C)C1 ZINC000808304381 613052515 /nfs/dbraw/zinc/05/25/15/613052515.db2.gz SZYJJXDGMYYAER-WDEREUQCSA-N 0 1 276.427 0.317 20 30 CCEDMN C#CC[C@H](CO)NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000816670145 613056517 /nfs/dbraw/zinc/05/65/17/613056517.db2.gz MYGSCTFSUJFTNQ-BDAKNGLRSA-N 0 1 294.273 0.190 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000799075455 613058967 /nfs/dbraw/zinc/05/89/67/613058967.db2.gz CHVWPOZUZVYOCX-CYBMUJFWSA-N 0 1 284.319 0.976 20 30 CCEDMN C#CCN(C(=O)CN1CCN(CC)CC1)C1CSC1 ZINC000912366981 613065969 /nfs/dbraw/zinc/06/59/69/613065969.db2.gz LSBRHVODOIILEF-UHFFFAOYSA-N 0 1 281.425 0.201 20 30 CCEDMN C#CCN(C(=O)CN(C)c1ncnc2[nH]cnc21)C(C)C ZINC000928627878 613065977 /nfs/dbraw/zinc/06/59/77/613065977.db2.gz PJOFOYOEIDZFRF-UHFFFAOYSA-N 0 1 286.339 0.659 20 30 CCEDMN C#CCN(C(=O)[C@@H]1[C@@H]2CCC(=O)[C@@H]21)C1CCN(C)CC1 ZINC000886572584 613069793 /nfs/dbraw/zinc/06/97/93/613069793.db2.gz RRRACRQXBNXNBT-BPLDGKMQSA-N 0 1 274.364 0.768 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)C(=O)N(C)CC2CN(C)C2)C1 ZINC000850683366 613071534 /nfs/dbraw/zinc/07/15/34/613071534.db2.gz SQZRIVJVXVMLLZ-ZDUSSCGKSA-N 0 1 291.395 0.268 20 30 CCEDMN C#CC[C@H]1NC(=O)N(CCN2CCc3ccccc3C2)C1=O ZINC000842236690 613075505 /nfs/dbraw/zinc/07/55/05/613075505.db2.gz QPRSZWUXTQVQSU-OAHLLOKOSA-N 0 1 297.358 0.988 20 30 CCEDMN C#CCn1cc(CNC(=O)CSc2nnc(C)[nH]2)cn1 ZINC000834610139 613076611 /nfs/dbraw/zinc/07/66/11/613076611.db2.gz YMNYCDNSVVLOKL-UHFFFAOYSA-N 0 1 290.352 0.351 20 30 CCEDMN C#CCn1cc(CNC(=O)CSc2nc(C)n[nH]2)cn1 ZINC000834610139 613076613 /nfs/dbraw/zinc/07/66/13/613076613.db2.gz YMNYCDNSVVLOKL-UHFFFAOYSA-N 0 1 290.352 0.351 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC[C@H]2CCOC2)C1 ZINC000971297051 613080228 /nfs/dbraw/zinc/08/02/28/613080228.db2.gz DAHFDLGMRODBNH-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000820389627 613080508 /nfs/dbraw/zinc/08/05/08/613080508.db2.gz RLRNVPXJGHDGCR-ZIAGYGMSSA-N 0 1 279.384 0.904 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(=O)n(CC)n2)C1 ZINC000972569118 613085443 /nfs/dbraw/zinc/08/54/43/613085443.db2.gz UNUAVBIRODDDIG-GFCCVEGCSA-N 0 1 288.351 0.043 20 30 CCEDMN C#C[C@@H](CO)NC(=O)CN(C)CCc1ccccc1 ZINC000823313678 613086358 /nfs/dbraw/zinc/08/63/58/613086358.db2.gz CDKAJCHTCCCICB-AWEZNQCLSA-N 0 1 260.337 0.271 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(CC)cn2)C1 ZINC000972744001 613087512 /nfs/dbraw/zinc/08/75/12/613087512.db2.gz VYLZUMOCOXKBGD-GFCCVEGCSA-N 0 1 260.341 0.683 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2csc(=O)[nH]2)C1 ZINC000972140345 613088052 /nfs/dbraw/zinc/08/80/52/613088052.db2.gz YROILOZDAIWZBE-SECBINFHSA-N 0 1 265.338 0.628 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(=O)N2CCC[C@@H](C)C2)C1 ZINC000972494776 613088657 /nfs/dbraw/zinc/08/86/57/613088657.db2.gz DYXMCYDFLFJGDX-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2=COCCO2)C1 ZINC000971013883 613089365 /nfs/dbraw/zinc/08/93/65/613089365.db2.gz AENHAPFGLFQBMA-NSHDSACASA-N 0 1 250.298 0.041 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2OCC[C@H]2CC)C1 ZINC000971712281 613093617 /nfs/dbraw/zinc/09/36/17/613093617.db2.gz IOYLTHVQNDWANY-RDBSUJKOSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn3c2CCCC3)C1 ZINC000972678748 613096806 /nfs/dbraw/zinc/09/68/06/613096806.db2.gz YYTJBDZGOFVXOX-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2coc(COC)n2)C1 ZINC000972638501 613096956 /nfs/dbraw/zinc/09/69/56/613096956.db2.gz UOLHMDYOLLMJGT-NSHDSACASA-N 0 1 277.324 0.601 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nnn(C(C)C)c2C)C1 ZINC000972636671 613097333 /nfs/dbraw/zinc/09/73/33/613097333.db2.gz VEHXMUZVYMALTE-ZDUSSCGKSA-N 0 1 289.383 0.947 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)CCCC#N ZINC000922024427 613098184 /nfs/dbraw/zinc/09/81/84/613098184.db2.gz QQOGGMCUCXNJKR-UHFFFAOYSA-N 0 1 283.397 0.649 20 30 CCEDMN C#CCN(CC#C)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000933879547 613098647 /nfs/dbraw/zinc/09/86/47/613098647.db2.gz RJEHXQWEZVNKLO-UHFFFAOYSA-N 0 1 273.336 0.470 20 30 CCEDMN C#CCN(CC(=O)NC[C@H]1CCN1C)C(=O)OC(C)(C)C ZINC000928551496 613103243 /nfs/dbraw/zinc/10/32/43/613103243.db2.gz OHGQAHXTPSOFIJ-GFCCVEGCSA-N 0 1 295.383 0.677 20 30 CCEDMN C#CCN(CC(=O)NCc1cnc[nH]1)C(=O)OC(C)(C)C ZINC000828361175 613103257 /nfs/dbraw/zinc/10/32/57/613103257.db2.gz NRTVYTRAAGMIJU-UHFFFAOYSA-N 0 1 292.339 0.896 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)[C@H](C)CN2CCOCC2)C1 ZINC000848280654 613105262 /nfs/dbraw/zinc/10/52/62/613105262.db2.gz KJGUJXQJJXGNIK-ZIAGYGMSSA-N 0 1 264.369 0.827 20 30 CCEDMN COc1ccccc1NC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000841001879 617316282 /nfs/dbraw/zinc/31/62/82/617316282.db2.gz XTAQZMABOVZLPE-GXSJLCMTSA-N 0 1 260.297 0.928 20 30 CCEDMN C#CCN(C[C@@H](O)Cn1cc([N+](=O)[O-])cn1)C1CSC1 ZINC000878913609 613108352 /nfs/dbraw/zinc/10/83/52/613108352.db2.gz BQTVHNJOUDIKDB-GFCCVEGCSA-N 0 1 296.352 0.203 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000822484554 613109697 /nfs/dbraw/zinc/10/96/97/613109697.db2.gz UJRAZDAFUBNXRG-CYBMUJFWSA-N 0 1 291.351 0.236 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC000950096723 613111249 /nfs/dbraw/zinc/11/12/49/613111249.db2.gz AFJJKQAZSOKYON-AWEZNQCLSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC=C)CC(=O)OCC)CC1 ZINC000122626413 613128314 /nfs/dbraw/zinc/12/83/14/613128314.db2.gz QSWDOOUPBREWIG-UHFFFAOYSA-N 0 1 292.379 0.909 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@](C)(C#N)C2)CC1 ZINC000887673511 613129204 /nfs/dbraw/zinc/12/92/04/613129204.db2.gz XHSKMZLZXGSZOX-OAHLLOKOSA-N 0 1 275.352 0.473 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H]2C(=O)OC)CC1 ZINC000888055030 613129759 /nfs/dbraw/zinc/12/97/59/613129759.db2.gz WXGQKPPQJAKUGB-LBPRGKRZSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN1CCC(C(=O)NCC[S@](C)(=O)=NC)CC1 ZINC000912796412 613130613 /nfs/dbraw/zinc/13/06/13/613130613.db2.gz YOXGNNRANFIAOO-IBGZPJMESA-N 0 1 285.413 0.175 20 30 CCEDMN C#CCN1CCC(NC(=O)C(C)(C)CNC(C)=O)CC1 ZINC000928649446 613132280 /nfs/dbraw/zinc/13/22/80/613132280.db2.gz LWVQJGZPIYCVAW-UHFFFAOYSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H]2CCN(OC)C2=O)CC1 ZINC000922444917 613132463 /nfs/dbraw/zinc/13/24/63/613132463.db2.gz JVSQZUMAIBUGDD-LBPRGKRZSA-N 0 1 280.324 0.037 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C/[C@H]2CCOC2)CC1 ZINC000928650484 613132506 /nfs/dbraw/zinc/13/25/06/613132506.db2.gz CRTZIJJZXRVVHD-OOPCZODUSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CCC(NC(=O)C2CCOCC2)CC1 ZINC000107685317 613132903 /nfs/dbraw/zinc/13/29/03/613132903.db2.gz PTMIZZJGXCZTBG-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H]2CCC(=O)NC2)CC1 ZINC000928649409 613133300 /nfs/dbraw/zinc/13/33/00/613133300.db2.gz KCSZDMXUGWQGHC-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cc(C)[nH]n2)CC1 ZINC000928656360 613133317 /nfs/dbraw/zinc/13/33/17/613133317.db2.gz SFWWWNLXLOWCLY-UHFFFAOYSA-N 0 1 260.341 0.474 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cc(C)n[nH]2)CC1 ZINC000928656360 613133318 /nfs/dbraw/zinc/13/33/18/613133318.db2.gz SFWWWNLXLOWCLY-UHFFFAOYSA-N 0 1 260.341 0.474 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2nonc2C)CC1 ZINC000928648819 613133391 /nfs/dbraw/zinc/13/33/91/613133391.db2.gz CYSFTAXKFDDVRW-UHFFFAOYSA-N 0 1 262.313 0.134 20 30 CCEDMN C#C[C@H](NC(=O)CN1CC[C@@H](C)C1)[C@@H]1CCCO1 ZINC000847759543 613133552 /nfs/dbraw/zinc/13/35/52/613133552.db2.gz VZTUJGGKDZSGIH-AGIUHOORSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)COCc2ncc(C)o2)CC1 ZINC000928653378 613133572 /nfs/dbraw/zinc/13/35/72/613133572.db2.gz KOPOKULRHHSTPF-UHFFFAOYSA-N 0 1 291.351 0.713 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H]2CCCCO2)CC1 ZINC000914387218 613134293 /nfs/dbraw/zinc/13/42/93/613134293.db2.gz UNVKYTXDWVLRLF-CQSZACIVSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2nnc(C)s2)CC1 ZINC000921873190 613134560 /nfs/dbraw/zinc/13/45/60/613134560.db2.gz UKNQNGZVVOISAJ-UHFFFAOYSA-N 0 1 293.396 0.743 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ccn(C)n2)CC1 ZINC000930075316 613135415 /nfs/dbraw/zinc/13/54/15/613135415.db2.gz UKUXCZMHSRZADQ-UHFFFAOYSA-N 0 1 261.329 0.639 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cc(C)n(C)n2)CC1 ZINC000930500969 613135475 /nfs/dbraw/zinc/13/54/75/613135475.db2.gz NTDVMVWKICGJEY-UHFFFAOYSA-N 0 1 275.356 0.948 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCO[C@H](C)C2)CC1 ZINC000921754187 613135564 /nfs/dbraw/zinc/13/55/64/613135564.db2.gz KGJFHHOEUHNXAX-OCCSQVGLSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CC(=O)N(CC)C2)CC1 ZINC000928657312 613136014 /nfs/dbraw/zinc/13/60/14/613136014.db2.gz WPNKYMUOCDETNA-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](CC)N2CCCC2=O)CC1 ZINC000928655174 613136400 /nfs/dbraw/zinc/13/64/00/613136400.db2.gz MNTWBVRWQISZJY-AWEZNQCLSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)CC1 ZINC000928660950 613136639 /nfs/dbraw/zinc/13/66/39/613136639.db2.gz VIIADBACSSXINF-DGCLKSJQSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C(N)=O)s2)CC1 ZINC000928658126 613137030 /nfs/dbraw/zinc/13/70/30/613137030.db2.gz MACKNVSLUMOTLY-UHFFFAOYSA-N 0 1 291.376 0.674 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccn(CCOC)n2)CC1 ZINC000928652929 613137505 /nfs/dbraw/zinc/13/75/05/613137505.db2.gz RNLJWWPHSOEWEV-UHFFFAOYSA-N 0 1 290.367 0.357 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnc3[nH]cnc32)CC1 ZINC000928653898 613137813 /nfs/dbraw/zinc/13/78/13/613137813.db2.gz FLIZRDLFFLCVDG-UHFFFAOYSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(C(C)(C)C)nn2)CC1 ZINC000928652815 613137844 /nfs/dbraw/zinc/13/78/44/613137844.db2.gz NVQIWISABJZJQZ-UHFFFAOYSA-N 0 1 289.383 0.861 20 30 CCEDMN C#CCN1CCC(NC(=S)NCc2ccon2)CC1 ZINC000905657776 613138041 /nfs/dbraw/zinc/13/80/41/613138041.db2.gz WLADUMZZHBQVKI-UHFFFAOYSA-N 0 1 278.381 0.736 20 30 CCEDMN C#CCN1CCC(NC(=O)c2noc3c2COCC3)CC1 ZINC000928651889 613138322 /nfs/dbraw/zinc/13/83/22/613138322.db2.gz SZUZMEGGDGETMN-UHFFFAOYSA-N 0 1 289.335 0.575 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C(C)(C)C(N)=O)C2)C1 ZINC000981824311 613139709 /nfs/dbraw/zinc/13/97/09/613139709.db2.gz RILAAWPVIUUFRI-UHFFFAOYSA-N 0 1 277.368 0.056 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CCc3cn[nH]c3)C2)C1 ZINC000982059974 613140443 /nfs/dbraw/zinc/14/04/43/613140443.db2.gz ZBNLEKUOMSSRQN-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC000968882726 613146002 /nfs/dbraw/zinc/14/60/02/613146002.db2.gz SWGGNTBZXTYNNQ-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC000968835054 613148726 /nfs/dbraw/zinc/14/87/26/613148726.db2.gz WCYDWJXHMKQUOE-LBPRGKRZSA-N 0 1 291.351 0.586 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cnn(C)c1N ZINC000968826320 613149431 /nfs/dbraw/zinc/14/94/31/613149431.db2.gz ZHDHJHXIKZDNOR-LBPRGKRZSA-N 0 1 289.383 0.610 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2ccnc(C(=O)NC)c2)C1 ZINC000895199866 613164996 /nfs/dbraw/zinc/16/49/96/613164996.db2.gz CTFDRKDTUIQIDW-CYBMUJFWSA-N 0 1 272.352 0.373 20 30 CCEDMN C#CCN1CCC[C@@H](NCc2nn(CC)nc2C)C1 ZINC000886209809 613175498 /nfs/dbraw/zinc/17/54/98/613175498.db2.gz VOPGAAFPNOGEBE-CYBMUJFWSA-N 0 1 261.373 0.794 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)C2CN(CCOC)C2)nc1 ZINC000970764533 613183331 /nfs/dbraw/zinc/18/33/31/613183331.db2.gz VNSRBWYMLQDORS-LBPRGKRZSA-N 0 1 287.363 0.759 20 30 CCEDMN C#CCN1CCC[C@H](Nc2nc3c(cnn3C)c(N)n2)C1 ZINC000884611801 613193846 /nfs/dbraw/zinc/19/38/46/613193846.db2.gz VEGFDROEHPUZMU-JTQLQIEISA-N 0 1 285.355 0.455 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(CC)C[C@H]1C ZINC000804379559 613195986 /nfs/dbraw/zinc/19/59/86/613195986.db2.gz NGRVRHMXZSVISJ-KGLIPLIRSA-N 0 1 263.385 0.637 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@@H](C)C1CN(CC#CC)C1 ZINC000969865090 613200462 /nfs/dbraw/zinc/20/04/62/613200462.db2.gz JQFPWMMVEHIBNL-HOCLYGCPSA-N 0 1 287.407 0.544 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CCC=C)C(=O)[O-] ZINC000909716245 613200557 /nfs/dbraw/zinc/20/05/57/613200557.db2.gz QBBRIWRSDTURBW-RYUDHWBXSA-N 0 1 264.325 0.620 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CCC=C)C(=O)[O-] ZINC000909716245 613200559 /nfs/dbraw/zinc/20/05/59/613200559.db2.gz QBBRIWRSDTURBW-RYUDHWBXSA-N 0 1 264.325 0.620 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2CCNCC2)c1 ZINC000841144896 613201903 /nfs/dbraw/zinc/20/19/03/613201903.db2.gz MNUKVVVBADRKRZ-UHFFFAOYSA-N 0 1 257.293 0.038 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCN(C[C@H]3CCOC3)CC2)c1 ZINC000798173873 613213632 /nfs/dbraw/zinc/21/36/32/613213632.db2.gz ITPUBJWSULYAKB-OAHLLOKOSA-N 0 1 299.374 0.857 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)CN2CCCC2=O)C1 ZINC000964957791 613218323 /nfs/dbraw/zinc/21/83/23/613218323.db2.gz BPSKGODXZAQFQS-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2c[nH]nc2C)[C@H](C)C1 ZINC000942546203 613231359 /nfs/dbraw/zinc/23/13/59/613231359.db2.gz RHLQGLSJDULLMZ-ZWNOBZJWSA-N 0 1 260.341 0.792 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C)nn2)[C@H](C)C1 ZINC000942044163 613235243 /nfs/dbraw/zinc/23/52/43/613235243.db2.gz IIWKTOCTSCXSOX-DGCLKSJQSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)cn2)C[C@H]1C ZINC000947200363 613239915 /nfs/dbraw/zinc/23/99/15/613239915.db2.gz KRQOBLCRGPNVMH-VXGBXAGGSA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncn(C)n2)C(C)(C)C1 ZINC000940727497 613244751 /nfs/dbraw/zinc/24/47/51/613244751.db2.gz XXULLXCVFJMPNT-LLVKDONJSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000967940317 613247139 /nfs/dbraw/zinc/24/71/39/613247139.db2.gz ADPABXCBDKCORC-JQWIXIFHSA-N 0 1 288.351 0.564 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cn2cccn2)C1 ZINC000965147914 613247663 /nfs/dbraw/zinc/24/76/63/613247663.db2.gz GAPHPKPUSANINR-STQMWFEESA-N 0 1 260.341 0.343 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)c2cn(C)c(=O)n2C)CC1 ZINC000957812562 613261909 /nfs/dbraw/zinc/26/19/09/613261909.db2.gz VCFISVIMAVJTAC-UHFFFAOYSA-N 0 1 292.383 0.448 20 30 CCEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)COC)C2)C1 ZINC000972193503 613263140 /nfs/dbraw/zinc/26/31/40/613263140.db2.gz GVFJECADKRFMQC-HNNXBMFYSA-N 0 1 282.384 0.902 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c(C)nn(C)c2F)[C@H](C)C1 ZINC000944489956 613264431 /nfs/dbraw/zinc/26/44/31/613264431.db2.gz CBXHJVUPMXZABK-PWSUYJOCSA-N 0 1 292.358 0.941 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn[nH]c(=O)c2)C(C)(C)C1 ZINC000940818362 613275671 /nfs/dbraw/zinc/27/56/71/613275671.db2.gz URORIYYDRIZMOO-LBPRGKRZSA-N 0 1 288.351 0.646 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3ncccc23)C[C@@H]1C ZINC000947400522 613275763 /nfs/dbraw/zinc/27/57/63/613275763.db2.gz YGNVLXRCAIYGND-STQMWFEESA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cnoc2)C(C)(C)C1 ZINC000974957788 613281373 /nfs/dbraw/zinc/28/13/73/613281373.db2.gz JWUZPUKTVTUBFX-GFCCVEGCSA-N 0 1 261.325 0.677 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(COC)o2)C1 ZINC000958236341 613286025 /nfs/dbraw/zinc/28/60/25/613286025.db2.gz SRHPETYGFNLVPU-WCQYABFASA-N 0 1 292.335 0.082 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cncc(CC)c2)C1 ZINC000958262493 613287338 /nfs/dbraw/zinc/28/73/38/613287338.db2.gz KAOKVTUUNWFXIE-LSDHHAIUSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2CCC=CCC2)[C@H](O)C1 ZINC000958677886 613288189 /nfs/dbraw/zinc/28/81/89/613288189.db2.gz WRQWJYQVTJIRHB-HUUCEWRRSA-N 0 1 276.380 0.775 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)[C@H]2CC[C@H](C)CC2)[C@H](O)C1 ZINC000958606342 613288543 /nfs/dbraw/zinc/28/85/43/613288543.db2.gz OBKAEDBIWUWWLX-KBUPBQIOSA-N 0 1 278.396 0.855 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc3ccccn3c2)C1 ZINC000958448250 613294518 /nfs/dbraw/zinc/29/45/18/613294518.db2.gz NBAKHLGUTJGKSJ-HOCLYGCPSA-N 0 1 297.358 0.595 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(F)c(F)c2)C1 ZINC000958138655 613295309 /nfs/dbraw/zinc/29/53/09/613295309.db2.gz HRVXYOUPHYGBEH-FZMZJTMJSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2[nH]cnc2C)C(C)(C)C1 ZINC000977534678 613297164 /nfs/dbraw/zinc/29/71/64/613297164.db2.gz MDMBYHGOQMRLOE-ZDUSSCGKSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H](C)c2ccnn2C)C(C)(C)C1 ZINC000974603700 613297877 /nfs/dbraw/zinc/29/78/77/613297877.db2.gz PMBVBLYKZFDLML-JSGCOSHPSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2C(C)(C)C2(C)C)C1 ZINC000957960890 613302043 /nfs/dbraw/zinc/30/20/43/613302043.db2.gz IOZLYQRREDAKBG-NEPJUHHUSA-N 0 1 278.396 0.711 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(OC)cc2)C1 ZINC000958613697 613302833 /nfs/dbraw/zinc/30/28/33/613302833.db2.gz PFJOQKJGZVOZCN-HIFRSBDPSA-N 0 1 288.347 0.351 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc3occc3[nH]2)C1 ZINC000958113308 613303164 /nfs/dbraw/zinc/30/31/64/613303164.db2.gz WUROXKYFBZZSAY-MFKMUULPSA-N 0 1 287.319 0.417 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccccc2C)C1 ZINC000957834857 613303275 /nfs/dbraw/zinc/30/32/75/613303275.db2.gz ODERGAMLWJDBLS-HIFRSBDPSA-N 0 1 272.348 0.651 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc(F)c2F)C1 ZINC000958345040 613303522 /nfs/dbraw/zinc/30/35/22/613303522.db2.gz KZKNXCIEEYCADP-MFKMUULPSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc(C)n2)C1 ZINC000957878819 613303544 /nfs/dbraw/zinc/30/35/44/613303544.db2.gz TZCANNGFPPBGBF-OCCSQVGLSA-N 0 1 273.336 0.046 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCC(=O)N2C)CC1 ZINC000981770631 613309747 /nfs/dbraw/zinc/30/97/47/613309747.db2.gz RUBDKDRQXCGUER-ZDUSSCGKSA-N 0 1 279.384 0.718 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(C)CCOCC1 ZINC000962051018 613313277 /nfs/dbraw/zinc/31/32/77/613313277.db2.gz NGQVCXHHIFLPET-ITGUQSILSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCCOC1 ZINC000962614441 613313495 /nfs/dbraw/zinc/31/34/95/613313495.db2.gz HLTIUZBYEOWJEJ-RMRHIDDWSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cnc(C)o1 ZINC000960259169 613314571 /nfs/dbraw/zinc/31/45/71/613314571.db2.gz YPURNCVRRNNVSH-IMRBUKKESA-N 0 1 259.309 0.205 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cscn1 ZINC000961976361 613315061 /nfs/dbraw/zinc/31/50/61/613315061.db2.gz YRHCPBVOTQXKGX-PJXYFTJBSA-N 0 1 261.350 0.365 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1Cc2ccncc2C1 ZINC000961779671 613317126 /nfs/dbraw/zinc/31/71/26/613317126.db2.gz QFMXLBDXKPDVKG-ARLBYUKCSA-N 0 1 281.359 0.476 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(=O)[nH]c(C2CC2)c1 ZINC000960233518 613318816 /nfs/dbraw/zinc/31/88/16/613318816.db2.gz KHGGXIGASYOWFL-VIKVFOODSA-N 0 1 297.358 0.958 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C)nc1OC ZINC000960210075 613320770 /nfs/dbraw/zinc/32/07/70/613320770.db2.gz HYNOSMKJZWZDKS-ITGUQSILSA-N 0 1 286.335 0.087 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2nccnc2c1 ZINC000960318250 613321442 /nfs/dbraw/zinc/32/14/42/613321442.db2.gz JSVIUFQHSSFHTQ-IMRBUKKESA-N 0 1 293.330 0.318 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cnn(CCF)c2)CC1 ZINC000929419517 613323410 /nfs/dbraw/zinc/32/34/10/613323410.db2.gz GOWZMXFJTGJCKJ-UHFFFAOYSA-N 0 1 292.358 0.814 20 30 CCEDMN C#CCNC(=O)CN[C@H](c1ccncc1)C1(CO)CCC1 ZINC000879006068 613330931 /nfs/dbraw/zinc/33/09/31/613330931.db2.gz GPFPJPGOOVUAQG-OAHLLOKOSA-N 0 1 287.363 0.624 20 30 CCEDMN C=C(C)CNC(=S)N[C@H](C)CN1CCN(C)CC1 ZINC000730156358 613346865 /nfs/dbraw/zinc/34/68/65/613346865.db2.gz IQUFOBZXHMKXMA-GFCCVEGCSA-N 0 1 270.446 0.663 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1CC[C@H](N2CCC2)C1 ZINC000912902622 613356251 /nfs/dbraw/zinc/35/62/51/613356251.db2.gz MTSBYYWGPMQBSP-UONOGXRCSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000899234534 613357127 /nfs/dbraw/zinc/35/71/27/613357127.db2.gz KQFJKYGFXBHOOQ-OLZOCXBDSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCN(C[C@@H]2CCCO2)CC1 ZINC000929128179 613360378 /nfs/dbraw/zinc/36/03/78/613360378.db2.gz GJTRMJFEQCHLSF-UONOGXRCSA-N 0 1 254.374 0.720 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897639919 613362035 /nfs/dbraw/zinc/36/20/35/613362035.db2.gz JZTHPUMCVFEWTD-QEJZJMRPSA-N 0 1 294.395 0.805 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCC[N@@H+](C)CCO)OCC ZINC000899736532 613362278 /nfs/dbraw/zinc/36/22/78/613362278.db2.gz IWLQAEDWZJCSRF-LBPRGKRZSA-N 0 1 258.362 0.398 20 30 CCEDMN C=C(C)[C@H](CO)N1CCN(C[C@H]2CCCO2)CC1 ZINC000929128178 613362448 /nfs/dbraw/zinc/36/24/48/613362448.db2.gz GJTRMJFEQCHLSF-KGLIPLIRSA-N 0 1 254.374 0.720 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H]3CCNC3=O)[C@@H]2C1 ZINC000978578720 613381655 /nfs/dbraw/zinc/38/16/55/613381655.db2.gz CNBUFEZLBHDXMJ-FIQHERPVSA-N 0 1 297.786 0.169 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cccn(C)c2=O)C1 ZINC000970515725 613385849 /nfs/dbraw/zinc/38/58/49/613385849.db2.gz XEDBUBDXWGHZRR-LLVKDONJSA-N 0 1 295.770 0.942 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)NC[C@H](C)S(C)(=O)=O ZINC000876981139 613390224 /nfs/dbraw/zinc/39/02/24/613390224.db2.gz NCPXEUISOMTGPT-YUMQZZPRSA-N 0 1 268.766 0.006 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@H](C)CS(=O)(=O)CC ZINC000876664191 613390414 /nfs/dbraw/zinc/39/04/14/613390414.db2.gz PMBYYYQQNIEQHC-BDAKNGLRSA-N 0 1 282.793 0.396 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCC(CCO)(CCO)CC1 ZINC000879277892 613396178 /nfs/dbraw/zinc/39/61/78/613396178.db2.gz CPWLYUXFEQPAEQ-ZDUSSCGKSA-N 0 1 285.384 0.951 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCC[C@H](CC(N)=O)C1 ZINC000878158565 613411248 /nfs/dbraw/zinc/41/12/48/613411248.db2.gz JHJMWDVHIPQPDH-GHMZBOCLSA-N 0 1 254.330 0.692 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@@H]2NC(=O)CC[C@H]2C1 ZINC000878934422 613412410 /nfs/dbraw/zinc/41/24/10/613412410.db2.gz KMWTXFYPAUBQHN-SDDRHHMPSA-N 0 1 266.341 0.705 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCc1cc(N(C)C)ccn1 ZINC000924664585 613417420 /nfs/dbraw/zinc/41/74/20/613417420.db2.gz NJZZOGGJFMQNMU-LLVKDONJSA-N 0 1 278.356 0.884 20 30 CCEDMN C=CC[C@H]1CC[C@H](NCc2nnc3n2CCNC3=O)C1 ZINC000883293872 613422937 /nfs/dbraw/zinc/42/29/37/613422937.db2.gz JOBFIJLVDJBNIM-QWRGUYRKSA-N 0 1 275.356 0.856 20 30 CCEDMN C=CCn1cc(C(=O)N2CC[C@H](N3CCC3)C2)nn1 ZINC000912903792 613426968 /nfs/dbraw/zinc/42/69/68/613426968.db2.gz SYKUULDZFUHYOK-NSHDSACASA-N 0 1 261.329 0.384 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC000951543525 613432135 /nfs/dbraw/zinc/43/21/35/613432135.db2.gz VAAKLXWHNYVVPB-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC000951543525 613432137 /nfs/dbraw/zinc/43/21/37/613432137.db2.gz VAAKLXWHNYVVPB-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC000951543524 613432409 /nfs/dbraw/zinc/43/24/09/613432409.db2.gz VAAKLXWHNYVVPB-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC000951543524 613432410 /nfs/dbraw/zinc/43/24/10/613432410.db2.gz VAAKLXWHNYVVPB-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCn1cc(CN[C@H](COC)[C@@H]2CCCOC2)nn1 ZINC000883231067 613435996 /nfs/dbraw/zinc/43/59/96/613435996.db2.gz YZIZIZFPPOYQLO-TZMCWYRMSA-N 0 1 280.372 0.995 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000932281853 613448010 /nfs/dbraw/zinc/44/80/10/613448010.db2.gz CRMCSMFKXXTNNF-UWVGGRQHSA-N 0 1 265.317 0.702 20 30 CCEDMN C=CC(C)(C)NC(=O)CCNC(O)=C1N=CC=CC1=O ZINC000891269410 613448343 /nfs/dbraw/zinc/44/83/43/613448343.db2.gz KWWWQWBLFAINBJ-SEYXRHQNSA-N 0 1 277.324 0.984 20 30 CCEDMN C=CC1CCN(C(=O)[C@@]2(C)C[C@@H](O)CN2C)CC1 ZINC000900655712 613452292 /nfs/dbraw/zinc/45/22/92/613452292.db2.gz JYNTUUPLKYOWKI-TZMCWYRMSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCCCNC(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC000805851882 613467708 /nfs/dbraw/zinc/46/77/08/613467708.db2.gz QKIVJNVGDAOSBN-UHFFFAOYSA-N 0 1 264.329 0.671 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000834114079 613470386 /nfs/dbraw/zinc/47/03/86/613470386.db2.gz KNBZTFFRPLODJR-SFYZADRCSA-N 0 1 294.273 0.903 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC000910914022 613470914 /nfs/dbraw/zinc/47/09/14/613470914.db2.gz BOEAPUFPONHPSK-WDEREUQCSA-N 0 1 254.330 0.864 20 30 CCEDMN C=C[C@H](C(=O)OC)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000830074930 613482486 /nfs/dbraw/zinc/48/24/86/613482486.db2.gz SFSJZFNGPKACBP-FRRDWIJNSA-N 0 1 270.373 0.755 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000845636990 613485155 /nfs/dbraw/zinc/48/51/55/613485155.db2.gz UROUNFKTSXFSSQ-XQQFMLRXSA-N 0 1 283.372 0.733 20 30 CCEDMN C=C[C@@H](C)ONC(=O)c1cc(C(=O)NO[C@@H](C)C=C)n[nH]1 ZINC000855028392 613488804 /nfs/dbraw/zinc/48/88/04/613488804.db2.gz UYQIDBLEDYFVEX-DTORHVGOSA-N 0 1 294.311 0.882 20 30 CCEDMN C=C[C@H](O)C(=O)N(CCC(=O)[O-])C[C@H]1CCC[N@@H+]1CC ZINC000911283120 613493587 /nfs/dbraw/zinc/49/35/87/613493587.db2.gz AYTHPVLSQBZZAB-NEPJUHHUSA-N 0 1 284.356 0.321 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H]1CNC(=O)c1ncn[nH]1 ZINC000951590780 613504642 /nfs/dbraw/zinc/50/46/42/613504642.db2.gz NZALRPHMPOHNRW-IINYFYTJSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H]1CNC(=O)c1nc[nH]n1 ZINC000951590780 613504644 /nfs/dbraw/zinc/50/46/44/613504644.db2.gz NZALRPHMPOHNRW-IINYFYTJSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCCC(=O)N2C)CC1 ZINC000957500908 613507898 /nfs/dbraw/zinc/50/78/98/613507898.db2.gz SQDUYDJBLXAMGV-CYBMUJFWSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@]2(C)CNC(=O)C2)CC1 ZINC000949443281 613508877 /nfs/dbraw/zinc/50/88/77/613508877.db2.gz XOKKWDZMYVGKCX-AWEZNQCLSA-N 0 1 265.357 0.233 20 30 CCEDMN CC#CC(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000854571811 613517338 /nfs/dbraw/zinc/51/73/38/613517338.db2.gz YCLJOAVQJJAGHS-GFCCVEGCSA-N 0 1 250.342 0.721 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC000969915658 613531308 /nfs/dbraw/zinc/53/13/08/613531308.db2.gz FVNHBQSWRPXWHT-DGCLKSJQSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC000970821614 613531659 /nfs/dbraw/zinc/53/16/59/613531659.db2.gz RXMZRRAMGZFZOY-TZMCWYRMSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000969897906 613539848 /nfs/dbraw/zinc/53/98/48/613539848.db2.gz RDVBZXGGBIECGE-JTQLQIEISA-N 0 1 274.324 0.256 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3CCC(=O)N3)C2)C1 ZINC000981670427 613543377 /nfs/dbraw/zinc/54/33/77/613543377.db2.gz WMFPKYLHADIQGM-CYBMUJFWSA-N 0 1 289.379 0.213 20 30 CCEDMN C=CCCSCCNC(=O)NCc1n[nH]c(=O)n1C ZINC000889338583 613582810 /nfs/dbraw/zinc/58/28/10/613582810.db2.gz SSONYXKHLNQMFF-UHFFFAOYSA-N 0 1 285.373 0.629 20 30 CCEDMN C=CCC[C@@H](NC(=O)Cc1cnc[nH]1)C(=O)OCC ZINC000909273742 613585002 /nfs/dbraw/zinc/58/50/02/613585002.db2.gz RUZXQJQRILBNQV-LLVKDONJSA-N 0 1 265.313 0.966 20 30 CCEDMN C=CCC[C@@H](O)CN1CCO[C@@H](C[N@H+](C)CC(=O)[O-])C1 ZINC000923242032 613586355 /nfs/dbraw/zinc/58/63/55/613586355.db2.gz SEGWJOTZCDWYHX-OLZOCXBDSA-N 0 1 286.372 0.031 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cnon2)[C@@H](C)C1 ZINC000943725311 613587940 /nfs/dbraw/zinc/58/79/40/613587940.db2.gz AJPFSXOOKDOQIJ-WDEREUQCSA-N 0 1 262.313 0.533 20 30 CCEDMN C=CCCn1cc(C(=O)OCCc2c[nH]nn2)nn1 ZINC000820111145 613596641 /nfs/dbraw/zinc/59/66/41/613596641.db2.gz NSCCIBFNUCGWEQ-UHFFFAOYSA-N 0 1 262.273 0.372 20 30 CCEDMN C=CCCn1cc(C(=O)OCCc2cnn[nH]2)nn1 ZINC000820111145 613596642 /nfs/dbraw/zinc/59/66/42/613596642.db2.gz NSCCIBFNUCGWEQ-UHFFFAOYSA-N 0 1 262.273 0.372 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C(C)(C)C1 ZINC000974984212 613611340 /nfs/dbraw/zinc/61/13/40/613611340.db2.gz BABLLBCWYUTBMD-GFCCVEGCSA-N 0 1 290.367 0.589 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccccc2F)C1 ZINC000957813191 613613178 /nfs/dbraw/zinc/61/31/78/613613178.db2.gz XXTOTGOXLUDZIS-SWLSCSKDSA-N 0 1 290.338 0.872 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2n[nH]c(C)c2C)C1 ZINC000957935458 613613539 /nfs/dbraw/zinc/61/35/39/613613539.db2.gz MSZKWQGBEXIZML-QWHCGFSZSA-N 0 1 290.367 0.072 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2conc2C)C1 ZINC000958368728 613613868 /nfs/dbraw/zinc/61/38/68/613613868.db2.gz CTGVUXPXQRVWMN-WCQYABFASA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cnc(C)s2)C1 ZINC000957918892 613613926 /nfs/dbraw/zinc/61/39/26/613613926.db2.gz OIRDVPKBTYSFDJ-NWDGAFQWSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc(CC)n[nH]2)[C@H](O)C1 ZINC000957893349 613614753 /nfs/dbraw/zinc/61/47/53/613614753.db2.gz BDUASZWCFDTIOW-BXUZGUMPSA-N 0 1 290.367 0.018 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccoc2)[C@H](O)C1 ZINC000957854697 613615715 /nfs/dbraw/zinc/61/57/15/613615715.db2.gz DGPNJJYSRCYGHZ-CHWSQXEVSA-N 0 1 262.309 0.325 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)sn2)C1 ZINC000958014702 613618838 /nfs/dbraw/zinc/61/88/38/613618838.db2.gz ICAYJWBJJPTHKS-AAEUAGOBSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977344858 613620452 /nfs/dbraw/zinc/62/04/52/613620452.db2.gz GYWUUTUCYZJQMF-STQMWFEESA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2nnc(C)[nH]2)C(C)(C)C1 ZINC000974597819 613621148 /nfs/dbraw/zinc/62/11/48/613621148.db2.gz NUXGNMRTRITORE-LBPRGKRZSA-N 0 1 289.383 0.506 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2nc(C)oc2C)C1 ZINC000958522558 613625733 /nfs/dbraw/zinc/62/57/33/613625733.db2.gz RBPZTKLRGQQWSH-OLZOCXBDSA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@@H]2[C@@H](CNC(=O)c3c[nH]c(C)cc3=O)[C@@H]2C1 ZINC000978911107 613629815 /nfs/dbraw/zinc/62/98/15/613629815.db2.gz JZEWMLVLAOGCNY-XMQYKBBCSA-N 0 1 299.374 0.614 20 30 CCEDMN CC(=O)N1C[C@H]2CN(Cc3cncc(C#N)c3)C[C@H]2C1 ZINC000931688381 613630596 /nfs/dbraw/zinc/63/05/96/613630596.db2.gz LAEBPCDYILAWJK-GASCZTMLSA-N 0 1 270.336 0.863 20 30 CCEDMN CC#CCN1C[C@@H]2[C@@H](CNC(=O)c3cn(C)ccc3=O)[C@@H]2C1 ZINC000978462080 613632378 /nfs/dbraw/zinc/63/23/78/613632378.db2.gz ZOQBBOUYKGTAQN-ZSOGYDGISA-N 0 1 299.374 0.316 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000961831775 613636443 /nfs/dbraw/zinc/63/64/43/613636443.db2.gz NOMGUWONAVSIIS-JNWWKETESA-N 0 1 274.364 0.624 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CC)n(C)n1 ZINC000963083030 613639267 /nfs/dbraw/zinc/63/92/67/613639267.db2.gz OHFRALCAYRSBPD-NHAGDIPZSA-N 0 1 286.379 0.666 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C(N)=O)cc1 ZINC000962543569 613639628 /nfs/dbraw/zinc/63/96/28/613639628.db2.gz ZDBSPZKPOMYOLS-FICVDOATSA-N 0 1 297.358 0.469 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CC)n[nH]1 ZINC000958285456 613639835 /nfs/dbraw/zinc/63/98/35/613639835.db2.gz PMUYWPWWHOVPMA-IMRBUKKESA-N 0 1 272.352 0.655 20 30 CCEDMN C=CCN(CC(=O)NC(=O)N[C@H](C)COC)C(C)C ZINC000917071022 613641843 /nfs/dbraw/zinc/64/18/43/613641843.db2.gz MKJJWZVHUGZYET-LLVKDONJSA-N 0 1 271.361 0.744 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnsn1 ZINC000958314718 613642306 /nfs/dbraw/zinc/64/23/06/613642306.db2.gz LERJIAVXSFSFDN-JZYVYDRUSA-N 0 1 262.338 0.221 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)c1coc(CN)c1 ZINC000831457108 613645772 /nfs/dbraw/zinc/64/57/72/613645772.db2.gz ONMYBDYLMVRZER-UHFFFAOYSA-N 0 1 266.297 0.930 20 30 CCEDMN CC#CCS(=O)(=O)[N-]C(=O)C[N@H+](C)CCCCCC ZINC000810776092 613645849 /nfs/dbraw/zinc/64/58/49/613645849.db2.gz FTPYCOKZAZUVHM-UHFFFAOYSA-N 0 1 288.413 0.968 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823500559 613646405 /nfs/dbraw/zinc/64/64/05/613646405.db2.gz HBNYLUYAZYDBQI-GFCCVEGCSA-N 0 1 281.356 0.871 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823500559 613646409 /nfs/dbraw/zinc/64/64/09/613646409.db2.gz HBNYLUYAZYDBQI-GFCCVEGCSA-N 0 1 281.356 0.871 20 30 CCEDMN CC#CC[C@@H](CO)NCc1cccc(S(N)(=O)=O)c1 ZINC000895103052 613650012 /nfs/dbraw/zinc/65/00/12/613650012.db2.gz LBEKEAGKIAMYMK-LBPRGKRZSA-N 0 1 282.365 0.198 20 30 CCEDMN C=CCN(Cc1c(CO)[nH]cc(OC)c1=O)[C@@H](C)COC ZINC000902312744 613651178 /nfs/dbraw/zinc/65/11/78/613651178.db2.gz MPVQLQAPSPWYHL-NSHDSACASA-N 0 1 296.367 0.899 20 30 CCEDMN CC(=NNC1=NCCN1)c1ccc(N2CCOCC2)cc1 ZINC000841662963 613653738 /nfs/dbraw/zinc/65/37/38/613653738.db2.gz VALHXTLSBZZZKD-UHFFFAOYSA-N 0 1 287.367 0.796 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCC(=O)NC2)C1 ZINC000970020677 613669391 /nfs/dbraw/zinc/66/93/91/613669391.db2.gz LXCKGZMYTOAOAF-QWRGUYRKSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC000970717270 613669534 /nfs/dbraw/zinc/66/95/34/613669534.db2.gz APXVZTUJTMWZLK-WCQYABFASA-N 0 1 294.399 0.542 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(OC)no2)C1 ZINC000969521723 613670964 /nfs/dbraw/zinc/67/09/64/613670964.db2.gz KWNIZLCANMJBTH-VIFPVBQESA-N 0 1 265.313 0.919 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc3nncn3c2)C1 ZINC000970577811 613671897 /nfs/dbraw/zinc/67/18/97/613671897.db2.gz RIUPKYMHYJAXJN-NSHDSACASA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cn(CC3CC3)nn2)C1 ZINC000970652186 613672795 /nfs/dbraw/zinc/67/27/95/613672795.db2.gz QVFSMDOICINTHG-NSHDSACASA-N 0 1 289.383 0.924 20 30 CCEDMN C=CCN1CCCN(C(=O)CC2OCCCO2)CC1 ZINC000981031928 613688421 /nfs/dbraw/zinc/68/84/21/613688421.db2.gz UUTUCKHYRRQUQY-UHFFFAOYSA-N 0 1 268.357 0.860 20 30 CCEDMN C=CCN1CCCN(C(=O)CN2CCCNC2=O)CC1 ZINC000981539655 613689303 /nfs/dbraw/zinc/68/93/03/613689303.db2.gz VRNYJQHHNVRAFK-UHFFFAOYSA-N 0 1 280.372 0.122 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](C)N2C(=O)CCC2=O)CC1 ZINC000981281774 613690800 /nfs/dbraw/zinc/69/08/00/613690800.db2.gz MTUURAVULLEGDM-GFCCVEGCSA-N 0 1 293.367 0.244 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCCN(C(N)=O)C2)CC1 ZINC000981578392 613691272 /nfs/dbraw/zinc/69/12/72/613691272.db2.gz JKWRPPNBXOEHNQ-CYBMUJFWSA-N 0 1 294.399 0.497 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC000981469553 613691278 /nfs/dbraw/zinc/69/12/78/613691278.db2.gz DMPMLNWXXUHURP-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC000981276588 613693369 /nfs/dbraw/zinc/69/33/69/613693369.db2.gz OYZFVINQRLZLRM-LBPRGKRZSA-N 0 1 265.357 0.185 20 30 CCEDMN C=CCN1CCN(CN(C)C[C@@H]2CCOC2)C1=O ZINC000840024409 613719862 /nfs/dbraw/zinc/71/98/62/613719862.db2.gz NOFSWRAEOZBRJV-LBPRGKRZSA-N 0 1 253.346 0.836 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000968480741 613773543 /nfs/dbraw/zinc/77/35/43/613773543.db2.gz RBDOEDBFRPIUMA-GXFFZTMASA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](O)CCC2(O)CCC2)C1=O ZINC000930310911 613777262 /nfs/dbraw/zinc/77/72/62/613777262.db2.gz SZJWHZFFKWGQAI-KGLIPLIRSA-N 0 1 296.411 0.761 20 30 CCEDMN CC(=O)N1CCC(=NNC2=NCCN2)c2ccccc21 ZINC000857209995 613798040 /nfs/dbraw/zinc/79/80/40/613798040.db2.gz KHGIVIQQHOXCOW-UHFFFAOYSA-N 0 1 271.324 0.696 20 30 CCEDMN C=CCN1CC[C@H](N[C@H]2C(=O)NCC2(CC)CC)C1=O ZINC000933285463 613802262 /nfs/dbraw/zinc/80/22/62/613802262.db2.gz JVKOZNVFSLVYAZ-RYUDHWBXSA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974948856 613807986 /nfs/dbraw/zinc/80/79/86/613807986.db2.gz PBTWLDBKWQVBPL-NWDGAFQWSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2[nH]nnc2C)C(C)(C)C1 ZINC000974928942 613809438 /nfs/dbraw/zinc/80/94/38/613809438.db2.gz HQKGLPPVHVFROZ-SNVBAGLBSA-N 0 1 263.345 0.739 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C(C)(C)C1 ZINC000974737917 613827932 /nfs/dbraw/zinc/82/79/32/613827932.db2.gz IQOAISIFBHSJAM-LRDDRELGSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)O2)C(C)(C)C1 ZINC000975031003 613827936 /nfs/dbraw/zinc/82/79/36/613827936.db2.gz PIYJERWXOOOTMS-TUAOUCFPSA-N 0 1 295.383 0.032 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cc(=O)n(C)cn2)C(C)(C)C1 ZINC000974701482 613828400 /nfs/dbraw/zinc/82/84/00/613828400.db2.gz MRJZBIWVOLQAJR-LBPRGKRZSA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cc3n(n2)CCO3)C(C)(C)C1 ZINC000974702890 613828888 /nfs/dbraw/zinc/82/88/88/613828888.db2.gz TUVQWBBAJDDZLK-LBPRGKRZSA-N 0 1 290.367 0.902 20 30 CCEDMN C=CCNC(=O)C[N@H+](C)C1CCN(C(=O)[O-])CC1 ZINC000823506661 613845119 /nfs/dbraw/zinc/84/51/19/613845119.db2.gz JQQVHTNTUDVMME-UHFFFAOYSA-N 0 1 255.318 0.363 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CCCCCCNC(=O)CC1 ZINC000879253955 613845412 /nfs/dbraw/zinc/84/54/12/613845412.db2.gz IMHUIJZXJJBSGU-UHFFFAOYSA-N 0 1 267.373 0.671 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@H]1CC[C@H]1OC ZINC000934132927 613849617 /nfs/dbraw/zinc/84/96/17/613849617.db2.gz UBWRLAJWSRERDQ-VHSXEESVSA-N 0 1 255.318 0.107 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CC1)C[C@H](O)CCO2 ZINC000933063628 613854965 /nfs/dbraw/zinc/85/49/65/613854965.db2.gz OSBNVIZVYDKQHH-QWHCGFSZSA-N 0 1 282.384 0.683 20 30 CCEDMN C=CCNc1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000834506663 613877047 /nfs/dbraw/zinc/87/70/47/613877047.db2.gz UTDNDRZEAWVKNW-IUCAKERBSA-N 0 1 277.353 0.582 20 30 CCEDMN C=CCOCCNC(=O)N(C)[C@H]1CCC[N@@H+](C)C1 ZINC000894095848 613880675 /nfs/dbraw/zinc/88/06/75/613880675.db2.gz QXUKBKHTFZWVTE-LBPRGKRZSA-N 0 1 255.362 0.925 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N(C[C@H]2CCC[N@@H+]2C)C1=O ZINC000925294286 613882881 /nfs/dbraw/zinc/88/28/81/613882881.db2.gz TVXLOODXLYIKBQ-GHMZBOCLSA-N 0 1 267.329 0.204 20 30 CCEDMN C=CCONC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000838706717 613883665 /nfs/dbraw/zinc/88/36/65/613883665.db2.gz ANLOBSNLYOGKTA-VXGBXAGGSA-N 0 1 269.345 0.345 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)Cc1nnn(C(C)(C)C)n1 ZINC000850761432 613930851 /nfs/dbraw/zinc/93/08/51/613930851.db2.gz MHPKCNHYMCPCOR-VIFPVBQESA-N 0 1 292.343 0.204 20 30 CCEDMN CC(=O)NCc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834510565 613943586 /nfs/dbraw/zinc/94/35/86/613943586.db2.gz CTWXHKJTKKNXPO-UONOGXRCSA-N 0 1 286.335 0.164 20 30 CCEDMN CC(C)NCc1cn([C@@H](C)C(=O)N(C)CCC#N)nn1 ZINC000905787898 613960680 /nfs/dbraw/zinc/96/06/80/613960680.db2.gz KWBZMTNMAUUJRM-NSHDSACASA-N 0 1 278.360 0.709 20 30 CCEDMN CC(C)(CCC#N)CN1CCN2[C@H](CNS2(=O)=O)C1 ZINC000897283131 614080477 /nfs/dbraw/zinc/08/04/77/614080477.db2.gz DETYJCPSSMSDMV-LLVKDONJSA-N 0 1 286.401 0.151 20 30 CCEDMN CC(=O)N[C@@](C)(C(=O)NCC#CCN(C)C)C(C)C ZINC000827972311 614082756 /nfs/dbraw/zinc/08/27/56/614082756.db2.gz YUIGKRRCSSPQMM-CQSZACIVSA-N 0 1 267.373 0.218 20 30 CCEDMN CC(C)COc1ncccc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000844195710 614174675 /nfs/dbraw/zinc/17/46/75/614174675.db2.gz YKJKKICKFZNZDG-YPMHNXCESA-N 0 1 288.351 0.958 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N(C)C[C@@H](C)C#N)cc1=O ZINC000930488707 614235012 /nfs/dbraw/zinc/23/50/12/614235012.db2.gz MLEOKHZEPJJRNL-NSHDSACASA-N 0 1 278.356 0.993 20 30 CCEDMN CC(=O)c1csc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834484633 614269283 /nfs/dbraw/zinc/26/92/83/614269283.db2.gz LCZUQNBYGCNWJB-NXEZZACHSA-N 0 1 263.322 0.792 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000824057129 614285779 /nfs/dbraw/zinc/28/57/79/614285779.db2.gz OWYZOXSGWXPMRV-RISCZKNCSA-N 0 1 296.371 0.725 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000824057129 614285781 /nfs/dbraw/zinc/28/57/81/614285781.db2.gz OWYZOXSGWXPMRV-RISCZKNCSA-N 0 1 296.371 0.725 20 30 CCEDMN CC(C)[N@H+](CC(=O)[O-])CC(=O)N[C@@](C)(C#N)C(C)C ZINC000820009659 614288013 /nfs/dbraw/zinc/28/80/13/614288013.db2.gz WQXLQRYVZUJJAV-ZDUSSCGKSA-N 0 1 269.345 0.836 20 30 CCEDMN CC(C)[N@@H+](CC(=O)[O-])CC(=O)N[C@@](C)(C#N)C(C)C ZINC000820009659 614288017 /nfs/dbraw/zinc/28/80/17/614288017.db2.gz WQXLQRYVZUJJAV-ZDUSSCGKSA-N 0 1 269.345 0.836 20 30 CCEDMN CC1(C)CCN(CC(=O)N[C@@H]2[C@H]3CN(CC#N)C[C@H]32)C1 ZINC000961779603 614541943 /nfs/dbraw/zinc/54/19/43/614541943.db2.gz QAFJBSBMNOHPCI-IMRBUKKESA-N 0 1 276.384 0.288 20 30 CCEDMN CC1(C)CCN(CCNS(=O)(=O)CC2(C#N)CC2)C1 ZINC000908083032 614545813 /nfs/dbraw/zinc/54/58/13/614545813.db2.gz NHEWBLHCIBYIME-UHFFFAOYSA-N 0 1 285.413 0.941 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@@H]1NC(=O)Cc1cnc[nH]1 ZINC000941062039 614603428 /nfs/dbraw/zinc/60/34/28/614603428.db2.gz UUICMXZAHWHOSC-LBPRGKRZSA-N 0 1 275.356 0.692 20 30 CCEDMN CC1(C)CN(CC#N)C[C@@H]1NC(=O)c1cncc2nc[nH]c21 ZINC000974621897 614607890 /nfs/dbraw/zinc/60/78/90/614607890.db2.gz PUZIBRMNCVKHGD-LBPRGKRZSA-N 0 1 298.350 0.922 20 30 CCEDMN CCCN1CCO[C@@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC000972298433 614693780 /nfs/dbraw/zinc/69/37/80/614693780.db2.gz SFCNCXMWWWLRMQ-OCCSQVGLSA-N 0 1 265.357 0.859 20 30 CCEDMN CCN(C(=O)c1cnc(C)[nH]1)C1CN(C(=O)[C@@H](C)C#N)C1 ZINC000967840938 614764429 /nfs/dbraw/zinc/76/44/29/614764429.db2.gz UFNOHZZNYGIFIJ-VIFPVBQESA-N 0 1 289.339 0.551 20 30 CCEDMN CCN(CC(=O)N[C@@H]1CNC[C@H]1C#N)c1ccccc1 ZINC000834494468 614941629 /nfs/dbraw/zinc/94/16/29/614941629.db2.gz NFQCNQXVSNTUQV-TZMCWYRMSA-N 0 1 272.352 0.741 20 30 CCEDMN CCN(CC)C(=O)CCCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834495372 614962823 /nfs/dbraw/zinc/96/28/23/614962823.db2.gz UYYBOGQONWKJJX-NEPJUHHUSA-N 0 1 280.372 0.253 20 30 CCEDMN CCN(CC)[C@@H](CNC(=O)NCC#CCO)c1ccco1 ZINC000923783698 615009739 /nfs/dbraw/zinc/00/97/39/615009739.db2.gz JJKWITODRXFWNV-ZDUSSCGKSA-N 0 1 293.367 0.957 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000860160295 615061566 /nfs/dbraw/zinc/06/15/66/615061566.db2.gz OZTRPINKLKYGRL-QJPTWQEYSA-N 0 1 279.340 0.099 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000895858619 615064355 /nfs/dbraw/zinc/06/43/55/615064355.db2.gz QSFKINDWPHFUCM-DGCLKSJQSA-N 0 1 265.357 0.715 20 30 CCEDMN CCNCc1cn(C[C@H](O)CC2(C#N)CCC2)nn1 ZINC000887467196 615102773 /nfs/dbraw/zinc/10/27/73/615102773.db2.gz LDVJGDKCZAWKJZ-GFCCVEGCSA-N 0 1 263.345 0.832 20 30 CCEDMN CC[N@H+]1CCCN(C(=O)[C@@H](C)n2cnc(C#N)n2)[C@@H](C)C1 ZINC000910275336 615118721 /nfs/dbraw/zinc/11/87/21/615118721.db2.gz UMMPKTCDLOXPQR-NWDGAFQWSA-N 0 1 290.371 0.653 20 30 CCEDMN CC[N@H+]1CCC[C@H]1CNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820348010 615145843 /nfs/dbraw/zinc/14/58/43/615145843.db2.gz GDGXAIAFUBUWDN-GWCFXTLKSA-N 0 1 267.329 0.591 20 30 CCEDMN CCN1CCN(CC(=O)NCC#C[C@@H]2CCCCO2)CC1 ZINC000891118557 615155258 /nfs/dbraw/zinc/15/52/58/615155258.db2.gz DBBBYJKKTUZNHY-HNNXBMFYSA-N 0 1 293.411 0.313 20 30 CCEDMN CCOC(=O)N(C)CCCC(=O)NCC#CCN(C)C ZINC000913525221 615222566 /nfs/dbraw/zinc/22/25/66/615222566.db2.gz OEYKONNRVMLGQU-UHFFFAOYSA-N 0 1 283.372 0.536 20 30 CCEDMN CCOC(=O)N1CCC[C@H](C(=O)N[C@@H]2CNC[C@H]2C#N)C1 ZINC000834517553 615228650 /nfs/dbraw/zinc/22/86/50/615228650.db2.gz WZMPLNDKPJRWAQ-QJPTWQEYSA-N 0 1 294.355 0.083 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)N1CCO[C@](C)(C#N)C1 ZINC000896462166 615315335 /nfs/dbraw/zinc/31/53/35/615315335.db2.gz VHXSZCNXOZGXSB-WCQYABFASA-N 0 1 266.345 0.405 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)N1CC[C@](F)(C#N)C1 ZINC000883898044 615315704 /nfs/dbraw/zinc/31/57/04/615315704.db2.gz RPWGBCOXPCNRKR-JQWIXIFHSA-N 0 1 254.309 0.728 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)Nc1nn(C)cc1C#N ZINC000878875133 615322169 /nfs/dbraw/zinc/32/21/69/615322169.db2.gz YGYMCKHWMXEFSL-JTQLQIEISA-N 0 1 262.317 0.507 20 30 CCEDMN CCOCc1nc(C)cc(NN=Cc2cnnn2C)n1 ZINC000905505381 615323960 /nfs/dbraw/zinc/32/39/60/615323960.db2.gz WUMMSCGUHFEQNZ-UHFFFAOYSA-N 0 1 275.316 0.896 20 30 CCEDMN CCc1nnc(NC(=O)NCC#CCN(C)C)s1 ZINC000929219830 615816557 /nfs/dbraw/zinc/81/65/57/615816557.db2.gz VQSHQPABSQJWOK-UHFFFAOYSA-N 0 1 267.358 0.787 20 30 CCEDMN CCc1noc2ncc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc12 ZINC000834494257 615853844 /nfs/dbraw/zinc/85/38/44/615853844.db2.gz MJLMCLKLXORZND-JOYOIKCWSA-N 0 1 285.307 0.627 20 30 CCEDMN CN(C)CC#CCNC(=O)CCOc1cccc(C=O)c1 ZINC000913518574 615857781 /nfs/dbraw/zinc/85/77/81/615857781.db2.gz VNKKYHWAZJWMMT-UHFFFAOYSA-N 0 1 288.347 0.949 20 30 CCEDMN CN(C)CC#CCNC(=O)COc1ccsc1 ZINC000913521679 615858339 /nfs/dbraw/zinc/85/83/39/615858339.db2.gz JCDMHUVWQWNPIA-UHFFFAOYSA-N 0 1 252.339 0.808 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@H]1CCCC[C@@H]1O ZINC000913521514 615858549 /nfs/dbraw/zinc/85/85/49/615858549.db2.gz CBIABTDYHUNAHZ-OLZOCXBDSA-N 0 1 252.358 0.609 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1cccc(F)c1[N+](=O)[O-] ZINC000913523686 615858555 /nfs/dbraw/zinc/85/85/55/615858555.db2.gz ISXMMPZLLCAXEW-UHFFFAOYSA-N 0 1 293.298 0.958 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cccc(N(C)C)n1 ZINC000905152570 615859023 /nfs/dbraw/zinc/85/90/23/615859023.db2.gz YWFJWSGLDQRECC-UHFFFAOYSA-N 0 1 275.356 0.834 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCCN(C(C)(C)C)C1=O ZINC000913525312 615859400 /nfs/dbraw/zinc/85/94/00/615859400.db2.gz RLPFTFRJHLAWSJ-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1COc2ccc(O)cc2O1 ZINC000913523811 615859446 /nfs/dbraw/zinc/85/94/46/615859446.db2.gz NMWUOSJANVSULY-AWEZNQCLSA-N 0 1 290.319 0.213 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H](CO)c1ccccc1 ZINC000913523624 615859678 /nfs/dbraw/zinc/85/96/78/615859678.db2.gz GXVBBOSSOXGYEM-CQSZACIVSA-N 0 1 260.337 0.444 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H](O)CCc1ccccc1 ZINC000913520776 615859697 /nfs/dbraw/zinc/85/96/97/615859697.db2.gz YCSBAJGVMANLGJ-HNNXBMFYSA-N 0 1 274.364 0.661 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c(F)ccnc1F ZINC000913522330 615860173 /nfs/dbraw/zinc/86/01/73/615860173.db2.gz DZOSXMCOWDPJKC-UHFFFAOYSA-N 0 1 253.252 0.655 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc(C(=O)N(C)C)c1 ZINC000913518310 615861099 /nfs/dbraw/zinc/86/10/99/615861099.db2.gz MFNCOKVAELWETP-UHFFFAOYSA-N 0 1 287.363 0.683 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccn(C(C)(C)C)n1 ZINC000913524033 615861390 /nfs/dbraw/zinc/86/13/90/615861390.db2.gz WWYLEPJPAANDKJ-UHFFFAOYSA-N 0 1 262.357 0.933 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C)nc1[C@H]1CCOC1 ZINC000913521163 615861615 /nfs/dbraw/zinc/86/16/15/615861615.db2.gz NCSFPNGQCLJVCZ-LBPRGKRZSA-N 0 1 290.367 0.219 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc2ccccc2n1 ZINC000913515930 615861791 /nfs/dbraw/zinc/86/17/91/615861791.db2.gz TZDYROSGJXFJNW-UHFFFAOYSA-N 0 1 268.320 0.925 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(C2CCC2)c1 ZINC000827971646 615862074 /nfs/dbraw/zinc/86/20/74/615862074.db2.gz CSPJIIQRLPNMRC-UHFFFAOYSA-N 0 1 260.341 0.903 20 30 CCEDMN CN(C)c1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1F ZINC000834493222 616013502 /nfs/dbraw/zinc/01/35/02/616013502.db2.gz BXDLRMOCSMGYNY-PWSUYJOCSA-N 0 1 276.315 0.733 20 30 CCEDMN CN(C)c1cccc(CCC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834505549 616020466 /nfs/dbraw/zinc/02/04/66/616020466.db2.gz LYOVHDNQZRBNGC-UKRRQHHQSA-N 0 1 286.379 0.913 20 30 CCEDMN CN(C)c1ncc(C=NOC[C@@H]2CCOC2)n1C ZINC000811648130 616035389 /nfs/dbraw/zinc/03/53/89/616035389.db2.gz FOZKWEUZHQSHTO-SNVBAGLBSA-N 0 1 252.318 0.873 20 30 CCEDMN CN(C)c1ncc(C=[NH+][N-]c2ccc(C(N)=O)nn2)s1 ZINC000755767543 616035408 /nfs/dbraw/zinc/03/54/08/616035408.db2.gz XSZXAYKGFNRAGM-UHFFFAOYSA-N 0 1 291.340 0.544 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000971576425 616040857 /nfs/dbraw/zinc/04/08/57/616040857.db2.gz QXWQZTQBPGBVRI-SNVBAGLBSA-N 0 1 284.323 0.628 20 30 CCEDMN CN(CC(=O)NCCC#N)[C@H](CO)CC(C)(C)C ZINC000832084201 616047222 /nfs/dbraw/zinc/04/72/22/616047222.db2.gz IQEUZTXDHGXCNQ-NSHDSACASA-N 0 1 255.362 0.745 20 30 CCEDMN C[N@H+](CC(=O)[O-])C[C@H](O)COc1ccccc1C#N ZINC000923222819 616049984 /nfs/dbraw/zinc/04/99/84/616049984.db2.gz PAGQCFSAPHEFCF-NSHDSACASA-N 0 1 264.281 0.314 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000820580119 616067405 /nfs/dbraw/zinc/06/74/05/616067405.db2.gz UFCOVBGDWGBDAE-LBPRGKRZSA-N 0 1 281.356 0.935 20 30 CCEDMN C[N@H+](Cc1ccccc1)C[C@H](O)CN(CC#N)CC(=O)[O-] ZINC000830855630 616170194 /nfs/dbraw/zinc/17/01/94/616170194.db2.gz CWGMBJXQZPKNEK-AWEZNQCLSA-N 0 1 291.351 0.389 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCC#C[C@H]1CCCCO1 ZINC000891105767 616193120 /nfs/dbraw/zinc/19/31/20/616193120.db2.gz CPVHVXZCTACRRU-CHWSQXEVSA-N 0 1 250.342 0.769 20 30 CCEDMN CN1CCN(C)CCN(C(=O)CC(C)(C)C#N)CC1 ZINC000888984996 616235143 /nfs/dbraw/zinc/23/51/43/616235143.db2.gz HMERBAGQEQGRNZ-UHFFFAOYSA-N 0 1 266.389 0.632 20 30 CCEDMN C[NH+]1CCN([C@@H](C(=O)[O-])c2cccc(C#N)c2)CC1 ZINC000830950365 616246674 /nfs/dbraw/zinc/24/66/74/616246674.db2.gz JRXHUJLAHLHQIL-CYBMUJFWSA-N 0 1 259.309 0.931 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)N1Cc2cccc(C#N)c2C1 ZINC000911923169 616317617 /nfs/dbraw/zinc/31/76/17/616317617.db2.gz MACAQFHCZQHPRK-BBRMVZONSA-N 0 1 285.347 0.856 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)c2coc(C#N)c2)C1 ZINC000865195672 616343903 /nfs/dbraw/zinc/34/39/03/616343903.db2.gz OHGAYUMTHSZIRF-GFCCVEGCSA-N 0 1 277.324 0.992 20 30 CCEDMN COCC[N@H+]1CCC[C@@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820730377 616344655 /nfs/dbraw/zinc/34/46/55/616344655.db2.gz RCMYPYRGGCIEOQ-RISCZKNCSA-N 0 1 297.355 0.218 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCc1ccc(CC#N)cc1 ZINC000911492415 616346837 /nfs/dbraw/zinc/34/68/37/616346837.db2.gz FQMNLJVQVHCLKR-GOEBONIOSA-N 0 1 287.363 0.824 20 30 CCEDMN COC(OC)[C@@H](C)NCc1cnc2c(C#N)cnn2c1 ZINC000895795371 616347608 /nfs/dbraw/zinc/34/76/08/616347608.db2.gz VVTRSIWRLRUROP-SECBINFHSA-N 0 1 275.312 0.698 20 30 CCEDMN COCC[N@H+]1CCC[C@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820730379 616350624 /nfs/dbraw/zinc/35/06/24/616350624.db2.gz RCMYPYRGGCIEOQ-SMDDNHRTSA-N 0 1 297.355 0.218 20 30 CCEDMN CN1C[C@H]2[C@@H](CCN2S(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000907196241 616362757 /nfs/dbraw/zinc/36/27/57/616362757.db2.gz GHWDSLAFJQHPRD-RYUDHWBXSA-N 0 1 292.364 0.278 20 30 CCEDMN COC(=O)[C@@H]1CO[C@H](CCC(=O)NCC#CCN(C)C)C1 ZINC000913524912 616405088 /nfs/dbraw/zinc/40/50/88/616405088.db2.gz BQFVWPWTXLSNJU-QWHCGFSZSA-N 0 1 296.367 0.026 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N1CCC[C@@H](CC#N)C1 ZINC000912293308 616470516 /nfs/dbraw/zinc/47/05/16/616470516.db2.gz ZMMGTKCFSHBMGC-SCRDCRAPSA-N 0 1 265.357 0.594 20 30 CCEDMN COCC#CCN(CC1CC1)[C@H](C(N)=O)C1CC1 ZINC000879489249 616527466 /nfs/dbraw/zinc/52/74/66/616527466.db2.gz XZWXKWGZWBDKRY-ZDUSSCGKSA-N 0 1 250.342 0.612 20 30 CCEDMN COC(=O)CCN1CCN(CCO[C@@H](C)C#N)[C@H](C)C1 ZINC000801939779 616555086 /nfs/dbraw/zinc/55/50/86/616555086.db2.gz SJGAYJTUZPMBER-OLZOCXBDSA-N 0 1 283.372 0.484 20 30 CCEDMN COCCOc1ccccc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834493110 616596771 /nfs/dbraw/zinc/59/67/71/616596771.db2.gz AOJKDJLJSHIMLI-YPMHNXCESA-N 0 1 289.335 0.553 20 30 CCEDMN COCC1(C(=O)NCC#CCN(C)C)CCCC1 ZINC000913523077 616746926 /nfs/dbraw/zinc/74/69/26/616746926.db2.gz LHPSSQSEXXFLKT-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN CNC(=O)NC[C@@H]1CCCCN1CCO[C@H](C)C#N ZINC000879337995 616750248 /nfs/dbraw/zinc/75/02/48/616750248.db2.gz KMEVHYZTWUJYTF-NEPJUHHUSA-N 0 1 268.361 0.699 20 30 CCEDMN CNC(=O)[C@@H]1CCCN1C[C@H](O)CC1(C#N)CCC1 ZINC000885965917 616816965 /nfs/dbraw/zinc/81/69/65/616816965.db2.gz YIZUDKRHYWZBCI-NEPJUHHUSA-N 0 1 265.357 0.642 20 30 CCEDMN COCCC1(C(=O)NCC#CCN(C)C)CCC1 ZINC000913519610 616837215 /nfs/dbraw/zinc/83/72/15/616837215.db2.gz HIMQZOAHTQVHIH-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN COC(=O)c1ccc(/C=C\C(=O)NCC#CCN(C)C)o1 ZINC000913524941 616875964 /nfs/dbraw/zinc/87/59/64/616875964.db2.gz CULDYOPNVAEYOR-CLFYSBASSA-N 0 1 290.319 0.761 20 30 CCEDMN CNC(=O)[C@H]1CCCN1C[C@@H](O)CC1(C#N)CCC1 ZINC000885965918 616879985 /nfs/dbraw/zinc/87/99/85/616879985.db2.gz YIZUDKRHYWZBCI-NWDGAFQWSA-N 0 1 265.357 0.642 20 30 CCEDMN COC(=O)c1ccc(C(=O)NCC#CCN(C)C)c(F)c1 ZINC000913521136 616881946 /nfs/dbraw/zinc/88/19/46/616881946.db2.gz MFAJHAZGTJPUMW-UHFFFAOYSA-N 0 1 292.310 0.907 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC1CCOCC1 ZINC000117531903 616901107 /nfs/dbraw/zinc/90/11/07/616901107.db2.gz GQDJONZAMYXFNX-GFCCVEGCSA-N 0 1 282.340 0.665 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CC[C@@H](OC)C1 ZINC000850751877 616902103 /nfs/dbraw/zinc/90/21/03/616902103.db2.gz IRWWHXYKCALPTQ-QJPTWQEYSA-N 0 1 282.340 0.663 20 30 CCEDMN COCc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834511977 616902600 /nfs/dbraw/zinc/90/26/00/616902600.db2.gz QJHRWPZDGYJVRG-OLZOCXBDSA-N 0 1 259.309 0.674 20 30 CCEDMN COCc1nc(C)c(C(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000834485048 616932344 /nfs/dbraw/zinc/93/23/44/616932344.db2.gz RBXLAYJPCXSINF-BDAKNGLRSA-N 0 1 280.353 0.439 20 30 CCEDMN COC[C@H](C)N1CCCN(C(=O)C#CC2CC2)CC1 ZINC000981126082 617058591 /nfs/dbraw/zinc/05/85/91/617058591.db2.gz OEEKKFTVSOULJB-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN CON=Cc1ccc(C(=O)NC[C@@H]2C[C@@H](O)CN2C)cc1 ZINC000924993153 617106709 /nfs/dbraw/zinc/10/67/09/617106709.db2.gz PDCFJUYXIZTKBO-UONOGXRCSA-N 0 1 291.351 0.462 20 30 CCEDMN COc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)ccc1C ZINC000834510911 617167272 /nfs/dbraw/zinc/16/72/72/617167272.db2.gz HESVOAQKPUZGGU-NEPJUHHUSA-N 0 1 259.309 0.845 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)[C@H]1CCCO1 ZINC001026025036 625322849 /nfs/dbraw/zinc/32/28/49/625322849.db2.gz PZEQFJXGZFHUSE-QMIVOQANSA-N 0 1 276.380 0.871 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCOC[C@H]1C ZINC001026024093 625323022 /nfs/dbraw/zinc/32/30/22/625323022.db2.gz OSQJMFHOANALPH-ZSAUSMIDSA-N 0 1 276.380 0.729 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@@H](C)C1 ZINC001026124663 625338080 /nfs/dbraw/zinc/33/80/80/625338080.db2.gz BUACYFDGTJVTGH-XPABHHOTSA-N 0 1 276.380 0.871 20 30 CCEDMN C[C@H]1C[C@H](NC(=O)C(N)=O)CCN1CC#Cc1ccccc1 ZINC000947671146 625388613 /nfs/dbraw/zinc/38/86/13/625388613.db2.gz KWXKFAHGVMIWCB-DZGCQCFKSA-N 0 1 299.374 0.493 20 30 CCEDMN N#CCNC1CCC(NC(=O)c2[nH]ncc2F)CC1 ZINC001026555792 625472414 /nfs/dbraw/zinc/47/24/14/625472414.db2.gz MIXHWERUCCLKDT-UHFFFAOYSA-N 0 1 265.292 0.703 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CNCc2nncs2)C1 ZINC001026732746 625578618 /nfs/dbraw/zinc/57/86/18/625578618.db2.gz MZSOVRIMVHDXAR-UWVGGRQHSA-N 0 1 279.369 0.636 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001022692921 622661556 /nfs/dbraw/zinc/66/15/56/622661556.db2.gz SKMMFCOVZQOBRC-OUAUKWLOSA-N 0 1 273.340 0.590 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2cn(CC=C)nn2)C1 ZINC001023638144 623090098 /nfs/dbraw/zinc/09/00/98/623090098.db2.gz YHLWOXOVLHDNKU-CYBMUJFWSA-N 0 1 287.367 0.539 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024389861 623308442 /nfs/dbraw/zinc/30/84/42/623308442.db2.gz AHIQSMNCRWMDSH-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCCNC1=O ZINC001024493400 623337982 /nfs/dbraw/zinc/33/79/82/623337982.db2.gz XNKRUKRDLVMTSH-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C[N@H+]1CCCC[C@H]1C(=O)NC[C@H]1CCCCN1CC#N ZINC001024514161 623344409 /nfs/dbraw/zinc/34/44/09/623344409.db2.gz WLHNMYZPGUYLEK-KGLIPLIRSA-N 0 1 278.400 0.965 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@H]1CCCCN1CC#N ZINC001024514161 623344412 /nfs/dbraw/zinc/34/44/12/623344412.db2.gz WLHNMYZPGUYLEK-KGLIPLIRSA-N 0 1 278.400 0.965 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001006903251 623360650 /nfs/dbraw/zinc/36/06/50/623360650.db2.gz FACFJQIZYQRPSD-CQSZACIVSA-N 0 1 274.368 0.567 20 30 CCEDMN C[C@H]1CN(CCCOC(=O)CSCC#N)CCO1 ZINC000893392784 625652312 /nfs/dbraw/zinc/65/23/12/625652312.db2.gz UMZJZBUKAIZQAZ-NSHDSACASA-N 0 1 272.370 0.897 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)N1C ZINC001017815827 623623661 /nfs/dbraw/zinc/62/36/61/623623661.db2.gz BOFLDNAVIKATLE-MJBXVCDLSA-N 0 1 291.395 0.859 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@](C)(CCC)C(=O)[O-] ZINC000328257867 623873084 /nfs/dbraw/zinc/87/30/84/623873084.db2.gz KRPMMBFUZIQARF-AWEZNQCLSA-N 0 1 283.372 0.884 20 30 CCEDMN C[C@H]1CCN(CC(=O)N[C@@H]2CN(CC#N)CC2(C)C)C1 ZINC000975060031 625716725 /nfs/dbraw/zinc/71/67/25/625716725.db2.gz IFSTYMTYTHBREJ-QWHCGFSZSA-N 0 1 278.400 0.678 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CC[C@@H](NCC#N)C1 ZINC000970224605 624660280 /nfs/dbraw/zinc/66/02/80/624660280.db2.gz TYRUEPWUVBZTPA-WDEREUQCSA-N 0 1 261.329 0.302 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@@H]2CN(CC#N)CC[C@@H]21 ZINC001021649121 624803003 /nfs/dbraw/zinc/80/30/03/624803003.db2.gz OZXWXNXQMWPYHQ-NEPJUHHUSA-N 0 1 288.355 0.563 20 30 CCEDMN Cc1ccc(CN2CC[C@H](CS(N)(=O)=O)C2)cc1C#N ZINC000932949856 624812893 /nfs/dbraw/zinc/81/28/93/624812893.db2.gz PTPMOYKEQCDNRD-ZDUSSCGKSA-N 0 1 293.392 0.977 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H](CCCN2C(=O)Cc2nnc[n-]2)C1 ZINC001021711635 624814348 /nfs/dbraw/zinc/81/43/48/624814348.db2.gz ZILXUKVBTXUNOM-CHWSQXEVSA-N 0 1 287.367 0.293 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)Cc2nnc[n-]2)C1 ZINC001021711635 624814349 /nfs/dbraw/zinc/81/43/49/624814349.db2.gz ZILXUKVBTXUNOM-CHWSQXEVSA-N 0 1 287.367 0.293 20 30 CCEDMN Cn1ccc(CN[C@H]2C[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC001021983597 624858919 /nfs/dbraw/zinc/85/89/19/624858919.db2.gz AIKNYJIQOUFPFT-BETUJISGSA-N 0 1 298.350 0.670 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1S(=O)(=O)c1ccsc1C#N ZINC000903490216 634587625 /nfs/dbraw/zinc/58/76/25/634587625.db2.gz NEOOTHRKWIKSKL-DTWKUNHWSA-N 0 1 285.394 0.991 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccnn1C1CCC1 ZINC000904563595 634756914 /nfs/dbraw/zinc/75/69/14/634756914.db2.gz LRHDCQCSTOIAEJ-KOLCDFICSA-N 0 1 274.328 0.841 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccnn1C ZINC001027783433 630912689 /nfs/dbraw/zinc/91/26/89/630912689.db2.gz XWBLXLFPCRUWSU-GFCCVEGCSA-N 0 1 260.341 0.638 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@]2(C)CN([C@@H](C)C(N)=O)C[C@@]2(C)C1 ZINC000982233172 631387326 /nfs/dbraw/zinc/38/73/26/631387326.db2.gz JTJVPXZFWZKDIB-LWWSYDQCSA-N 0 1 292.383 0.190 20 30 CCEDMN Cc1nocc1CN[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000967944350 650005973 /nfs/dbraw/zinc/00/59/73/650005973.db2.gz PZPOLEOTWNNZNH-JOYOIKCWSA-N 0 1 262.313 0.833 20 30 CCEDMN Cc1nnsc1CN[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000967973763 650006051 /nfs/dbraw/zinc/00/60/51/650006051.db2.gz CYNMFYJJQNYFQA-WCBMZHEXSA-N 0 1 279.369 0.697 20 30 CCEDMN C[C@]1(CO)C[C@H](O)CN1Cc1ccc(C#N)s1 ZINC000878984304 631696667 /nfs/dbraw/zinc/69/66/67/631696667.db2.gz RZBMAIOPIYCEKD-JOYOIKCWSA-N 0 1 252.339 0.937 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC(=O)c2cnn[nH]2)c1 ZINC000049350376 631960990 /nfs/dbraw/zinc/96/09/90/631960990.db2.gz YSOFWUSKXOQYGO-UHFFFAOYSA-N 0 1 269.264 0.155 20 30 CCEDMN O=C1[C@@H](NCc2c[nH]nc2-c2cccnc2)CCCN1O ZINC000895164221 631998005 /nfs/dbraw/zinc/99/80/05/631998005.db2.gz AEWWKCPAMGZNHA-LBPRGKRZSA-N 0 1 287.323 0.942 20 30 CCEDMN C[C@@]1(CO)C[C@H](O)CN1Cc1cc(C#N)cs1 ZINC000895327518 632060580 /nfs/dbraw/zinc/06/05/80/632060580.db2.gz DKPPPCMEFMZYKT-JQWIXIFHSA-N 0 1 252.339 0.937 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC001006864281 650055674 /nfs/dbraw/zinc/05/56/74/650055674.db2.gz PGNSNRAXHXGCNL-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001006890122 650056550 /nfs/dbraw/zinc/05/65/50/650056550.db2.gz HZTDWQWLYOOBKA-CYBMUJFWSA-N 0 1 262.357 0.729 20 30 CCEDMN C[C@H](NC(=O)c1c[nH]c(C#N)c1)[C@@H]1CN(C)CCN1C ZINC000896547942 632275962 /nfs/dbraw/zinc/27/59/62/632275962.db2.gz GINLFQVFPOCYAT-GWCFXTLKSA-N 0 1 275.356 0.250 20 30 CCEDMN C[C@H](NC(=O)c1c[nH]c(C#N)c1)[C@H]1CN(C)CCN1C ZINC000896547943 632277275 /nfs/dbraw/zinc/27/72/75/632277275.db2.gz GINLFQVFPOCYAT-GXFFZTMASA-N 0 1 275.356 0.250 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CC2OCCCO2)C1 ZINC001007204589 650070699 /nfs/dbraw/zinc/07/06/99/650070699.db2.gz LQBLBMDYGXDAPF-ZDUSSCGKSA-N 0 1 280.368 0.743 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001007357428 650076053 /nfs/dbraw/zinc/07/60/53/650076053.db2.gz ONUXGOXNTUEWOK-JHJVBQTASA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cccn3nnnc23)C1 ZINC001007412907 650077497 /nfs/dbraw/zinc/07/74/97/650077497.db2.gz OZVBPACUCMHOEU-LLVKDONJSA-N 0 1 286.339 0.505 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCCN(C(N)=O)C2)C1 ZINC001007866079 650095696 /nfs/dbraw/zinc/09/56/96/650095696.db2.gz PJVLEDBXBROCRU-STQMWFEESA-N 0 1 294.399 0.544 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2coc(OC)n2)C1 ZINC001008069506 650100792 /nfs/dbraw/zinc/10/07/92/650100792.db2.gz LDIBJRHBLFPYDM-LLVKDONJSA-N 0 1 277.324 0.901 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CN(C)C(=O)c2ccn[nH]2)C1 ZINC000988297608 632973361 /nfs/dbraw/zinc/97/33/61/632973361.db2.gz UGKFDORFSGVHRW-WDEREUQCSA-N 0 1 289.339 0.490 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001008244622 650106702 /nfs/dbraw/zinc/10/67/02/650106702.db2.gz AOLQIHFFDSVAOC-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN N#Cc1cccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000841006439 633073019 /nfs/dbraw/zinc/07/30/19/633073019.db2.gz KTUHMTDMLLQKGR-CMPLNLGQSA-N 0 1 255.281 0.791 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC001008330832 650110343 /nfs/dbraw/zinc/11/03/43/650110343.db2.gz CJCBNPQCIZJTET-JTQLQIEISA-N 0 1 262.313 0.150 20 30 CCEDMN C=CC[N@@H+]1CCCN(C(=O)[C@H]2CCN(C(=O)CC)C2)CC1 ZINC000989430850 633221315 /nfs/dbraw/zinc/22/13/15/633221315.db2.gz MAXBKFVWRUQQFE-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCN(C(=O)CC)C2)CC1 ZINC000989430850 633221317 /nfs/dbraw/zinc/22/13/17/633221317.db2.gz MAXBKFVWRUQQFE-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C[C@H]1CN(C(=O)[C@H]2CCCN2C)C[C@H](C)N1CC#N ZINC000898133027 633278734 /nfs/dbraw/zinc/27/87/34/633278734.db2.gz SRAVXYYANBBREL-RWMBFGLXSA-N 0 1 264.373 0.525 20 30 CCEDMN Cc1nc(CNC(=O)N2CCC(C)(C#N)CC2)n[nH]1 ZINC000898360476 633302594 /nfs/dbraw/zinc/30/25/94/633302594.db2.gz AEXKTAHJQCVRGT-UHFFFAOYSA-N 0 1 262.317 0.948 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCCN(CCCO)CC2)cn1 ZINC000989512416 633321899 /nfs/dbraw/zinc/32/18/99/633321899.db2.gz JHPWCSTYPVLKRW-UHFFFAOYSA-N 0 1 287.363 0.593 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000989644188 633337776 /nfs/dbraw/zinc/33/77/76/633337776.db2.gz SVXDYRKVXNPPPQ-UHFFFAOYSA-N 0 1 299.374 0.957 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccc(C(N)=O)cc2)CC1 ZINC000989644188 633337778 /nfs/dbraw/zinc/33/77/78/633337778.db2.gz SVXDYRKVXNPPPQ-UHFFFAOYSA-N 0 1 299.374 0.957 20 30 CCEDMN N#CCN1CCCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000989644230 633338008 /nfs/dbraw/zinc/33/80/08/633338008.db2.gz WGJVKSSNFYZOAN-UHFFFAOYSA-N 0 1 284.323 0.629 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ncn(C)n3)CCC[C@@H]12 ZINC000990540044 633552465 /nfs/dbraw/zinc/55/24/65/633552465.db2.gz CNWRXPHLTYRVEX-RISCZKNCSA-N 0 1 273.340 0.175 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc3[nH]cnc32)C1 ZINC001014593130 633558012 /nfs/dbraw/zinc/55/80/12/633558012.db2.gz GYSQEELPYOIGHE-SNVBAGLBSA-N 0 1 271.324 0.948 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CCCN(C[C@H](C)O)C2)c1 ZINC001008471108 650115954 /nfs/dbraw/zinc/11/59/54/650115954.db2.gz YXBWPSQAJNRSTF-SWLSCSKDSA-N 0 1 287.363 0.638 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001008515362 650117949 /nfs/dbraw/zinc/11/79/49/650117949.db2.gz DRNXRZLDRYYBIM-DOMZBBRYSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001008869216 650130421 /nfs/dbraw/zinc/13/04/21/650130421.db2.gz QEYRHMLQQOXFTK-CQSZACIVSA-N 0 1 297.362 0.947 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(CCO)C2)nc1 ZINC001009269295 650141426 /nfs/dbraw/zinc/14/14/26/650141426.db2.gz NSVILEXCONSJKE-ZDUSSCGKSA-N 0 1 273.336 0.249 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cn2nc(C)ccc2=O)C1 ZINC001009295588 650142124 /nfs/dbraw/zinc/14/21/24/650142124.db2.gz ISIVRRADWPYOPK-ZDUSSCGKSA-N 0 1 290.367 0.318 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001009380663 650143937 /nfs/dbraw/zinc/14/39/37/650143937.db2.gz YOUGVFGFRMLLRS-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001009700428 650155025 /nfs/dbraw/zinc/15/50/25/650155025.db2.gz ACRXPHZEYXIQGA-GFCCVEGCSA-N 0 1 288.351 0.561 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2ccoc2CC(N)=O)=NO1 ZINC000802933147 636532081 /nfs/dbraw/zinc/53/20/81/636532081.db2.gz QDHGUTDEWNZPAD-ZCFIWIBFSA-N 0 1 251.242 0.160 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cncnc2)C1 ZINC001010792819 650264087 /nfs/dbraw/zinc/26/40/87/650264087.db2.gz CPLUZSFEZQLVFI-CYBMUJFWSA-N 0 1 258.325 0.233 20 30 CCEDMN N#C[C@@]1(C(=O)NCCN2CC[C@@H](O)C2)CC2CCC1CC2 ZINC000913172480 636763299 /nfs/dbraw/zinc/76/32/99/636763299.db2.gz YELLRFKVVOHZIA-MNSOJDNVSA-N 0 1 291.395 0.889 20 30 CCEDMN Cc1c(C(=O)NCC#CCN(C)C)sc2nccn12 ZINC000913519863 636834338 /nfs/dbraw/zinc/83/43/38/636834338.db2.gz RTQGDRJTYLEMCE-UHFFFAOYSA-N 0 1 276.365 0.999 20 30 CCEDMN C=CCN(CC(=O)OCC)Cc1n[nH]c(CC)n1 ZINC000157865166 636984762 /nfs/dbraw/zinc/98/47/62/636984762.db2.gz JMOMGEMNKNOWOY-UHFFFAOYSA-N 0 1 252.318 0.918 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@H]3CCC(=O)N3C)CCC[C@@H]12 ZINC000992155814 637324266 /nfs/dbraw/zinc/32/42/66/637324266.db2.gz ZWHCTVJKRIUZKU-IOASZLSFSA-N 0 1 289.379 0.354 20 30 CCEDMN CC#CC[N@H+]1CC[C@]2(NC(=O)c3cnn(C)n3)CCC[C@H]12 ZINC000992306485 637362048 /nfs/dbraw/zinc/36/20/48/637362048.db2.gz GHKBYWUBIHJLMT-DZGCQCFKSA-N 0 1 287.367 0.565 20 30 CCEDMN CC#CCN1CC[C@]2(NC(=O)c3cnn(C)n3)CCC[C@H]12 ZINC000992306485 637362054 /nfs/dbraw/zinc/36/20/54/637362054.db2.gz GHKBYWUBIHJLMT-DZGCQCFKSA-N 0 1 287.367 0.565 20 30 CCEDMN N#CCN(C1CC1)S(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000913989666 637482097 /nfs/dbraw/zinc/48/20/97/637482097.db2.gz LYOCQDGTHDJLSA-ZDUSSCGKSA-N 0 1 283.397 0.790 20 30 CCEDMN C[C@@H]1CN(C(=O)NC[C@H]2CCC[N@H+]2C)C[C@H](C)N1CC#N ZINC000914391709 637614608 /nfs/dbraw/zinc/61/46/08/637614608.db2.gz MCTSRNRXRRERST-HZSPNIEDSA-N 0 1 293.415 0.708 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CN2CCCC2=O)[C@H]1C ZINC000993032150 637670262 /nfs/dbraw/zinc/67/02/62/637670262.db2.gz HMGJIVRTIMEXNB-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC000993277629 637763301 /nfs/dbraw/zinc/76/33/01/637763301.db2.gz HJJPKOIGLKTWOQ-VXGBXAGGSA-N 0 1 291.351 0.584 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)Cc2nnc(C)[nH]2)[C@H]1C ZINC000993322565 637783207 /nfs/dbraw/zinc/78/32/07/637783207.db2.gz DZWMQNGTIMRTAV-PWSUYJOCSA-N 0 1 277.372 0.811 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)n2cncn2)C1 ZINC001007153701 637812879 /nfs/dbraw/zinc/81/28/79/637812879.db2.gz BVLVFJOFOHDLDY-VXGBXAGGSA-N 0 1 263.345 0.606 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2nnn(C)n2)[C@@H]1C ZINC000993685184 637831239 /nfs/dbraw/zinc/83/12/39/637831239.db2.gz GTIVCQPLLGSUFE-QWRGUYRKSA-N 0 1 278.360 0.369 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2c[nH]c(=O)n2C)[C@H]1C ZINC000993699109 637836847 /nfs/dbraw/zinc/83/68/47/637836847.db2.gz JCVLMPIFIVWJOT-GHMZBOCLSA-N 0 1 276.340 0.342 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@H]1C ZINC000993817936 637849352 /nfs/dbraw/zinc/84/93/52/637849352.db2.gz DKBOUCXCPGEVSV-GHMZBOCLSA-N 0 1 290.367 0.882 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2c[nH]cn2)[C@H]1C ZINC000993883429 637852114 /nfs/dbraw/zinc/85/21/14/637852114.db2.gz CTGCCOAQCKWASI-OCCSQVGLSA-N 0 1 274.368 0.945 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cn(C)nc2Cl)C1 ZINC001015700188 637870090 /nfs/dbraw/zinc/87/00/90/637870090.db2.gz FKQBNBXETOIUBA-LBPRGKRZSA-N 0 1 294.786 0.830 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cnn3cc[nH]c23)[C@H]1C ZINC000994299717 637917300 /nfs/dbraw/zinc/91/73/00/637917300.db2.gz BBESBVFFHNLJGL-DGCLKSJQSA-N 0 1 285.351 0.878 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)Cc2[nH]cnc2C)[C@H]1C ZINC000994299310 637919595 /nfs/dbraw/zinc/91/95/95/637919595.db2.gz VRJVZSRXAVXPFV-CHWSQXEVSA-N 0 1 274.368 0.863 20 30 CCEDMN Cc1cn[nH]c1Cn1c(=O)c(C#N)c(C)n(C2CC2)c1=O ZINC000918475175 638017730 /nfs/dbraw/zinc/01/77/30/638017730.db2.gz SGNRVFDRZLZHCX-UHFFFAOYSA-N 0 1 285.307 0.605 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H]2NC(=O)c3ccccc32)C1 ZINC001015882976 638731710 /nfs/dbraw/zinc/73/17/10/638731710.db2.gz AQFKBRXOZQDBCO-DOMZBBRYSA-N 0 1 297.358 0.685 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000944810765 638782319 /nfs/dbraw/zinc/78/23/19/638782319.db2.gz KSTOGQQZELEUNY-CMPLNLGQSA-N 0 1 298.350 0.922 20 30 CCEDMN C#CC[NH2+][C@@H]1CN(C(=O)c2ncccc2[O-])CC1(C)C ZINC000995702299 638793232 /nfs/dbraw/zinc/79/32/32/638793232.db2.gz JJUYPCXVKMYDDW-GFCCVEGCSA-N 0 1 273.336 0.861 20 30 CCEDMN C#C[C@H](C)NC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000186048004 638795661 /nfs/dbraw/zinc/79/56/61/638795661.db2.gz SDYQOTZKXLFOPI-STQMWFEESA-N 0 1 267.373 0.664 20 30 CCEDMN Cn1ncc(CNC2CCN(C(=O)C#CC3CC3)CC2)n1 ZINC000996394266 638817113 /nfs/dbraw/zinc/81/71/13/638817113.db2.gz MECVRAPSKRENNH-UHFFFAOYSA-N 0 1 287.367 0.309 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C3CCC3)nn2)C1 ZINC001014475925 650454975 /nfs/dbraw/zinc/45/49/75/650454975.db2.gz BFNVUPWYZHEXIE-LLVKDONJSA-N 0 1 275.356 0.993 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cc2nnc(C)[nH]2)C1 ZINC000999139773 638962464 /nfs/dbraw/zinc/96/24/64/638962464.db2.gz VHAMLFLBFJNJKE-NSHDSACASA-N 0 1 297.790 0.989 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cnccn2)CC1 ZINC001000391121 639082333 /nfs/dbraw/zinc/08/23/33/639082333.db2.gz FYXQLERNXGECPN-UHFFFAOYSA-N 0 1 270.336 0.862 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cnns2)CC1 ZINC001000479382 639095335 /nfs/dbraw/zinc/09/53/35/639095335.db2.gz BCWJURAOBVNBDW-UHFFFAOYSA-N 0 1 276.365 0.923 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc(C)nc2OC)C1 ZINC001014638445 650471880 /nfs/dbraw/zinc/47/18/80/650471880.db2.gz GPFSKCVLQGTZNC-LBPRGKRZSA-N 0 1 288.351 0.621 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001014647208 650472698 /nfs/dbraw/zinc/47/26/98/650472698.db2.gz IHOOIVAXWPODNX-SNVBAGLBSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC001000876217 639182296 /nfs/dbraw/zinc/18/22/96/639182296.db2.gz REUCDMYDABWULX-JSGCOSHPSA-N 0 1 289.379 0.283 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CCC[C@@H](NCC#N)C1 ZINC001000929069 639198449 /nfs/dbraw/zinc/19/84/49/639198449.db2.gz WZCMOBGEPGGUCF-UONOGXRCSA-N 0 1 294.399 0.059 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2c(C)nn(C)c2F)CC1 ZINC001001409739 639296473 /nfs/dbraw/zinc/29/64/73/639296473.db2.gz SKCSEVZHNTZXFT-UHFFFAOYSA-N 0 1 290.342 0.863 20 30 CCEDMN N#CCN1CC=C(CNC(=O)c2ccc3[nH]nnc3c2)CC1 ZINC001001445087 639305428 /nfs/dbraw/zinc/30/54/28/639305428.db2.gz XGYCCSFBZRDIQC-UHFFFAOYSA-N 0 1 296.334 0.843 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001014799009 650490148 /nfs/dbraw/zinc/49/01/48/650490148.db2.gz WPHJBUSTGWEHFW-HBNTYKKESA-N 0 1 259.313 0.227 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnccn2)CC1 ZINC001002259755 639385097 /nfs/dbraw/zinc/38/50/97/639385097.db2.gz ITIJVRPEPGALFZ-UHFFFAOYSA-N 0 1 258.325 0.694 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cncs2)C1 ZINC001014821510 650492149 /nfs/dbraw/zinc/49/21/49/650492149.db2.gz NQKBAGHKIAYRJL-NSHDSACASA-N 0 1 263.366 0.899 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2nnn(C)c2C)CC1 ZINC001002516655 639422600 /nfs/dbraw/zinc/42/26/00/639422600.db2.gz KHOCWNPXEHJBKN-UHFFFAOYSA-N 0 1 275.356 0.341 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCc3nnc(C)n3C2)C1 ZINC001016326777 639448356 /nfs/dbraw/zinc/44/83/56/639448356.db2.gz GDMLLILNFRNHDF-QWHCGFSZSA-N 0 1 289.383 0.525 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@H]2CCNC2=O)CC1 ZINC001003002597 639491280 /nfs/dbraw/zinc/49/12/80/639491280.db2.gz VJDNILBCTBMQLC-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@H]2CCC(=O)NC2)CC1 ZINC001003002038 639492903 /nfs/dbraw/zinc/49/29/03/639492903.db2.gz TUEUOANRDLLJAV-CYBMUJFWSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@H]2CCCC(=O)N2)CC1 ZINC001003276346 639524414 /nfs/dbraw/zinc/52/44/14/639524414.db2.gz DVCOYNMIOAJLHC-CQSZACIVSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCN(C)C2=O)CC1 ZINC001003455569 639549143 /nfs/dbraw/zinc/54/91/43/639549143.db2.gz IARNDYLTKPJQOL-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](C)[C@H]2CCC(=O)N2)CC1 ZINC001003671405 639590874 /nfs/dbraw/zinc/59/08/74/639590874.db2.gz IFLXGOZVYSYLJB-TZMCWYRMSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC001003671399 639591615 /nfs/dbraw/zinc/59/16/15/639591615.db2.gz IFLXGOZVYSYLJB-JSGCOSHPSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001015001327 650513145 /nfs/dbraw/zinc/51/31/45/650513145.db2.gz VKVNWDWJEUGPQN-OLZOCXBDSA-N 0 1 274.368 0.822 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccc(OC)nn2)CC1 ZINC001003909571 639649291 /nfs/dbraw/zinc/64/92/91/639649291.db2.gz XGSYZHXFLWXYFQ-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cn2ccccc2=O)CC1 ZINC001004121939 639679062 /nfs/dbraw/zinc/67/90/62/639679062.db2.gz CIJLQVJZALHNGT-UHFFFAOYSA-N 0 1 287.363 0.452 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001015196759 650537203 /nfs/dbraw/zinc/53/72/03/650537203.db2.gz VWJMTHVPVMMTIF-NEPJUHHUSA-N 0 1 265.357 0.422 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCC(=O)NC2)CC1 ZINC001005640865 639946020 /nfs/dbraw/zinc/94/60/20/639946020.db2.gz RYPZMGGGMRDPJH-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001015257022 650543544 /nfs/dbraw/zinc/54/35/44/650543544.db2.gz INDCWHLEZTYZNM-LBPRGKRZSA-N 0 1 262.357 0.648 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C)nc2CC)C1 ZINC001014843188 640041123 /nfs/dbraw/zinc/04/11/23/640041123.db2.gz UFWDMHFELDMOLI-NSHDSACASA-N 0 1 262.357 0.973 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001015334390 650555792 /nfs/dbraw/zinc/55/57/92/650555792.db2.gz KFBWBBGFLJCBIG-JEWKUQAESA-N 0 1 274.364 0.624 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001015334389 650555862 /nfs/dbraw/zinc/55/58/62/650555862.db2.gz KFBWBBGFLJCBIG-DEPYFDJDSA-N 0 1 274.364 0.624 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC001006311247 640222060 /nfs/dbraw/zinc/22/20/60/640222060.db2.gz ZQLBLYZANCOUNZ-HNNXBMFYSA-N 0 1 291.395 0.553 20 30 CCEDMN C[C@H]1CN(c2nccnc2C#N)CCN1C[C@@H](O)C1CC1 ZINC000838869977 640278448 /nfs/dbraw/zinc/27/84/48/640278448.db2.gz ZTRWPRIKFFWNLF-SMDDNHRTSA-N 0 1 287.367 0.630 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(CCO)C2)cc1 ZINC001015488793 650568771 /nfs/dbraw/zinc/56/87/71/650568771.db2.gz RVGIJLUMEGQGAK-CQSZACIVSA-N 0 1 258.321 0.464 20 30 CCEDMN N#Cc1ccc(OCCNC(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000839691114 640349974 /nfs/dbraw/zinc/34/99/74/640349974.db2.gz DGMAZFQJNQJAGM-VXGBXAGGSA-N 0 1 275.264 0.718 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001015494209 650573552 /nfs/dbraw/zinc/57/35/52/650573552.db2.gz ZBWIJPZUDGNYKQ-NEPJUHHUSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@@H](C(=O)N1CCN(c2ccc(C#N)nc2)CC1)N(C)C ZINC000801287785 640642724 /nfs/dbraw/zinc/64/27/24/640642724.db2.gz HVLPNJLOYUKZMM-LBPRGKRZSA-N 0 1 287.367 0.552 20 30 CCEDMN COc1nc(C(=O)OCCO[C@H](C)C#N)cc(=O)[nH]1 ZINC000801857974 640670590 /nfs/dbraw/zinc/67/05/90/640670590.db2.gz NEULDNZXNQHEON-SSDOTTSWSA-N 0 1 267.241 0.276 20 30 CCEDMN C[C@H](C#N)OCCN1CCN(CCO[C@@H](C)C#N)C[C@H]1C ZINC000801933607 640676851 /nfs/dbraw/zinc/67/68/51/640676851.db2.gz AZFWAUUNGAIBGS-QLFBSQMISA-N 0 1 294.399 0.850 20 30 CCEDMN C[C@H](O)C[N@H+]1CCN(CCO[C@@H](C)C#N)[C@@H](C)C1 ZINC000801943620 640678820 /nfs/dbraw/zinc/67/88/20/640678820.db2.gz BLKFYDQBLTYKSE-AVGNSLFASA-N 0 1 255.362 0.302 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2ccc(C)n2)C1 ZINC001015720984 650616742 /nfs/dbraw/zinc/61/67/42/650616742.db2.gz VQGKEMXLWWEYGJ-ZDUSSCGKSA-N 0 1 260.341 0.405 20 30 CCEDMN N#CC1(COC(=O)CCCCc2cn[nH]n2)COC1 ZINC000811040464 640960481 /nfs/dbraw/zinc/96/04/81/640960481.db2.gz LSHBJEVZPJHGRN-UHFFFAOYSA-N 0 1 264.285 0.601 20 30 CCEDMN CN(C)c1ncc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)cn1 ZINC000814886160 641079197 /nfs/dbraw/zinc/07/91/97/641079197.db2.gz SXLKKTPPPSNRIC-VXGBXAGGSA-N 0 1 287.371 0.737 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCN([C@@H](C)COC)C2)nn1 ZINC001015810280 650633333 /nfs/dbraw/zinc/63/33/33/650633333.db2.gz FATXUBAJGBGJON-NWDGAFQWSA-N 0 1 293.371 0.303 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CCN(C[C@@H](C)O)C2)nn1 ZINC001015821492 650634402 /nfs/dbraw/zinc/63/44/02/650634402.db2.gz WEAWFVKRVXVGFV-VXGBXAGGSA-N 0 1 293.371 0.039 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H]2CCN(C[C@@H](C)O)C2)nn1 ZINC001015821489 650634701 /nfs/dbraw/zinc/63/47/01/650634701.db2.gz WEAWFVKRVXVGFV-NEPJUHHUSA-N 0 1 293.371 0.039 20 30 CCEDMN N#CC1CN(C(=O)c2nnc3ccccc3c2O)C1 ZINC000817547300 641263014 /nfs/dbraw/zinc/26/30/14/641263014.db2.gz VYMPGGHZYDWTPX-UHFFFAOYSA-N 0 1 254.249 0.931 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2nnn(C(C)C)c2C)C1 ZINC001015913026 650655627 /nfs/dbraw/zinc/65/56/27/650655627.db2.gz KFNIJOKJFOEKHS-CYBMUJFWSA-N 0 1 289.383 0.995 20 30 CCEDMN N#Cc1ccc([C@@H](O)CNCCS(=O)(=O)C2CC2)cc1 ZINC000819370927 641405066 /nfs/dbraw/zinc/40/50/66/641405066.db2.gz XEVRPFDIJATCQP-AWEZNQCLSA-N 0 1 294.376 0.758 20 30 CCEDMN O=C(N[C@@H]1CCN(O)C1=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000820173775 641479596 /nfs/dbraw/zinc/47/95/96/641479596.db2.gz CJUSEPUCVNRFKT-SNVBAGLBSA-N 0 1 287.279 0.192 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001015958016 650664041 /nfs/dbraw/zinc/66/40/41/650664041.db2.gz GDAZUVLTEMZAJQ-MNOVXSKESA-N 0 1 273.340 0.229 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001015968113 650666617 /nfs/dbraw/zinc/66/66/17/650666617.db2.gz QUKZCTNBIOIQPD-VIFPVBQESA-N 0 1 269.736 0.290 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001016105287 650682110 /nfs/dbraw/zinc/68/21/10/650682110.db2.gz DUBYZJYCHICHSN-LLVKDONJSA-N 0 1 278.356 0.809 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3nnn(C)c3c2)C1 ZINC001016101078 650682521 /nfs/dbraw/zinc/68/25/21/650682521.db2.gz NFKLTYXYTQFMNV-LBPRGKRZSA-N 0 1 285.351 0.958 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CN2CCCCCC2=O)C1 ZINC001016202718 650697544 /nfs/dbraw/zinc/69/75/44/650697544.db2.gz PIQAZZGYCNBCDP-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001016218084 650699442 /nfs/dbraw/zinc/69/94/42/650699442.db2.gz URZQWWUZQOSDKT-CQSZACIVSA-N 0 1 279.384 0.621 20 30 CCEDMN Cc1cc(Cn2cnc(-c3nn[nH]n3)c2C#N)no1 ZINC000826268430 641921865 /nfs/dbraw/zinc/92/18/65/641921865.db2.gz WQBIQPQJKRNFCW-UHFFFAOYSA-N 0 1 256.229 0.280 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1ccc(C#N)cc1F ZINC000826385616 641932204 /nfs/dbraw/zinc/93/22/04/641932204.db2.gz UIRNKXOHENXRPW-UHFFFAOYSA-N 0 1 294.253 0.994 20 30 CCEDMN O=C(C#Cc1cccs1)N1CCc2[nH]nnc2C1 ZINC000826584986 641946139 /nfs/dbraw/zinc/94/61/39/641946139.db2.gz KOEMVKZTIRHYNH-UHFFFAOYSA-N 0 1 258.306 0.803 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCc3nncn3C2)C1 ZINC001016330260 650718720 /nfs/dbraw/zinc/71/87/20/650718720.db2.gz FSJQSKLCDXUGSW-RYUDHWBXSA-N 0 1 275.356 0.217 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001016365498 650721886 /nfs/dbraw/zinc/72/18/86/650721886.db2.gz VKLDSFDLDLGXKP-NSHDSACASA-N 0 1 288.351 0.561 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cncc3ncn(C)c32)=NO1 ZINC000867062445 642168072 /nfs/dbraw/zinc/16/80/72/642168072.db2.gz AURXVLDJHMEJBG-ZETCQYMHSA-N 0 1 259.269 0.820 20 30 CCEDMN C#CC[C@@H]1CCCN(CC(=O)NC(=O)NCC=C)C1 ZINC000829769374 642189680 /nfs/dbraw/zinc/18/96/80/642189680.db2.gz UAIUJOSTZREWOA-GFCCVEGCSA-N 0 1 263.341 0.734 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CC23CCN(CC#N)CC3)[nH]n1 ZINC001016569018 650744817 /nfs/dbraw/zinc/74/48/17/650744817.db2.gz BABKGLWJDIGUDB-CYBMUJFWSA-N 0 1 287.367 0.755 20 30 CCEDMN Cc1cc(S(N)(=O)=O)oc1C(=O)N=C1C[C@@H](C)ON1 ZINC000831399571 642358848 /nfs/dbraw/zinc/35/88/48/642358848.db2.gz OMVFMBIDBZMFTH-ZCFIWIBFSA-N 0 1 287.297 0.088 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCCOc1cccnc1 ZINC000834479215 642571109 /nfs/dbraw/zinc/57/11/09/642571109.db2.gz BDYYNHJISGCOLL-WCQYABFASA-N 0 1 274.324 0.468 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1scnc1C1CC1 ZINC000834483880 642575135 /nfs/dbraw/zinc/57/51/35/642575135.db2.gz AUPJZIIEBUJWLS-DTWKUNHWSA-N 0 1 262.338 0.862 20 30 CCEDMN CN(C)C(=O)c1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834484772 642576087 /nfs/dbraw/zinc/57/60/87/642576087.db2.gz MVEMTJPVLFAKMP-QWHCGFSZSA-N 0 1 286.335 0.230 20 30 CCEDMN Cn1cc(-c2cncc(C(=O)N[C@@H]3CNC[C@@H]3C#N)c2)cn1 ZINC000834484689 642577064 /nfs/dbraw/zinc/57/70/64/642577064.db2.gz LVSISHBRVQEBLW-GXTWGEPZSA-N 0 1 296.334 0.323 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CC[C@H]1CCCCO1 ZINC000834488501 642578551 /nfs/dbraw/zinc/57/85/51/642578551.db2.gz CPBVYOLTWZVRIL-UTUOFQBUSA-N 0 1 251.330 0.563 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1COc2ccccc21 ZINC000834489562 642579008 /nfs/dbraw/zinc/57/90/08/642579008.db2.gz NYZNTHAEQQNPLZ-ADEWGFFLSA-N 0 1 257.293 0.390 20 30 CCEDMN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834490112 642579627 /nfs/dbraw/zinc/57/96/27/642579627.db2.gz RYHXNJVONPUUSK-ONGXEEELSA-N 0 1 274.280 0.745 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@@H]2C#N)nnn1-c1ccccc1 ZINC000834490466 642581883 /nfs/dbraw/zinc/58/18/83/642581883.db2.gz VICGIILIXLJMQW-WCQYABFASA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(-c2ccco2)[nH]c1=O ZINC000834493093 642582063 /nfs/dbraw/zinc/58/20/63/642582063.db2.gz AJQOCMKHBAMVMC-JOYOIKCWSA-N 0 1 298.302 0.889 20 30 CCEDMN Cn1nc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1C1CC1 ZINC000834490851 642582246 /nfs/dbraw/zinc/58/22/46/642582246.db2.gz XLERLSUABAKXBZ-MWLCHTKSSA-N 0 1 259.313 0.139 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1noc2c1CCCC2 ZINC000834494916 642584930 /nfs/dbraw/zinc/58/49/30/642584930.db2.gz QXWMAVPQEGVARP-SCZZXKLOSA-N 0 1 260.297 0.395 20 30 CCEDMN Cn1c2ccc(C(=O)N[C@H]3CNC[C@H]3C#N)cc2[nH]c1=O ZINC000834494570 642585237 /nfs/dbraw/zinc/58/52/37/642585237.db2.gz OGNBACOAZYZLGL-KOLCDFICSA-N 0 1 285.307 0.120 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCc1nccs1 ZINC000834495276 642585357 /nfs/dbraw/zinc/58/53/57/642585357.db2.gz TUSLWUDWRFIOAA-RKDXNWHRSA-N 0 1 250.327 0.303 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1nc2cccnc2s1 ZINC000834499187 642586959 /nfs/dbraw/zinc/58/69/59/642586959.db2.gz FUCSAVFASKLWNB-CBAPKCEASA-N 0 1 273.321 0.533 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCOCC(F)(F)F ZINC000834499628 642588331 /nfs/dbraw/zinc/58/83/31/642588331.db2.gz KQQUXXXBJRRLNU-YUMQZZPRSA-N 0 1 265.235 0.183 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccncc1C(F)(F)F ZINC000834500038 642588694 /nfs/dbraw/zinc/58/86/94/642588694.db2.gz PXUNCRYQLLJPQV-GMSGAONNSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1n[nH]c2ccccc21 ZINC000834499561 642589388 /nfs/dbraw/zinc/58/93/88/642589388.db2.gz JRPSDZAKMLZQQU-RNCFNFMXSA-N 0 1 269.308 0.333 20 30 CCEDMN Cc1csc(CCC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000834500638 642590544 /nfs/dbraw/zinc/59/05/44/642590544.db2.gz VMAFTPADGSMHRD-VHSXEESVSA-N 0 1 264.354 0.612 20 30 CCEDMN Cc1cc(C)cc(OCC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834510625 642594909 /nfs/dbraw/zinc/59/49/09/642594909.db2.gz DLQHYPQHGFSJHG-JSGCOSHPSA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnn(-c2ccccc2)c1 ZINC000834510607 642595256 /nfs/dbraw/zinc/59/52/56/642595256.db2.gz DKAPQMNBZYMXMH-BXUZGUMPSA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCc1nc2ccccc2o1 ZINC000834510506 642595435 /nfs/dbraw/zinc/59/54/35/642595435.db2.gz BTAHJKNTUDSCKL-ZYHUDNBSSA-N 0 1 284.319 0.988 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cncc(Br)c1 ZINC000834510691 642595480 /nfs/dbraw/zinc/59/54/80/642595480.db2.gz DXBMIPYOYCEUTO-WPRPVWTQSA-N 0 1 295.140 0.685 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cncn1-c1ccc(F)cc1 ZINC000834512066 642596858 /nfs/dbraw/zinc/59/68/58/642596858.db2.gz RKKZYCWVUBIHAQ-GXFFZTMASA-N 0 1 299.309 0.853 20 30 CCEDMN C[C@H](Oc1ccccc1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834512447 642597320 /nfs/dbraw/zinc/59/73/20/642597320.db2.gz XACXPESTBVQZKA-DMDPSCGWSA-N 0 1 259.309 0.682 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1cnn(-c2ccccc2)c1 ZINC000834515912 642598611 /nfs/dbraw/zinc/59/86/11/642598611.db2.gz DEZIYNVKLBDUEW-ZFWWWQNUSA-N 0 1 295.346 0.643 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(-n2cccn2)nc1 ZINC000834516214 642599202 /nfs/dbraw/zinc/59/92/02/642599202.db2.gz GJVILULVOPJZGP-NWDGAFQWSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1C[C@H]2CCC[C@@H](C1)C2=O ZINC000834517438 642600216 /nfs/dbraw/zinc/60/02/16/642600216.db2.gz VJFUCXUXEVYMCU-KTCIRNNBSA-N 0 1 275.352 0.610 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000834517628 642600358 /nfs/dbraw/zinc/60/03/58/642600358.db2.gz XSSJQFYTJJBZLH-LDYMZIIASA-N 0 1 271.280 0.511 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1[nH]nc2ccccc21 ZINC000834516739 642600772 /nfs/dbraw/zinc/60/07/72/642600772.db2.gz LMEPFRKMMSYBAM-LDYMZIIASA-N 0 1 255.281 0.404 20 30 CCEDMN COc1cccc(COCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834521718 642602231 /nfs/dbraw/zinc/60/22/31/642602231.db2.gz QZJUQQAVRXWICT-GXTWGEPZSA-N 0 1 289.335 0.440 20 30 CCEDMN O=C(C#CC1CC1)N1CCC(CN2CCOCC2)CC1 ZINC000837345619 642738551 /nfs/dbraw/zinc/73/85/51/642738551.db2.gz IMYOYLODXZESRW-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccc(-n2nccn2)cc1 ZINC000841046951 642800733 /nfs/dbraw/zinc/80/07/33/642800733.db2.gz HQRAKZONDVYOQT-MFKMUULPSA-N 0 1 297.322 0.500 20 30 CCEDMN CCn1ncc(CN=Nc2ccnc(N(C)C)n2)n1 ZINC000841626646 642827949 /nfs/dbraw/zinc/82/79/49/642827949.db2.gz UKBXDXQBPHCPNH-UHFFFAOYSA-N 0 1 260.305 0.600 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CN1CCOCC1 ZINC001017488948 650805600 /nfs/dbraw/zinc/80/56/00/650805600.db2.gz NKJRPCBTTVWEFK-GASCZTMLSA-N 0 1 291.395 0.017 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(F)c(F)c(F)c1 ZINC000843459174 643062564 /nfs/dbraw/zinc/06/25/64/643062564.db2.gz CLGPWUGLQVFZAC-XVKPBYJWSA-N 0 1 269.226 0.945 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CSC(F)(F)F ZINC000843460414 643064437 /nfs/dbraw/zinc/06/44/37/643064437.db2.gz HNMAPSIAIKGISO-NTSWFWBYSA-N 0 1 253.249 0.467 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC000844014058 643144116 /nfs/dbraw/zinc/14/41/16/643144116.db2.gz JPAPHHXYNFHONF-CYBMUJFWSA-N 0 1 260.297 0.778 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1c[nH]c2ncccc12 ZINC000844194490 643177558 /nfs/dbraw/zinc/17/75/58/643177558.db2.gz IWFFBDWQWKJFAY-KCJUWKMLSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1c[nH]c2ncccc12 ZINC000844194491 643178280 /nfs/dbraw/zinc/17/82/80/643178280.db2.gz IWFFBDWQWKJFAY-KWQFWETISA-N 0 1 255.281 0.404 20 30 CCEDMN C[C@@H]1CCCc2ncc(C(=O)N[C@H]3CNC[C@H]3C#N)n21 ZINC000846678120 643441579 /nfs/dbraw/zinc/44/15/79/643441579.db2.gz QZUSPIIBOWZGCD-MXWKQRLJSA-N 0 1 273.340 0.622 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)Nc1cnn(CC#N)c1 ZINC000847409054 643516677 /nfs/dbraw/zinc/51/66/77/643516677.db2.gz PTCXCYNEYHXDBP-VIFPVBQESA-N 0 1 258.285 0.947 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1cncn1C ZINC001018176692 650846817 /nfs/dbraw/zinc/84/68/17/650846817.db2.gz NENLJIRWLFTGAR-OKILXGFUSA-N 0 1 286.379 0.661 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000848137083 643608023 /nfs/dbraw/zinc/60/80/23/643608023.db2.gz YFWKTGPFXYBHKL-SNVBAGLBSA-N 0 1 295.251 0.410 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCCc1cccnc1 ZINC000852828348 644013756 /nfs/dbraw/zinc/01/37/56/644013756.db2.gz WSPXAVSCXRIJQZ-STQMWFEESA-N 0 1 258.325 0.632 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccccc1Cn1ccnn1 ZINC000852874637 644036219 /nfs/dbraw/zinc/03/62/19/644036219.db2.gz BQFBRSXWOIWCES-JSGCOSHPSA-N 0 1 296.334 0.168 20 30 CCEDMN Cn1ncc(C2CC2)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000852875164 644036381 /nfs/dbraw/zinc/03/63/81/644036381.db2.gz GAHVXBPZTSMXIV-GXSJLCMTSA-N 0 1 259.313 0.139 20 30 CCEDMN Cn1cc(-c2ncccc2C(=O)N[C@@H]2CNC[C@@H]2C#N)cn1 ZINC000852875601 644037325 /nfs/dbraw/zinc/03/73/25/644037325.db2.gz KUODLOXQUPSBPS-GXFFZTMASA-N 0 1 296.334 0.323 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NCc2n[nH]c(C3CC3)n2)C1 ZINC001018632610 650886966 /nfs/dbraw/zinc/88/69/66/650886966.db2.gz PEGLIRZPCKXFSK-ONGXEEELSA-N 0 1 288.355 0.532 20 30 CCEDMN CCn1nnc(C)c1CN[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001018633573 650887264 /nfs/dbraw/zinc/88/72/64/650887264.db2.gz YEABVZRGGBNCQX-JQWIXIFHSA-N 0 1 290.371 0.457 20 30 CCEDMN N#Cc1ccc(C(=O)NOCCN2CCCC2=O)cc1O ZINC000856042806 644384773 /nfs/dbraw/zinc/38/47/73/644384773.db2.gz HMWFLGODPQBDMY-UHFFFAOYSA-N 0 1 289.291 0.548 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001018853215 650916197 /nfs/dbraw/zinc/91/61/97/650916197.db2.gz PGKYDEKUNGMERE-QWRGUYRKSA-N 0 1 273.340 0.544 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001018853216 650916313 /nfs/dbraw/zinc/91/63/13/650916313.db2.gz PGKYDEKUNGMERE-WDEREUQCSA-N 0 1 273.340 0.544 20 30 CCEDMN Cc1nnc(N=NCc2cn(C)nc2Cl)n1C ZINC000857212826 644481831 /nfs/dbraw/zinc/48/18/31/644481831.db2.gz YLLXWSZTKIFZJF-UHFFFAOYSA-N 0 1 253.697 0.956 20 30 CCEDMN O=c1cc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)cc[nH]1 ZINC000863104062 645059950 /nfs/dbraw/zinc/05/99/50/645059950.db2.gz RZKHSCZSEGNCJL-GHMZBOCLSA-N 0 1 259.313 0.981 20 30 CCEDMN Cn1ncc2c1nc(N=NC1C[N@H+]3C[C@@H]1CCC3)[n-]c2=O ZINC000863094854 645060309 /nfs/dbraw/zinc/06/03/09/645060309.db2.gz VOYDDLPGUCNFMG-QMMMGPOBSA-N 0 1 287.327 0.562 20 30 CCEDMN Cn1ncc2c1[nH]c(NN=C1CN3C[C@@H]1CCC3)nc2=O ZINC000863094854 645060319 /nfs/dbraw/zinc/06/03/19/645060319.db2.gz VOYDDLPGUCNFMG-QMMMGPOBSA-N 0 1 287.327 0.562 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001019504158 650980835 /nfs/dbraw/zinc/98/08/35/650980835.db2.gz JNZLQUPMKXKOCJ-MNOVXSKESA-N 0 1 285.775 0.598 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2cc(C(F)(F)F)[nH]n2)C1 ZINC001019514801 650982121 /nfs/dbraw/zinc/98/21/21/650982121.db2.gz YEJYVNLYHAVLHQ-ZETCQYMHSA-N 0 1 287.245 0.756 20 30 CCEDMN N#Cc1cc(C(=O)NCCCCN2CCOCC2)co1 ZINC000864888187 645300076 /nfs/dbraw/zinc/30/00/76/645300076.db2.gz VPQSNELWGWNFJE-UHFFFAOYSA-N 0 1 277.324 0.993 20 30 CCEDMN C#CCSCCNCc1cn(C[C@@H]2CCCO2)nn1 ZINC000865470196 645371038 /nfs/dbraw/zinc/37/10/38/645371038.db2.gz GJRANHCIVWSJLB-ZDUSSCGKSA-N 0 1 280.397 0.913 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccn(CCF)n1 ZINC000868198927 645730468 /nfs/dbraw/zinc/73/04/68/645730468.db2.gz MNNGQESBSORGEI-BDAKNGLRSA-N 0 1 266.280 0.086 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CCC[N@@H+]2CCCO)co1 ZINC000868630552 645796840 /nfs/dbraw/zinc/79/68/40/645796840.db2.gz ZQZJJLPBOZVONN-LBPRGKRZSA-N 0 1 277.324 0.728 20 30 CCEDMN N#C[C@@]1(C(=O)N[C@H]2CCC[N@H+](CCCO)C2)CCCOC1 ZINC000868635650 645797436 /nfs/dbraw/zinc/79/74/36/645797436.db2.gz BAAXJPKEYKDNKC-ZFWWWQNUSA-N 0 1 295.383 0.270 20 30 CCEDMN N#C[C@@]1(C(=O)N[C@H]2CCCN(CCCO)C2)CCCOC1 ZINC000868635650 645797444 /nfs/dbraw/zinc/79/74/44/645797444.db2.gz BAAXJPKEYKDNKC-ZFWWWQNUSA-N 0 1 295.383 0.270 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@H]1C[C@H](NCC#N)C1 ZINC001020300910 651057775 /nfs/dbraw/zinc/05/77/75/651057775.db2.gz IFTRFBWOLJLJMU-SRVKXCTJSA-N 0 1 250.346 0.231 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001020344362 651062178 /nfs/dbraw/zinc/06/21/78/651062178.db2.gz NYQYVKGIGOHGJO-MGCOHNPYSA-N 0 1 273.340 0.662 20 30 CCEDMN Cc1ccn(C[C@H](C)C(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000870939938 646053925 /nfs/dbraw/zinc/05/39/25/646053925.db2.gz DJDAVKPDXPDMLT-DLOVCJGASA-N 0 1 261.329 0.055 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NCCc1ncc[nH]1 ZINC000876764438 646659089 /nfs/dbraw/zinc/65/90/89/646659089.db2.gz PIPNWXQTYRPDBX-OAHLLOKOSA-N 0 1 295.346 0.827 20 30 CCEDMN C[C@]1(Br)C[C@@H]1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000876801361 646668653 /nfs/dbraw/zinc/66/86/53/646668653.db2.gz BNSOFHJYWIYZSN-ODHVRURNSA-N 0 1 272.146 0.388 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1[C@@H]2c3ccccc3C[C@H]12 ZINC000876802318 646670454 /nfs/dbraw/zinc/67/04/54/646670454.db2.gz NWROYIQKCIPHPJ-BGNCJLHMSA-N 0 1 267.332 0.800 20 30 CCEDMN Cc1cn2ccc(C(=O)N[C@H]3CNC[C@@H]3C#N)cc2n1 ZINC000876803564 646670522 /nfs/dbraw/zinc/67/05/22/646670522.db2.gz WYGNTLYKGYHRIS-RYUDHWBXSA-N 0 1 269.308 0.484 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cncc(N2CCCC2=O)c1 ZINC000876802945 646670780 /nfs/dbraw/zinc/67/07/80/646670780.db2.gz SVPVKYQTFXCHMR-AAEUAGOBSA-N 0 1 299.334 0.050 20 30 CCEDMN C[C@@H]1CN(CCCN2CCOC2=O)C[C@@H](C)N1CC#N ZINC000878775058 646977377 /nfs/dbraw/zinc/97/73/77/646977377.db2.gz ZSQWJNFBJFLWOO-CHWSQXEVSA-N 0 1 280.372 0.747 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCOC[C@H]1C#N ZINC000878975050 647005068 /nfs/dbraw/zinc/00/50/68/647005068.db2.gz WORQAGHDEAWYQP-GIPNMCIBSA-N 0 1 274.324 0.827 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CC2[N+](=O)[O-])C[C@@H](C)N1CC#N ZINC000880453897 647202429 /nfs/dbraw/zinc/20/24/29/647202429.db2.gz UYXLRBBYFLWFQP-GWOFURMSSA-N 0 1 266.301 0.096 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H]2CCCN2C)C[C@@H](C)N1CC#N ZINC000880459403 647203824 /nfs/dbraw/zinc/20/38/24/647203824.db2.gz SRAVXYYANBBREL-JHJVBQTASA-N 0 1 264.373 0.525 20 30 CCEDMN C[C@H](C#N)OCCN1CCC[C@@H]2CCNC(=O)[C@@H]21 ZINC000880524404 647219566 /nfs/dbraw/zinc/21/95/66/647219566.db2.gz UIKBXYDTTWMERG-IJLUTSLNSA-N 0 1 251.330 0.516 20 30 CCEDMN Cn1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(Br)n1 ZINC000884249898 647681453 /nfs/dbraw/zinc/68/14/53/647681453.db2.gz TXJDRSKLMYDTKK-POYBYMJQSA-N 0 1 298.144 0.024 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ncccc1-c1ccccn1 ZINC000884250947 647682705 /nfs/dbraw/zinc/68/27/05/647682705.db2.gz SECHJCBDNYTSJI-RISCZKNCSA-N 0 1 293.330 0.985 20 30 CCEDMN N#Cc1c2ccccc2[nH]c1C(=O)NC[C@H]1COCCN1 ZINC000884436691 647736794 /nfs/dbraw/zinc/73/67/94/647736794.db2.gz LTMAODDOCQKLSJ-JTQLQIEISA-N 0 1 284.319 0.758 20 30 CCEDMN N#CC1(NC(=O)C[N@H+]2C[C@@H]3CSC[C@@H]3C2)CCC1 ZINC000886568311 647987381 /nfs/dbraw/zinc/98/73/81/647987381.db2.gz GXQLBXYNDJLVDT-PHIMTYICSA-N 0 1 265.382 0.844 20 30 CCEDMN N#CC1(NC(=O)CN2C[C@@H]3CSC[C@@H]3C2)CCC1 ZINC000886568311 647987390 /nfs/dbraw/zinc/98/73/90/647987390.db2.gz GXQLBXYNDJLVDT-PHIMTYICSA-N 0 1 265.382 0.844 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)c2cccc3nn[nH]c32)CCO1 ZINC000887682369 648140694 /nfs/dbraw/zinc/14/06/94/648140694.db2.gz FZBWBEZEQOVTJK-ZDUSSCGKSA-N 0 1 271.280 0.713 20 30 CCEDMN Cc1nc(CN2CCN(Cc3cncc(C#N)c3)CC2)n[nH]1 ZINC000930072373 648818579 /nfs/dbraw/zinc/81/85/79/648818579.db2.gz BIRKDARPCMEWER-UHFFFAOYSA-N 0 1 297.366 0.698 20 30 CCEDMN N#CCCN1CCN(C[C@H](O)C2(O)CCCC2)CC1 ZINC000930116728 648824544 /nfs/dbraw/zinc/82/45/44/648824544.db2.gz OXJIPRUBMPKLIQ-ZDUSSCGKSA-N 0 1 267.373 0.184 20 30 CCEDMN Cc1ccn(C)c(=O)c1NC(=O)NCC#CCN(C)C ZINC000930328589 648850250 /nfs/dbraw/zinc/85/02/50/648850250.db2.gz VASRLKZGDDNWDJ-UHFFFAOYSA-N 0 1 276.340 0.380 20 30 CCEDMN C[C@H](OC[C@@H]1CCCCO1)C(=O)C(C#N)C(=O)NC1CC1 ZINC000195286532 649595525 /nfs/dbraw/zinc/59/55/25/649595525.db2.gz KXZUZVSHQSBJJK-DRZSPHRISA-N 0 1 294.351 0.948 20 30 CCEDMN Cc1nc(C#N)cnc1N1C[C@@H]2[C@H](C1)OCCN2C ZINC001164673706 719422945 /nfs/dbraw/zinc/42/29/45/719422945.db2.gz UAOMKGWTQVUGPK-NEPJUHHUSA-N 0 1 259.313 0.176 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCCC(=O)N(C)C)CC1 ZINC001230074656 805580646 /nfs/dbraw/zinc/58/06/46/805580646.db2.gz YPIRTDFNASZONT-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1cccc(N(C)C)n1 ZINC001230588138 805629224 /nfs/dbraw/zinc/62/92/24/805629224.db2.gz AMGDDDFMJMIFTR-UHFFFAOYSA-N 0 1 289.383 0.512 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)CCc2cn(C)nn2)C1 ZINC001211487641 790330101 /nfs/dbraw/zinc/33/01/01/790330101.db2.gz XRMKYAVSWFFHMG-TZMCWYRMSA-N 0 1 289.383 0.208 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCc2cn(C)nn2)C1 ZINC001211487641 790330111 /nfs/dbraw/zinc/33/01/11/790330111.db2.gz XRMKYAVSWFFHMG-TZMCWYRMSA-N 0 1 289.383 0.208 20 30 CCEDMN CC#CCN1CCN(CCCNC(=O)COCC)CC1 ZINC001266257258 790339503 /nfs/dbraw/zinc/33/95/03/790339503.db2.gz ZZZKWWIXAFXWQC-UHFFFAOYSA-N 0 1 281.400 0.170 20 30 CCEDMN C=C(C)CN1CCN(CCCNC(=O)c2cnon2)CC1 ZINC001266275799 790379501 /nfs/dbraw/zinc/37/95/01/790379501.db2.gz MUOVVOGWLIOVQB-UHFFFAOYSA-N 0 1 293.371 0.383 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1sc(C)nc1C ZINC001266299387 790459109 /nfs/dbraw/zinc/45/91/09/790459109.db2.gz ZJNCZSITFNVUJQ-UHFFFAOYSA-N 0 1 265.382 0.984 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CC[N@@H+](CCn2cccn2)C1 ZINC001266317530 790494564 /nfs/dbraw/zinc/49/45/64/790494564.db2.gz RHPUGRQRLAQMJE-HIFRSBDPSA-N 0 1 292.383 0.401 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CCN(CCn2cccn2)C1 ZINC001266317530 790494570 /nfs/dbraw/zinc/49/45/70/790494570.db2.gz RHPUGRQRLAQMJE-HIFRSBDPSA-N 0 1 292.383 0.401 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001266320910 790500823 /nfs/dbraw/zinc/50/08/23/790500823.db2.gz IOGQXUDTBALBIK-QWRGUYRKSA-N 0 1 253.346 0.278 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@]2(CCN([C@@H](C)C(N)=O)C2)C1 ZINC001041539188 790500865 /nfs/dbraw/zinc/50/08/65/790500865.db2.gz DVZREHSWUUYURU-LRDDRELGSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCNC(=O)C(C)(C)C)C1 ZINC001266333185 790526286 /nfs/dbraw/zinc/52/62/86/790526286.db2.gz HXHVXJLDOXVATB-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCCC(N)=O)C1 ZINC001266352879 790570681 /nfs/dbraw/zinc/57/06/81/790570681.db2.gz JVFDFDVCQRNPAX-NSHDSACASA-N 0 1 253.346 0.409 20 30 CCEDMN C#CCN(C)CCNC(=O)CNC(=O)c1ccc(F)cc1 ZINC001266356418 790579834 /nfs/dbraw/zinc/57/98/34/790579834.db2.gz CPUMJQMJNPTGIH-UHFFFAOYSA-N 0 1 291.326 0.237 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)CCc1cn[nH]c1 ZINC001266423054 790670806 /nfs/dbraw/zinc/67/08/06/790670806.db2.gz ZYQBYQNVOOWHMT-UHFFFAOYSA-N 0 1 292.383 0.430 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1COCCN1C ZINC001038181346 790739736 /nfs/dbraw/zinc/73/97/36/790739736.db2.gz RSHZGECMTARHRQ-CHWSQXEVSA-N 0 1 267.373 0.084 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)Cc1nnc(C)o1 ZINC001266461257 790757026 /nfs/dbraw/zinc/75/70/26/790757026.db2.gz MOBPGYOMGVYGST-LBPRGKRZSA-N 0 1 276.340 0.524 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCN(C(=O)CN2CCCC2)C1 ZINC001266473156 790770136 /nfs/dbraw/zinc/77/01/36/790770136.db2.gz WYDKWFPWFDSINJ-AWEZNQCLSA-N 0 1 278.400 0.776 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H]1CCN([C@H](C)C(=O)N(C)C)C1 ZINC001266511260 790831393 /nfs/dbraw/zinc/83/13/93/790831393.db2.gz LBXXIFJKKGOBBW-KGLIPLIRSA-N 0 1 293.411 0.561 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@H]1C[C@@H]1C)C1CC1 ZINC001266581566 790976379 /nfs/dbraw/zinc/97/63/79/790976379.db2.gz GJSZXWNAAOIMDU-JSGCOSHPSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN(CCNC(=O)c1ccoc1CC(N)=O)C1CC1 ZINC001266607196 791015835 /nfs/dbraw/zinc/01/58/35/791015835.db2.gz QOFPDSAPYYBFGX-UHFFFAOYSA-N 0 1 289.335 0.135 20 30 CCEDMN C#CCN(CCNC(=O)c1ccc(=O)[nH]c1)C1CC1 ZINC001266619428 791045071 /nfs/dbraw/zinc/04/50/71/791045071.db2.gz MLXFALCVUBYMQF-UHFFFAOYSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@H]2CN(CC(N)=O)CC[C@H]21 ZINC001266658781 791091449 /nfs/dbraw/zinc/09/14/49/791091449.db2.gz IKTLGZYBIITKTE-UONOGXRCSA-N 0 1 291.395 0.588 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@@H](C)OC ZINC001230968110 805700868 /nfs/dbraw/zinc/70/08/68/805700868.db2.gz STYGNUHRPPTGHL-OLZOCXBDSA-N 0 1 270.373 0.757 20 30 CCEDMN CN(CCCNC(=O)CC1CCCC1)CC(=O)NCC#N ZINC001231390122 805786917 /nfs/dbraw/zinc/78/69/17/805786917.db2.gz JFEWFNBGPIDUST-UHFFFAOYSA-N 0 1 294.399 0.645 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@H+]2CC[C@H](O)[C@H](CO)C2)c1 ZINC001232674072 805967889 /nfs/dbraw/zinc/96/78/89/805967889.db2.gz RJADCJYXQKKYAH-JSGCOSHPSA-N 0 1 262.309 0.439 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@H+]2CCN3CCOC[C@@H]3C2)c1 ZINC001232675584 805969888 /nfs/dbraw/zinc/96/98/88/805969888.db2.gz QJHSOQGKXJGRIH-AWEZNQCLSA-N 0 1 273.336 0.780 20 30 CCEDMN CN(C1CN(Cc2[nH]ccc2C#N)C1)[C@@H]1CCOC1 ZINC001233046845 806012847 /nfs/dbraw/zinc/01/28/47/806012847.db2.gz JLADZLVJPJBYRK-GFCCVEGCSA-N 0 1 260.341 0.791 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](C)S(C)(=O)=O ZINC001266745948 791206023 /nfs/dbraw/zinc/20/60/23/791206023.db2.gz PLFFCZQWGFZCDX-VIFPVBQESA-N 0 1 282.793 0.220 20 30 CCEDMN C#CCOCCC(=O)NC[C@H](C)N[C@H](C)c1cnccn1 ZINC001266937210 791372834 /nfs/dbraw/zinc/37/28/34/791372834.db2.gz DSOCYQANDVLYDG-QWHCGFSZSA-N 0 1 290.367 0.672 20 30 CCEDMN CC#CC[NH2+]C1CC(CNC(=O)c2[n-]nnc2C)C1 ZINC001100557940 791400043 /nfs/dbraw/zinc/40/00/43/791400043.db2.gz PSQSFMWGQKBVHP-UHFFFAOYSA-N 0 1 261.329 0.234 20 30 CCEDMN COC(=O)[C@H](CS)Nc1ncc2c(n1)CNCC2 ZINC001167618738 791638796 /nfs/dbraw/zinc/63/87/96/791638796.db2.gz NRFJNHJACQTRCA-VIFPVBQESA-N 0 1 268.342 0.006 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCO[C@@H]3CN(CC)C[C@@H]32)cn1 ZINC001083253571 791682393 /nfs/dbraw/zinc/68/23/93/791682393.db2.gz XCKSQNQTNUQRQZ-LSDHHAIUSA-N 0 1 285.347 0.608 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN(C)CC#CC)cn1 ZINC001267226205 791704201 /nfs/dbraw/zinc/70/42/01/791704201.db2.gz DFWYBZRRKIXGAP-UHFFFAOYSA-N 0 1 255.321 0.748 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H](CCC(C)C)NC(C)=O ZINC001267232808 791712824 /nfs/dbraw/zinc/71/28/24/791712824.db2.gz DSOZIIZBMPTXGZ-CQSZACIVSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CC[N@H+](C)CCNC(=O)C[C@H](C)NC(=O)C1CCCC1 ZINC001267233736 791716132 /nfs/dbraw/zinc/71/61/32/791716132.db2.gz SYIZYBNNIFIUMD-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@H](C)NC(=O)C1CCCC1 ZINC001267233736 791716138 /nfs/dbraw/zinc/71/61/38/791716138.db2.gz SYIZYBNNIFIUMD-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN CN(CCCNC(=O)C[C@@H]1C=CCC1)CC(=O)NCC#N ZINC001233760452 806116629 /nfs/dbraw/zinc/11/66/29/806116629.db2.gz YYWHWXHRWUVTMP-CYBMUJFWSA-N 0 1 292.383 0.421 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC(=O)NCC ZINC001233829314 806128903 /nfs/dbraw/zinc/12/89/03/806128903.db2.gz SOCAVMXOCAUEKQ-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCn1ccnn1 ZINC001233908126 806145720 /nfs/dbraw/zinc/14/57/20/806145720.db2.gz YZMVYAVJNUFDBT-CYBMUJFWSA-N 0 1 277.372 0.777 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cc1nnc(C)[nH]1 ZINC001234204322 806205162 /nfs/dbraw/zinc/20/51/62/806205162.db2.gz CCWSLIDWBXFARK-LBPRGKRZSA-N 0 1 275.356 0.212 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@H](C)SC ZINC001234311682 806221571 /nfs/dbraw/zinc/22/15/71/806221571.db2.gz OXZVTYUCDWECJV-RYUDHWBXSA-N 0 1 299.440 0.314 20 30 CCEDMN C#CCCCC(=O)NCCN(CC)[C@@H]1CCCN(C)C1=O ZINC001267240105 793227678 /nfs/dbraw/zinc/22/76/78/793227678.db2.gz APMYZSSFPMHRHT-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C=C1O[C@H](CC)C(=O)C1Oc1cc(=O)n(C)c(=O)[nH]1 ZINC001234503654 806264162 /nfs/dbraw/zinc/26/41/62/806264162.db2.gz FNVWIMFXYQLXRH-SSDOTTSWSA-N 0 1 266.253 0.474 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)c1ccon1 ZINC001234512664 806266115 /nfs/dbraw/zinc/26/61/15/806266115.db2.gz LJDXIPNUWGZIST-LLVKDONJSA-N 0 1 294.355 0.417 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)Cn1nccn1 ZINC001234574500 806276937 /nfs/dbraw/zinc/27/69/37/806276937.db2.gz SZOYWHVTSXTMQM-CYBMUJFWSA-N 0 1 293.371 0.013 20 30 CCEDMN C=CCCC(=O)NCCCN(C)[C@@H](C)C(=O)N(C)C ZINC001235451504 806424502 /nfs/dbraw/zinc/42/45/02/806424502.db2.gz KWQJUSIFIZOIHT-LBPRGKRZSA-N 0 1 269.389 0.867 20 30 CCEDMN O=C(NC[C@@H](CO)NCC#Cc1ccccc1)c1ccn[nH]1 ZINC001278047288 806636647 /nfs/dbraw/zinc/63/66/47/806636647.db2.gz BRSZPQYSISKESP-AWEZNQCLSA-N 0 1 298.346 0.142 20 30 CCEDMN N#C[C@@H]1CN(Cc2ccccc2OCCO)CCC1=O ZINC001237200264 806647099 /nfs/dbraw/zinc/64/70/99/806647099.db2.gz ZBGABZSLZOYXOS-CYBMUJFWSA-N 0 1 274.320 0.972 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc(OCCC)c1 ZINC001278093425 806660081 /nfs/dbraw/zinc/66/00/81/806660081.db2.gz KMNAPWIZNIXJKR-AWEZNQCLSA-N 0 1 290.363 0.789 20 30 CCEDMN C#CCN(C(=O)COCC)C1CCN(CC#C)CC1 ZINC001278115884 806678774 /nfs/dbraw/zinc/67/87/74/806678774.db2.gz YFGAADNQUCCYRQ-UHFFFAOYSA-N 0 1 262.353 0.582 20 30 CCEDMN N#CC1(CNC[C@@H](O)C2(O)CCCC2)CCOCC1 ZINC001120566841 798868507 /nfs/dbraw/zinc/86/85/07/798868507.db2.gz REQMGLAJKMGAFW-GFCCVEGCSA-N 0 1 268.357 0.562 20 30 CCEDMN N#Cc1ccc(N2CCCN(Cc3nnc[nH]3)CC2)nc1 ZINC001237608040 806699948 /nfs/dbraw/zinc/69/99/48/806699948.db2.gz GMVXHKPPLWJHHR-UHFFFAOYSA-N 0 1 283.339 0.784 20 30 CCEDMN C=CCCC(=O)NC[C@@H](CO)Nc1ncnc2[nH]cnc21 ZINC001121197840 799012409 /nfs/dbraw/zinc/01/24/09/799012409.db2.gz MHVMLODWEJPGMV-VIFPVBQESA-N 0 1 290.327 0.208 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H](CO)N[C@H](C)C(=O)N(C)C ZINC001278130688 806709071 /nfs/dbraw/zinc/70/90/71/806709071.db2.gz WYMGGEJSSUVJGJ-VXGBXAGGSA-N 0 1 299.415 0.132 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCC[C@H]1Cc1cnn(C)c1 ZINC001122148909 799155606 /nfs/dbraw/zinc/15/56/06/799155606.db2.gz VEPXGSLICMPFMM-KBPBESRZSA-N 0 1 274.368 0.470 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1CCC[C@@H](C(F)(F)F)O1 ZINC001122271751 799183720 /nfs/dbraw/zinc/18/37/20/799183720.db2.gz DVULTWHOSGZWTN-ZJUUUORDSA-N 0 1 278.274 0.825 20 30 CCEDMN N#CC(C(=O)[C@H]1CCNC(=O)C1)c1cccc(F)n1 ZINC001122631605 799277913 /nfs/dbraw/zinc/27/79/13/799277913.db2.gz HHHKJOJUNXAYRE-DTWKUNHWSA-N 0 1 261.256 0.923 20 30 CCEDMN C=C(CC)C(=O)N1CCC(O)(CN2CCOCC2)CC1 ZINC001123680096 799453476 /nfs/dbraw/zinc/45/34/76/799453476.db2.gz FDXUMERRBVYKJK-UHFFFAOYSA-N 0 1 282.384 0.638 20 30 CCEDMN C=CCN(C)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001123795172 799476428 /nfs/dbraw/zinc/47/64/28/799476428.db2.gz PXDRNKOCEDWDEU-RYUDHWBXSA-N 0 1 254.378 0.448 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN[C@H](C)C(=O)Nc1ccccc1 ZINC001124907918 799645743 /nfs/dbraw/zinc/64/57/43/799645743.db2.gz BXVAUPJLSXMRQT-VXGBXAGGSA-N 0 1 288.351 0.879 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(F)c2occc21 ZINC001278201207 806770217 /nfs/dbraw/zinc/77/02/17/806770217.db2.gz BTMUHGXAKJHFPO-JTQLQIEISA-N 0 1 290.294 0.885 20 30 CCEDMN C=CCn1cc(CN2CCN(CCCO)CC2)cn1 ZINC001139768721 799839219 /nfs/dbraw/zinc/83/92/19/799839219.db2.gz SXEDETLDYKZXIK-UHFFFAOYSA-N 0 1 264.373 0.569 20 30 CCEDMN C=CCNc1ncc(C(=O)N(C)c2nn[nH]n2)s1 ZINC001149219926 800238046 /nfs/dbraw/zinc/23/80/46/800238046.db2.gz JPDAFJQKJLSZAB-UHFFFAOYSA-N 0 1 265.302 0.531 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CN(CC#CC)CCCO1 ZINC001149383449 800254206 /nfs/dbraw/zinc/25/42/06/800254206.db2.gz GDLDOSMIFRYMHS-AWEZNQCLSA-N 0 1 280.368 0.419 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001149415378 800257820 /nfs/dbraw/zinc/25/78/20/800257820.db2.gz LXZQVYPVBXFMNW-OLZOCXBDSA-N 0 1 263.341 0.376 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)Cc2cc[nH]n2)C1 ZINC001149458117 800267242 /nfs/dbraw/zinc/26/72/42/800267242.db2.gz NCXBVHDCLKHGMK-AWEZNQCLSA-N 0 1 290.367 0.183 20 30 CCEDMN CCOCCN1CCCO[C@@H](CNC(=O)C#CC(C)C)C1 ZINC001149713981 800293440 /nfs/dbraw/zinc/29/34/40/800293440.db2.gz AJWIPAOSHQTBRE-HNNXBMFYSA-N 0 1 296.411 0.889 20 30 CCEDMN Cn1ccc(C(=O)NCCNCC#Cc2ccccc2)n1 ZINC001151084699 800396086 /nfs/dbraw/zinc/39/60/86/800396086.db2.gz RWTOVEDEAOIHGZ-UHFFFAOYSA-N 0 1 282.347 0.791 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2ncn(C)c2n1 ZINC001151241425 800411440 /nfs/dbraw/zinc/41/14/40/800411440.db2.gz BCWSGTQCVIIKNV-UHFFFAOYSA-N 0 1 271.324 0.263 20 30 CCEDMN C=C[C@@H](C(=O)NCCNCc1cnnn1C)c1ccccc1 ZINC001151990536 800477380 /nfs/dbraw/zinc/47/73/80/800477380.db2.gz JAEZCIUQRDLADL-OAHLLOKOSA-N 0 1 299.378 0.991 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)c1ccccn1 ZINC001152065987 800486582 /nfs/dbraw/zinc/48/65/82/800486582.db2.gz AGTRGCHAEZQKLZ-UHFFFAOYSA-N 0 1 296.758 0.270 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)N1CCCCC1=O ZINC001152162121 800496890 /nfs/dbraw/zinc/49/68/90/800496890.db2.gz RVUNQFMGDSSHSK-LLVKDONJSA-N 0 1 287.791 0.846 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1cncs1 ZINC001153085787 800595370 /nfs/dbraw/zinc/59/53/70/800595370.db2.gz JZKIWOGFZBLBJT-UHFFFAOYSA-N 0 1 269.370 0.942 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1cnc(C)cn1 ZINC001153148514 800601720 /nfs/dbraw/zinc/60/17/20/800601720.db2.gz FIJWMZJCUHWFTD-GFCCVEGCSA-N 0 1 278.356 0.582 20 30 CCEDMN C=CCN1CCN(C(=O)c2n[nH]c3cccnc32)CC1 ZINC001153151248 800602406 /nfs/dbraw/zinc/60/24/06/800602406.db2.gz KXTOPQIYEKNXEM-UHFFFAOYSA-N 0 1 271.324 0.902 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(C(N)=O)cn1 ZINC001153371974 800627455 /nfs/dbraw/zinc/62/74/55/800627455.db2.gz DRBSJOUCRAHOAC-UHFFFAOYSA-N 0 1 282.731 0.252 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)NC(=O)C1CCC1 ZINC001153693893 800653546 /nfs/dbraw/zinc/65/35/46/800653546.db2.gz URXKABKIZGAHFB-SNVBAGLBSA-N 0 1 287.791 0.750 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](CC(C)C)C(N)=O ZINC001153789402 800666927 /nfs/dbraw/zinc/66/69/27/800666927.db2.gz BGOAOXDOVPZCEA-SNVBAGLBSA-N 0 1 275.780 0.592 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)c1cn(C)c(C)cc1=O ZINC001153918350 800690949 /nfs/dbraw/zinc/69/09/49/800690949.db2.gz DIBNEIYVEJCTKO-CYBMUJFWSA-N 0 1 289.379 0.767 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@H]1CCCCN1C ZINC001154033672 800708679 /nfs/dbraw/zinc/70/86/79/800708679.db2.gz IUBWMLDZTFZSLK-CHWSQXEVSA-N 0 1 266.389 0.821 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@H]1C[N@H+](C(C)C)CCO1 ZINC001154838797 800854997 /nfs/dbraw/zinc/85/49/97/800854997.db2.gz WKDHEVCSBFDFFY-ZIAGYGMSSA-N 0 1 296.415 0.446 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@H]1CN(C(C)C)CCO1 ZINC001154838797 800855013 /nfs/dbraw/zinc/85/50/13/800855013.db2.gz WKDHEVCSBFDFFY-ZIAGYGMSSA-N 0 1 296.415 0.446 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2cncc(CC#N)n2)CC1 ZINC001155160676 800921789 /nfs/dbraw/zinc/92/17/89/800921789.db2.gz YGRJIDLRSSZUDT-ZDUSSCGKSA-N 0 1 289.339 0.259 20 30 CCEDMN Cc1cnc(NCc2nnc3n2CCCNC3)c(C#N)c1 ZINC001155424447 800971887 /nfs/dbraw/zinc/97/18/87/800971887.db2.gz BIJBKXPCYPTIOB-UHFFFAOYSA-N 0 1 283.339 0.959 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@H](C)Cc1cnc[nH]1 ZINC001155743034 801046476 /nfs/dbraw/zinc/04/64/76/801046476.db2.gz CCFKDMSBZXABPK-VXGBXAGGSA-N 0 1 277.372 0.938 20 30 CCEDMN CCc1nnc([C@H](C)NCCCNC(=O)[C@@H](C)C#N)[nH]1 ZINC001155848770 801066211 /nfs/dbraw/zinc/06/62/11/801066211.db2.gz OYCBPNRLGBIDOP-UWVGGRQHSA-N 0 1 278.360 0.684 20 30 CCEDMN Cc1ncc(C#N)c(NCCNC(=O)C(F)(F)F)n1 ZINC001156200674 801127444 /nfs/dbraw/zinc/12/74/44/801127444.db2.gz XINXXHSSMSHQQX-UHFFFAOYSA-N 0 1 273.218 0.169 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001156253719 801140604 /nfs/dbraw/zinc/14/06/04/801140604.db2.gz HCYSHRMEOHUVHS-NEPJUHHUSA-N 0 1 289.383 0.865 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)C[C@H](C)N1CCCC1=O ZINC001156335457 801152039 /nfs/dbraw/zinc/15/20/39/801152039.db2.gz IZWBUKJXELRCKY-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCNCc2ncccn2)[nH]1 ZINC001156703287 801215545 /nfs/dbraw/zinc/21/55/45/801215545.db2.gz KEPPTLJIUANVSG-UHFFFAOYSA-N 0 1 284.323 0.586 20 30 CCEDMN Cc1nc(C#N)cc(-n2c(C)ncc(CN)c2=N)n1 ZINC001156831232 801245820 /nfs/dbraw/zinc/24/58/20/801245820.db2.gz QTCCVOXGIODMIJ-UHFFFAOYSA-N 0 1 255.285 0.089 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1nnnn1CCC ZINC001157170181 801317576 /nfs/dbraw/zinc/31/75/76/801317576.db2.gz DDQUOLXPZACWIB-UHFFFAOYSA-N 0 1 292.387 0.483 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCN[C@H](C)c1nnc(C)[nH]1 ZINC001157202124 801324866 /nfs/dbraw/zinc/32/48/66/801324866.db2.gz OSMRZTDPNFYJKZ-QMTHXVAHSA-N 0 1 295.387 0.597 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)Cn1cnnn1 ZINC001157509989 801403519 /nfs/dbraw/zinc/40/35/19/801403519.db2.gz DTMSPTSBTQOQHG-CYBMUJFWSA-N 0 1 290.371 0.009 20 30 CCEDMN COCC1CN(C(=O)[C@@H](N)Cc2ccccc2C#N)C1 ZINC001157521767 801403957 /nfs/dbraw/zinc/40/39/57/801403957.db2.gz LCLIVIKNPFKCEN-AWEZNQCLSA-N 0 1 273.336 0.533 20 30 CCEDMN CCCn1nnnc1CNCCCNC(=O)C#CC(C)C ZINC001157638791 801442801 /nfs/dbraw/zinc/44/28/01/801442801.db2.gz OINOOSFHARWGDX-UHFFFAOYSA-N 0 1 292.387 0.338 20 30 CCEDMN Cc1cnc(CNCCCNC(=O)C#CC2CC2)o1 ZINC001157699112 801458007 /nfs/dbraw/zinc/45/80/07/801458007.db2.gz OJKSWVQQUAKIGW-UHFFFAOYSA-N 0 1 261.325 0.992 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CC(=O)NC ZINC001157865862 801505935 /nfs/dbraw/zinc/50/59/35/801505935.db2.gz WDHAAFIYUHBXSF-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1COC(=O)N1 ZINC001157892409 801512297 /nfs/dbraw/zinc/51/22/97/801512297.db2.gz CHHZKEZEXRJQDD-VXGBXAGGSA-N 0 1 279.340 0.041 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1ncn(C)n1 ZINC001157913517 801517060 /nfs/dbraw/zinc/51/70/60/801517060.db2.gz HMRLVHDBENZCET-CYBMUJFWSA-N 0 1 289.383 0.765 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)[C@@H]1Cc2ccccc2CN1 ZINC001157982011 801545086 /nfs/dbraw/zinc/54/50/86/801545086.db2.gz HILYVFICYVFAGZ-KBPBESRZSA-N 0 1 270.336 0.025 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)OCCOC ZINC001158554328 801665600 /nfs/dbraw/zinc/66/56/00/801665600.db2.gz HTNNYUDZOTUBJL-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN COc1cc(C#N)nc(N2CC(N(C)[C@H]3CCOC3)C2)c1 ZINC001158731693 801696159 /nfs/dbraw/zinc/69/61/59/801696159.db2.gz UQIHAFCXXVFZGI-LBPRGKRZSA-N 0 1 288.351 0.871 20 30 CCEDMN C=C(C)CCC(=O)NCCCNCc1nncn1C ZINC001159015243 801739441 /nfs/dbraw/zinc/73/94/41/801739441.db2.gz VZWRKJIMZWSMHW-UHFFFAOYSA-N 0 1 265.361 0.767 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CNC(=O)CCC)CC1 ZINC001159465235 801811713 /nfs/dbraw/zinc/81/17/13/801811713.db2.gz UCGMXFRUUUKQIO-UHFFFAOYSA-N 0 1 291.395 0.674 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC001159563605 801834343 /nfs/dbraw/zinc/83/43/43/801834343.db2.gz QWXAISWDEWWJRT-KBPBESRZSA-N 0 1 290.363 0.567 20 30 CCEDMN C[C@H](C#N)C(=O)NCCC1=CCN([C@H]2CCNC2=O)CC1 ZINC001159587015 801836047 /nfs/dbraw/zinc/83/60/47/801836047.db2.gz FVVXIBNRPANCQB-YPMHNXCESA-N 0 1 290.367 0.173 20 30 CCEDMN CN(CCOCc1ccc(C#N)cc1)C(=O)Cc1ncn[nH]1 ZINC001160339794 801930785 /nfs/dbraw/zinc/93/07/85/801930785.db2.gz LUUQTGKSIGIDPM-UHFFFAOYSA-N 0 1 299.334 0.894 20 30 CCEDMN C=C(C)CN(CC)C(=O)CNC(=O)[C@@H](N)COC(C)(C)C ZINC001160689810 802001018 /nfs/dbraw/zinc/00/10/18/802001018.db2.gz SKPRUQZIAFFPBX-LBPRGKRZSA-N 0 1 299.415 0.670 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCO[C@@H](C(F)(F)F)C1 ZINC001160704686 802006994 /nfs/dbraw/zinc/00/69/94/802006994.db2.gz GWGHDCSWDWZHGS-APPZFPTMSA-N 0 1 250.220 0.127 20 30 CCEDMN N#CCN1CC=C(CCNC(=O)c2ncn[nH]2)CC1 ZINC001161497398 802165537 /nfs/dbraw/zinc/16/55/37/802165537.db2.gz ZBWIVQUGTWXZCJ-UHFFFAOYSA-N 0 1 260.301 0.080 20 30 CCEDMN N#CCN1CC=C(CCNC(=O)c2nnc[nH]2)CC1 ZINC001161497398 802165540 /nfs/dbraw/zinc/16/55/40/802165540.db2.gz ZBWIVQUGTWXZCJ-UHFFFAOYSA-N 0 1 260.301 0.080 20 30 CCEDMN N#CC[N@H+]1CC=C(CCNC(=O)c2nnc[n-]2)CC1 ZINC001161497398 802165544 /nfs/dbraw/zinc/16/55/44/802165544.db2.gz ZBWIVQUGTWXZCJ-UHFFFAOYSA-N 0 1 260.301 0.080 20 30 CCEDMN N#CC[N@@H+]1CC=C(CCNC(=O)c2nnc[n-]2)CC1 ZINC001161497398 802165546 /nfs/dbraw/zinc/16/55/46/802165546.db2.gz ZBWIVQUGTWXZCJ-UHFFFAOYSA-N 0 1 260.301 0.080 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cccn(C)c2=O)CC1 ZINC001161738171 802212043 /nfs/dbraw/zinc/21/20/43/802212043.db2.gz YNPPPTGEWCSFTE-UHFFFAOYSA-N 0 1 299.374 0.771 20 30 CCEDMN CS(=O)(=O)CCNc1c[nH]c2c(C#N)cnc-2c1 ZINC001162324120 802320363 /nfs/dbraw/zinc/32/03/63/802320363.db2.gz RASMBLLTNPCRME-UHFFFAOYSA-N 0 1 264.310 0.891 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC001162687857 802396027 /nfs/dbraw/zinc/39/60/27/802396027.db2.gz ZNSNBVQEMDKEHY-WUHRBBMRSA-N 0 1 262.357 0.183 20 30 CCEDMN CC[C@@H](CC#N)N1CC[C@H]2OCC[C@@]2(C(=O)NC)C1 ZINC001255168697 808325331 /nfs/dbraw/zinc/32/53/31/808325331.db2.gz VSSZPHXNQZNPMB-OUCADQQQSA-N 0 1 265.357 0.906 20 30 CCEDMN N#Cc1ncncc1NCc1noc2c1CNCC2 ZINC001163131556 802488712 /nfs/dbraw/zinc/48/87/12/802488712.db2.gz GAKQPIRUCLEBJH-UHFFFAOYSA-N 0 1 256.269 0.594 20 30 CCEDMN C=CCS(=O)(=O)Nc1cnc(C(=O)OC)cn1 ZINC001259922173 808803888 /nfs/dbraw/zinc/80/38/88/808803888.db2.gz OVXZTIAVHYHGSC-UHFFFAOYSA-N 0 1 257.271 0.191 20 30 CCEDMN N#Cc1cc(NCCc2nc[nH]n2)nc(C2CC2)n1 ZINC001163404549 802552484 /nfs/dbraw/zinc/55/24/84/802552484.db2.gz RSKHVGMJPHHARJ-UHFFFAOYSA-N 0 1 255.285 0.998 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)CN1CCCC1 ZINC001264380370 809648685 /nfs/dbraw/zinc/64/86/85/809648685.db2.gz IEBKMEWXMKUUND-LBPRGKRZSA-N 0 1 250.346 0.138 20 30 CCEDMN N#CCNCC1(CCNC(=O)CCc2nc[nH]n2)CC1 ZINC001163992960 802647707 /nfs/dbraw/zinc/64/77/07/802647707.db2.gz RZBGWRUXERPIHX-UHFFFAOYSA-N 0 1 276.344 0.137 20 30 CCEDMN CC(C)OCCN1CC[C@@H](NC(=O)[C@@H](C)C#N)C1 ZINC001265277223 809741899 /nfs/dbraw/zinc/74/18/99/809741899.db2.gz NPMQSMHPHCQUFB-NWDGAFQWSA-N 0 1 253.346 0.762 20 30 CCEDMN CCOCCN1CC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001265277862 809742318 /nfs/dbraw/zinc/74/23/18/809742318.db2.gz VMRMYTUHLMVCOJ-LBPRGKRZSA-N 0 1 276.340 0.727 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCNCc1nncn1C ZINC001164223699 802691356 /nfs/dbraw/zinc/69/13/56/802691356.db2.gz VEJCMYPGNMFLLK-VXGBXAGGSA-N 0 1 279.388 0.869 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001164346570 802727055 /nfs/dbraw/zinc/72/70/55/802727055.db2.gz SRQDEWWGWZRIDZ-RYUDHWBXSA-N 0 1 294.403 0.969 20 30 CCEDMN N#Cc1cc(C#N)c(SCc2nnc[nH]2)nc1N ZINC001165288650 802816133 /nfs/dbraw/zinc/81/61/33/802816133.db2.gz KKBSEGWOFYFVOY-UHFFFAOYSA-N 0 1 257.282 0.818 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1nc(Cl)c(F)cc1C#N ZINC001165436873 802841574 /nfs/dbraw/zinc/84/15/74/802841574.db2.gz LSWBRRKRDSWEMW-SECBINFHSA-N 0 1 298.705 0.697 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1nc(C)c(C#N)cc1Cl ZINC001165441897 802845487 /nfs/dbraw/zinc/84/54/87/802845487.db2.gz FLKJMPCATLTCFD-NSHDSACASA-N 0 1 294.742 0.866 20 30 CCEDMN Cc1cnc(N2C[C@@H]3[C@H](C2)OCCN3C)c(/C=N/O)c1 ZINC001165533767 802850713 /nfs/dbraw/zinc/85/07/13/802850713.db2.gz YGFPGPIEXZUTHE-FGDDQOBJSA-N 0 1 276.340 0.717 20 30 CCEDMN COCc1nn(-c2nc(Cl)cnc2C#N)c2c1CNC2 ZINC001165631649 802857817 /nfs/dbraw/zinc/85/78/17/802857817.db2.gz DFNQSBKUHDIAGP-UHFFFAOYSA-N 0 1 290.714 0.937 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CCC(NCc2cnon2)CC1 ZINC001204037538 803060512 /nfs/dbraw/zinc/06/05/12/803060512.db2.gz BQNWVIUVYJZIJG-NSHDSACASA-N 0 1 294.355 0.741 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCC2CC2)C1 ZINC001206471976 803247957 /nfs/dbraw/zinc/24/79/57/803247957.db2.gz BPWXXAXQTZVGGN-YRGRVCCFSA-N 0 1 264.369 0.871 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@@H]2CCCNC2=O)C[C@H]1C ZINC001206537379 803262762 /nfs/dbraw/zinc/26/27/62/803262762.db2.gz RWWDSMSINOZVOC-JHJVBQTASA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cnn(CC)n3)[C@@H]2C1 ZINC001075763220 813861900 /nfs/dbraw/zinc/86/19/00/813861900.db2.gz QQAXHSXLCRCYBL-WCQYABFASA-N 0 1 275.356 0.630 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)CCc2nnc[nH]2)C1 ZINC001206797603 803288780 /nfs/dbraw/zinc/28/87/80/803288780.db2.gz DGOMPHNYCSRLGK-GHMZBOCLSA-N 0 1 263.345 0.360 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN([C@H](C)C(=O)NC)C[C@H]1C ZINC001207215169 803369158 /nfs/dbraw/zinc/36/91/58/803369158.db2.gz BWUTZLABLRYFEZ-JHJVBQTASA-N 0 1 281.400 0.914 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCNC(=O)NC)C(C)(C)C1 ZINC001276580823 803537371 /nfs/dbraw/zinc/53/73/71/803537371.db2.gz VBEYTUZNLVICMX-GFCCVEGCSA-N 0 1 294.399 0.155 20 30 CCEDMN C=CCN(CCN1CC2(CN(C)C2)C1)C(=O)OCC ZINC001209023458 803564459 /nfs/dbraw/zinc/56/44/59/803564459.db2.gz GMVOYMCGRBVDKJ-UHFFFAOYSA-N 0 1 267.373 0.878 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCCOC)C1 ZINC001209106609 803573644 /nfs/dbraw/zinc/57/36/44/803573644.db2.gz KWECQOOKJWMEEZ-MGPQQGTHSA-N 0 1 282.384 0.498 20 30 CCEDMN C#CCCCN1CCO[C@@]2(C1)COCCN(C(C)=O)C2 ZINC001209263419 803584912 /nfs/dbraw/zinc/58/49/12/803584912.db2.gz LDHFOIMNARLGQB-OAHLLOKOSA-N 0 1 280.368 0.350 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2cn[nH]c2)C1 ZINC001209309949 803593206 /nfs/dbraw/zinc/59/32/06/803593206.db2.gz YPQSPEDRQGOMHN-DGCLKSJQSA-N 0 1 260.341 0.412 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C2(C(=O)NC)CC2)C1 ZINC001209446633 803604305 /nfs/dbraw/zinc/60/43/05/803604305.db2.gz JSIZQSGHXAMSFM-GHMZBOCLSA-N 0 1 265.357 0.135 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCCOCC)C1 ZINC001211292647 803675190 /nfs/dbraw/zinc/67/51/90/803675190.db2.gz PVHUXFKQGVWIAT-QLFBSQMISA-N 0 1 296.411 0.888 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(CCCO)C[C@H]2OC)CC1 ZINC001213387186 803692785 /nfs/dbraw/zinc/69/27/85/803692785.db2.gz PDRTZAGKKHYDLH-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(CCO)C[C@H]2OC)CC1 ZINC001213395217 803692837 /nfs/dbraw/zinc/69/28/37/803692837.db2.gz SENLBNRTWNHTNS-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN N#CCCn1ccc(NC(=O)CCc2nc[nH]n2)n1 ZINC000177219690 803704569 /nfs/dbraw/zinc/70/45/69/803704569.db2.gz LVSRTFGCZJXXGC-UHFFFAOYSA-N 0 1 259.273 0.486 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1C[C@@H](C)[C@H](NCC#N)C1 ZINC001214757116 803758661 /nfs/dbraw/zinc/75/86/61/803758661.db2.gz BPHRSDRAGVKWAV-JHJVBQTASA-N 0 1 284.379 0.626 20 30 CCEDMN Nc1nonc1C(=Nc1ccc(O)c(F)c1F)NO ZINC001211997952 814970720 /nfs/dbraw/zinc/97/07/20/814970720.db2.gz UGIPUAFVWBRBFQ-UHFFFAOYSA-N 0 1 271.183 0.693 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H](C)OCC)[C@H]2C1 ZINC001217350138 803886883 /nfs/dbraw/zinc/88/68/83/803886883.db2.gz TVYZUMBGNSPVQG-MELADBBJSA-N 0 1 280.368 0.346 20 30 CCEDMN Cc1coc(NC(=O)[C@@H](N)CC[S@@](C)=O)c1C#N ZINC001218764344 804114252 /nfs/dbraw/zinc/11/42/52/804114252.db2.gz KNEMKCRFTAVBPV-NIVTXAMTSA-N 0 1 269.326 0.494 20 30 CCEDMN CNc1ccc(C#N)cc1NC(=O)C[C@@H]1COCCN1 ZINC001218980344 804172787 /nfs/dbraw/zinc/17/27/87/804172787.db2.gz BQESEOKYTIBLJM-LLVKDONJSA-N 0 1 274.324 0.917 20 30 CCEDMN C[S@@](=O)CC[C@H](N)C(=O)Nc1cccc(CC#N)c1 ZINC001218987479 804175342 /nfs/dbraw/zinc/17/53/42/804175342.db2.gz INGMJGCTEKCMAW-HXPMCKFVSA-N 0 1 279.365 0.787 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2CCC2)[C@@H](O)C1 ZINC001219087200 804194762 /nfs/dbraw/zinc/19/47/62/804194762.db2.gz BGRQVPQIRCQDFN-OLZOCXBDSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)/C=C/c2ccco2)[C@@H](O)C1 ZINC001219113688 804206489 /nfs/dbraw/zinc/20/64/89/804206489.db2.gz FOETYDBXBZQTKT-PBJSTTKNSA-N 0 1 262.309 0.640 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCSC)[C@@H](O)C1 ZINC001219160741 804222055 /nfs/dbraw/zinc/22/20/55/804222055.db2.gz AHKPWELEGZUOLD-MNOVXSKESA-N 0 1 258.387 0.477 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C=C/C2CC2)[C@@H](O)C1 ZINC001219431088 804309051 /nfs/dbraw/zinc/30/90/51/804309051.db2.gz XBWXLMGABMLUHK-IHDARNGBSA-N 0 1 280.368 0.317 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CC[C@@H](C)F)C[C@@H]1O ZINC001219587317 804360720 /nfs/dbraw/zinc/36/07/20/804360720.db2.gz AIPHAHRNNWFOFM-UPJWGTAASA-N 0 1 270.348 0.555 20 30 CCEDMN C[C@H](F)CCN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219598178 804367505 /nfs/dbraw/zinc/36/75/05/804367505.db2.gz MJBBCZNTTGMAIW-XQQFMLRXSA-N 0 1 284.375 0.945 20 30 CCEDMN C#CCCCNC(=O)CNC(=O)[C@@H](N)Cc1ccccc1 ZINC001219634349 804384621 /nfs/dbraw/zinc/38/46/21/804384621.db2.gz VIXIUJYJXJHWPL-AWEZNQCLSA-N 0 1 287.363 0.202 20 30 CCEDMN C#CCC[N@H+](C)CCN(C)C(=O)[C@H](C)[C@@H]1CCC(=O)N1 ZINC001272110996 815014304 /nfs/dbraw/zinc/01/43/04/815014304.db2.gz JWZZNXAYZPMXBS-OLZOCXBDSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCC[N@H+](C)CCN(C)C(=O)[C@@H](C)[C@@H]1CCC(=O)N1 ZINC001272110998 815014633 /nfs/dbraw/zinc/01/46/33/815014633.db2.gz JWZZNXAYZPMXBS-STQMWFEESA-N 0 1 279.384 0.315 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@@H]1O ZINC001219718476 804412944 /nfs/dbraw/zinc/41/29/44/804412944.db2.gz FWNBXEAZJAEZSY-MCIONIFRSA-N 0 1 284.400 0.665 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@]2(C)C=CCC2)[C@@H](O)C1 ZINC001220149358 804514486 /nfs/dbraw/zinc/51/44/86/804514486.db2.gz IVDYAGQXJBPRRO-IJEWVQPXSA-N 0 1 294.395 0.707 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(C)=C(C)C)[C@@H](O)C1 ZINC001220159763 804521841 /nfs/dbraw/zinc/52/18/41/804521841.db2.gz PJQXXFRCGDMXJI-KGLIPLIRSA-N 0 1 264.369 0.917 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001220280668 804559657 /nfs/dbraw/zinc/55/96/57/804559657.db2.gz QXYCIACLVRJHKV-VNHYZAJKSA-N 0 1 284.400 0.787 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCCC1COC1 ZINC001220340797 804578950 /nfs/dbraw/zinc/57/89/50/804578950.db2.gz OTQLSGDTZRGEOT-AWEZNQCLSA-N 0 1 273.336 0.581 20 30 CCEDMN C#Cc1ccc(CNC(=O)C[C@@H]2COCCN2)cc1 ZINC001220382474 804590255 /nfs/dbraw/zinc/59/02/55/804590255.db2.gz XGPNDCYFKBPBPG-CQSZACIVSA-N 0 1 258.321 0.663 20 30 CCEDMN C[S@](=O)CC[C@H](N)C(=O)NC1=CC(=O)CC(C)(C)C1 ZINC001220413203 804598342 /nfs/dbraw/zinc/59/83/42/804598342.db2.gz AJEXKNPNCJPZDK-WLRWDXFRSA-N 0 1 286.397 0.472 20 30 CCEDMN C=CCCCNC(=O)[C@H](CC(C)C)NC(=O)CN ZINC001220484688 804614094 /nfs/dbraw/zinc/61/40/94/804614094.db2.gz PAOIZUQBRNUAED-NSHDSACASA-N 0 1 255.362 0.558 20 30 CCEDMN N#C[C@@H](NC(=O)[C@@H](N)Cc1cccc2ccccc21)C(N)=O ZINC001220528022 804619865 /nfs/dbraw/zinc/61/98/65/804619865.db2.gz WYBLFSSXJUPIQG-UONOGXRCSA-N 0 1 296.330 0.203 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@@H]2CCCOC2)[C@@H](O)C1 ZINC001220651482 804643102 /nfs/dbraw/zinc/64/31/02/804643102.db2.gz DYJAFZIOQSKRJC-ZNMIVQPWSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1C[N@H+](CC2CC2)C[C@@H]1O ZINC001221139501 804733499 /nfs/dbraw/zinc/73/34/99/804733499.db2.gz ARXPUOBZOIYYKM-OLZOCXBDSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)CC(C)(C)O ZINC001276843127 804936407 /nfs/dbraw/zinc/93/64/07/804936407.db2.gz VAPXSWHUDSVIBJ-GFCCVEGCSA-N 0 1 270.373 0.541 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)[C@H](O)C(C)C)CC1 ZINC001223082396 804953488 /nfs/dbraw/zinc/95/34/88/804953488.db2.gz NMLPARPUXGOJRG-OAHLLOKOSA-N 0 1 296.411 0.481 20 30 CCEDMN CC(C)C#CC(=O)NCC1CCN([C@@H](C)C(N)=O)CC1 ZINC001223113687 804954363 /nfs/dbraw/zinc/95/43/63/804954363.db2.gz ANXWCYOFMSFJFY-LBPRGKRZSA-N 0 1 279.384 0.348 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cn2cc(C)cn2)C1 ZINC001278363940 807035474 /nfs/dbraw/zinc/03/54/74/807035474.db2.gz SDHXNWMEZZDIMY-CQSZACIVSA-N 0 1 260.341 0.405 20 30 CCEDMN O=C(C#CC1CC1)NCC1CCN([C@H]2CCNC2=O)CC1 ZINC001223130301 804956651 /nfs/dbraw/zinc/95/66/51/804956651.db2.gz LBCQYIZODFBWBU-AWEZNQCLSA-N 0 1 289.379 0.117 20 30 CCEDMN O=S1(=O)CC(O/C(=N\O)c2cc(F)ccc2F)C1 ZINC001223890840 804996090 /nfs/dbraw/zinc/99/60/90/804996090.db2.gz YYXLPENCTGKGJO-RAXLEYEMSA-N 0 1 277.248 0.914 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](CCC)NC(N)=O ZINC001276962853 805025279 /nfs/dbraw/zinc/02/52/79/805025279.db2.gz ASMNZHDCDXTFJF-NWDGAFQWSA-N 0 1 282.388 0.590 20 30 CCEDMN C=C(CO[C@H]1C[C@@H]2CC(=O)C[C@H]1N2C)C(=O)OC ZINC001224368523 805029273 /nfs/dbraw/zinc/02/92/73/805029273.db2.gz CQEUILRNRWXRDM-WCQGTBRESA-N 0 1 253.298 0.536 20 30 CCEDMN N#CCC1CN(C(=O)C23CC(NCc4ncc[nH]4)(C2)C3)C1 ZINC001277000502 805081085 /nfs/dbraw/zinc/08/10/85/805081085.db2.gz FHYSSPMLUTZMLE-UHFFFAOYSA-N 0 1 285.351 0.794 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(C)nc2COC)[C@H]1C ZINC001088676200 815055438 /nfs/dbraw/zinc/05/54/38/815055438.db2.gz VQZOFRCFTHMXPQ-YPMHNXCESA-N 0 1 292.383 0.945 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCN(C)c1ccc(C#N)cn1 ZINC001105515161 815060134 /nfs/dbraw/zinc/06/01/34/815060134.db2.gz XLQBPYXACDNHGL-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)[C@H](F)C(C)C)CC1 ZINC001277141212 805254498 /nfs/dbraw/zinc/25/44/98/805254498.db2.gz NMBYVCPEMQXYGV-NWDGAFQWSA-N 0 1 297.374 0.357 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(=O)[C@H](C)C#N)CC1 ZINC001226649587 805260207 /nfs/dbraw/zinc/26/02/07/805260207.db2.gz VTQCSDOGHHQQNM-NEPJUHHUSA-N 0 1 292.383 0.417 20 30 CCEDMN C#C[C@@H](Oc1[nH]c(=O)nc2cnncc21)C(=O)OCC ZINC001226690753 805265862 /nfs/dbraw/zinc/26/58/62/805265862.db2.gz HXPDZPYVNREUTI-SECBINFHSA-N 0 1 274.236 0.069 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCC1(NCC(=O)NCC)CC1 ZINC001277164431 805293706 /nfs/dbraw/zinc/29/37/06/805293706.db2.gz ISFDUJPOVWIGNB-CQSZACIVSA-N 0 1 281.400 0.963 20 30 CCEDMN C#CCNC(=O)CC(=O)NC1CCN(C[C@H](F)CC)CC1 ZINC001226961398 805299246 /nfs/dbraw/zinc/29/92/46/805299246.db2.gz APPKZSJOGNUKHG-GFCCVEGCSA-N 0 1 297.374 0.455 20 30 CCEDMN C=C[C@@H](Oc1[nH]c(=O)nc2cnccc21)C(=O)OC ZINC001226975884 805301230 /nfs/dbraw/zinc/30/12/30/805301230.db2.gz DIBPNSBDHOOSLB-SECBINFHSA-N 0 1 261.237 0.425 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)[C@H]1CO[C@@H](C)C1 ZINC001272196991 815065157 /nfs/dbraw/zinc/06/51/57/815065157.db2.gz QDGMQRSZGJQBGI-NWDGAFQWSA-N 0 1 290.791 0.574 20 30 CCEDMN C#CCCCC(=O)NC1CCN([C@H]2CCCNC2=O)CC1 ZINC001227084930 805320740 /nfs/dbraw/zinc/32/07/40/805320740.db2.gz POLWIJDTBWVEFB-AWEZNQCLSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1CCN(CC#CC)CC1 ZINC001227076365 805321583 /nfs/dbraw/zinc/32/15/83/805321583.db2.gz KBECDLLQZKQZCP-HNNXBMFYSA-N 0 1 264.369 0.917 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](O)C(C)C)CC1 ZINC001227201509 805331493 /nfs/dbraw/zinc/33/14/93/805331493.db2.gz QEVJRIZWZXNAPC-CYBMUJFWSA-N 0 1 252.358 0.607 20 30 CCEDMN Cn1cc(CN2CCC(NC(=O)C#CC3CC3)CC2)cn1 ZINC001227233597 805334064 /nfs/dbraw/zinc/33/40/64/805334064.db2.gz XBTHVZGKPUQIHJ-UHFFFAOYSA-N 0 1 286.379 0.914 20 30 CCEDMN CC#CCN1CCC(NC(=O)CN(C)C(=O)C(C)C)CC1 ZINC001227637879 805373518 /nfs/dbraw/zinc/37/35/18/805373518.db2.gz WARUAIDAZQHXAA-UHFFFAOYSA-N 0 1 293.411 0.705 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cncn2C)CC1 ZINC001228169327 805421208 /nfs/dbraw/zinc/42/12/08/805421208.db2.gz UCNDCARIKLNMDW-UHFFFAOYSA-N 0 1 290.367 0.264 20 30 CCEDMN CC#CC[N@@H+](C)C1CCN(C(=O)c2cn[nH]n2)CC1 ZINC001277411325 805548268 /nfs/dbraw/zinc/54/82/68/805548268.db2.gz KLNCJMAGYRSPOO-UHFFFAOYSA-N 0 1 261.329 0.364 20 30 CCEDMN COCC#CCN1CCC(NC(=O)Cc2ncc[nH]2)CC1 ZINC001229723750 805551048 /nfs/dbraw/zinc/55/10/48/805551048.db2.gz XMHGTXSBFNXTKP-UHFFFAOYSA-N 0 1 290.367 0.183 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1ncc[nH]1 ZINC001126800253 815111139 /nfs/dbraw/zinc/11/11/39/815111139.db2.gz IHAFENVUTMXQQG-UHFFFAOYSA-N 0 1 268.320 0.781 20 30 CCEDMN N#Cc1ccc(-c2noc(C[C@@H]3COCCN3)n2)nc1 ZINC001248136667 807507356 /nfs/dbraw/zinc/50/73/56/807507356.db2.gz BCXGZWVAJJKQEO-SNVBAGLBSA-N 0 1 271.280 0.534 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCN(C)C(=O)C3)[C@@H]2C1 ZINC001075959623 815127001 /nfs/dbraw/zinc/12/70/01/815127001.db2.gz KYEJQKSRYXYUHG-MELADBBJSA-N 0 1 289.379 0.021 20 30 CCEDMN N#Cc1ccn2ncc(C[N@@H+]3CCc4nncn4CC3)c2c1 ZINC001249086936 807541152 /nfs/dbraw/zinc/54/11/52/807541152.db2.gz RSDVXDYPTAPIEK-UHFFFAOYSA-N 0 1 293.334 0.856 20 30 CCEDMN N#Cc1ccn2ncc(CN3CCc4nncn4CC3)c2c1 ZINC001249086936 807541156 /nfs/dbraw/zinc/54/11/56/807541156.db2.gz RSDVXDYPTAPIEK-UHFFFAOYSA-N 0 1 293.334 0.856 20 30 CCEDMN CN(C)S(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001251063924 807660236 /nfs/dbraw/zinc/66/02/36/807660236.db2.gz DQKYLJGDKRSVBR-QMMMGPOBSA-N 0 1 262.356 0.067 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCc1nc(CSC)n[nH]1 ZINC001251113572 807668414 /nfs/dbraw/zinc/66/84/14/807668414.db2.gz NNKKPVIMSLHVKB-MRVPVSSYSA-N 0 1 285.373 0.404 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCc1nnc(CSC)[nH]1 ZINC001251113572 807668418 /nfs/dbraw/zinc/66/84/18/807668418.db2.gz NNKKPVIMSLHVKB-MRVPVSSYSA-N 0 1 285.373 0.404 20 30 CCEDMN CO[C@H](CNC(=O)NCC#CCN(C)C)C(C)(C)C ZINC001251708201 807696484 /nfs/dbraw/zinc/69/64/84/807696484.db2.gz NKDPXRBIZJRNLY-GFCCVEGCSA-N 0 1 269.389 0.912 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC#CCN(C)C)C[C@]1(C)CO ZINC001251708681 807697097 /nfs/dbraw/zinc/69/70/97/807697097.db2.gz WRLVFXXMGWEVAW-TZMCWYRMSA-N 0 1 267.373 0.211 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(Cc2ccccn2)CC1 ZINC001251840984 807716863 /nfs/dbraw/zinc/71/68/63/807716863.db2.gz YDSQDYTXHKPIGL-MRXNPFEDSA-N 0 1 289.379 0.210 20 30 CCEDMN C#CCOC[C@H](O)CN(C)Cc1c(C)n[nH]c1C ZINC001251851948 807719918 /nfs/dbraw/zinc/71/99/18/807719918.db2.gz WVSXVGKPJPTPHB-GFCCVEGCSA-N 0 1 251.330 0.469 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(c2cccc(O)c2)CC1 ZINC001251854920 807721116 /nfs/dbraw/zinc/72/11/16/807721116.db2.gz ONNKXAQCSOTLHI-MRXNPFEDSA-N 0 1 290.363 0.525 20 30 CCEDMN CCn1nncc1CNCCNC(=O)C#CC(C)C ZINC001126827753 815142339 /nfs/dbraw/zinc/14/23/39/815142339.db2.gz BANNAZNZIRWJKN-UHFFFAOYSA-N 0 1 263.345 0.163 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(OCC)ccn1 ZINC001251897164 807741010 /nfs/dbraw/zinc/74/10/10/807741010.db2.gz UPLJAEUGYQGZLR-CYBMUJFWSA-N 0 1 264.325 0.581 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(C[C@H](O)COCC)C1 ZINC001252032964 807769086 /nfs/dbraw/zinc/76/90/86/807769086.db2.gz POTLEXIDYKJCFJ-OLZOCXBDSA-N 0 1 286.372 0.760 20 30 CCEDMN COCC#CCN1CCC[C@](C)(CNC(=O)[C@H](C)OC)C1 ZINC001278648406 807798721 /nfs/dbraw/zinc/79/87/21/807798721.db2.gz PRJKQVYYPLKOET-GOEBONIOSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCOC[C@H](O)CNc1cc(C(=O)OCC)n[nH]1 ZINC001252454937 807869966 /nfs/dbraw/zinc/86/99/66/807869966.db2.gz WPLOXHLFKNWBTB-SECBINFHSA-N 0 1 269.301 0.562 20 30 CCEDMN C=CCOC[C@H](O)CN[C@H](CC(=O)OC)c1ccccn1 ZINC001252489971 807891432 /nfs/dbraw/zinc/89/14/32/807891432.db2.gz BLLBHWBYIHEETE-TZMCWYRMSA-N 0 1 294.351 0.839 20 30 CCEDMN C=CCOC[C@H](O)C[NH2+]CCc1c(C)[n-][nH]c1=O ZINC001252493586 807894101 /nfs/dbraw/zinc/89/41/01/807894101.db2.gz QNMQURPIWPVOQM-SNVBAGLBSA-N 0 1 255.318 0.119 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(c2ncc(O)cn2)CC1 ZINC001252556421 807909087 /nfs/dbraw/zinc/90/90/87/807909087.db2.gz DHFGAPHYTAZSSQ-ZDUSSCGKSA-N 0 1 264.329 0.241 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(c2nccc(OC)n2)CC1 ZINC001252560486 807911269 /nfs/dbraw/zinc/91/12/69/807911269.db2.gz SQHJYGSDROUYAL-CQSZACIVSA-N 0 1 278.356 0.544 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(C)[C@@H](C(=O)OC)C1 ZINC001252602101 807917139 /nfs/dbraw/zinc/91/71/39/807917139.db2.gz LWBNRKXQZJWANF-NWDGAFQWSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CCC[C@H](O)CN1Cc2ccnn2CC[C@H]1CO ZINC001252602299 807917214 /nfs/dbraw/zinc/91/72/14/807917214.db2.gz OPJSOLNYAMCDIH-KBPBESRZSA-N 0 1 265.357 0.777 20 30 CCEDMN C=CCC[C@@H](O)CNCc1ccc(S(C)(=O)=O)cn1 ZINC001252611683 807919001 /nfs/dbraw/zinc/91/90/01/807919001.db2.gz CZXNDOCLFMDQFB-GFCCVEGCSA-N 0 1 284.381 0.902 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(C)nnc2C)[C@@H](O)C1 ZINC001083491542 815159643 /nfs/dbraw/zinc/15/96/43/815159643.db2.gz KCKPUUBTULDSTK-KGLIPLIRSA-N 0 1 290.367 0.444 20 30 CCEDMN COC(=O)C1=CC[C@H](NC(=O)NCC#CCN(C)C)C1 ZINC001253052937 808002677 /nfs/dbraw/zinc/00/26/77/808002677.db2.gz FEZJNGLGBBYPFD-LBPRGKRZSA-N 0 1 279.340 0.112 20 30 CCEDMN CCS(=O)(=O)Nc1c(C#N)cnn1-c1ncccn1 ZINC001253277774 808039999 /nfs/dbraw/zinc/03/99/99/808039999.db2.gz QLXRDQGZGXRTJF-UHFFFAOYSA-N 0 1 278.297 0.296 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)c1ccn(-c2ccncc2)n1 ZINC001038493001 815171749 /nfs/dbraw/zinc/17/17/49/815171749.db2.gz GONBSKUZSJKIKM-AWEZNQCLSA-N 0 1 295.346 0.705 20 30 CCEDMN C=C[C@@H](O)CN1CCN(c2ccc(OC)cn2)CC1 ZINC001253587883 808092578 /nfs/dbraw/zinc/09/25/78/808092578.db2.gz PWBGCWBYDZACEC-GFCCVEGCSA-N 0 1 263.341 0.759 20 30 CCEDMN C=C[C@@H](O)CN[C@H](COC)C(=O)NCc1ccccc1 ZINC001253598495 808094666 /nfs/dbraw/zinc/09/46/66/808094666.db2.gz UXMPQLQLEANKGB-ZIAGYGMSSA-N 0 1 278.352 0.454 20 30 CCEDMN C=C[C@@H](O)CNCc1cc(OCCOC)ncn1 ZINC001253610739 808097001 /nfs/dbraw/zinc/09/70/01/808097001.db2.gz XAIOFJSKWPFRLM-LLVKDONJSA-N 0 1 253.302 0.138 20 30 CCEDMN CC[C@H](C)[C@H](NC[C@@H](O)C(=O)OC(C)(C)C)C(=O)NO ZINC001253713948 808127400 /nfs/dbraw/zinc/12/74/00/808127400.db2.gz NSTGVAITOUDGJW-AEJSXWLSSA-N 0 1 290.360 0.199 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@H](C)OCC(C)C)C1 ZINC001278760243 808171011 /nfs/dbraw/zinc/17/10/11/808171011.db2.gz JYSRCHZBTOCIGB-GOEBONIOSA-N 0 1 296.411 0.624 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001278770688 808198718 /nfs/dbraw/zinc/19/87/18/808198718.db2.gz CZHJUPDXDHHBJM-ORIJERBGSA-N 0 1 276.380 0.609 20 30 CCEDMN CN1CC(C(=O)N2CCN(c3ccccc3C#N)CC2)C1 ZINC001142592024 815184479 /nfs/dbraw/zinc/18/44/79/815184479.db2.gz MIYLGIBQEBHYLZ-UHFFFAOYSA-N 0 1 284.363 0.768 20 30 CCEDMN CC(=O)N1CCC(NC2(C#N)CCN(C)CC2)CC1 ZINC001254421300 808239531 /nfs/dbraw/zinc/23/95/31/808239531.db2.gz NEMFYMNAIBMPQD-UHFFFAOYSA-N 0 1 264.373 0.575 20 30 CCEDMN COC(=O)C1(C#N)CCN(C2CCN(C(C)=O)CC2)CC1 ZINC001254432116 808242768 /nfs/dbraw/zinc/24/27/68/808242768.db2.gz UJUIBKHODFBEBQ-UHFFFAOYSA-N 0 1 293.367 0.776 20 30 CCEDMN COC(=O)C1(N[C@@H]2CC[C@H](C#N)C2)CCN(C)CC1 ZINC001254644660 808268942 /nfs/dbraw/zinc/26/89/42/808268942.db2.gz UNKLDCRIZUPNNM-NWDGAFQWSA-N 0 1 265.357 0.906 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)CC(C)(F)F)C1 ZINC001278806210 808275251 /nfs/dbraw/zinc/27/52/51/808275251.db2.gz WQEHWJCLQHTESV-ZDUSSCGKSA-N 0 1 274.311 0.608 20 30 CCEDMN C=CC[C@H](NC(=O)[C@@H](C)CN1CCOCC1)C(=O)OCC ZINC001254737757 808277425 /nfs/dbraw/zinc/27/74/25/808277425.db2.gz XSAVFQOUJNSIGK-STQMWFEESA-N 0 1 298.383 0.579 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)COCC)C1 ZINC001278832984 808306367 /nfs/dbraw/zinc/30/63/67/808306367.db2.gz HEEKYLVHHVAXRF-GFCCVEGCSA-N 0 1 270.373 0.541 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001278851843 808333904 /nfs/dbraw/zinc/33/39/04/808333904.db2.gz VWYGYRHNZLSANY-KBXIAJHMSA-N 0 1 278.396 0.807 20 30 CCEDMN O=C(C#CC1CC1)NCCNCC(=O)Nc1nccs1 ZINC001126899586 815203720 /nfs/dbraw/zinc/20/37/20/815203720.db2.gz UDLMKAPJRFQEDL-UHFFFAOYSA-N 0 1 292.364 0.201 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)Cc1ccc(C)s1 ZINC001278882533 808425243 /nfs/dbraw/zinc/42/52/43/808425243.db2.gz JHJAELROYXZUKO-ZDUSSCGKSA-N 0 1 294.420 0.983 20 30 CCEDMN C[C@H](C[C@H](O)c1ccco1)NC(=O)NCC#CCN(C)C ZINC001256585017 808537245 /nfs/dbraw/zinc/53/72/45/808537245.db2.gz MSZROEJZAJERMB-OLZOCXBDSA-N 0 1 293.367 0.956 20 30 CCEDMN C#CCNC(=O)C1CCN(CCOC(=O)N(C)C)CC1 ZINC001256685858 808549690 /nfs/dbraw/zinc/54/96/90/808549690.db2.gz OYMSGQNOIDMURC-UHFFFAOYSA-N 0 1 281.356 0.146 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1ncc(C)o1 ZINC001257259925 808607823 /nfs/dbraw/zinc/60/78/23/808607823.db2.gz BKRCYQJEGUUUEP-UHFFFAOYSA-N 0 1 284.297 0.440 20 30 CCEDMN C=CCN(CCNC(=O)c1cnc(C)nc1C)CCOC ZINC001279056378 808641001 /nfs/dbraw/zinc/64/10/01/808641001.db2.gz ZZYQLJWJZYSTTC-UHFFFAOYSA-N 0 1 292.383 0.958 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3csnn3)C2)C1 ZINC001258462419 808684365 /nfs/dbraw/zinc/68/43/65/808684365.db2.gz LCMXMSSUZCXSLG-UHFFFAOYSA-N 0 1 262.338 0.319 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2c(C)noc2C)cc[nH]1 ZINC001258506769 808692363 /nfs/dbraw/zinc/69/23/63/808692363.db2.gz ZBWPNDWIQQUJJK-UHFFFAOYSA-N 0 1 277.305 0.890 20 30 CCEDMN C#Cc1cc(NS(=O)(=O)c2c(C)noc2C)ccn1 ZINC001258506769 808692372 /nfs/dbraw/zinc/69/23/72/808692372.db2.gz ZBWPNDWIQQUJJK-UHFFFAOYSA-N 0 1 277.305 0.890 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2[nH]nc(CC)c2Cl)[C@@H](O)C1 ZINC001083532830 815228404 /nfs/dbraw/zinc/22/84/04/815228404.db2.gz KGRDAYUXMMOITK-ZJUUUORDSA-N 0 1 296.758 0.034 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cn3nc(C)cc3C)[C@@H]2C1 ZINC001076015037 815233080 /nfs/dbraw/zinc/23/30/80/815233080.db2.gz VXOONHXRSFLRRK-LSDHHAIUSA-N 0 1 286.379 0.666 20 30 CCEDMN C#CCCN1C[C@@H]2CCN(C(=O)c3cn(C)ccc3=O)[C@@H]2C1 ZINC001076025458 815235959 /nfs/dbraw/zinc/23/59/59/815235959.db2.gz AACISDPEARTPGV-DZGCQCFKSA-N 0 1 299.374 0.555 20 30 CCEDMN C#CCCCNS(=O)(=O)c1nc2nc(Cl)ccn2n1 ZINC001260176869 808855155 /nfs/dbraw/zinc/85/51/55/808855155.db2.gz YQRCIMMSDUNEOG-UHFFFAOYSA-N 0 1 299.743 0.469 20 30 CCEDMN C=CCNS(=O)(=O)c1nc2nc(Cl)ccn2n1 ZINC001260179152 808855358 /nfs/dbraw/zinc/85/53/58/808855358.db2.gz CYLVPQABCBSATK-UHFFFAOYSA-N 0 1 273.705 0.242 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCN(C)C3=O)[C@@H]2C1 ZINC001076152273 815252028 /nfs/dbraw/zinc/25/20/28/815252028.db2.gz BONJXVKGIQSQBH-BFHYXJOUSA-N 0 1 289.379 0.021 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@H]1C)C(C)C ZINC001262281719 809265458 /nfs/dbraw/zinc/26/54/58/809265458.db2.gz ADYIEDXRGZHENY-TZMCWYRMSA-N 0 1 291.395 0.456 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3nc4ncccn4n3)[C@@H]2C1 ZINC001076380613 815288925 /nfs/dbraw/zinc/28/89/25/815288925.db2.gz PBAGTRDLYSKAHJ-NWDGAFQWSA-N 0 1 298.350 0.457 20 30 CCEDMN CC[C@H](C)n1nccc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC001263105146 809446248 /nfs/dbraw/zinc/44/62/48/809446248.db2.gz GQLUEPWSDAFEJI-HBNTYKKESA-N 0 1 261.329 0.695 20 30 CCEDMN C#CCNCC(=O)N1CCn2nc(C(C)(C)C)cc2C1 ZINC001263209244 809482608 /nfs/dbraw/zinc/48/26/08/809482608.db2.gz DYMSQJKLLBJXHD-UHFFFAOYSA-N 0 1 274.368 0.746 20 30 CCEDMN C#CCCN1C[C@@H]2CCN(C(=O)c3c[nH]c(C)cc3=O)[C@@H]2C1 ZINC001076423859 815296193 /nfs/dbraw/zinc/29/61/93/815296193.db2.gz GQDRXIZNVIOHSK-DZGCQCFKSA-N 0 1 299.374 0.853 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H](C)n2cnc(C#N)n2)CC1 ZINC001263621194 809542861 /nfs/dbraw/zinc/54/28/61/809542861.db2.gz SUSJRARAWGSRFC-NSHDSACASA-N 0 1 287.323 0.352 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC(C)(C)C)NC(=O)c1cnn[nH]1 ZINC001076434127 815297365 /nfs/dbraw/zinc/29/73/65/815297365.db2.gz HDLXZHLIZZTPHH-JTQLQIEISA-N 0 1 291.355 0.479 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCc4n[nH]cc4C3)[C@@H]2C1 ZINC001076456684 815298426 /nfs/dbraw/zinc/29/84/26/815298426.db2.gz VZGMAVQYLSGAOM-HEHGZKQESA-N 0 1 298.390 0.681 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3c4c(nn3C)CCC4)[C@@H]2C1 ZINC001076454124 815298649 /nfs/dbraw/zinc/29/86/49/815298649.db2.gz OIKNFZLEIZEZAY-SWLSCSKDSA-N 0 1 298.390 0.688 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCc4n[nH]cc4C3)[C@@H]2C1 ZINC001076456683 815299014 /nfs/dbraw/zinc/29/90/14/815299014.db2.gz VZGMAVQYLSGAOM-DVOMOZLQSA-N 0 1 298.390 0.681 20 30 CCEDMN C=C[C@H](C)NC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001263830600 809582197 /nfs/dbraw/zinc/58/21/97/809582197.db2.gz QHBLWOROFRRBAM-NWDGAFQWSA-N 0 1 254.378 0.496 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cccn(C)c3=O)[C@@H]2C1 ZINC001076475083 815302915 /nfs/dbraw/zinc/30/29/15/815302915.db2.gz ZUWJQHFFTGLMPQ-DZGCQCFKSA-N 0 1 299.374 0.555 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@H](OC)C2CC2)C1 ZINC001264075593 809627874 /nfs/dbraw/zinc/62/78/74/809627874.db2.gz YWEDIRFEOWFCON-LSDHHAIUSA-N 0 1 294.395 0.330 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H]2CN(C(C)=O)C[C@@H]2C1 ZINC001264081940 809628367 /nfs/dbraw/zinc/62/83/67/809628367.db2.gz NMMZXBAYGIYVAC-OLZOCXBDSA-N 0 1 265.357 0.089 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)[C@H]1CCCCO1 ZINC001264105111 809631258 /nfs/dbraw/zinc/63/12/58/809631258.db2.gz XFPVPKVQRSLXGZ-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCCN1C(=O)c1cn(C)nn1 ZINC001264182693 809636819 /nfs/dbraw/zinc/63/68/19/809636819.db2.gz VEKCNYROYLHJMJ-LBPRGKRZSA-N 0 1 275.356 0.375 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1cn(C)nn1 ZINC001264182693 809636825 /nfs/dbraw/zinc/63/68/25/809636825.db2.gz VEKCNYROYLHJMJ-LBPRGKRZSA-N 0 1 275.356 0.375 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3[nH]cnc3CC)[C@@H]2C1 ZINC001076509885 815306227 /nfs/dbraw/zinc/30/62/27/815306227.db2.gz SWXGGLRONPHSOS-WCQYABFASA-N 0 1 272.352 0.752 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cn3ccccc3=O)[C@@H]2C1 ZINC001076554540 815309503 /nfs/dbraw/zinc/30/95/03/815309503.db2.gz WYUBHWYTDVLZMP-LSDHHAIUSA-N 0 1 299.374 0.404 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@@H]1CC12CC2 ZINC001265041363 809698666 /nfs/dbraw/zinc/69/86/66/809698666.db2.gz WLFDWVYIAFVHKF-STQMWFEESA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H]1CCO[C@H]1C ZINC001265052081 809700048 /nfs/dbraw/zinc/70/00/48/809700048.db2.gz GMOYYNSUIQZSBV-QWHCGFSZSA-N 0 1 297.399 0.152 20 30 CCEDMN CN(C(=O)CCc1c[nH]nn1)C1CCN(CC#N)CC1 ZINC001265197640 809722014 /nfs/dbraw/zinc/72/20/14/809722014.db2.gz XLONEDAVZKUKLZ-UHFFFAOYSA-N 0 1 276.344 0.184 20 30 CCEDMN CN(C(=O)CCc1cnn[nH]1)C1CCN(CC#N)CC1 ZINC001265197640 809722018 /nfs/dbraw/zinc/72/20/18/809722018.db2.gz XLONEDAVZKUKLZ-UHFFFAOYSA-N 0 1 276.344 0.184 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@@H]2C[C@H](C)CO2)CC1 ZINC001265246414 809731051 /nfs/dbraw/zinc/73/10/51/809731051.db2.gz UFSHKYSHBMJKLK-GJZGRUSLSA-N 0 1 293.411 0.169 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(CCN2CCNC2=O)C1 ZINC001265296804 809750068 /nfs/dbraw/zinc/75/00/68/809750068.db2.gz DNIFRMHOKWFMII-LBPRGKRZSA-N 0 1 294.399 0.414 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCc2nc(C)no2)C1 ZINC001265317164 809757777 /nfs/dbraw/zinc/75/77/77/809757777.db2.gz KITDWRBVHBOXHX-LBPRGKRZSA-N 0 1 276.340 0.524 20 30 CCEDMN CCCN(C(=O)[C@@H]1CC1[N+](=O)[O-])C1CCN(CC#N)CC1 ZINC001265338583 809760072 /nfs/dbraw/zinc/76/00/72/809760072.db2.gz CMNUWAYFFJYIAW-CHWSQXEVSA-N 0 1 294.355 0.878 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)Cc1nonc1C ZINC001265656034 809821323 /nfs/dbraw/zinc/82/13/23/809821323.db2.gz VBMVMYVRBLMKBB-CYBMUJFWSA-N 0 1 290.367 0.915 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cn1cccn1 ZINC001265752482 809838968 /nfs/dbraw/zinc/83/89/68/809838968.db2.gz HTNWOFFLPZHNME-SNVBAGLBSA-N 0 1 256.737 0.730 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)NCc1ccn(C)n1 ZINC001265769159 809847016 /nfs/dbraw/zinc/84/70/16/809847016.db2.gz POQICVMHWDYDGT-GFCCVEGCSA-N 0 1 262.357 0.818 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H](C)NCc1ocnc1C ZINC001265774759 809848772 /nfs/dbraw/zinc/84/87/72/809848772.db2.gz IKZJRMNSVPVEHN-CMPLNLGQSA-N 0 1 279.340 0.616 20 30 CCEDMN C[C@@H](CNC(=O)C(N)=O)NCC#Cc1ccc(Cl)cc1 ZINC001265818552 809862685 /nfs/dbraw/zinc/86/26/85/809862685.db2.gz MYZXAYVTWHFDEH-JTQLQIEISA-N 0 1 293.754 0.271 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3nn(CC)nc3C)[C@@H]2C1 ZINC001076640107 815325257 /nfs/dbraw/zinc/32/52/57/815325257.db2.gz SDLYVFZUUFUULJ-QWHCGFSZSA-N 0 1 289.383 0.939 20 30 CCEDMN CC#CCN(C)CCCNC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001265890251 809885985 /nfs/dbraw/zinc/88/59/85/809885985.db2.gz NKSHQMPPCVDZAJ-UHFFFAOYSA-N 0 1 291.351 0.459 20 30 CCEDMN COCC#CCN(C)[C@H]1CCCN(C(=O)[C@H]2CCCO2)C1 ZINC001266089961 809934198 /nfs/dbraw/zinc/93/41/98/809934198.db2.gz BFYXFLVQEDJUFD-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN CC#CCN1CCC[C@@](CO)(NC(=O)[C@H]2CCCN2C)C1 ZINC001279471216 809981600 /nfs/dbraw/zinc/98/16/00/809981600.db2.gz MDKGNWDUNYSYRH-GDBMZVCRSA-N 0 1 293.411 0.047 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2onc(C)c2C)[C@@H](O)C1 ZINC001083554857 815387915 /nfs/dbraw/zinc/38/79/15/815387915.db2.gz ZRNKOJGHQGIHIL-NEPJUHHUSA-N 0 1 279.340 0.642 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2onc(C)c2C)[C@@H](O)C1 ZINC001083554857 815387921 /nfs/dbraw/zinc/38/79/21/815387921.db2.gz ZRNKOJGHQGIHIL-NEPJUHHUSA-N 0 1 279.340 0.642 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCCN1[C@@H](C)C(=O)NC ZINC001267264081 811065686 /nfs/dbraw/zinc/06/56/86/811065686.db2.gz PQPREWYWAKDZGK-NWDGAFQWSA-N 0 1 267.373 0.668 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)CCCC(=O)NCC ZINC001267280469 811087833 /nfs/dbraw/zinc/08/78/33/811087833.db2.gz DSINVZXCKIGXIP-CQSZACIVSA-N 0 1 293.411 0.897 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)[C@@H](C)SC)C1 ZINC001267288374 811099600 /nfs/dbraw/zinc/09/96/00/811099600.db2.gz KOQXISVTWNTTON-VXGBXAGGSA-N 0 1 299.440 0.478 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)c2cocn2)C1 ZINC001267288956 811102201 /nfs/dbraw/zinc/10/22/01/811102201.db2.gz WNIOFHZQCIBQKA-LLVKDONJSA-N 0 1 292.339 0.029 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](CNC(=O)C(C)C)C1 ZINC001267289533 811104840 /nfs/dbraw/zinc/10/48/40/811104840.db2.gz RHRPDNDILOOBQU-CHWSQXEVSA-N 0 1 279.384 0.218 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2CC(C)(C)C2)C1 ZINC001076955913 815421700 /nfs/dbraw/zinc/42/17/00/815421700.db2.gz WGCWPNRUGUIWAH-CHWSQXEVSA-N 0 1 264.369 0.607 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)CCCCc2cn[nH]n2)C1 ZINC001267298092 811114544 /nfs/dbraw/zinc/11/45/44/811114544.db2.gz JGTCOPPGMXHHBH-GFCCVEGCSA-N 0 1 290.371 0.479 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)C(C)(C)CNC(C)=O)C1 ZINC001267304763 811125326 /nfs/dbraw/zinc/12/53/26/811125326.db2.gz LSEGWDUFMGQHML-ZDUSSCGKSA-N 0 1 279.384 0.220 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@H](C)CNc2ccc(C#N)nn2)n[nH]1 ZINC001104487711 811134706 /nfs/dbraw/zinc/13/47/06/811134706.db2.gz YHYBDMLQVJOCTB-SNVBAGLBSA-N 0 1 299.338 0.952 20 30 CCEDMN C#CCN(CCNC(=O)c1cc(C(N)=O)ccn1)C1CC1 ZINC001267338237 811173562 /nfs/dbraw/zinc/17/35/62/811173562.db2.gz HJGLYEQTKRSYBU-UHFFFAOYSA-N 0 1 286.335 0.008 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CCC[NH+]2C(C)C)C1 ZINC001076987324 815428554 /nfs/dbraw/zinc/42/85/54/815428554.db2.gz LOJULEKAVUPZQN-RBSFLKMASA-N 0 1 293.411 0.044 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](CNCc2nncn2C)C1 ZINC001023893721 811278592 /nfs/dbraw/zinc/27/85/92/811278592.db2.gz WDRZOSOHXHNBQS-VXGBXAGGSA-N 0 1 290.371 0.303 20 30 CCEDMN Cc1nc([C@H](C)NCCNC(=O)c2ccc(C#N)[nH]2)n[nH]1 ZINC001125782964 811337292 /nfs/dbraw/zinc/33/72/92/811337292.db2.gz KZKCBQHVFQBHQD-QMMMGPOBSA-N 0 1 287.327 0.394 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccccn3)[C@@H]2C1 ZINC001075486962 811349058 /nfs/dbraw/zinc/34/90/58/811349058.db2.gz YGPAYGNXUOZHMJ-GXTWGEPZSA-N 0 1 255.321 0.861 20 30 CCEDMN Cc1ccc(C#N)c(N2CC[C@@H](NC(=O)c3cnn[nH]3)C2)n1 ZINC001058599555 811425523 /nfs/dbraw/zinc/42/55/23/811425523.db2.gz TZVXGKJKDOEKFH-LLVKDONJSA-N 0 1 297.322 0.389 20 30 CCEDMN N#CCN1CC[C@@H](CCNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001267544728 811426551 /nfs/dbraw/zinc/42/65/51/811426551.db2.gz MXPKCSGHSFVDMK-LLVKDONJSA-N 0 1 287.367 0.864 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H](C)CNc1ncnc2[nH]cnc21 ZINC001104525344 811440416 /nfs/dbraw/zinc/44/04/16/811440416.db2.gz VHCLSXNVECLOCW-BDAKNGLRSA-N 0 1 287.327 0.723 20 30 CCEDMN C=C(C)CN1CCN(CCN(C)C(=O)CC(C)(C)O)CC1 ZINC001267572853 811464763 /nfs/dbraw/zinc/46/47/63/811464763.db2.gz ZJEUHZYVMBVQDR-UHFFFAOYSA-N 0 1 297.443 0.800 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001077164453 815453556 /nfs/dbraw/zinc/45/35/56/815453556.db2.gz OTPSEMBGPQUDLZ-CHWSQXEVSA-N 0 1 292.383 0.252 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)[C@@H]2CCCC23CC3)[C@@H](O)C1 ZINC001083566192 815453672 /nfs/dbraw/zinc/45/36/72/815453672.db2.gz YKHMOXPADMXTOL-MJBXVCDLSA-N 0 1 276.380 0.751 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCCC23CC3)[C@@H](O)C1 ZINC001083566192 815453673 /nfs/dbraw/zinc/45/36/73/815453673.db2.gz YKHMOXPADMXTOL-MJBXVCDLSA-N 0 1 276.380 0.751 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nc1)N(C)C(=O)Cc1ncn[nH]1 ZINC001104673723 811549563 /nfs/dbraw/zinc/54/95/63/811549563.db2.gz PVZCWMRBKGBGTP-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCc2cn(C)nn2)C1 ZINC001267636080 811596252 /nfs/dbraw/zinc/59/62/52/811596252.db2.gz QNJDQIZKOJIOFC-ZDUSSCGKSA-N 0 1 289.383 0.352 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)Cc2ccon2)C1 ZINC001267679351 811642779 /nfs/dbraw/zinc/64/27/79/811642779.db2.gz ZWWNSOIVLWABFE-UHFFFAOYSA-N 0 1 279.340 0.468 20 30 CCEDMN CCOCCCN1C[C@@H]2C[C@H]1CN2C(=O)CSCC#N ZINC001267703360 811666467 /nfs/dbraw/zinc/66/64/67/811666467.db2.gz WCBQKVXCCWXZIA-STQMWFEESA-N 0 1 297.424 0.955 20 30 CCEDMN C=CCCN1CC[C@H](NC(=O)CCCS(C)(=O)=O)C1 ZINC001267731014 811700529 /nfs/dbraw/zinc/70/05/29/811700529.db2.gz KIKUMLLQBNRJTM-LBPRGKRZSA-N 0 1 288.413 0.578 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCCC(=O)NC)C1 ZINC001267735059 811704693 /nfs/dbraw/zinc/70/46/93/811704693.db2.gz JRKQIURCKDOCLB-ZDUSSCGKSA-N 0 1 279.384 0.507 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCCc1c[nH]c2cccnc12 ZINC001220386391 811729341 /nfs/dbraw/zinc/72/93/41/811729341.db2.gz RJUYJMFTPLHTPI-AWEZNQCLSA-N 0 1 256.309 0.572 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCNC(=O)C(C)(C)C)C1 ZINC001267746837 811734768 /nfs/dbraw/zinc/73/47/68/811734768.db2.gz ZEVHYRILAVIXDM-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cncc(C#C)c1 ZINC001038685378 811961964 /nfs/dbraw/zinc/96/19/64/811961964.db2.gz SUHOCCMNLSJARL-HNNXBMFYSA-N 0 1 267.332 0.890 20 30 CCEDMN Cc1cc(CNCCNC(=O)c2c[nH]c(C#N)c2)ncn1 ZINC001125987702 811967820 /nfs/dbraw/zinc/96/78/20/811967820.db2.gz AGULQGKEEDLRSK-UHFFFAOYSA-N 0 1 284.323 0.504 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@H]3CCCO3)[C@@H]2C1 ZINC001075565238 812000525 /nfs/dbraw/zinc/00/05/25/812000525.db2.gz CQPQPUTWVLPOIU-BFHYXJOUSA-N 0 1 262.353 0.721 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@H]1CNC(=O)c1cnnn1CC ZINC001027874543 812108837 /nfs/dbraw/zinc/10/88/37/812108837.db2.gz NYJRDTCVYPWTTA-LBPRGKRZSA-N 0 1 275.356 0.516 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnnn1CC ZINC001027874543 812108844 /nfs/dbraw/zinc/10/88/44/812108844.db2.gz NYJRDTCVYPWTTA-LBPRGKRZSA-N 0 1 275.356 0.516 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnn2ccncc12 ZINC001027934186 812149477 /nfs/dbraw/zinc/14/94/77/812149477.db2.gz MCQWVGOLJBUUBI-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001027974909 812183873 /nfs/dbraw/zinc/18/38/73/812183873.db2.gz LXMKPLGQBWDONX-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(=O)n(C)c1 ZINC001027990834 812201532 /nfs/dbraw/zinc/20/15/32/812201532.db2.gz QFZLFXNPEOERNT-ZDUSSCGKSA-N 0 1 273.336 0.213 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001028048324 812231379 /nfs/dbraw/zinc/23/13/79/812231379.db2.gz UXOKALWXBIFLLO-LJISPDSOSA-N 0 1 294.395 0.805 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCN(C)c2cnc(C#N)cn2)[nH]1 ZINC001105353201 812247217 /nfs/dbraw/zinc/24/72/17/812247217.db2.gz PSVWRWBTUBLUDS-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001038878967 812289998 /nfs/dbraw/zinc/28/99/98/812289998.db2.gz HLDCXIHDFIWLSQ-CYBMUJFWSA-N 0 1 296.334 0.799 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CNC(=O)C2CC2)C1 ZINC001268159255 812317106 /nfs/dbraw/zinc/31/71/06/812317106.db2.gz KYPLHZPOVOMXTQ-ZDUSSCGKSA-N 0 1 277.368 0.117 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1[nH]nc2c1CCC2)NCC#N ZINC001268211683 812366460 /nfs/dbraw/zinc/36/64/60/812366460.db2.gz NRQZYAVRQHCCLL-LBPRGKRZSA-N 0 1 275.356 0.766 20 30 CCEDMN C=CC[N@H+]1CCC[C@@H]1CNC(=O)c1nc2ncccn2n1 ZINC001028210497 812372234 /nfs/dbraw/zinc/37/22/34/812372234.db2.gz RBNCVYZRGRYGQB-LLVKDONJSA-N 0 1 286.339 0.505 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1nc2ncccn2n1 ZINC001028210497 812372240 /nfs/dbraw/zinc/37/22/40/812372240.db2.gz RBNCVYZRGRYGQB-LLVKDONJSA-N 0 1 286.339 0.505 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnn2ccncc12 ZINC001268238471 812405051 /nfs/dbraw/zinc/40/50/51/812405051.db2.gz FXDCXLTUAGOMEW-UHFFFAOYSA-N 0 1 271.324 0.366 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ncn(-c2ccccc2)n1 ZINC001268241621 812408192 /nfs/dbraw/zinc/40/81/92/812408192.db2.gz VALKVYLYQHNWBA-UHFFFAOYSA-N 0 1 297.362 0.904 20 30 CCEDMN C#CCCCC(=O)NC[C@H](O)CNCc1c(C)noc1C ZINC001268305783 812469215 /nfs/dbraw/zinc/46/92/15/812469215.db2.gz XCLHGNJIBFOVSE-CYBMUJFWSA-N 0 1 293.367 0.662 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H](O)CNCc2cnns2)C1 ZINC001268321146 812484909 /nfs/dbraw/zinc/48/49/09/812484909.db2.gz DPZGGDNWLREWJG-SNVBAGLBSA-N 0 1 296.396 0.461 20 30 CCEDMN CCn1ncc(CNCC[C@H](C)NC(=O)[C@@H](C)C#N)n1 ZINC001268351710 812514569 /nfs/dbraw/zinc/51/45/69/812514569.db2.gz VVWCMYCVKHIQFQ-QWRGUYRKSA-N 0 1 278.360 0.442 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c(OC)cc[nH]c1=O ZINC001028267101 812532856 /nfs/dbraw/zinc/53/28/56/812532856.db2.gz FUNYSMRGBJSICS-LLVKDONJSA-N 0 1 289.335 0.623 20 30 CCEDMN COCC#CCN1CC(NC(=O)CN2CCC(C)CC2)C1 ZINC001268463469 812605739 /nfs/dbraw/zinc/60/57/39/812605739.db2.gz NUUSJMMQRGBQRW-UHFFFAOYSA-N 0 1 293.411 0.169 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN[C@@H](C)c1cnc(C)cn1 ZINC001126365401 812676712 /nfs/dbraw/zinc/67/67/12/812676712.db2.gz JFGIQBVKLMDRJU-SWLSCSKDSA-N 0 1 292.383 0.879 20 30 CCEDMN CCN(CC)C(=O)CNC/C=C/CNC(=O)C#CC1CC1 ZINC001268536624 812780646 /nfs/dbraw/zinc/78/06/46/812780646.db2.gz LVXMKELUYVHKNZ-AATRIKPKSA-N 0 1 291.395 0.530 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CNC(=O)c2cc(=O)n(C)o2)C1 ZINC001028508554 812791753 /nfs/dbraw/zinc/79/17/53/812791753.db2.gz UHXANHWPVKXSGM-JTQLQIEISA-N 0 1 299.758 0.783 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN[C@@H](C)c1n[nH]c(CC)n1 ZINC001126377787 812808121 /nfs/dbraw/zinc/80/81/21/812808121.db2.gz FULAHXKIWJTSBY-HZMBPMFUSA-N 0 1 295.387 0.461 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2c(Cl)cnn2C)C1 ZINC001028539509 812829848 /nfs/dbraw/zinc/82/98/48/812829848.db2.gz YGJWDCZOVCPMLK-SNVBAGLBSA-N 0 1 280.759 0.758 20 30 CCEDMN C=C(C)CN1CC[C@@H](CNC(=O)C2CS(=O)(=O)C2)C1 ZINC001028563355 812839453 /nfs/dbraw/zinc/83/94/53/812839453.db2.gz GLNCVQDWSRUUPC-NSHDSACASA-N 0 1 286.397 0.045 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1conc1C ZINC001127023560 815560199 /nfs/dbraw/zinc/56/01/99/815560199.db2.gz NVOADTIJDUWPEE-UHFFFAOYSA-N 0 1 265.313 0.229 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C)n2C)[C@@H](O)C1 ZINC001083339660 812889585 /nfs/dbraw/zinc/88/95/85/812889585.db2.gz VPDCIHJHOSMMRY-OCCSQVGLSA-N 0 1 275.352 0.132 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)Cc1nnn(C(C)(C)C)n1 ZINC001268731878 813047236 /nfs/dbraw/zinc/04/72/36/813047236.db2.gz RXBKBEVLOSFETK-NSHDSACASA-N 0 1 292.387 0.040 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cnc2nccn2c1 ZINC001268741077 813056964 /nfs/dbraw/zinc/05/69/64/813056964.db2.gz ZKOIYRWZDWYHGX-LBPRGKRZSA-N 0 1 285.351 0.803 20 30 CCEDMN C#CC(=O)N[C@@H]1[C@H]2CC[C@H](C2)[C@@H]1C(=O)NCc1cnc[nH]1 ZINC001268855007 813116165 /nfs/dbraw/zinc/11/61/65/813116165.db2.gz GATWPDOGVUXFEA-XXSPCDMZSA-N 0 1 286.335 0.190 20 30 CCEDMN CCC#CC(=O)N1CC[C@@H]2C[C@@]21C(=O)NCc1cnc[nH]1 ZINC001268873042 813126725 /nfs/dbraw/zinc/12/67/25/813126725.db2.gz IGEPULFLMUNUEA-ABAIWWIYSA-N 0 1 286.335 0.430 20 30 CCEDMN C#CC(=O)N1CCC[C@@]2(CCCN(CC(=O)N(C)C)C2)C1 ZINC001268942459 813152844 /nfs/dbraw/zinc/15/28/44/813152844.db2.gz SRZCSOZNNAJTKQ-MRXNPFEDSA-N 0 1 291.395 0.412 20 30 CCEDMN C=C(C)CN1CC[C@@]2(CCN(C(=O)CN(C)CC)C2)C1=O ZINC001269095780 813213194 /nfs/dbraw/zinc/21/31/94/813213194.db2.gz VTPAJQKUVKTAKO-INIZCTEOSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cnc(C)nc1 ZINC001127046040 815601759 /nfs/dbraw/zinc/60/17/59/815601759.db2.gz KOTTTWQDVONYJU-NSHDSACASA-N 0 1 276.340 0.029 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cnc(C)nc1 ZINC001127046039 815602170 /nfs/dbraw/zinc/60/21/70/815602170.db2.gz KOTTTWQDVONYJU-LLVKDONJSA-N 0 1 276.340 0.029 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CCN(C[C@@H](F)CC)C1 ZINC001269129862 813231530 /nfs/dbraw/zinc/23/15/30/813231530.db2.gz RTBQTTLCLRQTSK-QWHCGFSZSA-N 0 1 270.348 0.965 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2C(=O)c2ccn[nH]2)C1=O ZINC001269131909 813232142 /nfs/dbraw/zinc/23/21/42/813232142.db2.gz OMPRICVEIFZICH-AWEZNQCLSA-N 0 1 272.308 0.250 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCC(=O)NC(C)C)C1 ZINC001269187030 813254534 /nfs/dbraw/zinc/25/45/34/813254534.db2.gz NSDPECGNEKJJAQ-CQSZACIVSA-N 0 1 293.411 0.895 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(CCOCC)C2)cn1 ZINC001269192389 813258317 /nfs/dbraw/zinc/25/83/17/813258317.db2.gz WXRAOTMDUKGUJU-OAHLLOKOSA-N 0 1 287.363 0.904 20 30 CCEDMN CN(CC(=O)NC[C@@H]1CCN1CC#N)C1CCC1 ZINC001269249917 813290617 /nfs/dbraw/zinc/29/06/17/813290617.db2.gz WPCYKELQWVWEJD-LBPRGKRZSA-N 0 1 250.346 0.185 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)[C@@H](OC)c1cnn(C)c1 ZINC001269266870 813297447 /nfs/dbraw/zinc/29/74/47/813297447.db2.gz LPGAHWMDQCLIBK-KBPBESRZSA-N 0 1 292.383 0.874 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)C=C2CCC2)CC1 ZINC001269398297 813356047 /nfs/dbraw/zinc/35/60/47/813356047.db2.gz KNIJUWARGRLRSS-LBPRGKRZSA-N 0 1 289.379 0.473 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC1(NCc2cncs2)CC1 ZINC001269411410 813360792 /nfs/dbraw/zinc/36/07/92/813360792.db2.gz GGMGDWXSEVKWHU-LLVKDONJSA-N 0 1 293.392 0.920 20 30 CCEDMN C=C(C)CCC(=O)NCC1(NCC(=O)NC2CC2)CC1 ZINC001269419993 813363993 /nfs/dbraw/zinc/36/39/93/813363993.db2.gz OMRFGDIJPGCTKF-UHFFFAOYSA-N 0 1 279.384 0.860 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)Cc3c[nH]cn3)C2)C1=O ZINC001269569315 813426207 /nfs/dbraw/zinc/42/62/07/813426207.db2.gz PGLQUDUROOHMED-HNNXBMFYSA-N 0 1 286.335 0.036 20 30 CCEDMN N#CC1(NC(=O)[C@@]23C[C@@H]2CN(C(=O)c2ccn[nH]2)C3)CCC1 ZINC001269603933 813442368 /nfs/dbraw/zinc/44/23/68/813442368.db2.gz OQGGZQUBJUACBJ-MEBBXXQBSA-N 0 1 299.334 0.434 20 30 CCEDMN C=CCCC(=O)N1CC[C@]2(CCN([C@H]3CCNC3=O)C2)C1 ZINC001269718715 813480178 /nfs/dbraw/zinc/48/01/78/813480178.db2.gz BJPGYPHAUOCTEM-BBRMVZONSA-N 0 1 291.395 0.766 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@H]2CCN(CCF)C2)nn1 ZINC001028852517 813582520 /nfs/dbraw/zinc/58/25/20/813582520.db2.gz KGWTZEFTTTVKHX-LLVKDONJSA-N 0 1 281.335 0.485 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C3=CCOCC3)[C@@H]2C1 ZINC001075720428 813585850 /nfs/dbraw/zinc/58/58/50/813585850.db2.gz HISDBQLTCCAVEG-LSDHHAIUSA-N 0 1 274.364 0.889 20 30 CCEDMN C=CCOCC(=O)NC1(C)CCN([C@H]2CCNC2=O)CC1 ZINC001270212982 813679680 /nfs/dbraw/zinc/67/96/80/813679680.db2.gz NYEJGBXXVBURKL-LBPRGKRZSA-N 0 1 295.383 0.048 20 30 CCEDMN C#CCCN1CC[C@H](CNC(=O)[C@H]2CCCS2(=O)=O)C1 ZINC001028996835 813738357 /nfs/dbraw/zinc/73/83/57/813738357.db2.gz KDVULBJBYONHMS-CHWSQXEVSA-N 0 1 298.408 0.025 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H](C)NC(C)=O)C1 ZINC001270538535 813802313 /nfs/dbraw/zinc/80/23/13/813802313.db2.gz QCBIBAHUQMCKKK-RISCZKNCSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](C)CC(N)=O)C1 ZINC001270981849 814107381 /nfs/dbraw/zinc/10/73/81/814107381.db2.gz COFZBPQBKGZJSB-SWLSCSKDSA-N 0 1 279.384 0.349 20 30 CCEDMN CC#CC(=O)N1CCc2[nH]nc(C(=O)NC(C)(C)C)c2C1 ZINC001271090259 814148120 /nfs/dbraw/zinc/14/81/20/814148120.db2.gz KZXVPUOIMLBZTO-UHFFFAOYSA-N 0 1 288.351 0.846 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1CC ZINC001087554255 814149125 /nfs/dbraw/zinc/14/91/25/814149125.db2.gz XLWKHZTXNPCVQO-NWDGAFQWSA-N 0 1 291.351 0.584 20 30 CCEDMN N#CCN1CCC(F)(F)[C@@H](CNC(=O)Cc2cnc[nH]2)C1 ZINC001271102122 814151370 /nfs/dbraw/zinc/15/13/70/814151370.db2.gz XYGARMWSZKDFRQ-JTQLQIEISA-N 0 1 297.309 0.549 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(C)C2)[C@H]1CC ZINC001087592774 814172558 /nfs/dbraw/zinc/17/25/58/814172558.db2.gz XRIMVWVNWQJLRU-FRRDWIJNSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc3n(n2)CCO3)[C@H]1CC ZINC001087603810 814176277 /nfs/dbraw/zinc/17/62/77/814176277.db2.gz JOHDQQZKIXEYSR-WCQYABFASA-N 0 1 288.351 0.491 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@]2(C)CCNC2=O)[C@H]1CC ZINC001087723115 814196595 /nfs/dbraw/zinc/19/65/95/814196595.db2.gz CKKCSIXLZZTEKH-WOSRLPQWSA-N 0 1 291.395 0.505 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)CN2CCC[C@H]2C)CCN1CC#N ZINC001087725456 814198514 /nfs/dbraw/zinc/19/85/14/814198514.db2.gz PKGSGZKMZVQLIT-HZSPNIEDSA-N 0 1 278.400 0.963 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2c(C)[nH]nc2Cl)C1 ZINC001271152579 814217959 /nfs/dbraw/zinc/21/79/59/814217959.db2.gz MKWCLJQYJBLBHX-CYBMUJFWSA-N 0 1 296.758 0.171 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC2(C[C@@H]2C(N)=O)C1 ZINC001271157645 814234111 /nfs/dbraw/zinc/23/41/11/814234111.db2.gz ONASIXWTCMATKF-GXSJLCMTSA-N 0 1 289.360 0.764 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CCN(CC(=O)N(C)C)C[C@H]2C1 ZINC001087911400 814268156 /nfs/dbraw/zinc/26/81/56/814268156.db2.gz UFKITOBCBPHKMB-UPJWGTAASA-N 0 1 292.383 0.015 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C[C@H]2CC=CCC2)C1 ZINC001271176510 814317219 /nfs/dbraw/zinc/31/72/19/814317219.db2.gz TWASITWEBZFVJS-HOCLYGCPSA-N 0 1 276.380 0.919 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)nc1 ZINC001059459029 814318076 /nfs/dbraw/zinc/31/80/76/814318076.db2.gz YPEGGUYKNTVWSJ-MGCOHNPYSA-N 0 1 283.295 0.444 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CCN([C@@H](C)C(N)=O)C[C@H]2C1 ZINC001088066472 814325997 /nfs/dbraw/zinc/32/59/97/814325997.db2.gz LRZFVBNTNVWYFY-XQQFMLRXSA-N 0 1 293.411 0.853 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CCCCC[C@@H](NCC#N)C1 ZINC001088278544 814567778 /nfs/dbraw/zinc/56/77/78/814567778.db2.gz XGSWPWILUOTKNW-KGLIPLIRSA-N 0 1 278.400 0.965 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CN(CC(=O)NC)C[C@H]2C1 ZINC001271873346 814617887 /nfs/dbraw/zinc/61/78/87/814617887.db2.gz FTLKKJMJVGBORM-MELADBBJSA-N 0 1 293.411 0.725 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)Cn2cccn2)C1 ZINC001271939817 814636585 /nfs/dbraw/zinc/63/65/85/814636585.db2.gz GHWYWCXCWDEQJZ-AWEZNQCLSA-N 0 1 278.356 0.012 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCN(C)CCN1CCCC1=O ZINC001272004326 814697850 /nfs/dbraw/zinc/69/78/50/814697850.db2.gz FXLQBAFCWYUWDZ-UHFFFAOYSA-N 0 1 293.411 0.658 20 30 CCEDMN C#C[C@H](Oc1nc(Cl)nc2nc[nH]c21)C(=O)OCC ZINC001228507223 814716538 /nfs/dbraw/zinc/71/65/38/814716538.db2.gz JNGIMRINLQCXLD-LURJTMIESA-N 0 1 280.671 0.950 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cc[nH]n2)[C@H]1C ZINC001088502641 814751004 /nfs/dbraw/zinc/75/10/04/814751004.db2.gz SIWGZPKBEBMDHY-YPMHNXCESA-N 0 1 260.341 0.555 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@H]1C ZINC001088536408 814858204 /nfs/dbraw/zinc/85/82/04/814858204.db2.gz UIJJWFPCHDOLNY-MNOVXSKESA-N 0 1 259.309 0.613 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncccc2O)[C@H]1C ZINC001088536408 814858211 /nfs/dbraw/zinc/85/82/11/814858211.db2.gz UIJJWFPCHDOLNY-MNOVXSKESA-N 0 1 259.309 0.613 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cccc2ncnn21 ZINC001272054818 814888479 /nfs/dbraw/zinc/88/84/79/814888479.db2.gz DSXJYVZMCKXEDM-UHFFFAOYSA-N 0 1 271.324 0.366 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnc4n3CCC4)[C@@H]2C1 ZINC001075898445 814901369 /nfs/dbraw/zinc/90/13/69/814901369.db2.gz QZPUOAHSFHDNAJ-GXTWGEPZSA-N 0 1 284.363 0.609 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](OC)[C@@H]1CCOC1 ZINC001272074421 814910591 /nfs/dbraw/zinc/91/05/91/814910591.db2.gz AXKYVTCKCCEOGW-OLZOCXBDSA-N 0 1 268.357 0.061 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CCc1nccn1C ZINC001272075034 814914360 /nfs/dbraw/zinc/91/43/60/814914360.db2.gz GYFHQXADCFUBOQ-UHFFFAOYSA-N 0 1 276.384 0.766 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1ocnc1C ZINC001127045790 815600638 /nfs/dbraw/zinc/60/06/38/815600638.db2.gz HGGIJDDBCFUYPK-NSHDSACASA-N 0 1 265.313 0.227 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)[C@@H](O)C1 ZINC001083663649 815633281 /nfs/dbraw/zinc/63/32/81/815633281.db2.gz GBFWJFSJMNSZJX-RBZJEDDUSA-N 0 1 290.407 0.997 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C1CC(OC)C1 ZINC001038390055 815679654 /nfs/dbraw/zinc/67/96/54/815679654.db2.gz YTUIVAMCIHQBCO-CPCZMJQVSA-N 0 1 250.342 0.625 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@@H]2Cc3ccccc3CN2)CCO1 ZINC000093934144 815766941 /nfs/dbraw/zinc/76/69/41/815766941.db2.gz NZSIMHZYQQIEEH-KBPBESRZSA-N 0 1 271.320 0.452 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2c(C)n[nH]c2C)[C@H]1C ZINC001089034679 816220133 /nfs/dbraw/zinc/22/01/33/816220133.db2.gz JEAKFHJNCDOGHM-OCCSQVGLSA-N 0 1 274.368 0.781 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)NC1CN(CC#N)C1 ZINC001030697129 816230830 /nfs/dbraw/zinc/23/08/30/816230830.db2.gz KOTLDLKDAVOIBT-ZANVPECISA-N 0 1 273.340 0.400 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(=O)C(C)C)C1=O ZINC001234081414 816478342 /nfs/dbraw/zinc/47/83/42/816478342.db2.gz DVKQJGWEVRQAEO-ZDUSSCGKSA-N 0 1 281.400 0.867 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCCC2(C)C)[C@@H](O)C1 ZINC001083707912 816529889 /nfs/dbraw/zinc/52/98/89/816529889.db2.gz ABUZFGYLGXOTBP-MJBXVCDLSA-N 0 1 278.396 0.997 20 30 CCEDMN Cc1nc2cccc(C(=O)NC3CN(CC#N)C3)c2[nH]1 ZINC001031204448 816794286 /nfs/dbraw/zinc/79/42/86/816794286.db2.gz RSEDXQXKJDHCTL-UHFFFAOYSA-N 0 1 269.308 0.809 20 30 CCEDMN CCN(CCNc1ccnc(C#N)n1)C(=O)c1cnc(C)[nH]1 ZINC001106706021 816858266 /nfs/dbraw/zinc/85/82/66/816858266.db2.gz QBFUTWQAZBXCBS-UHFFFAOYSA-N 0 1 299.338 0.376 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001031251330 816892755 /nfs/dbraw/zinc/89/27/55/816892755.db2.gz YJYQSMQROAWTKC-JTQLQIEISA-N 0 1 275.356 0.286 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001031251330 816892761 /nfs/dbraw/zinc/89/27/61/816892761.db2.gz YJYQSMQROAWTKC-JTQLQIEISA-N 0 1 275.356 0.286 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(C[C@H](C)OC)C[C@@H]2O)CC1 ZINC001083754568 817003174 /nfs/dbraw/zinc/00/31/74/817003174.db2.gz XKXYNTFGYVLOSD-CFVMTHIKSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCN1CC(NC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001031390072 817024976 /nfs/dbraw/zinc/02/49/76/817024976.db2.gz HDZWLZCQAGSMIV-UHFFFAOYSA-N 0 1 272.352 0.726 20 30 CCEDMN COC[C@@H](C)N1C[C@@H](NC(=O)C#CC(C)C)[C@H](OC)C1 ZINC001212241713 817180419 /nfs/dbraw/zinc/18/04/19/817180419.db2.gz WPQKEYPRHUSXDC-MGPQQGTHSA-N 0 1 282.384 0.496 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2nn(C)cc2C)C1 ZINC001031663897 817228027 /nfs/dbraw/zinc/22/80/27/817228027.db2.gz MFGZBGUREKMORW-UHFFFAOYSA-N 0 1 260.341 0.413 20 30 CCEDMN CO[C@@H]1CN(CCC[C@@H](C)O)C[C@H]1NC(=O)C#CC1CC1 ZINC001212251025 817235554 /nfs/dbraw/zinc/23/55/54/817235554.db2.gz NGKROPRLDCOBSC-BPLDGKMQSA-N 0 1 294.395 0.376 20 30 CCEDMN CO[C@@H](C)CN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](OC)C1 ZINC001212254503 817250018 /nfs/dbraw/zinc/25/00/18/817250018.db2.gz YQCUKAAYRIZRFG-BFHYXJOUSA-N 0 1 296.411 0.886 20 30 CCEDMN CO[C@H](C)CN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@H](OC)C1 ZINC001212254504 817250618 /nfs/dbraw/zinc/25/06/18/817250618.db2.gz YQCUKAAYRIZRFG-MGPQQGTHSA-N 0 1 296.411 0.886 20 30 CCEDMN CCN(c1ncccc1C#N)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001089682246 817312895 /nfs/dbraw/zinc/31/28/95/817312895.db2.gz BLNJBWNOPIGXNL-SNVBAGLBSA-N 0 1 299.338 0.716 20 30 CCEDMN CCN(c1ncccc1C#N)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001089682246 817312902 /nfs/dbraw/zinc/31/29/02/817312902.db2.gz BLNJBWNOPIGXNL-SNVBAGLBSA-N 0 1 299.338 0.716 20 30 CCEDMN CCN(c1ccc(C#N)cn1)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001089773728 817342120 /nfs/dbraw/zinc/34/21/20/817342120.db2.gz TWQPKYJHZSHJML-SNVBAGLBSA-N 0 1 299.338 0.716 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](OCC)C2CC2)[C@H](OC)C1 ZINC001212289236 817343083 /nfs/dbraw/zinc/34/30/83/817343083.db2.gz BTXMKALJQUIYAK-RBSFLKMASA-N 0 1 294.395 0.640 20 30 CCEDMN C=CCCN1CC(CNC(=O)c2cc(=O)c(OC)co2)C1 ZINC001031848644 817413222 /nfs/dbraw/zinc/41/32/22/817413222.db2.gz GHCNVHGWOJIQET-UHFFFAOYSA-N 0 1 292.335 0.886 20 30 CCEDMN N#CCN1CC(CNC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001031895292 817448370 /nfs/dbraw/zinc/44/83/70/817448370.db2.gz VBKXQQCTGHFSDI-UHFFFAOYSA-N 0 1 296.334 0.657 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCN[C@H](C)c1n[nH]c(C)n1 ZINC001124487817 817485679 /nfs/dbraw/zinc/48/56/79/817485679.db2.gz GTXIEXCLDYFBHG-MNOVXSKESA-N 0 1 295.387 0.861 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@H]1CCOC1 ZINC001124494056 817490462 /nfs/dbraw/zinc/49/04/62/817490462.db2.gz DLFPRPOOMPVJGS-HNNXBMFYSA-N 0 1 272.348 0.780 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCC[C@@H](C(N)=O)C2)C1 ZINC001032020907 817554977 /nfs/dbraw/zinc/55/49/77/817554977.db2.gz YSWSFNHXDOCRBJ-OLZOCXBDSA-N 0 1 279.384 0.512 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(C)nc(C)n2)C1 ZINC001032049957 817579854 /nfs/dbraw/zinc/57/98/54/817579854.db2.gz CVBQHRFYAWZMNA-UHFFFAOYSA-N 0 1 272.352 0.778 20 30 CCEDMN CCc1nc([C@H](C)NCCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001124904048 817628917 /nfs/dbraw/zinc/62/89/17/817628917.db2.gz VSDQPERJTOZONP-IUCAKERBSA-N 0 1 264.333 0.294 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnn(C)c2OC)C1 ZINC001032152748 817666437 /nfs/dbraw/zinc/66/64/37/817666437.db2.gz JAKWMQMMEJSFRO-UHFFFAOYSA-N 0 1 278.356 0.666 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CNc1ncnc2[nH]cnc21 ZINC001107705925 817951137 /nfs/dbraw/zinc/95/11/37/817951137.db2.gz QPDIIZVEDOUIPI-SNVBAGLBSA-N 0 1 286.339 0.881 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nn1)NC(=O)CN1CCCC1 ZINC001107768855 817996068 /nfs/dbraw/zinc/99/60/68/817996068.db2.gz LFRWRTRVIRXKEG-LLVKDONJSA-N 0 1 288.355 0.361 20 30 CCEDMN C#CC[NH2+][C@@H]1C[C@@H](NC(=O)c2[n-]nnc2C)C12CCC2 ZINC001078695913 818035262 /nfs/dbraw/zinc/03/52/62/818035262.db2.gz SDQFDNZPMILYHT-GHMZBOCLSA-N 0 1 273.340 0.377 20 30 CCEDMN N#CCN[C@@H]1C[C@@H](NC(=O)Cc2cnc[nH]2)C12CCC2 ZINC001078734976 818037670 /nfs/dbraw/zinc/03/76/70/818037670.db2.gz WNSMORNQIXGLKG-VXGBXAGGSA-N 0 1 273.340 0.493 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN2CCCC2=O)C1 ZINC001032894276 818343420 /nfs/dbraw/zinc/34/34/20/818343420.db2.gz VNHMOAANCLQQDE-LBPRGKRZSA-N 0 1 265.357 0.328 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnsn2)C1 ZINC001032915678 818358130 /nfs/dbraw/zinc/35/81/30/818358130.db2.gz GBSHTAJDPFRRTC-VIFPVBQESA-N 0 1 252.343 0.870 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccoc2C)[C@H](O)C1 ZINC001090054096 818373174 /nfs/dbraw/zinc/37/31/74/818373174.db2.gz HPKGVQJLJBXJHU-QWHCGFSZSA-N 0 1 264.325 0.939 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2nnn(C)c2C)C1 ZINC001032971377 818396726 /nfs/dbraw/zinc/39/67/26/818396726.db2.gz YHBPDISGNAQFNO-LLVKDONJSA-N 0 1 263.345 0.456 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C)no2)[C@@H](O)C1 ZINC001090058503 818413064 /nfs/dbraw/zinc/41/30/64/818413064.db2.gz SDTWSNWPDNVNRV-RYUDHWBXSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCN1C[C@@]2(F)CN(Cc3cnc[nH]3)C[C@@]2(F)C1=O ZINC001273023248 818454931 /nfs/dbraw/zinc/45/49/31/818454931.db2.gz FKBQMJUVUQYISK-QWHCGFSZSA-N 0 1 280.278 0.117 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2occc2C)[C@@H](O)C1 ZINC001090078585 818469728 /nfs/dbraw/zinc/46/97/28/818469728.db2.gz KMSUNYSHXCBSJE-RYUDHWBXSA-N 0 1 264.325 0.939 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cn2cccc(C)c2=O)C1 ZINC001033074886 818493595 /nfs/dbraw/zinc/49/35/95/818493595.db2.gz CGDQZAZWOFXELS-AWEZNQCLSA-N 0 1 287.363 0.323 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cn3c(n2)CCC3)C1 ZINC001033090060 818510805 /nfs/dbraw/zinc/51/08/05/818510805.db2.gz KUZXFHAUEVEPRG-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CC)n[nH]2)[C@H](O)C1 ZINC001090100888 818516566 /nfs/dbraw/zinc/51/65/66/818516566.db2.gz USWGHLZKDAPUHP-DGCLKSJQSA-N 0 1 278.356 0.323 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033122022 818548463 /nfs/dbraw/zinc/54/84/63/818548463.db2.gz DYNQUSDXXAETFN-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033122019 818548776 /nfs/dbraw/zinc/54/87/76/818548776.db2.gz DYNQUSDXXAETFN-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN CSc1ncc(C#N)c(NC(=N)N2CCN(C)CC2)n1 ZINC001168736853 818552278 /nfs/dbraw/zinc/55/22/78/818552278.db2.gz RPGVJVZBFTUPJN-UHFFFAOYSA-N 0 1 291.384 0.086 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2COCCN2CC)C1 ZINC001033213242 818655829 /nfs/dbraw/zinc/65/58/29/818655829.db2.gz VVZVJHAJBNRPIT-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccnc3n[nH]nc32)C1 ZINC001033257820 818679046 /nfs/dbraw/zinc/67/90/46/818679046.db2.gz VHVCQLCNQSUTRO-NSHDSACASA-N 0 1 298.350 0.523 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CCO[C@H]2C(=C)C)[C@@H](O)C1 ZINC001083853111 818701753 /nfs/dbraw/zinc/70/17/53/818701753.db2.gz CMRDWHAINHVZPO-XGUBFFRZSA-N 0 1 294.395 0.705 20 30 CCEDMN N#Cc1cnccc1N1CC[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001061635506 818703434 /nfs/dbraw/zinc/70/34/34/818703434.db2.gz WAVGRZRCNRERHG-JTQLQIEISA-N 0 1 297.322 0.328 20 30 CCEDMN N#Cc1cnccc1N1CC[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001061635506 818703437 /nfs/dbraw/zinc/70/34/37/818703437.db2.gz WAVGRZRCNRERHG-JTQLQIEISA-N 0 1 297.322 0.328 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001033325741 818711076 /nfs/dbraw/zinc/71/10/76/818711076.db2.gz ZFNMNXBYXDDVDN-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cn2ccc(C)n2)C1 ZINC001033433287 818769461 /nfs/dbraw/zinc/76/94/61/818769461.db2.gz WQYCMIJJXZWBFE-CQSZACIVSA-N 0 1 274.368 0.748 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cn2ccc(C)cc2=O)C1 ZINC001033469082 818790340 /nfs/dbraw/zinc/79/03/40/818790340.db2.gz CGNYRMUDTGQJAZ-AWEZNQCLSA-N 0 1 289.379 0.875 20 30 CCEDMN CN(C(=O)[C@H]1CCc2[nH]cnc2C1)[C@@H]1CCN(CC#N)C1 ZINC001033561800 818832615 /nfs/dbraw/zinc/83/26/15/818832615.db2.gz JVLZSOLIFSMJHE-NWDGAFQWSA-N 0 1 287.367 0.571 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cnn(CC)c2)C1 ZINC001033574230 818834898 /nfs/dbraw/zinc/83/48/98/818834898.db2.gz SCDGLJWDOIHBJS-CQSZACIVSA-N 0 1 274.368 0.611 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001033570345 818838504 /nfs/dbraw/zinc/83/85/04/818838504.db2.gz GRMRONCPPKTQLS-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001033687587 818886683 /nfs/dbraw/zinc/88/66/83/818886683.db2.gz ABYIOOHLGZFTNM-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](N(CC)C(=O)[C@H]2CN(C)CCO2)C1 ZINC001033789890 818934816 /nfs/dbraw/zinc/93/48/16/818934816.db2.gz QZJSSOAOQITRRU-HUUCEWRRSA-N 0 1 295.427 0.816 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cnn(C)c2N)C1 ZINC001033810866 818945950 /nfs/dbraw/zinc/94/59/50/818945950.db2.gz PYLNVZVTLFQYTB-LLVKDONJSA-N 0 1 277.372 0.725 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2ncccc2[O-])C1 ZINC001033803153 818948627 /nfs/dbraw/zinc/94/86/27/818948627.db2.gz FFJGUFAPJGCOKR-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2ncccc2O)C1 ZINC001033803153 818948631 /nfs/dbraw/zinc/94/86/31/818948631.db2.gz FFJGUFAPJGCOKR-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2C)[C@@H](O)C1 ZINC001090150707 818987707 /nfs/dbraw/zinc/98/77/07/818987707.db2.gz OUNTVIAEXLGTPA-STQMWFEESA-N 0 1 290.367 0.215 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCNC2=O)C1 ZINC001033920229 818994526 /nfs/dbraw/zinc/99/45/26/818994526.db2.gz RKPFQKOFBQHDGM-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033934478 819009232 /nfs/dbraw/zinc/00/92/32/819009232.db2.gz KSYAEURBHYNJCI-QWHCGFSZSA-N 0 1 279.384 0.716 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)CCC#N)CC1 ZINC001143197744 819045970 /nfs/dbraw/zinc/04/59/70/819045970.db2.gz YXVCWMGZEYOCOF-JTQLQIEISA-N 0 1 266.297 0.661 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001034088165 819064100 /nfs/dbraw/zinc/06/41/00/819064100.db2.gz VYUQCZMFQMFMSI-NSHDSACASA-N 0 1 274.324 0.400 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001034109169 819079604 /nfs/dbraw/zinc/07/96/04/819079604.db2.gz JIVZRQNCUCKCBT-KBPBESRZSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H](C)n2cncn2)C1 ZINC001034171052 819107304 /nfs/dbraw/zinc/10/73/04/819107304.db2.gz HRZILYQWPXLXTO-STQMWFEESA-N 0 1 275.356 0.443 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2COC(=O)N2)C1 ZINC001034191732 819113703 /nfs/dbraw/zinc/11/37/03/819113703.db2.gz RCBKSZPLIKNEND-RYUDHWBXSA-N 0 1 279.340 0.089 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2n[nH]cc2C)C1 ZINC001034195493 819120846 /nfs/dbraw/zinc/12/08/46/819120846.db2.gz IBIQUXTUGYOIFP-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnn3ncccc23)C1 ZINC001034244984 819138183 /nfs/dbraw/zinc/13/81/83/819138183.db2.gz JYCDHXPDGIYLNI-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001034244942 819138452 /nfs/dbraw/zinc/13/84/52/819138452.db2.gz SPLWRFKOCNGBAX-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001034347892 819180056 /nfs/dbraw/zinc/18/00/56/819180056.db2.gz UWFOGFQUQUECQS-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001034443082 819205602 /nfs/dbraw/zinc/20/56/02/819205602.db2.gz WDCSWFSIVPPNDQ-ZIAGYGMSSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)sn2)[C@H](O)C1 ZINC001090227652 819277790 /nfs/dbraw/zinc/27/77/90/819277790.db2.gz MXCGJLMYVRKVRW-CMPLNLGQSA-N 0 1 281.381 0.803 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)C#CC2CC2)[C@@H](n2ccnn2)C1 ZINC001128989592 819300738 /nfs/dbraw/zinc/30/07/38/819300738.db2.gz PYFRPNCAXSIAKX-CABCVRRESA-N 0 1 299.378 0.609 20 30 CCEDMN C#CCN1CCO[C@@H](CNC(=O)c2cccc3nc[nH]c32)C1 ZINC001035561292 819570744 /nfs/dbraw/zinc/57/07/44/819570744.db2.gz YWWLQFURAUDDEL-LBPRGKRZSA-N 0 1 298.346 0.627 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cc(C)nn1C)CC2 ZINC001035662423 819597330 /nfs/dbraw/zinc/59/73/30/819597330.db2.gz XQKAEHDPPXQCEI-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C1=COCCO1)CC2 ZINC001035643113 819598717 /nfs/dbraw/zinc/59/87/17/819598717.db2.gz YOGNPDASYPYGGJ-UHFFFAOYSA-N 0 1 276.336 0.432 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](O)C(C)C)CC2 ZINC001035697464 819610235 /nfs/dbraw/zinc/61/02/35/819610235.db2.gz WFHASAUTDMADEU-CYBMUJFWSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1c(C)ncn1C)CC2 ZINC001035728269 819616688 /nfs/dbraw/zinc/61/66/88/819616688.db2.gz JSPVAMXDSPLVAZ-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1cn(C)c(=O)[nH]1)CC2 ZINC001035785989 819624976 /nfs/dbraw/zinc/62/49/76/819624976.db2.gz YCENJOXHCMLZEQ-UHFFFAOYSA-N 0 1 290.367 0.850 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1[nH]cnc1C)CC2 ZINC001035863932 819639472 /nfs/dbraw/zinc/63/94/72/819639472.db2.gz NUQIUBHXPFOZLJ-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN C#CC1(O)CCN(C[C@@](C)(O)C(F)(F)F)CC1 ZINC000708142950 819760582 /nfs/dbraw/zinc/76/05/82/819760582.db2.gz QPOJXIRXSMISMO-SECBINFHSA-N 0 1 251.248 0.760 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC[C@@H](CO)[C@H]1CO ZINC000709835090 819813588 /nfs/dbraw/zinc/81/35/88/819813588.db2.gz GPMASIJHMFFNJB-UONOGXRCSA-N 0 1 282.384 0.252 20 30 CCEDMN C=CCNC(=O)N1CCc2sc(CN)nc2C1 ZINC000710057796 819820441 /nfs/dbraw/zinc/82/04/41/819820441.db2.gz MVVDTDSLOFVYSI-UHFFFAOYSA-N 0 1 252.343 0.856 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)c3ccn[nH]3)CC[C@H]21 ZINC001036656799 819872872 /nfs/dbraw/zinc/87/28/72/819872872.db2.gz JBEGJYFHUHSAMR-CMPLNLGQSA-N 0 1 259.313 0.470 20 30 CCEDMN N#Cc1cccnc1N1C[C@@H]2C[C@H]1CN2C(=O)c1ccn[nH]1 ZINC001062450935 819948818 /nfs/dbraw/zinc/94/88/18/819948818.db2.gz VIQFBISLKZSFHP-RYUDHWBXSA-N 0 1 294.318 0.780 20 30 CCEDMN CNC(=O)CN1CC[C@@H]2CN(C(=O)C#CC3CC3)CC[C@@H]21 ZINC001036711772 820102345 /nfs/dbraw/zinc/10/23/45/820102345.db2.gz IDMCLVFPIOTJTI-KGLIPLIRSA-N 0 1 289.379 0.069 20 30 CCEDMN N#Cc1cncc(NC[C@@H]2CCCN2C(=O)c2ccn[nH]2)n1 ZINC001064867521 820262110 /nfs/dbraw/zinc/26/21/10/820262110.db2.gz VIZAFFSPHHKZKY-NSHDSACASA-N 0 1 297.322 0.788 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)ncn2C)[C@@H](O)C1 ZINC001090340240 820279099 /nfs/dbraw/zinc/27/90/99/820279099.db2.gz XSUIHXSVDRZZQT-NEPJUHHUSA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccsn2)[C@H](O)C1 ZINC001090353131 820292662 /nfs/dbraw/zinc/29/26/62/820292662.db2.gz XJPQMGFHYRZXKM-GXSJLCMTSA-N 0 1 267.354 0.494 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCOCC)[C@H](O)C1 ZINC001099698675 820321619 /nfs/dbraw/zinc/32/16/19/820321619.db2.gz SDPLVGWMSKRWIK-CHWSQXEVSA-N 0 1 270.373 0.541 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cn2cc(C)cn2)C1 ZINC001079465990 820401620 /nfs/dbraw/zinc/40/16/20/820401620.db2.gz NBWBEOLRKRHAAB-ZIAGYGMSSA-N 0 1 274.368 0.651 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3ncccc23)C1 ZINC001079861867 820479410 /nfs/dbraw/zinc/47/94/10/820479410.db2.gz SUASTMYQKOGTTR-DGCLKSJQSA-N 0 1 285.351 0.965 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2COCCN2CC)C1 ZINC001079999700 820496779 /nfs/dbraw/zinc/49/67/79/820496779.db2.gz SSJWUHWMTBGJFL-KFWWJZLASA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnc3[nH]c(C)nc32)C1 ZINC001080171717 820525675 /nfs/dbraw/zinc/52/56/75/820525675.db2.gz YJMACBHDPWGZAY-ZWNOBZJWSA-N 0 1 297.362 0.950 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCCOC)[C@H](O)C1 ZINC001099711927 820552925 /nfs/dbraw/zinc/55/29/25/820552925.db2.gz HVKFENZUOKUXRF-CHWSQXEVSA-N 0 1 270.373 0.541 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)[C@@H]1CCCc2[nH]cnc21 ZINC001080419110 820572081 /nfs/dbraw/zinc/57/20/81/820572081.db2.gz LRFHPZYVZURAGI-NQBHXWOUSA-N 0 1 287.367 0.790 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(=O)N2CCC(C)CC2)C1 ZINC001080568414 820593629 /nfs/dbraw/zinc/59/36/29/820593629.db2.gz UHLPXPYREHSMRS-ZIAGYGMSSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001080745505 820630857 /nfs/dbraw/zinc/63/08/57/820630857.db2.gz HULKSWRBHVBIJR-YNEHKIRRSA-N 0 1 279.384 0.477 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCNCc1cnn(C)n1 ZINC001164223142 820671092 /nfs/dbraw/zinc/67/10/92/820671092.db2.gz PXIBUJGATSRDFZ-VXGBXAGGSA-N 0 1 279.388 0.869 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2cc(C)on2)[C@H](OC)C1 ZINC001081454416 820764238 /nfs/dbraw/zinc/76/42/38/820764238.db2.gz XSDGEGWPXSKQBL-ZIAGYGMSSA-N 0 1 291.351 0.364 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CC(OCC)C2)[C@H](OC)C1 ZINC001081625951 820798361 /nfs/dbraw/zinc/79/83/61/820798361.db2.gz NOCKKJNAJYEAEY-NEXFUWMNSA-N 0 1 294.395 0.640 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCCOCC2)[C@H](OC)C1 ZINC001081605311 820806517 /nfs/dbraw/zinc/80/65/17/820806517.db2.gz KHLPZBKDKZAAJY-RBSFLKMASA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2ccncc2C)[C@H](OC)C1 ZINC001081670289 820816846 /nfs/dbraw/zinc/81/68/46/820816846.db2.gz MVCNRSOZUYSUSM-HUUCEWRRSA-N 0 1 289.379 0.934 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCCCN1C(=O)c1ccn[nH]1 ZINC001081900467 820858194 /nfs/dbraw/zinc/85/81/94/820858194.db2.gz NMPSFPNNCBPFIY-MNOVXSKESA-N 0 1 289.339 0.680 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@]2(C)CCOC2)[C@H](OC)C1 ZINC001082274521 820929708 /nfs/dbraw/zinc/92/97/08/820929708.db2.gz LWRWTLKQGSOEBV-UMVBOHGHSA-N 0 1 282.384 0.805 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2[nH]cnc2C)[C@H](OC)C1 ZINC001082345651 820938111 /nfs/dbraw/zinc/93/81/11/820938111.db2.gz VSILEWDYRAXTKN-ZIAGYGMSSA-N 0 1 292.383 0.652 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2[nH]cnc2C)[C@H](OC)C1 ZINC001082345974 820939167 /nfs/dbraw/zinc/93/91/67/820939167.db2.gz KHRISELAPLLPBM-ZIAGYGMSSA-N 0 1 290.367 0.099 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CCCc3[nH]ncc32)C[C@H]1NCC#N ZINC001082512736 820990117 /nfs/dbraw/zinc/99/01/17/820990117.db2.gz NDOGBORHTCAVIS-JTNHKYCSSA-N 0 1 287.367 0.790 20 30 CCEDMN C=CCOCCCC(=O)N[C@H]1CCN(CC=C)C[C@H]1O ZINC001099764364 821039747 /nfs/dbraw/zinc/03/97/47/821039747.db2.gz UYRWSSGRZVNRDU-UONOGXRCSA-N 0 1 282.384 0.707 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)CSC)[C@H]2C1 ZINC001082993410 821097415 /nfs/dbraw/zinc/09/74/15/821097415.db2.gz WPTYDGFQJUYEFF-NWDGAFQWSA-N 0 1 270.398 0.837 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)C[C@@H]3CCOC3)[C@H]2C1 ZINC001083031896 821116301 /nfs/dbraw/zinc/11/63/01/821116301.db2.gz XEIIYIMWFSDDOH-SOUVJXGZSA-N 0 1 294.395 0.901 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)Cc3cc[nH]n3)[C@H]2C1 ZINC001083032635 821122047 /nfs/dbraw/zinc/12/20/47/821122047.db2.gz HKWNYSWKVXUJAZ-UONOGXRCSA-N 0 1 290.367 0.440 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)Cc3ccn[nH]3)[C@H]2C1 ZINC001083032635 821122062 /nfs/dbraw/zinc/12/20/62/821122062.db2.gz HKWNYSWKVXUJAZ-UONOGXRCSA-N 0 1 290.367 0.440 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnnc2C)[C@H](O)C1 ZINC001090396943 821156284 /nfs/dbraw/zinc/15/62/84/821156284.db2.gz QHFDUTLGRIZZGH-CHWSQXEVSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C)cc2)[C@@H](O)C1 ZINC001083986556 821167575 /nfs/dbraw/zinc/16/75/75/821167575.db2.gz PFPRZKQDZOOCIV-CABCVRRESA-N 0 1 272.348 0.793 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(=O)[nH]c2)[C@@H](O)C1 ZINC001084019883 821178394 /nfs/dbraw/zinc/17/83/94/821178394.db2.gz NQDNSGZYIWKVHX-NEPJUHHUSA-N 0 1 277.324 0.138 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)C2CCOCC2)[C@@H](O)C1 ZINC001084130395 821203277 /nfs/dbraw/zinc/20/32/77/821203277.db2.gz WRYPFYIZWPHCTB-YUELXQCFSA-N 0 1 296.411 0.787 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cnc(C)cn3)[C@@H]2C1 ZINC001084166607 821204104 /nfs/dbraw/zinc/20/41/04/821204104.db2.gz JQIKAESSGDYCJX-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCCO3)[C@@H]2C1 ZINC001084172094 821222879 /nfs/dbraw/zinc/22/28/79/821222879.db2.gz BEFHPCQLOAFFNF-JHJVBQTASA-N 0 1 250.342 0.884 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCOC3)[C@@H]2C1 ZINC001084219081 821244893 /nfs/dbraw/zinc/24/48/93/821244893.db2.gz PLRDLJUYRSBDPM-JHJVBQTASA-N 0 1 250.342 0.742 20 30 CCEDMN Cc1nc(CN2CC[C@@H]3CN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n[nH]1 ZINC001084236799 821250375 /nfs/dbraw/zinc/25/03/75/821250375.db2.gz RAJBDQMZDMLWTE-MVWJERBFSA-N 0 1 288.355 0.306 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C[C@@H]3CCC(=O)NC3)[C@@H]2C1 ZINC001084400259 821287204 /nfs/dbraw/zinc/28/72/04/821287204.db2.gz OXRWJUOMPGIQQG-BFHYXJOUSA-N 0 1 291.395 0.621 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3cnc[nH]c3=O)[C@@H]2C1 ZINC001084437957 821295675 /nfs/dbraw/zinc/29/56/75/821295675.db2.gz JHWGLYNJTHTIIF-DGCLKSJQSA-N 0 1 286.335 0.352 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3cnoc3)[C@@H]2C1 ZINC001084601050 821321565 /nfs/dbraw/zinc/32/15/65/821321565.db2.gz RJIRIOBJWTVHCN-ZIAGYGMSSA-N 0 1 273.336 0.773 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN(CC=C)C[C@H]1O ZINC001099807434 821323318 /nfs/dbraw/zinc/32/33/18/821323318.db2.gz QAZUMBXIDRUOCC-CHWSQXEVSA-N 0 1 250.342 0.527 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3cnc[nH]3)[C@@H]2C1 ZINC001084687891 821350401 /nfs/dbraw/zinc/35/04/01/821350401.db2.gz ZKKAFUMLDTWKDV-TZMCWYRMSA-N 0 1 272.352 0.508 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1)C(C)C ZINC001119865115 821442148 /nfs/dbraw/zinc/44/21/48/821442148.db2.gz KCZJQXHDQLJUFE-STQMWFEESA-N 0 1 291.395 0.598 20 30 CCEDMN C=CCCC(=O)N(C)C[C@H]1CCN1C[C@@H](O)COC ZINC001231170908 821503154 /nfs/dbraw/zinc/50/31/54/821503154.db2.gz VPCFXONMESZYPY-CHWSQXEVSA-N 0 1 270.373 0.493 20 30 CCEDMN Cc1nonc1CNCCCNC(=O)CSCC#N ZINC001154802939 821668557 /nfs/dbraw/zinc/66/85/57/821668557.db2.gz PXWXTXJGOPEGIR-UHFFFAOYSA-N 0 1 283.357 0.231 20 30 CCEDMN C#CCN1CC[C@]2(CCC[N@@H+]2Cc2ncccc2O)C1=O ZINC001273325735 821678998 /nfs/dbraw/zinc/67/89/98/821678998.db2.gz QPGRBLHTJMOKHP-MRXNPFEDSA-N 0 1 285.347 0.987 20 30 CCEDMN COCCN1CC[C@@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534290 821774039 /nfs/dbraw/zinc/77/40/39/821774039.db2.gz HFIZHABGTDUHFD-GFCCVEGCSA-N 0 1 276.340 0.679 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)c1cccc2nn[nH]c21 ZINC001085612941 821852022 /nfs/dbraw/zinc/85/20/22/821852022.db2.gz DRONTLMUPYNQLY-SNVBAGLBSA-N 0 1 284.323 0.628 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)[C@@H]1CCCCN1C ZINC001085673697 821892344 /nfs/dbraw/zinc/89/23/44/821892344.db2.gz CKYPLQYBFNYOME-STQMWFEESA-N 0 1 264.373 0.527 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H](NC(=O)c2cnn[nH]2)CC1 ZINC001066300523 821920649 /nfs/dbraw/zinc/92/06/49/821920649.db2.gz HRYJIKAXERFJOF-NSHDSACASA-N 0 1 291.355 0.882 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCNC1=O ZINC001085762541 821933811 /nfs/dbraw/zinc/93/38/11/821933811.db2.gz KTGWVAIXJTZLDM-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1nc2ncccn2n1 ZINC001085884095 821998623 /nfs/dbraw/zinc/99/86/23/821998623.db2.gz UTUOBQJZYKSPEZ-LBPRGKRZSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1nc2ncccn2n1 ZINC001085884095 821998631 /nfs/dbraw/zinc/99/86/31/821998631.db2.gz UTUOBQJZYKSPEZ-LBPRGKRZSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)c1cnccc1N(C)C ZINC001085930080 822025875 /nfs/dbraw/zinc/02/58/75/822025875.db2.gz NOIVBUTYXVGLAX-CYBMUJFWSA-N 0 1 286.379 0.927 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001086180245 822144972 /nfs/dbraw/zinc/14/49/72/822144972.db2.gz GHTLZINNBDTWMI-OUAUKWLOSA-N 0 1 288.355 0.233 20 30 CCEDMN N#Cc1cnc(NCc2nnc3n2CCCNC3)c(C#N)c1 ZINC001155425376 822151587 /nfs/dbraw/zinc/15/15/87/822151587.db2.gz WJDAQAAFLKUVIO-UHFFFAOYSA-N 0 1 294.322 0.522 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCCN([C@@H](C)C(=O)NC)CC1 ZINC001273411526 822162264 /nfs/dbraw/zinc/16/22/64/822162264.db2.gz RPIPIOZQUXGWPP-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN Cc1nnc([C@H](C)NCCCNC(=O)[C@@H](C)C#N)[nH]1 ZINC001155847861 822264922 /nfs/dbraw/zinc/26/49/22/822264922.db2.gz CWLXALJDSOKSEM-IUCAKERBSA-N 0 1 264.333 0.430 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2ccncn2)C1 ZINC001108294004 822330818 /nfs/dbraw/zinc/33/08/18/822330818.db2.gz RGHPCOZRVMPQAO-HNNXBMFYSA-N 0 1 290.367 0.873 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CNc2cncc(C#N)n2)c1C ZINC001108301793 822347075 /nfs/dbraw/zinc/34/70/75/822347075.db2.gz YPFAWCHMGWLAKO-QMMMGPOBSA-N 0 1 299.338 0.919 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)OCCCC ZINC001113999143 837399806 /nfs/dbraw/zinc/39/98/06/837399806.db2.gz KHMWLKACVAABRV-MQYQWHSLSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)OCCCC ZINC001113999141 837400170 /nfs/dbraw/zinc/40/01/70/837400170.db2.gz KHMWLKACVAABRV-IGQOVBAYSA-N 0 1 264.369 0.871 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)COC ZINC001114045418 837415543 /nfs/dbraw/zinc/41/55/43/837415543.db2.gz ZUSHDEWHVWVKCD-NDBYEHHHSA-N 0 1 250.342 0.339 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1[C@H]2CN(C[C@@H](F)CC)C[C@H]21 ZINC001114047368 837416265 /nfs/dbraw/zinc/41/62/65/837416265.db2.gz FBDZJIXWWBOXLC-CIQGVGRVSA-N 0 1 270.348 0.984 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)CSC ZINC001114054668 837417200 /nfs/dbraw/zinc/41/72/00/837417200.db2.gz PCMLZBPHCSCVLR-IGQOVBAYSA-N 0 1 296.436 0.682 20 30 CCEDMN Cc1nocc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C#N ZINC001114067807 837422669 /nfs/dbraw/zinc/42/26/69/837422669.db2.gz XGTJQBMIWJEMJV-KMLBCRHOSA-N 0 1 274.324 0.689 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2CN(Cc3cnn(C)c3)C[C@H]21 ZINC001114064747 837425230 /nfs/dbraw/zinc/42/52/30/837425230.db2.gz UYJVPYDDAFIUHA-FTYKPCCVSA-N 0 1 273.340 0.126 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C1CC1 ZINC001114104088 837439035 /nfs/dbraw/zinc/43/90/35/837439035.db2.gz WGVSKMVKUWFVEJ-SPWCGHHHSA-N 0 1 276.380 0.729 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC1(C)C ZINC001114143856 837453674 /nfs/dbraw/zinc/45/36/74/837453674.db2.gz VQWAKZJJCMMZIA-RQJABVFESA-N 0 1 276.380 0.729 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1COCCN1C ZINC001157818213 837470220 /nfs/dbraw/zinc/47/02/20/837470220.db2.gz HUADZLQDUWNGTI-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3ccccn3)C[C@H]21 ZINC001114270280 837493130 /nfs/dbraw/zinc/49/31/30/837493130.db2.gz CJBKJJNVSXDSDV-OJLVUWQFSA-N 0 1 299.374 0.666 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1cn(C)nc1C ZINC001129592025 837534040 /nfs/dbraw/zinc/53/40/40/837534040.db2.gz MXVOWBUEXCQDLP-UHFFFAOYSA-N 0 1 270.764 0.729 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@H]1CCCNC1=O ZINC001129953244 837590612 /nfs/dbraw/zinc/59/06/12/837590612.db2.gz GGCPRLHPVOXLOU-HNNXBMFYSA-N 0 1 299.374 0.270 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COCc1cc(C)no1 ZINC001130117878 837699170 /nfs/dbraw/zinc/69/91/70/837699170.db2.gz DWRNVTLSBGUNPL-UHFFFAOYSA-N 0 1 287.747 0.958 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C2CC2)C[C@H]1C ZINC001183746290 844087752 /nfs/dbraw/zinc/08/77/52/844087752.db2.gz TVEMNMNYTXCINB-GFCCVEGCSA-N 0 1 250.342 0.721 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](CNCC#N)[C@@H](C)C2)[nH]1 ZINC001184053234 844147707 /nfs/dbraw/zinc/14/77/07/844147707.db2.gz GBKCYGAURSBMDK-CMPLNLGQSA-N 0 1 275.356 0.930 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)cn1)NC(=O)c1ncn[nH]1 ZINC001108475491 836270825 /nfs/dbraw/zinc/27/08/25/836270825.db2.gz JTUKILVCYWGZHV-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)cn1)NC(=O)c1nc[nH]n1 ZINC001108475491 836270836 /nfs/dbraw/zinc/27/08/36/836270836.db2.gz JTUKILVCYWGZHV-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C=C(C)CCN1CC(N2C[C@H](NC(=O)COC)CC2=O)C1 ZINC001108493490 836310212 /nfs/dbraw/zinc/31/02/12/836310212.db2.gz QQUBCWQGYOQSAA-GFCCVEGCSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCCCN1CC(N2C[C@@H](NC(C)=O)CC2=O)C1 ZINC001108495216 836312807 /nfs/dbraw/zinc/31/28/07/836312807.db2.gz RXCVOUAFAOGEEB-LBPRGKRZSA-N 0 1 265.357 0.374 20 30 CCEDMN COc1ccc(C(=NO)Nc2cc3c(nn2)CNC3)nc1 ZINC001169600742 836371141 /nfs/dbraw/zinc/37/11/41/836371141.db2.gz YDJOVAWIZQHZSK-UHFFFAOYSA-N 0 1 286.295 0.731 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCCCNCc1nnnn1C ZINC001169848410 836459090 /nfs/dbraw/zinc/45/90/90/836459090.db2.gz OIJKSAQMMGGBCV-GHMZBOCLSA-N 0 1 293.375 0.134 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCC)C2 ZINC001108894347 836565688 /nfs/dbraw/zinc/56/56/88/836565688.db2.gz ASQQMQPTNRNRJM-AGIUHOORSA-N 0 1 250.342 0.768 20 30 CCEDMN CCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)C#N)C2 ZINC001109090489 836617921 /nfs/dbraw/zinc/61/79/21/836617921.db2.gz URVYTVOSQBBFRH-RNJOBUHISA-N 0 1 265.357 0.904 20 30 CCEDMN C[C@@H](CN(C)c1ccncc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001109255084 836648016 /nfs/dbraw/zinc/64/80/16/836648016.db2.gz LLLCQISJCNPLDQ-NSHDSACASA-N 0 1 298.350 0.860 20 30 CCEDMN C[C@H](CN(C)c1ccc(C#N)nc1)NC(=O)Cc1cnc[nH]1 ZINC001109255129 836648194 /nfs/dbraw/zinc/64/81/94/836648194.db2.gz MTSRSDJFINTBTE-LLVKDONJSA-N 0 1 298.350 0.860 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCOCC ZINC001109262881 836651360 /nfs/dbraw/zinc/65/13/60/836651360.db2.gz VJCBKVMPLQBRIZ-ILXRZTDVSA-N 0 1 294.395 0.784 20 30 CCEDMN C[C@H](CN(C)c1ccncc1C#N)NC(=O)c1cnn[nH]1 ZINC001109319253 836657737 /nfs/dbraw/zinc/65/77/37/836657737.db2.gz SCOWKYDJTHDXLL-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001109362962 836664881 /nfs/dbraw/zinc/66/48/81/836664881.db2.gz LRYFQCMDIQDLPQ-MQYQWHSLSA-N 0 1 296.390 0.911 20 30 CCEDMN CN(CCCNc1ncccc1C#N)C(=O)Cc1ncn[nH]1 ZINC001109516418 836693665 /nfs/dbraw/zinc/69/36/65/836693665.db2.gz FIRTWUVEKOYBQE-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](COC)OC)C2 ZINC001109696093 836717056 /nfs/dbraw/zinc/71/70/56/836717056.db2.gz QBUVCXUMCHRVOO-MROQNXINSA-N 0 1 268.357 0.555 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](CNc1ncnc2[nH]cnc21)C1CC1 ZINC001109796442 836732120 /nfs/dbraw/zinc/73/21/20/836732120.db2.gz VOMXNDYINURJGT-PSASIEDQSA-N 0 1 299.338 0.771 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(=O)N(C)C)C2 ZINC001109811469 836733190 /nfs/dbraw/zinc/73/31/90/836733190.db2.gz PHEDAKMRBGUZNQ-WOPDTQHZSA-N 0 1 265.357 0.372 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2ccc3cncn3c2)[C@@H](O)C1 ZINC001090435109 836772767 /nfs/dbraw/zinc/77/27/67/836772767.db2.gz FXOTYZGAOYKGRL-KBPBESRZSA-N 0 1 299.334 0.023 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3c[nH+]cn3c2)[C@H](O)C1 ZINC001090436384 836781681 /nfs/dbraw/zinc/78/16/81/836781681.db2.gz QDDDSIPDDJZVEI-LSDHHAIUSA-N 0 1 298.346 0.133 20 30 CCEDMN COc1nc(C)c(NC[C@H]2COCCN2)c(C)c1C#N ZINC001170046312 836787226 /nfs/dbraw/zinc/78/72/26/836787226.db2.gz XPOPSDWNHKEHIV-NSHDSACASA-N 0 1 276.340 0.979 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](NC(=O)c2cc(F)c[nH]2)[C@H](O)C1 ZINC001090438454 836796743 /nfs/dbraw/zinc/79/67/43/836796743.db2.gz MJROQGKERCHZMC-WCQYABFASA-N 0 1 279.315 0.342 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC001112683918 836859209 /nfs/dbraw/zinc/85/92/09/836859209.db2.gz VCIMKKHROGQLGO-OLZOCXBDSA-N 0 1 280.368 0.906 20 30 CCEDMN C=CCOCCN1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC001112757962 836897191 /nfs/dbraw/zinc/89/71/91/836897191.db2.gz ZYQLFTBXJIWMPF-UHFFFAOYSA-N 0 1 293.371 0.084 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCN(CC[C@@H](C)F)CC1 ZINC001112778065 836911278 /nfs/dbraw/zinc/91/12/78/836911278.db2.gz ZKATZYLJBRQCTO-GFCCVEGCSA-N 0 1 283.347 0.018 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC001112909678 836960672 /nfs/dbraw/zinc/96/06/72/836960672.db2.gz VPBWPDVQITYKPV-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCCNC2=O)CC1 ZINC001112987257 836987662 /nfs/dbraw/zinc/98/76/62/836987662.db2.gz AUZUUOCVHDETEQ-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C[C@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1cncc(C#N)n1 ZINC001113116470 837025077 /nfs/dbraw/zinc/02/50/77/837025077.db2.gz IUUCNNXYSGQOJB-YUMQZZPRSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1cncc(C#N)n1 ZINC001113116470 837025086 /nfs/dbraw/zinc/02/50/86/837025086.db2.gz IUUCNNXYSGQOJB-YUMQZZPRSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001113356743 837100710 /nfs/dbraw/zinc/10/07/10/837100710.db2.gz LUSCOHKYHYJHCL-YUMQZZPRSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](CC)NC(C)=O)CC1 ZINC001113373246 837102407 /nfs/dbraw/zinc/10/24/07/837102407.db2.gz XHLKWWHWMHCDJM-CYBMUJFWSA-N 0 1 267.373 0.621 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C2(C(=O)NC)CC2)CC1 ZINC001113430198 837113909 /nfs/dbraw/zinc/11/39/09/837113909.db2.gz QDXFNJHTGAWZRY-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCN(CC#C)c1ncnc2[nH]c(C(=O)OC)cc21 ZINC001157558599 837117615 /nfs/dbraw/zinc/11/76/15/837117615.db2.gz FIHMKUCVRPMVCB-UHFFFAOYSA-N 0 1 268.276 0.769 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CSCC#N ZINC001113899452 837357931 /nfs/dbraw/zinc/35/79/31/837357931.db2.gz NBPHVHLOYQNGGY-PJXYFTJBSA-N 0 1 263.366 0.313 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cocc2C)[C@@H](O)C1 ZINC001090490591 837887032 /nfs/dbraw/zinc/88/70/32/837887032.db2.gz BBJMPCQJZAWRRR-OLZOCXBDSA-N 0 1 264.325 0.939 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](NC2(C#N)CCN(C)CC2)C1 ZINC001170529035 837967832 /nfs/dbraw/zinc/96/78/32/837967832.db2.gz GETNFJGBXJJZCB-VXGBXAGGSA-N 0 1 265.357 0.906 20 30 CCEDMN N#C[C@@H](Nc1nc(Cl)cc2[nH]cnc21)C(N)=O ZINC001170531510 837972854 /nfs/dbraw/zinc/97/28/54/837972854.db2.gz PELRUAHSUPASQY-RXMQYKEDSA-N 0 1 250.649 0.401 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCc2nncn2CC1 ZINC001131504375 838116577 /nfs/dbraw/zinc/11/65/77/838116577.db2.gz VMQAJJGMTQGGNZ-NSHDSACASA-N 0 1 297.790 0.689 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)COC(C)C)C[C@@H](C)O2 ZINC001131641506 838166134 /nfs/dbraw/zinc/16/61/34/838166134.db2.gz GFRNNCYIVINYMQ-GDBMZVCRSA-N 0 1 294.395 0.736 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](OC)[C@H]1CCOC1 ZINC001131882086 838261245 /nfs/dbraw/zinc/26/12/45/838261245.db2.gz XIJOCYHTERNWOO-WDEREUQCSA-N 0 1 276.764 0.496 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2csc(=O)[nH]2)[C@@H](O)C1 ZINC001090504321 838352017 /nfs/dbraw/zinc/35/20/17/838352017.db2.gz OKFGURLNQFWSDS-KOLCDFICSA-N 0 1 295.364 0.037 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COC2CCOCC2)CC[C@H]1C ZINC001132335441 838363467 /nfs/dbraw/zinc/36/34/67/838363467.db2.gz OROYKKDCTYLDAZ-KGLIPLIRSA-N 0 1 294.395 0.784 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@@H](C)CC(N)=O ZINC001132350891 838371725 /nfs/dbraw/zinc/37/17/25/838371725.db2.gz YQSIPJNAJMKUEV-ZETCQYMHSA-N 0 1 292.177 0.112 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C(=O)NCC2CC2)CC[C@@H]1C ZINC001132383984 838383585 /nfs/dbraw/zinc/38/35/85/838383585.db2.gz HIVZHGFVGMRSSU-AAEUAGOBSA-N 0 1 279.384 0.668 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC(=O)NCC2CC2)CC[C@H]1C ZINC001132417417 838390433 /nfs/dbraw/zinc/39/04/33/838390433.db2.gz XDVRVGDNHYTMAD-OCCSQVGLSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COCCOCC)CC[C@H]1C ZINC001132517277 838418936 /nfs/dbraw/zinc/41/89/36/838418936.db2.gz AGZNQZZRSPANGM-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCCC(N)=O)CC[C@@H]1C ZINC001132536547 838430822 /nfs/dbraw/zinc/43/08/22/838430822.db2.gz CDOJPFODSXCXJS-STQMWFEESA-N 0 1 279.384 0.634 20 30 CCEDMN C=CCOCC(=O)NCC1CC(NCc2ncnn2C)C1 ZINC001100186820 838434282 /nfs/dbraw/zinc/43/42/82/838434282.db2.gz MTAUHKGQXQHLCD-UHFFFAOYSA-N 0 1 293.371 0.002 20 30 CCEDMN CC#CCCCC(=O)NCCNCc1ccnc(OC)n1 ZINC001132591226 838446370 /nfs/dbraw/zinc/44/63/70/838446370.db2.gz JMUQWJOEAADCQO-UHFFFAOYSA-N 0 1 290.367 0.885 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1nnn(CC)c1CC ZINC001133743289 838705884 /nfs/dbraw/zinc/70/58/84/838705884.db2.gz UOJOKODKQGDNDR-UHFFFAOYSA-N 0 1 285.779 0.932 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@@H](C)NCc1cnn(C)n1 ZINC001133909049 838773135 /nfs/dbraw/zinc/77/31/35/838773135.db2.gz GKQTUXUWJZTOMI-VXGBXAGGSA-N 0 1 295.387 0.391 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1ccc(C)n1 ZINC001134001945 838810295 /nfs/dbraw/zinc/81/02/95/838810295.db2.gz AYRRWMIOYVPUQI-UHFFFAOYSA-N 0 1 256.737 0.650 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1nccn2ccnc12 ZINC001133997838 838816765 /nfs/dbraw/zinc/81/67/65/838816765.db2.gz HEJKIPRLSUJMJD-UHFFFAOYSA-N 0 1 279.731 0.801 20 30 CCEDMN N#Cc1cc(Nc2ccn(CC(N)=O)n2)c([N+](=O)[O-])s1 ZINC001185242029 844370457 /nfs/dbraw/zinc/37/04/57/844370457.db2.gz OZJFWOGSOZXKMT-UHFFFAOYSA-N 0 1 292.280 0.953 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)C[C@@H](C)NCc1cn(C)nn1 ZINC001134205655 838902961 /nfs/dbraw/zinc/90/29/61/838902961.db2.gz KLWIBCMNCDEUFS-CHWSQXEVSA-N 0 1 291.399 0.992 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H](C)C[C@@H](C)NCC#N)n[nH]1 ZINC001134355373 838953254 /nfs/dbraw/zinc/95/32/54/838953254.db2.gz GAZHIQTVORTZBN-BDAKNGLRSA-N 0 1 264.333 0.052 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)CCc1cnc[nH]1)NCC#N ZINC001134544325 839001323 /nfs/dbraw/zinc/00/13/23/839001323.db2.gz FRNDCLVOGACBHL-QWRGUYRKSA-N 0 1 263.345 0.739 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCCS(=O)(=O)C1 ZINC001134659454 839044548 /nfs/dbraw/zinc/04/45/48/839044548.db2.gz CAHHROKDGYQAQN-JTQLQIEISA-N 0 1 294.804 0.270 20 30 CCEDMN C=CCCc1ccc(C(=O)NCc2nnc[nH]2)c(=O)[nH]1 ZINC001185362508 844402439 /nfs/dbraw/zinc/40/24/39/844402439.db2.gz DZMZTVSTAMNHMK-UHFFFAOYSA-N 0 1 273.296 0.954 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)c1[nH]ncc1F)NCC#N ZINC001135407112 839242751 /nfs/dbraw/zinc/24/27/51/839242751.db2.gz DIXYNHPTRVWZAD-YUMQZZPRSA-N 0 1 253.281 0.559 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)CCc1c[nH]nn1)NCC#N ZINC001135497156 839266355 /nfs/dbraw/zinc/26/63/55/839266355.db2.gz QZHNBULYZHRNNC-NXEZZACHSA-N 0 1 264.333 0.134 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)CCc1cnn[nH]1)NCC#N ZINC001135497156 839266365 /nfs/dbraw/zinc/26/63/65/839266365.db2.gz QZHNBULYZHRNNC-NXEZZACHSA-N 0 1 264.333 0.134 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)CCc1c[nH]nn1)NCC#N ZINC001135497157 839267331 /nfs/dbraw/zinc/26/73/31/839267331.db2.gz QZHNBULYZHRNNC-UWVGGRQHSA-N 0 1 264.333 0.134 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)CCc1cnn[nH]1)NCC#N ZINC001135497157 839267345 /nfs/dbraw/zinc/26/73/45/839267345.db2.gz QZHNBULYZHRNNC-UWVGGRQHSA-N 0 1 264.333 0.134 20 30 CCEDMN COC(=O)c1ccc(NCc2c[nH]nn2)c(C#N)n1 ZINC001185584268 844435145 /nfs/dbraw/zinc/43/51/45/844435145.db2.gz PPJGFZDNGVTREA-UHFFFAOYSA-N 0 1 258.241 0.470 20 30 CCEDMN CC[C@@](N)(CO)Nc1nc(Cl)c(C#N)cc1C#N ZINC001159743689 839563275 /nfs/dbraw/zinc/56/32/75/839563275.db2.gz KINBJMVDVCBDCW-NSHDSACASA-N 0 1 265.704 0.948 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC(=O)Cc2nnc[nH]2)c1 ZINC001136326937 839591298 /nfs/dbraw/zinc/59/12/98/839591298.db2.gz RTQXDTTXAJAKDU-UHFFFAOYSA-N 0 1 283.291 0.083 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2conc2CC)[C@H](O)C1 ZINC001090556007 839639298 /nfs/dbraw/zinc/63/92/98/839639298.db2.gz RNWIUICQRSPWPA-QWHCGFSZSA-N 0 1 279.340 0.588 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2conc2CC)[C@H](O)C1 ZINC001090555136 839639782 /nfs/dbraw/zinc/63/97/82/839639782.db2.gz HPBGMZIKWQJJGC-UONOGXRCSA-N 0 1 293.367 0.978 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)[nH]c2C)[C@H](O)C1 ZINC001090604938 839670243 /nfs/dbraw/zinc/67/02/43/839670243.db2.gz GUFFSBWOJLZZFT-LSDHHAIUSA-N 0 1 289.379 0.820 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(CC=C)C[C@@H]2O)nc1 ZINC001090736009 839765463 /nfs/dbraw/zinc/76/54/63/839765463.db2.gz GVKKUQNVRKGMSV-HIFRSBDPSA-N 0 1 285.347 0.414 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)onc2CC)[C@H](O)C1 ZINC001090799128 839818410 /nfs/dbraw/zinc/81/84/10/839818410.db2.gz SLCKSTPSSQGOOQ-CHWSQXEVSA-N 0 1 293.367 0.896 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2c(C)n[nH]c2C)[C@H](O)C1 ZINC001090802032 839822184 /nfs/dbraw/zinc/82/21/84/839822184.db2.gz ITIFTWNRPSPMDH-ZIAGYGMSSA-N 0 1 292.383 0.306 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2[nH]cnc2C)[C@@H](O)C1 ZINC001090849811 839853646 /nfs/dbraw/zinc/85/36/46/839853646.db2.gz ONNHQWOGLVRDOO-MNOVXSKESA-N 0 1 264.329 0.069 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)[C@H](C)OC(C)=O ZINC001144164158 839958616 /nfs/dbraw/zinc/95/86/16/839958616.db2.gz ODZVKCSCYMTQJO-JQWIXIFHSA-N 0 1 254.330 0.705 20 30 CCEDMN CC(=O)N[C@@H](CS)C(=O)Nc1ccc2[nH]nnc2c1 ZINC001144410797 840035524 /nfs/dbraw/zinc/03/55/24/840035524.db2.gz CQWHOCMFDXDJSQ-JTQLQIEISA-N 0 1 279.325 0.331 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@H](O)c1ccc(O)cc1 ZINC001144600014 840101999 /nfs/dbraw/zinc/10/19/99/840101999.db2.gz PZFNIPLIDUGAAH-SNVBAGLBSA-N 0 1 258.237 0.659 20 30 CCEDMN N#CCNC1CC(CNC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001091228097 840150856 /nfs/dbraw/zinc/15/08/56/840150856.db2.gz YCTCTKUWXSUVKL-MCIGGMRASA-N 0 1 287.367 0.838 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@@H](Nc2ncnc3[nH]cnc32)C1 ZINC001091324018 840183814 /nfs/dbraw/zinc/18/38/14/840183814.db2.gz PRKJOYRLEXDQJT-LPEHRKFASA-N 0 1 299.338 0.819 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@@H](N)Cc1cccc(OC)c1 ZINC001144942313 840198433 /nfs/dbraw/zinc/19/84/33/840198433.db2.gz DQXKVMPXAJMLCQ-AAEUAGOBSA-N 0 1 264.325 0.228 20 30 CCEDMN C#CCCN1CCC[C@H](Nc2ncc3c(n2)CNC3)C1=O ZINC001160898403 840258881 /nfs/dbraw/zinc/25/88/81/840258881.db2.gz NFHHJRCPULQTNK-LBPRGKRZSA-N 0 1 285.351 0.506 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@@H]3C[C@@H]3C)C2)C1 ZINC001146934633 840419306 /nfs/dbraw/zinc/41/93/06/840419306.db2.gz WVOMFQPPGVXCFX-UONOGXRCSA-N 0 1 276.380 0.827 20 30 CCEDMN CN1CCC[C@H](C(=O)N=C(NC#N)c2ccncc2)C1 ZINC001186362429 844542422 /nfs/dbraw/zinc/54/24/22/844542422.db2.gz RIZVQCGLDCRWEQ-LBPRGKRZSA-N 0 1 271.324 0.767 20 30 CCEDMN C=C(C)CCC(=O)N1CC2(C1)CCN([C@@H]1CCNC1=O)C2 ZINC001147640185 840644543 /nfs/dbraw/zinc/64/45/43/840644543.db2.gz PGMFSKAGDSFHBP-CYBMUJFWSA-N 0 1 291.395 0.766 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](CCC)OC)C2)C1 ZINC001148017084 840729979 /nfs/dbraw/zinc/72/99/79/840729979.db2.gz FNTJFIDDKFARPO-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(C(=O)NC)nc1 ZINC001148165594 840754524 /nfs/dbraw/zinc/75/45/24/840754524.db2.gz OOJBNYITSRIZKH-UHFFFAOYSA-N 0 1 296.758 0.513 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COCc3ccncc3)C2)C1 ZINC001148488508 840821317 /nfs/dbraw/zinc/82/13/17/840821317.db2.gz WRNBVIXPDJWHIE-UHFFFAOYSA-N 0 1 299.374 0.766 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COCCC=C)C2)C1 ZINC001148887355 840904534 /nfs/dbraw/zinc/90/45/34/840904534.db2.gz LRJMPZAPHAJAFE-UHFFFAOYSA-N 0 1 262.353 0.747 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@H]2COC(=O)C2)C1 ZINC001149541484 840947790 /nfs/dbraw/zinc/94/77/90/840947790.db2.gz PBVMWBQZZDBHCF-OLZOCXBDSA-N 0 1 296.367 0.333 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)Cc3ccc(=O)[nH]c3)C[C@]2(C)C1 ZINC001091861262 840968600 /nfs/dbraw/zinc/96/86/00/840968600.db2.gz IOXVJZDUGBEZLP-PBHICJAKSA-N 0 1 299.374 0.743 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001092735248 841063432 /nfs/dbraw/zinc/06/34/32/841063432.db2.gz RJURAEHKXVENSM-MCIONIFRSA-N 0 1 278.400 0.678 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2CN(c3ncnc4[nH]cnc43)C[C@H]21 ZINC001093234208 841183181 /nfs/dbraw/zinc/18/31/81/841183181.db2.gz IVSRLABZPMMEKD-AXTSPUMRSA-N 0 1 297.322 0.063 20 30 CCEDMN CC(C)(C(=O)NCCNc1cncc(C#N)n1)c1cnc[nH]1 ZINC001093750653 841450308 /nfs/dbraw/zinc/45/03/08/841450308.db2.gz GEJFYYLMWLVIHD-UHFFFAOYSA-N 0 1 299.338 0.577 20 30 CCEDMN N#Cc1sc(NCCNC(=O)c2nc[nH]n2)nc1Cl ZINC001093793204 841458888 /nfs/dbraw/zinc/45/88/88/841458888.db2.gz HIHVADPXAVQEJX-UHFFFAOYSA-N 0 1 297.731 0.628 20 30 CCEDMN N#Cc1sc(NCCNC(=O)c2ncn[nH]2)nc1Cl ZINC001093793204 841458880 /nfs/dbraw/zinc/45/88/80/841458880.db2.gz HIHVADPXAVQEJX-UHFFFAOYSA-N 0 1 297.731 0.628 20 30 CCEDMN N#Cc1cnccc1NC1(CNC(=O)CN2CCCC2)CC1 ZINC001110150092 841471294 /nfs/dbraw/zinc/47/12/94/841471294.db2.gz PTTVVPXIMAWVQX-UHFFFAOYSA-N 0 1 299.378 0.532 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)Cc2cnc[nH]2)cn1 ZINC001094150526 841549388 /nfs/dbraw/zinc/54/93/88/841549388.db2.gz MLTPMFPHAXFSNR-UHFFFAOYSA-N 0 1 270.296 0.447 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC(C)(C)COC)[C@H](O)C1 ZINC001099933422 841561517 /nfs/dbraw/zinc/56/15/17/841561517.db2.gz OFCMGRKKVYMRRX-QWHCGFSZSA-N 0 1 284.400 0.787 20 30 CCEDMN N#Cc1nccnc1NCCNC(=O)CCc1cnc[nH]1 ZINC001094224878 841571088 /nfs/dbraw/zinc/57/10/88/841571088.db2.gz FYAMRHPXGDARPC-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN N#Cc1cnc(NCCNC(=O)CCc2cnc[nH]2)cn1 ZINC001094225458 841571908 /nfs/dbraw/zinc/57/19/08/841571908.db2.gz VXQUOBSEKNKOGE-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN Cc1nc(NCCNC(=O)c2cnn[nH]2)ccc1C#N ZINC001094256747 841590625 /nfs/dbraw/zinc/59/06/25/841590625.db2.gz HGYQYALPNMXINU-UHFFFAOYSA-N 0 1 271.284 0.222 20 30 CCEDMN COC(=O)c1ccc(Nc2nccnc2CN)c(C#N)n1 ZINC001171448000 841665358 /nfs/dbraw/zinc/66/53/58/841665358.db2.gz TYODUCRVRUDLBZ-UHFFFAOYSA-N 0 1 284.279 0.732 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)Cc2cnc[nH]2)cn1 ZINC001094809049 841729283 /nfs/dbraw/zinc/72/92/83/841729283.db2.gz URWTZNYVEHPCSD-UHFFFAOYSA-N 0 1 284.323 0.837 20 30 CCEDMN C[C@@H](CCCC#N)N1CC(N2CCNC(=O)C2)C1 ZINC001172503132 841936490 /nfs/dbraw/zinc/93/64/90/841936490.db2.gz YDKDEOUYUDCXGR-NSHDSACASA-N 0 1 250.346 0.185 20 30 CCEDMN N#CCN[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCc1cnc[nH]1)C2 ZINC001094935426 841954729 /nfs/dbraw/zinc/95/47/29/841954729.db2.gz VCGMDASTSFRLCX-YUTCNCBUSA-N 0 1 287.367 0.835 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccccn2)[C@H](O)C1 ZINC001099941901 842040636 /nfs/dbraw/zinc/04/06/36/842040636.db2.gz ITZWHSWYOJOVNZ-HUUCEWRRSA-N 0 1 289.379 0.752 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1COCCO1)C2 ZINC001095191342 842094627 /nfs/dbraw/zinc/09/46/27/842094627.db2.gz CNPDIZJQRBGUOK-ZOBORPQBSA-N 0 1 280.368 0.699 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCOC1)C2 ZINC001095225612 842108044 /nfs/dbraw/zinc/10/80/44/842108044.db2.gz AMWALHPPYGSKSX-MQYQWHSLSA-N 0 1 262.353 0.768 20 30 CCEDMN C[C@H](CCCCNCC#N)NC(=O)c1nc[nH]n1 ZINC001175787626 842281313 /nfs/dbraw/zinc/28/13/13/842281313.db2.gz WGYARVSZABNXCI-SECBINFHSA-N 0 1 250.306 0.206 20 30 CCEDMN C[C@H](CCCCNCC#N)NC(=O)c1ncn[nH]1 ZINC001175787626 842281322 /nfs/dbraw/zinc/28/13/22/842281322.db2.gz WGYARVSZABNXCI-SECBINFHSA-N 0 1 250.306 0.206 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1ccccc1=O ZINC001150589815 842362766 /nfs/dbraw/zinc/36/27/66/842362766.db2.gz ITHCNCNDDBEOLN-UHFFFAOYSA-N 0 1 269.732 0.307 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001177176744 842515755 /nfs/dbraw/zinc/51/57/55/842515755.db2.gz PUBJPCVJMCFCHT-UWVGGRQHSA-N 0 1 260.297 0.266 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cn(C)nn1)C2 ZINC001110209948 842625745 /nfs/dbraw/zinc/62/57/45/842625745.db2.gz OVTIHXJXCQHKLN-RDBSUJKOSA-N 0 1 287.367 0.102 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@H](O)CF ZINC001177917422 842706912 /nfs/dbraw/zinc/70/69/12/842706912.db2.gz HIXIKXRAVBZKPP-RKDXNWHRSA-N 0 1 256.302 0.877 20 30 CCEDMN CNC(=O)[C@H](C)NC(=O)C(C#N)Cc1cccs1 ZINC001177915127 842707268 /nfs/dbraw/zinc/70/72/68/842707268.db2.gz JYIJOBJAXNXEBR-IUCAKERBSA-N 0 1 265.338 0.681 20 30 CCEDMN N#C[C@@H](Cc1cccs1)C(=O)n1cc(N)c(=O)nc1N ZINC001177917234 842707619 /nfs/dbraw/zinc/70/76/19/842707619.db2.gz FQCPGXBLOZCBKQ-SSDOTTSWSA-N 0 1 289.320 0.735 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC1CN(C2COC2)C1 ZINC001177917713 842709919 /nfs/dbraw/zinc/70/99/19/842709919.db2.gz LSVYIPQAHSGCHM-JTQLQIEISA-N 0 1 291.376 0.630 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCCC(N)=O)[C@H]1C ZINC001180291651 843144332 /nfs/dbraw/zinc/14/43/32/843144332.db2.gz IMMZJVUHNFDJGP-QWRGUYRKSA-N 0 1 287.791 0.974 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@@]1(C)CNCC#N ZINC001180973016 843354380 /nfs/dbraw/zinc/35/43/80/843354380.db2.gz HOMSHIHDVBMRIZ-BXUZGUMPSA-N 0 1 275.356 0.550 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@H](NCc2cnoc2C)C1 ZINC001182513669 843847278 /nfs/dbraw/zinc/84/72/78/843847278.db2.gz GQGVOLARGHULLT-CQSZACIVSA-N 0 1 291.351 0.713 20 30 CCEDMN C#CCCC1(CCNC(=O)C(O)C(F)(F)F)N=N1 ZINC001183200223 843982211 /nfs/dbraw/zinc/98/22/11/843982211.db2.gz DMELJZBMKFOIKU-ZETCQYMHSA-N 0 1 263.219 0.991 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCOC3)[C@@H]2C1 ZINC001187100114 844650494 /nfs/dbraw/zinc/65/04/94/844650494.db2.gz AGQCJKOHESVHPA-RRFJBIMHSA-N 0 1 292.379 0.205 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)C[C@@H](C)OC)[C@@H]2C1 ZINC001187210686 844681143 /nfs/dbraw/zinc/68/11/43/844681143.db2.gz WZHNXEMPIJRVMP-QLFBSQMISA-N 0 1 294.395 0.594 20 30 CCEDMN CSCc1n[nH]c(CNc2nnccc2C#N)n1 ZINC001187640208 844741135 /nfs/dbraw/zinc/74/11/35/844741135.db2.gz NQUDFUSUQBIHDX-UHFFFAOYSA-N 0 1 261.314 0.941 20 30 CCEDMN CSCc1nnc(CNc2nnccc2C#N)[nH]1 ZINC001187640208 844741141 /nfs/dbraw/zinc/74/11/41/844741141.db2.gz NQUDFUSUQBIHDX-UHFFFAOYSA-N 0 1 261.314 0.941 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCn3ccnn3)[C@@H]2C1 ZINC001188190019 844846889 /nfs/dbraw/zinc/84/68/89/844846889.db2.gz SZZQJKVFYVUNRU-UONOGXRCSA-N 0 1 287.367 0.224 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C(C)(C)F)C1 ZINC001188617681 844920682 /nfs/dbraw/zinc/92/06/82/844920682.db2.gz IGZNQHOSRVHJOU-VXGBXAGGSA-N 0 1 299.390 0.958 20 30 CCEDMN C=C[C@H]1C[C@]1(NC(=O)c1ncn[nH]1)C(=O)OCC ZINC001188700064 844955413 /nfs/dbraw/zinc/95/54/13/844955413.db2.gz YTKUJFTWWVQTLP-WRWORJQWSA-N 0 1 250.258 0.042 20 30 CCEDMN C=C[C@H]1C[C@]1(NC(=O)c1nc[nH]n1)C(=O)OCC ZINC001188700064 844955420 /nfs/dbraw/zinc/95/54/20/844955420.db2.gz YTKUJFTWWVQTLP-WRWORJQWSA-N 0 1 250.258 0.042 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1CCC(=O)N1C ZINC001273569007 844976838 /nfs/dbraw/zinc/97/68/38/844976838.db2.gz HGGFWYLXYRTLKS-LLVKDONJSA-N 0 1 287.791 0.798 20 30 CCEDMN C=CCCN(C)[C@@H]1CCN(C(=O)CS(C)(=O)=O)C1 ZINC001189150913 845065946 /nfs/dbraw/zinc/06/59/46/845065946.db2.gz IAULLXFCUJSMTO-LLVKDONJSA-N 0 1 274.386 0.140 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](CC)NC(C)=O)[C@@H]2C1 ZINC001189203232 845073636 /nfs/dbraw/zinc/07/36/36/845073636.db2.gz BLJDOCXHNJSKIU-SOUVJXGZSA-N 0 1 291.395 0.457 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC001189524189 845164605 /nfs/dbraw/zinc/16/46/05/845164605.db2.gz PTFDWSZJWLVBBP-ZCFIWIBFSA-N 0 1 273.218 0.366 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2cc(C)ncn2)C1 ZINC001189687080 845187331 /nfs/dbraw/zinc/18/73/31/845187331.db2.gz KBYWGHFZATZABT-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)ncn2)C1 ZINC001189687080 845187336 /nfs/dbraw/zinc/18/73/36/845187336.db2.gz KBYWGHFZATZABT-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)COC(C)(C)C)C1 ZINC001189751339 845199051 /nfs/dbraw/zinc/19/90/51/845199051.db2.gz FBDLIRNWTBBVPX-CQSZACIVSA-N 0 1 296.411 0.984 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189918945 845268831 /nfs/dbraw/zinc/26/88/31/845268831.db2.gz XCAIEUUTWFLTRF-HOCLYGCPSA-N 0 1 294.395 0.496 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(=O)N(C)C)C1 ZINC001189925462 845272096 /nfs/dbraw/zinc/27/20/96/845272096.db2.gz BROYJMUSUZBSLT-ZIAGYGMSSA-N 0 1 293.411 0.799 20 30 CCEDMN CN([C@@H]1CCNC1=O)[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190076994 845326169 /nfs/dbraw/zinc/32/61/69/845326169.db2.gz XPIWFOHQISWCKR-QWHCGFSZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CNC(=O)C(C)(C)C)[C@@H]2C1 ZINC001190133444 845346702 /nfs/dbraw/zinc/34/67/02/845346702.db2.gz PJXLTHPLNFUYNP-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001190229913 845360700 /nfs/dbraw/zinc/36/07/00/845360700.db2.gz QDVFBIGAZMTJFD-MGPQQGTHSA-N 0 1 291.395 0.457 20 30 CCEDMN O=S(=O)(NCCCS)c1ncc(F)cc1F ZINC001190208551 845367027 /nfs/dbraw/zinc/36/70/27/845367027.db2.gz KZWPINBXSJODRJ-UHFFFAOYSA-N 0 1 268.310 0.958 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnc3n[nH]nc3c2)C1 ZINC001190684705 845464367 /nfs/dbraw/zinc/46/43/67/845464367.db2.gz MITOYSQIRHHNFT-GFCCVEGCSA-N 0 1 298.350 0.523 20 30 CCEDMN COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)C2CC(OC)C2)C1 ZINC001190818083 845510079 /nfs/dbraw/zinc/51/00/79/845510079.db2.gz NZYXJKZCOJZZTB-SLTAFYQDSA-N 0 1 294.395 0.594 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C2CC(OC)C2)C1 ZINC001190818083 845510083 /nfs/dbraw/zinc/51/00/83/845510083.db2.gz NZYXJKZCOJZZTB-SLTAFYQDSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCN(C(=O)CCOC[C@@H]2CCCO2)C1 ZINC001191121168 845586970 /nfs/dbraw/zinc/58/69/70/845586970.db2.gz YYMZRDHRXSCVBJ-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCOC[C@@H]2CCCO2)C1 ZINC001191121168 845586974 /nfs/dbraw/zinc/58/69/74/845586974.db2.gz YYMZRDHRXSCVBJ-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)/C=C(/C)C2CC2)C1 ZINC001191415767 845647630 /nfs/dbraw/zinc/64/76/30/845647630.db2.gz JNWMUQONEXKGLF-RDNHTORASA-N 0 1 262.353 0.527 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001191441450 845654274 /nfs/dbraw/zinc/65/42/74/845654274.db2.gz JOPVHCGERGNLLV-RDGWLKQMSA-N 0 1 288.391 0.966 20 30 CCEDMN CCC[C@@H](OC)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001191707427 845712714 /nfs/dbraw/zinc/71/27/14/845712714.db2.gz NHYJKOKUUMXURN-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN CCC[C@H](OC)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001191707426 845712729 /nfs/dbraw/zinc/71/27/29/845712729.db2.gz NHYJKOKUUMXURN-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2c(C)cnn2C)C1 ZINC001191818834 845733900 /nfs/dbraw/zinc/73/39/00/845733900.db2.gz IWVLEBXUHYLKOG-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CCc2ccccc2)C[C@H]1O ZINC001191863878 845744321 /nfs/dbraw/zinc/74/43/21/845744321.db2.gz JOQUCKSGKVWWJW-BPLDGKMQSA-N 0 1 287.363 0.550 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)/C=C\C2CC2)C1 ZINC001192070363 845785131 /nfs/dbraw/zinc/78/51/31/845785131.db2.gz LRZIEMZHYCSGFQ-NTQVKLLNSA-N 0 1 250.342 0.690 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)/C=C\C2CC2)C1 ZINC001192070363 845785137 /nfs/dbraw/zinc/78/51/37/845785137.db2.gz LRZIEMZHYCSGFQ-NTQVKLLNSA-N 0 1 250.342 0.690 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2CC(C)C2)C1 ZINC001192096779 845791945 /nfs/dbraw/zinc/79/19/45/845791945.db2.gz JMVCGTYWWAFRHZ-NWINJMCUSA-N 0 1 282.384 0.396 20 30 CCEDMN Cc1ncc(C(=O)N[C@@H](CO)Cc2cnc[nH]2)cc1C#N ZINC001192300809 845811085 /nfs/dbraw/zinc/81/10/85/845811085.db2.gz YSFOSGWMRZCWKL-CYBMUJFWSA-N 0 1 285.307 0.318 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001192303785 845825608 /nfs/dbraw/zinc/82/56/08/845825608.db2.gz FVKZIGVVKJLSPI-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCc2cn[nH]c2)C1 ZINC001192767437 845906221 /nfs/dbraw/zinc/90/62/21/845906221.db2.gz USOLPIYJSGENLI-CQSZACIVSA-N 0 1 274.368 0.898 20 30 CCEDMN CCOCCCN1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192813004 845914597 /nfs/dbraw/zinc/91/45/97/845914597.db2.gz CGJADULFFURPSD-ZIAGYGMSSA-N 0 1 296.411 0.624 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1O ZINC001192879308 845930500 /nfs/dbraw/zinc/93/05/00/845930500.db2.gz WSIIGIQDWIFVAI-ZIAGYGMSSA-N 0 1 280.368 0.154 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(C(F)F)CC2)C1 ZINC001192968635 845957707 /nfs/dbraw/zinc/95/77/07/845957707.db2.gz FAMGCCSOQDRKHU-NXEZZACHSA-N 0 1 274.311 0.769 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc2c(c1)C(=O)NC2 ZINC001192972137 845957770 /nfs/dbraw/zinc/95/77/70/845957770.db2.gz NFPCBAQCKRQLHO-UHFFFAOYSA-N 0 1 251.267 0.195 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc3ncccn3n2)C1 ZINC001192976536 845959161 /nfs/dbraw/zinc/95/91/61/845959161.db2.gz CSWFOJKOLLBSNM-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cccc2c1CCN(C)C2=O ZINC001193161110 846009504 /nfs/dbraw/zinc/00/95/04/846009504.db2.gz LNRJTUWHSHDAEW-VIFPVBQESA-N 0 1 293.348 0.968 20 30 CCEDMN CCOC(=O)C1=C(NS(=O)(=O)[C@@H](C)C#N)[C@@H](C)OC1 ZINC001193168998 846011773 /nfs/dbraw/zinc/01/17/73/846011773.db2.gz PSPNNRYIIFPWHH-JGVFFNPUSA-N 0 1 288.325 0.054 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCCC(N)=O)C1 ZINC001193339571 846055606 /nfs/dbraw/zinc/05/56/06/846055606.db2.gz MZDNIPBJHSAHCP-LBPRGKRZSA-N 0 1 265.357 0.198 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CC(C)(C)C=C)C1 ZINC001193337023 846056529 /nfs/dbraw/zinc/05/65/29/846056529.db2.gz FUNPPYZQENTIRJ-ZIAGYGMSSA-N 0 1 296.411 0.953 20 30 CCEDMN CN1C[C@H](CNC(=O)c2ccc(O)c(C#N)c2)CC1=O ZINC001193514240 846103114 /nfs/dbraw/zinc/10/31/14/846103114.db2.gz DJVTVLMHDVZWHP-VIFPVBQESA-N 0 1 273.292 0.472 20 30 CCEDMN COc1ccnc(C(=O)Nc2[nH]cnc2C#N)c1O ZINC001193524811 846105223 /nfs/dbraw/zinc/10/52/23/846105223.db2.gz MAHMEBJCXALBGL-UHFFFAOYSA-N 0 1 259.225 0.643 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCC(=O)NC(C)C)C1 ZINC001193550070 846115247 /nfs/dbraw/zinc/11/52/47/846115247.db2.gz GDXRHMOIPCGMKV-CQSZACIVSA-N 0 1 293.411 0.847 20 30 CCEDMN CC1(C)C(=O)NC[C@@H]1NC(=O)c1cccc(C#N)c1O ZINC001193658878 846139622 /nfs/dbraw/zinc/13/96/22/846139622.db2.gz FSTVTOFZYHJMLY-JTQLQIEISA-N 0 1 273.292 0.518 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@@H](CO)C(F)(F)F)c1O ZINC001193657864 846140455 /nfs/dbraw/zinc/14/04/55/846140455.db2.gz JFBCYOPNGOEQHO-QMMMGPOBSA-N 0 1 274.198 0.917 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(CCCOC)C[C@H]2O)CCC1 ZINC001193685270 846143662 /nfs/dbraw/zinc/14/36/62/846143662.db2.gz JMDHUONHAWIBLC-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCc2ccsc2)C1 ZINC001193798578 846156793 /nfs/dbraw/zinc/15/67/93/846156793.db2.gz AAPQEZVIFMXZQI-ZIAGYGMSSA-N 0 1 292.404 0.865 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(CO)ccn1 ZINC001193938179 846176895 /nfs/dbraw/zinc/17/68/95/846176895.db2.gz UDWDXPUBZNVTBA-ZDUSSCGKSA-N 0 1 275.352 0.954 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccn(C)n2)C1 ZINC001194018458 846197994 /nfs/dbraw/zinc/19/79/94/846197994.db2.gz ZKTNENLVVZRIPH-LBPRGKRZSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CC[NH+](C)[C@@H]1CCN(C(=O)[C@H]2C[N@H+](CC)CCO2)C1 ZINC001194155806 846224544 /nfs/dbraw/zinc/22/45/44/846224544.db2.gz VXMRUSFKOJSBOK-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001194284730 846264839 /nfs/dbraw/zinc/26/48/39/846264839.db2.gz MRXSKQVYSWVKBB-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001194284730 846264844 /nfs/dbraw/zinc/26/48/44/846264844.db2.gz MRXSKQVYSWVKBB-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCOC(=O)NC1(C(=O)OCC)CCN(C)CC1 ZINC001194338255 846279848 /nfs/dbraw/zinc/27/98/48/846279848.db2.gz LFPAADXXOLWDPJ-UHFFFAOYSA-N 0 1 270.329 0.926 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CCCC)NC(N)=O)C1 ZINC001194448772 846291611 /nfs/dbraw/zinc/29/16/11/846291611.db2.gz LPQHDLAHESRVSE-CHWSQXEVSA-N 0 1 294.399 0.379 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)Cn2ccnc2)C1 ZINC001194457873 846295116 /nfs/dbraw/zinc/29/51/16/846295116.db2.gz CIGOTWNARLKUBD-ZDUSSCGKSA-N 0 1 260.341 0.439 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2nonc2C)C1 ZINC001194470229 846298209 /nfs/dbraw/zinc/29/82/09/846298209.db2.gz ATFCYLRBCVHQAC-LLVKDONJSA-N 0 1 262.313 0.548 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001195307582 846500065 /nfs/dbraw/zinc/50/00/65/846500065.db2.gz ZGHLGPIVIAHLAK-CHWSQXEVSA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C/C=C(/C)C=C)C1 ZINC001195444098 846531571 /nfs/dbraw/zinc/53/15/71/846531571.db2.gz MRZAWRXRZKNZOV-PISUUVGWSA-N 0 1 262.353 0.693 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCn2cccn2)C[C@H]1O ZINC001195725525 846602896 /nfs/dbraw/zinc/60/28/96/846602896.db2.gz LPEWAWVDWMYHHK-ZIAGYGMSSA-N 0 1 292.383 0.401 20 30 CCEDMN N#Cc1ccc(C(=O)NC2CC(CO)(CO)C2)c(O)c1 ZINC001195764106 846613968 /nfs/dbraw/zinc/61/39/68/846613968.db2.gz GQFIPUWVZBMNBI-UHFFFAOYSA-N 0 1 276.292 0.127 20 30 CCEDMN COC[C@@H](O)CN1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775357 846622845 /nfs/dbraw/zinc/62/28/45/846622845.db2.gz XURXOBAADNVOTB-AWEZNQCLSA-N 0 1 282.384 0.187 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(CC)CCOCC2)C1 ZINC001197280776 846890929 /nfs/dbraw/zinc/89/09/29/846890929.db2.gz RSWUIZLYQCXKLV-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)COC)C1 ZINC001197357950 846896204 /nfs/dbraw/zinc/89/62/04/846896204.db2.gz KQXYANDYKIOBJG-JHJVBQTASA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)n2cccn2)C1 ZINC001197619378 846935205 /nfs/dbraw/zinc/93/52/05/846935205.db2.gz FRILSFRQBDPDIH-BFHYXJOUSA-N 0 1 290.367 0.019 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2ccn(C)n2)CC1 ZINC001198097494 847042891 /nfs/dbraw/zinc/04/28/91/847042891.db2.gz BZSKZGJJHTWDLK-UHFFFAOYSA-N 0 1 292.383 0.771 20 30 CCEDMN CC(C)n1cc(C(=O)Nc2nc[nH]c2C#N)cnc1=O ZINC001199243639 847270454 /nfs/dbraw/zinc/27/04/54/847270454.db2.gz CGNFCGQCZMEQRD-UHFFFAOYSA-N 0 1 272.268 0.671 20 30 CCEDMN C#CCOC[C@H](O)CNCC(=O)c1c[nH]c2ccccc21 ZINC001251888591 847348024 /nfs/dbraw/zinc/34/80/24/847348024.db2.gz WROHPLUDTNFGMQ-GFCCVEGCSA-N 0 1 286.331 0.951 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cnn2ccncc12 ZINC001199797096 847436305 /nfs/dbraw/zinc/43/63/05/847436305.db2.gz QBRKGNGMSJBQMS-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN CC(=O)NC/C=C/CNCC(=O)NCC#Cc1ccccc1 ZINC001273790984 847472358 /nfs/dbraw/zinc/47/23/58/847472358.db2.gz ILZXLLPPAXDAMW-AATRIKPKSA-N 0 1 299.374 0.436 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)Cc1cnn(C)c1 ZINC001273887621 847739682 /nfs/dbraw/zinc/73/96/82/847739682.db2.gz JNVRGGDRPBYGLF-ARJAWSKDSA-N 0 1 282.775 0.977 20 30 CCEDMN N=C(c1nonc1N)N(O)C(=O)c1nc2ccccc2o1 ZINC001153572544 848032556 /nfs/dbraw/zinc/03/25/56/848032556.db2.gz ZNLLSSKKXKBLOJ-UHFFFAOYSA-N 0 1 288.223 0.650 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)n1cncn1)C2 ZINC001095333841 848244480 /nfs/dbraw/zinc/24/44/80/848244480.db2.gz MHESSTAFGVSPCQ-NDBYEHHHSA-N 0 1 275.356 0.747 20 30 CCEDMN C=CCC1(O)CCN(CCS(=O)(=O)N(C)C)CC1 ZINC000717415489 848257634 /nfs/dbraw/zinc/25/76/34/848257634.db2.gz JPYKAHFOXJBEBG-UHFFFAOYSA-N 0 1 276.402 0.281 20 30 CCEDMN C=CCC1(O)CCN([C@H](C)C(=O)NC(=O)NCC)CC1 ZINC000717463500 848267018 /nfs/dbraw/zinc/26/70/18/848267018.db2.gz KBCZPHWVYSUHJL-LLVKDONJSA-N 0 1 283.372 0.624 20 30 CCEDMN CNC(=O)CN1CC[C@@H]2[C@H]1CCC(=O)N2CCCC#N ZINC001274075974 848344449 /nfs/dbraw/zinc/34/44/49/848344449.db2.gz TWZDBQUJYKEAGQ-VXGBXAGGSA-N 0 1 278.356 0.101 20 30 CCEDMN C#CC[C@@H](CO)NS(=O)(=O)c1c(F)cccc1F ZINC000717784905 848390330 /nfs/dbraw/zinc/39/03/30/848390330.db2.gz UCFOSYQXYVKFOH-QMMMGPOBSA-N 0 1 275.276 0.627 20 30 CCEDMN N#CCNC(=O)CNC/C=C/CNC(=O)[C@@H]1CC[C@@H](F)C1 ZINC001274319108 848449350 /nfs/dbraw/zinc/44/93/50/848449350.db2.gz XVCIWPBYYRMMEE-NUYITTKVSA-N 0 1 296.346 0.026 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC/C=C\CN[C@@H]1CCNC1=O ZINC001274340884 848455478 /nfs/dbraw/zinc/45/54/78/848455478.db2.gz YFTAJSRSKOOZQI-FZPADWTNSA-N 0 1 279.384 0.739 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)c2ccnn2CC)CC1 ZINC001274903644 848588797 /nfs/dbraw/zinc/58/87/97/848588797.db2.gz LHNGDJPDRYJGDK-UHFFFAOYSA-N 0 1 290.367 0.093 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C2=CCOCC2)CC1 ZINC001274903919 848589210 /nfs/dbraw/zinc/58/92/10/848589210.db2.gz RGZVKODNARASLD-UHFFFAOYSA-N 0 1 280.368 0.462 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2ccn(C)n2)CC1 ZINC001274941549 848595391 /nfs/dbraw/zinc/59/53/91/848595391.db2.gz OTXCZUQJHAGWOM-UHFFFAOYSA-N 0 1 278.356 0.163 20 30 CCEDMN C#CC[C@H](CO)NCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000717841525 848612488 /nfs/dbraw/zinc/61/24/88/848612488.db2.gz QFJFWXNDGNPIJX-CYBMUJFWSA-N 0 1 292.360 0.306 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC[C@@H]1CN(C)CC#CC ZINC001275109232 848640992 /nfs/dbraw/zinc/64/09/92/848640992.db2.gz YCWMFCRQJPVNAR-LSDHHAIUSA-N 0 1 276.380 0.971 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1cc(C)ncn1 ZINC001275535127 848753276 /nfs/dbraw/zinc/75/32/76/848753276.db2.gz LAHVMRJMOBGSBP-ZDUSSCGKSA-N 0 1 290.367 0.485 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H](C)OCC1CC1 ZINC001275780597 848820998 /nfs/dbraw/zinc/82/09/98/848820998.db2.gz GMUZLJZVGBEWKG-RYUDHWBXSA-N 0 1 252.358 0.871 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)C1(NC(C)=O)CCCC1 ZINC001275673341 848788581 /nfs/dbraw/zinc/78/85/81/848788581.db2.gz SGOGFFVOQVGFCH-CYBMUJFWSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)N(C)[C@@H]1CCNC1=O ZINC001275896622 848860203 /nfs/dbraw/zinc/86/02/03/848860203.db2.gz CCWYWLWFFZTMFQ-VXGBXAGGSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)COc1cccnc1 ZINC001275956788 848874395 /nfs/dbraw/zinc/87/43/95/848874395.db2.gz XPETUQKDEQJMEP-LBPRGKRZSA-N 0 1 261.325 0.530 20 30 CCEDMN C#CC[N@H+](C)[C@H](C)CNC(=O)c1ccc2nnn(C)c2c1 ZINC001275988330 848883623 /nfs/dbraw/zinc/88/36/23/848883623.db2.gz YGJUALKAKKBGST-LLVKDONJSA-N 0 1 285.351 0.652 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccc2nnn(C)c2c1 ZINC001275988330 848883624 /nfs/dbraw/zinc/88/36/24/848883624.db2.gz YGJUALKAKKBGST-LLVKDONJSA-N 0 1 285.351 0.652 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnc(OCC)cn1 ZINC001276021465 848893186 /nfs/dbraw/zinc/89/31/86/848893186.db2.gz RNNTTXVXRHEYMC-NSHDSACASA-N 0 1 276.340 0.559 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN(C)c1ncnc2[nH]cnc21 ZINC001095507206 848965619 /nfs/dbraw/zinc/96/56/19/848965619.db2.gz LGPKFDUFEJTQRL-SECBINFHSA-N 0 1 287.327 0.455 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCNC1=O)C2 ZINC001095526455 848975949 /nfs/dbraw/zinc/97/59/49/848975949.db2.gz JQDPVKWXMAMIAW-CRWXNKLISA-N 0 1 289.379 0.257 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCN(C)C1=O)C2 ZINC001095587388 848994766 /nfs/dbraw/zinc/99/47/66/848994766.db2.gz KABWAWAUGPOXAF-CRWXNKLISA-N 0 1 291.395 0.762 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(=O)N(C)C)C2 ZINC001111112475 849072033 /nfs/dbraw/zinc/07/20/33/849072033.db2.gz PHEDAKMRBGUZNQ-UTUOFQBUSA-N 0 1 265.357 0.372 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)c1cnc(CN)nc1 ZINC000687020317 849089188 /nfs/dbraw/zinc/08/91/88/849089188.db2.gz AGFQKJJCLYHAQV-UHFFFAOYSA-N 0 1 278.312 0.127 20 30 CCEDMN C[N@H+]1CCN(Cc2ccc(F)c(C#N)c2)C[C@@H]1CO ZINC000687597531 849123452 /nfs/dbraw/zinc/12/34/52/849123452.db2.gz GBYPDJGBZAXDFF-CYBMUJFWSA-N 0 1 263.316 0.806 20 30 CCEDMN CN1CCN(Cc2ccc(F)c(C#N)c2)C[C@@H]1CO ZINC000687597531 849123458 /nfs/dbraw/zinc/12/34/58/849123458.db2.gz GBYPDJGBZAXDFF-CYBMUJFWSA-N 0 1 263.316 0.806 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCOCCCOC)C2 ZINC001111254125 849133156 /nfs/dbraw/zinc/13/31/56/849133156.db2.gz JYELIZQGYXFQKZ-KFWWJZLASA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCC(=O)N1C)C2 ZINC001095860523 849204006 /nfs/dbraw/zinc/20/40/06/849204006.db2.gz XJEPGVWTBCJMPY-CRWXNKLISA-N 0 1 289.379 0.352 20 30 CCEDMN C=CCN1CC[C@@H]1CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001038648804 849227384 /nfs/dbraw/zinc/22/73/84/849227384.db2.gz XACGKEZEVNFXDW-MNOVXSKESA-N 0 1 275.356 0.601 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OC)C(C)C ZINC001114781514 849394723 /nfs/dbraw/zinc/39/47/23/849394723.db2.gz SGRCWNNCUWSPOW-LOWDOPEQSA-N 0 1 250.342 0.337 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CF)CCC1 ZINC001114864311 849447975 /nfs/dbraw/zinc/44/79/75/849447975.db2.gz ARGHMQXMBSOXSH-WDNDVIMCSA-N 0 1 294.370 0.822 20 30 CCEDMN N#Cc1nccc(N[C@@H]2CCCN3CCSC[C@H]23)n1 ZINC000720406781 849454214 /nfs/dbraw/zinc/45/42/14/849454214.db2.gz XNXICYQEEJAYEY-GHMZBOCLSA-N 0 1 275.381 0.762 20 30 CCEDMN CC[C@@H]1CN(C(=O)CCc2c[nH]nn2)CC[C@@H]1NCC#N ZINC001037924038 849607245 /nfs/dbraw/zinc/60/72/45/849607245.db2.gz WCGDHDRYPSQEHW-YPMHNXCESA-N 0 1 290.371 0.478 20 30 CCEDMN CC[C@@H]1CN(C(=O)CCc2cnn[nH]2)CC[C@@H]1NCC#N ZINC001037924038 849607251 /nfs/dbraw/zinc/60/72/51/849607251.db2.gz WCGDHDRYPSQEHW-YPMHNXCESA-N 0 1 290.371 0.478 20 30 CCEDMN C=C[C@@H](O)CNCc1ccc(S(C)(=O)=O)cn1 ZINC001253599593 849610147 /nfs/dbraw/zinc/61/01/47/849610147.db2.gz QGQOWHJBWGIRBT-SNVBAGLBSA-N 0 1 256.327 0.122 20 30 CCEDMN C[C@H](O)CN1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038173679 849807952 /nfs/dbraw/zinc/80/79/52/849807952.db2.gz CEGWBVDNVKAHFP-JOYOIKCWSA-N 0 1 262.313 0.071 20 30 CCEDMN C[C@H](O)CN1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038173678 849808856 /nfs/dbraw/zinc/80/88/56/849808856.db2.gz CEGWBVDNVKAHFP-CABZTGNLSA-N 0 1 262.313 0.071 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1cncc2nc[nH]c21 ZINC001038276330 849836776 /nfs/dbraw/zinc/83/67/76/849836776.db2.gz DFAWPKQKZOBMRW-SECBINFHSA-N 0 1 270.296 0.286 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCCNC1=O ZINC001038366020 849866059 /nfs/dbraw/zinc/86/60/59/849866059.db2.gz IXJPSIFUCCOGMF-NEPJUHHUSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC[C@@H]1CCN1CCCF ZINC001038369300 849868024 /nfs/dbraw/zinc/86/80/24/849868024.db2.gz UOPAHSAFEJLCKZ-KBPBESRZSA-N 0 1 281.375 0.634 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCn2cncc2C1 ZINC001038414653 849886867 /nfs/dbraw/zinc/88/68/67/849886867.db2.gz BINJSYKRECZNGA-KBPBESRZSA-N 0 1 286.379 0.659 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@@H]1CCN1CC#N ZINC001038417216 849887756 /nfs/dbraw/zinc/88/77/56/849887756.db2.gz NPVRHNIQBLHNEP-RYUDHWBXSA-N 0 1 250.346 0.185 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001038431786 849896041 /nfs/dbraw/zinc/89/60/41/849896041.db2.gz MAUQWNIDAUFKEE-NWDGAFQWSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(C(N)=O)cn1C ZINC001038467583 849911584 /nfs/dbraw/zinc/91/15/84/849911584.db2.gz FCKVSUTZGQBNEW-GFCCVEGCSA-N 0 1 290.367 0.504 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(OC)nc1 ZINC001038479024 849917335 /nfs/dbraw/zinc/91/73/35/849917335.db2.gz YWOHBNWFQSPVNL-CYBMUJFWSA-N 0 1 273.336 0.918 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001038513990 849929785 /nfs/dbraw/zinc/92/97/85/849929785.db2.gz GSOOZUDADFLCEH-NSHDSACASA-N 0 1 291.351 0.764 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)NC[C@H]1CCN1CCCO ZINC001038798760 850020106 /nfs/dbraw/zinc/02/01/06/850020106.db2.gz HGGBUSNXGBICMW-ILXRZTDVSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001038819337 850026873 /nfs/dbraw/zinc/02/68/73/850026873.db2.gz YVBNWGWNMYVLNL-GFCCVEGCSA-N 0 1 290.367 0.643 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1CN(C(C)=O)C1 ZINC001039366678 850180980 /nfs/dbraw/zinc/18/09/80/850180980.db2.gz VLIHAPBJOJOPEY-CABCVRRESA-N 0 1 289.379 0.163 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCNC1=O ZINC001039416942 850187510 /nfs/dbraw/zinc/18/75/10/850187510.db2.gz OZEKFAOSMTXHQS-HZSPNIEDSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ncc[nH]3)C[C@@H]21 ZINC001042047771 850568983 /nfs/dbraw/zinc/56/89/83/850568983.db2.gz XVWWARHKGWSTPY-RYUDHWBXSA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnco3)C[C@H]21 ZINC001042170674 850587127 /nfs/dbraw/zinc/58/71/27/850587127.db2.gz TVJPGPCDKAIDAL-VXGBXAGGSA-N 0 1 259.309 0.844 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3coc(OC)n3)C[C@@H]21 ZINC001042163982 850587998 /nfs/dbraw/zinc/58/79/98/850587998.db2.gz CQYROJPAHISCBV-YPMHNXCESA-N 0 1 289.335 0.853 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3nc[nH]n3)C[C@H]21 ZINC001042275767 850607618 /nfs/dbraw/zinc/60/76/18/850607618.db2.gz WFCUHXXFJQZPIK-VXGBXAGGSA-N 0 1 273.340 0.364 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cnon3)C[C@@H]21 ZINC001042283223 850609728 /nfs/dbraw/zinc/60/97/28/850609728.db2.gz JQKYBJZGRDXJKT-YPMHNXCESA-N 0 1 274.324 0.629 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)Cc2cc(C)n[nH]2)C1 ZINC001042605907 850709852 /nfs/dbraw/zinc/70/98/52/850709852.db2.gz XVHZRBIMHBFLPR-UHFFFAOYSA-N 0 1 262.357 0.979 20 30 CCEDMN C#CCCN1CC(N(C)C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001044155276 851025423 /nfs/dbraw/zinc/02/54/23/851025423.db2.gz CZVKROMDAIHOOG-GFCCVEGCSA-N 0 1 286.379 0.681 20 30 CCEDMN CN(C)c1ccncc1C(=O)N(C)C1CN(CC#N)C1 ZINC001044193297 851038271 /nfs/dbraw/zinc/03/82/71/851038271.db2.gz DFEHHISVKCRDIA-UHFFFAOYSA-N 0 1 273.340 0.427 20 30 CCEDMN C=CCN1CCC[C@H](NC[C@@H](O)C(=O)OC(C)(C)C)C1=O ZINC001253706033 851045949 /nfs/dbraw/zinc/04/59/49/851045949.db2.gz HNLHCNZDGKRAEK-NWDGAFQWSA-N 0 1 298.383 0.456 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cccn3nnnc23)CC1 ZINC001045449559 851260539 /nfs/dbraw/zinc/26/05/39/851260539.db2.gz FASPCDUGDQMLKL-UHFFFAOYSA-N 0 1 298.350 0.342 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC001045464798 851262511 /nfs/dbraw/zinc/26/25/11/851262511.db2.gz UUKRGOGPSMMFHF-STQMWFEESA-N 0 1 280.368 0.394 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC#N ZINC001045824754 851319504 /nfs/dbraw/zinc/31/95/04/851319504.db2.gz ZFXRFAUFLXOTKK-MNOVXSKESA-N 0 1 274.328 0.316 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnc(C)[nH]2)C1 ZINC001046195874 851418267 /nfs/dbraw/zinc/41/82/67/851418267.db2.gz ARJFFKHBIJLRTJ-AWEZNQCLSA-N 0 1 260.341 0.936 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cn(C)nn2)C1 ZINC001046291205 851453781 /nfs/dbraw/zinc/45/37/81/851453781.db2.gz AJXOIXDGUMMFHT-CYBMUJFWSA-N 0 1 261.329 0.033 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cncc3[nH]cnc32)C1 ZINC001046335304 851469264 /nfs/dbraw/zinc/46/92/64/851469264.db2.gz IRHLCTDOVFHDJR-HNNXBMFYSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001046429451 851498946 /nfs/dbraw/zinc/49/89/46/851498946.db2.gz SHAXOYZBSWXKEC-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001046558965 851550228 /nfs/dbraw/zinc/55/02/28/851550228.db2.gz WMKNNTHVUBMIDX-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@@]1(NC(=O)[C@@H]2CCCc3n[nH]nc32)CCN(CC#N)C1 ZINC001046576945 851552673 /nfs/dbraw/zinc/55/26/73/851552673.db2.gz UITGWNDMBPBDFA-QMTHXVAHSA-N 0 1 288.355 0.329 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001046604060 851563321 /nfs/dbraw/zinc/56/33/21/851563321.db2.gz GOKVVLHURAPONS-WFASDCNBSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCC[N@@H+]1CC[C@](C)(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001046622430 851568678 /nfs/dbraw/zinc/56/86/78/851568678.db2.gz ZOYVQMOKIQZBKM-ZENOOKHLSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cnn3cc[nH]c23)C1 ZINC001046797378 851616633 /nfs/dbraw/zinc/61/66/33/851616633.db2.gz ZIGIYOVXRVHQMQ-CQSZACIVSA-N 0 1 271.324 0.490 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001046827710 851623013 /nfs/dbraw/zinc/62/30/13/851623013.db2.gz UPEZEJDWYFAEFI-OAHLLOKOSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001046857785 851633352 /nfs/dbraw/zinc/63/33/52/851633352.db2.gz HUFRFVFQRJQOEJ-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2[nH]nnc2C)C1 ZINC001046961827 851650318 /nfs/dbraw/zinc/65/03/18/851650318.db2.gz SEYRGJRPNFRZQO-JTQLQIEISA-N 0 1 299.325 0.986 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cccc(F)c2)C1 ZINC001047286003 851698946 /nfs/dbraw/zinc/69/89/46/851698946.db2.gz ZQXUHVDJBLGPNP-GJZGRUSLSA-N 0 1 290.338 0.966 20 30 CCEDMN C=C(Cl)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)[nH]n2)C1 ZINC001047315689 851711420 /nfs/dbraw/zinc/71/14/20/851711420.db2.gz LWUIHCSNGPSMBE-RYUDHWBXSA-N 0 1 298.774 0.588 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)CC2CCC2)C1 ZINC001047331356 851721162 /nfs/dbraw/zinc/72/11/62/851721162.db2.gz GUSWAJASQGHVOI-STQMWFEESA-N 0 1 252.358 0.866 20 30 CCEDMN CCCc1cc(C(=O)N(C)[C@H]2CN(CC#N)C[C@@H]2O)n[nH]1 ZINC001047479184 851778134 /nfs/dbraw/zinc/77/81/34/851778134.db2.gz DQUYMSGSVXJMAB-STQMWFEESA-N 0 1 291.355 0.003 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nc3ncccn3n1)C2 ZINC001096205764 851798982 /nfs/dbraw/zinc/79/89/82/851798982.db2.gz SUOYWGVPLBIQQI-WOPDTQHZSA-N 0 1 298.350 0.645 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cncn1C)C2 ZINC001096350824 851948381 /nfs/dbraw/zinc/94/83/81/851948381.db2.gz VUWJXWPQIGRCPC-XBFCOCLRSA-N 0 1 272.352 0.317 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)CC(N)=O)C[C@@H]2C1 ZINC001048732610 852039370 /nfs/dbraw/zinc/03/93/70/852039370.db2.gz HERQJKKDELLRBR-AOOOYVTPSA-N 0 1 271.748 0.005 20 30 CCEDMN O=C(C#CC1CC1)N1C[C@H]2CN(CCF)C[C@H]2C1 ZINC001048809828 852071418 /nfs/dbraw/zinc/07/14/18/852071418.db2.gz ACOFJAUJXUJZCA-BETUJISGSA-N 0 1 250.317 0.760 20 30 CCEDMN CC#CCN1C[C@@H]2CN(C(=O)c3cn(C)ccc3=O)C[C@@H]2C1 ZINC001048929004 852113552 /nfs/dbraw/zinc/11/35/52/852113552.db2.gz PFRSGXWSIIRJDC-OKILXGFUSA-N 0 1 299.374 0.412 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1C[C@@H]2C[N@@H+]([C@@H](C)C(N)=O)C[C@@H]2C1 ZINC001048976301 852125068 /nfs/dbraw/zinc/12/50/68/852125068.db2.gz HFBKQDRFFJGGRO-RWMBFGLXSA-N 0 1 293.411 0.853 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CN([C@@H](C)C(N)=O)C[C@H]2C1 ZINC001048976301 852125072 /nfs/dbraw/zinc/12/50/72/852125072.db2.gz HFBKQDRFFJGGRO-RWMBFGLXSA-N 0 1 293.411 0.853 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCCO1 ZINC001049308204 852225300 /nfs/dbraw/zinc/22/53/00/852225300.db2.gz QDQZYAGWVNUUTO-MGPQQGTHSA-N 0 1 262.353 0.864 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)OC ZINC001049362215 852241226 /nfs/dbraw/zinc/24/12/26/852241226.db2.gz USAHICAAMLHSTK-JHJVBQTASA-N 0 1 250.342 0.720 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnn(C)c1 ZINC001049318112 852229453 /nfs/dbraw/zinc/22/94/53/852229453.db2.gz JDZJNZJZOGKIIB-KBPBESRZSA-N 0 1 272.352 0.732 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@H]1CCCN2C(=O)c1ccn[nH]1 ZINC001049347861 852239448 /nfs/dbraw/zinc/23/94/48/852239448.db2.gz WBHZAEBOPPMRHP-OLZOCXBDSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc[nH]n1 ZINC001049347861 852239485 /nfs/dbraw/zinc/23/94/85/852239485.db2.gz WBHZAEBOPPMRHP-OLZOCXBDSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccn[nH]1 ZINC001049347861 852239498 /nfs/dbraw/zinc/23/94/98/852239498.db2.gz WBHZAEBOPPMRHP-OLZOCXBDSA-N 0 1 258.325 0.722 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCC[C@@H]2[C@@H]1CCN2CC#N ZINC001049370506 852246565 /nfs/dbraw/zinc/24/65/65/852246565.db2.gz VWINVCXQNARBIJ-HZSPNIEDSA-N 0 1 276.384 0.669 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)CO[C@@H]1CCOC1 ZINC001049476018 852292317 /nfs/dbraw/zinc/29/23/17/852292317.db2.gz QVRPQKSAYQVEHU-RBSFLKMASA-N 0 1 292.379 0.490 20 30 CCEDMN C=CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1nnn(C)n1 ZINC001049653373 852333322 /nfs/dbraw/zinc/33/33/22/852333322.db2.gz ZYBXHAFYUPOXTG-WDEREUQCSA-N 0 1 276.344 0.075 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@]1(F)CCOC1 ZINC001049672367 852343902 /nfs/dbraw/zinc/34/39/02/852343902.db2.gz LMMUHUCSUJRHFW-UMVBOHGHSA-N 0 1 280.343 0.814 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1(C(N)=O)CC1 ZINC001049688864 852352027 /nfs/dbraw/zinc/35/20/27/852352027.db2.gz XCVFAEZDNIUAGP-QWHCGFSZSA-N 0 1 289.379 0.341 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1nonc1C ZINC001049821702 852380725 /nfs/dbraw/zinc/38/07/25/852380725.db2.gz HTWONVBRWINHPC-KBPBESRZSA-N 0 1 288.351 0.619 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@@H]3[C@@H]2CC[N@@H+]3CCO)nc1 ZINC001049856301 852387159 /nfs/dbraw/zinc/38/71/59/852387159.db2.gz YFGWVRAELGFNHA-CVEARBPZSA-N 0 1 299.374 0.734 20 30 CCEDMN N#Cc1cnccc1N[C@@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001096854079 852458029 /nfs/dbraw/zinc/45/80/29/852458029.db2.gz SAOKSMPDZHXDGG-LBPRGKRZSA-N 0 1 297.322 0.114 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CC#N)[nH]n1 ZINC001096942749 852476004 /nfs/dbraw/zinc/47/60/04/852476004.db2.gz PARDIZOLPHFODA-UPJWGTAASA-N 0 1 273.340 0.506 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1COC(=O)N1)C2 ZINC001097024351 852491089 /nfs/dbraw/zinc/49/10/89/852491089.db2.gz GPVHEODUCWQGKS-DBIOUOCHSA-N 0 1 265.313 0.002 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](Nc2ncnc3[nH]cnc32)C1 ZINC001097165517 852512126 /nfs/dbraw/zinc/51/21/26/852512126.db2.gz CKAVTRLXQWCUKV-HLTSFMKQSA-N 0 1 285.311 0.572 20 30 CCEDMN Cc1cc(CC(=O)NC[C@@H](C)Nc2ccc(C#N)nn2)[nH]n1 ZINC001097738167 852596772 /nfs/dbraw/zinc/59/67/72/852596772.db2.gz GKBLENBJBSZPCP-SNVBAGLBSA-N 0 1 299.338 0.539 20 30 CCEDMN Cc1cc(CC(=O)NC[C@@H](C)Nc2cnc(C#N)cn2)[nH]n1 ZINC001097738451 852596794 /nfs/dbraw/zinc/59/67/94/852596794.db2.gz MBXIVTJJVYETDF-SNVBAGLBSA-N 0 1 299.338 0.539 20 30 CCEDMN C[C@H](CNC(=O)CCc1nc[nH]n1)Nc1ccc(C#N)cn1 ZINC001097779213 852609946 /nfs/dbraw/zinc/60/99/46/852609946.db2.gz GDQZDLIKWRCNMS-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCN1CC2(C1)C[C@@H](NC(=O)[C@H]1CCCCN1C)CO2 ZINC001053847883 852834030 /nfs/dbraw/zinc/83/40/30/852834030.db2.gz NWDNOBNVNCVBEG-ZIAGYGMSSA-N 0 1 291.395 0.063 20 30 CCEDMN C#CC[N@@H+]1Cc2ccc(CNC(=O)c3ncn[n-]3)cc2C1 ZINC001054286099 852901100 /nfs/dbraw/zinc/90/11/00/852901100.db2.gz SBWUYRVKGLGSPT-UHFFFAOYSA-N 0 1 281.319 0.684 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CS(C)(=O)=O)C[C@@H]1C ZINC001054461436 852953381 /nfs/dbraw/zinc/95/33/81/852953381.db2.gz PAEDDOIBCRQVRF-WCBMZHEXSA-N 0 1 294.804 0.220 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ncn(C)n2)C[C@H]1C ZINC001054614873 852982506 /nfs/dbraw/zinc/98/25/06/852982506.db2.gz BDEZUWLBNDATMN-PSASIEDQSA-N 0 1 283.763 0.618 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2c[nH]c(=O)cn2)C[C@@H]1C ZINC001055019460 853054883 /nfs/dbraw/zinc/05/48/83/853054883.db2.gz ZHFZKIAUROVWAA-KWQFWETISA-N 0 1 296.758 0.573 20 30 CCEDMN N#Cc1cnc(N2CCCN(C(=O)c3ccn[nH]3)CC2)cn1 ZINC001057092104 853268662 /nfs/dbraw/zinc/26/86/62/853268662.db2.gz WNWNDVFPXPWYRR-UHFFFAOYSA-N 0 1 297.322 0.424 20 30 CCEDMN N#Cc1cnc(NC2CCN(C(=O)c3ccn[nH]3)CC2)cn1 ZINC001057278095 853326108 /nfs/dbraw/zinc/32/61/08/853326108.db2.gz UVFXFVVJYVBYAE-UHFFFAOYSA-N 0 1 297.322 0.788 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1CCCN(CC#N)CC1 ZINC001052250599 853704578 /nfs/dbraw/zinc/70/45/78/853704578.db2.gz HWTXIHFWLWTTLG-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@H](NC(=O)c2[n-]nnc2C)CC1 ZINC001052615541 853769983 /nfs/dbraw/zinc/76/99/83/853769983.db2.gz OHQMFRBEOOMIMF-LBPRGKRZSA-N 0 1 275.356 0.721 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](NC(=O)c2[n-]nnc2C)CC1 ZINC001052615541 853769988 /nfs/dbraw/zinc/76/99/88/853769988.db2.gz OHQMFRBEOOMIMF-LBPRGKRZSA-N 0 1 275.356 0.721 20 30 CCEDMN N#Cc1cccnc1N1CC[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001058441842 853847349 /nfs/dbraw/zinc/84/73/49/853847349.db2.gz MXBIXZNXVFZPNA-NSHDSACASA-N 0 1 297.322 0.009 20 30 CCEDMN CC#CCN1C[C@@H](OC)C[C@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070358400 854049465 /nfs/dbraw/zinc/04/94/65/854049465.db2.gz DOOXBHZQBOQZED-RYUDHWBXSA-N 0 1 291.355 0.226 20 30 CCEDMN CC#CCN1C[C@@H](OC)C[C@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070358400 854049468 /nfs/dbraw/zinc/04/94/68/854049468.db2.gz DOOXBHZQBOQZED-RYUDHWBXSA-N 0 1 291.355 0.226 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC001070580902 854079660 /nfs/dbraw/zinc/07/96/60/854079660.db2.gz GANUAOYWMZEUDB-CMPLNLGQSA-N 0 1 289.339 0.219 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cn2cccn2)CC[C@H]1C ZINC001071355022 854166477 /nfs/dbraw/zinc/16/64/77/854166477.db2.gz VNOQXFGKGDGXKD-ZIAGYGMSSA-N 0 1 274.368 0.876 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cc(C)[nH]n2)CC[C@H]1C ZINC001071428083 854190682 /nfs/dbraw/zinc/19/06/82/854190682.db2.gz WBMOSQXOAXXKHJ-CHWSQXEVSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cc(C)n[nH]2)CC[C@H]1C ZINC001071428083 854190685 /nfs/dbraw/zinc/19/06/85/854190685.db2.gz WBMOSQXOAXXKHJ-CHWSQXEVSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2nnc(C)o2)CC[C@@H]1C ZINC001071490640 854219734 /nfs/dbraw/zinc/21/97/34/854219734.db2.gz OZBNULVGQIXPIH-JQWIXIFHSA-N 0 1 276.340 0.523 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2nnc(C)o2)CC[C@@H]1C ZINC001071490639 854219816 /nfs/dbraw/zinc/21/98/16/854219816.db2.gz OZBNULVGQIXPIH-CMPLNLGQSA-N 0 1 276.340 0.523 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cn2nccn2)CC[C@@H]1C ZINC001071534330 854231269 /nfs/dbraw/zinc/23/12/69/854231269.db2.gz PVPKRLMNPVXUSL-STQMWFEESA-N 0 1 275.356 0.271 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@H]1C ZINC001071803463 854297222 /nfs/dbraw/zinc/29/72/22/854297222.db2.gz MONNXZRISFBKKD-NEPJUHHUSA-N 0 1 290.367 0.732 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@@H]1C ZINC001071803465 854297840 /nfs/dbraw/zinc/29/78/40/854297840.db2.gz MONNXZRISFBKKD-RYUDHWBXSA-N 0 1 290.367 0.732 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)CC[C@@H]1C ZINC001071825577 854303137 /nfs/dbraw/zinc/30/31/37/854303137.db2.gz ZCJJSRFXHVAXOG-QWRGUYRKSA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2nonc2C)CC[C@@H]1C ZINC001071950212 854325336 /nfs/dbraw/zinc/32/53/36/854325336.db2.gz GKALMIIAWBVXSL-JQWIXIFHSA-N 0 1 276.340 0.523 20 30 CCEDMN C=CC[N@@H+]1CCC2(CN(C(=O)c3cnnn3C)C2)C1 ZINC001072683380 854445398 /nfs/dbraw/zinc/44/53/98/854445398.db2.gz AUEXFIIUGMHDTJ-UHFFFAOYSA-N 0 1 261.329 0.149 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)[C@@H]3CCCc4c[nH]nc43)C2)C1 ZINC001072735836 854456091 /nfs/dbraw/zinc/45/60/91/854456091.db2.gz SPRWQBZCMFDLFH-CYBMUJFWSA-N 0 1 299.378 0.887 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CC(=O)N[C@@H](C)C3)C2)C1 ZINC001072884803 854487853 /nfs/dbraw/zinc/48/78/53/854487853.db2.gz LFZJRQVKXRSHBR-QWHCGFSZSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cccnc3OC)C2)C1 ZINC001072934758 854496296 /nfs/dbraw/zinc/49/62/96/854496296.db2.gz TUGJWQVFRMLENA-UHFFFAOYSA-N 0 1 285.347 0.871 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)[C@H]3CCCO3)C2)C1 ZINC001072961186 854501644 /nfs/dbraw/zinc/50/16/44/854501644.db2.gz GSFZUSHAEFCMCT-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnc(OC)nc3)C2)C1 ZINC001073101593 854530878 /nfs/dbraw/zinc/53/08/78/854530878.db2.gz SQANKPWUHHBMNZ-UHFFFAOYSA-N 0 1 286.335 0.266 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3C[C@@]34CCOC4)C2)C1 ZINC001073111211 854531437 /nfs/dbraw/zinc/53/14/37/854531437.db2.gz WBEVKMMITYOQBT-XJKSGUPXSA-N 0 1 274.364 0.581 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2ccc[nH]2)C1 ZINC001073533485 854581160 /nfs/dbraw/zinc/58/11/60/854581160.db2.gz GXQOONGMJCIQPN-CYBMUJFWSA-N 0 1 275.352 0.859 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001073546124 854586068 /nfs/dbraw/zinc/58/60/68/854586068.db2.gz YRTMVGFAHXXWLB-STQMWFEESA-N 0 1 268.357 0.416 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cncnc2C)C1 ZINC001073540860 854583031 /nfs/dbraw/zinc/58/30/31/854583031.db2.gz ATLJRNMVPQVBEY-CYBMUJFWSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnc(C)[nH]2)C1 ZINC001073552049 854590347 /nfs/dbraw/zinc/59/03/47/854590347.db2.gz GXQSECJUIJMAQP-LBPRGKRZSA-N 0 1 278.356 0.725 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H]2CCCOC2)C1 ZINC001073549482 854590825 /nfs/dbraw/zinc/59/08/25/854590825.db2.gz ZIPHGAMUWITMET-HUUCEWRRSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ocnc2C)C1 ZINC001073566287 854597254 /nfs/dbraw/zinc/59/72/54/854597254.db2.gz OCKXUEDJKMMBDS-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN C#CCCN1CCO[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC001074181437 854688314 /nfs/dbraw/zinc/68/83/14/854688314.db2.gz UZSSTSGDAJWOMA-KBPBESRZSA-N 0 1 288.351 0.348 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]3OCCN(CC#N)[C@@H]3C2)n[nH]1 ZINC001074185197 854690583 /nfs/dbraw/zinc/69/05/83/854690583.db2.gz YHLLDMOJISMGKR-CHWSQXEVSA-N 0 1 289.339 0.157 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)C[C@H]1C ZINC001075058980 854809708 /nfs/dbraw/zinc/80/97/08/854809708.db2.gz XMUOCMTUZVFDMO-MNOVXSKESA-N 0 1 291.355 0.880 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1ccccc1=O)C2 ZINC001098363741 854861051 /nfs/dbraw/zinc/86/10/51/854861051.db2.gz HXTJHWFOKOYSPZ-MCIONIFRSA-N 0 1 287.363 0.756 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCN1C(C)=O)C2 ZINC001098473492 854868167 /nfs/dbraw/zinc/86/81/67/854868167.db2.gz KOJFAAVCTAXLNJ-APIJFGDWSA-N 0 1 291.395 0.905 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnnn2C)C1 ZINC001098819400 854891057 /nfs/dbraw/zinc/89/10/57/854891057.db2.gz LEDJHKFYHOHRCD-WFASDCNBSA-N 0 1 287.367 0.423 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CC(=O)NC ZINC001099039364 854909885 /nfs/dbraw/zinc/90/98/85/854909885.db2.gz WHPFDMVOLBVAQN-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN CC1(C)CC[C@@H](CNC(=O)Cc2nnc[nH]2)N(CC#N)C1 ZINC001099297441 854925992 /nfs/dbraw/zinc/92/59/92/854925992.db2.gz GZNLGRQQQNMLED-NSHDSACASA-N 0 1 290.371 0.478 20 30 CCEDMN C[C@H](CCNC(=O)c1ncn[nH]1)Nc1nccnc1C#N ZINC001099383119 854929733 /nfs/dbraw/zinc/92/97/33/854929733.db2.gz YYYLFABIAOHASI-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CCNC(=O)c1nc[nH]n1)Nc1nccnc1C#N ZINC001099383119 854929743 /nfs/dbraw/zinc/92/97/43/854929743.db2.gz YYYLFABIAOHASI-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCCC)[C@@H](O)C1 ZINC001099731803 854978845 /nfs/dbraw/zinc/97/88/45/854978845.db2.gz DFKJGHPTODICJN-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCF)[C@@H](O)C1 ZINC001099947751 855043298 /nfs/dbraw/zinc/04/32/98/855043298.db2.gz ZSSZYAVPOIMYSY-NEPJUHHUSA-N 0 1 256.321 0.311 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@H]1CCN(CCO)C[C@@H]1O ZINC001099847472 855010533 /nfs/dbraw/zinc/01/05/33/855010533.db2.gz NGRUJKCRNIZTMV-KBPBESRZSA-N 0 1 282.384 0.299 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccon2)[C@@H](O)C1 ZINC001099915986 855030013 /nfs/dbraw/zinc/03/00/13/855030013.db2.gz HGYHYLHJLOZVAF-OLZOCXBDSA-N 0 1 279.340 0.345 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccon2)[C@H](O)C1 ZINC001099915987 855030310 /nfs/dbraw/zinc/03/03/10/855030310.db2.gz HGYHYLHJLOZVAF-QWHCGFSZSA-N 0 1 279.340 0.345 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2nccn2C)[C@H](O)C1 ZINC001099927412 855034721 /nfs/dbraw/zinc/03/47/21/855034721.db2.gz BGHKEXGBJCMPOP-QWHCGFSZSA-N 0 1 292.383 0.090 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCF)[C@H](O)C1 ZINC001099947754 855043758 /nfs/dbraw/zinc/04/37/58/855043758.db2.gz ZSSZYAVPOIMYSY-VXGBXAGGSA-N 0 1 256.321 0.311 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001099997496 855065275 /nfs/dbraw/zinc/06/52/75/855065275.db2.gz YAMBWNCHZPDZGY-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)C(F)F)[C@@H](O)C1 ZINC001100000933 855067311 /nfs/dbraw/zinc/06/73/11/855067311.db2.gz MVMISDCHDWLADW-QWRGUYRKSA-N 0 1 288.338 0.852 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COc2ccsc2)[C@@H](O)C1 ZINC001100074368 855092389 /nfs/dbraw/zinc/09/23/89/855092389.db2.gz MJTSYVMYWCVKMS-OLZOCXBDSA-N 0 1 296.392 0.864 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCOC(C)C)[C@@H](O)C1 ZINC001100138300 855105802 /nfs/dbraw/zinc/10/58/02/855105802.db2.gz HDBAAJLVBWIWMU-KGLIPLIRSA-N 0 1 284.400 0.929 20 30 CCEDMN C[C@@H](CC(=O)N1CCOCC1)NC1(C#N)CCN(C)CC1 ZINC001256333559 855248437 /nfs/dbraw/zinc/24/84/37/855248437.db2.gz KGSPYOYORKLXLU-ZDUSSCGKSA-N 0 1 294.399 0.201 20 30 CCEDMN CCCN(CCNC(=O)c1ncn[nH]1)c1ncccc1C#N ZINC001101169920 855271451 /nfs/dbraw/zinc/27/14/51/855271451.db2.gz FSHRGJCCZYNAHH-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN CCCN(CCNC(=O)c1nc[nH]n1)c1ncccc1C#N ZINC001101169920 855271459 /nfs/dbraw/zinc/27/14/59/855271459.db2.gz FSHRGJCCZYNAHH-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1C[C@H]2CN(CCF)C[C@@]2(C)C1 ZINC001101233306 855275334 /nfs/dbraw/zinc/27/53/34/855275334.db2.gz GNEAXOMVDPDYCP-GUTXKFCHSA-N 0 1 282.359 0.775 20 30 CCEDMN C#CCCCC(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001101216859 855276641 /nfs/dbraw/zinc/27/66/41/855276641.db2.gz NDZFYTOOUJNSRT-DOMZBBRYSA-N 0 1 277.368 0.056 20 30 CCEDMN Cc1cc(C#N)nc(NCCN(C)C(=O)c2ccn[nH]2)n1 ZINC001101509622 855301330 /nfs/dbraw/zinc/30/13/30/855301330.db2.gz DPPKMGMEMMPVFR-UHFFFAOYSA-N 0 1 285.311 0.564 20 30 CCEDMN CN(CCNc1ccncc1C#N)C(=O)Cc1ccn[nH]1 ZINC001101534827 855309972 /nfs/dbraw/zinc/30/99/72/855309972.db2.gz AHFPKHQINAGUPD-UHFFFAOYSA-N 0 1 284.323 0.211 20 30 CCEDMN CN(CCNc1ncnc2[nH]cnc21)C(=O)C#CC1CC1 ZINC001101595120 855323072 /nfs/dbraw/zinc/32/30/72/855323072.db2.gz GOSIRQPCUHLCBD-UHFFFAOYSA-N 0 1 284.323 0.588 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCC#N)C[C@H]1CNC(=O)CC1CC1 ZINC001101672586 855339854 /nfs/dbraw/zinc/33/98/54/855339854.db2.gz SZWZIAYWLGAYDQ-DGCLKSJQSA-N 0 1 292.383 0.110 20 30 CCEDMN C=CCN1C[C@@H](CNC(=O)CS(=O)(=O)CC)[C@H](C)C1 ZINC001102599513 855450165 /nfs/dbraw/zinc/45/01/65/855450165.db2.gz JJBVCHXYZVVFEC-VXGBXAGGSA-N 0 1 288.413 0.291 20 30 CCEDMN CC[C@@H](CNC(=O)c1cnn[nH]1)Nc1ccncc1C#N ZINC001103371151 855514065 /nfs/dbraw/zinc/51/40/65/855514065.db2.gz JQENGDRNCGSZLP-JTQLQIEISA-N 0 1 285.311 0.114 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](C)COC)C1 ZINC001111657995 855584696 /nfs/dbraw/zinc/58/46/96/855584696.db2.gz UPRUDMLJMGJYDU-YDHLFZDLSA-N 0 1 264.369 0.873 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)COC ZINC001115052887 855637601 /nfs/dbraw/zinc/63/76/01/855637601.db2.gz KNFXLKCWIKRETK-NYTXWWLZSA-N 0 1 294.395 0.355 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](c1cccnc1)N(C)C ZINC001115305733 855660364 /nfs/dbraw/zinc/66/03/64/855660364.db2.gz BYOXTHBGYGQSEI-JJXSEGSLSA-N 0 1 298.390 0.364 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@H](C)Nc2cncc(C#N)n2)n[nH]1 ZINC001115622717 855682526 /nfs/dbraw/zinc/68/25/26/855682526.db2.gz WCEWROAVWADSQY-JTQLQIEISA-N 0 1 299.338 0.952 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1ccn[nH]1)Nc1nccnc1C#N ZINC001115667511 855689177 /nfs/dbraw/zinc/68/91/77/855689177.db2.gz JMWPXWKINJAAIY-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN CCn1cc(C=Nn2c(=O)c(C)n[nH]c2=S)cn1 ZINC001116041097 855713459 /nfs/dbraw/zinc/71/34/59/855713459.db2.gz DCWXLNXVQOPANA-UHFFFAOYSA-N 0 1 264.314 0.334 20 30 CCEDMN C=CCO[C@@H]1CCN([C@@H](C)C(=O)NC[C@H]2CCCO2)C1 ZINC001116555787 855787513 /nfs/dbraw/zinc/78/75/13/855787513.db2.gz IOFROSUWWFWRLZ-BFHYXJOUSA-N 0 1 282.384 0.947 20 30 CCEDMN C#CCOCCN(C)[C@H](C)C(=O)N1CCCCC1 ZINC001117993207 856130049 /nfs/dbraw/zinc/13/00/49/856130049.db2.gz PKKAGQOBFAOKRC-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C[C@@H](c1nc([C@H]2CCCN2)no1)n1cnc(C#N)n1 ZINC001118172367 856185903 /nfs/dbraw/zinc/18/59/03/856185903.db2.gz OHWKFAYIGFXHSI-JGVFFNPUSA-N 0 1 259.273 0.567 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)C(=O)N(C)Cc2cnc[nH]2)C1 ZINC001118509493 856322512 /nfs/dbraw/zinc/32/25/12/856322512.db2.gz UKNAOWHADUKXFW-GFCCVEGCSA-N 0 1 292.339 0.172 20 30 CCEDMN C=CCO[C@H]1CC[N@@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC001119035804 856535764 /nfs/dbraw/zinc/53/57/64/856535764.db2.gz BYHNGZVKDMHOSG-NSHDSACASA-N 0 1 265.313 0.895 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](Cc2cc(C(=O)[O-])nn2C)C1 ZINC001119035804 856535768 /nfs/dbraw/zinc/53/57/68/856535768.db2.gz BYHNGZVKDMHOSG-NSHDSACASA-N 0 1 265.313 0.895 20 30 CCEDMN C#CCCN1CCN(Cc2[nH]nc3c2COCC3)CC1 ZINC001119691843 856839187 /nfs/dbraw/zinc/83/91/87/856839187.db2.gz SKLDXMTXEWQNKB-UHFFFAOYSA-N 0 1 274.368 0.623 20 30 CCEDMN C=CC[C@H]1CCN1Cc1cc(=O)n2nc(N)[nH]c2n1 ZINC001119888067 856908419 /nfs/dbraw/zinc/90/84/19/856908419.db2.gz LYOARWHSYIWZNO-VIFPVBQESA-N 0 1 260.301 0.150 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@@H+](CCOC)CCC(=O)[O-] ZINC001120260047 857022064 /nfs/dbraw/zinc/02/20/64/857022064.db2.gz ORECNQXUISXTGE-JTQLQIEISA-N 0 1 258.318 0.100 20 30 CCEDMN C#CCCCCNC(=O)C(=O)NC[C@H]1CCN1C(C)(C)C ZINC001328010040 914923620 /nfs/dbraw/zinc/92/36/20/914923620.db2.gz VNZZRZDDERHNMI-CYBMUJFWSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@@H]2CC2(F)F)CC1 ZINC001323437309 912346412 /nfs/dbraw/zinc/34/64/12/912346412.db2.gz HBSNDKTUXUXMQV-ZJUUUORDSA-N 0 1 299.321 0.018 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(C)CCN([C@@H](C)C(=O)N(C)C)CC1 ZINC001393058354 912362240 /nfs/dbraw/zinc/36/22/40/912362240.db2.gz MORMFFDGVFWJCF-RYUDHWBXSA-N 0 1 294.399 0.593 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@](C)(CNCc2cnon2)C1 ZINC001393144120 912418380 /nfs/dbraw/zinc/41/83/80/912418380.db2.gz LSZDGBOPJVAYLW-MFKMUULPSA-N 0 1 277.328 0.557 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)CNC(=O)C2CC2)C1 ZINC001323887641 912565037 /nfs/dbraw/zinc/56/50/37/912565037.db2.gz NTGWADISLRFYGY-UHFFFAOYSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H](C)CC(N)=O)C1 ZINC001323965187 912606356 /nfs/dbraw/zinc/60/63/56/912606356.db2.gz ZXYCAJXXRAFVJE-LLVKDONJSA-N 0 1 267.373 0.607 20 30 CCEDMN C[C@@H](C(N)=O)N(C)CCCN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001393614338 912681723 /nfs/dbraw/zinc/68/17/23/912681723.db2.gz MNZOJPCIUAFXGZ-JTQLQIEISA-N 0 1 291.355 0.154 20 30 CCEDMN C=CC[C@@H]1NC(=O)N([C@@H]2CCc3nc[nH]c3C2)C1=O ZINC001324236867 912732795 /nfs/dbraw/zinc/73/27/95/912732795.db2.gz ONDGIHKYHXDNTA-SCZZXKLOSA-N 0 1 260.297 0.764 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cnnn1C ZINC001393926465 912854285 /nfs/dbraw/zinc/85/42/85/912854285.db2.gz FHXXOUWMNVKEDC-IUCAKERBSA-N 0 1 271.752 0.664 20 30 CCEDMN CCOCCC(=O)N[C@@]1(C)CCN(CC#CCOC)C1 ZINC001324589706 912923867 /nfs/dbraw/zinc/92/38/67/912923867.db2.gz QZNCMMIUXJPVCE-HNNXBMFYSA-N 0 1 282.384 0.643 20 30 CCEDMN Cc1c[nH]nc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001324915428 913097085 /nfs/dbraw/zinc/09/70/85/913097085.db2.gz ZRZSMDKKYFEVFY-UHFFFAOYSA-N 0 1 250.287 0.149 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccc(C)nc2)C1 ZINC001325069991 913184479 /nfs/dbraw/zinc/18/44/79/913184479.db2.gz VLIRPVLIDAKWRR-OAHLLOKOSA-N 0 1 273.336 0.190 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CC2CC(F)(F)C2)C1 ZINC001325110036 913219030 /nfs/dbraw/zinc/21/90/30/913219030.db2.gz IVCYVVDLTFYSEI-ZDUSSCGKSA-N 0 1 286.322 0.608 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)CCc2ccon2)C1 ZINC001325111723 913226056 /nfs/dbraw/zinc/22/60/56/913226056.db2.gz VFFCAFBOHYWNKF-OAHLLOKOSA-N 0 1 291.351 0.184 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)Cc2cccc(F)c2)C1 ZINC001325158511 913249868 /nfs/dbraw/zinc/24/98/68/913249868.db2.gz AXEKLDLSOJSJSI-MRXNPFEDSA-N 0 1 290.338 0.554 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(C2CCN([C@H](C)C(N)=O)CC2)CC1 ZINC001394863001 913469787 /nfs/dbraw/zinc/46/97/87/913469787.db2.gz KCSQDSKDKKOZCR-GHMZBOCLSA-N 0 1 292.383 0.381 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C[C@H](C)SC ZINC001480856871 891392134 /nfs/dbraw/zinc/39/21/34/891392134.db2.gz JLEJHWLBHXENGH-LBPRGKRZSA-N 0 1 272.414 0.826 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H](C)C(C)(F)F ZINC001266326959 891446751 /nfs/dbraw/zinc/44/67/51/891446751.db2.gz RUTBBYVBTZBYEN-LLVKDONJSA-N 0 1 276.327 0.976 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cn(CC)nc1C ZINC001282466124 891452555 /nfs/dbraw/zinc/45/25/55/891452555.db2.gz AJHKQYNRNJCKLH-LLVKDONJSA-N 0 1 262.357 0.895 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](C)N(C)C(=O)Cc1ccn[nH]1 ZINC001395162648 913569889 /nfs/dbraw/zinc/56/98/89/913569889.db2.gz VSEWQKYYLQNYBD-UWVGGRQHSA-N 0 1 277.328 0.075 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CCC(=O)N1 ZINC001419185406 891933481 /nfs/dbraw/zinc/93/34/81/891933481.db2.gz ZALXTBDFHYSDNI-VHSXEESVSA-N 0 1 273.764 0.502 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cncc(F)c1 ZINC001480969633 891993621 /nfs/dbraw/zinc/99/36/21/891993621.db2.gz KNSUOOHAVKUUCX-UHFFFAOYSA-N 0 1 293.342 0.922 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CO[C@H](C)C1 ZINC001480984204 892017932 /nfs/dbraw/zinc/01/79/32/892017932.db2.gz DHWROJFXKVEDJE-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H]1CCN(C(=O)c2[nH]nnc2C)C1 ZINC001493344584 892046657 /nfs/dbraw/zinc/04/66/57/892046657.db2.gz NIVQPCBPTZTCKJ-JTQLQIEISA-N 0 1 291.355 0.656 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCCN1[C@H]1CCN(C)C1=O ZINC001481030398 892086856 /nfs/dbraw/zinc/08/68/56/892086856.db2.gz GJGSACYXZRKILQ-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001481073245 892130731 /nfs/dbraw/zinc/13/07/31/892130731.db2.gz ITAKDHFUGYEIAM-CJNGLKHVSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN(CCNC(=O)c1cnc2n[nH]nc2c1)C1CC1 ZINC001481172359 892271463 /nfs/dbraw/zinc/27/14/63/892271463.db2.gz BPBKVHROPIRBPP-UHFFFAOYSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCN(CCNC(=O)c1c(C)nn(C)c1F)C1CC1 ZINC001481195138 892291422 /nfs/dbraw/zinc/29/14/22/892291422.db2.gz IKIJUIKYQIWUKK-UHFFFAOYSA-N 0 1 278.331 0.695 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCN[C@@H](C)c1cnccn1 ZINC001481214825 892360639 /nfs/dbraw/zinc/36/06/39/892360639.db2.gz AXFPHZAZTMMEOM-QWRGUYRKSA-N 0 1 261.329 0.745 20 30 CCEDMN COc1cncc(CNCCN(C)C(=O)[C@H](C)C#N)n1 ZINC001481216047 892363521 /nfs/dbraw/zinc/36/35/21/892363521.db2.gz RLKKWBLCADHXAA-SNVBAGLBSA-N 0 1 277.328 0.193 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc2c(c1)COC2 ZINC001283814777 892434516 /nfs/dbraw/zinc/43/45/16/892434516.db2.gz INRBIWJXLJUBDR-AWEZNQCLSA-N 0 1 274.320 0.030 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2c[nH]nc2CC)C1 ZINC001481632593 892938768 /nfs/dbraw/zinc/93/87/68/892938768.db2.gz FVRBAKBAIXXASE-UHFFFAOYSA-N 0 1 292.383 0.836 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2cn(C)nc2C)C1 ZINC001481706964 893057045 /nfs/dbraw/zinc/05/70/45/893057045.db2.gz LZGDWVBEKPKIQV-OAHLLOKOSA-N 0 1 288.395 0.875 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CC[C@@H]2CCOC2)C1 ZINC001481720554 893090426 /nfs/dbraw/zinc/09/04/26/893090426.db2.gz QLWOUSNGTQOZCJ-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN CC[C@@H](CNC(=O)C#CC1CC1)NCc1cnnn1C ZINC001481810989 893180983 /nfs/dbraw/zinc/18/09/83/893180983.db2.gz KPLNGFXXPKFLCB-LBPRGKRZSA-N 0 1 275.356 0.213 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C[C@H]1CCC(=O)N1 ZINC001482341626 893890037 /nfs/dbraw/zinc/89/00/37/893890037.db2.gz BUZHLKCEIVWZOB-WDEREUQCSA-N 0 1 287.791 0.844 20 30 CCEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)CC(N)=O ZINC001482379886 893933624 /nfs/dbraw/zinc/93/36/24/893933624.db2.gz QSMOQLCVUHCOLR-SNVBAGLBSA-N 0 1 275.780 0.735 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@]1(C)CCC(=O)NC1 ZINC001482621340 894421294 /nfs/dbraw/zinc/42/12/94/894421294.db2.gz MXMCLKQXIPZJAE-OAHLLOKOSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](C)[C@@H]1CCCO1 ZINC001482627882 894426076 /nfs/dbraw/zinc/42/60/76/894426076.db2.gz VRPRKFQYYFPYLJ-STQMWFEESA-N 0 1 252.358 0.825 20 30 CCEDMN C=CC[N@H+](C)CCN(C)C(=O)c1c[n-]n2c1nccc2=O ZINC001482632464 894435293 /nfs/dbraw/zinc/43/52/93/894435293.db2.gz SVKCIMSUJHZZAZ-UHFFFAOYSA-N 0 1 289.339 0.212 20 30 CCEDMN CCc1nc(C)c(CNC[C@@H](O)CNC(=O)[C@@H](C)C#N)o1 ZINC001482716350 894511781 /nfs/dbraw/zinc/51/17/81/894511781.db2.gz MXFNDEIECMZYEG-GXSJLCMTSA-N 0 1 294.355 0.272 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)[C@@H](C)CC ZINC001482982465 894755136 /nfs/dbraw/zinc/75/51/36/894755136.db2.gz YLWHXRUIYPCETH-DGMVEKRQSA-N 0 1 265.357 0.044 20 30 CCEDMN Cc1nc(CNCC=CCNC(=O)C#CC2CC2)n[nH]1 ZINC001483008572 894799434 /nfs/dbraw/zinc/79/94/34/894799434.db2.gz YEIFNFWRWUALCY-NSCUHMNNSA-N 0 1 273.340 0.289 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H](C)CC(N)=O ZINC001483044993 894841841 /nfs/dbraw/zinc/84/18/41/894841841.db2.gz TZOBIDOUTDDCDN-NWALNABHSA-N 0 1 273.764 0.512 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cc1ncc[nH]1 ZINC001483178943 895071219 /nfs/dbraw/zinc/07/12/19/895071219.db2.gz JNXNGZQDUJUUKN-SECBINFHSA-N 0 1 256.737 0.799 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cc(OC)no1 ZINC001483329758 895461899 /nfs/dbraw/zinc/46/18/99/895461899.db2.gz KXAZTAOVIJBRLU-VIFPVBQESA-N 0 1 251.286 0.367 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccnn1CC ZINC001483333993 895470258 /nfs/dbraw/zinc/47/02/58/895470258.db2.gz XUBHNAQOFJTUIJ-LBPRGKRZSA-N 0 1 262.357 0.976 20 30 CCEDMN CCCC[C@@H](C(N)=O)N(C)C[C@@H](C)NC(=O)C#CC1CC1 ZINC001483336363 895475067 /nfs/dbraw/zinc/47/50/67/895475067.db2.gz MJNSDNLZIHKEOP-OCCSQVGLSA-N 0 1 293.411 0.880 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001483350580 895488372 /nfs/dbraw/zinc/48/83/72/895488372.db2.gz FTGHMAQNMVAHEE-JTQLQIEISA-N 0 1 288.351 0.743 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@H](NC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001396862063 913972017 /nfs/dbraw/zinc/97/20/17/913972017.db2.gz HTYGHPHTEXXABH-ONGXEEELSA-N 0 1 289.339 0.599 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)CN(C)[C@H]1CCCNC1=O ZINC001483373038 895509164 /nfs/dbraw/zinc/50/91/64/895509164.db2.gz SVVFDLSDGQLDHL-NEPJUHHUSA-N 0 1 281.400 0.914 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)Cc1cnoc1 ZINC001483376493 895514771 /nfs/dbraw/zinc/51/47/71/895514771.db2.gz IMUSRFIFMDGHPX-LBPRGKRZSA-N 0 1 279.340 0.303 20 30 CCEDMN CN(CCCNCc1nnnn1C)C(=O)C#CC(C)(C)C ZINC001483465059 895588975 /nfs/dbraw/zinc/58/89/75/895588975.db2.gz VMEQGNLTKFFDBV-UHFFFAOYSA-N 0 1 292.387 0.198 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)CN1CCCC1=O ZINC001483628149 895747253 /nfs/dbraw/zinc/74/72/53/895747253.db2.gz DZDSFCPIPYZHRL-CYBMUJFWSA-N 0 1 295.383 0.002 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)C[C@@H]1CCOC1 ZINC001483638745 895771524 /nfs/dbraw/zinc/77/15/24/895771524.db2.gz VCSUOYCJDKWXAV-KBPBESRZSA-N 0 1 282.384 0.806 20 30 CCEDMN N#CC1(CNC(=O)CCN2CC[C@H](F)C2)CCOCC1 ZINC001365151706 895861410 /nfs/dbraw/zinc/86/14/10/895861410.db2.gz OGQWQCWTADUFMQ-LBPRGKRZSA-N 0 1 283.347 0.857 20 30 CCEDMN C=CCC1(C(=O)NCC2(NCC(N)=O)CC2)CCOCC1 ZINC001483786745 896050470 /nfs/dbraw/zinc/05/04/70/896050470.db2.gz GLQPGDFHFXDBOK-UHFFFAOYSA-N 0 1 295.383 0.083 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)COCCOC ZINC001483807127 896063771 /nfs/dbraw/zinc/06/37/71/896063771.db2.gz AOKQEYNOOARLNR-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)COCCOCC ZINC001483812458 896064319 /nfs/dbraw/zinc/06/43/19/896064319.db2.gz LFXYIPHFFBHAGU-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](O)(CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001484226787 896255049 /nfs/dbraw/zinc/25/50/49/896255049.db2.gz VRYRWVUZSKSSFV-MRXNPFEDSA-N 0 1 298.346 0.314 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC001484226787 896255067 /nfs/dbraw/zinc/25/50/67/896255067.db2.gz VRYRWVUZSKSSFV-MRXNPFEDSA-N 0 1 298.346 0.314 20 30 CCEDMN CC#CC[N@H+]1CC[C@](O)(CNC(=O)[C@@H](F)C(C)C)C1 ZINC001484229462 896264023 /nfs/dbraw/zinc/26/40/23/896264023.db2.gz ALBDVVDORYAWCI-JSGCOSHPSA-N 0 1 270.348 0.557 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@@H](F)C(C)C)C1 ZINC001484229462 896264038 /nfs/dbraw/zinc/26/40/38/896264038.db2.gz ALBDVVDORYAWCI-JSGCOSHPSA-N 0 1 270.348 0.557 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](O)(CNC(=O)[C@@H](F)C(C)C)C1 ZINC001484229461 896266426 /nfs/dbraw/zinc/26/64/26/896266426.db2.gz ALBDVVDORYAWCI-GXTWGEPZSA-N 0 1 270.348 0.557 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@@H](F)C(C)C)C1 ZINC001484229461 896266439 /nfs/dbraw/zinc/26/64/39/896266439.db2.gz ALBDVVDORYAWCI-GXTWGEPZSA-N 0 1 270.348 0.557 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001484236374 896272047 /nfs/dbraw/zinc/27/20/47/896272047.db2.gz HPPQHBBZVRCYGV-HGTKMLMNSA-N 0 1 292.379 0.130 20 30 CCEDMN CC(C)C[C@@H](C)CN1CC(O)(CNC(=O)[C@@H](C)C#N)C1 ZINC001484264659 896294967 /nfs/dbraw/zinc/29/49/67/896294967.db2.gz QVXNNXNHYHIPDC-OLZOCXBDSA-N 0 1 281.400 0.991 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)Cc1ccon1 ZINC001485051412 896738876 /nfs/dbraw/zinc/73/88/76/896738876.db2.gz WBNVJJYWYFVHNI-CYBMUJFWSA-N 0 1 261.325 0.773 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CCN(C)C1=O ZINC001485066821 896741811 /nfs/dbraw/zinc/74/18/11/896741811.db2.gz BTCIQHPCZIVPLA-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)COCc1ccnn1C ZINC001485317696 896941839 /nfs/dbraw/zinc/94/18/39/896941839.db2.gz ADIHOQCZHKLVDH-ZDUSSCGKSA-N 0 1 292.383 0.397 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001397249991 914115396 /nfs/dbraw/zinc/11/53/96/914115396.db2.gz BTFSRJJHBCXOIU-WYUUTHIRSA-N 0 1 278.356 0.004 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001485534162 897112110 /nfs/dbraw/zinc/11/21/10/897112110.db2.gz ZBQOVRFFZFOTEH-SWLSCSKDSA-N 0 1 293.411 0.607 20 30 CCEDMN C=CCN1C[C@H](NC(=O)CNC(C)=O)C(C)(C)C1 ZINC001485561712 897123383 /nfs/dbraw/zinc/12/33/83/897123383.db2.gz OMCCQPQIAXULMY-NSHDSACASA-N 0 1 253.346 0.135 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)[C@H](C)Cc1cnc[nH]1 ZINC001326754700 914140368 /nfs/dbraw/zinc/14/03/68/914140368.db2.gz HSRXHJOBNJBWFQ-BXKDBHETSA-N 0 1 250.302 0.633 20 30 CCEDMN COCCCCN1CCO[C@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001107821369 897324570 /nfs/dbraw/zinc/32/45/70/897324570.db2.gz NYGSQFJLAAXGGD-DZGCQCFKSA-N 0 1 297.399 0.780 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](O)C(C)C ZINC001032386275 897401529 /nfs/dbraw/zinc/40/15/29/897401529.db2.gz ZHCRGZRNRLLIED-RWMBFGLXSA-N 0 1 252.358 0.865 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@@H](C)NC(=O)c2ncn[nH]2)n1 ZINC001107894409 897453534 /nfs/dbraw/zinc/45/35/34/897453534.db2.gz LPUADMOLMPZYJR-SECBINFHSA-N 0 1 285.311 0.610 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@@H](C)NC(=O)c2nc[nH]n2)n1 ZINC001107894409 897453539 /nfs/dbraw/zinc/45/35/39/897453539.db2.gz LPUADMOLMPZYJR-SECBINFHSA-N 0 1 285.311 0.610 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001032404438 897466184 /nfs/dbraw/zinc/46/61/84/897466184.db2.gz IBEGMDADLXDRHY-SRVKXCTJSA-N 0 1 285.351 0.638 20 30 CCEDMN C=CCCNC(=S)NC[C@]1(O)CCNC[C@@H]1F ZINC001239352851 897504201 /nfs/dbraw/zinc/50/42/01/897504201.db2.gz AZJJDBNEYHBCNW-GXSJLCMTSA-N 0 1 261.366 0.089 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCNC1=O ZINC001032442410 897573441 /nfs/dbraw/zinc/57/34/41/897573441.db2.gz VGDRSMIJQSEKOX-AGIUHOORSA-N 0 1 277.368 0.374 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCNC1=O ZINC001032442410 897573448 /nfs/dbraw/zinc/57/34/48/897573448.db2.gz VGDRSMIJQSEKOX-AGIUHOORSA-N 0 1 277.368 0.374 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1CN2CC#N ZINC001032476956 897623710 /nfs/dbraw/zinc/62/37/10/897623710.db2.gz BESMFRIOCTVSLA-AVGNSLFASA-N 0 1 262.357 0.279 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](C)O ZINC001032561763 897746127 /nfs/dbraw/zinc/74/61/27/897746127.db2.gz AGMGNEKAFPOTJM-SRVKXCTJSA-N 0 1 252.358 0.865 20 30 CCEDMN C#CCN1CCC2(CC[NH+](Cc3c[nH+]ccc3N)CC2)C1=O ZINC001272808276 897753566 /nfs/dbraw/zinc/75/35/66/897753566.db2.gz NPINXIVSWNDECT-UHFFFAOYSA-N 0 1 298.390 0.942 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)C1=NC(=O)N(C)C1 ZINC001032606042 897814837 /nfs/dbraw/zinc/81/48/37/897814837.db2.gz LPPYYABBLHGCFZ-UWVGGRQHSA-N 0 1 296.758 0.777 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@](CO)(COC)C1 ZINC001364411444 897818752 /nfs/dbraw/zinc/81/87/52/897818752.db2.gz KBNDROJZCFQQJZ-ZFWWWQNUSA-N 0 1 297.399 0.325 20 30 CCEDMN C=CCCn1cc(C(=O)N2C[C@@H]3C[C@H]2CN3CC)nn1 ZINC001032675114 897920825 /nfs/dbraw/zinc/92/08/25/897920825.db2.gz HVRAFYZNJUGJMT-RYUDHWBXSA-N 0 1 275.356 0.773 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3nccn3CC)C2)C1=O ZINC001272827641 898018017 /nfs/dbraw/zinc/01/80/17/898018017.db2.gz VNRYWOADAVWYQK-INIZCTEOSA-N 0 1 286.379 0.961 20 30 CCEDMN C=CCN1C[C@]2(CC1=O)COCCN(CC(OC)OC)C2 ZINC001272862140 898090389 /nfs/dbraw/zinc/09/03/89/898090389.db2.gz RXVNZOWLDPBSEE-OAHLLOKOSA-N 0 1 298.383 0.342 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1O ZINC001078082699 898220422 /nfs/dbraw/zinc/22/04/22/898220422.db2.gz SGZCURLALJCWBJ-IAGOWNOFSA-N 0 1 298.386 0.948 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H](C)NC(=O)c1[nH]ncc1F ZINC001078138046 898262972 /nfs/dbraw/zinc/26/29/72/898262972.db2.gz PVJOBAKLSYEESB-SFYZADRCSA-N 0 1 281.291 0.333 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)c1[nH]ncc1F ZINC001078247897 898313443 /nfs/dbraw/zinc/31/34/43/898313443.db2.gz SCIFNHAIAOFOPF-JTQLQIEISA-N 0 1 294.330 0.833 20 30 CCEDMN COCC#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccco1 ZINC001114996572 898332194 /nfs/dbraw/zinc/33/21/94/898332194.db2.gz AEJGVRWIWWCDGQ-FOLVSLTJSA-N 0 1 288.347 0.518 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccco1 ZINC001114996572 898332209 /nfs/dbraw/zinc/33/22/09/898332209.db2.gz AEJGVRWIWWCDGQ-FOLVSLTJSA-N 0 1 288.347 0.518 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1ccccc1CC ZINC001486008071 898603751 /nfs/dbraw/zinc/60/37/51/898603751.db2.gz LICWISPGXJDXIE-HNNXBMFYSA-N 0 1 274.364 0.491 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@]2(NC(C)=O)CCC[C@@H]12 ZINC001486139081 898683454 /nfs/dbraw/zinc/68/34/54/898683454.db2.gz XFFCAJXUPWRHIJ-OCCSQVGLSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)CO[C@H]3CCOC3)CCC[C@@H]12 ZINC001486143582 898685034 /nfs/dbraw/zinc/68/50/34/898685034.db2.gz CQGLAKDCRYUSID-SQWLQELKSA-N 0 1 292.379 0.538 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cn[nH]c1 ZINC001486268170 898754151 /nfs/dbraw/zinc/75/41/51/898754151.db2.gz VNRUDDAICMUEMQ-SNVBAGLBSA-N 0 1 272.736 0.185 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)COC1CCCC1 ZINC001486325729 898787095 /nfs/dbraw/zinc/78/70/95/898787095.db2.gz GBRASIREFRWPME-CQSZACIVSA-N 0 1 296.411 0.720 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H](C)OC)CC1 ZINC001486500606 898912971 /nfs/dbraw/zinc/91/29/71/898912971.db2.gz BVJURXIJDKYRIU-LBPRGKRZSA-N 0 1 250.342 0.793 20 30 CCEDMN C=C(Cl)CNCCOCCN(C)C(=O)c1ncccn1 ZINC001486499551 898913582 /nfs/dbraw/zinc/91/35/82/898913582.db2.gz QFHHEVQRYWBVAW-UHFFFAOYSA-N 0 1 298.774 0.907 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)C2(C(=O)NC)CC2)CC1 ZINC001486518020 898915392 /nfs/dbraw/zinc/91/53/92/898915392.db2.gz YSGBQASPPAXUJW-UHFFFAOYSA-N 0 1 289.379 0.284 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H](C)N(C)C(=O)[C@H](C)C#N)c1C ZINC001486829101 899005815 /nfs/dbraw/zinc/00/58/15/899005815.db2.gz WUDOTFZFDKVARJ-RKDXNWHRSA-N 0 1 291.355 0.763 20 30 CCEDMN C=CCCCC(=O)NC[C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001487443178 899197218 /nfs/dbraw/zinc/19/72/18/899197218.db2.gz IWLFRUOVKDCWNZ-XYPYZODXSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCCC(=O)NC[C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001487443178 899197220 /nfs/dbraw/zinc/19/72/20/899197220.db2.gz IWLFRUOVKDCWNZ-XYPYZODXSA-N 0 1 291.355 0.786 20 30 CCEDMN Cc1nccnc1CN[C@@H](C)CN(C)C(=O)[C@@H](C)C#N ZINC001410336741 899347270 /nfs/dbraw/zinc/34/72/70/899347270.db2.gz DAUUSEITZQUWRR-QWRGUYRKSA-N 0 1 275.356 0.881 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CN(C(=O)[C@@H]2CCCCN2C)C1 ZINC001410919809 899626922 /nfs/dbraw/zinc/62/69/22/899626922.db2.gz FDBIXUNHBHMYHW-YPMHNXCESA-N 0 1 292.383 0.300 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)C[C@H](C)OC ZINC001410970016 899647545 /nfs/dbraw/zinc/64/75/45/899647545.db2.gz DKDPLGCWZVLPBE-WDEREUQCSA-N 0 1 278.780 0.573 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)CC(C)(C)O ZINC001411079001 899677777 /nfs/dbraw/zinc/67/77/77/899677777.db2.gz RLVCEYKERLCALV-SNVBAGLBSA-N 0 1 278.780 0.309 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001487732445 900118464 /nfs/dbraw/zinc/11/84/64/900118464.db2.gz KGJDLCNIHKNNNA-NSHDSACASA-N 0 1 294.355 0.118 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CN(C)C(=O)Cc1c[nH]cn1 ZINC001487921089 900153503 /nfs/dbraw/zinc/15/35/03/900153503.db2.gz OGQQKECWKVEUIE-LBPRGKRZSA-N 0 1 290.367 0.719 20 30 CCEDMN C#CCC[N@H+](C)CCCN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001327198834 914415533 /nfs/dbraw/zinc/41/55/33/914415533.db2.gz DHYGQVHRMYQZRK-UHFFFAOYSA-N 0 1 278.356 0.841 20 30 CCEDMN C#CCC[N@@H+](C)CCCN(C)C(=O)c1[nH]nc(C)c1[O-] ZINC001327198834 914415550 /nfs/dbraw/zinc/41/55/50/914415550.db2.gz DHYGQVHRMYQZRK-UHFFFAOYSA-N 0 1 278.356 0.841 20 30 CCEDMN C#CCC[N@H+](C)CCCN(C)C(=O)c1[nH]nc(C)c1[O-] ZINC001327198834 914415555 /nfs/dbraw/zinc/41/55/55/914415555.db2.gz DHYGQVHRMYQZRK-UHFFFAOYSA-N 0 1 278.356 0.841 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)C[C@@H]1CCN1C[C@@H](O)COC ZINC001488648967 900329902 /nfs/dbraw/zinc/32/99/02/900329902.db2.gz VVPVXHCUICNALE-QWHCGFSZSA-N 0 1 284.400 0.739 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)C[C@H]1CCN1C[C@@H](O)COC ZINC001488648966 900332171 /nfs/dbraw/zinc/33/21/71/900332171.db2.gz VVPVXHCUICNALE-CHWSQXEVSA-N 0 1 284.400 0.739 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CNC(=O)C(C)(C)C ZINC001488692253 900348341 /nfs/dbraw/zinc/34/83/41/900348341.db2.gz WQORLJBLANNQLD-LBPRGKRZSA-N 0 1 281.400 0.867 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN(C)Cc1ccnn1C ZINC001490164158 900548593 /nfs/dbraw/zinc/54/85/93/900548593.db2.gz IHFCXZGFMZAIOY-LLVKDONJSA-N 0 1 263.345 0.518 20 30 CCEDMN CCOCCC(=O)N(C)C1CCN(CC#CCOC)CC1 ZINC001490402280 900616508 /nfs/dbraw/zinc/61/65/08/900616508.db2.gz MCLRJZQMBAMNDA-UHFFFAOYSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2cocn2)CC1 ZINC001490486285 900637111 /nfs/dbraw/zinc/63/71/11/900637111.db2.gz UGKPBQINGDKUPJ-UHFFFAOYSA-N 0 1 276.340 0.045 20 30 CCEDMN Cc1cc(C)n(CCN2CC[C@@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001490530197 900648106 /nfs/dbraw/zinc/64/81/06/900648106.db2.gz BVESXRINICPLSA-SMDDNHRTSA-N 0 1 289.383 0.850 20 30 CCEDMN N#CCN[C@H](CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CC1 ZINC001490674351 900687688 /nfs/dbraw/zinc/68/76/88/900687688.db2.gz RAVCGQAEOSVEMI-IJLUTSLNSA-N 0 1 273.340 0.521 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H]2CN(C(=O)CC)CC[C@H]21 ZINC001320093676 900915226 /nfs/dbraw/zinc/91/52/26/900915226.db2.gz ABPKIXOSVFWBSQ-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CO[C@H]1CCOC1 ZINC001275108225 900993785 /nfs/dbraw/zinc/99/37/85/900993785.db2.gz JKWGFIIUNVBFBA-KGLIPLIRSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cn(CCOC)nc1C ZINC001275560436 901127258 /nfs/dbraw/zinc/12/72/58/901127258.db2.gz QOJIMOMJXDQCGJ-GFCCVEGCSA-N 0 1 292.383 0.521 20 30 CCEDMN N#Cc1cccc(C(=O)NC23CC(C(N)=O)(C2)C3)c1O ZINC001276215151 901974961 /nfs/dbraw/zinc/97/49/61/901974961.db2.gz HIHHSDFFJHSVGA-UHFFFAOYSA-N 0 1 271.276 0.402 20 30 CCEDMN N#C[C@]1(C(=O)Nc2nc(SCCO)n[nH]2)CCCOC1 ZINC001412842827 902294260 /nfs/dbraw/zinc/29/42/60/902294260.db2.gz NVRCFDKLWBTEPP-LLVKDONJSA-N 0 1 297.340 0.148 20 30 CCEDMN CC1CC(C#N)(C(=O)NCc2nnc([C@H]3CCOC3)[nH]2)C1 ZINC001412860878 902308570 /nfs/dbraw/zinc/30/85/70/902308570.db2.gz IFLIEEDNEXOIMO-XIRUVYRFSA-N 0 1 289.339 0.865 20 30 CCEDMN CC1CC(C#N)(C(=O)NCc2n[nH]c([C@H]3CCOC3)n2)C1 ZINC001412860878 902308581 /nfs/dbraw/zinc/30/85/81/902308581.db2.gz IFLIEEDNEXOIMO-XIRUVYRFSA-N 0 1 289.339 0.865 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001412866301 902317247 /nfs/dbraw/zinc/31/72/47/902317247.db2.gz ZAIFZMLDKBEDLN-TVQRCGJNSA-N 0 1 277.328 0.865 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001412866301 902317254 /nfs/dbraw/zinc/31/72/54/902317254.db2.gz ZAIFZMLDKBEDLN-TVQRCGJNSA-N 0 1 277.328 0.865 20 30 CCEDMN O=C(C#CC1CC1)N1CCC[C@](CO)(NCCF)C1 ZINC001327565845 914622235 /nfs/dbraw/zinc/62/22/35/914622235.db2.gz YUWBSATZSIRPGY-AWEZNQCLSA-N 0 1 268.332 0.312 20 30 CCEDMN Cc1nn(C)c(N2CCN([C@@H](C)[C@@H](C)O)CC2)c1C#N ZINC001412981160 902435107 /nfs/dbraw/zinc/43/51/07/902435107.db2.gz PCBVSORYFQFKRU-NWDGAFQWSA-N 0 1 277.372 0.492 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)CNC(=O)NC)C1CC1 ZINC001398399295 914647818 /nfs/dbraw/zinc/64/78/18/914647818.db2.gz GTIAUXLIARXZKT-SNVBAGLBSA-N 0 1 288.779 0.152 20 30 CCEDMN Cc1nc([C@@H](C)NS(=O)(=O)CC(C)(C)C#N)n[nH]1 ZINC001413351796 902897109 /nfs/dbraw/zinc/89/71/09/902897109.db2.gz WTSKYQDVGXMNEZ-SSDOTTSWSA-N 0 1 271.346 0.643 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@@H](NC(=O)CCc1nn[nH]n1)C2 ZINC001413430762 902949035 /nfs/dbraw/zinc/94/90/35/902949035.db2.gz CQAMFTKTAXFYRV-LBPRGKRZSA-N 0 1 282.307 0.288 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CC(N(C)C(=O)c2ccn[nH]2)C1 ZINC001413548610 903034268 /nfs/dbraw/zinc/03/42/68/903034268.db2.gz PCOPYJSOLCFRKZ-IDKOKCKLSA-N 0 1 275.312 0.289 20 30 CCEDMN C#CC[NH+]1CCC(C(=O)NCC[N@H+](C)CCF)CC1 ZINC001492873618 914688503 /nfs/dbraw/zinc/68/85/03/914688503.db2.gz NAQKWOCRUSWBBI-UHFFFAOYSA-N 0 1 269.364 0.349 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNC(=O)[C@H]1CCCCN1C ZINC001491434977 903585499 /nfs/dbraw/zinc/58/54/99/903585499.db2.gz QTSUMGXFGITQOH-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN CCn1cc(CN[C@H]2C[C@@H](NC(=O)[C@H](C)C#N)C2)nn1 ZINC001398611196 914742988 /nfs/dbraw/zinc/74/29/88/914742988.db2.gz LKRSPSGMVQNYOI-MXWKQRLJSA-N 0 1 276.344 0.194 20 30 CCEDMN C#C[C@@H](CC)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC001331556201 903997642 /nfs/dbraw/zinc/99/76/42/903997642.db2.gz SYPRKOQTPLZPSS-ZETCQYMHSA-N 0 1 272.286 0.018 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN[C@H]1CCn2ccnc21 ZINC001331969761 904036197 /nfs/dbraw/zinc/03/61/97/904036197.db2.gz FLEVOMAYMWVQRI-RYUDHWBXSA-N 0 1 263.345 0.124 20 30 CCEDMN CN(CC#N)CCCN(C)C(=O)c1c[nH]cc2ncnc1-2 ZINC001280815205 904040632 /nfs/dbraw/zinc/04/06/32/904040632.db2.gz XQUYFGZTGQRUED-UHFFFAOYSA-N 0 1 286.339 0.875 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@@H](CNC(=O)COC)O2 ZINC001280919484 904172412 /nfs/dbraw/zinc/17/24/12/904172412.db2.gz LSLAJAMTKZHIQV-LBPRGKRZSA-N 0 1 268.357 0.559 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](NC(C)=O)C[C@@H]1C ZINC001281645451 904317773 /nfs/dbraw/zinc/31/77/73/904317773.db2.gz XOVDJPGHORYEJV-JQWIXIFHSA-N 0 1 253.346 0.278 20 30 CCEDMN COCC#CCN1CC[C@H](NC(=O)c2ccon2)C[C@@H]1C ZINC001281653384 904320597 /nfs/dbraw/zinc/32/05/97/904320597.db2.gz SLAKINNHQXSUAJ-STQMWFEESA-N 0 1 291.351 0.907 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H](C)OCCC=C)CC1 ZINC001281799337 904345056 /nfs/dbraw/zinc/34/50/56/904345056.db2.gz RRHKXRJIRBZNSE-AWEZNQCLSA-N 0 1 294.395 0.544 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2cnns2)CC1 ZINC001281799066 904348797 /nfs/dbraw/zinc/34/87/97/904348797.db2.gz PLHPDTOXVSKZAP-UHFFFAOYSA-N 0 1 294.380 0.118 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cccnc2)CC1 ZINC001281796185 904348963 /nfs/dbraw/zinc/34/89/63/904348963.db2.gz MKRXIYGOCXIHTN-UHFFFAOYSA-N 0 1 275.352 0.824 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CCn1cccn1 ZINC001281920557 904364963 /nfs/dbraw/zinc/36/49/63/904364963.db2.gz VIPAWIPOOJTLNC-AWEZNQCLSA-N 0 1 274.368 0.829 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cc2n(n1)CCO2 ZINC001281942134 904375025 /nfs/dbraw/zinc/37/50/25/904375025.db2.gz MLVOEQQYKZFHPL-LBPRGKRZSA-N 0 1 288.351 0.445 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CCCC(=O)N1C ZINC001281968338 904379749 /nfs/dbraw/zinc/37/97/49/904379749.db2.gz JUGKWAOUTCQWOD-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCc2ccon2)CC1 ZINC001327856129 914814618 /nfs/dbraw/zinc/81/46/18/914814618.db2.gz UCDIUJXKZOYOAY-UHFFFAOYSA-N 0 1 262.313 0.711 20 30 CCEDMN COCCCN1CCO[C@@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC001282775229 904540375 /nfs/dbraw/zinc/54/03/75/904540375.db2.gz OVMMZAHBPZJFSJ-HIFRSBDPSA-N 0 1 295.383 0.486 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCOCCN(C)Cc1cnn(C)c1 ZINC001283360088 904821881 /nfs/dbraw/zinc/82/18/81/904821881.db2.gz QCHZQAQWPDLKPF-LBPRGKRZSA-N 0 1 293.371 0.144 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1ccc(OC)cc1C ZINC001283759722 904997009 /nfs/dbraw/zinc/99/70/09/904997009.db2.gz KDOGVYUVGRGDIV-CQSZACIVSA-N 0 1 290.363 0.246 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1ccc(OC)cc1C ZINC001283759721 904998994 /nfs/dbraw/zinc/99/89/94/904998994.db2.gz KDOGVYUVGRGDIV-AWEZNQCLSA-N 0 1 290.363 0.246 20 30 CCEDMN CCN(CCCNC(=O)[C@@H](C)C#N)C(=O)c1ccn[nH]1 ZINC001283756369 904999350 /nfs/dbraw/zinc/99/93/50/904999350.db2.gz OWIQFHGGLVVHRD-JTQLQIEISA-N 0 1 277.328 0.538 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1c2c[nH]nc2ccc1C ZINC001283769321 905008484 /nfs/dbraw/zinc/00/84/84/905008484.db2.gz BOAFFKNWHSGHBX-LLVKDONJSA-N 0 1 286.335 0.185 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cnc(CC)s1 ZINC001283831232 905044893 /nfs/dbraw/zinc/04/48/93/905044893.db2.gz KELKRXAWICYXQH-VIFPVBQESA-N 0 1 267.354 0.019 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(C)n(C)c1C ZINC001283828109 905046330 /nfs/dbraw/zinc/04/63/30/905046330.db2.gz ZAHLWKRNYNJIOV-ZDUSSCGKSA-N 0 1 277.368 0.346 20 30 CCEDMN C=C[C@@H](C(=O)NC[C@@H](CO)NCCF)c1ccccc1 ZINC001283832726 905047708 /nfs/dbraw/zinc/04/77/08/905047708.db2.gz XDUZNFKDHLRRPZ-UONOGXRCSA-N 0 1 280.343 0.992 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCCn2cncn2)[C@@H]1C ZINC001284151182 905159627 /nfs/dbraw/zinc/15/96/27/905159627.db2.gz FCHDFQQKVHJRHQ-KBPBESRZSA-N 0 1 289.383 0.661 20 30 CCEDMN C=C(C)CCC(=O)NCC1CN(C(=O)Cc2ccn[nH]2)C1 ZINC001284973547 905514672 /nfs/dbraw/zinc/51/46/72/905514672.db2.gz QQTLBWYCJBJDHW-UHFFFAOYSA-N 0 1 290.367 0.883 20 30 CCEDMN C[C@H](CNCc1nnnn1C1CC1)CNC(=O)[C@H](C)C#N ZINC001378562533 905684678 /nfs/dbraw/zinc/68/46/78/905684678.db2.gz VLUJBYAXRQBLTP-NXEZZACHSA-N 0 1 291.359 0.010 20 30 CCEDMN C#CCCCCC(=O)NC[C@H](C)NC(=O)CN1CCCC1 ZINC001285731213 905748893 /nfs/dbraw/zinc/74/88/93/905748893.db2.gz CEVSDBNNVIBASF-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001285751067 905754190 /nfs/dbraw/zinc/75/41/90/905754190.db2.gz LBHAODPAGXFUGV-UWVGGRQHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H](C)CC(N)=O)[C@@H]2C1 ZINC001378720376 905776108 /nfs/dbraw/zinc/77/61/08/905776108.db2.gz YITJMGBFFSQDKB-HKWIRBFKSA-N 0 1 299.802 0.544 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001285876264 905791896 /nfs/dbraw/zinc/79/18/96/905791896.db2.gz GMXAHPXRRZVIRG-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C[C@@H]1CCOC1 ZINC001379187893 906048026 /nfs/dbraw/zinc/04/80/26/906048026.db2.gz LPFDUVWMLWZUIT-QWRGUYRKSA-N 0 1 276.764 0.232 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1ccc(C)o1 ZINC001379197146 906059655 /nfs/dbraw/zinc/05/96/55/906059655.db2.gz NFHQFNQJZGDASM-LLVKDONJSA-N 0 1 286.759 0.950 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001295439043 906731442 /nfs/dbraw/zinc/73/14/42/906731442.db2.gz LBHAODPAGXFUGV-ZJUUUORDSA-N 0 1 295.343 0.020 20 30 CCEDMN C#Cc1cncc(C(=O)NCCN(C)C(=O)c2ccn[nH]2)c1 ZINC001296227160 906880890 /nfs/dbraw/zinc/88/08/90/906880890.db2.gz IULLFDIWSKOFFZ-UHFFFAOYSA-N 0 1 297.318 0.288 20 30 CCEDMN CCc1nc([C@@H](C)N2CCN(C(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001381081817 907069489 /nfs/dbraw/zinc/06/94/89/907069489.db2.gz MTAVJEMXOXPPSZ-WDEREUQCSA-N 0 1 290.371 0.732 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001297744780 907114040 /nfs/dbraw/zinc/11/40/40/907114040.db2.gz RVCHEENPIHDXAR-LBPRGKRZSA-N 0 1 290.367 0.624 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CS(=O)(=O)CC ZINC001381447878 907209556 /nfs/dbraw/zinc/20/95/56/907209556.db2.gz HWUQYLDZJSCWFI-VHSXEESVSA-N 0 1 296.820 0.656 20 30 CCEDMN C[C@H](C#N)C(=O)NC/C=C\CNC(=O)[C@@H]1CCCCN1C ZINC001298466975 907248951 /nfs/dbraw/zinc/24/89/51/907248951.db2.gz XLKCLNUGUFGONL-CIUCYMIDSA-N 0 1 292.383 0.419 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CNC(=O)c1[nH]ncc1F ZINC001298579718 907278148 /nfs/dbraw/zinc/27/81/48/907278148.db2.gz LCOHKTKFNSOKLC-SECBINFHSA-N 0 1 280.303 0.587 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)NC(=O)Cc1n[nH]c(C)n1 ZINC001298772323 907326011 /nfs/dbraw/zinc/32/60/11/907326011.db2.gz WXTWLXZTDRMPBF-VIFPVBQESA-N 0 1 279.344 0.243 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)C1(C(=O)NC)CC1 ZINC001317175812 907407471 /nfs/dbraw/zinc/40/74/71/907407471.db2.gz GSNSELWATUCDBE-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)C1(C(=O)NC)CC1 ZINC001317175812 907407482 /nfs/dbraw/zinc/40/74/82/907407482.db2.gz GSNSELWATUCDBE-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001492067163 907691353 /nfs/dbraw/zinc/69/13/53/907691353.db2.gz AYMDHFFCRXFWCS-SNVBAGLBSA-N 0 1 295.387 0.305 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@@]1(F)CCOC1 ZINC001382413876 907729355 /nfs/dbraw/zinc/72/93/55/907729355.db2.gz FNZIDEYMJRJONW-CMPLNLGQSA-N 0 1 294.754 0.276 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1ccnc(C)n1 ZINC001382440688 907738668 /nfs/dbraw/zinc/73/86/68/907738668.db2.gz JGNFGFRUQOJDIK-NSHDSACASA-N 0 1 298.774 0.560 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NC(=O)[C@@H]2CCCCN2C)CC1 ZINC001417194730 921351350 /nfs/dbraw/zinc/35/13/50/921351350.db2.gz ADYVGIKHVWYHCO-RYUDHWBXSA-N 0 1 292.383 0.395 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccn(CC(F)F)n1 ZINC001492291741 907859358 /nfs/dbraw/zinc/85/93/58/907859358.db2.gz BUJBFNKTHUVQDF-UHFFFAOYSA-N 0 1 284.310 0.833 20 30 CCEDMN CSCC[C@H](NC(=O)[C@H](C)C#N)c1nn[nH]n1 ZINC001301159420 907961036 /nfs/dbraw/zinc/96/10/36/907961036.db2.gz RUYYEGLSIILMEV-RQJHMYQMSA-N 0 1 254.319 0.270 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001303583999 908100573 /nfs/dbraw/zinc/10/05/73/908100573.db2.gz OIRWLKVKHUZCIQ-NSHDSACASA-N 0 1 260.253 0.646 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CC(=O)N([C@@H](C)CCC)C1 ZINC001317472100 908339958 /nfs/dbraw/zinc/33/99/58/908339958.db2.gz VJTVZUGNXQPGMM-UONOGXRCSA-N 0 1 293.411 0.705 20 30 CCEDMN C[C@@H](CN(C)C(=O)CSCC#N)c1nn[nH]n1 ZINC001338288973 921418006 /nfs/dbraw/zinc/41/80/06/921418006.db2.gz TUDBVOKYUFIYIL-ZETCQYMHSA-N 0 1 254.319 0.018 20 30 CCEDMN CC[C@](C)(CNC(=O)NCC#CCN(C)C)OC ZINC001312737810 908625683 /nfs/dbraw/zinc/62/56/83/908625683.db2.gz MPMDXCVBAWJTKF-CYBMUJFWSA-N 0 1 255.362 0.666 20 30 CCEDMN CCN(C[C@@H]1CCCO1)C(=O)NCC#CCN(C)C ZINC001313439480 908665713 /nfs/dbraw/zinc/66/57/13/908665713.db2.gz MDMDGGKLLGCBEL-ZDUSSCGKSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1CCN(CCOC(C)C)C1 ZINC001316976693 908709387 /nfs/dbraw/zinc/70/93/87/908709387.db2.gz BAVJTKVDGUOZCV-KBPBESRZSA-N 0 1 282.384 0.640 20 30 CCEDMN CC(C)(C(=O)NC[C@@H](NCC#N)C1CC1)c1cnc[nH]1 ZINC001317031408 908720657 /nfs/dbraw/zinc/72/06/57/908720657.db2.gz UVERBKDSQFJSHL-LLVKDONJSA-N 0 1 275.356 0.695 20 30 CCEDMN CNC(=O)[C@H](C)N(C)CCCNC(=O)C#CC(C)C ZINC001316822164 908755738 /nfs/dbraw/zinc/75/57/38/908755738.db2.gz NPDMGVBSTUUHKG-LBPRGKRZSA-N 0 1 267.373 0.218 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCCN(Cc2n[nH]c(C)n2)C1 ZINC001316943056 908858438 /nfs/dbraw/zinc/85/84/38/908858438.db2.gz DOJWGTHHLYPQMN-ZDUSSCGKSA-N 0 1 289.383 0.997 20 30 CCEDMN C=CCOCCN1CCN(CCNC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001316961778 908869347 /nfs/dbraw/zinc/86/93/47/908869347.db2.gz DYECHTUKTRAGOD-HUUCEWRRSA-N 0 1 295.427 0.579 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C[C@@H]2CCCO2)CC1 ZINC001316954891 908869916 /nfs/dbraw/zinc/86/99/16/908869916.db2.gz ZXWOJSCIGBNZBI-HNNXBMFYSA-N 0 1 293.411 0.313 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C(C)(C)NC(C)=O)C1 ZINC001316989756 908900719 /nfs/dbraw/zinc/90/07/19/908900719.db2.gz ISDARESONHMJPT-GFCCVEGCSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCOCCOC)C1 ZINC001316994558 908904406 /nfs/dbraw/zinc/90/44/06/908904406.db2.gz NDMSWUDQXDRBDI-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](NCc2ocnc2C)C1 ZINC001317073271 908963564 /nfs/dbraw/zinc/96/35/64/908963564.db2.gz PPSCDJHCVOBWMT-HAQNSBGRSA-N 0 1 279.340 0.922 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](CNC(=O)CCCC)C1 ZINC001317133483 909005003 /nfs/dbraw/zinc/00/50/03/909005003.db2.gz LXNDCPWOOVMESJ-CQSZACIVSA-N 0 1 293.411 0.754 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)C(=O)c1ccc2cncn2c1 ZINC001387078943 909025371 /nfs/dbraw/zinc/02/53/71/909025371.db2.gz CRKLYFMIJBXJGI-LLVKDONJSA-N 0 1 299.334 0.682 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)CCc1ccnn1C ZINC001317172359 909028494 /nfs/dbraw/zinc/02/84/94/909028494.db2.gz IGQCJAKHMAWFPH-HNNXBMFYSA-N 0 1 288.395 0.957 20 30 CCEDMN C=CCCN(C)CCNC(=O)CCS(C)(=O)=O ZINC001317452491 909228085 /nfs/dbraw/zinc/22/80/85/909228085.db2.gz ZXQUKJWZLLJMLU-UHFFFAOYSA-N 0 1 262.375 0.045 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCc2cnn(C)c2C1 ZINC001317458539 909237100 /nfs/dbraw/zinc/23/71/00/909237100.db2.gz OJLFBBBZCWPMOM-LBPRGKRZSA-N 0 1 274.368 0.206 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H](C)c1cnn(C)c1 ZINC001317491703 909261404 /nfs/dbraw/zinc/26/14/04/909261404.db2.gz LKEXUGDQCSXAMB-ZDUSSCGKSA-N 0 1 276.384 0.985 20 30 CCEDMN C#CCCCC(=O)NCCN(CCC)[C@@H]1CCCNC1=O ZINC001317541584 909306475 /nfs/dbraw/zinc/30/64/75/909306475.db2.gz BELVPVMRPJJGNV-CQSZACIVSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCN(CCNC(=O)C[C@H]1CCOC[C@@H]1OC)C1CC1 ZINC001317552717 909324142 /nfs/dbraw/zinc/32/41/42/909324142.db2.gz WNXUYBFKAAQQCJ-HIFRSBDPSA-N 0 1 294.395 0.642 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cnns1 ZINC001317568940 909352006 /nfs/dbraw/zinc/35/20/06/909352006.db2.gz FJCXROSFGRGRNR-UHFFFAOYSA-N 0 1 260.750 0.952 20 30 CCEDMN Cc1nc(CNCCN(C)C(=O)c2cc(C#N)c[nH]2)n[nH]1 ZINC001317580514 909378287 /nfs/dbraw/zinc/37/82/87/909378287.db2.gz MQXWHCWHWKQQTK-UHFFFAOYSA-N 0 1 287.327 0.175 20 30 CCEDMN C#CCN1CC[C@H](N(CCC)C(=O)c2cn[nH]c(=O)c2)C1 ZINC001317761198 909485238 /nfs/dbraw/zinc/48/52/38/909485238.db2.gz GVUUGHNURSNHKF-ZDUSSCGKSA-N 0 1 288.351 0.742 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCC[C@H]1CCN(CC#N)C1 ZINC001317786561 909494793 /nfs/dbraw/zinc/49/47/93/909494793.db2.gz ARRRLPRPPHQJGA-UONOGXRCSA-N 0 1 278.400 0.822 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)CCN1CCN(CCCO)CC1 ZINC001317819793 909512047 /nfs/dbraw/zinc/51/20/47/909512047.db2.gz HDOLQGYLPAKZBU-UHFFFAOYSA-N 0 1 297.443 0.657 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)C(C)C)C1 ZINC001317825681 909518215 /nfs/dbraw/zinc/51/82/15/909518215.db2.gz CRPVUKXHIDEYKU-ZDUSSCGKSA-N 0 1 281.400 0.725 20 30 CCEDMN CNC(=O)CN(C)C[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001317837659 909521245 /nfs/dbraw/zinc/52/12/45/909521245.db2.gz SSHWWZAJUGDAPG-CYBMUJFWSA-N 0 1 293.411 0.562 20 30 CCEDMN CCOC1CC(O)(CNC[C@H](C#N)CCC#N)C1 ZINC001338537581 921504495 /nfs/dbraw/zinc/50/44/95/921504495.db2.gz ZPGFHNLAMCPARJ-HIFPTAJRSA-N 0 1 251.330 0.950 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)C[C@@H]2CCNC2=O)C1 ZINC001318128340 909636952 /nfs/dbraw/zinc/63/69/52/909636952.db2.gz LKVQWXRRVTYPAM-LBPRGKRZSA-N 0 1 265.357 0.137 20 30 CCEDMN C=CCN1CC(CNC(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001318168860 909650570 /nfs/dbraw/zinc/65/05/70/909650570.db2.gz KQTGFNADURZFKB-UHFFFAOYSA-N 0 1 262.357 0.921 20 30 CCEDMN C=CCN1CC(CNC(=O)CCN2CCCC2=O)C1 ZINC001318175617 909653944 /nfs/dbraw/zinc/65/39/44/909653944.db2.gz CJCFNDIRXMGWBF-UHFFFAOYSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1CCN(CCO)C1 ZINC001318321572 909704675 /nfs/dbraw/zinc/70/46/75/909704675.db2.gz MYDNVPVDOHBWMC-NWDGAFQWSA-N 0 1 256.346 0.150 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CNC(=O)C2CC2)C1 ZINC001318389376 909732355 /nfs/dbraw/zinc/73/23/55/909732355.db2.gz POMYAHDEFIKSDH-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001318454731 909763305 /nfs/dbraw/zinc/76/33/05/909763305.db2.gz WCJVMFKUBTYYCE-STQMWFEESA-N 0 1 281.400 0.853 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001318454731 909763317 /nfs/dbraw/zinc/76/33/17/909763317.db2.gz WCJVMFKUBTYYCE-STQMWFEESA-N 0 1 281.400 0.853 20 30 CCEDMN CN1CC(C(=O)NC2(CNCC#N)CCCC2)=NC1=O ZINC001318655200 909852462 /nfs/dbraw/zinc/85/24/62/909852462.db2.gz XEYCWVFNLXATHK-UHFFFAOYSA-N 0 1 277.328 0.281 20 30 CCEDMN C/C=C(\C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001319033113 909960793 /nfs/dbraw/zinc/96/07/93/909960793.db2.gz KZUBQBHFBDTMMP-WTHZRJCTSA-N 0 1 263.297 0.595 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1CN(CCC(=C)C)CCO1 ZINC001319312076 910093044 /nfs/dbraw/zinc/09/30/44/910093044.db2.gz YUQAXPOWDQZHDN-GJZGRUSLSA-N 0 1 294.395 0.808 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@H]([C@@H](C)NCC#N)C1 ZINC001319820057 910310307 /nfs/dbraw/zinc/31/03/07/910310307.db2.gz VLUFLFNLUPVCMO-KOLCDFICSA-N 0 1 276.344 0.467 20 30 CCEDMN C[C@@H]1CCCN(C(=O)Cc2ncn[nH]2)[C@@H]1CNCC#N ZINC001319865877 910325894 /nfs/dbraw/zinc/32/58/94/910325894.db2.gz YMQCBFFFOWKPGG-GHMZBOCLSA-N 0 1 276.344 0.087 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CCn1cncn1 ZINC001319976138 910384919 /nfs/dbraw/zinc/38/49/19/910384919.db2.gz IHTSHVLLNUXEFY-UHFFFAOYSA-N 0 1 263.345 0.082 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C#CC(C)(C)C ZINC001320219384 910532301 /nfs/dbraw/zinc/53/23/01/910532301.db2.gz XJDMUKNOCBXUTH-NSHDSACASA-N 0 1 272.776 0.855 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C#CC(C)(C)C ZINC001320219382 910532635 /nfs/dbraw/zinc/53/26/35/910532635.db2.gz XJDMUKNOCBXUTH-LLVKDONJSA-N 0 1 272.776 0.855 20 30 CCEDMN C=CCn1c(C2=NO[C@@H](CO)C2)nnc1N1CCC[C@@H]1C ZINC001338934740 921606686 /nfs/dbraw/zinc/60/66/86/921606686.db2.gz DBPAYORINMGEBF-WDEREUQCSA-N 0 1 291.355 0.938 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)c1ccoc1C ZINC001320967127 910967434 /nfs/dbraw/zinc/96/74/34/910967434.db2.gz WPHJVYMYDKUQAO-PLNGDYQASA-N 0 1 291.351 0.766 20 30 CCEDMN Cc1occc1C(=O)NC/C=C/CNCC(=O)NCC#N ZINC001320966921 910969834 /nfs/dbraw/zinc/96/98/34/910969834.db2.gz UVRVJJNVSRUWPL-NSCUHMNNSA-N 0 1 290.323 0.103 20 30 CCEDMN C#Cc1ccc(C(=O)NC/C=C\CN[C@H]2CCNC2=O)cc1 ZINC001321191903 911152070 /nfs/dbraw/zinc/15/20/70/911152070.db2.gz JUYIRDOJLVYBID-JZIMQPMSSA-N 0 1 297.358 0.432 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@@H]1CCC(=O)N1C ZINC001321200230 911156360 /nfs/dbraw/zinc/15/63/60/911156360.db2.gz NILYTQDIYODIJU-BYCRGOAPSA-N 0 1 285.775 0.622 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001321223622 911170862 /nfs/dbraw/zinc/17/08/62/911170862.db2.gz FXDVFQKEFQFCSL-YBVSHCEHSA-N 0 1 289.379 0.044 20 30 CCEDMN C=CCn1c(-c2nc[nH]n2)nnc1N1CCN(CC)CC1 ZINC001339166492 921671322 /nfs/dbraw/zinc/67/13/22/921671322.db2.gz WVXGTUMTNZBTLX-UHFFFAOYSA-N 0 1 288.359 0.391 20 30 CCEDMN C[C@@H](CNCC#N)NC(=O)CN(C)CCc1ccccc1 ZINC001321990924 911613766 /nfs/dbraw/zinc/61/37/66/911613766.db2.gz MPUCCQOLEMQKPX-AWEZNQCLSA-N 0 1 288.395 0.779 20 30 CCEDMN C[C@@H](CN(C)CCNC(=O)C1CC1)NC(=O)C#CC1CC1 ZINC001322159012 911688127 /nfs/dbraw/zinc/68/81/27/911688127.db2.gz XUMRAKPEFUDENI-LBPRGKRZSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCc1ccncn1 ZINC001322168455 911693602 /nfs/dbraw/zinc/69/36/02/911693602.db2.gz JRZZSEKMWNHCRS-GFCCVEGCSA-N 0 1 260.341 0.479 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ncc(OC)cn1 ZINC001322195347 911703999 /nfs/dbraw/zinc/70/39/99/911703999.db2.gz FKJMZBOQRWHCJU-JTQLQIEISA-N 0 1 262.313 0.169 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)C(C)(C)NC(C)=O ZINC001322269096 911737904 /nfs/dbraw/zinc/73/79/04/911737904.db2.gz JRSDWGUWLCMJJA-LLVKDONJSA-N 0 1 267.373 0.361 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C(N)=O)c[nH]1 ZINC001392519597 911875765 /nfs/dbraw/zinc/87/57/65/911875765.db2.gz JEOXVKVUOKJAQL-MRVPVSSYSA-N 0 1 284.747 0.574 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)c1nnn(C)c1C)C1CC1 ZINC001392758902 912134906 /nfs/dbraw/zinc/13/49/06/912134906.db2.gz FPNLHNNBGNTPOJ-LLVKDONJSA-N 0 1 297.790 0.974 20 30 CCEDMN C#Cc1cncc(C(=O)NCC[C@H](C)NCc2cnon2)c1 ZINC001328400381 915168365 /nfs/dbraw/zinc/16/83/65/915168365.db2.gz VCBPYEKHWDLICZ-NSHDSACASA-N 0 1 299.334 0.744 20 30 CCEDMN C=CCOCCCNC(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001339541171 921757004 /nfs/dbraw/zinc/75/70/04/921757004.db2.gz ZDMPQDYPDWQFGQ-LBPRGKRZSA-N 0 1 284.356 0.492 20 30 CCEDMN C#CC[N@H+](CC)CC1CCN(C(=O)c2cn[nH]n2)CC1 ZINC001328578382 915284708 /nfs/dbraw/zinc/28/47/08/915284708.db2.gz NUDYRKHDUUQBBF-UHFFFAOYSA-N 0 1 275.356 0.612 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cccc(C(N)=O)c1 ZINC001328734551 915397231 /nfs/dbraw/zinc/39/72/31/915397231.db2.gz YIGMDWKRNZUZKB-HNNXBMFYSA-N 0 1 299.374 0.955 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cnn2cccnc12 ZINC001328753188 915410569 /nfs/dbraw/zinc/41/05/69/915410569.db2.gz PUZXWDOMHOGLKL-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1CCOCC1 ZINC001374563967 930557634 /nfs/dbraw/zinc/55/76/34/930557634.db2.gz CORXZZHMUMGWOK-LLVKDONJSA-N 0 1 276.764 0.232 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(=O)N(CCC)[C@@H]1CCN(C)C1 ZINC001329352482 915835257 /nfs/dbraw/zinc/83/52/57/915835257.db2.gz SSTQQYXDOFSTKE-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN C[C@@H](CNC(=O)CN(C)C1CCC1)N(C)CC#N ZINC001329461944 915939309 /nfs/dbraw/zinc/93/93/09/915939309.db2.gz WQHKUEAYEUXUNY-NSHDSACASA-N 0 1 252.362 0.431 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cc(OC)n(C)n1 ZINC001329487956 915957423 /nfs/dbraw/zinc/95/74/23/915957423.db2.gz HTOPBBOGVKQXFC-SNVBAGLBSA-N 0 1 264.329 0.112 20 30 CCEDMN C#CC[N@H+](C)[C@@H](C)CNC(=O)c1cnn(-c2ccccn2)c1 ZINC001329559581 916007810 /nfs/dbraw/zinc/00/78/10/916007810.db2.gz KMHIVHZBFPDSDP-ZDUSSCGKSA-N 0 1 297.362 0.951 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnn(-c2ccccn2)c1 ZINC001329559581 916007828 /nfs/dbraw/zinc/00/78/28/916007828.db2.gz KMHIVHZBFPDSDP-ZDUSSCGKSA-N 0 1 297.362 0.951 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)Cn1cc(Cl)cn1 ZINC001329561367 916010435 /nfs/dbraw/zinc/01/04/35/916010435.db2.gz RKTFPBCJJXQHSC-JTQLQIEISA-N 0 1 268.748 0.606 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1c(C)nn(C)c1OC ZINC001329561701 916012381 /nfs/dbraw/zinc/01/23/81/916012381.db2.gz SPDVVSAVGLWVPL-JTQLQIEISA-N 0 1 278.356 0.420 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H](NC(N)=O)C(C)(C)C ZINC001329592743 916046684 /nfs/dbraw/zinc/04/66/84/916046684.db2.gz SZJQFFRIWAAXSF-QWRGUYRKSA-N 0 1 282.388 0.139 20 30 CCEDMN C=C(C)CS(=O)(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001329937704 916292573 /nfs/dbraw/zinc/29/25/73/916292573.db2.gz AYCQNAMKGFQXPA-VIFPVBQESA-N 0 1 286.357 0.652 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CC[C@H]1CCOC1 ZINC001401508135 916294064 /nfs/dbraw/zinc/29/40/64/916294064.db2.gz OOZGQTWUAXPGET-NWDGAFQWSA-N 0 1 290.791 0.622 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CN(C)C(C)=O)C(C)(C)C1 ZINC001330173687 916455061 /nfs/dbraw/zinc/45/50/61/916455061.db2.gz AZTOLMOKOSMLGU-CYBMUJFWSA-N 0 1 279.384 0.315 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H]1[C@H]2CN([C@H](C)C(N)=O)C[C@H]21 ZINC001330296643 916536875 /nfs/dbraw/zinc/53/68/75/916536875.db2.gz MIPJLCNCRORBCB-LPWJVIDDSA-N 0 1 279.384 0.511 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001330561333 916698605 /nfs/dbraw/zinc/69/86/05/916698605.db2.gz SJKDCDUYCLIYGQ-JTQLQIEISA-N 0 1 291.355 0.862 20 30 CCEDMN Cc1nc(CN2CCC[C@H](NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001402073452 916790865 /nfs/dbraw/zinc/79/08/65/916790865.db2.gz FPHDVBVRZUFKSD-KOLCDFICSA-N 0 1 276.344 0.353 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC(C)(NC(=O)[C@@H](C)C#N)CC1 ZINC001402082737 916797050 /nfs/dbraw/zinc/79/70/50/916797050.db2.gz XCJYGRAESKTISJ-WDEREUQCSA-N 0 1 280.372 0.381 20 30 CCEDMN CNC(=O)[C@H](C)[N@@H+](C)C1CCN(C(=O)C#CC2CC2)CC1 ZINC001331015788 917025190 /nfs/dbraw/zinc/02/51/90/917025190.db2.gz HSLFTIIPJAVUGZ-LBPRGKRZSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CC(CNCc2ncccn2)C1 ZINC001402916451 917346217 /nfs/dbraw/zinc/34/62/17/917346217.db2.gz ILBNQTDMFSIUKR-UNXYVOJBSA-N 0 1 273.340 0.621 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CCn1ccnn1 ZINC001402963163 917383310 /nfs/dbraw/zinc/38/33/10/917383310.db2.gz CFAVSIPKGVAVFD-WDEREUQCSA-N 0 1 285.779 0.904 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C[C@H](C)c1ccncc1 ZINC001331738005 917560779 /nfs/dbraw/zinc/56/07/79/917560779.db2.gz DPSOIKDSFBTKQU-ZFWWWQNUSA-N 0 1 289.379 0.665 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1ccc(C)cc1Cl ZINC001331737841 917563339 /nfs/dbraw/zinc/56/33/39/917563339.db2.gz DMCALFVYIGRDPS-ZDUSSCGKSA-N 0 1 294.782 0.891 20 30 CCEDMN CC[C@@H](F)C(=O)NC[C@@H](CO)NCC#Cc1ccccc1 ZINC001331772808 917593859 /nfs/dbraw/zinc/59/38/59/917593859.db2.gz RPLVTWSZDOCSLW-LSDHHAIUSA-N 0 1 292.354 0.853 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc(C)c1C ZINC001331818516 917636599 /nfs/dbraw/zinc/63/65/99/917636599.db2.gz HIDMCUCYYWMVOZ-ZDUSSCGKSA-N 0 1 260.337 0.617 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(F)cc(F)c1 ZINC001331818495 917638073 /nfs/dbraw/zinc/63/80/73/917638073.db2.gz HENURYGAFHEBCJ-CYBMUJFWSA-N 0 1 282.290 0.668 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)[C@@H](C)C1CCCC1 ZINC001331823901 917640026 /nfs/dbraw/zinc/64/00/26/917640026.db2.gz FIDXSNUXLQQKRC-DZGCQCFKSA-N 0 1 296.411 0.529 20 30 CCEDMN C=CCC[C@H](NC(=O)c1cc(C[NH+](C)C)on1)C(=O)[O-] ZINC001332134560 917892277 /nfs/dbraw/zinc/89/22/77/917892277.db2.gz BJUNFJNZUKNEHY-JTQLQIEISA-N 0 1 281.312 0.885 20 30 CCEDMN C=CCOC[C@@H](NC(=O)C[N@H+](C)C(C)C)C(=O)[O-] ZINC001332172830 917931279 /nfs/dbraw/zinc/93/12/79/917931279.db2.gz SNKQQGFQIPIMPI-SNVBAGLBSA-N 0 1 258.318 0.099 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CC(C)(C)O)[C@@H]1C ZINC001332174724 917935650 /nfs/dbraw/zinc/93/56/50/917935650.db2.gz GQOWLLKOXYRKNO-NWDGAFQWSA-N 0 1 252.358 0.750 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CCCC[N@@H+]1CCC)C(=O)[O-] ZINC001332621871 918322405 /nfs/dbraw/zinc/32/24/05/918322405.db2.gz IRLXSECFLBYQKD-NWDGAFQWSA-N 0 1 266.341 0.844 20 30 CCEDMN N#CC[C@@H](O)CN1CC[C@H](C(F)(F)F)[C@@H](CO)C1 ZINC001333032254 918610580 /nfs/dbraw/zinc/61/05/80/918610580.db2.gz QWJMTONLZWTMKN-BBBLOLIVSA-N 0 1 266.263 0.754 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CN(C)C(=O)N1 ZINC001405260826 918695195 /nfs/dbraw/zinc/69/51/95/918695195.db2.gz ABXLRRDOEUPQDS-NXEZZACHSA-N 0 1 288.779 0.247 20 30 CCEDMN C=C(Br)CNC[C@@H](C)N(C)C(=O)COC ZINC001405784275 918896450 /nfs/dbraw/zinc/89/64/50/918896450.db2.gz ASGIZSMBNOGVQL-SECBINFHSA-N 0 1 279.178 0.978 20 30 CCEDMN CCn1ccc(CNC[C@H](C)N(C)C(=O)[C@@H](C)C#N)n1 ZINC001405791513 918902071 /nfs/dbraw/zinc/90/20/71/918902071.db2.gz HOOZUEZERSFMFL-RYUDHWBXSA-N 0 1 277.372 0.999 20 30 CCEDMN CC(C)C[C@@H](CNCc1cnnn1C)NC(=O)[C@H](C)C#N ZINC001405918057 918975891 /nfs/dbraw/zinc/97/58/91/918975891.db2.gz DGAOOLCDGNOGNX-NEPJUHHUSA-N 0 1 292.387 0.595 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1cncs1 ZINC001406250885 919136560 /nfs/dbraw/zinc/13/65/60/919136560.db2.gz QIJQEDRWXLUVMK-SECBINFHSA-N 0 1 289.788 0.505 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cnc(N(C)C)cn1 ZINC001492951647 919247614 /nfs/dbraw/zinc/24/76/14/919247614.db2.gz FBLZZQRCCZTJBU-UHFFFAOYSA-N 0 1 289.383 0.618 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H]1CC1(F)F ZINC001492953768 919394948 /nfs/dbraw/zinc/39/49/48/919394948.db2.gz XLXFLESSIRYEPQ-LLVKDONJSA-N 0 1 274.311 0.730 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)N(C)C(=O)CCc1nc[nH]n1 ZINC001334177579 919400243 /nfs/dbraw/zinc/40/02/43/919400243.db2.gz IDPIUSSJTJUDTL-NSHDSACASA-N 0 1 293.371 0.667 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)c1cnnn1C)C1CC1 ZINC001406744329 919450289 /nfs/dbraw/zinc/45/02/89/919450289.db2.gz AVPSISIXSRDRMV-SNVBAGLBSA-N 0 1 283.763 0.666 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC001406949547 919544116 /nfs/dbraw/zinc/54/41/16/919544116.db2.gz COURSAXQQZZGCG-ZJUUUORDSA-N 0 1 285.775 0.489 20 30 CCEDMN C[C@@H](NC(=O)CCCCC#N)[C@H]1CN(C)CCN1C ZINC001334416496 919569734 /nfs/dbraw/zinc/56/97/34/919569734.db2.gz SBXSJSVHSHELJM-CHWSQXEVSA-N 0 1 266.389 0.821 20 30 CCEDMN C#CCN(CC)CCNC(=O)CNC(=O)c1ccoc1C ZINC001492958771 919595272 /nfs/dbraw/zinc/59/52/72/919595272.db2.gz LEOXOMSHRWNOJQ-UHFFFAOYSA-N 0 1 291.351 0.389 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCc1cc(OC)no1 ZINC001492962069 919722564 /nfs/dbraw/zinc/72/25/64/919722564.db2.gz JZSSCEMMXXPQCO-UHFFFAOYSA-N 0 1 279.340 0.687 20 30 CCEDMN N#CC1(CNC[C@@H](O)C2(O)CCC2)CCOCC1 ZINC001335339459 920128989 /nfs/dbraw/zinc/12/89/89/920128989.db2.gz UVSMPDQVRKSORB-LLVKDONJSA-N 0 1 254.330 0.172 20 30 CCEDMN C=CC(C)(C)CNC(=O)N1CCNC[C@@H]1COC ZINC001335536954 920258090 /nfs/dbraw/zinc/25/80/90/920258090.db2.gz DOPIERYQHZSZKS-LLVKDONJSA-N 0 1 255.362 0.828 20 30 CCEDMN C#CC1CCN(C(=O)CN2CCC(CO)CC2)CC1 ZINC001335967736 920531071 /nfs/dbraw/zinc/53/10/71/920531071.db2.gz VPPURIPXEZUSKZ-UHFFFAOYSA-N 0 1 264.369 0.563 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](CNC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001415292981 920668497 /nfs/dbraw/zinc/66/84/97/920668497.db2.gz WDJVHGLFJNLRDO-KOLCDFICSA-N 0 1 289.339 0.456 20 30 CCEDMN C#Cc1ccc(N2CCN(C(=O)CN(C)C)CC2)nc1 ZINC001336469789 920791578 /nfs/dbraw/zinc/79/15/78/920791578.db2.gz AMBXIRHUQOEUCJ-UHFFFAOYSA-N 0 1 272.352 0.273 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C)Oc1ccc(F)cc1 ZINC001339974526 921899087 /nfs/dbraw/zinc/89/90/87/921899087.db2.gz SSNQHWWQRDTONM-LLVKDONJSA-N 0 1 264.300 0.932 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1CN(C)CCO1 ZINC001418211512 921919583 /nfs/dbraw/zinc/91/95/83/921919583.db2.gz RNKQFZMFCJSCQW-QWRGUYRKSA-N 0 1 275.780 0.164 20 30 CCEDMN CN1CC[C@@H](NC(=O)C(=O)Nc2ccc(F)cc2C#N)C1 ZINC001340052078 921934893 /nfs/dbraw/zinc/93/48/93/921934893.db2.gz KYZMIBYLJFFNLV-LLVKDONJSA-N 0 1 290.298 0.456 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(Cl)n1 ZINC001340133971 921977204 /nfs/dbraw/zinc/97/72/04/921977204.db2.gz NXJNVZXMBOPJJR-CBAPKCEASA-N 0 1 280.715 0.585 20 30 CCEDMN C#CCNCC(=O)NCCN1Cc2ccccc2C1 ZINC001340190894 922005820 /nfs/dbraw/zinc/00/58/20/922005820.db2.gz ODMCXJSHOLSWBI-UHFFFAOYSA-N 0 1 257.337 0.341 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](c2nncn2C(C)C)C1 ZINC001340342595 922062838 /nfs/dbraw/zinc/06/28/38/922062838.db2.gz YMYFNDRZXDGWII-CYBMUJFWSA-N 0 1 289.383 0.788 20 30 CCEDMN CCn1ncnc1CN[C@H]1C[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001418690591 922364064 /nfs/dbraw/zinc/36/40/64/922364064.db2.gz WJUSKTKSNDUMIG-SRVKXCTJSA-N 0 1 290.371 0.442 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1OCCc2ccccc21 ZINC001341452658 922492263 /nfs/dbraw/zinc/49/22/63/922492263.db2.gz IZXXZISWKQDRBL-CQSZACIVSA-N 0 1 258.321 0.639 20 30 CCEDMN C#CCNCC(=O)N1CCOc2ccc(N)cc2C1 ZINC001341595511 922572937 /nfs/dbraw/zinc/57/29/37/922572937.db2.gz ZNTHMRWLWAPKTN-UHFFFAOYSA-N 0 1 259.309 0.213 20 30 CCEDMN C#CCNCC(=O)NCc1cccc2c1CCCN2 ZINC001341788913 922676722 /nfs/dbraw/zinc/67/67/22/922676722.db2.gz RRGJLGGIGBQABN-UHFFFAOYSA-N 0 1 257.337 0.884 20 30 CCEDMN COC(=O)[C@H]1C[C@@H](C(=O)C(C#N)C(=O)NC2CCCC2)C1 ZINC001342011967 922762058 /nfs/dbraw/zinc/76/20/58/922762058.db2.gz ZRGCHFPBGUVBRL-UMNHJUIQSA-N 0 1 292.335 0.953 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](C2CCOCC2)C1 ZINC001342018552 922763141 /nfs/dbraw/zinc/76/31/41/922763141.db2.gz QCVLBCKJXQOBJB-AWEZNQCLSA-N 0 1 264.369 0.874 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)[C@]1(C)CCNC(=O)C1 ZINC001342268358 922883217 /nfs/dbraw/zinc/88/32/17/922883217.db2.gz MHFLUUGROISJJO-NOZJJQNGSA-N 0 1 260.297 0.513 20 30 CCEDMN C#CCNCC(=O)NC[C@]1(C)COc2ccccc2O1 ZINC001342287341 922897296 /nfs/dbraw/zinc/89/72/96/922897296.db2.gz MSUZDXIIHAHMNH-OAHLLOKOSA-N 0 1 274.320 0.556 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)CN1CCCC1=O ZINC001419704175 923007297 /nfs/dbraw/zinc/00/72/97/923007297.db2.gz XIQXEWPCACZTIA-SNVBAGLBSA-N 0 1 273.764 0.456 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001419783138 923096274 /nfs/dbraw/zinc/09/62/74/923096274.db2.gz AIDAYGNLHPTJDM-MNOVXSKESA-N 0 1 287.791 0.702 20 30 CCEDMN C=CC(C)(C)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC001342930343 923137947 /nfs/dbraw/zinc/13/79/47/923137947.db2.gz CKVLBRWIVYCOEZ-LLVKDONJSA-N 0 1 254.378 0.496 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](C(=O)NCCCC)C1 ZINC001343214168 923240113 /nfs/dbraw/zinc/24/01/13/923240113.db2.gz QPKFGTHBZLAGGP-ZDUSSCGKSA-N 0 1 279.384 0.364 20 30 CCEDMN N#CC(C(=O)CCCOC1CCOCC1)C(=O)NC1CC1 ZINC001343502776 923351276 /nfs/dbraw/zinc/35/12/76/923351276.db2.gz YZDCSDQBSBUBBG-CYBMUJFWSA-N 0 1 294.351 0.950 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2CC[C@H]2C(=O)OC)CC1 ZINC001344373131 923588209 /nfs/dbraw/zinc/58/82/09/923588209.db2.gz KVMUNJZHJJVQNV-OLZOCXBDSA-N 0 1 279.336 0.826 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCNC(=O)NC ZINC001420415823 923616172 /nfs/dbraw/zinc/61/61/72/923616172.db2.gz UEYZAOQUVRPANQ-VIFPVBQESA-N 0 1 276.768 0.152 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CO[C@@H]1CCOC1 ZINC001420423483 923650890 /nfs/dbraw/zinc/65/08/90/923650890.db2.gz NKKIOOYQANDVFV-WDEREUQCSA-N 0 1 276.764 0.639 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2C(=O)CC2(C#N)CC2)n[nH]1 ZINC001420652621 923851511 /nfs/dbraw/zinc/85/15/11/923851511.db2.gz AURHMAPSDLQBKL-ZJUUUORDSA-N 0 1 289.339 0.695 20 30 CCEDMN C#CCN(C)c1nnc(-c2c[nH]nn2)n1CC1CC1 ZINC001345386671 923867886 /nfs/dbraw/zinc/86/78/86/923867886.db2.gz NTTKFZUYELHMHX-UHFFFAOYSA-N 0 1 257.301 0.543 20 30 CCEDMN C#CC1CCN(C(=O)N[C@@H](C)[C@@H]2CN(C)CCN2C)CC1 ZINC001346766909 924307657 /nfs/dbraw/zinc/30/76/57/924307657.db2.gz MWXIMHJRSZNSON-ZFWWWQNUSA-N 0 1 292.427 0.676 20 30 CCEDMN N#CCC(=O)N1CCN(CC2CCC(O)CC2)CC1 ZINC001347121271 924375724 /nfs/dbraw/zinc/37/57/24/924375724.db2.gz TXJAXIUSIGSIQP-UHFFFAOYSA-N 0 1 265.357 0.595 20 30 CCEDMN C=CCN(C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C)C1CC1 ZINC001347183513 924391188 /nfs/dbraw/zinc/39/11/88/924391188.db2.gz ANYPBABLQWRPTD-JSGCOSHPSA-N 0 1 280.416 0.981 20 30 CCEDMN O=C(C#Cc1cccs1)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001347197347 924395409 /nfs/dbraw/zinc/39/54/09/924395409.db2.gz KRDZTILMDZLZPZ-SECBINFHSA-N 0 1 273.321 0.629 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cc3n(n2)CCCO3)CC1 ZINC001347400286 924439988 /nfs/dbraw/zinc/43/99/88/924439988.db2.gz FNZPFYLUEYDZRS-UHFFFAOYSA-N 0 1 289.335 0.920 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H]1CCC[N@H+](CCC)C1)C(=O)[O-] ZINC001347549278 924476591 /nfs/dbraw/zinc/47/65/91/924476591.db2.gz YXJIGAXBSOGPMX-QWHCGFSZSA-N 0 1 298.383 0.880 20 30 CCEDMN C=CC[C@H](CC)NC(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001347545747 924477047 /nfs/dbraw/zinc/47/70/47/924477047.db2.gz WVNVAOSBDYOKSH-FRRDWIJNSA-N 0 1 251.374 0.846 20 30 CCEDMN Cc1cc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)ncn1 ZINC001347600474 924490171 /nfs/dbraw/zinc/49/01/71/924490171.db2.gz LBWSEVKUKYYVGW-VXGBXAGGSA-N 0 1 258.329 0.979 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC([C@H](C)O)C2)CC1 ZINC001347953071 924564107 /nfs/dbraw/zinc/56/41/07/924564107.db2.gz HZAREGHUDYYFBW-NSHDSACASA-N 0 1 250.342 0.171 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H](CC)NC(C)=O)CC1 ZINC001479893761 924572234 /nfs/dbraw/zinc/57/22/34/924572234.db2.gz YGPNKOSPCQZZGY-HNNXBMFYSA-N 0 1 293.411 0.847 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@H](C)OC)C1 ZINC001479898607 924607696 /nfs/dbraw/zinc/60/76/96/924607696.db2.gz NYKLTKVKZGJQPE-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN CO[C@H](C)CN1CCN(CCNC(=O)C#CC(C)C)CC1 ZINC001479930487 924870363 /nfs/dbraw/zinc/87/03/63/924870363.db2.gz KEFDQZQDVLUMRF-OAHLLOKOSA-N 0 1 295.427 0.415 20 30 CCEDMN C=CCCC(=O)NCCCNC(=O)Cc1cc(C)n[nH]1 ZINC001349798973 925061183 /nfs/dbraw/zinc/06/11/83/925061183.db2.gz FBFVTTUWXLRTAH-UHFFFAOYSA-N 0 1 278.356 0.849 20 30 CCEDMN C=CCCn1cc(CNCC2(O)CCOCC2)nn1 ZINC001350129934 925132649 /nfs/dbraw/zinc/13/26/49/925132649.db2.gz GJRAROLZQICVTB-UHFFFAOYSA-N 0 1 266.345 0.485 20 30 CCEDMN C=CCOC[C@@H]([NH2+]Cc1cnc(N(C)C)s1)C(=O)[O-] ZINC001350611518 925238741 /nfs/dbraw/zinc/23/87/41/925238741.db2.gz VARHMPKUOCAJEO-SNVBAGLBSA-N 0 1 285.369 0.955 20 30 CCEDMN C#CCN1CCC(c2nnc(N(C)CCOC)n2C)CC1 ZINC001350761042 925271186 /nfs/dbraw/zinc/27/11/86/925271186.db2.gz YHHXNIWSUHQKST-UHFFFAOYSA-N 0 1 291.399 0.710 20 30 CCEDMN C=C(CC)C(=O)N(CCN1CCN(C)CC1)CC(=O)OC ZINC001352158841 925535870 /nfs/dbraw/zinc/53/58/70/925535870.db2.gz BEGBCZHTOCTZJR-UHFFFAOYSA-N 0 1 297.399 0.202 20 30 CCEDMN C#CCN(C)CCCNC(=O)C1=NC(=O)C(C)S1 ZINC001352251491 925548990 /nfs/dbraw/zinc/54/89/90/925548990.db2.gz MXERQYIXXLMJRM-UHFFFAOYSA-N 0 1 267.354 0.842 20 30 CCEDMN C#CCNCC(=O)N1CCC(CC(=O)N2CCCC2)CC1 ZINC001353192910 925759493 /nfs/dbraw/zinc/75/94/93/925759493.db2.gz QNVOAXYSGVLCGF-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCCCC(=O)NCC1CC(NCc2cn(C)nn2)C1 ZINC001100283423 925796547 /nfs/dbraw/zinc/79/65/47/925796547.db2.gz KTHVMSZEDOFHAQ-UHFFFAOYSA-N 0 1 289.383 0.603 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](c2nnc(C3CC3)[nH]2)C1 ZINC001353690361 925903947 /nfs/dbraw/zinc/90/39/47/925903947.db2.gz ZFFJJVVDMSFIGC-GFCCVEGCSA-N 0 1 287.367 0.611 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](c2nncn2C2CC2)C1 ZINC001353701501 925907319 /nfs/dbraw/zinc/90/73/19/925907319.db2.gz WGDKKZLTJPMWQV-GFCCVEGCSA-N 0 1 287.367 0.542 20 30 CCEDMN CN1CC(C(=O)NCC2(C#N)CCOCC2)=NC1=O ZINC001353946180 925969823 /nfs/dbraw/zinc/96/98/23/925969823.db2.gz DXNYMINHCAXYPF-UHFFFAOYSA-N 0 1 264.285 0.176 20 30 CCEDMN O=C(NCC#C[C@H]1CCCCO1)NCCCc1nc[nH]n1 ZINC001355333561 926243598 /nfs/dbraw/zinc/24/35/98/926243598.db2.gz RQYWSIYUKWFSGR-GFCCVEGCSA-N 0 1 291.355 0.609 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCN(C)C(=O)c1[nH]nnc1C ZINC001355625006 926293058 /nfs/dbraw/zinc/29/30/58/926293058.db2.gz MLDFPXJKICHMMW-UHFFFAOYSA-N 0 1 293.371 0.904 20 30 CCEDMN CCO[C@@H]1[C@H](C)[C@H]1C(=O)C(C#N)C(=O)NC(C)C ZINC001356276011 926364834 /nfs/dbraw/zinc/36/48/34/926364834.db2.gz PLJACVHXUBZBEG-MWGHHZFTSA-N 0 1 252.314 0.891 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001356358572 926372176 /nfs/dbraw/zinc/37/21/76/926372176.db2.gz UBZLEAWBEXKDNO-LLVKDONJSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCNC(=O)CCNCc1cc(Br)no1 ZINC001356597604 926407987 /nfs/dbraw/zinc/40/79/87/926407987.db2.gz UDDSOKMZZXQOLW-UHFFFAOYSA-N 0 1 286.129 0.666 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001357012054 926470838 /nfs/dbraw/zinc/47/08/38/926470838.db2.gz WAWFAZRNXDXYSW-VIFPVBQESA-N 0 1 265.317 0.253 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001357012054 926470843 /nfs/dbraw/zinc/47/08/43/926470843.db2.gz WAWFAZRNXDXYSW-VIFPVBQESA-N 0 1 265.317 0.253 20 30 CCEDMN CC(C)[C@H](CNC(=O)C#CC1CC1)NC(=O)c1cnn[nH]1 ZINC001357096423 926483578 /nfs/dbraw/zinc/48/35/78/926483578.db2.gz UNHZRZGCXLTUGG-NSHDSACASA-N 0 1 289.339 0.089 20 30 CCEDMN C[C@@H](Oc1ccccn1)C(=O)NC1(C#N)CCN(C)CC1 ZINC001358275113 926663711 /nfs/dbraw/zinc/66/37/11/926663711.db2.gz JTHZSUVYGJAGAF-GFCCVEGCSA-N 0 1 288.351 0.953 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCN1C(=O)c1ccn[nH]1 ZINC001358602496 926761361 /nfs/dbraw/zinc/76/13/61/926761361.db2.gz NTXYUKRQLSWZDK-NSHDSACASA-N 0 1 274.324 0.544 20 30 CCEDMN Cc1cc(CNC[C@H](C)NC(=O)c2ccc(C#N)[nH]2)ncn1 ZINC001422632493 927429029 /nfs/dbraw/zinc/42/90/29/927429029.db2.gz HYRNJOZYGGCGGZ-NSHDSACASA-N 0 1 298.350 0.893 20 30 CCEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)Cn1cnnn1 ZINC001422973673 927614609 /nfs/dbraw/zinc/61/46/09/927614609.db2.gz YIPYNXCJNODJTG-NSHDSACASA-N 0 1 298.778 0.252 20 30 CCEDMN N#Cc1ccc(CN[C@H](CO)CNC(=O)c2ccc[nH]2)cc1 ZINC001423178090 927711514 /nfs/dbraw/zinc/71/15/14/927711514.db2.gz HHWXXUDLNLWEPO-AWEZNQCLSA-N 0 1 298.346 0.767 20 30 CCEDMN Cc1ccc(F)c(CN[C@@H](CO)CNC(=O)[C@@H](C)C#N)c1 ZINC001423190219 927726516 /nfs/dbraw/zinc/72/65/16/927726516.db2.gz OXKDLIMODKKZKA-WCQYABFASA-N 0 1 293.342 0.861 20 30 CCEDMN C[C@H](CN(C)C(=O)c1ccc(C#N)n1C)c1nn[nH]n1 ZINC001362330445 927890558 /nfs/dbraw/zinc/89/05/58/927890558.db2.gz YIKVFUPDHPVQGL-MRVPVSSYSA-N 0 1 273.300 0.286 20 30 CCEDMN CN1C[C@@H](NS(=O)(=O)CC(C)(C)C#N)C(C)(C)C1 ZINC001424791879 928296944 /nfs/dbraw/zinc/29/69/44/928296944.db2.gz IUFVSEYUTCWXPE-SNVBAGLBSA-N 0 1 273.402 0.796 20 30 CCEDMN N#Cc1cccc(CC(=O)NCCN2CCC(O)CC2)c1 ZINC001362695512 928370318 /nfs/dbraw/zinc/37/03/18/928370318.db2.gz NRDAPLSEUZFJGB-UHFFFAOYSA-N 0 1 287.363 0.674 20 30 CCEDMN N#Cc1cccc(NC2CN(C(=O)CCc3nn[nH]n3)C2)c1 ZINC001363051074 928807010 /nfs/dbraw/zinc/80/70/10/928807010.db2.gz MEJIJMJYJKWOEC-UHFFFAOYSA-N 0 1 297.322 0.327 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)[C@@H](C)C#N)CCN1C(=O)c1ccn[nH]1 ZINC001426980038 928949712 /nfs/dbraw/zinc/94/97/12/928949712.db2.gz XBBNXLKCQVIIDY-JBLDHEPKSA-N 0 1 289.339 0.679 20 30 CCEDMN N#CC[C@H](C(=O)NCCN1CC[C@H](O)C1)c1ccccc1 ZINC001363190625 928962352 /nfs/dbraw/zinc/96/23/52/928962352.db2.gz GKYQVRGYPJSDSI-GJZGRUSLSA-N 0 1 287.363 0.867 20 30 CCEDMN CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)c1cnncc1O ZINC001363219975 928989803 /nfs/dbraw/zinc/98/98/03/928989803.db2.gz STRRWXCNNDZYLK-CQSZACIVSA-N 0 1 298.302 0.859 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NC1(c2nn[nH]n2)CCC1 ZINC001363548638 929350488 /nfs/dbraw/zinc/35/04/88/929350488.db2.gz JPPSVLZBEPCZNS-UHFFFAOYSA-N 0 1 283.295 0.584 20 30 CCEDMN C[C@@H]1CC[C@H](O)C[N@H+]1Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001363820648 929613416 /nfs/dbraw/zinc/61/34/16/929613416.db2.gz RNGWALATAWCIDJ-SKDRFNHKSA-N 0 1 287.323 0.652 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CN(C)C(=O)[C@H](C)C#N)c1C ZINC001443986983 929762061 /nfs/dbraw/zinc/76/20/61/929762061.db2.gz CHTTTXZLEJURDS-BDAKNGLRSA-N 0 1 291.355 0.763 20 30 CCEDMN C#CCN1CCCN(C(=O)c2[nH]nc(C)c2[N+](=O)[O-])CC1 ZINC001444337882 929844046 /nfs/dbraw/zinc/84/40/46/929844046.db2.gz LKTNKDNRCLNQHH-UHFFFAOYSA-N 0 1 291.311 0.407 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCCN1CCn1cncn1 ZINC001372500147 929852192 /nfs/dbraw/zinc/85/21/92/929852192.db2.gz LHDAYVDNHRAHQH-VXGBXAGGSA-N 0 1 276.344 0.018 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@H]1COC(=O)C1 ZINC001445350831 930107945 /nfs/dbraw/zinc/10/79/45/930107945.db2.gz CGPMRAUFCMQHFO-NXEZZACHSA-N 0 1 274.748 0.786 20 30 CCEDMN CCc1nc([C@@H](C)N2CC(CNC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001373504222 930223985 /nfs/dbraw/zinc/22/39/85/930223985.db2.gz RKVWHICZQLAUKQ-NXEZZACHSA-N 0 1 290.371 0.636 20 30 CCEDMN C[C@@H](NC1(CNC(=O)[C@@H](C)C#N)CC1)C(=O)NC(C)(C)C ZINC001446157957 930354814 /nfs/dbraw/zinc/35/48/14/930354814.db2.gz IIKCMVJBRZKXIE-WDEREUQCSA-N 0 1 294.399 0.688 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](C)NC(C)=O ZINC001374194934 930447964 /nfs/dbraw/zinc/44/79/64/930447964.db2.gz LERLVRCTGCBRCP-UWVGGRQHSA-N 0 1 275.780 0.700 20 30 CCEDMN CCC(=O)NC[C@@H](O)CNCc1ccc(C#N)cc1F ZINC001374569596 930563424 /nfs/dbraw/zinc/56/34/24/930563424.db2.gz MWEMYJYORQFUNL-LBPRGKRZSA-N 0 1 279.315 0.674 20 30 CCEDMN CC1(C(=O)NC[C@H](O)CNCc2ccccc2C#N)CC1 ZINC001374606115 930590261 /nfs/dbraw/zinc/59/02/61/930590261.db2.gz RCESSQJYIKKWRV-CQSZACIVSA-N 0 1 287.363 0.925 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ncoc1C1CC1 ZINC001374663987 930610881 /nfs/dbraw/zinc/61/08/81/930610881.db2.gz WHWYTGFCVMJKKB-SNVBAGLBSA-N 0 1 299.758 0.985 20 30 CCEDMN Cc1nn(C)cc1CN(C)[C@@H](C)CNC(=O)[C@H](C)C#N ZINC001447996676 930777049 /nfs/dbraw/zinc/77/70/49/930777049.db2.gz SITUFWNZXKGUIX-MNOVXSKESA-N 0 1 277.372 0.825 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H](C)NC(C)=O)[C@@H]2C1 ZINC001448414698 930883238 /nfs/dbraw/zinc/88/32/38/930883238.db2.gz QYHBMWIBNUFMAX-JHEVNIALSA-N 0 1 299.802 0.558 20 30 CCEDMN CC(C)[C@H](CNC(=O)CN1CCCC1)NC(=O)[C@H](C)C#N ZINC001375987619 931013240 /nfs/dbraw/zinc/01/32/40/931013240.db2.gz IMRIVQNFTWDFFH-OLZOCXBDSA-N 0 1 294.399 0.499 20 30 CCEDMN COc1cc(CN[C@@H](CNC(=O)[C@@H](C)C#N)C2CC2)on1 ZINC001376199883 931089426 /nfs/dbraw/zinc/08/94/26/931089426.db2.gz ODBJSMGRASGANC-CABZTGNLSA-N 0 1 292.339 0.827 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCC(NCc2cn(C)nn2)CC1 ZINC001376660954 931204164 /nfs/dbraw/zinc/20/41/64/931204164.db2.gz CEFFFWQGDPWXRO-UNXYVOJBSA-N 0 1 290.371 0.492 20 30 CCEDMN C[C@H](C(=O)NCc1n[nH]c(C2CC2)n1)n1cnc(C#N)n1 ZINC001450180203 931243031 /nfs/dbraw/zinc/24/30/31/931243031.db2.gz LJEXJUFOOPWDCA-SSDOTTSWSA-N 0 1 286.299 0.023 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1ccn(C)n1 ZINC001376719416 931244394 /nfs/dbraw/zinc/24/43/94/931244394.db2.gz QKFPZQLEWZTLGP-SNVBAGLBSA-N 0 1 270.764 0.809 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cnc[nH]c1=O ZINC001376756448 931261143 /nfs/dbraw/zinc/26/11/43/931261143.db2.gz XHHIDLMCWPWLJX-MRVPVSSYSA-N 0 1 270.720 0.643 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC001589720448 950414940 /nfs/dbraw/zinc/41/49/40/950414940.db2.gz POORNBOIQYODOS-FZMZJTMJSA-N 0 1 281.356 0.934 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@]1(C)C(=O)[O-] ZINC001589720448 950414957 /nfs/dbraw/zinc/41/49/57/950414957.db2.gz POORNBOIQYODOS-FZMZJTMJSA-N 0 1 281.356 0.934 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000306035799 950591621 /nfs/dbraw/zinc/59/16/21/950591621.db2.gz MFNPMKAMPXCGRL-VWYCJHECSA-N 0 1 254.330 0.720 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000328062633 951120942 /nfs/dbraw/zinc/12/09/42/951120942.db2.gz BUFMSAUOQJKQMG-AWEZNQCLSA-N 0 1 281.356 0.448 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(C)CCCC(=O)[O-] ZINC000380827534 951194796 /nfs/dbraw/zinc/19/47/96/951194796.db2.gz IFVYLNMHBGBIKG-UHFFFAOYSA-N 0 1 269.345 0.448 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@@H+](C)C[C@H](O)CC1(C#N)CCOCC1 ZINC001589440673 954706057 /nfs/dbraw/zinc/70/60/57/954706057.db2.gz DPMZUEXMYQBIIG-VXGBXAGGSA-N 0 1 284.356 0.853 20 30 CCEDMN C[C@@H](C[N@@H+](C)[C@@H](C)C(=O)NC1(C#N)CCC1)C(=O)[O-] ZINC001589032871 955530276 /nfs/dbraw/zinc/53/02/76/955530276.db2.gz QRMULJYXMVCOOL-UWVGGRQHSA-N 0 1 267.329 0.590 20 30 CCEDMN COC[C@]1(C(=O)[O-])CC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C1 ZINC001593968837 956591342 /nfs/dbraw/zinc/59/13/42/956591342.db2.gz XLIPTSOKCATNAL-RISCZKNCSA-N 0 1 297.355 0.170 20 30 CCEDMN COCC[N@@H+](C)CCCNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC001593995523 956888930 /nfs/dbraw/zinc/88/89/30/956888930.db2.gz FQWHVFSCZNCKIQ-ZDUSSCGKSA-N 0 1 285.344 0.075 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@@H]1CCCC[N@@H+]1CC ZINC001588408202 958105051 /nfs/dbraw/zinc/10/50/51/958105051.db2.gz AQXMFSKIIPOADC-LBPRGKRZSA-N 0 1 281.356 0.590 20 30 CCEDMN C#CCO[C@@H](C)C(=O)OC[C@H]1CCC[N@H+]1CC(=O)[O-] ZINC001588430453 958254896 /nfs/dbraw/zinc/25/48/96/958254896.db2.gz BXJZXPNPHYZXFR-WDEREUQCSA-N 0 1 269.297 0.117 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)CCCCC(=O)[O-])CC1 ZINC001588431320 958268729 /nfs/dbraw/zinc/26/87/29/958268729.db2.gz WGFDGMGVCSZMTQ-UHFFFAOYSA-N 0 1 266.341 0.799 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)CCCCC(=O)[O-])CC1 ZINC001588431320 958268745 /nfs/dbraw/zinc/26/87/45/958268745.db2.gz WGFDGMGVCSZMTQ-UHFFFAOYSA-N 0 1 266.341 0.799 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H](O)P(=O)(OCC)OCC ZINC001573320754 958478691 /nfs/dbraw/zinc/47/86/91/958478691.db2.gz NCJHCAMQPVDTII-NSHDSACASA-N 0 1 293.256 0.591 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H](O)P(=O)(OCC)OCC ZINC001573320754 958478696 /nfs/dbraw/zinc/47/86/96/958478696.db2.gz NCJHCAMQPVDTII-NSHDSACASA-N 0 1 293.256 0.591 20 30 CCEDMN N#CCC[N@@H+](CCCC(=O)[O-])CCN1CCOCC1 ZINC001574241802 960465661 /nfs/dbraw/zinc/46/56/61/960465661.db2.gz QCYWTQSNDVRZOS-UHFFFAOYSA-N 0 1 269.345 0.399 20 30 CCEDMN N#CCC[N@H+](CCCC(=O)[O-])CCN1CCOCC1 ZINC001574241802 960465669 /nfs/dbraw/zinc/46/56/69/960465669.db2.gz QCYWTQSNDVRZOS-UHFFFAOYSA-N 0 1 269.345 0.399 20 30 CCEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@@H+]2C[C@@H]3C(=O)OC[C@@H]3C2)cc1 ZINC001571030107 960476910 /nfs/dbraw/zinc/47/69/10/960476910.db2.gz QASJYGHPDMIUDB-AVGNSLFASA-N 0 1 286.287 0.789 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C)CCCC(=O)[O-] ZINC001573879522 961264923 /nfs/dbraw/zinc/26/49/23/961264923.db2.gz JDTIKBIWNWXVOE-UHFFFAOYSA-N 0 1 255.318 0.545 20 30 CCEDMN C#CCC[N@H+](C)C[C@@H]1CCCCN1C(=O)CNC(=O)[O-] ZINC001573301899 962640193 /nfs/dbraw/zinc/64/01/93/962640193.db2.gz MQRIMDADLLZBGP-LBPRGKRZSA-N 0 1 281.356 0.590 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CNC(=O)[O-] ZINC001573343533 962905654 /nfs/dbraw/zinc/90/56/54/962905654.db2.gz GXTGKHHJQWTCES-MBTKJCJQSA-N 0 1 273.720 0.053 20 30 CCEDMN C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CNC(=O)[O-] ZINC001573343533 962905662 /nfs/dbraw/zinc/90/56/62/962905662.db2.gz GXTGKHHJQWTCES-MBTKJCJQSA-N 0 1 273.720 0.053 20 30 CCEDMN C[N@H+]1CCC[C@]2(CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C2)C1 ZINC001604216721 972701748 /nfs/dbraw/zinc/70/17/48/972701748.db2.gz WNLCTMJUUBFZPO-CABCVRRESA-N 0 1 293.367 0.935 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001603426714 973159173 /nfs/dbraw/zinc/15/91/73/973159173.db2.gz LMFJKMHGMCISJE-GRYCIOLGSA-N 0 1 281.356 0.790 20 30 CCEDMN CC(C)c1[nH+]ccn1CC(=O)N(CC#N)CC(=O)[O-] ZINC001592037394 976755870 /nfs/dbraw/zinc/75/58/70/976755870.db2.gz MVVXUABUHORKFL-UHFFFAOYSA-N 0 1 264.285 0.443 20 30 CCEDMN C#CC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)CC1CC1 ZINC001588465731 983496283 /nfs/dbraw/zinc/49/62/83/983496283.db2.gz DZXPTBQBVYMUNW-ZDUSSCGKSA-N 0 1 266.341 0.557 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)C12CC(C(=O)[O-])(C1)C2 ZINC001588477019 983514209 /nfs/dbraw/zinc/51/42/09/983514209.db2.gz JGNUWRLEGPPPPA-QGLTVNCISA-N 0 1 277.320 0.882 20 30 CCEDMN C=C(C)C[NH+]1CCN(C(=O)[C@@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588585416 983663396 /nfs/dbraw/zinc/66/33/96/983663396.db2.gz QNZIIURZWFETAQ-GHMZBOCLSA-N 0 1 252.314 0.427 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CC[C@@H](C(=O)NCC(F)(F)F)C1 ZINC001588662857 983792148 /nfs/dbraw/zinc/79/21/48/983792148.db2.gz ACRHXTVKGHNFLH-HTQZYQBOSA-N 0 1 280.246 0.626 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](NC(=O)N(C)C)C1 ZINC001588663786 983796826 /nfs/dbraw/zinc/79/68/26/983796826.db2.gz PNCGBCAGGIPWPX-VHSXEESVSA-N 0 1 255.318 0.361 20 30 CCEDMN C=C[C@H](C(=O)[O-])N1CCC([N@H+]2CC[C@@H](O)C2)CC1 ZINC001588664158 983799136 /nfs/dbraw/zinc/79/91/36/983799136.db2.gz UHIZMYZXIGSRDS-VXGBXAGGSA-N 0 1 254.330 0.157 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+](CC1CC1)[C@H](C(N)=O)C1CC1 ZINC001588664327 983799594 /nfs/dbraw/zinc/79/95/94/983799594.db2.gz POIRZHYROGHAFR-MNOVXSKESA-N 0 1 252.314 0.602 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+](CC1CC1)[C@H](C(N)=O)C1CC1 ZINC001588664327 983799597 /nfs/dbraw/zinc/79/95/97/983799597.db2.gz POIRZHYROGHAFR-MNOVXSKESA-N 0 1 252.314 0.602 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC001588669793 983815832 /nfs/dbraw/zinc/81/58/32/983815832.db2.gz POHXSUHMBWTMEM-GRYCIOLGSA-N 0 1 256.346 0.667 20 30 CCEDMN C=C[C@H](C(=O)[O-])N1C[C@@H](C)[N@H+](CCOC)[C@@H](C)C1 ZINC001588669793 983815840 /nfs/dbraw/zinc/81/58/40/983815840.db2.gz POHXSUHMBWTMEM-GRYCIOLGSA-N 0 1 256.346 0.667 20 30 CCEDMN C=C[C@H](C(=O)[O-])N1C[C@@H](C)[N@@H+](CCOC)[C@@H](C)C1 ZINC001588669793 983815843 /nfs/dbraw/zinc/81/58/43/983815843.db2.gz POHXSUHMBWTMEM-GRYCIOLGSA-N 0 1 256.346 0.667 20 30 CCEDMN C=CCCC[C@@H](NC(=O)C[N@H+](C)[C@@H]1CCC[C@H]1O)C(=O)[O-] ZINC001588788915 984194570 /nfs/dbraw/zinc/19/45/70/984194570.db2.gz RFKZHXDPSQGVGH-JHJVBQTASA-N 0 1 298.383 0.757 20 30 CCEDMN C=CCCC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001588801718 984247014 /nfs/dbraw/zinc/24/70/14/984247014.db2.gz WECLTPPNDYYQRE-JZRPKSSGSA-N 0 1 278.352 0.720 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2CCSC[C@@H](C(=O)[O-])C2)C1=O ZINC001588838702 984339665 /nfs/dbraw/zinc/33/96/65/984339665.db2.gz HYCULRAXUSSHCC-NSHDSACASA-N 0 1 299.396 0.617 20 30 CCEDMN C=C[C@H](CO)[NH2+]CC(=O)c1cc(C(=O)[O-])[nH]c1C ZINC001588919356 984571645 /nfs/dbraw/zinc/57/16/45/984571645.db2.gz ISQGCISYAVJWRV-MRVPVSSYSA-N 0 1 252.270 0.341 20 30 CCEDMN CC#CCCCC(=O)N1CC[N@@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588925087 984585343 /nfs/dbraw/zinc/58/53/43/984585343.db2.gz KTSBRWWPECNISW-LBPRGKRZSA-N 0 1 266.341 0.655 20 30 CCEDMN CC(C)C#CC(=O)N1CC[NH+]([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001590927092 990930741 /nfs/dbraw/zinc/93/07/41/990930741.db2.gz RFVXJRHRNRSZDF-HNNXBMFYSA-N 0 1 294.351 0.034 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@@H+](C)C(C)(C)CC(=O)[O-] ZINC001598468817 991991967 /nfs/dbraw/zinc/99/19/67/991991967.db2.gz RLNHWJGYEZTLLG-UHFFFAOYSA-N 0 1 269.345 0.934 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)O[C@@H]1C[N@@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001598575999 993276215 /nfs/dbraw/zinc/27/62/15/993276215.db2.gz WPWGZIURPABBTI-IINYFYTJSA-N 0 1 291.307 0.601 20 30 CCEDMN C[N@H+]1CCN(CC#Cc2ccccc2)C[C@@H](C(=O)[O-])C1 ZINC001598615129 993864878 /nfs/dbraw/zinc/86/48/78/993864878.db2.gz HMMHSFIURVKDLM-HNNXBMFYSA-N 0 1 272.348 0.986 20 30 CCEDMN CN1CC[N@@H+](CCCSCC#N)C[C@@H](C(=O)[O-])C1 ZINC001598618270 993936483 /nfs/dbraw/zinc/93/64/83/993936483.db2.gz YJFDLWSWYKTYKH-NSHDSACASA-N 0 1 271.386 0.581 20 30 CCEDMN C[N@@H+]1CCN(CCCSCC#N)C[C@@H](C(=O)[O-])C1 ZINC001598618270 993936490 /nfs/dbraw/zinc/93/64/90/993936490.db2.gz YJFDLWSWYKTYKH-NSHDSACASA-N 0 1 271.386 0.581 20 30 CCEDMN C[N@H+]1CCN(CCCSCC#N)C[C@@H](C(=O)[O-])C1 ZINC001598618270 993936498 /nfs/dbraw/zinc/93/64/98/993936498.db2.gz YJFDLWSWYKTYKH-NSHDSACASA-N 0 1 271.386 0.581 20 30 CCEDMN CNC(=O)[C@@H]1CC[N@H+]([C@H](C(=O)[O-])c2ccc(C#N)cc2)C1 ZINC001598639581 994297326 /nfs/dbraw/zinc/29/73/26/994297326.db2.gz YMDCYMDMFHBBBF-OLZOCXBDSA-N 0 1 287.319 0.752 20 30 CCEDMN COC(=O)[C@@H]1CC[N@@H+](CCO[C@@H](C)C#N)[C@@H](C(=O)[O-])C1 ZINC001598800057 996132588 /nfs/dbraw/zinc/13/25/88/996132588.db2.gz NAAYBMCFLPLSML-HBNTYKKESA-N 0 1 284.312 0.253 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](CC2(CC#N)CC2)C[C@H]1C(=O)[O-] ZINC001598818699 996299432 /nfs/dbraw/zinc/29/94/32/996299432.db2.gz BUCJITPWRZWYII-ZJUUUORDSA-N 0 1 266.297 0.486 20 30 CCEDMN CO[C@@]1(C(=O)[O-])CC[N@@H+](C[C@@H](O)CC2(C#N)CC2)C1 ZINC001599078518 996938878 /nfs/dbraw/zinc/93/88/78/996938878.db2.gz RHGSGVQXOSZVBG-GWCFXTLKSA-N 0 1 268.313 0.217 20 30 CCEDMN CC(C)c1cc(=NC(=O)N=c2[nH]n(C)cc2C#N)[nH][nH]1 ZINC000617277137 365588398 /nfs/dbraw/zinc/58/83/98/365588398.db2.gz SFGMUELQLJUOBH-UHFFFAOYSA-N 0 1 273.300 0.626 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCN(CCCF)CC1 ZINC000599666764 361779333 /nfs/dbraw/zinc/77/93/33/361779333.db2.gz CAYCOAZFPQJAFQ-CYBMUJFWSA-N 0 1 284.379 0.724 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1C[C@](C)(CO)[C@](C)(CO)C1 ZINC000599622025 361761474 /nfs/dbraw/zinc/76/14/74/361761474.db2.gz ALVLRABYIQCHIZ-AEGPPILISA-N 0 1 297.399 0.060 20 30 CCEDMN N#Cc1cccc(NC(=O)NCCN2CC[C@@H](O)C2)n1 ZINC000599797329 361815604 /nfs/dbraw/zinc/81/56/04/361815604.db2.gz KHVDZJZRVIYTAK-LLVKDONJSA-N 0 1 275.312 0.141 20 30 CCEDMN CN1C(=O)CC[C@H](NCc2cc(C#N)cs2)C1=O ZINC000093688460 349551105 /nfs/dbraw/zinc/55/11/05/349551105.db2.gz BMQDFXFLYNUZSX-JTQLQIEISA-N 0 1 263.322 0.857 20 30 CCEDMN C=CCOc1ccc(CN2C[C@@H](O)[C@@H](O)C2)cc1OC ZINC000093483722 349543727 /nfs/dbraw/zinc/54/37/27/349543727.db2.gz JFFKXPPGSVBFML-BETUJISGSA-N 0 1 279.336 0.797 20 30 CCEDMN N#Cc1ccc2c(c1)CN(C(=O)NC[C@H]1COCCN1)C2 ZINC000599942311 361837278 /nfs/dbraw/zinc/83/72/78/361837278.db2.gz ZRSFAOOALJDHGX-AWEZNQCLSA-N 0 1 286.335 0.572 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(C(=O)[C@@H](C)C#N)C1 ZINC000600322037 361930664 /nfs/dbraw/zinc/93/06/64/361930664.db2.gz DBIXWVNGSWRBMO-AEJSXWLSSA-N 0 1 282.296 0.099 20 30 CCEDMN N#C[C@@]1(C(=O)NCCN2CC[C@H](O)C2)CC12CCCC2 ZINC000600808015 362065774 /nfs/dbraw/zinc/06/57/74/362065774.db2.gz GIQUFGQTILBWRU-SWLSCSKDSA-N 0 1 277.368 0.643 20 30 CCEDMN Cc1cc(C#N)cc(NCc2nnc([C@H]3CCOC3)[nH]2)n1 ZINC000601198295 362173938 /nfs/dbraw/zinc/17/39/38/362173938.db2.gz UKXBJGIMHJTXRM-NSHDSACASA-N 0 1 284.323 0.918 20 30 CCEDMN Cc1cc(C#N)cc(NCc2n[nH]c([C@H]3CCOC3)n2)n1 ZINC000601198295 362173947 /nfs/dbraw/zinc/17/39/47/362173947.db2.gz UKXBJGIMHJTXRM-NSHDSACASA-N 0 1 284.323 0.918 20 30 CCEDMN CCc1nc(CNC(=O)CNc2cccc(C#N)c2)n[nH]1 ZINC000452577667 529737737 /nfs/dbraw/zinc/73/77/37/529737737.db2.gz XYCIHFQCZVNZDY-UHFFFAOYSA-N 0 1 284.323 0.967 20 30 CCEDMN CN(CCN1CCCC1)C(=O)N[C@@H]1CC[S@](=O)C1 ZINC000330033529 529907626 /nfs/dbraw/zinc/90/76/26/529907626.db2.gz VOYLSDLWTJFTMB-ZMZPIMSZSA-N 0 1 273.402 0.449 20 30 CCEDMN N#C[C@@H]1CSCCN1C(=O)CCc1c[nH]nn1 ZINC000601407784 362260110 /nfs/dbraw/zinc/26/01/10/362260110.db2.gz FIGMPJVBYLIUIZ-SECBINFHSA-N 0 1 251.315 0.205 20 30 CCEDMN N#C[C@@H]1CSCCN1C(=O)CCc1cnn[nH]1 ZINC000601407784 362260113 /nfs/dbraw/zinc/26/01/13/362260113.db2.gz FIGMPJVBYLIUIZ-SECBINFHSA-N 0 1 251.315 0.205 20 30 CCEDMN N#Cc1cccc(NC2CN(C(=O)CCc3nc[nH]n3)C2)c1 ZINC000601675726 362351660 /nfs/dbraw/zinc/35/16/60/362351660.db2.gz AODCRHRMAYDAMQ-UHFFFAOYSA-N 0 1 296.334 0.932 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@H]1CCCc2n[nH]nc21)C(=O)OC ZINC000601781689 362389169 /nfs/dbraw/zinc/38/91/69/362389169.db2.gz LADZESJNMQPRCQ-ONGXEEELSA-N 0 1 292.339 0.849 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H](CO)[C@@H]1CCOC1 ZINC000330071075 530055487 /nfs/dbraw/zinc/05/54/87/530055487.db2.gz INPARERCZSKOJV-IJLUTSLNSA-N 0 1 256.346 0.682 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCC2(C)CCOCC2)C1 ZINC000329027801 530070090 /nfs/dbraw/zinc/07/00/90/530070090.db2.gz KHBFPLNHQRTKIW-ZDUSSCGKSA-N 0 1 298.431 0.553 20 30 CCEDMN N#Cc1ccc2c(c1)CN(C(=O)CCCc1nn[nH]n1)C2 ZINC000602175608 362543635 /nfs/dbraw/zinc/54/36/35/362543635.db2.gz RUDMIWCLJBLVJR-UHFFFAOYSA-N 0 1 282.307 0.936 20 30 CCEDMN CNC(=O)C1CCN(Cc2cccc(C#N)n2)CC1 ZINC000602430773 362612482 /nfs/dbraw/zinc/61/24/82/362612482.db2.gz QRSUYZLSGKWESH-UHFFFAOYSA-N 0 1 258.325 0.911 20 30 CCEDMN CN(C)C(=O)[C@H]1CC[C@H](CNCc2cccc(C#N)n2)O1 ZINC000602582828 362690903 /nfs/dbraw/zinc/69/09/03/362690903.db2.gz PBUJCXRGXQDJJJ-ZIAGYGMSSA-N 0 1 288.351 0.679 20 30 CCEDMN N#CCc1cccc2c1CCN(C(=O)[C@H]1CNCCO1)C2 ZINC000602573295 362686916 /nfs/dbraw/zinc/68/69/16/362686916.db2.gz BMNPCHVKTNUOOA-OAHLLOKOSA-N 0 1 285.347 0.626 20 30 CCEDMN CC(C)OC[C@H](N)C(=O)N(C)[C@@H]1CCC[C@@H]1C#N ZINC000602603823 362708557 /nfs/dbraw/zinc/70/85/57/362708557.db2.gz VIFLPTIUFAIBJR-GRYCIOLGSA-N 0 1 253.346 0.889 20 30 CCEDMN COC(=O)[C@H]1C[C@H](O)CN(CC2(CC#N)CC2)C1 ZINC000602847639 362835040 /nfs/dbraw/zinc/83/50/40/362835040.db2.gz AAGYBYZENKUPHU-QWRGUYRKSA-N 0 1 252.314 0.536 20 30 CCEDMN C[C@H]1CN(CCCN2CCCC2=O)C[C@H](C)N1CC#N ZINC000602854527 362840830 /nfs/dbraw/zinc/84/08/30/362840830.db2.gz CLQGSVCSQLAENU-KBPBESRZSA-N 0 1 278.400 0.917 20 30 CCEDMN CCC[C@@H](NC(=O)c1ccc(C#N)o1)c1nn[nH]n1 ZINC000342345285 137089620 /nfs/dbraw/zinc/08/96/20/137089620.db2.gz CERMPZCLKQBVQP-MRVPVSSYSA-N 0 1 260.257 0.936 20 30 CCEDMN N#Cc1ccc(CN2CCN(Cc3nnc[nH]3)CC2)nc1 ZINC000602864823 362849879 /nfs/dbraw/zinc/84/98/79/362849879.db2.gz KCAHNENNKAVQKW-UHFFFAOYSA-N 0 1 283.339 0.389 20 30 CCEDMN N#Cc1ccc(CN[C@H]2CCS(=O)(=O)C2)cc1 ZINC000019900031 348074188 /nfs/dbraw/zinc/07/41/88/348074188.db2.gz FHVMVHBRMFEPAX-LBPRGKRZSA-N 0 1 250.323 0.835 20 30 CCEDMN C[NH+](C)CCOCC[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000344670389 137207306 /nfs/dbraw/zinc/20/73/06/137207306.db2.gz JFPOBPLSVXUSFU-UHFFFAOYSA-N 0 1 287.341 0.008 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2C[C@@H]3COC[C@@]3(C)C2)[nH]1 ZINC000602886109 362864323 /nfs/dbraw/zinc/86/43/23/362864323.db2.gz JDGCYVPVAFWEQJ-ZWNOBZJWSA-N 0 1 275.312 0.214 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2COC(C)(C)C2)[nH]1 ZINC000602885419 362864790 /nfs/dbraw/zinc/86/47/90/362864790.db2.gz DTBKJDQWSHPFBA-SECBINFHSA-N 0 1 263.301 0.403 20 30 CCEDMN C[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H]1CO ZINC000602886083 362865024 /nfs/dbraw/zinc/86/50/24/362865024.db2.gz IYJNPGPHDANXPO-KOLCDFICSA-N 0 1 277.328 0.196 20 30 CCEDMN C[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@]1(C)CO ZINC000602888355 362867312 /nfs/dbraw/zinc/86/73/12/362867312.db2.gz SSTJWNGLYSRDPG-ZANVPECISA-N 0 1 277.328 0.196 20 30 CCEDMN C[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@]1(C)CO ZINC000602888354 362867528 /nfs/dbraw/zinc/86/75/28/362867528.db2.gz SSTJWNGLYSRDPG-TVQRCGJNSA-N 0 1 277.328 0.196 20 30 CCEDMN COC(=O)[C@@]1(OC)CCN(CC2(CC#N)CC2)C1 ZINC000602919342 362885877 /nfs/dbraw/zinc/88/58/77/362885877.db2.gz YOUKKAGMHFRBQM-CYBMUJFWSA-N 0 1 252.314 0.944 20 30 CCEDMN CN(CC(=O)N1CCN(C)CC1)[C@@H]1CC[C@H](C#N)C1 ZINC000602989495 362925296 /nfs/dbraw/zinc/92/52/96/362925296.db2.gz QPTQSMZOXDKWNS-QWHCGFSZSA-N 0 1 264.373 0.384 20 30 CCEDMN C[C@@H](CO)N1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000347250052 137327499 /nfs/dbraw/zinc/32/74/99/137327499.db2.gz YWNGVOLYAJGKRQ-NSHDSACASA-N 0 1 276.340 0.415 20 30 CCEDMN O=C1CN=C(NC(O)=C2CC(=O)Nc3cc(F)ccc32)N1 ZINC000050223253 348470716 /nfs/dbraw/zinc/47/07/16/348470716.db2.gz ATHHDCKGZIKYJN-MRVPVSSYSA-N 0 1 290.254 0.694 20 30 CCEDMN C[C@H](CO)CN1CCN(c2ncccc2C#N)CC1 ZINC000120503402 349989229 /nfs/dbraw/zinc/98/92/29/349989229.db2.gz POJYKKSIQVXUHP-LBPRGKRZSA-N 0 1 260.341 0.704 20 30 CCEDMN CN(CCNS(=O)(=O)c1ccccc1C#N)C1CC1 ZINC000062010376 348662128 /nfs/dbraw/zinc/66/21/28/348662128.db2.gz STBTZRJBUUZQNT-UHFFFAOYSA-N 0 1 279.365 0.931 20 30 CCEDMN C[C@@H](C(=O)N1CCN(c2cc(C#N)ccn2)CC1)N(C)C ZINC000078664034 349149150 /nfs/dbraw/zinc/14/91/50/349149150.db2.gz QZLQJZPWLRXLGX-LBPRGKRZSA-N 0 1 287.367 0.552 20 30 CCEDMN N#Cc1cc([N+](=O)[O-])cnc1NCCc1nc[nH]n1 ZINC000080590431 349220678 /nfs/dbraw/zinc/22/06/78/349220678.db2.gz RKEORZAXUXBFJF-UHFFFAOYSA-N 0 1 259.229 0.634 20 30 CCEDMN CNC(=O)[C@H](C)CN(C)CC(=O)Nc1cccc(C#N)c1 ZINC000081527483 349272467 /nfs/dbraw/zinc/27/24/67/349272467.db2.gz GTQBPOSEYKCRNW-LLVKDONJSA-N 0 1 288.351 0.811 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)NCCCCN1CCOCC1 ZINC000081676615 349279754 /nfs/dbraw/zinc/27/97/54/349279754.db2.gz ZPHYRVJQLUIENJ-UHFFFAOYSA-N 0 1 290.367 0.739 20 30 CCEDMN CN(C)C1CN(C(=O)CNC(=O)c2ccc(C#N)cc2)C1 ZINC000134921355 350379453 /nfs/dbraw/zinc/37/94/53/350379453.db2.gz MNIXCTPSVAWKOH-UHFFFAOYSA-N 0 1 286.335 0.060 20 30 CCEDMN CCOCC[C@@H](O)CN1CCN([C@@H](C#N)C(C)C)CC1 ZINC000618289739 365973941 /nfs/dbraw/zinc/97/39/41/365973941.db2.gz UTZAYTVRPXEPAJ-CABCVRRESA-N 0 1 283.416 0.940 20 30 CCEDMN C[C@@](N)(C(=O)N1CCN(CCC#N)CC1)c1ccccc1 ZINC000158784218 350662248 /nfs/dbraw/zinc/66/22/48/350662248.db2.gz PMPBKQJAVXCQIW-INIZCTEOSA-N 0 1 286.379 0.918 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CC[C@@H](C)O ZINC000173818427 351056648 /nfs/dbraw/zinc/05/66/48/351056648.db2.gz HNILNVOROQQGKF-ZJUUUORDSA-N 0 1 257.334 0.089 20 30 CCEDMN C[C@H](CNC(=O)c1c[nH]c(C#N)c1)N1CCN(C)CC1 ZINC000181275123 351309055 /nfs/dbraw/zinc/30/90/55/351309055.db2.gz GGVXDTZYDQNBIW-LLVKDONJSA-N 0 1 275.356 0.252 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1ccnc(C#N)c1 ZINC000193182700 351815595 /nfs/dbraw/zinc/81/55/95/351815595.db2.gz GXJICUCRIHPXEA-GFCCVEGCSA-N 0 1 287.367 0.319 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1ccc(C#N)nc1 ZINC000227458152 352051111 /nfs/dbraw/zinc/05/11/11/352051111.db2.gz INLROCOBGMFCFV-UHFFFAOYSA-N 0 1 268.342 0.135 20 30 CCEDMN CN(C)S(=O)(=O)CCNCc1cccc(C#N)c1 ZINC000230987774 352123164 /nfs/dbraw/zinc/12/31/64/352123164.db2.gz KESKQUNBLKSYRJ-UHFFFAOYSA-N 0 1 267.354 0.539 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN(CCN2CCOCC2)C1 ZINC000603408902 363116874 /nfs/dbraw/zinc/11/68/74/363116874.db2.gz GVINZHPSBACZHR-KGLIPLIRSA-N 0 1 294.399 0.059 20 30 CCEDMN Cn1cc(CN[C@H]2CCC(=O)N(C)C2=O)cc1C#N ZINC000232315477 352143411 /nfs/dbraw/zinc/14/34/11/352143411.db2.gz VREPKDIYFGJKPF-NSHDSACASA-N 0 1 260.297 0.134 20 30 CCEDMN N#Cc1ccccc1OC[C@@H](O)CNCc1cccnn1 ZINC000236517772 352196770 /nfs/dbraw/zinc/19/67/70/352196770.db2.gz VUDSKISCPLOMHZ-AWEZNQCLSA-N 0 1 284.319 0.878 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H]1CN1C[C@@H](C)O[C@@H](C)C1 ZINC000249819468 352501664 /nfs/dbraw/zinc/50/16/64/352501664.db2.gz MSXIKTSZPLTYFB-QLFBSQMISA-N 0 1 295.427 0.862 20 30 CCEDMN C#CCN[C@H](C)c1ccc(S(=O)(=O)NC)cc1 ZINC000157216592 188304272 /nfs/dbraw/zinc/30/42/72/188304272.db2.gz HXDLKTBFTADMIE-SNVBAGLBSA-N 0 1 252.339 0.879 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1cc(C#N)ccn1 ZINC000283216341 353490938 /nfs/dbraw/zinc/49/09/38/353490938.db2.gz XXLFGBDPDSIKAS-GFCCVEGCSA-N 0 1 287.367 0.319 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccnc(C#N)c2)C[C@@H]1C ZINC000283921727 353536821 /nfs/dbraw/zinc/53/68/21/353536821.db2.gz WZZOCSKUBWVBAS-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN N#Cc1ccc(OCCN2CCOC[C@H](O)C2)cc1 ZINC000295731731 353915377 /nfs/dbraw/zinc/91/53/77/353915377.db2.gz VZQILNRCHLKHKW-CYBMUJFWSA-N 0 1 262.309 0.630 20 30 CCEDMN C[C@@H]1OCC[C@]1(C)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000329760478 354259717 /nfs/dbraw/zinc/25/97/17/354259717.db2.gz QEVKGUONXYVHAJ-SCRDCRAPSA-N 0 1 284.404 0.303 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2cccc(C#N)c2)CC[C@H]1O ZINC000331151771 354468409 /nfs/dbraw/zinc/46/84/09/354468409.db2.gz OFBJOWOBBTUACX-SWLSCSKDSA-N 0 1 287.363 0.877 20 30 CCEDMN CCN(CCOCCO)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000336828648 355203370 /nfs/dbraw/zinc/20/33/70/355203370.db2.gz CAWWQSNQJJZMDO-AWEZNQCLSA-N 0 1 283.372 0.126 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N[C@@H]1CCCN(C)[C@H]1C ZINC000336884736 355219399 /nfs/dbraw/zinc/21/93/99/355219399.db2.gz FCJWKCPRHOAQNJ-GRYCIOLGSA-N 0 1 288.417 0.395 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@H](OCCOC)C2)C1=O ZINC000337174342 355277512 /nfs/dbraw/zinc/27/75/12/355277512.db2.gz HADQHZVGSGEYMA-KGLIPLIRSA-N 0 1 282.384 0.901 20 30 CCEDMN Cc1cccc2nc(CCC(=O)NCC(=O)NCC#N)[nH]c21 ZINC000343525982 355773319 /nfs/dbraw/zinc/77/33/19/355773319.db2.gz XPSFDZLOVZDUKB-UHFFFAOYSA-N 0 1 299.334 0.560 20 30 CCEDMN CCOC(=O)CC(C)(C)N(C)CC(=O)NCC#N ZINC000343884395 355778917 /nfs/dbraw/zinc/77/89/17/355778917.db2.gz WZHODGVQXRPSRG-UHFFFAOYSA-N 0 1 255.318 0.290 20 30 CCEDMN C[C@H]1OCC[C@]1(O)CNCc1nc(C#N)cs1 ZINC000352907961 356108918 /nfs/dbraw/zinc/10/89/18/356108918.db2.gz WHXDVERWZCHCBA-KCJUWKMLSA-N 0 1 253.327 0.644 20 30 CCEDMN C[C@H]1COCCN1CCNCc1nc(C#N)cs1 ZINC000352842343 356094053 /nfs/dbraw/zinc/09/40/53/356094053.db2.gz WBYHHRRBLSLXLW-JTQLQIEISA-N 0 1 266.370 0.825 20 30 CCEDMN CCS(=O)(=O)NCCCNCc1nc(C#N)cs1 ZINC000352854139 356097184 /nfs/dbraw/zinc/09/71/84/356097184.db2.gz QFJSVTICUQMPAB-UHFFFAOYSA-N 0 1 288.398 0.434 20 30 CCEDMN C[C@H]1[C@H](NCc2cc(C#N)n(C)c2)CCS1(=O)=O ZINC000353864347 356306840 /nfs/dbraw/zinc/30/68/40/356306840.db2.gz IHXOITYIPAVKMH-JOYOIKCWSA-N 0 1 267.354 0.562 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CCN3CCC2CC3)c1 ZINC000328789171 202057069 /nfs/dbraw/zinc/05/70/69/202057069.db2.gz PTQYTTYQGWBQIT-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C2CCC2)CC1 ZINC000609600844 363508489 /nfs/dbraw/zinc/50/84/89/363508489.db2.gz ORWYXQZPVYZQQJ-UHFFFAOYSA-N 0 1 278.400 0.919 20 30 CCEDMN COCCN(CC(=O)OC(C)(C)C)C(=O)C(C)C#N ZINC000609921419 363529547 /nfs/dbraw/zinc/52/95/47/363529547.db2.gz URXYTZWQGNRWMI-JTQLQIEISA-N 0 1 270.329 0.963 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@@H](c2nn[n-]n2)c2ccccc2)c1 ZINC000610489944 363566412 /nfs/dbraw/zinc/56/64/12/363566412.db2.gz WLZYVYAKLIRZPU-GFCCVEGCSA-N 0 1 293.290 0.919 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@@H](c2nn[nH]n2)c2ccccc2)c1 ZINC000610489944 363566416 /nfs/dbraw/zinc/56/64/16/363566416.db2.gz WLZYVYAKLIRZPU-GFCCVEGCSA-N 0 1 293.290 0.919 20 30 CCEDMN CN(C[C@H]1CCC[N@@H+](C)C1)C(=O)N=c1[n-]n(C)cc1C#N ZINC000610527990 363572726 /nfs/dbraw/zinc/57/27/26/363572726.db2.gz DROTUNXCMKCIAK-NSHDSACASA-N 0 1 290.371 0.519 20 30 CCEDMN C[C@H]1CCCC[N@H+]1CCNC(=O)N=c1[n-]n(C)cc1C#N ZINC000610527821 363572342 /nfs/dbraw/zinc/57/23/42/363572342.db2.gz MLMHMJBSQCOTQO-NSHDSACASA-N 0 1 290.371 0.710 20 30 CCEDMN CC[C@H](C)NC(=O)[C@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610528235 363572476 /nfs/dbraw/zinc/57/24/76/363572476.db2.gz YAQHARYHEOXJDR-IUCAKERBSA-N 0 1 292.343 0.138 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCCOC(C)(C)C2)[nH]1 ZINC000610562024 363576520 /nfs/dbraw/zinc/57/65/20/363576520.db2.gz VMFZPJRRWAHAPT-UHFFFAOYSA-N 0 1 277.328 0.746 20 30 CCEDMN CCn1cc(CN(C)C(=O)N=c2[nH]n(C)cc2C#N)cn1 ZINC000610561422 363576973 /nfs/dbraw/zinc/57/69/73/363576973.db2.gz VPDYUWSTJKJQED-UHFFFAOYSA-N 0 1 287.327 0.594 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)C[C@@H](O)C1CC1 ZINC000610572815 363580937 /nfs/dbraw/zinc/58/09/37/363580937.db2.gz BPFZGVFAYKTFSE-OCCSQVGLSA-N 0 1 267.373 0.744 20 30 CCEDMN CN(C)CCNS(=O)(=O)Cc1ccc(F)cc1C#N ZINC000294508611 199066729 /nfs/dbraw/zinc/06/67/29/199066729.db2.gz TZIVVXJKWCFYCI-UHFFFAOYSA-N 0 1 285.344 0.678 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1CCOc1ccc(C#N)cc1 ZINC000452116506 236222875 /nfs/dbraw/zinc/22/28/75/236222875.db2.gz NCOFKQWMZHQGET-CQSZACIVSA-N 0 1 289.379 0.935 20 30 CCEDMN COCC[C@@](C)(O)CNCc1nc(C#N)cs1 ZINC000352799581 219639385 /nfs/dbraw/zinc/63/93/85/219639385.db2.gz OUEWGKWMZXZSPQ-LLVKDONJSA-N 0 1 255.343 0.892 20 30 CCEDMN C[N@@H+]1CC[C@](O)(CNC(=O)c2ccc(C#N)c([O-])c2)C1 ZINC000442807186 358242276 /nfs/dbraw/zinc/24/22/76/358242276.db2.gz DEJBDAJENKFMFJ-AWEZNQCLSA-N 0 1 275.308 0.060 20 30 CCEDMN C[N@H+]1CC[C@](O)(CNC(=O)c2ccc(C#N)c([O-])c2)C1 ZINC000442807186 358242278 /nfs/dbraw/zinc/24/22/78/358242278.db2.gz DEJBDAJENKFMFJ-AWEZNQCLSA-N 0 1 275.308 0.060 20 30 CCEDMN CC1CCN(CC(=O)NC2CCN(CC#N)CC2)CC1 ZINC000610772464 363612896 /nfs/dbraw/zinc/61/28/96/363612896.db2.gz NMOAJHMKBJRIHJ-UHFFFAOYSA-N 0 1 278.400 0.822 20 30 CCEDMN C=CCOC[C@H](NC(=O)CN1CCC(C)(C)C1)C(=O)OC ZINC000568216396 358650234 /nfs/dbraw/zinc/65/02/34/358650234.db2.gz PWIAYFVQQZMXIE-LBPRGKRZSA-N 0 1 298.383 0.579 20 30 CCEDMN CN(C)CC(=O)N1CCN(Cc2ccc(C#N)cn2)CC1 ZINC000570642446 358879415 /nfs/dbraw/zinc/87/94/15/358879415.db2.gz QECARWRGZFGUEL-UHFFFAOYSA-N 0 1 287.367 0.159 20 30 CCEDMN CCOC1CC(CNCCC#N)(N2CCOCC2)C1 ZINC000579828157 359050801 /nfs/dbraw/zinc/05/08/01/359050801.db2.gz HHOPYSBCFDWKMR-UHFFFAOYSA-N 0 1 267.373 0.760 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)N[C@H]2CCN(CC3CC3)C2)o1 ZINC000588115148 359314192 /nfs/dbraw/zinc/31/41/92/359314192.db2.gz JXLIACVICCPLED-NSHDSACASA-N 0 1 295.364 0.914 20 30 CCEDMN N#Cc1ccc(N2CCC(c3n[nH]c(=O)o3)CC2)nn1 ZINC000585702003 359264884 /nfs/dbraw/zinc/26/48/84/359264884.db2.gz ZHOHARHYGMLUHS-UHFFFAOYSA-N 0 1 272.268 0.821 20 30 CCEDMN C[C@H](O)[C@@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610964594 363638536 /nfs/dbraw/zinc/63/85/36/363638536.db2.gz FDHDEVGMYBOEPJ-VHSXEESVSA-N 0 1 277.328 0.338 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCc3[nH]nnc3C2)n1 ZINC000589434387 359381791 /nfs/dbraw/zinc/38/17/91/359381791.db2.gz WAVGYEJEMXNGMV-UHFFFAOYSA-N 0 1 254.253 0.270 20 30 CCEDMN C[C@@H](CNC(=O)CC1(C#N)CC1)N1CCN(C)CC1 ZINC000590247332 359432863 /nfs/dbraw/zinc/43/28/63/359432863.db2.gz RPCOBGGIDNUQJD-LBPRGKRZSA-N 0 1 264.373 0.432 20 30 CCEDMN CN1CCN(c2cccc(C(=O)N3CC(C#N)C3)n2)CC1 ZINC000590490954 359478128 /nfs/dbraw/zinc/47/81/28/359478128.db2.gz UEPWUFUBMDMECH-UHFFFAOYSA-N 0 1 285.351 0.429 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCN(C(=O)CC#N)CC1 ZINC000590679171 359506954 /nfs/dbraw/zinc/50/69/54/359506954.db2.gz XYFBSICUCYWZMG-GFCCVEGCSA-N 0 1 278.356 0.055 20 30 CCEDMN C=C(C)[C@H](CO)N1CCSC[C@H]1CC(=O)OC ZINC000590701237 359509244 /nfs/dbraw/zinc/50/92/44/359509244.db2.gz RWMPAJQIMGHSNY-MNOVXSKESA-N 0 1 259.371 0.904 20 30 CCEDMN N#Cc1nc(NC[C@]2(O)CCOC2)sc1Cl ZINC000590581807 359490045 /nfs/dbraw/zinc/49/00/45/359490045.db2.gz OGLHCEMFKQSALO-SECBINFHSA-N 0 1 259.718 0.653 20 30 CCEDMN C=CCC[C@H](NC(=O)[C@H](C)N(C)C)C(=O)OCC ZINC000590882553 359534359 /nfs/dbraw/zinc/53/43/59/359534359.db2.gz HXPMZXIBFJTUSR-QWRGUYRKSA-N 0 1 256.346 0.951 20 30 CCEDMN CCO[C@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000590957486 359542835 /nfs/dbraw/zinc/54/28/35/359542835.db2.gz RTHNPDUBUADFOY-AOOOYVTPSA-N 0 1 263.301 0.403 20 30 CCEDMN C[C@@H]1CCC[C@]1(O)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000590819464 359527605 /nfs/dbraw/zinc/52/76/05/359527605.db2.gz KMYKZNDQSGVWEU-RNCFNFMXSA-N 0 1 277.328 0.386 20 30 CCEDMN C[NH+](C)CC[C@@](C)(O)CNC(=O)c1ccc(C#N)c([O-])c1 ZINC000591422681 359588857 /nfs/dbraw/zinc/58/88/57/359588857.db2.gz LHQBOWBTNYFVTL-OAHLLOKOSA-N 0 1 291.351 0.696 20 30 CCEDMN CNC(=O)C[C@@]1(O)CCCN(Cc2ccc(C#N)o2)C1 ZINC000591629301 359616856 /nfs/dbraw/zinc/61/68/56/359616856.db2.gz CWKKRNFTANPPEY-AWEZNQCLSA-N 0 1 277.324 0.614 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CC[C@H](C#N)C2)[nH]1 ZINC000591865066 359666092 /nfs/dbraw/zinc/66/60/92/359666092.db2.gz HCHFZYAOISGCJX-WCBMZHEXSA-N 0 1 258.285 0.527 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2ccncc2C#N)CC1 ZINC000592066631 359745057 /nfs/dbraw/zinc/74/50/57/359745057.db2.gz CBMFEACMVRYTJP-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@H](O)CC(F)(F)F)C1 ZINC000592150063 359769642 /nfs/dbraw/zinc/76/96/42/359769642.db2.gz ANIATWAOXNGNSO-RKDXNWHRSA-N 0 1 252.236 0.650 20 30 CCEDMN Cn1cnc(CCCNCc2nc(C#N)cs2)n1 ZINC000592373197 359827483 /nfs/dbraw/zinc/82/74/83/359827483.db2.gz DOCDBPZTMUPVIK-UHFFFAOYSA-N 0 1 262.342 0.866 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)N(C)CCC#N)CCN1 ZINC000592380364 359829163 /nfs/dbraw/zinc/82/91/63/359829163.db2.gz SQJGOWHRYHIQHD-LLVKDONJSA-N 0 1 274.390 0.006 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN[C@@H](CO)c1ccsc1 ZINC000592431685 359838474 /nfs/dbraw/zinc/83/84/74/359838474.db2.gz ZQOOKUABVIVKIF-OLZOCXBDSA-N 0 1 283.397 0.577 20 30 CCEDMN CC(C)NC(=O)CCN1CCN(CCC#N)CC1 ZINC000611173619 363670894 /nfs/dbraw/zinc/67/08/94/363670894.db2.gz DBFAHZUYVYBYOC-UHFFFAOYSA-N 0 1 252.362 0.432 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CC[C@@H]2CCN(C)C[C@@H]21 ZINC000297898270 200300234 /nfs/dbraw/zinc/30/02/34/200300234.db2.gz QUVGMTAQMYDBEF-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN(C)C(=O)C(=O)N1CCN(C2CCCCC2)CC1 ZINC000298063104 200325511 /nfs/dbraw/zinc/32/55/11/200325511.db2.gz LHJWXZPCFOIEDD-UHFFFAOYSA-N 0 1 291.395 0.555 20 30 CCEDMN COCCOCCN1CCN([C@H](C)CCC#N)CC1 ZINC000593117694 360043902 /nfs/dbraw/zinc/04/39/02/360043902.db2.gz RJOIPGRNHJCMFN-CQSZACIVSA-N 0 1 269.389 0.959 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000593487255 360097339 /nfs/dbraw/zinc/09/73/39/360097339.db2.gz NKJQTERIKUOVAV-OLZOCXBDSA-N 0 1 265.357 0.717 20 30 CCEDMN Cc1cc(C#N)cc(N2CC(N3CC[C@@H](O)C3)C2)n1 ZINC000593503417 360100292 /nfs/dbraw/zinc/10/02/92/360100292.db2.gz JWEOXKKCOJQJNN-CYBMUJFWSA-N 0 1 258.325 0.517 20 30 CCEDMN CC[C@H](C#N)C(=O)NC[C@@H]1CCCCN1CCO ZINC000593731723 360142702 /nfs/dbraw/zinc/14/27/02/360142702.db2.gz AOPGDRMRVGDVGZ-NEPJUHHUSA-N 0 1 253.346 0.499 20 30 CCEDMN CCN1C[C@@H](CNCc2nc(C#N)cs2)CC1=O ZINC000593685363 360134645 /nfs/dbraw/zinc/13/46/45/360134645.db2.gz DCNRFSQAOCTVJB-SECBINFHSA-N 0 1 264.354 0.973 20 30 CCEDMN C[C@H](CCO)N(C)Cc1cnc2c(C#N)cnn2c1 ZINC000593694221 360136131 /nfs/dbraw/zinc/13/61/31/360136131.db2.gz KMBWFBHVXZTAKX-SNVBAGLBSA-N 0 1 259.313 0.804 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@@H](C[C@H]2CCOC2)C1 ZINC000611350502 363710021 /nfs/dbraw/zinc/71/00/21/363710021.db2.gz PGFZEZMYZNRVIS-QWHCGFSZSA-N 0 1 265.357 0.765 20 30 CCEDMN C[NH+](C)[C@H]1C[C@@H](C[N-]S(=O)(=O)c2ccc(C#N)o2)C1 ZINC000594472151 360321808 /nfs/dbraw/zinc/32/18/08/360321808.db2.gz YOHBKAOFAOTTEG-AOOOYVTPSA-N 0 1 283.353 0.770 20 30 CCEDMN COC(=O)c1ccc(NCCCc2nc[nH]n2)c(C#N)n1 ZINC000563428518 291228613 /nfs/dbraw/zinc/22/86/13/291228613.db2.gz YCUAXJQQPNYYRK-UHFFFAOYSA-N 0 1 286.295 0.903 20 30 CCEDMN CC1(C)NC(=O)N(CCN2CCC[C@H](CC#N)C2)C1=O ZINC000595612500 360597434 /nfs/dbraw/zinc/59/74/34/360597434.db2.gz XLZBZKFMEAXOAD-LLVKDONJSA-N 0 1 278.356 0.942 20 30 CCEDMN C[C@H]1NC(=O)N(CCN2CCC[C@@H](CC#N)C2)C1=O ZINC000595623542 360602070 /nfs/dbraw/zinc/60/20/70/360602070.db2.gz SWUGBNXQSFXELV-MNOVXSKESA-N 0 1 264.329 0.552 20 30 CCEDMN C[C@@H](O)[C@H]1CCCN1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000595773999 360649946 /nfs/dbraw/zinc/64/99/46/360649946.db2.gz YZNNBASNQKQTEF-MPKXVKKWSA-N 0 1 265.357 0.640 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1C[C@H]2COC[C@]2(C)C1)C1CC1 ZINC000595755942 360641120 /nfs/dbraw/zinc/64/11/20/360641120.db2.gz RQBQRGAIBNTOGP-AEGPPILISA-N 0 1 277.368 0.763 20 30 CCEDMN C=C[C@H](CO)N[C@@H]1CCN(c2cccc([N+](=O)[O-])c2)C1=O ZINC000595863830 360682972 /nfs/dbraw/zinc/68/29/72/360682972.db2.gz JTAWKWKPLFVPSD-ZWNOBZJWSA-N 0 1 291.307 0.837 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@H](C)[C@](C)(CO)C1 ZINC000595870484 360686039 /nfs/dbraw/zinc/68/60/39/360686039.db2.gz GPOXFSKSYSKBMX-SCRDCRAPSA-N 0 1 279.384 0.888 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)N1CC[C@](C)(C#N)C1 ZINC000595984018 360758740 /nfs/dbraw/zinc/75/87/40/360758740.db2.gz TVHZJLSQSMHLAW-SWLSCSKDSA-N 0 1 292.383 0.349 20 30 CCEDMN Cn1ncc(C#N)c1N1CCC[C@@H](N2CCOCC2)CC1 ZINC000596295538 360872431 /nfs/dbraw/zinc/87/24/31/360872431.db2.gz WNPVHANINGPKEB-CQSZACIVSA-N 0 1 289.383 0.983 20 30 CCEDMN COc1cc(CC(=O)NC2(C#N)CCN(C)CC2)ccn1 ZINC000597166311 361020918 /nfs/dbraw/zinc/02/09/18/361020918.db2.gz ODLDVRAITQRDGL-UHFFFAOYSA-N 0 1 288.351 0.737 20 30 CCEDMN CO[C@H](C(=O)NC1(C#N)CCN(C)CC1)C1CCC1 ZINC000597167792 361021676 /nfs/dbraw/zinc/02/16/76/361021676.db2.gz ZLCKMQUFRXYGSZ-LBPRGKRZSA-N 0 1 265.357 0.906 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)C[C@@H]1N[C@H]1CCC[C@H](C#N)C1 ZINC000597192723 361028435 /nfs/dbraw/zinc/02/84/35/361028435.db2.gz TTWBKVMDRVXNGV-FIQHERPVSA-N 0 1 272.370 0.470 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCOC[C@@H]1c1nnc[nH]1 ZINC000598615698 361460144 /nfs/dbraw/zinc/46/01/44/361460144.db2.gz RNCHGWCAOGEAQG-WDEREUQCSA-N 0 1 279.344 0.479 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CC[C@H](CS(C)(=O)=O)C1 ZINC000598618764 361461873 /nfs/dbraw/zinc/46/18/73/361461873.db2.gz QBGIPGABNOGECI-NWDGAFQWSA-N 0 1 288.413 0.654 20 30 CCEDMN Cn1cc(N2CCN(C[C@H](O)CC(C)(C)C#N)CC2)cn1 ZINC000598591648 361452211 /nfs/dbraw/zinc/45/22/11/361452211.db2.gz BZZQTBDCGZQLLN-CQSZACIVSA-N 0 1 291.399 0.843 20 30 CCEDMN C=C[C@](C)(O)C(=O)Nc1nc(C(=O)OCC)c[nH]1 ZINC000598730177 361499072 /nfs/dbraw/zinc/49/90/72/361499072.db2.gz ZVTQCLKMONSZHL-NSHDSACASA-N 0 1 253.258 0.462 20 30 CCEDMN CCc1nnc(NCCN2CC[C@@H](O)C2)c(C#N)c1CC ZINC000599176748 361599989 /nfs/dbraw/zinc/59/99/89/361599989.db2.gz WIJLMYUZZKSCHA-LLVKDONJSA-N 0 1 289.383 0.952 20 30 CCEDMN Cn1cc([N+](=O)[O-])c(S(=O)(=O)NCC(C)(C)C#N)n1 ZINC000599272114 361628287 /nfs/dbraw/zinc/62/82/87/361628287.db2.gz PPHGDHAPJXDLQB-UHFFFAOYSA-N 0 1 287.301 0.156 20 30 CCEDMN Cc1ccc(CN2CCC(O)(C(N)=O)CC2)cc1C#N ZINC000599401478 361685558 /nfs/dbraw/zinc/68/55/58/361685558.db2.gz SXFGKROASDFMBC-UHFFFAOYSA-N 0 1 273.336 0.679 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000612076178 363842390 /nfs/dbraw/zinc/84/23/90/363842390.db2.gz JDPLGBMHPNYTRC-LBPRGKRZSA-N 0 1 250.302 0.448 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@](C)(C#N)C2)[nH]1 ZINC000612313458 363883058 /nfs/dbraw/zinc/88/30/58/363883058.db2.gz HGWCKWSNJPIYDR-CYBMUJFWSA-N 0 1 272.312 0.871 20 30 CCEDMN Cn1cc(CN2CCC3(COC(=O)N3)CC2)cc1C#N ZINC000496002828 239605668 /nfs/dbraw/zinc/60/56/68/239605668.db2.gz CPFGZWMXDHKXSB-UHFFFAOYSA-N 0 1 274.324 0.971 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H](CCO)c2ccco2)[nH]1 ZINC000613590082 364307514 /nfs/dbraw/zinc/30/75/14/364307514.db2.gz QXIXOJNAXZKJAZ-SNVBAGLBSA-N 0 1 289.295 0.552 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2c3ccccc3C[C@H]2O)[nH]1 ZINC000614182114 364523828 /nfs/dbraw/zinc/52/38/28/364523828.db2.gz CLVKQFKJWWJLKO-CHWSQXEVSA-N 0 1 297.318 0.493 20 30 CCEDMN CC1(C)CN(C[C@@H](C#N)CCC#N)CCN1CCO ZINC000614920469 364781471 /nfs/dbraw/zinc/78/14/71/364781471.db2.gz IQARVAHJKICSLR-CYBMUJFWSA-N 0 1 264.373 0.818 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000615084644 364845166 /nfs/dbraw/zinc/84/51/66/364845166.db2.gz XMSVSSWRJAANRV-WFASDCNBSA-N 0 1 282.384 0.635 20 30 CCEDMN CC#CCN(C)C(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000615407101 364936558 /nfs/dbraw/zinc/93/65/58/364936558.db2.gz QXBDZMUREIJZLE-UHFFFAOYSA-N 0 1 282.321 0.135 20 30 CCEDMN C=CCNc1ncc(C(=O)N(C)Cc2n[nH]c(=O)o2)s1 ZINC000622116802 367043840 /nfs/dbraw/zinc/04/38/40/367043840.db2.gz GMMVYKBJYXERKA-UHFFFAOYSA-N 0 1 295.324 0.524 20 30 CCEDMN Cn1c(C(=O)N=c2[nH]n(C(C)(C)C)cc2C#N)cccc1=O ZINC000330618701 203044198 /nfs/dbraw/zinc/04/41/98/203044198.db2.gz HOGWFZLQKTXNSW-UHFFFAOYSA-N 0 1 299.334 0.883 20 30 CCEDMN C=CC(C)(C)CCNC(=O)NC[C@H]1COCCN1 ZINC000627121582 368814391 /nfs/dbraw/zinc/81/43/91/368814391.db2.gz ZLNUCABODDSVJY-NSHDSACASA-N 0 1 255.362 0.876 20 30 CCEDMN C=CCCN(C)CC(=O)N(C)CC(=O)NCCC ZINC000276525533 193368264 /nfs/dbraw/zinc/36/82/64/193368264.db2.gz ZFYKWHOVFDOGTO-UHFFFAOYSA-N 0 1 255.362 0.479 20 30 CCEDMN C=CCC[C@H](CO)NCc1cn(C[C@H]2CCOC2)nn1 ZINC000631153752 370404982 /nfs/dbraw/zinc/40/49/82/370404982.db2.gz IGKCDJYDXSRPLH-CHWSQXEVSA-N 0 1 280.372 0.731 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H]2CN3CCN2CCC3)C1 ZINC000632891109 371317142 /nfs/dbraw/zinc/31/71/42/371317142.db2.gz YSDSYOZMRNWJFN-CYBMUJFWSA-N 0 1 263.385 0.849 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1C[C@H](OC)C[C@@]1(C)C(=O)[O-] ZINC000634694972 372140337 /nfs/dbraw/zinc/14/03/37/372140337.db2.gz NNYPAORGOHVYQY-LOWVWBTDSA-N 0 1 257.330 0.878 20 30 CCEDMN C=CCCn1cc(C(=O)N2CCNC[C@@H]2C(C)C)nn1 ZINC000638492532 375087166 /nfs/dbraw/zinc/08/71/66/375087166.db2.gz PSFZWJWWUONONC-CYBMUJFWSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCOc1ccccc1C(=O)NC[C@@H]1COCCN1 ZINC000638622035 375219929 /nfs/dbraw/zinc/21/99/29/375219929.db2.gz TXXVLJAKWYIOGS-GFCCVEGCSA-N 0 1 276.336 0.970 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(NC(=O)COC)CC2)C1=O ZINC000685000292 545782651 /nfs/dbraw/zinc/78/26/51/545782651.db2.gz DQWHMOVDSGCPSF-CYBMUJFWSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](n2ccnn2)CC1 ZINC000639815281 376024419 /nfs/dbraw/zinc/02/44/19/376024419.db2.gz KYZPYWPZDZLCPC-GFCCVEGCSA-N 0 1 263.345 0.607 20 30 CCEDMN C=CCC[C@@H](O)C[N@H+]1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639822742 376031877 /nfs/dbraw/zinc/03/18/77/376031877.db2.gz XAOYDDUULUDJAB-WDEREUQCSA-N 0 1 266.345 0.989 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](OC)C[C@]1(C)CO ZINC000639998406 376174485 /nfs/dbraw/zinc/17/44/85/376174485.db2.gz SNWMZSQYTLEVMJ-DZGCQCFKSA-N 0 1 282.384 0.659 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)n1cc([C@H](N)CO)nn1 ZINC000640921535 376616455 /nfs/dbraw/zinc/61/64/55/376616455.db2.gz UQXXQVAJOOEZCI-WDEREUQCSA-N 0 1 279.344 0.032 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)n1cc([C@H](N)CO)nn1 ZINC000640924142 376617723 /nfs/dbraw/zinc/61/77/23/376617723.db2.gz RLUJPTBMMYQRTJ-WDEREUQCSA-N 0 1 281.360 0.256 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@]2(CNC(=O)C2)C1 ZINC000278907215 194205306 /nfs/dbraw/zinc/20/53/06/194205306.db2.gz QCBAMGMFUGHTJY-HNNXBMFYSA-N 0 1 277.368 0.399 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N[C@H](C)c1nnc[nH]1 ZINC000279232750 194344309 /nfs/dbraw/zinc/34/43/09/194344309.db2.gz RNHCXDWBOXHPTN-OPRDCNLKSA-N 0 1 250.302 0.963 20 30 CCEDMN C#CCN1CCC(n2cnc(C(=O)OCC)c2N)CC1 ZINC000279272416 194361509 /nfs/dbraw/zinc/36/15/09/194361509.db2.gz NODXLCNMBFFSLO-UHFFFAOYSA-N 0 1 276.340 0.912 20 30 CCEDMN CN1CCN(CCNc2ncc3c(c2C#N)CCC3)CC1 ZINC000571040853 291785883 /nfs/dbraw/zinc/78/58/83/291785883.db2.gz OUDYORTXCMMZIQ-UHFFFAOYSA-N 0 1 285.395 0.523 20 30 CCEDMN C=CCCn1cc(CNCC[C@@H](O)COC)nn1 ZINC000657421687 412985889 /nfs/dbraw/zinc/98/58/89/412985889.db2.gz IGYQLJBWCALKRE-GFCCVEGCSA-N 0 1 254.334 0.341 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](O)[C@H](C)C1 ZINC000186821912 260013150 /nfs/dbraw/zinc/01/31/50/260013150.db2.gz PMWYJMAGVSVPDD-VXGBXAGGSA-N 0 1 253.346 0.451 20 30 CCEDMN C=C(C)CS(=O)(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000184433973 388774201 /nfs/dbraw/zinc/77/42/01/388774201.db2.gz WQHHCTDUGHUTHL-GFCCVEGCSA-N 0 1 275.418 0.118 20 30 CCEDMN CC#CCN[C@@H]1CC(=O)N(C2CC2)[C@H]1c1ccnn1C ZINC000285136717 388789326 /nfs/dbraw/zinc/78/93/26/388789326.db2.gz OKUJSLCOEMUSSD-IUODEOHRSA-N 0 1 272.352 0.837 20 30 CCEDMN C=CCN(CC=C)[C@@H](CNS(=O)(=O)NC)C(C)C ZINC000286477113 388841237 /nfs/dbraw/zinc/84/12/37/388841237.db2.gz PRVXZEDIDSOKFF-LBPRGKRZSA-N 0 1 275.418 0.739 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](O)[C@@H](C)C1 ZINC000186919464 388859737 /nfs/dbraw/zinc/85/97/37/388859737.db2.gz DVALVERLFBOFOP-DCAQKATOSA-N 0 1 269.345 0.089 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC000289008585 388866372 /nfs/dbraw/zinc/86/63/72/388866372.db2.gz KFZXJPIOEMMITA-CMPLNLGQSA-N 0 1 265.357 0.230 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC000289058176 388867512 /nfs/dbraw/zinc/86/75/12/388867512.db2.gz NFECIXYZPWLUOP-AAEUAGOBSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@](C)(C(N)=O)C(C)C ZINC000289267223 388868133 /nfs/dbraw/zinc/86/81/33/388868133.db2.gz DPENNUMOBBGSMT-CQSZACIVSA-N 0 1 267.373 0.677 20 30 CCEDMN C=CCNC(=O)CNCc1cc(C(=O)OC)c(C)n1C ZINC000291118122 388917107 /nfs/dbraw/zinc/91/71/07/388917107.db2.gz CTWDPQUPCNASIU-UHFFFAOYSA-N 0 1 279.340 0.512 20 30 CCEDMN CC[N@@H+](CCC(=O)N1CCOCC1)C[C@@H](C)C#N ZINC000064249272 388941347 /nfs/dbraw/zinc/94/13/47/388941347.db2.gz CEKYIARSOPKCMB-LBPRGKRZSA-N 0 1 253.346 0.717 20 30 CCEDMN CCN(CCC(=O)N1CCOCC1)C[C@@H](C)C#N ZINC000064249272 388941353 /nfs/dbraw/zinc/94/13/53/388941353.db2.gz CEKYIARSOPKCMB-LBPRGKRZSA-N 0 1 253.346 0.717 20 30 CCEDMN CO[C@@]1(C)C[C@@H](N(C)CC(=O)NCC#N)C1(C)C ZINC000076152193 388986120 /nfs/dbraw/zinc/98/61/20/388986120.db2.gz RRJZXIWNRSOOAI-MFKMUULPSA-N 0 1 253.346 0.762 20 30 CCEDMN C=CCOCCN(CCC)[C@H](CC(=O)NCCC)C(N)=O ZINC000294459529 388992383 /nfs/dbraw/zinc/99/23/83/388992383.db2.gz GHXJJPCKSVJBDD-CYBMUJFWSA-N 0 1 299.415 0.671 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C)C[C@@H](C)C(=O)NC ZINC000081524712 389000039 /nfs/dbraw/zinc/00/00/39/389000039.db2.gz UIVSDQHJLHRHEQ-GFCCVEGCSA-N 0 1 269.389 0.725 20 30 CCEDMN CC(C)(C)[C@H](NCC(=O)NC1(C#N)CCCCC1)C(N)=O ZINC000081624642 389001444 /nfs/dbraw/zinc/00/14/44/389001444.db2.gz YLBIPNADDJHVAJ-GFCCVEGCSA-N 0 1 294.399 0.819 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N(CCC#N)CCC#N)[C@@H]1CO ZINC000189727679 388971240 /nfs/dbraw/zinc/97/12/40/388971240.db2.gz PXIKALRZMSQYIL-CHWSQXEVSA-N 0 1 278.356 0.345 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(CCO)C(C)C ZINC000085860850 389006574 /nfs/dbraw/zinc/00/65/74/389006574.db2.gz PSLCORIJLSCWBN-GFCCVEGCSA-N 0 1 255.362 0.697 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC([C@@H](C)O)CC2)C1=O ZINC000337131939 389047385 /nfs/dbraw/zinc/04/73/85/389047385.db2.gz AGIIHUMYRPWUFG-DGCLKSJQSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCN1CC[C@@H](N2CCOCC3(CCOCC3)C2)C1=O ZINC000337184334 389052207 /nfs/dbraw/zinc/05/22/07/389052207.db2.gz CGERHTAPDNBHQI-CQSZACIVSA-N 0 1 294.395 0.902 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@@H](C(N)=O)C(C)(C)C ZINC000106444177 389092626 /nfs/dbraw/zinc/09/26/26/389092626.db2.gz MCLYUDZKZKTSEL-FZMZJTMJSA-N 0 1 282.388 0.530 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccc(C#N)nn2)[C@@H](C)C1 ZINC000302393143 389069229 /nfs/dbraw/zinc/06/92/29/389069229.db2.gz CJTAFNYWSIHKAO-QWRGUYRKSA-N 0 1 261.329 0.240 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000102187556 389074203 /nfs/dbraw/zinc/07/42/03/389074203.db2.gz HQEZYCPLSDOXNX-VIFPVBQESA-N 0 1 270.292 0.431 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCCC[C@H]1CO ZINC000303108096 389080325 /nfs/dbraw/zinc/08/03/25/389080325.db2.gz HEYLBFTUNYGYAG-OLZOCXBDSA-N 0 1 267.373 0.841 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN(C)CCC)C1=O ZINC000337049357 389038714 /nfs/dbraw/zinc/03/87/14/389038714.db2.gz IKJMKHIYUKKYKP-LBPRGKRZSA-N 0 1 267.373 0.574 20 30 CCEDMN C=CCOCCN1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000338956848 389119085 /nfs/dbraw/zinc/11/90/85/389119085.db2.gz BFZXQUBXEWYYHJ-CQSZACIVSA-N 0 1 282.384 0.902 20 30 CCEDMN C=CCOCCN1CCC[C@H]1CS(=O)(=O)NC ZINC000339042862 389122554 /nfs/dbraw/zinc/12/25/54/389122554.db2.gz FYBZGAGDXOMUTR-NSHDSACASA-N 0 1 262.375 0.203 20 30 CCEDMN C=CCOCCN(C)[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000339209872 389128328 /nfs/dbraw/zinc/12/83/28/389128328.db2.gz GYCOTAVRHKCYAM-LLVKDONJSA-N 0 1 262.375 0.155 20 30 CCEDMN O=C(NCCn1cncn1)N[C@H]1CCC(=O)NC12CCC2 ZINC000328727546 389133931 /nfs/dbraw/zinc/13/39/31/389133931.db2.gz DHGRIBRINJJQCX-JTQLQIEISA-N 0 1 292.343 0.823 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1C[C@H](C)N(C)[C@@H](C)C1 ZINC000339617237 389136000 /nfs/dbraw/zinc/13/60/00/389136000.db2.gz QYVSCHFZPWOSMD-RWMBFGLXSA-N 0 1 266.389 0.771 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000339620653 389136816 /nfs/dbraw/zinc/13/68/16/389136816.db2.gz CVLSQKBSEDLGQH-FRRDWIJNSA-N 0 1 278.400 0.962 20 30 CCEDMN CC(C#N)C(=O)N(CCC#N)CCN1CCOCC1 ZINC000357834724 389139347 /nfs/dbraw/zinc/13/93/47/389139347.db2.gz RZUMWNONDGRTKU-LBPRGKRZSA-N 0 1 264.329 0.221 20 30 CCEDMN CC(C)(C#N)CCN1CC[C@@H](NS(C)(=O)=O)C1 ZINC000119663798 389140337 /nfs/dbraw/zinc/14/03/37/389140337.db2.gz KCUAOOBYWNFLRF-SNVBAGLBSA-N 0 1 259.375 0.550 20 30 CCEDMN CNS(=O)(=O)[C@H]1CCN(CCC(C)(C)C#N)C1 ZINC000119847801 389141098 /nfs/dbraw/zinc/14/10/98/389141098.db2.gz SAJXXTMCXGLOLS-JTQLQIEISA-N 0 1 259.375 0.550 20 30 CCEDMN CC[C@@H]1CN(CC(=O)NCc2cccc(C#N)c2)C[C@@H]1O ZINC000341005464 389179386 /nfs/dbraw/zinc/17/93/86/389179386.db2.gz FKQZZXJOYVZHQG-CABCVRRESA-N 0 1 287.363 0.877 20 30 CCEDMN CCN1CC[C@H](NS(=O)(=O)CC2(C#N)CC2)C1 ZINC000329637958 389180209 /nfs/dbraw/zinc/18/02/09/389180209.db2.gz VWAZGFRSDZHMKJ-JTQLQIEISA-N 0 1 257.359 0.304 20 30 CCEDMN CN(CC(=O)NCC(C)(C)C#N)c1ncnc2[nH]cnc21 ZINC000359651328 389180426 /nfs/dbraw/zinc/18/04/26/389180426.db2.gz PVYWQVAWKWLSCW-UHFFFAOYSA-N 0 1 287.327 0.455 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NCC[C@@H]1CCOC1)CC2 ZINC000329660954 389183274 /nfs/dbraw/zinc/18/32/74/389183274.db2.gz OTSGKGJYRNECTQ-VXGBXAGGSA-N 0 1 293.371 0.832 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+]CC[C@@H]1CCOC1)CC2 ZINC000329660954 389183278 /nfs/dbraw/zinc/18/32/78/389183278.db2.gz OTSGKGJYRNECTQ-VXGBXAGGSA-N 0 1 293.371 0.832 20 30 CCEDMN C[C@@H]1OCC[C@]1(C)NC([O-])=[NH+][C@@H]1CCc2ncnn2C1 ZINC000329687490 389188187 /nfs/dbraw/zinc/18/81/87/389188187.db2.gz ANOLXUYPQNBWCY-CWSCBRNRSA-N 0 1 279.344 0.664 20 30 CCEDMN C[C@@H]1OCC[C@]1(C)NC(=O)N[C@@H]1CCc2ncnn2C1 ZINC000329687490 389188188 /nfs/dbraw/zinc/18/81/88/389188188.db2.gz ANOLXUYPQNBWCY-CWSCBRNRSA-N 0 1 279.344 0.664 20 30 CCEDMN C=CCCCNC(=O)C(=O)NC[C@@H]1CCCN1CCOC ZINC000341528926 389189508 /nfs/dbraw/zinc/18/95/08/389189508.db2.gz GIGDFOIXTLCTKL-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cccc(-c3nnc[nH]3)c2)CCO1 ZINC000129679117 389191374 /nfs/dbraw/zinc/19/13/74/389191374.db2.gz OUZYMQDTIMMNSW-GFCCVEGCSA-N 0 1 283.291 0.836 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000358470805 389152166 /nfs/dbraw/zinc/15/21/66/389152166.db2.gz TYTVNLXRSHCKHV-JHJVBQTASA-N 0 1 285.388 0.332 20 30 CCEDMN O=C(CN1CCOCC1)NC1(c2nnc[nH]2)CCC1 ZINC000329316272 389155880 /nfs/dbraw/zinc/15/58/80/389155880.db2.gz HLKOSOZDPWIJBH-UHFFFAOYSA-N 0 1 265.317 0.473 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)N[C@@H]2CCN(C(C)C)C2=O)n[nH]1 ZINC000329418038 389162764 /nfs/dbraw/zinc/16/27/64/389162764.db2.gz GDQPFOKUPMKAGU-WCBMZHEXSA-N 0 1 294.359 0.687 20 30 CCEDMN C[C@@H]1CN(C)CC[N@H+]1C[C@@H](O)COc1ccccc1C#N ZINC000235482060 389166049 /nfs/dbraw/zinc/16/60/49/389166049.db2.gz NQLZZDGDOQUHQF-UKRRQHHQSA-N 0 1 289.379 0.934 20 30 CCEDMN C[C@@H]1CN(C)CCN1C[C@@H](O)COc1ccccc1C#N ZINC000235482060 389166052 /nfs/dbraw/zinc/16/60/52/389166052.db2.gz NQLZZDGDOQUHQF-UKRRQHHQSA-N 0 1 289.379 0.934 20 30 CCEDMN CNC(=O)[C@@H]1CCCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000247670596 389241842 /nfs/dbraw/zinc/24/18/42/389241842.db2.gz PSMQZHVGRHMBLQ-ABAIWWIYSA-N 0 1 292.383 0.253 20 30 CCEDMN N#CC1(C(=O)N[C@H](CO)CN2CCCCC2)CCOCC1 ZINC000330600210 389245937 /nfs/dbraw/zinc/24/59/37/389245937.db2.gz FQWRFWBYYZAJJY-ZDUSSCGKSA-N 0 1 295.383 0.270 20 30 CCEDMN Cc1csc(=O)n1CCN(C)CCNC(=O)N(C)C ZINC000330624260 389247427 /nfs/dbraw/zinc/24/74/27/389247427.db2.gz QYFPNXIUECPLLX-UHFFFAOYSA-N 0 1 286.401 0.626 20 30 CCEDMN Cc1nnc(SCCC(=O)N(CC#N)CC#N)[nH]1 ZINC000342728523 389250498 /nfs/dbraw/zinc/25/04/98/389250498.db2.gz IANWSAUHOLAYQF-UHFFFAOYSA-N 0 1 264.314 0.471 20 30 CCEDMN CC[N@@H+](CC(=O)Nc1nc(C#N)c(C#N)[n-]1)C1CC1 ZINC000135559741 389259282 /nfs/dbraw/zinc/25/92/82/389259282.db2.gz NHPCZYJKCMGJMQ-UHFFFAOYSA-N 0 1 258.285 0.576 20 30 CCEDMN [O-]C(=[NH+]CCn1cncn1)N1CCC(c2cnc[nH]2)CC1 ZINC000329891943 389208432 /nfs/dbraw/zinc/20/84/32/389208432.db2.gz IOQLIKNLIUTKOK-UHFFFAOYSA-N 0 1 289.343 0.795 20 30 CCEDMN C[C@]1(CNC(=O)N2CCn3ncnc3C2)CCOC1 ZINC000329916813 389211320 /nfs/dbraw/zinc/21/13/20/389211320.db2.gz DXQRUVGQINARBZ-GFCCVEGCSA-N 0 1 265.317 0.434 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](C)[C@H](n2ccnc2)C1 ZINC000245588150 389213185 /nfs/dbraw/zinc/21/31/85/389213185.db2.gz KGUQJTAJYLKZDA-HZSPNIEDSA-N 0 1 274.368 0.904 20 30 CCEDMN C[C@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@H]1CO ZINC000362054505 389228489 /nfs/dbraw/zinc/22/84/89/389228489.db2.gz KNSZZDSNASLADN-STQMWFEESA-N 0 1 278.356 0.203 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@@H](c2cnn(C)c2)C1 ZINC000246927374 389233453 /nfs/dbraw/zinc/23/34/53/389233453.db2.gz IGRXFHGWFNKHHZ-QWHCGFSZSA-N 0 1 289.383 0.970 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cccc3n[nH]cc32)CCO1 ZINC000264464181 389330051 /nfs/dbraw/zinc/33/00/51/389330051.db2.gz HEHGOKWOPOUYLF-SECBINFHSA-N 0 1 256.265 0.927 20 30 CCEDMN C[C@H](O)[C@H]1CCN([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346517058 389330652 /nfs/dbraw/zinc/33/06/52/389330652.db2.gz DFURODAMVFBWGD-SRVKXCTJSA-N 0 1 265.357 0.640 20 30 CCEDMN COCCCOCCN(C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000346588044 389332994 /nfs/dbraw/zinc/33/29/94/389332994.db2.gz WVRQDWCUUOXWOR-ZDUSSCGKSA-N 0 1 297.399 0.922 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](C(=O)OC)[C@H]1C ZINC000346657909 389333534 /nfs/dbraw/zinc/33/35/34/389333534.db2.gz HGVWKMWFGQVLBO-VXGBXAGGSA-N 0 1 281.356 0.632 20 30 CCEDMN CCCNC(=O)NC(=O)CN1CCC[C@@](C)(OC)C1 ZINC000331016482 389284338 /nfs/dbraw/zinc/28/43/38/389284338.db2.gz YINJTQVBGMSQDA-CYBMUJFWSA-N 0 1 271.361 0.928 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CCN(C2CC2)[C@H](C#N)C1 ZINC000368496155 389380581 /nfs/dbraw/zinc/38/05/81/389380581.db2.gz ZIJATJJYUXZKEG-CYBMUJFWSA-N 0 1 288.355 0.600 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](O)CC[C@H]1C ZINC000421023569 389349301 /nfs/dbraw/zinc/34/93/01/389349301.db2.gz RILWUVARFGDVLT-VXGBXAGGSA-N 0 1 253.346 0.594 20 30 CCEDMN C=CCNC(=O)c1ccc(NC(=O)[C@H](N)COC)cc1 ZINC000159277674 389363350 /nfs/dbraw/zinc/36/33/50/389363350.db2.gz JQVMIVRBPYTVRE-GFCCVEGCSA-N 0 1 277.324 0.515 20 30 CCEDMN C[C@H](O)[C@H]1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000162805095 389423645 /nfs/dbraw/zinc/42/36/45/389423645.db2.gz HPEAKBSFUMEYSE-MJVIPROJSA-N 0 1 265.357 0.498 20 30 CCEDMN C#CCCN1CCN(c2nccn3cnnc23)[C@H](C)C1 ZINC000270522389 389432905 /nfs/dbraw/zinc/43/29/05/389432905.db2.gz MNQOHHNBLOAJSA-GFCCVEGCSA-N 0 1 270.340 0.658 20 30 CCEDMN C=CCNC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000170694411 389459822 /nfs/dbraw/zinc/45/98/22/389459822.db2.gz UZACBEDRONKFBZ-UHFFFAOYSA-N 0 1 272.308 0.985 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000334977462 389514176 /nfs/dbraw/zinc/51/41/76/389514176.db2.gz JNAFYGGNEVAIME-JTQLQIEISA-N 0 1 269.308 0.907 20 30 CCEDMN Cn1cc(CN2CCC[C@H](CS(N)(=O)=O)C2)cc1C#N ZINC000276695811 389529798 /nfs/dbraw/zinc/52/97/98/389529798.db2.gz AIAFGJLEJLEUBE-NSHDSACASA-N 0 1 296.396 0.397 20 30 CCEDMN C=CCNC(=O)Cc1noc(Cc2cc(C)n[nH]2)n1 ZINC000350699452 389534471 /nfs/dbraw/zinc/53/44/71/389534471.db2.gz AXLNZUJBIBQRLP-UHFFFAOYSA-N 0 1 261.285 0.537 20 30 CCEDMN C=CC(C)(C)CNC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000413595878 389538518 /nfs/dbraw/zinc/53/85/18/389538518.db2.gz SKYDLQPWOJUFPX-LBPRGKRZSA-N 0 1 297.399 0.945 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCC(=O)NC(C)C)C1=O ZINC000281256581 389612248 /nfs/dbraw/zinc/61/22/48/389612248.db2.gz HDUYWZTUURWXSH-GFCCVEGCSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCC1(C(=O)NC[C@@H]2CN(C)CCN2C)CCOCC1 ZINC000424662122 389628004 /nfs/dbraw/zinc/62/80/04/389628004.db2.gz IWXIUQDMFPMIEW-CQSZACIVSA-N 0 1 295.427 0.721 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN1C[C@@]2(CCCO2)[C@@H]1C1CC1 ZINC000414128407 389601961 /nfs/dbraw/zinc/60/19/61/389601961.db2.gz RVWGVMSUTHRHMT-JYJNAYRXSA-N 0 1 293.411 0.836 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](OCc2ccncc2)C1 ZINC000280949848 389605561 /nfs/dbraw/zinc/60/55/61/389605561.db2.gz IOGSUSHZFMYFBF-UKRRQHHQSA-N 0 1 287.363 0.810 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H](C)C(=O)OC)CC1 ZINC000281110809 389608809 /nfs/dbraw/zinc/60/88/09/389608809.db2.gz FPUCHDOXZPDBEE-NSHDSACASA-N 0 1 266.341 0.352 20 30 CCEDMN C#CCN1CCCC[C@H]1COC(=O)N[C@@H]1CCOC1 ZINC000375805622 389715144 /nfs/dbraw/zinc/71/51/44/389715144.db2.gz MWZBXNCFYMJPEQ-OLZOCXBDSA-N 0 1 266.341 0.989 20 30 CCEDMN C=C(C)CN(C)CC(=O)N1CCC[C@H](NC(C)=O)C1 ZINC000181279904 389683263 /nfs/dbraw/zinc/68/32/63/389683263.db2.gz PCCMJXRHVDGVIY-ZDUSSCGKSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)C1CCC1 ZINC000429541313 389759432 /nfs/dbraw/zinc/75/94/32/389759432.db2.gz OOVCAAOCVFCWQX-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NC[C@H]1N(C)CCCC1(C)C ZINC000416475309 389746994 /nfs/dbraw/zinc/74/69/94/389746994.db2.gz JFOFUXVHISCGOT-WDEREUQCSA-N 0 1 273.402 0.938 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)C1(CO)CCC1 ZINC000429268702 389748006 /nfs/dbraw/zinc/74/80/06/389748006.db2.gz UCDBMAFXMGYTLI-ZDUSSCGKSA-N 0 1 267.373 0.888 20 30 CCEDMN CC(C)N1CC[C@@H](N(C)S(=O)(=O)[C@H](C)C#N)C1 ZINC000416497854 389748878 /nfs/dbraw/zinc/74/88/78/389748878.db2.gz UWZSNRMMZWYJOI-GHMZBOCLSA-N 0 1 259.375 0.643 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NCCN1CCC(C)CC1 ZINC000352412030 389806164 /nfs/dbraw/zinc/80/61/64/389806164.db2.gz BESBMGQKTAFNDU-NSHDSACASA-N 0 1 259.375 0.550 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NC[C@H](C)N1CCC[C@@H](C)C1 ZINC000352412152 389806384 /nfs/dbraw/zinc/80/63/84/389806384.db2.gz CLKAUVHVSXGNDV-GRYCIOLGSA-N 0 1 273.402 0.938 20 30 CCEDMN N#Cc1csc(C[NH2+][C@@]2(C(=O)[O-])CCOC2)c1 ZINC000417561300 389831183 /nfs/dbraw/zinc/83/11/83/389831183.db2.gz DDARKBQWZJSBGA-NSHDSACASA-N 0 1 252.295 0.953 20 30 CCEDMN COC(=O)c1ccc(C(=O)N=c2[nH]n(C)cc2C#N)o1 ZINC000432738459 389887898 /nfs/dbraw/zinc/88/78/98/389887898.db2.gz RICXDRUGIXAEKG-UHFFFAOYSA-N 0 1 274.236 0.346 20 30 CCEDMN N#Cc1cc(F)c(C(=O)Nc2nn[nH]n2)c(F)c1 ZINC000446313334 389934188 /nfs/dbraw/zinc/93/41/88/389934188.db2.gz ZJRQTEFAZPMPNQ-UHFFFAOYSA-N 0 1 250.168 0.602 20 30 CCEDMN COC[C@H](NCC(=O)N[C@](C)(C#N)C1CC1)[C@@H]1CCCO1 ZINC000434798220 389947136 /nfs/dbraw/zinc/94/71/36/389947136.db2.gz YIPSPKJOOGVAEJ-KCQAQPDRSA-N 0 1 295.383 0.578 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@H](COC)[C@@H]1CCCO1 ZINC000434798900 389947163 /nfs/dbraw/zinc/94/71/63/389947163.db2.gz MSLDVUCJMNRLMB-RDBSUJKOSA-N 0 1 297.399 0.778 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)CN1CCN(CC)CC1 ZINC000437473387 389993799 /nfs/dbraw/zinc/99/37/99/389993799.db2.gz LXITYIBFYVOATO-GDBMZVCRSA-N 0 1 295.427 0.721 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)N2CC(C)(C#N)C2)[nH]1 ZINC000439059050 390013731 /nfs/dbraw/zinc/01/37/31/390013731.db2.gz JBSSJSSKJORFJV-UHFFFAOYSA-N 0 1 276.344 0.244 20 30 CCEDMN C=CCCCS(=O)(=O)N1CC[C@H]2CN(C)C[C@@H]21 ZINC000644298212 390186478 /nfs/dbraw/zinc/18/64/78/390186478.db2.gz FIPRBDZZJKLEAK-RYUDHWBXSA-N 0 1 258.387 0.918 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@](O)(CC)C1 ZINC000495242491 390228858 /nfs/dbraw/zinc/22/88/58/390228858.db2.gz IOCPIWSHOGNLPJ-ZWNOBZJWSA-N 0 1 269.345 0.234 20 30 CCEDMN COCCOc1ccc(C#N)cc1NS(C)(=O)=O ZINC000541306598 390238401 /nfs/dbraw/zinc/23/84/01/390238401.db2.gz BNMJSRLABJLXCB-UHFFFAOYSA-N 0 1 270.310 0.955 20 30 CCEDMN CN1CC[C@@H]2CN(S(=O)(=O)CC3(CC#N)CC3)C[C@@H]21 ZINC000582103723 390260157 /nfs/dbraw/zinc/26/01/57/390260157.db2.gz FGDCFJYSAXLCJK-NEPJUHHUSA-N 0 1 283.397 0.646 20 30 CCEDMN CN(C)C(=O)O[C@@H]1CCN(CCOCCC#N)C1 ZINC000495972489 390276505 /nfs/dbraw/zinc/27/65/05/390276505.db2.gz VFXVWTSOTQDQPV-LLVKDONJSA-N 0 1 255.318 0.689 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCCN(CCOC)C2)nn1 ZINC000648773377 390406411 /nfs/dbraw/zinc/40/64/11/390406411.db2.gz FRGQXLUFBKQODW-GFCCVEGCSA-N 0 1 293.371 0.305 20 30 CCEDMN C=CCn1cc(C(=O)NC2(c3nn[nH]n3)CCCC2)nn1 ZINC000648788374 390409961 /nfs/dbraw/zinc/40/99/61/390409961.db2.gz CMESZNQQIKJGNW-UHFFFAOYSA-N 0 1 288.315 0.177 20 30 CCEDMN C=CCn1cc(C(=O)NC2(c3nnc[nH]3)CCC2)nn1 ZINC000648875551 390431862 /nfs/dbraw/zinc/43/18/62/390431862.db2.gz VXMOAURMKFAPOA-UHFFFAOYSA-N 0 1 273.300 0.391 20 30 CCEDMN C=CCn1cc(C(=O)NCCCCN2CCOCC2)nn1 ZINC000648736661 390397564 /nfs/dbraw/zinc/39/75/64/390397564.db2.gz DIPWWPGKPSJZBT-UHFFFAOYSA-N 0 1 293.371 0.306 20 30 CCEDMN Cc1cccc(CNC[C@H](O)CN(C)CCC#N)n1 ZINC000518517698 390492685 /nfs/dbraw/zinc/49/26/85/390492685.db2.gz MLQPCMGKIHHPJD-AWEZNQCLSA-N 0 1 262.357 0.686 20 30 CCEDMN COC(=O)c1ccc(N2CC[C@H](c3nc[nH]n3)C2)c(C#N)n1 ZINC000565434660 390514632 /nfs/dbraw/zinc/51/46/32/390514632.db2.gz UUOUXZKCSUAARN-VIFPVBQESA-N 0 1 298.306 0.852 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@H]1C[C@@H](OC)C1 ZINC000510153586 390464543 /nfs/dbraw/zinc/46/45/43/390464543.db2.gz ACWHAHBMDRJZHI-TXEJJXNPSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@H](CNc1ncc2c(c1C#N)CCC2)N1CCN(C)CC1 ZINC000519639126 390521155 /nfs/dbraw/zinc/52/11/55/390521155.db2.gz JCIIVJBOAKFOOP-CYBMUJFWSA-N 0 1 299.422 0.912 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NC2(C#N)CCOCC2)C1 ZINC000569017725 390715525 /nfs/dbraw/zinc/71/55/25/390715525.db2.gz VIQVUKYHSIIFTR-LLVKDONJSA-N 0 1 251.330 0.517 20 30 CCEDMN C[C@H]1CCN(CC(=O)NC2(C#N)CCOCC2)C1 ZINC000569017726 390715560 /nfs/dbraw/zinc/71/55/60/390715560.db2.gz VIQVUKYHSIIFTR-NSHDSACASA-N 0 1 251.330 0.517 20 30 CCEDMN CCn1c(S(=O)(=O)CCC(C)(C)C#N)n[nH]c1=O ZINC000525708035 390665724 /nfs/dbraw/zinc/66/57/24/390665724.db2.gz KTLUKSBFVATBCR-UHFFFAOYSA-N 0 1 272.330 0.717 20 30 CCEDMN COc1ccc(C#N)cc1NC(=O)NC[C@@H]1COCCN1 ZINC000528676736 390767533 /nfs/dbraw/zinc/76/75/33/390767533.db2.gz WNJGHCXQKZROPE-LLVKDONJSA-N 0 1 290.323 0.677 20 30 CCEDMN Cc1nsc(NC(=O)NC[C@@H]2COCCN2)c1C#N ZINC000528689132 390769049 /nfs/dbraw/zinc/76/90/49/390769049.db2.gz HVHRUYWHKKSIHX-MRVPVSSYSA-N 0 1 281.341 0.433 20 30 CCEDMN CCc1nc(CNC(=O)Cc2ccc(C#N)nc2)n[nH]1 ZINC000529431968 390830950 /nfs/dbraw/zinc/83/09/50/390830950.db2.gz KAUYLDZXXRXIAG-UHFFFAOYSA-N 0 1 270.296 0.493 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H](C)Cc1cnc[nH]1)C(=O)OC ZINC000578667215 390897659 /nfs/dbraw/zinc/89/76/59/390897659.db2.gz JVRMWLCQEQZAFU-CMPLNLGQSA-N 0 1 295.339 0.449 20 30 CCEDMN CO[C@@H]1C[C@@H](c2n[nH]c(C)n2)N(c2nccc(C#N)n2)C1 ZINC000424089711 533541198 /nfs/dbraw/zinc/54/11/98/533541198.db2.gz AWTXTYRAOHJJDD-MNOVXSKESA-N 0 1 285.311 0.741 20 30 CCEDMN C=CC[C@H](CO)NC(=O)CCCCc1cn[nH]n1 ZINC000189843547 130029348 /nfs/dbraw/zinc/02/93/48/130029348.db2.gz CTLGACLAELGNPJ-LLVKDONJSA-N 0 1 252.318 0.571 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)NC[C@@H]2COCCO2)C[C@@H]1C ZINC000328967461 397449855 /nfs/dbraw/zinc/44/98/55/397449855.db2.gz NKPRSWHBXXPKFL-RWMBFGLXSA-N 0 1 285.388 0.730 20 30 CCEDMN C[C@H](O)[C@H]1CCN(CC(=O)NCc2cccc(C#N)c2)C1 ZINC000356445960 144003722 /nfs/dbraw/zinc/00/37/22/144003722.db2.gz DZHGBXHFCDQMMV-WFASDCNBSA-N 0 1 287.363 0.877 20 30 CCEDMN C[C@@H]1[C@@H](C)N(C(=O)CNc2ccc(C#N)cn2)CCN1C ZINC000356851432 144037978 /nfs/dbraw/zinc/03/79/78/144037978.db2.gz MPUFKPMHCIFNDA-VXGBXAGGSA-N 0 1 287.367 0.338 20 30 CCEDMN N#Cc1ccc(C(=O)NCCN2CC=CCC2)cn1 ZINC000357594877 144102911 /nfs/dbraw/zinc/10/29/11/144102911.db2.gz DDGJRDMISQPIFU-UHFFFAOYSA-N 0 1 256.309 0.945 20 30 CCEDMN N#Cc1cccc(OCCN2CCn3c(=O)[nH]nc3C2)c1 ZINC000358809878 144180962 /nfs/dbraw/zinc/18/09/62/144180962.db2.gz UTLMRPBCGZUCCH-UHFFFAOYSA-N 0 1 285.307 0.750 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cc(C#N)ncn2)[C@@H](C)C1 ZINC000519737942 397569204 /nfs/dbraw/zinc/56/92/04/397569204.db2.gz YTVPPWCNDOHFTD-WDEREUQCSA-N 0 1 261.329 0.240 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@@H+]1CCC[C@H]1C(=O)[O-] ZINC000035301543 172115237 /nfs/dbraw/zinc/11/52/37/172115237.db2.gz MRJVSZYIXCIXNM-NSHDSACASA-N 0 1 254.330 0.960 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000035301543 172115239 /nfs/dbraw/zinc/11/52/39/172115239.db2.gz MRJVSZYIXCIXNM-NSHDSACASA-N 0 1 254.330 0.960 20 30 CCEDMN C=CCC1(NC(=O)NCC(=O)N2CCNCC2)CCCC1 ZINC000659507037 413566934 /nfs/dbraw/zinc/56/69/34/413566934.db2.gz FNYSKPPRIXLKTR-UHFFFAOYSA-N 0 1 294.399 0.606 20 30 CCEDMN COc1cc(C#N)ccc1OCCN(C)[C@@H]1COC[C@H]1O ZINC000285419833 196279096 /nfs/dbraw/zinc/27/90/96/196279096.db2.gz DPNIIADNYKTZCJ-CHWSQXEVSA-N 0 1 292.335 0.637 20 30 CCEDMN C=CCOCCNC(=O)NCc1n[nH]c(CC)n1 ZINC000664971756 415503232 /nfs/dbraw/zinc/50/32/32/415503232.db2.gz UZJIOXKDVPIQEU-UHFFFAOYSA-N 0 1 253.306 0.369 20 30 CCEDMN C=C[C@@H](O)C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000665537910 416450935 /nfs/dbraw/zinc/45/09/35/416450935.db2.gz AXCGSNVXQIMIBQ-NWDGAFQWSA-N 0 1 256.346 0.006 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)CN2CCCCC2=O)n1 ZINC000329097528 227295858 /nfs/dbraw/zinc/29/58/58/227295858.db2.gz LQTAPLOJFSTSPH-UHFFFAOYSA-N 0 1 265.317 0.625 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N[C@H]1CCn2ccnc2C1 ZINC000329999977 228012929 /nfs/dbraw/zinc/01/29/29/228012929.db2.gz VRQLBHUKOLIQFS-UXCLJVHYSA-N 0 1 262.313 0.346 20 30 CCEDMN [O-]C(N[C@H]1CCn2ccnc2C1)=[NH+][C@H]1[C@@H]2COC[C@@H]21 ZINC000329999977 228012932 /nfs/dbraw/zinc/01/29/32/228012932.db2.gz VRQLBHUKOLIQFS-UXCLJVHYSA-N 0 1 262.313 0.346 20 30 CCEDMN [O-]C(NC[C@@H]1CCCO1)=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330223834 228072120 /nfs/dbraw/zinc/07/21/20/228072120.db2.gz SMOVUKSVPXMJSU-MNOVXSKESA-N 0 1 264.329 0.881 20 30 CCEDMN [O-]C(N[C@@H]1CCn2ccnc2C1)=[NH+]C[C@@H]1CCCO1 ZINC000330223834 228072122 /nfs/dbraw/zinc/07/21/22/228072122.db2.gz SMOVUKSVPXMJSU-MNOVXSKESA-N 0 1 264.329 0.881 20 30 CCEDMN CO[C@@H](C)CN(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000353251273 228075005 /nfs/dbraw/zinc/07/50/05/228075005.db2.gz FQDNSBXMTYSFDO-GXFFZTMASA-N 0 1 253.346 0.762 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1[nH]nc2c1CCCC2 ZINC000181712391 544758527 /nfs/dbraw/zinc/75/85/27/544758527.db2.gz AHPNZUWGTFEXKS-UHFFFAOYSA-N 0 1 262.313 0.321 20 30 CCEDMN C[C@H](NC(=O)NC[C@@H]1CN(C)CCN1C)[C@H]1CCCCO1 ZINC000329438021 545022635 /nfs/dbraw/zinc/02/26/35/545022635.db2.gz JPLGMVJYMXEZGZ-BFHYXJOUSA-N 0 1 298.431 0.693 20 30 CCEDMN [O-]C(NC[C@@H]1CC[C@H](O)C1)=[NH+][C@@H]1CCn2ccnc2C1 ZINC000329914757 545027948 /nfs/dbraw/zinc/02/79/48/545027948.db2.gz CPZOZCZHEASKNY-UTUOFQBUSA-N 0 1 278.356 0.863 20 30 CCEDMN CCNS(=O)(=O)[C@@H]1CCN([C@H]2CC[C@H](C#N)C2)C1 ZINC000407993405 260155997 /nfs/dbraw/zinc/15/59/97/260155997.db2.gz OLZZUWCMSSQFTN-SDDRHHMPSA-N 0 1 271.386 0.692 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1[nH]nc2ccccc21 ZINC000081738756 260184347 /nfs/dbraw/zinc/18/43/47/260184347.db2.gz FDLUDOQSSWMATE-SECBINFHSA-N 0 1 256.265 0.927 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)CC1(O)CCOCC1 ZINC000162242296 261120688 /nfs/dbraw/zinc/12/06/88/261120688.db2.gz SSMFGNSWVJMHLL-AWEZNQCLSA-N 0 1 297.399 0.514 20 30 CCEDMN C#CCN(CC)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000490671695 261180915 /nfs/dbraw/zinc/18/09/15/261180915.db2.gz UGCUXZUFBWWBTO-LBPRGKRZSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1CCO[C@@H](C(C)(C)C)C1 ZINC000491167361 261358550 /nfs/dbraw/zinc/35/85/50/261358550.db2.gz XQYIBIYQODDFLB-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1ccccc1F ZINC000491820190 262098536 /nfs/dbraw/zinc/09/85/36/262098536.db2.gz QHTAMDYGSJILLR-WDEREUQCSA-N 0 1 253.273 0.149 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000364530536 262252963 /nfs/dbraw/zinc/25/29/63/262252963.db2.gz YXZPBYLNBCVNOR-UWVGGRQHSA-N 0 1 281.316 0.081 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)CC1(CO)CC1 ZINC000186811584 262325031 /nfs/dbraw/zinc/32/50/31/262325031.db2.gz XAYNBRLNABNICC-GFCCVEGCSA-N 0 1 267.373 0.699 20 30 CCEDMN N#C[C@H]1CSCCN1C(=O)CCCCc1cn[nH]n1 ZINC000274468614 263024534 /nfs/dbraw/zinc/02/45/34/263024534.db2.gz MQLLBBKEALQSAJ-NSHDSACASA-N 0 1 279.369 0.985 20 30 CCEDMN CCOC(=O)CON=C(C(=O)N[C@H](C)CC)c1ccn[nH]1 ZINC000276657598 263037885 /nfs/dbraw/zinc/03/78/85/263037885.db2.gz MEWRHYKPLUZOQY-OTIXUJTRSA-N 0 1 296.327 0.608 20 30 CCEDMN CCc1[nH]nc(C(=O)N2CCSC[C@@H]2C#N)c1[N+](=O)[O-] ZINC000278435948 263048007 /nfs/dbraw/zinc/04/80/07/263048007.db2.gz OPYKNRFUNQMOEQ-ZETCQYMHSA-N 0 1 295.324 0.961 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000282292019 263075719 /nfs/dbraw/zinc/07/57/19/263075719.db2.gz KXWAZGMDQBVZLF-ONGXEEELSA-N 0 1 297.384 0.855 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)C[C@@H](C)OC ZINC000285862281 263096182 /nfs/dbraw/zinc/09/61/82/263096182.db2.gz XQGSOLTZIHOBQY-NXEZZACHSA-N 0 1 257.334 0.353 20 30 CCEDMN CCOC(=O)c1csc(C(C#N)C(=O)NCCOC)n1 ZINC000340346980 263117410 /nfs/dbraw/zinc/11/74/10/263117410.db2.gz CHRKZMGZOABDNW-MRVPVSSYSA-N 0 1 297.336 0.690 20 30 CCEDMN Cc1[nH]nc(C(=O)N(C)C[C@@H](C)C#N)c1[N+](=O)[O-] ZINC000299521196 263245164 /nfs/dbraw/zinc/24/51/64/263245164.db2.gz JFRRMFMYOZKURD-LURJTMIESA-N 0 1 251.246 0.858 20 30 CCEDMN C=C(C)COCCNC(=O)[C@@H](C)CN1CCOCC1 ZINC000341888942 263363757 /nfs/dbraw/zinc/36/37/57/263363757.db2.gz QLEALZDBVCLCRN-ZDUSSCGKSA-N 0 1 270.373 0.664 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N[C@H]1CCCN(C)[C@H]1C ZINC000342005642 263376030 /nfs/dbraw/zinc/37/60/30/263376030.db2.gz OJFIVKLHXHVRNV-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN CC(C)c1nnc2n1C[C@@H](NC(=O)NCCO)CC2 ZINC000330763817 264031681 /nfs/dbraw/zinc/03/16/81/264031681.db2.gz PBWVMQUIOXYXHG-VIFPVBQESA-N 0 1 267.333 0.212 20 30 CCEDMN CC(C)c1nnc2n1C[C@@H](NC([O-])=[NH+]CCO)CC2 ZINC000330763817 264031683 /nfs/dbraw/zinc/03/16/83/264031683.db2.gz PBWVMQUIOXYXHG-VIFPVBQESA-N 0 1 267.333 0.212 20 30 CCEDMN C[C@H](C[S@](C)=O)NC(=O)N[C@@H]1CCc2c[nH]nc2C1 ZINC000330812438 264044578 /nfs/dbraw/zinc/04/45/78/264044578.db2.gz CBELXIWRHVWGPB-QFHRKFJASA-N 0 1 284.385 0.538 20 30 CCEDMN CC[C@@H]1CN(C(=O)N[C@@H]2CCN(CCOC)C2)CCO1 ZINC000330834496 264050588 /nfs/dbraw/zinc/05/05/88/264050588.db2.gz LAUVRRWZBYCLRQ-CHWSQXEVSA-N 0 1 285.388 0.732 20 30 CCEDMN CC[C@@H](CO)N1CCN(C(=O)CCCC#N)CC1 ZINC000330910721 264075677 /nfs/dbraw/zinc/07/56/77/264075677.db2.gz YLVQALFKNSXYLB-LBPRGKRZSA-N 0 1 253.346 0.595 20 30 CCEDMN Cn1cccc1CNC(=O)NC[C@H]1CN(C)CCN1C ZINC000330934311 264079637 /nfs/dbraw/zinc/07/96/37/264079637.db2.gz IBVOUQVYSLMFHT-ZDUSSCGKSA-N 0 1 279.388 0.275 20 30 CCEDMN COCC(C)(C)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000330936138 264081029 /nfs/dbraw/zinc/08/10/29/264081029.db2.gz QRKHSNNJNCGBJS-LLVKDONJSA-N 0 1 272.393 0.161 20 30 CCEDMN C=C[C@H](C)NC([O-])=[NH+][C@@H]1CCc2nc(COC)nn2C1 ZINC000330949427 264085911 /nfs/dbraw/zinc/08/59/11/264085911.db2.gz NPNSAFXCXYNLID-VHSXEESVSA-N 0 1 279.344 0.817 20 30 CCEDMN C=C[C@H](C)NC(=O)N[C@@H]1CCc2nc(COC)nn2C1 ZINC000330949427 264085912 /nfs/dbraw/zinc/08/59/12/264085912.db2.gz NPNSAFXCXYNLID-VHSXEESVSA-N 0 1 279.344 0.817 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000330951164 264086539 /nfs/dbraw/zinc/08/65/39/264086539.db2.gz KMTPWDJWMQTDTQ-UKRRQHHQSA-N 0 1 282.384 0.494 20 30 CCEDMN COCCN(C)C(=O)N[C@@H]1CCc2nc(COC)nn2C1 ZINC000330961786 264089201 /nfs/dbraw/zinc/08/92/01/264089201.db2.gz YKTKORLYICPWJP-SNVBAGLBSA-N 0 1 297.359 0.232 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@](C)(OC)C1 ZINC000330966217 264090560 /nfs/dbraw/zinc/09/05/60/264090560.db2.gz DROYCTZYBPHDFJ-ZDUSSCGKSA-N 0 1 269.345 0.704 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000331326709 264190493 /nfs/dbraw/zinc/19/04/93/264190493.db2.gz OYFGWDVXOVVKID-UHFFFAOYSA-N 0 1 277.372 0.970 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@H]2CCCCN2CC)nn1 ZINC000424909560 264374653 /nfs/dbraw/zinc/37/46/53/264374653.db2.gz UQAPWABRBQNMLY-ZDUSSCGKSA-N 0 1 277.372 0.955 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)CN1CCN(CC)CC1 ZINC000424931986 264383586 /nfs/dbraw/zinc/38/35/86/264383586.db2.gz LRJVAGSZXMLEQZ-KBPBESRZSA-N 0 1 281.400 0.331 20 30 CCEDMN C=CCSCCNC(=O)[C@@H](C)CN1CCOCC1 ZINC000357304727 266273383 /nfs/dbraw/zinc/27/33/83/266273383.db2.gz JSRGWYAXFCYKEQ-LBPRGKRZSA-N 0 1 272.414 0.990 20 30 CCEDMN C=CCC[C@@H](O)CN1C[C@@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000367533944 267097014 /nfs/dbraw/zinc/09/70/14/267097014.db2.gz PWOROFPJOYPSOD-QJPTWQEYSA-N 0 1 259.371 0.432 20 30 CCEDMN C=CCNC(=O)CN1CCC(OCCOC)CC1 ZINC000116886213 267186422 /nfs/dbraw/zinc/18/64/22/267186422.db2.gz FXVRHEVAMOGLOH-UHFFFAOYSA-N 0 1 256.346 0.416 20 30 CCEDMN COC(=O)[C@H](c1cccc(C#N)c1)N1CCc2n[nH]nc2C1 ZINC000351616919 277121534 /nfs/dbraw/zinc/12/15/34/277121534.db2.gz BMBBQDMYVYJEKF-AWEZNQCLSA-N 0 1 297.318 0.949 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NC[C@@H]2CCCCO2)[C@@H](C)C1 ZINC000329250483 278289809 /nfs/dbraw/zinc/28/98/09/278289809.db2.gz UKKLKZWIQKZKNC-IHRRRGAJSA-N 0 1 299.415 0.856 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCCN([C@@H](C)CO)CC1 ZINC000355147157 288383022 /nfs/dbraw/zinc/38/30/22/288383022.db2.gz IFADMWHYXHKDKR-GXTWGEPZSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C[C@@H]1CCC(=O)N1)C(C)C ZINC000412061477 328103716 /nfs/dbraw/zinc/10/37/16/328103716.db2.gz AGWJJQQCFDJWLF-ZDUSSCGKSA-N 0 1 294.399 0.738 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCO[C@@]3(CCOC3)C2)CC1 ZINC000528454641 328222705 /nfs/dbraw/zinc/22/27/05/328222705.db2.gz WTJCQGQFGAZUMN-OCCSQVGLSA-N 0 1 266.341 0.532 20 30 CCEDMN CC(C)N(CCO)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000049825962 328379051 /nfs/dbraw/zinc/37/90/51/328379051.db2.gz HOQWKRSABYCSTB-ZDUSSCGKSA-N 0 1 253.346 0.498 20 30 CCEDMN Cc1nc2cc(=O)[nH]n2c(C)c1CC(=O)N(CC#N)CC#N ZINC000557754310 327025136 /nfs/dbraw/zinc/02/51/36/327025136.db2.gz VSSGNUIJYOMEGJ-UHFFFAOYSA-N 0 1 298.306 0.470 20 30 CCEDMN N#CC1(c2ccccn2)CCN(CC2(CO)COC2)CC1 ZINC000558627910 327111005 /nfs/dbraw/zinc/11/10/05/327111005.db2.gz JPFXUCGBDRPHSG-UHFFFAOYSA-N 0 1 287.363 0.948 20 30 CCEDMN CC[C@H](CO)N1CCN(c2cncc(C#N)n2)CC1 ZINC000584288298 327219778 /nfs/dbraw/zinc/21/97/78/327219778.db2.gz DIZIUACXDWPSAD-GFCCVEGCSA-N 0 1 261.329 0.241 20 30 CCEDMN N#CCCCCN1CCN(c2ccc3nncn3n2)CC1 ZINC000105817419 327242314 /nfs/dbraw/zinc/24/23/14/327242314.db2.gz ZHQCIEJUDNIBIS-UHFFFAOYSA-N 0 1 285.355 0.940 20 30 CCEDMN Cc1cccc(C)c1OC[C@@H](O)CNCC#CCO ZINC000573848294 327264042 /nfs/dbraw/zinc/26/40/42/327264042.db2.gz YHBMKUDQTXGTOG-AWEZNQCLSA-N 0 1 263.337 0.628 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000564964061 327281263 /nfs/dbraw/zinc/28/12/63/327281263.db2.gz NGFZKDCTNGBLAZ-OUAUKWLOSA-N 0 1 254.330 0.720 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@H](CC(N)=O)C1 ZINC000377607537 329020152 /nfs/dbraw/zinc/02/01/52/329020152.db2.gz QJQLPDJCUJEFCZ-NWDGAFQWSA-N 0 1 280.372 0.192 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@H](CC(N)=O)C1 ZINC000377607537 329020154 /nfs/dbraw/zinc/02/01/54/329020154.db2.gz QJQLPDJCUJEFCZ-NWDGAFQWSA-N 0 1 280.372 0.192 20 30 CCEDMN CNC(=O)CCN(C)CC(=O)NC1(C#N)CCCCC1 ZINC000170784016 329068072 /nfs/dbraw/zinc/06/80/72/329068072.db2.gz WIHJWFGPKXZPML-UHFFFAOYSA-N 0 1 280.372 0.397 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCCC[C@@H]1CN1CCOCC1 ZINC000174177703 329154420 /nfs/dbraw/zinc/15/44/20/329154420.db2.gz QINMDMRTHUDJBR-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000186083743 329337325 /nfs/dbraw/zinc/33/73/25/329337325.db2.gz SGQGPOMQZVWKBT-KBPBESRZSA-N 0 1 279.384 0.762 20 30 CCEDMN N#CCC1(CNC(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3)CC1 ZINC000418820986 329576424 /nfs/dbraw/zinc/57/64/24/329576424.db2.gz GDGLCOGEVFWNLI-TXEJJXNPSA-N 0 1 290.367 0.149 20 30 CCEDMN CN(C)C(=O)c1ccc(=N[C@@H]2CC[C@H](C#N)C2)[nH]n1 ZINC000425278181 329653049 /nfs/dbraw/zinc/65/30/49/329653049.db2.gz YWLVVRSGQVKVNG-VHSXEESVSA-N 0 1 259.313 0.704 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N1CCNC[C@@H]1CCC ZINC000418998406 329704995 /nfs/dbraw/zinc/70/49/95/329704995.db2.gz SUEGFMJIFQIRGM-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN CC#CCCNC(=O)NC1CC([N@@H+](CC)CC(=O)[O-])C1 ZINC000263330009 330052369 /nfs/dbraw/zinc/05/23/69/330052369.db2.gz CDCQXZDOZDLVAE-UHFFFAOYSA-N 0 1 281.356 0.637 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000274782699 330107526 /nfs/dbraw/zinc/10/75/26/330107526.db2.gz OEWCLSODIQWZSB-VIFPVBQESA-N 0 1 266.253 0.967 20 30 CCEDMN CCCC[N@@H+](C)CCNC(=O)C(=O)NCC(C)(C)CC#N ZINC000422286169 330139213 /nfs/dbraw/zinc/13/92/13/330139213.db2.gz VGWGSLLYUJZAFX-UHFFFAOYSA-N 0 1 296.415 0.891 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@H]1CCN(CC)C1 ZINC000422540883 330148906 /nfs/dbraw/zinc/14/89/06/330148906.db2.gz KTJBQWRXVJLLHP-NSHDSACASA-N 0 1 253.346 0.231 20 30 CCEDMN C=CCn1cc(CN[C@@H](C)C(C)(C)n2cncn2)nn1 ZINC000424290395 330334646 /nfs/dbraw/zinc/33/46/46/330334646.db2.gz BQMBAWODDUAYNW-NSHDSACASA-N 0 1 275.360 0.969 20 30 CCEDMN CS[C@@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000451324054 331024423 /nfs/dbraw/zinc/02/44/23/331024423.db2.gz KMRILHZOOZWMLK-SNVBAGLBSA-N 0 1 253.371 0.986 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@]2(CCOC2)C1 ZINC000451902228 331046754 /nfs/dbraw/zinc/04/67/54/331046754.db2.gz OAKOBUPYOOTWNE-WFASDCNBSA-N 0 1 295.383 0.889 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NC[C@@H]1CCCC[N@H+]1CCO ZINC000452368139 331063361 /nfs/dbraw/zinc/06/33/61/331063361.db2.gz CFJISKWIHGFJRM-GXTWGEPZSA-N 0 1 267.373 0.889 20 30 CCEDMN CC[C@](C)(C#N)C(=O)NC[C@@H]1CCCCN1CCO ZINC000452368139 331063362 /nfs/dbraw/zinc/06/33/62/331063362.db2.gz CFJISKWIHGFJRM-GXTWGEPZSA-N 0 1 267.373 0.889 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000456219465 331186684 /nfs/dbraw/zinc/18/66/84/331186684.db2.gz BOFOPIPGIISURN-MBNYWOFBSA-N 0 1 270.373 0.227 20 30 CCEDMN C=CCC[C@@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])C(=O)OCC ZINC000456606496 331196455 /nfs/dbraw/zinc/19/64/55/331196455.db2.gz LQDMLPXTAJBYCT-MRVPVSSYSA-N 0 1 296.283 0.946 20 30 CCEDMN C=CCC[C@H](NC(=O)c1cnn[nH]1)C(=O)OCC ZINC000456636787 331197928 /nfs/dbraw/zinc/19/79/28/331197928.db2.gz YMSPVJWDFDDCHX-QMMMGPOBSA-N 0 1 252.274 0.432 20 30 CCEDMN C#CCN1CCC(C(=O)NCC[C@H](C)[S@](C)=O)CC1 ZINC000490830895 332118590 /nfs/dbraw/zinc/11/85/90/332118590.db2.gz NNJXQFSUZXOEHA-BUXKBTBVSA-N 0 1 284.425 0.605 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)Cc1cnn(C)c1 ZINC000490874138 332123215 /nfs/dbraw/zinc/12/32/15/332123215.db2.gz LITCUUDIOAJZGH-CYBMUJFWSA-N 0 1 261.325 0.603 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)COC[C@H]1CCCO1 ZINC000490874679 332123639 /nfs/dbraw/zinc/12/36/39/332123639.db2.gz NIKBGUYRFLIHBC-UONOGXRCSA-N 0 1 281.352 0.823 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)COC[C@@H]1CCCO1 ZINC000490874677 332123805 /nfs/dbraw/zinc/12/38/05/332123805.db2.gz NIKBGUYRFLIHBC-KGLIPLIRSA-N 0 1 281.352 0.823 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)Cc1nc2ncccn2n1 ZINC000490897577 332126742 /nfs/dbraw/zinc/12/67/42/332126742.db2.gz CTDHVVKQGYQFHZ-GFCCVEGCSA-N 0 1 299.334 0.308 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCC)CCO)CC1 ZINC000490915755 332128583 /nfs/dbraw/zinc/12/85/83/332128583.db2.gz OWDNGVGZWLMBGN-UHFFFAOYSA-N 0 1 252.358 0.563 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@](O)(CC)C2)CC1 ZINC000491040562 332141840 /nfs/dbraw/zinc/14/18/40/332141840.db2.gz UTVPTAMBSJWVLL-OAHLLOKOSA-N 0 1 264.369 0.705 20 30 CCEDMN C#CCNC(=O)CCN1CCO[C@@]2(CCCOC2)C1 ZINC000491069204 332145395 /nfs/dbraw/zinc/14/53/95/332145395.db2.gz UOEJQKHDYQHFKH-AWEZNQCLSA-N 0 1 266.341 0.007 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(O)(CC)C2)CC1 ZINC000491069617 332145602 /nfs/dbraw/zinc/14/56/02/332145602.db2.gz XIWJYEUPTSZCJJ-UHFFFAOYSA-N 0 1 250.342 0.315 20 30 CCEDMN C#CCN(CC#CC)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000491099672 332149539 /nfs/dbraw/zinc/14/95/39/332149539.db2.gz CKKDBEBHRRQXLB-ZDUSSCGKSA-N 0 1 268.382 0.226 20 30 CCEDMN C#CCNC(=O)CCN1CCCN(CC(F)(F)F)CC1 ZINC000491153870 332157372 /nfs/dbraw/zinc/15/73/72/332157372.db2.gz DPVCQTHWKAYQGO-UHFFFAOYSA-N 0 1 291.317 0.696 20 30 CCEDMN C#CCNC(=O)CCNCc1nccn1CC(F)(F)F ZINC000491218971 332167748 /nfs/dbraw/zinc/16/77/48/332167748.db2.gz BDAZICMUMBUYJA-UHFFFAOYSA-N 0 1 288.273 0.675 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC([C@@H]3CCCO3)CC2)C1=O ZINC000491327961 332191506 /nfs/dbraw/zinc/19/15/06/332191506.db2.gz ZHRFDVAKHPCFHH-KBPBESRZSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCOC)[C@H]2CCOC2)CC1 ZINC000491394617 332203687 /nfs/dbraw/zinc/20/36/87/332203687.db2.gz NHLVJEBGHIIXMO-HNNXBMFYSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H](C)C(C)(C)O)CC1 ZINC000491545550 332234967 /nfs/dbraw/zinc/23/49/67/332234967.db2.gz QXGGFKUSHSUSME-LBPRGKRZSA-N 0 1 266.385 0.949 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1ccc(CC#N)cc1 ZINC000491617017 332243934 /nfs/dbraw/zinc/24/39/34/332243934.db2.gz JRHPSIKVPJCXCO-ZIAGYGMSSA-N 0 1 274.320 0.076 20 30 CCEDMN C#CCNC(=O)CCN(CCC)[C@H]1CCS(=O)(=O)C1 ZINC000491617857 332244529 /nfs/dbraw/zinc/24/45/29/332244529.db2.gz CYIIBMPGKPGXHM-LBPRGKRZSA-N 0 1 286.397 0.025 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CC[C@H](OC)C(C)(C)C2)C1=O ZINC000491618147 332244801 /nfs/dbraw/zinc/24/48/01/332244801.db2.gz CBDYLUCWSFTRJY-NEPJUHHUSA-N 0 1 278.352 0.494 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC(OCC3CC3)CC2)C1=O ZINC000491636838 332257709 /nfs/dbraw/zinc/25/77/09/332257709.db2.gz VWXJHDCFSQSZQS-CQSZACIVSA-N 0 1 290.363 0.638 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NCCN1CCCOCC1 ZINC000491637055 332257821 /nfs/dbraw/zinc/25/78/21/332257821.db2.gz UIYRTGGNAJVGNK-LBPRGKRZSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000491637871 332258098 /nfs/dbraw/zinc/25/80/98/332258098.db2.gz XEJFHBVBDPFVGJ-LBPRGKRZSA-N 0 1 276.340 0.233 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC(OCC(C)C)CC2)C1=O ZINC000491663936 332273075 /nfs/dbraw/zinc/27/30/75/332273075.db2.gz SSXDRMPVEMGNCI-AWEZNQCLSA-N 0 1 292.379 0.884 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1cccc(C(C)=O)c1 ZINC000491680570 332284168 /nfs/dbraw/zinc/28/41/68/332284168.db2.gz IYYVSLKIRBAJIW-KBPBESRZSA-N 0 1 277.320 0.213 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000491701786 332296220 /nfs/dbraw/zinc/29/62/20/332296220.db2.gz GKTIWHVJOMBVLB-VXGBXAGGSA-N 0 1 253.346 0.370 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)c1cc(OC)ccc1OC ZINC000491743105 332321517 /nfs/dbraw/zinc/32/15/17/332321517.db2.gz MDMYLLGVYFJDOO-GXFFZTMASA-N 0 1 265.309 0.321 20 30 CCEDMN C#CCN(C)CCCNC(=O)c1ccc(C=O)n1C ZINC000491808412 332361439 /nfs/dbraw/zinc/36/14/39/332361439.db2.gz VQYZZRWYKAHJHJ-UHFFFAOYSA-N 0 1 261.325 0.523 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCC[C@H](CC(N)=O)C1)C1CC1 ZINC000175263446 333018990 /nfs/dbraw/zinc/01/89/90/333018990.db2.gz KYECZVXVUFGWKL-IAQYHMDHSA-N 0 1 292.383 0.382 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NCCN1CCC1 ZINC000517134148 333093207 /nfs/dbraw/zinc/09/32/07/333093207.db2.gz XZJAZPIVLVVINS-RYUDHWBXSA-N 0 1 253.346 0.582 20 30 CCEDMN CCO[C@@H]1C[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000521859269 333194011 /nfs/dbraw/zinc/19/40/11/333194011.db2.gz OOVUHHBEJJLVRO-GHMZBOCLSA-N 0 1 251.330 0.516 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H](C)COC)CC1 ZINC000194625182 333273534 /nfs/dbraw/zinc/27/35/34/333273534.db2.gz FVJDXLIJRJFZCG-GFCCVEGCSA-N 0 1 252.358 0.825 20 30 CCEDMN N#CCCOCCN1CC[C@@](O)(C(F)(F)F)C1 ZINC000339014260 335141250 /nfs/dbraw/zinc/14/12/50/335141250.db2.gz RPEYKTIGELGBQG-VIFPVBQESA-N 0 1 252.236 0.916 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@]1(C)CO ZINC000546810532 334051129 /nfs/dbraw/zinc/05/11/29/334051129.db2.gz FXTXCCQPRLCKOU-TZMCWYRMSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@]1(C)CO ZINC000546810529 334051187 /nfs/dbraw/zinc/05/11/87/334051187.db2.gz FXTXCCQPRLCKOU-GXTWGEPZSA-N 0 1 267.373 0.841 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N(C)CC(C)(CO)CO ZINC000135868123 334140360 /nfs/dbraw/zinc/14/03/60/334140360.db2.gz QWRTVOXNPNLWFX-ZDUSSCGKSA-N 0 1 286.416 0.722 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NCCN1CC[C@H](C)C1 ZINC000548879103 334178158 /nfs/dbraw/zinc/17/81/58/334178158.db2.gz ATQOGOLVYGPJSX-RYUDHWBXSA-N 0 1 288.417 0.397 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCCN([C@@H]2CC[C@@H](C#N)C2)C1 ZINC000549919409 334208610 /nfs/dbraw/zinc/20/86/10/334208610.db2.gz IFRHCZDSNGYLLK-IJLUTSLNSA-N 0 1 271.386 0.692 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@@H](c2nccn2C)C1 ZINC000550645165 334226066 /nfs/dbraw/zinc/22/60/66/334226066.db2.gz WCFICECNZOJNEN-QWHCGFSZSA-N 0 1 289.383 0.970 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cn2cc(Cl)cn2)CC1 ZINC000554331510 334422278 /nfs/dbraw/zinc/42/22/78/334422278.db2.gz HNQKZMPEWUCUHR-UHFFFAOYSA-N 0 1 281.747 0.641 20 30 CCEDMN COC[C@@H](C)CC(=O)NC1(C#N)CCN(C)CC1 ZINC000094817314 334499274 /nfs/dbraw/zinc/49/92/74/334499274.db2.gz NWTHQZAQGCMPEW-NSHDSACASA-N 0 1 253.346 0.763 20 30 CCEDMN CC[C@@H](CO)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000565975910 334613398 /nfs/dbraw/zinc/61/33/98/334613398.db2.gz HEJSNAWOAKZUFU-NSHDSACASA-N 0 1 283.353 0.087 20 30 CCEDMN Cc1cc(C)cc(OC[C@H](O)CNCC#CCO)c1 ZINC000577730545 335393507 /nfs/dbraw/zinc/39/35/07/335393507.db2.gz RNPBNTPYRZDVTH-CQSZACIVSA-N 0 1 263.337 0.628 20 30 CCEDMN COCC(COC)N1CCN([C@H](C)CCC#N)CC1 ZINC000581195958 336023092 /nfs/dbraw/zinc/02/30/92/336023092.db2.gz SQCQYSICNLKTLX-CYBMUJFWSA-N 0 1 269.389 0.958 20 30 CCEDMN CCN(CCO)CCNS(=O)(=O)c1ccccc1C#N ZINC000184338377 521119582 /nfs/dbraw/zinc/11/95/82/521119582.db2.gz JOJTWZWHJHAZSZ-UHFFFAOYSA-N 0 1 297.380 0.151 20 30 CCEDMN C[C@H]1CN(c2ccnc(C#N)c2)[C@@H](C)CN1CCO ZINC000418999664 533983318 /nfs/dbraw/zinc/98/33/18/533983318.db2.gz NIWIMIUFZXRSCT-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN N#CC1(CS(=O)(=O)NCCc2nc[nH]n2)CCCC1 ZINC000352862570 534560516 /nfs/dbraw/zinc/56/05/16/534560516.db2.gz OTQRUNFEERZRFV-UHFFFAOYSA-N 0 1 283.357 0.351 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N=c1ncn(C(C)(C)C)[nH]1 ZINC000450567504 534674756 /nfs/dbraw/zinc/67/47/56/534674756.db2.gz UCDOFICVJTZFTR-UHFFFAOYSA-N 0 1 272.312 0.917 20 30 CCEDMN CNC(=O)CCN(C)CC(=O)NCc1cccc(C#N)c1 ZINC000340688269 524066875 /nfs/dbraw/zinc/06/68/75/524066875.db2.gz XHUJCUJIUGNLBM-UHFFFAOYSA-N 0 1 288.351 0.242 20 30 CCEDMN C=C[C@](C)(O)C(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000665816587 545108119 /nfs/dbraw/zinc/10/81/19/545108119.db2.gz BYNQLZVUTKIMSL-BZPMIXESSA-N 0 1 270.373 0.539 20 30 CCEDMN C=CC[C@@H](CO)CNCc1cn(Cc2cccnc2)nn1 ZINC000344322750 527080177 /nfs/dbraw/zinc/08/01/77/527080177.db2.gz HOGVASKXICSNFH-CQSZACIVSA-N 0 1 287.367 0.996 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000667423056 545146750 /nfs/dbraw/zinc/14/67/50/545146750.db2.gz GFQMCCALBPEIJZ-UKRRQHHQSA-N 0 1 282.384 0.637 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCNC(C)(C)C1 ZINC000333781366 527504067 /nfs/dbraw/zinc/50/40/67/527504067.db2.gz KVUXEXAGARZHLE-MNOVXSKESA-N 0 1 253.346 0.723 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@H]1CCCN(C)[C@@H]1C ZINC000347823135 527602461 /nfs/dbraw/zinc/60/24/61/527602461.db2.gz ZNBMRTFAPHZYGY-OLZOCXBDSA-N 0 1 279.384 0.786 20 30 CCEDMN C=C[C@](C)(O)C(=O)N[C@H]1CCCN(CCOC)C1 ZINC000668373203 545175578 /nfs/dbraw/zinc/17/55/78/545175578.db2.gz ZSRVWFXJWKIVIH-AAEUAGOBSA-N 0 1 256.346 0.150 20 30 CCEDMN CCN1C[C@H](C(=O)NCCN2CCCOCC2)CC1=O ZINC000329998100 527953449 /nfs/dbraw/zinc/95/34/49/527953449.db2.gz RSFCPFCBVHXZQG-GFCCVEGCSA-N 0 1 283.372 0.534 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC000352669479 528353695 /nfs/dbraw/zinc/35/36/95/528353695.db2.gz AEKQFRQYJXPDKB-VXGBXAGGSA-N 0 1 253.346 0.594 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C1CC(OC)C1 ZINC000352228741 528353845 /nfs/dbraw/zinc/35/38/45/528353845.db2.gz ACWHAHBMDRJZHI-UHFFFAOYSA-N 0 1 253.346 0.858 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CC[C@H](CO)[C@@H](O)C1 ZINC000676560643 545346333 /nfs/dbraw/zinc/34/63/33/545346333.db2.gz GAEHVAWRUUILAD-MJBXVCDLSA-N 0 1 284.400 0.475 20 30 CCEDMN C=CCOCCCC(=O)N1CCN(C(C)(C)CO)CC1 ZINC000677648261 545370061 /nfs/dbraw/zinc/37/00/61/545370061.db2.gz ZAJMJINTRQUTJB-UHFFFAOYSA-N 0 1 284.400 0.884 20 30 CCEDMN COCC#CCN(C)[C@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000677816844 545373137 /nfs/dbraw/zinc/37/31/37/545373137.db2.gz DLKFNIFWBBDUGR-GFCCVEGCSA-N 0 1 296.392 0.977 20 30 CCEDMN CNS(=O)(=O)c1ccc([C@H](C)NCC#CCOC)cc1 ZINC000678760043 545397215 /nfs/dbraw/zinc/39/72/15/545397215.db2.gz YVIVUHXDTBAEEV-LBPRGKRZSA-N 0 1 296.392 0.895 20 30 CCEDMN COC[C@@H](O)CN1CCN(c2ccccc2C#N)CC1 ZINC000147661238 536734586 /nfs/dbraw/zinc/73/45/86/536734586.db2.gz XUERYTSSCXOJJX-AWEZNQCLSA-N 0 1 275.352 0.688 20 30 CCEDMN N#CCc1ccccc1CC(=O)NCc1c[nH]nn1 ZINC000777405655 581114621 /nfs/dbraw/zinc/11/46/21/581114621.db2.gz PGSYDOCVVUFCGO-UHFFFAOYSA-N 0 1 255.281 0.730 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2ccc(O)c(C(=O)[O-])c2)N1 ZINC000777442553 581115897 /nfs/dbraw/zinc/11/58/97/581115897.db2.gz KQLPROVHRZLXAD-ZETCQYMHSA-N 0 1 262.269 0.362 20 30 CCEDMN N#CCOc1ccc(CC(=O)Nc2c[nH]nn2)cc1 ZINC000789929423 581164703 /nfs/dbraw/zinc/16/47/03/581164703.db2.gz XMAMASOXFATVJX-UHFFFAOYSA-N 0 1 257.253 0.888 20 30 CCEDMN Cc1nn(C)c(C=NNC2=NC[C@@H](C)N2)c1Br ZINC000790296690 581183366 /nfs/dbraw/zinc/18/33/66/581183366.db2.gz BIJZBRQSBRBBSP-ZCFIWIBFSA-N 0 1 299.176 0.762 20 30 CCEDMN COC(=O)c1cnc(C(=O)NC2=NO[C@H](C)C2)cn1 ZINC000779674093 581198563 /nfs/dbraw/zinc/19/85/63/581198563.db2.gz AVKPKLXJVAGTNX-ZCFIWIBFSA-N 0 1 264.241 0.115 20 30 CCEDMN N#CCN1CCN(C(=O)c2cc(Br)[nH]n2)CC1 ZINC000791366970 581217607 /nfs/dbraw/zinc/21/76/07/581217607.db2.gz NSCSGQILFRJVSO-UHFFFAOYSA-N 0 1 298.144 0.454 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)N[C@@H]2CCc3nc[nH]c3C2)cc1 ZINC000780986794 581254612 /nfs/dbraw/zinc/25/46/12/581254612.db2.gz QDLQESVFWZTGIG-DOMZBBRYSA-N 0 1 296.330 0.988 20 30 CCEDMN C#CCOCCNC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000784177485 581375442 /nfs/dbraw/zinc/37/54/42/581375442.db2.gz MUUOXAKVLGFYDZ-KBPBESRZSA-N 0 1 297.399 0.435 20 30 CCEDMN CC[C@H](C)[C@@H](OC)C(=O)C(C#N)C(=O)NCCCOC ZINC000796634115 581446553 /nfs/dbraw/zinc/44/65/53/581446553.db2.gz XMLDDDGOWOSGLM-DMDPSCGWSA-N 0 1 284.356 0.909 20 30 CCEDMN CNC(=O)C(C#N)C(=O)C1CCC(OCCOC)CC1 ZINC000796642514 581447023 /nfs/dbraw/zinc/44/70/23/581447023.db2.gz FBVBCQDYQUYLRT-MCIGGMRASA-N 0 1 282.340 0.663 20 30 CCEDMN Cn1cc(C[C@@H](O)C(=O)Nc2cc(C#N)ccc2O)cn1 ZINC000787156130 581458732 /nfs/dbraw/zinc/45/87/32/581458732.db2.gz WJUJXXCTCHMDRC-CYBMUJFWSA-N 0 1 286.291 0.539 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)N2CCN3CCC2CC3)cc1 ZINC000787523376 581471779 /nfs/dbraw/zinc/47/17/79/581471779.db2.gz NONQZPSKNKHRHP-HNNXBMFYSA-N 0 1 285.347 0.898 20 30 CCEDMN C[C@H]1CC(NC(=O)CN(C)[C@H]2CCSC2)=NO1 ZINC000765384090 581510640 /nfs/dbraw/zinc/51/06/40/581510640.db2.gz LGOKTKAIRRDQMC-IUCAKERBSA-N 0 1 257.359 0.662 20 30 CCEDMN C=C(Br)CNC(=O)NCCN1CCC1 ZINC000752659174 581511469 /nfs/dbraw/zinc/51/14/69/581511469.db2.gz FFRPUMJFMGPIEV-UHFFFAOYSA-N 0 1 262.151 0.900 20 30 CCEDMN C#CCOCCC(=O)N1C[C@H](C)N(CC)C[C@@H]1C ZINC000765938900 581540376 /nfs/dbraw/zinc/54/03/76/581540376.db2.gz DYYCAPTZNSDTSC-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN COCC[N@@H+](CCOc1ccccc1C#N)CC(=O)[O-] ZINC000737799002 581575213 /nfs/dbraw/zinc/57/52/13/581575213.db2.gz HUPKNKALYNDATI-UHFFFAOYSA-N 0 1 278.308 0.970 20 30 CCEDMN CN1CCN(CC#CCN2C(=O)c3ccccc3C2=O)CC1 ZINC000199526412 581581011 /nfs/dbraw/zinc/58/10/11/581581011.db2.gz GGBADJYDAONFKC-UHFFFAOYSA-N 0 1 297.358 0.533 20 30 CCEDMN C#CCCCN1CCN(C(=O)[C@H]2CCCO2)CC1 ZINC000766894855 581584639 /nfs/dbraw/zinc/58/46/39/581584639.db2.gz FSWHKJJQUMLGIL-CYBMUJFWSA-N 0 1 250.342 0.723 20 30 CCEDMN C[N@H+](CCCNC(=O)[O-])[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000739677280 581591176 /nfs/dbraw/zinc/59/11/76/581591176.db2.gz USANHLIHKCJMFL-GFCCVEGCSA-N 0 1 290.323 0.674 20 30 CCEDMN C[N@@H+](CCCNC(=O)[O-])[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000739677280 581591177 /nfs/dbraw/zinc/59/11/77/581591177.db2.gz USANHLIHKCJMFL-GFCCVEGCSA-N 0 1 290.323 0.674 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NCCC#N)C[C@@H]1n1ccnc1 ZINC000767433497 581613429 /nfs/dbraw/zinc/61/34/29/581613429.db2.gz JCNDXRGZIKYKIO-OLZOCXBDSA-N 0 1 275.356 0.796 20 30 CCEDMN C#CCCN[C@@H](C(N)=O)c1ccc(-c2nn[nH]n2)cc1 ZINC000735374826 581640871 /nfs/dbraw/zinc/64/08/71/581640871.db2.gz MEJLLZWIBZTVFY-LLVKDONJSA-N 0 1 270.296 0.006 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](CCC)c2nn[nH]n2)cn1 ZINC000735389358 581642811 /nfs/dbraw/zinc/64/28/11/581642811.db2.gz ARPWKEQGVKMGIA-LLVKDONJSA-N 0 1 270.296 0.847 20 30 CCEDMN COC(=O)c1coc(C=Nn2c(=O)c(C)n[nH]c2=S)c1 ZINC000758079873 581720820 /nfs/dbraw/zinc/72/08/20/581720820.db2.gz LUTWMYNCSOHCQC-UHFFFAOYSA-N 0 1 294.292 0.497 20 30 CCEDMN COC(=O)c1ccc(NN=Cc2cnnn2C)nc1 ZINC000758146830 581723151 /nfs/dbraw/zinc/72/31/51/581723151.db2.gz ZMEUMPAGBFFPAX-UHFFFAOYSA-N 0 1 260.257 0.443 20 30 CCEDMN COC(=O)NCCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000730921072 581746272 /nfs/dbraw/zinc/74/62/72/581746272.db2.gz VBKWVJNRQOGEGQ-SECBINFHSA-N 0 1 267.285 0.110 20 30 CCEDMN N#Cc1ccccc1C=CC(=O)NCc1nn[nH]n1 ZINC000732157620 581806537 /nfs/dbraw/zinc/80/65/37/581806537.db2.gz BPGQWKVZRLBBTF-WAYWQWQTSA-N 0 1 254.253 0.401 20 30 CCEDMN N#Cc1ccc2ncc(CN[C@@H]3CCS(=O)(=O)C3)n2c1 ZINC000736640688 581810351 /nfs/dbraw/zinc/81/03/51/581810351.db2.gz FZVHUPZGOPTEGR-LLVKDONJSA-N 0 1 290.348 0.483 20 30 CCEDMN N#Cc1ccc([C@@H](N[C@H](CCO)C2CC2)C(N)=O)cc1 ZINC000771800893 581822408 /nfs/dbraw/zinc/82/24/08/581822408.db2.gz GMGOECIKEORGIE-ZIAGYGMSSA-N 0 1 273.336 0.835 20 30 CCEDMN C#C[C@H](NC(=O)c1[nH]nc2c1CCC2)[C@H]1CCCO1 ZINC000772884144 581895843 /nfs/dbraw/zinc/89/58/43/581895843.db2.gz JPISZLGLSHTFFJ-CMPLNLGQSA-N 0 1 259.309 0.809 20 30 CCEDMN CC(N=Nc1ccccc1S(N)(=O)=O)c1cnccn1 ZINC000773124393 581909735 /nfs/dbraw/zinc/90/97/35/581909735.db2.gz FIBLYHRSTVXLPS-UHFFFAOYSA-N 0 1 291.336 0.960 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cnc(C3OCCO3)s2)N1 ZINC000761834468 581921909 /nfs/dbraw/zinc/92/19/09/581921909.db2.gz QATHGUSJSRGEAG-SSDOTTSWSA-N 0 1 281.341 0.460 20 30 CCEDMN C#CCNC(=O)COC(=O)c1c(F)cc(O)cc1F ZINC000746987170 581929978 /nfs/dbraw/zinc/92/99/78/581929978.db2.gz OEKCFVXQJLGHOW-UHFFFAOYSA-N 0 1 269.203 0.577 20 30 CCEDMN O=C(C#Cc1ccc2c(c1)OCO2)NCCc1nc[nH]n1 ZINC000762233182 581936326 /nfs/dbraw/zinc/93/63/26/581936326.db2.gz QIQRQWWEZVDESL-UHFFFAOYSA-N 0 1 284.275 0.244 20 30 CCEDMN C[C@@H]1COCC[C@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC000774053901 581955547 /nfs/dbraw/zinc/95/55/47/581955547.db2.gz PXIQCCPNAXGVBH-IEBDPFPHSA-N 0 1 250.298 0.646 20 30 CCEDMN C#C[C@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])[C@@H]1CCCO1 ZINC000775341843 582020143 /nfs/dbraw/zinc/02/01/43/582020143.db2.gz SKJGRPGFRRIVNX-CBAPKCEASA-N 0 1 264.241 0.229 20 30 CCEDMN C#C[C@H]([NH2+]Cc1cc(=O)n2[n-]ccc2n1)[C@H]1CCCO1 ZINC000775790239 582038029 /nfs/dbraw/zinc/03/80/29/582038029.db2.gz HILAGYDGWHYLBR-NWDGAFQWSA-N 0 1 272.308 0.293 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738494348 582055662 /nfs/dbraw/zinc/05/56/62/582055662.db2.gz AYXIITIUASDNHH-SNVBAGLBSA-N 0 1 255.318 0.363 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738494348 582055665 /nfs/dbraw/zinc/05/56/65/582055665.db2.gz AYXIITIUASDNHH-SNVBAGLBSA-N 0 1 255.318 0.363 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1ncccn1 ZINC000750800919 582059627 /nfs/dbraw/zinc/05/96/27/582059627.db2.gz IXLGUZXRZWTXKM-VIFPVBQESA-N 0 1 267.248 0.833 20 30 CCEDMN C[C@H]1CN(C)CC1=NN=C1NS(=O)(=O)c2ccccc21 ZINC000752159486 582102029 /nfs/dbraw/zinc/10/20/29/582102029.db2.gz DHLQVYQCSIFMSB-VIFPVBQESA-N 0 1 292.364 0.663 20 30 CCEDMN COC(=O)C[C@@H]1CSCCN1CCO[C@H](C)C#N ZINC000801929534 616670844 /nfs/dbraw/zinc/67/08/44/616670844.db2.gz VYCRPSNTLCERFX-GHMZBOCLSA-N 0 1 272.370 0.896 20 30 CCEDMN C#CCCN(CCOC)C(=O)NCc1cn[nH]c1C ZINC000853406275 612987656 /nfs/dbraw/zinc/98/76/56/612987656.db2.gz XABPMLXFCWXONG-UHFFFAOYSA-N 0 1 264.329 0.899 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC000964578807 649920080 /nfs/dbraw/zinc/92/00/80/649920080.db2.gz GFJUEAPNEUQJPH-NXEZZACHSA-N 0 1 290.327 0.075 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC000964578807 649920081 /nfs/dbraw/zinc/92/00/81/649920081.db2.gz GFJUEAPNEUQJPH-NXEZZACHSA-N 0 1 290.327 0.075 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC000251528347 601926984 /nfs/dbraw/zinc/92/69/84/601926984.db2.gz DFDIWJJQZLPNPA-NXEZZACHSA-N 0 1 272.370 0.569 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000811450176 612949133 /nfs/dbraw/zinc/94/91/33/612949133.db2.gz WXMJPYKTOJYYLY-IAQYHMDHSA-N 0 1 298.358 0.880 20 30 CCEDMN C#CCSCCNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000154785142 613017326 /nfs/dbraw/zinc/01/73/26/613017326.db2.gz XRMWRXZFMGVARO-ZDUSSCGKSA-N 0 1 298.456 0.288 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NCCN1CCCOCC1 ZINC000858489299 613020480 /nfs/dbraw/zinc/02/04/80/613020480.db2.gz YPXLYCFWMODQLH-AWEZNQCLSA-N 0 1 297.399 0.436 20 30 CCEDMN C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H]1CN(C)CCN1C ZINC000900812235 617179784 /nfs/dbraw/zinc/17/97/84/617179784.db2.gz TZUCSPKLTPCXAI-OLZOCXBDSA-N 0 1 265.401 0.786 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823653603 603815975 /nfs/dbraw/zinc/81/59/75/603815975.db2.gz CGYGZYZIYWBSSN-MNOVXSKESA-N 0 1 282.344 0.431 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823653603 603815977 /nfs/dbraw/zinc/81/59/77/603815977.db2.gz CGYGZYZIYWBSSN-MNOVXSKESA-N 0 1 282.344 0.431 20 30 CCEDMN C[C@@H](Cn1c(N)nc(-c2nn[nH]n2)c1C#N)C(F)(F)F ZINC000824465230 607657827 /nfs/dbraw/zinc/65/78/27/607657827.db2.gz MSYUHJGTFBHKBM-BYPYZUCNSA-N 0 1 286.221 0.715 20 30 CCEDMN C#CC1(O)CCN(Cc2ccc3c(c2)NC(=O)CO3)CC1 ZINC000895384030 612952597 /nfs/dbraw/zinc/95/25/97/612952597.db2.gz GDWWRQWPIUODQI-UHFFFAOYSA-N 0 1 286.331 0.978 20 30 CCEDMN C=CCCC[N@H+](C)CCc1cn(CC(=O)[O-])nn1 ZINC000833374220 604895044 /nfs/dbraw/zinc/89/50/44/604895044.db2.gz UZLUBRFBYJDOOH-UHFFFAOYSA-N 0 1 252.318 0.803 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1CC1(C#N)CC1 ZINC000833628504 605078278 /nfs/dbraw/zinc/07/82/78/605078278.db2.gz JQAPZZXUBTVIHP-LLVKDONJSA-N 0 1 251.330 0.771 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[O-])C[C@@H]1[NH2+]CC1(O)CCCCC1 ZINC000833967511 605685585 /nfs/dbraw/zinc/68/55/85/605685585.db2.gz RHZHGKMHANWOAU-MNOVXSKESA-N 0 1 267.329 0.773 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1CCC2(C1)CCN(C(=O)[O-])CC2 ZINC000833965268 605895223 /nfs/dbraw/zinc/89/52/23/605895223.db2.gz GWFNNCGYBUACLH-UHFFFAOYSA-N 0 1 294.355 0.482 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NCCN1CC=CCC1 ZINC000875917020 613050817 /nfs/dbraw/zinc/05/08/17/613050817.db2.gz RNZQYFWVQZXDQV-CQSZACIVSA-N 0 1 279.384 0.976 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000863785277 613051834 /nfs/dbraw/zinc/05/18/34/613051834.db2.gz ZWGLZMWPFMSCNW-UONOGXRCSA-N 0 1 282.384 0.499 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCc2cccnc2N)o1 ZINC000822422966 606989255 /nfs/dbraw/zinc/98/92/55/606989255.db2.gz GNHLILBHRSRWHY-UHFFFAOYSA-N 0 1 285.271 0.586 20 30 CCEDMN N#Cc1ccc([C@H](O)Cn2cnc(-c3nn[nH]n3)n2)cc1 ZINC000826384811 607869067 /nfs/dbraw/zinc/86/90/67/607869067.db2.gz KNNFOTDSJKPNFD-SNVBAGLBSA-N 0 1 282.267 0.063 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1COc2ccccc2C1 ZINC000912116535 612956683 /nfs/dbraw/zinc/95/66/83/612956683.db2.gz MEAYYQBVSGPNMD-LBPRGKRZSA-N 0 1 258.321 0.577 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935674553 613079284 /nfs/dbraw/zinc/07/92/84/613079284.db2.gz FUZQHNLYGQZGGI-LLVKDONJSA-N 0 1 263.341 0.855 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCCO2)C1 ZINC000971358115 613082696 /nfs/dbraw/zinc/08/26/96/613082696.db2.gz XDXYVKBTSYOELY-OLZOCXBDSA-N 0 1 250.342 0.721 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000911610858 613084768 /nfs/dbraw/zinc/08/47/68/613084768.db2.gz DJXCDFFSPODJLG-RYUDHWBXSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CC1(O)CN(C(=O)[C@@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC000890527976 612958034 /nfs/dbraw/zinc/95/80/34/612958034.db2.gz SVSTUHHHPBVDAZ-CABZTGNLSA-N 0 1 259.309 0.282 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)N(C)CCC#N ZINC000922026516 613098035 /nfs/dbraw/zinc/09/80/35/613098035.db2.gz POOBMCSEULBAJK-UHFFFAOYSA-N 0 1 298.412 0.106 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)NC2CCOCC2)C1 ZINC000847022989 613105959 /nfs/dbraw/zinc/10/59/59/613105959.db2.gz KJGRPOUYFKSLAX-GFCCVEGCSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN(CCc1c[nH]nn1)CC(=O)OC(C)(C)C ZINC000852425209 613108208 /nfs/dbraw/zinc/10/82/08/613108208.db2.gz PMXMDHVVGRTTSJ-UHFFFAOYSA-N 0 1 264.329 0.624 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC[C@H](OC(C)C)C2)C1=O ZINC000844274036 613109544 /nfs/dbraw/zinc/10/95/44/613109544.db2.gz YNYGPKGFXSPHBF-QWHCGFSZSA-N 0 1 278.352 0.637 20 30 CCEDMN C=C(Cl)C[C@H]1NC(=O)N(C[C@@H]2CCN2C)C1=O ZINC000925194656 613391069 /nfs/dbraw/zinc/39/10/69/613391069.db2.gz CJSAWQXYRXXYHB-DTWKUNHWSA-N 0 1 257.721 0.754 20 30 CCEDMN COc1cc(NC(=O)N[C@@H]2CNC[C@H]2C#N)cc(OC)c1 ZINC000841006144 617204437 /nfs/dbraw/zinc/20/44/37/617204437.db2.gz CAGRFMQQUWQFPW-NOZJJQNGSA-N 0 1 290.323 0.937 20 30 CCEDMN C#CCC1(NC(=O)CN2CCCC2)CCOCC1 ZINC000925428051 612968596 /nfs/dbraw/zinc/96/85/96/612968596.db2.gz CQBGBEVYZUSVOJ-UHFFFAOYSA-N 0 1 250.342 0.771 20 30 CCEDMN C#CCCCCCCN1CCN2[C@@H](CNS2(=O)=O)C1 ZINC000933094668 612976628 /nfs/dbraw/zinc/97/66/28/612976628.db2.gz WQESABJYZVUZTO-ZDUSSCGKSA-N 0 1 285.413 0.404 20 30 CCEDMN C#CCCCCNC(=O)NCCN1CCC(O)CC1 ZINC000893634399 612977553 /nfs/dbraw/zinc/97/75/53/612977553.db2.gz QUXDWPSJDGKIBR-UHFFFAOYSA-N 0 1 267.373 0.546 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](NCc2ccns2)C1 ZINC000967977151 617291790 /nfs/dbraw/zinc/29/17/90/617291790.db2.gz ZBENURORTQNBCZ-VHSXEESVSA-N 0 1 264.354 0.993 20 30 CCEDMN COc1cccc(F)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834480400 617302514 /nfs/dbraw/zinc/30/25/14/617302514.db2.gz PNPJSFGSPAPTQF-SCZZXKLOSA-N 0 1 263.272 0.676 20 30 CCEDMN COc1cccc(NCC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000846677472 617304505 /nfs/dbraw/zinc/30/45/05/617304505.db2.gz MYJXJEVASOXPMZ-MFKMUULPSA-N 0 1 274.324 0.335 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2cc(Br)[nH]n2)CC1 ZINC000912969900 612951686 /nfs/dbraw/zinc/95/16/86/612951686.db2.gz OZAKIXZLTHFCPD-UHFFFAOYSA-N 0 1 298.140 0.773 20 30 CCEDMN C#CC1CCN(CC(=O)N2CCOC[C@H]2C2CC2)CC1 ZINC000830345604 612964619 /nfs/dbraw/zinc/96/46/19/612964619.db2.gz KDLWHUBCFTWWJF-HNNXBMFYSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1[nH]nnc1C ZINC000960294529 612971661 /nfs/dbraw/zinc/97/16/61/612971661.db2.gz ZLVRKVGNUAWOQV-UKRRQHHQSA-N 0 1 295.346 0.732 20 30 CCEDMN C#CCC1(O)CCN(CC(=O)N2CCCC2)CC1 ZINC000880478299 612973360 /nfs/dbraw/zinc/97/33/60/612973360.db2.gz ZVSUGXRUZAYRES-UHFFFAOYSA-N 0 1 250.342 0.459 20 30 CCEDMN C#CCOCCC(=O)N1CCN([C@@H](C)CC)CC1 ZINC000837005695 612978180 /nfs/dbraw/zinc/97/81/80/612978180.db2.gz SHOVMFSQYBXRQO-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCOCCN(C)Cc1c[nH]c(C)c(OC)c1=O ZINC000931325941 612981852 /nfs/dbraw/zinc/98/18/52/612981852.db2.gz JPKXERQKEPBJMO-UHFFFAOYSA-N 0 1 264.325 0.774 20 30 CCEDMN C#CCOCCN1CCC(NS(=O)(=O)CC)CC1 ZINC000903012464 612982655 /nfs/dbraw/zinc/98/26/55/612982655.db2.gz NKEPXUJWNMFGOS-UHFFFAOYSA-N 0 1 274.386 0.040 20 30 CCEDMN C#CCCN(CC(F)(F)F)S(=O)(=O)c1ncc[nH]1 ZINC000867117310 612986352 /nfs/dbraw/zinc/98/63/52/612986352.db2.gz QWCISFJQYHMMTL-UHFFFAOYSA-N 0 1 281.259 0.986 20 30 CCEDMN C#CCOCCNC(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000807104611 612987970 /nfs/dbraw/zinc/98/79/70/612987970.db2.gz ITTVZAGOFZPNPN-MRVPVSSYSA-N 0 1 251.290 0.123 20 30 CCEDMN C#CCOCCNC(=O)[C@H]1CCN1C1CCCC1 ZINC000821882401 612988585 /nfs/dbraw/zinc/98/85/85/612988585.db2.gz ZSICDHIQDSTDQK-CYBMUJFWSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCOCCNCCS(=O)(=O)c1ccccc1 ZINC000819230998 612989232 /nfs/dbraw/zinc/98/92/32/612989232.db2.gz ONIUSOVLGRXZQV-UHFFFAOYSA-N 0 1 267.350 0.700 20 30 CCEDMN C#CCONC(=O)C[C@@](O)(c1nccn1C)C(F)(F)F ZINC000812782559 612992483 /nfs/dbraw/zinc/99/24/83/612992483.db2.gz XYCQOVBDISKHHN-SNVBAGLBSA-N 0 1 291.229 0.241 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC(N2CCOCC2)CC1 ZINC000862214075 612994310 /nfs/dbraw/zinc/99/43/10/612994310.db2.gz FAIDUPLDQQCPNX-ZDUSSCGKSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000854330287 612994573 /nfs/dbraw/zinc/99/45/73/612994573.db2.gz HTDGCOLLFKZCEN-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000831368230 612994626 /nfs/dbraw/zinc/99/46/26/612994626.db2.gz JLCHVYQWQCBKOX-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(C)[C@@H](C(C)C)C1 ZINC000827491160 612994967 /nfs/dbraw/zinc/99/49/67/612994967.db2.gz NBFUBXYBHZTEMW-QWHCGFSZSA-N 0 1 252.358 0.823 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H](CC)OC)CC1 ZINC000980813224 613004630 /nfs/dbraw/zinc/00/46/30/613004630.db2.gz QTHPSZAPERQOCH-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCOc1ccc(CN2CCN(C)C[C@@H]2CO)cc1 ZINC000877645056 613005653 /nfs/dbraw/zinc/00/56/53/613005653.db2.gz ZJTCXGQFGIGEEF-OAHLLOKOSA-N 0 1 274.364 0.807 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2ncccn2)C1 ZINC000968450414 613023840 /nfs/dbraw/zinc/02/38/40/613023840.db2.gz UMWXGQISTTZPSG-STQMWFEESA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2nccnc2N)C1 ZINC000965692601 613025288 /nfs/dbraw/zinc/02/52/88/613025288.db2.gz NBDLDIPUNIMXMN-NWDGAFQWSA-N 0 1 287.367 0.522 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1c[nH]c2ccc(C)cc2c1=O ZINC000854545746 613028546 /nfs/dbraw/zinc/02/85/46/613028546.db2.gz OZVHTVRUWBRCDI-NSHDSACASA-N 0 1 284.315 0.951 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1nnc2ccccc2c1O ZINC000816671076 613029952 /nfs/dbraw/zinc/02/99/52/613029952.db2.gz MVVAUEQGIAFPJJ-VIFPVBQESA-N 0 1 271.276 0.449 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnn(CC)n2)C(C)(C)C1 ZINC000974561956 613033173 /nfs/dbraw/zinc/03/31/73/613033173.db2.gz XCIGGTDZPODOBZ-CYBMUJFWSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CCC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000958643189 613046651 /nfs/dbraw/zinc/04/66/51/613046651.db2.gz XGVOZYBGVXKSRK-PJXYFTJBSA-N 0 1 271.320 0.471 20 30 CCEDMN C#CCC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000958643189 613046653 /nfs/dbraw/zinc/04/66/53/613046653.db2.gz XGVOZYBGVXKSRK-PJXYFTJBSA-N 0 1 271.320 0.471 20 30 CCEDMN C#CCCOC(=O)NCCCN1CCC[C@H]1C(=O)N(C)C ZINC000858933181 613050000 /nfs/dbraw/zinc/05/00/00/613050000.db2.gz QCGDXTUUPRWXHP-ZDUSSCGKSA-N 0 1 295.383 0.679 20 30 CCEDMN C#CCCOC(=O)N[C@H]1CCCN(CCOC)C1 ZINC000858951003 613050883 /nfs/dbraw/zinc/05/08/83/613050883.db2.gz RRORJEDXGDUIGT-LBPRGKRZSA-N 0 1 254.330 0.847 20 30 CCEDMN C#CC[C@H](CCOC)NCc1cn(C[C@@H]2CCOC2)nn1 ZINC000865645983 613052670 /nfs/dbraw/zinc/05/26/70/613052670.db2.gz DQXPFPJHVBDHSK-UONOGXRCSA-N 0 1 292.383 0.833 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1ccc(CN(C)C)nc1 ZINC000854543508 613057744 /nfs/dbraw/zinc/05/77/44/613057744.db2.gz ZZUUAZLXAHJWBF-CYBMUJFWSA-N 0 1 261.325 0.257 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1CCN(C2CCC2)CC1 ZINC000875922915 613061810 /nfs/dbraw/zinc/06/18/10/613061810.db2.gz SQLRUKVAODTVKD-CYBMUJFWSA-N 0 1 279.384 0.904 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@@H]1CCCN1C1CC1 ZINC000799082801 613062612 /nfs/dbraw/zinc/06/26/12/613062612.db2.gz NBAPWLPVCRKEJS-STQMWFEESA-N 0 1 250.342 0.504 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000879859364 613062815 /nfs/dbraw/zinc/06/28/15/613062815.db2.gz GDHPDVQQKDVOMY-MJVIPROJSA-N 0 1 275.352 0.966 20 30 CCEDMN C#CC[C@H](COC)NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000863806349 613065440 /nfs/dbraw/zinc/06/54/40/613065440.db2.gz HUAAPRNEJKAMJL-GHMZBOCLSA-N 0 1 261.325 0.669 20 30 CCEDMN C#CC[C@H](NC(=O)c1[nH]nc2c1CCCC2)C(=O)OC ZINC000837935840 613070604 /nfs/dbraw/zinc/07/06/04/613070604.db2.gz JOBUBIRGKUQLSW-NSHDSACASA-N 0 1 275.308 0.583 20 30 CCEDMN C#CCN(C)C(=O)C(=O)N(CCC)[C@H]1CCN(C)C1 ZINC000843255852 613071054 /nfs/dbraw/zinc/07/10/54/613071054.db2.gz UEGYIMPNDJEDST-LBPRGKRZSA-N 0 1 265.357 0.021 20 30 CCEDMN C#CC[C@H]1NC(=O)N(Cc2n[nH]c(C(C)C)n2)C1=O ZINC000927543247 613076003 /nfs/dbraw/zinc/07/60/03/613076003.db2.gz RCKUNIOWPSEWJT-MRVPVSSYSA-N 0 1 261.285 0.372 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@@H]2CCCc3[nH]ncc32)cn1 ZINC000798129466 613077018 /nfs/dbraw/zinc/07/70/18/613077018.db2.gz YTJKAHLWXREIOX-GFCCVEGCSA-N 0 1 283.335 0.976 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@H]2CCCCN2C)cn1 ZINC000834609550 613077592 /nfs/dbraw/zinc/07/75/92/613077592.db2.gz BRBFSEUFZCEQQM-CYBMUJFWSA-N 0 1 260.341 0.617 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)C2=NC(=O)N(C)C2)n1 ZINC000834705111 613078475 /nfs/dbraw/zinc/07/84/75/613078475.db2.gz DYCIWLMVKQTSTK-UHFFFAOYSA-N 0 1 287.323 0.618 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cncc(C(=O)[O-])c1 ZINC000911404785 613078739 /nfs/dbraw/zinc/07/87/39/613078739.db2.gz ICFFCCLIDTVQFP-UHFFFAOYSA-N 0 1 275.308 0.465 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC2(O)CCC2)C1 ZINC000971511432 613080123 /nfs/dbraw/zinc/08/01/23/613080123.db2.gz LPHMLWPSQHPNJL-GFCCVEGCSA-N 0 1 250.342 0.457 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCN(C(C)(C)COC)CC1 ZINC000823481293 613080746 /nfs/dbraw/zinc/08/07/46/613080746.db2.gz FJUWOEXZKNAYRF-GFCCVEGCSA-N 0 1 267.373 0.760 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)n2cncn2)C1 ZINC000971372161 613082278 /nfs/dbraw/zinc/08/22/78/613082278.db2.gz VQGDHGMDTCIAFF-VXGBXAGGSA-N 0 1 261.329 0.005 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)Cn2ccc(C)n2)C1 ZINC000971600323 613083247 /nfs/dbraw/zinc/08/32/47/613083247.db2.gz SAHQLUBOOUFGJD-UKRRQHHQSA-N 0 1 288.395 0.994 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC000971538448 613083971 /nfs/dbraw/zinc/08/39/71/613083971.db2.gz CMMBEXYQXHUZRW-KGLIPLIRSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cccc(OC)n2)C1 ZINC000972651873 613086347 /nfs/dbraw/zinc/08/63/47/613086347.db2.gz UMSPMUWXSUYOFX-GFCCVEGCSA-N 0 1 273.336 0.870 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC000971776023 613087983 /nfs/dbraw/zinc/08/79/83/613087983.db2.gz NBIBKMWLIWQNAM-GFCCVEGCSA-N 0 1 288.351 0.166 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nnn(C(C)(C)C)n2)C1 ZINC000971576130 613088261 /nfs/dbraw/zinc/08/82/61/613088261.db2.gz NPPBBRVGBAQIPT-LLVKDONJSA-N 0 1 290.371 0.208 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC000971904028 613090566 /nfs/dbraw/zinc/09/05/66/613090566.db2.gz NUECXCUBBRMOJX-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC000971664703 613092118 /nfs/dbraw/zinc/09/21/18/613092118.db2.gz GBXCPEDZZBTVTA-HIFRSBDPSA-N 0 1 298.390 0.747 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC000972146942 613093651 /nfs/dbraw/zinc/09/36/51/613093651.db2.gz GKSLFLGQPXOQCX-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c[nH]nc2CC)C1 ZINC000971844758 613093813 /nfs/dbraw/zinc/09/38/13/613093813.db2.gz QUDZXTFPULOTCB-NSHDSACASA-N 0 1 260.341 0.752 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CC=CCC1)C1CCOCC1 ZINC000823169283 613095617 /nfs/dbraw/zinc/09/56/17/613095617.db2.gz XULSIJYVBGRIQY-OAHLLOKOSA-N 0 1 291.395 0.976 20 30 CCEDMN C#CCN(CC#CC)C(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000933615907 613099853 /nfs/dbraw/zinc/09/98/53/613099853.db2.gz YCZYQEIINZPQAH-UHFFFAOYSA-N 0 1 287.363 0.860 20 30 CCEDMN C#CCN(CC(=O)N1CCO[C@@H](C)C1)C(C)(C)C ZINC000932465487 613102130 /nfs/dbraw/zinc/10/21/30/613102130.db2.gz HXMWRCKOZHVBJR-LBPRGKRZSA-N 0 1 252.358 0.967 20 30 CCEDMN C#C[C@@H]1CCCN(CCN2CCCS2(=O)=O)C1 ZINC000847021353 613105905 /nfs/dbraw/zinc/10/59/05/613105905.db2.gz JXOXYRKPXHWMBL-GFCCVEGCSA-N 0 1 256.371 0.367 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2CCC(C(=O)OC)CC2)C1 ZINC000847026154 613106021 /nfs/dbraw/zinc/10/60/21/613106021.db2.gz BJMLPBFBXBWCIT-CYBMUJFWSA-N 0 1 292.379 0.743 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000854070441 613120302 /nfs/dbraw/zinc/12/03/02/613120302.db2.gz NLYQGEIGVKQLFB-HZSPNIEDSA-N 0 1 279.384 0.639 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@H](C)CC2)CC1 ZINC000928531188 613129113 /nfs/dbraw/zinc/12/91/13/613129113.db2.gz DEESOBVAAKNWPW-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCn3ccnc32)CC1 ZINC000857911304 613129696 /nfs/dbraw/zinc/12/96/96/613129696.db2.gz CUMSQSIAZBOQJO-UHFFFAOYSA-N 0 1 258.325 0.575 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(COC)CCOCC2)CC1 ZINC000928650903 613132498 /nfs/dbraw/zinc/13/24/98/613132498.db2.gz HSZPFQIPJHQMDD-UHFFFAOYSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCN1CCC(NC(=O)C(C)(C)NC(C)=O)CC1 ZINC000928658432 613132548 /nfs/dbraw/zinc/13/25/48/613132548.db2.gz RYGZFGCSTPOPQK-UHFFFAOYSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC2=CCCOC2)CC1 ZINC000922490419 613133728 /nfs/dbraw/zinc/13/37/28/613133728.db2.gz OPSLHEGTNHOTEK-UHFFFAOYSA-N 0 1 277.368 0.730 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC[C@@H]2CCCO2)CC1 ZINC000913995962 613133986 /nfs/dbraw/zinc/13/39/86/613133986.db2.gz GBJGONDHNYBJQN-AWEZNQCLSA-N 0 1 279.384 0.952 20 30 CCEDMN C#C[C@H](NC(=O)Cc1cc(C)n[nH]1)C1CCOCC1 ZINC000856109148 613134109 /nfs/dbraw/zinc/13/41/09/613134109.db2.gz JHOIRDPXFOEZDU-ZDUSSCGKSA-N 0 1 261.325 0.805 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H]2CC(C)=NO2)CC1 ZINC000923526496 613134246 /nfs/dbraw/zinc/13/42/46/613134246.db2.gz DVKDBIZOFUTXGL-CYBMUJFWSA-N 0 1 278.356 0.548 20 30 CCEDMN C#C[C@H](NC(=O)N(C)Cc1cnc[nH]1)[C@H]1CCCO1 ZINC000852259881 613134903 /nfs/dbraw/zinc/13/49/03/613134903.db2.gz NGXWLJBMIVPEIP-NWDGAFQWSA-N 0 1 262.313 0.732 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cc(OC)n[nH]2)CC1 ZINC000932004629 613135217 /nfs/dbraw/zinc/13/52/17/613135217.db2.gz ZYZMPXLTZXSHJV-UHFFFAOYSA-N 0 1 277.328 0.637 20 30 CCEDMN C#CCN1CCC(NC(=O)OC2CCOCC2)CC1 ZINC000921437691 613135411 /nfs/dbraw/zinc/13/54/11/613135411.db2.gz DTFUUYDXLJEXQD-UHFFFAOYSA-N 0 1 266.341 0.989 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](O)C(C)(C)CC)CC1 ZINC000928648478 613135961 /nfs/dbraw/zinc/13/59/61/613135961.db2.gz LQTITGFOJFIBFY-CYBMUJFWSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)Cc2cnn(C)c2)CC1 ZINC000928649204 613136034 /nfs/dbraw/zinc/13/60/34/613136034.db2.gz HMGBZCCZBHZRJO-ZDUSSCGKSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](CC)NC(C)=O)CC1 ZINC000928660068 613136224 /nfs/dbraw/zinc/13/62/24/613136224.db2.gz GJNPFDYXAGYHOF-ZDUSSCGKSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)OCCOCC)CC1 ZINC000928656709 613136331 /nfs/dbraw/zinc/13/63/31/613136331.db2.gz CAITZWDKXOMVNA-ZDUSSCGKSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CC[C@@H](C(=O)OC)C2)CC1 ZINC000928649386 613136616 /nfs/dbraw/zinc/13/66/16/613136616.db2.gz JKRCFQOHDLBEIE-QWHCGFSZSA-N 0 1 292.379 0.790 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C#C)nc2)CC1 ZINC000928658679 613137207 /nfs/dbraw/zinc/13/72/07/613137207.db2.gz YEZMIVJMRZRPRY-UHFFFAOYSA-N 0 1 267.332 0.890 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(CC=C)nn2)CC1 ZINC000928649417 613137531 /nfs/dbraw/zinc/13/75/31/613137531.db2.gz KOJFOYOAFACGBC-UHFFFAOYSA-N 0 1 273.340 0.292 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc3cccnn32)CC1 ZINC000928649834 613137668 /nfs/dbraw/zinc/13/76/68/613137668.db2.gz SNTNXVNOQSLXCC-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC(Nc2ccc3nnc(C)n3n2)CC1 ZINC000895807465 613138596 /nfs/dbraw/zinc/13/85/96/613138596.db2.gz OWQXMSCHEWOLOD-UHFFFAOYSA-N 0 1 270.340 0.942 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@H]1CCN1C)[C@@H]1CCCO1 ZINC000922047886 613141406 /nfs/dbraw/zinc/14/14/06/613141406.db2.gz PJGRUZLENLNLHH-WOPDTQHZSA-N 0 1 251.330 0.171 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ccc(=O)[nH]c3)C2)C1 ZINC000982138214 613143833 /nfs/dbraw/zinc/14/38/33/613143833.db2.gz XWHVCYAGKCTMCJ-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3c[nH]nc3C)C2)C1 ZINC000981927074 613144024 /nfs/dbraw/zinc/14/40/24/613144024.db2.gz HUCFZXFBVTUYLZ-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnn4cc[nH]c34)C2)C1 ZINC000982130092 613144641 /nfs/dbraw/zinc/14/46/41/613144641.db2.gz AGJCVQHFZFUXSY-UHFFFAOYSA-N 0 1 297.362 0.834 20 30 CCEDMN C#C[C@H](NS(=O)(=O)c1ncc[nH]1)C1CCOCC1 ZINC000867529302 613152865 /nfs/dbraw/zinc/15/28/65/613152865.db2.gz GNAWZTQLUZGAHL-JTQLQIEISA-N 0 1 269.326 0.116 20 30 CCEDMN C#CCN1CCCN(C(=O)c2cc(CC)[nH]n2)CC1 ZINC000980723804 613157261 /nfs/dbraw/zinc/15/72/61/613157261.db2.gz RFIWUBKRVKWPEU-UHFFFAOYSA-N 0 1 260.341 0.753 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N2CCOC[C@@H]2C2CC2)C1 ZINC000847021777 613158696 /nfs/dbraw/zinc/15/86/96/613158696.db2.gz PBWASCLKIRZUQP-DZGCQCFKSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)[C@H](C)Cc2cnc[nH]2)C1 ZINC000923556646 613172866 /nfs/dbraw/zinc/17/28/66/613172866.db2.gz YDXQHIWDWJGYDL-CHWSQXEVSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC000923567042 613174618 /nfs/dbraw/zinc/17/46/18/613174618.db2.gz WQHHEJJYUQTABY-SNVBAGLBSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC000923567042 613174619 /nfs/dbraw/zinc/17/46/19/613174619.db2.gz WQHHEJJYUQTABY-SNVBAGLBSA-N 0 1 284.323 0.180 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN2CCC(O)CC2)cc1 ZINC000897919146 613178212 /nfs/dbraw/zinc/17/82/12/613178212.db2.gz MJRBTXBPKHFSJH-UHFFFAOYSA-N 0 1 272.348 0.854 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCCCN2CCO)nc1 ZINC000833560068 613180668 /nfs/dbraw/zinc/18/06/68/613180668.db2.gz ZDCUIOPQELWARB-CQSZACIVSA-N 0 1 287.363 0.640 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](N=c2nn[n-]n2Cc2ccccc2)C1 ZINC000895200635 613181201 /nfs/dbraw/zinc/18/12/01/613181201.db2.gz HWJHTMZVFWIKCM-HNNXBMFYSA-N 0 1 296.378 0.653 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](N=c2nn[n-]n2Cc2ccccc2)C1 ZINC000895200635 613181202 /nfs/dbraw/zinc/18/12/02/613181202.db2.gz HWJHTMZVFWIKCM-HNNXBMFYSA-N 0 1 296.378 0.653 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2nnc([C@H]3CCOC3)[nH]2)nc1 ZINC000898857535 613182097 /nfs/dbraw/zinc/18/20/97/613182097.db2.gz SMJITUGBXULZDD-NSHDSACASA-N 0 1 297.318 0.615 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2n[nH]c([C@H]3CCOC3)n2)nc1 ZINC000898857535 613182099 /nfs/dbraw/zinc/18/20/99/613182099.db2.gz SMJITUGBXULZDD-NSHDSACASA-N 0 1 297.318 0.615 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)[C@H]2CN(C)CCN2C)nc1 ZINC000896561085 613188451 /nfs/dbraw/zinc/18/84/51/613188451.db2.gz VZQXCMTUZMJCBZ-IUODEOHRSA-N 0 1 286.379 0.427 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000923564647 613192188 /nfs/dbraw/zinc/19/21/88/613192188.db2.gz ATXMOTSEBQZHHB-JTQLQIEISA-N 0 1 262.313 0.251 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000923564647 613192189 /nfs/dbraw/zinc/19/21/89/613192189.db2.gz ATXMOTSEBQZHHB-JTQLQIEISA-N 0 1 262.313 0.251 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@@](C)(C(=O)[O-])C1 ZINC000909839251 613195173 /nfs/dbraw/zinc/19/51/73/613195173.db2.gz HHEIGYNJTZVRDJ-SWLSCSKDSA-N 0 1 278.352 0.797 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@](C)(C(=O)[O-])C1 ZINC000825618987 613197439 /nfs/dbraw/zinc/19/74/39/613197439.db2.gz IUKXPMJQTKBUIM-FZMZJTMJSA-N 0 1 264.325 0.407 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CCCN1C(C)=O ZINC000870194556 613203388 /nfs/dbraw/zinc/20/33/88/613203388.db2.gz JTQKRZSBMUOUNH-KBPBESRZSA-N 0 1 278.352 0.638 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC(=O)[C@@H]2CC2[N+](=O)[O-])c1 ZINC000839550869 613204224 /nfs/dbraw/zinc/20/42/24/613204224.db2.gz OVBKNKQRQUKZBY-VXGBXAGGSA-N 0 1 287.275 0.388 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)C2(COC)CCC2)CC1 ZINC000880187634 613204803 /nfs/dbraw/zinc/20/48/03/613204803.db2.gz JVRDSGQQWHSCSO-UHFFFAOYSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CCN(CC(=O)N1CCN(CC#C)CC1)C1CCCC1 ZINC000155907792 613204837 /nfs/dbraw/zinc/20/48/37/613204837.db2.gz FOKRABARKUZLDV-UHFFFAOYSA-N 0 1 287.407 0.642 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC(SC)CC2)CC1 ZINC000879097715 613205454 /nfs/dbraw/zinc/20/54/54/613205454.db2.gz IHRCPVDGPMOCDV-UHFFFAOYSA-N 0 1 295.452 0.591 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCCc1nc[nH]n1 ZINC000810445249 613206370 /nfs/dbraw/zinc/20/63/70/613206370.db2.gz NZURSDJBQHYUTP-UHFFFAOYSA-N 0 1 254.293 0.687 20 30 CCEDMN C#CCN1CCN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)CC1 ZINC000857852421 613206928 /nfs/dbraw/zinc/20/69/28/613206928.db2.gz QRSYLXLJHSXTMF-TZMCWYRMSA-N 0 1 286.379 0.853 20 30 CCEDMN C#Cc1cccnc1N1CCN(CCCCO)CC1 ZINC000853372967 613208570 /nfs/dbraw/zinc/20/85/70/613208570.db2.gz GKIGRJWXFXEJIE-UHFFFAOYSA-N 0 1 259.353 0.957 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCN(C)CCN(C)CC2)c1 ZINC000888985211 613213287 /nfs/dbraw/zinc/21/32/87/613213287.db2.gz PELHFJKWGUETBC-UHFFFAOYSA-N 0 1 286.379 0.382 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccn(C)c2=O)C1 ZINC000968557884 613216363 /nfs/dbraw/zinc/21/63/63/613216363.db2.gz PABRNGSPYGYWIX-OCCSQVGLSA-N 0 1 287.363 0.459 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CN(CCO)CC2(C)C)c1 ZINC000975011543 613222223 /nfs/dbraw/zinc/22/22/23/613222223.db2.gz MWHQVKFJLCYHKH-AWEZNQCLSA-N 0 1 287.363 0.495 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2[C@H]3CN(CC#CC)C[C@H]32)c1 ZINC000961725283 613222604 /nfs/dbraw/zinc/22/26/04/613222604.db2.gz VYILFHLVHZZOQB-ZSHCYNCHSA-N 0 1 279.343 0.746 20 30 CCEDMN C(=NNc1ncnc2[nH]cnc21)c1cnn2c1CCC2 ZINC000853293112 613224033 /nfs/dbraw/zinc/22/40/33/613224033.db2.gz RZXVULLLPWDABN-UHFFFAOYSA-N 0 1 268.284 0.942 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H]2COC(=O)C2)C(C)(C)C1 ZINC000940678657 613226022 /nfs/dbraw/zinc/22/60/22/613226022.db2.gz VSBDOYCGCNYDTB-QWHCGFSZSA-N 0 1 292.379 0.790 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2CCOC2)C(C)(C)C1 ZINC000940611024 613228834 /nfs/dbraw/zinc/22/88/34/613228834.db2.gz VKPHURWRDOCTRC-CHWSQXEVSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(OC)n(C)n2)[C@@H](C)C1 ZINC000942434427 613233637 /nfs/dbraw/zinc/23/36/37/613233637.db2.gz XUBAFRMEVFOMIN-NWDGAFQWSA-N 0 1 290.367 0.502 20 30 CCEDMN C=C(Br)CNC[C@@H](O)C1(O)CCOCC1 ZINC000905065670 613238298 /nfs/dbraw/zinc/23/82/98/613238298.db2.gz QNIVAJSHOCVKOJ-SECBINFHSA-N 0 1 280.162 0.387 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CC)nn2)C[C@@H]1C ZINC000947866681 613240682 /nfs/dbraw/zinc/24/06/82/613240682.db2.gz DXOPLUDQYUBEIC-NWDGAFQWSA-N 0 1 275.356 0.514 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000819256010 613241782 /nfs/dbraw/zinc/24/17/82/613241782.db2.gz GLKGJZWIWLXBPR-VIFPVBQESA-N 0 1 265.317 0.702 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC000951165735 613245772 /nfs/dbraw/zinc/24/57/72/613245772.db2.gz HVCMBYMAISUQNY-CYBMUJFWSA-N 0 1 294.399 0.509 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CCn2cnnn2)CC1 ZINC000952392739 613257633 /nfs/dbraw/zinc/25/76/33/613257633.db2.gz YGNKJLZBCSNDBU-UHFFFAOYSA-N 0 1 278.360 0.174 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000940912314 613263625 /nfs/dbraw/zinc/26/36/25/613263625.db2.gz ONAOWNGVOVWLER-LRDDRELGSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn(C)n2)C(C)(C)C1 ZINC000941221443 613272366 /nfs/dbraw/zinc/27/23/66/613272366.db2.gz VAIZJZPBUCJYPH-ZDUSSCGKSA-N 0 1 274.368 0.884 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncc(OC)n2)[C@@H](C)C1 ZINC000943333283 613274991 /nfs/dbraw/zinc/27/49/91/613274991.db2.gz HYYYDZRCFMPALR-RYUDHWBXSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2coc(OC)n2)[C@H](C)C1 ZINC000942531913 613276736 /nfs/dbraw/zinc/27/67/36/613276736.db2.gz CHIRKSMVFXRFLB-MNOVXSKESA-N 0 1 277.324 0.757 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nonc2C)C[C@@H]1C ZINC000948093895 613278413 /nfs/dbraw/zinc/27/84/13/613278413.db2.gz BPKORTJEUXEZNP-ONGXEEELSA-N 0 1 262.313 0.594 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2CCC(O)CC2)C(C)(C)C1 ZINC000974488029 613280602 /nfs/dbraw/zinc/28/06/02/613280602.db2.gz BMSVOSVDWRKAPF-JXQTWKCFSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cnn(C)c2)C(C)(C)C1 ZINC000972844990 613281406 /nfs/dbraw/zinc/28/14/06/613281406.db2.gz YDQSECBEZAVOGS-CYBMUJFWSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c[nH]c3cccnc32)C1 ZINC000958581805 613285377 /nfs/dbraw/zinc/28/53/77/613285377.db2.gz SWMRJBCNENUROL-SMDDNHRTSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc(Cl)c2)C1 ZINC000958614713 613286530 /nfs/dbraw/zinc/28/65/30/613286530.db2.gz XVPXUSMOBBQDCC-GXTWGEPZSA-N 0 1 292.766 0.996 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc3[nH]cnc32)[C@H](O)C1 ZINC000958516516 613289549 /nfs/dbraw/zinc/28/95/49/613289549.db2.gz SWSCBLQYQMOHRF-BXUZGUMPSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2coc(C(F)F)c2)[C@H](O)C1 ZINC000958356669 613290346 /nfs/dbraw/zinc/29/03/46/613290346.db2.gz QQSRRBRIIBZVFR-GHMZBOCLSA-N 0 1 298.289 0.873 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2coc(CCC)n2)[C@H](O)C1 ZINC000958583468 613290603 /nfs/dbraw/zinc/29/06/03/613290603.db2.gz HQLXMMJDPIQKSE-DGCLKSJQSA-N 0 1 291.351 0.283 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3cnon3)C[C@]2(C)C1 ZINC000982661469 613294235 /nfs/dbraw/zinc/29/42/35/613294235.db2.gz WJYDLBHPFXJPOL-OKILXGFUSA-N 0 1 274.324 0.487 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccn(CC)c2C)C1 ZINC000958345484 613295475 /nfs/dbraw/zinc/29/54/75/613295475.db2.gz NVBCMKZAOZNSKY-ZFWWWQNUSA-N 0 1 289.379 0.472 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2CCC(O)CC2)C(C)(C)C1 ZINC000974488031 613296757 /nfs/dbraw/zinc/29/67/57/613296757.db2.gz BMSVOSVDWRKAPF-RUXDESIVSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2CCCOC2)C(C)(C)C1 ZINC000972852085 613299070 /nfs/dbraw/zinc/29/90/70/613299070.db2.gz MHWNNLOMYFZESN-STQMWFEESA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C(C)(C)C1 ZINC000975010301 613301052 /nfs/dbraw/zinc/30/10/52/613301052.db2.gz IIWJLPXXIKBORR-NSHDSACASA-N 0 1 276.340 0.199 20 30 CCEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2ncn(C)n2)C1 ZINC000969599585 613301534 /nfs/dbraw/zinc/30/15/34/613301534.db2.gz OPVPFLHJTJWHHX-SNVBAGLBSA-N 0 1 263.345 0.441 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)co2)C1 ZINC000958324222 613302284 /nfs/dbraw/zinc/30/22/84/613302284.db2.gz HQVZBPKIHSRIPD-NEPJUHHUSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccn(C(C)C)n2)C1 ZINC000958447422 613303710 /nfs/dbraw/zinc/30/37/10/613303710.db2.gz DIEPAEXZXVXHPP-OCCSQVGLSA-N 0 1 290.367 0.120 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccn2CC=C)C1 ZINC000958138063 613303753 /nfs/dbraw/zinc/30/37/53/613303753.db2.gz CGCIZUUNZIHJHJ-HIFRSBDPSA-N 0 1 287.363 0.330 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@]2(C)CNC(=O)C2)CC1 ZINC000981674735 613311599 /nfs/dbraw/zinc/31/15/99/613311599.db2.gz XNHLZKVDSFKAPI-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2ncn(C)n2)CC1 ZINC000981106002 613313034 /nfs/dbraw/zinc/31/30/34/613313034.db2.gz PZOXJQAZBBQOAR-UHFFFAOYSA-N 0 1 263.345 0.539 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cccnc1C ZINC000961771491 613314348 /nfs/dbraw/zinc/31/43/48/613314348.db2.gz NYEYEGIGGZTQOL-FOLVSLTJSA-N 0 1 269.348 0.612 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCC1(F)F ZINC000960487947 613316093 /nfs/dbraw/zinc/31/60/93/613316093.db2.gz OSTVVTIBXHCYSZ-YTWAJWBKSA-N 0 1 254.280 0.711 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)n(C)n1 ZINC000958340212 613317762 /nfs/dbraw/zinc/31/77/62/613317762.db2.gz PEOBKILRFUKTQO-PJXYFTJBSA-N 0 1 258.325 0.022 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)nnc1C ZINC000960079220 613317780 /nfs/dbraw/zinc/31/77/80/613317780.db2.gz LNCBXYMDLZXUOH-WDNDVIMCSA-N 0 1 270.336 0.387 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(-c2ccoc2)n[nH]1 ZINC000962563623 613318152 /nfs/dbraw/zinc/31/81/52/613318152.db2.gz GFFPQTHZYDZPMZ-JYAVWHMHSA-N 0 1 296.330 0.963 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccccc1OC ZINC000962385729 613320201 /nfs/dbraw/zinc/32/02/01/613320201.db2.gz ZIAIANVMJARKNG-NHAGDIPZSA-N 0 1 270.332 0.988 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc2[nH]ccc21 ZINC000960781614 613321330 /nfs/dbraw/zinc/32/13/30/613321330.db2.gz HHIHYHAPUZKQAL-NHAGDIPZSA-N 0 1 280.331 0.856 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]nc2ccccc21 ZINC000962459579 613321864 /nfs/dbraw/zinc/32/18/64/613321864.db2.gz ZTNBKCVNFSFKPR-IMRBUKKESA-N 0 1 280.331 0.856 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2[nH]c(C)nc2C)CC1 ZINC000929418380 613323654 /nfs/dbraw/zinc/32/36/54/613323654.db2.gz OIVQXQPAOCGZAP-UHFFFAOYSA-N 0 1 274.368 0.988 20 30 CCEDMN C#CCNC(=O)CCN(C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000852151300 613323988 /nfs/dbraw/zinc/32/39/88/613323988.db2.gz GFJLYBXQLNVBJF-OAHLLOKOSA-N 0 1 297.362 0.965 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](CC(C)(C)C(N)=O)C1 ZINC000830552806 613328659 /nfs/dbraw/zinc/32/86/59/613328659.db2.gz HWVWTLXLACGCDH-LBPRGKRZSA-N 0 1 279.384 0.349 20 30 CCEDMN C#CCNC(=O)C[N@H+]1CCC[C@@H](C)[C@H]1CNC(=O)[O-] ZINC000823456545 613328840 /nfs/dbraw/zinc/32/88/40/613328840.db2.gz NOXIPFYMFUEFJI-GHMZBOCLSA-N 0 1 267.329 0.104 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCC[C@@H](C)[C@H]1CNC(=O)[O-] ZINC000823456545 613328841 /nfs/dbraw/zinc/32/88/41/613328841.db2.gz NOXIPFYMFUEFJI-GHMZBOCLSA-N 0 1 267.329 0.104 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(C[C@@H]2CCC[N@@H+]2C)C1=O ZINC000925294226 613356830 /nfs/dbraw/zinc/35/68/30/613356830.db2.gz SYAZZTMHOUTICV-QWRGUYRKSA-N 0 1 251.330 0.967 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(C[C@@H]2CCC[N@@H+]2CCOC)C1=O ZINC000865302777 613356888 /nfs/dbraw/zinc/35/68/88/613356888.db2.gz ULVKIXAQGOHTDO-STQMWFEESA-N 0 1 295.383 0.984 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000882899917 613357173 /nfs/dbraw/zinc/35/71/73/613357173.db2.gz ZJLQNZKXHMJFLM-MRVPVSSYSA-N 0 1 296.289 0.887 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000882901929 613358179 /nfs/dbraw/zinc/35/81/79/613358179.db2.gz UJHVCRFQSXRYMH-WDEREUQCSA-N 0 1 263.341 0.958 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC(NS(=O)(=O)CC)CC1 ZINC000903008927 613360461 /nfs/dbraw/zinc/36/04/61/613360461.db2.gz RBJAWBHHVRMYSU-GFCCVEGCSA-N 0 1 276.402 0.327 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCN1CC[C@@H](O)C1 ZINC000913171537 613361404 /nfs/dbraw/zinc/36/14/04/613361404.db2.gz OMOZKWSZIQYZSJ-AGIUHOORSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCc1n[nH]c(C)n1 ZINC000894584667 613363183 /nfs/dbraw/zinc/36/31/83/613363183.db2.gz KKCHEQUKBLEKHI-CMPLNLGQSA-N 0 1 279.344 0.894 20 30 CCEDMN C=C(CN(C)C)C(=O)N1CC[C@H]2CC[C@@H](C1)[S@@]2=O ZINC000863058202 613366275 /nfs/dbraw/zinc/36/62/75/613366275.db2.gz LRQZTRXQHNBAAT-FTLABTOESA-N 0 1 270.398 0.616 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cncn2C)C1 ZINC000970482562 613384854 /nfs/dbraw/zinc/38/48/54/613384854.db2.gz VUVXECVGCOBBTJ-LLVKDONJSA-N 0 1 282.775 0.906 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000970729444 613385336 /nfs/dbraw/zinc/38/53/36/613385336.db2.gz IHXKEIUQBBROHX-SNVBAGLBSA-N 0 1 296.758 0.670 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC000969849788 613385506 /nfs/dbraw/zinc/38/55/06/613385506.db2.gz GJYIPVWHNGYCLI-MFKMUULPSA-N 0 1 285.775 0.598 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)NCCCS(C)(=O)=O ZINC000876600411 613387957 /nfs/dbraw/zinc/38/79/57/613387957.db2.gz IEZDSPXVUPXCJZ-MRVPVSSYSA-N 0 1 268.766 0.007 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)C1 ZINC000974298188 613402518 /nfs/dbraw/zinc/40/25/18/613402518.db2.gz DSCQWUBCVFXTIJ-AOOOYVTPSA-N 0 1 289.339 0.538 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2[C@H]3CN([C@@H](CC)C(N)=O)C[C@H]32)C1 ZINC000961736885 613402799 /nfs/dbraw/zinc/40/27/99/613402799.db2.gz YVWTUPKJCMVUHG-QNWHQSFQSA-N 0 1 291.395 0.653 20 30 CCEDMN C=CC[C@@H](CO)NS(=O)(=O)N=S(=O)(CC)CC ZINC000867101421 613405224 /nfs/dbraw/zinc/40/52/24/613405224.db2.gz UNWMPHKVBKAGJW-VIFPVBQESA-N 0 1 284.403 0.266 20 30 CCEDMN C=CC[C@@H]1CC[C@H](NCc2nnc3n2CCNC3=O)C1 ZINC000883293871 613409947 /nfs/dbraw/zinc/40/99/47/613409947.db2.gz JOBFIJLVDJBNIM-MNOVXSKESA-N 0 1 275.356 0.856 20 30 CCEDMN C=CC[C@H](CO)NS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872472848 613418679 /nfs/dbraw/zinc/41/86/79/613418679.db2.gz VABVCAKKVCZRRE-XLFHBGCDSA-N 0 1 298.430 0.654 20 30 CCEDMN C=C1c2ccccc2C(=O)N1CC(=O)NCC#CCN(C)C ZINC000913515724 613419059 /nfs/dbraw/zinc/41/90/59/613419059.db2.gz KPJQUICSOQCKHU-UHFFFAOYSA-N 0 1 297.358 0.794 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(N(C)C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC000954240769 613428269 /nfs/dbraw/zinc/42/82/69/613428269.db2.gz ARLUYGITUJJTOW-GHMZBOCLSA-N 0 1 295.339 0.533 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)[C@H](C)C(N)=O)C1 ZINC000972079509 613434740 /nfs/dbraw/zinc/43/47/40/613434740.db2.gz ODACXVCKHKVXBZ-GHMZBOCLSA-N 0 1 267.373 0.605 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CC[C@@H](N2CC[C@@H](O)C2)C1 ZINC000861713764 613454551 /nfs/dbraw/zinc/45/45/51/613454551.db2.gz DUSDPGBYDRXVGU-GHMZBOCLSA-N 0 1 274.311 0.865 20 30 CCEDMN C=CCC1(O)CCN(C(=O)[C@]2(C)C[C@H](O)CN2C)CC1 ZINC000924440397 613459166 /nfs/dbraw/zinc/45/91/66/613459166.db2.gz SHCLLUQWAZVUTC-JSGCOSHPSA-N 0 1 282.384 0.371 20 30 CCEDMN C=CCCCCCNC(=O)C(=O)N1CCNC[C@@H]1COC ZINC000911324793 613465278 /nfs/dbraw/zinc/46/52/78/613465278.db2.gz LKLFUPLHNUJZBT-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCCCCCNC(=O)[C@]1(COC)CNCCO1 ZINC000876952163 613465883 /nfs/dbraw/zinc/46/58/83/613465883.db2.gz KRGVTDFTTHGALY-CQSZACIVSA-N 0 1 270.373 0.854 20 30 CCEDMN C=CCCCCN1CCN2[C@H](CNS2(=O)=O)C1 ZINC000933095440 613465974 /nfs/dbraw/zinc/46/59/74/613465974.db2.gz GXABXAUIAWCWGE-LLVKDONJSA-N 0 1 259.375 0.177 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NC[C@H]1CC[N@@H+]1CC ZINC000883676952 613471155 /nfs/dbraw/zinc/47/11/55/613471155.db2.gz BUKVUEJEQORWNM-UTUOFQBUSA-N 0 1 253.346 0.723 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NC[C@H]1CCN1CC ZINC000883676952 613471156 /nfs/dbraw/zinc/47/11/56/613471156.db2.gz BUKVUEJEQORWNM-UTUOFQBUSA-N 0 1 253.346 0.723 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)C(F)F)CC2)C1 ZINC000941270856 613481824 /nfs/dbraw/zinc/48/18/24/613481824.db2.gz UPLHVPRRWGYGNP-UHFFFAOYSA-N 0 1 273.327 0.656 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCC(c2nc[nH]n2)CC1 ZINC000800349954 613482034 /nfs/dbraw/zinc/48/20/34/613482034.db2.gz YYSPKDMMHCTUQC-SNVBAGLBSA-N 0 1 250.302 0.712 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)[C@H]3CCCO3)CC2)C1 ZINC000941298074 613482268 /nfs/dbraw/zinc/48/22/68/613482268.db2.gz RNRSPZIDZYEOJL-OAHLLOKOSA-N 0 1 293.411 0.570 20 30 CCEDMN C=C[C@H](CO)NC(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000925175842 613491622 /nfs/dbraw/zinc/49/16/22/613491622.db2.gz INNOIALYDKRKEU-WOPDTQHZSA-N 0 1 285.413 0.412 20 30 CCEDMN C=C[C@H](O)C(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829236254 613493446 /nfs/dbraw/zinc/49/34/46/613493446.db2.gz SUWHFFCFHYZDCS-LBPRGKRZSA-N 0 1 268.357 0.104 20 30 CCEDMN C=CCCN1CCN(C(=O)COC2CCOCC2)CC1 ZINC000951126500 613503849 /nfs/dbraw/zinc/50/38/49/613503849.db2.gz LNZCYFMSYQVPDK-UHFFFAOYSA-N 0 1 282.384 0.902 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CC(=O)N[C@H](C)C2)CC1 ZINC000949029803 613505008 /nfs/dbraw/zinc/50/50/08/613505008.db2.gz QHTPHIAULSJZIV-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3cc[nH]n3)C2)C1 ZINC000981637198 613542932 /nfs/dbraw/zinc/54/29/32/613542932.db2.gz QCVZCFRTZTWMNO-UHFFFAOYSA-N 0 1 272.352 0.971 20 30 CCEDMN CC#CCN1CCCN(C(=O)Cc2ccnn2C)CC1 ZINC000981059925 613549465 /nfs/dbraw/zinc/54/94/65/613549465.db2.gz MJVBSFZUIXIICA-UHFFFAOYSA-N 0 1 274.368 0.520 20 30 CCEDMN CC#CCN1CCO[C@]2(CCN(C(=O)c3ccn[nH]3)C2)C1 ZINC000972274729 613571204 /nfs/dbraw/zinc/57/12/04/613571204.db2.gz JQQWEMTUGFKTGI-OAHLLOKOSA-N 0 1 288.351 0.350 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2ccnc2)C1 ZINC000968703326 613572596 /nfs/dbraw/zinc/57/25/96/613572596.db2.gz UNBQLWIQOLUBQT-KGLIPLIRSA-N 0 1 274.368 0.733 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC000805846390 613574616 /nfs/dbraw/zinc/57/46/16/613574616.db2.gz JGNADWBNLHDOEB-UHFFFAOYSA-N 0 1 294.355 0.297 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cn2ccnc2)C1 ZINC000968703328 613575257 /nfs/dbraw/zinc/57/52/57/613575257.db2.gz UNBQLWIQOLUBQT-ZIAGYGMSSA-N 0 1 274.368 0.733 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967724756 613575703 /nfs/dbraw/zinc/57/57/03/613575703.db2.gz RHZYKSZVSBTAES-VXGBXAGGSA-N 0 1 290.367 0.589 20 30 CCEDMN C=CCC[C@@H](CO)NCc1nc(Br)nn1C ZINC000894931206 613584242 /nfs/dbraw/zinc/58/42/42/613584242.db2.gz YXFBMSWGMNABBD-QMMMGPOBSA-N 0 1 289.177 0.994 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cnon2)C[C@H]1C ZINC000947837937 613588348 /nfs/dbraw/zinc/58/83/48/613588348.db2.gz UUHKUYQBTQHOKC-GHMZBOCLSA-N 0 1 262.313 0.676 20 30 CCEDMN C=CCC[C@H](O)CN1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879932929 613591042 /nfs/dbraw/zinc/59/10/42/613591042.db2.gz NGGCUBNLDBVGCR-UPJWGTAASA-N 0 1 273.398 0.680 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC000965806847 613593301 /nfs/dbraw/zinc/59/33/01/613593301.db2.gz YGVLALZLQGZAKU-GXTWGEPZSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CN2CCCC2=O)C(C)(C)C1 ZINC000972800153 613608339 /nfs/dbraw/zinc/60/83/39/613608339.db2.gz UZYZLHMABMHFRY-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C(C)(C)C1 ZINC000974957900 613609796 /nfs/dbraw/zinc/60/97/96/613609796.db2.gz JUXGYYRNGRPJPS-RQJABVFESA-N 0 1 276.380 0.729 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2coc(CC)n2)C1 ZINC000957994830 613613503 /nfs/dbraw/zinc/61/35/03/613613503.db2.gz ILSNRLMMHUZZBO-WCQYABFASA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ocnc2C)C1 ZINC000957965668 613613819 /nfs/dbraw/zinc/61/38/19/613613819.db2.gz MHQUWLHBTXAFOV-NWDGAFQWSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccccn2)C1 ZINC000957817246 613618562 /nfs/dbraw/zinc/61/85/62/613618562.db2.gz ZCMKEJOCLJLXIW-JSGCOSHPSA-N 0 1 273.336 0.127 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H]2CCOC2)C(C)(C)C1 ZINC000972852787 613621304 /nfs/dbraw/zinc/62/13/04/613621304.db2.gz DXMJHQNTZMGMFZ-OLZOCXBDSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CCOC2)C(C)(C)C1 ZINC000972852789 613622155 /nfs/dbraw/zinc/62/21/55/613622155.db2.gz DXMJHQNTZMGMFZ-STQMWFEESA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccnc(F)c2)C1 ZINC000957923369 613625987 /nfs/dbraw/zinc/62/59/87/613625987.db2.gz YFJSOBOHESRXOV-OLZOCXBDSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC1(F)F ZINC000958800471 613635051 /nfs/dbraw/zinc/63/50/51/613635051.db2.gz FLVDSPVIRXXDJZ-ZRUFSTJUSA-N 0 1 254.280 0.711 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C2CC2)cnn1C ZINC000960248512 613638597 /nfs/dbraw/zinc/63/85/97/613638597.db2.gz YGHKLIGKYRIACN-FICVDOATSA-N 0 1 298.390 0.981 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2n(n1)CCCC2 ZINC000960469310 613639212 /nfs/dbraw/zinc/63/92/12/613639212.db2.gz PYOSEHABPCVNCL-FOLVSLTJSA-N 0 1 298.390 0.903 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C)[nH]1 ZINC000958402005 613641224 /nfs/dbraw/zinc/64/12/24/613641224.db2.gz SRKDOLGJDXPFRM-PJXYFTJBSA-N 0 1 258.325 0.401 20 30 CCEDMN C=CC[N@@H+](CC(=O)[O-])C[C@H](O)COc1ccccc1 ZINC000846264298 613643798 /nfs/dbraw/zinc/64/37/98/613643798.db2.gz AKLQOGAAZUIQBJ-LBPRGKRZSA-N 0 1 265.309 0.999 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)c1cc(C)[nH]n1 ZINC000152322568 613645202 /nfs/dbraw/zinc/64/52/02/613645202.db2.gz VJXVMCOFAZAITL-UHFFFAOYSA-N 0 1 251.286 0.909 20 30 CCEDMN C=CCN(CC=C)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000896826944 613646473 /nfs/dbraw/zinc/64/64/73/613646473.db2.gz FZNAQKHHNJHOQW-CYBMUJFWSA-N 0 1 250.342 0.908 20 30 CCEDMN CC(=NN[C@@H]1CCS(=O)(=O)C1)c1ccc(N)cc1 ZINC000916540569 613656745 /nfs/dbraw/zinc/65/67/45/613656745.db2.gz ADJIAPBBAVLJBH-GFCCVEGCSA-N 0 1 267.354 0.770 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C2=COCCO2)C1 ZINC000969233058 613661511 /nfs/dbraw/zinc/66/15/11/613661511.db2.gz JKQDPOGSSMVLTE-SNVBAGLBSA-N 0 1 252.314 0.497 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC000969788380 613662581 /nfs/dbraw/zinc/66/25/81/613662581.db2.gz JZLGCAKUQSQZQH-MNOVXSKESA-N 0 1 265.357 0.087 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnn3cccnc23)C1 ZINC000970253945 613667275 /nfs/dbraw/zinc/66/72/75/613667275.db2.gz RFUQBPOCOPRBCQ-LLVKDONJSA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC000970291789 613669040 /nfs/dbraw/zinc/66/90/40/613669040.db2.gz FUCFSHBHDJEMRG-JQWIXIFHSA-N 0 1 265.357 0.087 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC000970216433 613669916 /nfs/dbraw/zinc/66/99/16/613669916.db2.gz IIIYSBPFXQYHHN-NWDGAFQWSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000969247143 613671089 /nfs/dbraw/zinc/67/10/89/613671089.db2.gz UHFBVUOATBDSMX-NSHDSACASA-N 0 1 261.325 0.556 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](OC)[C@H]2CCOC2)CC1 ZINC000981696758 613690547 /nfs/dbraw/zinc/69/05/47/613690547.db2.gz UIYQCOWPDMVJAU-KBPBESRZSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cc[nH]n2)C1 ZINC000965763121 613727972 /nfs/dbraw/zinc/72/79/72/613727972.db2.gz MIIRRNCUYGEBHQ-YPMHNXCESA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H]2CC3(CC3)C(=O)O2)C1=O ZINC000799177655 613738495 /nfs/dbraw/zinc/73/84/95/613738495.db2.gz STKFWXGEUQADHD-VXGBXAGGSA-N 0 1 278.352 0.801 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](C(=O)OCC)C2)C1=O ZINC000932639871 613739904 /nfs/dbraw/zinc/73/99/04/613739904.db2.gz SJXHQWISROSORS-VXGBXAGGSA-N 0 1 266.341 0.658 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cc(=O)n(C)cn2)C1 ZINC000966838396 613768037 /nfs/dbraw/zinc/76/80/37/613768037.db2.gz AZPGFXGZCKGYLV-AAEUAGOBSA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCC(=O)N2CCCC2)C1=O ZINC000838982109 613776695 /nfs/dbraw/zinc/77/66/95/613776695.db2.gz YBKYSIJIBNQIIG-ZDUSSCGKSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H]2C[C@@H]3COC[C@@H]3O2)C1=O ZINC000930310519 613777280 /nfs/dbraw/zinc/77/72/80/613777280.db2.gz HGQALZWRGLXCTG-RFGFWPKPSA-N 0 1 280.368 0.509 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cc2ccn(C)n2)C(C)(C)C1 ZINC000974572484 613807045 /nfs/dbraw/zinc/80/70/45/613807045.db2.gz AWRXNKIJAGAPQP-CYBMUJFWSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C(C)(C)C1 ZINC000974958547 613808393 /nfs/dbraw/zinc/80/83/93/613808393.db2.gz SPPWDHGXACFGAH-WBMJQRKESA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1C[C@@H](CNC(=O)c2cc(OCC)no2)[C@H](O)C1 ZINC000958267076 613817107 /nfs/dbraw/zinc/81/71/07/613817107.db2.gz INIGDSYBEKBOEL-GHMZBOCLSA-N 0 1 295.339 0.282 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@@H+](C)CC(C)(C)CNC(=O)[O-] ZINC000823506228 613852896 /nfs/dbraw/zinc/85/28/96/613852896.db2.gz DSOLCKJLEUYQPS-SNVBAGLBSA-N 0 1 271.361 0.903 20 30 CCEDMN C=CCNC(=O)[C@H](C)n1cc(CNC(C)C)nn1 ZINC000905786230 613855984 /nfs/dbraw/zinc/85/59/84/613855984.db2.gz AWXLVMNTCZLKNT-JTQLQIEISA-N 0 1 251.334 0.639 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CC[C@@H]2CCCC[N@@H+]12)C(=O)[O-] ZINC000909772507 613882703 /nfs/dbraw/zinc/88/27/03/613882703.db2.gz QYOUDLFNUXTMDZ-RWMBFGLXSA-N 0 1 296.367 0.775 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COC[C@@H]1CCCO1 ZINC000113653449 613930348 /nfs/dbraw/zinc/93/03/48/613930348.db2.gz OVBMLIUAWGVRCG-QWRGUYRKSA-N 0 1 268.313 0.415 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COCc1ccccn1 ZINC000805856293 613933690 /nfs/dbraw/zinc/93/36/90/613933690.db2.gz TUJJQBRTQCHCIP-GFCCVEGCSA-N 0 1 275.308 0.832 20 30 CCEDMN CC(C)[C@@H](CO)ONC(=O)c1ccc(C#N)c(O)c1 ZINC000836900119 614105230 /nfs/dbraw/zinc/10/52/30/614105230.db2.gz ROPOHZQMMQGIFJ-GFCCVEGCSA-N 0 1 264.281 0.942 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)OC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840672966 614180961 /nfs/dbraw/zinc/18/09/61/614180961.db2.gz UEUCJVPETNMKRB-VWMGYNLJSA-N 0 1 297.311 0.638 20 30 CCEDMN CC(=O)Nc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)ccc1F ZINC000834499965 614198353 /nfs/dbraw/zinc/19/83/53/614198353.db2.gz PFIHSTBHOLLEFW-GWCFXTLKSA-N 0 1 290.298 0.625 20 30 CCEDMN CC(=O)Nc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)ccc1C ZINC000834521940 614198774 /nfs/dbraw/zinc/19/87/74/614198774.db2.gz ZKEBLDNUHUEWRB-OCCSQVGLSA-N 0 1 286.335 0.795 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N(C)CCC#N)cc1=O ZINC000930592664 614235189 /nfs/dbraw/zinc/23/51/89/614235189.db2.gz BXEHOGMEDULFRJ-UHFFFAOYSA-N 0 1 264.329 0.747 20 30 CCEDMN CC(=O)c1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000848970776 614255933 /nfs/dbraw/zinc/25/59/33/614255933.db2.gz PTGHTXXZXUXVIJ-STQMWFEESA-N 0 1 257.293 0.731 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000837491365 614314368 /nfs/dbraw/zinc/31/43/68/614314368.db2.gz OACNYPWPOZSAKR-SNVBAGLBSA-N 0 1 261.329 0.955 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000846526840 614315044 /nfs/dbraw/zinc/31/50/44/614315044.db2.gz MFDIOGZZFGXIOL-UHFFFAOYSA-N 0 1 275.356 0.498 20 30 CCEDMN CC(C)n1cc(NC(=O)N[C@H]2CNC[C@@H]2C#N)cn1 ZINC000848598724 614357010 /nfs/dbraw/zinc/35/70/10/614357010.db2.gz QEWDRLRYRSOQBD-ONGXEEELSA-N 0 1 262.317 0.697 20 30 CCEDMN CC(C)N1CCO[C@H](COC(=O)CSCC#N)C1 ZINC000802133997 614366140 /nfs/dbraw/zinc/36/61/40/614366140.db2.gz BSVFKMBWERBSBF-NSHDSACASA-N 0 1 272.370 0.896 20 30 CCEDMN CC(C)n1cnnc1SCC(=O)NCC#CCN(C)C ZINC000913516540 614368891 /nfs/dbraw/zinc/36/88/91/614368891.db2.gz URROFWXKIXKRII-UHFFFAOYSA-N 0 1 295.412 0.632 20 30 CCEDMN CCCc1n[nH]c(C(=O)N[C@H]2CNC[C@H]2C#N)c1[N+](=O)[O-] ZINC000834484538 614461368 /nfs/dbraw/zinc/46/13/68/614461368.db2.gz KCDXJTFOYIYFBM-APPZFPTMSA-N 0 1 292.299 0.112 20 30 CCEDMN CCCc1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)s1 ZINC000834489815 614495620 /nfs/dbraw/zinc/49/56/20/614495620.db2.gz PSEUGAZVZHRQEJ-BDAKNGLRSA-N 0 1 264.354 0.937 20 30 CCEDMN CCCn1cc(CN=Nc2nccn(C)c2=O)nn1 ZINC000872354703 614525317 /nfs/dbraw/zinc/52/53/17/614525317.db2.gz HPVZSNHQDXVDLL-UHFFFAOYSA-N 0 1 261.289 0.228 20 30 CCEDMN CCCn1nc(C)c(C(=O)N[C@H]2CNC[C@H]2C#N)c1C ZINC000843460697 614543234 /nfs/dbraw/zinc/54/32/34/614543234.db2.gz QLCIJVCDGPCWHE-NEPJUHHUSA-N 0 1 275.356 0.751 20 30 CCEDMN CC1(C)CC[C@H](CC(=O)N[C@@H]2CNC[C@H]2C#N)OC1 ZINC000884250709 614570033 /nfs/dbraw/zinc/57/00/33/614570033.db2.gz LYXGLMPEVXPNBX-IJLUTSLNSA-N 0 1 265.357 0.809 20 30 CCEDMN CCC(CC)N1C[C@H](C(=O)N[C@@H]2CNC[C@H]2C#N)CC1=O ZINC000834501132 614571168 /nfs/dbraw/zinc/57/11/68/614571168.db2.gz ZMLGNBYVHHMKAW-NQBHXWOUSA-N 0 1 292.383 0.251 20 30 CCEDMN CCN(C(=O)[C@@H]1CCc2[nH]cnc2C1)C1CN(CC#N)C1 ZINC000957108939 614634639 /nfs/dbraw/zinc/63/46/39/614634639.db2.gz QVFXUSDVUCKEFK-LLVKDONJSA-N 0 1 287.367 0.571 20 30 CCEDMN CCC1(C(=O)N[C@@H]2CNC[C@@H]2C#N)CCOCC1 ZINC000834485407 614664185 /nfs/dbraw/zinc/66/41/85/614664185.db2.gz WFDJAZLHFILAIB-WDEREUQCSA-N 0 1 251.330 0.421 20 30 CCEDMN CCCC(=O)N1CSC[C@H]1C(=O)NCC#CCN(C)C ZINC000913518289 614850346 /nfs/dbraw/zinc/85/03/46/614850346.db2.gz LLKVWWGCEHUOKH-LBPRGKRZSA-N 0 1 297.424 0.369 20 30 CCEDMN CC1(N2CCOCC2)CCN(C(=O)NCCC#N)CC1 ZINC000875540508 614913079 /nfs/dbraw/zinc/91/30/79/614913079.db2.gz ZMFKVDBGOFRRKM-UHFFFAOYSA-N 0 1 280.372 0.796 20 30 CCEDMN CCN(CC#N)S(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000913965235 614923883 /nfs/dbraw/zinc/92/38/83/614923883.db2.gz ATXOYCSAZCAYGU-LBPRGKRZSA-N 0 1 271.386 0.648 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H]1CN(C)C(=O)[O-] ZINC000827112139 615021169 /nfs/dbraw/zinc/02/11/69/615021169.db2.gz WXUFKYPKNYAEEF-GFCCVEGCSA-N 0 1 296.371 0.823 20 30 CCEDMN CCN(CCC(=O)NC)Cc1cnc2c(C#N)cnn2c1 ZINC000897709920 615032167 /nfs/dbraw/zinc/03/21/67/615032167.db2.gz LJLIMSADIOQXIB-UHFFFAOYSA-N 0 1 286.339 0.559 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H](CO)[C@H]1CO ZINC000879363164 615061543 /nfs/dbraw/zinc/06/15/43/615061543.db2.gz MVFDCGAEACCCSJ-MELADBBJSA-N 0 1 297.399 0.060 20 30 CCEDMN CCNC(=O)OC[C@H]1CCCCN1C[C@H](O)CC#N ZINC000930445594 615062879 /nfs/dbraw/zinc/06/28/79/615062879.db2.gz IQWAFUZOTCMNCZ-VXGBXAGGSA-N 0 1 269.345 0.862 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC000962764142 615112461 /nfs/dbraw/zinc/11/24/61/615112461.db2.gz OYLNNTZPIFXLPF-RQJABVFESA-N 0 1 276.384 0.431 20 30 CCEDMN CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820348426 615113260 /nfs/dbraw/zinc/11/32/60/615113260.db2.gz VAMXVEASUCYPFA-BXUZGUMPSA-N 0 1 281.356 0.982 20 30 CCEDMN CCOC1CC(C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC000805855720 615212778 /nfs/dbraw/zinc/21/27/78/615212778.db2.gz MKVSSMXIDHXGDI-BOBPJJCASA-N 0 1 250.298 0.789 20 30 CCEDMN CCOC1CC2(C[C@H]2C(=O)NCC#CCN(C)C)C1 ZINC000913525411 615225117 /nfs/dbraw/zinc/22/51/17/615225117.db2.gz WHUBDBUETKCVQI-OWYJLGKBSA-N 0 1 264.369 0.873 20 30 CCEDMN CCOC1CC2(C[C@H]2C(=O)C(C#N)C(=O)NCCOC)C1 ZINC000870139130 615225851 /nfs/dbraw/zinc/22/58/51/615225851.db2.gz RMQMLHODHCXFST-WVIDZAQPSA-N 0 1 294.351 0.663 20 30 CCEDMN CCOC(=O)N1CCC[C@H](C(=O)N[C@@H]2CNC[C@@H]2C#N)C1 ZINC000834517554 615228752 /nfs/dbraw/zinc/22/87/52/615228752.db2.gz WZMPLNDKPJRWAQ-SDDRHHMPSA-N 0 1 294.355 0.083 20 30 CCEDMN CCOCCCCCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000870940391 615239946 /nfs/dbraw/zinc/23/99/46/615239946.db2.gz IQCDFNHGZZDGJU-NEPJUHHUSA-N 0 1 253.346 0.811 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@@H]1CCCCO1 ZINC000121922422 615244422 /nfs/dbraw/zinc/24/44/22/615244422.db2.gz OJVZCIPKBNIFIZ-RYUDHWBXSA-N 0 1 282.340 0.807 20 30 CCEDMN CCOC[C@H]1CCCCN1CC(=O)NCCC#N ZINC000839182769 615319533 /nfs/dbraw/zinc/31/95/33/615319533.db2.gz LXXNIKZDQUFMHR-GFCCVEGCSA-N 0 1 253.346 0.907 20 30 CCEDMN CC[C@@H](CNS(C)(=O)=O)N[C@H](C)c1cc(C#N)ccn1 ZINC000903007357 615485263 /nfs/dbraw/zinc/48/52/63/615485263.db2.gz XXCOJYFMJWZQHB-PWSUYJOCSA-N 0 1 296.396 0.932 20 30 CCEDMN CCc1cc(C(=O)N(C)C2CN(C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC000953769060 615610599 /nfs/dbraw/zinc/61/05/99/615610599.db2.gz DONHCUJHZKLQEU-VIFPVBQESA-N 0 1 289.339 0.415 20 30 CCEDMN CCc1cc(CNC(=O)[C@]2(C#N)CCCOC2)n[nH]1 ZINC000865753078 615650164 /nfs/dbraw/zinc/65/01/64/615650164.db2.gz HPTLBUUDCUSNAF-ZDUSSCGKSA-N 0 1 262.313 0.909 20 30 CCEDMN CCc1ccc(CC(=O)N[C@H]2CNC[C@@H]2C#N)nc1 ZINC000884249401 615672500 /nfs/dbraw/zinc/67/25/00/615672500.db2.gz KWSBBRDBYYHXIM-AAEUAGOBSA-N 0 1 258.325 0.414 20 30 CCEDMN CCc1csc(NC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000841132166 615717841 /nfs/dbraw/zinc/71/78/41/615717841.db2.gz UYXJFSVOPCCHOP-CBAPKCEASA-N 0 1 265.342 0.939 20 30 CCEDMN CCc1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000834495066 615772322 /nfs/dbraw/zinc/77/23/22/615772322.db2.gz ROSGKHDKBWKJMN-YUMQZZPRSA-N 0 1 250.327 0.547 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C/C1CCOCC1 ZINC000913518444 615857240 /nfs/dbraw/zinc/85/72/40/615857240.db2.gz POAFVLIHWGZCQU-AATRIKPKSA-N 0 1 250.342 0.650 20 30 CCEDMN CN(C)CC#CCNC(=O)CCNC(=O)c1cccs1 ZINC000913515117 615857541 /nfs/dbraw/zinc/85/75/41/615857541.db2.gz JQGFQAAAAMTXIO-UHFFFAOYSA-N 0 1 293.392 0.549 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)Cc1ccccc1 ZINC000913514964 615858200 /nfs/dbraw/zinc/85/82/00/615858200.db2.gz CFKBRUDPRSKXDQ-UHFFFAOYSA-N 0 1 287.363 0.027 20 30 CCEDMN CN(C)CC#CCNC(=O)COCc1ccccn1 ZINC000913522223 615858490 /nfs/dbraw/zinc/85/84/90/615858490.db2.gz ADBGUSRSQWDWHC-UHFFFAOYSA-N 0 1 261.325 0.279 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)CC(C)(C)C ZINC000913517975 615858512 /nfs/dbraw/zinc/85/85/12/615858512.db2.gz YFWFKOITULBTPT-UHFFFAOYSA-N 0 1 267.373 0.220 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@](C)(O)c1ccccc1 ZINC000913520093 615858517 /nfs/dbraw/zinc/85/85/17/615858517.db2.gz CBPDZGLJQSVLBZ-INIZCTEOSA-N 0 1 274.364 0.965 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1C[C@H]1C(=O)OC(C)(C)C ZINC000913523653 615859508 /nfs/dbraw/zinc/85/95/08/615859508.db2.gz HNBIPCGTIMUUHI-VXGBXAGGSA-N 0 1 280.368 0.645 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(-c2ccn(C)c2)n[nH]1 ZINC000913522772 615860046 /nfs/dbraw/zinc/86/00/46/615860046.db2.gz XZDOBHUMBWPQAI-UHFFFAOYSA-N 0 1 285.351 0.710 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1C[C@]1(C)Br ZINC000913524516 615860426 /nfs/dbraw/zinc/86/04/26/615860426.db2.gz PNCBRKRSWRJWIW-KOLCDFICSA-N 0 1 273.174 0.841 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc([N+](=O)[O-])s1 ZINC000913514229 615860868 /nfs/dbraw/zinc/86/08/68/615860868.db2.gz JBEQKVIVZFIUEQ-UHFFFAOYSA-N 0 1 267.310 0.951 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(NC=O)cc1 ZINC000913518872 615860979 /nfs/dbraw/zinc/86/09/79/615860979.db2.gz DRKXUSOQWQYTMV-UHFFFAOYSA-N 0 1 259.309 0.550 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)CCN2C ZINC000913521342 615861511 /nfs/dbraw/zinc/86/15/11/615861511.db2.gz UVRBYKXZARFXPB-UHFFFAOYSA-N 0 1 271.364 0.974 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc2cnccc2c1 ZINC000913521729 615861692 /nfs/dbraw/zinc/86/16/92/615861692.db2.gz KQYUBBKJRDCXPX-UHFFFAOYSA-N 0 1 268.320 0.925 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(C)c1Cl ZINC000913523040 615862030 /nfs/dbraw/zinc/86/20/30/615862030.db2.gz KAWXRVILHJXFRW-UHFFFAOYSA-N 0 1 254.721 0.368 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ocnc1C(F)(F)F ZINC000913523579 615862653 /nfs/dbraw/zinc/86/26/53/615862653.db2.gz GBELIXIHZOUPFY-UHFFFAOYSA-N 0 1 275.230 0.988 20 30 CCEDMN CC[C@H](OC1CCCC1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834490236 615863997 /nfs/dbraw/zinc/86/39/97/615863997.db2.gz SPLDIBHHZYBBDD-RTXFEEFZSA-N 0 1 265.357 0.952 20 30 CCEDMN CN(C(=O)c1[nH]nc2c1CNCC2)[C@H]1CCC[C@@H]1C#N ZINC000877197970 615936999 /nfs/dbraw/zinc/93/69/99/615936999.db2.gz ZSMRNMJRVISJLJ-SKDRFNHKSA-N 0 1 273.340 0.820 20 30 CCEDMN CN(C)c1ccc(CCC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834512115 616013902 /nfs/dbraw/zinc/01/39/02/616013902.db2.gz RXGWDQRGUGNRLL-UKRRQHHQSA-N 0 1 286.379 0.913 20 30 CCEDMN CN(CC(=O)NCc1ccc(C(=O)NO)cc1)C1CCC1 ZINC000907811614 616047830 /nfs/dbraw/zinc/04/78/30/616047830.db2.gz AMLQPBAMBSYVAA-UHFFFAOYSA-N 0 1 291.351 0.906 20 30 CCEDMN C[N@@H+](CCCN1C(=O)CNC1=O)Cc1cc(C#N)cs1 ZINC000933779243 616074391 /nfs/dbraw/zinc/07/43/91/616074391.db2.gz ZMFYCOKZPCHBSL-UHFFFAOYSA-N 0 1 292.364 0.993 20 30 CCEDMN CN(CCCN1C(=O)CNC1=O)Cc1cc(C#N)cs1 ZINC000933779243 616074392 /nfs/dbraw/zinc/07/43/92/616074392.db2.gz ZMFYCOKZPCHBSL-UHFFFAOYSA-N 0 1 292.364 0.993 20 30 CCEDMN CN(C[C@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000846332081 616151256 /nfs/dbraw/zinc/15/12/56/616151256.db2.gz WCGRRPZZEBZWPM-UPJWGTAASA-N 0 1 289.291 0.715 20 30 CCEDMN CN1C(=O)[C@H]2CN(Cc3ccc(C#N)o3)C[C@H]2C1=O ZINC000877720070 616158423 /nfs/dbraw/zinc/15/84/23/616158423.db2.gz JNCAIZWHLSHSOZ-PHIMTYICSA-N 0 1 259.265 0.198 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)[C@@H]2CCCCN2C)C1 ZINC000971795896 616183128 /nfs/dbraw/zinc/18/31/28/616183128.db2.gz ZDOPWZZPSHFCRH-OLZOCXBDSA-N 0 1 264.373 0.527 20 30 CCEDMN CN(C[C@@H]1CC[N@@H+](C)C1)C(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820620212 616270046 /nfs/dbraw/zinc/27/00/46/616270046.db2.gz JAKWFGDSCHRAJX-MFKMUULPSA-N 0 1 267.329 0.401 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000908563593 616322162 /nfs/dbraw/zinc/32/21/62/616322162.db2.gz NMRPIKFKNIDNMZ-MNXVOIDGSA-N 0 1 265.357 0.640 20 30 CCEDMN CN1C[C@@H](O)C[C@H]1CNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000924977775 616333347 /nfs/dbraw/zinc/33/33/47/616333347.db2.gz GMYHPLPYEQVGIS-UWVGGRQHSA-N 0 1 295.289 0.631 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)[C@@H]2CCCCN2C)CC1 ZINC000823365823 616345660 /nfs/dbraw/zinc/34/56/60/616345660.db2.gz RPZUJCIGBKGBCD-LBPRGKRZSA-N 0 1 293.367 0.776 20 30 CCEDMN COCCN1CCOC2(CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000949320182 616376527 /nfs/dbraw/zinc/37/65/27/616376527.db2.gz HDWNFIUTXCXNQE-ZDUSSCGKSA-N 0 1 295.383 0.486 20 30 CCEDMN COC/C(C)=C\C(=O)NC1(C#N)CCN(C)CC1 ZINC000844456472 616380881 /nfs/dbraw/zinc/38/08/81/616380881.db2.gz URRALYHFSARRFW-FLIBITNWSA-N 0 1 251.330 0.683 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2cc(C#N)nc(C)n2)C1 ZINC000882452642 616387121 /nfs/dbraw/zinc/38/71/21/616387121.db2.gz PKJNQGQSICNSRC-LLVKDONJSA-N 0 1 289.339 0.198 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N1CCC[C@@](C)(C#N)C1 ZINC000907335814 616494984 /nfs/dbraw/zinc/49/49/84/616494984.db2.gz OHJJNWHQGNKMIM-KWCYVHTRSA-N 0 1 265.357 0.594 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N1CC[C@](C)(C#N)C1 ZINC000912706931 616497008 /nfs/dbraw/zinc/49/70/08/616497008.db2.gz DQUXCTXUBWTJEA-RAIGVLPGSA-N 0 1 251.330 0.204 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)Nc1cc(C#N)ccc1O ZINC000901224864 616502641 /nfs/dbraw/zinc/50/26/41/616502641.db2.gz DZBZKKMGAMSYFF-QMTHXVAHSA-N 0 1 275.308 0.657 20 30 CCEDMN COC(=O)[C@H](C)Oc1ccccc1C=NNC1=NCCN1 ZINC000901253019 616586531 /nfs/dbraw/zinc/58/65/31/616586531.db2.gz VANZNYYGPXBNLA-JTQLQIEISA-N 0 1 290.323 0.510 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000900770908 616587303 /nfs/dbraw/zinc/58/73/03/616587303.db2.gz LVOSCQKOXXIRHJ-GXSJLCMTSA-N 0 1 290.323 0.601 20 30 CCEDMN COC(=O)[C@H](NC(=O)C(C)C#N)C1CCOCC1 ZINC000881764417 616628505 /nfs/dbraw/zinc/62/85/05/616628505.db2.gz JCCQMANHGDLGGB-WCBMZHEXSA-N 0 1 254.286 0.230 20 30 CCEDMN COC(=O)[C@]1(O)CCN(Cc2ccc(C#N)c(C)c2)C1 ZINC000880565041 616785941 /nfs/dbraw/zinc/78/59/41/616785941.db2.gz HRBIXIHZKZHBPF-HNNXBMFYSA-N 0 1 274.320 0.977 20 30 CCEDMN COC(=O)[C@]1(OC)CCN(CCO[C@@H](C)C#N)C1 ZINC000859557614 616792225 /nfs/dbraw/zinc/79/22/25/616792225.db2.gz VBBPMQKMRFICNU-JQWIXIFHSA-N 0 1 256.302 0.179 20 30 CCEDMN COC(=O)[C@]12CCC[C@H]1CN(CC(=O)NCCC#N)C2 ZINC000880325020 616796493 /nfs/dbraw/zinc/79/64/93/616796493.db2.gz FIUZDDFCPVZDKK-FZMZJTMJSA-N 0 1 279.340 0.291 20 30 CCEDMN COCCC1(C(=O)N[C@@H]2CNC[C@@H]2C#N)CCCC1 ZINC000834479473 616839744 /nfs/dbraw/zinc/83/97/44/616839744.db2.gz DSVMSZNGWQTNCB-NWDGAFQWSA-N 0 1 265.357 0.811 20 30 CCEDMN COC(=O)c1cc(CN=Nc2ccnc(N(C)C)n2)on1 ZINC000814853427 616843404 /nfs/dbraw/zinc/84/34/04/616843404.db2.gz NPDQFSFKJOWORQ-UHFFFAOYSA-N 0 1 290.283 0.763 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850753058 616901100 /nfs/dbraw/zinc/90/11/00/616901100.db2.gz VYGCCPKLUVMYTG-NOOOWODRSA-N 0 1 264.325 0.894 20 30 CCEDMN COC[C@@H](O)CN1CCN(c2ccc(C#N)cc2)CC1 ZINC000879585488 616908987 /nfs/dbraw/zinc/90/89/87/616908987.db2.gz GNPPKRWXKRHQAU-HNNXBMFYSA-N 0 1 275.352 0.688 20 30 CCEDMN COCc1cncc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000852828380 616914738 /nfs/dbraw/zinc/91/47/38/616914738.db2.gz WXDDLEULGDRQHV-RYUDHWBXSA-N 0 1 260.297 0.069 20 30 CCEDMN CNC(=S)N(C)N=C1CCN(CCC(=O)OC)CC1 ZINC000841645855 616927823 /nfs/dbraw/zinc/92/78/23/616927823.db2.gz IWRPJXVZOUXGPU-UHFFFAOYSA-N 0 1 286.401 0.437 20 30 CCEDMN COCc1ncsc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834500136 616964976 /nfs/dbraw/zinc/96/49/76/616964976.db2.gz QLYKCZIYIFRYGV-HTQZYQBOSA-N 0 1 266.326 0.131 20 30 CCEDMN CNc1nc(C(=O)N[C@@H]2CNC[C@H]2C#N)cs1 ZINC000884249409 617071267 /nfs/dbraw/zinc/07/12/67/617071267.db2.gz LAOQZSPHQZJPDF-RNFRBKRXSA-N 0 1 251.315 0.026 20 30 CCEDMN COc1cc(C#N)ccc1CN1C[C@H](CO)[C@H](CO)C1 ZINC000879695047 617152733 /nfs/dbraw/zinc/15/27/33/617152733.db2.gz BRSDJSRSWRIVGB-OKILXGFUSA-N 0 1 276.336 0.599 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)NC[C@H]1CCN1C ZINC000928568210 617153041 /nfs/dbraw/zinc/15/30/41/617153041.db2.gz NRRIKEXCMNKBQC-GFCCVEGCSA-N 0 1 289.335 0.766 20 30 CCEDMN CCc1cc(C(=O)N2C[C@@H]3CC[C@@H](NCC#N)[C@@H]3C2)n[nH]1 ZINC001026207489 625357385 /nfs/dbraw/zinc/35/73/85/625357385.db2.gz VJCRYCMTILMURI-CYZMBNFOSA-N 0 1 287.367 0.936 20 30 CCEDMN Cc1cnc(CNC[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)nc1 ZINC001026732015 625576572 /nfs/dbraw/zinc/57/65/72/625576572.db2.gz FKFXCCIMENWDAJ-STQMWFEESA-N 0 1 287.367 0.883 20 30 CCEDMN Cc1nonc1CNC[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001026733571 625581427 /nfs/dbraw/zinc/58/14/27/625581427.db2.gz WPKRUYJAFKSFOZ-GXSJLCMTSA-N 0 1 277.328 0.476 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001008550384 625589229 /nfs/dbraw/zinc/58/92/29/625589229.db2.gz JUXZHDZTYJVRCR-UTUOFQBUSA-N 0 1 265.357 0.265 20 30 CCEDMN C[C@@H]1CCCCN1CC(N)=NOCC1OCCO1 ZINC000194644293 625596272 /nfs/dbraw/zinc/59/62/72/625596272.db2.gz YPFIQTGRHJYPPS-SNVBAGLBSA-N 0 1 257.334 0.523 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2cc(OC)no2)C1 ZINC001023317065 622995665 /nfs/dbraw/zinc/99/56/65/622995665.db2.gz WRDMHDYKMQTEBP-LLVKDONJSA-N 0 1 277.324 0.758 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2nonc2C)C1 ZINC001023773564 623138947 /nfs/dbraw/zinc/13/89/47/623138947.db2.gz UMRRSAQQIUWTAY-LLVKDONJSA-N 0 1 262.313 0.453 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)c2ccnn2C)C1 ZINC001006900865 623344284 /nfs/dbraw/zinc/34/42/84/623344284.db2.gz VUDXRMAWLYWAJA-GFCCVEGCSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccnn2C)C1 ZINC001006900865 623344287 /nfs/dbraw/zinc/34/42/87/623344287.db2.gz VUDXRMAWLYWAJA-GFCCVEGCSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)c1ncn[n-]1 ZINC001024716465 623421893 /nfs/dbraw/zinc/42/18/93/623421893.db2.gz WAPMZWLYWGMMGM-LLVKDONJSA-N 0 1 261.329 0.412 20 30 CCEDMN CC#CCN1CCC[C@H]1[C@@H]1CCCCN1C(=O)C(N)=O ZINC001025268030 623681953 /nfs/dbraw/zinc/68/19/53/623681953.db2.gz UVFDAWIRAIOJBO-STQMWFEESA-N 0 1 277.368 0.341 20 30 CCEDMN C[C@H](NC(=O)C1=NC(=O)N(C)C1)[C@H]1CCCN(CC#N)C1 ZINC001025525482 623822330 /nfs/dbraw/zinc/82/23/30/623822330.db2.gz OPMATTDWUPFINR-QWRGUYRKSA-N 0 1 291.355 0.480 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@@H]1CCCC[C@@H]1C(=O)[O-] ZINC000328374623 623878835 /nfs/dbraw/zinc/87/88/35/623878835.db2.gz FOEVXLGLZXQNDT-OLZOCXBDSA-N 0 1 280.368 0.949 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NC(=O)[C@H]2CCCN2C)[C@@H]1C ZINC001020536893 624503659 /nfs/dbraw/zinc/50/36/59/624503659.db2.gz GHQYJHKBZVBQJW-ZDEQEGDKSA-N 0 1 292.383 0.346 20 30 CCEDMN Cc1nc(CC(=O)NC2C[C@H]3CC[C@@H](C2)N3CC#N)n[nH]1 ZINC001021250595 624686895 /nfs/dbraw/zinc/68/68/95/624686895.db2.gz GPIXUAFNTDANQV-YOGCLGLASA-N 0 1 288.355 0.291 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H](CCCN2C(=O)c2cc[nH]n2)C1 ZINC001021529212 624760421 /nfs/dbraw/zinc/76/04/21/624760421.db2.gz LDHVUSWXPXYJLA-TZMCWYRMSA-N 0 1 272.352 0.969 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2[C@H](CCCN2C(=O)c2cc[nH]n2)C1 ZINC001021529212 624760429 /nfs/dbraw/zinc/76/04/29/624760429.db2.gz LDHVUSWXPXYJLA-TZMCWYRMSA-N 0 1 272.352 0.969 20 30 CCEDMN N#CCN1CC[C@H]2[C@@H](CCCN2C(=O)c2ccn[nH]2)C1 ZINC001021530333 624761066 /nfs/dbraw/zinc/76/10/66/624761066.db2.gz UZINZEHREAKOOI-AAEUAGOBSA-N 0 1 273.340 0.860 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@H]1CC[N@H+]2CCCC[C@H]12 ZINC000092467626 624851352 /nfs/dbraw/zinc/85/13/52/624851352.db2.gz CMRZTHBFFYQKEG-NWDGAFQWSA-N 0 1 281.356 0.895 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CC(=O)OC)c1cccs1 ZINC000092492491 624851572 /nfs/dbraw/zinc/85/15/72/624851572.db2.gz UTJJMKWZYORQHK-JTQLQIEISA-N 0 1 280.349 0.691 20 30 CCEDMN Cc1c(C(=O)[O-])oc2c1C(=NNC1=[NH+]CCN1)CCC2 ZINC000841658713 630840772 /nfs/dbraw/zinc/84/07/72/630840772.db2.gz IFKZOANZYWZOTG-UHFFFAOYSA-N 0 1 276.296 0.875 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1nnn(C)c1C ZINC001027804000 630932089 /nfs/dbraw/zinc/93/20/89/630932089.db2.gz SWLJMZMFYSLFFT-GFCCVEGCSA-N 0 1 275.356 0.341 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027832428 630958357 /nfs/dbraw/zinc/95/83/57/630958357.db2.gz DPKFUOXUKXTQQQ-ZDUSSCGKSA-N 0 1 256.309 0.714 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnn(C)c1N ZINC001027837127 630962987 /nfs/dbraw/zinc/96/29/87/630962987.db2.gz QRHPVLRJMKJNLR-LLVKDONJSA-N 0 1 275.356 0.220 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1ncccc1[O-] ZINC001027844596 630973694 /nfs/dbraw/zinc/97/36/94/630973694.db2.gz VUTRBLOFSBGZKD-NSHDSACASA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ncccc1O ZINC001027844596 630973698 /nfs/dbraw/zinc/97/36/98/630973698.db2.gz VUTRBLOFSBGZKD-NSHDSACASA-N 0 1 259.309 0.615 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@@H](NC(=O)Cc3cnc[nH]3)C[C@H]2C1 ZINC000980191329 630999716 /nfs/dbraw/zinc/99/97/16/630999716.db2.gz GQCYVGLEDRNHLN-RWMBFGLXSA-N 0 1 287.367 0.692 20 30 CCEDMN N#Cc1ccccc1CN[C@@H]1CCN(C(=O)CC(N)=O)C1 ZINC000968123853 650007450 /nfs/dbraw/zinc/00/74/50/650007450.db2.gz LTUNEWRLTAZUFU-CYBMUJFWSA-N 0 1 286.335 0.124 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@H]1NC(=O)Cc1cnc[nH]1 ZINC000968359920 650012299 /nfs/dbraw/zinc/01/22/99/650012299.db2.gz DNTLSLQZKHHTGB-ZYHUDNBSSA-N 0 1 261.329 0.302 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)NCCN2CCCOCC2)CCO1 ZINC000895046475 631898428 /nfs/dbraw/zinc/89/84/28/631898428.db2.gz JQOAVHYUVJIJSW-AWEZNQCLSA-N 0 1 296.371 0.033 20 30 CCEDMN CO[C@](C)(CN1CCN(C(=O)CC#N)CC1)C1CC1 ZINC000895065284 631915067 /nfs/dbraw/zinc/91/50/67/631915067.db2.gz AIZWPKARJIGNJM-CQSZACIVSA-N 0 1 265.357 0.859 20 30 CCEDMN C[C@H](Nc1cnc(C#N)cn1)[C@@H]1CN(C)CCN1C ZINC000895244976 632031661 /nfs/dbraw/zinc/03/16/61/632031661.db2.gz BNXHZNSKUKKZCS-JQWIXIFHSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@H](Nc1ccc(C#N)nn1)[C@@H]1CN(C)CCN1C ZINC000895246353 632035463 /nfs/dbraw/zinc/03/54/63/632035463.db2.gz NGDGHENRWIQCAG-JQWIXIFHSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000895722917 632138057 /nfs/dbraw/zinc/13/80/57/632138057.db2.gz HAXZNTORJSZRNW-JQWIXIFHSA-N 0 1 251.330 0.325 20 30 CCEDMN N#CCCCCNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000896166030 632207725 /nfs/dbraw/zinc/20/77/25/632207725.db2.gz QHCGEFYLJQDZLL-GFCCVEGCSA-N 0 1 251.330 0.517 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)CCO1 ZINC000896208271 632214441 /nfs/dbraw/zinc/21/44/41/632214441.db2.gz AXBJJRSHZPBSPL-MRVPVSSYSA-N 0 1 257.253 0.322 20 30 CCEDMN CN1CC[C@H]1CNC(=O)NCc1nc(C#N)cs1 ZINC000896618281 632300122 /nfs/dbraw/zinc/30/01/22/632300122.db2.gz ALBDZHXUSBTQMP-VIFPVBQESA-N 0 1 265.342 0.518 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CCN1C(=O)[C@H](C)C#N ZINC000985101413 632355769 /nfs/dbraw/zinc/35/57/69/632355769.db2.gz ZBXSXOFCNSOZKV-WCABBAIRSA-N 0 1 290.327 0.074 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001007099957 650066631 /nfs/dbraw/zinc/06/66/31/650066631.db2.gz MFCNZFOXZINMND-VXGBXAGGSA-N 0 1 266.341 0.706 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccnc3ccnn32)C1 ZINC001007496054 650080570 /nfs/dbraw/zinc/08/05/70/650080570.db2.gz NLIBJJOLWFJNGE-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001007735675 650089469 /nfs/dbraw/zinc/08/94/69/650089469.db2.gz CHWMZMDLKFYBAB-VXGBXAGGSA-N 0 1 265.357 0.279 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn(C)nn2)[C@H]1C ZINC000986235467 632733504 /nfs/dbraw/zinc/73/35/04/632733504.db2.gz STCRCDUTNXFHDY-VHSXEESVSA-N 0 1 283.763 0.760 20 30 CCEDMN C[C@@H]1[C@@H](NCc2ccn(C)n2)CCN1C(=O)C#CC1CC1 ZINC000986275629 632737827 /nfs/dbraw/zinc/73/78/27/632737827.db2.gz GWYJLOKLDKGOOX-DOMZBBRYSA-N 0 1 286.379 0.913 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001007879224 650096094 /nfs/dbraw/zinc/09/60/94/650096094.db2.gz YKSGMOJKGHYDSZ-ZIAGYGMSSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cncn2C)C1 ZINC001007814281 650094908 /nfs/dbraw/zinc/09/49/08/650094908.db2.gz WKAMIDPAUOYFRF-LBPRGKRZSA-N 0 1 260.341 0.638 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCn2ccnn2)C1 ZINC000988714054 633088965 /nfs/dbraw/zinc/08/89/65/633088965.db2.gz SLTFWURMQVVCEJ-NWDGAFQWSA-N 0 1 297.790 1.000 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)c2cn(C)nn2)C1 ZINC000988777887 633110399 /nfs/dbraw/zinc/11/03/99/633110399.db2.gz OLEZPDFSLVGNNC-ZJUUUORDSA-N 0 1 283.763 0.760 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001008335694 650110540 /nfs/dbraw/zinc/11/05/40/650110540.db2.gz AOJXTWZGQPQMOM-WDEREUQCSA-N 0 1 288.355 0.329 20 30 CCEDMN C[C@@H]1CN(Cc2cc(C#N)cs2)C[C@H]1CS(N)(=O)=O ZINC000897609790 633212874 /nfs/dbraw/zinc/21/28/74/633212874.db2.gz MFWFBMPLZLKWBO-KOLCDFICSA-N 0 1 299.421 0.976 20 30 CCEDMN C#CC[N@H+]1CC[C@]2(NC(=O)[C@H]3COCCO3)CCC[C@H]12 ZINC000989964289 633392822 /nfs/dbraw/zinc/39/28/22/633392822.db2.gz YIKXWSHYTXWPSQ-VNHYZAJKSA-N 0 1 278.352 0.148 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3COCCO3)CCC[C@H]12 ZINC000989964289 633392829 /nfs/dbraw/zinc/39/28/29/633392829.db2.gz YIKXWSHYTXWPSQ-VNHYZAJKSA-N 0 1 278.352 0.148 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnsn3)CCC[C@H]12 ZINC000989969235 633395714 /nfs/dbraw/zinc/39/57/14/633395714.db2.gz JEVZNZLHTPRDHF-AAEUAGOBSA-N 0 1 276.365 0.898 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ccnn3C)CCC[C@@H]12 ZINC000990014926 633410721 /nfs/dbraw/zinc/41/07/21/633410721.db2.gz KTCLPUVIJOVQRO-HIFRSBDPSA-N 0 1 272.352 0.780 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H]3COCCN3C)CCC[C@H]12 ZINC000990304920 633504499 /nfs/dbraw/zinc/50/44/99/633504499.db2.gz BTTZBBQIRKBDBW-OFQRWUPVSA-N 0 1 291.395 0.063 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H](C)n3cncn3)CCC[C@@H]12 ZINC000990306372 633504998 /nfs/dbraw/zinc/50/49/98/633504998.db2.gz JSUIRLXDINZWCM-UMVBOHGHSA-N 0 1 287.367 0.586 20 30 CCEDMN C[C@@H](NC1CCN(C(=O)[C@@H](C)C#N)CC1)c1nncn1C ZINC000990313504 633509183 /nfs/dbraw/zinc/50/91/83/633509183.db2.gz FIBZVPOGGXEVOQ-WDEREUQCSA-N 0 1 290.371 0.616 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)Cc3nnc(C)o3)CCC[C@@H]12 ZINC000990540095 633552435 /nfs/dbraw/zinc/55/24/35/633552435.db2.gz CVWPXALCBMGLMK-DOMZBBRYSA-N 0 1 288.351 0.667 20 30 CCEDMN CS(=O)(=O)C[C@H](O)CN[C@H]1CCc2ccc(C#N)cc21 ZINC000927028114 633578116 /nfs/dbraw/zinc/57/81/16/633578116.db2.gz VZHNFKWGHXIRQA-OCCSQVGLSA-N 0 1 294.376 0.541 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001008516325 650117794 /nfs/dbraw/zinc/11/77/94/650117794.db2.gz HRABEMZAAAUSSJ-FZMZJTMJSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)Cn2nnnc2C(C)C)C1 ZINC001008798086 650128061 /nfs/dbraw/zinc/12/80/61/650128061.db2.gz GFFJQWVWSVIWLK-GFCCVEGCSA-N 0 1 292.387 0.563 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cn2nnnc2C(C)C)C1 ZINC001008798086 650128063 /nfs/dbraw/zinc/12/80/63/650128063.db2.gz GFFJQWVWSVIWLK-GFCCVEGCSA-N 0 1 292.387 0.563 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)C2CC(=O)NC(=O)C2)C1 ZINC001008863922 650130144 /nfs/dbraw/zinc/13/01/44/650130144.db2.gz UDUDVVJZCZPUQJ-GFCCVEGCSA-N 0 1 293.367 0.196 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc3n(n2)CCC3)C1 ZINC001014645894 633988913 /nfs/dbraw/zinc/98/89/13/633988913.db2.gz RNONCFSCABPUSC-GFCCVEGCSA-N 0 1 272.352 0.657 20 30 CCEDMN N#C[C@@H]1CN(C(=O)Cc2c[nH]cn2)CCN1C1CC1 ZINC000900671608 634067975 /nfs/dbraw/zinc/06/79/75/634067975.db2.gz JLXCBUOLWKJGMF-GFCCVEGCSA-N 0 1 259.313 0.151 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCN(CC#N)CC1 ZINC000900777661 634085621 /nfs/dbraw/zinc/08/56/21/634085621.db2.gz UCGVEDFZNIHISC-BXUZGUMPSA-N 0 1 287.367 0.743 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001009068443 650136755 /nfs/dbraw/zinc/13/67/55/650136755.db2.gz SWFVIHLZAVZVLT-ZDUSSCGKSA-N 0 1 288.351 0.703 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001009200785 650139716 /nfs/dbraw/zinc/13/97/16/650139716.db2.gz FSUPSRUALCCKRX-QWRGUYRKSA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001009200785 650139717 /nfs/dbraw/zinc/13/97/17/650139717.db2.gz FSUPSRUALCCKRX-QWRGUYRKSA-N 0 1 288.355 0.014 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001009358161 650142973 /nfs/dbraw/zinc/14/29/73/650142973.db2.gz CVEPRDIJGPZVNO-KGLIPLIRSA-N 0 1 279.384 0.621 20 30 CCEDMN Cn1ccc(S(=O)(=O)N[C@H](CC#N)C(F)(F)F)n1 ZINC000901771347 634276079 /nfs/dbraw/zinc/27/60/79/634276079.db2.gz DLKLHMDOBAVCPM-ZCFIWIBFSA-N 0 1 282.247 0.543 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001009650464 650153394 /nfs/dbraw/zinc/15/33/94/650153394.db2.gz NRUGPAWHNJLJNH-UONOGXRCSA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@@H](NC(=O)c1ccsc1C#N)[C@H]1CN(C)CCN1C ZINC000906780879 635035373 /nfs/dbraw/zinc/03/53/73/635035373.db2.gz SFLAOKZJMRLVFF-ZYHUDNBSSA-N 0 1 292.408 0.984 20 30 CCEDMN N#Cc1sccc1C(=O)NN1CCCNC1=O ZINC000907240125 635095386 /nfs/dbraw/zinc/09/53/86/635095386.db2.gz ANMYEEAISHTMOS-UHFFFAOYSA-N 0 1 250.283 0.680 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@H](C)n2cncn2)C1 ZINC001010688172 650252812 /nfs/dbraw/zinc/25/28/12/650252812.db2.gz HUJBCUCYKMYLOX-VXGBXAGGSA-N 0 1 263.345 0.606 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010729899 650259279 /nfs/dbraw/zinc/25/92/79/650259279.db2.gz CURHMWZCJVOGFT-NSHDSACASA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001010729899 650259284 /nfs/dbraw/zinc/25/92/84/650259284.db2.gz CURHMWZCJVOGFT-NSHDSACASA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCCCC(=O)N[C@@H](CCSC)c1nn[nH]n1 ZINC000912862211 636690783 /nfs/dbraw/zinc/69/07/83/636690783.db2.gz LJWNFZGJYZCJDQ-VIFPVBQESA-N 0 1 267.358 0.914 20 30 CCEDMN O=C(c1cccc(C#CCO)c1)N1CC[C@H](N2CCC2)C1 ZINC000912898819 636693877 /nfs/dbraw/zinc/69/38/77/636693877.db2.gz RJYMUJNKWMPULV-INIZCTEOSA-N 0 1 284.359 0.951 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@@H](N3CCC3)C2)ccn1 ZINC000912910034 636697155 /nfs/dbraw/zinc/69/71/55/636697155.db2.gz WTQLFBCCWVMGJZ-CYBMUJFWSA-N 0 1 256.309 0.873 20 30 CCEDMN C[C@H](C(=O)NCC#CCN(C)C)N(C)C(=O)OC(C)(C)C ZINC000913524100 636833986 /nfs/dbraw/zinc/83/39/86/636833986.db2.gz ZUXHLYFPMFBTKA-GFCCVEGCSA-N 0 1 297.399 0.923 20 30 CCEDMN C[C@H]1CCc2n[nH]cc2[C@@H]1C(=O)NCC#CCN(C)C ZINC000913525209 636833997 /nfs/dbraw/zinc/83/39/97/636833997.db2.gz NRLVGHIEVBABHN-SMDDNHRTSA-N 0 1 274.368 0.757 20 30 CCEDMN C[C@@H](NC(=O)C(C)(C)C)C(=O)NCC#CCN(C)C ZINC000913516463 636834561 /nfs/dbraw/zinc/83/45/61/636834561.db2.gz RABQXPSVXRVZJY-LLVKDONJSA-N 0 1 267.373 0.218 20 30 CCEDMN C[C@@H](O)c1ncc(C(=O)NCC#CCN(C)C)s1 ZINC000913522015 636836014 /nfs/dbraw/zinc/83/60/14/636836014.db2.gz WQOZQWKGPUOWJS-SECBINFHSA-N 0 1 267.354 0.491 20 30 CCEDMN N#Cc1cccc(OCC(=O)NC23CCN(CC2)C3)c1 ZINC000913628679 637390072 /nfs/dbraw/zinc/39/00/72/637390072.db2.gz IXZDZIJZUZOVRV-UHFFFAOYSA-N 0 1 271.320 0.901 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C2=COCCO2)[C@@H]1C ZINC000993027144 637668759 /nfs/dbraw/zinc/66/87/59/637668759.db2.gz ZROWXQCYGYFKDN-QWHCGFSZSA-N 0 1 278.352 0.867 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2cc[nH]n2)[C@@H]1C ZINC000993160926 637722108 /nfs/dbraw/zinc/72/21/08/637722108.db2.gz IZRSYTIUBJQRHQ-JSGCOSHPSA-N 0 1 274.368 0.945 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2nccnc2N)[C@@H]1C ZINC000993181151 637729185 /nfs/dbraw/zinc/72/91/85/637729185.db2.gz ZXUWUNDRESWETB-QWRGUYRKSA-N 0 1 275.356 0.828 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cc(C)[nH]n2)[C@@H]1C ZINC000993194242 637737234 /nfs/dbraw/zinc/73/72/34/637737234.db2.gz GFBCRWRHEHYPOX-GXTWGEPZSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cc(C)n[nH]2)[C@@H]1C ZINC000993194242 637737237 /nfs/dbraw/zinc/73/72/37/637737237.db2.gz GFBCRWRHEHYPOX-GXTWGEPZSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cncnc2)[C@H]1C ZINC000993293847 637766894 /nfs/dbraw/zinc/76/68/94/637766894.db2.gz YHVWNFRNYQOICX-OCCSQVGLSA-N 0 1 272.352 0.621 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)n2cncn2)C1 ZINC001007153699 637812379 /nfs/dbraw/zinc/81/23/79/637812379.db2.gz BVLVFJOFOHDLDY-RYUDHWBXSA-N 0 1 263.345 0.606 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(=O)n(C)n2)[C@H]1C ZINC000994354755 637920248 /nfs/dbraw/zinc/92/02/48/637920248.db2.gz VLFGSSZKYBUJDE-VXGBXAGGSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cn2ccccc2=O)[C@H]1C ZINC000994370620 637920304 /nfs/dbraw/zinc/92/03/04/637920304.db2.gz FQKWSUBVIMUXJL-KGLIPLIRSA-N 0 1 287.363 0.451 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cn2ccnc2)[C@H]1C ZINC000994510090 637957479 /nfs/dbraw/zinc/95/74/79/637957479.db2.gz RVIFVFPBFRSPER-ZIAGYGMSSA-N 0 1 274.368 0.876 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)N1CCC[C@@H](C#N)C1 ZINC000917223165 637960092 /nfs/dbraw/zinc/96/00/92/637960092.db2.gz BKEKATUQKFAIHH-KBPBESRZSA-N 0 1 293.415 0.567 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@@H]1NC(=O)c1cncc2nc[nH]c21 ZINC000942063497 638610263 /nfs/dbraw/zinc/61/02/63/638610263.db2.gz JMWRUPSPDRAHIE-JQWIXIFHSA-N 0 1 298.350 0.922 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCC(=O)N2)CC1(C)C ZINC000995550856 638776108 /nfs/dbraw/zinc/77/61/08/638776108.db2.gz KIVQUWGDNBJECZ-GHMZBOCLSA-N 0 1 299.802 0.844 20 30 CCEDMN Cc1nnc(CNCC2CCN(C(=O)[C@H](C)C#N)CC2)o1 ZINC000997617307 638878340 /nfs/dbraw/zinc/87/83/40/638878340.db2.gz HYZWCFVAUBSGIJ-SNVBAGLBSA-N 0 1 291.355 0.866 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2cncc3nc[nH]c32)C1 ZINC001014503827 650456788 /nfs/dbraw/zinc/45/67/88/650456788.db2.gz LBRHVFNRBHVKEU-SECBINFHSA-N 0 1 270.296 0.286 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C(C)(C)C(N)=O)C1 ZINC000999416669 638979972 /nfs/dbraw/zinc/97/99/72/638979972.db2.gz SYBWECMLNBABEB-SNVBAGLBSA-N 0 1 287.791 0.831 20 30 CCEDMN C#CCN1CC[C@@H](n2cc(CNC(=O)[C@H]3C[C@@H]3C)nn2)C1 ZINC001000010868 639028128 /nfs/dbraw/zinc/02/81/28/639028128.db2.gz SCGNZSBNKHWCIC-YUTCNCBUSA-N 0 1 287.367 0.430 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CCC[C@H](NCC#N)C1 ZINC001000431546 639086388 /nfs/dbraw/zinc/08/63/88/639086388.db2.gz ZPFFINKGRKQTRB-NEPJUHHUSA-N 0 1 275.356 0.692 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccnnc2C)CC1 ZINC001000910146 639190375 /nfs/dbraw/zinc/19/03/75/639190375.db2.gz WNUOJRGMMCKJIS-UHFFFAOYSA-N 0 1 270.336 0.780 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001014677789 650477302 /nfs/dbraw/zinc/47/73/02/650477302.db2.gz JOKPKRQZDCRUDR-AWEZNQCLSA-N 0 1 274.368 0.485 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC001001045183 639215594 /nfs/dbraw/zinc/21/55/94/639215594.db2.gz OQMHHZINNUDVSE-ZIAGYGMSSA-N 0 1 289.379 0.270 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC001001045177 639215794 /nfs/dbraw/zinc/21/57/94/639215794.db2.gz OQMHHZINNUDVSE-KBPBESRZSA-N 0 1 289.379 0.270 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001014681073 650478161 /nfs/dbraw/zinc/47/81/61/650478161.db2.gz ZWUYZJDBGOMXPL-CYBMUJFWSA-N 0 1 262.357 0.648 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001014796671 650489786 /nfs/dbraw/zinc/48/97/86/650489786.db2.gz PGOVFIXVTVHDAD-TUAOUCFPSA-N 0 1 254.330 0.167 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnc(C)[nH]2)CC1 ZINC001002459161 639415617 /nfs/dbraw/zinc/41/56/17/639415617.db2.gz VYYOQIVDELXXMO-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001014872297 650497813 /nfs/dbraw/zinc/49/78/13/650497813.db2.gz LFECBFTYQIRXSW-SNVBAGLBSA-N 0 1 260.297 0.010 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC001003180113 639515266 /nfs/dbraw/zinc/51/52/66/639515266.db2.gz AGUFDNNRULHRRW-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccc3nnnn3c2)CC1 ZINC001003304615 639527051 /nfs/dbraw/zinc/52/70/51/639527051.db2.gz HUICAUCYQASSPB-UHFFFAOYSA-N 0 1 298.350 0.342 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2c[nH]nc2C)CC1 ZINC001003408599 639540897 /nfs/dbraw/zinc/54/08/97/639540897.db2.gz AQXPTAPEMRHMNA-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN N#Cc1ccc(CN2CCC(NC(=O)C(N)=O)CC2)cc1 ZINC001003535134 639560544 /nfs/dbraw/zinc/56/05/44/639560544.db2.gz PAJCSFHQMRCSHP-UHFFFAOYSA-N 0 1 286.335 0.124 20 30 CCEDMN CS(=O)(=O)N1CC[C@H](NCc2csc(C#N)c2)C1 ZINC000922216556 639579851 /nfs/dbraw/zinc/57/98/51/639579851.db2.gz SXWPYYGWUNVYJI-JTQLQIEISA-N 0 1 285.394 0.743 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC001003639982 639582171 /nfs/dbraw/zinc/58/21/71/639582171.db2.gz IGXUNJGNJBFOEZ-OLZOCXBDSA-N 0 1 291.395 0.492 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cc2c[nH]cn2)CC1 ZINC001003702092 639597163 /nfs/dbraw/zinc/59/71/63/639597163.db2.gz CFWVZGMDNSOUIQ-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnn(C)n2)CC1 ZINC001003793498 639618130 /nfs/dbraw/zinc/61/81/30/639618130.db2.gz ACOXJXOENYJWPF-UHFFFAOYSA-N 0 1 261.329 0.033 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)N[C@@H]1CCN(CC#N)C1)C2 ZINC001015012705 650514932 /nfs/dbraw/zinc/51/49/32/650514932.db2.gz FHWMDOYEMGRUHW-VXGBXAGGSA-N 0 1 287.367 0.537 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cn(C)cn2)CC1 ZINC001005249184 639893619 /nfs/dbraw/zinc/89/36/19/639893619.db2.gz YQQSRMQGMDDOPT-UHFFFAOYSA-N 0 1 260.341 0.590 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)Cc2nnc(C)o2)CC1 ZINC001005353657 639904580 /nfs/dbraw/zinc/90/45/80/639904580.db2.gz UTSLISSKIYQGEU-UHFFFAOYSA-N 0 1 290.367 0.867 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CCCNC2=O)CC1 ZINC001005536890 639917029 /nfs/dbraw/zinc/91/70/29/639917029.db2.gz GGYUBJCHZRTFNG-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CO[C@H](C)C2)CC1 ZINC001005610127 639944330 /nfs/dbraw/zinc/94/43/30/639944330.db2.gz FUXNGEYBFCDRRJ-CHWSQXEVSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2C[C@@H](C)CO2)CC1 ZINC001005727248 639955867 /nfs/dbraw/zinc/95/58/67/639955867.db2.gz BJJKYQLCAOCZFI-TZMCWYRMSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccn3nnnc3c2)CC1 ZINC001005817623 639973433 /nfs/dbraw/zinc/97/34/33/639973433.db2.gz MFFAVDMSYVLSRL-UHFFFAOYSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccn(CCOC)n2)C1 ZINC001015223415 650541012 /nfs/dbraw/zinc/54/10/12/650541012.db2.gz MVHZOIAOBUWWRR-ZDUSSCGKSA-N 0 1 290.367 0.357 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001005846745 639989516 /nfs/dbraw/zinc/98/95/16/639989516.db2.gz PWEBAPHFSDAOSX-WDNDVIMCSA-N 0 1 262.353 0.435 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2csnn2)C1 ZINC001015425374 650565680 /nfs/dbraw/zinc/56/56/80/650565680.db2.gz VAPLCRJOJFKBLZ-SECBINFHSA-N 0 1 252.343 0.918 20 30 CCEDMN N#CCCNC(=O)CN[C@]1(CO)CCCc2ccccc21 ZINC000799338285 640563193 /nfs/dbraw/zinc/56/31/93/640563193.db2.gz JJHCAZMPTLPERE-INIZCTEOSA-N 0 1 287.363 0.830 20 30 CCEDMN COc1nc(C(=O)OCCO[C@@H](C)C#N)cc(=O)[nH]1 ZINC000801857978 640670149 /nfs/dbraw/zinc/67/01/49/640670149.db2.gz NEULDNZXNQHEON-ZETCQYMHSA-N 0 1 267.241 0.276 20 30 CCEDMN C[C@H](C#N)OCCN1CCC[C@H](N2CCNC2=O)C1 ZINC000801921382 640674171 /nfs/dbraw/zinc/67/41/71/640674171.db2.gz BJJQZUCJFXAGJS-NEPJUHHUSA-N 0 1 266.345 0.405 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001015683588 650609196 /nfs/dbraw/zinc/60/91/96/650609196.db2.gz HYHMTXBDIDMYOL-GFCCVEGCSA-N 0 1 273.336 0.923 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@]2(C)CCNC2=O)C1 ZINC001015695955 650610716 /nfs/dbraw/zinc/61/07/16/650610716.db2.gz SAXZBKFWYVTQQR-BXUZGUMPSA-N 0 1 265.357 0.279 20 30 CCEDMN N#CCOc1cccc(CC(=O)NCCCc2nc[nH]n2)c1 ZINC000807990030 640843849 /nfs/dbraw/zinc/84/38/49/640843849.db2.gz RUOFPBAOIQDFFN-UHFFFAOYSA-N 0 1 299.334 0.999 20 30 CCEDMN N#CCCN(Cc1cccnc1)C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840293243 641042998 /nfs/dbraw/zinc/04/29/98/641042998.db2.gz WGTVWTHTAVFLOV-VXGBXAGGSA-N 0 1 274.280 0.989 20 30 CCEDMN Cn1ncc(CN=Nc2ccc(-n3cccn3)nn2)n1 ZINC000814806621 641077557 /nfs/dbraw/zinc/07/75/57/641077557.db2.gz IZHABKJYVWTURL-UHFFFAOYSA-N 0 1 269.272 0.237 20 30 CCEDMN Cn1nncc1N=NCc1ccc(OCC(N)=O)cc1 ZINC000814980694 641087681 /nfs/dbraw/zinc/08/76/81/641087681.db2.gz JFMPHSCGXFNSIR-UHFFFAOYSA-N 0 1 274.284 0.125 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc3n[nH]c(=O)n3c2)C1 ZINC001015836597 650637667 /nfs/dbraw/zinc/63/76/67/650637667.db2.gz PBGJDWDRMKAEML-GFCCVEGCSA-N 0 1 299.334 0.262 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001015907985 650654353 /nfs/dbraw/zinc/65/43/53/650654353.db2.gz MPPBYWSHOVUCPX-SNVBAGLBSA-N 0 1 262.313 0.104 20 30 CCEDMN O=C(N[C@H]1CCN(O)C1=O)c1cccc(F)c1O ZINC000820120452 641458368 /nfs/dbraw/zinc/45/83/68/641458368.db2.gz OINMXLQSNYORCI-QMMMGPOBSA-N 0 1 254.217 0.251 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)c1cc(F)cc2nc[nH]c21 ZINC000820482468 641509253 /nfs/dbraw/zinc/50/92/53/641509253.db2.gz KQECYLSOLVZTPC-VIFPVBQESA-N 0 1 292.270 0.812 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001016121281 650683430 /nfs/dbraw/zinc/68/34/30/650683430.db2.gz WSHZRZUNDLCHTG-LLVKDONJSA-N 0 1 276.340 0.256 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2c(C)nn(C)c2F)C1 ZINC001016130398 650686263 /nfs/dbraw/zinc/68/62/63/650686263.db2.gz IOVIADBSFBNCNJ-NSHDSACASA-N 0 1 278.331 0.695 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccccc2-n2cnnn2)C1 ZINC001016225135 650699432 /nfs/dbraw/zinc/69/94/32/650699432.db2.gz BNNVJTUEXUKJDF-LBPRGKRZSA-N 0 1 298.350 0.652 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001016367744 650723045 /nfs/dbraw/zinc/72/30/45/650723045.db2.gz SEPALMVLMKYLNP-SNVBAGLBSA-N 0 1 274.324 0.171 20 30 CCEDMN COc1cc(C(=O)N2CCc3[nH]nnc3C2)ccc1C#N ZINC000828942070 642134106 /nfs/dbraw/zinc/13/41/06/642134106.db2.gz JEQYERQRTWMTDS-UHFFFAOYSA-N 0 1 283.291 0.883 20 30 CCEDMN CN1CC(C(=O)N[C@H]2Cc3ccc(C#N)cc3C2)=NC1=O ZINC000829486719 642166729 /nfs/dbraw/zinc/16/67/29/642166729.db2.gz YLYFXENSVAUXIM-LBPRGKRZSA-N 0 1 282.303 0.895 20 30 CCEDMN C#CC[C@H]1CCCN(CC(=O)NC(=O)NCCC)C1 ZINC000829768788 642190120 /nfs/dbraw/zinc/19/01/20/642190120.db2.gz KAZMDLJHLLOQIY-LBPRGKRZSA-N 0 1 265.357 0.958 20 30 CCEDMN C#CC[C@H]1CCCN(CC(=O)NC(=O)NC2CC2)C1 ZINC000829774571 642190300 /nfs/dbraw/zinc/19/03/00/642190300.db2.gz QGZLSSZCUQTQJV-NSHDSACASA-N 0 1 263.341 0.710 20 30 CCEDMN CN(C)C(=O)c1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834484770 642576768 /nfs/dbraw/zinc/57/67/68/642576768.db2.gz MVEMTJPVLFAKMP-CHWSQXEVSA-N 0 1 286.335 0.230 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc(-n2cccn2)c1 ZINC000834488559 642578366 /nfs/dbraw/zinc/57/83/66/642578366.db2.gz DMXBWGBERRSIGV-TZMCWYRMSA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CNC(=O)CC1CCCCC1 ZINC000834493923 642583346 /nfs/dbraw/zinc/58/33/46/642583346.db2.gz IUMVFAHQRLUDSX-QWHCGFSZSA-N 0 1 292.383 0.301 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COCCc1ccccc1 ZINC000834493575 642584316 /nfs/dbraw/zinc/58/43/16/642584316.db2.gz FJZAZFWPMJTTAE-UONOGXRCSA-N 0 1 273.336 0.473 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cn1ccc(=O)c2ccccc21 ZINC000834495290 642585465 /nfs/dbraw/zinc/58/54/65/642585465.db2.gz TXCOUDHEFYSGAY-YPMHNXCESA-N 0 1 296.330 0.229 20 30 CCEDMN C[C@H](NC(=O)CC(C)(C)C)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834499253 642588558 /nfs/dbraw/zinc/58/85/58/642588558.db2.gz GOAKKQSBZKVVIJ-HBNTYKKESA-N 0 1 280.372 0.155 20 30 CCEDMN Cc1cccc2c(O)c(C(=O)N[C@H]3CNC[C@@H]3C#N)cnc12 ZINC000834500882 642590512 /nfs/dbraw/zinc/59/05/12/642590512.db2.gz XPSMICBMBGGNAT-GWCFXTLKSA-N 0 1 296.330 0.678 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COc1ccccc1Cl ZINC000834504901 642591499 /nfs/dbraw/zinc/59/14/99/642591499.db2.gz GLVPXKHJQBZADE-KOLCDFICSA-N 0 1 279.727 0.947 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000834505060 642592162 /nfs/dbraw/zinc/59/21/62/642592162.db2.gz HIECQTMJKBFQAF-SCZZXKLOSA-N 0 1 260.253 0.436 20 30 CCEDMN Cc1ccc(OCC(=O)N[C@H]2CNC[C@H]2C#N)c(C)c1 ZINC000834506201 642593857 /nfs/dbraw/zinc/59/38/57/642593857.db2.gz QOCKGDYSUJAEEO-OLZOCXBDSA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)OCCCO2 ZINC000834512249 642596929 /nfs/dbraw/zinc/59/69/29/642596929.db2.gz TZZUXHZCCSNHGY-RYUDHWBXSA-N 0 1 287.319 0.689 20 30 CCEDMN Cc1cccc(OCC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834511566 642597313 /nfs/dbraw/zinc/59/73/13/642597313.db2.gz MJVKKMZFRUFRLJ-YPMHNXCESA-N 0 1 259.309 0.602 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnc2ccccc2n1 ZINC000834512377 642597750 /nfs/dbraw/zinc/59/77/50/642597750.db2.gz VKCFVYQDSRGFFK-JOYOIKCWSA-N 0 1 267.292 0.471 20 30 CCEDMN C[C@@H]1Oc2ccccc2O[C@@H]1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834515837 642598177 /nfs/dbraw/zinc/59/81/77/642598177.db2.gz CDYRWTVABNHAJU-DYNIEEOBSA-N 0 1 287.319 0.443 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCNC(=O)c1ccsc1 ZINC000834512549 642599064 /nfs/dbraw/zinc/59/90/64/642599064.db2.gz YOENGUVNRWDXHG-WDEREUQCSA-N 0 1 292.364 0.096 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COCc1ccccc1 ZINC000834512643 642599299 /nfs/dbraw/zinc/59/92/99/642599299.db2.gz ZQUTTZWHQFWOLR-STQMWFEESA-N 0 1 259.309 0.431 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc2ccccc2[nH]c1=O ZINC000834517253 642599706 /nfs/dbraw/zinc/59/97/06/642599706.db2.gz SWJITEBXJUAFKA-GWCFXTLKSA-N 0 1 282.303 0.782 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccn(-c2ccccc2F)n1 ZINC000834517452 642599988 /nfs/dbraw/zinc/59/99/88/642599988.db2.gz VQQRGFYYDFYURB-ZWNOBZJWSA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1c(Cl)nc2ccccn21 ZINC000834521237 642601401 /nfs/dbraw/zinc/60/14/01/642601401.db2.gz FNPHYFWMSZMJSD-BDAKNGLRSA-N 0 1 289.726 0.829 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@@H]2C#N)cnn1C(C)(C)C ZINC000834521620 642602135 /nfs/dbraw/zinc/60/21/35/642602135.db2.gz OHBXWNJJAVFNRH-CMPLNLGQSA-N 0 1 275.356 0.788 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COCCOc1ccccc1 ZINC000834521883 642603953 /nfs/dbraw/zinc/60/39/53/642603953.db2.gz YBBALEILKLDGJL-OCCSQVGLSA-N 0 1 289.335 0.310 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)C#CC1CC1 ZINC000837565096 642754042 /nfs/dbraw/zinc/75/40/42/642754042.db2.gz OMPPBLZCQYXOGS-GFCCVEGCSA-N 0 1 264.369 0.703 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000841193710 642806907 /nfs/dbraw/zinc/80/69/07/642806907.db2.gz WLEVALVNVLULEW-LDYMZIIASA-N 0 1 275.268 0.828 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCNc2ccc(C#N)cn2)CCN1 ZINC000841702130 642832024 /nfs/dbraw/zinc/83/20/24/642832024.db2.gz YFSPIVGSWINCHZ-LLVKDONJSA-N 0 1 288.355 0.368 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCC(=O)NC1CCCC1 ZINC000843458913 643062701 /nfs/dbraw/zinc/06/27/01/643062701.db2.gz ABWAAZAKMUYJMC-CMPLNLGQSA-N 0 1 278.356 0.053 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000843459835 643062760 /nfs/dbraw/zinc/06/27/60/643062760.db2.gz IZGMDHGEPKOZPR-WRWORJQWSA-N 0 1 275.268 0.018 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000843459834 643063425 /nfs/dbraw/zinc/06/34/25/643063425.db2.gz IZGMDHGEPKOZPR-RDDDGLTNSA-N 0 1 275.268 0.018 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccccc1-n1ccnn1 ZINC000843461124 643064174 /nfs/dbraw/zinc/06/41/74/643064174.db2.gz SDSXHUKJKPQZNQ-PWSUYJOCSA-N 0 1 282.307 0.109 20 30 CCEDMN C[C@@H]1CCn2ncc(C(=O)N[C@@H]3CNC[C@H]3C#N)c2C1 ZINC000843460054 643064400 /nfs/dbraw/zinc/06/44/00/643064400.db2.gz LEOLIYCXVLEGKS-CKYFFXLPSA-N 0 1 273.340 0.307 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cn1cnc(-c2ccccc2)n1 ZINC000843461349 643064451 /nfs/dbraw/zinc/06/44/51/643064451.db2.gz UHSDIJBQZPYGQZ-OLZOCXBDSA-N 0 1 296.334 0.173 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C[C@@H]1CCCC[C@H]1O ZINC000843460380 643065061 /nfs/dbraw/zinc/06/50/61/643065061.db2.gz OGMNZYGQSZNPFN-IRCOFANPSA-N 0 1 251.330 0.155 20 30 CCEDMN Cc1cc(F)cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1[N+](=O)[O-] ZINC000843461870 643066981 /nfs/dbraw/zinc/06/69/81/643066981.db2.gz XRRGFRFSBJRUJN-KWQFWETISA-N 0 1 292.270 0.884 20 30 CCEDMN N#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]cc2ncnc1-2 ZINC001017573206 650811731 /nfs/dbraw/zinc/81/17/31/650811731.db2.gz OYLZRSWPJVBHBX-PHIMTYICSA-N 0 1 296.334 0.770 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CCc3nnnn3CC2)c1 ZINC000845888163 643359276 /nfs/dbraw/zinc/35/92/76/643359276.db2.gz SZMLFGVDZMEHFP-JTQLQIEISA-N 0 1 298.306 0.842 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnccc1C(F)(F)F ZINC000848417766 643634619 /nfs/dbraw/zinc/63/46/19/643634619.db2.gz DZLXYSQWOWNHKQ-GMSGAONNSA-N 0 1 284.241 0.942 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H]1CCC[N@H+]2CCCC[C@@H]12 ZINC000848509794 643646707 /nfs/dbraw/zinc/64/67/07/643646707.db2.gz YMZLAJJUBAMMDS-OLZOCXBDSA-N 0 1 270.398 0.946 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H]1CCCN2CCCC[C@@H]12 ZINC000848509794 643646713 /nfs/dbraw/zinc/64/67/13/643646713.db2.gz YMZLAJJUBAMMDS-OLZOCXBDSA-N 0 1 270.398 0.946 20 30 CCEDMN C#C[C@@](C)(CC)NCC(=O)NCC(=O)N1CCCC1 ZINC000852099175 643927627 /nfs/dbraw/zinc/92/76/27/643927627.db2.gz GEESKKQVPGGEQG-AWEZNQCLSA-N 0 1 265.357 0.117 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc2c1OC(F)(F)O2 ZINC000852828225 644013584 /nfs/dbraw/zinc/01/35/84/644013584.db2.gz VWCZFOHVJFDSIY-IONNQARKSA-N 0 1 295.245 0.849 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCc1cccnc1Cl ZINC000852827637 644014173 /nfs/dbraw/zinc/01/41/73/644014173.db2.gz MEDQBXINKKJAGY-QWRGUYRKSA-N 0 1 278.743 0.895 20 30 CCEDMN CCn1ccc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001018631655 650886451 /nfs/dbraw/zinc/88/64/51/650886451.db2.gz HBTKHVRRCOIWLE-AAEUAGOBSA-N 0 1 275.356 0.753 20 30 CCEDMN CC(C)c1nc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001018632351 650887366 /nfs/dbraw/zinc/88/73/66/650887366.db2.gz NEFVAUHUJIOWSU-QWRGUYRKSA-N 0 1 290.371 0.778 20 30 CCEDMN CO[C@H](C=Nn1c(=O)c(C)n[nH]c1=S)C1CC1 ZINC000853266786 644095092 /nfs/dbraw/zinc/09/50/92/644095092.db2.gz RRSOGPDHLSNHGK-MRVPVSSYSA-N 0 1 254.315 0.494 20 30 CCEDMN N#CCCNC(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000854027621 644171488 /nfs/dbraw/zinc/17/14/88/644171488.db2.gz NAXBQSXSUOOMLJ-ZDUSSCGKSA-N 0 1 280.372 0.796 20 30 CCEDMN O=C(C#Cc1cccs1)NC[C@H]1CN2CCN1CCC2 ZINC000854355023 644193279 /nfs/dbraw/zinc/19/32/79/644193279.db2.gz XMYPNETZJNBILO-ZDUSSCGKSA-N 0 1 289.404 0.606 20 30 CCEDMN N#Cc1ccc(F)c(C(=O)NCCN2CCC(O)CC2)c1 ZINC000854850519 644265989 /nfs/dbraw/zinc/26/59/89/644265989.db2.gz IOFDUFCCJKTCNK-UHFFFAOYSA-N 0 1 291.326 0.884 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnnn2C)C1 ZINC001018791454 650907375 /nfs/dbraw/zinc/90/73/75/650907375.db2.gz VQTPDJMGQIZTFI-VIFPVBQESA-N 0 1 269.736 0.372 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1cnn(CCF)c1 ZINC000859733735 644727988 /nfs/dbraw/zinc/72/79/88/644727988.db2.gz XZDUFVHRQMDUJL-WPRPVWTQSA-N 0 1 266.280 0.086 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)N2CCc3nc[nH]c3C2)cc1 ZINC000861761970 644926237 /nfs/dbraw/zinc/92/62/37/644926237.db2.gz OYBFBJBAXKVRCH-AWEZNQCLSA-N 0 1 282.303 0.900 20 30 CCEDMN Cn1cc(N=NCc2nc(Br)nn2C)cn1 ZINC000863110024 645060380 /nfs/dbraw/zinc/06/03/80/645060380.db2.gz GXPWGUIYYFHWGB-UHFFFAOYSA-N 0 1 284.121 0.757 20 30 CCEDMN C[C@H]1C(N=NC2=NCC(=O)[N-]2)CC[N@@H+]1Cc1ccccc1 ZINC000863177717 645066642 /nfs/dbraw/zinc/06/66/42/645066642.db2.gz VMKFUFQZYFBXPC-NSHDSACASA-N 0 1 285.351 0.712 20 30 CCEDMN C[C@H]1C(N=NC2=NCC(=O)[N-]2)CC[N@H+]1Cc1ccccc1 ZINC000863177717 645066645 /nfs/dbraw/zinc/06/66/45/645066645.db2.gz VMKFUFQZYFBXPC-NSHDSACASA-N 0 1 285.351 0.712 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnon2)C1 ZINC001019543443 650985499 /nfs/dbraw/zinc/98/54/99/650985499.db2.gz IPQUBTDMPWRUAF-QMMMGPOBSA-N 0 1 256.693 0.626 20 30 CCEDMN Cc1nccnc1[C@@H](C)NC[C@H](O)CN(C)CCC#N ZINC000872249571 646229082 /nfs/dbraw/zinc/22/90/82/646229082.db2.gz XOBVXDPVLNMVGD-OLZOCXBDSA-N 0 1 277.372 0.642 20 30 CCEDMN Cc1nnc(N=NC2CCC[N@H+]3CCSC[C@H]23)[n-]c1=O ZINC000872331445 646249031 /nfs/dbraw/zinc/24/90/31/646249031.db2.gz IWNXGMCWMHJVCM-SNVBAGLBSA-N 0 1 294.384 0.865 20 30 CCEDMN Cn1ncc2c1[n-]c(NN=CC1CC[NH+](C)CC1)nc2=O ZINC000872352406 646250871 /nfs/dbraw/zinc/25/08/71/646250871.db2.gz QGSASJBCHZJNJF-UHFFFAOYSA-N 0 1 289.343 0.808 20 30 CCEDMN N#Cc1cccnc1NCCNC(=O)CN1CCCC1 ZINC000874185651 646430689 /nfs/dbraw/zinc/43/06/89/646430689.db2.gz DDFAZUPHUWFDRO-UHFFFAOYSA-N 0 1 273.340 0.577 20 30 CCEDMN Cc1cn2cc(CC(=O)N[C@@H]3CNC[C@H]3C#N)nc2s1 ZINC000876802764 646670039 /nfs/dbraw/zinc/67/00/39/646670039.db2.gz RSARHQWONBBJNI-MWLCHTKSSA-N 0 1 289.364 0.475 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CC(F)(F)C(F)(F)F ZINC000876802170 646670730 /nfs/dbraw/zinc/67/07/30/646670730.db2.gz MKUZVGJHEUKEDM-NTSWFWBYSA-N 0 1 271.189 0.802 20 30 CCEDMN Cc1n[nH]c(C)c1CCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000876802233 646670536 /nfs/dbraw/zinc/67/05/36/646670536.db2.gz NEWMFMAGVNMONB-JQWIXIFHSA-N 0 1 261.329 0.187 20 30 CCEDMN Cc1cn2ccc(C(=O)N[C@H]3CNC[C@H]3C#N)cc2n1 ZINC000876803562 646670887 /nfs/dbraw/zinc/67/08/87/646670887.db2.gz WYGNTLYKGYHRIS-NEPJUHHUSA-N 0 1 269.308 0.484 20 30 CCEDMN N#CCCN1CCN(CCc2ccc(C(N)=O)cc2)CC1 ZINC000878312176 646890390 /nfs/dbraw/zinc/89/03/90/646890390.db2.gz HJWSJKXUXFRVBG-UHFFFAOYSA-N 0 1 286.379 0.859 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CC(C)(C)O)C[C@@H](C)N1CC#N ZINC000878771192 646975927 /nfs/dbraw/zinc/97/59/27/646975927.db2.gz FQZKYBGGJPSZKU-UPJWGTAASA-N 0 1 269.389 0.426 20 30 CCEDMN C[C@H](NCC(=O)N[C@@](C)(C#N)C1CC1)c1ccnn1C ZINC000879683154 647092759 /nfs/dbraw/zinc/09/27/59/647092759.db2.gz NBEPPTHZDFXHNQ-HZMBPMFUSA-N 0 1 275.356 0.879 20 30 CCEDMN C[C@@H](C#N)OCCN1CCNC(=O)CC12CCC2 ZINC000880168392 647165315 /nfs/dbraw/zinc/16/53/15/647165315.db2.gz KKZQUQIYGDAFFW-NSHDSACASA-N 0 1 251.330 0.660 20 30 CCEDMN C[C@H](C#N)OCCN1CCC[C@H]2CCNC(=O)[C@H]21 ZINC000880524407 647219883 /nfs/dbraw/zinc/21/98/83/647219883.db2.gz UIKBXYDTTWMERG-WOPDTQHZSA-N 0 1 251.330 0.516 20 30 CCEDMN Cc1ccc(N2CCN(C(=O)CN(C)C)CC2)c(C#N)n1 ZINC000881798038 647340109 /nfs/dbraw/zinc/34/01/09/647340109.db2.gz GSNWUBIBXWQLCQ-UHFFFAOYSA-N 0 1 287.367 0.472 20 30 CCEDMN C#CC1(NC(=O)CN(C)c2ncnc3[nH]cnc32)CCCC1 ZINC000882536477 647416176 /nfs/dbraw/zinc/41/61/76/647416176.db2.gz JBUYKDCMMHEPKN-UHFFFAOYSA-N 0 1 298.350 0.851 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccn2nccc12 ZINC000884249095 647679863 /nfs/dbraw/zinc/67/98/63/647679863.db2.gz DCCGZRYVLSYSIK-GXSJLCMTSA-N 0 1 255.281 0.176 20 30 CCEDMN Cc1nc(C(C)C)ncc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000884250168 647680623 /nfs/dbraw/zinc/68/06/23/647680623.db2.gz YODKOTRGPNRXEX-CMPLNLGQSA-N 0 1 273.340 0.750 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnc(CC2CC2)s1 ZINC000884251014 647682318 /nfs/dbraw/zinc/68/23/18/647682318.db2.gz UMSJOZWSXZSWQG-NXEZZACHSA-N 0 1 276.365 0.937 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCO[C@]3(CCOC3)C2)CCC1 ZINC000886138036 647905407 /nfs/dbraw/zinc/90/54/07/647905407.db2.gz AFQJHVUYCXUSBY-UKRRQHHQSA-N 0 1 280.368 0.923 20 30 CCEDMN N#CC1(C[C@H](O)CN2CC[C@@](F)(CO)C2)CCC1 ZINC000886194209 647917174 /nfs/dbraw/zinc/91/71/74/647917174.db2.gz LCQLBMWOGLKIIJ-AAEUAGOBSA-N 0 1 256.321 0.838 20 30 CCEDMN C[C@H](O)C(=O)N1CCN(CC2CCC(C#N)CC2)CC1 ZINC000930142094 647955108 /nfs/dbraw/zinc/95/51/08/647955108.db2.gz FPZMQARPQDEZBO-HSBZDZAISA-N 0 1 279.384 0.841 20 30 CCEDMN N#CC1(NC(=O)CN2C[C@@H]3[C@H](O)CC[C@@H]3C2)CCC1 ZINC000886572949 647989317 /nfs/dbraw/zinc/98/93/17/647989317.db2.gz KJPYYBWINYLIAW-GRYCIOLGSA-N 0 1 263.341 0.252 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CCO[C@](C)(C#N)C1 ZINC000887680400 648139760 /nfs/dbraw/zinc/13/97/60/648139760.db2.gz FBJZEJINUOZYLQ-GXTWGEPZSA-N 0 1 281.356 0.096 20 30 CCEDMN C[C@H](O)[C@@H](O)CN1CCC(C#N)(c2ccccn2)CC1 ZINC000930333524 648340499 /nfs/dbraw/zinc/34/04/99/648340499.db2.gz OWVWTPSAYXGRPV-STQMWFEESA-N 0 1 275.352 0.680 20 30 CCEDMN C[C@@H](CC(C)(C)C#N)NC1CN(S(=O)(=O)N(C)C)C1 ZINC000926773891 648525990 /nfs/dbraw/zinc/52/59/90/648525990.db2.gz IBQSRORTOZDABY-JTQLQIEISA-N 0 1 288.417 0.395 20 30 CCEDMN CC(C)CN(CCC#N)CC1CN(S(C)(=O)=O)C1 ZINC000929688514 648781200 /nfs/dbraw/zinc/78/12/00/648781200.db2.gz QNARCHUXVQKXOC-UHFFFAOYSA-N 0 1 273.402 0.749 20 30 CCEDMN C[C@@H](O)[C@H](O)CN1CCC(C#N)(c2ccccn2)CC1 ZINC000930333521 648851045 /nfs/dbraw/zinc/85/10/45/648851045.db2.gz OWVWTPSAYXGRPV-CHWSQXEVSA-N 0 1 275.352 0.680 20 30 CCEDMN C[C@@H]1CN(Cc2cncc(C#N)c2)[C@@H](C)CN1CCO ZINC000930744847 648898716 /nfs/dbraw/zinc/89/87/16/648898716.db2.gz ZWYTZMXNRADHFN-OLZOCXBDSA-N 0 1 274.368 0.840 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@H](C(F)F)C2)CC1 ZINC000194171944 649571464 /nfs/dbraw/zinc/57/14/64/649571464.db2.gz JWHXZGVYYWIVFA-LBPRGKRZSA-N 0 1 286.322 0.824 20 30 CCEDMN N#Cc1cccc(CN2C[C@@H](O)[C@@H](CNC(=O)C3CC3)C2)c1 ZINC000957785324 649759694 /nfs/dbraw/zinc/75/96/94/649759694.db2.gz VWJGURNAFRZCPN-JKSUJKDBSA-N 0 1 299.374 0.877 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncc(F)cc3C#N)C[C@H]21 ZINC001164668539 719378244 /nfs/dbraw/zinc/37/82/44/719378244.db2.gz OKAWSJUYGFWQAE-NEPJUHHUSA-N 0 1 262.288 0.612 20 30 CCEDMN Cc1ccc(C#N)c(N2C[C@@H]3[C@H](C2)OCCN3C)n1 ZINC000408049921 719379382 /nfs/dbraw/zinc/37/93/82/719379382.db2.gz GKWNXAKNPVVHAD-OLZOCXBDSA-N 0 1 258.325 0.781 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncc(C#N)cc3C#N)C[C@@H]21 ZINC001164671913 719382752 /nfs/dbraw/zinc/38/27/52/719382752.db2.gz ZTXYIMDYWJYHHX-QWHCGFSZSA-N 0 1 269.308 0.344 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncc(C#N)cc3C#N)C[C@H]21 ZINC001164671911 719382918 /nfs/dbraw/zinc/38/29/18/719382918.db2.gz ZTXYIMDYWJYHHX-CHWSQXEVSA-N 0 1 269.308 0.344 20 30 CCEDMN CN1CCO[C@@H]2CN(c3[nH]ccc4ncc(C#N)c3-4)C[C@@H]21 ZINC001164666494 719423292 /nfs/dbraw/zinc/42/32/92/719423292.db2.gz DEQDYQPCVAGNTA-QWHCGFSZSA-N 0 1 283.335 0.954 20 30 CCEDMN Cc1nc(N2C[C@@H]3OCCN(C)[C@H]3C2)ccc1CC#N ZINC001164666992 719452290 /nfs/dbraw/zinc/45/22/90/719452290.db2.gz FDFKNYCACNNHLU-KBPBESRZSA-N 0 1 272.352 0.975 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@]2(CCN(C(C)=O)C2)C1 ZINC001277450593 805587844 /nfs/dbraw/zinc/58/78/44/805587844.db2.gz YYUAMCKZGQMMSC-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN Cn1c(CNC(=O)N[C@@H]2CC[C@H](C#N)C2)n[nH]c1=O ZINC001230300378 805593216 /nfs/dbraw/zinc/59/32/16/805593216.db2.gz DXOYLTZGMYLGST-JGVFFNPUSA-N 0 1 264.289 0.012 20 30 CCEDMN COCC#CCN1CCC(NC(=O)Cn2ccnc2)CC1 ZINC001230438510 805601675 /nfs/dbraw/zinc/60/16/75/805601675.db2.gz TYAUSURCMRBJGK-UHFFFAOYSA-N 0 1 290.367 0.114 20 30 CCEDMN CC#CCN1CCC(NC(=O)COC[C@@H]2CCCO2)CC1 ZINC001230462464 805603377 /nfs/dbraw/zinc/60/33/77/805603377.db2.gz IMJXVKVMPSYHRU-HNNXBMFYSA-N 0 1 294.395 0.786 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CNC(C)=O ZINC001230629086 805636255 /nfs/dbraw/zinc/63/62/55/805636255.db2.gz KXQYCDDFPVGHHB-GFCCVEGCSA-N 0 1 253.346 0.231 20 30 CCEDMN COC[C@H](C)N1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038167802 790399269 /nfs/dbraw/zinc/39/92/69/790399269.db2.gz HYSORHYSXRIDPK-GXFFZTMASA-N 0 1 276.340 0.725 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001266290411 790427423 /nfs/dbraw/zinc/42/74/23/790427423.db2.gz ZEVWJCUMLIFHAS-ZIAGYGMSSA-N 0 1 265.401 0.952 20 30 CCEDMN COCC#CCN(C)CCNC(=O)Cc1cscn1 ZINC001266332107 790523842 /nfs/dbraw/zinc/52/38/42/790523842.db2.gz CCGJWVNPJLNBOA-UHFFFAOYSA-N 0 1 281.381 0.383 20 30 CCEDMN CCn1ccc(C(=O)NCCN(C)CC#CCOC)n1 ZINC001266332579 790524839 /nfs/dbraw/zinc/52/48/39/790524839.db2.gz SIHYWNOZZHIUOS-UHFFFAOYSA-N 0 1 278.356 0.214 20 30 CCEDMN C=CCCCC(=O)N[C@H]1CCN(CCn2cncn2)C1 ZINC001266338877 790540783 /nfs/dbraw/zinc/54/07/83/790540783.db2.gz FJADKRHIJJBJOU-ZDUSSCGKSA-N 0 1 277.372 0.825 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001266345155 790554604 /nfs/dbraw/zinc/55/46/04/790554604.db2.gz WURCGVRRWPQZNW-HNNXBMFYSA-N 0 1 279.384 0.316 20 30 CCEDMN C[C@H]1SCC[C@@H]1NC(=O)NCC#CCN(C)C ZINC001230650630 805640845 /nfs/dbraw/zinc/64/08/45/805640845.db2.gz XZDTUPJDSILDAF-MNOVXSKESA-N 0 1 255.387 0.745 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CCCCN(C)C1=O ZINC001266347793 790560198 /nfs/dbraw/zinc/56/01/98/790560198.db2.gz ROYUGTDFRMCIOI-CYBMUJFWSA-N 0 1 279.384 0.316 20 30 CCEDMN CC#CCN(CC)CCNC(=O)C[C@@H]1CCOC[C@@H]1OC ZINC001266394524 790628296 /nfs/dbraw/zinc/62/82/96/790628296.db2.gz SKDIYVAXIBGONI-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CC[N@@H+](CC)CCNC(=O)c1cnc[nH]c1=O ZINC001266396983 790632651 /nfs/dbraw/zinc/63/26/51/790632651.db2.gz PYRDMUDJUJDASJ-UHFFFAOYSA-N 0 1 250.302 0.420 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccnc(OC)c1 ZINC001266401057 790639572 /nfs/dbraw/zinc/63/95/72/790639572.db2.gz YHBIVFYIIHEYKB-UHFFFAOYSA-N 0 1 261.325 0.775 20 30 CCEDMN Cc1nc(CN2CCC[C@@H]2CNC(=O)C#CC(C)C)n[nH]1 ZINC001266460951 790754924 /nfs/dbraw/zinc/75/49/24/790754924.db2.gz GSSVMQYTWONDAT-CYBMUJFWSA-N 0 1 289.383 0.853 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@H]1CNC(=O)Cc1n[nH]c(C)n1 ZINC001266461889 790756947 /nfs/dbraw/zinc/75/69/47/790756947.db2.gz YPWGBGVRPOJXLR-LBPRGKRZSA-N 0 1 275.356 0.260 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)Cc1nnc(C)[nH]1 ZINC001266461889 790756957 /nfs/dbraw/zinc/75/69/57/790756957.db2.gz YPWGBGVRPOJXLR-LBPRGKRZSA-N 0 1 275.356 0.260 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@H](NCc2cc(C)no2)C1 ZINC001266527371 790873776 /nfs/dbraw/zinc/87/37/76/790873776.db2.gz QEYFALPFYSRCQM-STQMWFEESA-N 0 1 291.351 0.712 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cc(C)n[nH]1 ZINC001277476588 805704973 /nfs/dbraw/zinc/70/49/73/805704973.db2.gz ZUKAOLCWTYAEOC-UHFFFAOYSA-N 0 1 278.356 0.420 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C1CCOCC1 ZINC001231123867 805735703 /nfs/dbraw/zinc/73/57/03/805735703.db2.gz LYCHYLFRUJPXMI-UHFFFAOYSA-N 0 1 297.399 0.153 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CNC(=O)CC ZINC001231227133 805755486 /nfs/dbraw/zinc/75/54/86/805755486.db2.gz WFDRNGJPSRPFEI-NSHDSACASA-N 0 1 253.346 0.231 20 30 CCEDMN C#CCCCC(=O)N(C)C1CN(Cc2n[nH]c(C)n2)C1 ZINC001277585703 805865798 /nfs/dbraw/zinc/86/57/98/805865798.db2.gz IKXLKTGLEPVPQZ-UHFFFAOYSA-N 0 1 275.356 0.559 20 30 CCEDMN N#Cc1ccc(O)c(CN2CC(=O)N(C3CC3)C(=O)C2)c1 ZINC001232673798 805967766 /nfs/dbraw/zinc/96/77/66/805967766.db2.gz NSTVBQTXEQFRPM-UHFFFAOYSA-N 0 1 285.303 0.597 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](CNC(=O)[C@@H](C)CC)C1 ZINC001266739758 791202303 /nfs/dbraw/zinc/20/23/03/791202303.db2.gz DABNGJGQPFTTTH-UONOGXRCSA-N 0 1 293.411 0.610 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCCN(CC(=O)NCC)C1 ZINC001266747401 791207659 /nfs/dbraw/zinc/20/76/59/791207659.db2.gz QTNDCSOOJYDBRZ-CQSZACIVSA-N 0 1 293.411 0.754 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)CCn1cccn1 ZINC001266831160 791275844 /nfs/dbraw/zinc/27/58/44/791275844.db2.gz ATBSBEGOHRKUGB-AWEZNQCLSA-N 0 1 274.368 0.877 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cncc(OC)n1 ZINC001266854565 791292751 /nfs/dbraw/zinc/29/27/51/791292751.db2.gz UIPQAAQMICAVJM-UHFFFAOYSA-N 0 1 284.747 0.899 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H](O)C(C)C)CC1 ZINC001279544132 791408774 /nfs/dbraw/zinc/40/87/74/791408774.db2.gz DQQVCQFUVPKXDV-CYBMUJFWSA-N 0 1 250.342 0.385 20 30 CCEDMN N#C[C@H]1CN(Cc2cc(O)c(O)cc2O)CCC1=O ZINC001233485879 806062318 /nfs/dbraw/zinc/06/23/18/806062318.db2.gz OLUCXCQRTAOWEV-VIFPVBQESA-N 0 1 262.265 0.718 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](NCc2cnnn2CC)C1 ZINC001267009323 791440329 /nfs/dbraw/zinc/44/03/29/791440329.db2.gz XSBSZWZFVGPICW-ZDUSSCGKSA-N 0 1 289.383 0.792 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC[C@@H](C)NCc1cnn(C)n1 ZINC001267124794 791568808 /nfs/dbraw/zinc/56/88/08/791568808.db2.gz KVFIAKZFPMQOKU-RISCZKNCSA-N 0 1 295.387 0.127 20 30 CCEDMN COC(=O)[C@H](CS)Nc1nc2nc[nH]c2c(C)n1 ZINC001167622336 791644156 /nfs/dbraw/zinc/64/41/56/791644156.db2.gz SOJXDVHSRNUXFP-LURJTMIESA-N 0 1 267.314 0.496 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cnc2n1CCC2 ZINC001267199458 791661493 /nfs/dbraw/zinc/66/14/93/791661493.db2.gz OFYLKOGTAFRIOP-UHFFFAOYSA-N 0 1 290.367 0.141 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnn(C)c3N)C[C@@H]21 ZINC001042023819 791665480 /nfs/dbraw/zinc/66/54/80/791665480.db2.gz STIRZPSYQUDCFG-YPMHNXCESA-N 0 1 287.367 0.172 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CCc1ccon1 ZINC001267206676 791673756 /nfs/dbraw/zinc/67/37/56/791673756.db2.gz KSQRJBCGZXDNLA-UHFFFAOYSA-N 0 1 279.340 0.305 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnc(OCC)cn1 ZINC001267233917 791716080 /nfs/dbraw/zinc/71/60/80/791716080.db2.gz XOSRGQAXXXMOFD-UHFFFAOYSA-N 0 1 262.313 0.170 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(=O)CSC)C1=O ZINC001233640259 806100129 /nfs/dbraw/zinc/10/01/29/806100129.db2.gz OMAZHWREEVPXBA-GFCCVEGCSA-N 0 1 299.440 0.574 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@H]1CCCO1 ZINC001233654578 806102094 /nfs/dbraw/zinc/10/20/94/806102094.db2.gz AVBFMCMCTMUCAD-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1CCOCCOC ZINC001233948586 806155491 /nfs/dbraw/zinc/15/54/91/806155491.db2.gz YWKUPUCPFOKCKT-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCc1ncc[nH]1 ZINC001234010884 806168238 /nfs/dbraw/zinc/16/82/38/806168238.db2.gz IPDXSLBSOHQOTA-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](OC)C1CC1 ZINC001234049401 806174844 /nfs/dbraw/zinc/17/48/44/806174844.db2.gz HLVYHACJXCPMSW-ZIAGYGMSSA-N 0 1 264.369 0.967 20 30 CCEDMN C=C[C@H](C)Oc1c(C(=O)OC)n[nH]c1C(=O)OC ZINC001234186948 806197641 /nfs/dbraw/zinc/19/76/41/806197641.db2.gz MIUBXUABWWHOOR-LURJTMIESA-N 0 1 254.242 0.936 20 30 CCEDMN COC[C@@H](O)CN1CC[C@H]1CN(C)C(=O)C#CC(C)(C)C ZINC001234207129 806204063 /nfs/dbraw/zinc/20/40/63/806204063.db2.gz ZYQNVZZOSYOXNG-KBPBESRZSA-N 0 1 296.411 0.576 20 30 CCEDMN CCC(O)(CC)CCNC(=O)NCC#CCN(C)C ZINC001234259218 806213102 /nfs/dbraw/zinc/21/31/02/806213102.db2.gz QQSGXJDKJGNLSQ-UHFFFAOYSA-N 0 1 269.389 0.792 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H]1CCC[C@H]1OC ZINC001267246068 793235049 /nfs/dbraw/zinc/23/50/49/793235049.db2.gz PJOLOOCDYXHIGX-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(=O)[C@H](C)OC)C1=O ZINC001234601029 806282549 /nfs/dbraw/zinc/28/25/49/806282549.db2.gz AVJKDXYMCRKSNH-STQMWFEESA-N 0 1 297.399 0.246 20 30 CCEDMN C=C(C)CCC(=O)N(C)C[C@@H]1CCN1C[C@H](O)COC ZINC001234603549 806284107 /nfs/dbraw/zinc/28/41/07/806284107.db2.gz DZNHHRMZFHWJMT-KBPBESRZSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCC1(C(=O)N(C)C[C@H]2CCN2CCO)CCC1 ZINC001235226848 806392123 /nfs/dbraw/zinc/39/21/23/806392123.db2.gz SPVYKHSKFQICKC-CYBMUJFWSA-N 0 1 264.369 0.705 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@@H]1CCOC1 ZINC001235246304 806393451 /nfs/dbraw/zinc/39/34/51/806393451.db2.gz PLHMOYRFINWZMW-CHWSQXEVSA-N 0 1 297.399 0.152 20 30 CCEDMN CN1CCC2(CN(S(=O)(=O)c3ccc(C#N)cc3)C2)C1 ZINC001277950169 806441726 /nfs/dbraw/zinc/44/17/26/806441726.db2.gz SRGMRGDODDHSRF-UHFFFAOYSA-N 0 1 291.376 0.884 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)CCOCC ZINC001235614752 806472614 /nfs/dbraw/zinc/47/26/14/806472614.db2.gz YGXVFEKONYXTOY-ZDUSSCGKSA-N 0 1 299.415 0.542 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)Cc1n[nH]c(C)n1 ZINC001235682782 806490441 /nfs/dbraw/zinc/49/04/41/806490441.db2.gz BKKUVNHOXHQUNF-UHFFFAOYSA-N 0 1 281.360 0.254 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235701095 806495020 /nfs/dbraw/zinc/49/50/20/806495020.db2.gz MZLGJXNBKBFYJH-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235701095 806495025 /nfs/dbraw/zinc/49/50/25/806495025.db2.gz MZLGJXNBKBFYJH-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN CC1(C)O[C@@H]2O[C@@H](CN3CCC[C@H](C#N)CC3)[C@@H](O)[C@@H]2O1 ZINC001235882591 806513890 /nfs/dbraw/zinc/51/38/90/806513890.db2.gz OSVIVAJHIHRPEY-HPCHECBXSA-N 0 1 296.367 0.849 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N[C@@H](CO)CNC(=O)CC(C)(C)C ZINC001278049468 806639256 /nfs/dbraw/zinc/63/92/56/806639256.db2.gz ZUZZTCAPUMLEQR-VXGBXAGGSA-N 0 1 299.415 0.180 20 30 CCEDMN C=CCNC(=O)[C@H](C)N[C@@H](CO)CNC(=O)CC(C)(C)C ZINC001278049464 806639723 /nfs/dbraw/zinc/63/97/23/806639723.db2.gz ZUZZTCAPUMLEQR-NWDGAFQWSA-N 0 1 299.415 0.180 20 30 CCEDMN C[C@H]1c2ncc(CC(=O)NC3(C#N)CCC3)n2CCN1C ZINC001278098326 806666735 /nfs/dbraw/zinc/66/67/35/806666735.db2.gz RKXXQIGPMIZNTQ-NSHDSACASA-N 0 1 287.367 0.994 20 30 CCEDMN N#Cc1ccc2nc(CN3CCC[C@H](C(N)=O)C3)cn2c1 ZINC001237605762 806699390 /nfs/dbraw/zinc/69/93/90/806699390.db2.gz ZWQRIUNFXIJCIY-LBPRGKRZSA-N 0 1 283.335 0.903 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](C)CC(F)(F)F ZINC001278120769 806700673 /nfs/dbraw/zinc/70/06/73/806700673.db2.gz XWTFQTNHBSCVFD-BDAKNGLRSA-N 0 1 266.263 0.275 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)CSC)C1 ZINC001149089824 798930728 /nfs/dbraw/zinc/93/07/28/798930728.db2.gz FIGZJLCXLJXGQJ-GFCCVEGCSA-N 0 1 270.398 0.580 20 30 CCEDMN C=CCOC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC001237612836 806702894 /nfs/dbraw/zinc/70/28/94/806702894.db2.gz ZGZMRWXGOBSRRY-UHFFFAOYSA-N 0 1 251.290 0.245 20 30 CCEDMN CN1CC[C@@H](NC(=O)C(=O)Nc2cccc(CC#N)c2)C1 ZINC001121075969 798993891 /nfs/dbraw/zinc/99/38/91/798993891.db2.gz APQNJJVTESRZDJ-CYBMUJFWSA-N 0 1 286.335 0.511 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)[C@@H]1C[C@H]1CC(C)C ZINC001278155821 806727565 /nfs/dbraw/zinc/72/75/65/806727565.db2.gz SDTMLNXQZCCIIR-RBSFLKMASA-N 0 1 296.411 0.385 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCC[C@@H]1Cc1cnn(C)c1 ZINC001122148910 799155736 /nfs/dbraw/zinc/15/57/36/799155736.db2.gz VEPXGSLICMPFMM-KGLIPLIRSA-N 0 1 274.368 0.470 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1c(C)nn(CC)c1C ZINC001122179097 799162389 /nfs/dbraw/zinc/16/23/89/799162389.db2.gz QYQMQOWDSOBERI-UHFFFAOYSA-N 0 1 262.357 0.701 20 30 CCEDMN C#CCNCC(=O)NCC[C@H]1CC[C@@H](C(F)(F)F)O1 ZINC001122187378 799165169 /nfs/dbraw/zinc/16/51/69/799165169.db2.gz IGBKCQTVVXIXPH-ZJUUUORDSA-N 0 1 278.274 0.825 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(Cl)cs1 ZINC001278164528 806735251 /nfs/dbraw/zinc/73/52/51/806735251.db2.gz HTLIZUFOHWXIQD-SECBINFHSA-N 0 1 272.757 0.715 20 30 CCEDMN CCOCC(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001123015005 799337161 /nfs/dbraw/zinc/33/71/61/799337161.db2.gz YMDIJHDBQGDBGN-UHFFFAOYSA-N 0 1 278.327 0.920 20 30 CCEDMN C=C(Br)CNCCNC(=O)C1=COCCO1 ZINC001123020194 799339012 /nfs/dbraw/zinc/33/90/12/799339012.db2.gz ZXQALPDGBXRBIA-UHFFFAOYSA-N 0 1 291.145 0.489 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1ccc(=O)[nH]n1 ZINC001123091061 799352411 /nfs/dbraw/zinc/35/24/11/799352411.db2.gz NSNAOBZLEDYHNX-UHFFFAOYSA-N 0 1 296.330 0.553 20 30 CCEDMN CC(=O)N(C)CC(=O)NCCNCC#Cc1ccccc1 ZINC001123809839 799478682 /nfs/dbraw/zinc/47/86/82/799478682.db2.gz HMOGULSLBGYDSO-UHFFFAOYSA-N 0 1 287.363 0.222 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)[C@H]1COCCO1 ZINC001123869536 799489878 /nfs/dbraw/zinc/48/98/78/799489878.db2.gz JCGAKCGIMUHNJU-CQSZACIVSA-N 0 1 289.335 0.179 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)COc1cc(C)cc(C)c1 ZINC001278193624 806755637 /nfs/dbraw/zinc/75/56/37/806755637.db2.gz AKBAXOUFFSLJJL-CQSZACIVSA-N 0 1 290.363 0.382 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(C)nn1C ZINC001124256541 799584590 /nfs/dbraw/zinc/58/45/90/799584590.db2.gz CCKICTUMSUBYAJ-UHFFFAOYSA-N 0 1 256.737 0.800 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@H]1CCCCC(=O)N1 ZINC001149103005 799648031 /nfs/dbraw/zinc/64/80/31/799648031.db2.gz CDZNUSRULADGLZ-LLVKDONJSA-N 0 1 287.791 0.894 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC001138804152 799756955 /nfs/dbraw/zinc/75/69/55/799756955.db2.gz XKORPYCIODGBHY-DJLDLDEBSA-N 0 1 262.269 0.641 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)CN2CCCCC2=O)C1 ZINC001276396085 799762546 /nfs/dbraw/zinc/76/25/46/799762546.db2.gz BOXHBICLUGHCRI-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN CN(C1CN(C(=O)C#Cc2ccccc2)C1)[C@H]1CCOC1 ZINC001142860167 800167563 /nfs/dbraw/zinc/16/75/63/800167563.db2.gz RUPBKVXTCDDMNB-HNNXBMFYSA-N 0 1 284.359 0.970 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)COC(C)C)C1 ZINC001149348939 800250278 /nfs/dbraw/zinc/25/02/78/800250278.db2.gz JJCUORJLPUQNRJ-ZDUSSCGKSA-N 0 1 270.373 0.805 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C[C@@H]2CCOC2)C1 ZINC001149432458 800259595 /nfs/dbraw/zinc/25/95/95/800259595.db2.gz TUDOUANUPSOGNQ-GJZGRUSLSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H](OC)C2CC2)C1 ZINC001149647507 800286916 /nfs/dbraw/zinc/28/69/16/800286916.db2.gz YXERQQDEJQXCAD-GJZGRUSLSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)CCc2ncc[nH]2)C1 ZINC001149652377 800288783 /nfs/dbraw/zinc/28/87/83/800288783.db2.gz AHESTMVWLUQNDT-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H]1CN(CC#CC)CCCO1 ZINC001149728305 800295028 /nfs/dbraw/zinc/29/50/28/800295028.db2.gz WFAUPVULMRXRKR-OAHLLOKOSA-N 0 1 292.379 0.257 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)c1ccco1 ZINC001149883977 800304885 /nfs/dbraw/zinc/30/48/85/800304885.db2.gz HBFIOBCJUSJPQP-UHFFFAOYSA-N 0 1 285.731 0.468 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@]2(F)CCOC2)C1 ZINC001150172862 800320155 /nfs/dbraw/zinc/32/01/55/800320155.db2.gz YKWOVGGFRBNYQR-JSGCOSHPSA-N 0 1 286.347 0.508 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@H](CNC(=O)[C@]2(C)CCOC2)C1 ZINC001150487318 800340005 /nfs/dbraw/zinc/34/00/05/800340005.db2.gz HGEWNQXHSZCWEW-GDBMZVCRSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@]2(C)CCOC2)C1 ZINC001150487318 800340011 /nfs/dbraw/zinc/34/00/11/800340011.db2.gz HGEWNQXHSZCWEW-GDBMZVCRSA-N 0 1 294.395 0.643 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cncc(C(N)=O)c1 ZINC001151292848 800414578 /nfs/dbraw/zinc/41/45/78/800414578.db2.gz NSSIJZOFJMWZLH-UHFFFAOYSA-N 0 1 282.731 0.252 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)Nc1n[nH]c(CC)n1 ZINC001151842813 800462373 /nfs/dbraw/zinc/46/23/73/800462373.db2.gz AWKKTONZVVZHGY-UHFFFAOYSA-N 0 1 252.274 0.815 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)Nc1nc(CC)n[nH]1 ZINC001151842813 800462375 /nfs/dbraw/zinc/46/23/75/800462375.db2.gz AWKKTONZVVZHGY-UHFFFAOYSA-N 0 1 252.274 0.815 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@@H]1CCCN1C ZINC001152386954 800515468 /nfs/dbraw/zinc/51/54/68/800515468.db2.gz UVJLFQSQTBNHQO-NEPJUHHUSA-N 0 1 252.362 0.431 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cccc(C(N)=O)n1 ZINC001152849376 800559979 /nfs/dbraw/zinc/55/99/79/800559979.db2.gz ZCUOWAYWKFIPFF-UHFFFAOYSA-N 0 1 282.731 0.252 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001153025443 800582597 /nfs/dbraw/zinc/58/25/97/800582597.db2.gz XEDDLKRVSJMLGA-LLVKDONJSA-N 0 1 293.371 0.640 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001153025443 800582604 /nfs/dbraw/zinc/58/26/04/800582604.db2.gz XEDDLKRVSJMLGA-LLVKDONJSA-N 0 1 293.371 0.640 20 30 CCEDMN COC(=O)c1cccc2n[nH]c(C(=O)N(C)CC#N)c21 ZINC001154607222 800810237 /nfs/dbraw/zinc/81/02/37/800810237.db2.gz LPCBCCQWPURLFJ-UHFFFAOYSA-N 0 1 272.264 0.945 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2ncc(C#N)cc2C#N)CC1 ZINC001155154438 800920534 /nfs/dbraw/zinc/92/05/34/800920534.db2.gz XJTJSCAGUQKPLK-CYBMUJFWSA-N 0 1 299.334 0.542 20 30 CCEDMN N#Cc1ccc(NCc2nnc3n2CCCNC3)nc1 ZINC001155424951 800973849 /nfs/dbraw/zinc/97/38/49/800973849.db2.gz MPBVQWWYFVOWHE-UHFFFAOYSA-N 0 1 269.312 0.650 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCNCc1cnon1 ZINC001155446912 800978777 /nfs/dbraw/zinc/97/87/77/800978777.db2.gz PXZRYBPQRVDEEK-NSHDSACASA-N 0 1 282.344 0.647 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1nocc1C ZINC001155711974 801041747 /nfs/dbraw/zinc/04/17/47/801041747.db2.gz DPPYANKWASSTLI-UHFFFAOYSA-N 0 1 267.329 0.782 20 30 CCEDMN CC(C)Cn1ncnc1CNCCCNC(=O)[C@H](C)C#N ZINC001155827857 801060285 /nfs/dbraw/zinc/06/02/85/801060285.db2.gz HSMBJTNCJUZMLE-GFCCVEGCSA-N 0 1 292.387 0.690 20 30 CCEDMN Cc1conc1CNCCCNC(=O)[C@@H](C)C#N ZINC001155848338 801065423 /nfs/dbraw/zinc/06/54/23/801065423.db2.gz JUNVPQNTFNQWBF-VIFPVBQESA-N 0 1 250.302 0.739 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1noc2c1COCC2 ZINC001155847641 801065659 /nfs/dbraw/zinc/06/56/59/801065659.db2.gz AUWJKPJGPBSLQL-SNVBAGLBSA-N 0 1 292.339 0.503 20 30 CCEDMN C[C@@H](NCCCNC(=O)[C@@H](C)C#N)c1ncccn1 ZINC001155849465 801066801 /nfs/dbraw/zinc/06/68/01/801066801.db2.gz YPPHZDVVOPTPOA-WDEREUQCSA-N 0 1 261.329 0.793 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CCNC(=O)C2CC2)C[C@H]1C ZINC001206660110 801117965 /nfs/dbraw/zinc/11/79/65/801117965.db2.gz XCKTTXXMRBYCOJ-DMDPSCGWSA-N 0 1 292.383 0.109 20 30 CCEDMN C=CCOCCCC(=O)NCCCNCc1cn(C)nn1 ZINC001156160004 801119168 /nfs/dbraw/zinc/11/91/68/801119168.db2.gz KWWBNZBMLOOYEK-UHFFFAOYSA-N 0 1 295.387 0.394 20 30 CCEDMN Cc1cccn2c(C(=O)Nc3nc[nH]c3C#N)nnc12 ZINC001156346383 801155232 /nfs/dbraw/zinc/15/52/32/801155232.db2.gz XSANDOBWXDVREI-UHFFFAOYSA-N 0 1 267.252 0.885 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)[C@@H](CCC)NC(N)=O ZINC001156613631 801197018 /nfs/dbraw/zinc/19/70/18/801197018.db2.gz ZJJNVCVTWUCAIV-VXGBXAGGSA-N 0 1 282.388 0.283 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1C[C@H](O)C[C@@H]1CO ZINC001156890115 801255551 /nfs/dbraw/zinc/25/55/51/801255551.db2.gz PIYQNXDLGWSWKD-NXEZZACHSA-N 0 1 286.291 0.002 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1ncnn1CCF ZINC001157164380 801316473 /nfs/dbraw/zinc/31/64/73/801316473.db2.gz JZKSGRSQGQVILX-UHFFFAOYSA-N 0 1 295.362 0.647 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1cc(C)on1 ZINC001157189520 801321940 /nfs/dbraw/zinc/32/19/40/801321940.db2.gz NGZDABXEBLCHHT-AWEZNQCLSA-N 0 1 281.356 0.906 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1nocc1C ZINC001157201276 801323863 /nfs/dbraw/zinc/32/38/63/801323863.db2.gz DVBHTGHTEQEGLP-AWEZNQCLSA-N 0 1 281.356 0.906 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1cc(OC)no1 ZINC001157201184 801324124 /nfs/dbraw/zinc/32/41/24/801324124.db2.gz CLECOMIRYZAYMN-AWEZNQCLSA-N 0 1 297.355 0.606 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(C)C[C@H]1c1ccccc1 ZINC001157495376 801401180 /nfs/dbraw/zinc/40/11/80/801401180.db2.gz KFTCOILSLHEZML-HOCLYGCPSA-N 0 1 271.364 0.852 20 30 CCEDMN CCNC(=O)CN(C)C(=O)[C@@H](N)Cc1ccccc1C#N ZINC001157678606 801452730 /nfs/dbraw/zinc/45/27/30/801452730.db2.gz CIUINURFELOTMM-ZDUSSCGKSA-N 0 1 288.351 0.023 20 30 CCEDMN COc1cc(CNCCCNC(=O)C#CC2CC2)on1 ZINC001157682504 801454038 /nfs/dbraw/zinc/45/40/38/801454038.db2.gz JNFGEXHOMPGPCF-UHFFFAOYSA-N 0 1 277.324 0.693 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCCNC1=O ZINC001158096736 801569501 /nfs/dbraw/zinc/56/95/01/801569501.db2.gz RECQOPNUBHNBNR-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCNC(=O)c1cccnc1-n1c(C)cnc1CN ZINC001158166287 801581321 /nfs/dbraw/zinc/58/13/21/801581321.db2.gz JFYVGUASWCXVEX-UHFFFAOYSA-N 0 1 271.324 0.950 20 30 CCEDMN N#Cc1ncc(Cl)nc1NC[C@@H]1COCCN1 ZINC001159043484 801748702 /nfs/dbraw/zinc/74/87/02/801748702.db2.gz SWZUQMIZVJJJSJ-SSDOTTSWSA-N 0 1 253.693 0.402 20 30 CCEDMN Cc1c(CC[NH3+])c(=O)[n-]n1-c1cnc(C#N)c(C)n1 ZINC001159299977 801788834 /nfs/dbraw/zinc/78/88/34/801788834.db2.gz JCXRQFFPLJNWBD-UHFFFAOYSA-N 0 1 258.285 0.358 20 30 CCEDMN COCC#CCN1CC=C(CCNC(=O)[C@H](C)OC)CC1 ZINC001159438412 801806564 /nfs/dbraw/zinc/80/65/64/801806564.db2.gz PSYKMYAMWGSYAT-AWEZNQCLSA-N 0 1 294.395 0.810 20 30 CCEDMN C[C@@H](C(N)=O)N1CC=C(CCNC(=O)C#CC2CC2)CC1 ZINC001160081808 801905275 /nfs/dbraw/zinc/90/52/75/801905275.db2.gz WFNHBQKRMQTLBN-LBPRGKRZSA-N 0 1 289.379 0.412 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCO[C@H](c2ccccc2)C1 ZINC001160530693 801962636 /nfs/dbraw/zinc/96/26/36/801962636.db2.gz NJBXBFAHGUTSIW-ZFWWWQNUSA-N 0 1 258.321 0.937 20 30 CCEDMN C#CC[C@@H](NC(=O)c1cn[nH]c1-c1ccccn1)C(=O)OC ZINC001161364500 802138587 /nfs/dbraw/zinc/13/85/87/802138587.db2.gz DOVZRHSBASBMAE-GFCCVEGCSA-N 0 1 298.302 0.766 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC001162687859 802395087 /nfs/dbraw/zinc/39/50/87/802395087.db2.gz ZNSNBVQEMDKEHY-XQHKEYJVSA-N 0 1 262.357 0.183 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CC(F)(F)F ZINC001278850637 808333330 /nfs/dbraw/zinc/33/33/30/808333330.db2.gz QKOJNVRQGRVJQU-VIFPVBQESA-N 0 1 266.263 0.323 20 30 CCEDMN C[C@@H]1[C@H](C)N(C)CCN1c1cnc(C#N)c(C#N)n1 ZINC001162942994 802441867 /nfs/dbraw/zinc/44/18/67/802441867.db2.gz IKSLWIOQNMQRPF-VHSXEESVSA-N 0 1 256.313 0.749 20 30 CCEDMN N#CCc1cccnc1NCc1nnc2n1CCCNC2 ZINC001163011830 802456334 /nfs/dbraw/zinc/45/63/34/802456334.db2.gz XIQBGFOGIQCBAR-UHFFFAOYSA-N 0 1 283.339 0.845 20 30 CCEDMN C=CCS(=O)(=O)N[C@H](C(=O)OCC)c1cnccn1 ZINC001259934779 808810014 /nfs/dbraw/zinc/81/00/14/808810014.db2.gz CZSJKOZKZFEIDK-JTQLQIEISA-N 0 1 285.325 0.186 20 30 CCEDMN Cn1ccc(S(=O)(=O)N2CCC3SC(=O)C=C3C2)n1 ZINC001259939448 808814351 /nfs/dbraw/zinc/81/43/51/808814351.db2.gz CNWVTZIUMMGGLI-SECBINFHSA-N 0 1 299.377 0.383 20 30 CCEDMN C#CCCN1CCC[C@@H](NS(=O)(=O)C(F)F)C1=O ZINC001259962026 808825149 /nfs/dbraw/zinc/82/51/49/808825149.db2.gz BJHCEUPSEHYEKP-MRVPVSSYSA-N 0 1 280.296 0.143 20 30 CCEDMN C#CCCN1CCC[C@H](NS(=O)(=O)C(F)F)C1=O ZINC001259962027 808825390 /nfs/dbraw/zinc/82/53/90/808825390.db2.gz BJHCEUPSEHYEKP-QMMMGPOBSA-N 0 1 280.296 0.143 20 30 CCEDMN CN(C)S(=O)(=O)n1ccnc1CNCCCCC#N ZINC001261206501 808927281 /nfs/dbraw/zinc/92/72/81/808927281.db2.gz QWCUWLIOYZMALO-UHFFFAOYSA-N 0 1 285.373 0.321 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CCc1nc(C)no1 ZINC001272080040 814934127 /nfs/dbraw/zinc/93/41/27/814934127.db2.gz HLQXCLRUKGUDCF-UHFFFAOYSA-N 0 1 278.356 0.724 20 30 CCEDMN CCn1nncc1C(=O)NCCNCc1ccccc1C#N ZINC001126732157 814934155 /nfs/dbraw/zinc/93/41/55/814934155.db2.gz DEZOKMVTYQKSBH-UHFFFAOYSA-N 0 1 298.350 0.689 20 30 CCEDMN N#CCc1cc(NCCNC(=O)c2ccncc2)ccn1 ZINC001163970077 802643022 /nfs/dbraw/zinc/64/30/22/802643022.db2.gz AHZHEORMJZOAAU-UHFFFAOYSA-N 0 1 281.319 0.806 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCNCc1nnnn1C ZINC001164214358 802689390 /nfs/dbraw/zinc/68/93/90/802689390.db2.gz WLVXTJLYGYHLSG-QWRGUYRKSA-N 0 1 280.376 0.264 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)C[C@@H]2CCNC2=O)CC1 ZINC001279573364 809987533 /nfs/dbraw/zinc/98/75/33/809987533.db2.gz DBWJUUBIAXICDC-AWEZNQCLSA-N 0 1 289.379 0.284 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1ccc2c(C#N)c[nH]c2n1 ZINC001165439585 802843235 /nfs/dbraw/zinc/84/32/35/802843235.db2.gz RDLFFDQCPMVECO-NSHDSACASA-N 0 1 285.307 0.386 20 30 CCEDMN C=C1CCC(C(=O)NCCCNCc2nnnn2C)CC1 ZINC001165901661 802874133 /nfs/dbraw/zinc/87/41/33/802874133.db2.gz XWUBJEPYJVMQBA-UHFFFAOYSA-N 0 1 292.387 0.552 20 30 CCEDMN COC(=O)c1cc(C#N)cnc1N1CC[C@@H](N)C(F)(F)C1 ZINC001166960658 802995633 /nfs/dbraw/zinc/99/56/33/802995633.db2.gz KJSYSUQODAJMFR-SNVBAGLBSA-N 0 1 296.277 0.913 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001075693549 813336950 /nfs/dbraw/zinc/33/69/50/813336950.db2.gz PAWMFWNVOYAXNF-WDEREUQCSA-N 0 1 276.340 0.756 20 30 CCEDMN C=CCCCC(=O)NCC1([NH2+]Cc2n[nH]c(=O)[n-]2)CC1 ZINC001269480572 813385720 /nfs/dbraw/zinc/38/57/20/813385720.db2.gz ORGDCYIKIWAVSX-UHFFFAOYSA-N 0 1 279.344 0.605 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCCOC)C1 ZINC001206491166 803253451 /nfs/dbraw/zinc/25/34/51/803253451.db2.gz ZEXALTPEVUXIPL-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001206698320 803279554 /nfs/dbraw/zinc/27/95/54/803279554.db2.gz FZTPQUFNMBNGOZ-TZMCWYRMSA-N 0 1 292.383 0.591 20 30 CCEDMN Cn1cc(CN2CC[C@@H](O)[C@](C)(CO)C2)cc1C#N ZINC001207103582 803354226 /nfs/dbraw/zinc/35/42/26/803354226.db2.gz YBYJPZKWKMEQAT-KGLIPLIRSA-N 0 1 263.341 0.462 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COCc2cc(C)no2)C1 ZINC001207682558 803436093 /nfs/dbraw/zinc/43/60/93/803436093.db2.gz QJOWOYHXKHTRJV-BXUZGUMPSA-N 0 1 291.351 0.569 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H](C)NC(N)=O)C1 ZINC001207902515 803465507 /nfs/dbraw/zinc/46/55/07/803465507.db2.gz NCQJWIMNMGURDP-UWVGGRQHSA-N 0 1 288.779 0.376 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2(COC)CC2)C1 ZINC001207984490 803475849 /nfs/dbraw/zinc/47/58/49/803475849.db2.gz BTZDQSIGPAUWIX-VXGBXAGGSA-N 0 1 250.342 0.483 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)CC(N)=O)C1 ZINC001208975772 803560331 /nfs/dbraw/zinc/56/03/31/803560331.db2.gz DLKTUYWMYNYDPU-MWLCHTKSSA-N 0 1 287.791 0.831 20 30 CCEDMN CC1(C)CN(CC#N)C[C@@H]1NC(=O)CCc1c[nH]nn1 ZINC001276606865 803563038 /nfs/dbraw/zinc/56/30/38/803563038.db2.gz CBYWZQODDOXAJG-NSHDSACASA-N 0 1 276.344 0.087 20 30 CCEDMN CC1(C)CN(CC#N)C[C@@H]1NC(=O)CCc1cnn[nH]1 ZINC001276606865 803563040 /nfs/dbraw/zinc/56/30/40/803563040.db2.gz CBYWZQODDOXAJG-NSHDSACASA-N 0 1 276.344 0.087 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COCCOCC)C1 ZINC001211382311 803679242 /nfs/dbraw/zinc/67/92/42/803679242.db2.gz WCXQJGBBGWWJCJ-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COC[C@@H]2CCCO2)C1 ZINC001211476175 803684081 /nfs/dbraw/zinc/68/40/81/803684081.db2.gz YNHOJFYCOKIBNU-HZSPNIEDSA-N 0 1 280.368 0.252 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@H]2OC)CCC1 ZINC001213397242 803692232 /nfs/dbraw/zinc/69/22/32/803692232.db2.gz DBKHAVICVMBLNT-MGPQQGTHSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H]1[C@H]2CN([C@H](C)C(N)=O)C[C@H]21 ZINC001276701745 803695205 /nfs/dbraw/zinc/69/52/05/803695205.db2.gz WDMZYQYLTTZZGF-KKOKHZNYSA-N 0 1 279.384 0.366 20 30 CCEDMN C#CCCCC(=O)N1C[C@@H](C)[C@H](NCc2cnnn2C)C1 ZINC001214529045 803748003 /nfs/dbraw/zinc/74/80/03/803748003.db2.gz KANOJPPQZWMUAJ-TZMCWYRMSA-N 0 1 289.383 0.555 20 30 CCEDMN Cc1nc(C#N)cnc1NC1=CCS(=O)(=O)CC1 ZINC001216564176 803844135 /nfs/dbraw/zinc/84/41/35/803844135.db2.gz QGLRXAQTUGCVQE-UHFFFAOYSA-N 0 1 264.310 0.771 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)C[C@H](C)OC)[C@H]2C1 ZINC001217481271 803892715 /nfs/dbraw/zinc/89/27/15/803892715.db2.gz GEYUMDPWVUHLLZ-MELADBBJSA-N 0 1 282.384 0.899 20 30 CCEDMN N#Cc1cc(N2CCN3C[C@H](O)C[C@H]3C2)c([N+](=O)[O-])s1 ZINC000407259475 803966624 /nfs/dbraw/zinc/96/66/24/803966624.db2.gz GHWWHUGNNOJZJQ-DTWKUNHWSA-N 0 1 294.336 0.783 20 30 CCEDMN CO[C@@H]1CN(CCOC2CCC2)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212041671 814983582 /nfs/dbraw/zinc/98/35/82/814983582.db2.gz XLVRUSWIKIMYTC-MRVWCRGKSA-N 0 1 295.383 0.531 20 30 CCEDMN COc1ncc(NC(=O)[C@@H](N)Cc2ccccc2C#N)cn1 ZINC001218592944 804025128 /nfs/dbraw/zinc/02/51/28/804025128.db2.gz CXWRYPFNGQDZCZ-ZDUSSCGKSA-N 0 1 297.318 0.865 20 30 CCEDMN C=CCN1CCN(C(=O)[C@@H](N)COC(C)(C)C)CC1 ZINC000309954255 804049453 /nfs/dbraw/zinc/04/94/53/804049453.db2.gz QBFWUUAJHUZLKB-LBPRGKRZSA-N 0 1 269.389 0.459 20 30 CCEDMN Cc1coc(NC(=O)[C@H](CC(C)C)NC(=O)CN)c1C#N ZINC001218765426 804116019 /nfs/dbraw/zinc/11/60/19/804116019.db2.gz VFZRTGIOJGXKNZ-NSHDSACASA-N 0 1 292.339 0.888 20 30 CCEDMN N=C(c1ccccc1N)N([O-])C(=O)[C@@H]1CC[NH2+]C[C@H]1F ZINC001218967511 804166890 /nfs/dbraw/zinc/16/68/90/804166890.db2.gz CSVYGKVFQVRQMP-PSASIEDQSA-N 0 1 280.303 0.760 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN1C[C@@H](O)COC ZINC001276802312 804210543 /nfs/dbraw/zinc/21/05/43/804210543.db2.gz YNCRQQJPQWJWLC-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2CC=CCC2)[C@@H](O)C1 ZINC001219173277 804224457 /nfs/dbraw/zinc/22/44/57/804224457.db2.gz AMXCYUNPPRULBW-KFWWJZLASA-N 0 1 294.395 0.707 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1CN(C(C)C)C[C@@H]1O ZINC001219313251 804267525 /nfs/dbraw/zinc/26/75/25/804267525.db2.gz ZVVVWRFRVZGSNB-UPJWGTAASA-N 0 1 270.373 0.537 20 30 CCEDMN C#Cc1ccc(NC(=O)[C@@H]2CCCN2C(=O)CN)cc1F ZINC001219384020 804294523 /nfs/dbraw/zinc/29/45/23/804294523.db2.gz HHRYDMBXWFGATR-ZDUSSCGKSA-N 0 1 289.310 0.695 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CN(CC=C(C)C)C[C@@H]1O ZINC001219605383 804373264 /nfs/dbraw/zinc/37/32/64/804373264.db2.gz QMXNFJIZQUJQIJ-MJBXVCDLSA-N 0 1 280.368 0.152 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)NC1(C#N)CCC1 ZINC001219607980 804373926 /nfs/dbraw/zinc/37/39/26/804373926.db2.gz KBLLXZYRFPOJDB-JTQLQIEISA-N 0 1 266.345 0.038 20 30 CCEDMN Cc1nonc1CNC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001219947465 804455240 /nfs/dbraw/zinc/45/52/40/804455240.db2.gz AIKMOJRPVHXGAG-LBPRGKRZSA-N 0 1 285.307 0.436 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)Nc1nn[nH]c1C(=O)NC ZINC001219983318 804464315 /nfs/dbraw/zinc/46/43/15/804464315.db2.gz LNBRJEJWNJMACD-ZETCQYMHSA-N 0 1 264.289 0.088 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@@H](CC)OC)C[C@@H]1O ZINC001220146641 804514609 /nfs/dbraw/zinc/51/46/09/804514609.db2.gz LGCBARMQHSMBPS-UPJWGTAASA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCCC2CC2)[C@@H](O)C1 ZINC001220196472 804534359 /nfs/dbraw/zinc/53/43/59/804534359.db2.gz SAQOGJJBBSBVJD-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)CC)[C@@H](O)C1 ZINC001220251119 804550190 /nfs/dbraw/zinc/55/01/90/804550190.db2.gz KUKZIXBLAAZQJX-NEPJUHHUSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)CC(F)(F)F)[C@@H](O)C1 ZINC001220270226 804556884 /nfs/dbraw/zinc/55/68/84/804556884.db2.gz HGUNDGWAQOGXCK-AXFHLTTASA-N 0 1 292.301 0.760 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001220298991 804565646 /nfs/dbraw/zinc/56/56/46/804565646.db2.gz LJDZZVKZXLXQQH-NEPJUHHUSA-N 0 1 250.342 0.361 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN([C@H](C)COC)C[C@@H]1O ZINC001220437100 804603823 /nfs/dbraw/zinc/60/38/23/804603823.db2.gz UJIIMZNOGVEVIC-UPJWGTAASA-N 0 1 284.400 0.785 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)[C@H](N)Cc1ccccc1F ZINC001220498844 804615188 /nfs/dbraw/zinc/61/51/88/804615188.db2.gz LXBUAFDLLAVPKC-NEPJUHHUSA-N 0 1 293.298 0.267 20 30 CCEDMN CN[C@@H](CC(C)C)C(=O)N=C(N)c1ccc(C(N)=O)cc1 ZINC001220643853 804642757 /nfs/dbraw/zinc/64/27/57/804642757.db2.gz WBWHQRDNQZDYLQ-LBPRGKRZSA-N 0 1 290.367 0.652 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2cccs2)[C@@H](O)C1 ZINC001220948495 804704912 /nfs/dbraw/zinc/70/49/12/804704912.db2.gz UHURKMNFUUZHFL-KGLIPLIRSA-N 0 1 292.404 0.865 20 30 CCEDMN C=CCC[C@H](NC(=O)NCCC[NH+]1CCOCC1)C(=O)[O-] ZINC000316538888 804707805 /nfs/dbraw/zinc/70/78/05/804707805.db2.gz XEVQCEBNMPQMSL-LBPRGKRZSA-N 0 1 299.371 0.427 20 30 CCEDMN C=CC[C@@](C)(NC(=O)[C@@H](N)COC(C)(C)C)C(=O)OC ZINC001221382482 804796649 /nfs/dbraw/zinc/79/66/49/804796649.db2.gz UCVFPNPFZJDOOB-IINYFYTJSA-N 0 1 286.372 0.753 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](CC)NC(C)=O)[C@@H]2C1 ZINC001221825900 804846666 /nfs/dbraw/zinc/84/66/66/804846666.db2.gz SMQKIMLIHROEBR-HZSPNIEDSA-N 0 1 279.384 0.620 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](C)COC)C[C@@H]21 ZINC001222421556 804900597 /nfs/dbraw/zinc/90/05/97/804900597.db2.gz CGJGEUFGKACVCK-MCIONIFRSA-N 0 1 264.369 0.825 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CC[C@H]2CCN(CCF)[C@H]2C1 ZINC001222562901 804916254 /nfs/dbraw/zinc/91/62/54/804916254.db2.gz WVGQHMOSWRUOOA-OLZOCXBDSA-N 0 1 295.358 0.018 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCN1CCc1ccnn1C ZINC001276834320 804930436 /nfs/dbraw/zinc/93/04/36/804930436.db2.gz KSIHARNNHVUWJT-OAHLLOKOSA-N 0 1 288.395 0.957 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@@H]1COC(=O)C1 ZINC001276835609 804931505 /nfs/dbraw/zinc/93/15/05/804931505.db2.gz SBULYASYICYABU-RYUDHWBXSA-N 0 1 266.341 0.706 20 30 CCEDMN C=C[C@@H]1O[C@H](OCc2nn[nH]n2)[C@H]2OC(C)(C)O[C@@H]12 ZINC001223028109 804948423 /nfs/dbraw/zinc/94/84/23/804948423.db2.gz XLJLUYNWEDGEKL-LKEDHPFLSA-N 0 1 268.273 0.147 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](C)CC(N)=O)C2)C1 ZINC001276869903 804959601 /nfs/dbraw/zinc/95/96/01/804959601.db2.gz OUVKBBOHTHCWGM-ZDUSSCGKSA-N 0 1 291.395 0.446 20 30 CCEDMN COCCN1Cc2n[nH]c(C(=O)N3CC(CC#N)C3)c2C1 ZINC001276895921 804975817 /nfs/dbraw/zinc/97/58/17/804975817.db2.gz BMJZBWHSTVHMKA-UHFFFAOYSA-N 0 1 289.339 0.357 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)[C@H](C)Cc1cnc[nH]1 ZINC001276942231 805004674 /nfs/dbraw/zinc/00/46/74/805004674.db2.gz UWHXDMOBRXYHMA-YPMHNXCESA-N 0 1 260.341 0.412 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cn[nH]c(=O)c2)[C@H]1C ZINC001088643217 815046025 /nfs/dbraw/zinc/04/60/25/815046025.db2.gz XLRKPCRWGHHHFI-PWSUYJOCSA-N 0 1 274.324 0.398 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)Cn1ccccc1=O ZINC001276970841 805036243 /nfs/dbraw/zinc/03/62/43/805036243.db2.gz VNTNUBVPUPUJMR-ZDUSSCGKSA-N 0 1 275.352 0.615 20 30 CCEDMN C=CCOCC(=O)N[C@@]1(C)CCN([C@@H](C)C(=O)N(C)C)C1 ZINC001278369229 807040961 /nfs/dbraw/zinc/04/09/61/807040961.db2.gz NPOFNCMLPZXHKV-WFASDCNBSA-N 0 1 297.399 0.246 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)CC2CC2)CC1 ZINC001276991434 805071719 /nfs/dbraw/zinc/07/17/19/805071719.db2.gz GNGWUSYBQQYRCN-UHFFFAOYSA-N 0 1 265.357 0.327 20 30 CCEDMN CC(C)CNC(=O)CNC1(CNC(=O)C#CC2CC2)CC1 ZINC001277055911 805142370 /nfs/dbraw/zinc/14/23/70/805142370.db2.gz XASDRABVTAOSSX-UHFFFAOYSA-N 0 1 291.395 0.410 20 30 CCEDMN C=CCCOCC(=O)NCC1CCN([C@H](C)C(N)=O)CC1 ZINC001225626312 805144272 /nfs/dbraw/zinc/14/42/72/805144272.db2.gz ZDSFDTLJMGDCEA-GFCCVEGCSA-N 0 1 297.399 0.281 20 30 CCEDMN CO[C@@H](CCNC(=O)NCC#CCN(C)C)C(F)(F)F ZINC001226383443 805224582 /nfs/dbraw/zinc/22/45/82/805224582.db2.gz ORUBBMYGNBNLBM-JTQLQIEISA-N 0 1 295.305 0.818 20 30 CCEDMN CC#CCN1CCC(NC(=O)CNC(=O)CCC)CC1 ZINC001226368963 805224906 /nfs/dbraw/zinc/22/49/06/805224906.db2.gz HRWUZZHNUUGUQV-UHFFFAOYSA-N 0 1 279.384 0.507 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCOCC(F)F ZINC001226419477 805229602 /nfs/dbraw/zinc/22/96/02/805229602.db2.gz DXLDKSZIIMSGMQ-UHFFFAOYSA-N 0 1 263.288 0.132 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cnc(C)[nH]2)CC1 ZINC001226548127 805244255 /nfs/dbraw/zinc/24/42/55/805244255.db2.gz DGWBXSCQVRYDOH-UHFFFAOYSA-N 0 1 290.367 0.562 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C2(C)CCC2)CC1 ZINC001277142409 805258174 /nfs/dbraw/zinc/25/81/74/805258174.db2.gz YTOQTHSUASKTJT-UHFFFAOYSA-N 0 1 279.384 0.717 20 30 CCEDMN C#C[C@H](Oc1nc2[nH]cnc2c(=S)[nH]1)C(=O)OCC ZINC001226787519 805282060 /nfs/dbraw/zinc/28/20/60/805282060.db2.gz ZZQHNTKKZIGYEP-LURJTMIESA-N 0 1 278.293 0.585 20 30 CCEDMN CCOC(=O)C[C@@H](CC#N)Oc1cc(=O)[nH]c(OC)n1 ZINC001227000979 805306675 /nfs/dbraw/zinc/30/66/75/805306675.db2.gz WBPSTNAUYZQVCS-MRVPVSSYSA-N 0 1 281.268 0.805 20 30 CCEDMN C=CCNC(=O)N1CC[C@H]2[C@@H]1CCN2Cc1cn[nH]c1 ZINC001277173384 805306794 /nfs/dbraw/zinc/30/67/94/805306794.db2.gz BSFZKRJJWARNEJ-STQMWFEESA-N 0 1 275.356 0.954 20 30 CCEDMN COc1nc(OC2=C(C)O[C@H](C)C2=O)cc(=O)[nH]1 ZINC001227001106 805306951 /nfs/dbraw/zinc/30/69/51/805306951.db2.gz XSSIDFDLKVSLDY-RXMQYKEDSA-N 0 1 252.226 0.789 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1ccc(S(N)(=O)=O)cc1 ZINC001227200184 805331238 /nfs/dbraw/zinc/33/12/38/805331238.db2.gz UWTYXKWYHRAGJQ-SSDOTTSWSA-N 0 1 283.305 0.932 20 30 CCEDMN C[N@H+]1[C@@H]2C[C@@H](Oc3[n-]c(=O)nc4[nH]ccc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227270029 805339182 /nfs/dbraw/zinc/33/91/82/805339182.db2.gz AIFQIXDFEBTQTF-FRQULTQSSA-N 0 1 288.307 0.655 20 30 CCEDMN C[N@@H+]1[C@@H]2C[C@@H](Oc3[n-]c(=O)nc4[nH]ccc43)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227270029 805339190 /nfs/dbraw/zinc/33/91/90/805339190.db2.gz AIFQIXDFEBTQTF-FRQULTQSSA-N 0 1 288.307 0.655 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H]2C[C@H](C)CO2)CC1 ZINC001228360066 805439831 /nfs/dbraw/zinc/43/98/31/805439831.db2.gz FHTLEENMYNFNSM-ZFWWWQNUSA-N 0 1 294.395 0.642 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCc2cnn(C)n2)CC1 ZINC001229222583 805513820 /nfs/dbraw/zinc/51/38/20/805513820.db2.gz BMNZLBOAVDIERK-UHFFFAOYSA-N 0 1 289.383 0.352 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCc2cn[nH]c2)CC1 ZINC001229553056 805541510 /nfs/dbraw/zinc/54/15/10/805541510.db2.gz VNHYYUFMCPKADP-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NC(=O)c2[nH]ncc2F)[C@H]1C ZINC000989750458 815092212 /nfs/dbraw/zinc/09/22/12/815092212.db2.gz YWIVEJYIUIEIKW-MRTMQBJTSA-N 0 1 293.302 0.428 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncnc3[nH]ccc32)[C@H]1C ZINC001088707275 815108341 /nfs/dbraw/zinc/10/83/41/815108341.db2.gz XRBSDPNSWFAGNC-PWSUYJOCSA-N 0 1 283.335 0.735 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](N2CCC[C@H](C#N)CC2)CN1 ZINC001246831765 807398959 /nfs/dbraw/zinc/39/89/59/807398959.db2.gz KUSTWLCVOYXNEE-XQQFMLRXSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CCCCNC(=S)NCCN1CCN(C)CC1 ZINC001247764997 807489177 /nfs/dbraw/zinc/48/91/77/807489177.db2.gz GXKFBOHRLGHOJX-UHFFFAOYSA-N 0 1 270.446 0.664 20 30 CCEDMN CN1CCC(O)(c2nc(-c3ccc(C#N)cn3)no2)CC1 ZINC001248969225 807536359 /nfs/dbraw/zinc/53/63/59/807536359.db2.gz NLHGDOSNSLULBA-UHFFFAOYSA-N 0 1 285.307 0.916 20 30 CCEDMN N#Cc1ccc2cc(CN3C[C@H](O)[C@@H](CO)C3)[nH]c2c1 ZINC001249426763 807554003 /nfs/dbraw/zinc/55/40/03/807554003.db2.gz GMJMXBDJARHMTI-DOMZBBRYSA-N 0 1 271.320 0.825 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCn4ccnc4C3)[C@@H]2C1 ZINC001075965718 815130413 /nfs/dbraw/zinc/13/04/13/815130413.db2.gz AQYBAEDDTSGQSR-QLFBSQMISA-N 0 1 298.390 0.611 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnn(CCF)c3)[C@@H]2C1 ZINC001075975202 815135493 /nfs/dbraw/zinc/13/54/93/815135493.db2.gz SYHKZFHWQYQJCK-GXTWGEPZSA-N 0 1 290.342 0.632 20 30 CCEDMN Cc1ncccc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001251619998 807689038 /nfs/dbraw/zinc/68/90/38/807689038.db2.gz QEUKALHLUBEKDV-UHFFFAOYSA-N 0 1 261.310 0.821 20 30 CCEDMN CC(C)C#CC(=O)NCCNCC(=O)Nc1ccccc1 ZINC001126827892 815141057 /nfs/dbraw/zinc/14/10/57/815141057.db2.gz DWYAHOGWRKJBJS-UHFFFAOYSA-N 0 1 287.363 0.990 20 30 CCEDMN C#CCOC[C@H](O)CN1CCc2nc(C)sc2C1 ZINC001251847494 807718689 /nfs/dbraw/zinc/71/86/89/807718689.db2.gz HFVNLHGKYPSMEB-LLVKDONJSA-N 0 1 266.366 0.820 20 30 CCEDMN C#CCOC[C@H](O)CNCc1c(F)cccc1OC ZINC001251885108 807733464 /nfs/dbraw/zinc/73/34/64/807733464.db2.gz NWXQNSASJMZQQD-LLVKDONJSA-N 0 1 267.300 0.935 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ccn(CC(F)(F)F)n1 ZINC001251900354 807742767 /nfs/dbraw/zinc/74/27/67/807742767.db2.gz OSDAJLJUSKBKHH-NSHDSACASA-N 0 1 291.273 0.546 20 30 CCEDMN COCC#CCN1CCC[C@@](C)(CNC(=O)[C@@H](C)OC)C1 ZINC001278648409 807799299 /nfs/dbraw/zinc/79/92/99/807799299.db2.gz PRJKQVYYPLKOET-ZBFHGGJFSA-N 0 1 296.411 0.889 20 30 CCEDMN N#C[C@H]1CN(C[C@H](O)COCc2ccccc2)CCC1=O ZINC001252283286 807802736 /nfs/dbraw/zinc/80/27/36/807802736.db2.gz DAVLWSUJPZZKNO-GJZGRUSLSA-N 0 1 288.347 0.979 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(CC)ccn2)[C@@H](O)C1 ZINC001083484175 815154313 /nfs/dbraw/zinc/15/43/13/815154313.db2.gz XWRRBHNQHDXLOR-CABCVRRESA-N 0 1 287.363 0.442 20 30 CCEDMN C=CCOC[C@@H](O)CNc1cc(=O)[nH]c(OC)n1 ZINC001252453869 807869917 /nfs/dbraw/zinc/86/99/17/807869917.db2.gz IVBXNVVAJICWOY-QMMMGPOBSA-N 0 1 255.274 0.166 20 30 CCEDMN C=CCOC[C@H](O)CN1Cc2ccnn2CC[C@H]1CO ZINC001252474141 807885572 /nfs/dbraw/zinc/88/55/72/807885572.db2.gz VHFGHMOLCNHODO-UONOGXRCSA-N 0 1 281.356 0.013 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCS[C@@H](C(=O)OCC)C1 ZINC001252474148 807886359 /nfs/dbraw/zinc/88/63/59/807886359.db2.gz VLHUQQBOKRVLEH-NWDGAFQWSA-N 0 1 289.397 0.530 20 30 CCEDMN C=C[C@](C)(O)CN1Cc2ccnn2CC[C@@H]1CO ZINC001252557603 807911133 /nfs/dbraw/zinc/91/11/33/807911133.db2.gz NYZKFPBKFLLOEW-OLZOCXBDSA-N 0 1 251.330 0.387 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CCC(F)(F)F)C1 ZINC001278772144 808201399 /nfs/dbraw/zinc/20/13/99/808201399.db2.gz XTHMHURZXCAEJV-LLVKDONJSA-N 0 1 278.274 0.515 20 30 CCEDMN N#C[C@@H]1CC[C@H](N[C@H]2COCCC23OCCO3)C1 ZINC001254642762 808269111 /nfs/dbraw/zinc/26/91/11/808269111.db2.gz LBYNMTGGFLLZCI-WOPDTQHZSA-N 0 1 252.314 0.800 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cccn1C ZINC001278844558 808321052 /nfs/dbraw/zinc/32/10/52/808321052.db2.gz HFSIIIVUUBFMGD-LBPRGKRZSA-N 0 1 263.341 0.023 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)[C@H](C)C#N)C1 ZINC001278848374 808328437 /nfs/dbraw/zinc/32/84/37/808328437.db2.gz HQQGLPIUJZASAN-VXGBXAGGSA-N 0 1 265.357 0.664 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc(C)ncn1 ZINC001278854347 808335201 /nfs/dbraw/zinc/33/52/01/808335201.db2.gz BDLZZAVATPFYKC-CYBMUJFWSA-N 0 1 290.367 0.173 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cccnc1C ZINC001278868728 808388860 /nfs/dbraw/zinc/38/88/60/808388860.db2.gz HUPHPBNKIIJAQP-CYBMUJFWSA-N 0 1 275.352 0.388 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@]1(C)CCCOC1 ZINC001278877988 808418342 /nfs/dbraw/zinc/41/83/42/808418342.db2.gz FWYVLZHJBPIJQK-UKRRQHHQSA-N 0 1 282.384 0.187 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cc(F)cc(F)c1 ZINC001278883576 808426806 /nfs/dbraw/zinc/42/68/06/808426806.db2.gz ZFOMBUSWDLYYPJ-AWEZNQCLSA-N 0 1 296.317 0.963 20 30 CCEDMN CC#CCN(C)CCN(C(=O)c1nnn(C)c1C)C(C)C ZINC001278899062 808440701 /nfs/dbraw/zinc/44/07/01/808440701.db2.gz FXWZEVMQCYGAPY-UHFFFAOYSA-N 0 1 291.399 0.929 20 30 CCEDMN C=CCn1cccc1C(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001083526131 815213336 /nfs/dbraw/zinc/21/33/36/815213336.db2.gz CQBIKFQCMACTNJ-YPMHNXCESA-N 0 1 263.341 0.469 20 30 CCEDMN CN1CCN(C2CN(C3CCC(C#N)CC3)C2)CC1=O ZINC001256969345 808572793 /nfs/dbraw/zinc/57/27/93/808572793.db2.gz FMGLZSRAGAYEFH-UHFFFAOYSA-N 0 1 276.384 0.527 20 30 CCEDMN Cn1cnc(S(=O)(=O)N2CCC3SC(=O)C=C3C2)c1 ZINC001258994560 808710720 /nfs/dbraw/zinc/71/07/20/808710720.db2.gz AFCMEMAMAYHMKE-SECBINFHSA-N 0 1 299.377 0.383 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3ccon3)[C@@H]2C1 ZINC001076040715 815235108 /nfs/dbraw/zinc/23/51/08/815235108.db2.gz VPNWQJRVVPELBE-GXTWGEPZSA-N 0 1 273.336 0.773 20 30 CCEDMN C=CCS(=O)(=O)N1C[C@@H]2CN(CC)CCO[C@@H]2C1 ZINC001259926225 808806282 /nfs/dbraw/zinc/80/62/82/808806282.db2.gz PENNWMFOMVMKJS-NWDGAFQWSA-N 0 1 274.386 0.155 20 30 CCEDMN C=CCCNS(=O)(=O)c1nc2nc(Cl)ccn2n1 ZINC001260179800 808854956 /nfs/dbraw/zinc/85/49/56/808854956.db2.gz VSVHYKOKIZAVMT-UHFFFAOYSA-N 0 1 287.732 0.632 20 30 CCEDMN C[C@H](O)c1ncc(CNCCn2cnc(C#N)n2)s1 ZINC001261182801 808922041 /nfs/dbraw/zinc/92/20/41/808922041.db2.gz YTKOUKBIPOORPA-QMMMGPOBSA-N 0 1 278.341 0.449 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3c[nH]c(=O)n3C)[C@@H]2C1 ZINC001076133359 815247502 /nfs/dbraw/zinc/24/75/02/815247502.db2.gz UITAWXWZUUZAEP-WCQYABFASA-N 0 1 288.351 0.295 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001076174234 815254959 /nfs/dbraw/zinc/25/49/59/815254959.db2.gz DBCMOPFPTKWXLQ-LSDHHAIUSA-N 0 1 299.374 0.886 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)NCc2n[nH]c(=O)n2C)C1 ZINC001262083886 809186409 /nfs/dbraw/zinc/18/64/09/809186409.db2.gz YZOWEDYFFFWYDY-VIFPVBQESA-N 0 1 281.316 0.007 20 30 CCEDMN CN1CCC[C@@H]1c1cc(C(=O)N(CC#N)CC#N)[nH]n1 ZINC001262153796 809208078 /nfs/dbraw/zinc/20/80/78/809208078.db2.gz NBZDTUSEXLWTAQ-GFCCVEGCSA-N 0 1 272.312 0.666 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cscn3)[C@@H]2C1 ZINC001076327263 815277958 /nfs/dbraw/zinc/27/79/58/815277958.db2.gz RYYNZHIBGNRIHA-WCQYABFASA-N 0 1 275.377 0.852 20 30 CCEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)c3cnon3)[C@@H]2C1 ZINC001076377607 815284725 /nfs/dbraw/zinc/28/47/25/815284725.db2.gz DEUYGKXTPVURSN-CMPLNLGQSA-N 0 1 262.313 0.792 20 30 CCEDMN C=CCO[C@@H]1CCN(c2nnc(-c3c[nH]nn3)n2C)C1 ZINC001262851537 809415959 /nfs/dbraw/zinc/41/59/59/809415959.db2.gz LCXNXZXONGWLFQ-SECBINFHSA-N 0 1 275.316 0.382 20 30 CCEDMN C=CCn1c(C2=NO[C@@H](CO)C2)nnc1N(CC)CC ZINC001262965905 809424969 /nfs/dbraw/zinc/42/49/69/809424969.db2.gz YTTUBNSKMCNZDE-SNVBAGLBSA-N 0 1 279.344 0.796 20 30 CCEDMN CCn1ccnc1[C@@H](C#N)C(=O)[C@@H]1CCN(C)C1=O ZINC001263616775 809541316 /nfs/dbraw/zinc/54/13/16/809541316.db2.gz IKVMBKCWEHTMSI-UWVGGRQHSA-N 0 1 260.297 0.558 20 30 CCEDMN CC#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H](C)OCC)C1 ZINC001264073077 809626446 /nfs/dbraw/zinc/62/64/46/809626446.db2.gz IVPDHQUVNFAMNH-CABCVRRESA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C2CC2)C1 ZINC001264073351 809627485 /nfs/dbraw/zinc/62/74/85/809627485.db2.gz PPQBBZYRQPVLGB-CYBMUJFWSA-N 0 1 250.342 0.315 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H]1CCCC(=O)N1 ZINC001264365500 809643957 /nfs/dbraw/zinc/64/39/57/809643957.db2.gz YUWHXPHCRQSOMY-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2ccns2)C1 ZINC001264632856 809668228 /nfs/dbraw/zinc/66/82/28/809668228.db2.gz RJIGLVSYUALYCF-GFCCVEGCSA-N 0 1 293.392 0.675 20 30 CCEDMN CN(CCCNC(=O)C1=CCCC1)CC(=O)NCC#N ZINC001264975961 809684605 /nfs/dbraw/zinc/68/46/05/809684605.db2.gz LWGWEYHCDNQEHD-UHFFFAOYSA-N 0 1 278.356 0.175 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H]1C[C@@H]1C(C)C ZINC001265054441 809702068 /nfs/dbraw/zinc/70/20/68/809702068.db2.gz MHMKXGVFNCILIR-ZIAGYGMSSA-N 0 1 293.411 0.466 20 30 CCEDMN CCN(CCCNC(=O)C#CC(C)C)CC(=O)NC ZINC001265113358 809711233 /nfs/dbraw/zinc/71/12/33/809711233.db2.gz KCTKYQYIAICIOF-UHFFFAOYSA-N 0 1 267.373 0.220 20 30 CCEDMN C[C@H](C#N)C(=O)NCCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001265156081 809717790 /nfs/dbraw/zinc/71/77/90/809717790.db2.gz NDLVWTBAKYUPBX-SECBINFHSA-N 0 1 292.343 0.141 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCCCN(CC#N)C1CC1 ZINC001265165797 809719138 /nfs/dbraw/zinc/71/91/38/809719138.db2.gz HIAMXZUBBLHZIX-CQSZACIVSA-N 0 1 278.400 0.965 20 30 CCEDMN C#CC[N@@H+](CCCNC(=O)c1[n-]nnc1C)C1CC1 ZINC001265170522 809719842 /nfs/dbraw/zinc/71/98/42/809719842.db2.gz XHHIDKBIAAGQPP-UHFFFAOYSA-N 0 1 261.329 0.331 20 30 CCEDMN C#CC[N@H+](CCCNC(=O)c1[n-]nnc1C)C1CC1 ZINC001265170522 809719844 /nfs/dbraw/zinc/71/98/44/809719844.db2.gz XHHIDKBIAAGQPP-UHFFFAOYSA-N 0 1 261.329 0.331 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)C(F)F)CC1 ZINC001265254332 809731944 /nfs/dbraw/zinc/73/19/44/809731944.db2.gz HLYPIVRXYQKSMN-UHFFFAOYSA-N 0 1 259.300 0.009 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)C#CC(C)(C)C)CC1 ZINC001265258941 809733690 /nfs/dbraw/zinc/73/36/90/809733690.db2.gz UHNYPDIEXCVYBG-UHFFFAOYSA-N 0 1 289.423 0.793 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H]2CCO[C@H]2C)CC1 ZINC001265264843 809734368 /nfs/dbraw/zinc/73/43/68/809734368.db2.gz FZSMZJLCXBNABE-UONOGXRCSA-N 0 1 281.400 0.331 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2coc(C)n2)C1 ZINC001265279880 809743915 /nfs/dbraw/zinc/74/39/15/809743915.db2.gz YURVWAFHOGSRLU-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N1CCC(N2CCC2)CC1 ZINC000700427147 809750363 /nfs/dbraw/zinc/75/03/63/809750363.db2.gz UQUHWMRQUYDJFJ-JTQLQIEISA-N 0 1 257.359 0.398 20 30 CCEDMN CC#CC[NH2+][C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001265352686 809762809 /nfs/dbraw/zinc/76/28/09/809762809.db2.gz VOFZYTOTDYPWRP-LBPRGKRZSA-N 0 1 273.336 0.909 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@@](C)(O)C=C ZINC001265643024 809818511 /nfs/dbraw/zinc/81/85/11/809818511.db2.gz FCBOITPGXOAEOT-HIFRSBDPSA-N 0 1 264.369 0.917 20 30 CCEDMN COCC(=O)NC[C@@H](C)NCC#Cc1ccccc1 ZINC001265746151 809835337 /nfs/dbraw/zinc/83/53/37/809835337.db2.gz FALVCTZDPGDOCL-CYBMUJFWSA-N 0 1 260.337 0.779 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)NCc1cnc(C)o1 ZINC001265758761 809843243 /nfs/dbraw/zinc/84/32/43/809843243.db2.gz JTMBNKVOHHJPNZ-JTQLQIEISA-N 0 1 267.329 0.780 20 30 CCEDMN Cc1nc([C@H](C)N(C)CCCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001265814603 809862382 /nfs/dbraw/zinc/86/23/82/809862382.db2.gz BCXYLYWSLFXRQE-ZJUUUORDSA-N 0 1 278.360 0.772 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCC(=O)N(C)C3)[C@@H]2C1 ZINC001076609843 815320257 /nfs/dbraw/zinc/32/02/57/815320257.db2.gz SKZJOHXNQKPVPM-BFHYXJOUSA-N 0 1 289.379 0.021 20 30 CCEDMN CC#CC[N@@H+](C)CCCNC(=O)CCn1cnccc1=O ZINC001265946991 809901767 /nfs/dbraw/zinc/90/17/67/809901767.db2.gz SUWKVCBFRHGNSE-UHFFFAOYSA-N 0 1 290.367 0.095 20 30 CCEDMN COCC#CCN(C)[C@H]1CCCN(C(=O)[C@@H]2CCCO2)C1 ZINC001266089959 809933915 /nfs/dbraw/zinc/93/39/15/809933915.db2.gz BFYXFLVQEDJUFD-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN C[C@H](C(N)=O)N(CCCNC(=O)C#CC1CC1)C1CC1 ZINC001266135468 809945070 /nfs/dbraw/zinc/94/50/70/809945070.db2.gz LFIDXPPFSKEKEM-LLVKDONJSA-N 0 1 277.368 0.244 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](C)c3cnn(C)c3)[C@@H]2C1 ZINC001076657382 815331490 /nfs/dbraw/zinc/33/14/90/815331490.db2.gz AXXGEGGUWPKEFS-VNHYZAJKSA-N 0 1 286.379 0.690 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)C(F)F)C1 ZINC001279452988 809979368 /nfs/dbraw/zinc/97/93/68/809979368.db2.gz BHBGDSGZORKAPD-GFCCVEGCSA-N 0 1 260.284 0.218 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCCN1[C@@H]1CCCNC1=O ZINC001267268392 811069084 /nfs/dbraw/zinc/06/90/84/811069084.db2.gz YDVWKKNEPBIYLN-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2nccn2CC)C1 ZINC001076942841 815420404 /nfs/dbraw/zinc/42/04/04/815420404.db2.gz YIIWRRKLQZDHBO-VXGBXAGGSA-N 0 1 278.356 0.254 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCOCC2)C1 ZINC001076950557 815420563 /nfs/dbraw/zinc/42/05/63/815420563.db2.gz XMUNLARJISBATO-MGPQQGTHSA-N 0 1 282.384 0.541 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2cc[nH]c2CC)C1 ZINC001076955522 815421580 /nfs/dbraw/zinc/42/15/80/815421580.db2.gz IMKRBBSHEASTQY-ZIAGYGMSSA-N 0 1 277.368 0.928 20 30 CCEDMN CCCN(CC#N)CCNC(=O)CCc1nc[nH]n1 ZINC001267311962 811135316 /nfs/dbraw/zinc/13/53/16/811135316.db2.gz KZSYTUBQCDIBLN-UHFFFAOYSA-N 0 1 264.333 0.089 20 30 CCEDMN Cc1cc(CNCCN(C)C(=O)c2cc(C#N)c[nH]2)ncn1 ZINC001267360654 811211535 /nfs/dbraw/zinc/21/15/35/811211535.db2.gz NJOLEBHQKHQIEQ-UHFFFAOYSA-N 0 1 298.350 0.847 20 30 CCEDMN COc1ccc(C#N)c(-n2c(C)ncc(CN)c2=N)n1 ZINC001167677279 811241626 /nfs/dbraw/zinc/24/16/26/811241626.db2.gz MVPJROXQPRTJBH-UHFFFAOYSA-N 0 1 270.296 0.394 20 30 CCEDMN C[C@@H](NCCNC(=O)c1ccc(C#N)[nH]1)c1cnccn1 ZINC001125776294 811320008 /nfs/dbraw/zinc/32/00/08/811320008.db2.gz KTMNJEDWRASOEJ-SNVBAGLBSA-N 0 1 284.323 0.757 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)C[C@@H]21 ZINC001042193377 811368777 /nfs/dbraw/zinc/36/87/77/811368777.db2.gz LXFDTGXHOXRBQJ-SBJFKYEJSA-N 0 1 274.364 0.435 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@@H]1CCCNC1=O)c1ccccc1 ZINC001267520915 811399838 /nfs/dbraw/zinc/39/98/38/811399838.db2.gz OOEDOODZJFKLQE-HUUCEWRRSA-N 0 1 299.374 0.593 20 30 CCEDMN C=C(C)CCC(=O)NCC[C@@H]1CCN(CC(N)=O)C1 ZINC001267535680 811415665 /nfs/dbraw/zinc/41/56/65/811415665.db2.gz CVPZUTHPYUPPTM-GFCCVEGCSA-N 0 1 267.373 0.656 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2c[nH]nc2C)CC1 ZINC001267608734 811557607 /nfs/dbraw/zinc/55/76/07/811557607.db2.gz AYQVXJNXURVUFL-UHFFFAOYSA-N 0 1 291.399 0.594 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@@H](F)CC)CC1 ZINC001267609032 811558467 /nfs/dbraw/zinc/55/84/67/811558467.db2.gz MGGZPWJKYSTZMZ-ZDUSSCGKSA-N 0 1 271.380 0.997 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](CN(C)CC(=O)N(C)C)C1 ZINC001267631603 811589704 /nfs/dbraw/zinc/58/97/04/811589704.db2.gz HJFTWCVANJHFRB-ZDUSSCGKSA-N 0 1 297.399 0.058 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCCC(=O)NCC)C1 ZINC001267633612 811591508 /nfs/dbraw/zinc/59/15/08/811591508.db2.gz GUHAFPNRJWKOSY-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1CN(CCOCCO)C1 ZINC001267687501 811652813 /nfs/dbraw/zinc/65/28/13/811652813.db2.gz GGRDSNAKGHKQEH-UHFFFAOYSA-N 0 1 270.373 0.256 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001267735625 811705373 /nfs/dbraw/zinc/70/53/73/811705373.db2.gz XXDGFVCLSGIWQM-LBPRGKRZSA-N 0 1 293.371 0.396 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cnn(C)c2)C1 ZINC001267754897 811749036 /nfs/dbraw/zinc/74/90/36/811749036.db2.gz YMHRXDCHHICNLG-CYBMUJFWSA-N 0 1 262.357 0.729 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCOC2CCOCC2)C1 ZINC001267775904 811775778 /nfs/dbraw/zinc/77/57/78/811775778.db2.gz ZOLIQRDRPODGPG-AWEZNQCLSA-N 0 1 294.395 0.738 20 30 CCEDMN C[C@H]1CN(CCO)CCN1Cc1cncc(C#N)c1 ZINC000930672523 811776581 /nfs/dbraw/zinc/77/65/81/811776581.db2.gz BWZZYRJKLBPPLY-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCC(=O)NCCC)C1 ZINC001267778043 811778897 /nfs/dbraw/zinc/77/88/97/811778897.db2.gz WAZQBWHVRJWWSF-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CNC(=O)CC)C1 ZINC001267783914 811783846 /nfs/dbraw/zinc/78/38/46/811783846.db2.gz GCUQOBZADXWNLM-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CCc2nccn2C)C1 ZINC001267787580 811785084 /nfs/dbraw/zinc/78/50/84/811785084.db2.gz FJHGRIYWKYRRDN-AWEZNQCLSA-N 0 1 288.395 0.909 20 30 CCEDMN C=CCCNCc1cn(C2CN(C(=O)CSC)C2)nn1 ZINC001105146353 811857340 /nfs/dbraw/zinc/85/73/40/811857340.db2.gz AVPYWOJAJRFPIH-UHFFFAOYSA-N 0 1 295.412 0.690 20 30 CCEDMN C#CCC[NH2+]CCCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001167751502 811883706 /nfs/dbraw/zinc/88/37/06/811883706.db2.gz ZZORYOHPAPLCMF-UHFFFAOYSA-N 0 1 288.351 0.792 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H](C)CNCc1cnns1 ZINC001268054789 812147010 /nfs/dbraw/zinc/14/70/10/812147010.db2.gz LXLJBVFOHMAXNF-IUCAKERBSA-N 0 1 267.358 0.634 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H](C)CNCc1nccn1C ZINC001268056077 812150867 /nfs/dbraw/zinc/15/08/67/812150867.db2.gz ZRYRTHCXSHUYGT-MNOVXSKESA-N 0 1 263.345 0.516 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCn2ccnc2C1 ZINC001027979694 812189234 /nfs/dbraw/zinc/18/92/34/812189234.db2.gz HILARGJEVBSJKN-ZIAGYGMSSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnn(CCF)c1 ZINC001027985130 812196177 /nfs/dbraw/zinc/19/61/77/812196177.db2.gz CQGDWFNDGVXHFO-ZDUSSCGKSA-N 0 1 278.331 0.680 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc2nnnn2c1 ZINC001028027312 812222432 /nfs/dbraw/zinc/22/24/32/812222432.db2.gz WEJLNCPESKQRGG-CYBMUJFWSA-N 0 1 298.350 0.342 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCc2[nH]cnc21 ZINC001028130748 812300567 /nfs/dbraw/zinc/30/05/67/812300567.db2.gz UZWZQXRQNMZWFT-NEPJUHHUSA-N 0 1 287.367 0.934 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c[nH]nc2CCC)[C@@H](O)C1 ZINC001083596141 815524087 /nfs/dbraw/zinc/52/40/87/815524087.db2.gz JPPJDDPMHUIIOR-KGLIPLIRSA-N 0 1 290.367 0.160 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1[nH]nc2c1CCC2)NCC#N ZINC001268211682 812366381 /nfs/dbraw/zinc/36/63/81/812366381.db2.gz NRQZYAVRQHCCLL-GFCCVEGCSA-N 0 1 275.356 0.766 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001028210188 812372775 /nfs/dbraw/zinc/37/27/75/812372775.db2.gz WTXBULLJIQORCT-LBPRGKRZSA-N 0 1 290.367 0.643 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H]1CCOC1 ZINC001268233740 812396182 /nfs/dbraw/zinc/39/61/82/812396182.db2.gz WTUVRRUVGYUQAH-CYBMUJFWSA-N 0 1 268.357 0.063 20 30 CCEDMN C[C@@H]1C[C@@H](CN2CC(NC(=O)CSCC#N)C2)CCO1 ZINC001268245253 812409845 /nfs/dbraw/zinc/40/98/45/812409845.db2.gz DRSNJIIQXFKBIM-NEPJUHHUSA-N 0 1 297.424 0.859 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1nonc1C ZINC001268260497 812427887 /nfs/dbraw/zinc/42/78/87/812427887.db2.gz VVDBRAGOBIUQRW-UHFFFAOYSA-N 0 1 280.328 0.032 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)[C@H]2CN(C)CCO2)C1 ZINC001268263531 812429020 /nfs/dbraw/zinc/42/90/20/812429020.db2.gz CKXUNOYHOAUDSP-GXTWGEPZSA-N 0 1 281.400 0.472 20 30 CCEDMN C=CCCCN1CC(NC(=O)C[C@H](C)NC(N)=O)C1 ZINC001268310385 812472501 /nfs/dbraw/zinc/47/25/01/812472501.db2.gz WOVAFNKUNLZEBO-JTQLQIEISA-N 0 1 268.361 0.200 20 30 CCEDMN C#CCCN1CCC[C@H]1CNC(=O)c1c[nH]c(C)cc1=O ZINC001028241799 812473209 /nfs/dbraw/zinc/47/32/09/812473209.db2.gz ULKSZPRLTZOKBZ-ZDUSSCGKSA-N 0 1 287.363 0.901 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001028245866 812484030 /nfs/dbraw/zinc/48/40/30/812484030.db2.gz LEEPBYQOADVLEX-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)NCC1CC(NCC#N)C1 ZINC001100378049 812513510 /nfs/dbraw/zinc/51/35/10/812513510.db2.gz QJJXGPZVNVXVDS-BPCQOVAHSA-N 0 1 284.379 0.674 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](C)CCNCc1cnon1 ZINC001268357810 812517497 /nfs/dbraw/zinc/51/74/97/812517497.db2.gz JEZUJNMAVLTESE-GWCFXTLKSA-N 0 1 282.344 0.381 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(C#C)nc1 ZINC001028272308 812537335 /nfs/dbraw/zinc/53/73/35/812537335.db2.gz SUIIHCUBVIMVLJ-OAHLLOKOSA-N 0 1 267.332 0.890 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001028334675 812626571 /nfs/dbraw/zinc/62/65/71/812626571.db2.gz GLHOGPQWIMHETI-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001028334675 812626578 /nfs/dbraw/zinc/62/65/78/812626578.db2.gz GLHOGPQWIMHETI-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1[nH]cnc1C ZINC001028356030 812642005 /nfs/dbraw/zinc/64/20/05/812642005.db2.gz XVTHGYAAUFWPJT-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@H]1CCN(CC#N)C1 ZINC001028447011 812712424 /nfs/dbraw/zinc/71/24/24/812712424.db2.gz KXVDZXUKARLDNS-NEPJUHHUSA-N 0 1 250.346 0.042 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2ccc(F)nc2)C1 ZINC001028451416 812716292 /nfs/dbraw/zinc/71/62/92/812716292.db2.gz RDZZLSQZAIGMEL-LLVKDONJSA-N 0 1 261.300 0.906 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)CC(C)(C)C ZINC001268512242 812747144 /nfs/dbraw/zinc/74/71/44/812747144.db2.gz OSRJWJSOZPXFIW-VOTSOKGWSA-N 0 1 279.384 0.434 20 30 CCEDMN Cn1cc(CNC/C=C/CNC(=O)c2cc(C#N)c[nH]2)cn1 ZINC001268524296 812767293 /nfs/dbraw/zinc/76/72/93/812767293.db2.gz DHAUXHVYKIAXMT-NSCUHMNNSA-N 0 1 298.350 0.696 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)[C@@H]2C1 ZINC001075657155 812883785 /nfs/dbraw/zinc/88/37/85/812883785.db2.gz NHGCZDZMNSADHA-GXTWGEPZSA-N 0 1 268.320 0.666 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)c2ccc[nH]2)[C@H](OC)C1 ZINC001211836205 812918598 /nfs/dbraw/zinc/91/85/98/812918598.db2.gz VRGOQUCIRRBBEN-ZIAGYGMSSA-N 0 1 293.367 0.646 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC[C@H]1CCN(CCF)C1 ZINC001028601042 812955507 /nfs/dbraw/zinc/95/55/07/812955507.db2.gz HRWUAQWNVWXPSJ-KGLIPLIRSA-N 0 1 281.375 0.492 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CNCc1ccn(C)n1 ZINC001268685199 813004744 /nfs/dbraw/zinc/00/47/44/813004744.db2.gz FNRSPCRHRVXXGN-LBPRGKRZSA-N 0 1 262.357 0.818 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H](C)CNCc1cnoc1C ZINC001268688564 813010116 /nfs/dbraw/zinc/01/01/16/813010116.db2.gz INTOFLYWUSASDH-JQWIXIFHSA-N 0 1 279.340 0.616 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCC1CN(CCO)C1 ZINC001268703995 813022547 /nfs/dbraw/zinc/02/25/47/813022547.db2.gz SXIJNDRAKXOQSD-LLVKDONJSA-N 0 1 256.346 0.008 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CCc1cncnc1 ZINC001268738795 813052095 /nfs/dbraw/zinc/05/20/95/813052095.db2.gz IPZJDULZBZVWMN-ZDUSSCGKSA-N 0 1 274.368 0.869 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](C)NC(=O)CCCF)C1=O ZINC001268742475 813056030 /nfs/dbraw/zinc/05/60/30/813056030.db2.gz DWTRDRAZIUDHQH-CHWSQXEVSA-N 0 1 299.390 0.960 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccc(-n2cnnc2)cc1 ZINC001268749782 813065195 /nfs/dbraw/zinc/06/51/95/813065195.db2.gz DWVWOTOJZDERKC-ZDUSSCGKSA-N 0 1 297.362 0.951 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCCN1C(=O)CCC ZINC001268759870 813071211 /nfs/dbraw/zinc/07/12/11/813071211.db2.gz POTBPAADKPWSJX-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN CCn1nc(C)c(CNCCCN(C)C(=O)[C@H](C)C#N)n1 ZINC001268784562 813085720 /nfs/dbraw/zinc/08/57/20/813085720.db2.gz XVTHGPWORIJZDU-LLVKDONJSA-N 0 1 292.387 0.704 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001268859150 813118508 /nfs/dbraw/zinc/11/85/08/813118508.db2.gz XVSXIPPGYFMWNN-CYBMUJFWSA-N 0 1 278.356 0.342 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H]2C[C@H]1CN2[C@@H](C)COC ZINC001268929641 813148847 /nfs/dbraw/zinc/14/88/47/813148847.db2.gz HCRYWEQBNXYXEK-AVGNSLFASA-N 0 1 268.357 0.509 20 30 CCEDMN N#CCCC(=O)N1CC2(C[C@@H]2C(=O)NCc2cnc[nH]2)C1 ZINC001269024958 813181474 /nfs/dbraw/zinc/18/14/74/813181474.db2.gz ZQXAWEKDFKCELN-LLVKDONJSA-N 0 1 287.323 0.178 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cnc(C)n2C)C1 ZINC001269057222 813199375 /nfs/dbraw/zinc/19/93/75/813199375.db2.gz QQLIBBMPFZXILK-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@@H](C)OC)C1 ZINC001269113205 813221103 /nfs/dbraw/zinc/22/11/03/813221103.db2.gz OWHWMPBPEKEGSV-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(C(=O)CN(C)CC)CC2 ZINC001269093204 813212412 /nfs/dbraw/zinc/21/24/12/813212412.db2.gz SGMMECCFKBEEQC-ZDUSSCGKSA-N 0 1 291.395 0.316 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCc2cc(OC)no2)C1 ZINC001269134168 813233440 /nfs/dbraw/zinc/23/34/40/813233440.db2.gz JJPQNOWJGPCGPU-LLVKDONJSA-N 0 1 277.324 0.440 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cccnn2)C1 ZINC001269183617 813252857 /nfs/dbraw/zinc/25/28/57/813252857.db2.gz ZNTHDZYGGCQARS-GFCCVEGCSA-N 0 1 276.340 0.483 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H]1CC[N@@H+]1CCOC ZINC001269223333 813277050 /nfs/dbraw/zinc/27/70/50/813277050.db2.gz MZCHVVWJTQFUQQ-AAEUAGOBSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H]1CCN1CCOC ZINC001269223333 813277055 /nfs/dbraw/zinc/27/70/55/813277055.db2.gz MZCHVVWJTQFUQQ-AAEUAGOBSA-N 0 1 256.346 0.150 20 30 CCEDMN N#Cc1ccc2n[nH]c(C(=O)N3CC[C@@H]4C[C@@]43C(N)=O)c2c1 ZINC001269242934 813286802 /nfs/dbraw/zinc/28/68/02/813286802.db2.gz DTVBBXZVCYADGV-PSLIRLAXSA-N 0 1 295.302 0.524 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@H]1CCCC(=O)N1 ZINC001269261430 813294551 /nfs/dbraw/zinc/29/45/51/813294551.db2.gz RMWKBUOGPCKEAK-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCCC(=O)NCCC)C1 ZINC001269287007 813308106 /nfs/dbraw/zinc/30/81/06/813308106.db2.gz FROQXZZMOLBQFC-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@H]1CCC(=O)N1 ZINC001269320990 813323970 /nfs/dbraw/zinc/32/39/70/813323970.db2.gz MULSRASVYOOOQU-NEPJUHHUSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)CCC(=O)NCCC)C1 ZINC001269349950 813335072 /nfs/dbraw/zinc/33/50/72/813335072.db2.gz WVVBTECHEALHRL-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CCC(=O)NCCC)C1 ZINC001269349950 813335080 /nfs/dbraw/zinc/33/50/80/813335080.db2.gz WVVBTECHEALHRL-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C2CC(C)(C)C2)CC1 ZINC001269407667 813360046 /nfs/dbraw/zinc/36/00/46/813360046.db2.gz ONBTWZLJKVBTAU-UHFFFAOYSA-N 0 1 293.411 0.963 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001028766134 813464855 /nfs/dbraw/zinc/46/48/55/813464855.db2.gz MYCXEZQLEOWDFK-GHMZBOCLSA-N 0 1 288.355 0.186 20 30 CCEDMN C#CCN1CC[C@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001028906248 813644086 /nfs/dbraw/zinc/64/40/86/813644086.db2.gz IAZZRCFBLMQSGL-GFCCVEGCSA-N 0 1 273.336 0.368 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3nccc(C)n3)[C@@H]2C1 ZINC001075745814 813697632 /nfs/dbraw/zinc/69/76/32/813697632.db2.gz VAIIDNOYGSVWGD-UONOGXRCSA-N 0 1 284.363 0.955 20 30 CCEDMN C[C@H](CNCc1nncs1)CNC(=O)[C@H](C)C#N ZINC001270371591 813734297 /nfs/dbraw/zinc/73/42/97/813734297.db2.gz JJTFCYWUJHKYPC-RKDXNWHRSA-N 0 1 267.358 0.540 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cn2ncc3cccnc32)C1 ZINC001270607165 813835055 /nfs/dbraw/zinc/83/50/55/813835055.db2.gz KMMNTUAENNGKHV-INIZCTEOSA-N 0 1 297.362 0.645 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)CNC(=O)OC)CC2 ZINC001270833594 813963003 /nfs/dbraw/zinc/96/30/03/813963003.db2.gz IMRDYQPIXAZDLG-UHFFFAOYSA-N 0 1 293.367 0.290 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001059300547 814082138 /nfs/dbraw/zinc/08/21/38/814082138.db2.gz XILWPHZYPJMIAE-XYPYZODXSA-N 0 1 297.322 0.373 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)[C@@H](C)n2cncn2)[C@H]1CC ZINC001087548040 814135144 /nfs/dbraw/zinc/13/51/44/814135144.db2.gz ZKKKJYRPTLBWFO-HZSPNIEDSA-N 0 1 289.383 0.832 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)n2cncn2)[C@H]1CC ZINC001087548040 814135148 /nfs/dbraw/zinc/13/51/48/814135148.db2.gz ZKKKJYRPTLBWFO-HZSPNIEDSA-N 0 1 289.383 0.832 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c(C)ncn2C)[C@H]1CC ZINC001087611922 814176738 /nfs/dbraw/zinc/17/67/38/814176738.db2.gz NMCJYMFRUNXGJJ-QWHCGFSZSA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncc(OC)cn2)[C@H]1CC ZINC001087651728 814181350 /nfs/dbraw/zinc/18/13/50/814181350.db2.gz GHASUKKIDAPNPA-QWHCGFSZSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2nonc2C)[C@H]1CC ZINC001087780054 814212585 /nfs/dbraw/zinc/21/25/85/814212585.db2.gz NCFQDQGZYLBSQN-WCQYABFASA-N 0 1 276.340 0.523 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)CN2CCCC2)CCN1CC#N ZINC001087829673 814227691 /nfs/dbraw/zinc/22/76/91/814227691.db2.gz QIHMWTFGANEQNC-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nn(CC)nc2C)[C@H]1CC ZINC001087850645 814238239 /nfs/dbraw/zinc/23/82/39/814238239.db2.gz OXTHTSLUTBHEBP-QWHCGFSZSA-N 0 1 289.383 0.822 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2cc(OC)no2)[C@@H](O)C1 ZINC001083419550 814267699 /nfs/dbraw/zinc/26/76/99/814267699.db2.gz LGCJWEUXOOAQAD-MNOVXSKESA-N 0 1 295.339 0.424 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CCN([C@H](C)C(N)=O)C[C@H]2C1 ZINC001088066471 814326562 /nfs/dbraw/zinc/32/65/62/814326562.db2.gz LRZFVBNTNVWYFY-UPJWGTAASA-N 0 1 293.411 0.853 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccc(OC)cc2)C1 ZINC001271208975 814334653 /nfs/dbraw/zinc/33/46/53/814334653.db2.gz LUCIQPJJSMLHPX-MRXNPFEDSA-N 0 1 288.347 0.495 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001271219942 814339313 /nfs/dbraw/zinc/33/93/13/814339313.db2.gz CSSPAQCSRVFHBD-QMMMGPOBSA-N 0 1 275.312 0.156 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)C[C@](C)(O)C=C)C1 ZINC001271276398 814362789 /nfs/dbraw/zinc/36/27/89/814362789.db2.gz QKMKNZLHNDKVQS-DZGCQCFKSA-N 0 1 296.411 0.831 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN1CCC(NCC#N)CC1 ZINC001271905960 814626923 /nfs/dbraw/zinc/62/69/23/814626923.db2.gz YYJHDJNGAXRYOC-HNNXBMFYSA-N 0 1 294.399 0.007 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)Cc2[nH]cnc2C)C1 ZINC001271996733 814671501 /nfs/dbraw/zinc/67/15/01/814671501.db2.gz QCSLGABFFAMJDJ-OAHLLOKOSA-N 0 1 292.383 0.390 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2COCCO2)[C@H]1C ZINC001088475308 814726082 /nfs/dbraw/zinc/72/60/82/814726082.db2.gz RUCMBOYRSADPFD-AGIUHOORSA-N 0 1 266.341 0.004 20 30 CCEDMN CC(C)(C)C(=O)NCCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000129329556 815584948 /nfs/dbraw/zinc/58/49/48/815584948.db2.gz FUKBUNVWIUWHCJ-LLVKDONJSA-N 0 1 293.367 0.916 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccnn2C)[C@H]1C ZINC001088544598 814865405 /nfs/dbraw/zinc/86/54/05/814865405.db2.gz NUHYVBNIDBPHEL-OCCSQVGLSA-N 0 1 274.368 0.565 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)OCC)[C@H](OC)C1 ZINC001211913771 814881524 /nfs/dbraw/zinc/88/15/24/814881524.db2.gz AXSAELHAGXRJAS-YNEHKIRRSA-N 0 1 270.373 0.803 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@@H]2CCCN(C(C)=O)[C@@H]2C1 ZINC001272052934 814886500 /nfs/dbraw/zinc/88/65/00/814886500.db2.gz HROCWOPGFONJJY-FPMFFAJLSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1ccncc1Cl ZINC001127039161 815589590 /nfs/dbraw/zinc/58/95/90/815589590.db2.gz DZLQQRJMYWYXSX-LLVKDONJSA-N 0 1 295.770 0.979 20 30 CCEDMN Cc1nc([C@H](C)N2CC(NC(=O)c3c[nH]c(C#N)c3)C2)n[nH]1 ZINC001030235091 815916228 /nfs/dbraw/zinc/91/62/28/815916228.db2.gz OLIPUGKXEXKVAV-QMMMGPOBSA-N 0 1 299.338 0.488 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C/c2ccc[nH]2)[C@H](OC)C1 ZINC001212175195 815990700 /nfs/dbraw/zinc/99/07/00/815990700.db2.gz JGFKJNKFNYFVBD-FHFZEBMASA-N 0 1 287.363 0.867 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@@H]2CCNC2=O)C1 ZINC001030433442 816038841 /nfs/dbraw/zinc/03/88/41/816038841.db2.gz BSJNKFDLCQBHRF-NSHDSACASA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCCN1CC(NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001030473353 816053634 /nfs/dbraw/zinc/05/36/34/816053634.db2.gz QGQDXCZUIATOBY-VXGBXAGGSA-N 0 1 258.325 0.337 20 30 CCEDMN C=C(C)CN1CC(NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001030597894 816136565 /nfs/dbraw/zinc/13/65/65/816136565.db2.gz DWJVHUZLNRFVGJ-UHFFFAOYSA-N 0 1 260.341 0.889 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2(OC)CCC2)[C@H](OC)C1 ZINC001212188793 816150705 /nfs/dbraw/zinc/15/07/05/816150705.db2.gz CMWBSIISGHFXAW-ZIAGYGMSSA-N 0 1 294.395 0.784 20 30 CCEDMN C=CCN1CC(NC(=O)c2ccn3cncc3c2)C1 ZINC001030618054 816151341 /nfs/dbraw/zinc/15/13/41/816151341.db2.gz VEXPKGZRNGRXPF-UHFFFAOYSA-N 0 1 256.309 0.934 20 30 CCEDMN C#C[C@@H](NC[C@@H](O)C1(O)CCOCC1)c1ccccc1 ZINC001120590121 816153416 /nfs/dbraw/zinc/15/34/16/816153416.db2.gz WLKJQOZMFLRIQC-HUUCEWRRSA-N 0 1 275.348 0.853 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CCN(C(C)=O)C2)[C@H]1C ZINC001088964719 816195300 /nfs/dbraw/zinc/19/53/00/816195300.db2.gz MGIBREZQLMHJHZ-IVMMDQJWSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C(C)(C)c2c[nH]cn2)[C@H]1C ZINC001088981769 816202365 /nfs/dbraw/zinc/20/23/65/816202365.db2.gz LMHJGQDYWAZVMQ-NEPJUHHUSA-N 0 1 274.368 0.900 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCCCC(=O)N2)[C@H]1C ZINC001089004670 816210547 /nfs/dbraw/zinc/21/05/47/816210547.db2.gz WJJLIRNAPYHBOF-RDBSUJKOSA-N 0 1 291.395 0.648 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)[C@H]1C ZINC001089052746 816227958 /nfs/dbraw/zinc/22/79/58/816227958.db2.gz VGILMZYABSCDFV-BZPMIXESSA-N 0 1 286.379 0.727 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)NC1CN(CC#N)C1 ZINC001030697127 816231365 /nfs/dbraw/zinc/23/13/65/816231365.db2.gz KOTLDLKDAVOIBT-RNCFNFMXSA-N 0 1 273.340 0.400 20 30 CCEDMN C=CCN1CC(NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001030859847 816356544 /nfs/dbraw/zinc/35/65/44/816356544.db2.gz YVWIGDXUNVOWCQ-JTQLQIEISA-N 0 1 261.329 0.211 20 30 CCEDMN C=CCCC(=O)NC[C@@H](O)CN[C@@H](C)c1cnc(C)cn1 ZINC001272380391 816375969 /nfs/dbraw/zinc/37/59/69/816375969.db2.gz MMMHYXNLLHOKSK-STQMWFEESA-N 0 1 292.383 0.879 20 30 CCEDMN C#CCCCCC(=O)N(C)C[C@H]1CCN1C[C@@H](O)COC ZINC001233762872 816405503 /nfs/dbraw/zinc/40/55/03/816405503.db2.gz WJWHFZHXMYXHSW-HUUCEWRRSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCCc2cc(N)ccc21 ZINC001121934050 816488434 /nfs/dbraw/zinc/48/84/34/816488434.db2.gz XEOSUMHXNPSFRR-CQSZACIVSA-N 0 1 257.337 0.985 20 30 CCEDMN C=C(C)CNC(=O)[C@@H]1CC12CCN(CC(=O)N(C)C)CC2 ZINC001272535215 816560243 /nfs/dbraw/zinc/56/02/43/816560243.db2.gz WHDDMSWLUOSPKB-ZDUSSCGKSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCN1CC2(CN(Cc3cc(C)[nH]n3)C2)OCC1=O ZINC001272544143 816568438 /nfs/dbraw/zinc/56/84/38/816568438.db2.gz QUXSBAXKTCHEOB-UHFFFAOYSA-N 0 1 276.340 0.317 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)[C@H]2CN(C)C[C@@H](C)O2)C1 ZINC001046414191 816570790 /nfs/dbraw/zinc/57/07/90/816570790.db2.gz GPVXZQFQNRDVPH-IIAWOOMASA-N 0 1 293.411 0.309 20 30 CCEDMN C#CCN1CC(NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001031196704 816782616 /nfs/dbraw/zinc/78/26/16/816782616.db2.gz IZJSNMRWLCOMCZ-UHFFFAOYSA-N 0 1 281.319 0.519 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)[C@H]2CCc3cccnc32)[C@@H](O)C1 ZINC001083732532 816822200 /nfs/dbraw/zinc/82/22/00/816822200.db2.gz AMWGWRQJSMYSAP-ZNMIVQPWSA-N 0 1 299.374 0.296 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CCc3cccnc32)[C@@H](O)C1 ZINC001083732532 816822209 /nfs/dbraw/zinc/82/22/09/816822209.db2.gz AMWGWRQJSMYSAP-ZNMIVQPWSA-N 0 1 299.374 0.296 20 30 CCEDMN CCN(CCNc1cncc(C#N)n1)C(=O)c1ccn[nH]1 ZINC001106697648 816853392 /nfs/dbraw/zinc/85/33/92/816853392.db2.gz HXOSYTDHNPYJEC-UHFFFAOYSA-N 0 1 285.311 0.646 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3cc[nH]c3)C2)OCC1=O ZINC001272644822 816922013 /nfs/dbraw/zinc/92/20/13/816922013.db2.gz TXQGTYCGWPHBPR-HNNXBMFYSA-N 0 1 273.336 0.451 20 30 CCEDMN C[C@H](C#N)CNC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001123546643 816973661 /nfs/dbraw/zinc/97/36/61/816973661.db2.gz OQZMRPNWTLYHLJ-WOPDTQHZSA-N 0 1 267.377 0.080 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN([C@@H](C)COC)C[C@@H]2O)CC1 ZINC001083751283 816986931 /nfs/dbraw/zinc/98/69/31/816986931.db2.gz OSROPTFWJXERIB-CFVMTHIKSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C)CC=CC2)[C@@H](O)C1 ZINC001083752904 816996870 /nfs/dbraw/zinc/99/68/70/816996870.db2.gz QTUPVCOHQOHYAL-OLZOCXBDSA-N 0 1 262.353 0.527 20 30 CCEDMN N#CCSCC(=O)NCCNCc1ccns1 ZINC001123792417 817068958 /nfs/dbraw/zinc/06/89/58/817068958.db2.gz WOHVADNWRLBFJS-UHFFFAOYSA-N 0 1 270.383 0.606 20 30 CCEDMN C[C@@H](C[C@H](C)Nc1ccncc1C#N)NC(=O)c1cnn[nH]1 ZINC001089508802 817174072 /nfs/dbraw/zinc/17/40/72/817174072.db2.gz PUWNOEJXAKBLLI-UWVGGRQHSA-N 0 1 299.338 0.502 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1OC ZINC001212258436 817259872 /nfs/dbraw/zinc/25/98/72/817259872.db2.gz SVAMYEJWBXWMHC-BFHYXJOUSA-N 0 1 280.368 0.416 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@H]2CCCCN2C(C)=O)C1 ZINC001015831095 817268442 /nfs/dbraw/zinc/26/84/42/817268442.db2.gz LUXKQWLCMCNRDD-HUUCEWRRSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(OCC)no2)C1 ZINC001031851259 817417376 /nfs/dbraw/zinc/41/73/76/817417376.db2.gz ONRUHSWSOHDJSS-UHFFFAOYSA-N 0 1 265.313 0.921 20 30 CCEDMN C=C(Cl)CN1CC(CNC(=O)C2=NC(=O)N(C)C2)C1 ZINC001031950250 817488674 /nfs/dbraw/zinc/48/86/74/817488674.db2.gz ZCKUGYXTGIPNRQ-UHFFFAOYSA-N 0 1 284.747 0.540 20 30 CCEDMN C#Cc1cncc(C(=O)NCC2CN(CC=C)C2)c1 ZINC001031964804 817500061 /nfs/dbraw/zinc/50/00/61/817500061.db2.gz CVOZPKNLQLJJKJ-UHFFFAOYSA-N 0 1 255.321 0.911 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001031982597 817520043 /nfs/dbraw/zinc/52/00/43/817520043.db2.gz VNSYGRPCXYZLHM-UHFFFAOYSA-N 0 1 276.340 0.351 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cnoc1 ZINC001272709474 817529271 /nfs/dbraw/zinc/52/92/71/817529271.db2.gz HJYRPKBRXJILGQ-CHWSQXEVSA-N 0 1 259.309 0.873 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001032016721 817551061 /nfs/dbraw/zinc/55/10/61/817551061.db2.gz UXTXCLHNHPYOHX-CQSZACIVSA-N 0 1 293.411 0.869 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3ccncc3C)C2)OCC1=O ZINC001272717631 817577992 /nfs/dbraw/zinc/57/79/92/817577992.db2.gz XZLPRWYMGZQWKZ-KRWDZBQOSA-N 0 1 299.374 0.827 20 30 CCEDMN CCc1nc([C@H](C)NCCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001124904045 817629064 /nfs/dbraw/zinc/62/90/64/817629064.db2.gz VSDQPERJTOZONP-BDAKNGLRSA-N 0 1 264.333 0.294 20 30 CCEDMN CC#CCN1CC(CNC(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC001032111598 817640997 /nfs/dbraw/zinc/64/09/97/817640997.db2.gz KUFUWRSGGAZTEV-ZDUSSCGKSA-N 0 1 286.379 0.586 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC001032126367 817655448 /nfs/dbraw/zinc/65/54/48/817655448.db2.gz BTQKTTNIGDUJCQ-UHFFFAOYSA-N 0 1 287.323 0.283 20 30 CCEDMN Cc1cc(N[C@H](C)CN(C)C(=O)c2ccn[nH]2)c(C#N)cn1 ZINC001115656180 817685244 /nfs/dbraw/zinc/68/52/44/817685244.db2.gz CFEIKQTWINTOFZ-LLVKDONJSA-N 0 1 298.350 0.979 20 30 CCEDMN C=CCCCN1CC(n2cc(CNC(=O)C(F)F)nn2)C1 ZINC001107114134 817759117 /nfs/dbraw/zinc/75/91/17/817759117.db2.gz GEQDFNCDLXRZGQ-UHFFFAOYSA-N 0 1 299.325 0.982 20 30 CCEDMN NC(=O)CN1CC[C@@]2(CNC(=O)C#CC3CC3)CCC[C@@H]12 ZINC001107397951 817847803 /nfs/dbraw/zinc/84/78/03/817847803.db2.gz DLHXEMLJOXOTQL-CZUORRHYSA-N 0 1 289.379 0.246 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2CCC(=C)CC2)C1 ZINC001077625211 817882059 /nfs/dbraw/zinc/88/20/59/817882059.db2.gz VOKBECUBKBWPMC-HUUCEWRRSA-N 0 1 276.380 0.917 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN([C@@H](C)COC)C[C@H]2O)CC1 ZINC001077625058 817882189 /nfs/dbraw/zinc/88/21/89/817882189.db2.gz OSROPTFWJXERIB-NWANDNLSSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccn(C)c2C)C1 ZINC001077637010 817901019 /nfs/dbraw/zinc/90/10/19/817901019.db2.gz ZCRPBQDOGURJFB-ZIAGYGMSSA-N 0 1 275.352 0.132 20 30 CCEDMN C[C@H](CNc1ncccc1C#N)NC(=O)[C@H]1CCC[N@H+]1C ZINC001107645589 817912986 /nfs/dbraw/zinc/91/29/86/817912986.db2.gz XZMJEKAUASBKAT-DGCLKSJQSA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@H](CNc1ncccc1C#N)NC(=O)[C@H]1CCCN1C ZINC001107645589 817912992 /nfs/dbraw/zinc/91/29/92/817912992.db2.gz XZMJEKAUASBKAT-DGCLKSJQSA-N 0 1 287.367 0.964 20 30 CCEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)CNC(C)=O)C1 ZINC001107695708 817950779 /nfs/dbraw/zinc/95/07/79/817950779.db2.gz DMNBLYOBNWUOEW-HNNXBMFYSA-N 0 1 297.399 0.296 20 30 CCEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)CNC(C)=O)C1 ZINC001107695709 817950946 /nfs/dbraw/zinc/95/09/46/817950946.db2.gz DMNBLYOBNWUOEW-OAHLLOKOSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)COCC)C1 ZINC001107710661 817955855 /nfs/dbraw/zinc/95/58/55/817955855.db2.gz YZEVKXBJCHGSPQ-AWEZNQCLSA-N 0 1 270.373 0.806 20 30 CCEDMN C[C@H](CNc1cnc(C#N)cn1)NC(=O)CN1CCCC1 ZINC001107769030 817996330 /nfs/dbraw/zinc/99/63/30/817996330.db2.gz UXEDEQMLTUIIQE-LLVKDONJSA-N 0 1 288.355 0.361 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)n1cncn1 ZINC001032365212 818011526 /nfs/dbraw/zinc/01/15/26/818011526.db2.gz OQKDANIVBDREES-AVGNSLFASA-N 0 1 275.356 0.700 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2CC2)[C@@H](O)C1 ZINC001090000928 818253347 /nfs/dbraw/zinc/25/33/47/818253347.db2.gz JODIJIQFZWCAJH-OLZOCXBDSA-N 0 1 250.342 0.361 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001044157743 818269623 /nfs/dbraw/zinc/26/96/23/818269623.db2.gz SFGLGZWXAZOOKR-LBPRGKRZSA-N 0 1 286.379 0.681 20 30 CCEDMN CCc1cc(C(=O)N(C)[C@H]2CCN(CC#N)C2)n[nH]1 ZINC001032922728 818363300 /nfs/dbraw/zinc/36/33/00/818363300.db2.gz XXSWEHDWHUGPJD-NSHDSACASA-N 0 1 261.329 0.642 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cccnc2)C1 ZINC001033004711 818414666 /nfs/dbraw/zinc/41/46/66/818414666.db2.gz IUQNDVYHJATHHF-AWEZNQCLSA-N 0 1 257.337 0.790 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCNC(=O)C2)C1 ZINC001033003652 818416112 /nfs/dbraw/zinc/41/61/12/818416112.db2.gz FHGLWSJORMPVGR-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H](C)n2cncn2)C1 ZINC001033015491 818424025 /nfs/dbraw/zinc/42/40/25/818424025.db2.gz JACUPOPTURTNHG-CHWSQXEVSA-N 0 1 275.356 0.395 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](C)n2cncn2)C1 ZINC001033020311 818428596 /nfs/dbraw/zinc/42/85/96/818428596.db2.gz KECJHOZZNHSCOI-NEPJUHHUSA-N 0 1 263.345 0.558 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)C2=CCOCC2)C1 ZINC001033029517 818440586 /nfs/dbraw/zinc/44/05/86/818440586.db2.gz PQYRZNLOTSCNBC-CQSZACIVSA-N 0 1 262.353 0.889 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C2=CCOCC2)C1 ZINC001033029517 818440589 /nfs/dbraw/zinc/44/05/89/818440589.db2.gz PQYRZNLOTSCNBC-CQSZACIVSA-N 0 1 262.353 0.889 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnc(N(C)C)cn2)C1 ZINC001033078762 818498208 /nfs/dbraw/zinc/49/82/08/818498208.db2.gz QKRRONUEPFCJFB-GFCCVEGCSA-N 0 1 289.383 0.875 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(C)n2)[C@H](O)C1 ZINC001090095763 818507429 /nfs/dbraw/zinc/50/74/29/818507429.db2.gz ZULBSBKHRUIHIX-TZMCWYRMSA-N 0 1 275.352 0.741 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cccn3nnnc23)C1 ZINC001033109783 818529301 /nfs/dbraw/zinc/52/93/01/818529301.db2.gz FEJBOHIFVVZTKX-LBPRGKRZSA-N 0 1 298.350 0.294 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2cnn(C)c2C)C1 ZINC001033141970 818566886 /nfs/dbraw/zinc/56/68/86/818566886.db2.gz JNHAUXSZMHVBOX-OAHLLOKOSA-N 0 1 288.395 0.827 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)[C@@H](C)c2ccc(C)o2)[C@@H](O)C1 ZINC001083842048 818568874 /nfs/dbraw/zinc/56/88/74/818568874.db2.gz ULQNCCTVKLZVGW-MJBXVCDLSA-N 0 1 290.363 0.876 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)c2ccc(C)o2)[C@@H](O)C1 ZINC001083842048 818568876 /nfs/dbraw/zinc/56/88/76/818568876.db2.gz ULQNCCTVKLZVGW-MJBXVCDLSA-N 0 1 290.363 0.876 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cnn3ccncc23)C1 ZINC001033151810 818578764 /nfs/dbraw/zinc/57/87/64/818578764.db2.gz JFLZWXWFFSSDEP-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C=C[C@H](COC)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC001117652551 818585916 /nfs/dbraw/zinc/58/59/16/818585916.db2.gz LOATWKPMGSABRN-ZJUUUORDSA-N 0 1 264.329 0.767 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001033248547 818675589 /nfs/dbraw/zinc/67/55/89/818675589.db2.gz ROGBGMMXJFESKQ-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001033248610 818676055 /nfs/dbraw/zinc/67/60/55/818676055.db2.gz SFIOPELDSUWESH-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cocn2)[C@@H](O)C1 ZINC001090131363 818695095 /nfs/dbraw/zinc/69/50/95/818695095.db2.gz ZPBJMXKYMXZEDE-JQWIXIFHSA-N 0 1 265.313 0.416 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2coc(C(N)=O)c2)C1 ZINC001033298053 818697882 /nfs/dbraw/zinc/69/78/82/818697882.db2.gz ZDRZBAZZLMFBOS-LLVKDONJSA-N 0 1 277.324 0.711 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001033345212 818728546 /nfs/dbraw/zinc/72/85/46/818728546.db2.gz VTJPSSIAEGDBMU-DOMZBBRYSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001033351767 818731859 /nfs/dbraw/zinc/73/18/59/818731859.db2.gz SFXSBRKOJCBFET-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCNC(=O)[C@@H]1C[C@H]1C ZINC001128631653 818753511 /nfs/dbraw/zinc/75/35/11/818753511.db2.gz CWWQOUKIVFJCCI-MWLCHTKSSA-N 0 1 287.791 0.607 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cccc(=O)n2C)C1 ZINC001033407403 818757495 /nfs/dbraw/zinc/75/74/95/818757495.db2.gz OGAIHXFHVVHSCX-ZDUSSCGKSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001033414255 818762364 /nfs/dbraw/zinc/76/23/64/818762364.db2.gz UGSBEJMJNCNTPW-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001033463404 818787420 /nfs/dbraw/zinc/78/74/20/818787420.db2.gz NHGUNXUVSVDZLV-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2ccncn2)C1 ZINC001033514638 818807579 /nfs/dbraw/zinc/80/75/79/818807579.db2.gz YCMLXGHVSKZARH-GFCCVEGCSA-N 0 1 258.325 0.646 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2coc(COC)n2)C1 ZINC001033537106 818826888 /nfs/dbraw/zinc/82/68/88/818826888.db2.gz PYKYROIWWLQZNC-LBPRGKRZSA-N 0 1 291.351 0.991 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001033569463 818838660 /nfs/dbraw/zinc/83/86/60/818838660.db2.gz VSAOTFJWGFYZFZ-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CN1CC[C@H](N(C)C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001033571785 818838863 /nfs/dbraw/zinc/83/88/63/818838863.db2.gz QTERVYMYKRSOTO-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC001033623412 818857932 /nfs/dbraw/zinc/85/79/32/818857932.db2.gz RZJMMDYJZRLMDS-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001033629869 818860420 /nfs/dbraw/zinc/86/04/20/818860420.db2.gz REEMVBCLPGBVKE-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cn2ccccc2=O)C1 ZINC001033655999 818869787 /nfs/dbraw/zinc/86/97/87/818869787.db2.gz IALCOIMUWYEMDA-ZDUSSCGKSA-N 0 1 275.352 0.567 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cc(C)on2)[C@@H](O)C1 ZINC001090142449 818888410 /nfs/dbraw/zinc/88/84/10/818888410.db2.gz VLFZHAPTIPXPGP-KBPBESRZSA-N 0 1 291.351 0.100 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001033688775 818890665 /nfs/dbraw/zinc/89/06/65/818890665.db2.gz QEBNVGLGHDNAPM-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2cccc3nnn(C)c32)C1 ZINC001033729886 818907645 /nfs/dbraw/zinc/90/76/45/818907645.db2.gz RHFVNQPWIKJWSP-GFCCVEGCSA-N 0 1 297.362 0.748 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)N2)C1 ZINC001033776656 818939132 /nfs/dbraw/zinc/93/91/32/818939132.db2.gz PUJOXVNVBZRLKE-VXGBXAGGSA-N 0 1 265.357 0.374 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ncc[nH]2)C1 ZINC001033833714 818962430 /nfs/dbraw/zinc/96/24/30/818962430.db2.gz XOWNGPBWJJVEQS-LBPRGKRZSA-N 0 1 260.341 0.969 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033878352 818972647 /nfs/dbraw/zinc/97/26/47/818972647.db2.gz JDXFSMQHBHBIOP-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cnc[nH]c2=O)C1 ZINC001033866415 818975371 /nfs/dbraw/zinc/97/53/71/818975371.db2.gz WZEKGRBAOOSCPW-GFCCVEGCSA-N 0 1 288.351 0.742 20 30 CCEDMN CC1(C)CC[C@H](CO)N(CC(=O)NCCC#N)C1 ZINC000701622262 818983030 /nfs/dbraw/zinc/98/30/30/818983030.db2.gz MMELLUUUIRONBQ-LLVKDONJSA-N 0 1 253.346 0.499 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2nnn(C)n2)C1 ZINC001033918624 818994190 /nfs/dbraw/zinc/99/41/90/818994190.db2.gz CTZNMNDVMCQVDK-LLVKDONJSA-N 0 1 278.360 0.323 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033930191 818998978 /nfs/dbraw/zinc/99/89/78/818998978.db2.gz USDBGRCVWAXYFW-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccncc2F)[C@@H](O)C1 ZINC001090159179 819001420 /nfs/dbraw/zinc/00/14/20/819001420.db2.gz RGPHISZOMOVJDE-STQMWFEESA-N 0 1 279.315 0.572 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001033953459 819011744 /nfs/dbraw/zinc/01/17/44/819011744.db2.gz CFBMXPBVRMHTOR-MJBXVCDLSA-N 0 1 291.395 0.267 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001033954197 819012080 /nfs/dbraw/zinc/01/20/80/819012080.db2.gz MBYZRCVFROJUSK-MJBXVCDLSA-N 0 1 293.411 0.820 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ocnc2C)[C@@H](O)C1 ZINC001090173259 819019483 /nfs/dbraw/zinc/01/94/83/819019483.db2.gz AQYYHPPIJFJQBU-QWRGUYRKSA-N 0 1 265.313 0.334 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc[nH]c2C)[C@@H](O)C1 ZINC001090176431 819028550 /nfs/dbraw/zinc/02/85/50/819028550.db2.gz ZLPWTIGZYWQFHP-KBPBESRZSA-N 0 1 275.352 0.511 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001034031685 819034769 /nfs/dbraw/zinc/03/47/69/819034769.db2.gz KUYAOPMOGZZIEC-HUUCEWRRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCC[N@H+]1CCO[C@](C)(CNC(=O)c2[nH]nnc2C)C1 ZINC001108112621 819047850 /nfs/dbraw/zinc/04/78/50/819047850.db2.gz WBNYXRIKEDYRCB-CQSZACIVSA-N 0 1 293.371 0.510 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2[nH]nnc2C)C1 ZINC001108112621 819047859 /nfs/dbraw/zinc/04/78/59/819047859.db2.gz WBNYXRIKEDYRCB-CQSZACIVSA-N 0 1 293.371 0.510 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C=C2CCC2)[C@@H](O)C1 ZINC001090182895 819054072 /nfs/dbraw/zinc/05/40/72/819054072.db2.gz QZBQMXFZRUPRJR-OLZOCXBDSA-N 0 1 250.342 0.834 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)C2=COCCO2)C1 ZINC001034085290 819062898 /nfs/dbraw/zinc/06/28/98/819062898.db2.gz UVMPPDFCIMHPLZ-ZDUSSCGKSA-N 0 1 278.352 0.869 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001034088164 819063664 /nfs/dbraw/zinc/06/36/64/819063664.db2.gz VYUQCZMFQMFMSI-LLVKDONJSA-N 0 1 274.324 0.400 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001034107709 819077482 /nfs/dbraw/zinc/07/74/82/819077482.db2.gz LZFGBYHZPHTRFN-STQMWFEESA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001034168766 819105018 /nfs/dbraw/zinc/10/50/18/819105018.db2.gz JTYRLYWHGAEKKP-OLZOCXBDSA-N 0 1 278.352 0.544 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)Cc2ccnn2C)C1 ZINC001034187371 819110663 /nfs/dbraw/zinc/11/06/63/819110663.db2.gz IYJPIDLJPPCDSB-AWEZNQCLSA-N 0 1 288.395 0.957 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2cnnn2CC)C1 ZINC001034207513 819124672 /nfs/dbraw/zinc/12/46/72/819124672.db2.gz MCEBZNXNUPHOOT-ZDUSSCGKSA-N 0 1 289.383 0.906 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@@H]1CCCCN(CC#N)C1 ZINC001034276648 819151768 /nfs/dbraw/zinc/15/17/68/819151768.db2.gz IJTOWZUVQCLCIB-KGLIPLIRSA-N 0 1 278.400 0.965 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(OC)no2)[C@@H](O)C1 ZINC001090205914 819163193 /nfs/dbraw/zinc/16/31/93/819163193.db2.gz LDPQBLFVNKBHAO-MNOVXSKESA-N 0 1 295.339 0.424 20 30 CCEDMN C=CC[N@H+]1CCCC[C@@H](NC(=O)[C@@H]2CCNC2=O)C1 ZINC001034340521 819171965 /nfs/dbraw/zinc/17/19/65/819171965.db2.gz PZQIRHJAUHBGEV-VXGBXAGGSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001034341547 819176249 /nfs/dbraw/zinc/17/62/49/819176249.db2.gz GJMYQCNLDNRGMI-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001034347893 819179253 /nfs/dbraw/zinc/17/92/53/819179253.db2.gz UWFOGFQUQUECQS-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cc(=O)n(C)o2)CC1 ZINC001045421910 819191548 /nfs/dbraw/zinc/19/15/48/819191548.db2.gz ZZFCVBHBAUSKKL-UHFFFAOYSA-N 0 1 277.324 0.196 20 30 CCEDMN C[C@@H]1CCC[N@H+]1CC(=O)N[C@H]1CCCCN(CC#N)C1 ZINC001034397213 819193852 /nfs/dbraw/zinc/19/38/52/819193852.db2.gz JOAIZLHOXIELQM-KGLIPLIRSA-N 0 1 278.400 0.965 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)C2=CCOCC2)[C@@H](O)C1 ZINC001090213579 819194427 /nfs/dbraw/zinc/19/44/27/819194427.db2.gz MTDLNQUMEDVNQB-OLZOCXBDSA-N 0 1 266.341 0.071 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2=CCOCC2)[C@@H](O)C1 ZINC001090213579 819194432 /nfs/dbraw/zinc/19/44/32/819194432.db2.gz MTDLNQUMEDVNQB-OLZOCXBDSA-N 0 1 266.341 0.071 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001034541527 819239283 /nfs/dbraw/zinc/23/92/83/819239283.db2.gz QUOLFRRREMSOGI-HUUCEWRRSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CC[C@H]1CC[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC000703688035 819314852 /nfs/dbraw/zinc/31/48/52/819314852.db2.gz KUXPMEHXJITGMR-JTQLQIEISA-N 0 1 261.343 0.384 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)C2=COCCO2)C1 ZINC001035276455 819443235 /nfs/dbraw/zinc/44/32/35/819443235.db2.gz PFBZFZQPMZAPQN-CYBMUJFWSA-N 0 1 296.367 0.658 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2CN(CC3CC3)CCO2)[nH]1 ZINC001035342726 819482832 /nfs/dbraw/zinc/48/28/32/819482832.db2.gz MFWRPYBGUCDIRJ-ZDUSSCGKSA-N 0 1 288.351 0.727 20 30 CCEDMN CC#CC[NH2+]CCNC(=O)c1[n-]ncc1C(F)(F)F ZINC001129181061 819521725 /nfs/dbraw/zinc/52/17/25/819521725.db2.gz AMRLVCNHFODWCM-UHFFFAOYSA-N 0 1 274.246 0.771 20 30 CCEDMN Cc1ncc(C(=O)N2CCC3(CN(CC#N)C3)CC2)[nH]1 ZINC001035671024 819608785 /nfs/dbraw/zinc/60/87/85/819608785.db2.gz RINXENAKXHFKLQ-UHFFFAOYSA-N 0 1 273.340 0.780 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1cn(C)nn1)CC2 ZINC001035698360 819610071 /nfs/dbraw/zinc/61/00/71/819610071.db2.gz VQCADQYKVRPBTC-UHFFFAOYSA-N 0 1 287.367 0.376 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@]1(C)CNC(=O)C1)CC2 ZINC001035758729 819618872 /nfs/dbraw/zinc/61/88/72/819618872.db2.gz YCVKJFHCYKBLLI-OAHLLOKOSA-N 0 1 289.379 0.070 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@]1(C)CCNC1=O)CC2 ZINC001035796011 819626507 /nfs/dbraw/zinc/62/65/07/819626507.db2.gz XQYYPQZVNYDVEM-OAHLLOKOSA-N 0 1 291.395 0.623 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1nc[nH]n1)CC2 ZINC001035822265 819629870 /nfs/dbraw/zinc/62/98/70/819629870.db2.gz MWYVTDVKWXVPCU-UHFFFAOYSA-N 0 1 273.340 0.366 20 30 CCEDMN C[C@@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC[C@@H]1NCC#N ZINC001036169134 819696076 /nfs/dbraw/zinc/69/60/76/819696076.db2.gz UUNGWQPFPZNJHK-ZJUUUORDSA-N 0 1 277.328 0.089 20 30 CCEDMN C#CC1(O)CCN([C@H](C)C(=O)N[C@H](C)CC)CC1 ZINC000708158485 819761397 /nfs/dbraw/zinc/76/13/97/819761397.db2.gz KFNDGSLUHYJQHF-VXGBXAGGSA-N 0 1 252.358 0.750 20 30 CCEDMN C#CC1(O)CCN([C@H](C)C(=O)NCC(C)C)CC1 ZINC000708157809 819761598 /nfs/dbraw/zinc/76/15/98/819761598.db2.gz FIZGEMHVHBNUST-GFCCVEGCSA-N 0 1 252.358 0.607 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OC)ns2)[C@H](O)C1 ZINC001090272504 820035715 /nfs/dbraw/zinc/03/57/15/820035715.db2.gz PKUXSXHRHPYFOG-VHSXEESVSA-N 0 1 297.380 0.503 20 30 CCEDMN CCOC(=O)c1cn(-c2ncc(F)cc2C#N)nc1CN ZINC001163520375 820104436 /nfs/dbraw/zinc/10/44/36/820104436.db2.gz IYZGBEVKVIUATM-UHFFFAOYSA-N 0 1 289.270 0.913 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@H]1CCN(c2ccc(C#N)nn2)C1 ZINC001062889422 820120057 /nfs/dbraw/zinc/12/00/57/820120057.db2.gz BGMNBHFCDFHAGD-NSHDSACASA-N 0 1 297.322 0.422 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCOC(C)C)[C@@H](O)C1 ZINC001099693263 820140929 /nfs/dbraw/zinc/14/09/29/820140929.db2.gz OPBAYGSTLWWSCG-KBPBESRZSA-N 0 1 282.384 0.376 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnn2C(C)C)[C@H](O)C1 ZINC001090290397 820148733 /nfs/dbraw/zinc/14/87/33/820148733.db2.gz ULLNUIZJRPXAQG-GXTWGEPZSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2cccc(OC)n2)[C@@H](O)C1 ZINC001083909340 820216172 /nfs/dbraw/zinc/21/61/72/820216172.db2.gz NJDJMHFFIVTVID-OLZOCXBDSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cccc(OC)n2)[C@@H](O)C1 ZINC001083909340 820216176 /nfs/dbraw/zinc/21/61/76/820216176.db2.gz NJDJMHFFIVTVID-OLZOCXBDSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)ncn2C)[C@H](O)C1 ZINC001090340241 820279810 /nfs/dbraw/zinc/27/98/10/820279810.db2.gz XSUIHXSVDRZZQT-NWDGAFQWSA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(C3CC3)CC2)[C@@H](O)C1 ZINC001090343721 820282908 /nfs/dbraw/zinc/28/29/08/820282908.db2.gz FNIJLJLACCCRAA-STQMWFEESA-N 0 1 264.369 0.914 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OCC)n[nH]2)[C@@H](O)C1 ZINC001090355874 820295921 /nfs/dbraw/zinc/29/59/21/820295921.db2.gz SJWLDUIGARTZAO-JQWIXIFHSA-N 0 1 294.355 0.159 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2[nH]c(C)cc2C)[C@H](O)C1 ZINC001090363249 820301918 /nfs/dbraw/zinc/30/19/18/820301918.db2.gz LPLDEIBTDQJJKW-CHWSQXEVSA-N 0 1 277.368 0.983 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cncnc2)C1 ZINC001079606856 820432886 /nfs/dbraw/zinc/43/28/86/820432886.db2.gz VVRXRMQFPXFYEY-DGCLKSJQSA-N 0 1 258.325 0.550 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC001079605673 820437623 /nfs/dbraw/zinc/43/76/23/820437623.db2.gz UKROFLIKAFROPD-MWLCHTKSSA-N 0 1 263.345 0.238 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccnn2C)C1 ZINC001079634834 820439067 /nfs/dbraw/zinc/43/90/67/820439067.db2.gz RZDASMRUDXUHCW-TZMCWYRMSA-N 0 1 274.368 0.422 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001079695618 820454202 /nfs/dbraw/zinc/45/42/02/820454202.db2.gz GRVJBMSGGUBAKP-DGCLKSJQSA-N 0 1 289.383 0.779 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001079695618 820454207 /nfs/dbraw/zinc/45/42/07/820454207.db2.gz GRVJBMSGGUBAKP-DGCLKSJQSA-N 0 1 289.383 0.779 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cnccc2OC)C1 ZINC001079949314 820492049 /nfs/dbraw/zinc/49/20/49/820492049.db2.gz YBSRWDGZQBVNJN-TZMCWYRMSA-N 0 1 287.363 0.702 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001079954199 820492328 /nfs/dbraw/zinc/49/23/28/820492328.db2.gz WKVBSTJXDQUACI-IOASZLSFSA-N 0 1 291.395 0.363 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccn(CCOC)n2)C1 ZINC001080100559 820515177 /nfs/dbraw/zinc/51/51/77/820515177.db2.gz GJQHGFLITAKGSU-TZMCWYRMSA-N 0 1 292.383 0.766 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001080422415 820563929 /nfs/dbraw/zinc/56/39/29/820563929.db2.gz MSPNAWWJXQADJE-MGPQQGTHSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2OCC[C@H]2C)C1 ZINC001080470071 820580557 /nfs/dbraw/zinc/58/05/57/820580557.db2.gz LPOKLDFUNWCHRJ-SYQHCUMBSA-N 0 1 264.369 0.871 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnnc2)C1 ZINC001080503561 820587524 /nfs/dbraw/zinc/58/75/24/820587524.db2.gz WLCWOFVSCXJFIY-DGCLKSJQSA-N 0 1 258.325 0.550 20 30 CCEDMN C=C(Cl)CN1C[C@@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001080642749 820605289 /nfs/dbraw/zinc/60/52/89/820605289.db2.gz VNMHECPWYBZLCM-VXNVDRBHSA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(Cl)CN1C[C@@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001080642749 820605294 /nfs/dbraw/zinc/60/52/94/820605294.db2.gz VNMHECPWYBZLCM-VXNVDRBHSA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CC(=O)NC2=O)C1 ZINC001081064036 820670853 /nfs/dbraw/zinc/67/08/53/820670853.db2.gz XWLJKDYCMXIJGA-IJLUTSLNSA-N 0 1 293.367 0.052 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ncoc2C)[C@H](OC)C1 ZINC001081415000 820760234 /nfs/dbraw/zinc/76/02/34/820760234.db2.gz DGHHXMXNZXJMTI-VXGBXAGGSA-N 0 1 279.340 0.988 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CC2(F)F)[C@H](OC)C1 ZINC001081631139 820798888 /nfs/dbraw/zinc/79/88/88/820798888.db2.gz RRLJDFVAOBPLKH-GMTAPVOTSA-N 0 1 272.295 0.480 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(CCn2cccn2)C[C@H]1O ZINC001099726683 820801256 /nfs/dbraw/zinc/80/12/56/820801256.db2.gz IZFCZLBQXONDKV-UONOGXRCSA-N 0 1 292.383 0.401 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CCC2(F)F)[C@H](OC)C1 ZINC001081836824 820847040 /nfs/dbraw/zinc/84/70/40/820847040.db2.gz HTABMBVMXZGXJN-IJLUTSLNSA-N 0 1 286.322 0.870 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCCCN1C(=O)c1ccn[nH]1 ZINC001081900468 820857229 /nfs/dbraw/zinc/85/72/29/820857229.db2.gz NMPSFPNNCBPFIY-QWRGUYRKSA-N 0 1 289.339 0.680 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)[C@H](OC)C1 ZINC001082049500 820885313 /nfs/dbraw/zinc/88/53/13/820885313.db2.gz NILSTBONCQTXRF-GZBLMMOJSA-N 0 1 276.380 0.871 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)[C@H](OC)C1 ZINC001082103997 820901604 /nfs/dbraw/zinc/90/16/04/820901604.db2.gz KTDRQLSLVLMRMI-JMSVASOKSA-N 0 1 295.383 0.047 20 30 CCEDMN C=C(C)C[NH+]1C[C@@H](NC(=O)C[N@@H+]2CC[C@@H](C)C2)[C@H](OC)C1 ZINC001082132695 820906676 /nfs/dbraw/zinc/90/66/76/820906676.db2.gz NRBCTQXSEIKUNI-RBSFLKMASA-N 0 1 295.427 0.720 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncccc2CC)[C@H](O)C1 ZINC001090375200 821002237 /nfs/dbraw/zinc/00/22/37/821002237.db2.gz RIXYGTWBADNCJX-UONOGXRCSA-N 0 1 289.379 0.995 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)C3=CCOCC3)[C@H]2C1 ZINC001083058369 821130970 /nfs/dbraw/zinc/13/09/70/821130970.db2.gz BTXPAWYJTGPZFQ-LSDHHAIUSA-N 0 1 292.379 0.821 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@@H](N)COC(C)C)C(=O)OCC ZINC001119366594 821206512 /nfs/dbraw/zinc/20/65/12/821206512.db2.gz HMFINXZSAJDSJN-RYUDHWBXSA-N 0 1 286.372 0.753 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCc3ccncn3)[C@@H]2C1 ZINC001084380007 821281327 /nfs/dbraw/zinc/28/13/27/821281327.db2.gz AMVOWBHUYRONKA-GDBMZVCRSA-N 0 1 298.390 0.965 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3n[nH]cc3F)[C@@H]2C1 ZINC001084640118 821338302 /nfs/dbraw/zinc/33/83/02/821338302.db2.gz PMACQAPIJWDACE-ZYHUDNBSSA-N 0 1 276.315 0.718 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@]3(C)CCNC3=O)[C@@H]2C1 ZINC001084658551 821338976 /nfs/dbraw/zinc/33/89/76/821338976.db2.gz OPQZEGJWQHWENO-XJKCOSOUSA-N 0 1 291.395 0.621 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccncn3)[C@@H]2C1 ZINC001084729668 821365484 /nfs/dbraw/zinc/36/54/84/821365484.db2.gz ONHCRYMNHTUAKQ-DGCLKSJQSA-N 0 1 258.325 0.809 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCN3CCCC3=O)[C@@H]2C1 ZINC001084835847 821406557 /nfs/dbraw/zinc/40/65/57/821406557.db2.gz XRRYMLBQOOWVGM-ZIAGYGMSSA-N 0 1 291.395 0.718 20 30 CCEDMN C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCN(CC#N)CC2)C1 ZINC000822351287 821478108 /nfs/dbraw/zinc/47/81/08/821478108.db2.gz JGCILQJOVOTYIJ-WCQYABFASA-N 0 1 287.367 0.743 20 30 CCEDMN C[C@@]1(NC(=O)c2ncn[nH]2)CCN(c2ncccc2C#N)C1 ZINC001065273158 821552809 /nfs/dbraw/zinc/55/28/09/821552809.db2.gz KLHCYYUKSKCQEI-CQSZACIVSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@@]1(NC(=O)c2nc[nH]n2)CCN(c2ncccc2C#N)C1 ZINC001065273158 821552815 /nfs/dbraw/zinc/55/28/15/821552815.db2.gz KLHCYYUKSKCQEI-CQSZACIVSA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cn(C)nc1C ZINC001085523947 821769502 /nfs/dbraw/zinc/76/95/02/821769502.db2.gz YVXUWOBIJIRFQW-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnnc(C)c1 ZINC001085566960 821800437 /nfs/dbraw/zinc/80/04/37/821800437.db2.gz WIFOLNCQVKGMBZ-AWEZNQCLSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1nccc(C)n1 ZINC001085574085 821822743 /nfs/dbraw/zinc/82/27/43/821822743.db2.gz NCCYHKKVGXKBNI-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cn2c(n1)CCC2 ZINC001085603933 821847156 /nfs/dbraw/zinc/84/71/56/821847156.db2.gz ROZIUXCQCZZDCI-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CC(=O)N(C)C1 ZINC001085626023 821863098 /nfs/dbraw/zinc/86/30/98/821863098.db2.gz DKUVLMPTMVLUTP-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CC(=O)N(C)C1 ZINC001085626023 821863107 /nfs/dbraw/zinc/86/31/07/821863107.db2.gz DKUVLMPTMVLUTP-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CC(=O)N(C)C1 ZINC001085626024 821865006 /nfs/dbraw/zinc/86/50/06/821865006.db2.gz DKUVLMPTMVLUTP-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc2n(n1)CCO2 ZINC001085642898 821868725 /nfs/dbraw/zinc/86/87/25/821868725.db2.gz YXNNFASIXFJHMV-LBPRGKRZSA-N 0 1 288.351 0.445 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cn[nH]c(=O)c1 ZINC001085655180 821874281 /nfs/dbraw/zinc/87/42/81/821874281.db2.gz JKHXXSDRSBKEQB-LBPRGKRZSA-N 0 1 276.340 0.905 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1OCCO[C@H]1C ZINC001085649374 821877957 /nfs/dbraw/zinc/87/79/57/821877957.db2.gz NKPYNQREWQFIMO-MJBXVCDLSA-N 0 1 282.384 0.899 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001108204791 821911018 /nfs/dbraw/zinc/91/10/18/821911018.db2.gz YUMJAVNYWCJNDG-MRVPVSSYSA-N 0 1 286.299 0.005 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)[C@H]1CN(C(C)C)CCO1 ZINC001085779767 821948564 /nfs/dbraw/zinc/94/85/64/821948564.db2.gz CFSRYAIMVZDSTC-LSDHHAIUSA-N 0 1 293.411 0.262 20 30 CCEDMN C=CCCC1(C(=O)N[C@H]2CCN(CCO)C[C@@H]2O)CC1 ZINC001099998693 821974878 /nfs/dbraw/zinc/97/48/78/821974878.db2.gz LCYKSGAIKCKCSM-STQMWFEESA-N 0 1 282.384 0.277 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@@H]2CCN2C[C@@H](C)O)nc1 ZINC001085934378 822021709 /nfs/dbraw/zinc/02/17/09/822021709.db2.gz ZVLLHBVAPXNZDB-OCCSQVGLSA-N 0 1 287.363 0.590 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CCCC(=O)N1C ZINC001085952718 822034890 /nfs/dbraw/zinc/03/48/90/822034890.db2.gz JQURJYWVWARBFB-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCC(=O)N1C ZINC001085952718 822034901 /nfs/dbraw/zinc/03/49/01/822034901.db2.gz JQURJYWVWARBFB-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(=O)n(C)n1 ZINC001085959827 822038238 /nfs/dbraw/zinc/03/82/38/822038238.db2.gz NBMJGHJCBPJHIF-LBPRGKRZSA-N 0 1 290.367 0.503 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1c[nH]c(C(N)=O)c1 ZINC001085974283 822046723 /nfs/dbraw/zinc/04/67/23/822046723.db2.gz QOJOLLRXSSBRAG-LBPRGKRZSA-N 0 1 288.351 0.283 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2ncc(C)cc2C#N)CC1 ZINC001155154310 822064967 /nfs/dbraw/zinc/06/49/67/822064967.db2.gz MSLHPJLPJTTXQY-ZDUSSCGKSA-N 0 1 288.351 0.978 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCC2CCOCC2)[C@@H](O)C1 ZINC001100026705 822101368 /nfs/dbraw/zinc/10/13/68/822101368.db2.gz GUOAMRAEQKDRHP-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCCN([C@@H](C)C(N)=O)CC1 ZINC001273399874 822120303 /nfs/dbraw/zinc/12/03/03/822120303.db2.gz PDEWSWPGSYHLTL-NWDGAFQWSA-N 0 1 283.372 0.034 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2snnc2CC)[C@H](O)C1 ZINC001090406234 822139806 /nfs/dbraw/zinc/13/98/06/822139806.db2.gz DJNFSHGCHYEQDA-WDEREUQCSA-N 0 1 296.396 0.452 20 30 CCEDMN Cc1nc(CC(=O)NCCN(C)c2ncccc2C#N)n[nH]1 ZINC001100063220 822204920 /nfs/dbraw/zinc/20/49/20/822204920.db2.gz WRUNINMGKCVHQL-UHFFFAOYSA-N 0 1 299.338 0.175 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001086366246 822225338 /nfs/dbraw/zinc/22/53/38/822225338.db2.gz QZFKVHURANGIPM-IJLUTSLNSA-N 0 1 287.367 0.838 20 30 CCEDMN C=CCCCN1CCO[C@@](C)(CNC(=O)c2cnon2)C1 ZINC001108290495 822318959 /nfs/dbraw/zinc/31/89/59/822318959.db2.gz AERPSHHOKBLWJO-AWEZNQCLSA-N 0 1 294.355 0.857 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)CNc1ncnc2[nH]cnc21 ZINC001108307372 822345551 /nfs/dbraw/zinc/34/55/51/822345551.db2.gz CQWXCXCPSHZELX-VIFPVBQESA-N 0 1 290.327 0.424 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOCC ZINC001114045281 837415436 /nfs/dbraw/zinc/41/54/36/837415436.db2.gz WVEXZODKAFLJJQ-IMRBUKKESA-N 0 1 250.342 0.483 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1[C@H]2CN(Cc3ccccn3)C[C@H]21 ZINC001114266011 837494527 /nfs/dbraw/zinc/49/45/27/837494527.db2.gz LALHUURRWASARJ-QLPKVWCKSA-N 0 1 299.374 0.668 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)c2ccoc2)C[C@@H]1n1ccnn1 ZINC001129573841 837530157 /nfs/dbraw/zinc/53/01/57/837530157.db2.gz XPCPHYIGFWZERU-KGLIPLIRSA-N 0 1 299.334 0.550 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@H]1CCN(C)C1=O ZINC001130041565 837613235 /nfs/dbraw/zinc/61/32/35/837613235.db2.gz PFIYULWDPVBOAB-SNVBAGLBSA-N 0 1 273.764 0.313 20 30 CCEDMN N#C[C@H](Cc1ccc(O)cc1)C(=O)N1CCN2CC[C@@H]2C1 ZINC001183607818 844043095 /nfs/dbraw/zinc/04/30/95/844043095.db2.gz GKKPNLLZPUDPFU-UONOGXRCSA-N 0 1 285.347 0.991 20 30 CCEDMN N#CC(Cc1ccc(O)cc1)C(=O)N1CCO[C@@H](C#N)C1 ZINC001183610339 844044636 /nfs/dbraw/zinc/04/46/36/844044636.db2.gz UWSTZNMITHHWJE-JSGCOSHPSA-N 0 1 285.303 0.825 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H]2CN(CC#CC)C[C@H]21 ZINC001187866052 844787828 /nfs/dbraw/zinc/78/78/28/844787828.db2.gz XMPNYLIDXOAJJV-QLFBSQMISA-N 0 1 274.364 0.581 20 30 CCEDMN N#Cc1cc2ccccc2nc1-n1nnnc1CN ZINC001168917515 836047636 /nfs/dbraw/zinc/04/76/36/836047636.db2.gz JPTUJFPZNYSDLU-UHFFFAOYSA-N 0 1 251.253 0.541 20 30 CCEDMN C=CCCOCC(=O)N1CCC(NCc2cnon2)CC1 ZINC001204022833 836158860 /nfs/dbraw/zinc/15/88/60/836158860.db2.gz YCUJMOGWJPROSX-UHFFFAOYSA-N 0 1 294.355 0.743 20 30 CCEDMN N#CN=C(Nc1cncc(C(N)=O)n1)c1ccncc1 ZINC001156323821 836302025 /nfs/dbraw/zinc/30/20/25/836302025.db2.gz XVCBLHGOJGFRHV-UHFFFAOYSA-N 0 1 267.252 0.119 20 30 CCEDMN C[C@H]1CN(C(=O)Cc2ccn[nH]2)CC[C@H]1CNCC#N ZINC001184112442 844153423 /nfs/dbraw/zinc/15/34/23/844153423.db2.gz QALBTEAPUZHBLP-RYUDHWBXSA-N 0 1 275.356 0.550 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nn1)NC(=O)CCc1cnc[nH]1 ZINC001108763301 836519966 /nfs/dbraw/zinc/51/99/66/836519966.db2.gz ZOJMAODLQZOERQ-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOCC ZINC001109270121 836650776 /nfs/dbraw/zinc/65/07/76/836650776.db2.gz RYNKMYKWXJTCHV-KBXIAJHMSA-N 0 1 294.395 0.783 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCCNc2cnc(C#N)cn2)[nH]1 ZINC001109394536 836670218 /nfs/dbraw/zinc/67/02/18/836670218.db2.gz FIJAAHWPPRLQPM-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCC(N)=O)C2 ZINC001109953606 836749731 /nfs/dbraw/zinc/74/97/31/836749731.db2.gz RKDOTLNGSPCUHF-AGIUHOORSA-N 0 1 277.368 0.387 20 30 CCEDMN N#Cc1cc(Cl)nc(NC[C@H]2COCCN2)n1 ZINC001170035226 836753517 /nfs/dbraw/zinc/75/35/17/836753517.db2.gz KDXZFKMWGWPYIP-QMMMGPOBSA-N 0 1 253.693 0.402 20 30 CCEDMN N#Cc1nc(Br)cnc1NC[C@H]1COCCN1 ZINC001170046086 836787240 /nfs/dbraw/zinc/78/72/40/836787240.db2.gz YSLDGQUUWRPXHF-ZETCQYMHSA-N 0 1 298.144 0.511 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2COCCO2)CC1 ZINC001112619938 836826721 /nfs/dbraw/zinc/82/67/21/836826721.db2.gz UBUSGQGCVXRTCS-KGLIPLIRSA-N 0 1 282.384 0.901 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001086549913 836865347 /nfs/dbraw/zinc/86/53/47/836865347.db2.gz JYTPAHJEZQNYFD-HOMQSWHASA-N 0 1 284.323 0.579 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2CN(C)CCO2)CC1 ZINC001112741874 836888939 /nfs/dbraw/zinc/88/89/39/836888939.db2.gz DPRRXBZRFLYITE-CABCVRRESA-N 0 1 295.427 0.816 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2cn(C)nn2)CC1 ZINC001112818591 836926413 /nfs/dbraw/zinc/92/64/13/836926413.db2.gz MABDZGZBFLQHPP-UHFFFAOYSA-N 0 1 263.345 0.539 20 30 CCEDMN C#CCN1CCN(C(=O)[C@H](F)CN(CC)CC)CC1 ZINC001112908761 836961520 /nfs/dbraw/zinc/96/15/20/836961520.db2.gz FGYHYYGAOLFHQZ-CYBMUJFWSA-N 0 1 269.364 0.444 20 30 CCEDMN C=CCCCN1CCN(C(=O)CCNC(=O)COC)CC1 ZINC001112920971 836967242 /nfs/dbraw/zinc/96/72/42/836967242.db2.gz NCHQIWHSUGARFN-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN Cc1cc(N[C@@H](C)[C@@H](C)NC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001113116977 837025908 /nfs/dbraw/zinc/02/59/08/837025908.db2.gz QBMWFFZAZQFCAO-VHSXEESVSA-N 0 1 299.338 0.421 20 30 CCEDMN Cc1cc(N[C@@H](C)[C@@H](C)NC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001113116977 837025917 /nfs/dbraw/zinc/02/59/17/837025917.db2.gz QBMWFFZAZQFCAO-VHSXEESVSA-N 0 1 299.338 0.421 20 30 CCEDMN C[C@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1ncccc1C#N ZINC001113114451 837027086 /nfs/dbraw/zinc/02/70/86/837027086.db2.gz HXVSWZGBJXUXLN-IUCAKERBSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1ncccc1C#N ZINC001113114451 837027099 /nfs/dbraw/zinc/02/70/99/837027099.db2.gz HXVSWZGBJXUXLN-IUCAKERBSA-N 0 1 285.311 0.690 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](C)CC(N)=O)CC1 ZINC001113194553 837049670 /nfs/dbraw/zinc/04/96/70/837049670.db2.gz ZIPGSLMSLVVODC-NSHDSACASA-N 0 1 253.346 0.218 20 30 CCEDMN Cc1nc(N[C@H](C)[C@@H](C)NC(=O)c2cnn[nH]2)ccc1C#N ZINC001113358193 837099587 /nfs/dbraw/zinc/09/95/87/837099587.db2.gz XXTGKMSLUAKZFI-RKDXNWHRSA-N 0 1 299.338 0.999 20 30 CCEDMN C=CCCN1CCN(C(=O)CCCN(C)C(C)=O)CC1 ZINC001113591785 837172632 /nfs/dbraw/zinc/17/26/32/837172632.db2.gz LAWDNCWCBCGRMF-UHFFFAOYSA-N 0 1 281.400 0.965 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2coc(OC)n2)[C@@H](O)C1 ZINC001090457110 837182761 /nfs/dbraw/zinc/18/27/61/837182761.db2.gz YVSBQKACERTBEB-PWSUYJOCSA-N 0 1 295.339 0.424 20 30 CCEDMN C=CCCN1CCN(C(=O)COC[C@@H]2CCCO2)CC1 ZINC001113738981 837208770 /nfs/dbraw/zinc/20/87/70/837208770.db2.gz LPRKETHMIYXNRS-AWEZNQCLSA-N 0 1 282.384 0.902 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CCC1 ZINC001113766751 837233245 /nfs/dbraw/zinc/23/32/45/837233245.db2.gz JQCICSVMYRHZRZ-ZZVYKPCYSA-N 0 1 291.395 0.524 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)N(C)c1ccc(C#N)nn1 ZINC001113898395 837358206 /nfs/dbraw/zinc/35/82/06/837358206.db2.gz STESMJKIGLGAJO-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COC1CCCC1 ZINC001113937698 837370520 /nfs/dbraw/zinc/37/05/20/837370520.db2.gz KCDZFIDIZZTGKK-NHAGDIPZSA-N 0 1 262.353 0.625 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)co2)[C@@H](O)C1 ZINC001090489525 837882234 /nfs/dbraw/zinc/88/22/34/837882234.db2.gz NILOEXSKTKBENC-NEPJUHHUSA-N 0 1 264.325 0.939 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CC(=O)N[C@@H](C)C1 ZINC001130669646 837887632 /nfs/dbraw/zinc/88/76/32/837887632.db2.gz FNNPYKKVRQFMSN-VHSXEESVSA-N 0 1 273.764 0.359 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCCCNC(N)=O ZINC001130967890 837961606 /nfs/dbraw/zinc/96/16/06/837961606.db2.gz PPEUAQUHJAVOJY-UHFFFAOYSA-N 0 1 276.768 0.283 20 30 CCEDMN CC(C)(C)c1nc2nc[nH]c2c(N[C@@H](C#N)C(N)=O)n1 ZINC001170532544 837975424 /nfs/dbraw/zinc/97/54/24/837975424.db2.gz GUEBPOKQJYQXQK-LURJTMIESA-N 0 1 273.300 0.440 20 30 CCEDMN N#C[C@@H](Nc1cc(F)cc(F)c1[N+](=O)[O-])C(N)=O ZINC001170535380 837985004 /nfs/dbraw/zinc/98/50/04/837985004.db2.gz HDKNROMZJTXXBP-SSDOTTSWSA-N 0 1 256.168 0.662 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)CCOC)C[C@H](C)O2 ZINC001131621598 838152742 /nfs/dbraw/zinc/15/27/42/838152742.db2.gz ZKJONCQZDNUZBL-DZGCQCFKSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CNC(=O)C2CC2)CC[C@H]1C ZINC001131830648 838242906 /nfs/dbraw/zinc/24/29/06/838242906.db2.gz UQBZOLBOFILLLE-YPMHNXCESA-N 0 1 277.368 0.115 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](OC)[C@@H]1CCOC1 ZINC001131882084 838261386 /nfs/dbraw/zinc/26/13/86/838261386.db2.gz XIJOCYHTERNWOO-MNOVXSKESA-N 0 1 276.764 0.496 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COCCOC)CC[C@H]1C ZINC001131908056 838263979 /nfs/dbraw/zinc/26/39/79/838263979.db2.gz GFLZLYKCDXYFQO-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COc2cc(C)on2)CC[C@@H]1C ZINC001132116489 838322333 /nfs/dbraw/zinc/32/23/33/838322333.db2.gz QQCWMBBZOUWGJH-AAEUAGOBSA-N 0 1 291.351 0.964 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2cn[nH]c2)CC[C@H]1C ZINC001132347945 838369249 /nfs/dbraw/zinc/36/92/49/838369249.db2.gz DUWRVVBVARRLJU-TZMCWYRMSA-N 0 1 274.368 0.945 20 30 CCEDMN C=CCOCCN1C[C@H](NC(=O)c2cnon2)CC[C@H]1C ZINC001132369533 838375488 /nfs/dbraw/zinc/37/54/88/838375488.db2.gz YLTWHMGVQXCQQQ-VXGBXAGGSA-N 0 1 294.355 0.855 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCn2cncn2)CC[C@@H]1C ZINC001132407709 838387381 /nfs/dbraw/zinc/38/73/81/838387381.db2.gz YYHSVZFSWVPOHA-UONOGXRCSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COCCCOC)CC[C@@H]1C ZINC001132410900 838391082 /nfs/dbraw/zinc/39/10/82/838391082.db2.gz PSYXNZDEBOQLGK-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2cnn(C)c2)CC[C@@H]1C ZINC001132508062 838421651 /nfs/dbraw/zinc/42/16/51/838421651.db2.gz CASUOBGAKXRUPL-ZFWWWQNUSA-N 0 1 288.395 0.955 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NCCNCCS(C)(=O)=O ZINC001132653291 838459511 /nfs/dbraw/zinc/45/95/11/838459511.db2.gz JFSLTVGUDXJQLZ-NSHDSACASA-N 0 1 276.402 0.339 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](C)[C@H](CNCC#N)C2)[nH]1 ZINC001132705657 838472512 /nfs/dbraw/zinc/47/25/12/838472512.db2.gz PXAULFWHNXANRG-CMPLNLGQSA-N 0 1 275.356 0.930 20 30 CCEDMN C[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C[C@@H]1CNCC#N ZINC001132721185 838477679 /nfs/dbraw/zinc/47/76/79/838477679.db2.gz GRCREFKBDGTSFO-NEPJUHHUSA-N 0 1 275.356 0.550 20 30 CCEDMN C[C@H]1CCN(C(=O)C2=NC(=O)N(C)C2)C[C@@H]1CNCC#N ZINC001133087183 838552689 /nfs/dbraw/zinc/55/26/89/838552689.db2.gz LFULVTBDTKYTMS-QWRGUYRKSA-N 0 1 291.355 0.337 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(OC)c(OC)c1 ZINC000105679813 838582383 /nfs/dbraw/zinc/58/23/83/838582383.db2.gz PDKYTBZSAKPDID-UHFFFAOYSA-N 0 1 262.309 0.543 20 30 CCEDMN C#Cc1cncc(C(=O)NCCNCc2ocnc2C)c1 ZINC001133596943 838678485 /nfs/dbraw/zinc/67/84/85/838678485.db2.gz FSAZUBFZXZSDAE-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@@H](C)NCc1ncnn1C ZINC001133909802 838774614 /nfs/dbraw/zinc/77/46/14/838774614.db2.gz RFDNKUIINLSCHI-NEPJUHHUSA-N 0 1 295.387 0.391 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@@H](C)NCc1cn(C)nn1 ZINC001133910014 838775562 /nfs/dbraw/zinc/77/55/62/838775562.db2.gz UUDICTSNFZIJRV-NEPJUHHUSA-N 0 1 295.387 0.391 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCN[C@@H](C)c1n[nH]c(C)n1 ZINC001134053547 838839292 /nfs/dbraw/zinc/83/92/92/838839292.db2.gz YROQRXLAZVLTGA-WCQGTBRESA-N 0 1 293.371 0.471 20 30 CCEDMN C#Cc1ccc(NC(=O)[C@@H]2CN(C)CCN2C)cc1F ZINC001185254422 844380127 /nfs/dbraw/zinc/38/01/27/844380127.db2.gz COJSWUSKBFQKJH-AWEZNQCLSA-N 0 1 275.327 0.991 20 30 CCEDMN CC[C@](N)(CO)Nc1ncnc2cc(C#N)ccc21 ZINC001170861005 839450512 /nfs/dbraw/zinc/45/05/12/839450512.db2.gz ZWXQFTIKYXJZGM-CYBMUJFWSA-N 0 1 257.297 0.971 20 30 CCEDMN N#CCN1CC=C(CCNC(=O)CCc2nc[nH]n2)CC1 ZINC001159745061 839566843 /nfs/dbraw/zinc/56/68/43/839566843.db2.gz HPWSVOHQWQULBB-UHFFFAOYSA-N 0 1 288.355 0.399 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2conc2CC)[C@H](O)C1 ZINC001090555137 839640774 /nfs/dbraw/zinc/64/07/74/839640774.db2.gz HPBGMZIKWQJJGC-ZIAGYGMSSA-N 0 1 293.367 0.978 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccn(C)c2C)[C@@H](O)C1 ZINC001090558378 839641844 /nfs/dbraw/zinc/64/18/44/839641844.db2.gz DHVXBOWREJMOAH-CABCVRRESA-N 0 1 289.379 0.522 20 30 CCEDMN CC(C)C[C@@H](C#N)NC(=O)CCCc1nn[nH]n1 ZINC001136527825 839650572 /nfs/dbraw/zinc/65/05/72/839650572.db2.gz KJWLIOBJLOCGAE-VIFPVBQESA-N 0 1 250.306 0.577 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C)CCOCC2)[C@@H](O)C1 ZINC001090649466 839702377 /nfs/dbraw/zinc/70/23/77/839702377.db2.gz DWEULQXDFWDRLK-KBPBESRZSA-N 0 1 294.395 0.378 20 30 CCEDMN N#C[C@@H](NC(=O)c1nc[nH]c(=O)c1Br)C1CC1 ZINC001136696947 839716574 /nfs/dbraw/zinc/71/65/74/839716574.db2.gz BKFAWZONZBLYHL-ZCFIWIBFSA-N 0 1 297.112 0.977 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccco2)[C@H](O)C1 ZINC001090666928 839720340 /nfs/dbraw/zinc/72/03/40/839720340.db2.gz XKEACHMLSIMBRE-UONOGXRCSA-N 0 1 276.336 0.397 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)nn2C)[C@@H](O)C1 ZINC001090671468 839726051 /nfs/dbraw/zinc/72/60/51/839726051.db2.gz KEFYLUFOHRZVCC-JSGCOSHPSA-N 0 1 292.383 0.334 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(CCC)nn2)[C@@H](O)C1 ZINC001090683494 839727290 /nfs/dbraw/zinc/72/72/90/839727290.db2.gz QWWKRUQLPVLHAA-AAEUAGOBSA-N 0 1 293.371 0.039 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2oncc2C)[C@H](O)C1 ZINC001090689343 839738402 /nfs/dbraw/zinc/73/84/02/839738402.db2.gz HUBLTSRBNMHKOX-NWDGAFQWSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nocc2C)[C@@H](O)C1 ZINC001090705133 839751585 /nfs/dbraw/zinc/75/15/85/839751585.db2.gz QUOKDMJESOCSSV-MNOVXSKESA-N 0 1 265.313 0.334 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2cc3c([nH]2)CCC3)[C@@H](O)C1 ZINC001090719370 839753150 /nfs/dbraw/zinc/75/31/50/839753150.db2.gz NMVRFSDGEWPKNG-HIFRSBDPSA-N 0 1 289.379 0.854 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc3c([nH]2)CCC3)[C@@H](O)C1 ZINC001090719370 839753158 /nfs/dbraw/zinc/75/31/58/839753158.db2.gz NMVRFSDGEWPKNG-HIFRSBDPSA-N 0 1 289.379 0.854 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@@H]1CCN(CC=C)C[C@H]1O ZINC001090756069 839783782 /nfs/dbraw/zinc/78/37/82/839783782.db2.gz CUSWAUAATVHDQJ-IAGOWNOFSA-N 0 1 298.386 0.948 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2c[nH]cc2C)[C@@H](O)C1 ZINC001090808865 839826639 /nfs/dbraw/zinc/82/66/39/839826639.db2.gz PDJFXQSYYOZYMN-KGLIPLIRSA-N 0 1 275.352 0.511 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccnc(C)n2)[C@H](O)C1 ZINC001090819500 839835548 /nfs/dbraw/zinc/83/55/48/839835548.db2.gz BUBSOPACBKRIJZ-GXTWGEPZSA-N 0 1 290.367 0.526 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccc(OCC(N)=O)cc1 ZINC001186041712 844494733 /nfs/dbraw/zinc/49/47/33/844494733.db2.gz REZMMBVBDXBJRY-UHFFFAOYSA-N 0 1 285.263 0.398 20 30 CCEDMN O=C(C=CC(=O)c1ccccc1)NCc1nn[nH]n1 ZINC001144710625 840121899 /nfs/dbraw/zinc/12/18/99/840121899.db2.gz OZBOBTCCIOYCLR-VOTSOKGWSA-N 0 1 257.253 0.255 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)Cn2cccn2)C1 ZINC001149153460 840260453 /nfs/dbraw/zinc/26/04/53/840260453.db2.gz KGVUVUNQNGTYGX-CQSZACIVSA-N 0 1 290.367 0.114 20 30 CCEDMN Cc1nonc1CC(=O)NCCNCC#Cc1ccccc1 ZINC001146252019 840273770 /nfs/dbraw/zinc/27/37/70/840273770.db2.gz VNULPPJPRGUZQR-UHFFFAOYSA-N 0 1 298.346 0.678 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@@H]1CCCNC1=O)C2 ZINC001147165390 840508558 /nfs/dbraw/zinc/50/85/58/840508558.db2.gz DVUNHIVOQSHJKH-CYBMUJFWSA-N 0 1 291.395 0.766 20 30 CCEDMN C=C(C)CCC(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001147640289 840645467 /nfs/dbraw/zinc/64/54/67/840645467.db2.gz UGYYXXHTSJWWKJ-LBPRGKRZSA-N 0 1 279.384 0.751 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CO[C@@H](C)COC ZINC000234830130 840692299 /nfs/dbraw/zinc/69/22/99/840692299.db2.gz OVQKVFGLXSTMEE-VHSXEESVSA-N 0 1 282.178 0.897 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cccn(C)c1=O ZINC001148289180 840782437 /nfs/dbraw/zinc/78/24/37/840782437.db2.gz KNQOYYZYHBXVBB-UHFFFAOYSA-N 0 1 269.732 0.457 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCOC)[C@@H]2C1 ZINC001186662973 844587805 /nfs/dbraw/zinc/58/78/05/844587805.db2.gz QENCUZAKGVYFBF-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1C[C@H]2CN(CC#N)C[C@@]2(C)C1 ZINC001091787823 840963897 /nfs/dbraw/zinc/96/38/97/840963897.db2.gz MVONGSNGCWJSGZ-YCPHGPKFSA-N 0 1 290.411 0.775 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001091911524 840971175 /nfs/dbraw/zinc/97/11/75/840971175.db2.gz VCAIWCBNNHPCOH-WBMJQRKESA-N 0 1 293.411 0.854 20 30 CCEDMN C=CCCNC(=S)NC1(C(=O)OC)CCN(C)CC1 ZINC001247677835 841181176 /nfs/dbraw/zinc/18/11/76/841181176.db2.gz LYNLIDSNJSOYQN-UHFFFAOYSA-N 0 1 285.413 0.664 20 30 CCEDMN Cc1cc(CC(=O)NCCNc2cncc(C#N)n2)[nH]n1 ZINC001093551722 841336627 /nfs/dbraw/zinc/33/66/27/841336627.db2.gz OIXAGKZSGKSWSV-UHFFFAOYSA-N 0 1 285.311 0.151 20 30 CCEDMN Cc1nc(CC(=O)NCCNc2nc(C)ccc2C#N)n[nH]1 ZINC001093608516 841379628 /nfs/dbraw/zinc/37/96/28/841379628.db2.gz OFVMOBLBDMUTFG-UHFFFAOYSA-N 0 1 299.338 0.459 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](CNCc2ncccn2)[C@H](C)C1 ZINC001093679084 841425366 /nfs/dbraw/zinc/42/53/66/841425366.db2.gz QNXWRQSLFNXCQW-JHJVBQTASA-N 0 1 287.367 0.820 20 30 CCEDMN N#Cc1nccnc1NCCNC(=O)c1[nH]ncc1F ZINC001094104089 841546687 /nfs/dbraw/zinc/54/66/87/841546687.db2.gz ZWUKWCQONOUWCK-UHFFFAOYSA-N 0 1 275.247 0.052 20 30 CCEDMN N#Cc1nc(NCCNC(=O)c2cnn[nH]2)sc1Cl ZINC001094256884 841590912 /nfs/dbraw/zinc/59/09/12/841590912.db2.gz RCOZLSLPEKDJPZ-UHFFFAOYSA-N 0 1 297.731 0.628 20 30 CCEDMN N#Cc1cnc(NCCCNC(=O)c2[nH]ncc2F)cn1 ZINC001094765469 841713020 /nfs/dbraw/zinc/71/30/20/841713020.db2.gz QSWYKZBUSSDTPT-UHFFFAOYSA-N 0 1 289.274 0.442 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cnco2)[C@H](O)C1 ZINC001099936647 841732830 /nfs/dbraw/zinc/73/28/30/841732830.db2.gz BRHVFFCZQLUKOC-NWDGAFQWSA-N 0 1 295.339 0.042 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2ncnn2C)nc1 ZINC001171585317 841733332 /nfs/dbraw/zinc/73/33/32/841733332.db2.gz PVNHMYGFEKCCRW-UHFFFAOYSA-N 0 1 298.350 0.101 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@@H](CNC(=O)[C@]2(F)CCOC2)C1 ZINC001150178908 842075719 /nfs/dbraw/zinc/07/57/19/842075719.db2.gz COPIMZIGHZNIQO-ZFWWWQNUSA-N 0 1 298.358 0.345 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@]2(F)CCOC2)C1 ZINC001150178908 842075732 /nfs/dbraw/zinc/07/57/32/842075732.db2.gz COPIMZIGHZNIQO-ZFWWWQNUSA-N 0 1 298.358 0.345 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)N1CCCC[C@H]1C#N ZINC001176354217 842362997 /nfs/dbraw/zinc/36/29/97/842362997.db2.gz LBXWQBVMZBKYCT-QWHCGFSZSA-N 0 1 289.339 0.362 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N1CC[C@@H]2OCCN(CC#N)[C@H]2C1 ZINC001177137778 842510578 /nfs/dbraw/zinc/51/05/78/842510578.db2.gz GJYOBJDCGGIHCK-KBPBESRZSA-N 0 1 294.399 0.153 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CCO1 ZINC001177177029 842515638 /nfs/dbraw/zinc/51/56/38/842515638.db2.gz VIQRCLAXPDJWBW-NXEZZACHSA-N 0 1 260.297 0.266 20 30 CCEDMN Cc1nc(CC(=O)NCc2ccc(C#N)nc2)n[nH]1 ZINC001177443392 842608592 /nfs/dbraw/zinc/60/85/92/842608592.db2.gz XJPVSPXDYQPPTH-UHFFFAOYSA-N 0 1 256.269 0.239 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)CCC(=O)Nc1nncs1 ZINC001177444698 842610221 /nfs/dbraw/zinc/61/02/21/842610221.db2.gz ZPSWEJSCGRETMH-UHFFFAOYSA-N 0 1 291.296 0.490 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)Cc2ccc[nH]2)C1 ZINC001150744525 842725990 /nfs/dbraw/zinc/72/59/90/842725990.db2.gz HIYNTAMFBIABJU-OAHLLOKOSA-N 0 1 289.379 0.788 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)C1(c2ncccn2)CC1 ZINC001178344636 842813690 /nfs/dbraw/zinc/81/36/90/842813690.db2.gz PWZPGBPXSSOSMW-UHFFFAOYSA-N 0 1 254.253 0.742 20 30 CCEDMN CCOC(=O)CC[C@H](NC(=O)C(C)C#N)C(=O)OCC ZINC001179900770 843075476 /nfs/dbraw/zinc/07/54/76/843075476.db2.gz PBRQAJKNBWVMJY-ZJUUUORDSA-N 0 1 284.312 0.537 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H](C)C2=NN(C)CC2=O)nn1 ZINC001179925880 843080867 /nfs/dbraw/zinc/08/08/67/843080867.db2.gz BJYIAPJPESRLIO-VIFPVBQESA-N 0 1 290.327 0.784 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)[C@H]2CCOC2)CC1 ZINC001151021625 843440212 /nfs/dbraw/zinc/44/02/12/843440212.db2.gz ZAUWMMWSDSBQGL-AWEZNQCLSA-N 0 1 294.395 0.643 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H](C)NC(N)=O)C1 ZINC001182529815 843856542 /nfs/dbraw/zinc/85/65/42/843856542.db2.gz HRAIWSOQPSMJDJ-NXEZZACHSA-N 0 1 288.779 0.376 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)COCCC)[C@@H]2C1 ZINC001187143842 844658531 /nfs/dbraw/zinc/65/85/31/844658531.db2.gz UVHNDCMQFGXNPM-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#CC ZINC001110390431 844660114 /nfs/dbraw/zinc/66/01/14/844660114.db2.gz MYSIBTWVMQTWBT-MCIONIFRSA-N 0 1 262.353 0.934 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]2CN([C@H](C)C(=O)NC)C[C@H]21 ZINC001187240336 844675574 /nfs/dbraw/zinc/67/55/74/844675574.db2.gz QEDMRUMCOZXZDO-FRRDWIJNSA-N 0 1 279.384 0.620 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CN2CCCC2=O)C1 ZINC001188804069 844980325 /nfs/dbraw/zinc/98/03/25/844980325.db2.gz PJYDLLTUUTWGLV-ZDUSSCGKSA-N 0 1 277.368 0.165 20 30 CCEDMN COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)COC(C)C)C1 ZINC001189206397 845075543 /nfs/dbraw/zinc/07/55/43/845075543.db2.gz SIPQTBWBSJLLFO-CQSZACIVSA-N 0 1 282.384 0.594 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)COC(C)C)C1 ZINC001189206397 845075554 /nfs/dbraw/zinc/07/55/54/845075554.db2.gz SIPQTBWBSJLLFO-CQSZACIVSA-N 0 1 282.384 0.594 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)COC)C1 ZINC001189363067 845128090 /nfs/dbraw/zinc/12/80/90/845128090.db2.gz KWFDSWGNTYTXIG-QWHCGFSZSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CC[C@@H](N(C)C/C=C\Cl)C1 ZINC001189770089 845203487 /nfs/dbraw/zinc/20/34/87/845203487.db2.gz OGWPEKZWXVKLLY-TXNVCOODSA-N 0 1 297.786 0.411 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CC2OCCCO2)C1 ZINC001189818997 845217226 /nfs/dbraw/zinc/21/72/26/845217226.db2.gz PFRCPTCNJFXEHC-CYBMUJFWSA-N 0 1 280.368 0.696 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)CC2(O)CCC2)C1 ZINC001190016094 845305759 /nfs/dbraw/zinc/30/57/59/845305759.db2.gz VOYUEXRWVZCWGT-AWEZNQCLSA-N 0 1 294.395 0.474 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](N(C)[C@@H]2CCCNC2=O)C1 ZINC001190047864 845317771 /nfs/dbraw/zinc/31/77/71/845317771.db2.gz XQKMLRLBLWANDQ-UONOGXRCSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@H](N(C)CC#CC)C1 ZINC001190114277 845336058 /nfs/dbraw/zinc/33/60/58/845336058.db2.gz PTLMQHGXNBGHKD-AWEZNQCLSA-N 0 1 262.353 0.582 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001190229912 845361382 /nfs/dbraw/zinc/36/13/82/845361382.db2.gz QDVFBIGAZMTJFD-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@]23C[C@H]2COC3)C1 ZINC001190257215 845377512 /nfs/dbraw/zinc/37/75/12/845377512.db2.gz DXMJNGUOKCJQBY-LZWOXQAQSA-N 0 1 292.379 0.205 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2(COC)CCOCC2)C1 ZINC001190547539 845424046 /nfs/dbraw/zinc/42/40/46/845424046.db2.gz PGWVPEHMIXCJLA-AWEZNQCLSA-N 0 1 294.395 0.596 20 30 CCEDMN N#Cc1csc(CNS(=O)(=O)c2ncc[nH]2)c1 ZINC001190762478 845475727 /nfs/dbraw/zinc/47/57/27/845475727.db2.gz IRDFXHYHOCRKPC-UHFFFAOYSA-N 0 1 268.323 0.821 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COCc2cc(C)no2)C1 ZINC001190877866 845532603 /nfs/dbraw/zinc/53/26/03/845532603.db2.gz CKFVVOFGGVLBDD-CYBMUJFWSA-N 0 1 291.351 0.666 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001190974456 845555346 /nfs/dbraw/zinc/55/53/46/845555346.db2.gz ZCBHJCWFIJZANT-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCCN(C)[C@H]1CCN(C(=O)CCS(C)(=O)=O)C1 ZINC001190982305 845558232 /nfs/dbraw/zinc/55/82/32/845558232.db2.gz ZYSMEIDACKYZTR-LBPRGKRZSA-N 0 1 288.413 0.530 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCOCC(C)C)C1 ZINC001191263363 845615433 /nfs/dbraw/zinc/61/54/33/845615433.db2.gz XZUPEGWADJFWDB-ZIAGYGMSSA-N 0 1 284.400 0.787 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc3nnnn3c2)C1 ZINC001191309863 845626940 /nfs/dbraw/zinc/62/69/40/845626940.db2.gz BFVULLFRPYGDRF-ZDUSSCGKSA-N 0 1 298.350 0.294 20 30 CCEDMN CC#CC[N@H+](C)[C@@H]1CCN(C(=O)C2(COC)CC2)C1 ZINC001191368725 845641628 /nfs/dbraw/zinc/64/16/28/845641628.db2.gz SABRRAKLAUXDNZ-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C2(COC)CC2)C1 ZINC001191368725 845641633 /nfs/dbraw/zinc/64/16/33/845641633.db2.gz SABRRAKLAUXDNZ-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1CN(CCC)C[C@H]1O ZINC001191622379 845697060 /nfs/dbraw/zinc/69/70/60/845697060.db2.gz KRWZNYQYKMQEKQ-JHJVBQTASA-N 0 1 270.373 0.539 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(Cc2ccccc2)C[C@H]1O ZINC001191807259 845733012 /nfs/dbraw/zinc/73/30/12/845733012.db2.gz NJPSUXOVALBNNJ-HUUCEWRRSA-N 0 1 290.363 0.551 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NCc1n[nH]c(C)n1 ZINC001192280371 845815046 /nfs/dbraw/zinc/81/50/46/845815046.db2.gz ATGDHAADTHTPLN-UHFFFAOYSA-N 0 1 271.280 0.923 20 30 CCEDMN Cc1ncc(C(=O)N2CCN3CC[C@@H]3C2)cc1C#N ZINC001192294433 845816561 /nfs/dbraw/zinc/81/65/61/845816561.db2.gz UAXPDMWYFMRBOQ-CYBMUJFWSA-N 0 1 256.309 0.792 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2coc(C)n2)C1 ZINC001192429361 845841722 /nfs/dbraw/zinc/84/17/22/845841722.db2.gz IULGMPUNXTUFCL-DGCLKSJQSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCn1cc(C(=O)N2CC[C@H](N(C)CC#CC)C2)nn1 ZINC001192735996 845897259 /nfs/dbraw/zinc/89/72/59/845897259.db2.gz QICUAVZJGQBQCE-ZDUSSCGKSA-N 0 1 287.367 0.634 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1c(O)ccc(F)c1F ZINC001192769788 845905536 /nfs/dbraw/zinc/90/55/36/845905536.db2.gz NSAKSHJWFRVLJG-LURJTMIESA-N 0 1 291.275 0.872 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C2(C(=O)NC)CC2)C1 ZINC001192858210 845923575 /nfs/dbraw/zinc/92/35/75/845923575.db2.gz OPKFWCOOEOJBIY-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C[NH+]1CCN(c2ccncc2[N-]S(=O)(=O)CC#N)CC1 ZINC001192950804 845932597 /nfs/dbraw/zinc/93/25/97/845932597.db2.gz CQPHCUYPVPFYTM-UHFFFAOYSA-N 0 1 295.368 0.099 20 30 CCEDMN COC(=O)[C@H](NS(=O)(=O)CC#N)c1cccc(F)c1 ZINC001192993753 845947918 /nfs/dbraw/zinc/94/79/18/845947918.db2.gz FNOCUXQBLVGRKY-SNVBAGLBSA-N 0 1 286.284 0.483 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ncccn2)C1 ZINC001192989500 845948651 /nfs/dbraw/zinc/94/86/51/845948651.db2.gz XBGQJVFZFGINLJ-CYBMUJFWSA-N 0 1 288.351 0.273 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1nc(C#N)c(C#N)nc1Cl ZINC001193111788 845981404 /nfs/dbraw/zinc/98/14/04/845981404.db2.gz LHODVKHQIKUCHG-YFKPBYRVSA-N 0 1 296.699 0.527 20 30 CCEDMN CCc1nnc2ccc(NS(=O)(=O)[C@H](C)C#N)cn21 ZINC001193111608 845981558 /nfs/dbraw/zinc/98/15/58/845981558.db2.gz JGCZTAVUDYXRJJ-MRVPVSSYSA-N 0 1 279.325 0.945 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N1CCO[C@H](CN2CCCC2)C1 ZINC001193139830 846003032 /nfs/dbraw/zinc/00/30/32/846003032.db2.gz NRWJFIHTWXIDPC-NWDGAFQWSA-N 0 1 287.385 0.025 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N[C@H]1CCN(C2CCC2)C1 ZINC001193178552 846024964 /nfs/dbraw/zinc/02/49/64/846024964.db2.gz KULXUEASSLKPRH-UWVGGRQHSA-N 0 1 257.359 0.445 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@H]1O ZINC001193337490 846055937 /nfs/dbraw/zinc/05/59/37/846055937.db2.gz RVMOERNZWPOQDF-BFHYXJOUSA-N 0 1 298.427 0.911 20 30 CCEDMN C[C@H]1COCCN1CCNC(=O)c1ccc(O)c(C#N)c1 ZINC001193515340 846103241 /nfs/dbraw/zinc/10/32/41/846103241.db2.gz MRSPMJBATBEAMQ-NSHDSACASA-N 0 1 289.335 0.714 20 30 CCEDMN Cn1ccnc1S(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001193568744 846109684 /nfs/dbraw/zinc/10/96/84/846109684.db2.gz GQCPYGXKIMWHGJ-VIFPVBQESA-N 0 1 299.377 0.383 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001193559989 846119572 /nfs/dbraw/zinc/11/95/72/846119572.db2.gz NPOFZYFASQIAQZ-GJZGRUSLSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C(C)(C)C)C1 ZINC001193610140 846121087 /nfs/dbraw/zinc/12/10/87/846121087.db2.gz MEVHVUIPZMGRJX-CHWSQXEVSA-N 0 1 293.411 0.703 20 30 CCEDMN COc1ccc(C#N)c(S(=O)(=O)N(C)CCN(C)C)c1 ZINC001193645761 846130144 /nfs/dbraw/zinc/13/01/44/846130144.db2.gz YLAAXHHTKPYRCC-UHFFFAOYSA-N 0 1 297.380 0.749 20 30 CCEDMN CCN(CCC(N)=O)C(=O)c1cccc(C#N)c1O ZINC001193643830 846134813 /nfs/dbraw/zinc/13/48/13/846134813.db2.gz FBLLUBCYONIHBV-UHFFFAOYSA-N 0 1 261.281 0.601 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@@H](CO)[C@H](O)C2)c1O ZINC001193642328 846135751 /nfs/dbraw/zinc/13/57/51/846135751.db2.gz DVVVNNUYJBHKPT-CMPLNLGQSA-N 0 1 276.292 0.079 20 30 CCEDMN CN1C[C@H](NC(=O)c2cccc(C#N)c2O)CCC1=O ZINC001193658242 846140184 /nfs/dbraw/zinc/14/01/84/846140184.db2.gz ZQXPQNMQNJUXOE-SNVBAGLBSA-N 0 1 273.292 0.614 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@H](CO)C(F)(F)F)c1O ZINC001193657863 846140531 /nfs/dbraw/zinc/14/05/31/846140531.db2.gz JFBCYOPNGOEQHO-MRVPVSSYSA-N 0 1 274.198 0.917 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)CCOC)C1 ZINC001194135117 846219564 /nfs/dbraw/zinc/21/95/64/846219564.db2.gz OVOICKOSAWCUPX-GJZGRUSLSA-N 0 1 296.411 0.842 20 30 CCEDMN COCC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2[nH]cnc2C)C1 ZINC001194530193 846318730 /nfs/dbraw/zinc/31/87/30/846318730.db2.gz DXFHAMBEOSMCIO-ZDUSSCGKSA-N 0 1 290.367 0.514 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2[nH]cnc2C)C1 ZINC001194530193 846318737 /nfs/dbraw/zinc/31/87/37/846318737.db2.gz DXFHAMBEOSMCIO-ZDUSSCGKSA-N 0 1 290.367 0.514 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1cnc(C(F)(F)F)[nH]1 ZINC001194773476 846394800 /nfs/dbraw/zinc/39/48/00/846394800.db2.gz SEUYHPAZGPGRFL-ZCFIWIBFSA-N 0 1 273.218 0.366 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CC(F)F)C1 ZINC001194808506 846395158 /nfs/dbraw/zinc/39/51/58/846395158.db2.gz FFPNSYASRQTUAT-HBNTYKKESA-N 0 1 274.311 0.462 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCC2(C)C)C1 ZINC001195443721 846532475 /nfs/dbraw/zinc/53/24/75/846532475.db2.gz JZFPDZBKRMBFFN-BFHYXJOUSA-N 0 1 296.411 0.787 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](C=C)CC)C1 ZINC001195568008 846584017 /nfs/dbraw/zinc/58/40/17/846584017.db2.gz VHGJNKKGNFMFGW-MGPQQGTHSA-N 0 1 264.369 0.773 20 30 CCEDMN CC(C)C#CC(=O)N1CCCN(CCOCCO)CC1 ZINC001195753968 846607888 /nfs/dbraw/zinc/60/78/88/846607888.db2.gz GDOWGVXOTCTPQO-UHFFFAOYSA-N 0 1 282.384 0.189 20 30 CCEDMN COC(=O)[C@H]1C[C@@H]1CNC(=O)c1ccc(C#N)cc1O ZINC001195768256 846615504 /nfs/dbraw/zinc/61/55/04/846615504.db2.gz KWKSOFFOPMWSFK-KOLCDFICSA-N 0 1 274.276 0.803 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCNCC(F)(F)C2)c(O)c1 ZINC001195740253 846620136 /nfs/dbraw/zinc/62/01/36/846620136.db2.gz BXWIFXIONKKNNW-UHFFFAOYSA-N 0 1 281.262 0.945 20 30 CCEDMN CC(C)C#CC(=O)N1CCCN(C[C@H](C)O)CC1 ZINC001195774835 846621989 /nfs/dbraw/zinc/62/19/89/846621989.db2.gz DAUIRMLORVXNCL-ZDUSSCGKSA-N 0 1 252.358 0.561 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCCOC)C[C@H]2O)C1 ZINC001196020844 846668812 /nfs/dbraw/zinc/66/88/12/846668812.db2.gz PQHMLIWPURKFKO-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1CCCN(C(=O)C(C)(C)CNC(C)=O)CC1 ZINC001197427249 846908231 /nfs/dbraw/zinc/90/82/31/846908231.db2.gz HZDMPZUBEBUOIM-UHFFFAOYSA-N 0 1 293.411 0.706 20 30 CCEDMN COCCOc1ncccc1C(=O)Nc1nc[nH]c1C#N ZINC001197626182 846937518 /nfs/dbraw/zinc/93/75/18/846937518.db2.gz IXQPDJQLACCNRY-UHFFFAOYSA-N 0 1 287.279 0.954 20 30 CCEDMN CN(CC#N)C(=O)c1ccc(C[N@H+]2CC[C@@H](O)C2)cc1 ZINC001197608205 846940505 /nfs/dbraw/zinc/94/05/05/846940505.db2.gz QZJGRORXOCPGAM-CQSZACIVSA-N 0 1 273.336 0.849 20 30 CCEDMN C=CCN1CCCN(C(=O)CC(=O)NCC2CC2)CC1 ZINC001197760144 846954076 /nfs/dbraw/zinc/95/40/76/846954076.db2.gz KDKOFWYCRWJIPH-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H](CCC)NC(N)=O)CC1 ZINC001197951466 847008468 /nfs/dbraw/zinc/00/84/68/847008468.db2.gz VHCLWVHDJQSCKV-CYBMUJFWSA-N 0 1 296.415 0.934 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1cncn1-c1ccncc1 ZINC001198540678 847129706 /nfs/dbraw/zinc/12/97/06/847129706.db2.gz BFHQXRHMLVYRPS-GFCCVEGCSA-N 0 1 282.307 0.205 20 30 CCEDMN C#CCOC[C@H](O)CNc1ccnc(NC(C)=O)c1 ZINC001251826304 847158717 /nfs/dbraw/zinc/15/87/17/847158717.db2.gz LUQFKDMTHCIFHW-GFCCVEGCSA-N 0 1 263.297 0.463 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001199314666 847282519 /nfs/dbraw/zinc/28/25/19/847282519.db2.gz RZHUKQRTHGMESV-IJLUTSLNSA-N 0 1 274.336 0.098 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001110546121 847518658 /nfs/dbraw/zinc/51/86/58/847518658.db2.gz NCJWJRCVGJXVAA-DGAVXFQQSA-N 0 1 262.353 0.932 20 30 CCEDMN Cc1cc(CNCCNC(=O)C2N=CC=CC2=O)n(C)n1 ZINC001200402150 847617342 /nfs/dbraw/zinc/61/73/42/847617342.db2.gz ZKTCZMQUPRASPK-YPKPFQOOSA-N 0 1 289.339 0.345 20 30 CCEDMN Cn1cnc2cc(C(=O)Nc3nc[nH]c3C#N)ccc2c1=O ZINC001201330039 847767885 /nfs/dbraw/zinc/76/78/85/847767885.db2.gz LNMOVVDIMKIYEG-UHFFFAOYSA-N 0 1 294.274 0.781 20 30 CCEDMN CCCCCCCC[C@@H](O)CN[C@H](CO)C(N)=O ZINC001252134973 847919137 /nfs/dbraw/zinc/91/91/37/847919137.db2.gz UVWUMHGXOHXMFK-VXGBXAGGSA-N 0 1 260.378 0.534 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(CC(=O)CC)CC2 ZINC001273952024 847928157 /nfs/dbraw/zinc/92/81/57/847928157.db2.gz VHLXZFOQBUAXTA-ZDUSSCGKSA-N 0 1 262.353 0.817 20 30 CCEDMN C=C[C@@](C)(O)CNC1(C(=O)OCC)CCN(C)CC1 ZINC001252573376 848012999 /nfs/dbraw/zinc/01/29/99/848012999.db2.gz AUUBDGSJARWSDH-CYBMUJFWSA-N 0 1 270.373 0.541 20 30 CCEDMN C=CCN1CC[C@]2(CCN(Cc3nonc3C)C2)C1=O ZINC001273991081 848211939 /nfs/dbraw/zinc/21/19/39/848211939.db2.gz VOMURIUFEABKMA-AWEZNQCLSA-N 0 1 276.340 0.988 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)n1cncn1)C2 ZINC001095333842 848244642 /nfs/dbraw/zinc/24/46/42/848244642.db2.gz MHESSTAFGVSPCQ-RNJOBUHISA-N 0 1 275.356 0.747 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnnn1C)C2 ZINC001095374234 848272541 /nfs/dbraw/zinc/27/25/41/848272541.db2.gz MGFTVWWXLPJFOC-WOPDTQHZSA-N 0 1 275.356 0.726 20 30 CCEDMN COc1cc(CC(=O)NCC#CCN(C)C)ccc1O ZINC000717691448 848283266 /nfs/dbraw/zinc/28/32/66/848283266.db2.gz VFUIQRPXAUXSTM-UHFFFAOYSA-N 0 1 276.336 0.625 20 30 CCEDMN C=C[C@H](O)CNc1ccc(N2CCN(C)CC2)cn1 ZINC001253567112 848308570 /nfs/dbraw/zinc/30/85/70/848308570.db2.gz KEZONPHMTXWDBJ-ZDUSSCGKSA-N 0 1 262.357 0.792 20 30 CCEDMN COCCCN1CC[C@]2(CCN(CCC#N)C2)C1=O ZINC001274032385 848313940 /nfs/dbraw/zinc/31/39/40/848313940.db2.gz NJCJURWJTHAMDV-AWEZNQCLSA-N 0 1 265.357 0.861 20 30 CCEDMN N#CCCCN1CCOC[C@@]2(CC(=O)N(C3COC3)C2)C1 ZINC001274040949 848316578 /nfs/dbraw/zinc/31/65/78/848316578.db2.gz XMMBJBVAGCTVBW-HNNXBMFYSA-N 0 1 293.367 0.240 20 30 CCEDMN COCCCN1C[C@@]2(CCN(CCCC#N)C2)OCC1=O ZINC001274040988 848316772 /nfs/dbraw/zinc/31/67/72/848316772.db2.gz YJCUZFKCACEYBP-HNNXBMFYSA-N 0 1 295.383 0.630 20 30 CCEDMN C=CC(C)(C)CC(=O)NC/C=C/CN[C@H]1CCNC1=O ZINC001274069483 848337996 /nfs/dbraw/zinc/33/79/96/848337996.db2.gz SECREDRIXXXAQC-FYJFLYSWSA-N 0 1 279.384 0.739 20 30 CCEDMN CC#CCCCC(=O)NC/C=C\CNCC(=O)N1CCC1 ZINC001274290088 848440920 /nfs/dbraw/zinc/44/09/20/848440920.db2.gz ZMARCICXIWHJTR-SREVYHEPSA-N 0 1 291.395 0.674 20 30 CCEDMN CC(C)(C(=O)NC/C=C\CNCC(=O)NCC#N)C1CC1 ZINC001274300087 848444029 /nfs/dbraw/zinc/44/40/29/848444029.db2.gz ZGBUCKUQIWWOIG-ARJAWSKDSA-N 0 1 292.383 0.324 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC/C=C/CN[C@@H]1CCNC1=O ZINC001274340885 848456441 /nfs/dbraw/zinc/45/64/41/848456441.db2.gz YFTAJSRSKOOZQI-LWAQFNMXSA-N 0 1 279.384 0.739 20 30 CCEDMN C=CCC(C)(C)C(=O)NC/C=C\CN[C@H]1CCNC1=O ZINC001274395542 848468697 /nfs/dbraw/zinc/46/86/97/848468697.db2.gz XAZZBFSXULGRBR-FJOGCWAESA-N 0 1 279.384 0.739 20 30 CCEDMN C#CCN1CC[C@]2(CC[N@@H+](Cc3c[nH]c(C)n3)C2)C1=O ZINC001274575324 848509478 /nfs/dbraw/zinc/50/94/78/848509478.db2.gz DDCSXBGLSUQFBV-HNNXBMFYSA-N 0 1 272.352 0.776 20 30 CCEDMN C=CCN1C[C@]2(CC1=O)CCCCN2C[C@@H](O)C(=O)OC ZINC001274604296 848520026 /nfs/dbraw/zinc/52/00/26/848520026.db2.gz SGTJOODIEXHYTA-IUODEOHRSA-N 0 1 296.367 0.163 20 30 CCEDMN C=CCC1(C(=O)NC/C=C/CNCC(N)=O)CCCC1 ZINC001274641264 848529222 /nfs/dbraw/zinc/52/92/22/848529222.db2.gz KIAWPKWOFLJTIP-AATRIKPKSA-N 0 1 279.384 0.870 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)c1cncc(C#N)c1)C2 ZINC001274776908 848565452 /nfs/dbraw/zinc/56/54/52/848565452.db2.gz GMXCBEYYGMVBGB-YPMHNXCESA-N 0 1 256.309 0.729 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)c2ccncc2C)CC1 ZINC001274903346 848589224 /nfs/dbraw/zinc/58/92/24/848589224.db2.gz DIMHOKDVCPHNMC-UHFFFAOYSA-N 0 1 287.363 0.580 20 30 CCEDMN CCN1C[C@@H]2OCCN(C(=O)C3(C#N)CCOCC3)[C@H]2C1 ZINC001275079445 848629594 /nfs/dbraw/zinc/62/95/94/848629594.db2.gz NFISTBKEHWASBO-STQMWFEESA-N 0 1 293.367 0.238 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)[C@@H](C)NCc1cnns1 ZINC001275365454 848700615 /nfs/dbraw/zinc/70/06/15/848700615.db2.gz JNHQHELITFYAEV-ZJUUUORDSA-N 0 1 284.385 0.724 20 30 CCEDMN C[C@H](CN(C)[C@@H]1CCCNC1=O)NC(=O)C#CC1CC1 ZINC001275551173 848759823 /nfs/dbraw/zinc/75/98/23/848759823.db2.gz FQFXARDTPWEEGW-DGCLKSJQSA-N 0 1 277.368 0.115 20 30 CCEDMN CN1C[C@@H]2CN(C(=O)c3ccc(O)c(C#N)c3)C[C@H](C1)O2 ZINC001275708361 848796814 /nfs/dbraw/zinc/79/68/14/848796814.db2.gz TXMZTYXACYQEGW-BETUJISGSA-N 0 1 287.319 0.419 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1cncnc1C ZINC001275772265 848817011 /nfs/dbraw/zinc/81/70/11/848817011.db2.gz BPUYDYPSGSOTNC-LBPRGKRZSA-N 0 1 290.367 0.485 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1c[nH]nc1C ZINC001275897623 848860372 /nfs/dbraw/zinc/86/03/72/848860372.db2.gz RBEAVJCRDJRUHL-NSHDSACASA-N 0 1 278.356 0.418 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cccn(C)c1=O ZINC001275977002 848879472 /nfs/dbraw/zinc/87/94/72/848879472.db2.gz LOMQODCSVULSJY-LLVKDONJSA-N 0 1 261.325 0.069 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C1CCN(C(C)=O)CC1 ZINC001275993062 848884254 /nfs/dbraw/zinc/88/42/54/848884254.db2.gz DUXGYQRQSQCMTC-GFCCVEGCSA-N 0 1 279.384 0.315 20 30 CCEDMN Cc1ccc(CN2CC[N@H+](C)[C@H](CO)C2)cc1C#N ZINC000687594795 849121943 /nfs/dbraw/zinc/12/19/43/849121943.db2.gz DNKONLNLRAOAOI-HNNXBMFYSA-N 0 1 259.353 0.975 20 30 CCEDMN Cc1ccc(CN2CCN(C)[C@H](CO)C2)cc1C#N ZINC000687594795 849121948 /nfs/dbraw/zinc/12/19/48/849121948.db2.gz DNKONLNLRAOAOI-HNNXBMFYSA-N 0 1 259.353 0.975 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCC(N)=O)C2 ZINC001111258864 849135736 /nfs/dbraw/zinc/13/57/36/849135736.db2.gz GFAPIUJNTHWOIF-UPJWGTAASA-N 0 1 279.384 0.940 20 30 CCEDMN CS(=O)(=O)CCNCc1ccc(OCC#N)cc1 ZINC000037740809 849242348 /nfs/dbraw/zinc/24/23/48/849242348.db2.gz XOLZWCAWAVCHFI-UHFFFAOYSA-N 0 1 268.338 0.723 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](CCC)OC ZINC001114669649 849355754 /nfs/dbraw/zinc/35/57/54/849355754.db2.gz XHJSWOIZWLZDIV-RQJABVFESA-N 0 1 264.369 0.871 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnc1C ZINC001114745452 849385318 /nfs/dbraw/zinc/38/53/18/849385318.db2.gz AGLVLZZWHBVQNS-ZSHCYNCHSA-N 0 1 299.374 0.700 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(C)CCC(=O)NC1)C2 ZINC001095898506 849424155 /nfs/dbraw/zinc/42/41/55/849424155.db2.gz KIMGQLRRIANOJK-DVZHBHJUSA-N 0 1 291.395 0.810 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC[C@@H](C)O1 ZINC001114859188 849445376 /nfs/dbraw/zinc/44/53/76/849445376.db2.gz OHXKBSUEOTYILP-QMIVOQANSA-N 0 1 292.379 0.250 20 30 CCEDMN C=C(Cl)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1c[nH]nn1 ZINC001114859114 849445890 /nfs/dbraw/zinc/44/58/90/849445890.db2.gz NJSLUBXCOSAMSR-PJXYFTJBSA-N 0 1 295.774 0.536 20 30 CCEDMN N#Cc1nccc(N[C@@H]2CCCN3CCSC[C@@H]23)n1 ZINC000720406786 849454434 /nfs/dbraw/zinc/45/44/34/849454434.db2.gz XNXICYQEEJAYEY-MNOVXSKESA-N 0 1 275.381 0.762 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC12CC2 ZINC001114884863 849569411 /nfs/dbraw/zinc/56/94/11/849569411.db2.gz DAHLVWDLNMSAQF-RFQIPJPRSA-N 0 1 274.364 0.483 20 30 CCEDMN CC[C@H]1CN(C(=O)CCc2nc[nH]n2)CC[C@@H]1NCC#N ZINC001037812613 849590213 /nfs/dbraw/zinc/59/02/13/849590213.db2.gz UWRVXVBNSKHFSB-RYUDHWBXSA-N 0 1 290.371 0.478 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCC[C@@H]1C(=O)[O-] ZINC000380871803 849694961 /nfs/dbraw/zinc/69/49/61/849694961.db2.gz YNQMLKUHTSGFPB-LLVKDONJSA-N 0 1 267.329 0.200 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)[C@H]1CN(C(C)C)CCO1 ZINC001038626753 849708926 /nfs/dbraw/zinc/70/89/26/849708926.db2.gz IJZCOJWCTUQGCT-LSDHHAIUSA-N 0 1 293.411 0.309 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1Nc1nccnc1C#N ZINC001067029040 849714011 /nfs/dbraw/zinc/71/40/11/849714011.db2.gz HTOWBBAOEFAWIR-JOYOIKCWSA-N 0 1 297.322 0.644 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001038311612 849846701 /nfs/dbraw/zinc/84/67/01/849846701.db2.gz PSLUZGNSVNGXDL-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc2nnc(C)n2c1 ZINC001038435413 849898937 /nfs/dbraw/zinc/89/89/37/849898937.db2.gz NXGORTNXSPWVBT-AWEZNQCLSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)c1ccn2cncc2c1 ZINC001038463348 849913340 /nfs/dbraw/zinc/91/33/40/849913340.db2.gz UAHPGXZHFZRQLE-CYBMUJFWSA-N 0 1 268.320 0.772 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001038536162 849935408 /nfs/dbraw/zinc/93/54/08/849935408.db2.gz JCWLXVVPUDEKRF-DEPYFDJDSA-N 0 1 274.364 0.624 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)[C@@H]1CCCc2[nH]cnc21 ZINC001038682948 849980452 /nfs/dbraw/zinc/98/04/52/849980452.db2.gz GDWKCAZROZLFRM-WDEREUQCSA-N 0 1 273.340 0.544 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@H]2CCN2CCCF)nn1 ZINC001038789342 850017319 /nfs/dbraw/zinc/01/73/19/850017319.db2.gz FZDQAEKUMIIOMD-LLVKDONJSA-N 0 1 281.335 0.628 20 30 CCEDMN C=C(C)CCN1CC[C@H]1CNC(=O)c1cnn(C)n1 ZINC001038804030 850021710 /nfs/dbraw/zinc/02/17/10/850021710.db2.gz GRIMGVRYIWYUAC-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001038857930 850052777 /nfs/dbraw/zinc/05/27/77/850052777.db2.gz AIQHYNQECJAIRJ-NWDGAFQWSA-N 0 1 286.379 0.972 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCc2n[nH]nc2C1 ZINC001038918185 850085090 /nfs/dbraw/zinc/08/50/90/850085090.db2.gz WCWCIBYITJWCBN-NWDGAFQWSA-N 0 1 289.383 0.676 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2C[C@@H](C)O)cn1 ZINC001038931707 850091877 /nfs/dbraw/zinc/09/18/77/850091877.db2.gz JQDZUWSSAFXBBG-RISCZKNCSA-N 0 1 273.336 0.248 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1 ZINC001038936950 850092898 /nfs/dbraw/zinc/09/28/98/850092898.db2.gz QPDZRIRXHRACPN-QWRGUYRKSA-N 0 1 251.330 0.032 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001039056507 850142846 /nfs/dbraw/zinc/14/28/46/850142846.db2.gz HTBBVAKKFJSCBL-ZIAGYGMSSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCNC1=O ZINC001039390106 850184320 /nfs/dbraw/zinc/18/43/20/850184320.db2.gz VVYIHMGCIFKCNM-RDBSUJKOSA-N 0 1 291.395 0.764 20 30 CCEDMN C[C@H]1[C@@H](Nc2cnc(C#N)cn2)CCN1C(=O)c1ccn[nH]1 ZINC001040044960 850257749 /nfs/dbraw/zinc/25/77/49/850257749.db2.gz ZGCBQOWEANRNCD-ONGXEEELSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCN1CCC[C@]2(CCN(C(=O)c3nc[nH]n3)C2)C1 ZINC001040456316 850292201 /nfs/dbraw/zinc/29/22/01/850292201.db2.gz MBQVMBBYKHLIKZ-AWEZNQCLSA-N 0 1 273.340 0.366 20 30 CCEDMN C#CC[N@H+]1CCC[C@]2(CCN(C(=O)Cc3nnc[n-]3)C2)C1 ZINC001040489379 850295636 /nfs/dbraw/zinc/29/56/36/850295636.db2.gz PYIFVYVKQYARIQ-HNNXBMFYSA-N 0 1 287.367 0.295 20 30 CCEDMN C#CC[N@@H+]1CCC[C@]2(CCN(C(=O)Cc3nnc[n-]3)C2)C1 ZINC001040489379 850295649 /nfs/dbraw/zinc/29/56/49/850295649.db2.gz PYIFVYVKQYARIQ-HNNXBMFYSA-N 0 1 287.367 0.295 20 30 CCEDMN N#CCN1CC[C@]2(CCN(C(=O)c3ccn[nH]3)C2)C1 ZINC001041091741 850369372 /nfs/dbraw/zinc/36/93/72/850369372.db2.gz ZBBLSERVEYPZJP-ZDUSSCGKSA-N 0 1 259.313 0.471 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc[n+]([O-])cc3)C[C@@H]21 ZINC001041918714 850528522 /nfs/dbraw/zinc/52/85/22/850528522.db2.gz CIGSCOGEVSHUAL-HIFRSBDPSA-N 0 1 285.347 0.490 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)CSC)C[C@H]21 ZINC001041921335 850530436 /nfs/dbraw/zinc/53/04/36/850530436.db2.gz VIIQFEPRTNAHAE-NWDGAFQWSA-N 0 1 252.383 0.905 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnsn3)C[C@H]21 ZINC001041956138 850540344 /nfs/dbraw/zinc/54/03/44/850540344.db2.gz ZDJBNORLLBVJNU-CMPLNLGQSA-N 0 1 276.365 0.708 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc(C)nn3C)C[C@H]21 ZINC001041964704 850542090 /nfs/dbraw/zinc/54/20/90/850542090.db2.gz RCOADQCNSHKKHB-UKRRQHHQSA-N 0 1 286.379 0.898 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001041959486 850542515 /nfs/dbraw/zinc/54/25/15/850542515.db2.gz ZWUMGKZMDZRUQB-ZYHUDNBSSA-N 0 1 259.313 0.470 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3nccc(C)n3)C[C@H]21 ZINC001042038598 850565334 /nfs/dbraw/zinc/56/53/34/850565334.db2.gz PDVVKXVTZMUIFQ-UONOGXRCSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnn(CC)n3)C[C@H]21 ZINC001042044965 850566640 /nfs/dbraw/zinc/56/66/40/850566640.db2.gz ATVMLGXDFYFKDP-GXTWGEPZSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(C)nn3)C[C@H]21 ZINC001042057748 850571803 /nfs/dbraw/zinc/57/18/03/850571803.db2.gz MIVLVRMFQNAMKN-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnn4c3CCC4)C[C@H]21 ZINC001042084741 850574730 /nfs/dbraw/zinc/57/47/30/850574730.db2.gz VSBXNECVCHCVPS-XJKSGUPXSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnco3)C[C@@H]21 ZINC001042170671 850586686 /nfs/dbraw/zinc/58/66/86/850586686.db2.gz TVJPGPCDKAIDAL-NEPJUHHUSA-N 0 1 259.309 0.844 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cnon3)C[C@@H]21 ZINC001042283220 850609983 /nfs/dbraw/zinc/60/99/83/850609983.db2.gz JQKYBJZGRDXJKT-AAEUAGOBSA-N 0 1 274.324 0.629 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H]2CCN(C(=O)Cc3nnc[n-]3)C[C@H]21 ZINC001042306446 850612224 /nfs/dbraw/zinc/61/22/24/850612224.db2.gz GUHHISPWLQFYEM-CHWSQXEVSA-N 0 1 287.367 0.293 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]2CCN(C(=O)Cc3nnc[n-]3)C[C@H]21 ZINC001042306446 850612229 /nfs/dbraw/zinc/61/22/29/850612229.db2.gz GUHHISPWLQFYEM-CHWSQXEVSA-N 0 1 287.367 0.293 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)c2ccc(=O)n(C)c2)C1 ZINC001043210485 850836031 /nfs/dbraw/zinc/83/60/31/850836031.db2.gz RYXIVZDEQNGAJJ-UHFFFAOYSA-N 0 1 275.352 0.718 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001043514873 850892015 /nfs/dbraw/zinc/89/20/15/850892015.db2.gz CHGWJYXMFFICOQ-GFCCVEGCSA-N 0 1 279.384 0.716 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001043616709 850909223 /nfs/dbraw/zinc/90/92/23/850909223.db2.gz OSJLSDNLAGZSDE-CYBMUJFWSA-N 0 1 279.384 0.716 20 30 CCEDMN C=C(C)CN1CC(N(C)C(=O)C2=NC(=O)N(C)C2)C1 ZINC001043746995 850930906 /nfs/dbraw/zinc/93/09/06/850930906.db2.gz NQMOZPIVRQHMCR-UHFFFAOYSA-N 0 1 264.329 0.458 20 30 CCEDMN CN(C(=O)c1nnc2ccccc2c1O)C1CN(CC#N)C1 ZINC001043992146 850979442 /nfs/dbraw/zinc/97/94/42/850979442.db2.gz LHQXPHLJMUJSNO-UHFFFAOYSA-N 0 1 297.318 0.615 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)Cn2cncn2)C1 ZINC001044268920 851058512 /nfs/dbraw/zinc/05/85/12/851058512.db2.gz BOVIVUMYXQUXKI-UHFFFAOYSA-N 0 1 263.345 0.387 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnn(C)c2C)CC1 ZINC001045365725 851243623 /nfs/dbraw/zinc/24/36/23/851243623.db2.gz KYTPANIPBITAEZ-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1ccc(C)n1)C2 ZINC001096033694 851275495 /nfs/dbraw/zinc/27/54/95/851275495.db2.gz MRYPQDNQZPILRS-ILXRZTDVSA-N 0 1 286.379 0.936 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001046404184 851491144 /nfs/dbraw/zinc/49/11/44/851491144.db2.gz XERNFTDRZFBJIL-CQSZACIVSA-N 0 1 276.340 0.641 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001046428651 851500336 /nfs/dbraw/zinc/50/03/36/851500336.db2.gz LPLZBKHEURVAGG-OAHLLOKOSA-N 0 1 273.336 0.213 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001046444007 851505555 /nfs/dbraw/zinc/50/55/55/851505555.db2.gz GWYFCHOSPGOJAP-DOMZBBRYSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001046507079 851527782 /nfs/dbraw/zinc/52/77/82/851527782.db2.gz GLJFXMWFMZZCBR-TTZDDIAXSA-N 0 1 294.395 0.805 20 30 CCEDMN CC#CC[N@@H+]1CC[C@](C)(NC(=O)c2cn(CC)nn2)C1 ZINC001046739299 851602518 /nfs/dbraw/zinc/60/25/18/851602518.db2.gz KYSGLNLCWQUJHD-AWEZNQCLSA-N 0 1 275.356 0.516 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cn(CC)nn2)C1 ZINC001046739299 851602521 /nfs/dbraw/zinc/60/25/21/851602521.db2.gz KYSGLNLCWQUJHD-AWEZNQCLSA-N 0 1 275.356 0.516 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001046755597 851606749 /nfs/dbraw/zinc/60/67/49/851606749.db2.gz WBTLIJGLBWOCON-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001046766842 851608170 /nfs/dbraw/zinc/60/81/70/851608170.db2.gz BOSTZEWGEVRECZ-WBMJQRKESA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001046766842 851608174 /nfs/dbraw/zinc/60/81/74/851608174.db2.gz BOSTZEWGEVRECZ-WBMJQRKESA-N 0 1 286.379 0.728 20 30 CCEDMN C=CCS(=O)(=O)Nc1c(C#N)cnc2ccnn21 ZINC001259922902 851650927 /nfs/dbraw/zinc/65/09/27/851650927.db2.gz XRVFEDBLYQCWGB-UHFFFAOYSA-N 0 1 263.282 0.529 20 30 CCEDMN Cn1nc2c(c1CN=Nc1ccncc1F)COCC2 ZINC000901261891 851663118 /nfs/dbraw/zinc/66/31/18/851663118.db2.gz BSBGRUBOASUXQY-UHFFFAOYSA-N 0 1 275.287 0.895 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCC(=O)N1)C2 ZINC001096152123 851664651 /nfs/dbraw/zinc/66/46/51/851664651.db2.gz LWCGKZNKJWODHP-NDBYEHHHSA-N 0 1 275.352 0.010 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2C)C1 ZINC001047338672 851725153 /nfs/dbraw/zinc/72/51/53/851725153.db2.gz CKRURECDXKRAMF-KBPBESRZSA-N 0 1 287.363 0.530 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cccnc2)C1 ZINC001047350257 851730255 /nfs/dbraw/zinc/73/02/55/851730255.db2.gz VKSGFQIYRKBFRH-GJZGRUSLSA-N 0 1 287.363 0.151 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2csnc2C)C1 ZINC001047355502 851734651 /nfs/dbraw/zinc/73/46/51/851734651.db2.gz ABXNGKAMEVPFGM-STQMWFEESA-N 0 1 293.392 0.592 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2c[nH]c(C#N)c2)C1 ZINC001047355105 851734995 /nfs/dbraw/zinc/73/49/95/851734995.db2.gz VFEIMQHLHUGQDO-KBPBESRZSA-N 0 1 286.335 0.027 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccsn2)C1 ZINC001047423520 851759899 /nfs/dbraw/zinc/75/98/99/851759899.db2.gz PPBGJIQIFQQWBH-RYUDHWBXSA-N 0 1 279.365 0.284 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cccnc2C)C1 ZINC001047509576 851794311 /nfs/dbraw/zinc/79/43/11/851794311.db2.gz ZMBZRINJNJQEEK-GJZGRUSLSA-N 0 1 287.363 0.530 20 30 CCEDMN C=CC(C)(C)C(=O)NC/C=C\CNCC(=O)NC ZINC001483044266 894830935 /nfs/dbraw/zinc/83/09/35/894830935.db2.gz OJJHCAQYSZTHKT-SREVYHEPSA-N 0 1 253.346 0.207 20 30 CCEDMN C=CC[NH+]1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CCCC[N@H+]2CC)C1 ZINC001047638528 851845581 /nfs/dbraw/zinc/84/55/81/851845581.db2.gz XBZNQJUCKJCGEQ-ILXRZTDVSA-N 0 1 295.427 0.550 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001096337487 851935576 /nfs/dbraw/zinc/93/55/76/851935576.db2.gz CBHQVPVNPIBLCX-DTWKUNHWSA-N 0 1 285.311 0.207 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CCO)nc1 ZINC001096380763 851973848 /nfs/dbraw/zinc/97/38/48/851973848.db2.gz SISPGKLVNIFAAN-SNPRPXQTSA-N 0 1 285.347 0.390 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H]1CCC1(F)F ZINC001283770977 905005165 /nfs/dbraw/zinc/00/51/65/905005165.db2.gz GQMDSRVMPZDPHD-ZJUUUORDSA-N 0 1 260.284 0.122 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)CCc3cnc[nH]3)C[C@@H]2C1 ZINC001048855665 852083795 /nfs/dbraw/zinc/08/37/95/852083795.db2.gz HAZKPXHCHDYFCB-TXEJJXNPSA-N 0 1 273.340 0.256 20 30 CCEDMN C=C(Cl)C[N@@H+]1C[C@H]2CN(C(=O)[C@@H]3CCNC3=O)C[C@H]2C1 ZINC001048977726 852125538 /nfs/dbraw/zinc/12/55/38/852125538.db2.gz JVULPDOCZIXQBZ-GRYCIOLGSA-N 0 1 297.786 0.265 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)[C@@H]3CCNC3=O)C[C@@H]2C1 ZINC001048977726 852125547 /nfs/dbraw/zinc/12/55/47/852125547.db2.gz JVULPDOCZIXQBZ-GRYCIOLGSA-N 0 1 297.786 0.265 20 30 CCEDMN C=CCn1cc(C(=O)N2C[C@H]3CN(CCF)C[C@H]3C2)nn1 ZINC001049103736 852163079 /nfs/dbraw/zinc/16/30/79/852163079.db2.gz SOKFNJGBGIFSFV-TXEJJXNPSA-N 0 1 293.346 0.437 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C1=COCCO1 ZINC001049304559 852223836 /nfs/dbraw/zinc/22/38/36/852223836.db2.gz PQKOMTVZJRMUCW-QWHCGFSZSA-N 0 1 276.336 0.573 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCCO1 ZINC001049308205 852226008 /nfs/dbraw/zinc/22/60/08/852226008.db2.gz QDQZYAGWVNUUTO-MJBXVCDLSA-N 0 1 262.353 0.864 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1ccon1 ZINC001049368802 852245590 /nfs/dbraw/zinc/24/55/90/852245590.db2.gz BRUPRHSQIGUIMB-ZIAGYGMSSA-N 0 1 273.336 0.916 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1nccnc1N ZINC001049385999 852253743 /nfs/dbraw/zinc/25/37/43/852253743.db2.gz JPCLSDDRNNOJKC-CHWSQXEVSA-N 0 1 299.378 0.761 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCCO1 ZINC001049431637 852270924 /nfs/dbraw/zinc/27/09/24/852270924.db2.gz QDQZYAGWVNUUTO-IHRRRGAJSA-N 0 1 262.353 0.864 20 30 CCEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1(C(N)=O)CC1 ZINC001049688865 852350877 /nfs/dbraw/zinc/35/08/77/852350877.db2.gz XCVFAEZDNIUAGP-STQMWFEESA-N 0 1 289.379 0.341 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cn(CC=C)nn1 ZINC001049773764 852369669 /nfs/dbraw/zinc/36/96/69/852369669.db2.gz RVRNPFKBFNHMFC-GJZGRUSLSA-N 0 1 299.378 0.776 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cc[n+]([O-])cc3)[C@@H]2C1 ZINC001049962147 852409055 /nfs/dbraw/zinc/40/90/55/852409055.db2.gz IZNSZMVHDMHVMH-LSDHHAIUSA-N 0 1 285.347 0.490 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCn3cccn3)[C@@H]2C1 ZINC001049979150 852413784 /nfs/dbraw/zinc/41/37/84/852413784.db2.gz IRYNLZJGJQXAFE-LSDHHAIUSA-N 0 1 286.379 0.829 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cocn3)[C@@H]2C1 ZINC001049994947 852417997 /nfs/dbraw/zinc/41/79/97/852417997.db2.gz CMOSRGXFUXOHFF-WCQYABFASA-N 0 1 259.309 0.844 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccnn1C)C2 ZINC001097018036 852489655 /nfs/dbraw/zinc/48/96/55/852489655.db2.gz SKKXGOIZSIHQKL-MCIONIFRSA-N 0 1 274.368 0.870 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]13C[C@H]1COC3)C2 ZINC001097108318 852500382 /nfs/dbraw/zinc/50/03/82/852500382.db2.gz STWGTJQCLWJVHU-PFFFPCNUSA-N 0 1 262.353 0.930 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CC(=O)N(C)C1)C2 ZINC001097171579 852509677 /nfs/dbraw/zinc/50/96/77/852509677.db2.gz RGAMVISVHAPPJU-LOWDOPEQSA-N 0 1 277.368 0.372 20 30 CCEDMN Cc1cc(CC(=O)NC[C@H](C)Nc2nccnc2C#N)[nH]n1 ZINC001097738719 852597002 /nfs/dbraw/zinc/59/70/02/852597002.db2.gz OBPMGGZNWIKKKQ-JTQLQIEISA-N 0 1 299.338 0.539 20 30 CCEDMN C[C@@H](CNC(=O)CCc1nc[nH]n1)Nc1ncccc1C#N ZINC001097778826 852608104 /nfs/dbraw/zinc/60/81/04/852608104.db2.gz AUPCBXXXKYOOLG-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCN1Cc2ccc(CNC(=O)[C@@H]3CC3[N+](=O)[O-])cc2C1 ZINC001054264633 852898808 /nfs/dbraw/zinc/89/88/08/852898808.db2.gz NKQIOGVUUJNJSB-HUUCEWRRSA-N 0 1 299.330 0.917 20 30 CCEDMN N#CCN1Cc2ccc(CNC(=O)c3cnn[nH]3)cc2C1 ZINC001054272035 852900100 /nfs/dbraw/zinc/90/01/00/852900100.db2.gz BZDMBMCKWSAQMP-UHFFFAOYSA-N 0 1 282.307 0.574 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC(N)=O)C[C@H]1C ZINC001054502661 852962361 /nfs/dbraw/zinc/96/23/61/852962361.db2.gz XCLPLBIITJBABA-APPZFPTMSA-N 0 1 259.737 0.051 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](Nc2ccc(C#N)nn2)C1 ZINC001056748414 853200219 /nfs/dbraw/zinc/20/02/19/853200219.db2.gz PFWJJIAWCAZVFW-SNVBAGLBSA-N 0 1 298.310 0.101 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cc(C)ncn3)[C@@H]2C1 ZINC001050024010 853297147 /nfs/dbraw/zinc/29/71/47/853297147.db2.gz YVBXHQGRDCBCSZ-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cn(C)c(=O)[nH]3)[C@@H]2C1 ZINC001050131245 853320025 /nfs/dbraw/zinc/32/00/25/853320025.db2.gz UQPPQGQEEYPPIK-WCQYABFASA-N 0 1 288.351 0.295 20 30 CCEDMN C=CCCN1CCOC[C@H]1CNC(=O)[C@@H]1CCCN1C ZINC001050848928 853461691 /nfs/dbraw/zinc/46/16/91/853461691.db2.gz QYSDOMMSYDXJBL-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN N#CCN1CCOC[C@@H]1CNC(=O)c1cccc2nc[nH]c21 ZINC001051182518 853541825 /nfs/dbraw/zinc/54/18/25/853541825.db2.gz FHMRJSZQEINKFU-NSHDSACASA-N 0 1 299.334 0.517 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)[C@H](C)OC)C2)CC1 ZINC001051992501 853671099 /nfs/dbraw/zinc/67/10/99/853671099.db2.gz YTFZWNJVUWSKLL-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)[C@H](F)CC)C2)CC1 ZINC001052063812 853679621 /nfs/dbraw/zinc/67/96/21/853679621.db2.gz XZVGPWPJBMQNDF-HUUCEWRRSA-N 0 1 295.402 0.976 20 30 CCEDMN C[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001058314897 853823054 /nfs/dbraw/zinc/82/30/54/853823054.db2.gz TYFULWHZWKSWGF-KBPBESRZSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1CCN(c2ccc(C#N)cn2)C1 ZINC001058314897 853823062 /nfs/dbraw/zinc/82/30/62/853823062.db2.gz TYFULWHZWKSWGF-KBPBESRZSA-N 0 1 299.378 0.742 20 30 CCEDMN N#Cc1cnc(NCC2CC(NC(=O)c3ncn[nH]3)C2)cn1 ZINC001067860119 853863255 /nfs/dbraw/zinc/86/32/55/853863255.db2.gz LNLBJVFWEVZSHE-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cnc(NCC2CC(NC(=O)c3nc[nH]n3)C2)cn1 ZINC001067860119 853863260 /nfs/dbraw/zinc/86/32/60/853863260.db2.gz LNLBJVFWEVZSHE-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncccn1)C2 ZINC001098080922 853924080 /nfs/dbraw/zinc/92/40/80/853924080.db2.gz VQTGXBAVRUGINC-UPJWGTAASA-N 0 1 270.336 0.835 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CC=CC2)[C@@H](n2ccnn2)C1 ZINC001069904670 853997200 /nfs/dbraw/zinc/99/72/00/853997200.db2.gz LKDBSSOXWLOPSG-CABCVRRESA-N 0 1 299.378 0.609 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H]2CC=CCC2)C[C@@H]1n1ccnn1 ZINC001070138559 854029526 /nfs/dbraw/zinc/02/95/26/854029526.db2.gz IQYJESOYUMHESH-ZNMIVQPWSA-N 0 1 299.378 0.609 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H]2CC23CCC3)C[C@@H]1n1ccnn1 ZINC001070285758 854040267 /nfs/dbraw/zinc/04/02/67/854040267.db2.gz ITFNXQUAWLBINT-MCIONIFRSA-N 0 1 299.378 0.443 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)C(F)F)C[C@H](C)O2 ZINC001071117234 854124125 /nfs/dbraw/zinc/12/41/25/854124125.db2.gz SDKSJOWKBFYUAB-GWCFXTLKSA-N 0 1 272.295 0.577 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@H]1C ZINC001071333193 854160050 /nfs/dbraw/zinc/16/00/50/854160050.db2.gz YZZPRFVORLXZTC-VXGBXAGGSA-N 0 1 288.351 0.788 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)Cc2ccon2)CC[C@@H]1C ZINC001071380774 854171422 /nfs/dbraw/zinc/17/14/22/854171422.db2.gz CVVXKLOMBANISD-AAEUAGOBSA-N 0 1 261.325 0.819 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ccon2)CC[C@@H]1C ZINC001071380774 854171428 /nfs/dbraw/zinc/17/14/28/854171428.db2.gz CVVXKLOMBANISD-AAEUAGOBSA-N 0 1 261.325 0.819 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2cc(C)cn2)CC[C@@H]1C ZINC001071376548 854172329 /nfs/dbraw/zinc/17/23/29/854172329.db2.gz WCDNNZKIJLOSNO-KBPBESRZSA-N 0 1 274.368 0.794 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2nnc(C)o2)CC[C@H]1C ZINC001071490642 854220351 /nfs/dbraw/zinc/22/03/51/854220351.db2.gz OZBNULVGQIXPIH-ZYHUDNBSSA-N 0 1 276.340 0.523 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CC[C@@H](C)N(CC#N)C2)n[nH]1 ZINC001071498205 854221704 /nfs/dbraw/zinc/22/17/04/854221704.db2.gz RFEGPKISEOWGFY-MWLCHTKSSA-N 0 1 276.344 0.148 20 30 CCEDMN C#CCC[N@@H+]1C[C@H](NC(=O)c2[nH]nnc2C)CC[C@H]1C ZINC001071728570 854273127 /nfs/dbraw/zinc/27/31/27/854273127.db2.gz OSUBIAVQCQVSTG-ZYHUDNBSSA-N 0 1 275.356 0.719 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CC[C@H](C)N(CCO)C2)c1 ZINC001071813662 854300586 /nfs/dbraw/zinc/30/05/86/854300586.db2.gz CWDQVTQEFVHRSV-WFASDCNBSA-N 0 1 287.363 0.638 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CC[C@H](C)N(CCO)C2)nn1 ZINC001071884041 854311979 /nfs/dbraw/zinc/31/19/79/854311979.db2.gz WRJULUOZBWMMNW-RYUDHWBXSA-N 0 1 293.371 0.039 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C2CC(=O)NC(=O)C2)CC[C@H]1C ZINC001071894523 854313748 /nfs/dbraw/zinc/31/37/48/854313748.db2.gz ZRGGFKNSLBEECV-PWSUYJOCSA-N 0 1 293.367 0.194 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)CC[C@H]1C ZINC001071940917 854323816 /nfs/dbraw/zinc/32/38/16/854323816.db2.gz AQDNQHFXGWKBSK-PWSUYJOCSA-N 0 1 290.367 0.882 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CC[C@@H](C)N(CCO)C2)nc1 ZINC001072002414 854334062 /nfs/dbraw/zinc/33/40/62/854334062.db2.gz LJEHJOOPMZWFLO-TZMCWYRMSA-N 0 1 287.363 0.638 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2ccc(=O)n(C)n2)CC[C@@H]1C ZINC001072051760 854342559 /nfs/dbraw/zinc/34/25/59/854342559.db2.gz IWIABNOHQCJGTC-NWDGAFQWSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](CC)OC)C2)C1 ZINC001072533433 854408163 /nfs/dbraw/zinc/40/81/63/854408163.db2.gz OAJHITQLAUBLHG-LBPRGKRZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ocnc3C)C2)C1 ZINC001072588715 854422938 /nfs/dbraw/zinc/42/29/38/854422938.db2.gz MLTKZSDBQJTNMZ-UHFFFAOYSA-N 0 1 259.309 0.764 20 30 CCEDMN CC#CC[N@H+]1CCC2(CN(C(=O)c3n[nH]c(C)c3[O-])C2)C1 ZINC001072628249 854431781 /nfs/dbraw/zinc/43/17/81/854431781.db2.gz MRQOUVGJMFEDRD-UHFFFAOYSA-N 0 1 288.351 0.595 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCN(C)C(=O)C3)C2)C1 ZINC001072864405 854481797 /nfs/dbraw/zinc/48/17/97/854481797.db2.gz DROVSCQQCMLGRQ-ZDUSSCGKSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CC[N@@H+]1CCC2(CN(C(=O)c3snnc3CC)C2)C1 ZINC001072902457 854491022 /nfs/dbraw/zinc/49/10/22/854491022.db2.gz KYOYYCFTEQLMEH-UHFFFAOYSA-N 0 1 290.392 0.882 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3snnc3CC)C2)C1 ZINC001072902457 854491024 /nfs/dbraw/zinc/49/10/24/854491024.db2.gz KYOYYCFTEQLMEH-UHFFFAOYSA-N 0 1 290.392 0.882 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCCc4nn[nH]c43)C2)C1 ZINC001072924714 854496760 /nfs/dbraw/zinc/49/67/60/854496760.db2.gz RYKURYIBPFUIDZ-GFCCVEGCSA-N 0 1 299.378 0.392 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CC3=CCOCC3)C2)C1 ZINC001072943037 854498656 /nfs/dbraw/zinc/49/86/56/854498656.db2.gz NWZVDQWPEVEJSD-UHFFFAOYSA-N 0 1 274.364 0.891 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3OCC[C@H]3C)C2)C1 ZINC001072990154 854509840 /nfs/dbraw/zinc/50/98/40/854509840.db2.gz SZNLPZKQOKLJDY-OLZOCXBDSA-N 0 1 262.353 0.579 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnn(C)c2C)C1 ZINC001073535242 854580937 /nfs/dbraw/zinc/58/09/37/854580937.db2.gz HXUTYJVAUKAQPO-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cc(C)nn2C)C1 ZINC001073547335 854588634 /nfs/dbraw/zinc/58/86/34/854588634.db2.gz HFSTUXZJIDRACR-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C2=COCCC2)C1 ZINC001073552345 854589937 /nfs/dbraw/zinc/58/99/37/854589937.db2.gz OWSWWTKNDDXPMB-OAHLLOKOSA-N 0 1 292.379 0.911 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C2=COCCC2)C1 ZINC001073552344 854589967 /nfs/dbraw/zinc/58/99/67/854589967.db2.gz OWSWWTKNDDXPMB-HNNXBMFYSA-N 0 1 292.379 0.911 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2cnc(C)[nH]2)C1 ZINC001073550543 854590749 /nfs/dbraw/zinc/59/07/49/854590749.db2.gz NXPUGARBHNWYRU-ZDUSSCGKSA-N 0 1 290.367 0.562 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2coc(C)n2)C1 ZINC001073578401 854601609 /nfs/dbraw/zinc/60/16/09/854601609.db2.gz LGFDNSCQRSTATF-LBPRGKRZSA-N 0 1 279.340 0.990 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001073727516 854636777 /nfs/dbraw/zinc/63/67/77/854636777.db2.gz YGJQKWXFLFJAGN-IGQOVBAYSA-N 0 1 280.368 0.272 20 30 CCEDMN C#CCCN1CCO[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC001074181439 854688237 /nfs/dbraw/zinc/68/82/37/854688237.db2.gz UZSSTSGDAJWOMA-UONOGXRCSA-N 0 1 288.351 0.348 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCC(=O)NC2)[C@H]1C ZINC001074722345 854770304 /nfs/dbraw/zinc/77/03/04/854770304.db2.gz FMKHRLUFOLXFIU-SRVKXCTJSA-N 0 1 299.802 0.844 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2c(C)cnn2C)C1 ZINC001098983871 854904186 /nfs/dbraw/zinc/90/41/86/854904186.db2.gz NXCUTJPYSOFGQA-BBRMVZONSA-N 0 1 286.379 0.946 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001099012567 854905782 /nfs/dbraw/zinc/90/57/82/854905782.db2.gz DBXPTWUVYCJVFO-NHYWBVRUSA-N 0 1 288.351 0.343 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC(=O)NCC ZINC001099033534 854908055 /nfs/dbraw/zinc/90/80/55/854908055.db2.gz FXHPZLPVLMQHHE-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnon2)C1 ZINC001099088032 854914111 /nfs/dbraw/zinc/91/41/11/854914111.db2.gz RKDOJXQHSGNULI-GWCFXTLKSA-N 0 1 262.313 0.840 20 30 CCEDMN C[C@H](CCNC(=O)Cc1nnc[nH]1)Nc1ccc(C#N)cn1 ZINC001099483310 854934575 /nfs/dbraw/zinc/93/45/75/854934575.db2.gz JOIFILDRAWCGDS-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C/c2ccco2)[C@@H](O)C1 ZINC001099642891 854955806 /nfs/dbraw/zinc/95/58/06/854955806.db2.gz YATILHUEMNQBCV-KXLSMFKISA-N 0 1 288.347 0.868 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCC(C)C)[C@@H](O)C1 ZINC001099665711 854961714 /nfs/dbraw/zinc/96/17/14/854961714.db2.gz LGXUWEINKFLYND-KBPBESRZSA-N 0 1 266.385 0.997 20 30 CCEDMN C[C@H](CCNC(=O)Cc1cnc[nH]1)Nc1nccnc1C#N ZINC001099713062 854975656 /nfs/dbraw/zinc/97/56/56/854975656.db2.gz KGBWBWBVXBWUMN-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C(=O)C(C)(C)C)[C@@H](O)C1 ZINC001099743508 854983040 /nfs/dbraw/zinc/98/30/40/854983040.db2.gz KVHQYNFIQNOOPF-RYUDHWBXSA-N 0 1 282.384 0.729 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H]1CCN(CC#CC)C[C@H]1O ZINC001099765704 854988127 /nfs/dbraw/zinc/98/81/27/854988127.db2.gz AKBFBTSBRKMJRY-HUUCEWRRSA-N 0 1 294.395 0.544 20 30 CCEDMN COCCN1CC[C@H](NC(=O)C#CC(C)(C)C)[C@H](O)C1 ZINC001099830174 855005584 /nfs/dbraw/zinc/00/55/84/855005584.db2.gz NXLHWDKLUXVJRY-QWHCGFSZSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001099826644 855006875 /nfs/dbraw/zinc/00/68/75/855006875.db2.gz OEFAINGMLCHUOG-CABCVRRESA-N 0 1 292.379 0.154 20 30 CCEDMN C=CCOCC(=O)NCC1CC(NCc2cn(C)nn2)C1 ZINC001100186826 855113451 /nfs/dbraw/zinc/11/34/51/855113451.db2.gz MXBYOZOMNHVXAQ-UHFFFAOYSA-N 0 1 293.371 0.002 20 30 CCEDMN CN(CCNC(=O)CCc1c[nH]nn1)c1ccncc1C#N ZINC001100334688 855150082 /nfs/dbraw/zinc/15/00/82/855150082.db2.gz OTXLTRFGBHMADF-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CN(CCNC(=O)CCc1cnn[nH]1)c1ccncc1C#N ZINC001100334688 855150084 /nfs/dbraw/zinc/15/00/84/855150084.db2.gz OTXLTRFGBHMADF-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CCc1cc(C(=O)N(C)CCNc2ccncc2C#N)n[nH]1 ZINC001101508145 855300719 /nfs/dbraw/zinc/30/07/19/855300719.db2.gz BPVSNHQPOPHQHD-UHFFFAOYSA-N 0 1 298.350 0.845 20 30 CCEDMN CN(CCNc1nccnc1C#N)C(=O)Cc1ccn[nH]1 ZINC001101535062 855309090 /nfs/dbraw/zinc/30/90/90/855309090.db2.gz ORZSWVVYBWESEU-UHFFFAOYSA-N 0 1 285.311 0.184 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)C=C(C)C)[C@H](C)C1 ZINC001101699477 855346355 /nfs/dbraw/zinc/34/63/55/855346355.db2.gz CGCASWXNBDETNU-ZIAGYGMSSA-N 0 1 293.411 0.939 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H](C)C#N)CNc1ncnc2[nH]cnc21 ZINC001103898037 855547921 /nfs/dbraw/zinc/54/79/21/855547921.db2.gz FASNXUPONWQSHF-BDAKNGLRSA-N 0 1 287.327 0.628 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](C)CNc1ncnc2[nH]cnc21 ZINC001103898039 855548166 /nfs/dbraw/zinc/54/81/66/855548166.db2.gz FASNXUPONWQSHF-IUCAKERBSA-N 0 1 287.327 0.628 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H](CCNCc2cnon2)C1 ZINC001104005025 855560495 /nfs/dbraw/zinc/56/04/95/855560495.db2.gz RJNBOLGIXJFGSO-IJLUTSLNSA-N 0 1 291.355 0.803 20 30 CCEDMN COCC#CCN1C[C@@H]2CCC[C@]2(NC(=O)CSC)C1 ZINC001111580301 855581360 /nfs/dbraw/zinc/58/13/60/855581360.db2.gz PYFNTIVOIVIYDE-ZFWWWQNUSA-N 0 1 296.436 0.970 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](C)COC)C1 ZINC001111657994 855584817 /nfs/dbraw/zinc/58/48/17/855584817.db2.gz UPRUDMLJMGJYDU-IPYPFGDCSA-N 0 1 264.369 0.873 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@]1(C)CCOC1 ZINC001115015534 855634808 /nfs/dbraw/zinc/63/48/08/855634808.db2.gz GPQPIAZFWOYDFK-NHIYQJMISA-N 0 1 292.379 0.109 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)COC ZINC001115051960 855637660 /nfs/dbraw/zinc/63/76/60/855637660.db2.gz CZAKWNRNVNYEOE-CIQGVGRVSA-N 0 1 250.342 0.339 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@H](C)Nc2ccc(C#N)nn2)n[nH]1 ZINC001115622347 855682387 /nfs/dbraw/zinc/68/23/87/855682387.db2.gz MRDLNHJGRVZKCA-JTQLQIEISA-N 0 1 299.338 0.952 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)NC[C@H]1CCC[N@@H+]1CC ZINC001118097209 856160421 /nfs/dbraw/zinc/16/04/21/856160421.db2.gz HZKSLSQQXQJXQQ-GFCCVEGCSA-N 0 1 267.373 0.669 20 30 CCEDMN C=CCNC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001118368252 856277167 /nfs/dbraw/zinc/27/71/67/856277167.db2.gz GXYWESVGBGOIAT-MRVPVSSYSA-N 0 1 251.290 0.641 20 30 CCEDMN O=C(C#CC1CC1)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001118382545 856283833 /nfs/dbraw/zinc/28/38/33/856283833.db2.gz HTXJDYNJOLTSCS-JTQLQIEISA-N 0 1 260.297 0.686 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)Cc1nnc[nH]1 ZINC001118496529 856316852 /nfs/dbraw/zinc/31/68/52/856316852.db2.gz XLWUGVDPTSQMEY-UHFFFAOYSA-N 0 1 260.305 0.046 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)c2noc(C)n2)CC1 ZINC001119678454 856831328 /nfs/dbraw/zinc/83/13/28/856831328.db2.gz DXADBPRYQFWMEB-SNVBAGLBSA-N 0 1 291.355 0.836 20 30 CCEDMN C[C@@H]1C[C@H](NC2CCN(CC#N)CC2)c2ncnn21 ZINC001119690380 856837960 /nfs/dbraw/zinc/83/79/60/856837960.db2.gz YXBONNBJOREGAU-PWSUYJOCSA-N 0 1 260.345 0.861 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@@H]1CC[N@@H+](CC2CC2)C1)C(C)C ZINC001119764812 856870107 /nfs/dbraw/zinc/87/01/07/856870107.db2.gz LHVVQJSSYPYQCJ-CQSZACIVSA-N 0 1 291.395 0.457 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C[C@H](C)Nc1ccncc1C#N ZINC001120399537 857057464 /nfs/dbraw/zinc/05/74/64/857057464.db2.gz NYAXGCCRSCKDQR-VIFPVBQESA-N 0 1 299.338 0.374 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001323285843 912243078 /nfs/dbraw/zinc/24/30/78/912243078.db2.gz AFICYEJQQYWDTO-IUODEOHRSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1ccnc(C)n1 ZINC001323363679 912294619 /nfs/dbraw/zinc/29/46/19/912294619.db2.gz TVHIVEALFVZTOO-UHFFFAOYSA-N 0 1 295.324 0.242 20 30 CCEDMN CCN1CC[C@H](N(C)CCCN(C)C(=O)[C@@H](C)C#N)C1=O ZINC001393613519 912681765 /nfs/dbraw/zinc/68/17/65/912681765.db2.gz PJLHIAFGHSAINB-STQMWFEESA-N 0 1 294.399 0.547 20 30 CCEDMN C=C(Cl)CN[C@@]1(CO)CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001393686696 912713779 /nfs/dbraw/zinc/71/37/79/912713779.db2.gz APLXBHWIBVOBRY-GWCFXTLKSA-N 0 1 285.775 0.842 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CNC(=O)C2CC2)C[C@@H]1C ZINC001393730663 912731439 /nfs/dbraw/zinc/73/14/39/912731439.db2.gz PFFRVZMHMAHENU-JOYOIKCWSA-N 0 1 299.802 0.702 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC(C)(NC(=O)C#CC2CC2)CC1 ZINC001324309688 912774008 /nfs/dbraw/zinc/77/40/08/912774008.db2.gz PFYFZONRVKVGJF-ZDUSSCGKSA-N 0 1 291.395 0.634 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(=O)n(C)n1 ZINC001394005422 912913041 /nfs/dbraw/zinc/91/30/41/912913041.db2.gz ZBTJNQPXHBTGLR-VHSXEESVSA-N 0 1 298.774 0.629 20 30 CCEDMN CCOCCC(=O)N[C@]1(C)CCN(CC#CCOC)C1 ZINC001324589707 912922894 /nfs/dbraw/zinc/92/28/94/912922894.db2.gz QZNCMMIUXJPVCE-OAHLLOKOSA-N 0 1 282.384 0.643 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCc2ccncn2)C1 ZINC001324618986 912945586 /nfs/dbraw/zinc/94/55/86/912945586.db2.gz GLQJYJDUEQSNQC-HNNXBMFYSA-N 0 1 272.352 0.623 20 30 CCEDMN Cn1nncc1N=NCc1ccc([S@@](C)=O)cc1 ZINC001324927598 913100780 /nfs/dbraw/zinc/10/07/80/913100780.db2.gz JXDJROXDQSQFMM-GOSISDBHSA-N 0 1 263.326 0.999 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H]2CCC(F)(F)C2)C1 ZINC001325100750 913216288 /nfs/dbraw/zinc/21/62/88/913216288.db2.gz CJZHKXGJDLZHQN-AAEUAGOBSA-N 0 1 286.322 0.608 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C2(COCC)CC2)C1 ZINC001325109880 913221498 /nfs/dbraw/zinc/22/14/98/913221498.db2.gz ILNQXNVMGVIPMP-MRXNPFEDSA-N 0 1 294.395 0.379 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CNC(=O)NC ZINC001394506099 913231248 /nfs/dbraw/zinc/23/12/48/913231248.db2.gz QQWDULPIGBIHRE-VIFPVBQESA-N 0 1 276.768 0.104 20 30 CCEDMN Cc1ncsc1CN[C@@H](CO)CNC(=O)[C@@H](C)C#N ZINC001394577715 913284270 /nfs/dbraw/zinc/28/42/70/913284270.db2.gz JSUOIKAAULYRQP-WCBMZHEXSA-N 0 1 282.369 0.178 20 30 CCEDMN C=CCO[C@H]1CC[N@H+]([C@@H](C)C(=O)NC(=O)NCC)C1 ZINC001325223637 913286885 /nfs/dbraw/zinc/28/68/85/913286885.db2.gz QECYJOGOEGZCNZ-QWRGUYRKSA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCO[C@H]1CCN([C@@H](C)C(=O)NC(=O)NCC)C1 ZINC001325223637 913286896 /nfs/dbraw/zinc/28/68/96/913286896.db2.gz QECYJOGOEGZCNZ-QWRGUYRKSA-N 0 1 269.345 0.498 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H]2CN(CC(N)=O)C[C@H]2C1 ZINC001325740589 913553454 /nfs/dbraw/zinc/55/34/54/913553454.db2.gz NAIJCZNDURNLOE-TXEJJXNPSA-N 0 1 265.357 0.218 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cn(C2CCC2)nn1 ZINC001480865171 891430052 /nfs/dbraw/zinc/43/00/52/891430052.db2.gz FGFZBMSPNNZEPA-UHFFFAOYSA-N 0 1 261.329 0.298 20 30 CCEDMN C#CCN(C)CCNC(=O)c1c(C(F)(F)F)cnn1C ZINC001492836100 913557352 /nfs/dbraw/zinc/55/73/52/913557352.db2.gz WJTSBJMSSKXYAH-UHFFFAOYSA-N 0 1 288.273 0.734 20 30 CCEDMN C=CCC1(O)CN(C(=O)CN(C)[C@H]2CCSC2)C1 ZINC001347378017 891565658 /nfs/dbraw/zinc/56/56/58/891565658.db2.gz ABOMINFLDBUPRZ-NSHDSACASA-N 0 1 270.398 0.573 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)C2=COCCO2)C1 ZINC001325819982 913593611 /nfs/dbraw/zinc/59/36/11/913593611.db2.gz ZEESWXHTRSQOHI-CQSZACIVSA-N 0 1 282.340 0.004 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C[N@@H+]2CCC[C@H](C(=O)[O-])C2)C1 ZINC001350801028 891865656 /nfs/dbraw/zinc/86/56/56/891865656.db2.gz HOQZTNRIHGYRNF-STQMWFEESA-N 0 1 278.352 0.655 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)Cc2cc[nH]n2)C1 ZINC001325834566 913603792 /nfs/dbraw/zinc/60/37/92/913603792.db2.gz LDDKIBXDUOYUAZ-OAHLLOKOSA-N 0 1 292.383 0.471 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCCCC(=O)NC ZINC001480954794 891948548 /nfs/dbraw/zinc/94/85/48/891948548.db2.gz MNGRBUROKXRLJI-UHFFFAOYSA-N 0 1 267.373 0.364 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCc2nnc(C)n2C1 ZINC001480949965 891929482 /nfs/dbraw/zinc/92/94/82/891929482.db2.gz ONTGYPZXWRYEIN-CYBMUJFWSA-N 0 1 289.383 0.220 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](OCC)C1CCOCC1 ZINC001480991847 892023794 /nfs/dbraw/zinc/02/37/94/892023794.db2.gz NXALZFMORGOKOQ-HNNXBMFYSA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CCNC(=O)CC1 ZINC001480992299 892027957 /nfs/dbraw/zinc/02/79/57/892027957.db2.gz WXURYTFZGDEPGG-CYBMUJFWSA-N 0 1 279.384 0.364 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCCC(=O)NCCC ZINC001481062863 892123255 /nfs/dbraw/zinc/12/32/55/892123255.db2.gz AAAFVTXZQGRGHD-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN COCC#CCN(CCNC(=O)c1ccn[nH]1)C1CC1 ZINC001481159752 892250951 /nfs/dbraw/zinc/25/09/51/892250951.db2.gz ONXKCMPJQUORKM-UHFFFAOYSA-N 0 1 276.340 0.254 20 30 CCEDMN CC#CCN(CCNC(=O)CC(C)(C)O)C1CC1 ZINC001481167069 892264830 /nfs/dbraw/zinc/26/48/30/892264830.db2.gz WPTVZZAISUEKQJ-UHFFFAOYSA-N 0 1 252.358 0.751 20 30 CCEDMN CC#CCN(CCNC(=O)Cc1nnc(C)[nH]1)C1CC1 ZINC001481169369 892266001 /nfs/dbraw/zinc/26/60/01/892266001.db2.gz QJFDVMSJAQTXOY-UHFFFAOYSA-N 0 1 275.356 0.260 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H]1CC12CCCC2 ZINC001283819425 892443735 /nfs/dbraw/zinc/44/37/35/892443735.db2.gz VXGZSCVRAFOILM-VXGBXAGGSA-N 0 1 250.342 0.267 20 30 CCEDMN C#CCNCC(=O)NCCCCNc1ccccn1 ZINC001343419650 892899517 /nfs/dbraw/zinc/89/95/17/892899517.db2.gz FUHVXXLFKCGQLA-UHFFFAOYSA-N 0 1 260.341 0.613 20 30 CCEDMN C=CCN1CC(CNC(=O)C2(F)CCOCC2)C1 ZINC001481627999 892929979 /nfs/dbraw/zinc/92/99/79/892929979.db2.gz CKPODPRTJUXACJ-UHFFFAOYSA-N 0 1 256.321 0.739 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001481700515 893038212 /nfs/dbraw/zinc/03/82/12/893038212.db2.gz NMYPGXKUAQNPKI-TZMCWYRMSA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CC[C@@H]2CCOC2)C1 ZINC001481720559 893088612 /nfs/dbraw/zinc/08/86/12/893088612.db2.gz QLWOUSNGTQOZCJ-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCCC(=O)N(C)[C@@H]1CCN([C@H](CC)C(N)=O)C1 ZINC001481724586 893099487 /nfs/dbraw/zinc/09/94/87/893099487.db2.gz NPSAHCGEAOFMEX-CHWSQXEVSA-N 0 1 279.384 0.587 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CCNC(=O)NC ZINC001481807275 893172114 /nfs/dbraw/zinc/17/21/14/893172114.db2.gz IBMNCMMJUUOZAJ-JTQLQIEISA-N 0 1 290.795 0.542 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1snnc1C ZINC001496659391 893288364 /nfs/dbraw/zinc/28/83/64/893288364.db2.gz ZEUGVBVCHZPITL-UHFFFAOYSA-N 0 1 266.370 0.874 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H]1CN(CCCC)CCO1 ZINC001482191457 893485222 /nfs/dbraw/zinc/48/52/22/893485222.db2.gz DDLWWZCCNQKNDH-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C[C@H]1CCCCO1 ZINC001422286067 893605224 /nfs/dbraw/zinc/60/52/24/893605224.db2.gz KIIWZJGTHZZCBZ-VXGBXAGGSA-N 0 1 290.791 0.765 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C1CN(CC[C@@H]2CCOC2)C1 ZINC001269787751 893645152 /nfs/dbraw/zinc/64/51/52/893645152.db2.gz SFUVOQKGJKAZNH-ZIAGYGMSSA-N 0 1 294.395 0.594 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)[C@H](C)CNCc1cnns1 ZINC001482276493 893743736 /nfs/dbraw/zinc/74/37/36/893743736.db2.gz AKVUOLAYXQQTIP-MFKMUULPSA-N 0 1 298.412 0.802 20 30 CCEDMN CCc1cc(C(=O)N(C)CCN(C)C(=O)[C@H](C)C#N)n[nH]1 ZINC001501035595 893878736 /nfs/dbraw/zinc/87/87/36/893878736.db2.gz OTCJHIJPTCQDDZ-SNVBAGLBSA-N 0 1 291.355 0.662 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)C[C@@H]1CCC(=O)N1 ZINC001482341622 893889230 /nfs/dbraw/zinc/88/92/30/893889230.db2.gz BUZHLKCEIVWZOB-MNOVXSKESA-N 0 1 287.791 0.844 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)C[C@@H]1CCC(=O)N1 ZINC001482341624 893889314 /nfs/dbraw/zinc/88/93/14/893889314.db2.gz BUZHLKCEIVWZOB-QWRGUYRKSA-N 0 1 287.791 0.844 20 30 CCEDMN N#CCC[C@H](C#N)CNC[C@H](O)C1CCOCC1 ZINC001326230697 913833608 /nfs/dbraw/zinc/83/36/08/913833608.db2.gz DMGFYQHAWQKTBZ-YPMHNXCESA-N 0 1 251.330 0.807 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cnn(C)c1 ZINC001505418829 894193912 /nfs/dbraw/zinc/19/39/12/894193912.db2.gz CQZQDENNHWTIRB-CQSZACIVSA-N 0 1 274.368 0.980 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H](C)OC ZINC001482582129 894284697 /nfs/dbraw/zinc/28/46/97/894284697.db2.gz HQTBTYZUQNZEDK-LBPRGKRZSA-N 0 1 256.346 0.061 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CC(=O)N(CC(C)C)C1 ZINC001482600033 894384054 /nfs/dbraw/zinc/38/40/54/894384054.db2.gz BNAAWCSTEZVNDW-AWEZNQCLSA-N 0 1 293.411 0.514 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H](C)[C@@H](C)COC ZINC001482602281 894388572 /nfs/dbraw/zinc/38/85/72/894388572.db2.gz VYIZIPUJAUIWBU-LSDHHAIUSA-N 0 1 298.427 0.945 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)CCS(C)(=O)=O ZINC001482933385 894705614 /nfs/dbraw/zinc/70/56/14/894705614.db2.gz KNJDWJUSUZXVFP-UHFFFAOYSA-N 0 1 296.820 0.612 20 30 CCEDMN CC(C)[C@@H]1C[C@H]1C(=O)NC/C=C\CNCC(=O)NCC#N ZINC001483077874 894882283 /nfs/dbraw/zinc/88/22/83/894882283.db2.gz WLRHOFDLFFWYNU-RSNNXLNZSA-N 0 1 292.383 0.180 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1CN(C)C(=O)N1 ZINC001425607421 895168595 /nfs/dbraw/zinc/16/85/95/895168595.db2.gz ZRRKUVNRCVCQPN-VHSXEESVSA-N 0 1 288.779 0.199 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CN(C)[C@@H]1CCCNC1=O ZINC001483319176 895442740 /nfs/dbraw/zinc/44/27/40/895442740.db2.gz GVTAXWFBYNMDGK-NWDGAFQWSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CN(C)[C@@H]1CCC(=O)NC1=O ZINC001483320661 895447679 /nfs/dbraw/zinc/44/76/79/895447679.db2.gz QXCYTFSUKWAXLD-GHMZBOCLSA-N 0 1 281.356 0.194 20 30 CCEDMN C[C@@H](NCC(N)=O)c1ccc(CNC(=O)C#CC2CC2)cc1 ZINC001326467067 913974473 /nfs/dbraw/zinc/97/44/73/913974473.db2.gz FDQJUPVDIMDFNQ-GFCCVEGCSA-N 0 1 299.374 0.852 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1C[C@H]1C(N)=O)C1CC1 ZINC001483587961 895667182 /nfs/dbraw/zinc/66/71/82/895667182.db2.gz QAXLHDAEKPYYTI-VWYCJHECSA-N 0 1 285.775 0.345 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001483723701 895917499 /nfs/dbraw/zinc/91/74/99/895917499.db2.gz VLGHTNFSZLGBDQ-QWRGUYRKSA-N 0 1 278.356 0.075 20 30 CCEDMN COc1ccnc(CNC2(CNC(=O)[C@@H](C)C#N)CC2)c1 ZINC001483723220 895918783 /nfs/dbraw/zinc/91/87/83/895918783.db2.gz JKPRUDDHGBVXOR-NSHDSACASA-N 0 1 288.351 0.988 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC1(NCC(=O)NC(C)C)CC1 ZINC001483730256 895926919 /nfs/dbraw/zinc/92/69/19/895926919.db2.gz HIDQOVYCFUXYQM-CQSZACIVSA-N 0 1 297.399 0.077 20 30 CCEDMN CCc1nc([C@H](C)N2CC(N(C)C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001483857391 896078583 /nfs/dbraw/zinc/07/85/83/896078583.db2.gz VIRFYVMKJDQJJV-ZJUUUORDSA-N 0 1 290.371 0.730 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)Cn2cc(C)cn2)C1 ZINC001484032997 896155450 /nfs/dbraw/zinc/15/54/50/896155450.db2.gz TXKVNTAUMGAKCA-OAHLLOKOSA-N 0 1 274.368 0.795 20 30 CCEDMN C#CCCCCCC(=O)NC[C@]1(O)CC[N@@H+](CC#C)C1 ZINC001484231372 896263331 /nfs/dbraw/zinc/26/33/31/896263331.db2.gz WIVQYGFGWLSXHR-MRXNPFEDSA-N 0 1 276.380 0.756 20 30 CCEDMN C#CCCCCCC(=O)NC[C@]1(O)CCN(CC#C)C1 ZINC001484231372 896263349 /nfs/dbraw/zinc/26/33/49/896263349.db2.gz WIVQYGFGWLSXHR-MRXNPFEDSA-N 0 1 276.380 0.756 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)C2CC2)C1 ZINC001484253475 896288329 /nfs/dbraw/zinc/28/83/29/896288329.db2.gz HJWWLQNETOYDJO-LLVKDONJSA-N 0 1 252.358 0.914 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)[C@@H](C)OCC)C1 ZINC001484428446 896401058 /nfs/dbraw/zinc/40/10/58/896401058.db2.gz PBMADWVAZUJQAF-UKRRQHHQSA-N 0 1 284.400 0.931 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)[C@H](C)OCC)C1 ZINC001484428447 896401242 /nfs/dbraw/zinc/40/12/42/896401242.db2.gz PBMADWVAZUJQAF-ZFWWWQNUSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)COCCCOC ZINC001484464049 896418282 /nfs/dbraw/zinc/41/82/82/896418282.db2.gz IZDGFGHKNFHDRF-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)Cc1cnoc1 ZINC001484490664 896435513 /nfs/dbraw/zinc/43/55/13/896435513.db2.gz CQMGRKOLUYNCPO-UHFFFAOYSA-N 0 1 287.747 0.380 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCCC[C@H]1CNCC#N ZINC001485038941 896727804 /nfs/dbraw/zinc/72/78/04/896727804.db2.gz QYOBVEXELNPJOK-JTQLQIEISA-N 0 1 262.317 0.221 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](COC)OC ZINC001485069702 896747738 /nfs/dbraw/zinc/74/77/38/896747738.db2.gz RBIXRRHGCXXTRD-ZIAGYGMSSA-N 0 1 282.384 0.594 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)C(C)(C)NC(C)=O ZINC001485072810 896754740 /nfs/dbraw/zinc/75/47/40/896754740.db2.gz ISFLIEPYUYEOTA-CQSZACIVSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CC[NH2+][C@@H](C)[C@@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001485200065 896847193 /nfs/dbraw/zinc/84/71/93/896847193.db2.gz PHOCWBUHXZJHBH-VHSXEESVSA-N 0 1 288.351 0.789 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1nccc(C)n1 ZINC001485298027 896929280 /nfs/dbraw/zinc/92/92/80/896929280.db2.gz PYZZTJGADOMEPN-GFCCVEGCSA-N 0 1 260.341 0.858 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001485320306 896946935 /nfs/dbraw/zinc/94/69/35/896946935.db2.gz OGGMSMULNLUMEK-CHWSQXEVSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCc2cncn2C1 ZINC001485321632 896947677 /nfs/dbraw/zinc/94/76/77/896947677.db2.gz ZPNQJRZKPPLMNX-CHWSQXEVSA-N 0 1 274.368 0.515 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1c(C)nn(C)c1C ZINC001485334713 896954987 /nfs/dbraw/zinc/95/49/87/896954987.db2.gz SLRUQSBMXBUQEE-JTQLQIEISA-N 0 1 262.357 0.720 20 30 CCEDMN COCC#CC[NH+](C)[C@@H](C)CNC(=O)[C@H]1CCC[N@@H+]1C ZINC001485340641 896963745 /nfs/dbraw/zinc/96/37/45/896963745.db2.gz XIQBWSUZQFXYBM-UONOGXRCSA-N 0 1 281.400 0.167 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)Cn1ncc2cccnc21 ZINC001485328592 896965712 /nfs/dbraw/zinc/96/57/12/896965712.db2.gz DCOUIAXVRDUIIU-ZDUSSCGKSA-N 0 1 299.378 0.891 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1nccn2ccnc12 ZINC001485352392 896979517 /nfs/dbraw/zinc/97/95/17/896979517.db2.gz LFOQRGSXLILZRO-NSHDSACASA-N 0 1 271.324 0.413 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)CN(C)C(=O)C2CC2)C1 ZINC001485505198 897092538 /nfs/dbraw/zinc/09/25/38/897092538.db2.gz DZGJMEFVKDGYPM-GFCCVEGCSA-N 0 1 291.395 0.315 20 30 CCEDMN CCCN1CCO[C@]2(CCN(C(=O)CSCC#N)C2)C1 ZINC001485554811 897117529 /nfs/dbraw/zinc/11/75/29/897117529.db2.gz WOBHIHAKCASKGN-CQSZACIVSA-N 0 1 297.424 0.956 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COCC2CC2)C(C)(C)C1 ZINC001485562084 897122803 /nfs/dbraw/zinc/12/28/03/897122803.db2.gz VGMRVXVHHLKJGI-ZDUSSCGKSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCCN(CC(=O)N(C)C)C2 ZINC001485660539 897163681 /nfs/dbraw/zinc/16/36/81/897163681.db2.gz CMVNODGPJUXUMS-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCC[N@@H+](C)CCOCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001485774842 897242387 /nfs/dbraw/zinc/24/23/87/897242387.db2.gz ZKLILKTUJBWAFI-UHFFFAOYSA-N 0 1 294.355 0.125 20 30 CCEDMN C#CCC[N@H+](C)CCOCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001485774842 897242404 /nfs/dbraw/zinc/24/24/04/897242404.db2.gz ZKLILKTUJBWAFI-UHFFFAOYSA-N 0 1 294.355 0.125 20 30 CCEDMN C#CCC[N@@H+](C)CCOCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001485774842 897242421 /nfs/dbraw/zinc/24/24/21/897242421.db2.gz ZKLILKTUJBWAFI-UHFFFAOYSA-N 0 1 294.355 0.125 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccnn1C ZINC001032376349 897356014 /nfs/dbraw/zinc/35/60/14/897356014.db2.gz LLYPKFGZNSJLIK-KBPBESRZSA-N 0 1 272.352 0.271 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2cnoc2CC)C1 ZINC001077764228 897441030 /nfs/dbraw/zinc/44/10/30/897441030.db2.gz GYSWRHGGGJUKFJ-CHWSQXEVSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(COC)on2)C1 ZINC001077790751 897504432 /nfs/dbraw/zinc/50/44/32/897504432.db2.gz BXINACPJORKONI-CHWSQXEVSA-N 0 1 295.339 0.172 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3c[nH]nc3C)C2)OCC1=O ZINC001272754572 897525361 /nfs/dbraw/zinc/52/53/61/897525361.db2.gz JPYWIZGLTBPWLB-OAHLLOKOSA-N 0 1 288.351 0.155 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccnn1C ZINC001032429399 897552171 /nfs/dbraw/zinc/55/21/71/897552171.db2.gz RNJZMJLIDJNGDV-GJZGRUSLSA-N 0 1 286.379 0.661 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1ccon1 ZINC001032520539 897698243 /nfs/dbraw/zinc/69/82/43/897698243.db2.gz UMQGZFSCUXCRKU-KBPBESRZSA-N 0 1 273.336 0.916 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2CC(C)C)[C@@H](O)C1 ZINC001083790318 897720784 /nfs/dbraw/zinc/72/07/84/897720784.db2.gz BIKUVTNLJYRTJG-TUVASFSCSA-N 0 1 278.396 0.853 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2C[C@H]2CC)[C@@H](O)C1 ZINC001083790798 897728519 /nfs/dbraw/zinc/72/85/19/897728519.db2.gz CCCKDCSYKVYZAG-LPWJVIDDSA-N 0 1 252.358 0.770 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)NC(=O)c1ccoc1 ZINC001127999676 897732755 /nfs/dbraw/zinc/73/27/55/897732755.db2.gz UEUSRPHIYWSFKY-JTQLQIEISA-N 0 1 299.758 0.856 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(=O)n1C ZINC001032561328 897746226 /nfs/dbraw/zinc/74/62/26/897746226.db2.gz LJZMPCXESGIVOW-QWRGUYRKSA-N 0 1 276.340 0.601 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)c1cncnc1 ZINC001032585626 897780162 /nfs/dbraw/zinc/78/01/62/897780162.db2.gz PENMNXKRQOJCOT-SNPRPXQTSA-N 0 1 284.363 0.889 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(=O)cn1 ZINC001032591651 897789029 /nfs/dbraw/zinc/78/90/29/897789029.db2.gz KXNWFZTWQSOZOD-QWRGUYRKSA-N 0 1 274.324 0.245 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H](C)Cc1c[nH]cn1 ZINC001032674602 897919555 /nfs/dbraw/zinc/91/95/55/897919555.db2.gz PKJUZMPUXOTMHP-SNPRPXQTSA-N 0 1 286.379 0.897 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(N)=O)c[nH]1 ZINC001032704391 897944702 /nfs/dbraw/zinc/94/47/02/897944702.db2.gz RZZDNBLLNMAGDT-RYUDHWBXSA-N 0 1 286.335 0.036 20 30 CCEDMN N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccn[nH]1 ZINC001049344320 897970104 /nfs/dbraw/zinc/97/01/04/897970104.db2.gz CTPSCWGTDUAOKU-NWDGAFQWSA-N 0 1 259.313 0.612 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc3[nH]cnc32)C1 ZINC001077882506 897995616 /nfs/dbraw/zinc/99/56/16/897995616.db2.gz JWMXJSOMTJISAF-ZIAGYGMSSA-N 0 1 298.346 0.361 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccn(C)c1=O ZINC001032739017 898046210 /nfs/dbraw/zinc/04/62/10/898046210.db2.gz UQIRLJGVVSUFFV-STQMWFEESA-N 0 1 285.347 0.307 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1C[C@@H]2C[C@H]1CN2CCO ZINC001032754123 898094086 /nfs/dbraw/zinc/09/40/86/898094086.db2.gz XSBDTAVQXDOXBO-HOTGVXAUSA-N 0 1 284.359 0.488 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2conc2COC)C1 ZINC001077988103 898153607 /nfs/dbraw/zinc/15/36/07/898153607.db2.gz DCACSGBMXUTBFY-DGCLKSJQSA-N 0 1 295.339 0.172 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(C(C)C)C[C@H]2O)cn1 ZINC001078033606 898190557 /nfs/dbraw/zinc/19/05/57/898190557.db2.gz STBILLYVMHWCKW-ZIAGYGMSSA-N 0 1 273.336 0.246 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCCF)C[C@H]2O)cn1 ZINC001078032417 898191018 /nfs/dbraw/zinc/19/10/18/898191018.db2.gz MXGPVXHPHRWYSS-ZIAGYGMSSA-N 0 1 291.326 0.197 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(F)cc2C)C1 ZINC001078042637 898195263 /nfs/dbraw/zinc/19/52/63/898195263.db2.gz NAJROMMYJOYRMY-HUUCEWRRSA-N 0 1 290.338 0.932 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2C[C@H](NCC#N)C23CCC3)[nH]n1 ZINC001078595270 898421819 /nfs/dbraw/zinc/42/18/19/898421819.db2.gz WSIUAJMKRSNLRJ-QWHCGFSZSA-N 0 1 287.367 0.801 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](C)Oc1cccc(F)c1 ZINC001485999256 898585236 /nfs/dbraw/zinc/58/52/36/898585236.db2.gz UQZFSHAOPSRDIU-YPMHNXCESA-N 0 1 294.326 0.293 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(OC)cs1 ZINC001486022964 898597274 /nfs/dbraw/zinc/59/72/74/898597274.db2.gz MQACQUIOSOVUNN-VIFPVBQESA-N 0 1 268.338 0.070 20 30 CCEDMN C=CCNC(=O)CN1CC[C@]2(NC(C)=O)CCC[C@@H]12 ZINC001486139083 898683879 /nfs/dbraw/zinc/68/38/79/898683879.db2.gz XFFCAJXUPWRHIJ-TZMCWYRMSA-N 0 1 265.357 0.422 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1(C)CCOCC1 ZINC001486353200 898809888 /nfs/dbraw/zinc/80/98/88/898809888.db2.gz AVQJZLCWWNDKNL-CYBMUJFWSA-N 0 1 282.384 0.187 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)[C@H]1C[C@@H]1C ZINC001486343592 898821015 /nfs/dbraw/zinc/82/10/15/898821015.db2.gz DNJMYVSMYNTLCQ-IHRRRGAJSA-N 0 1 282.384 0.043 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CC(NCc2cnns2)C1 ZINC001486457490 898885997 /nfs/dbraw/zinc/88/59/97/898885997.db2.gz FIIPVXWQHWMVAF-IDKOKCKLSA-N 0 1 279.369 0.777 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCCn1ccccc1=O ZINC001492999655 899152383 /nfs/dbraw/zinc/15/23/83/899152383.db2.gz FFJHSDXBYDQHDI-UHFFFAOYSA-N 0 1 289.379 0.700 20 30 CCEDMN CO[C@H](C)C(=O)NC[C@@H](CO)NCc1ccc(C#N)cc1 ZINC001410580660 899479202 /nfs/dbraw/zinc/47/92/02/899479202.db2.gz MBNROIDUDYNNEV-RISCZKNCSA-N 0 1 291.351 0.160 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@H](N(C)[C@@H](CC)C(N)=O)C1 ZINC001191868036 900019941 /nfs/dbraw/zinc/01/99/41/900019941.db2.gz NAPXMKUSXUKXMG-KBPBESRZSA-N 0 1 293.411 0.977 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(=O)NC)C1 ZINC001191868754 900021800 /nfs/dbraw/zinc/02/18/00/900021800.db2.gz ZGQIXMKJXYABNY-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN COc1ncc(NS(=O)(=O)[C@H](C)C#N)cc1F ZINC001193106995 900031552 /nfs/dbraw/zinc/03/15/52/900031552.db2.gz NEOSSPGXGIGJPY-ZCFIWIBFSA-N 0 1 259.262 0.883 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCn2cccn2)C1 ZINC001194115358 900045840 /nfs/dbraw/zinc/04/58/40/900045840.db2.gz YICPWJFVARYLQL-AWEZNQCLSA-N 0 1 274.368 0.829 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2cscn2)C1 ZINC001489155901 900442014 /nfs/dbraw/zinc/44/20/14/900442014.db2.gz OSKFKUJIRUUPNS-LBPRGKRZSA-N 0 1 293.392 0.675 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCOC[C@@H]2CCCO2)[C@H]1C ZINC001489276159 900462060 /nfs/dbraw/zinc/46/20/60/900462060.db2.gz ICOMAIGVGRMNCO-ILXRZTDVSA-N 0 1 294.395 0.784 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)COC[C@@H]2CCCO2)[C@H]1C ZINC001489313346 900464671 /nfs/dbraw/zinc/46/46/71/900464671.db2.gz IJWIDTZYNBOGAZ-ILXRZTDVSA-N 0 1 294.395 0.784 20 30 CCEDMN CCN1CC[C@@H](N(C)CCCNC(=O)C#CC(C)C)C1=O ZINC001490189329 900563087 /nfs/dbraw/zinc/56/30/87/900563087.db2.gz LIVBFHKGUMRESD-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN COCCOCCN1CCC[C@@H](NC(=O)C#CC2CC2)C1 ZINC001490437725 900631028 /nfs/dbraw/zinc/63/10/28/900631028.db2.gz YGAXTRXEDRAQJK-OAHLLOKOSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN1CCN([C@@H](C)COC)CC1 ZINC001490498711 900640388 /nfs/dbraw/zinc/64/03/88/900640388.db2.gz FFSMNKXJEADAMO-AWEZNQCLSA-N 0 1 297.443 0.967 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCc2cnn(C)c2)C1 ZINC001490459075 900642806 /nfs/dbraw/zinc/64/28/06/900642806.db2.gz XBXGFEMGLNSKBF-OAHLLOKOSA-N 0 1 288.395 0.957 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H]2CN(C(=O)CC)CC[C@@H]21 ZINC001320093672 900914752 /nfs/dbraw/zinc/91/47/52/900914752.db2.gz ABPKIXOSVFWBSQ-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](O)CNCc1snnc1C ZINC001320226213 900919296 /nfs/dbraw/zinc/91/92/96/900919296.db2.gz BNHHMHUTLGOFFK-LLVKDONJSA-N 0 1 298.412 0.770 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)CCn1cccn1 ZINC001275506962 901103424 /nfs/dbraw/zinc/10/34/24/901103424.db2.gz SLEBEWXEJHGJEK-AWEZNQCLSA-N 0 1 292.383 0.360 20 30 CCEDMN C[C@@H](NC(=O)CN1CCCC1)C1CN(C(=O)[C@@H](C)C#N)C1 ZINC001411944433 901346678 /nfs/dbraw/zinc/34/66/78/901346678.db2.gz UHOCPPDDAPUSGM-NWDGAFQWSA-N 0 1 292.383 0.205 20 30 CCEDMN N#Cc1ncn(CCNC(=O)c2cccc(F)c2O)n1 ZINC001412090196 901461321 /nfs/dbraw/zinc/46/13/21/901461321.db2.gz NPTBRQIYTZGOGX-UHFFFAOYSA-N 0 1 275.243 0.424 20 30 CCEDMN CC[C@H](C#N)C(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001412447904 901731253 /nfs/dbraw/zinc/73/12/53/901731253.db2.gz QBWCOXSFCMLUKI-SECBINFHSA-N 0 1 277.328 0.865 20 30 CCEDMN N#CCOc1cccc(CNC(=O)Cc2nnc[nH]2)c1 ZINC001412538159 901802858 /nfs/dbraw/zinc/80/28/58/901802858.db2.gz GTGIHHXEYQMWJQ-UHFFFAOYSA-N 0 1 271.280 0.566 20 30 CCEDMN N#Cc1cccc(C(=O)N2Cc3n[nH]c(C(N)=O)c3C2)c1O ZINC001276216007 901974048 /nfs/dbraw/zinc/97/40/48/901974048.db2.gz VJGADYQVEFUQDV-UHFFFAOYSA-N 0 1 297.274 0.242 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@H](NC(=O)CSCC#N)C2)[nH]1 ZINC001490866501 902191305 /nfs/dbraw/zinc/19/13/05/902191305.db2.gz PILZZNOENWENGH-MGCOHNPYSA-N 0 1 294.384 0.107 20 30 CCEDMN N#Cc1ccc(CNC2(C(N)=O)CCOCC2)s1 ZINC001327862539 902286867 /nfs/dbraw/zinc/28/68/67/902286867.db2.gz CPTPIMHQKJRFCM-UHFFFAOYSA-N 0 1 265.338 0.744 20 30 CCEDMN CN(C[C@H](O)CN1CC[C@@](O)(CC#N)C1)CC(F)(F)F ZINC001413010798 902468470 /nfs/dbraw/zinc/46/84/70/902468470.db2.gz LKEMFAMNYUEGSE-QWRGUYRKSA-N 0 1 295.305 0.192 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(C[C@@H](C)O)C2)nc1 ZINC001009271318 902600178 /nfs/dbraw/zinc/60/01/78/902600178.db2.gz VUTDLYVVGJZXED-OCCSQVGLSA-N 0 1 287.363 0.638 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H]1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001048511401 902963074 /nfs/dbraw/zinc/96/30/74/902963074.db2.gz AYDLIUVNNXCBLW-LXTVHRRPSA-N 0 1 290.411 0.963 20 30 CCEDMN C#CCCN1Cc2ccc(CNC(=O)CNC(C)=O)cc2C1 ZINC001327682607 914703399 /nfs/dbraw/zinc/70/33/99/914703399.db2.gz PMJUWHSOFAUPRQ-UHFFFAOYSA-N 0 1 299.374 0.778 20 30 CCEDMN CCn1cc(CN[C@H]2C[C@@H](NC(=O)C#CC(C)C)C2)nn1 ZINC001491013291 903290770 /nfs/dbraw/zinc/29/07/70/903290770.db2.gz SYAVTFYFCDWEML-BETUJISGSA-N 0 1 289.383 0.694 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCCN([C@H]2CCNC2=O)C1 ZINC001491103383 903364590 /nfs/dbraw/zinc/36/45/90/903364590.db2.gz SYTDMQBCYDGTCB-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCc1cncn1C ZINC001491235260 903456194 /nfs/dbraw/zinc/45/61/94/903456194.db2.gz USXBTBMORKZSAU-OAHLLOKOSA-N 0 1 288.395 0.957 20 30 CCEDMN Cc1cc(CN[C@@H](C)CNC(=O)[C@@H](C)C#N)ncn1 ZINC001491414127 903562900 /nfs/dbraw/zinc/56/29/00/903562900.db2.gz IODCXIQAIPUTII-ONGXEEELSA-N 0 1 261.329 0.539 20 30 CCEDMN N#CC1(CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CCOCC1 ZINC001413785802 903656172 /nfs/dbraw/zinc/65/61/72/903656172.db2.gz LAJZIFRLRQMFSP-GHMZBOCLSA-N 0 1 274.324 0.950 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001329529836 903807839 /nfs/dbraw/zinc/80/78/39/903807839.db2.gz CXAPSIZVPSVUME-JTQLQIEISA-N 0 1 264.329 0.199 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NC[C@@H](C)N(C)CC#N ZINC001329575720 903810674 /nfs/dbraw/zinc/81/06/74/903810674.db2.gz VZOGUSHOGLRYTD-GHMZBOCLSA-N 0 1 263.345 0.548 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COCCCOC)C1 ZINC001210126197 903819587 /nfs/dbraw/zinc/81/95/87/903819587.db2.gz GYDPMZCFHXHMPJ-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001281440573 904285217 /nfs/dbraw/zinc/28/52/17/904285217.db2.gz ZLKYAQRJAJKZJQ-VHSXEESVSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001281440573 904285230 /nfs/dbraw/zinc/28/52/30/904285230.db2.gz ZLKYAQRJAJKZJQ-VHSXEESVSA-N 0 1 295.343 0.020 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CC(C)(C)O)[C@H]1C ZINC001332174722 917933060 /nfs/dbraw/zinc/93/30/60/917933060.db2.gz GQOWLLKOXYRKNO-NEPJUHHUSA-N 0 1 252.358 0.750 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCCNC(=O)C#CC(C)(C)C ZINC001283003049 904664262 /nfs/dbraw/zinc/66/42/62/904664262.db2.gz PVEFLQQTDBTSBN-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H]1CCC(=O)N1 ZINC001398765114 914838461 /nfs/dbraw/zinc/83/84/61/914838461.db2.gz GXXSXAVDLFODTK-NWDGAFQWSA-N 0 1 299.802 0.988 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](CO)N[C@H](C)c1n[nH]c(C)n1 ZINC001283793936 905020368 /nfs/dbraw/zinc/02/03/68/905020368.db2.gz YJMIDQPHZGGQQG-MWLCHTKSSA-N 0 1 295.387 0.453 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001283891911 905075838 /nfs/dbraw/zinc/07/58/38/905075838.db2.gz ZASJNDRQMQLHFH-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001283891911 905075849 /nfs/dbraw/zinc/07/58/49/905075849.db2.gz ZASJNDRQMQLHFH-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCOCC(=O)N[C@]12CCC[C@H]1N([C@@H](C)C(N)=O)CC2 ZINC001284047962 905135615 /nfs/dbraw/zinc/13/56/15/905135615.db2.gz ZJUDZVDRCDQHSM-ZOWXZIJZSA-N 0 1 295.383 0.176 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001284124810 905153604 /nfs/dbraw/zinc/15/36/04/905153604.db2.gz XGUCOGQJEMUMEP-LLVKDONJSA-N 0 1 291.355 0.739 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001284124810 905153611 /nfs/dbraw/zinc/15/36/11/905153611.db2.gz XGUCOGQJEMUMEP-LLVKDONJSA-N 0 1 291.355 0.739 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)C1(C)CC1 ZINC001284419052 905284682 /nfs/dbraw/zinc/28/46/82/905284682.db2.gz YCBVUAAUSKTJKN-JTQLQIEISA-N 0 1 260.765 0.948 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1(COCC)CC1 ZINC001284502498 905336798 /nfs/dbraw/zinc/33/67/98/905336798.db2.gz PRJLKIWHHZXHLG-ZDUSSCGKSA-N 0 1 282.384 0.187 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001284518330 905354035 /nfs/dbraw/zinc/35/40/35/905354035.db2.gz BDLMIFKDUNRDPB-AYCBFJCHSA-N 0 1 290.407 0.663 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@@H]1CN(CC)CCO1)C(C)C ZINC001284558928 905371592 /nfs/dbraw/zinc/37/15/92/905371592.db2.gz PQARTKCBXRJQGS-HNNXBMFYSA-N 0 1 295.427 0.509 20 30 CCEDMN C=CC(C)(C)C(=O)N(CC)CCNC(=O)c1ncn[nH]1 ZINC001284637937 905405513 /nfs/dbraw/zinc/40/55/13/905405513.db2.gz JVIGTFOOXYBXIJ-UHFFFAOYSA-N 0 1 279.344 0.595 20 30 CCEDMN C=CC(C)(C)C(=O)N(CC)CCNC(=O)c1nc[nH]n1 ZINC001284637937 905405518 /nfs/dbraw/zinc/40/55/18/905405518.db2.gz JVIGTFOOXYBXIJ-UHFFFAOYSA-N 0 1 279.344 0.595 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)C1CCN(CC(=O)NC)CC1 ZINC001284712826 905419092 /nfs/dbraw/zinc/41/90/92/905419092.db2.gz RTGMIVPKGHDWAY-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C[C@@H]1CCNC1=O ZINC001378245984 905534597 /nfs/dbraw/zinc/53/45/97/905534597.db2.gz ASIKUAJRRCIHJJ-QWRGUYRKSA-N 0 1 287.791 0.750 20 30 CCEDMN CC[C@H](CNC(=O)C#CC(C)C)NC(=O)[C@@H]1CCCN1C ZINC001285052872 905535946 /nfs/dbraw/zinc/53/59/46/905535946.db2.gz XQFWEBFYFLCVJJ-KGLIPLIRSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H]1CN(C(=O)COCC)CCO1 ZINC001378756219 905798543 /nfs/dbraw/zinc/79/85/43/905798543.db2.gz GJELFOLGWBITHQ-RYUDHWBXSA-N 0 1 290.791 0.981 20 30 CCEDMN C=C[C@](C)(O)C(=O)Nc1ccc(OCc2nn[nH]n2)cc1 ZINC001293574002 906493531 /nfs/dbraw/zinc/49/35/31/906493531.db2.gz AIKXEUPFUNCOIT-ZDUSSCGKSA-N 0 1 289.295 0.654 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)CCN1CC[C@H](F)C1 ZINC001294686589 906615489 /nfs/dbraw/zinc/61/54/89/906615489.db2.gz ZAMMEVUKSUXABO-CMPLNLGQSA-N 0 1 257.309 0.465 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)CNC(=O)[C@H]1CCCN1C ZINC001295450673 906736529 /nfs/dbraw/zinc/73/65/29/906736529.db2.gz VVXGEECKWGWHAX-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCOCC(=O)NCC[C@@H](C)NC(=O)[C@@H]1CCCN1C ZINC001295834823 906811082 /nfs/dbraw/zinc/81/10/82/906811082.db2.gz BPSUCECSECSBBV-OLZOCXBDSA-N 0 1 297.399 0.294 20 30 CCEDMN CCc1nc([C@H](C)N2CCN(C(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001381081803 907069422 /nfs/dbraw/zinc/06/94/22/907069422.db2.gz MTAVJEMXOXPPSZ-MNOVXSKESA-N 0 1 290.371 0.732 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001299061037 907376904 /nfs/dbraw/zinc/37/69/04/907376904.db2.gz QESOIZPDWXQRMK-SNVBAGLBSA-N 0 1 289.339 0.185 20 30 CCEDMN Cc1cc(CCC(=O)N2CC[C@@](O)(CC#N)C2)n[nH]1 ZINC001299889490 907407045 /nfs/dbraw/zinc/40/70/45/907407045.db2.gz FXFJPWOVWGIVJU-ZDUSSCGKSA-N 0 1 262.313 0.528 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001491578046 907415924 /nfs/dbraw/zinc/41/59/24/907415924.db2.gz AGVUZLQTSUPZNR-QMMMGPOBSA-N 0 1 284.747 0.574 20 30 CCEDMN C[C@@H](Nc1c(C#N)cnn1C)[C@H]1CN(C)CCN1C ZINC001337971434 921326743 /nfs/dbraw/zinc/32/67/43/921326743.db2.gz QTNIELDJZWIPDT-ZYHUDNBSSA-N 0 1 262.361 0.338 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@H](NCc2ccccn2)C1 ZINC001491725227 907541129 /nfs/dbraw/zinc/54/11/29/907541129.db2.gz FSPPBXDWTMPRRV-HNNXBMFYSA-N 0 1 287.363 0.812 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCCNC(=O)c1ncn[nH]1 ZINC001491765012 907555944 /nfs/dbraw/zinc/55/59/44/907555944.db2.gz NQSJLLKEVAAUGD-SNVBAGLBSA-N 0 1 279.344 0.643 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCCNC(=O)c1nc[nH]n1 ZINC001491765012 907555959 /nfs/dbraw/zinc/55/59/59/907555959.db2.gz NQSJLLKEVAAUGD-SNVBAGLBSA-N 0 1 279.344 0.643 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H](C)CC(N)=O ZINC001382126756 907560487 /nfs/dbraw/zinc/56/04/87/907560487.db2.gz WLYCFGOMFWIPOA-PSASIEDQSA-N 0 1 275.780 0.687 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001492195744 907752293 /nfs/dbraw/zinc/75/22/93/907752293.db2.gz JQRINHQRRGPGTG-NSHDSACASA-N 0 1 292.339 0.333 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@H]1CCCC(=O)N1 ZINC001492329477 907850248 /nfs/dbraw/zinc/85/02/48/907850248.db2.gz BCHROYWHDPVYCO-GFCCVEGCSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccnc(-n2cccn2)c1 ZINC001492414746 907912657 /nfs/dbraw/zinc/91/26/57/907912657.db2.gz XFUJMYFGGRFNNB-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(O)cn2)CC1 ZINC001303793001 908115719 /nfs/dbraw/zinc/11/57/19/908115719.db2.gz TYLBOWLBPUEQLH-UHFFFAOYSA-N 0 1 259.309 0.615 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001385139251 908358668 /nfs/dbraw/zinc/35/86/68/908358668.db2.gz YPKWEFXBXUPMTM-WCBMZHEXSA-N 0 1 275.312 0.209 20 30 CCEDMN N#Cc1cncc(CN2C[C@H](CO)[C@H](CCO)C2)c1 ZINC001308161695 908392020 /nfs/dbraw/zinc/39/20/20/908392020.db2.gz CVZVTKMSBHOCQZ-ZIAGYGMSSA-N 0 1 261.325 0.376 20 30 CCEDMN CCCn1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(C)n1 ZINC001340264782 908513494 /nfs/dbraw/zinc/51/34/94/908513494.db2.gz GAPRFINLASHUIY-ZYHUDNBSSA-N 0 1 261.329 0.443 20 30 CCEDMN CCCn1cc(C(=O)N[C@H]2CNC[C@H]2C#N)c(C)n1 ZINC001340264781 908514119 /nfs/dbraw/zinc/51/41/19/908514119.db2.gz GAPRFINLASHUIY-PWSUYJOCSA-N 0 1 261.329 0.443 20 30 CCEDMN C#CC1CCN(C(=O)Nc2nn[nH]c2C(=O)NC)CC1 ZINC001311173435 908539322 /nfs/dbraw/zinc/53/93/22/908539322.db2.gz VKUUNRHTVJCTLG-UHFFFAOYSA-N 0 1 276.300 0.041 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)NCc1n[nH]c(C(C)C)n1 ZINC001312756115 908624855 /nfs/dbraw/zinc/62/48/55/908624855.db2.gz DVECIHDXZIMSMW-VIFPVBQESA-N 0 1 265.317 0.112 20 30 CCEDMN CCN(C[C@H]1CCCO1)C(=O)NCC#CCN(C)C ZINC001313439479 908667816 /nfs/dbraw/zinc/66/78/16/908667816.db2.gz MDMDGGKLLGCBEL-CYBMUJFWSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001328510335 908690128 /nfs/dbraw/zinc/69/01/28/908690128.db2.gz UTTOQXJJJQEJCC-RYUDHWBXSA-N 0 1 250.342 0.219 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCC(=O)NCCC)[C@H]1C ZINC001316795931 908773762 /nfs/dbraw/zinc/77/37/62/908773762.db2.gz CLDQFLDFHRKOBS-OLZOCXBDSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCOCC(F)F)[C@H]1C ZINC001316782245 908774553 /nfs/dbraw/zinc/77/45/53/908774553.db2.gz ADHPVWMQHRIQQK-MNOVXSKESA-N 0 1 274.311 0.870 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCCN(C)CC(N)=O ZINC001316853179 908806459 /nfs/dbraw/zinc/80/64/59/908806459.db2.gz OCENTNCZXFVZFT-UHFFFAOYSA-N 0 1 255.362 0.512 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C2(CCF)CC2)CC1 ZINC001316961148 908866224 /nfs/dbraw/zinc/86/62/24/908866224.db2.gz VMWKXVLKLGLJLP-UHFFFAOYSA-N 0 1 295.402 0.883 20 30 CCEDMN CC#CCN1CCN(CCCNC(=O)C[C@@H](C)OC)CC1 ZINC001316956698 908870748 /nfs/dbraw/zinc/87/07/48/908870748.db2.gz DYABQEGTBSVJJR-OAHLLOKOSA-N 0 1 295.427 0.559 20 30 CCEDMN C=CC(C)(C)C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(N)=O ZINC001317096698 908975628 /nfs/dbraw/zinc/97/56/28/908975628.db2.gz AAMZEUUOVYMNRU-YOGCLGLASA-N 0 1 279.384 0.796 20 30 CCEDMN Cc1cnc(CN[C@@H](C)CNC(=O)[C@H](C)C#N)cn1 ZINC001317227586 909058596 /nfs/dbraw/zinc/05/85/96/909058596.db2.gz AUWMPAINUIIZKP-KOLCDFICSA-N 0 1 261.329 0.539 20 30 CCEDMN C[C@H](CNC(=O)c1cnn(C)n1)NCC#Cc1ccccc1 ZINC001317274902 909107314 /nfs/dbraw/zinc/10/73/14/909107314.db2.gz HFNOUILKTGJJMR-CYBMUJFWSA-N 0 1 297.362 0.575 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1(C(=O)NC)CC1 ZINC001317279620 909112925 /nfs/dbraw/zinc/11/29/25/909112925.db2.gz YVUMVMIZPLQSCB-VIFPVBQESA-N 0 1 273.764 0.359 20 30 CCEDMN CCO[C@H](C(=O)NCCN(C)CC#CCOC)C(C)C ZINC001317448044 909218956 /nfs/dbraw/zinc/21/89/56/909218956.db2.gz MLQNNJQTKNUVDU-AWEZNQCLSA-N 0 1 284.400 0.745 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)CCc1nccn1C ZINC001317502761 909271542 /nfs/dbraw/zinc/27/15/42/909271542.db2.gz VXNFZTYXOSUTRI-AWEZNQCLSA-N 0 1 288.395 0.957 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2ccco2)C1 ZINC001317517349 909283359 /nfs/dbraw/zinc/28/33/59/909283359.db2.gz LDFSZPHUZKYNOD-ZDUSSCGKSA-N 0 1 276.336 0.981 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCCc1nc(C)no1 ZINC001317516452 909288722 /nfs/dbraw/zinc/28/87/22/909288722.db2.gz OOLJTKQUWJTRCE-CYBMUJFWSA-N 0 1 290.367 0.915 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN([C@@H]3CCNC3=O)C2)C1 ZINC001317531076 909300485 /nfs/dbraw/zinc/30/04/85/909300485.db2.gz PWBUXWRQODRSFG-QWHCGFSZSA-N 0 1 291.395 0.669 20 30 CCEDMN C#CCN(CCNC(=O)c1csnn1)C1CC1 ZINC001317554172 909321406 /nfs/dbraw/zinc/32/14/06/909321406.db2.gz ICQGVNJOMWCERN-UHFFFAOYSA-N 0 1 250.327 0.366 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)CC(N)=O)C1 ZINC001317753529 909479572 /nfs/dbraw/zinc/47/95/72/909479572.db2.gz KBYCIEQBOCMTIN-LLVKDONJSA-N 0 1 253.346 0.361 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H](COC)OC)C1 ZINC001317981875 909559763 /nfs/dbraw/zinc/55/97/63/909559763.db2.gz UZLYUIDNBOFVSZ-RYUDHWBXSA-N 0 1 256.346 0.414 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCN2C(=O)CCC2=O)C1 ZINC001318337508 909711000 /nfs/dbraw/zinc/71/10/00/909711000.db2.gz ATLDKQMIADTDEP-GFCCVEGCSA-N 0 1 293.367 0.292 20 30 CCEDMN CC(C)=CC(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001318396829 909737500 /nfs/dbraw/zinc/73/75/00/909737500.db2.gz JCPDZKZVDBXLNX-OUKQBFOZSA-N 0 1 263.297 0.595 20 30 CCEDMN C=CCCOCCNC(=O)N1CCNC[C@H]1COC ZINC001338592418 921519285 /nfs/dbraw/zinc/51/92/85/921519285.db2.gz YSADJOVQDYKACG-LBPRGKRZSA-N 0 1 271.361 0.209 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001318454727 909766523 /nfs/dbraw/zinc/76/65/23/909766523.db2.gz WCJVMFKUBTYYCE-OLZOCXBDSA-N 0 1 281.400 0.853 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](CC(C)C)C(N)=O)C1 ZINC001318454727 909766537 /nfs/dbraw/zinc/76/65/37/909766537.db2.gz WCJVMFKUBTYYCE-OLZOCXBDSA-N 0 1 281.400 0.853 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001318473267 909775324 /nfs/dbraw/zinc/77/53/24/909775324.db2.gz LRCRQYQQACAIIF-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](C)CNCc1nonc1C ZINC001319180185 910022113 /nfs/dbraw/zinc/02/21/13/910022113.db2.gz HMYSCWZTFLFHSI-YGRLFVJLSA-N 0 1 296.371 0.547 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1C[N@@H+](CCC(C)C)CCO1 ZINC001319309485 910088994 /nfs/dbraw/zinc/08/89/94/910088994.db2.gz KUULZAUGBOAOSZ-GJZGRUSLSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1CN(CCC(C)C)CCO1 ZINC001319309485 910089011 /nfs/dbraw/zinc/08/90/11/910089011.db2.gz KUULZAUGBOAOSZ-GJZGRUSLSA-N 0 1 296.411 0.888 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)c2[nH]nnc2C)C1 ZINC001319320690 910097942 /nfs/dbraw/zinc/09/79/42/910097942.db2.gz ZUMIABUOGAZAHD-GFCCVEGCSA-N 0 1 293.371 0.510 20 30 CCEDMN N#CCNCC[C@@H]1CCCCN1C(=O)CCc1c[nH]nn1 ZINC001319779192 910291046 /nfs/dbraw/zinc/29/10/46/910291046.db2.gz RYSKTLVQISNCRL-ZDUSSCGKSA-N 0 1 290.371 0.622 20 30 CCEDMN N#CCNCC[C@@H]1CCCCN1C(=O)CCc1cnn[nH]1 ZINC001319779192 910291056 /nfs/dbraw/zinc/29/10/56/910291056.db2.gz RYSKTLVQISNCRL-ZDUSSCGKSA-N 0 1 290.371 0.622 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@H](C)Cc1cnc[nH]1)NCC#N ZINC001319946479 910367476 /nfs/dbraw/zinc/36/74/76/910367476.db2.gz HERXCBRNTBBWMP-DGCLKSJQSA-N 0 1 277.372 0.842 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cnc2n[nH]cc2c1 ZINC001320009101 910413525 /nfs/dbraw/zinc/41/35/25/910413525.db2.gz FDEADZIQHCLWPC-UHFFFAOYSA-N 0 1 285.351 0.985 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C[C@H]1CCCC(=O)N1 ZINC001390445150 910421733 /nfs/dbraw/zinc/42/17/33/910421733.db2.gz WQHPUYWZOZWECC-WDEREUQCSA-N 0 1 287.791 0.892 20 30 CCEDMN C=CCCC[NH2+]C[C@@H](O)CNC(=O)c1ncccc1[O-] ZINC001320213692 910524894 /nfs/dbraw/zinc/52/48/94/910524894.db2.gz JFMKXNSZWVQVPX-LLVKDONJSA-N 0 1 279.340 0.434 20 30 CCEDMN Cc1c(C(=O)n2ncc(C#N)c2N)ccc2cncn21 ZINC001320261060 910563826 /nfs/dbraw/zinc/56/38/26/910563826.db2.gz GIODDXXKJSQHAV-UHFFFAOYSA-N 0 1 266.264 0.982 20 30 CCEDMN Cc1nnc(CNCC[C@@H](C)NC(=O)[C@@H](C)C#N)[nH]1 ZINC001320372794 910639904 /nfs/dbraw/zinc/63/99/04/910639904.db2.gz RDZGPTUMLLWOMD-DTWKUNHWSA-N 0 1 264.333 0.257 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC001320604853 910758857 /nfs/dbraw/zinc/75/88/57/910758857.db2.gz KRGXEZLCVBNCBV-IUCAKERBSA-N 0 1 267.333 0.928 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)C[C@H]1C=CCC1 ZINC001320966286 910964437 /nfs/dbraw/zinc/96/44/37/910964437.db2.gz PCYVYTNZIBNTNK-GXMNPTEKSA-N 0 1 289.379 0.354 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H]1COCCO1 ZINC001320980180 910976666 /nfs/dbraw/zinc/97/66/66/910976666.db2.gz UTVJDDIOWAJBMI-OTDNITJGSA-N 0 1 274.748 0.416 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)/C(C)=C/C ZINC001321014370 911016018 /nfs/dbraw/zinc/01/60/18/911016018.db2.gz RKRNAHDHNZVBSU-WDNXFHRVSA-N 0 1 265.357 0.517 20 30 CCEDMN N#Cc1cccc(CN2C[C@@H](CO)[C@H](CCO)C2)n1 ZINC001474753737 911029798 /nfs/dbraw/zinc/02/97/98/911029798.db2.gz BTAAOJQTTDURIN-NEPJUHHUSA-N 0 1 261.325 0.376 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)Cc1nnc(C)[nH]1 ZINC001321053800 911048406 /nfs/dbraw/zinc/04/84/06/911048406.db2.gz ZZGUOEGYHHEUJX-ARJAWSKDSA-N 0 1 283.763 0.670 20 30 CCEDMN C#Cc1ccc(C(=O)NC/C=C\CN[C@@H]2CCNC2=O)cc1 ZINC001321191901 911150491 /nfs/dbraw/zinc/15/04/91/911150491.db2.gz JUYIRDOJLVYBID-ABCZVMIZSA-N 0 1 297.358 0.432 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCCCCN1CCOCC1 ZINC001321242628 911189366 /nfs/dbraw/zinc/18/93/66/911189366.db2.gz YCUZHNBHWNJORC-CYBMUJFWSA-N 0 1 285.388 0.599 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)N1CCC(CC#N)CC1 ZINC001321597303 911390121 /nfs/dbraw/zinc/39/01/21/911390121.db2.gz DQFRDZWHLSPANK-UHFFFAOYSA-N 0 1 289.339 0.487 20 30 CCEDMN CN1CCC[C@@H](NC(=O)C(=O)NCC#Cc2ccccc2)C1 ZINC001321640667 911413558 /nfs/dbraw/zinc/41/35/58/911413558.db2.gz LXDNNZAEKRNGKH-OAHLLOKOSA-N 0 1 299.374 0.365 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cnc[nH]c1=O ZINC001322183661 911698449 /nfs/dbraw/zinc/69/84/49/911698449.db2.gz ULGDIPYAKUPGGG-JTQLQIEISA-N 0 1 262.313 0.256 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cn2cccnc2n1 ZINC001322198003 911708562 /nfs/dbraw/zinc/70/85/62/911708562.db2.gz YYFVFBBEUIZQJD-GFCCVEGCSA-N 0 1 285.351 0.803 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)C(=O)NCc2cn[nH]c2C)C1 ZINC001322198216 911709525 /nfs/dbraw/zinc/70/95/25/911709525.db2.gz TZVQMBDPORTCGX-GFCCVEGCSA-N 0 1 292.339 0.138 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)C(=O)N1CCC[C@H](C#N)C1 ZINC001339224785 921685764 /nfs/dbraw/zinc/68/57/64/921685764.db2.gz QHBGDMGFXRBICJ-CHWSQXEVSA-N 0 1 292.383 0.205 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)Cn1ccccc1=O ZINC001322292174 911750436 /nfs/dbraw/zinc/75/04/36/911750436.db2.gz DZPOTCIVQLOVES-ZDUSSCGKSA-N 0 1 275.352 0.308 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCCN1C(C)=O ZINC001322307656 911757382 /nfs/dbraw/zinc/75/73/82/911757382.db2.gz RCFSAWMTIHMVCH-DGCLKSJQSA-N 0 1 265.357 0.067 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccc(C#N)[nH]1 ZINC001392364046 911759641 /nfs/dbraw/zinc/75/96/41/911759641.db2.gz FDZKUGUNTFJQQH-SNVBAGLBSA-N 0 1 282.731 0.319 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cc(CC)n(C)n1 ZINC001322311704 911759504 /nfs/dbraw/zinc/75/95/04/911759504.db2.gz BVXSSWSODDISJM-LLVKDONJSA-N 0 1 262.357 0.666 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1nocc1C ZINC001392432247 911799094 /nfs/dbraw/zinc/79/90/94/911799094.db2.gz YXPFZGCUTLBTPC-SECBINFHSA-N 0 1 273.720 0.416 20 30 CCEDMN COc1cc(O)ccc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001322492473 911828355 /nfs/dbraw/zinc/82/83/55/911828355.db2.gz CMZJWHGYCZZOHZ-UHFFFAOYSA-N 0 1 292.320 0.832 20 30 CCEDMN COC(=O)N1CCCN(CCO[C@@H](C)C#N)CC1 ZINC001322745356 911931730 /nfs/dbraw/zinc/93/17/30/911931730.db2.gz NKJPOIVKLNYNCF-NSHDSACASA-N 0 1 255.318 0.689 20 30 CCEDMN NC(=O)C(=O)N[C@@H](CNCC#Cc1ccccc1)C1CC1 ZINC001323063523 912093815 /nfs/dbraw/zinc/09/38/15/912093815.db2.gz FKTISNDFHJMUMI-AWEZNQCLSA-N 0 1 285.347 0.008 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H]1CCN1CCCOC ZINC001323228724 912202386 /nfs/dbraw/zinc/20/23/86/912202386.db2.gz YOSAXOQTMJBBAH-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cncc(F)c2)CC1 ZINC001328519042 915246033 /nfs/dbraw/zinc/24/60/33/915246033.db2.gz FWAIVNMTAGDBKT-UHFFFAOYSA-N 0 1 293.342 0.963 20 30 CCEDMN C#CC[C@H](NC(=O)C[N@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC001339540307 921756741 /nfs/dbraw/zinc/75/67/41/921756741.db2.gz SAPAQKXPHGFNQW-UWVGGRQHSA-N 0 1 270.354 0.016 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H]2CCO[C@H](C)C2)CC1 ZINC001328554830 915270415 /nfs/dbraw/zinc/27/04/15/915270415.db2.gz NJXPIVJAPPMHEJ-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C[C@H](NC[C@@H](O)CC(C)(C)C#N)c1ncnn1C ZINC001328989875 915570946 /nfs/dbraw/zinc/57/09/46/915570946.db2.gz PHWLFAFMQBMLQT-UWVGGRQHSA-N 0 1 251.334 0.766 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N[C@H]2CCN(C3CC3)C2)cc1 ZINC001339644499 921786662 /nfs/dbraw/zinc/78/66/62/921786662.db2.gz LCOYABUPMIOTPL-AWEZNQCLSA-N 0 1 297.358 0.959 20 30 CCEDMN C=C(Cl)CN1CC(CNC(=O)Cc2n[nH]c(C)n2)C1 ZINC001400463579 915759386 /nfs/dbraw/zinc/75/93/86/915759386.db2.gz CDQHQGGAMRMIML-UHFFFAOYSA-N 0 1 283.763 0.456 20 30 CCEDMN N#CCCC[C@@H]([NH3+])C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001329288057 915793637 /nfs/dbraw/zinc/79/36/37/915793637.db2.gz RITYQZUOXQRPNF-NXEZZACHSA-N 0 1 293.327 0.502 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnc(N(C)C)cn1 ZINC001329441013 915916258 /nfs/dbraw/zinc/91/62/58/915916258.db2.gz LPJZINZVHRMVIT-NSHDSACASA-N 0 1 275.356 0.226 20 30 CCEDMN C#Cc1cncc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)c1 ZINC001329452793 915925020 /nfs/dbraw/zinc/92/50/20/915925020.db2.gz KHEBBMUIWBZGHG-LLVKDONJSA-N 0 1 267.292 0.811 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)COCc1nnc(C)s1 ZINC001329487824 915958135 /nfs/dbraw/zinc/95/81/35/915958135.db2.gz VBNIWUSCGMJGHM-SNVBAGLBSA-N 0 1 296.396 0.433 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CCc1ccc(=O)[nH]c1 ZINC001329516117 915976541 /nfs/dbraw/zinc/97/65/41/915976541.db2.gz QKLRRSKNBQUHMP-GFCCVEGCSA-N 0 1 275.352 0.790 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)Cc1cncn1C ZINC001329591589 916043504 /nfs/dbraw/zinc/04/35/04/916043504.db2.gz CRMJLSLMMLNITF-GFCCVEGCSA-N 0 1 262.357 0.422 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc(C(N)=O)cs1 ZINC001329626109 916074946 /nfs/dbraw/zinc/07/49/46/916074946.db2.gz ZYZMFPKKBSQJAG-JTQLQIEISA-N 0 1 293.392 0.920 20 30 CCEDMN C=CCN1CC[C@H](N(CC)CCNC(=O)C(C)(C)F)C1=O ZINC001492900935 916085522 /nfs/dbraw/zinc/08/55/22/916085522.db2.gz FCMKYSJEBXHENA-LBPRGKRZSA-N 0 1 299.390 0.960 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCc3cnc(N)nc3C2)CC1 ZINC001329683764 916123596 /nfs/dbraw/zinc/12/35/96/916123596.db2.gz NUYBTWVKUBYRER-UHFFFAOYSA-N 0 1 299.378 0.289 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cn(C)nc1C ZINC001401500664 916287458 /nfs/dbraw/zinc/28/74/58/916287458.db2.gz OSQABUMEVSDGFS-SNVBAGLBSA-N 0 1 286.763 0.161 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H](OC)C(C)C ZINC001401552005 916316129 /nfs/dbraw/zinc/31/61/29/916316129.db2.gz WBQNLQCPNHFJIO-QWRGUYRKSA-N 0 1 278.780 0.477 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C[C@H](C)NC(N)=O)C1 ZINC001329996978 916345219 /nfs/dbraw/zinc/34/52/19/916345219.db2.gz IAUHNORWQCQAKN-VHSXEESVSA-N 0 1 268.361 0.056 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001330032833 916369208 /nfs/dbraw/zinc/36/92/08/916369208.db2.gz WTDCREAAQRPEFX-SMDDNHRTSA-N 0 1 292.383 0.730 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2C(CNC(=O)/C(C)=C\C)[C@@H]2C1 ZINC001330276888 916523804 /nfs/dbraw/zinc/52/38/04/916523804.db2.gz AJQGNMBQNDNNFK-YDWITMFPSA-N 0 1 291.395 0.549 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCCC2(CN(C(C)=O)C2)C1 ZINC001330474907 916656290 /nfs/dbraw/zinc/65/62/90/916656290.db2.gz KDKDZDCJMOCGOK-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)COCCOC)C2)C1 ZINC001330488682 916663399 /nfs/dbraw/zinc/66/33/99/916663399.db2.gz OCUMKSROWWHLSF-UHFFFAOYSA-N 0 1 280.368 0.207 20 30 CCEDMN CN[C@@H](C(=O)N1CC[C@@](C)(C#N)C1)c1cnn(C)c1 ZINC001330595419 916730172 /nfs/dbraw/zinc/73/01/72/916730172.db2.gz VYKWMMVRLMZYBM-YPMHNXCESA-N 0 1 261.329 0.443 20 30 CCEDMN C[C@@]1(C(=O)Nc2cccc(CC#N)n2)CNCCO1 ZINC001330969222 916996360 /nfs/dbraw/zinc/99/63/60/916996360.db2.gz HWLKZSHZHRKJRZ-ZDUSSCGKSA-N 0 1 260.297 0.465 20 30 CCEDMN C[C@@H](NC(=O)[C@H]1CNCCO1)c1cccc(C#N)c1 ZINC001331003063 917014621 /nfs/dbraw/zinc/01/46/21/917014621.db2.gz IBFVOHYVZKGFSW-ZWNOBZJWSA-N 0 1 259.309 0.724 20 30 CCEDMN CC(C)NC(=O)CN1CCC[C@H](NC(=O)[C@@H](C)C#N)CC1 ZINC001402613059 917131509 /nfs/dbraw/zinc/13/15/09/917131509.db2.gz XSBFVFVPDGCTHW-STQMWFEESA-N 0 1 294.399 0.641 20 30 CCEDMN C[C@@H](CCNC(=O)c1ccc(C#N)[nH]1)NCc1ncccn1 ZINC001402821266 917249040 /nfs/dbraw/zinc/24/90/40/917249040.db2.gz NNGBTMAYOUSEND-NSHDSACASA-N 0 1 298.350 0.975 20 30 CCEDMN C=CC[C@H](OC(=O)CCc1nc[nH]n1)C(=O)OC ZINC001331542692 917407732 /nfs/dbraw/zinc/40/77/32/917407732.db2.gz NVAUQGVWPIAFFH-QMMMGPOBSA-N 0 1 253.258 0.398 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(=O)n(CC)n1 ZINC001403079237 917460343 /nfs/dbraw/zinc/46/03/43/917460343.db2.gz XLCNNYIBPNXPIW-JTQLQIEISA-N 0 1 298.774 0.724 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc2c1COCC2 ZINC001331725815 917549333 /nfs/dbraw/zinc/54/93/33/917549333.db2.gz QEKFWMXVLFHXRV-ZDUSSCGKSA-N 0 1 288.347 0.073 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(C)nc(C)c1 ZINC001331727647 917552897 /nfs/dbraw/zinc/55/28/97/917552897.db2.gz YZJQESPRIDNRSY-ZDUSSCGKSA-N 0 1 261.325 0.012 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NC[C@@H](CO)NCc1cncn1C ZINC001331788360 917608940 /nfs/dbraw/zinc/60/89/40/917608940.db2.gz UJTUDUVLXDSQLZ-WFASDCNBSA-N 0 1 294.399 0.589 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H](C)c1ccsc1 ZINC001331788407 917609666 /nfs/dbraw/zinc/60/96/66/917609666.db2.gz VNBGMIVHIGUROY-AAEUAGOBSA-N 0 1 280.393 0.942 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(C2CC2)nc1C ZINC001331789133 917610574 /nfs/dbraw/zinc/61/05/74/917610574.db2.gz GWWYOBVIMPBADE-CYBMUJFWSA-N 0 1 287.363 0.581 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1cccc(Cl)c1F ZINC001331790992 917610999 /nfs/dbraw/zinc/61/09/99/917610999.db2.gz RNWLMILAJQXURM-NSHDSACASA-N 0 1 298.745 0.722 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(Cl)c(OC)c1 ZINC001331806627 917624894 /nfs/dbraw/zinc/62/48/94/917624894.db2.gz YPAXQXKNCZAQFU-LLVKDONJSA-N 0 1 296.754 0.662 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@@H]1CCCCO1 ZINC001403839826 918052171 /nfs/dbraw/zinc/05/21/71/918052171.db2.gz LVTCGQTXUVKEAI-NEPJUHHUSA-N 0 1 290.791 0.717 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1ccns1 ZINC001403845549 918058538 /nfs/dbraw/zinc/05/85/38/918058538.db2.gz ZCONSPKSGFJGNU-VIFPVBQESA-N 0 1 289.788 0.918 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H](CCC)OC ZINC001332647277 918340734 /nfs/dbraw/zinc/34/07/34/918340734.db2.gz JDFLCGSCGGARHI-QWHCGFSZSA-N 0 1 270.373 0.186 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H](CCC)OC ZINC001332647275 918347345 /nfs/dbraw/zinc/34/73/45/918347345.db2.gz JDFLCGSCGGARHI-CHWSQXEVSA-N 0 1 270.373 0.186 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C[C@@H](C)C(F)(F)F ZINC001332661994 918365864 /nfs/dbraw/zinc/36/58/64/918365864.db2.gz BWJPWPSDCQTXEZ-MNOVXSKESA-N 0 1 294.317 0.959 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc(C[S@](C)=O)c1 ZINC001332707977 918397095 /nfs/dbraw/zinc/39/70/95/918397095.db2.gz RZVCRKRMZXZZDT-FQEVSTJZSA-N 0 1 292.404 0.860 20 30 CCEDMN CC(C)(C)[C@H](CNCC#N)NC(=O)CCc1nc[nH]n1 ZINC001332774765 918433559 /nfs/dbraw/zinc/43/35/59/918433559.db2.gz PJBNQOSANNUSEY-JTQLQIEISA-N 0 1 278.360 0.381 20 30 CCEDMN C#CCCCC(=O)NCCN(CC)[C@@H]1CCC(=O)NC1=O ZINC001492941312 918768446 /nfs/dbraw/zinc/76/84/46/918768446.db2.gz HKEAXXVBQPMQFJ-GFCCVEGCSA-N 0 1 293.367 0.033 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCn1ccnn1 ZINC001406461562 919285503 /nfs/dbraw/zinc/28/55/03/919285503.db2.gz MTCWWSOGBLGOAQ-JTQLQIEISA-N 0 1 271.752 0.515 20 30 CCEDMN C#CCCn1nnnc1N1CCN(C(C)(C)COC)CC1 ZINC001334347559 919514529 /nfs/dbraw/zinc/51/45/29/919514529.db2.gz LVKJCHNZVGIKSS-UHFFFAOYSA-N 0 1 292.387 0.243 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CCC(=O)NCC)CC1 ZINC001406906462 919532843 /nfs/dbraw/zinc/53/28/43/919532843.db2.gz JREFEBKHPXSROX-UHFFFAOYSA-N 0 1 287.791 0.894 20 30 CCEDMN C=CCCC(=O)N(C)[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001334385380 919541663 /nfs/dbraw/zinc/54/16/63/919541663.db2.gz YFGJVKSOGOKJCE-VIFPVBQESA-N 0 1 265.317 0.348 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)NCc1cc(N(C)C)ccn1 ZINC001334948462 919860589 /nfs/dbraw/zinc/86/05/89/919860589.db2.gz KLYGCUNYVRZVII-UHFFFAOYSA-N 0 1 290.367 0.846 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)c2ccc(C(=O)[O-])nc2)CC1 ZINC001335030681 919908612 /nfs/dbraw/zinc/90/86/12/919908612.db2.gz WXRGTBSYAOUOMT-UHFFFAOYSA-N 0 1 287.319 0.561 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001407941496 919963789 /nfs/dbraw/zinc/96/37/89/919963789.db2.gz MEGZKEDNNPVXCX-MNOVXSKESA-N 0 1 289.339 0.538 20 30 CCEDMN O=C1C=COC2(CCN(CCn3cncn3)CC2)C1 ZINC001335202588 920016274 /nfs/dbraw/zinc/01/62/74/920016274.db2.gz ITUPGHAHAYGAEH-UHFFFAOYSA-N 0 1 262.313 0.616 20 30 CCEDMN C#CCN(CC)CCNC(=O)COCc1ccnn1C ZINC001492974685 920066226 /nfs/dbraw/zinc/06/62/26/920066226.db2.gz TWVGHIDSJRIDMH-UHFFFAOYSA-N 0 1 278.356 0.008 20 30 CCEDMN C=CCO[C@@H]1CC[N@@H+](Cc2cnc(C(=O)[O-])cn2)C1 ZINC001335365653 920147625 /nfs/dbraw/zinc/14/76/25/920147625.db2.gz BZHRVUSJHKDYLC-LLVKDONJSA-N 0 1 263.297 0.952 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](Cc2cnc(C(=O)[O-])cn2)C1 ZINC001335365653 920147634 /nfs/dbraw/zinc/14/76/34/920147634.db2.gz BZHRVUSJHKDYLC-LLVKDONJSA-N 0 1 263.297 0.952 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)N2CCNC(C)(C)C2)C1 ZINC001335393057 920165723 /nfs/dbraw/zinc/16/57/23/920165723.db2.gz QXTOTEMJAFYLOE-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCCN1CCN(C/C=C/C(=O)OCC)CC1 ZINC001335594373 920306790 /nfs/dbraw/zinc/30/67/90/920306790.db2.gz QGCUCZBUYXUZGZ-VOTSOKGWSA-N 0 1 250.342 0.747 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CCCNC(=O)c1ncn[nH]1 ZINC001335926811 920512505 /nfs/dbraw/zinc/51/25/05/920512505.db2.gz FQWPMDFJKZLMSC-SNVBAGLBSA-N 0 1 279.344 0.786 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CCCNC(=O)c1nc[nH]n1 ZINC001335926811 920512514 /nfs/dbraw/zinc/51/25/14/920512514.db2.gz FQWPMDFJKZLMSC-SNVBAGLBSA-N 0 1 279.344 0.786 20 30 CCEDMN COc1nccc(CN[C@@H](C)CNC(=O)[C@H](C)C#N)n1 ZINC001418210795 921914828 /nfs/dbraw/zinc/91/48/28/921914828.db2.gz HZOCKBMGIZVMBR-ZJUUUORDSA-N 0 1 277.328 0.239 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1CN(C)CCO1 ZINC001418211510 921919619 /nfs/dbraw/zinc/91/96/19/921919619.db2.gz RNKQFZMFCJSCQW-MNOVXSKESA-N 0 1 275.780 0.164 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)C(=O)OC1CCCC1 ZINC001340099362 921955168 /nfs/dbraw/zinc/95/51/68/921955168.db2.gz MIAWGIYPZXZDLL-JTQLQIEISA-N 0 1 252.314 0.200 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1COCCN1CC ZINC001418243975 921955635 /nfs/dbraw/zinc/95/56/35/921955635.db2.gz AUUHDWHMQVDYJO-RYUDHWBXSA-N 0 1 289.807 0.554 20 30 CCEDMN CC(C)[C@@H]1C[C@H](C(=O)N[C@@H]2CNC[C@H]2C#N)CCO1 ZINC001340135022 921975457 /nfs/dbraw/zinc/97/54/57/921975457.db2.gz RTOIVNRCWNQTEN-LPWJVIDDSA-N 0 1 265.357 0.665 20 30 CCEDMN C#CCNCC(=O)N1CCN(Cc2cccc(O)c2)CC1 ZINC001340206645 922007435 /nfs/dbraw/zinc/00/74/35/922007435.db2.gz XQSCVSZNMSQRKE-UHFFFAOYSA-N 0 1 287.363 0.259 20 30 CCEDMN C#CCNCC(=O)NC[C@@](C)(Cc1ccccc1)[C@H](C)O ZINC001341044377 922326042 /nfs/dbraw/zinc/32/60/42/922326042.db2.gz ZTSXQXNWQRZBQN-WMLDXEAASA-N 0 1 288.391 0.955 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](C[C@@H]2CCOC2)C1 ZINC001341292519 922412484 /nfs/dbraw/zinc/41/24/84/922412484.db2.gz BYCWNQQJUVCLKC-KBPBESRZSA-N 0 1 264.369 0.874 20 30 CCEDMN C=CCCCN(CC)C(=O)C1CNCCS1(=O)=O ZINC001341486722 922508782 /nfs/dbraw/zinc/50/87/82/922508782.db2.gz XZIZYZIIWIVCNB-NSHDSACASA-N 0 1 274.386 0.188 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(Cn2cncn2)cc1 ZINC001341538082 922540951 /nfs/dbraw/zinc/54/09/51/922540951.db2.gz FTCQSZWVLVGHLU-UHFFFAOYSA-N 0 1 283.335 0.165 20 30 CCEDMN C#CCNCC(=O)N1CCN(C)c2ccccc2C1 ZINC001341603723 922576641 /nfs/dbraw/zinc/57/66/41/922576641.db2.gz AWHYLQGWHRZFHL-UHFFFAOYSA-N 0 1 257.337 0.688 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1nncc2ccccc21 ZINC001341642488 922600031 /nfs/dbraw/zinc/60/00/31/922600031.db2.gz IOHWRZIFOKBJOO-ZYHUDNBSSA-N 0 1 267.292 0.471 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)NCC2 ZINC001341747358 922652476 /nfs/dbraw/zinc/65/24/76/922652476.db2.gz GHPJQGRQFQJVOV-DGCLKSJQSA-N 0 1 256.309 0.496 20 30 CCEDMN C=CCNC(=O)[C@@H](C#N)C(=O)c1ccc2n[nH]c(=O)n2c1 ZINC001341803280 922680653 /nfs/dbraw/zinc/68/06/53/922680653.db2.gz TUVBWBFKIFAJPY-VIFPVBQESA-N 0 1 285.263 0.060 20 30 CCEDMN N#Cc1ccccc1OCC(=O)NC1(c2nn[nH]n2)CCC1 ZINC001419166357 922682766 /nfs/dbraw/zinc/68/27/66/922682766.db2.gz ZGPCIIYVBUTXIY-UHFFFAOYSA-N 0 1 298.306 0.646 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)C1CCN(C(=O)OCC)CC1 ZINC001341859285 922698041 /nfs/dbraw/zinc/69/80/41/922698041.db2.gz ZFAGLCBFFXSCNW-LBPRGKRZSA-N 0 1 295.383 0.582 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC001341946728 922732799 /nfs/dbraw/zinc/73/27/99/922732799.db2.gz GDFBKYWDQCVZDR-AYHFEMFVSA-N 0 1 260.293 0.589 20 30 CCEDMN CC(C)(O)[C@H](N)C(=O)N1Cc2ccc(C#N)cc2C1 ZINC001342078186 922795226 /nfs/dbraw/zinc/79/52/26/922795226.db2.gz UZFOBEMSMARQTR-GFCCVEGCSA-N 0 1 259.309 0.499 20 30 CCEDMN CCc1nnc([C@H](C)N[C@H]2C[C@@H](NC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001419461862 922853483 /nfs/dbraw/zinc/85/34/83/922853483.db2.gz ODDGVSCGLBSERL-XWLWVQCSSA-N 0 1 290.371 0.825 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](C(=O)OC)[C@@H]2CCCC[C@H]21 ZINC001342221783 922865522 /nfs/dbraw/zinc/86/55/22/922865522.db2.gz XUCXSTJICAFGKA-MELADBBJSA-N 0 1 292.379 0.790 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NCc2cn(C)nn2)CCCC1 ZINC001419589188 922938805 /nfs/dbraw/zinc/93/88/05/922938805.db2.gz FHEYMHRRIVMJQS-LLVKDONJSA-N 0 1 290.371 0.493 20 30 CCEDMN C#CCNCC(=O)N[C@H](Cc1ccc(F)cc1)C(=O)OC ZINC001342520505 922991132 /nfs/dbraw/zinc/99/11/32/922991132.db2.gz SILADXCUKSBWIW-CYBMUJFWSA-N 0 1 292.310 0.249 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(OC)ncn1 ZINC001419740751 923057851 /nfs/dbraw/zinc/05/78/51/923057851.db2.gz MMRHXMJZWBEMIB-SECBINFHSA-N 0 1 284.747 0.946 20 30 CCEDMN CNC(=O)C(C#N)C(=O)[C@@H]1CC12CCSCC2 ZINC001342761015 923082765 /nfs/dbraw/zinc/08/27/65/923082765.db2.gz JPFVLYFVKZXGAC-IUCAKERBSA-N 0 1 252.339 0.975 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CC12CC2 ZINC001343473365 923335522 /nfs/dbraw/zinc/33/55/22/923335522.db2.gz JRTICSSVDXDYGQ-VHSXEESVSA-N 0 1 250.298 0.648 20 30 CCEDMN C#CCNCC(=O)NC(C)(C)C(=O)OCCCC ZINC001343957983 923511926 /nfs/dbraw/zinc/51/19/26/923511926.db2.gz PMVNKQXQDDMRDH-UHFFFAOYSA-N 0 1 254.330 0.447 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1CC(=O)c2ccccc2O1 ZINC001344450111 923606496 /nfs/dbraw/zinc/60/64/96/923606496.db2.gz UZHGWRBHPNHWRX-NSHDSACASA-N 0 1 272.304 0.359 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N[C@@H]1CCCN(C)C1 ZINC001346402700 924189578 /nfs/dbraw/zinc/18/95/78/924189578.db2.gz GKTAFTAKSAAJPF-NWDGAFQWSA-N 0 1 267.373 0.668 20 30 CCEDMN C#CCN(CC1CC1)C(=O)NCc1n[nH]c(COC)n1 ZINC001347311820 924420295 /nfs/dbraw/zinc/42/02/95/924420295.db2.gz DTENDYKQBVOZCJ-UHFFFAOYSA-N 0 1 277.328 0.506 20 30 CCEDMN C#CCN(CC1CC1)C(=O)NCc1nnc(COC)[nH]1 ZINC001347311820 924420304 /nfs/dbraw/zinc/42/03/04/924420304.db2.gz DTENDYKQBVOZCJ-UHFFFAOYSA-N 0 1 277.328 0.506 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)[C@@H]2CN3CCN2C[C@@H]3C)C1 ZINC001347341989 924428252 /nfs/dbraw/zinc/42/82/52/924428252.db2.gz CYVOUSLOOXWQMC-MJBXVCDLSA-N 0 1 263.385 0.799 20 30 CCEDMN C#CCOCCN(C)C(=O)c1n[nH]cc1Br ZINC001347673795 924508944 /nfs/dbraw/zinc/50/89/44/924508944.db2.gz OYXZYNJSZVDLNT-UHFFFAOYSA-N 0 1 286.129 0.894 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)[C@@H]2CN3CCN2C[C@@H]3C)C1 ZINC001348888439 924836729 /nfs/dbraw/zinc/83/67/29/924836729.db2.gz VBMURWWOYYBARL-MJBXVCDLSA-N 0 1 261.369 0.247 20 30 CCEDMN O=C(CC#Cc1ccccc1)NCCNC(=O)c1cnn[nH]1 ZINC001349132768 924890782 /nfs/dbraw/zinc/89/07/82/924890782.db2.gz PFOBPQZLLRMSMM-UHFFFAOYSA-N 0 1 297.318 0.093 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@H](Cc2nn[nH]n2)C1 ZINC001349213816 924909210 /nfs/dbraw/zinc/90/92/10/924909210.db2.gz QQZWDNKBRUPTKS-LLVKDONJSA-N 0 1 261.329 0.784 20 30 CCEDMN CC(C)(C(=O)N1CC[C@@](O)(CC#N)C1)c1c[nH]cn1 ZINC001349455264 924965010 /nfs/dbraw/zinc/96/50/10/924965010.db2.gz KAYDJUOMDKYJHD-ZDUSSCGKSA-N 0 1 262.313 0.564 20 30 CCEDMN N#CCCN1CCN(CCCN2CCCC2=O)CC1 ZINC001349657307 925017685 /nfs/dbraw/zinc/01/76/85/925017685.db2.gz BZQDYDCVFHMODI-UHFFFAOYSA-N 0 1 264.373 0.530 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001349867456 925081051 /nfs/dbraw/zinc/08/10/51/925081051.db2.gz MSASLXFFCHRWOM-ZIAGYGMSSA-N 0 1 298.431 0.249 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCNC(=O)c2cnn[nH]2)C1 ZINC001350265846 925158418 /nfs/dbraw/zinc/15/84/18/925158418.db2.gz IXUWUWYBLIIFOF-UHFFFAOYSA-N 0 1 277.328 0.397 20 30 CCEDMN C=CCC[C@H](NC(=O)C[C@@]1(O)CCC[N@H+](C)C1)C(=O)[O-] ZINC001350768907 925274146 /nfs/dbraw/zinc/27/41/46/925274146.db2.gz PARUCQNABADSOE-FZMZJTMJSA-N 0 1 284.356 0.369 20 30 CCEDMN CCN(CCC#N)C(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001350944084 925317935 /nfs/dbraw/zinc/31/79/35/925317935.db2.gz TUJZLCRXXFARSC-VXGBXAGGSA-N 0 1 250.346 0.137 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cnnn1C ZINC001480452325 925748799 /nfs/dbraw/zinc/74/87/99/925748799.db2.gz BBLRJZWNNYEWQD-MRVPVSSYSA-N 0 1 257.725 0.276 20 30 CCEDMN C#CCNCC(=O)NCC1(C(=O)OCC)CCC1 ZINC001353349778 925807732 /nfs/dbraw/zinc/80/77/32/925807732.db2.gz KYDIGTSYDZQCEX-UHFFFAOYSA-N 0 1 252.314 0.059 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CCNC[C@@H]1COC ZINC001353402102 925822689 /nfs/dbraw/zinc/82/26/89/925822689.db2.gz HJPQHYXZGGTHKL-MCIONIFRSA-N 0 1 297.399 0.597 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC[C@@H](C)[S@@](C)=O)CC1 ZINC001353446955 925837257 /nfs/dbraw/zinc/83/72/57/925837257.db2.gz ATXOQFZLPYAWPW-MPBGBICISA-N 0 1 299.440 0.540 20 30 CCEDMN C=CCN(CCOC)C(=O)CN1CCC(CO)CC1 ZINC001353655404 925897844 /nfs/dbraw/zinc/89/78/44/925897844.db2.gz SVZKVIWFOZUIHQ-UHFFFAOYSA-N 0 1 270.373 0.352 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1ccc2n1CCN[C@H]2C ZINC001353718190 925915920 /nfs/dbraw/zinc/91/59/20/925915920.db2.gz ZWLDOQOLNIYOEV-JTQLQIEISA-N 0 1 276.340 0.184 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001353761069 925925506 /nfs/dbraw/zinc/92/55/06/925925506.db2.gz FXFOHIVEJFMWEQ-HZSPNIEDSA-N 0 1 291.395 0.648 20 30 CCEDMN C=CCC[C@H](NC(=O)[C@@H](C)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001354046573 925996022 /nfs/dbraw/zinc/99/60/22/925996022.db2.gz FGQZYSHFVIHHGB-NEPJUHHUSA-N 0 1 283.372 0.158 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CC=C(C(=O)[O-])C1 ZINC001354057965 925999390 /nfs/dbraw/zinc/99/93/90/925999390.db2.gz LTHNBZATVMJQMU-NEPJUHHUSA-N 0 1 262.309 0.374 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001354084005 926009693 /nfs/dbraw/zinc/00/96/93/926009693.db2.gz STILFSUJCVOHBC-VXGBXAGGSA-N 0 1 284.356 0.084 20 30 CCEDMN CN1CC[C@@H](NC(=O)C(=O)Nc2ccc(F)c(C#N)c2)C1 ZINC001354427994 926108290 /nfs/dbraw/zinc/10/82/90/926108290.db2.gz TVYSHQUWKFJDJO-LLVKDONJSA-N 0 1 290.298 0.456 20 30 CCEDMN C[C@H](CCNC(=O)C#CC1CC1)NC(=O)[C@H]1CCCN1C ZINC001355145944 926218664 /nfs/dbraw/zinc/21/86/64/926218664.db2.gz HOPZTTIJNIHUNE-TZMCWYRMSA-N 0 1 291.395 0.505 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)CNC(=O)[C@@H]1CCCN1C ZINC001357012661 926474830 /nfs/dbraw/zinc/47/48/30/926474830.db2.gz IKWWVPMHJZATBI-KBPBESRZSA-N 0 1 293.411 0.609 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)CNC(=O)c1[nH]ncc1F ZINC001357021446 926476178 /nfs/dbraw/zinc/47/61/78/926476178.db2.gz SVTKTNVXUKISLE-SNVBAGLBSA-N 0 1 294.330 0.690 20 30 CCEDMN C=C(CC)CNC(=O)N1CCNC[C@H]1CCOC ZINC001357435907 926532466 /nfs/dbraw/zinc/53/24/66/926532466.db2.gz WDHRHXXNVVKSBK-GFCCVEGCSA-N 0 1 255.362 0.973 20 30 CCEDMN C#CCN(CC#CC)C(=O)CN1CCC(CO)CC1 ZINC001358007525 926620038 /nfs/dbraw/zinc/62/00/38/926620038.db2.gz WOYUJLWGBOCOFJ-UHFFFAOYSA-N 0 1 262.353 0.176 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1nccnc1N ZINC001422317118 927217306 /nfs/dbraw/zinc/21/73/06/927217306.db2.gz HIMYBJKYOGPDRY-RKDXNWHRSA-N 0 1 283.763 0.908 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)CN(C)C(C)=O)[C@@H]2C1 ZINC001422806789 927526079 /nfs/dbraw/zinc/52/60/79/927526079.db2.gz FIPPSWSGLHLCKF-XYYAHUGASA-N 0 1 299.802 0.511 20 30 CCEDMN C[C@@H](C#N)CNC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001362163074 927683583 /nfs/dbraw/zinc/68/35/83/927683583.db2.gz ZTNNCSLMUHJBCS-VIFPVBQESA-N 0 1 277.328 0.517 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](CO)NCc1ccccc1F ZINC001423194639 927729353 /nfs/dbraw/zinc/72/93/53/927729353.db2.gz VSCKYWHYFGTBSQ-ZYHUDNBSSA-N 0 1 279.315 0.552 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cocn1 ZINC001423435900 927856851 /nfs/dbraw/zinc/85/68/51/927856851.db2.gz QPGZCLPVUVBVEA-VIFPVBQESA-N 0 1 273.720 0.450 20 30 CCEDMN CC[C@@H](C)CN1CC(O)(CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001423834141 928001973 /nfs/dbraw/zinc/00/19/73/928001973.db2.gz JLNLUAVJSCPUAY-LLVKDONJSA-N 0 1 290.367 0.709 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)N1C[C@@H]2C[N@@H+](C)C[C@@H]2C1 ZINC001362483092 928076871 /nfs/dbraw/zinc/07/68/71/928076871.db2.gz MNVBDKDRCVWSSV-TXEJJXNPSA-N 0 1 286.335 0.601 20 30 CCEDMN CC(C)(NC(=O)[C@@H](O)c1ccc(C#N)cc1)c1c[nH]nn1 ZINC001362531662 928143445 /nfs/dbraw/zinc/14/34/45/928143445.db2.gz FVHLNGCORGNIIW-LBPRGKRZSA-N 0 1 285.307 0.761 20 30 CCEDMN N#Cc1ccc(CC(C#N)C(=O)N[C@H]2CCOC2=O)cc1 ZINC001362594448 928224809 /nfs/dbraw/zinc/22/48/09/928224809.db2.gz KIIQAHXSWZGLIY-OLZOCXBDSA-N 0 1 283.287 0.672 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](CNC(=O)[C@@H]2CCCN2C)C1 ZINC001424777196 928289060 /nfs/dbraw/zinc/28/90/60/928289060.db2.gz VFJLYUSVSNBXGF-LPWJVIDDSA-N 0 1 292.383 0.251 20 30 CCEDMN COC[C@@](C)(O)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001362740027 928431609 /nfs/dbraw/zinc/43/16/09/928431609.db2.gz GUGTTXZVYWUTJW-CYBMUJFWSA-N 0 1 274.280 0.770 20 30 CCEDMN COc1ncc(NC(=O)NCc2n[nH]c(C)n2)cc1C#N ZINC001426287031 928805484 /nfs/dbraw/zinc/80/54/84/928805484.db2.gz OZSNGTRBVAXXEC-UHFFFAOYSA-N 0 1 287.283 0.710 20 30 CCEDMN N#Cc1ccc(CC(=O)NC2(c3nn[nH]n3)CCC2)cn1 ZINC001363375587 929163188 /nfs/dbraw/zinc/16/31/88/929163188.db2.gz ATDPZSVBFFTUAP-UHFFFAOYSA-N 0 1 283.295 0.204 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)N(C)c1nn[nH]n1 ZINC001363487844 929295294 /nfs/dbraw/zinc/29/52/94/929295294.db2.gz FHNVQDGSRFXUPG-UHFFFAOYSA-N 0 1 288.267 0.122 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)c2cc(F)cc3nn[nH]c32)CCO1 ZINC001363765217 929555177 /nfs/dbraw/zinc/55/51/77/929555177.db2.gz ZTLIVHSSXYHCMI-CYBMUJFWSA-N 0 1 289.270 0.852 20 30 CCEDMN N#CC1(C[C@H](O)CN2CC[C@H]3OCC(=O)N[C@@H]3C2)CCC1 ZINC001363799881 929590570 /nfs/dbraw/zinc/59/05/70/929590570.db2.gz XBTHQIUVZVAQOZ-YNEHKIRRSA-N 0 1 293.367 0.021 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H](O)c2cccc(C#N)c2)C1 ZINC001363806293 929596911 /nfs/dbraw/zinc/59/69/11/929596911.db2.gz KEZODTCWRVKLMR-GJZGRUSLSA-N 0 1 271.320 0.942 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001443537492 929640204 /nfs/dbraw/zinc/64/02/04/929640204.db2.gz OSHIUQZQYLFKDK-GMTAPVOTSA-N 0 1 289.339 0.123 20 30 CCEDMN CN(CCNC(=O)c1ncn(C)n1)Cc1ccc(C#N)cc1 ZINC001371962997 929688265 /nfs/dbraw/zinc/68/82/65/929688265.db2.gz GOSLKLHRDYQYND-UHFFFAOYSA-N 0 1 298.350 0.549 20 30 CCEDMN N#Cc1ccccc1CNC[C@@H](O)CNC(=O)c1cn[nH]c1 ZINC001445150929 930051435 /nfs/dbraw/zinc/05/14/35/930051435.db2.gz HSQQXWKMTIVUHN-CQSZACIVSA-N 0 1 299.334 0.162 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CNC(=O)c1n[nH]c2ccccc21 ZINC001373118082 930081511 /nfs/dbraw/zinc/08/15/11/930081511.db2.gz ZHJIRVVVFZTYRT-NXEZZACHSA-N 0 1 299.334 0.957 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(N[C@@H](C)C(=O)NC(C)(C)C)CC1 ZINC001446157952 930354857 /nfs/dbraw/zinc/35/48/57/930354857.db2.gz IIKCMVJBRZKXIE-QWRGUYRKSA-N 0 1 294.399 0.688 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)Cn2ccccc2=O)CC1 ZINC001446271318 930380027 /nfs/dbraw/zinc/38/00/27/930380027.db2.gz HXEVIIVGYNZZAN-UHFFFAOYSA-N 0 1 295.770 0.839 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)C(=O)CN1CCCC1 ZINC001374169960 930439097 /nfs/dbraw/zinc/43/90/97/930439097.db2.gz YIXWFHPSSBYFMR-ZDUSSCGKSA-N 0 1 294.399 0.597 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)Cc1nnc[nH]1 ZINC001374309557 930484222 /nfs/dbraw/zinc/48/42/22/930484222.db2.gz ALLYQCGRLAYQHZ-VIFPVBQESA-N 0 1 271.752 0.536 20 30 CCEDMN C=C(Cl)CN1CC(O)(CNC(=O)c2[nH]ncc2F)C1 ZINC001446874401 930508222 /nfs/dbraw/zinc/50/82/22/930508222.db2.gz JKGAJGWQNAJYPU-UHFFFAOYSA-N 0 1 288.710 0.078 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc2n(n1)CCO2 ZINC001374769127 930656661 /nfs/dbraw/zinc/65/66/61/930656661.db2.gz CIMGUAXITZFXDL-SECBINFHSA-N 0 1 284.747 0.736 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)Cn1cncn1 ZINC001447937355 930761884 /nfs/dbraw/zinc/76/18/84/930761884.db2.gz OZSWROZTHJYKCE-VHSXEESVSA-N 0 1 271.752 0.513 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CNC(=O)c2cnn[nH]2)CCCC1 ZINC001375315651 930850913 /nfs/dbraw/zinc/85/09/13/930850913.db2.gz QPJNRVAQJAEDMN-SECBINFHSA-N 0 1 290.327 0.123 20 30 CCEDMN Cn1cc(CNC2(CNC(=O)c3ccc(C#N)[nH]3)CC2)cn1 ZINC001375410705 930881469 /nfs/dbraw/zinc/88/14/69/930881469.db2.gz UPDANEGOIMJLEL-UHFFFAOYSA-N 0 1 298.350 0.672 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC001375491467 930898956 /nfs/dbraw/zinc/89/89/56/930898956.db2.gz PCGJCEHAZHPAEN-MNOVXSKESA-N 0 1 299.802 0.879 20 30 CCEDMN CN(CC(=O)NCC1(C#N)CC1)[C@@H]1CCC[C@H]1O ZINC001448540051 930915027 /nfs/dbraw/zinc/91/50/27/930915027.db2.gz GWYSFTMZQUMBLW-GHMZBOCLSA-N 0 1 251.330 0.252 20 30 CCEDMN C=C(Cl)CN1CC[C@](C)(NC(=O)Cc2n[nH]c(C)n2)C1 ZINC001375823571 930985853 /nfs/dbraw/zinc/98/58/53/930985853.db2.gz KMNCAEJNSQPCKU-ZDUSSCGKSA-N 0 1 297.790 0.989 20 30 CCEDMN C=CCN(C)CCN(CC)C(=O)C(=O)c1cnn(C)c1 ZINC001448885735 931020272 /nfs/dbraw/zinc/02/02/72/931020272.db2.gz XYQABQYAMIRQCQ-UHFFFAOYSA-N 0 1 278.356 0.569 20 30 CCEDMN CC(=O)N(C)C[C@H](O)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001449181163 931094940 /nfs/dbraw/zinc/09/49/40/931094940.db2.gz YVWQADLGNZJLLW-CQSZACIVSA-N 0 1 293.342 0.968 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)C(=O)c1cccc2[nH]cnc21 ZINC001449181904 931095092 /nfs/dbraw/zinc/09/50/92/931095092.db2.gz AALUWAWKHXOTLS-SNVBAGLBSA-N 0 1 299.334 0.911 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)NC(C)=O)C1 ZINC001376304515 931117256 /nfs/dbraw/zinc/11/72/56/931117256.db2.gz ILNUVVILFDEGCL-ONGXEEELSA-N 0 1 273.764 0.454 20 30 CCEDMN COc1ccc(CN[C@H](C)CNC(=O)[C@@H](C)C#N)nn1 ZINC001376707357 931232115 /nfs/dbraw/zinc/23/21/15/931232115.db2.gz ULPBWGYDRQTZES-VHSXEESVSA-N 0 1 277.328 0.239 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CNC(=O)CC)C1 ZINC001376894725 931315542 /nfs/dbraw/zinc/31/55/42/931315542.db2.gz FKZGILPWFSZFBK-JTQLQIEISA-N 0 1 273.764 0.456 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001451587301 931456027 /nfs/dbraw/zinc/45/60/27/931456027.db2.gz AGGGIMPESQIFRM-NXEZZACHSA-N 0 1 268.280 0.643 20 30 CCEDMN C#CC[N@@H+](CCc1nc2ccccc2c(=O)[nH]1)CC(=O)[O-] ZINC001602700819 971322396 /nfs/dbraw/zinc/32/23/96/971322396.db2.gz KOJJLENROMNIPY-UHFFFAOYSA-N 0 1 285.303 0.898 20 30 CCEDMN C#CC[N@H+](CCc1nc2ccccc2c(=O)[nH]1)CC(=O)[O-] ZINC001602700819 971322403 /nfs/dbraw/zinc/32/24/03/971322403.db2.gz KOJJLENROMNIPY-UHFFFAOYSA-N 0 1 285.303 0.898 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1CCCC[C@H]1CC(=O)[O-] ZINC001602702888 971332156 /nfs/dbraw/zinc/33/21/56/971332156.db2.gz VOFJRDMZALEKBU-NSHDSACASA-N 0 1 252.314 0.455 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](CC(=O)[O-])CC1CC1 ZINC001589719336 950403075 /nfs/dbraw/zinc/40/30/75/950403075.db2.gz CVBQQHKYCAJSGJ-NSHDSACASA-N 0 1 281.356 0.791 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+](CC(=O)[O-])CC1CC1 ZINC001589719336 950403085 /nfs/dbraw/zinc/40/30/85/950403085.db2.gz CVBQQHKYCAJSGJ-NSHDSACASA-N 0 1 281.356 0.791 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC000312793758 950770439 /nfs/dbraw/zinc/77/04/39/950770439.db2.gz GEUFRCBWYHVBSY-UWVGGRQHSA-N 0 1 283.328 0.041 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(C)C[C@@H](C)C(=O)[O-] ZINC000328171346 951123604 /nfs/dbraw/zinc/12/36/04/951123604.db2.gz KPPUQTJSRSLPNV-LLVKDONJSA-N 0 1 269.345 0.304 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@@H]1CC[C@H](C(=O)[O-])C1 ZINC000380847633 951194047 /nfs/dbraw/zinc/19/40/47/951194047.db2.gz ROLFEVJLJKJTTM-NEPJUHHUSA-N 0 1 266.341 0.559 20 30 CCEDMN CCC#C[C@H](C)[N@@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001589420562 954598365 /nfs/dbraw/zinc/59/83/65/954598365.db2.gz WKROZGZQQOMORQ-WCQYABFASA-N 0 1 255.314 0.590 20 30 CCEDMN CCC#C[C@H](C)[N@H+]1CCO[C@](COC)(C(=O)[O-])C1 ZINC001589420562 954598374 /nfs/dbraw/zinc/59/83/74/954598374.db2.gz WKROZGZQQOMORQ-WCQYABFASA-N 0 1 255.314 0.590 20 30 CCEDMN C[C@H](C[N@@H+](C)CC(=O)NC1(C#N)CCCCC1)C(=O)[O-] ZINC001589033216 955534616 /nfs/dbraw/zinc/53/46/16/955534616.db2.gz ZKLKILRIVZHZLL-LLVKDONJSA-N 0 1 281.356 0.982 20 30 CCEDMN Cn1cc([C@@H]2C[C@@H](C(=O)[O-])C[N@H+](CCC#N)C2)cn1 ZINC001594538128 955597862 /nfs/dbraw/zinc/59/78/62/955597862.db2.gz QPRVNHIKOLIQMC-GHMZBOCLSA-N 0 1 262.313 0.824 20 30 CCEDMN Cn1cc([C@@H]2C[C@@H](C(=O)[O-])C[N@@H+](CCC#N)C2)cn1 ZINC001594538128 955597869 /nfs/dbraw/zinc/59/78/69/955597869.db2.gz QPRVNHIKOLIQMC-GHMZBOCLSA-N 0 1 262.313 0.824 20 30 CCEDMN C#C[C@@H]([NH2+]Cc1cc(C(=O)[O-])nn1C)[C@H]1CCCO1 ZINC001588385736 957973801 /nfs/dbraw/zinc/97/38/01/957973801.db2.gz HFLKCXJEXUTHSR-ZYHUDNBSSA-N 0 1 263.297 0.389 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](c2cnn(C)c2)C[C@H](C(=O)[O-])C1 ZINC001588390763 958013668 /nfs/dbraw/zinc/01/36/68/958013668.db2.gz HIIUVDXQNOJAMS-RYUDHWBXSA-N 0 1 261.325 0.934 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N2CCC[C@@H](C(=O)[O-])C2)C1 ZINC001588430529 958257555 /nfs/dbraw/zinc/25/75/55/958257555.db2.gz MXBCNXBLLNFOIX-CHWSQXEVSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N2CCC[C@@H](C(=O)[O-])C2)C1 ZINC001588430529 958257572 /nfs/dbraw/zinc/25/75/72/958257572.db2.gz MXBCNXBLLNFOIX-CHWSQXEVSA-N 0 1 293.367 0.590 20 30 CCEDMN N#Cc1csc(C[N@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)n1 ZINC001574493508 961720454 /nfs/dbraw/zinc/72/04/54/961720454.db2.gz MPFVXBLEDIXXFN-UFBFGSQYSA-N 0 1 279.321 0.548 20 30 CCEDMN N#Cc1csc(C[N@@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)n1 ZINC001574493508 961720468 /nfs/dbraw/zinc/72/04/68/961720468.db2.gz MPFVXBLEDIXXFN-UFBFGSQYSA-N 0 1 279.321 0.548 20 30 CCEDMN COC(=O)CC[N@H+](CC(=O)[O-])C[C@H](C)CCC#N ZINC001574061481 962207071 /nfs/dbraw/zinc/20/70/71/962207071.db2.gz OFJOFVKHPCPPLZ-SNVBAGLBSA-N 0 1 256.302 0.876 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]2[C@H](NC(=O)CNC(=O)[O-])C(C)(C)[C@H]21 ZINC001573311608 962707306 /nfs/dbraw/zinc/70/73/06/962707306.db2.gz UTRHOBWNDFGYBV-WXHSDQCUSA-N 0 1 293.367 0.492 20 30 CCEDMN C#CCCCCC(=O)N1CC[N@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588439925 964032258 /nfs/dbraw/zinc/03/22/58/964032258.db2.gz INHUVWOXGPYKSU-GFCCVEGCSA-N 0 1 266.341 0.655 20 30 CCEDMN C#CC(C)(C)[N@H+](C)CC(=O)N[C@H](C(=O)[O-])[C@H](C)CC ZINC001588367498 983299440 /nfs/dbraw/zinc/29/94/40/983299440.db2.gz WGSFZEIQFSNHLZ-PWSUYJOCSA-N 0 1 268.357 0.946 20 30 CCEDMN C#C[C@@H]1CCC[N@@H+](Cn2nc(C(=O)OC)cc2C(=O)[O-])C1 ZINC001588383140 983377964 /nfs/dbraw/zinc/37/79/64/983377964.db2.gz OHLCTAJNAFENAG-SNVBAGLBSA-N 0 1 291.307 0.671 20 30 CCEDMN C#C[C@@H]1CCC[N@H+](Cn2nc(C(=O)OC)cc2C(=O)[O-])C1 ZINC001588383140 983377971 /nfs/dbraw/zinc/37/79/71/983377971.db2.gz OHLCTAJNAFENAG-SNVBAGLBSA-N 0 1 291.307 0.671 20 30 CCEDMN C#C[C@@H]1CCC[N@@H+](CC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC)C1 ZINC001588383441 983381421 /nfs/dbraw/zinc/38/14/21/983381421.db2.gz ZNZNXTCGEQAUJV-SCRDCRAPSA-N 0 1 280.368 0.947 20 30 CCEDMN C#C[C@@H]1CCC[N@H+](CC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC)C1 ZINC001588383441 983381424 /nfs/dbraw/zinc/38/14/24/983381424.db2.gz ZNZNXTCGEQAUJV-SCRDCRAPSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CC[C@@H]1CC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)C1 ZINC001588434502 983470519 /nfs/dbraw/zinc/47/05/19/983470519.db2.gz LPADJLVXXAPSAE-YPMHNXCESA-N 0 1 266.341 0.557 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)c2ccc(C(=O)[O-])cn2)C1 ZINC001588475632 983508868 /nfs/dbraw/zinc/50/88/68/983508868.db2.gz FGSRHJXWXTUPGO-GFCCVEGCSA-N 0 1 287.319 0.607 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)c2ccc(C(=O)[O-])cn2)C1 ZINC001588475632 983508870 /nfs/dbraw/zinc/50/88/70/983508870.db2.gz FGSRHJXWXTUPGO-GFCCVEGCSA-N 0 1 287.319 0.607 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N2CC[C@H](C(=O)[O-])C2)C1 ZINC001588477394 983515643 /nfs/dbraw/zinc/51/56/43/983515643.db2.gz ZFWBIKUFCVDOHC-RYUDHWBXSA-N 0 1 279.340 0.200 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N2CC[C@H](C(=O)[O-])C2)C1 ZINC001588477394 983515645 /nfs/dbraw/zinc/51/56/45/983515645.db2.gz ZFWBIKUFCVDOHC-RYUDHWBXSA-N 0 1 279.340 0.200 20 30 CCEDMN C#CC[NH2+]CC(=O)Nc1cc(C(=O)[O-])sc1C ZINC001588485303 983524870 /nfs/dbraw/zinc/52/48/70/983524870.db2.gz LDTFOAGASHDVDQ-UHFFFAOYSA-N 0 1 252.295 0.916 20 30 CCEDMN C#CCOCCN1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC001588491128 983535015 /nfs/dbraw/zinc/53/50/15/983535015.db2.gz DQRRTZRCPBWUEN-GFCCVEGCSA-N 0 1 254.330 0.117 20 30 CCEDMN C#Cc1ccc(C[N@@H+]2C[C@H](C(=O)OC)[C@H](C(=O)[O-])C2)cc1 ZINC001588516383 983560911 /nfs/dbraw/zinc/56/09/11/983560911.db2.gz JKCROOAOFKPEPL-KGLIPLIRSA-N 0 1 287.315 0.974 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@](OC)(C(=O)[O-])C1 ZINC001596229675 983621788 /nfs/dbraw/zinc/62/17/88/983621788.db2.gz FFQWINQIIDXHPL-SMDDNHRTSA-N 0 1 297.355 0.170 20 30 CCEDMN C=C[C@@H](C(=O)[O-])N1CCN(C[C@@H]2CCCC[N@@H+]2C)CC1 ZINC001588669673 983813242 /nfs/dbraw/zinc/81/32/42/983813242.db2.gz MPAGUPLQYFNULE-KBPBESRZSA-N 0 1 281.400 0.728 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1C[C@]2(C)CS(=O)(=O)C[C@]2(C)C1 ZINC001588731151 984006558 /nfs/dbraw/zinc/00/65/58/984006558.db2.gz CWQZPTUAKDPLGA-WCFLWFBJSA-N 0 1 287.381 0.772 20 30 CCEDMN C=CC[C@H](OC(=O)C[N@@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC001588753240 984083557 /nfs/dbraw/zinc/08/35/57/984083557.db2.gz CXAQTVDSSLMQKV-ZJUUUORDSA-N 0 1 273.354 0.996 20 30 CCEDMN C=CC[C@H](OC(=O)C[N@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC001588753240 984083564 /nfs/dbraw/zinc/08/35/64/984083564.db2.gz CXAQTVDSSLMQKV-ZJUUUORDSA-N 0 1 273.354 0.996 20 30 CCEDMN C=CCO[C@H]1CC[N@H+]([C@@H]2CCN(CCC(=O)[O-])C2=O)C1 ZINC001588849725 984374374 /nfs/dbraw/zinc/37/43/74/984374374.db2.gz HLPFOQWVVBOKKR-NWDGAFQWSA-N 0 1 282.340 0.339 20 30 CCEDMN C=CCOCC(=O)O[C@H]1C[N@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001588850938 984381030 /nfs/dbraw/zinc/38/10/30/984381030.db2.gz LCSPQCGLLCXWGI-BXKDBHETSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCOC[C@@H]([NH2+]Cc1cnc2n1CCOC2)C(=O)[O-] ZINC001588866987 984429446 /nfs/dbraw/zinc/42/94/46/984429446.db2.gz SRXVACZLPWUVGP-LLVKDONJSA-N 0 1 281.312 0.159 20 30 CCEDMN CC(=O)NCC[N@H+](C)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC001589137917 985443958 /nfs/dbraw/zinc/44/39/58/985443958.db2.gz VJQTYSWXEDGBJQ-CYBMUJFWSA-N 0 1 275.308 0.752 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1C[C@H](C)[C@@](C)(C(=O)[O-])C1 ZINC001589339849 986240922 /nfs/dbraw/zinc/24/09/22/986240922.db2.gz KRSJWSJYFNGIMD-WDMOLILDSA-N 0 1 281.356 0.790 20 30 CCEDMN C[C@@H]1CN(c2ccc(C(=O)[O-])nc2C#N)CC[N@@H+]1CCO ZINC001594569241 986378212 /nfs/dbraw/zinc/37/82/12/986378212.db2.gz OKVQGPUCDVSABC-SNVBAGLBSA-N 0 1 290.323 0.154 20 30 CCEDMN C[C@@H]1CN(c2ccc(C(=O)[O-])nc2C#N)CC[N@H+]1CCO ZINC001594569241 986378223 /nfs/dbraw/zinc/37/82/23/986378223.db2.gz OKVQGPUCDVSABC-SNVBAGLBSA-N 0 1 290.323 0.154 20 30 CCEDMN CC[C@@H]1[C@@H](C(=O)N2CCC(C#N)(C(=O)[O-])CC2)CC[N@H+]1C ZINC001597309027 987428174 /nfs/dbraw/zinc/42/81/74/987428174.db2.gz OBHSPPDEKLUBMT-NWDGAFQWSA-N 0 1 293.367 0.934 20 30 CCEDMN C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)C[C@H]1CC(=O)[O-] ZINC001599864963 989233435 /nfs/dbraw/zinc/23/34/35/989233435.db2.gz CQADULXWNSHINK-GHMZBOCLSA-N 0 1 279.340 0.591 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001598468971 991994458 /nfs/dbraw/zinc/99/44/58/991994458.db2.gz VEZNLZYHBISVLZ-IAZYJMLFSA-N 0 1 265.313 0.011 20 30 CCEDMN CN(CCC#N)c1ccc(C[NH+]2CC(O)(C(=O)[O-])C2)cc1 ZINC001598469238 991998537 /nfs/dbraw/zinc/99/85/37/991998537.db2.gz WBCBFXQDPDBKBM-UHFFFAOYSA-N 0 1 289.335 0.668 20 30 CCEDMN C[N@H+](CCc1cccc(C#N)c1)Cc1cn(CC(=O)[O-])nn1 ZINC001598500412 992428893 /nfs/dbraw/zinc/42/88/93/992428893.db2.gz XMOIFGPKNJMYRC-UHFFFAOYSA-N 0 1 299.334 0.909 20 30 CCEDMN C[N@@H+](CCc1cccc(C#N)c1)Cc1cn(CC(=O)[O-])nn1 ZINC001598500412 992428899 /nfs/dbraw/zinc/42/88/99/992428899.db2.gz XMOIFGPKNJMYRC-UHFFFAOYSA-N 0 1 299.334 0.909 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)c2ccc(C#N)[nH]2)C[C@]1(C)C(=O)[O-] ZINC001598576192 993279753 /nfs/dbraw/zinc/27/97/53/993279753.db2.gz RMKIOIHEQGUECE-NOZJJQNGSA-N 0 1 277.280 0.591 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2cc(C#N)cs2)C[C@@H](C(=O)[O-])C1 ZINC001598610220 993754686 /nfs/dbraw/zinc/75/46/86/993754686.db2.gz BRHGWSDMWUDQFD-JTQLQIEISA-N 0 1 293.348 0.708 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)NCC1(C#N)CC1 ZINC000599915921 361828306 /nfs/dbraw/zinc/82/83/06/361828306.db2.gz FGUTYIXDNFTASK-LLVKDONJSA-N 0 1 250.346 0.930 20 30 CCEDMN N#Cc1ccsc1C(=O)N1CC[C@@H](N2CC[C@H](O)C2)C1 ZINC000599938030 361834771 /nfs/dbraw/zinc/83/47/71/361834771.db2.gz KSTMVZNUYXWNJO-NEPJUHHUSA-N 0 1 291.376 0.901 20 30 CCEDMN CCN1CCC(NS(=O)(=O)CCCC#N)CC1 ZINC000111328046 349771299 /nfs/dbraw/zinc/77/12/99/349771299.db2.gz NVIFQFXSXQZMAT-UHFFFAOYSA-N 0 1 259.375 0.694 20 30 CCEDMN CO[C@H]1C[C@H](N[C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000600613766 362023746 /nfs/dbraw/zinc/02/37/46/362023746.db2.gz CBLSZPZISMSJII-AVGNSLFASA-N 0 1 259.309 0.852 20 30 CCEDMN Cc1nc(N2CC[N@H+](C)C[C@H](C(=O)[O-])C2)ccc1C#N ZINC000600677686 362031510 /nfs/dbraw/zinc/03/15/10/362031510.db2.gz JBYPDGQGHXFEFJ-LBPRGKRZSA-N 0 1 274.324 0.714 20 30 CCEDMN N#Cc1ccc(C2(C(=O)NCCN3CC[C@H](O)C3)CC2)cc1 ZINC000600807494 362063858 /nfs/dbraw/zinc/06/38/58/362063858.db2.gz FQPLMQIPYVOHGT-HNNXBMFYSA-N 0 1 299.374 0.773 20 30 CCEDMN Cc1cc(C(=O)NCCN2CC[C@H](O)C2)ncc1C#N ZINC000600808055 362066003 /nfs/dbraw/zinc/06/60/03/362066003.db2.gz HAAJONWJXABNIK-LBPRGKRZSA-N 0 1 274.324 0.058 20 30 CCEDMN CN1CCN(Cc2cn([C@H]3CC[C@@H](C#N)C3)nn2)CC1 ZINC000600812859 362068457 /nfs/dbraw/zinc/06/84/57/362068457.db2.gz GXBGTBAYDBFIEF-OCCSQVGLSA-N 0 1 274.372 0.890 20 30 CCEDMN N#Cc1cc2cccnc2nc1NC[C@H]1COCCN1 ZINC000600953887 362099161 /nfs/dbraw/zinc/09/91/61/362099161.db2.gz FFAUTQYVUMZUTB-LBPRGKRZSA-N 0 1 269.308 0.902 20 30 CCEDMN C[C@](O)(C[C@@H](O)CN1CC[C@](O)(CC#N)C1)C1CC1 ZINC000600846082 362079214 /nfs/dbraw/zinc/07/92/14/362079214.db2.gz LVCQAQAXAIBTSL-HZSPNIEDSA-N 0 1 268.357 0.249 20 30 CCEDMN COCCN1CCN(c2cnc(C#N)cn2)C[C@H]1C ZINC000133328586 136390217 /nfs/dbraw/zinc/39/02/17/136390217.db2.gz USJLYMHXSOZDRU-LLVKDONJSA-N 0 1 261.329 0.505 20 30 CCEDMN CN1CC[C@@H]2OCCN(CC(=O)Nc3nncs3)[C@H]2C1 ZINC000329026226 529798726 /nfs/dbraw/zinc/79/87/26/529798726.db2.gz OASTWJDHVBZYHF-UWVGGRQHSA-N 0 1 297.384 0.531 20 30 CCEDMN CN(CCn1cccn1)C(=O)N[C@@H]1CC[S@@](=O)C1 ZINC000330004022 529939904 /nfs/dbraw/zinc/93/99/04/529939904.db2.gz AUDHYMGPZNXXJN-MLCYQJTMSA-N 0 1 270.358 0.250 20 30 CCEDMN N#CCc1ccccc1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000601565545 362312329 /nfs/dbraw/zinc/31/23/29/362312329.db2.gz GZPUNWDVSJOYHH-OAHLLOKOSA-N 0 1 298.390 0.872 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CSc1nnc(C)[nH]1)C(=O)OC ZINC000601782414 362390496 /nfs/dbraw/zinc/39/04/96/362390496.db2.gz OVNNAMPSXRJQET-SECBINFHSA-N 0 1 298.368 0.829 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CSc1nc(C)n[nH]1)C(=O)OC ZINC000601782414 362390500 /nfs/dbraw/zinc/39/05/00/362390500.db2.gz OVNNAMPSXRJQET-SECBINFHSA-N 0 1 298.368 0.829 20 30 CCEDMN N#CCCn1[nH]c(CN2C(=O)c3ccccc3C2=O)cc1=O ZINC000601931645 362428578 /nfs/dbraw/zinc/42/85/78/362428578.db2.gz NPNHEGWAFKQZAU-UHFFFAOYSA-N 0 1 296.286 0.785 20 30 CCEDMN CN(C)CCCN(CCC#N)C(=O)C1=CCS(=O)(=O)C1 ZINC000602082863 362476188 /nfs/dbraw/zinc/47/61/88/362476188.db2.gz OWAMKFHAUVHSLM-UHFFFAOYSA-N 0 1 299.396 0.035 20 30 CCEDMN C[C@H](C(=O)Nc1[nH]nc(N2CCOCC2)c1C#N)N(C)C ZINC000602195719 362552625 /nfs/dbraw/zinc/55/26/25/362552625.db2.gz BVJOGGULEFSXNC-SECBINFHSA-N 0 1 292.343 0.007 20 30 CCEDMN C[C@H](C(=O)N1CC[C@@H](Oc2ccc(C#N)cn2)C1)N(C)C ZINC000602152823 362528965 /nfs/dbraw/zinc/52/89/65/362528965.db2.gz IRJQTZILOMXYRZ-DGCLKSJQSA-N 0 1 288.351 0.883 20 30 CCEDMN CCC[C@H](OCC)C(=O)N=c1[nH]n(C)cc1C#N ZINC000602279031 362573749 /nfs/dbraw/zinc/57/37/49/362573749.db2.gz BGIDZLNXBIOGRQ-JTQLQIEISA-N 0 1 250.302 0.857 20 30 CCEDMN N#Cc1ccc(CNC(=O)NCCN2CC[C@@H](O)C2)cc1 ZINC000602227496 362564204 /nfs/dbraw/zinc/56/42/04/362564204.db2.gz NMXOOKZLKQUTNQ-CQSZACIVSA-N 0 1 288.351 0.424 20 30 CCEDMN C[C@@H](CCC#N)CN1CCN(CC(=O)N(C)C)CC1 ZINC000602430574 362612130 /nfs/dbraw/zinc/61/21/30/362612130.db2.gz CPMMEYWUHHZBDS-ZDUSSCGKSA-N 0 1 266.389 0.632 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2ccc(C#N)nc2)C[C@H](C)N1 ZINC000602435024 362614727 /nfs/dbraw/zinc/61/47/27/362614727.db2.gz SEJLIQBFPCNYMU-PHIMTYICSA-N 0 1 258.325 0.705 20 30 CCEDMN N#Cc1cccc(CNC[C@@H](O)COCC2CC2)n1 ZINC000602524356 362656542 /nfs/dbraw/zinc/65/65/42/362656542.db2.gz CPEQDLDQCDUDTA-CQSZACIVSA-N 0 1 261.325 0.830 20 30 CCEDMN CN(C)C(=O)[C@@H]1CC[C@H](CNCc2cccc(C#N)n2)O1 ZINC000602582826 362690625 /nfs/dbraw/zinc/69/06/25/362690625.db2.gz PBUJCXRGXQDJJJ-KGLIPLIRSA-N 0 1 288.351 0.679 20 30 CCEDMN N#Cc1cccc(CNC[C@@H]2CCC[N@@H+]2CCCO)n1 ZINC000602675677 362742128 /nfs/dbraw/zinc/74/21/28/362742128.db2.gz VWQNNKHOQNPKRU-HNNXBMFYSA-N 0 1 274.368 0.890 20 30 CCEDMN CNC(=O)NC[C@@H]1CN(C[C@@H](C)CCC#N)CCO1 ZINC000602721892 362770870 /nfs/dbraw/zinc/77/08/70/362770870.db2.gz UHSRURSQRUEUCT-NWDGAFQWSA-N 0 1 268.361 0.556 20 30 CCEDMN N#CCC1(CN2CCC[C@]3(C2)NC(=O)NC3=O)CC1 ZINC000602821910 362818852 /nfs/dbraw/zinc/81/88/52/362818852.db2.gz PBHYNWITSMWVTC-CYBMUJFWSA-N 0 1 262.313 0.354 20 30 CCEDMN CN(CC1(C)COC1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000602882761 362861404 /nfs/dbraw/zinc/86/14/04/362861404.db2.gz QAZQJFCFOSGTRF-UHFFFAOYSA-N 0 1 263.301 0.214 20 30 CCEDMN CN1CCN(CC(=O)NCC#N)C[C@H]1C(C)(C)C ZINC000602875297 362855938 /nfs/dbraw/zinc/85/59/38/362855938.db2.gz ZHXWOXZXNUNJKL-NSHDSACASA-N 0 1 252.362 0.288 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2(CF)CCOCC2)[nH]1 ZINC000602880846 362860624 /nfs/dbraw/zinc/86/06/24/362860624.db2.gz NFAPZWVQJUSJSC-UHFFFAOYSA-N 0 1 295.318 0.601 20 30 CCEDMN CN(CCCN1C(=O)CNC1=O)[C@@H]1CCC[C@H]1C#N ZINC000602976431 362918336 /nfs/dbraw/zinc/91/83/36/362918336.db2.gz PNVWEYYMHRNACO-WDEREUQCSA-N 0 1 264.329 0.552 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@](O)(CC)C1 ZINC000602982013 362920960 /nfs/dbraw/zinc/92/09/60/362920960.db2.gz MJXDNTXFMYZYHJ-ZDUSSCGKSA-N 0 1 269.345 0.235 20 30 CCEDMN COCCN1CCCN(C(=O)CSCC#N)CC1 ZINC000048428896 348409285 /nfs/dbraw/zinc/40/92/85/348409285.db2.gz YFWLKJBAEIXMQJ-UHFFFAOYSA-N 0 1 271.386 0.424 20 30 CCEDMN O=C(CNC(=O)C1CC1)Nc1nc(-c2ccccn2)n[nH]1 ZINC000053527091 348531896 /nfs/dbraw/zinc/53/18/96/348531896.db2.gz WQAHIGPWZBCKHT-UHFFFAOYSA-N 0 1 286.295 0.981 20 30 CCEDMN C=CCNC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000079078897 349167151 /nfs/dbraw/zinc/16/71/51/349167151.db2.gz FCZHBDKISYCSJO-ZDUSSCGKSA-N 0 1 268.405 0.744 20 30 CCEDMN N#Cc1ccc(OCC(=O)NCCCc2nc[nH]n2)cc1 ZINC000080598778 349220640 /nfs/dbraw/zinc/22/06/40/349220640.db2.gz CMRQIUSXIKMXIM-UHFFFAOYSA-N 0 1 285.307 0.804 20 30 CCEDMN Cc1cccc(NC(=O)C(=O)N[C@@H](C)c2nnc[nH]2)c1C#N ZINC000123695145 350081299 /nfs/dbraw/zinc/08/12/99/350081299.db2.gz UZRLREYPMXSUCC-VIFPVBQESA-N 0 1 298.306 0.801 20 30 CCEDMN C=CCNC(=O)CN1CCC(CCC(N)=O)CC1 ZINC000271246208 191026339 /nfs/dbraw/zinc/02/63/39/191026339.db2.gz QJYCDSMNULXSAO-UHFFFAOYSA-N 0 1 253.346 0.266 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1ccc(C#N)cc1)c1nnc[nH]1 ZINC000128908084 350201606 /nfs/dbraw/zinc/20/16/06/350201606.db2.gz PZNIFCHKNFBQDQ-MRVPVSSYSA-N 0 1 277.309 0.716 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)C[C@@H](O)C1CC1 ZINC000130954103 350255173 /nfs/dbraw/zinc/25/51/73/350255173.db2.gz RLRRXSGLBGRDGA-CYBMUJFWSA-N 0 1 278.356 0.345 20 30 CCEDMN COCCCNC(=O)[C@@H](C#N)C(=O)[C@@H](F)C(C)C ZINC000784487739 581386325 /nfs/dbraw/zinc/38/63/25/581386325.db2.gz ZXPVYYBWWUIGJF-UWVGGRQHSA-N 0 1 258.293 0.842 20 30 CCEDMN CC(C)(CNCc1ccc(C#N)o1)NS(C)(=O)=O ZINC000135181197 350387419 /nfs/dbraw/zinc/38/74/19/350387419.db2.gz UBZLUWYOIXLNKQ-UHFFFAOYSA-N 0 1 271.342 0.569 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1sccc1C#N ZINC000106818853 186136190 /nfs/dbraw/zinc/13/61/90/186136190.db2.gz KYJHCIMSHJJBOQ-NSHDSACASA-N 0 1 292.408 0.985 20 30 CCEDMN C=CCCNS(=O)(=O)c1scnc1C(=O)OC ZINC000161670929 350726342 /nfs/dbraw/zinc/72/63/42/350726342.db2.gz SPSXXCXXFBEZOS-UHFFFAOYSA-N 0 1 276.339 0.784 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1cccc(C#N)c1 ZINC000111138337 186357023 /nfs/dbraw/zinc/35/70/23/186357023.db2.gz XGXQVIUZIKSUEU-UHFFFAOYSA-N 0 1 267.354 0.740 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@H](OC)C1 ZINC000170818720 350864573 /nfs/dbraw/zinc/86/45/73/350864573.db2.gz PNHFDWRBTPMUBW-MNOVXSKESA-N 0 1 269.345 0.498 20 30 CCEDMN CNCc1cn(CCOc2ccccc2C#N)nn1 ZINC000603212343 363002232 /nfs/dbraw/zinc/00/22/32/363002232.db2.gz WAHBFLXRHYDVHO-UHFFFAOYSA-N 0 1 257.297 0.948 20 30 CCEDMN CC[C@H]1CN(C(=O)c2c[nH]c(C#N)c2)CCN1C[C@@H](C)O ZINC000181317831 351312789 /nfs/dbraw/zinc/31/27/89/351312789.db2.gz BVAQHJWKHMIJFA-RISCZKNCSA-N 0 1 290.367 0.804 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000185254250 351440399 /nfs/dbraw/zinc/44/03/99/351440399.db2.gz FLDCGZRJGBTWCV-KBPBESRZSA-N 0 1 299.415 0.579 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(C)(CO)CC1 ZINC000186918744 351520917 /nfs/dbraw/zinc/52/09/17/351520917.db2.gz ORJNKTUCHFUVIN-LLVKDONJSA-N 0 1 283.372 0.481 20 30 CCEDMN C[C@H](O)CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000123153048 187074503 /nfs/dbraw/zinc/07/45/03/187074503.db2.gz LCQNZZDOWZXAFN-LURJTMIESA-N 0 1 276.264 0.496 20 30 CCEDMN Cc1cnc(CNS(=O)(=O)c2cccnc2C#N)o1 ZINC000235925546 352190511 /nfs/dbraw/zinc/19/05/11/352190511.db2.gz BNXKVDYLLNFGMW-UHFFFAOYSA-N 0 1 278.293 0.728 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)/C=C/c2ccccc2C#N)C1 ZINC000264804126 352598690 /nfs/dbraw/zinc/59/86/90/352598690.db2.gz WYNPYDPYCSLOGX-WAVCKPEOSA-N 0 1 298.390 0.933 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(c3cc(C#N)ccn3)CC2)[nH]1 ZINC000264819180 352599919 /nfs/dbraw/zinc/59/99/19/352599919.db2.gz HDTAVEBSNSABNI-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN(C[C@H]3CCOC3)CC2)[nH]1 ZINC000269753450 352871846 /nfs/dbraw/zinc/87/18/46/352871846.db2.gz FOTADTGGDMKNKV-GFCCVEGCSA-N 0 1 288.351 0.681 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@H](C(=O)OCC)C1 ZINC000155324903 188199336 /nfs/dbraw/zinc/19/93/36/188199336.db2.gz OKGCJRHZGDNXAO-NEPJUHHUSA-N 0 1 268.357 0.952 20 30 CCEDMN C=CCNC(=O)CN1CCC(Cn2ccnn2)CC1 ZINC000156160451 188246061 /nfs/dbraw/zinc/24/60/61/188246061.db2.gz WAMGEZZLFVEFCW-UHFFFAOYSA-N 0 1 263.345 0.292 20 30 CCEDMN C#CCn1ccc(CN(CC)CCCC(=O)NC(N)=O)n1 ZINC000279651521 353308059 /nfs/dbraw/zinc/30/80/59/353308059.db2.gz BLQLASPSTQAYLS-UHFFFAOYSA-N 0 1 291.355 0.313 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2cc(C#N)ccn2)n1 ZINC000282914991 353463688 /nfs/dbraw/zinc/46/36/88/353463688.db2.gz BUNKBQPGERRTQC-UHFFFAOYSA-N 0 1 256.269 0.352 20 30 CCEDMN C[C@@H](C(=O)Nc1ccccc1C#N)N(C)CCC(N)=O ZINC000286486751 353589701 /nfs/dbraw/zinc/58/97/01/353589701.db2.gz OSGGGWGXYMHYPT-JTQLQIEISA-N 0 1 274.324 0.692 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2ccc(F)c(C#N)c2)C1 ZINC000286231309 353571216 /nfs/dbraw/zinc/57/12/16/353571216.db2.gz ZDNSSBKGDQTPPA-ZDUSSCGKSA-N 0 1 290.342 0.673 20 30 CCEDMN CCc1nc([C@@H](C)NS(=O)(=O)c2ccc(C#N)o2)n[nH]1 ZINC000287843418 353670817 /nfs/dbraw/zinc/67/08/17/353670817.db2.gz OGXGMIYOFUIWPG-SSDOTTSWSA-N 0 1 295.324 0.871 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cc(C#N)ccn2)C1 ZINC000287594458 353656230 /nfs/dbraw/zinc/65/62/30/353656230.db2.gz MVBJXYNJJFMGGM-LBPRGKRZSA-N 0 1 274.324 0.404 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccc(C#N)c([N+](=O)[O-])c2)CC1 ZINC000290941542 353759347 /nfs/dbraw/zinc/75/93/47/353759347.db2.gz LLUUPIYVFHWMJM-NSHDSACASA-N 0 1 290.323 0.969 20 30 CCEDMN C#CCNC(=O)CCN(C)Cc1ccc([S@@](C)=O)cc1 ZINC000292888988 353785737 /nfs/dbraw/zinc/78/57/37/353785737.db2.gz UYSKHTLAPSBPDF-HXUWFJFHSA-N 0 1 292.404 0.995 20 30 CCEDMN Cc1nc(CN2CCN(c3cc(C#N)ccn3)CC2)n[nH]1 ZINC000292193272 353782175 /nfs/dbraw/zinc/78/21/75/353782175.db2.gz OCSGORHPDGEXGG-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN C=CCNC(=O)CN[C@H](C(=O)N(C)CC)C(C)C ZINC000296265747 353939342 /nfs/dbraw/zinc/93/93/42/353939342.db2.gz MCEVCJINTSXADQ-LBPRGKRZSA-N 0 1 255.362 0.381 20 30 CCEDMN O=C(N[C@@H]1CCc2[nH]cnc2C1)N1CCn2ccnc2C1 ZINC000329696460 354259166 /nfs/dbraw/zinc/25/91/66/354259166.db2.gz BUTOZERXGMBOLW-SNVBAGLBSA-N 0 1 286.339 0.893 20 30 CCEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCn2ccnc2C1 ZINC000329696460 354259172 /nfs/dbraw/zinc/25/91/72/354259172.db2.gz BUTOZERXGMBOLW-SNVBAGLBSA-N 0 1 286.339 0.893 20 30 CCEDMN C[C@@H]1[C@@H](C)N(CC(=O)N[C@](C)(C#N)C2CC2)CCN1C ZINC000331789547 354618417 /nfs/dbraw/zinc/61/84/17/354618417.db2.gz GNNBIEBOGOBDMK-LALPHHSUSA-N 0 1 278.400 0.819 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NC[C@@H]1CCCN1C ZINC000337956374 355308135 /nfs/dbraw/zinc/30/81/35/355308135.db2.gz VGVORTPBQPKCBC-QWRGUYRKSA-N 0 1 274.390 0.149 20 30 CCEDMN CCOC[C@H](O)CN1CCN(c2cccc(C#N)n2)CC1 ZINC000339214294 355501752 /nfs/dbraw/zinc/50/17/52/355501752.db2.gz DRESCJZAWXZWLS-CQSZACIVSA-N 0 1 290.367 0.473 20 30 CCEDMN COCC(C)(C)N1CCN(c2cncc(C#N)n2)CC1 ZINC000425274165 235279968 /nfs/dbraw/zinc/27/99/68/235279968.db2.gz COJINZJVJMHKBO-UHFFFAOYSA-N 0 1 275.356 0.895 20 30 CCEDMN N#Cc1cnc(N2CC[C@H](c3nc[nH]n3)C2)c([N+](=O)[O-])c1 ZINC000425291179 235282081 /nfs/dbraw/zinc/28/20/81/235282081.db2.gz YXSMNLZAJOAPOW-VIFPVBQESA-N 0 1 285.267 0.973 20 30 CCEDMN CN1CC[C@H](CNC(=O)[C@H]2CCCCS2(=O)=O)C1 ZINC000329982806 283113825 /nfs/dbraw/zinc/11/38/25/283113825.db2.gz AQMXZBUTXLUCLU-GHMZBOCLSA-N 0 1 274.386 0.862 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NCc1cn[nH]c1C ZINC000343966285 355780044 /nfs/dbraw/zinc/78/00/44/355780044.db2.gz IMKGZNYPIMQUJT-JTQLQIEISA-N 0 1 252.318 0.702 20 30 CCEDMN CC#CCCCC(=O)N[C@H](Cc1cnc[nH]1)C(=O)OC ZINC000344044229 355781669 /nfs/dbraw/zinc/78/16/69/355781669.db2.gz FSWMQHQPUURFNL-GFCCVEGCSA-N 0 1 277.324 0.804 20 30 CCEDMN N#Cc1cccc(CNC(=O)CN2CC[C@H](CO)C2)c1 ZINC000352758316 356072611 /nfs/dbraw/zinc/07/26/11/356072611.db2.gz JCPWUHMYIFYZJM-AWEZNQCLSA-N 0 1 273.336 0.489 20 30 CCEDMN C=CCOCCCN1C[C@H]2CCS(=O)(=O)[C@@H]2C1 ZINC000353131083 356161329 /nfs/dbraw/zinc/16/13/29/356161329.db2.gz YFIUMIOPIDBXEA-VXGBXAGGSA-N 0 1 259.371 0.698 20 30 CCEDMN C[C@@H]1CN(c2ccncc2C#N)C[C@H](C)N1CCO ZINC000560227752 291093655 /nfs/dbraw/zinc/09/36/55/291093655.db2.gz UVHYEYCBPSQJAH-TXEJJXNPSA-N 0 1 260.341 0.845 20 30 CCEDMN COCCN(CCC#N)C(=O)c1ccc2[nH]nnc2c1 ZINC000610492239 363567816 /nfs/dbraw/zinc/56/78/16/363567816.db2.gz YCTPSIJHQFZUQW-UHFFFAOYSA-N 0 1 273.296 0.960 20 30 CCEDMN C[C@@H](C(=O)N1CCN(C)CC1(C)C)n1cnc(C#N)n1 ZINC000360246081 356976616 /nfs/dbraw/zinc/97/66/16/356976616.db2.gz SEWLNGMJTXYDJM-JTQLQIEISA-N 0 1 276.344 0.263 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@@H](OC)C1 ZINC000361363251 357046616 /nfs/dbraw/zinc/04/66/16/357046616.db2.gz YFLCCSLLJSANDE-NXEZZACHSA-N 0 1 255.318 0.107 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CC1)[C@H](O)C[C@@H]2OCC ZINC000365523013 357157113 /nfs/dbraw/zinc/15/71/13/357157113.db2.gz CZEPMPRYWXYMBP-MJBXVCDLSA-N 0 1 294.395 0.376 20 30 CCEDMN CCC[C@@H](CCO)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610562742 363578222 /nfs/dbraw/zinc/57/82/22/363578222.db2.gz DYKDMRKNGCOVME-JTQLQIEISA-N 0 1 279.344 0.634 20 30 CCEDMN C=CCOCCNC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000368428342 357332025 /nfs/dbraw/zinc/33/20/25/357332025.db2.gz FAEKCOFRGKAXSW-ZIAGYGMSSA-N 0 1 297.399 0.430 20 30 CCEDMN CS(=O)(=O)N1CC[C@H](NCc2cc(C#N)cs2)C1 ZINC000400246652 357792843 /nfs/dbraw/zinc/79/28/43/357792843.db2.gz ZNOGZIKSOLCKOT-JTQLQIEISA-N 0 1 285.394 0.743 20 30 CCEDMN N#Cc1cc([N+](=O)[O-])cnc1N1CCN2C[C@H](O)C[C@H]2C1 ZINC000405280416 357824170 /nfs/dbraw/zinc/82/41/70/357824170.db2.gz VCOZUSHTAPMFLM-NWDGAFQWSA-N 0 1 289.295 0.117 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1C[C@@H]2CC[C@@H](O)C[C@H]2C1)C1CC1 ZINC000398829717 357778877 /nfs/dbraw/zinc/77/88/77/357778877.db2.gz LBWCXBOWFBFWJH-CAVOSLQRSA-N 0 1 291.395 0.888 20 30 CCEDMN Cc1cc(C#N)cc(N2CCN(CCO)C[C@@H]2C)n1 ZINC000450953233 236124065 /nfs/dbraw/zinc/12/40/65/236124065.db2.gz KFVPBDFLYFFGRE-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN CCC[N@H+]1CC[C@H](CNC(=O)N=c2ccc(OC)n[n-]2)C1 ZINC000329103272 283351601 /nfs/dbraw/zinc/35/16/01/283351601.db2.gz DEHMBMXBUJUSHC-LLVKDONJSA-N 0 1 293.371 0.965 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)CCCC#N)[C@@H](C)C1 ZINC000487461495 358285809 /nfs/dbraw/zinc/28/58/09/358285809.db2.gz MPXZOTLASDVDQK-NWDGAFQWSA-N 0 1 253.346 0.594 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN[C@@H](CO)c1cccc(F)c1 ZINC000572039205 358926982 /nfs/dbraw/zinc/92/69/82/358926982.db2.gz NXFGAUCXMJDXFU-GJZGRUSLSA-N 0 1 295.358 0.655 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CCCO[C@H]2CCCCO2)c1=O ZINC000573247686 358951951 /nfs/dbraw/zinc/95/19/51/358951951.db2.gz FPGKTRJVIXKTLL-NSHDSACASA-N 0 1 279.296 0.342 20 30 CCEDMN CN(C)[C@H]1C[N@H+](CCCOc2cccc(C#N)c2)C[C@@H]1O ZINC000580871577 359102128 /nfs/dbraw/zinc/10/21/28/359102128.db2.gz IZIHRJACWFNZSY-HOTGVXAUSA-N 0 1 289.379 0.934 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2cnc3n2CCCC3)[nH]1 ZINC000585798089 359277765 /nfs/dbraw/zinc/27/77/65/359277765.db2.gz OJYWJPHGWRXAMQ-UHFFFAOYSA-N 0 1 299.338 0.568 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N=c2cc3n([nH]2)CCCC3)[nH]1 ZINC000588258938 359319586 /nfs/dbraw/zinc/31/95/86/359319586.db2.gz QRGMWVKVJRQHAY-UHFFFAOYSA-N 0 1 285.311 0.312 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(CC)[C@H](C)C1 ZINC000611092071 363659355 /nfs/dbraw/zinc/65/93/55/363659355.db2.gz ICHFBEAXEQUUGK-CYBMUJFWSA-N 0 1 266.389 0.775 20 30 CCEDMN C=CCN(CCC#N)C(=O)N=c1[nH]n(C)cc1C#N ZINC000590121311 359425803 /nfs/dbraw/zinc/42/58/03/359425803.db2.gz BXZLEDPUJJDRAJ-UHFFFAOYSA-N 0 1 258.285 0.647 20 30 CCEDMN N#CC1(CC(=O)N2CC[C@@H](CN3CCOCC3)C2)CC1 ZINC000590277705 359433486 /nfs/dbraw/zinc/43/34/86/359433486.db2.gz LVTGVQGIJXYCII-ZDUSSCGKSA-N 0 1 277.368 0.861 20 30 CCEDMN CN1CC2(C1)CCN(C(=O)c1cc(C#N)ccn1)C2 ZINC000590335553 359441839 /nfs/dbraw/zinc/44/18/39/359441839.db2.gz NJGGOBLRTZQNRQ-UHFFFAOYSA-N 0 1 256.309 0.731 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)c2ccc(C#N)n2C)C1 ZINC000590633459 359502870 /nfs/dbraw/zinc/50/28/70/359502870.db2.gz SASGQARRURDHAJ-GFCCVEGCSA-N 0 1 290.367 0.737 20 30 CCEDMN Cc1ccc(CCNC(=O)N=c2[nH]n(C)cc2C#N)nc1 ZINC000590822158 359527066 /nfs/dbraw/zinc/52/70/66/359527066.db2.gz AQWZKHUDOOTKCR-UHFFFAOYSA-N 0 1 284.323 0.781 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)NC1CCN(CC#N)CC1 ZINC000591351156 359580571 /nfs/dbraw/zinc/58/05/71/359580571.db2.gz CAWXBQSKZJDFDX-AWEZNQCLSA-N 0 1 293.415 0.758 20 30 CCEDMN COCCN1CC[C@H](Nc2ncc(C#N)cc2[N+](=O)[O-])C1 ZINC000296913226 200032991 /nfs/dbraw/zinc/03/29/91/200032991.db2.gz AGYNQBTVJAIQEU-NSHDSACASA-N 0 1 291.311 0.994 20 30 CCEDMN CN1CCC[C@@H](NC(=O)C(=O)NCC(C)(C)CC#N)C1 ZINC000591558416 359600259 /nfs/dbraw/zinc/60/02/59/359600259.db2.gz CYNZRUQBUVWDLT-LLVKDONJSA-N 0 1 280.372 0.253 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2C[C@@H]3CC[C@H](O)C[C@@H]3C2)[nH]1 ZINC000591865043 359665894 /nfs/dbraw/zinc/66/58/94/359665894.db2.gz FHSNLOUOMXUTQK-UMNHJUIQSA-N 0 1 289.339 0.338 20 30 CCEDMN Cn1ccc(CN2CCN(CCC#N)CC2)cc1=O ZINC000611174905 363670320 /nfs/dbraw/zinc/67/03/20/363670320.db2.gz SRYKGSUTXYSVMM-UHFFFAOYSA-N 0 1 260.341 0.417 20 30 CCEDMN CCOC(=O)CNC(=O)C1CCN(CC#CCOC)CC1 ZINC000611194899 363679255 /nfs/dbraw/zinc/67/92/55/363679255.db2.gz OFLNFZIGDCIXRD-UHFFFAOYSA-N 0 1 296.367 0.028 20 30 CCEDMN C[C@@H]1CC[C@@H](O)CN1Cc1cnc2c(C#N)cnn2c1 ZINC000592516061 359869328 /nfs/dbraw/zinc/86/93/28/359869328.db2.gz DNUWHWWPPZHIPI-ZWNOBZJWSA-N 0 1 271.324 0.946 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@](C)(O)C2)[nH]1 ZINC000611228308 363687359 /nfs/dbraw/zinc/68/73/59/363687359.db2.gz KGCREIAORFYUAY-LBPRGKRZSA-N 0 1 263.301 0.092 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)[nH]n1C ZINC000592651714 359909440 /nfs/dbraw/zinc/90/94/40/359909440.db2.gz VCBJRISSKVGRDQ-KKZNHRDASA-N 0 1 260.297 0.528 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1CC[C@@H]2CCN(C)C[C@H]21 ZINC000297858502 200293880 /nfs/dbraw/zinc/29/38/80/200293880.db2.gz OFKZENITCRCNNE-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN Cc1nc(N2CC(N3CC[C@@H](O)C3)C2)ccc1C#N ZINC000593505501 360101194 /nfs/dbraw/zinc/10/11/94/360101194.db2.gz WTDGGARJQAGIBT-CYBMUJFWSA-N 0 1 258.325 0.517 20 30 CCEDMN Cc1ccnc(N2CCN(Cc3nnc[nH]3)CC2)c1C#N ZINC000593508322 360102309 /nfs/dbraw/zinc/10/23/09/360102309.db2.gz NNPWCMFMPBHTDI-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN N#Cc1ccc([C@@H](NCC[C@]2(O)CCOC2)C(N)=O)cc1 ZINC000593182700 360050509 /nfs/dbraw/zinc/05/05/09/360050509.db2.gz ARKIUXPJSZGLFQ-HIFRSBDPSA-N 0 1 289.335 0.216 20 30 CCEDMN CC[C@H](C#N)C(=O)NCCCCN1CCOCC1 ZINC000593407534 360080597 /nfs/dbraw/zinc/08/05/97/360080597.db2.gz IKDRWUSNNFUCBZ-GFCCVEGCSA-N 0 1 253.346 0.765 20 30 CCEDMN C[C@H](O)CN[C@H]1CC(=O)N(c2ccc(C#N)cc2)C1=O ZINC000593921163 360215995 /nfs/dbraw/zinc/21/59/95/360215995.db2.gz PZINIUBBUYAAAK-CABZTGNLSA-N 0 1 273.292 0.161 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C[C@@H](C)O)C1CC1 ZINC000594056784 360243088 /nfs/dbraw/zinc/24/30/88/360243088.db2.gz XZXMJNUXXBUCDX-RISCZKNCSA-N 0 1 267.373 0.886 20 30 CCEDMN N#CCCCC[C@@H](N)C(=O)N1CC(=O)Nc2cnccc21 ZINC000594438640 360306632 /nfs/dbraw/zinc/30/66/32/360306632.db2.gz PDTLZCPIOQNKJE-SNVBAGLBSA-N 0 1 287.323 0.778 20 30 CCEDMN C[C@@H](NCC(=O)N1CCNC(=O)C1)c1cccc(C#N)c1 ZINC000611388738 363712724 /nfs/dbraw/zinc/71/27/24/363712724.db2.gz SEYQSZYMADSFFR-LLVKDONJSA-N 0 1 286.335 0.167 20 30 CCEDMN Cc1ccc(C#N)nc1N1CCN(CCCO)CC1 ZINC000611399566 363713605 /nfs/dbraw/zinc/71/36/05/363713605.db2.gz ZJXTVIOIIGXEJG-UHFFFAOYSA-N 0 1 260.341 0.766 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000594386048 360288493 /nfs/dbraw/zinc/28/84/93/360288493.db2.gz JWMYGAXPJWGDAF-WDEREUQCSA-N 0 1 275.308 0.351 20 30 CCEDMN CC(C)CN1CCO[C@@H](Cn2cc(CNCC#N)nn2)C1 ZINC000594648089 360346323 /nfs/dbraw/zinc/34/63/23/360346323.db2.gz RPNGAUQAESAVMR-CQSZACIVSA-N 0 1 292.387 0.248 20 30 CCEDMN C[C@@H](CCCC[NH3+])[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000595112637 360438340 /nfs/dbraw/zinc/43/83/40/360438340.db2.gz WWABHXDJUVAPAJ-VIFPVBQESA-N 0 1 271.342 0.947 20 30 CCEDMN CC(C)(C)OC(=O)C[C@](C)(C#N)C(=O)NCc1c[nH]nn1 ZINC000611558186 363737613 /nfs/dbraw/zinc/73/76/13/363737613.db2.gz XRFTUNVQAQXQLL-CYBMUJFWSA-N 0 1 293.327 0.683 20 30 CCEDMN N#CCCn1ccc(=NC(=O)N2CC[C@@H](F)[C@H](N)C2)[nH]1 ZINC000595230140 360452632 /nfs/dbraw/zinc/45/26/32/360452632.db2.gz SVFYCRUQVRFRAI-NXEZZACHSA-N 0 1 280.307 0.122 20 30 CCEDMN C=CCN([C@H](C)C(=O)NC(=O)NCC)[C@@H](C)COC ZINC000595646422 360604998 /nfs/dbraw/zinc/60/49/98/360604998.db2.gz JBEYVTAMZAYXLB-WDEREUQCSA-N 0 1 271.361 0.744 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1C[C@@H](C)C(O)[C@H](C)C1 ZINC000595749866 360638888 /nfs/dbraw/zinc/63/88/88/360638888.db2.gz MVBKYSARQZWSAE-LALPHHSUSA-N 0 1 281.400 0.990 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](C)[C@@](C)(CO)C1 ZINC000595872584 360687006 /nfs/dbraw/zinc/68/70/06/360687006.db2.gz JVILMLWKBXRQSO-TZMCWYRMSA-N 0 1 267.373 0.699 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1C[C@@H](C)[C@@](C)(CO)C1 ZINC000595876313 360688486 /nfs/dbraw/zinc/68/84/86/360688486.db2.gz RPMSTCXOYCEOFV-YUELXQCFSA-N 0 1 281.400 0.991 20 30 CCEDMN CN(C)C(=O)N(C)[C@@H]1CCN(CCOCCC#N)C1 ZINC000595822996 360667660 /nfs/dbraw/zinc/66/76/60/360667660.db2.gz BPHCXPLWFBIFHT-GFCCVEGCSA-N 0 1 268.361 0.604 20 30 CCEDMN Cc1nc(CN2CCN(c3c(C#N)cnn3C)CC2)n[nH]1 ZINC000596184930 360825838 /nfs/dbraw/zinc/82/58/38/360825838.db2.gz KTIRCHPFGHVBDW-UHFFFAOYSA-N 0 1 286.343 0.041 20 30 CCEDMN CC1(C)CN(c2cc(C#N)cnn2)CCN1CCO ZINC000596224099 360842023 /nfs/dbraw/zinc/84/20/23/360842023.db2.gz XHZPPXDAIVOHAK-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN Cc1nc([C@H]2CCN(c3ccc(C#N)nn3)C2)n[nH]1 ZINC000596274237 360862687 /nfs/dbraw/zinc/86/26/87/360862687.db2.gz PEYTUNVVAPEBLH-VIFPVBQESA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@H]2CCN(c3ccc(C#N)nn3)C2)[nH]1 ZINC000596274237 360862694 /nfs/dbraw/zinc/86/26/94/360862694.db2.gz PEYTUNVVAPEBLH-VIFPVBQESA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1cc(=NC(=O)N(C)Cc2cnc[nH]2)[nH]n1CCC#N ZINC000596812503 360960194 /nfs/dbraw/zinc/96/01/94/360960194.db2.gz CQECEBPGUYVEQO-UHFFFAOYSA-N 0 1 287.327 0.914 20 30 CCEDMN CC[C@H](C#N)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597044243 360999830 /nfs/dbraw/zinc/99/98/30/360999830.db2.gz QOORWEBHPCPVQQ-SSDOTTSWSA-N 0 1 283.309 0.072 20 30 CCEDMN C[C@H](CC#N)N(C)C(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597143198 361014774 /nfs/dbraw/zinc/01/47/74/361014774.db2.gz FKCFQEMKIMODLO-MRVPVSSYSA-N 0 1 297.336 0.414 20 30 CCEDMN C[C@@H](CC#N)C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000597256572 361042824 /nfs/dbraw/zinc/04/28/24/361042824.db2.gz NOVXCSLUNAQEGL-UONOGXRCSA-N 0 1 294.399 0.059 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000597335359 361064025 /nfs/dbraw/zinc/06/40/25/361064025.db2.gz BNGKGCCCTWSGQM-LBPRGKRZSA-N 0 1 265.357 0.859 20 30 CCEDMN N#C[C@@H]1CSCCN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000597476453 361107361 /nfs/dbraw/zinc/10/73/61/361107361.db2.gz LKMYFMLFQHWPGL-VHSXEESVSA-N 0 1 276.365 0.982 20 30 CCEDMN CC(C)(C#N)CNC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597489039 361112748 /nfs/dbraw/zinc/11/27/48/361112748.db2.gz CJPKJXJQERIAMB-UHFFFAOYSA-N 0 1 297.336 0.319 20 30 CCEDMN C[C@H](CC#N)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000597627706 361166847 /nfs/dbraw/zinc/16/68/47/361166847.db2.gz WDYLZFXUZVYVFC-NEPJUHHUSA-N 0 1 250.346 0.042 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000611632353 363752723 /nfs/dbraw/zinc/75/27/23/363752723.db2.gz BHTPYCAAWQKNAI-JHJVBQTASA-N 0 1 280.372 0.387 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCN(c2ncccn2)CC1 ZINC000598584601 361448249 /nfs/dbraw/zinc/44/82/49/361448249.db2.gz PEZYCWOASDJSGM-CYBMUJFWSA-N 0 1 289.383 0.899 20 30 CCEDMN COCCCNC(=O)CN(C)C[C@H](O)CC(C)(C)C#N ZINC000598590733 361451185 /nfs/dbraw/zinc/45/11/85/361451185.db2.gz WFGULZUGRUSDIJ-GFCCVEGCSA-N 0 1 285.388 0.372 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCCC[C@H]1C(N)=O ZINC000598590973 361451755 /nfs/dbraw/zinc/45/17/55/361451755.db2.gz XJMHSSRKUMJMIM-QWRGUYRKSA-N 0 1 253.346 0.627 20 30 CCEDMN CN(C[C@@H](O)CC(C)(C)C#N)[C@H]1CCN(C)C1=O ZINC000598592745 361453259 /nfs/dbraw/zinc/45/32/59/361453259.db2.gz MJCFBDOHJAXGLW-QWRGUYRKSA-N 0 1 253.346 0.450 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCN2C(=O)CC[C@H]2C1 ZINC000598601751 361456026 /nfs/dbraw/zinc/45/60/26/361456026.db2.gz VTDHJYSNIYIKTO-RYUDHWBXSA-N 0 1 265.357 0.594 20 30 CCEDMN C[C@H]1CC(=O)NCCN1C[C@H](O)CC(C)(C)C#N ZINC000598600663 361456954 /nfs/dbraw/zinc/45/69/54/361456954.db2.gz WJQZWAVXUCIXER-WDEREUQCSA-N 0 1 253.346 0.498 20 30 CCEDMN C[C@@H]1CCN(C[C@@H](O)CC(C)(C)C#N)[C@@H](C(N)=O)C1 ZINC000598608884 361457986 /nfs/dbraw/zinc/45/79/86/361457986.db2.gz YQEHWGIHGPCKIX-GRYCIOLGSA-N 0 1 267.373 0.873 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)N(CC#N)C2CC2)cn1 ZINC000599155098 361590514 /nfs/dbraw/zinc/59/05/14/361590514.db2.gz SWMLKTROFHGYLE-UHFFFAOYSA-N 0 1 294.336 0.581 20 30 CCEDMN CC[C@@H](C#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599238751 361618528 /nfs/dbraw/zinc/61/85/28/361618528.db2.gz QNXNWMZKZKVDHH-VIFPVBQESA-N 0 1 282.325 0.485 20 30 CCEDMN C[C@@H]1OCC[C@]1(C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000612313487 363883348 /nfs/dbraw/zinc/88/33/48/363883348.db2.gz KFSAADBCZPMZKD-UFBFGSQYSA-N 0 1 263.301 0.403 20 30 CCEDMN CN1CCN(C(C)(C)CNC(=O)NCCCC#N)CC1 ZINC000612359566 363893371 /nfs/dbraw/zinc/89/33/71/363893371.db2.gz PHFXUYAGSMDENF-UHFFFAOYSA-N 0 1 281.404 0.615 20 30 CCEDMN C=C(C)CN(C)C(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000613305318 364207731 /nfs/dbraw/zinc/20/77/31/364207731.db2.gz RDLISQSPAVQQEJ-UHFFFAOYSA-N 0 1 284.337 0.688 20 30 CCEDMN Cc1cc(CNS(=O)(=O)CC2(C#N)CC2)n[nH]1 ZINC000613267381 364191494 /nfs/dbraw/zinc/19/14/94/364191494.db2.gz OUPBPCKOEXDKQG-UHFFFAOYSA-N 0 1 254.315 0.441 20 30 CCEDMN C=CCCCCCN(C)S(=O)(=O)C[C@@H]1CNCCO1 ZINC000631921568 370759874 /nfs/dbraw/zinc/75/98/74/370759874.db2.gz HDXFRNLMXSWJLG-ZDUSSCGKSA-N 0 1 290.429 0.983 20 30 CCEDMN COCCN(CCC#N)C(=O)CCCCc1cn[nH]n1 ZINC000613563090 364297188 /nfs/dbraw/zinc/29/71/88/364297188.db2.gz WFSXIJPKXKZFQK-UHFFFAOYSA-N 0 1 279.344 0.906 20 30 CCEDMN CN1CCC[C@H](NC(=O)N2CC[C@@](F)(C#N)C2)C1 ZINC000615543073 364998792 /nfs/dbraw/zinc/99/87/92/364998792.db2.gz OYGZRMTZKZLEMN-CMPLNLGQSA-N 0 1 254.309 0.728 20 30 CCEDMN CC(C)OCC[C@@H](O)CN1CCN(CCC#N)CC1 ZINC000615973340 365146781 /nfs/dbraw/zinc/14/67/81/365146781.db2.gz BLZYJTFPLLYIKS-CQSZACIVSA-N 0 1 269.389 0.694 20 30 CCEDMN Cc1nn(C)c(N2CCN(C[C@@H](C)CO)CC2)c1C#N ZINC000615972824 365146804 /nfs/dbraw/zinc/14/68/04/365146804.db2.gz SDXSXZRYPYEPTO-LLVKDONJSA-N 0 1 277.372 0.351 20 30 CCEDMN CC(C)[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)C(C)(C)O ZINC000616791717 365453837 /nfs/dbraw/zinc/45/38/37/365453837.db2.gz YIWPRPJSACNVLB-JTQLQIEISA-N 0 1 279.344 0.631 20 30 CCEDMN C=CCn1c(-c2cn[nH]c2)nnc1N1CCN(CC)CC1 ZINC000624850257 368007175 /nfs/dbraw/zinc/00/71/75/368007175.db2.gz VYZPHIORPPAMBN-UHFFFAOYSA-N 0 1 287.371 0.996 20 30 CCEDMN C=CCN(CC(F)(F)F)C(=O)CCc1nn[nH]n1 ZINC000630851688 370291691 /nfs/dbraw/zinc/29/16/91/370291691.db2.gz IFFRNQDHSKVHTK-UHFFFAOYSA-N 0 1 263.223 0.709 20 30 CCEDMN C=CCN(C(=O)CCc1c[nH]nn1)[C@H](C)COC ZINC000633611826 371628492 /nfs/dbraw/zinc/62/84/92/371628492.db2.gz HHWGKKLCDQJLLS-SNVBAGLBSA-N 0 1 252.318 0.787 20 30 CCEDMN C=CCN(C(=O)CCc1cnn[nH]1)[C@H](C)COC ZINC000633611826 371628498 /nfs/dbraw/zinc/62/84/98/371628498.db2.gz HHWGKKLCDQJLLS-SNVBAGLBSA-N 0 1 252.318 0.787 20 30 CCEDMN C=CC(C)(C)CCNC(=O)NCCN1CC[C@@H](O)C1 ZINC000637323772 374106304 /nfs/dbraw/zinc/10/63/04/374106304.db2.gz LBTKPJNECIDIJM-GFCCVEGCSA-N 0 1 269.389 0.955 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H](O)C[C@@](C)(O)C2CC2)C1=O ZINC000639624196 375867850 /nfs/dbraw/zinc/86/78/50/375867850.db2.gz YFNKYUFYQSSSAR-IJEWVQPXSA-N 0 1 296.411 0.617 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](OC)C[C@@]1(C)CO ZINC000639998409 376175220 /nfs/dbraw/zinc/17/52/20/376175220.db2.gz SNWMZSQYTLEVMJ-ZFWWWQNUSA-N 0 1 282.384 0.659 20 30 CCEDMN C=C(C)[C@H](CO)n1cc([C@@H](N)[C@@H]2CCCO2)nn1 ZINC000641084378 376756702 /nfs/dbraw/zinc/75/67/02/376756702.db2.gz JTBXWADCFIJWAO-SDDRHHMPSA-N 0 1 252.318 0.566 20 30 CCEDMN C=CCNC(=O)N[C@@H]1CCCN(CCN2CCOCC2)C1 ZINC000641735244 377431816 /nfs/dbraw/zinc/43/18/16/377431816.db2.gz JEUWDUSAOLGDLF-CQSZACIVSA-N 0 1 296.415 0.268 20 30 CCEDMN CC#CC[C@H](CO)NCc1ccc(S(N)(=O)=O)s1 ZINC000641766887 377451542 /nfs/dbraw/zinc/45/15/42/377451542.db2.gz DCFAXHGTLLAVBI-SECBINFHSA-N 0 1 288.394 0.259 20 30 CCEDMN N#Cc1ccccc1OCCN1CCC[C@](O)(CO)C1 ZINC000313723281 249001891 /nfs/dbraw/zinc/00/18/91/249001891.db2.gz LCKFAMQLIHINKO-OAHLLOKOSA-N 0 1 276.336 0.756 20 30 CCEDMN N#Cc1ccc(CN2CC[C@@](O)(C(N)=O)C2)s1 ZINC000517956510 249236945 /nfs/dbraw/zinc/23/69/45/249236945.db2.gz CEHNNTROLPEXIN-NSHDSACASA-N 0 1 251.311 0.042 20 30 CCEDMN C[C@@H]1CN(C)CCN1C[C@H](O)COc1ccccc1C#N ZINC000300548674 285166571 /nfs/dbraw/zinc/16/65/71/285166571.db2.gz NQLZZDGDOQUHQF-HIFRSBDPSA-N 0 1 289.379 0.934 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N1CC[C@H](N2CC=CC2)C1 ZINC000332402199 260005119 /nfs/dbraw/zinc/00/51/19/260005119.db2.gz KQJPEKKYJRJDCE-STQMWFEESA-N 0 1 298.412 0.411 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(CC(C)(C)O)CC1 ZINC000171148436 335056521 /nfs/dbraw/zinc/05/65/21/335056521.db2.gz NFAPRLNZERKSRO-UHFFFAOYSA-N 0 1 296.415 0.137 20 30 CCEDMN O=C(NCC#CCO)[C@H]1CCC[N@H+]1Cc1ccccc1 ZINC000283018641 388760828 /nfs/dbraw/zinc/76/08/28/388760828.db2.gz XFBSWKDQIVGDQN-OAHLLOKOSA-N 0 1 272.348 0.763 20 30 CCEDMN O=C(NCC#CCO)[C@H]1CCCN1Cc1ccccc1 ZINC000283018641 388760832 /nfs/dbraw/zinc/76/08/32/388760832.db2.gz XFBSWKDQIVGDQN-OAHLLOKOSA-N 0 1 272.348 0.763 20 30 CCEDMN N#CC1(CS(=O)(=O)N2CCN3CCC[C@H]3C2)CC1 ZINC000183889745 388765089 /nfs/dbraw/zinc/76/50/89/388765089.db2.gz SXYSLFIGQDBSFR-NSHDSACASA-N 0 1 269.370 0.400 20 30 CCEDMN C=CCCCNC(=O)C(=O)NCCCCN1CCOCC1 ZINC000284800719 388782649 /nfs/dbraw/zinc/78/26/49/388782649.db2.gz WVUZPPXARDPCHW-UHFFFAOYSA-N 0 1 297.399 0.297 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)Sc1n[nH]c(CC)n1 ZINC000043685768 388869580 /nfs/dbraw/zinc/86/95/80/388869580.db2.gz GEJCGPQMWSZOKS-SSDOTTSWSA-N 0 1 283.357 0.860 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)Sc1nc(CC)n[nH]1 ZINC000043685768 388869583 /nfs/dbraw/zinc/86/95/83/388869583.db2.gz GEJCGPQMWSZOKS-SSDOTTSWSA-N 0 1 283.357 0.860 20 30 CCEDMN C=C[C@@H](CO)N[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000289612376 388876475 /nfs/dbraw/zinc/87/64/75/388876475.db2.gz DFGDXHXTKSXFDW-KOLCDFICSA-N 0 1 270.354 0.532 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCO[C@@H](c2n[nH]c(CC)n2)C1 ZINC000290875535 388914200 /nfs/dbraw/zinc/91/42/00/388914200.db2.gz PRVOFTXBLZVRMG-YGRLFVJLSA-N 0 1 294.355 0.594 20 30 CCEDMN O=C(Nc1nc(-c2ccccn2)n[nH]1)[C@@H]1COCCO1 ZINC000049424487 388919161 /nfs/dbraw/zinc/91/91/61/388919161.db2.gz MISJWHIUBCZVAK-VIFPVBQESA-N 0 1 275.268 0.870 20 30 CCEDMN CC(C)(O)CN1CCN(c2ccc(C#N)cn2)CC1 ZINC000070938047 388956704 /nfs/dbraw/zinc/95/67/04/388956704.db2.gz CIUHLQPAYQVIDY-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN CCN(C)CCNS(=O)(=O)N(CC)C[C@@H](C)C#N ZINC000336911340 389031054 /nfs/dbraw/zinc/03/10/54/389031054.db2.gz IKPIPSDYFWYLJU-NSHDSACASA-N 0 1 276.406 0.254 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000336915030 389032013 /nfs/dbraw/zinc/03/20/13/389032013.db2.gz LNVNPPVANPNVIE-GHMZBOCLSA-N 0 1 286.401 0.149 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC([C@H](C)O)CC2)C1=O ZINC000337131941 389047164 /nfs/dbraw/zinc/04/71/64/389047164.db2.gz AGIIHUMYRPWUFG-WCQYABFASA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCCN1CCN([C@H](C)C(=O)NCCCOC)CC1 ZINC000337244676 389058418 /nfs/dbraw/zinc/05/84/18/389058418.db2.gz IGQXXLNPEVLLAT-CQSZACIVSA-N 0 1 283.416 0.721 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NCCN1CCCC1 ZINC000337878280 389070409 /nfs/dbraw/zinc/07/04/09/389070409.db2.gz WESVLAOCPAJHAW-LLVKDONJSA-N 0 1 274.390 0.151 20 30 CCEDMN CC1(C)CN(c2cccc(C#N)n2)CCN1CCO ZINC000302526140 389073090 /nfs/dbraw/zinc/07/30/90/389073090.db2.gz KBVBURVLCWREBZ-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H]([C@H](C)O)C1 ZINC000305868637 389089945 /nfs/dbraw/zinc/08/99/45/389089945.db2.gz NQOBUOVSTOGZBD-RYUDHWBXSA-N 0 1 253.346 0.451 20 30 CCEDMN CCn1nncc1C(O)=Nc1cc(C)cn(C)c1=O ZINC000328911615 389145025 /nfs/dbraw/zinc/14/50/25/389145025.db2.gz GNDOBYBARWXLGG-UHFFFAOYSA-N 0 1 261.285 0.941 20 30 CCEDMN C=CC1CCN(CC(=O)N[C@H](C)C(=O)N(C)C)CC1 ZINC000111257495 389104171 /nfs/dbraw/zinc/10/41/71/389104171.db2.gz DNQLMRZIRLCDIM-LLVKDONJSA-N 0 1 267.373 0.477 20 30 CCEDMN Cc1nc2n(n1)C[C@@H]([NH+]=C([O-])NCc1ccn(C)n1)CC2 ZINC000328985454 389148477 /nfs/dbraw/zinc/14/84/77/389148477.db2.gz TWXDQGGVSVKKOV-NSHDSACASA-N 0 1 289.343 0.339 20 30 CCEDMN N#CCCOCCN1CCC[C@H]1C(=O)N1CCSCC1 ZINC000338957804 389119503 /nfs/dbraw/zinc/11/95/03/389119503.db2.gz LORPCXLEVXHJKH-ZDUSSCGKSA-N 0 1 297.424 0.956 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](C(=O)OC)C1 ZINC000339228739 389129202 /nfs/dbraw/zinc/12/92/02/389129202.db2.gz XUJRGYLDEVZXGQ-LLVKDONJSA-N 0 1 267.329 0.243 20 30 CCEDMN C[C@@H]1CN(C2CC2)C[C@@H]1NC(=O)NCC1([S@@](C)=O)CC1 ZINC000328614164 389129430 /nfs/dbraw/zinc/12/94/30/389129430.db2.gz QXCJCKUCYKYUKH-HTBQSJRVSA-N 0 1 299.440 0.884 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](C)N(C)[C@H](C)C2)C1=O ZINC000339626447 389136852 /nfs/dbraw/zinc/13/68/52/389136852.db2.gz BMSARFHBCJEIQW-UPJWGTAASA-N 0 1 251.374 0.798 20 30 CCEDMN CC#CCN(C)C[C@H](O)C[N@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000339764542 389138371 /nfs/dbraw/zinc/13/83/71/389138371.db2.gz SDYOCCOWSUGEGX-MJBXVCDLSA-N 0 1 254.374 0.412 20 30 CCEDMN Cc1nnc2n1C[C@H](CNC(=O)N[C@]1(C)CCOC1)CC2 ZINC000328877235 389142192 /nfs/dbraw/zinc/14/21/92/389142192.db2.gz TWQRTIPZILTFHD-SMDDNHRTSA-N 0 1 293.371 0.832 20 30 CCEDMN Cc1nnc2n1C[C@H](C[NH+]=C([O-])N[C@]1(C)CCOC1)CC2 ZINC000328877235 389142193 /nfs/dbraw/zinc/14/21/93/389142193.db2.gz TWQRTIPZILTFHD-SMDDNHRTSA-N 0 1 293.371 0.832 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCn2c(n[nH]c2=O)C1)C1CC1 ZINC000329654705 389182987 /nfs/dbraw/zinc/18/29/87/389182987.db2.gz LVACLDQVBPKSHW-ZDUSSCGKSA-N 0 1 290.327 0.448 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2=CCCOC2)[C@H](C)C1 ZINC000329712444 389191261 /nfs/dbraw/zinc/19/12/61/389191261.db2.gz QCJIGKROQZSRSY-OLZOCXBDSA-N 0 1 297.399 0.634 20 30 CCEDMN N#CCCCC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000360168111 389193277 /nfs/dbraw/zinc/19/32/77/389193277.db2.gz BHRJKNAIGMZCCA-NSHDSACASA-N 0 1 266.345 0.136 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000360505328 389198908 /nfs/dbraw/zinc/19/89/08/389198908.db2.gz UILPLTARZNSRJZ-GHMZBOCLSA-N 0 1 278.356 0.751 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)CN(C)[C@@H]1CCSC1 ZINC000342205911 389200293 /nfs/dbraw/zinc/20/02/93/389200293.db2.gz IZCMRXLWRVWQNM-VXGBXAGGSA-N 0 1 272.414 0.725 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+][C@@H]1CCO[C@@H](C)C1)CC2 ZINC000329100589 389150558 /nfs/dbraw/zinc/15/05/58/389150558.db2.gz MPRPWGKNTYPXRY-MVWJERBFSA-N 0 1 293.371 0.972 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N[C@@H]1CCO[C@@H](C)C1)CC2 ZINC000329100589 389150560 /nfs/dbraw/zinc/15/05/60/389150560.db2.gz MPRPWGKNTYPXRY-MVWJERBFSA-N 0 1 293.371 0.972 20 30 CCEDMN Cc1c(CNC(=O)N[C@@H](C)c2nnc[nH]2)cnn1C ZINC000329273190 389153482 /nfs/dbraw/zinc/15/34/82/389153482.db2.gz IWEPVKKKUBBJBI-ZETCQYMHSA-N 0 1 263.305 0.611 20 30 CCEDMN [O-]C(N[C@@H]1CCc2ncnn2C1)=[NH+]CC1=CCCOC1 ZINC000329292314 389154717 /nfs/dbraw/zinc/15/47/17/389154717.db2.gz RDDNAORMUCZPLJ-LLVKDONJSA-N 0 1 277.328 0.443 20 30 CCEDMN O=C(NCC1=CCCOC1)N[C@@H]1CCc2ncnn2C1 ZINC000329292314 389154720 /nfs/dbraw/zinc/15/47/20/389154720.db2.gz RDDNAORMUCZPLJ-LLVKDONJSA-N 0 1 277.328 0.443 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2ccc(F)cc2O)CCO1 ZINC000123714446 389157137 /nfs/dbraw/zinc/15/71/37/389157137.db2.gz QZIQMMPVJLXVEC-VIFPVBQESA-N 0 1 250.229 0.896 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)NCC1(O)CCOCC1 ZINC000329335271 389157430 /nfs/dbraw/zinc/15/74/30/389157430.db2.gz OJHWOENVODEGQR-LBPRGKRZSA-N 0 1 286.372 0.453 20 30 CCEDMN COCC[N@H+]1CC[C@@H](NC(=O)[C@H]2CCC(=O)N2)C1 ZINC000329338756 389158517 /nfs/dbraw/zinc/15/85/17/389158517.db2.gz YTQUDGDLKXVOEC-NXEZZACHSA-N 0 1 255.318 0.783 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)[C@H]2CCC(=O)N2)C1 ZINC000329338756 389158521 /nfs/dbraw/zinc/15/85/21/389158521.db2.gz YTQUDGDLKXVOEC-NXEZZACHSA-N 0 1 255.318 0.783 20 30 CCEDMN O=C(NCC[C@H]1CCCCO1)N1CCn2cnnc2C1 ZINC000329362491 389159749 /nfs/dbraw/zinc/15/97/49/389159749.db2.gz ZAVRWFQPXQWFRI-LLVKDONJSA-N 0 1 279.344 0.967 20 30 CCEDMN C[N@@H+](CC(=O)N(CCC#N)CCC#N)CC1(O)CCCC1 ZINC000124271347 389160973 /nfs/dbraw/zinc/16/09/73/389160973.db2.gz CUNBUAMXRIDVFF-UHFFFAOYSA-N 0 1 292.383 0.879 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)CC1(O)CCCC1 ZINC000124271347 389160975 /nfs/dbraw/zinc/16/09/75/389160975.db2.gz CUNBUAMXRIDVFF-UHFFFAOYSA-N 0 1 292.383 0.879 20 30 CCEDMN CCc1cc(C(=O)NC[C@H]2CN(C)CCN2C)cc(=O)[nH]1 ZINC000329485852 389166679 /nfs/dbraw/zinc/16/66/79/389166679.db2.gz STHAUZWTNLVDRN-ZDUSSCGKSA-N 0 1 292.383 0.900 20 30 CCEDMN CCO[C@@H]1C[C@H](NC(=O)c2cnn[nH]2)[C@]12CCCO2 ZINC000329521060 389168058 /nfs/dbraw/zinc/16/80/58/389168058.db2.gz OSRYPMIZVVDOKU-HOSYDEDBSA-N 0 1 266.301 0.836 20 30 CCEDMN C#CCCN1CCN(Cc2cccc(C(N)=O)c2)CC1 ZINC000127329816 389173811 /nfs/dbraw/zinc/17/38/11/389173811.db2.gz UCCYNXOGAQLTSO-UHFFFAOYSA-N 0 1 271.364 0.926 20 30 CCEDMN C[C@H](NS(=O)(=O)Cc1cccc(C#N)c1)c1nnc[nH]1 ZINC000128962444 389179173 /nfs/dbraw/zinc/17/91/73/389179173.db2.gz LTUBENRQKBRQAB-VIFPVBQESA-N 0 1 291.336 0.857 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(CCc2cnn(C)c2)C1 ZINC000330575669 389242366 /nfs/dbraw/zinc/24/23/66/389242366.db2.gz HRJPQEOOWNBTRJ-LLVKDONJSA-N 0 1 251.334 0.170 20 30 CCEDMN CC(C)CNC(=O)NC(=O)CN1CCC[C@H](C)[C@@H]1CO ZINC000330591872 389244958 /nfs/dbraw/zinc/24/49/58/389244958.db2.gz VUVDBFVBFTXSKZ-RYUDHWBXSA-N 0 1 285.388 0.765 20 30 CCEDMN C[C@@H]1CCN(C([O-])=[NH+]CCCn2ccnc2)C[C@H]1O ZINC000330645197 389248904 /nfs/dbraw/zinc/24/89/04/389248904.db2.gz YPYGKNMWCFBMJN-VXGBXAGGSA-N 0 1 266.345 0.890 20 30 CCEDMN C=CCCN(C)CC(=O)NCCCN1CCCC1=O ZINC000342729656 389250749 /nfs/dbraw/zinc/25/07/49/389250749.db2.gz XQCXIAINKCXLIS-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN [O-]C(N[C@@H]1CCc2c[nH]nc2C1)=[NH+]C[C@@H]1COCCO1 ZINC000329869642 389207714 /nfs/dbraw/zinc/20/77/14/389207714.db2.gz ZCSKLFSJJFYDCJ-GHMZBOCLSA-N 0 1 280.328 0.186 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)N[C@@H]1CCc2c[nH]nc2C1 ZINC000329869642 389207715 /nfs/dbraw/zinc/20/77/15/389207715.db2.gz ZCSKLFSJJFYDCJ-GHMZBOCLSA-N 0 1 280.328 0.186 20 30 CCEDMN CNC(=O)[C@H](CCSC)NCc1cc(C#N)n(C)c1 ZINC000342308966 389209734 /nfs/dbraw/zinc/20/97/34/389209734.db2.gz HBGHJFUZJRLHTM-LBPRGKRZSA-N 0 1 280.397 0.854 20 30 CCEDMN CC(C)(C#N)CCCN1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000330365772 389221814 /nfs/dbraw/zinc/22/18/14/389221814.db2.gz RWAPPJHEOQTVHN-JTQLQIEISA-N 0 1 264.329 0.552 20 30 CCEDMN CC#CCCNC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000361644765 389221943 /nfs/dbraw/zinc/22/19/43/389221943.db2.gz BDSYTQRUXCSRPB-CQSZACIVSA-N 0 1 279.384 0.764 20 30 CCEDMN COC(=O)[C@]1(C)C[N@H+]([C@H](C)C(=O)N(C)CCC#N)C[C@H]1C ZINC000330388640 389223430 /nfs/dbraw/zinc/22/34/30/389223430.db2.gz XDEBCAWLOCBKCA-LALPHHSUSA-N 0 1 295.383 0.878 20 30 CCEDMN C[C@H](CCN(C)C)NC(=O)N1CC[C@H](S(C)(=O)=O)C1 ZINC000330392536 389224417 /nfs/dbraw/zinc/22/44/17/389224417.db2.gz PVKMDZGTNYAMGY-MNOVXSKESA-N 0 1 291.417 0.360 20 30 CCEDMN CCN1CCC[C@H]1CNS(=O)(=O)N(C)[C@@H](C)CC#N ZINC000330402549 389224937 /nfs/dbraw/zinc/22/49/37/389224937.db2.gz BKIFSTHVKWSKQI-RYUDHWBXSA-N 0 1 288.417 0.539 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@H]1CO ZINC000246316136 389227548 /nfs/dbraw/zinc/22/75/48/389227548.db2.gz GVEIKLJEWMDNCC-NEPJUHHUSA-N 0 1 253.346 0.451 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@](C)(C(=O)OC)C1 ZINC000246454404 389228267 /nfs/dbraw/zinc/22/82/67/389228267.db2.gz LSYMDXKLYPBZKO-DOMZBBRYSA-N 0 1 295.383 0.880 20 30 CCEDMN C[C@H](O)CN1CCN([C@@H](C)C(=O)N(C)CCC#N)C[C@H]1C ZINC000247065307 389236086 /nfs/dbraw/zinc/23/60/86/389236086.db2.gz GDWUNAXWFDWKFF-RDBSUJKOSA-N 0 1 296.415 0.134 20 30 CCEDMN CS[C@H]1CN(S(=O)(=O)CCCC#N)C[C@@H]1N(C)C ZINC000330535360 389239003 /nfs/dbraw/zinc/23/90/03/389239003.db2.gz OOLJGXAWHGBIIV-QWRGUYRKSA-N 0 1 291.442 0.597 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(CC2CC2)CC1 ZINC000346258029 389325338 /nfs/dbraw/zinc/32/53/38/389325338.db2.gz UTSVYWDZWJYNKZ-AWEZNQCLSA-N 0 1 252.358 0.868 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC(n2cncn2)CC1 ZINC000156597004 389326921 /nfs/dbraw/zinc/32/69/21/389326921.db2.gz IYWPCWDFPYTJQY-LBPRGKRZSA-N 0 1 290.371 0.676 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCC[C@@H](CC(N)=O)C1 ZINC000346417852 389328715 /nfs/dbraw/zinc/32/87/15/389328715.db2.gz VYOAREOJQJVRFV-RYUDHWBXSA-N 0 1 292.383 0.525 20 30 CCEDMN C[C@H](O)[C@@H]1CCN([C@@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346517056 389330781 /nfs/dbraw/zinc/33/07/81/389330781.db2.gz DFURODAMVFBWGD-SDDRHHMPSA-N 0 1 265.357 0.640 20 30 CCEDMN N#Cc1ccc(NCCCN2CCC[C@H]2C(N)=O)nc1 ZINC000264549966 389335947 /nfs/dbraw/zinc/33/59/47/389335947.db2.gz CNEWKYSHOYFREN-LBPRGKRZSA-N 0 1 273.340 0.705 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@@H](C)O)[C@@H](C)C1 ZINC000330993403 389276189 /nfs/dbraw/zinc/27/61/89/389276189.db2.gz ZBWREAVRHNPRGH-SCRDCRAPSA-N 0 1 270.373 0.227 20 30 CCEDMN C[S@](=O)CCC[NH+]=C([O-])NC[C@H]1CCc2nccn2C1 ZINC000330998530 389278204 /nfs/dbraw/zinc/27/82/04/389278204.db2.gz VKHLOWUMGAWMLO-GIPWTMENSA-N 0 1 298.412 0.718 20 30 CCEDMN C[S@](=O)CCCNC([O-])=[NH+]C[C@H]1CCc2nccn2C1 ZINC000330998530 389278205 /nfs/dbraw/zinc/27/82/05/389278205.db2.gz VKHLOWUMGAWMLO-GIPWTMENSA-N 0 1 298.412 0.718 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(n2ccnc2)CC1 ZINC000264646356 389341226 /nfs/dbraw/zinc/34/12/26/389341226.db2.gz WPIXBDWUGVDUPS-LBPRGKRZSA-N 0 1 260.341 0.658 20 30 CCEDMN CCCNC(=O)NC(=O)CN1CCC[C@](C)(OC)C1 ZINC000331016483 389284659 /nfs/dbraw/zinc/28/46/59/389284659.db2.gz YINJTQVBGMSQDA-ZDUSSCGKSA-N 0 1 271.361 0.928 20 30 CCEDMN CCN(CCC(=O)OC)CC(=O)NC1(C#N)CCC1 ZINC000346924457 389341330 /nfs/dbraw/zinc/34/13/30/389341330.db2.gz PXRDTGPNTLWSCC-UHFFFAOYSA-N 0 1 267.329 0.434 20 30 CCEDMN COCC[C@@H]1COCCN1CCC(=O)N(C)CCC#N ZINC000365836036 389287436 /nfs/dbraw/zinc/28/74/36/389287436.db2.gz GYNWZMNUVOVVAO-CYBMUJFWSA-N 0 1 283.372 0.486 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N(Cc2cn[nH]c2C)C1=O ZINC000348385385 389376341 /nfs/dbraw/zinc/37/63/41/389376341.db2.gz JNCGIJISHQQEPZ-JTQLQIEISA-N 0 1 264.285 0.341 20 30 CCEDMN C=CCn1c(SCC(=O)NC(=O)NCCC)n[nH]c1=O ZINC000348132462 389366094 /nfs/dbraw/zinc/36/60/94/389366094.db2.gz KWLHXGMCJDLSDY-UHFFFAOYSA-N 0 1 299.356 0.498 20 30 CCEDMN C[C@@H](CO)N1CCCN(c2ncc(C#N)cc2F)CC1 ZINC000413255732 389486317 /nfs/dbraw/zinc/48/63/17/389486317.db2.gz KJSVSIJNHRKMNM-NSHDSACASA-N 0 1 278.331 0.985 20 30 CCEDMN N#Cc1cccc(NCCCN2CCC[C@@H]2C(N)=O)n1 ZINC000270065160 389428904 /nfs/dbraw/zinc/42/89/04/389428904.db2.gz QBGOIFZRQJRUIB-GFCCVEGCSA-N 0 1 273.340 0.705 20 30 CCEDMN CC[C@@](C)(O)CN1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000349882713 389451339 /nfs/dbraw/zinc/45/13/39/389451339.db2.gz NCYYBTPCVIDMAM-OAHLLOKOSA-N 0 1 291.399 0.883 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@H](O)C(C)(C)C1 ZINC000275429271 389510486 /nfs/dbraw/zinc/51/04/86/389510486.db2.gz IQSUKOLWTWOOIA-MNOVXSKESA-N 0 1 283.372 0.480 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1C[C@@H](C)NC[C@H]1C ZINC000335055687 389534316 /nfs/dbraw/zinc/53/43/16/389534316.db2.gz UTTCNXHQNYYZAL-GHMZBOCLSA-N 0 1 253.346 0.230 20 30 CCEDMN COc1cc(CN2C[C@@H](O)C[C@@H]2CO)ccc1C#N ZINC000374214886 389559962 /nfs/dbraw/zinc/55/99/62/389559962.db2.gz AIDYCUFHAURWIH-OLZOCXBDSA-N 0 1 262.309 0.494 20 30 CCEDMN O=c1[nH]nc2n1CCN(CC#Cc1ccccc1)C2 ZINC000334541243 389495641 /nfs/dbraw/zinc/49/56/41/389495641.db2.gz KKIVUEVXCHJTPZ-UHFFFAOYSA-N 0 1 254.293 0.851 20 30 CCEDMN C=CC[C@H](CC)NC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000281551326 389619731 /nfs/dbraw/zinc/61/97/31/389619731.db2.gz ZYBQVKIVYBXWJI-STQMWFEESA-N 0 1 296.415 0.980 20 30 CCEDMN C=CCCN(C)CC(=O)N[C@H](C(=O)OC)C(C)C ZINC000351630047 389640417 /nfs/dbraw/zinc/64/04/17/389640417.db2.gz QPDBXCJWRKIIJB-LBPRGKRZSA-N 0 1 256.346 0.808 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](C(=O)N2CCNCC2)C1 ZINC000428618055 389709787 /nfs/dbraw/zinc/70/97/87/389709787.db2.gz DPKGPKBUGNTGBJ-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](c2nnc[nH]2)C1 ZINC000425552345 389652055 /nfs/dbraw/zinc/65/20/55/389652055.db2.gz RISNNTPVGKCFCP-QWRGUYRKSA-N 0 1 276.344 0.355 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@H](c2nnc[nH]2)C1 ZINC000425552345 389652058 /nfs/dbraw/zinc/65/20/58/389652058.db2.gz RISNNTPVGKCFCP-QWRGUYRKSA-N 0 1 276.344 0.355 20 30 CCEDMN N#Cc1cccc(OCCN2CCN3CCOC[C@@H]3C2)c1 ZINC000375240567 389652876 /nfs/dbraw/zinc/65/28/76/389652876.db2.gz ISDGQBHMAJYPQV-HNNXBMFYSA-N 0 1 287.363 0.953 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@]2(C#N)CC2(C)C)CC1 ZINC000427887256 389683809 /nfs/dbraw/zinc/68/38/09/389683809.db2.gz PADNQSQGKMMHSJ-CQSZACIVSA-N 0 1 264.373 0.432 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C[C@H]1CCCC[C@@H]1O ZINC000181441524 389686317 /nfs/dbraw/zinc/68/63/17/389686317.db2.gz DMYPALXZDMESLW-NEPJUHHUSA-N 0 1 283.372 0.481 20 30 CCEDMN Cc1noc([C@@H](C)NS(=O)(=O)c2cccnc2C#N)n1 ZINC000428242356 389688402 /nfs/dbraw/zinc/68/84/02/389688402.db2.gz LXOWEHDHZFPPPZ-SSDOTTSWSA-N 0 1 293.308 0.684 20 30 CCEDMN C[C@H]1CN(C(=O)CC(C)(C)C#N)[C@@H](C)CN1CCO ZINC000416284421 389724165 /nfs/dbraw/zinc/72/41/65/389724165.db2.gz ANIBDTCEVMPVGU-RYUDHWBXSA-N 0 1 267.373 0.840 20 30 CCEDMN CN1CCN(C(=O)CN2CCC(CCC#N)CC2)CC1 ZINC000399301934 389790900 /nfs/dbraw/zinc/79/09/00/389790900.db2.gz NMNLACYUHVLFMT-UHFFFAOYSA-N 0 1 278.400 0.776 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCc2n[nH]nc2C1 ZINC000429313004 389750338 /nfs/dbraw/zinc/75/03/38/389750338.db2.gz PMDDTUXKTCPCNK-LLVKDONJSA-N 0 1 277.372 0.976 20 30 CCEDMN C[C@H](CNS(=O)(=O)[C@@H](C)C#N)N1CCC[C@@H](C)C1 ZINC000352412154 389806932 /nfs/dbraw/zinc/80/69/32/389806932.db2.gz CLKAUVHVSXGNDV-UTUOFQBUSA-N 0 1 273.402 0.938 20 30 CCEDMN N#Cc1csc(C[NH2+][C@]2(C(=O)[O-])CCOC2)c1 ZINC000417561295 389831024 /nfs/dbraw/zinc/83/10/24/389831024.db2.gz DDARKBQWZJSBGA-LLVKDONJSA-N 0 1 252.295 0.953 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(O)(CC)CC1 ZINC000441355250 389832039 /nfs/dbraw/zinc/83/20/39/389832039.db2.gz GEGCFZOOLQDPEY-UHFFFAOYSA-N 0 1 267.373 0.985 20 30 CCEDMN C[C@H](O)CCN(C)CC(=O)NCc1cccc(C#N)c1 ZINC000441438856 389833714 /nfs/dbraw/zinc/83/37/14/389833714.db2.gz SCOWRRWIHOWSDB-LBPRGKRZSA-N 0 1 275.352 0.877 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CCC(C#N)CC2)C1 ZINC000408195391 389833692 /nfs/dbraw/zinc/83/36/92/389833692.db2.gz OVFFUKJQXWPWQM-ZDUSSCGKSA-N 0 1 280.372 0.652 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)NCC(C)(C)CC#N ZINC000418970790 389885675 /nfs/dbraw/zinc/88/56/75/389885675.db2.gz IXZOXPRTVAZTFZ-LBPRGKRZSA-N 0 1 294.399 0.643 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1C[C@H](C)NC[C@H]1C ZINC000419139056 389887874 /nfs/dbraw/zinc/88/78/74/389887874.db2.gz RVYBKFXAZPCSEC-TUAOUCFPSA-N 0 1 267.373 0.666 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H](C)N(CCO)C[C@@H]1C ZINC000419325030 389891163 /nfs/dbraw/zinc/89/11/63/389891163.db2.gz KLBDVVJGMUTCQL-GJZGRUSLSA-N 0 1 295.427 0.574 20 30 CCEDMN COC(=O)CNC(=O)[C@H]1CCCN1CCC(C)(C)C#N ZINC000419350652 389891548 /nfs/dbraw/zinc/89/15/48/389891548.db2.gz GEKBPVNEAWBAKA-LLVKDONJSA-N 0 1 281.356 0.680 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC2(C#N)CCC2)[C@@H](C)CN1CCO ZINC000419641275 389902318 /nfs/dbraw/zinc/90/23/18/389902318.db2.gz LGGWWOUSXOBSQS-OLZOCXBDSA-N 0 1 294.399 0.777 20 30 CCEDMN C=CCCCNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000447967288 389975764 /nfs/dbraw/zinc/97/57/64/389975764.db2.gz VKADZRBJZAJHOY-ZDUSSCGKSA-N 0 1 268.405 0.888 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC3(COC(=O)N3)CC2)C1=O ZINC000492968459 390118835 /nfs/dbraw/zinc/11/88/35/390118835.db2.gz LZPCCOCQRPHUGS-LLVKDONJSA-N 0 1 279.340 0.348 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)s1 ZINC000487255396 390085306 /nfs/dbraw/zinc/08/53/06/390085306.db2.gz NFOYKKOKGQEFGR-LLVKDONJSA-N 0 1 292.408 0.985 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CCCNC(=O)C1CC1 ZINC000490876270 390108287 /nfs/dbraw/zinc/10/82/87/390108287.db2.gz SQMGSYOZKPFFCS-AWEZNQCLSA-N 0 1 292.379 0.934 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NC[C@@H]1COC(C)(C)O1 ZINC000491460661 390113666 /nfs/dbraw/zinc/11/36/66/390113666.db2.gz AQSXYKQDCDIYGI-GFCCVEGCSA-N 0 1 283.372 0.392 20 30 CCEDMN C#CCN(C)C(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000491633436 390115296 /nfs/dbraw/zinc/11/52/96/390115296.db2.gz QBYCVZJOQNLWOF-UHFFFAOYSA-N 0 1 257.297 0.998 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@](O)(CC)C1 ZINC000495242489 390228359 /nfs/dbraw/zinc/22/83/59/390228359.db2.gz IOCPIWSHOGNLPJ-GXFFZTMASA-N 0 1 269.345 0.234 20 30 CCEDMN CC1(C)CCN(CC(=O)N2CCO[C@H](C#N)C2)C1 ZINC000580092890 390220503 /nfs/dbraw/zinc/22/05/03/390220503.db2.gz SWYKWDBWCUTONS-LLVKDONJSA-N 0 1 251.330 0.469 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC000643474285 390452391 /nfs/dbraw/zinc/45/23/91/390452391.db2.gz JQZQGLOQHHWYRX-CYBMUJFWSA-N 0 1 281.400 0.867 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC000643482998 390453192 /nfs/dbraw/zinc/45/31/92/390453192.db2.gz SRUWAJCFVRFLPB-LLVKDONJSA-N 0 1 253.346 0.087 20 30 CCEDMN C=CCn1c(SCC(=O)NC2(C#N)CCC2)n[nH]c1=O ZINC000527368893 390704149 /nfs/dbraw/zinc/70/41/49/390704149.db2.gz GPKVPUHLDPMQJL-UHFFFAOYSA-N 0 1 293.352 0.824 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCC[C@@H](O)C2)CC1 ZINC000527379315 390704946 /nfs/dbraw/zinc/70/49/46/390704946.db2.gz CGGYOWFZNMXOOQ-VXGBXAGGSA-N 0 1 265.357 0.642 20 30 CCEDMN N#CC1(CNC[C@@H](O)CN2C(=O)c3ccccc3C2=O)CC1 ZINC000567892308 390711540 /nfs/dbraw/zinc/71/15/40/390711540.db2.gz PPALOWGKZWKKFN-LLVKDONJSA-N 0 1 299.330 0.537 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N(C)Cc1cnc[nH]1 ZINC000569942696 390716867 /nfs/dbraw/zinc/71/68/67/390716867.db2.gz XARDSVXZFWLEDJ-VIFPVBQESA-N 0 1 271.346 0.178 20 30 CCEDMN Cc1cc(C(=O)NC2(C#N)CCN(C)CC2)[nH]c(=O)c1 ZINC000570228831 390718281 /nfs/dbraw/zinc/71/82/81/390718281.db2.gz ILROSLVMHNKQFP-UHFFFAOYSA-N 0 1 274.324 0.813 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN2CCC(C)(C)C2)C1=O ZINC000570295097 390718303 /nfs/dbraw/zinc/71/83/03/390718303.db2.gz YFAMWRFWXOKXCJ-ZDUSSCGKSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](OC(C)C)C1 ZINC000570393958 390718578 /nfs/dbraw/zinc/71/85/78/390718578.db2.gz XBBVHWYZVSHERG-NWDGAFQWSA-N 0 1 283.372 0.886 20 30 CCEDMN C=CC[C@H](CO)NCCS(=O)(=O)c1ccccc1 ZINC000570682861 390719314 /nfs/dbraw/zinc/71/93/14/390719314.db2.gz CABAXKKYFQJQHF-GFCCVEGCSA-N 0 1 269.366 0.987 20 30 CCEDMN CC(C)[C@@H]1CNCCN1S(=O)(=O)c1cncc(C#N)c1 ZINC000528050382 390729846 /nfs/dbraw/zinc/72/98/46/390729846.db2.gz XXJBXLTUOVNVHQ-ZDUSSCGKSA-N 0 1 294.380 0.572 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCO[C@]3(CCCOC3)C2)CC1 ZINC000528510256 390752144 /nfs/dbraw/zinc/75/21/44/390752144.db2.gz XVERMKMHLPLWHQ-UKRRQHHQSA-N 0 1 280.368 0.923 20 30 CCEDMN CC1(C)CN(C[C@@H](O)CC2(C#N)CC2)C[C@H](CO)O1 ZINC000528524457 390753920 /nfs/dbraw/zinc/75/39/20/390753920.db2.gz CFJDAFMWGYVTOF-NWDGAFQWSA-N 0 1 268.357 0.513 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@](C)(CO)[C@](C)(CO)C1 ZINC000528748876 390774038 /nfs/dbraw/zinc/77/40/38/390774038.db2.gz JGXUMZPBKUDOMM-IYBDPMFKSA-N 0 1 298.427 0.724 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H](C)Cc1cnc[nH]1)C(=O)OC ZINC000578667217 390897810 /nfs/dbraw/zinc/89/78/10/390897810.db2.gz JVRMWLCQEQZAFU-PWSUYJOCSA-N 0 1 295.339 0.449 20 30 CCEDMN CO[C@@H](C)CN(C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000353219117 533330049 /nfs/dbraw/zinc/33/00/49/533330049.db2.gz MCSNJQLXMUYNSH-QWRGUYRKSA-N 0 1 253.346 0.904 20 30 CCEDMN CO[C@@H]1CN(C(=O)N[C@H]2CCn3ccnc3C2)C[C@@H]1OC ZINC000330178561 533443213 /nfs/dbraw/zinc/44/32/13/533443213.db2.gz LRPKUUHFKUSZLJ-SDDRHHMPSA-N 0 1 294.355 0.458 20 30 CCEDMN CC(C)N1CC[C@H](NCc2cc(C#N)n(C)c2)C1=O ZINC000191070724 130179334 /nfs/dbraw/zinc/17/93/34/130179334.db2.gz ZZRMPKYYFSBDTB-ZDUSSCGKSA-N 0 1 260.341 0.996 20 30 CCEDMN C=CCCO[C@H](C)C(=O)Nc1nc(SCCO)n[nH]1 ZINC000268756992 132062100 /nfs/dbraw/zinc/06/21/00/132062100.db2.gz UKUFPWDHPQDLOR-MRVPVSSYSA-N 0 1 286.357 0.809 20 30 CCEDMN C=CCCS(=O)(=O)Nc1ccn(CC(=O)N(C)C)n1 ZINC000269280867 132148985 /nfs/dbraw/zinc/14/89/85/132148985.db2.gz FJRJWEHCSXUWJA-UHFFFAOYSA-N 0 1 286.357 0.289 20 30 CCEDMN Cc1onc(CC(=O)NCCN2CC=CCC2)c1C#N ZINC000357640350 144108016 /nfs/dbraw/zinc/10/80/16/144108016.db2.gz ZGNKRAFMYMGYJL-UHFFFAOYSA-N 0 1 274.324 0.775 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N(C)CCCO ZINC000440966798 397548816 /nfs/dbraw/zinc/54/88/16/397548816.db2.gz JVHQFXIBCDHNOP-YPMHNXCESA-N 0 1 255.362 0.744 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)Cc1cn(C)nc1C ZINC000491619889 397560268 /nfs/dbraw/zinc/56/02/68/397560268.db2.gz CNDGAOXBJKIHOS-AWEZNQCLSA-N 0 1 275.352 0.912 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(C2(O)CC2)CC1 ZINC000649674721 397654248 /nfs/dbraw/zinc/65/42/48/397654248.db2.gz BKTUOLCZCBKEJB-NSHDSACASA-N 0 1 252.358 0.914 20 30 CCEDMN CC#CCCCC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000652900117 412152140 /nfs/dbraw/zinc/15/21/40/412152140.db2.gz BMVAMVQOIUKOGC-UHFFFAOYSA-N 0 1 275.356 0.643 20 30 CCEDMN C=CCCn1cc(CN2CCN([C@@H](CC)CO)CC2)nn1 ZINC000653560125 412317645 /nfs/dbraw/zinc/31/76/45/412317645.db2.gz FUROCYGBVVZLFI-HNNXBMFYSA-N 0 1 293.415 0.743 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@H](N2CCOCC2)C1 ZINC000653717983 412339436 /nfs/dbraw/zinc/33/94/36/412339436.db2.gz VWRODOAJHKHQRJ-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC000654982841 412456611 /nfs/dbraw/zinc/45/66/11/412456611.db2.gz IFMHEJDEWGBIIV-QWRGUYRKSA-N 0 1 251.330 0.889 20 30 CCEDMN CC(C)(C(=O)NOCC(N)=O)c1cc(F)cc(C#N)c1 ZINC000285500925 196306249 /nfs/dbraw/zinc/30/62/49/196306249.db2.gz AUFSAJQMJBTLQQ-UHFFFAOYSA-N 0 1 279.271 0.508 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)N1CCNC[C@H]1CCC ZINC000659735084 413832409 /nfs/dbraw/zinc/83/24/09/413832409.db2.gz HYYDCTNGCIZZGC-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)C(C)(C)C ZINC000661755546 414672729 /nfs/dbraw/zinc/67/27/29/414672729.db2.gz STYVOFLATGACQC-NSHDSACASA-N 0 1 269.389 0.866 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)NCCc1ccccc1 ZINC000662166159 414695224 /nfs/dbraw/zinc/69/52/24/414695224.db2.gz UADFJLAVVCEKFW-TZMCWYRMSA-N 0 1 262.353 0.870 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCN(CC)CC1 ZINC000076425033 177023808 /nfs/dbraw/zinc/02/38/08/177023808.db2.gz FTKZNXDKOBBXPM-ZDUSSCGKSA-N 0 1 266.389 0.632 20 30 CCEDMN C[C@@H]1[C@H](CO)CCN1CC(=O)NC1(C#N)CCC1 ZINC000347120047 226032441 /nfs/dbraw/zinc/03/24/41/226032441.db2.gz JRHFRSNLNQSHIM-MNOVXSKESA-N 0 1 251.330 0.252 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCC2(C#N)CC2)[C@H](C)C1 ZINC000575206975 335081130 /nfs/dbraw/zinc/08/11/30/335081130.db2.gz BMFQJSYZNFXNBD-VXGBXAGGSA-N 0 1 280.372 0.387 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N1CCC[C@@H](n2cncn2)C1 ZINC000330030076 228021365 /nfs/dbraw/zinc/02/13/65/228021365.db2.gz RAGDLMMLDRGBGA-WYUUTHIRSA-N 0 1 277.328 0.474 20 30 CCEDMN [O-]C(N[C@@H]1CCn2ccnc2C1)=[NH+]C1(CO)CCC1 ZINC000330284487 228092461 /nfs/dbraw/zinc/09/24/61/228092461.db2.gz XNODOMGTYJQVSJ-SNVBAGLBSA-N 0 1 264.329 0.617 20 30 CCEDMN [O-]C(NC1(CO)CCC1)=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330284487 228092464 /nfs/dbraw/zinc/09/24/64/228092464.db2.gz XNODOMGTYJQVSJ-SNVBAGLBSA-N 0 1 264.329 0.617 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)Cc1cnnn1C ZINC000522037649 420394218 /nfs/dbraw/zinc/39/42/18/420394218.db2.gz OFWFKBPTWWYFDQ-UHFFFAOYSA-N 0 1 274.332 0.057 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(CC(=O)NCC2CC2)C1 ZINC000328933533 545019944 /nfs/dbraw/zinc/01/99/44/545019944.db2.gz IQGCOTTYHNVVEG-MNOVXSKESA-N 0 1 279.344 0.933 20 30 CCEDMN CN(CCn1cccn1)C(=O)NC[C@H]1COCCO1 ZINC000329497347 545023241 /nfs/dbraw/zinc/02/32/41/545023241.db2.gz VANWNWRZGORAIN-NSHDSACASA-N 0 1 268.317 0.144 20 30 CCEDMN C=CCNC(=O)CN1CCN([C@@H]2CCCC[C@H]2O)CC1 ZINC000340669995 545054817 /nfs/dbraw/zinc/05/48/17/545054817.db2.gz DQAJOLUZBJOBBY-ZIAGYGMSSA-N 0 1 281.400 0.210 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@@H](CO)[C@H]1CCCO1 ZINC000447907596 260160967 /nfs/dbraw/zinc/16/09/67/260160967.db2.gz AAODULAIWVSIIE-SCRDCRAPSA-N 0 1 283.372 0.170 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](C(=O)OC)[C@@H](C)C1 ZINC000249081361 261244438 /nfs/dbraw/zinc/24/44/38/261244438.db2.gz MOSVSRVMHFBTIW-SDDRHHMPSA-N 0 1 268.357 0.808 20 30 CCEDMN CCN(CC(=O)N[C@@](C)(C#N)C1CC1)[C@H]1CCOC1 ZINC000361579013 261347279 /nfs/dbraw/zinc/34/72/79/261347279.db2.gz UBXJQPJIMDUELU-JSGCOSHPSA-N 0 1 265.357 0.906 20 30 CCEDMN COCCN1CCCN(C(=O)C(C)(C)C#N)CC1 ZINC000364516458 262253655 /nfs/dbraw/zinc/25/36/55/262253655.db2.gz HIJBXQZYESUDPV-UHFFFAOYSA-N 0 1 253.346 0.717 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCOCCOCC)CC1 ZINC000188608352 262325452 /nfs/dbraw/zinc/32/54/52/262325452.db2.gz IDKAUZRJKDGFRZ-UHFFFAOYSA-N 0 1 296.411 0.843 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCOCCOC)C1=O ZINC000337220833 262436614 /nfs/dbraw/zinc/43/66/14/262436614.db2.gz KRMBTBYVYWHUDE-GFCCVEGCSA-N 0 1 256.346 0.368 20 30 CCEDMN N#Cc1ccc(CC(C#N)C(=O)N2CCO[C@@H](C#N)C2)cc1 ZINC000280837577 263064524 /nfs/dbraw/zinc/06/45/24/263064524.db2.gz JFGCCIWTVIHWMC-CABCVRRESA-N 0 1 294.314 0.992 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000281831005 263072139 /nfs/dbraw/zinc/07/21/39/263072139.db2.gz VLYQMZJEDCFEEB-MWLCHTKSSA-N 0 1 297.384 0.713 20 30 CCEDMN CCc1nc([C@H]2CN(C(=O)CCCC#N)CCO2)n[nH]1 ZINC000292069736 263128025 /nfs/dbraw/zinc/12/80/25/263128025.db2.gz IDMYOZCAJSXHHP-SNVBAGLBSA-N 0 1 277.328 0.961 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@H](Cc1cnc[nH]1)C(=O)OC ZINC000340590280 263214547 /nfs/dbraw/zinc/21/45/47/263214547.db2.gz PHYUSSOQAWSSLO-CMPLNLGQSA-N 0 1 295.339 0.591 20 30 CCEDMN CNC(=O)CCN(C)[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000340690182 263297227 /nfs/dbraw/zinc/29/72/27/263297227.db2.gz JTWXIPLVLSEVFR-SMDDNHRTSA-N 0 1 282.388 0.497 20 30 CCEDMN C=CCCN(C)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000342180345 263394265 /nfs/dbraw/zinc/39/42/65/263394265.db2.gz ANIKGQIWYCNMNI-ZDUSSCGKSA-N 0 1 268.405 0.840 20 30 CCEDMN C=CCC[C@@H](O)CN1CCC[C@H](S(N)(=O)=O)C1 ZINC000330823937 264047839 /nfs/dbraw/zinc/04/78/39/264047839.db2.gz HWSNILSKTWEHOD-MNOVXSKESA-N 0 1 262.375 0.066 20 30 CCEDMN CNC(=O)NC(=O)[C@H](C)N1CCC(OCCOC)CC1 ZINC000330828979 264049113 /nfs/dbraw/zinc/04/91/13/264049113.db2.gz ILMXJXDZGKRBID-JTQLQIEISA-N 0 1 287.360 0.162 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NC[C@H](O)C(C)(C)C)C1 ZINC000330790392 264053971 /nfs/dbraw/zinc/05/39/71/264053971.db2.gz ARPIDZDLKWMJBT-NEPJUHHUSA-N 0 1 286.420 0.143 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N[C@H]1CCC(=O)N(C)C1 ZINC000330864258 264057381 /nfs/dbraw/zinc/05/73/81/264057381.db2.gz WZVBSXIPNJLVGN-JTQLQIEISA-N 0 1 265.317 0.343 20 30 CCEDMN C[C@H]1CN(C(=O)CCCC#N)CCN1CC(C)(C)O ZINC000330887664 264063491 /nfs/dbraw/zinc/06/34/91/264063491.db2.gz HNFJDNJGBKWREI-LBPRGKRZSA-N 0 1 267.373 0.984 20 30 CCEDMN N#C[C@@H]1CCC[C@@H]1NC[C@H](O)COC1CCOCC1 ZINC000330853187 264068385 /nfs/dbraw/zinc/06/83/85/264068385.db2.gz RCBHTDBAMHEQDM-OBJOEFQTSA-N 0 1 268.357 0.825 20 30 CCEDMN Cc1cnn(CC[NH+]=C([O-])N2CC[C@@H](CCO)C2)c1 ZINC000330934867 264079575 /nfs/dbraw/zinc/07/95/75/264079575.db2.gz KPHWIGTZACZMHW-LBPRGKRZSA-N 0 1 266.345 0.810 20 30 CCEDMN CCN(CC(C)(C)O)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000330935890 264081070 /nfs/dbraw/zinc/08/10/70/264081070.db2.gz PMTRERZZSXKING-GFCCVEGCSA-N 0 1 286.420 0.239 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(Cc2ccc(CO)cc2)C1 ZINC000330938029 264081776 /nfs/dbraw/zinc/08/17/76/264081776.db2.gz BHNTWSMUPRTTJZ-CYBMUJFWSA-N 0 1 263.341 0.887 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCO[C@@H](c2n[nH]c(C)n2)C1 ZINC000330967420 264091363 /nfs/dbraw/zinc/09/13/63/264091363.db2.gz QXIRBAPYGOLZIL-ZWNOBZJWSA-N 0 1 280.328 0.340 20 30 CCEDMN CC(C)NC(=O)N1CCC[C@H]1C(=O)NCc1cnc[nH]1 ZINC000331007151 264106697 /nfs/dbraw/zinc/10/66/97/264106697.db2.gz QDDGURYUTFEWFP-NSHDSACASA-N 0 1 279.344 0.813 20 30 CCEDMN OCCN1CCN(CC#Cc2ccc(F)cc2)CC1 ZINC000127712167 264262761 /nfs/dbraw/zinc/26/27/61/264262761.db2.gz WMJCUTSGYAVSJP-UHFFFAOYSA-N 0 1 262.328 0.787 20 30 CCEDMN CCN1C[C@@H](C)N(C(=O)Cn2cnc(C#N)n2)[C@@H](C)C1 ZINC000518998219 265289276 /nfs/dbraw/zinc/28/92/76/265289276.db2.gz IQKANBUDNIVBEB-PHIMTYICSA-N 0 1 276.344 0.091 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)[C@@H](C)[C@@H]1C ZINC000356995181 266227505 /nfs/dbraw/zinc/22/75/05/266227505.db2.gz GEQWDGBGXZWJCQ-QWRGUYRKSA-N 0 1 268.361 0.023 20 30 CCEDMN C=CCN(C(=O)N[C@H](C)CN1CCN(C)CC1)C1CC1 ZINC000357586048 266330983 /nfs/dbraw/zinc/33/09/83/266330983.db2.gz CCTASXAOZFDWKU-CYBMUJFWSA-N 0 1 280.416 0.982 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@](O)(C2CC2)C1 ZINC000370092696 267290069 /nfs/dbraw/zinc/29/00/69/267290069.db2.gz NZVRBHRTOHNHOI-AWEZNQCLSA-N 0 1 265.357 0.595 20 30 CCEDMN O=C(NCC#Cc1cccc(F)c1)N1CCNCC1 ZINC000418163518 267376796 /nfs/dbraw/zinc/37/67/96/267376796.db2.gz VWAUSKOPQKAIPV-UHFFFAOYSA-N 0 1 261.300 0.792 20 30 CCEDMN C=CCNC(=O)CN1CCC2(CC1)[C@H](O)C[C@@H]2OCC ZINC000304377349 267803457 /nfs/dbraw/zinc/80/34/57/267803457.db2.gz RBZMLSMFJGCHOS-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cccc(C#N)n2)[C@@H](C)C1 ZINC000277828731 274271401 /nfs/dbraw/zinc/27/14/01/274271401.db2.gz QYZGYVDNGMXUIZ-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1ccc(C#N)cc1 ZINC000168810125 278239788 /nfs/dbraw/zinc/23/97/88/278239788.db2.gz FLDPFEVFRMLCHA-JTQLQIEISA-N 0 1 267.354 0.787 20 30 CCEDMN CC(C)(C)[C@@H](NCC(=O)NC1(C#N)CCC1)C(N)=O ZINC000346976925 278492828 /nfs/dbraw/zinc/49/28/28/278492828.db2.gz RVIMEGNAGCNKQU-JTQLQIEISA-N 0 1 266.345 0.038 20 30 CCEDMN O=C(NCCN1CCC1)N[C@@H]1CCO[C@@]2(CCOC2)C1 ZINC000329128855 295377587 /nfs/dbraw/zinc/37/75/87/295377587.db2.gz VQNWRJUCKIRIGO-OCCSQVGLSA-N 0 1 283.372 0.534 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC(CO)(OC)CC1 ZINC000401731089 545090057 /nfs/dbraw/zinc/09/00/57/545090057.db2.gz UNFYFRIVQLQBMN-UHFFFAOYSA-N 0 1 282.384 0.660 20 30 CCEDMN COCCON=C(N)c1ccc(N2CCC(O)CC2)cc1 ZINC000174126375 327775144 /nfs/dbraw/zinc/77/51/44/327775144.db2.gz IWNQJWFUUWOMAE-UHFFFAOYSA-N 0 1 293.367 0.931 20 30 CCEDMN CN(C)Cc1ccc(C(=O)N2CCOC[C@@H]2C#N)cn1 ZINC000339284629 328005044 /nfs/dbraw/zinc/00/50/44/328005044.db2.gz SISMYLJJDDBFEV-ZDUSSCGKSA-N 0 1 274.324 0.508 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@@H]1CCCNC1=O ZINC000563305005 328011388 /nfs/dbraw/zinc/01/13/88/328011388.db2.gz ATFUEBIZYKVIOX-QMMMGPOBSA-N 0 1 268.342 0.788 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCCc3n[nH]cc32)CC1 ZINC000531810474 328038946 /nfs/dbraw/zinc/03/89/46/328038946.db2.gz FGMWKTZMMOIBHL-NSHDSACASA-N 0 1 287.367 0.934 20 30 CCEDMN C[C@@H]1[C@@H](CO)CCCN1CC(=O)NC1(C#N)CCC1 ZINC000412061892 328103731 /nfs/dbraw/zinc/10/37/31/328103731.db2.gz ALNJTFDTJVTPDY-VXGBXAGGSA-N 0 1 265.357 0.642 20 30 CCEDMN CCC(N)=NOCc1nnnn1CC(F)(F)F ZINC000278192890 328224602 /nfs/dbraw/zinc/22/46/02/328224602.db2.gz UVZUHVORXYJEEH-UHFFFAOYSA-N 0 1 252.200 0.434 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N1CC[C@@H](N2CCCCC2)C1 ZINC000227897136 329333854 /nfs/dbraw/zinc/33/38/54/329333854.db2.gz DZYDRXGNHGUCDD-NWDGAFQWSA-N 0 1 271.386 0.788 20 30 CCEDMN COC(=O)c1ccc(C(=O)NC2(C#N)CCN(C)CC2)[nH]1 ZINC000559625105 327255919 /nfs/dbraw/zinc/25/59/19/327255919.db2.gz MQCAFFCZQLYVJR-UHFFFAOYSA-N 0 1 290.323 0.519 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1C(=O)C(=O)N1CCC(CC#N)CC1 ZINC000562961692 327530936 /nfs/dbraw/zinc/53/09/36/327530936.db2.gz PNZNPZJUMVBORM-NWDGAFQWSA-N 0 1 292.383 0.347 20 30 CCEDMN C=CCCCC(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000175230703 329166725 /nfs/dbraw/zinc/16/67/25/329166725.db2.gz AZSGOYQYBPWDFA-UHFFFAOYSA-N 0 1 267.373 0.575 20 30 CCEDMN N#CC1(CS(=O)(=O)NCCN2CCCCC2)CC1 ZINC000180406211 329216065 /nfs/dbraw/zinc/21/60/65/329216065.db2.gz WXXGKLAZCWZVNT-UHFFFAOYSA-N 0 1 271.386 0.695 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](CO)c1ccccc1 ZINC000183651979 329251556 /nfs/dbraw/zinc/25/15/56/329251556.db2.gz HLUSHJSBYNIPDG-GFCCVEGCSA-N 0 1 277.324 0.321 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1C[C@@H](C(=O)[O-])CC[C@H]1C ZINC000397083585 329325166 /nfs/dbraw/zinc/32/51/66/329325166.db2.gz RMEBGMJVEUPMSN-ZJUUUORDSA-N 0 1 283.328 0.183 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@@H](O)[C@@H](C)C1 ZINC000230653706 329367902 /nfs/dbraw/zinc/36/79/02/329367902.db2.gz DANINUZZRDRNSY-QWHCGFSZSA-N 0 1 252.358 0.890 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC(C)(C)O)C[C@@H]1C ZINC000189456583 329382701 /nfs/dbraw/zinc/38/27/01/329382701.db2.gz YNLOHVKYZYZXIX-LBPRGKRZSA-N 0 1 269.389 0.066 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000189949405 329390411 /nfs/dbraw/zinc/39/04/11/329390411.db2.gz LAHZOEUMHLGCAW-STQMWFEESA-N 0 1 283.416 0.454 20 30 CCEDMN CC1(C)CN(C(=O)C(=O)NCC2(CC#N)CC2)CCN1 ZINC000418833558 329595989 /nfs/dbraw/zinc/59/59/89/329595989.db2.gz QNBCBRIVEUEVHR-UHFFFAOYSA-N 0 1 278.356 0.007 20 30 CCEDMN CC1(C)CN(C(=O)C(=O)NCC#Cc2ccccc2)CCN1 ZINC000419119700 329708614 /nfs/dbraw/zinc/70/86/14/329708614.db2.gz SLPZYRFBWDRRRY-UHFFFAOYSA-N 0 1 299.374 0.365 20 30 CCEDMN C=C(C)CNC(=O)NC1CC([N@@H+](CC)CC(=O)[O-])C1 ZINC000263440504 330055966 /nfs/dbraw/zinc/05/59/66/330055966.db2.gz DKJWOTIYAPLRMW-UHFFFAOYSA-N 0 1 269.345 0.799 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CCNC(C)(C)C1 ZINC000423357472 330193269 /nfs/dbraw/zinc/19/32/69/330193269.db2.gz MBELJUPRILVVQW-UHFFFAOYSA-N 0 1 267.373 0.621 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N(C)CC(C)(C)C#N)[C@H](C)CN1 ZINC000423633657 330213196 /nfs/dbraw/zinc/21/31/96/330213196.db2.gz PLUPIUJWSPTDAJ-GHMZBOCLSA-N 0 1 280.372 0.203 20 30 CCEDMN Cc1nc([C@@H]2CN(C(=O)[C@]3(C#N)C[C@@H]3C)CCO2)n[nH]1 ZINC000424087111 330223899 /nfs/dbraw/zinc/22/38/99/330223899.db2.gz HLBHVOGOGZOPEJ-FWDPORAESA-N 0 1 275.312 0.563 20 30 CCEDMN C=CCOC[C@H](NC(=O)CN1[C@H](C)CC[C@H]1C)C(=O)OC ZINC000435945460 330666241 /nfs/dbraw/zinc/66/62/41/330666241.db2.gz VEJKDVGWCMNMOX-UPJWGTAASA-N 0 1 298.383 0.720 20 30 CCEDMN COC[C@]1(C(=O)OC)CCN(CC2(C#N)CC2)C1 ZINC000451338913 331024886 /nfs/dbraw/zinc/02/48/86/331024886.db2.gz UKHJAHRGGFHSDA-ZDUSSCGKSA-N 0 1 252.314 0.802 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C)[C@H](C)C(C)(C)O ZINC000451362747 331025949 /nfs/dbraw/zinc/02/59/49/331025949.db2.gz QIOBWLKHILEJMN-LLVKDONJSA-N 0 1 255.362 0.840 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@@]2(CCOC2)C1 ZINC000451902226 331046678 /nfs/dbraw/zinc/04/66/78/331046678.db2.gz OAKOBUPYOOTWNE-IUODEOHRSA-N 0 1 295.383 0.889 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC[C@](O)(CO)C1 ZINC000459646066 331291985 /nfs/dbraw/zinc/29/19/85/331291985.db2.gz HVBFJRJIHFPKMS-DZGCQCFKSA-N 0 1 282.384 0.395 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@](C)(C(N)=O)C2)CC1 ZINC000490740731 332111136 /nfs/dbraw/zinc/11/11/36/332111136.db2.gz QEFVSZLJKCXTOM-HNNXBMFYSA-N 0 1 277.368 0.056 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCNC(=O)C1 ZINC000490892840 332125741 /nfs/dbraw/zinc/12/57/41/332125741.db2.gz OWSSQWOAYCBQDI-VXGBXAGGSA-N 0 1 264.325 0.153 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H](C)C(=O)NCC=C)CC1 ZINC000491106408 332150660 /nfs/dbraw/zinc/15/06/60/332150660.db2.gz YGNHDBCMJZLTDA-GFCCVEGCSA-N 0 1 277.368 0.139 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)NCCCCN1CCOCC1 ZINC000491430890 332210461 /nfs/dbraw/zinc/21/04/61/332210461.db2.gz ZNCRUONPJHQIQC-AWEZNQCLSA-N 0 1 295.383 0.142 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)CCCCc1cn[nH]n1 ZINC000491691349 332288169 /nfs/dbraw/zinc/28/81/69/332288169.db2.gz DSJSLLFPLQNPKM-LBPRGKRZSA-N 0 1 262.313 0.378 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](CO)OC(C)(C)C2)CC1 ZINC000491718147 332307276 /nfs/dbraw/zinc/30/72/76/332307276.db2.gz SULZTWJXOKTVTG-AWEZNQCLSA-N 0 1 294.395 0.330 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCCC=C)CC1 ZINC000491757702 332330278 /nfs/dbraw/zinc/33/02/78/332330278.db2.gz FJPOMRAZCHCCIP-UHFFFAOYSA-N 0 1 263.385 0.710 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(CC)CCCO ZINC000491813604 332365250 /nfs/dbraw/zinc/36/52/50/332365250.db2.gz IAYLZHJLCAWPNW-UHFFFAOYSA-N 0 1 255.362 0.355 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CC[C@H](C(=O)OC)O1 ZINC000491820321 332370024 /nfs/dbraw/zinc/37/00/24/332370024.db2.gz QXDFBOVHEGZGKP-UPJWGTAASA-N 0 1 295.335 0.348 20 30 CCEDMN C#CCN(C)C(=O)c1cnc(CCOCCOC)[nH]1 ZINC000491820511 332370212 /nfs/dbraw/zinc/37/02/12/332370212.db2.gz XVZGJEIQUQKIBY-UHFFFAOYSA-N 0 1 265.313 0.320 20 30 CCEDMN C#CCN(CC#C)CCC(=O)NC(=O)NCC(F)(F)F ZINC000177176626 333054498 /nfs/dbraw/zinc/05/44/98/333054498.db2.gz HHMRHCWJKUKEEL-UHFFFAOYSA-N 0 1 289.257 0.333 20 30 CCEDMN Cc1cc(C#N)ccc1OC[C@@H](O)CN1CCN(C)CC1 ZINC000575894108 335128771 /nfs/dbraw/zinc/12/87/71/335128771.db2.gz QLWGQYLORWPREY-HNNXBMFYSA-N 0 1 289.379 0.854 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCC(=O)OCC)CC1 ZINC000194452886 333271612 /nfs/dbraw/zinc/27/16/12/333271612.db2.gz CBZGGWZHEHVZOE-UHFFFAOYSA-N 0 1 280.368 0.743 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)NC[C@H]1COCCN1 ZINC000529374901 333483872 /nfs/dbraw/zinc/48/38/72/333483872.db2.gz FGNHVCXGXXNANF-RYUDHWBXSA-N 0 1 253.346 0.725 20 30 CCEDMN Cn1c(CN2CCC(CC#N)CC2)cc(=O)n(C)c1=O ZINC000542881859 333847735 /nfs/dbraw/zinc/84/77/35/333847735.db2.gz URWNNCWQLLOSLA-UHFFFAOYSA-N 0 1 276.340 0.210 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000134468835 334091323 /nfs/dbraw/zinc/09/13/23/334091323.db2.gz FVZHRKASBKLYBU-SECBINFHSA-N 0 1 276.296 0.355 20 30 CCEDMN N#C[C@]1(NC(=O)[C@@H]2CCCc3[nH]ncc32)CCOC1 ZINC000547488532 334093032 /nfs/dbraw/zinc/09/30/32/334093032.db2.gz HWQHPFWPXNXWDV-NOZJJQNGSA-N 0 1 260.297 0.628 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@@H]([C@H](C)O)C1 ZINC000252764947 334391167 /nfs/dbraw/zinc/39/11/67/334391167.db2.gz IGHWYGRETRPKMC-SCRDCRAPSA-N 0 1 267.373 0.744 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCCCC(=O)N2)CC1 ZINC000567451315 334720783 /nfs/dbraw/zinc/72/07/83/334720783.db2.gz BLOJWBXRDARLBK-NSHDSACASA-N 0 1 278.356 0.149 20 30 CCEDMN C=CCN1C(=O)N=NC1SCC[C@@H]1CCNC1=O ZINC000580692864 335332654 /nfs/dbraw/zinc/33/26/54/335332654.db2.gz ALYVDIFCYKWEKN-QMMMGPOBSA-N 0 1 268.342 0.788 20 30 CCEDMN C[C@@H](O)CN1CCN(c2ccc(C#N)c(N)n2)[C@H](C)C1 ZINC000577412962 335350527 /nfs/dbraw/zinc/35/05/27/335350527.db2.gz VNFHEWAIAJYURT-GHMZBOCLSA-N 0 1 275.356 0.427 20 30 CCEDMN CC[C@H](CNCCS(=O)(=O)c1ccc(C#N)cn1)OC ZINC000578128093 335509509 /nfs/dbraw/zinc/50/95/09/335509509.db2.gz GJPDQXCRCJFJKU-GFCCVEGCSA-N 0 1 297.380 0.742 20 30 CCEDMN CO[C@@H]1COC[C@H]1N[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000578766372 335672393 /nfs/dbraw/zinc/67/23/93/335672393.db2.gz PBHNXGLRSNVHJT-SFTQSGBHSA-N 0 1 283.372 0.433 20 30 CCEDMN C=CCn1cc(CNC(=O)N2CCNC[C@@H]2CCC)nn1 ZINC000578879704 335684243 /nfs/dbraw/zinc/68/42/43/335684243.db2.gz BCVZJJJWRQTYTN-ZDUSSCGKSA-N 0 1 292.387 0.748 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](COC)c1ccccc1 ZINC000181683603 335778405 /nfs/dbraw/zinc/77/84/05/335778405.db2.gz HYGOOUZEWNJPMU-CYBMUJFWSA-N 0 1 291.351 0.976 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000182848613 335846737 /nfs/dbraw/zinc/84/67/37/335846737.db2.gz RQVGIBUMEUNXSF-NSHDSACASA-N 0 1 268.361 0.244 20 30 CCEDMN N#Cc1cncnc1N1CCN(C[C@@H]2CCOC2)CC1 ZINC000581659737 336119528 /nfs/dbraw/zinc/11/95/28/336119528.db2.gz WOUJKGDKZOZJBW-LBPRGKRZSA-N 0 1 273.340 0.507 20 30 CCEDMN CC[C@@H]1[C@H](CO)CCN1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000385712929 336154750 /nfs/dbraw/zinc/15/47/50/336154750.db2.gz RDIGEAYFJLJHNY-NJZAAPMLSA-N 0 1 279.384 0.888 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1c[nH]c(C#N)c1)c1nn[nH]n1 ZINC000358400715 533726108 /nfs/dbraw/zinc/72/61/08/533726108.db2.gz ZNUDNTAPZLHZTO-ZETCQYMHSA-N 0 1 259.273 0.275 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)N(C)CC(F)F)[nH]1 ZINC000359241663 519931629 /nfs/dbraw/zinc/93/16/29/519931629.db2.gz HEXBEWJKCXNWBW-UHFFFAOYSA-N 0 1 299.281 0.420 20 30 CCEDMN N#Cc1cccc(NCC(=O)NCCc2nc[nH]n2)c1 ZINC000426857081 534809549 /nfs/dbraw/zinc/80/95/49/534809549.db2.gz FVOXSQXWUNAYAE-UHFFFAOYSA-N 0 1 270.296 0.447 20 30 CCEDMN C=C(C)CN(C)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000352138682 526486618 /nfs/dbraw/zinc/48/66/18/526486618.db2.gz ZGHDAUGTSYQCHN-LBPRGKRZSA-N 0 1 282.388 0.544 20 30 CCEDMN C=CCN(C(=O)NCc1n[nH]c(COC)n1)C1CC1 ZINC000666117214 545113074 /nfs/dbraw/zinc/11/30/74/545113074.db2.gz AGUHWKKFWXYMAM-UHFFFAOYSA-N 0 1 265.317 0.811 20 30 CCEDMN C=CCN(C(=O)NCc1nnc(COC)[nH]1)C1CC1 ZINC000666117214 545113075 /nfs/dbraw/zinc/11/30/75/545113075.db2.gz AGUHWKKFWXYMAM-UHFFFAOYSA-N 0 1 265.317 0.811 20 30 CCEDMN N#Cc1ccc(CNCCNC(=O)c2ccn[nH]2)o1 ZINC000181140788 526623227 /nfs/dbraw/zinc/62/32/27/526623227.db2.gz BZCWDWAJOUOMSG-UHFFFAOYSA-N 0 1 259.269 0.394 20 30 CCEDMN C#CCOc1ccc(CNCCS(C)(=O)=O)cc1 ZINC000113330217 527104705 /nfs/dbraw/zinc/10/47/05/527104705.db2.gz WEBLSHXUKMBNRE-UHFFFAOYSA-N 0 1 267.350 0.833 20 30 CCEDMN CCN(CC(=O)N(CCC#N)CCC#N)C[C@@H](C)O ZINC000352212537 527895102 /nfs/dbraw/zinc/89/51/02/527895102.db2.gz QSEJFUFRBZYEOF-GFCCVEGCSA-N 0 1 266.345 0.345 20 30 CCEDMN CN1CC[C@@H](NS(=O)(=O)Cc2cc(C#N)ccc2F)C1 ZINC000347029975 536458610 /nfs/dbraw/zinc/45/86/10/536458610.db2.gz SNVUATRSDVXLFV-GFCCVEGCSA-N 0 1 297.355 0.821 20 30 CCEDMN C=CCOCCNC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000679480705 545410071 /nfs/dbraw/zinc/41/00/71/545410071.db2.gz WXCOFMXBXLMUPK-ZIAGYGMSSA-N 0 1 299.415 0.988 20 30 CCEDMN C=C(C)[C@H](CO)N(C)Cc1cnc(N(C)C)nc1 ZINC000679537750 545411123 /nfs/dbraw/zinc/41/11/23/545411123.db2.gz LNVKNZXILVTIDX-LBPRGKRZSA-N 0 1 250.346 0.911 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2nc[nH]c21 ZINC000962120979 649891856 /nfs/dbraw/zinc/89/18/56/649891856.db2.gz OXPRZOXEZPWUIV-PJXYFTJBSA-N 0 1 281.319 0.746 20 30 CCEDMN Cc1ncc(CO)c(C=N[N-]c2[nH+]ccn2C)c1O ZINC000790300683 581183049 /nfs/dbraw/zinc/18/30/49/581183049.db2.gz YDGVBXIQFLHZKR-UHFFFAOYSA-N 0 1 261.285 0.767 20 30 CCEDMN C#CCCS(=O)(=O)NCCN1CCC[C@@H](C)C1 ZINC000791423945 581220903 /nfs/dbraw/zinc/22/09/03/581220903.db2.gz USVNPGBRQHWHSL-GFCCVEGCSA-N 0 1 258.387 0.661 20 30 CCEDMN COCCN(C)c1ccc(C=NN2CCN(C)CC2)cn1 ZINC000790136383 581178085 /nfs/dbraw/zinc/17/80/85/581178085.db2.gz JSAIKOUELVMZDG-UHFFFAOYSA-N 0 1 291.399 0.746 20 30 CCEDMN COCCOc1ccccc1C=NNC1=NC[C@H](C)N1 ZINC000779801242 581206986 /nfs/dbraw/zinc/20/69/86/581206986.db2.gz SMSNDHVVTRRVIG-NSHDSACASA-N 0 1 276.340 0.983 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2ccc3c(c2)OCCO3)N1 ZINC000779804234 581207430 /nfs/dbraw/zinc/20/74/30/581207430.db2.gz CBULNCCEVGONOT-SECBINFHSA-N 0 1 260.297 0.729 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC000791423100 581221173 /nfs/dbraw/zinc/22/11/73/581221173.db2.gz QRVQWACHDCCSDK-GHMZBOCLSA-N 0 1 256.371 0.554 20 30 CCEDMN C[C@H]1CCCN1CCNS(=O)(=O)c1ccc(C#N)nc1 ZINC000780865686 581247066 /nfs/dbraw/zinc/24/70/66/581247066.db2.gz IAWGDYPCBUNNPG-NSHDSACASA-N 0 1 294.380 0.716 20 30 CCEDMN C[C@H](NC(=O)[C@H](O)c1ccc(C#N)cc1)c1nnc[nH]1 ZINC000780957578 581252723 /nfs/dbraw/zinc/25/27/23/581252723.db2.gz WXEQFEQZFMDPSF-GZMMTYOYSA-N 0 1 271.280 0.587 20 30 CCEDMN C#CCCNC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000780988054 581254570 /nfs/dbraw/zinc/25/45/70/581254570.db2.gz LNKHFJSTPRWEBU-LBPRGKRZSA-N 0 1 267.373 0.496 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@@H]1[C@H]1CCCO1 ZINC000792923753 581275967 /nfs/dbraw/zinc/27/59/67/581275967.db2.gz GAYXUIKMUDGDIT-VXGBXAGGSA-N 0 1 251.330 0.660 20 30 CCEDMN C#CCNC(=O)CN1CCCC[C@@H]1CC(=O)NCC ZINC000792930253 581276413 /nfs/dbraw/zinc/27/64/13/581276413.db2.gz BEWKNPBSIYDYRQ-GFCCVEGCSA-N 0 1 265.357 0.117 20 30 CCEDMN CC[C@H](N[NH+]=Cc1cnc(N(C)C)s1)C(=O)[O-] ZINC000793082369 581283693 /nfs/dbraw/zinc/28/36/93/581283693.db2.gz WVQWTJZCEWSWRD-QMMMGPOBSA-N 0 1 256.331 0.996 20 30 CCEDMN N#CCNC(=O)C[N@H+]1CCCC[C@H]1[C@H]1CCCN1C(=O)[O-] ZINC000740305328 581322429 /nfs/dbraw/zinc/32/24/29/581322429.db2.gz LUSJVLZMTYFOBI-NWDGAFQWSA-N 0 1 294.355 0.623 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1CCCC[C@H]1[C@H]1CCCN1C(=O)[O-] ZINC000740305328 581322431 /nfs/dbraw/zinc/32/24/31/581322431.db2.gz LUSJVLZMTYFOBI-NWDGAFQWSA-N 0 1 294.355 0.623 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H](CCC)NC(=O)[O-])CC1 ZINC000738457278 581331493 /nfs/dbraw/zinc/33/14/93/581331493.db2.gz LNJZILYLXYIERJ-LBPRGKRZSA-N 0 1 281.356 0.590 20 30 CCEDMN CCOC1CC(C=Nn2c(=O)c(C)n[nH]c2=S)C1 ZINC000794863815 581389426 /nfs/dbraw/zinc/38/94/26/581389426.db2.gz JQIYGEAOFITCKJ-UHFFFAOYSA-N 0 1 268.342 0.884 20 30 CCEDMN CC(C)(NCC(=O)NC1(C#N)CCC1)C(=O)N1CCCC1 ZINC000796584405 581444907 /nfs/dbraw/zinc/44/49/07/581444907.db2.gz MOSOWYGHNPJMQU-UHFFFAOYSA-N 0 1 292.383 0.539 20 30 CCEDMN C[C@@H]1C(=O)CC[C@H]1CC(=O)C(C#N)C(=O)NC1CC1 ZINC000796637838 581446680 /nfs/dbraw/zinc/44/66/80/581446680.db2.gz WZXAAOYVOKLDFC-QXEWZRGKSA-N 0 1 262.309 0.979 20 30 CCEDMN CON=Cc1ccc(C(=O)OCc2nn(C)c(=O)[nH]2)cc1 ZINC000765387585 581510628 /nfs/dbraw/zinc/51/06/28/581510628.db2.gz WGFQPZWAXKPVES-UHFFFAOYSA-N 0 1 290.279 0.446 20 30 CCEDMN C#CCOCCNC(=O)Cc1[nH]nc2ccccc21 ZINC000753230718 581532721 /nfs/dbraw/zinc/53/27/21/581532721.db2.gz JMOLVNCYWMKUJF-UHFFFAOYSA-N 0 1 257.293 0.871 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000739414662 581549457 /nfs/dbraw/zinc/54/94/57/581549457.db2.gz ITYUFGDGQNIZEB-JTQLQIEISA-N 0 1 268.317 0.090 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000739414662 581549461 /nfs/dbraw/zinc/54/94/61/581549461.db2.gz ITYUFGDGQNIZEB-JTQLQIEISA-N 0 1 268.317 0.090 20 30 CCEDMN N#CCCNC(=O)CN[C@]1(CO)CCc2ccccc21 ZINC000766247410 581558576 /nfs/dbraw/zinc/55/85/76/581558576.db2.gz CDTYQUOZFTZQEX-HNNXBMFYSA-N 0 1 273.336 0.440 20 30 CCEDMN C#CCCN1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000766873082 581584193 /nfs/dbraw/zinc/58/41/93/581584193.db2.gz JMGSGSKWBKDXDI-UHFFFAOYSA-N 0 1 270.398 0.205 20 30 CCEDMN N#CCCNC(=O)C[N@H+]1CCC[C@@H]2COCC[C@H]21 ZINC000756903057 581683808 /nfs/dbraw/zinc/68/38/08/581683808.db2.gz ZAAJADKVFQZOJF-VXGBXAGGSA-N 0 1 251.330 0.517 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCCCCC(=O)[O-] ZINC000736078651 581686062 /nfs/dbraw/zinc/68/60/62/581686062.db2.gz DELNXDMKOZBCHX-NSHDSACASA-N 0 1 252.314 0.455 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2nc(C(C)(C)C)no2)N1 ZINC000758125344 581722300 /nfs/dbraw/zinc/72/23/00/581722300.db2.gz CVRSBTNXDVZQLX-SSDOTTSWSA-N 0 1 250.306 0.638 20 30 CCEDMN C#CCCCNC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000758503958 581751317 /nfs/dbraw/zinc/75/13/17/581751317.db2.gz YKLIOVCUJAKMDB-UHFFFAOYSA-N 0 1 264.247 0.973 20 30 CCEDMN CC[N@@H+]1CCC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)[C@H](C)C1 ZINC000741464700 581753563 /nfs/dbraw/zinc/75/35/63/581753563.db2.gz SPSGHAYJSVHRCD-MRVPVSSYSA-N 0 1 289.343 0.562 20 30 CCEDMN CC[N@H+]1CCC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)[C@H](C)C1 ZINC000741464700 581753568 /nfs/dbraw/zinc/75/35/68/581753568.db2.gz SPSGHAYJSVHRCD-MRVPVSSYSA-N 0 1 289.343 0.562 20 30 CCEDMN N#CCNC(=O)COC(=O)c1[nH]nc2c1CCCC2 ZINC000731705483 581776953 /nfs/dbraw/zinc/77/69/53/581776953.db2.gz FEOVNNZCRSLPLM-UHFFFAOYSA-N 0 1 262.269 0.085 20 30 CCEDMN C[C@@H](C[N@@H+](CC(=O)NCCC#N)C1CC1)C(=O)[O-] ZINC000736577374 581796903 /nfs/dbraw/zinc/79/69/03/581796903.db2.gz BIMCAIFWKXVVBM-VIFPVBQESA-N 0 1 253.302 0.201 20 30 CCEDMN CNC(=O)C1(C(=O)Nc2cc(C#N)ccc2O)CC1 ZINC000759718396 581816978 /nfs/dbraw/zinc/81/69/78/581816978.db2.gz VDWIPVZFOHNLKB-UHFFFAOYSA-N 0 1 259.265 0.729 20 30 CCEDMN N#Cc1ccc([C@@H](O)CN2CCN3C(=O)N=NC3C2)cc1 ZINC000771812219 581823381 /nfs/dbraw/zinc/82/33/81/581823381.db2.gz KQBKZZLFSFXVPC-LBPRGKRZSA-N 0 1 285.307 0.405 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H]2CCOC2=O)CC1 ZINC000772116013 581843768 /nfs/dbraw/zinc/84/37/68/581843768.db2.gz LLSFJZSOALFJRN-NSHDSACASA-N 0 1 251.282 0.190 20 30 CCEDMN N#CC(C(=O)C1CCCC1)C(=O)N1CCS(=O)(=O)CC1 ZINC000732909908 581853889 /nfs/dbraw/zinc/85/38/89/581853889.db2.gz IXEQPDVQEAEFIS-NSHDSACASA-N 0 1 298.364 0.142 20 30 CCEDMN C[C@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)CCN1C ZINC000761074681 581885501 /nfs/dbraw/zinc/88/55/01/581885501.db2.gz IVCUMJQUDLMDPL-FZMZJTMJSA-N 0 1 264.373 0.431 20 30 CCEDMN CC(=NNCCS(C)(=O)=O)c1ccc(N)cc1 ZINC000733205925 581891311 /nfs/dbraw/zinc/89/13/11/581891311.db2.gz SDLARCRKVLAAQG-UHFFFAOYSA-N 0 1 255.343 0.627 20 30 CCEDMN CCOC(=O)[C@H]1CSCCN1C(=O)C(C)C#N ZINC000746117338 581894360 /nfs/dbraw/zinc/89/43/60/581894360.db2.gz VSDNJHKBSUPTMJ-RKDXNWHRSA-N 0 1 256.327 0.653 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000768032164 581907667 /nfs/dbraw/zinc/90/76/67/581907667.db2.gz WULYXIYLRMTRAS-VIFPVBQESA-N 0 1 263.301 0.149 20 30 CCEDMN C[C@@H]1CC(N=Nc2ccccc2S(N)(=O)=O)CN1C ZINC000773126506 581909672 /nfs/dbraw/zinc/90/96/72/581909672.db2.gz YQTIANYQHLBLQH-SECBINFHSA-N 0 1 282.369 0.826 20 30 CCEDMN C(=NNc1ncnc2nc[nH]c21)c1cnc2cnccn12 ZINC000746369077 581911688 /nfs/dbraw/zinc/91/16/88/581911688.db2.gz MDYHLOHAWGZMAJ-UHFFFAOYSA-N 0 1 279.267 0.842 20 30 CCEDMN C=CCNC(=S)N1CCN(C2CCOCC2)CC1 ZINC000747671227 581949052 /nfs/dbraw/zinc/94/90/52/581949052.db2.gz IHBRYGWNQBGPEP-UHFFFAOYSA-N 0 1 269.414 0.844 20 30 CCEDMN C#CCOCCOC(=O)CCCCc1cn[nH]n1 ZINC000774147528 581961716 /nfs/dbraw/zinc/96/17/16/581961716.db2.gz MBAPSKCCUKTBNT-UHFFFAOYSA-N 0 1 251.286 0.711 20 30 CCEDMN CNC(=O)CC[N@@H+](C)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000737536170 581976134 /nfs/dbraw/zinc/97/61/34/581976134.db2.gz GGHBCDMBVLLTKA-CYBMUJFWSA-N 0 1 275.308 0.752 20 30 CCEDMN C[C@@H]1CC(NC(O)=CS(=O)(=O)C2CCCC2)=NO1 ZINC000763259530 581992647 /nfs/dbraw/zinc/99/26/47/581992647.db2.gz LOYVXIBFKHVKCU-MRVPVSSYSA-N 0 1 274.342 0.582 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)[NH+]2CCC(C(=O)[O-])CC2)cc1 ZINC000738431418 582048823 /nfs/dbraw/zinc/04/88/23/582048823.db2.gz YVLMGNVBKOUDIW-CYBMUJFWSA-N 0 1 287.319 0.881 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@H+](C)[C@@H](C)CNC(=O)[O-] ZINC000738471114 582054378 /nfs/dbraw/zinc/05/43/78/582054378.db2.gz POLNEAIKPMNKQW-NSHDSACASA-N 0 1 271.361 0.999 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@@H+](C)[C@@H](C)CNC(=O)[O-] ZINC000738471114 582054380 /nfs/dbraw/zinc/05/43/80/582054380.db2.gz POLNEAIKPMNKQW-NSHDSACASA-N 0 1 271.361 0.999 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)cn1 ZINC000765109434 582089675 /nfs/dbraw/zinc/08/96/75/582089675.db2.gz KMKJHYZGSRZGSB-LLVKDONJSA-N 0 1 282.307 0.596 20 30 CCEDMN CCS(=O)(CC)=NS(=O)(=O)NCCC#N ZINC000867201937 615356607 /nfs/dbraw/zinc/35/66/07/615356607.db2.gz PLRPUEGMVLDYSE-UHFFFAOYSA-N 0 1 253.349 0.242 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@@H](O)CN1CCCCC1 ZINC000805009880 612984504 /nfs/dbraw/zinc/98/45/04/612984504.db2.gz XWSNBSNQOQKECT-CYBMUJFWSA-N 0 1 288.413 0.166 20 30 CCEDMN COc1cc(C(C)=NNC2=[NH+]CCN2)cc([N+](=O)[O-])c1[O-] ZINC000841661709 617173569 /nfs/dbraw/zinc/17/35/69/617173569.db2.gz REFKVOORVHXMCJ-UHFFFAOYSA-N 0 1 293.283 0.582 20 30 CCEDMN COc1cc(C(C)=NNC2=NCCN2)cc([N+](=O)[O-])c1O ZINC000841661709 617173570 /nfs/dbraw/zinc/17/35/70/617173570.db2.gz REFKVOORVHXMCJ-UHFFFAOYSA-N 0 1 293.283 0.582 20 30 CCEDMN COc1cc(C)c(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1OC ZINC000834480249 617174103 /nfs/dbraw/zinc/17/41/03/617174103.db2.gz NGBQUPUKLPFIOR-ZYHUDNBSSA-N 0 1 289.335 0.854 20 30 CCEDMN C#CCCN(CCOC)C(=O)NC[C@@H]1CCN1CC ZINC000890057751 612987673 /nfs/dbraw/zinc/98/76/73/612987673.db2.gz MUIVNKDIZCOJNM-ZDUSSCGKSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC000981361958 613005330 /nfs/dbraw/zinc/00/53/30/613005330.db2.gz GLTYHMNWJJAMEL-KBPBESRZSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCCN1CCN(C[C@@H]2CN(CC)C(=O)O2)CC1 ZINC000846837999 613011344 /nfs/dbraw/zinc/01/13/44/613011344.db2.gz ULZCUTYAHQRRJP-CYBMUJFWSA-N 0 1 265.357 0.468 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N[C@H]1C(=O)NCC1(CC)CC ZINC000933292161 612948407 /nfs/dbraw/zinc/94/84/07/612948407.db2.gz DMNFMFANKYMDNQ-MNOVXSKESA-N 0 1 265.357 0.019 20 30 CCEDMN C[C@@H]1C[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C[C@H]1C(=O)[O-] ZINC000828411064 601515247 /nfs/dbraw/zinc/51/52/47/601515247.db2.gz DESVSJKOPWFCGB-WQAKAFBOSA-N 0 1 287.319 0.737 20 30 CCEDMN C#CCSCCNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000896517652 613015445 /nfs/dbraw/zinc/01/54/45/613015445.db2.gz DEKZZFQPYLBAKQ-LBPRGKRZSA-N 0 1 268.382 0.190 20 30 CCEDMN C#CCNC(=S)N1CCN(C(C)(C)COC)CC1 ZINC000819022183 612953172 /nfs/dbraw/zinc/95/31/72/612953172.db2.gz DGUOPNRKANBHFY-UHFFFAOYSA-N 0 1 269.414 0.537 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NCCN1CCCOCC1 ZINC000858489300 613050745 /nfs/dbraw/zinc/05/07/45/613050745.db2.gz YPXLYCFWMODQLH-CQSZACIVSA-N 0 1 297.399 0.436 20 30 CCEDMN C#CCCS(=O)(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000808300607 613051875 /nfs/dbraw/zinc/05/18/75/613051875.db2.gz SOMPKPWJHVPYLE-UHFFFAOYSA-N 0 1 268.342 0.337 20 30 CCEDMN C[C@H]1CC(NC(=O)c2sccc2-c2nn[nH]n2)=NO1 ZINC000824891636 608108861 /nfs/dbraw/zinc/10/88/61/608108861.db2.gz BKXIWUWDRXANLP-YFKPBYRVSA-N 0 1 278.297 0.780 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(C(=O)OC)c1 ZINC000912258681 612956592 /nfs/dbraw/zinc/95/65/92/612956592.db2.gz KKGKAPBDICIBTR-UHFFFAOYSA-N 0 1 260.293 0.312 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC000972068396 613081573 /nfs/dbraw/zinc/08/15/73/613081573.db2.gz WXLKHGNDLVUVEV-CHWSQXEVSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](OC)C(C)C)C1 ZINC000972244139 613083470 /nfs/dbraw/zinc/08/34/70/613083470.db2.gz ZTQHMAVLPXEZNB-CHWSQXEVSA-N 0 1 252.358 0.823 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCCO2)C1 ZINC000971358118 613092081 /nfs/dbraw/zinc/09/20/81/613092081.db2.gz XDXYVKBTSYOELY-STQMWFEESA-N 0 1 250.342 0.721 20 30 CCEDMN Cc1ccncc1Cn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000826315224 609174469 /nfs/dbraw/zinc/17/44/69/609174469.db2.gz CLOYZYAKBMRFKB-UHFFFAOYSA-N 0 1 281.283 0.269 20 30 CCEDMN N#CCCCCn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000826381307 609174820 /nfs/dbraw/zinc/17/48/20/609174820.db2.gz GNMVVBHEIQQWHS-UHFFFAOYSA-N 0 1 257.261 0.211 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc(C)n2C)C1 ZINC000972173046 613096264 /nfs/dbraw/zinc/09/62/64/613096264.db2.gz QCTGKBXVSYBZBA-LBPRGKRZSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(CC)[C@H]1CCCN(CCC(=O)OC)C1=O ZINC000851799654 613106925 /nfs/dbraw/zinc/10/69/25/613106925.db2.gz PAYQRNGEKSHOLU-LBPRGKRZSA-N 0 1 266.341 0.496 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC[C@H](CCF)C2)C1=O ZINC000849181377 613109358 /nfs/dbraw/zinc/10/93/58/613109358.db2.gz MYGKXWLJPYRYKW-VXGBXAGGSA-N 0 1 266.316 0.819 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)NCC1(S(=O)(=O)CC)CC1 ZINC000876973750 613389661 /nfs/dbraw/zinc/38/96/61/613389661.db2.gz ONIJFSQFLVASNE-VIFPVBQESA-N 0 1 294.804 0.540 20 30 CCEDMN C#CC1(O)CN(Cc2ncc(Br)cc2O)C1 ZINC000898147186 612961249 /nfs/dbraw/zinc/96/12/49/612961249.db2.gz MATZCPFHDGDELY-UHFFFAOYSA-N 0 1 283.125 0.730 20 30 CCEDMN COc1cc(NC(=O)N[C@H]2CNC[C@H]2C#N)ccn1 ZINC000841238540 617204802 /nfs/dbraw/zinc/20/48/02/617204802.db2.gz OCNVZAHGVNQHJH-SCZZXKLOSA-N 0 1 261.285 0.323 20 30 CCEDMN COc1cc([C@H](C)NC[C@H](O)CC#N)ccc1OCCO ZINC000930207397 617217386 /nfs/dbraw/zinc/21/73/86/617217386.db2.gz MIIFDOVXPNEBIL-WCQYABFASA-N 0 1 294.351 0.991 20 30 CCEDMN CC(C)(C)c1nc[nH]c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000876802962 614046874 /nfs/dbraw/zinc/04/68/74/614046874.db2.gz SWZQIWSYAKVGEC-DTWKUNHWSA-N 0 1 261.329 0.549 20 30 CCEDMN C#CCC1(O)CCN(CC(=O)NC(C)(C)C)CC1 ZINC000880479296 612973116 /nfs/dbraw/zinc/97/31/16/612973116.db2.gz SEVDDUGHEUZTGL-UHFFFAOYSA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCCCC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000840505595 612974694 /nfs/dbraw/zinc/97/46/94/612974694.db2.gz YVSAXNBAPQFJLG-LBPRGKRZSA-N 0 1 266.341 0.353 20 30 CCEDMN C#CCCCCNC(=O)NCc1n[nH]c(COC)n1 ZINC000853852847 612977779 /nfs/dbraw/zinc/97/77/79/612977779.db2.gz PNENSKUJNMMTOD-UHFFFAOYSA-N 0 1 265.317 0.554 20 30 CCEDMN C#CCCCCNC(=O)NCc1nnc(COC)[nH]1 ZINC000853852847 612977780 /nfs/dbraw/zinc/97/77/80/612977780.db2.gz PNENSKUJNMMTOD-UHFFFAOYSA-N 0 1 265.317 0.554 20 30 CCEDMN CC(C)[C@H]1CN(C)CCN1CC(=O)NCCC#N ZINC000823184967 614272701 /nfs/dbraw/zinc/27/27/01/614272701.db2.gz LSYKWJPVRCXPCB-GFCCVEGCSA-N 0 1 252.362 0.288 20 30 CCEDMN COc1ccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c(Cl)n1 ZINC000841145416 617270047 /nfs/dbraw/zinc/27/00/47/617270047.db2.gz QOMQIXZGQHIBII-IONNQARKSA-N 0 1 295.730 0.977 20 30 CCEDMN COc1ccc(NC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000841002532 617270322 /nfs/dbraw/zinc/27/03/22/617270322.db2.gz YJCWIKMFSGMJNV-SKDRFNHKSA-N 0 1 260.297 0.928 20 30 CCEDMN COc1ccc(OCC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834507333 617276538 /nfs/dbraw/zinc/27/65/38/617276538.db2.gz ZTUCZEADTPCSPR-ZWNOBZJWSA-N 0 1 275.308 0.302 20 30 CCEDMN COc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1OC ZINC000834511128 617293020 /nfs/dbraw/zinc/29/30/20/617293020.db2.gz INBHXFMHXZLTCN-GXSJLCMTSA-N 0 1 275.308 0.545 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H]1CN(C)C(=O)c1ccn[nH]1 ZINC000977421229 617293475 /nfs/dbraw/zinc/29/34/75/617293475.db2.gz JNLKISGBTWQSHT-UWVGGRQHSA-N 0 1 275.312 0.242 20 30 CCEDMN C#CC1(O)CN(C(=O)N[C@@H]2C[C@H](C)[N@H+](C3CC3)C2)C1 ZINC000893538570 612956493 /nfs/dbraw/zinc/95/64/93/612956493.db2.gz YYFRVLOXWQAFOS-WDEREUQCSA-N 0 1 263.341 0.001 20 30 CCEDMN C#CCC(CC#C)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000896545798 612967767 /nfs/dbraw/zinc/96/77/67/612967767.db2.gz QEPNZHILQVKDNB-UKRRQHHQSA-N 0 1 275.396 0.400 20 30 CCEDMN C#CCCCCCCN1CCN2C(=O)NC(=O)[C@H]2C1 ZINC000877168430 612976688 /nfs/dbraw/zinc/97/66/88/612976688.db2.gz UDPMYTZODUXLNX-GFCCVEGCSA-N 0 1 263.341 0.806 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000928095097 612980338 /nfs/dbraw/zinc/98/03/38/612980338.db2.gz DFQOPCYJYHGBJM-OLZOCXBDSA-N 0 1 282.409 0.722 20 30 CCEDMN C#CCOCCN1CCCC[C@@H]1CNS(C)(=O)=O ZINC000851725732 612982723 /nfs/dbraw/zinc/98/27/23/612982723.db2.gz FBRISVZFFGDASW-GFCCVEGCSA-N 0 1 274.386 0.040 20 30 CCEDMN C#CCOCCN1CCC[C@@H](OC(=O)N(C)C)C1 ZINC000852112547 612983079 /nfs/dbraw/zinc/98/30/79/612983079.db2.gz RAFNHVZNMRBQTH-GFCCVEGCSA-N 0 1 254.330 0.799 20 30 CCEDMN C#CCOCCN1CC[C@H](NC(=O)NC2CC2)C1 ZINC000851936806 612984802 /nfs/dbraw/zinc/98/48/02/612984802.db2.gz AAILAEXKKLCBJE-LBPRGKRZSA-N 0 1 251.330 0.172 20 30 CCEDMN C#CCOCCNC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000811903624 612987744 /nfs/dbraw/zinc/98/77/44/612987744.db2.gz UVPIDVSSWWWRPZ-SNVBAGLBSA-N 0 1 262.313 0.216 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1CCCN(CCOC)C1 ZINC000823152452 612997483 /nfs/dbraw/zinc/99/74/83/612997483.db2.gz SBBYSWBHFKKZDM-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC000822837043 612999417 /nfs/dbraw/zinc/99/94/17/612999417.db2.gz PZUYEMCOJUYASQ-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H]2C[C@@H](C)CO2)CC1 ZINC000981651051 613004903 /nfs/dbraw/zinc/00/49/03/613004903.db2.gz XIDKGRMUSKSBDG-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@]2(C)CCC(=O)NC2)CC1 ZINC000981801991 613005277 /nfs/dbraw/zinc/00/52/77/613005277.db2.gz DCCDSOUGFQJBEP-MRXNPFEDSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCOc1ccc(CN2CC(N3CC[C@@H](O)C3)C2)cc1 ZINC000843422776 613005908 /nfs/dbraw/zinc/00/59/08/613005908.db2.gz XQWJNQZOGIEKSQ-MRXNPFEDSA-N 0 1 286.375 0.949 20 30 CCEDMN C#CCSCC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000896856140 613010202 /nfs/dbraw/zinc/01/02/02/613010202.db2.gz OHYSTOMBJQDJJQ-ZDUSSCGKSA-N 0 1 282.409 0.534 20 30 CCEDMN C#CCCN1CCN(c2cccc3nncn32)CC1 ZINC000892315147 613012470 /nfs/dbraw/zinc/01/24/70/613012470.db2.gz OSURIURVDQXXML-UHFFFAOYSA-N 0 1 255.325 0.875 20 30 CCEDMN C#CCCN1CCO[C@@]2(CCN(C(=O)c3ccn[nH]3)C2)C1 ZINC000972274429 613012601 /nfs/dbraw/zinc/01/26/01/613012601.db2.gz FFXZILWSTNITSG-HNNXBMFYSA-N 0 1 288.351 0.350 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2cnn(CC)n2)C1 ZINC000965973699 613023622 /nfs/dbraw/zinc/02/36/22/613023622.db2.gz FCJYOVHHMCUZNJ-JSGCOSHPSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)C(=O)OC ZINC000854909754 613041158 /nfs/dbraw/zinc/04/11/58/613041158.db2.gz HWWPLOYKWYETQG-GXSJLCMTSA-N 0 1 275.308 0.196 20 30 CCEDMN C#CC[C@@H](NC(=O)c1[nH]nc2c1CCCC2)C(=O)OC ZINC000837935839 613042447 /nfs/dbraw/zinc/04/24/47/613042447.db2.gz JOBUBIRGKUQLSW-LLVKDONJSA-N 0 1 275.308 0.583 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)C(=O)NCc2cn[nH]c2C)C1 ZINC000834589867 613043809 /nfs/dbraw/zinc/04/38/09/613043809.db2.gz XIIKXPQUWAJQJU-GFCCVEGCSA-N 0 1 288.351 0.596 20 30 CCEDMN C#CCCOC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC000867605605 613050487 /nfs/dbraw/zinc/05/04/87/613050487.db2.gz LRLPTWYYCIASCD-UHFFFAOYSA-N 0 1 278.312 0.948 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1cc(F)cc(F)c1O ZINC000936064243 613057507 /nfs/dbraw/zinc/05/75/07/613057507.db2.gz ZNOKTUPFNSCBHJ-MRVPVSSYSA-N 0 1 255.220 0.784 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@H]1CCCCN1CC ZINC000799079648 613058366 /nfs/dbraw/zinc/05/83/66/613058366.db2.gz VBQSONKNEJEGLX-CHWSQXEVSA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000847158145 613058411 /nfs/dbraw/zinc/05/84/11/613058411.db2.gz BCNPBWSJXWQYOT-ZJUUUORDSA-N 0 1 262.313 0.115 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCc1cc(N(C)C)ccn1 ZINC000923487232 613063856 /nfs/dbraw/zinc/06/38/56/613063856.db2.gz BJEHBCOLKVAEQC-GFCCVEGCSA-N 0 1 290.367 0.985 20 30 CCEDMN C#CC[C@H](NC(=O)C[C@H](C)n1cc[nH+]c1CC)C(=O)[O-] ZINC000910051729 613068115 /nfs/dbraw/zinc/06/81/15/613068115.db2.gz ZOHHEPZSNVFFRL-QWRGUYRKSA-N 0 1 277.324 0.989 20 30 CCEDMN C#CCN(C(=O)c1ncccc1[O-])C1CC[NH+](C)CC1 ZINC000886579120 613070517 /nfs/dbraw/zinc/07/05/17/613070517.db2.gz YTPWCBCZGZNHRS-UHFFFAOYSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NC[C@H](C(=O)[O-])C1CCC1 ZINC000854233631 613075727 /nfs/dbraw/zinc/07/57/27/613075727.db2.gz HDLUQUPBQRTNPA-ZDUSSCGKSA-N 0 1 295.383 0.742 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@H]2CCCc3[nH]ncc32)cn1 ZINC000798129467 613077475 /nfs/dbraw/zinc/07/74/75/613077475.db2.gz YTJKAHLWXREIOX-LBPRGKRZSA-N 0 1 283.335 0.976 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1cc([O-])cc(C#N)c1 ZINC000818599190 613078136 /nfs/dbraw/zinc/07/81/36/613078136.db2.gz DBEYFZWAYUDEQW-UHFFFAOYSA-N 0 1 271.320 0.949 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cc([O-])cc(C#N)c1 ZINC000818599190 613078138 /nfs/dbraw/zinc/07/81/38/613078138.db2.gz DBEYFZWAYUDEQW-UHFFFAOYSA-N 0 1 271.320 0.949 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cnn(C)c2C)C1 ZINC000971655894 613082043 /nfs/dbraw/zinc/08/20/43/613082043.db2.gz OGDHYEHQLPUAOY-CQSZACIVSA-N 0 1 274.368 0.437 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c(Cl)cnn2C)C1 ZINC000971544274 613084390 /nfs/dbraw/zinc/08/43/90/613084390.db2.gz ZLFKIWNKVKRVPJ-SNVBAGLBSA-N 0 1 280.759 0.853 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NC[C@@]1(O)CC[N@@H+](C)C1 ZINC000820654739 613085421 /nfs/dbraw/zinc/08/54/21/613085421.db2.gz SPKYVWNCGOZDRH-AAEUAGOBSA-N 0 1 253.346 0.154 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc3c(c2)NC(=O)C3)C1 ZINC000971592700 613085836 /nfs/dbraw/zinc/08/58/36/613085836.db2.gz PDPKDJQWISDONV-CQSZACIVSA-N 0 1 297.358 0.961 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnn2CCOC)C1 ZINC000971755552 613086820 /nfs/dbraw/zinc/08/68/20/613086820.db2.gz AOARCGBIFUERGP-CYBMUJFWSA-N 0 1 290.367 0.309 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nccn3ccnc23)C1 ZINC000972357362 613088159 /nfs/dbraw/zinc/08/81/59/613088159.db2.gz DJLCRIFVQQYTDS-GFCCVEGCSA-N 0 1 283.335 0.509 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN[C@@H](C)C1)[C@H]1CCCO1 ZINC000841879748 613094194 /nfs/dbraw/zinc/09/41/94/613094194.db2.gz RJOFYHGGHXTSHM-QJPTWQEYSA-N 0 1 251.330 0.171 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CC[C@@H](O)C1)c1ccccc1 ZINC000875721866 613095668 /nfs/dbraw/zinc/09/56/68/613095668.db2.gz JYPVXJLTVMNJNH-HUUCEWRRSA-N 0 1 287.363 0.727 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnc3c2CC(=O)N3)C1 ZINC000971862608 613095627 /nfs/dbraw/zinc/09/56/27/613095627.db2.gz AVAHEIZGAKJIBY-NSHDSACASA-N 0 1 298.346 0.356 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(C)nc2COC)C1 ZINC000971818788 613096412 /nfs/dbraw/zinc/09/64/12/613096412.db2.gz RBQPRIWRANFQAJ-LBPRGKRZSA-N 0 1 290.367 0.346 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2n[nH]c3c2CCC3)C1 ZINC000971854943 613096602 /nfs/dbraw/zinc/09/66/02/613096602.db2.gz UKLDFVHPQMNFTD-NSHDSACASA-N 0 1 272.352 0.678 20 30 CCEDMN C#CCN(CC#CC)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000900855759 613099549 /nfs/dbraw/zinc/09/95/49/613099549.db2.gz KGUBJPCXMLFRDE-AWEZNQCLSA-N 0 1 260.337 0.192 20 30 CCEDMN C#C[C@@H]1CCCN(Cc2c(CO)[nH]cc(OC)c2=O)C1 ZINC000902306444 613106384 /nfs/dbraw/zinc/10/63/84/613106384.db2.gz NQLRSNLGCZOWJT-LLVKDONJSA-N 0 1 276.336 0.721 20 30 CCEDMN C#C[C@H](C)NC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000820394358 613116808 /nfs/dbraw/zinc/11/68/08/613116808.db2.gz JLPWGZZYDJWWQM-SRVKXCTJSA-N 0 1 253.346 0.105 20 30 CCEDMN C#CCN1CC([C@H](C)NC(=O)c2ccnc3n[nH]nc32)C1 ZINC000970122130 613126491 /nfs/dbraw/zinc/12/64/91/613126491.db2.gz ZLLDBTPFYOHNHY-VIFPVBQESA-N 0 1 284.323 0.036 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](O)CC[C@H]2C)CC1 ZINC000882711424 613129629 /nfs/dbraw/zinc/12/96/29/613129629.db2.gz PIGGTRFRUDDESP-OCCSQVGLSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN1CCC(NC(=O)CCn2cccn2)CC1 ZINC000928659579 613133059 /nfs/dbraw/zinc/13/30/59/613133059.db2.gz SAFYZLCHQNQJIA-UHFFFAOYSA-N 0 1 260.341 0.487 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2nc(CC)c[nH]2)CC1 ZINC000928648653 613133369 /nfs/dbraw/zinc/13/33/69/613133369.db2.gz PCSFWOZHVZWRJS-UHFFFAOYSA-N 0 1 274.368 0.728 20 30 CCEDMN C#C[C@H](NC(=O)N(C)Cc1cnc[nH]1)[C@@H]1CCCO1 ZINC000852259883 613134468 /nfs/dbraw/zinc/13/44/68/613134468.db2.gz NGXWLJBMIVPEIP-RYUDHWBXSA-N 0 1 262.313 0.732 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCO[C@H]2C)CC1 ZINC000921704772 613135230 /nfs/dbraw/zinc/13/52/30/613135230.db2.gz DJXHCMCCWKSAFM-AAEUAGOBSA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCC[C@H]2CCO)CC1 ZINC000922099603 613135361 /nfs/dbraw/zinc/13/53/61/613135361.db2.gz LJAYUJDWMHQICY-ZFWWWQNUSA-N 0 1 293.411 0.934 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ncccn2)CC1 ZINC000931815407 613135423 /nfs/dbraw/zinc/13/54/23/613135423.db2.gz OYJFMJBDFPLDDZ-UHFFFAOYSA-N 0 1 259.313 0.696 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC000922792254 613135550 /nfs/dbraw/zinc/13/55/50/613135550.db2.gz SGMZTJGJGCJVTP-YPMHNXCESA-N 0 1 292.383 0.037 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](OC)C2CC2)CC1 ZINC000928653256 613135729 /nfs/dbraw/zinc/13/57/29/613135729.db2.gz GDNGRENXMBJSOB-ZDUSSCGKSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](CC)C(=O)OCC)CC1 ZINC000928649082 613136216 /nfs/dbraw/zinc/13/62/16/613136216.db2.gz GIXMBFRXQWPRPH-ZDUSSCGKSA-N 0 1 280.368 0.790 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@]2(CC)CCNC2=O)CC1 ZINC000928660605 613136721 /nfs/dbraw/zinc/13/67/21/613136721.db2.gz RKSPFGYZXZTAHH-OAHLLOKOSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc(Cl)n2C)CC1 ZINC000928648107 613137521 /nfs/dbraw/zinc/13/75/21/613137521.db2.gz GJYIDUHMCBFURM-UHFFFAOYSA-N 0 1 280.759 0.901 20 30 CCEDMN C#CCN1CCC(NC(=S)NC[C@H]2CCCO2)CC1 ZINC000905656042 613138010 /nfs/dbraw/zinc/13/80/10/613138010.db2.gz CHSIVMKBSPQDKF-CYBMUJFWSA-N 0 1 281.425 0.727 20 30 CCEDMN C#CCN1CCC(NC(=S)NCCSC)CC1 ZINC000905657278 613138172 /nfs/dbraw/zinc/13/81/72/613138172.db2.gz IXRXVKMOMQENED-UHFFFAOYSA-N 0 1 271.455 0.911 20 30 CCEDMN C#CCN1CCC(NC(=O)c2snnc2CC)CC1 ZINC000928659294 613138246 /nfs/dbraw/zinc/13/82/46/613138246.db2.gz JWEVBFOAABPXDZ-UHFFFAOYSA-N 0 1 278.381 0.928 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)C(C)C)CCO2 ZINC000949026954 613139583 /nfs/dbraw/zinc/13/95/83/613139583.db2.gz KRIQWEKAIDGQAS-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)c1ccc[nH]1)CCO2 ZINC000949026934 613140039 /nfs/dbraw/zinc/14/00/39/613140039.db2.gz JRFLRNHFFJRVKD-UHFFFAOYSA-N 0 1 287.363 0.955 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CC3(O)CCC3)C2)C1 ZINC000981759382 613140465 /nfs/dbraw/zinc/14/04/65/613140465.db2.gz SNDXURCBJXKCHK-UHFFFAOYSA-N 0 1 276.380 0.849 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CCOC3)C2)C1 ZINC000981655776 613143210 /nfs/dbraw/zinc/14/32/10/613143210.db2.gz HZLBSLBASIMJQL-ZDUSSCGKSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC000968980173 613148263 /nfs/dbraw/zinc/14/82/63/613148263.db2.gz SQJOIWHYEPUQLK-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1c[nH]c(=O)cn1 ZINC000969004733 613149016 /nfs/dbraw/zinc/14/90/16/613149016.db2.gz ATXPTXQKNYPWNS-LBPRGKRZSA-N 0 1 288.351 0.378 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1ncn(C)n1 ZINC000968837364 613150327 /nfs/dbraw/zinc/15/03/27/613150327.db2.gz MVRGGEWARXZOHD-LBPRGKRZSA-N 0 1 275.356 0.423 20 30 CCEDMN C#C[C@H](N[C@@H]1C[C@@H](OCC)[C@@H]1OC)[C@@H]1CCCO1 ZINC000893675172 613152754 /nfs/dbraw/zinc/15/27/54/613152754.db2.gz DQGUYQGNTVIQBI-MEBFFEOJSA-N 0 1 253.342 0.949 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N2CCC[C@@H](NC(C)=O)C2)C1 ZINC000847027654 613158958 /nfs/dbraw/zinc/15/89/58/613158958.db2.gz VMIATDYMLIJHQG-LSDHHAIUSA-N 0 1 291.395 0.459 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCCN(CCO)CC2)cc1 ZINC000981734291 613168522 /nfs/dbraw/zinc/16/85/22/613168522.db2.gz WGWGAPOFWLGCMZ-UHFFFAOYSA-N 0 1 272.348 0.808 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000923556740 613171963 /nfs/dbraw/zinc/17/19/63/613171963.db2.gz IDBSUNVRIACNSY-CHWSQXEVSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCc1cn(C)nn1 ZINC000850826592 613176629 /nfs/dbraw/zinc/17/66/29/613176629.db2.gz NYQXJHHTXRVHMN-CYBMUJFWSA-N 0 1 276.340 0.389 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCO)CC[C@H]2C)cn1 ZINC000968560189 613187110 /nfs/dbraw/zinc/18/71/10/613187110.db2.gz BAATYGZRSMHGAV-IUODEOHRSA-N 0 1 287.363 0.495 20 30 CCEDMN C=C(Br)CNC(=O)CCc1nn[nH]n1 ZINC000865024134 613236214 /nfs/dbraw/zinc/23/62/14/613236214.db2.gz YQNCYUZBOTVJHG-UHFFFAOYSA-N 0 1 260.095 0.157 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)Nc1nnc(OCC)[n-]1 ZINC000830397089 613203441 /nfs/dbraw/zinc/20/34/41/613203441.db2.gz JEJNFIDRURTAKB-VIFPVBQESA-N 0 1 263.301 0.240 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)Nc1nc(OCC)n[nH]1 ZINC000830397089 613203442 /nfs/dbraw/zinc/20/34/42/613203442.db2.gz JEJNFIDRURTAKB-VIFPVBQESA-N 0 1 263.301 0.240 20 30 CCEDMN C#Cc1cccc(NC(=O)CN(C)C[C@H]2CNC(=O)C2)c1 ZINC000851729720 613203769 /nfs/dbraw/zinc/20/37/69/613203769.db2.gz FTHVSRLKDXBTJP-CYBMUJFWSA-N 0 1 285.347 0.674 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1CCc2[nH]nnc2C1 ZINC000810447708 613206013 /nfs/dbraw/zinc/20/60/13/613206013.db2.gz BZVVEGKBYMVFLG-UHFFFAOYSA-N 0 1 266.304 0.913 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC000966557285 613214448 /nfs/dbraw/zinc/21/44/48/613214448.db2.gz CJYULUVUOQJLBN-DOMZBBRYSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000941093095 613226011 /nfs/dbraw/zinc/22/60/11/613226011.db2.gz JDALGBQDDUUCNV-QWHCGFSZSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC000907939999 613240271 /nfs/dbraw/zinc/24/02/71/613240271.db2.gz HKELMUOIDOCTIF-UHFFFAOYSA-N 0 1 279.344 0.612 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2n[nH]c3c2CCC3)[C@@H](C)C1 ZINC000942217349 613243581 /nfs/dbraw/zinc/24/35/81/613243581.db2.gz AGLDDNDJZJQZJU-WCQYABFASA-N 0 1 286.379 0.972 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C[C@H]2CCC(=O)N2)CC1 ZINC000951722710 613258275 /nfs/dbraw/zinc/25/82/75/613258275.db2.gz XUDYBSCSOYRTDT-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC000948614918 613259038 /nfs/dbraw/zinc/25/90/38/613259038.db2.gz OBGVWEMPMMTROY-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC000949654830 613260488 /nfs/dbraw/zinc/26/04/88/613260488.db2.gz IRNJBYXREARXRR-STQMWFEESA-N 0 1 279.384 0.608 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000940942596 613262512 /nfs/dbraw/zinc/26/25/12/613262512.db2.gz FPGDNYVDYISINJ-STQMWFEESA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)no2)[C@H](C)C1 ZINC000941971715 613266865 /nfs/dbraw/zinc/26/68/65/613266865.db2.gz SAEXYQHRCQRUQA-MNOVXSKESA-N 0 1 277.324 0.757 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc3n(n2)CCO3)C[C@@H]1C ZINC000947394649 613266893 /nfs/dbraw/zinc/26/68/93/613266893.db2.gz HSTCIZLVXGXGSG-RYUDHWBXSA-N 0 1 288.351 0.491 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(OC)nn2)[C@H](C)C1 ZINC000943985808 613269434 /nfs/dbraw/zinc/26/94/34/613269434.db2.gz AKSDLCQDVUJTMQ-NEPJUHHUSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2n[nH]cc2C)[C@H](C)C1 ZINC000942029161 613277564 /nfs/dbraw/zinc/27/75/64/613277564.db2.gz JXIPYEMLLUBMFD-NEPJUHHUSA-N 0 1 260.341 0.792 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2ccnc2)C(C)(C)C1 ZINC000977668752 613281436 /nfs/dbraw/zinc/28/14/36/613281436.db2.gz QSIGJEFVHJMPMK-GFCCVEGCSA-N 0 1 260.341 0.343 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cccc(=O)n2C)C(C)(C)C1 ZINC000975017633 613283797 /nfs/dbraw/zinc/28/37/97/613283797.db2.gz OZNZNFLYHMSBDZ-CYBMUJFWSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccoc2C)C1 ZINC000957865046 613287190 /nfs/dbraw/zinc/28/71/90/613287190.db2.gz WIAFNPFLUYYFDZ-WCQYABFASA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2sc(C)nc2C)C1 ZINC000958658237 613287902 /nfs/dbraw/zinc/28/79/02/613287902.db2.gz WWCSAGYRFZUPPJ-NWDGAFQWSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2sccc2C)C1 ZINC000957815877 613288030 /nfs/dbraw/zinc/28/80/30/613288030.db2.gz CLZAVQKFOZRTIL-NWDGAFQWSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2[nH]nc3ccccc32)C1 ZINC000958644096 613288127 /nfs/dbraw/zinc/28/81/27/613288127.db2.gz GRHRLZARXQWIGJ-SMDDNHRTSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(Cl)cn2C)[C@H](O)C1 ZINC000958243778 613288884 /nfs/dbraw/zinc/28/88/84/613288884.db2.gz MFVCTEMMEBLEAZ-ZWNOBZJWSA-N 0 1 295.770 0.334 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc3c2CCC3)[C@H](O)C1 ZINC000958744008 613289449 /nfs/dbraw/zinc/28/94/49/613289449.db2.gz DRNLXFNFZFJXQL-RHSMWYFYSA-N 0 1 298.386 0.831 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cscc2C)[C@H](O)C1 ZINC000957961507 613290650 /nfs/dbraw/zinc/29/06/50/613290650.db2.gz NICNXIDJFZGRGV-DGCLKSJQSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc3cc[nH]c32)C1 ZINC000958412942 613295060 /nfs/dbraw/zinc/29/50/60/613295060.db2.gz LEYSSNPMXAHYDT-ZFWWWQNUSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ncc(Cl)s2)C1 ZINC000958253643 613296165 /nfs/dbraw/zinc/29/61/65/613296165.db2.gz IYLLXBAFZPOFHL-IUCAKERBSA-N 0 1 299.783 0.452 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974951532 613299164 /nfs/dbraw/zinc/29/91/64/613299164.db2.gz ZJAHFKVEZKCTNT-NEPJUHHUSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2C=CC=CC=C2)C1 ZINC000958105883 613302025 /nfs/dbraw/zinc/30/20/25/613302025.db2.gz NDZNWGKKSFNRGD-CABCVRRESA-N 0 1 272.348 0.327 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2CCCCCC2)C1 ZINC000957917894 613302486 /nfs/dbraw/zinc/30/24/86/613302486.db2.gz ATKVZSUWCWKGND-CABCVRRESA-N 0 1 278.396 0.999 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC000958304505 613302839 /nfs/dbraw/zinc/30/28/39/613302839.db2.gz PUOOHQUGNNAZIA-RISCZKNCSA-N 0 1 290.367 0.018 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cncc(Cl)c2)C1 ZINC000958485206 613304283 /nfs/dbraw/zinc/30/42/83/613304283.db2.gz HZUSJNCIRRZLRW-YPMHNXCESA-N 0 1 293.754 0.391 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)Cn2cccn2)CC1 ZINC000980709923 613308820 /nfs/dbraw/zinc/30/88/20/613308820.db2.gz RTNIEALZHKKUFY-UHFFFAOYSA-N 0 1 262.357 0.994 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC000981878018 613312770 /nfs/dbraw/zinc/31/27/70/613312770.db2.gz OREHCHULJKQATC-UHFFFAOYSA-N 0 1 278.356 0.850 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cnccc1C ZINC000960326477 613314121 /nfs/dbraw/zinc/31/41/21/613314121.db2.gz GCVKHKIMIGIYPY-FOLVSLTJSA-N 0 1 269.348 0.612 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc(=O)[nH]c1 ZINC000961207108 613314253 /nfs/dbraw/zinc/31/42/53/613314253.db2.gz JTMSBHNKEZLDLO-JYAVWHMHSA-N 0 1 271.320 0.009 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1Cc2ccncc2C1 ZINC000961779679 613316430 /nfs/dbraw/zinc/31/64/30/613316430.db2.gz QFMXLBDXKPDVKG-OJLVUWQFSA-N 0 1 281.359 0.476 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1Cc2cccc(C)c2O1 ZINC000963176729 613316821 /nfs/dbraw/zinc/31/68/21/613316821.db2.gz FORNYXJQSKTNJI-QXSJWSMHSA-N 0 1 296.370 0.978 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C2CC2)cnn1C ZINC000960229648 613317192 /nfs/dbraw/zinc/31/71/92/613317192.db2.gz UVPKMXMOHZFVIF-WDNDVIMCSA-N 0 1 284.363 0.591 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c2cccnc12 ZINC000962313337 613317310 /nfs/dbraw/zinc/31/73/10/613317310.db2.gz IWBRTUIVCNANAU-IMRBUKKESA-N 0 1 280.331 0.856 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c2ccccc2nn1C ZINC000962207484 613317334 /nfs/dbraw/zinc/31/73/34/613317334.db2.gz OONUEYQPLNIBOJ-NHAGDIPZSA-N 0 1 294.358 0.867 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(F)cncc1F ZINC000960077112 613317569 /nfs/dbraw/zinc/31/75/69/613317569.db2.gz GREKGZIODAUPIR-WOFXILAISA-N 0 1 277.274 0.653 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)nn1C ZINC000958345419 613317792 /nfs/dbraw/zinc/31/77/92/613317792.db2.gz ZELVBHXCPSWWPS-PJXYFTJBSA-N 0 1 258.325 0.022 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2ncn(C)c2n1 ZINC000961759303 613319710 /nfs/dbraw/zinc/31/97/10/613319710.db2.gz XZSAQNDTVBKFBM-YABSGUDNSA-N 0 1 295.346 0.262 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnn1CC ZINC000958664232 613321061 /nfs/dbraw/zinc/32/10/61/613321061.db2.gz PRIIESRDWDAZRG-PJXYFTJBSA-N 0 1 258.325 0.196 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2n1CCCC2 ZINC000961563042 613321363 /nfs/dbraw/zinc/32/13/63/613321363.db2.gz BHGCWSLDNSDLOL-JYAVWHMHSA-N 0 1 284.363 0.513 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nccc2cccnc21 ZINC000960325448 613321878 /nfs/dbraw/zinc/32/18/78/613321878.db2.gz FNFBBNUBBLNATG-NHAGDIPZSA-N 0 1 292.342 0.923 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nccn1CC ZINC000958726884 613321969 /nfs/dbraw/zinc/32/19/69/613321969.db2.gz FSOKAVWXXKKRSV-GDNZZTSVSA-N 0 1 258.325 0.196 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCC[C@H]([C@H](C)NC(=O)[O-])C1 ZINC000823456431 613329161 /nfs/dbraw/zinc/32/91/61/613329161.db2.gz MLJHMANHUVENAE-QWRGUYRKSA-N 0 1 267.329 0.104 20 30 CCEDMN COc1cnc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(F)c1 ZINC000870940982 617330267 /nfs/dbraw/zinc/33/02/67/617330267.db2.gz OWRKGPCBYVSPOP-OIBJUYFYSA-N 0 1 264.260 0.071 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)c1ncn[nH]1)C(=O)OCC ZINC000881753639 613356203 /nfs/dbraw/zinc/35/62/03/613356203.db2.gz VEZUYWIZMJUXLF-MRVPVSSYSA-N 0 1 252.274 0.432 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)c1nc[nH]n1)C(=O)OCC ZINC000881753639 613356204 /nfs/dbraw/zinc/35/62/04/613356204.db2.gz VEZUYWIZMJUXLF-MRVPVSSYSA-N 0 1 252.274 0.432 20 30 CCEDMN C=C(C)C[C@H]1NC(=O)N(CC[N@H+]2CCCOCC2)C1=O ZINC000925346930 613360009 /nfs/dbraw/zinc/36/00/09/613360009.db2.gz DNORLDWPDPRGJN-GFCCVEGCSA-N 0 1 281.356 0.595 20 30 CCEDMN C=C(C)C[C@H]1NC(=O)N(CCN2CCCOCC2)C1=O ZINC000925346930 613360010 /nfs/dbraw/zinc/36/00/10/613360010.db2.gz DNORLDWPDPRGJN-GFCCVEGCSA-N 0 1 281.356 0.595 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N(C)CC[N@@H+](C)CCO)OCC ZINC000912607755 613360025 /nfs/dbraw/zinc/36/00/25/613360025.db2.gz JXUNSLKVFVQURY-CYBMUJFWSA-N 0 1 272.389 0.740 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCc2[nH]nnc2C1 ZINC000906827927 613361203 /nfs/dbraw/zinc/36/12/03/613361203.db2.gz YVAAMVAPIZLQEG-CABZTGNLSA-N 0 1 262.313 0.671 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000906776805 613363051 /nfs/dbraw/zinc/36/30/51/613363051.db2.gz FGORMNLKYJZAKE-APIJFGDWSA-N 0 1 295.427 0.718 20 30 CCEDMN C=C(CN(C)C)C(=O)NCC[S@](=O)CC(F)(F)F ZINC000855270291 613366538 /nfs/dbraw/zinc/36/65/38/613366538.db2.gz JHFFPLXRWRSPMN-SFHVURJKSA-N 0 1 286.319 0.531 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H]3CCC(=O)N3)[C@@H]2C1 ZINC000977955403 613381676 /nfs/dbraw/zinc/38/16/76/613381676.db2.gz MEANYULVZFRDDQ-WISYIIOYSA-N 0 1 297.786 0.312 20 30 CCEDMN C=C(Cl)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1nnc[nH]1 ZINC000962235821 613382436 /nfs/dbraw/zinc/38/24/36/613382436.db2.gz ZSGKIDYIEJOUSP-GDGBQDQQSA-N 0 1 281.747 0.146 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC000969673533 613385140 /nfs/dbraw/zinc/38/51/40/613385140.db2.gz AQDHYHIERSHZJJ-RISCZKNCSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N1CCS(=O)(=O)C[C@H]1C ZINC000876670131 613387591 /nfs/dbraw/zinc/38/75/91/613387591.db2.gz GYFONMKOTZIEHX-RKDXNWHRSA-N 0 1 280.777 0.102 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@H](C)CS(C)(=O)=O ZINC000876661664 613390371 /nfs/dbraw/zinc/39/03/71/613390371.db2.gz GYUCPMMECOPEJS-SFYZADRCSA-N 0 1 268.766 0.006 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2[C@H]3CN([C@H](C)C(=O)NC)C[C@H]32)C1 ZINC000961736468 613402807 /nfs/dbraw/zinc/40/28/07/613402807.db2.gz WXXAYNQTTWRXJR-NDBYEHHHSA-N 0 1 291.395 0.524 20 30 CCEDMN C=CC[C@@H](CO)NS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872472849 613405093 /nfs/dbraw/zinc/40/50/93/613405093.db2.gz VABVCAKKVCZRRE-XYZCENFISA-N 0 1 298.430 0.654 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@@H](C(=O)OC)[C@@H]1C ZINC000878495065 613412709 /nfs/dbraw/zinc/41/27/09/613412709.db2.gz HFBIOZLVNPVWHH-HBNTYKKESA-N 0 1 255.314 0.988 20 30 CCEDMN C=CC[C@H]1CCCN(CN2C(=O)C(=O)N(C)C2=O)C1 ZINC000853536310 613421624 /nfs/dbraw/zinc/42/16/24/613421624.db2.gz SBXIYNVIVYNRBR-JTQLQIEISA-N 0 1 265.313 0.653 20 30 CCEDMN C=CCn1c(C)nnc1Sc1c(C)[nH][nH]c1=O ZINC000915817148 613423966 /nfs/dbraw/zinc/42/39/66/613423966.db2.gz ZKOOWKFNZHNGEO-MRVPVSSYSA-N 0 1 251.315 0.739 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@@H]1CCC(=O)O1 ZINC000821083873 613424450 /nfs/dbraw/zinc/42/44/50/613424450.db2.gz ALSFSUVCYRWZNA-ZETCQYMHSA-N 0 1 255.299 0.967 20 30 CCEDMN C=CCn1c([C@@H]2CN3CCC[C@@H]3CO2)nnc1N(C)OC ZINC000828431530 613424554 /nfs/dbraw/zinc/42/45/54/613424554.db2.gz QIXKCEJFQHCTQX-NEPJUHHUSA-N 0 1 293.371 0.997 20 30 CCEDMN C=CCn1cc(C(=O)OC[C@@H]2CCCN2CCOC)nn1 ZINC000861037852 613432736 /nfs/dbraw/zinc/43/27/36/613432736.db2.gz MKAXSMOKNLTZDU-LBPRGKRZSA-N 0 1 294.355 0.732 20 30 CCEDMN C=CCC1(C(=O)N[C@H]2CNC[C@H]2C#N)CCOCC1 ZINC000834484625 613456901 /nfs/dbraw/zinc/45/69/01/613456901.db2.gz LBIBQTHQIOLDSM-NEPJUHHUSA-N 0 1 263.341 0.587 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NS(=O)(=O)N=S(C)(C)=O ZINC000867355763 613461392 /nfs/dbraw/zinc/46/13/92/613461392.db2.gz GYOHMNRCCKUNBR-BDAKNGLRSA-N 0 1 282.387 0.282 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@]1(C)C[C@H](O)CN1C ZINC000911277429 613470036 /nfs/dbraw/zinc/47/00/36/613470036.db2.gz XEVGGWNAJZAALN-MNXVOIDGSA-N 0 1 268.357 0.149 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@@H](c2[nH]ncc2N)C1 ZINC000907414954 613479749 /nfs/dbraw/zinc/47/97/49/613479749.db2.gz BXVVSSCCWIIFTD-NOZJJQNGSA-N 0 1 264.329 0.635 20 30 CCEDMN C=CCCN1CCN(C(=O)Cc2cnn(C)c2C)CC1 ZINC000948690767 613504522 /nfs/dbraw/zinc/50/45/22/613504522.db2.gz YIAXVDLIXNVULN-UHFFFAOYSA-N 0 1 276.384 0.991 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](OC)[C@H]2CCOC2)CC1 ZINC000949483601 613505283 /nfs/dbraw/zinc/50/52/83/613505283.db2.gz GXXABYCWZVVTEF-KBPBESRZSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCC[C@H](C(N)=O)C2)CC1 ZINC000951107622 613508433 /nfs/dbraw/zinc/50/84/33/613508433.db2.gz BTBWZZUWWPCKMD-KBPBESRZSA-N 0 1 293.411 0.998 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](c1nn[n-]n1)c1ccccc1 ZINC000810189207 613509878 /nfs/dbraw/zinc/50/98/78/613509878.db2.gz MKBGHPZEKVIRCD-OCCSQVGLSA-N 0 1 287.323 0.732 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000810189207 613509880 /nfs/dbraw/zinc/50/98/80/613509880.db2.gz MKBGHPZEKVIRCD-OCCSQVGLSA-N 0 1 287.323 0.732 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)[C@@H](C)OC)C2)C1 ZINC000972283581 613513697 /nfs/dbraw/zinc/51/36/97/613513697.db2.gz DOOLYJXFLPZWBK-HIFRSBDPSA-N 0 1 282.384 0.901 20 30 CCEDMN CC#CCN1CC(N(CC)C(=O)c2[nH]nnc2C)C1 ZINC000951117225 613526581 /nfs/dbraw/zinc/52/65/81/613526581.db2.gz IXHCMVHJRDTGRL-UHFFFAOYSA-N 0 1 261.329 0.283 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cc(C)nn2C)C1 ZINC000969359411 613537424 /nfs/dbraw/zinc/53/74/24/613537424.db2.gz SBSAJOOMUIHWIS-LBPRGKRZSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3ncc[nH]3)C2)C1 ZINC000981757964 613543771 /nfs/dbraw/zinc/54/37/71/613543771.db2.gz DCUVRFGRLXLZAV-UHFFFAOYSA-N 0 1 272.352 0.971 20 30 CCEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1COCCO1 ZINC000968765315 613545132 /nfs/dbraw/zinc/54/51/32/613545132.db2.gz YXFVEIKYPYXDQX-HUUCEWRRSA-N 0 1 294.395 0.786 20 30 CCEDMN CC#CCN1CCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CC1 ZINC000957104784 613564968 /nfs/dbraw/zinc/56/49/68/613564968.db2.gz IIVFJMUEYRMMHC-CYBMUJFWSA-N 0 1 286.379 0.682 20 30 CCEDMN CC#CCN1CCO[C@@]2(CCN(C(=O)c3ccn[nH]3)C2)C1 ZINC000972274728 613571177 /nfs/dbraw/zinc/57/11/77/613571177.db2.gz JQQWEMTUGFKTGI-HNNXBMFYSA-N 0 1 288.351 0.350 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)CC2OCCCO2)C1 ZINC000965946697 613571829 /nfs/dbraw/zinc/57/18/29/613571829.db2.gz QMVIOXACSGTUNR-KGLIPLIRSA-N 0 1 294.395 0.989 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965959235 613572291 /nfs/dbraw/zinc/57/22/91/613572291.db2.gz SIXBLAOWZXOPTJ-NEPJUHHUSA-N 0 1 291.351 0.442 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968180426 613573203 /nfs/dbraw/zinc/57/32/03/613573203.db2.gz XMHNXSPNRVGEKI-NEPJUHHUSA-N 0 1 290.367 0.589 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CC[N@@H+](CCC(=O)[O-])[C@H](C)C1 ZINC000833373804 613578313 /nfs/dbraw/zinc/57/83/13/613578313.db2.gz DJCSDJCWCANRNW-OLZOCXBDSA-N 0 1 298.383 0.975 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@@H]2[C@H]1CCCN2CCO ZINC000908006017 613580540 /nfs/dbraw/zinc/58/05/40/613580540.db2.gz DPSKSZVEEGPHHP-CHWSQXEVSA-N 0 1 288.413 0.423 20 30 CCEDMN CC(=O)N1CCc2cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)ccc21 ZINC000834511974 613588037 /nfs/dbraw/zinc/58/80/37/613588037.db2.gz QJHCMKIHVYBXBR-UONOGXRCSA-N 0 1 298.346 0.437 20 30 CCEDMN C=CCC[C@H](NC(=O)Cc1cnc[nH]1)C(=O)OCC ZINC000909273743 613589436 /nfs/dbraw/zinc/58/94/36/613589436.db2.gz RUZXQJQRILBNQV-NSHDSACASA-N 0 1 265.313 0.966 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2nc[nH]n2)C1 ZINC000968520197 613590775 /nfs/dbraw/zinc/59/07/75/613590775.db2.gz DKOHENDZWGOOKT-RYUDHWBXSA-N 0 1 275.356 0.197 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965555244 613590926 /nfs/dbraw/zinc/59/09/26/613590926.db2.gz GARZPJUEKLCRBV-ZFWWWQNUSA-N 0 1 288.395 0.813 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@@H](C(=O)OC)C[C@@H]1C(=O)[O-] ZINC000833372996 613591131 /nfs/dbraw/zinc/59/11/31/613591131.db2.gz CSAIZWJJODFYDV-GRYCIOLGSA-N 0 1 285.340 0.652 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000967776057 613591399 /nfs/dbraw/zinc/59/13/99/613591399.db2.gz GFXZQJSJLAWJHZ-SBJFKYEJSA-N 0 1 276.380 0.729 20 30 CCEDMN C=CCCn1cc(C(=O)NC23CCN(CC2)C3)nn1 ZINC000913635523 613594435 /nfs/dbraw/zinc/59/44/35/613594435.db2.gz HWPXPBQQOFMDOZ-UHFFFAOYSA-N 0 1 261.329 0.432 20 30 CCEDMN C=CCCn1cc(CN[C@H](CO)C[C@@H]2CCCO2)nn1 ZINC000886220037 613599345 /nfs/dbraw/zinc/59/93/45/613599345.db2.gz BERWPWPFTMSTIB-JSGCOSHPSA-N 0 1 280.372 0.874 20 30 CCEDMN C=CCN(C(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1)C(C)C ZINC000817930314 613602447 /nfs/dbraw/zinc/60/24/47/613602447.db2.gz ANZQXKSOMHIDAG-RYUDHWBXSA-N 0 1 267.373 0.618 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NC[C@@H]1CCN1C1CCOCC1 ZINC000932956728 613608614 /nfs/dbraw/zinc/60/86/14/613608614.db2.gz HEUXPULEAWBVLB-ZDUSSCGKSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C(C)(C)C1 ZINC000975020281 613610559 /nfs/dbraw/zinc/61/05/59/613610559.db2.gz UOBBIEDBQQEHKO-WBMJQRKESA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc(F)c[nH]2)[C@H](O)C1 ZINC000958267565 613614710 /nfs/dbraw/zinc/61/47/10/613614710.db2.gz NTXXYJWYIUOWCC-ZWNOBZJWSA-N 0 1 279.315 0.200 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cccc(F)c2)[C@H](O)C1 ZINC000957839064 613614799 /nfs/dbraw/zinc/61/47/99/613614799.db2.gz WRGDZLQCMQWXQB-UKRRQHHQSA-N 0 1 290.338 0.872 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccc(C)o2)[C@H](O)C1 ZINC000957851937 613615006 /nfs/dbraw/zinc/61/50/06/613615006.db2.gz PBHGDHXOMGXAOG-CHWSQXEVSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C)nc2)C1 ZINC000957885629 613618541 /nfs/dbraw/zinc/61/85/41/613618541.db2.gz GCERVDJSGDCCKY-GJZGRUSLSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(F)nc2)C1 ZINC000957923031 613618865 /nfs/dbraw/zinc/61/88/65/613618865.db2.gz SGXBXBGMTDVXBV-STQMWFEESA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccoc2Cl)C1 ZINC000958010965 613619455 /nfs/dbraw/zinc/61/94/55/613619455.db2.gz QTVSMCDIWXAJBV-JQWIXIFHSA-N 0 1 296.754 0.979 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cn2cncn2)C(C)(C)C1 ZINC000977554290 613621032 /nfs/dbraw/zinc/62/10/32/613621032.db2.gz SNIOSJWOAQCURC-LBPRGKRZSA-N 0 1 275.356 0.128 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cnoc2CC)C1 ZINC000958445112 613625808 /nfs/dbraw/zinc/62/58/08/613625808.db2.gz VBKGBHCJYJMTGE-YPMHNXCESA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccsc2)C1 ZINC000957863871 613625955 /nfs/dbraw/zinc/62/59/55/613625955.db2.gz NSRNNADNSVEPRW-OLZOCXBDSA-N 0 1 278.377 0.794 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(F)CCOCC1 ZINC000960211374 613632993 /nfs/dbraw/zinc/63/29/93/613632993.db2.gz JZZGOTRTYHUUGD-ITGUQSILSA-N 0 1 280.343 0.575 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)n1cccn1 ZINC000958279374 613637419 /nfs/dbraw/zinc/63/74/19/613637419.db2.gz KKGINQCGETZMTD-IGQOVBAYSA-N 0 1 272.352 0.514 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(OC)n1 ZINC000962191398 613640139 /nfs/dbraw/zinc/64/01/39/613640139.db2.gz FLIIOJSTZMRHSF-JYAVWHMHSA-N 0 1 285.347 0.774 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(OC)nc1 ZINC000962195532 613641697 /nfs/dbraw/zinc/64/16/97/613641697.db2.gz ADJKYYNLSLWFAX-ITGUQSILSA-N 0 1 286.335 0.169 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2cccnn21 ZINC000961985004 613642157 /nfs/dbraw/zinc/64/21/57/613642157.db2.gz SXAKDYHPXWTSOG-JYAVWHMHSA-N 0 1 295.346 0.413 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]cc1C ZINC000958813827 613642230 /nfs/dbraw/zinc/64/22/30/613642230.db2.gz XXPIYMRPEOJFFY-PJXYFTJBSA-N 0 1 258.325 0.401 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnccn1 ZINC000958125624 613642664 /nfs/dbraw/zinc/64/26/64/613642664.db2.gz ZRVTXYRDIMJPNG-PJXYFTJBSA-N 0 1 256.309 0.160 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000860158814 613648400 /nfs/dbraw/zinc/64/84/00/613648400.db2.gz RLLHFVUEALMKQL-YNEHKIRRSA-N 0 1 278.352 0.680 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)NC[C@@H]1CCN1CC ZINC000890059070 613651248 /nfs/dbraw/zinc/65/12/48/613651248.db2.gz BKKMTQYCGPIZBB-NEPJUHHUSA-N 0 1 253.346 0.154 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccn(CCOC)n2)C1 ZINC000970128334 613666367 /nfs/dbraw/zinc/66/63/67/613666367.db2.gz UXOMLCMYWKCQRY-GFCCVEGCSA-N 0 1 292.383 0.766 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000969989726 613666455 /nfs/dbraw/zinc/66/64/55/613666455.db2.gz PXDNXHYADGYLNC-LLVKDONJSA-N 0 1 275.352 0.621 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC000970412608 613668895 /nfs/dbraw/zinc/66/88/95/613668895.db2.gz PMUNONYFWPOWIN-AAEUAGOBSA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnns2)C1 ZINC000969347754 613673301 /nfs/dbraw/zinc/67/33/01/613673301.db2.gz ZHRGTRSVYIJVSO-QMMMGPOBSA-N 0 1 252.343 0.774 20 30 CCEDMN C=CCN1CCCN(C(=O)C2CCC(C(N)=O)CC2)CC1 ZINC000981517655 613688533 /nfs/dbraw/zinc/68/85/33/613688533.db2.gz MZFAFKXGPPMBJV-UHFFFAOYSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@H]2CCNC2=O)CC1 ZINC000981322616 613690046 /nfs/dbraw/zinc/69/00/46/613690046.db2.gz RPKGGCPPWLUNSR-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](OC)[C@@H]2CCOC2)CC1 ZINC000981696759 613690719 /nfs/dbraw/zinc/69/07/19/613690719.db2.gz UIYQCOWPDMVJAU-KGLIPLIRSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cc(=O)n(C)o2)CC1 ZINC000981055837 613696314 /nfs/dbraw/zinc/69/63/14/613696314.db2.gz GZEPXAJUTPKEIZ-UHFFFAOYSA-N 0 1 265.313 0.312 20 30 CCEDMN C=CCN1CCN(C(=O)[C@@H]2CCCCN2C)CC1 ZINC000949738140 613710364 /nfs/dbraw/zinc/71/03/64/613710364.db2.gz OPYGWFMMZPQSGF-ZDUSSCGKSA-N 0 1 251.374 0.801 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cc(=O)n(C)cn2)C1 ZINC000966838399 613729216 /nfs/dbraw/zinc/72/92/16/613729216.db2.gz AZPGFXGZCKGYLV-YPMHNXCESA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1CC[C@@H](NC2(C(=O)NC)CCCC2)C1=O ZINC000799139465 613763671 /nfs/dbraw/zinc/76/36/71/613763671.db2.gz UGJXGZOFODZPFR-LLVKDONJSA-N 0 1 265.357 0.422 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000967745672 613767690 /nfs/dbraw/zinc/76/76/90/613767690.db2.gz FXOZLWBFILXCQP-PDWCTOEPSA-N 0 1 264.369 0.891 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC000967420222 613772398 /nfs/dbraw/zinc/77/23/98/613772398.db2.gz SJLZNQJREBWQHP-GXTWGEPZSA-N 0 1 291.399 0.853 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC000968360829 613772718 /nfs/dbraw/zinc/77/27/18/613772718.db2.gz NROZDUOZEUPFTC-WCQYABFASA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cn(C)nn2)C1 ZINC000965959404 613774828 /nfs/dbraw/zinc/77/48/28/613774828.db2.gz UUQDNUQTVJNISP-WDEREUQCSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1C[C@@H](CNC(=O)c2cc(Cl)no2)[C@H](O)C1 ZINC000958603181 613817152 /nfs/dbraw/zinc/81/71/52/613817152.db2.gz SAFBHHIWPNPAQZ-RKDXNWHRSA-N 0 1 285.731 0.537 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C(C)(C)C1 ZINC000975048836 613828040 /nfs/dbraw/zinc/82/80/40/613828040.db2.gz QWFXBCWLULKXEE-AGIUHOORSA-N 0 1 293.411 0.901 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)C(C)(C)C1 ZINC000974737916 613828219 /nfs/dbraw/zinc/82/82/19/613828219.db2.gz IQOAISIFBHSJAM-BLLLJJGKSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@]2(C)CCNC2=O)C(C)(C)C1 ZINC000975046818 613828273 /nfs/dbraw/zinc/82/82/73/613828273.db2.gz XVRANBLRFJPSJJ-XHDPSFHLSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cnn(C)c2N)C(C)(C)C1 ZINC000974480634 613829495 /nfs/dbraw/zinc/82/94/95/613829495.db2.gz SGZPKRJEOZIVOP-NSHDSACASA-N 0 1 277.372 0.629 20 30 CCEDMN C=CCNC(=O)CN1CCC(C(=O)NCC(C)C)CC1 ZINC000890390964 613844934 /nfs/dbraw/zinc/84/49/34/613844934.db2.gz PWTVYLKZSBUGIK-UHFFFAOYSA-N 0 1 281.400 0.773 20 30 CCEDMN C=CCNC(=O)CN1CCC2(CC1)COCCO2 ZINC000880541300 613845159 /nfs/dbraw/zinc/84/51/59/613845159.db2.gz JYQXXTQKRRTLLW-UHFFFAOYSA-N 0 1 254.330 0.170 20 30 CCEDMN C=CCNC(=O)C(C#N)C(=O)CC1CCOCC1 ZINC000117532324 613852680 /nfs/dbraw/zinc/85/26/80/613852680.db2.gz QOGHRSRTUFKKCP-NSHDSACASA-N 0 1 250.298 0.814 20 30 CCEDMN C=CCNC(=O)[C@@H](C)OC(=O)c1[nH]nc2c1CCC2 ZINC000919333124 613854475 /nfs/dbraw/zinc/85/44/75/613854475.db2.gz NYRZNIXGDVGOGM-MRVPVSSYSA-N 0 1 263.297 0.746 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)C[C@@H]1CCN(C)C1 ZINC000821839222 613885113 /nfs/dbraw/zinc/88/51/13/613885113.db2.gz XHWBWDUTRSQLRO-NSHDSACASA-N 0 1 274.386 0.045 20 30 CCEDMN CC(C)(C)N1CC[C@@H]1C(=O)N1CCN(CCC#N)CC1 ZINC000899179049 613929948 /nfs/dbraw/zinc/92/99/48/613929948.db2.gz JUPJCCSJHQGXFU-CYBMUJFWSA-N 0 1 278.400 0.917 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COCC(=O)OC(C)(C)C ZINC000842689602 613930602 /nfs/dbraw/zinc/93/06/02/613930602.db2.gz BCSYMNGPJKOCKN-JTQLQIEISA-N 0 1 298.339 0.578 20 30 CCEDMN CC(C)NCc1cn(CC(=O)N[C@](C)(C#N)C(C)C)nn1 ZINC000905784131 613960279 /nfs/dbraw/zinc/96/02/79/613960279.db2.gz NGRTZXFGGBVPBR-CQSZACIVSA-N 0 1 292.387 0.831 20 30 CCEDMN CC(=O)N[C@H](CCC(C)C)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000852827318 614116077 /nfs/dbraw/zinc/11/60/77/614116077.db2.gz GLSMWRUTXCBZRF-JHJVBQTASA-N 0 1 280.372 0.155 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN[C@H]1C(=O)NCC1(C)C ZINC000931666370 614180244 /nfs/dbraw/zinc/18/02/44/614180244.db2.gz DAMFLTOHTFQRHS-SMDDNHRTSA-N 0 1 280.372 0.155 20 30 CCEDMN CC(=O)Nc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834505497 614199267 /nfs/dbraw/zinc/19/92/67/614199267.db2.gz LRPQQRYUSBPLAQ-WCQYABFASA-N 0 1 272.308 0.486 20 30 CCEDMN CC(=O)[C@@](C)(O)CN(C)C[C@H](O)c1cccc(C#N)c1 ZINC000844370874 614237575 /nfs/dbraw/zinc/23/75/75/614237575.db2.gz KLESWYNYFVGEJP-GJZGRUSLSA-N 0 1 276.336 0.863 20 30 CCEDMN CC(C)Cn1cc(C(=O)N[C@H]2CNC[C@H]2C#N)cn1 ZINC000834499550 614238279 /nfs/dbraw/zinc/23/82/79/614238279.db2.gz JPQHLTCPCSLPIP-PWSUYJOCSA-N 0 1 261.329 0.380 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@@H+](C)CCC(=O)[O-] ZINC000817733727 614286761 /nfs/dbraw/zinc/28/67/61/614286761.db2.gz XCACKRIQXUAJDS-GWCFXTLKSA-N 0 1 269.345 0.836 20 30 CCEDMN CC(C)(C(=O)N1CC[C@@H](NCC#N)C1)c1c[nH]cn1 ZINC000970485554 614293310 /nfs/dbraw/zinc/29/33/10/614293310.db2.gz VGVNYTUJIFMWEL-SNVBAGLBSA-N 0 1 261.329 0.401 20 30 CCEDMN CC(C)c1cc(C(=O)N[C@H]2CNC[C@H]2C#N)n(C)n1 ZINC000834480287 614304731 /nfs/dbraw/zinc/30/47/31/614304731.db2.gz NPKVVYVBXXALQH-KOLCDFICSA-N 0 1 261.329 0.385 20 30 CCEDMN CC(C)c1nc(CN[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC000967943961 614318264 /nfs/dbraw/zinc/31/82/64/614318264.db2.gz NEFVAUHUJIOWSU-GHMZBOCLSA-N 0 1 290.371 0.778 20 30 CCEDMN CC(C)[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820244924 614394322 /nfs/dbraw/zinc/39/43/22/614394322.db2.gz KAXONAWRLWPQJP-JTNHKYCSSA-N 0 1 281.356 0.836 20 30 CCEDMN CC1CC[NH+](CCn2cnc(C#N)c2-c2nn[n-]n2)CC1 ZINC000824953899 614438611 /nfs/dbraw/zinc/43/86/11/614438611.db2.gz HZGJOFHESSDGLZ-UHFFFAOYSA-N 0 1 286.343 0.667 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@H]1NC(=O)Cc1cnc[nH]1 ZINC000941062037 614604550 /nfs/dbraw/zinc/60/45/50/614604550.db2.gz UUICMXZAHWHOSC-GFCCVEGCSA-N 0 1 275.356 0.692 20 30 CCEDMN CCCN1CC(N2CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000941339479 614605228 /nfs/dbraw/zinc/60/52/28/614605228.db2.gz SQRXKBKTEHOBQC-GFCCVEGCSA-N 0 1 264.373 0.384 20 30 CCEDMN CC1(C)CN(CC#N)C[C@@H]1NC(=O)CN1CCCC1 ZINC000977594032 614606273 /nfs/dbraw/zinc/60/62/73/614606273.db2.gz JWAGICXGUHVOLA-LBPRGKRZSA-N 0 1 264.373 0.432 20 30 CCEDMN CC1(C)CN(C[C@@H](O)CC2(C#N)CCC2)C[C@H](CO)O1 ZINC000886249657 614670719 /nfs/dbraw/zinc/67/07/19/614670719.db2.gz PVPKURYQLHXFJU-QWHCGFSZSA-N 0 1 282.384 0.903 20 30 CCEDMN CCN(CCC(=O)NC)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000933869665 615030892 /nfs/dbraw/zinc/03/08/92/615030892.db2.gz ZFCDBBSWNJIAMO-AWEZNQCLSA-N 0 1 280.372 0.253 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC000829641781 615061588 /nfs/dbraw/zinc/06/15/88/615061588.db2.gz AGMTZIGMZNRFLF-FZMZJTMJSA-N 0 1 281.356 0.791 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000827020550 615064586 /nfs/dbraw/zinc/06/45/86/615064586.db2.gz WQHXFUSPQLRFNJ-NEPJUHHUSA-N 0 1 296.371 0.679 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000827020550 615064588 /nfs/dbraw/zinc/06/45/88/615064588.db2.gz WQHXFUSPQLRFNJ-NEPJUHHUSA-N 0 1 296.371 0.679 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000860160294 615064768 /nfs/dbraw/zinc/06/47/68/615064768.db2.gz OZTRPINKLKYGRL-IJLUTSLNSA-N 0 1 279.340 0.099 20 30 CCEDMN CCOC(=O)N1CCC(C(=O)N[C@@H]2CNC[C@H]2C#N)CC1 ZINC000834504698 615224873 /nfs/dbraw/zinc/22/48/73/615224873.db2.gz DMZPXYPJPRSRBJ-VXGBXAGGSA-N 0 1 294.355 0.083 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@H]1CCCCO1 ZINC000121922940 615245431 /nfs/dbraw/zinc/24/54/31/615245431.db2.gz OJVZCIPKBNIFIZ-VXGBXAGGSA-N 0 1 282.340 0.807 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)NCC#C[C@@H]1CCCCO1 ZINC000896459450 615248665 /nfs/dbraw/zinc/24/86/65/615248665.db2.gz MOWWJKDWEQUGJY-KGLIPLIRSA-N 0 1 279.384 0.952 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)[C@@]1(C#N)CCCOC1 ZINC000882497689 615256469 /nfs/dbraw/zinc/25/64/69/615256469.db2.gz LLNAWMGRWDLWOV-DGCLKSJQSA-N 0 1 251.330 0.517 20 30 CCEDMN CC[C@@H](C#N)OC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000859923882 615367040 /nfs/dbraw/zinc/36/70/40/615367040.db2.gz GGQQZWRTVNUMBA-VIFPVBQESA-N 0 1 283.309 0.912 20 30 CCEDMN CCO[C@H](C(=O)N[C@@H]1CNC[C@@H]1C#N)C1CCCC1 ZINC000876803001 615472761 /nfs/dbraw/zinc/47/27/61/615472761.db2.gz UAABHKUOSYHGHR-XQQFMLRXSA-N 0 1 265.357 0.809 20 30 CCEDMN CCO[C@H](C(=O)N[C@@H]1CNC[C@@H]1C#N)C1CCOCC1 ZINC000876802315 615472789 /nfs/dbraw/zinc/47/27/89/615472789.db2.gz NWKCQMQERZDHMH-XQQFMLRXSA-N 0 1 281.356 0.046 20 30 CCEDMN CCS(=O)(=O)CCNCc1cnc2ccc(C#N)cn12 ZINC000123601067 615538255 /nfs/dbraw/zinc/53/82/55/615538255.db2.gz GWKDFFLYHJORLO-UHFFFAOYSA-N 0 1 292.364 0.730 20 30 CCEDMN CC[C@@H](O)[C@@H](C)C(=O)NC1(C#N)CCN(C)CC1 ZINC000900608301 615542792 /nfs/dbraw/zinc/54/27/92/615542792.db2.gz LAZAPEBVRWCRGW-GHMZBOCLSA-N 0 1 253.346 0.498 20 30 CCEDMN CCn1nc(C)c(C([O-])=C(C#N)C(=O)Nc2ccccn2)n1 ZINC000815915418 615650583 /nfs/dbraw/zinc/65/05/83/615650583.db2.gz OCZSPURSMCVVGB-JTQLQIEISA-N 0 1 298.306 0.963 20 30 CCEDMN CCn1nc(C)c(C(=O)C(C#N)C(=O)Nc2ccccn2)n1 ZINC000815915418 615650585 /nfs/dbraw/zinc/65/05/85/615650585.db2.gz OCZSPURSMCVVGB-JTQLQIEISA-N 0 1 298.306 0.963 20 30 CCEDMN CCc1cc(CNS(=O)(=O)N(CC)C[C@H](C)C#N)n[nH]1 ZINC000800054780 615650848 /nfs/dbraw/zinc/65/08/48/615650848.db2.gz AWEWSLPIKWNWFN-SNVBAGLBSA-N 0 1 299.400 0.788 20 30 CCEDMN CC[C@H](C)[N@@H+](C)CCNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820266124 615669145 /nfs/dbraw/zinc/66/91/45/615669145.db2.gz AOWRXFPXFCEBQV-GWCFXTLKSA-N 0 1 269.345 0.837 20 30 CCEDMN CC[C@H](C)N1CCN(C(=O)NCC#CCO)CC1 ZINC000923766151 615672300 /nfs/dbraw/zinc/67/23/00/615672300.db2.gz GCVFWYWMULRBDE-LBPRGKRZSA-N 0 1 253.346 0.108 20 30 CCEDMN CCn1ncnc1C=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000848407406 615735089 /nfs/dbraw/zinc/73/50/89/615735089.db2.gz PEOKWMCIGYBNMZ-AOOOYVTPSA-N 0 1 261.333 0.492 20 30 CCEDMN CCc1nc(CNC(=O)COc2cccc(C#N)c2)n[nH]1 ZINC000832980694 615744112 /nfs/dbraw/zinc/74/41/12/615744112.db2.gz XICSJDZWYHMJRJ-UHFFFAOYSA-N 0 1 285.307 0.934 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C\c1ccc(O)c(O)c1 ZINC000913520067 615856982 /nfs/dbraw/zinc/85/69/82/615856982.db2.gz BEVXAJVNDGWDSP-VURMDHGXSA-N 0 1 274.320 0.792 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c[nH]nc1-c1ccoc1 ZINC000913522034 615859988 /nfs/dbraw/zinc/85/99/88/615859988.db2.gz XGJVAWDWUWLTJJ-UHFFFAOYSA-N 0 1 272.308 0.965 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)nnn2C ZINC000913518135 615861567 /nfs/dbraw/zinc/86/15/67/615861567.db2.gz FSYLWNKMWHUBQD-UHFFFAOYSA-N 0 1 271.324 0.263 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nnc(-c2ccns2)o1 ZINC000902968513 615862459 /nfs/dbraw/zinc/86/24/59/615862459.db2.gz KXDMGADNQXYERA-UHFFFAOYSA-N 0 1 291.336 0.488 20 30 CCEDMN CN(C)[C@H](CNC(=O)NCC#CCO)c1ccsc1 ZINC000923786663 615990108 /nfs/dbraw/zinc/99/01/08/615990108.db2.gz XBNWLDGXXZBDSJ-GFCCVEGCSA-N 0 1 281.381 0.646 20 30 CCEDMN CN(C)c1ccc(NC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000841002265 616018150 /nfs/dbraw/zinc/01/81/50/616018150.db2.gz XSTYSEVFZBEIRA-MFKMUULPSA-N 0 1 273.340 0.986 20 30 CCEDMN CCn1ccc(CC(=O)C(C#N)C(=O)NC(C)C)n1 ZINC000845443342 616028987 /nfs/dbraw/zinc/02/89/87/616028987.db2.gz PREUWXXVGOKITD-NSHDSACASA-N 0 1 262.313 0.679 20 30 CCEDMN CN(C)c1ncc(C=NOC[C@H]2CCOC2)n1C ZINC000811648125 616035404 /nfs/dbraw/zinc/03/54/04/616035404.db2.gz FOZKWEUZHQSHTO-JTQLQIEISA-N 0 1 252.318 0.873 20 30 CCEDMN CN(C)c1ncc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)cn1 ZINC000814886158 616035572 /nfs/dbraw/zinc/03/55/72/616035572.db2.gz SXLKKTPPPSNRIC-RYUDHWBXSA-N 0 1 287.371 0.737 20 30 CCEDMN C[N@H+](CC(=O)N1CCCC1)C[C@H](O)CC1(C#N)CCC1 ZINC000885928690 616043398 /nfs/dbraw/zinc/04/33/98/616043398.db2.gz DPCJPLSGNFYBTG-CYBMUJFWSA-N 0 1 279.384 0.985 20 30 CCEDMN CN(CC(=O)N1CCCC1)C[C@H](O)CC1(C#N)CCC1 ZINC000885928690 616043399 /nfs/dbraw/zinc/04/33/99/616043399.db2.gz DPCJPLSGNFYBTG-CYBMUJFWSA-N 0 1 279.384 0.985 20 30 CCEDMN CN(CC(=O)N[C@@H]1CNC[C@H]1C#N)c1ccccc1 ZINC000834493678 616048891 /nfs/dbraw/zinc/04/88/91/616048891.db2.gz GMJDNTCNTZIXEJ-DGCLKSJQSA-N 0 1 258.325 0.351 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932902555 616103694 /nfs/dbraw/zinc/10/36/94/616103694.db2.gz GTAXMCKYTADRHB-LURJTMIESA-N 0 1 276.222 0.791 20 30 CCEDMN CN(C[C@@H]1CCC[N@@H+]1CC(=O)NCCC#N)C(=O)[O-] ZINC000828222564 616137148 /nfs/dbraw/zinc/13/71/48/616137148.db2.gz CKRHUFKGXQTLND-JTQLQIEISA-N 0 1 268.317 0.090 20 30 CCEDMN CN(C[C@@H]1CCC[N@H+]1CC(=O)NCCC#N)C(=O)[O-] ZINC000828222564 616137150 /nfs/dbraw/zinc/13/71/50/616137150.db2.gz CKRHUFKGXQTLND-JTQLQIEISA-N 0 1 268.317 0.090 20 30 CCEDMN CN(C[C@H]1CCCN1C(=O)C#CC1CC1)[C@H]1CCNC1=O ZINC000960622300 616152734 /nfs/dbraw/zinc/15/27/34/616152734.db2.gz GHPSTTKVJIPARU-KGLIPLIRSA-N 0 1 289.379 0.211 20 30 CCEDMN CN(C[C@H]1CCCN1C(=O)C#CC1CC1)[C@@H]1CCNC1=O ZINC000960622305 616152751 /nfs/dbraw/zinc/15/27/51/616152751.db2.gz GHPSTTKVJIPARU-ZIAGYGMSSA-N 0 1 289.379 0.211 20 30 CCEDMN CN1CC2(C1)CCN(C(=O)[C@]1(C#N)CCCOC1)C2 ZINC000867835114 616164616 /nfs/dbraw/zinc/16/46/16/616164616.db2.gz XAZSMYNZJIBWJY-AWEZNQCLSA-N 0 1 263.341 0.471 20 30 CCEDMN CN1CCC[C@H]1CNS(=O)(=O)c1ccc(C#N)cn1 ZINC000906869803 616214598 /nfs/dbraw/zinc/21/45/98/616214598.db2.gz LEWOGMNPEDECED-NSHDSACASA-N 0 1 280.353 0.326 20 30 CCEDMN CN1CC[N@@H+](C)CC(C(=O)[N-]Oc2cccc(C#N)c2)C1 ZINC000913667043 616234253 /nfs/dbraw/zinc/23/42/53/616234253.db2.gz UGHQNLYOSIAFNS-UHFFFAOYSA-N 0 1 288.351 0.462 20 30 CCEDMN CN1CCN(C)CCN(C(=O)CC2(C#N)CC2)CC1 ZINC000888983628 616235329 /nfs/dbraw/zinc/23/53/29/616235329.db2.gz JYTIIVQDFAKMHJ-UHFFFAOYSA-N 0 1 264.373 0.386 20 30 CCEDMN CN1CCN(C)[C@@H](CNCc2cc(C#N)cnc2Cl)C1 ZINC000877031117 616237829 /nfs/dbraw/zinc/23/78/29/616237829.db2.gz NCUFMNHVPQQFBI-ZDUSSCGKSA-N 0 1 293.802 0.942 20 30 CCEDMN CN1C[C@@H](C(=O)Nc2cc(C#N)ccc2O)NC1=O ZINC000831271063 616278912 /nfs/dbraw/zinc/27/89/12/616278912.db2.gz CQHBRESWPPBAOS-VIFPVBQESA-N 0 1 260.253 0.226 20 30 CCEDMN COC(=O)c1sccc1NC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000841157396 616283661 /nfs/dbraw/zinc/28/36/61/616283661.db2.gz UNWKGRIOLXGMLC-CBAPKCEASA-N 0 1 294.336 0.768 20 30 CCEDMN COCC[N@H+]1CCC[C@H]1CNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820730704 616353600 /nfs/dbraw/zinc/35/36/00/616353600.db2.gz YMGGCUSWVRDJBZ-FZMZJTMJSA-N 0 1 297.355 0.218 20 30 CCEDMN CN1C[C@@H]2CCN(S(=O)(=O)CC(C)(C)C#N)[C@@H]2C1 ZINC000915834431 616360618 /nfs/dbraw/zinc/36/06/18/616360618.db2.gz JJGUXUNTBKBEGX-WDEREUQCSA-N 0 1 271.386 0.502 20 30 CCEDMN COC(=O)CC(C)(C)CC(=O)NCC#CCN(C)C ZINC000913520313 616492146 /nfs/dbraw/zinc/49/21/46/616492146.db2.gz MWAJHPWMHIGJCQ-UHFFFAOYSA-N 0 1 268.357 0.647 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCC(C)(C)CCC#N ZINC000908214231 616497206 /nfs/dbraw/zinc/49/72/06/616497206.db2.gz IXEIBEPEAHLVCV-BXUZGUMPSA-N 0 1 267.373 0.888 20 30 CCEDMN COC(=O)CCCN1CCC(=NN(C)[C@H](C)CO)CC1 ZINC000842540405 616530112 /nfs/dbraw/zinc/53/01/12/616530112.db2.gz KSTIYGZSFCUWDA-GFCCVEGCSA-N 0 1 285.388 0.704 20 30 CCEDMN COCCOC[C@@H](O)CNCc1cc(C#N)ccc1F ZINC000905519466 616560969 /nfs/dbraw/zinc/56/09/69/616560969.db2.gz UFKSFRMCVWVNDL-ZDUSSCGKSA-N 0 1 282.315 0.811 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC000900770911 616587388 /nfs/dbraw/zinc/58/73/88/616587388.db2.gz LVOSCQKOXXIRHJ-ONGXEEELSA-N 0 1 290.323 0.601 20 30 CCEDMN COC(=O)[C@H]1CC[N@H+](CC2(C#N)CC2)[C@@H](C(=O)[O-])C1 ZINC000833706044 616664223 /nfs/dbraw/zinc/66/42/23/616664223.db2.gz FGZSSHKXZPGWSP-VHSXEESVSA-N 0 1 266.297 0.628 20 30 CCEDMN COC(=O)[C@H]1CC[N@@H+](CC2(C#N)CC2)[C@@H](C(=O)[O-])C1 ZINC000833706044 616664226 /nfs/dbraw/zinc/66/42/26/616664226.db2.gz FGZSSHKXZPGWSP-VHSXEESVSA-N 0 1 266.297 0.628 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1N[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000934038539 616675442 /nfs/dbraw/zinc/67/54/42/616675442.db2.gz CERJKYYAQAAYSL-QJPTWQEYSA-N 0 1 281.356 0.331 20 30 CCEDMN COC(=O)c1cc(C=NNc2nnc(C)n2C)cn1C ZINC000853432515 616844785 /nfs/dbraw/zinc/84/47/85/616844785.db2.gz WYUHJOLMUGRDOR-UHFFFAOYSA-N 0 1 276.300 0.695 20 30 CCEDMN COC(=O)c1ccc(CN=Nc2cnn(C)c2)n1C ZINC000814914497 616891112 /nfs/dbraw/zinc/89/11/12/616891112.db2.gz CCFDQOBRTCNOQT-UHFFFAOYSA-N 0 1 261.285 0.991 20 30 CCEDMN COC(=O)c1ccc(NN=Cc2cn(C)[nH]c2=O)nc1 ZINC000814224992 616917461 /nfs/dbraw/zinc/91/74/61/616917461.db2.gz OGGWUNWXRXZOMF-UHFFFAOYSA-N 0 1 275.268 0.753 20 30 CCEDMN COC(=O)c1ccccc1NC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000841157039 616936409 /nfs/dbraw/zinc/93/64/09/616936409.db2.gz RGMCQTDCKDDAAT-BXKDBHETSA-N 0 1 288.307 0.706 20 30 CCEDMN CO[C@H](C(=O)N[C@H]1CNC[C@@H]1C#N)C1CCCCC1 ZINC000852827273 616987258 /nfs/dbraw/zinc/98/72/58/616987258.db2.gz FLZTUYGKJRKOCY-AVGNSLFASA-N 0 1 265.357 0.809 20 30 CCEDMN COC[C@@]1(CO)CCN(Cc2cncc(C#N)c2)C1 ZINC000930658646 617045964 /nfs/dbraw/zinc/04/59/64/617045964.db2.gz RAPPMFCSPNOAMS-AWEZNQCLSA-N 0 1 261.325 0.784 20 30 CCEDMN CNc1nc(C)cc(C(=O)NCC#CCN(C)C)n1 ZINC000913521787 617072115 /nfs/dbraw/zinc/07/21/15/617072115.db2.gz NBOSRKAZUOQJFC-UHFFFAOYSA-N 0 1 261.329 0.122 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@H](C)Cc2c[nH]nc21 ZINC001025971510 625313138 /nfs/dbraw/zinc/31/31/38/625313138.db2.gz JBUZVRYUKQTJFO-CKTDYHTKSA-N 0 1 298.390 0.755 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CCN(C)CCN(C)CC1 ZINC000888980747 622740897 /nfs/dbraw/zinc/74/08/97/622740897.db2.gz OFWYBGYXVOOOPH-LBPRGKRZSA-N 0 1 252.362 0.242 20 30 CCEDMN C[C@@H](C#N)NC(=O)Cn1c(=O)c2ccccc2[nH]c1=S ZINC000194725208 625597218 /nfs/dbraw/zinc/59/72/18/625597218.db2.gz GJGBXJBKXNUNJD-QMMMGPOBSA-N 0 1 288.332 0.713 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001023076211 622897535 /nfs/dbraw/zinc/89/75/35/622897535.db2.gz XUPHJKVFCDBJQF-AOOOYVTPSA-N 0 1 270.296 0.332 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000178993471 623022332 /nfs/dbraw/zinc/02/23/32/623022332.db2.gz YAUAMGARBKLETQ-CQSZACIVSA-N 0 1 280.368 0.207 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1 ZINC001024355986 623299316 /nfs/dbraw/zinc/29/93/16/623299316.db2.gz DXCVCVMTULPVHC-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CN(C)CCO1 ZINC001024375400 623300571 /nfs/dbraw/zinc/30/05/71/623300571.db2.gz RLOINLURPGMRLX-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@@H]2CN(C)CCN2C)c1 ZINC000892466838 623319276 /nfs/dbraw/zinc/31/92/76/623319276.db2.gz BXGBBSBDCNTOKI-CYBMUJFWSA-N 0 1 259.357 0.919 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001024466983 623331830 /nfs/dbraw/zinc/33/18/30/623331830.db2.gz AVUCFAVNDDRNCR-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C[N@H+]1CCCC[C@@H]1C(=O)NC[C@H]1CCCCN1CC#N ZINC001024514163 623343808 /nfs/dbraw/zinc/34/38/08/623343808.db2.gz WLHNMYZPGUYLEK-ZIAGYGMSSA-N 0 1 278.400 0.965 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@H]1CCCCN1CC#N ZINC001024514163 623343816 /nfs/dbraw/zinc/34/38/16/623343816.db2.gz WLHNMYZPGUYLEK-ZIAGYGMSSA-N 0 1 278.400 0.965 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001024615701 623399840 /nfs/dbraw/zinc/39/98/40/623399840.db2.gz ZJJQJCKVXZBAKR-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCCN1C(N)=O ZINC001024825718 623455805 /nfs/dbraw/zinc/45/58/05/623455805.db2.gz LMJHGFZOHOTKIN-OLZOCXBDSA-N 0 1 292.383 0.133 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCCN1C(N)=O ZINC001024825723 623456085 /nfs/dbraw/zinc/45/60/85/623456085.db2.gz LMJHGFZOHOTKIN-STQMWFEESA-N 0 1 292.383 0.133 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCC[C@@H]1OC ZINC001025822938 623890201 /nfs/dbraw/zinc/89/02/01/623890201.db2.gz LUAUFYNMRZSOHA-SBJFKYEJSA-N 0 1 276.380 0.871 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2COCCO2)C1 ZINC001006797221 624511112 /nfs/dbraw/zinc/51/11/12/624511112.db2.gz MBVBTJUEOFHSNP-VXGBXAGGSA-N 0 1 254.330 0.168 20 30 CCEDMN C[C@H](NC(=O)c1[nH]nc2c1CCCC2)C1CN(CC#N)C1 ZINC000970042266 624577275 /nfs/dbraw/zinc/57/72/75/624577275.db2.gz QXQFDLHWDJCFIX-JTQLQIEISA-N 0 1 287.367 0.862 20 30 CCEDMN N#Cc1ccccc1CN[C@@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC000970295477 624669046 /nfs/dbraw/zinc/66/90/46/624669046.db2.gz NYRDRMKDDNPRRT-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN C[C@@H](C#N)OCCN1CCCC[C@@H]1C(=O)N1CCOCC1 ZINC000801917684 634402507 /nfs/dbraw/zinc/40/25/07/634402507.db2.gz LSCMTBBGKWNJEM-UONOGXRCSA-N 0 1 295.383 0.628 20 30 CCEDMN O=C(NCC#C[C@@H]1CCCCO1)C1CN([C@H]2CCOC2)C1 ZINC000891112254 624727272 /nfs/dbraw/zinc/72/72/72/624727272.db2.gz GZSLVHXOGYVMJF-GJZGRUSLSA-N 0 1 292.379 0.396 20 30 CCEDMN C[C@@H](NC(=O)c1cnccc1N(C)C)C1CN(CC#N)C1 ZINC000970750844 624741750 /nfs/dbraw/zinc/74/17/50/624741750.db2.gz AFNGYQDUGZAFEU-LLVKDONJSA-N 0 1 287.367 0.721 20 30 CCEDMN Cc1cn[nH]c1CN1C[C@@H]2CS(=O)(=O)C[C@]2(C#N)C1 ZINC000932963347 624815118 /nfs/dbraw/zinc/81/51/18/624815118.db2.gz OMGZZTFNECBEBJ-PWSUYJOCSA-N 0 1 280.353 0.088 20 30 CCEDMN C#CCN1CC[C@H]2[C@H](CCCN2C(=O)c2nonc2C)C1 ZINC001021750324 624819005 /nfs/dbraw/zinc/81/90/05/624819005.db2.gz LFHNSSJZCALKDQ-OLZOCXBDSA-N 0 1 288.351 0.938 20 30 CCEDMN Cc1nn(C)cc1CN(C)[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC000971221668 624828551 /nfs/dbraw/zinc/82/85/51/624828551.db2.gz QCQYGDKXPGEVPD-RISCZKNCSA-N 0 1 289.383 0.921 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@@H]1C1CC1 ZINC000176086544 624995626 /nfs/dbraw/zinc/99/56/26/624995626.db2.gz IEPROBKXOSBIPX-GRYCIOLGSA-N 0 1 264.325 0.894 20 30 CCEDMN N#CCc1ccc(OC[C@H](O)CN2CC[C@H]2CO)cc1 ZINC000934019044 625005366 /nfs/dbraw/zinc/00/53/66/625005366.db2.gz LQZONXNSJPQTTP-UONOGXRCSA-N 0 1 276.336 0.559 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CCOCC2)C1 ZINC001009878827 630836163 /nfs/dbraw/zinc/83/61/63/630836163.db2.gz YJJGWAALENAQHF-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001027829827 630955347 /nfs/dbraw/zinc/95/53/47/630955347.db2.gz KBHIIMIFPREYMJ-JTQLQIEISA-N 0 1 264.329 0.804 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCN(CCc2ccnn2C)CC1 ZINC000980849759 631068451 /nfs/dbraw/zinc/06/84/51/631068451.db2.gz NCXMKMFVHBCZHC-ZDUSSCGKSA-N 0 1 289.383 0.657 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CCC[C@H](NCC#N)C2)n[nH]1 ZINC000981081015 631227771 /nfs/dbraw/zinc/22/77/71/631227771.db2.gz VXRGBFHMNCUBQM-WDEREUQCSA-N 0 1 276.344 0.196 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CCCN(CC#N)CC1 ZINC000982143222 631379034 /nfs/dbraw/zinc/37/90/34/631379034.db2.gz QAOOUPJGYJAKRB-GFCCVEGCSA-N 0 1 275.356 0.646 20 30 CCEDMN O=C1[C@@H](NCc2ccc(CO)cc2)CCCN1O ZINC000895157079 631987598 /nfs/dbraw/zinc/98/75/98/631987598.db2.gz MKNVTIQKWVNFMG-LBPRGKRZSA-N 0 1 250.298 0.649 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC([C@@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001006613410 650045831 /nfs/dbraw/zinc/04/58/31/650045831.db2.gz LFMCCHFQDBKDLK-SECBINFHSA-N 0 1 291.355 0.594 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC([C@@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001006613410 650045833 /nfs/dbraw/zinc/04/58/33/650045833.db2.gz LFMCCHFQDBKDLK-SECBINFHSA-N 0 1 291.355 0.594 20 30 CCEDMN Cc1nc(C#N)cc(N[C@H](C)[C@H]2CN(C)CCN2C)n1 ZINC000895247479 632036640 /nfs/dbraw/zinc/03/66/40/632036640.db2.gz UNGKPFPEYHPPLZ-ZWNOBZJWSA-N 0 1 274.372 0.703 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001006810867 650053531 /nfs/dbraw/zinc/05/35/31/650053531.db2.gz LAWYQHBEEGFBMO-OLZOCXBDSA-N 0 1 268.357 0.559 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CC2(C(C)C)CC2)CC1 ZINC000895918597 632177639 /nfs/dbraw/zinc/17/76/39/632177639.db2.gz NAXKWOHDWKWNAJ-UHFFFAOYSA-N 0 1 299.440 0.508 20 30 CCEDMN C[C@H](NC(=O)CCCC#N)[C@H]1CN(C)CCN1C ZINC000896537816 632269353 /nfs/dbraw/zinc/26/93/53/632269353.db2.gz BWZINTFTCNTNMV-NWDGAFQWSA-N 0 1 252.362 0.431 20 30 CCEDMN C[C@@H](NC(=O)c1cc(C#N)cs1)[C@H]1CN(C)CCN1C ZINC000896554455 632282128 /nfs/dbraw/zinc/28/21/28/632282128.db2.gz GCNRSHWNFTXJNF-ZYHUDNBSSA-N 0 1 292.408 0.984 20 30 CCEDMN C[C@@H](C#N)CN(C(=O)C1CN([C@H]2CCOC2)C1)C1CC1 ZINC000897195219 632416238 /nfs/dbraw/zinc/41/62/38/632416238.db2.gz QJGRUZJMHSKDCV-FZMZJTMJSA-N 0 1 277.368 0.858 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001007464154 650079054 /nfs/dbraw/zinc/07/90/54/650079054.db2.gz MGCPWTJBTQVYIQ-JTQLQIEISA-N 0 1 286.339 0.733 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001007357427 650075948 /nfs/dbraw/zinc/07/59/48/650075948.db2.gz ONUXGOXNTUEWOK-FRRDWIJNSA-N 0 1 279.384 0.668 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CCC(N(C)CC(N)=O)CC1 ZINC000985619790 632596125 /nfs/dbraw/zinc/59/61/25/632596125.db2.gz VUQAFKABYLPIGV-HNNXBMFYSA-N 0 1 281.400 0.997 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001007549610 650083756 /nfs/dbraw/zinc/08/37/56/650083756.db2.gz BEHAVIGSTSZYIN-LLVKDONJSA-N 0 1 262.313 0.562 20 30 CCEDMN C#CCN(C)C1CCN(C(=O)[C@H]2CCCS2(=O)=O)CC1 ZINC000985795152 632643753 /nfs/dbraw/zinc/64/37/53/632643753.db2.gz LDXBQAWTUVYKQJ-CYBMUJFWSA-N 0 1 298.408 0.120 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2COCCN2CC)C1 ZINC001007716030 650088832 /nfs/dbraw/zinc/08/88/32/650088832.db2.gz CKZIGPKCMLKNEP-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC(N)=O)[C@H]1C ZINC000986114929 632716709 /nfs/dbraw/zinc/71/67/09/632716709.db2.gz RTZMYFNALHHLJL-DTWKUNHWSA-N 0 1 259.737 0.193 20 30 CCEDMN C[C@H]1[C@@H](NCc2cnnn2C)CCN1C(=O)C#CC1CC1 ZINC000986277153 632738203 /nfs/dbraw/zinc/73/82/03/632738203.db2.gz ISFPYZCVTUYQBR-FZMZJTMJSA-N 0 1 287.367 0.308 20 30 CCEDMN C#CC[NH2+][C@@H]1CCN(C(=O)c2cccc3nn[n-]c32)[C@H]1C ZINC000986314939 632742947 /nfs/dbraw/zinc/74/29/47/632742947.db2.gz NREAFJIWYBQBDM-CMPLNLGQSA-N 0 1 283.335 0.784 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001008036243 650100288 /nfs/dbraw/zinc/10/02/88/650100288.db2.gz GNHVSVSJGQSBPW-GFCCVEGCSA-N 0 1 277.372 0.607 20 30 CCEDMN C[C@H]1C[C@@H](NCc2ccn(C)n2)CN1C(=O)C#CC1CC1 ZINC000988824049 633117847 /nfs/dbraw/zinc/11/78/47/633117847.db2.gz FBEXMIOGELDQIN-SWLSCSKDSA-N 0 1 286.379 0.913 20 30 CCEDMN C[C@@H]1C[C@@H](NCC#N)CN1C(=O)c1cccc2nn[nH]c21 ZINC000988876834 633125253 /nfs/dbraw/zinc/12/52/53/633125253.db2.gz RYOKQLAHRAQBKE-NXEZZACHSA-N 0 1 284.323 0.674 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1CCCN(CCO)CC1 ZINC000989564623 633328308 /nfs/dbraw/zinc/32/83/08/633328308.db2.gz IOKXJQKOEIWOKR-UHFFFAOYSA-N 0 1 286.375 0.737 20 30 CCEDMN C[C@@H]1C[C@@H](NCC#N)CN1C(=O)c1ccc2[nH]nnc2c1 ZINC000989783455 633352958 /nfs/dbraw/zinc/35/29/58/633352958.db2.gz VSTOPZJKNYDXIX-MWLCHTKSSA-N 0 1 284.323 0.674 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cn[nH]c3)CCC[C@H]12 ZINC000989974274 633396986 /nfs/dbraw/zinc/39/69/86/633396986.db2.gz TVLBQPQTEAZQOA-JSGCOSHPSA-N 0 1 258.325 0.770 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ccnn3C)CCC[C@@H]12 ZINC000990014927 633410073 /nfs/dbraw/zinc/41/00/73/633410073.db2.gz KTCLPUVIJOVQRO-UKRRQHHQSA-N 0 1 272.352 0.780 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3nccnc3N)CCC[C@H]12 ZINC000990067539 633439818 /nfs/dbraw/zinc/43/98/18/633439818.db2.gz KVEQNYCUBYKUHO-NHYWBVRUSA-N 0 1 285.351 0.419 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cn(C)cn3)CCC[C@H]12 ZINC000990237625 633490113 /nfs/dbraw/zinc/49/01/13/633490113.db2.gz ORLARCAPWFQMLS-DZGCQCFKSA-N 0 1 272.352 0.780 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CCCN(C[C@H](C)O)C2)c1 ZINC001008471109 650115762 /nfs/dbraw/zinc/11/57/62/650115762.db2.gz YXBWPSQAJNRSTF-WFASDCNBSA-N 0 1 287.363 0.638 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001008516326 650117702 /nfs/dbraw/zinc/11/77/02/650117702.db2.gz HRABEMZAAAUSSJ-RISCZKNCSA-N 0 1 265.357 0.279 20 30 CCEDMN Cc1cc(C#N)cc(C)c1C(=O)NCCN1CC[C@@H](O)C1 ZINC000899659866 633848240 /nfs/dbraw/zinc/84/82/40/633848240.db2.gz KPLSJQULSXUVOG-CQSZACIVSA-N 0 1 287.363 0.972 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2nc3ncccn3n2)C1 ZINC001008920104 650132773 /nfs/dbraw/zinc/13/27/73/650132773.db2.gz UHZKHIJLCOAYPI-GFCCVEGCSA-N 0 1 298.350 0.342 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1cccc2c1OCCO2 ZINC000841071736 634147339 /nfs/dbraw/zinc/14/73/39/634147339.db2.gz ACSGORONKAJDAR-GXSJLCMTSA-N 0 1 288.307 0.691 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1cccc2c1OCCO2 ZINC000841071739 634156853 /nfs/dbraw/zinc/15/68/53/634156853.db2.gz ACSGORONKAJDAR-ONGXEEELSA-N 0 1 288.307 0.691 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ccn(C)n2)C1 ZINC001009536915 650147802 /nfs/dbraw/zinc/14/78/02/650147802.db2.gz LWXYKVBXRZESQC-LBPRGKRZSA-N 0 1 260.341 0.638 20 30 CCEDMN N#CC1(CNC[C@H](O)C[C@]2(O)CCOC2)CCC1 ZINC000905290961 634841107 /nfs/dbraw/zinc/84/11/07/634841107.db2.gz WJVYJBXVHQBNTC-DGCLKSJQSA-N 0 1 254.330 0.172 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001009731557 650157495 /nfs/dbraw/zinc/15/74/95/650157495.db2.gz XWSXJLJJSIRSRH-GFCCVEGCSA-N 0 1 288.351 0.561 20 30 CCEDMN C[C@@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)cn2)CCN1C ZINC000906987388 635059047 /nfs/dbraw/zinc/05/90/47/635059047.db2.gz KERZKRLMRYNCBN-ZYHUDNBSSA-N 0 1 294.380 0.714 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN(C(=O)Cc3c[nH]cn3)C2)nc1 ZINC000908346266 635357295 /nfs/dbraw/zinc/35/72/95/635357295.db2.gz JUSFVUMUNXABRS-ZDUSSCGKSA-N 0 1 297.318 0.899 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC001010449424 650229572 /nfs/dbraw/zinc/22/95/72/650229572.db2.gz QWSZPKFNKQTERY-NSHDSACASA-N 0 1 259.309 0.615 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC001010510287 650235463 /nfs/dbraw/zinc/23/54/63/650235463.db2.gz FJAJXAQPCNREHO-LBPRGKRZSA-N 0 1 260.341 0.474 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC001010510287 650235465 /nfs/dbraw/zinc/23/54/65/650235465.db2.gz FJAJXAQPCNREHO-LBPRGKRZSA-N 0 1 260.341 0.474 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001010568024 650242757 /nfs/dbraw/zinc/24/27/57/650242757.db2.gz RRWVFIHVKVLXGL-RYUDHWBXSA-N 0 1 264.325 0.153 20 30 CCEDMN Cc1nc(CN2CC[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)n[nH]1 ZINC001010656041 650250260 /nfs/dbraw/zinc/25/02/60/650250260.db2.gz LPPGCQBASQMXOS-LLVKDONJSA-N 0 1 299.338 0.317 20 30 CCEDMN O=C(c1cccc(C#CCO)c1)N1CC(N2CCCC2)C1 ZINC000912326335 636554210 /nfs/dbraw/zinc/55/42/10/636554210.db2.gz PNJFQJLKMUONTF-UHFFFAOYSA-N 0 1 284.359 0.951 20 30 CCEDMN C[C@@H]1CCc2[nH]nc(C(=O)NCC#CCN(C)C)c2C1 ZINC000913524128 636833808 /nfs/dbraw/zinc/83/38/08/636833808.db2.gz ANKVBDNUAUSEQQ-LLVKDONJSA-N 0 1 274.368 0.829 20 30 CCEDMN Cc1nn(C)c(C)c1CCC(=O)NCC#CCN(C)C ZINC000913516001 636834652 /nfs/dbraw/zinc/83/46/52/636834652.db2.gz WFRAXUGZENWDBC-UHFFFAOYSA-N 0 1 276.384 0.651 20 30 CCEDMN C[C@H](NC(=O)c1ccoc1)C(=O)NCC#CCN(C)C ZINC000913517042 636835099 /nfs/dbraw/zinc/83/50/99/636835099.db2.gz PZOQBQICPDHQLE-NSHDSACASA-N 0 1 277.324 0.079 20 30 CCEDMN C[C@H](Oc1cccc(CO)c1)C(=O)NCC#CCN(C)C ZINC000913520174 636835629 /nfs/dbraw/zinc/83/56/29/636835629.db2.gz HFSBZVGTPPUHGG-ZDUSSCGKSA-N 0 1 290.363 0.627 20 30 CCEDMN C=CCOCCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000173975234 637237753 /nfs/dbraw/zinc/23/77/53/637237753.db2.gz KYSSSQLORXBIDZ-LLVKDONJSA-N 0 1 250.298 0.957 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3n[nH]cc3F)CCC[C@@H]12 ZINC000992150554 637324222 /nfs/dbraw/zinc/32/42/22/637324222.db2.gz HZYGODKXEFUWEJ-BXUZGUMPSA-N 0 1 276.315 0.909 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(NC(=O)c3ncn[nH]3)CCC[C@@H]12 ZINC000992326394 637365242 /nfs/dbraw/zinc/36/52/42/637365242.db2.gz GJDWRRFPWBFTJA-MFKMUULPSA-N 0 1 259.313 0.165 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ccncn3)CCC[C@H]12 ZINC000992323130 637365515 /nfs/dbraw/zinc/36/55/15/637365515.db2.gz XIYOSERCPDECRH-DZGCQCFKSA-N 0 1 270.336 0.837 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cc[n+]([O-])cc2)[C@H]1C ZINC000993005753 637661296 /nfs/dbraw/zinc/66/12/96/637661296.db2.gz RDWOWFFJLGBIOH-UKRRQHHQSA-N 0 1 287.363 0.926 20 30 CCEDMN N=C(N)NN=C1CCS(=O)(=O)c2ccc(F)cc21 ZINC000915050909 637723036 /nfs/dbraw/zinc/72/30/36/637723036.db2.gz OELPDROTWAUTGV-UHFFFAOYSA-N 0 1 270.289 0.190 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001015619524 637753255 /nfs/dbraw/zinc/75/32/55/637753255.db2.gz APCJDUCJBUPMIT-VXGBXAGGSA-N 0 1 287.367 0.438 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCCc3n[nH]nc32)C1 ZINC001015619524 637753259 /nfs/dbraw/zinc/75/32/59/637753259.db2.gz APCJDUCJBUPMIT-VXGBXAGGSA-N 0 1 287.367 0.438 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cn(C)nn2)[C@H]1C ZINC000993261856 637759456 /nfs/dbraw/zinc/75/94/56/637759456.db2.gz SJMQHZZQDGAEEF-MNOVXSKESA-N 0 1 263.345 0.584 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cncnc2)[C@H]1C ZINC000993293849 637766517 /nfs/dbraw/zinc/76/65/17/637766517.db2.gz YHVWNFRNYQOICX-TZMCWYRMSA-N 0 1 272.352 0.621 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@H]1C ZINC000993463210 637810596 /nfs/dbraw/zinc/81/05/96/637810596.db2.gz MDZMJNRAPOLMEO-GHMZBOCLSA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)[C@H]1C ZINC000993463215 637811488 /nfs/dbraw/zinc/81/14/88/637811488.db2.gz MDZMJNRAPOLMEO-MNOVXSKESA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[C@H]1C ZINC000993695343 637837020 /nfs/dbraw/zinc/83/70/20/637837020.db2.gz ZJEKBSNUPZUVSJ-DGTMBMJNSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2c[nH]c(=O)n2C)[C@@H]1C ZINC000993699116 637837350 /nfs/dbraw/zinc/83/73/50/637837350.db2.gz JCVLMPIFIVWJOT-QWRGUYRKSA-N 0 1 276.340 0.342 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2COCCN2C)C1 ZINC001007156208 637841242 /nfs/dbraw/zinc/84/12/42/637841242.db2.gz NEDHNQFOKGTBQV-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnon2)[C@H]1C ZINC000994040712 637862715 /nfs/dbraw/zinc/86/27/15/637862715.db2.gz MZSWLTNZDOWCGQ-ZJUUUORDSA-N 0 1 250.302 0.838 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2ncc[nH]2)[C@@H]1C ZINC000994113510 637871500 /nfs/dbraw/zinc/87/15/00/637871500.db2.gz LKQDXMQUVOUZAY-QWHCGFSZSA-N 0 1 274.368 0.945 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CCN(CC#N)CC2(C)C)n[nH]1 ZINC000940732057 638490201 /nfs/dbraw/zinc/49/02/01/638490201.db2.gz FTQHFRQYFUVZHW-LLVKDONJSA-N 0 1 290.371 0.396 20 30 CCEDMN Cn1cc(CNC2CCN(C(=O)C#CC3CC3)CC2)nn1 ZINC000996394260 638817275 /nfs/dbraw/zinc/81/72/75/638817275.db2.gz MABYEEVGOBXSCJ-UHFFFAOYSA-N 0 1 287.367 0.309 20 30 CCEDMN Cn1cnnc1CNC1CCN(C(=O)C#CC2CC2)CC1 ZINC000996384167 638817346 /nfs/dbraw/zinc/81/73/46/638817346.db2.gz WUJRVZMBHBFSNE-UHFFFAOYSA-N 0 1 287.367 0.309 20 30 CCEDMN CC1(C)CN(C(=O)c2ccc3[nH]nnc3c2)C[C@H]1NCC#N ZINC000996597869 638823738 /nfs/dbraw/zinc/82/37/38/638823738.db2.gz DQHRVXNFOZQLEW-CYBMUJFWSA-N 0 1 298.350 0.922 20 30 CCEDMN N#CCNC1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000997288402 638861679 /nfs/dbraw/zinc/86/16/79/638861679.db2.gz XWFSFGKMLVWOOB-UHFFFAOYSA-N 0 1 284.323 0.676 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn3c(n2)CCC3)C1 ZINC001014478546 650454832 /nfs/dbraw/zinc/45/48/32/650454832.db2.gz HLCQACMVXOVSQD-LLVKDONJSA-N 0 1 260.341 0.819 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001014490554 650455958 /nfs/dbraw/zinc/45/59/58/650455958.db2.gz CVXGGHYARXOLRB-SCRDCRAPSA-N 0 1 250.342 0.790 20 30 CCEDMN CN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CC(NCC#N)C1 ZINC000998929869 638949951 /nfs/dbraw/zinc/94/99/51/638949951.db2.gz PIOAVRDOXCSSTF-KIDURHIOSA-N 0 1 273.340 0.616 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cnnn2C)C1 ZINC000999065291 638961210 /nfs/dbraw/zinc/96/12/10/638961210.db2.gz LDRUINYXLBVFGN-JTQLQIEISA-N 0 1 283.763 0.762 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC000999947165 639024704 /nfs/dbraw/zinc/02/47/04/639024704.db2.gz HZNFHLWZEHATTH-NEPJUHHUSA-N 0 1 299.802 0.798 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2CCCO2)CC1 ZINC001000416321 639086261 /nfs/dbraw/zinc/08/62/61/639086261.db2.gz UKYRSGZLEHYRBV-AWEZNQCLSA-N 0 1 262.353 0.937 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cnn(C)n2)C1 ZINC001000465213 639092788 /nfs/dbraw/zinc/09/27/88/639092788.db2.gz OYYJIBUECILROQ-SNVBAGLBSA-N 0 1 283.763 0.762 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cnnn2CC)CC1 ZINC001000661673 639149596 /nfs/dbraw/zinc/14/95/96/639149596.db2.gz VGWDXEPNNJPWKB-UHFFFAOYSA-N 0 1 287.367 0.683 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2CCCC(=O)N2)CC1 ZINC001000809947 639174414 /nfs/dbraw/zinc/17/44/14/639174414.db2.gz XXUHQOLUXNBADP-CQSZACIVSA-N 0 1 289.379 0.427 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC001000854605 639177525 /nfs/dbraw/zinc/17/75/25/639177525.db2.gz LABUTIWYUPRDSJ-AWEZNQCLSA-N 0 1 289.379 0.236 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(CNC(=O)[C@H]2CCCN2C)C1 ZINC001001217996 639257044 /nfs/dbraw/zinc/25/70/44/639257044.db2.gz IMOGACRGCQJUMK-CYBMUJFWSA-N 0 1 293.411 0.867 20 30 CCEDMN Cc1n[nH]c(C(=O)NCC2CN(C(=O)[C@@H](C)C#N)C2)c1C ZINC001001250450 639267059 /nfs/dbraw/zinc/26/70/59/639267059.db2.gz IHZZBNGVVWXMJW-QMMMGPOBSA-N 0 1 289.339 0.374 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](NC(=O)[C@H]2CCCc3[nH+]ccn32)C1 ZINC001014728069 650482290 /nfs/dbraw/zinc/48/22/90/650482290.db2.gz KPGFMKIJOLUIFZ-UONOGXRCSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](NC(=O)[C@@H]2CCCc3[nH+]ccn32)C1 ZINC001014728067 650482433 /nfs/dbraw/zinc/48/24/33/650482433.db2.gz KPGFMKIJOLUIFZ-KBPBESRZSA-N 0 1 286.379 0.974 20 30 CCEDMN N#Cc1cc(CNCCNC(=O)c2ccn[nH]2)cs1 ZINC000921979224 639448538 /nfs/dbraw/zinc/44/85/38/639448538.db2.gz CKMBHKJGRYOTNR-UHFFFAOYSA-N 0 1 275.337 0.862 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001014919824 650503888 /nfs/dbraw/zinc/50/38/88/650503888.db2.gz JNQIDJVURUKTNZ-SWLSCSKDSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001014894753 650501189 /nfs/dbraw/zinc/50/11/89/650501189.db2.gz QYAINMXBHULWQK-LLVKDONJSA-N 0 1 276.340 0.377 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCCNC2=O)CC1 ZINC001003082828 639503325 /nfs/dbraw/zinc/50/33/25/639503325.db2.gz BZHNBQVXRYRKRZ-CYBMUJFWSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@]2(C)CNC(=O)C2)CC1 ZINC001003365580 639534904 /nfs/dbraw/zinc/53/49/04/639534904.db2.gz BWDFUSFXIFRPHW-OAHLLOKOSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCC(NC(=O)C[C@H]2CCC(=O)N2C)CC1 ZINC001003419142 639541411 /nfs/dbraw/zinc/54/14/11/639541411.db2.gz BOAREEPTJAHJNH-CQSZACIVSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2c(C)nc[nH]c2=O)CC1 ZINC001003473685 639552856 /nfs/dbraw/zinc/55/28/56/639552856.db2.gz PQWGRWDELIWQKR-UHFFFAOYSA-N 0 1 288.351 0.708 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001014969073 650509201 /nfs/dbraw/zinc/50/92/01/650509201.db2.gz RNCIUUOOQBUTIX-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN N#CCN1CCC(NC(=O)CN2CCCC2)CC1 ZINC001004147981 639698488 /nfs/dbraw/zinc/69/84/88/639698488.db2.gz PLCBYIGMMGHTAS-UHFFFAOYSA-N 0 1 250.346 0.186 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001015070844 650524892 /nfs/dbraw/zinc/52/48/92/650524892.db2.gz QVYKKXMMNUENLZ-LBPRGKRZSA-N 0 1 261.325 0.375 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)C2=COCCO2)CC1 ZINC001005075232 639871900 /nfs/dbraw/zinc/87/19/00/639871900.db2.gz ULEJHSJZIUJXCX-UHFFFAOYSA-N 0 1 278.352 0.821 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2C[C@H]2C(=O)OC)CC1 ZINC001005173286 639883880 /nfs/dbraw/zinc/88/38/80/639883880.db2.gz FGNZWWOARHJMPZ-ZIAGYGMSSA-N 0 1 292.379 0.742 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001015167841 650534266 /nfs/dbraw/zinc/53/42/66/650534266.db2.gz QJXXJIDVPPQOFT-KGLIPLIRSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnn3c2CCC3)CC1 ZINC001005472827 639911173 /nfs/dbraw/zinc/91/11/73/639911173.db2.gz FJOSYNZEDPGDAF-UHFFFAOYSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2c[nH]nc2C)CC1 ZINC001005798591 639965101 /nfs/dbraw/zinc/96/51/01/639965101.db2.gz IBNPKNQGEVFFPC-UHFFFAOYSA-N 0 1 260.341 0.888 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(OC)n(C)n2)C1 ZINC001015215513 650539706 /nfs/dbraw/zinc/53/97/06/650539706.db2.gz GHOKKAVFZUNDGC-LLVKDONJSA-N 0 1 278.356 0.809 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC001005820381 639977577 /nfs/dbraw/zinc/97/75/77/639977577.db2.gz GHUSFBTVPVESIL-UONOGXRCSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001015226458 650540705 /nfs/dbraw/zinc/54/07/05/650540705.db2.gz VCFUFQRMRGFFII-LLVKDONJSA-N 0 1 274.324 0.313 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001015231209 650542166 /nfs/dbraw/zinc/54/21/66/650542166.db2.gz LCVQFVGZARWASE-SNVBAGLBSA-N 0 1 262.313 0.475 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)Cc3ncn[nH]3)CC[C@@H]2C1 ZINC000946853840 640013825 /nfs/dbraw/zinc/01/38/25/640013825.db2.gz HBIPNPFRQXINRN-TXEJJXNPSA-N 0 1 288.355 0.041 20 30 CCEDMN C[C@]1(C#N)CCN(C(=O)NCCCc2nc[nH]n2)C1 ZINC000923129859 640030684 /nfs/dbraw/zinc/03/06/84/640030684.db2.gz YIDDSQVLINZHJK-GFCCVEGCSA-N 0 1 262.317 0.682 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cnn(C)n2)CC1 ZINC001006132697 640178337 /nfs/dbraw/zinc/17/83/37/640178337.db2.gz PJPYHLMOMDKPSQ-UHFFFAOYSA-N 0 1 275.356 0.375 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ncccn2)CC1 ZINC001006167864 640193521 /nfs/dbraw/zinc/19/35/21/640193521.db2.gz ABGLYZSRSOJQSH-UHFFFAOYSA-N 0 1 258.325 0.646 20 30 CCEDMN Cc1nn(C)c(N2CCN(C[C@H](O)C3CC3)CC2)c1C#N ZINC000838967219 640283078 /nfs/dbraw/zinc/28/30/78/640283078.db2.gz PZPIUCIKPLTVJM-AWEZNQCLSA-N 0 1 289.383 0.493 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001015572720 650582824 /nfs/dbraw/zinc/58/28/24/650582824.db2.gz HJRFNZHSQPVJQN-MRVPVSSYSA-N 0 1 253.327 0.839 20 30 CCEDMN C[C@H](C#N)OCCOC(=O)CCCCc1cn[nH]n1 ZINC000801857779 640670041 /nfs/dbraw/zinc/67/00/41/640670041.db2.gz KMNIMNJJYVOKRR-SNVBAGLBSA-N 0 1 266.301 0.989 20 30 CCEDMN C[C@H](C#N)OCCN1CCC(N(C)S(C)(=O)=O)CC1 ZINC000801931296 640676949 /nfs/dbraw/zinc/67/69/49/640676949.db2.gz WTYCJAIIQSQZHZ-LLVKDONJSA-N 0 1 289.401 0.271 20 30 CCEDMN C[C@H](C#N)OCCN1CC[N@@H+](CC(C)(C)O)[C@@H](C)C1 ZINC000801946266 640679611 /nfs/dbraw/zinc/67/96/11/640679611.db2.gz HIKSOYKENZAZBL-QWHCGFSZSA-N 0 1 269.389 0.692 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001015656432 650602556 /nfs/dbraw/zinc/60/25/56/650602556.db2.gz MMDMHRIZWLIRRK-SECBINFHSA-N 0 1 250.302 0.116 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2=CCOCC2)C1 ZINC001015659051 650603518 /nfs/dbraw/zinc/60/35/18/650603518.db2.gz RPVGMCJLFLUKLT-AWEZNQCLSA-N 0 1 262.353 0.937 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(CCCCO)CC2)n1 ZINC000804502687 640770204 /nfs/dbraw/zinc/77/02/04/640770204.db2.gz XYFHPVCLCJGKCJ-UHFFFAOYSA-N 0 1 275.356 0.551 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001015681994 650608212 /nfs/dbraw/zinc/60/82/12/650608212.db2.gz OXLVLUBEDDWRPN-LLVKDONJSA-N 0 1 261.325 0.375 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCSc2cn[nH]n2)nc1 ZINC000808024235 640845667 /nfs/dbraw/zinc/84/56/67/640845667.db2.gz DVNXVLNNAZGWBW-UHFFFAOYSA-N 0 1 295.349 0.637 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCN(CC#CC)C1 ZINC001015726541 650618123 /nfs/dbraw/zinc/61/81/23/650618123.db2.gz OUTPXAAMHRRGKR-MJBXVCDLSA-N 0 1 262.353 0.791 20 30 CCEDMN C#CC[C@H]1CCCN(CN2C[C@@H](C(=O)OC)CC2=O)C1 ZINC000842628749 640995283 /nfs/dbraw/zinc/99/52/83/640995283.db2.gz FNMULJYWIBSLMD-STQMWFEESA-N 0 1 278.352 0.701 20 30 CCEDMN OCCNN=CC1(CN2CCOCC2)CCCCC1 ZINC000814942378 641083884 /nfs/dbraw/zinc/08/38/84/641083884.db2.gz XNHXXDCLNPTGEK-UHFFFAOYSA-N 0 1 269.389 0.837 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001015833313 650636841 /nfs/dbraw/zinc/63/68/41/650636841.db2.gz CRIJDUJHONFXKG-GFCCVEGCSA-N 0 1 271.324 0.719 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2cccc3nc[nH]c32)C1 ZINC001015890150 650650327 /nfs/dbraw/zinc/65/03/27/650650327.db2.gz JBXUKFIXVKOCPG-SNVBAGLBSA-N 0 1 269.308 0.891 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc3c(cnn3C)c2)C1 ZINC001015898757 650652196 /nfs/dbraw/zinc/65/21/96/650652196.db2.gz IBSYRAJMQQGNED-CYBMUJFWSA-N 0 1 285.351 0.958 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(CCC)nn2)C1 ZINC001015914131 650655654 /nfs/dbraw/zinc/65/56/54/650655654.db2.gz VSQUFAFQRUASRM-LBPRGKRZSA-N 0 1 275.356 0.516 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn3nccc3nc2C)C1 ZINC001015923011 650657275 /nfs/dbraw/zinc/65/72/75/650657275.db2.gz JMSLGKKUVDFMOL-ZDUSSCGKSA-N 0 1 297.362 0.865 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(-n3cncn3)n2)C1 ZINC001015920997 650657706 /nfs/dbraw/zinc/65/77/06/650657706.db2.gz WXBOLSLXJOQGML-LBPRGKRZSA-N 0 1 298.350 0.652 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@]2(C)CCN(C(C)=O)C2)C1 ZINC001015961612 650664185 /nfs/dbraw/zinc/66/41/85/650664185.db2.gz HXWCEMCLLNLEEZ-UKRRQHHQSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCOc1cccnc1C(=O)N[C@@H]1CCN(CCO)C1 ZINC001015968742 650666846 /nfs/dbraw/zinc/66/68/46/650666846.db2.gz GYSYIEHMQSIENE-GFCCVEGCSA-N 0 1 291.351 0.443 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001015991458 650670553 /nfs/dbraw/zinc/67/05/53/650670553.db2.gz BLPPEMFSHVUNTN-GHMZBOCLSA-N 0 1 275.356 0.286 20 30 CCEDMN C[C@@H](CC#N)N(C)C(=O)C[NH+]1CCC(NC(=O)[O-])CC1 ZINC000824543646 641830945 /nfs/dbraw/zinc/83/09/45/641830945.db2.gz KBNJRMCMHIEGNX-JTQLQIEISA-N 0 1 282.344 0.479 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccccc2-n2cnnn2)C1 ZINC001016225133 650699784 /nfs/dbraw/zinc/69/97/84/650699784.db2.gz BNNVJTUEXUKJDF-GFCCVEGCSA-N 0 1 298.350 0.652 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001016262221 650708276 /nfs/dbraw/zinc/70/82/76/650708276.db2.gz DHSWOUVRMKNGKI-NSHDSACASA-N 0 1 276.340 0.494 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc([N+](=O)[O-])c1N ZINC000834479946 642572740 /nfs/dbraw/zinc/57/27/40/642572740.db2.gz JSSRPTUQMGHFGK-APPZFPTMSA-N 0 1 275.268 0.018 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1c(F)cccc1F ZINC000834481025 642575025 /nfs/dbraw/zinc/57/50/25/642575025.db2.gz ZHHPGVGEOJCRSX-PRHODGIISA-N 0 1 265.263 0.735 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCc1ccccc1N ZINC000834485236 642575820 /nfs/dbraw/zinc/57/58/20/642575820.db2.gz TYYSZWFYJSZCKO-WCQYABFASA-N 0 1 258.325 0.429 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCCc1ccccn1 ZINC000834484542 642576956 /nfs/dbraw/zinc/57/69/56/642576956.db2.gz KEIAXYSLCPVWAJ-AAEUAGOBSA-N 0 1 258.325 0.632 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc(-n2cccn2)c1 ZINC000834488558 642577508 /nfs/dbraw/zinc/57/75/08/642577508.db2.gz DMXBWGBERRSIGV-OCCSQVGLSA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cn1ncc2ccccc21 ZINC000834485466 642577730 /nfs/dbraw/zinc/57/77/30/642577730.db2.gz XFXUVROMKWOHOJ-NWDGAFQWSA-N 0 1 269.308 0.264 20 30 CCEDMN Cc1nc(CCC(=O)N[C@H]2CNC[C@H]2C#N)cs1 ZINC000834488189 642578499 /nfs/dbraw/zinc/57/84/99/642578499.db2.gz AFRPUEPTEFWWND-KOLCDFICSA-N 0 1 264.354 0.612 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCOCc1ccccc1 ZINC000834490403 642581239 /nfs/dbraw/zinc/58/12/39/642581239.db2.gz UZPZDWFLHDYWSS-ZIAGYGMSSA-N 0 1 273.336 0.821 20 30 CCEDMN Cn1nc(C(C)(C)C)cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834490908 642581266 /nfs/dbraw/zinc/58/12/66/642581266.db2.gz YHUNYPRDDLYCAU-ZJUUUORDSA-N 0 1 275.356 0.559 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1c[nH]nc1-c1ccncc1 ZINC000834491102 642581310 /nfs/dbraw/zinc/58/13/10/642581310.db2.gz ZWCNEVKBIOJTKA-PWSUYJOCSA-N 0 1 282.307 0.313 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@@H]2C#N)nnn1-c1ccccc1 ZINC000834490462 642582345 /nfs/dbraw/zinc/58/23/45/642582345.db2.gz VICGIILIXLJMQW-AAEUAGOBSA-N 0 1 296.334 0.417 20 30 CCEDMN Cc1ccc(C(=O)C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834493815 642582965 /nfs/dbraw/zinc/58/29/65/642582965.db2.gz HRZSTBHBIHCEAT-RYUDHWBXSA-N 0 1 257.293 0.406 20 30 CCEDMN C[C@H](OC[C@@H]1CCCCO1)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834494855 642585573 /nfs/dbraw/zinc/58/55/73/642585573.db2.gz QFKYNCAVNNHOPH-RNJOBUHISA-N 0 1 281.356 0.188 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(=O)c2ccccc2o1 ZINC000834495331 642585793 /nfs/dbraw/zinc/58/57/93/642585793.db2.gz UDSHBNYJLRJSSQ-ONGXEEELSA-N 0 1 283.287 0.634 20 30 CCEDMN Cc1cc2nc(C)cc(C(=O)N[C@H]3CNC[C@H]3C#N)n2n1 ZINC000834499182 642587324 /nfs/dbraw/zinc/58/73/24/642587324.db2.gz FQBUZOJEHIQVKS-MNOVXSKESA-N 0 1 284.323 0.188 20 30 CCEDMN Cc1noc(C)c1[C@H](C)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834495706 642587561 /nfs/dbraw/zinc/58/75/61/642587561.db2.gz YHUXSWONVNEGEY-SWPVVBRQSA-N 0 1 262.313 0.623 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc2ccc(=O)[nH]c21 ZINC000834499440 642589240 /nfs/dbraw/zinc/58/92/40/642589240.db2.gz IMQWFIQEYQPVPB-JQWIXIFHSA-N 0 1 282.303 0.782 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccnn1-c1ccccc1 ZINC000834499437 642589250 /nfs/dbraw/zinc/58/92/50/642589250.db2.gz ILXWPHHALJZNQC-WCQYABFASA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cncc(C(F)(F)F)c1 ZINC000834499530 642589259 /nfs/dbraw/zinc/58/92/59/642589259.db2.gz JGTHUVQAABRYGV-WPRPVWTQSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(N2CCNC2=O)cc1 ZINC000834500587 642590667 /nfs/dbraw/zinc/59/06/67/642590667.db2.gz UUOJFUOGTBHZFX-YPMHNXCESA-N 0 1 299.334 0.058 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1(c2ccccc2)CC1 ZINC000834504354 642590951 /nfs/dbraw/zinc/59/09/51/642590951.db2.gz AHDULTFDAAIZLD-YPMHNXCESA-N 0 1 255.321 0.946 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnn(-c2ccc(F)cc2)c1 ZINC000834505182 642591187 /nfs/dbraw/zinc/59/11/87/642591187.db2.gz IXZSQJIDNJJJGY-YGRLFVJLSA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COc1ccc(F)cc1 ZINC000834505854 642591796 /nfs/dbraw/zinc/59/17/96/642591796.db2.gz OSFFWDNHYAULPY-SKDRFNHKSA-N 0 1 263.272 0.432 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCC(=O)c1ccccc1 ZINC000834505150 642591844 /nfs/dbraw/zinc/59/18/44/642591844.db2.gz IPPOEXNZZPRRAC-STQMWFEESA-N 0 1 271.320 0.877 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COc1ccc2c(c1)CCC2 ZINC000834507055 642593191 /nfs/dbraw/zinc/59/31/91/642593191.db2.gz XOKQPXMROKFCFS-UKRRQHHQSA-N 0 1 285.347 0.782 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(F)cc1F ZINC000834510534 642595388 /nfs/dbraw/zinc/59/53/88/642595388.db2.gz CBOISLCEDLPBCI-WRWORJQWSA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)CCC(=O)N2 ZINC000834511277 642595642 /nfs/dbraw/zinc/59/56/42/642595642.db2.gz KAWPGPCYJSOJKL-WCQYABFASA-N 0 1 284.319 0.413 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(Br)c[nH]1 ZINC000834511556 642597001 /nfs/dbraw/zinc/59/70/01/642597001.db2.gz MGMTXVHBKAWLGO-IMTBSYHQSA-N 0 1 283.129 0.619 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCNC(=O)c1cccs1 ZINC000834511938 642597671 /nfs/dbraw/zinc/59/76/71/642597671.db2.gz QBGPZTMWSJFUFY-VHSXEESVSA-N 0 1 292.364 0.096 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(-n2cccn2)nc1 ZINC000834516215 642598713 /nfs/dbraw/zinc/59/87/13/642598713.db2.gz GJVILULVOPJZGP-RYUDHWBXSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(-n2ccnc2)cc1 ZINC000834516458 642599878 /nfs/dbraw/zinc/59/98/78/642599878.db2.gz IQSDMMCWMGDOSL-JSGCOSHPSA-N 0 1 281.319 0.714 20 30 CCEDMN COC(=O)Nc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834517400 642600135 /nfs/dbraw/zinc/60/01/35/642600135.db2.gz VALOPDSYIJNFOM-ZYHUDNBSSA-N 0 1 288.307 0.706 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@H]2C#N)oc2c1C(=O)CCC2 ZINC000834521697 642601803 /nfs/dbraw/zinc/60/18/03/642601803.db2.gz QBKSTPLPSQMKPS-ZJUUUORDSA-N 0 1 287.319 0.948 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(-n2ccnc2)nc1 ZINC000834517716 642601983 /nfs/dbraw/zinc/60/19/83/642601983.db2.gz YMMMYWKOVKYBAE-RYUDHWBXSA-N 0 1 282.307 0.109 20 30 CCEDMN N#Cc1cc(F)ccc1NC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000841138797 642804712 /nfs/dbraw/zinc/80/47/12/642804712.db2.gz XYDAYKPAEQWONE-BXKDBHETSA-N 0 1 273.271 0.930 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1cnn(-c2ccccc2)n1 ZINC000841167408 642805721 /nfs/dbraw/zinc/80/57/21/642805721.db2.gz HMSPQATUYIQQMB-JQWIXIFHSA-N 0 1 297.322 0.500 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccc(Cl)cn1 ZINC000841195707 642807012 /nfs/dbraw/zinc/80/70/12/642807012.db2.gz CGLKGUMABIMLQR-IONNQARKSA-N 0 1 265.704 0.968 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1nc(-c2ccccc2)no1 ZINC000841191112 642807190 /nfs/dbraw/zinc/80/71/90/642807190.db2.gz UIHTYEJTMZLEPD-QWRGUYRKSA-N 0 1 298.306 0.970 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccc(OC(F)F)cn1 ZINC000841249265 642809369 /nfs/dbraw/zinc/80/93/69/642809369.db2.gz LTUIXMSEEKJDGP-CBAPKCEASA-N 0 1 297.265 0.916 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1cc2c(cn1)CCC2 ZINC000841249192 642809564 /nfs/dbraw/zinc/80/95/64/642809564.db2.gz GIMCZBNINIZDNB-RYUDHWBXSA-N 0 1 271.324 0.803 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)n1cncn1 ZINC001017491377 650805735 /nfs/dbraw/zinc/80/57/35/650805735.db2.gz SGOGRYNHGAOXET-MELADBBJSA-N 0 1 287.367 0.538 20 30 CCEDMN N#CC[C@]1(O)CCN(Cc2cc3c(cc2O)OCO3)C1 ZINC000843425789 643053579 /nfs/dbraw/zinc/05/35/79/643053579.db2.gz VSVGYQJFWJUTQD-AWEZNQCLSA-N 0 1 276.292 0.971 20 30 CCEDMN CC#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001017543886 650809960 /nfs/dbraw/zinc/80/99/60/650809960.db2.gz HSKYTQMVYBWQJO-YVECIDJPSA-N 0 1 277.324 0.350 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(Cl)cc(F)c1N ZINC000843459838 643064874 /nfs/dbraw/zinc/06/48/74/643064874.db2.gz IZNKQFZQLFIRCL-QUBYGPBYSA-N 0 1 282.706 0.903 20 30 CCEDMN C[C@H](NC(=O)C1CCCCC1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000843460887 643065222 /nfs/dbraw/zinc/06/52/22/643065222.db2.gz IUFNDIPSTBLJLW-WCFLWFBJSA-N 0 1 292.383 0.299 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000843461777 643065977 /nfs/dbraw/zinc/06/59/77/643065977.db2.gz NLFTWGPROHRRBY-OHWDUHJYSA-N 0 1 286.291 0.836 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCOc1cccc(F)c1 ZINC000843461548 643066240 /nfs/dbraw/zinc/06/62/40/643066240.db2.gz LSQKLFZRJWMOPV-ZWNOBZJWSA-N 0 1 277.299 0.822 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)/C=C/c1ccc([N+](=O)[O-])cc1 ZINC000843461776 643066711 /nfs/dbraw/zinc/06/67/11/643066711.db2.gz NLFTWGPROHRRBY-MEJBXROZSA-N 0 1 286.291 0.836 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(-n2cc[nH]c2=O)cc1 ZINC000846676981 643441391 /nfs/dbraw/zinc/44/13/91/643441391.db2.gz JBTRNJBPRBZLHB-DGCLKSJQSA-N 0 1 297.318 0.419 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc(-n2cc[nH]c2=O)c1 ZINC000846677037 643441445 /nfs/dbraw/zinc/44/14/45/643441445.db2.gz JLXGPTGBNCUGER-DGCLKSJQSA-N 0 1 297.318 0.419 20 30 CCEDMN C#C[C@H]1CCCN([C@@H](C)C(=O)NC(=O)NCC)C1 ZINC000847030198 643480673 /nfs/dbraw/zinc/48/06/73/643480673.db2.gz PCZWPOLLFPBMQZ-QWRGUYRKSA-N 0 1 251.330 0.566 20 30 CCEDMN N#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc2[nH]nnc2c1 ZINC001018254899 650850362 /nfs/dbraw/zinc/85/03/62/650850362.db2.gz WTHAYWXWVVGWBL-TXEJJXNPSA-N 0 1 296.334 0.770 20 30 CCEDMN O=C(Cc1n[nH]c2c1CCCC2)N[C@@H]1CCCN(O)C1=O ZINC000848134688 643604217 /nfs/dbraw/zinc/60/42/17/643604217.db2.gz XXNXXHCDLYMPAX-LLVKDONJSA-N 0 1 292.339 0.327 20 30 CCEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)N[C@@H]1CCCN(O)C1=O ZINC000848136935 643606921 /nfs/dbraw/zinc/60/69/21/643606921.db2.gz UTMWMTCNNYLIBV-GMTAPVOTSA-N 0 1 269.345 0.356 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnn2cccc(Cl)c12 ZINC000852828352 644013554 /nfs/dbraw/zinc/01/35/54/644013554.db2.gz WSVMJHGIZJLCEZ-GZMMTYOYSA-N 0 1 289.726 0.829 20 30 CCEDMN Cn1cc(-c2ncccc2C(=O)N[C@H]2CNC[C@@H]2C#N)cn1 ZINC000852875600 644038058 /nfs/dbraw/zinc/03/80/58/644038058.db2.gz KUODLOXQUPSBPS-GWCFXTLKSA-N 0 1 296.334 0.323 20 30 CCEDMN Cc1cc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)C2)on1 ZINC001018632378 650887205 /nfs/dbraw/zinc/88/72/05/650887205.db2.gz NNBAKSOTOZIYNU-KOLCDFICSA-N 0 1 262.313 0.833 20 30 CCEDMN Cc1cc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)C2)ncn1 ZINC001018632614 650887280 /nfs/dbraw/zinc/88/72/80/650887280.db2.gz PHOWJSWLNOWJQD-PWSUYJOCSA-N 0 1 273.340 0.635 20 30 CCEDMN C[C@@H]1CCCCN1CC(N)=NOCC(=O)N1CCCC1 ZINC000111179255 644092133 /nfs/dbraw/zinc/09/21/33/644092133.db2.gz KECWCMPJCPYVTR-GFCCVEGCSA-N 0 1 282.388 0.772 20 30 CCEDMN C[C@H]1CN=C(NN=C2C(=O)Nc3cc(O)c(Cl)cc32)N1 ZINC000853330213 644098997 /nfs/dbraw/zinc/09/89/97/644098997.db2.gz KOMIGNLNPGGUMB-YFKPBYRVSA-N 0 1 293.714 0.639 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CO[C@@H]2CCOC2)C1 ZINC001018836811 650914971 /nfs/dbraw/zinc/91/49/71/650914971.db2.gz HBWGODGKHKMHDJ-NWDGAFQWSA-N 0 1 288.775 0.735 20 30 CCEDMN C#CC[NH2+][C@H]1CCN(C(=O)c2[n-]ncc2C(F)(F)F)C1 ZINC001018954383 650924115 /nfs/dbraw/zinc/92/41/15/650924115.db2.gz IDVXVHWOKRKQHM-QMMMGPOBSA-N 0 1 286.257 0.866 20 30 CCEDMN C[C@H](C#N)OC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000859921748 644745416 /nfs/dbraw/zinc/74/54/16/644745416.db2.gz DOAOTQSNXUAALB-SSDOTTSWSA-N 0 1 269.282 0.522 20 30 CCEDMN N#CCOc1ccccc1C(=O)NCCN1CC[C@H](O)C1 ZINC000863831553 645132433 /nfs/dbraw/zinc/13/24/33/645132433.db2.gz KNSACUYVQGTKKA-LBPRGKRZSA-N 0 1 289.335 0.385 20 30 CCEDMN N#Cc1ccccc1CN[C@H]1CCN(C(=O)c2cnon2)C1 ZINC001019540182 650984933 /nfs/dbraw/zinc/98/49/33/650984933.db2.gz JHERHJIZRSPKJR-ZDUSSCGKSA-N 0 1 297.318 0.946 20 30 CCEDMN C[C@H]([N-]S(=O)(=O)c1ccc(C#N)o1)C1CC[NH2+]CC1 ZINC000866812148 645608894 /nfs/dbraw/zinc/60/88/94/645608894.db2.gz CVHSTXVHSDHVNG-VIFPVBQESA-N 0 1 283.353 0.818 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccn(CCF)n1 ZINC000868198930 645730318 /nfs/dbraw/zinc/73/03/18/645730318.db2.gz MNNGQESBSORGEI-RKDXNWHRSA-N 0 1 266.280 0.086 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccn(CCF)n1 ZINC000868198929 645730396 /nfs/dbraw/zinc/73/03/96/645730396.db2.gz MNNGQESBSORGEI-IUCAKERBSA-N 0 1 266.280 0.086 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1c(Cl)n[nH]c1C1CC1 ZINC000876802493 646670224 /nfs/dbraw/zinc/67/02/24/646670224.db2.gz PHPPYNOASYCXKD-SFYZADRCSA-N 0 1 279.731 0.782 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CC2(O)CCCC2)C[C@H](C)N1CC#N ZINC000878771208 646975955 /nfs/dbraw/zinc/97/59/55/646975955.db2.gz GDBBKMLAEGSNHY-QLFBSQMISA-N 0 1 295.427 0.961 20 30 CCEDMN N#CCSCCCN1CC[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879930049 647128482 /nfs/dbraw/zinc/12/84/82/647128482.db2.gz BPEWTNBOCVYYTQ-NEPJUHHUSA-N 0 1 288.438 1.000 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnc[nH]2)C[C@H](C)N1CC#N ZINC000880455748 647203455 /nfs/dbraw/zinc/20/34/55/647203455.db2.gz BTLWETNMAZVQLX-TXEJJXNPSA-N 0 1 275.356 0.787 20 30 CCEDMN Cc1nc(C#N)cc(N2C[C@H](C)N(CCO)C[C@H]2C)n1 ZINC000882467067 647410587 /nfs/dbraw/zinc/41/05/87/647410587.db2.gz AIWUAWRDVYNCTM-WDEREUQCSA-N 0 1 275.356 0.548 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N[C@@H]2CNC[C@@H]2C#N)C1 ZINC000884251256 647681929 /nfs/dbraw/zinc/68/19/29/647681929.db2.gz ZAFWXSWJBQCCEW-SASUGWTJSA-N 0 1 273.340 0.303 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ncsc1C1CC1 ZINC000884251240 647682725 /nfs/dbraw/zinc/68/27/25/647682725.db2.gz YTOUWBPFHZAJLO-BDAKNGLRSA-N 0 1 262.338 0.862 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN3CCOC[C@H]3C2)CCC1 ZINC000886131957 647906024 /nfs/dbraw/zinc/90/60/24/647906024.db2.gz LAUQOLPAAJBNBH-KGLIPLIRSA-N 0 1 279.384 0.448 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)[C@H]2CCc3[nH]cnc3C2)CCO1 ZINC000887688081 648142505 /nfs/dbraw/zinc/14/25/05/648142505.db2.gz BQSIHCPHGCNKPR-HZMBPMFUSA-N 0 1 274.324 0.656 20 30 CCEDMN N#CC[C@@H](O)CN1CCC[C@H](N2CCCC2=O)C1 ZINC000929622013 648768732 /nfs/dbraw/zinc/76/87/32/648768732.db2.gz MTJARFXJQWRUGM-NWDGAFQWSA-N 0 1 251.330 0.348 20 30 CCEDMN N#CC[C@@H](O)CN1C[C@H]2C[C@@H](C1)Cn1c(=O)cccc12 ZINC000930605563 648879456 /nfs/dbraw/zinc/87/94/56/648879456.db2.gz SCJKBGUBRQRZNU-YNEHKIRRSA-N 0 1 273.336 0.542 20 30 CCEDMN C[C@@H](N[C@@H]1C(=O)NCC1(C)C)C(=O)NC1(C#N)CCC1 ZINC000931656867 649015489 /nfs/dbraw/zinc/01/54/89/649015489.db2.gz VSGMNNPMXIFSPK-NXEZZACHSA-N 0 1 278.356 0.052 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cccc(F)c2O)CCO1 ZINC000932148910 649053471 /nfs/dbraw/zinc/05/34/71/649053471.db2.gz KRVOVYJBHVOQFY-MRVPVSSYSA-N 0 1 250.229 0.896 20 30 CCEDMN O=C1C=COC2(CC[NH+](CCc3cn[nH]n3)CC2)C1 ZINC000933648136 649132639 /nfs/dbraw/zinc/13/26/39/649132639.db2.gz RUROBLKGBCZSHH-UHFFFAOYSA-N 0 1 262.313 0.685 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CCN2C(=O)c2ccn[nH]2)c[nH]1 ZINC000949485917 649426476 /nfs/dbraw/zinc/42/64/76/649426476.db2.gz PSRHSWMGKIHPBG-NSHDSACASA-N 0 1 298.306 0.254 20 30 CCEDMN CCNC(=O)CON=C(N)CN1CCCC[C@@H]1C ZINC000078639508 649489752 /nfs/dbraw/zinc/48/97/52/649489752.db2.gz FJYDLOHUENEWJN-JTQLQIEISA-N 0 1 256.350 0.286 20 30 CCEDMN COCCNC(=O)[C@@H](C#N)C(=O)[C@@H]1CC1(Cl)Cl ZINC000193421710 649537730 /nfs/dbraw/zinc/53/77/30/649537730.db2.gz BCJSKRCLSQBDMV-BQBZGAKWSA-N 0 1 279.123 0.652 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@](C)(NC(=O)c2[nH]ncc2F)C1 ZINC000957513265 649751319 /nfs/dbraw/zinc/75/13/19/649751319.db2.gz MMSURPSOSYCHMU-ISVAXAHUSA-N 0 1 293.302 0.429 20 30 CCEDMN Cc1ncc(C#N)c(N2C[C@@H]3[C@H](C2)OCCN3C)n1 ZINC001164666197 719385566 /nfs/dbraw/zinc/38/55/66/719385566.db2.gz JWRMHXLWGKURTN-NEPJUHHUSA-N 0 1 259.313 0.176 20 30 CCEDMN COc1cc(C#N)nc(N2C[C@@H]3OCCN(C)[C@H]3C2)c1 ZINC001164666889 719397432 /nfs/dbraw/zinc/39/74/32/719397432.db2.gz MAMWMUHJKCVYGJ-STQMWFEESA-N 0 1 274.324 0.481 20 30 CCEDMN C=C1O[C@H](CC)C(=O)C1Oc1nc(=O)n(C)c2[nH]cnc21 ZINC001230005360 805573732 /nfs/dbraw/zinc/57/37/32/805573732.db2.gz UVIGJPYLYSHMTO-SSDOTTSWSA-N 0 1 290.279 0.596 20 30 CCEDMN COCC#CCN1CCC[C@@]2(CCN(C(C)=O)C2)C1 ZINC001277449362 805586740 /nfs/dbraw/zinc/58/67/40/805586740.db2.gz IFWAQTOUTCMARN-OAHLLOKOSA-N 0 1 264.369 0.971 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC1CCN([C@@H](CC)C(N)=O)CC1 ZINC001230415543 805601264 /nfs/dbraw/zinc/60/12/64/805601264.db2.gz QSPRUGHCQUIBRJ-AAEUAGOBSA-N 0 1 297.399 0.422 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@H]1CC(=O)N(CC)C1 ZINC001266715808 791174120 /nfs/dbraw/zinc/17/41/20/791174120.db2.gz HEEUYODBRPGHSG-NSHDSACASA-N 0 1 287.791 0.655 20 30 CCEDMN CC#CCN(C)CCNC(=O)COc1c(C)nn(C)c1C ZINC001266275362 790380302 /nfs/dbraw/zinc/38/03/02/790380302.db2.gz WTYCEKZECYPKFW-UHFFFAOYSA-N 0 1 292.383 0.487 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H](C)OCC2CC2)CC1 ZINC001266281756 790394418 /nfs/dbraw/zinc/39/44/18/790394418.db2.gz ZEHQFNDKMBPTFQ-CQSZACIVSA-N 0 1 295.427 0.721 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@H]2CCCOC2)CC1 ZINC001266281332 790391521 /nfs/dbraw/zinc/39/15/21/790391521.db2.gz LTPHNCXETKUNKA-HNNXBMFYSA-N 0 1 295.427 0.723 20 30 CCEDMN C[C@@H](NC(=O)[C@@H]1CCCN1C)C1CN(CC#N)C1 ZINC000969381517 790418447 /nfs/dbraw/zinc/41/84/47/790418447.db2.gz NMJVZRBKIDTMBX-PWSUYJOCSA-N 0 1 250.346 0.041 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)CNc1cnc(C#N)cn1 ZINC001104226209 790452540 /nfs/dbraw/zinc/45/25/40/790452540.db2.gz LNWBHTQJDUERJB-JTQLQIEISA-N 0 1 299.338 0.478 20 30 CCEDMN Cc1nc(CN2CC[C@H](NC(=O)C#CC(C)C)C2)n[nH]1 ZINC001266319611 790497069 /nfs/dbraw/zinc/49/70/69/790497069.db2.gz OIMKXRALHMIAHZ-LBPRGKRZSA-N 0 1 275.356 0.463 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001266345156 790554720 /nfs/dbraw/zinc/55/47/20/790554720.db2.gz WURCGVRRWPQZNW-OAHLLOKOSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCN(C)CCNC(=O)CNC(=O)C1CCCCC1 ZINC001266356470 790579159 /nfs/dbraw/zinc/57/91/59/790579159.db2.gz FFIDCHMHSJTDLR-UHFFFAOYSA-N 0 1 279.384 0.364 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cccn2nnnc12 ZINC001266392930 790625798 /nfs/dbraw/zinc/62/57/98/790625798.db2.gz RWIHXXYYXBAJMI-UHFFFAOYSA-N 0 1 286.339 0.199 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1c(C)cnn1C ZINC001266410982 790654693 /nfs/dbraw/zinc/65/46/93/790654693.db2.gz MDDREUGKTOJDIE-UHFFFAOYSA-N 0 1 262.357 0.804 20 30 CCEDMN CC#CCN(CC)CCNC(=O)C[C@@H]1CCC(=O)N1 ZINC001266423049 790670733 /nfs/dbraw/zinc/67/07/33/790670733.db2.gz ZWPDOQYWPPCKPU-LBPRGKRZSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)Cc1ccn(C)n1 ZINC001266461525 790755780 /nfs/dbraw/zinc/75/57/80/790755780.db2.gz QUCDCRDYOBBCPV-ZDUSSCGKSA-N 0 1 260.341 0.176 20 30 CCEDMN CN(CC#N)C[C@H]1CCCN(C(=O)CN2CCCC2)C1 ZINC001266473157 790770380 /nfs/dbraw/zinc/77/03/80/790770380.db2.gz WYDKWFPWFDSINJ-CQSZACIVSA-N 0 1 278.400 0.776 20 30 CCEDMN Cc1nocc1CNCCNC(=O)c1cc(C#N)c[nH]1 ZINC001125370809 790802598 /nfs/dbraw/zinc/80/25/98/790802598.db2.gz VTSZXDUKNGHLNG-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H]1CCN([C@@H](C)C(N)=O)C1 ZINC001266526714 790871176 /nfs/dbraw/zinc/87/11/76/790871176.db2.gz YJJJOJNKGZPFKR-NWDGAFQWSA-N 0 1 281.400 0.901 20 30 CCEDMN C[C@H](NCCNC(=O)c1cc(C#N)c[nH]1)c1cnccn1 ZINC001125391262 790875042 /nfs/dbraw/zinc/87/50/42/790875042.db2.gz VXHSWHFSAUXJGQ-JTQLQIEISA-N 0 1 284.323 0.757 20 30 CCEDMN COCC#CCN(CCNC(=O)c1ncccn1)C1CC1 ZINC001266615635 791036861 /nfs/dbraw/zinc/03/68/61/791036861.db2.gz OONRBHHZOZGMHQ-UHFFFAOYSA-N 0 1 288.351 0.321 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](C)NC(C)=O ZINC001230697725 805650591 /nfs/dbraw/zinc/65/05/91/805650591.db2.gz LJZDRBWHDCGHAU-AAEUAGOBSA-N 0 1 265.357 0.067 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)Cn1cccn1 ZINC001230773283 805663698 /nfs/dbraw/zinc/66/36/98/805663698.db2.gz VHGFDHOCIGPIOK-AWEZNQCLSA-N 0 1 292.383 0.618 20 30 CCEDMN COCCCN1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815387 805671324 /nfs/dbraw/zinc/67/13/24/805671324.db2.gz NPPXLGANHBEELR-LBPRGKRZSA-N 0 1 285.413 0.812 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cnccn1 ZINC001277469949 805684995 /nfs/dbraw/zinc/68/49/95/805684995.db2.gz VSELHHPVJPYNMX-UHFFFAOYSA-N 0 1 276.340 0.178 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C1CCCC1 ZINC001231122533 805734275 /nfs/dbraw/zinc/73/42/75/805734275.db2.gz FCUJZHCQVDOERD-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)CC(C)C ZINC001231324910 805777589 /nfs/dbraw/zinc/77/75/89/805777589.db2.gz DJPDAAFFYOQVNC-ZDUSSCGKSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CCOCCC(=O)N(C)C1CN(CCC=C)C1 ZINC001277594008 805875496 /nfs/dbraw/zinc/87/54/96/805875496.db2.gz QOSZOSJAWSDXDC-UHFFFAOYSA-N 0 1 250.342 0.745 20 30 CCEDMN C#CCN(CC#C)Cc1ccc(N2CCN(C)CC2)nc1 ZINC001232364614 805929369 /nfs/dbraw/zinc/92/93/69/805929369.db2.gz XQVPUXNLIUOBGA-UHFFFAOYSA-N 0 1 282.391 0.902 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@H+]2C[C@@H]3CCNC(=O)[C@@H]3C2)c1 ZINC001232679026 805969865 /nfs/dbraw/zinc/96/98/65/805969865.db2.gz YTPUOMBXDPSSOY-WCQYABFASA-N 0 1 271.320 0.832 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001266715807 791173948 /nfs/dbraw/zinc/17/39/48/791173948.db2.gz HEEUYODBRPGHSG-LLVKDONJSA-N 0 1 287.791 0.655 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cc[n+]([O-])cc1 ZINC001266921275 791349372 /nfs/dbraw/zinc/34/93/72/791349372.db2.gz FGFLOELURPUPIU-JTQLQIEISA-N 0 1 269.732 0.780 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001266964400 791403663 /nfs/dbraw/zinc/40/36/63/791403663.db2.gz NJVVFEAFWGZPGT-ZNSHCXBVSA-N 0 1 258.749 0.726 20 30 CCEDMN C=CCOCCCC(=O)N(C)C[C@H]1CCN1CCCO ZINC001233499047 806066137 /nfs/dbraw/zinc/06/61/37/806066137.db2.gz DWUDYHMVFBXSDE-CQSZACIVSA-N 0 1 284.400 0.884 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC1CC1)NCc1cnn(C)n1 ZINC001267127439 791572339 /nfs/dbraw/zinc/57/23/39/791572339.db2.gz VETDUQTZINESDZ-NSHDSACASA-N 0 1 275.356 0.213 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccncc1F ZINC001267189681 791644929 /nfs/dbraw/zinc/64/49/29/791644929.db2.gz PVULUOAYKVJIJZ-UHFFFAOYSA-N 0 1 279.315 0.532 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C[C@H](C)COC ZINC001267224268 791700684 /nfs/dbraw/zinc/70/06/84/791700684.db2.gz ACPQVIOJJQZCRB-ZDUSSCGKSA-N 0 1 270.373 0.357 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CC(=O)NCC ZINC001233819665 806127203 /nfs/dbraw/zinc/12/72/03/806127203.db2.gz OCOAIFQMJZWWKR-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H]1CC=CCC1 ZINC001233835086 806128701 /nfs/dbraw/zinc/12/87/01/806128701.db2.gz IKOSFLRWGPARHP-AWEZNQCLSA-N 0 1 291.395 0.530 20 30 CCEDMN Cc1nc(CN2CC[C@@H]2CN(C)C(=O)C#CC2CC2)n[nH]1 ZINC001234179937 806197765 /nfs/dbraw/zinc/19/77/65/806197765.db2.gz YQAJPJFJDPOSEL-CYBMUJFWSA-N 0 1 287.367 0.559 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](C)OCC#C ZINC001234264470 806212795 /nfs/dbraw/zinc/21/27/95/806212795.db2.gz BIJLOFBHEMLNKZ-UONOGXRCSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001267247846 793241144 /nfs/dbraw/zinc/24/11/44/793241144.db2.gz XKZWOXNHJQTANI-GFCCVEGCSA-N 0 1 281.400 0.609 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](C)NC(=O)CC ZINC001234489169 806260787 /nfs/dbraw/zinc/26/07/87/806260787.db2.gz KLVKKAANBGNNAG-QWHCGFSZSA-N 0 1 279.384 0.457 20 30 CCEDMN C=C1O[C@@H](CC)C(=O)C1Oc1cc(=O)n(C)c(=O)[nH]1 ZINC001234503655 806263794 /nfs/dbraw/zinc/26/37/94/806263794.db2.gz FNVWIMFXYQLXRH-ZETCQYMHSA-N 0 1 266.253 0.474 20 30 CCEDMN N#Cc1ccc2nc(=O)[nH]c(O[C@@H]3CCOC3=O)c2c1 ZINC001234515938 806265455 /nfs/dbraw/zinc/26/54/55/806265455.db2.gz NSPUXCKVTIFUSN-SNVBAGLBSA-N 0 1 271.232 0.901 20 30 CCEDMN CN1CCO[C@@H](COc2ccccc2C=NO)C1=O ZINC001234820235 806305662 /nfs/dbraw/zinc/30/56/62/806305662.db2.gz SMJQYNJWPAFPEQ-LBPRGKRZSA-N 0 1 264.281 0.731 20 30 CCEDMN CC/C=C(/C)C(=O)NCCCN(C)CC(=O)NCC#N ZINC001234925549 806314944 /nfs/dbraw/zinc/31/49/44/806314944.db2.gz XLNJQYXKGIIZMS-SDQBBNPISA-N 0 1 280.372 0.421 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COCC2CC2)[C@H](OC)C1 ZINC001211925375 814931150 /nfs/dbraw/zinc/93/11/50/814931150.db2.gz VPHSPEVKFZSJNH-ZIAGYGMSSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)CC(=O)NCC(C)C ZINC001235684254 806492599 /nfs/dbraw/zinc/49/25/99/806492599.db2.gz VOGWVABBCSDNMH-UHFFFAOYSA-N 0 1 299.415 0.399 20 30 CCEDMN C=CCOCC(=O)NC1(C)CCN([C@@H](C)C(N)=O)CC1 ZINC001278039416 806625183 /nfs/dbraw/zinc/62/51/83/806625183.db2.gz PCXCRMCXZWPWKL-NSHDSACASA-N 0 1 283.372 0.034 20 30 CCEDMN CCC(C)(C)CC(=O)NC[C@@H](CO)NCC#CCOC ZINC001278086806 806655007 /nfs/dbraw/zinc/65/50/07/806655007.db2.gz ZUDSBDHURHBRTB-ZDUSSCGKSA-N 0 1 284.400 0.529 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(COC)o1 ZINC001278111721 806679959 /nfs/dbraw/zinc/67/99/59/806679959.db2.gz DHAVNIXFPZYPLQ-LLVKDONJSA-N 0 1 280.324 0.130 20 30 CCEDMN COc1ccc(CNC[C@H](O)CC2(C#N)CC2)nn1 ZINC001120514881 798842930 /nfs/dbraw/zinc/84/29/30/798842930.db2.gz FQTOHWGOXYJVCS-LLVKDONJSA-N 0 1 262.313 0.630 20 30 CCEDMN C#Cc1ccc(N2CCN(CCO)C(C)(C)C2)nc1 ZINC001120927108 798964035 /nfs/dbraw/zinc/96/40/35/798964035.db2.gz ZKIYJLCPXXGKBA-UHFFFAOYSA-N 0 1 259.353 0.956 20 30 CCEDMN C#CCNCC(=O)NCCOc1ccc(F)cc1 ZINC001121816835 799073591 /nfs/dbraw/zinc/07/35/91/799073591.db2.gz FRAAEINKEHIVGG-UHFFFAOYSA-N 0 1 250.273 0.544 20 30 CCEDMN C#CCNCC(=O)N(Cc1ccccc1)C[C@@H](C)O ZINC001121848522 799076251 /nfs/dbraw/zinc/07/62/51/799076251.db2.gz KKKTYQYNGHSMBZ-CYBMUJFWSA-N 0 1 260.337 0.619 20 30 CCEDMN C#CCNCC(=O)N(C)[C@@H]1C[C@@H](OCC)C1(C)C ZINC001121883129 799083751 /nfs/dbraw/zinc/08/37/51/799083751.db2.gz NOJOEURVMDRBEK-VXGBXAGGSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CCC(NC(=O)NO[C@H]2CCCCO2)CC1 ZINC001121910351 799090743 /nfs/dbraw/zinc/09/07/43/799090743.db2.gz JFPDKFZWUZPTHQ-ZDUSSCGKSA-N 0 1 281.356 0.841 20 30 CCEDMN C#CCNCC(=O)N[C@H](CC)c1nnc2n1CCCCC2 ZINC001121996742 799117123 /nfs/dbraw/zinc/11/71/23/799117123.db2.gz MHRCFOAUFMGAIQ-GFCCVEGCSA-N 0 1 289.383 0.795 20 30 CCEDMN C#CC[NH2+]CC(=O)N1CC[NH+](C(C)(C)CC)CC1 ZINC001122131620 799151610 /nfs/dbraw/zinc/15/16/10/799151610.db2.gz ALGNPAJZXKCBMP-UHFFFAOYSA-N 0 1 251.374 0.542 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc2cc[nH]c21 ZINC001278156636 806728506 /nfs/dbraw/zinc/72/85/06/806728506.db2.gz YUSXPHBESAJUPL-LBPRGKRZSA-N 0 1 271.320 0.481 20 30 CCEDMN CC(=O)N[C@H](C)C(=O)NCCNCc1ccccc1C#N ZINC001123071649 799348229 /nfs/dbraw/zinc/34/82/29/799348229.db2.gz FWOIALOISKPSAS-LLVKDONJSA-N 0 1 288.351 0.289 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1C[C@H]1c1ccccc1C ZINC001278171039 806739742 /nfs/dbraw/zinc/73/97/42/806739742.db2.gz AEOVZCLCFSRKHW-CWRNSKLLSA-N 0 1 286.375 0.798 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cnc(N(C)C)cn2)CC1 ZINC001123251241 799380207 /nfs/dbraw/zinc/38/02/07/799380207.db2.gz YQZJTWSOMWHFTR-UHFFFAOYSA-N 0 1 288.351 0.797 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1snnc1C ZINC001123374597 799400160 /nfs/dbraw/zinc/40/01/60/799400160.db2.gz ZPCOXYHSTYNFHX-UHFFFAOYSA-N 0 1 260.750 0.918 20 30 CCEDMN C=CCNC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001123477875 799417915 /nfs/dbraw/zinc/41/79/15/799417915.db2.gz YXPPBLQTZXPFNW-UHFFFAOYSA-N 0 1 250.302 0.543 20 30 CCEDMN C=C(C)CCNC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001123751946 799466284 /nfs/dbraw/zinc/46/62/84/799466284.db2.gz QWTSZQQIJGBFGE-QWHCGFSZSA-N 0 1 268.405 0.886 20 30 CCEDMN COc1cccc(CNCCNC(=O)CSCC#N)n1 ZINC001123787658 799474884 /nfs/dbraw/zinc/47/48/84/799474884.db2.gz RGSYUWOBGXFDCP-UHFFFAOYSA-N 0 1 294.380 0.553 20 30 CCEDMN CC#CCN(C)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001123796721 799476526 /nfs/dbraw/zinc/47/65/26/799476526.db2.gz ZOMFKJASSIDKTK-CHWSQXEVSA-N 0 1 266.389 0.285 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)COc1ccccc1CC ZINC001278194596 806757335 /nfs/dbraw/zinc/75/73/35/806757335.db2.gz JVGHSARIAFOKTO-CQSZACIVSA-N 0 1 290.363 0.328 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCc1ccc(CC)cc1 ZINC001278195876 806757592 /nfs/dbraw/zinc/75/75/92/806757592.db2.gz VAZIJHGYGSPQAQ-MRXNPFEDSA-N 0 1 288.391 0.882 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCOc1cccc(C)c1 ZINC001278196247 806759099 /nfs/dbraw/zinc/75/90/99/806759099.db2.gz XGPHCYDPJLRPIV-CQSZACIVSA-N 0 1 290.363 0.464 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCc1ccccc1C#N ZINC001124890039 799644971 /nfs/dbraw/zinc/64/49/71/799644971.db2.gz VPZYLGOWDQPPOT-NSHDSACASA-N 0 1 256.309 0.924 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1CCCCC1(C)C ZINC001278202857 806771058 /nfs/dbraw/zinc/77/10/58/806771058.db2.gz VKCKBVNHERMMTN-QWHCGFSZSA-N 0 1 266.385 0.903 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)CCN1CC[C@H](F)C1 ZINC001138991150 799773510 /nfs/dbraw/zinc/77/35/10/799773510.db2.gz JNJVMSWWRWSRNC-NWDGAFQWSA-N 0 1 256.321 0.311 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@H](CNC(=O)Cn2cccn2)C1 ZINC001149160301 799840186 /nfs/dbraw/zinc/84/01/86/799840186.db2.gz RJJFXLKRNZBPIZ-CQSZACIVSA-N 0 1 290.367 0.114 20 30 CCEDMN N#Cc1ccccc1CN1CCc2c(n[nH]c2C(N)=O)C1 ZINC001278239175 806787520 /nfs/dbraw/zinc/78/75/20/806787520.db2.gz HPPRDGQOYAMRLL-UHFFFAOYSA-N 0 1 281.319 0.939 20 30 CCEDMN CN(C1CN(Cc2ccc(C#N)nc2)C1)[C@@H]1CCOC1 ZINC001140544534 799921721 /nfs/dbraw/zinc/92/17/21/799921721.db2.gz SPWWWKHPYMIGRR-CQSZACIVSA-N 0 1 272.352 0.858 20 30 CCEDMN COCCN(CC#N)C(=O)CCN1CC[C@H](F)C1 ZINC001141804082 800036556 /nfs/dbraw/zinc/03/65/56/800036556.db2.gz NKUODLSOVMLOCM-NSHDSACASA-N 0 1 257.309 0.419 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2cncn2C)C1 ZINC001150597920 800350589 /nfs/dbraw/zinc/35/05/89/800350589.db2.gz XHSSQJPEPKPDMU-CQSZACIVSA-N 0 1 292.383 0.356 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccc2nncn2c1 ZINC001151661857 800445315 /nfs/dbraw/zinc/44/53/15/800445315.db2.gz INOCBKITKCWAEV-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1ccn2cncc2c1 ZINC001151909657 800470161 /nfs/dbraw/zinc/47/01/61/800470161.db2.gz XBRPCQJADYLBFR-NSHDSACASA-N 0 1 272.264 0.519 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)c1[nH]nc(C)c1C ZINC001152561415 800538169 /nfs/dbraw/zinc/53/81/69/800538169.db2.gz IGSAHOQEFQTYMQ-SECBINFHSA-N 0 1 263.345 0.990 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)CS(C)(=O)=O ZINC001152609837 800542201 /nfs/dbraw/zinc/54/22/01/800542201.db2.gz NBSFKFOYWSOJTO-QMMMGPOBSA-N 0 1 282.793 0.125 20 30 CCEDMN C=CCCOCC(=O)NCCN[C@@H](C)c1n[nH]c(CC)n1 ZINC001153085769 800594904 /nfs/dbraw/zinc/59/49/04/800594904.db2.gz JNFQDOWEJBPWFT-NSHDSACASA-N 0 1 295.387 0.727 20 30 CCEDMN Cc1nc2c(cccc2C(=O)N2CCNC[C@H]2C#N)[nH]1 ZINC001153603938 800645992 /nfs/dbraw/zinc/64/59/92/800645992.db2.gz GHGHDQZKMBXLIX-SNVBAGLBSA-N 0 1 269.308 0.809 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COC[C@H]1CCCO1 ZINC001153673607 800650846 /nfs/dbraw/zinc/65/08/46/800650846.db2.gz NIJGNGSGZXMJEN-LLVKDONJSA-N 0 1 276.764 0.640 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)[C@H]1CN(C(C)C)CCO1 ZINC001154838157 800855354 /nfs/dbraw/zinc/85/53/54/800855354.db2.gz QMEIQIZZKONVAW-HUUCEWRRSA-N 0 1 295.427 0.555 20 30 CCEDMN N#Cc1cscc1C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001155083393 800905148 /nfs/dbraw/zinc/90/51/48/800905148.db2.gz KMKZQVYBHXFTLK-JTQLQIEISA-N 0 1 262.294 0.806 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2ccc(C#N)c(C)n2)CC1 ZINC001155158475 800921606 /nfs/dbraw/zinc/92/16/06/800921606.db2.gz ALTHHFGYFADKKW-CQSZACIVSA-N 0 1 288.351 0.978 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)[C@@H](CC)NC(C)=O ZINC001155387755 800963243 /nfs/dbraw/zinc/96/32/43/800963243.db2.gz IRQQWZYJFZYBBY-DGCLKSJQSA-N 0 1 267.373 0.361 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCNCc1cnon1 ZINC001155446911 800978606 /nfs/dbraw/zinc/97/86/06/800978606.db2.gz PXZRYBPQRVDEEK-LLVKDONJSA-N 0 1 282.344 0.647 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2cccc3cncn32)c1N ZINC001155790013 801050459 /nfs/dbraw/zinc/05/04/59/801050459.db2.gz RRAPWEARNRGXPC-UHFFFAOYSA-N 0 1 267.252 0.255 20 30 CCEDMN Cc1ccc2nnc(C(=O)Nc3nc[nH]c3C#N)n2c1 ZINC001156027721 801096329 /nfs/dbraw/zinc/09/63/29/801096329.db2.gz IHNMZILQISTRAZ-UHFFFAOYSA-N 0 1 267.252 0.885 20 30 CCEDMN CNC(=O)c1ccc(NC(=NC#N)c2ccncc2)nn1 ZINC001156325459 801150862 /nfs/dbraw/zinc/15/08/62/801150862.db2.gz BGFBFDTZOUHNAE-UHFFFAOYSA-N 0 1 281.279 0.571 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1nccc(C)n1 ZINC001157189783 801321501 /nfs/dbraw/zinc/32/15/01/801321501.db2.gz RADBAYQMWQQCRF-OAHLLOKOSA-N 0 1 292.383 0.708 20 30 CCEDMN CCn1cc(CNCCCNC(=O)C#CC(C)(C)C)nn1 ZINC001157727751 801464861 /nfs/dbraw/zinc/46/48/61/801464861.db2.gz XOKPUXCHHZPOSI-UHFFFAOYSA-N 0 1 291.399 0.943 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)c1n[nH]c(C)c1[O-] ZINC001157806683 801490438 /nfs/dbraw/zinc/49/04/38/801490438.db2.gz FBILHAUAKOVKPD-GFCCVEGCSA-N 0 1 290.367 0.983 20 30 CCEDMN N#C[C@H](CO)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC001157964266 801542065 /nfs/dbraw/zinc/54/20/65/801542065.db2.gz VMOZZBXHWDYCBI-MRVPVSSYSA-N 0 1 257.253 0.086 20 30 CCEDMN N#C[C@H](CO)NC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001157963278 801542129 /nfs/dbraw/zinc/54/21/29/801542129.db2.gz MGCJHBLDQIXYAY-LLVKDONJSA-N 0 1 271.280 0.010 20 30 CCEDMN COC(=O)C1(C#N)CCN(c2ncc3c(n2)CNC3)CC1 ZINC001158690321 801682457 /nfs/dbraw/zinc/68/24/57/801682457.db2.gz KBJVACRUYDQTAJ-UHFFFAOYSA-N 0 1 287.323 0.363 20 30 CCEDMN C=C[C@@H](CO)Nc1ccc(N2CCN(C)CC2)nn1 ZINC001158813634 801709867 /nfs/dbraw/zinc/70/98/67/801709867.db2.gz YPHRUTHLHAMAAS-NSHDSACASA-N 0 1 263.345 0.187 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CNC(=O)OC)CC1 ZINC001159426224 801802282 /nfs/dbraw/zinc/80/22/82/801802282.db2.gz YYHWCGSEUHPTNM-UHFFFAOYSA-N 0 1 279.340 0.114 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@]2(CCC(=O)N2)[C@H]1CC ZINC001159584946 801835063 /nfs/dbraw/zinc/83/50/63/801835063.db2.gz MKOCQYZBOHNBAV-UGFHNGPFSA-N 0 1 277.368 0.387 20 30 CCEDMN CNC(=O)[C@@H](C)N1CC=C(CCNC(=O)[C@H](C)C#N)CC1 ZINC001159587063 801836605 /nfs/dbraw/zinc/83/66/05/801836605.db2.gz GVNXVBQLZKNOBO-VXGBXAGGSA-N 0 1 292.383 0.419 20 30 CCEDMN C#CC[N@@H+]1CC=C(CCNC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001159812068 801874885 /nfs/dbraw/zinc/87/48/85/801874885.db2.gz XTXVJVITCITGEY-UHFFFAOYSA-N 0 1 288.351 0.809 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C[C@@H](C)NC(N)=O)CC1 ZINC001160126034 801908366 /nfs/dbraw/zinc/90/83/66/801908366.db2.gz RUBBGSARBMWNFM-GFCCVEGCSA-N 0 1 292.383 0.205 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCC1=CCN(CC#C)CC1 ZINC001160112126 801909065 /nfs/dbraw/zinc/90/90/65/801909065.db2.gz HWUZRANBLAKAOO-CQSZACIVSA-N 0 1 274.364 0.796 20 30 CCEDMN C#C[C@](C)(N)C(=O)N(CCC(=O)OC)Cc1ccco1 ZINC001160470024 801946641 /nfs/dbraw/zinc/94/66/41/801946641.db2.gz GOYBWKFFHCSWFL-AWEZNQCLSA-N 0 1 278.308 0.522 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1Cc2cccc(C(=O)OC)c2C1 ZINC001160564421 801970799 /nfs/dbraw/zinc/97/07/99/801970799.db2.gz HJFRUIVAOYAJAC-HNNXBMFYSA-N 0 1 272.304 0.666 20 30 CCEDMN N#CCNCCCNC(=O)c1[nH]nc2c1CCCC2 ZINC001161697549 802200432 /nfs/dbraw/zinc/20/04/32/802200432.db2.gz FQEMZPWSEYDCEO-UHFFFAOYSA-N 0 1 261.329 0.522 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCC1(CNCc2cnn(C)n2)CC1 ZINC001163854991 802626017 /nfs/dbraw/zinc/62/60/17/802626017.db2.gz WBNVCRXWRCKOGN-NSHDSACASA-N 0 1 290.371 0.351 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCNCc1cnon1 ZINC001163952854 802640333 /nfs/dbraw/zinc/64/03/33/802640333.db2.gz BCSBKOYHTSXALR-UHFFFAOYSA-N 0 1 252.318 0.878 20 30 CCEDMN C#CCCCCCC(=O)NCCCNCc1nncn1C ZINC001163963615 802640985 /nfs/dbraw/zinc/64/09/85/802640985.db2.gz GVAQBMQYJHVQTE-UHFFFAOYSA-N 0 1 291.399 0.995 20 30 CCEDMN CN(CCCNC(=O)[C@@H]1CC[C@H](F)C1)CC(=O)NCC#N ZINC001265027565 809694833 /nfs/dbraw/zinc/69/48/33/809694833.db2.gz SXYCZCMVOOJBSV-NEPJUHHUSA-N 0 1 298.362 0.202 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001164222485 802690749 /nfs/dbraw/zinc/69/07/49/802690749.db2.gz LTXGMCCPSVJHDU-WDEREUQCSA-N 0 1 295.387 0.163 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1cc(Cl)ncc1C#N ZINC001165435317 802839406 /nfs/dbraw/zinc/83/94/06/802839406.db2.gz CIRDQHRTPNBTMR-JTQLQIEISA-N 0 1 280.715 0.558 20 30 CCEDMN C#CCCCC(=O)NC[C@H](CC)NCc1cnnn1C ZINC001267799219 811794007 /nfs/dbraw/zinc/79/40/07/811794007.db2.gz JUAUKFVMQXHUCX-LBPRGKRZSA-N 0 1 277.372 0.603 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)CCOC(C)C)C1 ZINC001206142887 803209660 /nfs/dbraw/zinc/20/96/60/803209660.db2.gz SAMGBTGIDOPSBS-HUUCEWRRSA-N 0 1 296.411 0.888 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CCC(CNCC#N)CC1 ZINC001206533382 803262887 /nfs/dbraw/zinc/26/28/87/803262887.db2.gz GTLDTOWHWLFPSE-GFCCVEGCSA-N 0 1 289.383 0.940 20 30 CCEDMN N#Cc1cc(NS(=O)(=O)c2cncc(N)c2)ccn1 ZINC001206607181 803275336 /nfs/dbraw/zinc/27/53/36/803275336.db2.gz NEJCCEDJFAYQOC-UHFFFAOYSA-N 0 1 275.293 0.153 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(C(=O)C3(N(C)C)CC3)C2)C1=O ZINC001270729126 813909330 /nfs/dbraw/zinc/90/93/30/813909330.db2.gz SNNXAVAZJGUECS-HNNXBMFYSA-N 0 1 291.395 0.718 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(Cc2n[nH]c(C)n2)C[C@H]1C ZINC001206913209 803307268 /nfs/dbraw/zinc/30/72/68/803307268.db2.gz AYAMDYJFMRUYQP-DGCLKSJQSA-N 0 1 289.383 0.853 20 30 CCEDMN Cn1cc(CN2CCN([C@@H]3CCOC3)CC2)cc1C#N ZINC001207103106 803353138 /nfs/dbraw/zinc/35/31/38/803353138.db2.gz LTOFKXCMKKEWGY-CQSZACIVSA-N 0 1 274.368 0.803 20 30 CCEDMN COCCOC[C@@H](C)NCC1(C#N)CCOCC1 ZINC001207776738 803449292 /nfs/dbraw/zinc/44/92/92/803449292.db2.gz YPYUPWBRWPAJFS-GFCCVEGCSA-N 0 1 256.346 0.948 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001208151059 803494928 /nfs/dbraw/zinc/49/49/28/803494928.db2.gz XIFKZOSSRXIQOJ-MRVWCRGKSA-N 0 1 292.383 0.730 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CCC)OC)C1 ZINC001208289079 803503116 /nfs/dbraw/zinc/50/31/16/803503116.db2.gz LZCYRMMRYXFNCN-UPJWGTAASA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)Cc2c[nH]cn2)C1 ZINC001209327708 803595970 /nfs/dbraw/zinc/59/59/70/803595970.db2.gz MIMBKRDNTTYODM-OUCADQQQSA-N 0 1 274.368 0.658 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001213314755 803690056 /nfs/dbraw/zinc/69/00/56/803690056.db2.gz JPMXLCJKUFTFMK-BFHYXJOUSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CN(CCOC)C[C@H]1OC ZINC001213961599 803707408 /nfs/dbraw/zinc/70/74/08/803707408.db2.gz JMHDBMNVPJBBFC-YNEHKIRRSA-N 0 1 286.372 0.039 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1C[C@@H](C)[C@H](NCC#N)C1 ZINC001214757115 803758679 /nfs/dbraw/zinc/75/86/79/803758679.db2.gz BPHRSDRAGVKWAV-FRRDWIJNSA-N 0 1 284.379 0.626 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CCOCC)[C@H]2C1 ZINC001217523095 803895258 /nfs/dbraw/zinc/89/52/58/803895258.db2.gz ILFVNFVVQBYNOW-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCOCC(=O)N1CCO[C@@H]2CN(CCCF)C[C@@H]21 ZINC001217532642 803895800 /nfs/dbraw/zinc/89/58/00/803895800.db2.gz MJDPGYDCTHFKHC-QWHCGFSZSA-N 0 1 286.347 0.460 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCO[C@@H]2C[N@@H+](CCCO)C[C@@H]21 ZINC001218023120 803928532 /nfs/dbraw/zinc/92/85/32/803928532.db2.gz NYZUCNXKCDLVCQ-UONOGXRCSA-N 0 1 296.411 0.883 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCO[C@@H]2CN(CCCO)C[C@@H]21 ZINC001218023120 803928535 /nfs/dbraw/zinc/92/85/35/803928535.db2.gz NYZUCNXKCDLVCQ-UONOGXRCSA-N 0 1 296.411 0.883 20 30 CCEDMN CO[C@@H]1CN(CCCF)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212041475 814983933 /nfs/dbraw/zinc/98/39/33/814983933.db2.gz QRJNQWLRCJWGCU-HBNTYKKESA-N 0 1 257.309 0.321 20 30 CCEDMN Cn1ncc(NC(=O)[C@@H](N)Cc2ccccc2C#N)n1 ZINC001218627565 804044044 /nfs/dbraw/zinc/04/40/44/804044044.db2.gz WZLBGUOAKCGIAP-NSHDSACASA-N 0 1 270.296 0.195 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)NC2)[C@H]1C ZINC001088616720 815003804 /nfs/dbraw/zinc/00/38/04/815003804.db2.gz IZJLVYQMDDEANH-AGIUHOORSA-N 0 1 277.368 0.115 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(CC2=CCCCC2)C[C@@H]1O ZINC001219362305 804285513 /nfs/dbraw/zinc/28/55/13/804285513.db2.gz VBAKIPPTYLHZFE-CABCVRRESA-N 0 1 294.395 0.851 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCC(F)(F)F)[C@@H](O)C1 ZINC001219423642 804306236 /nfs/dbraw/zinc/30/62/36/804306236.db2.gz VXXVQCNDVPPHCA-ZJUUUORDSA-N 0 1 278.274 0.514 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CCCOC(C)C)C[C@@H]1O ZINC001219587656 804360954 /nfs/dbraw/zinc/36/09/54/804360954.db2.gz PFQXFHLEFDATJS-CABCVRRESA-N 0 1 296.411 0.622 20 30 CCEDMN COCCCCCN1C[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001219594176 804364932 /nfs/dbraw/zinc/36/49/32/804364932.db2.gz DYHZWDFFGKUULO-CABCVRRESA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCO[C@H]1C=C ZINC001272111705 815014863 /nfs/dbraw/zinc/01/48/63/815014863.db2.gz UFBMZLBBUNOAIZ-OLZOCXBDSA-N 0 1 250.342 0.601 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001219706499 804410761 /nfs/dbraw/zinc/41/07/61/804410761.db2.gz ADXSDYATGONLAH-NEPJUHHUSA-N 0 1 270.373 0.396 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NC[C@@H]1CCOC1 ZINC001220020705 804474668 /nfs/dbraw/zinc/47/46/68/804474668.db2.gz RWKOIKCYCXSANV-FZMZJTMJSA-N 0 1 273.336 0.581 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001220125257 804505799 /nfs/dbraw/zinc/50/57/99/804505799.db2.gz GODSDSPZIAOBNA-OLZOCXBDSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@@H]1O ZINC001220141412 804510836 /nfs/dbraw/zinc/51/08/36/804510836.db2.gz RTVMBAGRYGVJOH-TUAOUCFPSA-N 0 1 270.373 0.395 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)C(F)(F)F)[C@@H](O)C1 ZINC001220158428 804519677 /nfs/dbraw/zinc/51/96/77/804519677.db2.gz VINSOZCKAVJXKK-AEJSXWLSSA-N 0 1 280.290 0.922 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@@H]1O ZINC001220180973 804528953 /nfs/dbraw/zinc/52/89/53/804528953.db2.gz WJZFJJIVHUGPAF-MJBXVCDLSA-N 0 1 282.384 0.376 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001220289882 804563360 /nfs/dbraw/zinc/56/33/60/804563360.db2.gz FZUVWZUUDKDKLI-NEPJUHHUSA-N 0 1 252.358 0.914 20 30 CCEDMN Cc1ccc(C(=NC(=O)C[C@@H]2COCCN2)NO)nc1 ZINC001220336730 804577245 /nfs/dbraw/zinc/57/72/45/804577245.db2.gz CZMBDIFUGSDXES-SNVBAGLBSA-N 0 1 278.312 0.021 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cccnc1N1CCCC1 ZINC001220398917 804596179 /nfs/dbraw/zinc/59/61/79/804596179.db2.gz SFNDUQYWYXWPPN-HNNXBMFYSA-N 0 1 272.352 0.649 20 30 CCEDMN CC(=O)OC[C@H](N)C(=O)N[C@@H]1CCc2c1cccc2C#N ZINC001220597183 804634996 /nfs/dbraw/zinc/63/49/96/804634996.db2.gz YBYCOHDBBSYQBA-UONOGXRCSA-N 0 1 287.319 0.552 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](NC(C)=O)C(C)C ZINC001272151975 815031166 /nfs/dbraw/zinc/03/11/66/815031166.db2.gz KFFNWIVGNXLUBJ-CYBMUJFWSA-N 0 1 267.373 0.171 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C[C@H](C)C2CC2)[C@@H](O)C1 ZINC001221107242 804731869 /nfs/dbraw/zinc/73/18/69/804731869.db2.gz CQNWWWPDVPSXGP-CFVMTHIKSA-N 0 1 296.411 0.787 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)COCC)[C@@H]2C1 ZINC001221247219 804757635 /nfs/dbraw/zinc/75/76/35/804757635.db2.gz XOKPVXBGWZCADA-ZIAGYGMSSA-N 0 1 282.384 0.758 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@H]2CCN(CC=C)C[C@H]21 ZINC001221538992 804830572 /nfs/dbraw/zinc/83/05/72/804830572.db2.gz IJFADDJCGMFRBC-MGPQQGTHSA-N 0 1 262.353 0.743 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C(C)(C)NC(C)=O)[C@@H]2C1 ZINC001221873661 804851524 /nfs/dbraw/zinc/85/15/24/804851524.db2.gz AIECTDSHGZHJGR-CHWSQXEVSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCCn3cncn3)[C@@H]2C1 ZINC001221920459 804854950 /nfs/dbraw/zinc/85/49/50/804854950.db2.gz GWJKPGSLGMKJQT-ZIAGYGMSSA-N 0 1 289.383 0.777 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)COCCOC)C[C@@H]21 ZINC001222463611 804903041 /nfs/dbraw/zinc/90/30/41/804903041.db2.gz QNJRYRSNHJKCEF-KGLIPLIRSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)COCCOC)C[C@@H]21 ZINC001222463611 804903045 /nfs/dbraw/zinc/90/30/45/804903045.db2.gz QNJRYRSNHJKCEF-KGLIPLIRSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H](C)n2cccn2)C1 ZINC001278364901 807038627 /nfs/dbraw/zinc/03/86/27/807038627.db2.gz ZVVABEIQPDULTF-GXTWGEPZSA-N 0 1 260.341 0.658 20 30 CCEDMN CCNC(=O)CN1CCC(CNC(=O)[C@H](C)C#N)CC1 ZINC001222642041 804923931 /nfs/dbraw/zinc/92/39/31/804923931.db2.gz XIFBVAKANFZFLP-LLVKDONJSA-N 0 1 280.372 0.110 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)NCC#CCN(C)C)[nH]n1 ZINC001224059538 805006701 /nfs/dbraw/zinc/00/67/01/805006701.db2.gz JVZDAODAVBYBNF-LLVKDONJSA-N 0 1 277.372 0.513 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)C(=O)NCC1CC1 ZINC001276949772 805010499 /nfs/dbraw/zinc/01/04/99/805010499.db2.gz NBNWKJYDGKMPMU-LBPRGKRZSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCN(C)C2=O)[C@H]1C ZINC001088644831 815046595 /nfs/dbraw/zinc/04/65/95/815046595.db2.gz TWXDAQOEVXLPPR-UPJWGTAASA-N 0 1 277.368 0.067 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224198280 805014002 /nfs/dbraw/zinc/01/40/02/805014002.db2.gz NNCMQHSYBWGZFY-LBPRGKRZSA-N 0 1 281.400 0.902 20 30 CCEDMN C#CCCCN1C[C@@H]2C(C(=O)Nc3nnco3)=NO[C@@H]2C1 ZINC001276972849 805039858 /nfs/dbraw/zinc/03/98/58/805039858.db2.gz FQQSEJUCZSRMKC-VHSXEESVSA-N 0 1 289.295 0.108 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001278381252 807053754 /nfs/dbraw/zinc/05/37/54/807053754.db2.gz ISQJUSPPHDCNSY-LSDHHAIUSA-N 0 1 264.369 0.751 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1CCOC(C)(C)C1 ZINC001225845981 805170097 /nfs/dbraw/zinc/17/00/97/805170097.db2.gz MCFLKVOBHIEYQN-GFCCVEGCSA-N 0 1 267.373 0.808 20 30 CCEDMN CO[C@@H]1CC[N@@H+](CCOc2[n-]c(=O)c(F)cc2C#N)C1 ZINC001226008981 805186154 /nfs/dbraw/zinc/18/61/54/805186154.db2.gz PBZPDFXGQWIACY-SNVBAGLBSA-N 0 1 281.287 0.897 20 30 CCEDMN COCC#CCN1CCC(NC(=O)Cn2cccn2)CC1 ZINC001226028490 805187823 /nfs/dbraw/zinc/18/78/23/805187823.db2.gz LEKGRCNXVZHVHF-UHFFFAOYSA-N 0 1 290.367 0.114 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(NC(=O)[C@H](C)OC)CC1 ZINC001226308443 805217119 /nfs/dbraw/zinc/21/71/19/805217119.db2.gz DNEHVCSQYPELOS-NEPJUHHUSA-N 0 1 297.399 0.293 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H](C)COC)CC1 ZINC001226564537 805247673 /nfs/dbraw/zinc/24/76/73/805247673.db2.gz ZEDMSDZXNPBFCB-ZDUSSCGKSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CCOCC(=O)NC1CCN([C@H](CC)C(N)=O)CC1 ZINC001226599080 805253376 /nfs/dbraw/zinc/25/33/76/805253376.db2.gz PYSRLEDECWDWRW-GFCCVEGCSA-N 0 1 283.372 0.034 20 30 CCEDMN N#CCN[C@@H]1CCCC[C@H]1NC(=O)CCc1cnc[nH]1 ZINC001226672919 805263118 /nfs/dbraw/zinc/26/31/18/805263118.db2.gz XPCBKPYRYABCKX-CHWSQXEVSA-N 0 1 275.356 0.883 20 30 CCEDMN C#CC(C#C)Oc1nc[nH]c(=O)c1Br ZINC001226721383 805271379 /nfs/dbraw/zinc/27/13/79/805271379.db2.gz HZVSNMKGOGGBIP-UHFFFAOYSA-N 0 1 253.055 0.959 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ocnc2C)CC1 ZINC001226749622 805277108 /nfs/dbraw/zinc/27/71/08/805277108.db2.gz GZZMEIVANBHABN-UHFFFAOYSA-N 0 1 291.351 0.827 20 30 CCEDMN CN1CCO[C@@H](COc2nc3ccc(C#N)cc3[nH]2)C1=O ZINC001227217389 805333361 /nfs/dbraw/zinc/33/33/61/805333361.db2.gz CAFLMOGHQMSOEQ-LBPRGKRZSA-N 0 1 286.291 0.671 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1ncnc2nc[nH]c21 ZINC001227533376 805362168 /nfs/dbraw/zinc/36/21/68/805362168.db2.gz AROKPHYDSVIAQK-MRVPVSSYSA-N 0 1 260.253 0.687 20 30 CCEDMN Cc1nnc(=S)[nH]c1OC1CN(CCC#N)C1 ZINC001227601195 805368987 /nfs/dbraw/zinc/36/89/87/805368987.db2.gz IMOBYCUUCUWZSQ-UHFFFAOYSA-N 0 1 251.315 0.445 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C2(COC)CC2)CC1 ZINC001228285406 805428348 /nfs/dbraw/zinc/42/83/48/805428348.db2.gz MSGNHSPBVBOUHD-UHFFFAOYSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CCC(NC(=O)COCC(F)F)CC1 ZINC001228440121 805446855 /nfs/dbraw/zinc/44/68/55/805446855.db2.gz CONUHPHMOYHTOM-UHFFFAOYSA-N 0 1 274.311 0.872 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001228718176 805473938 /nfs/dbraw/zinc/47/39/38/805473938.db2.gz OLEDEKJSJQBQCY-FICVDOATSA-N 0 1 292.379 0.109 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C2(CF)CC2)CC1 ZINC001229165132 805508588 /nfs/dbraw/zinc/50/85/88/805508588.db2.gz ZHJFRSDAVQPJFJ-UHFFFAOYSA-N 0 1 282.359 0.967 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2CC[C@@H](C)O2)CC1 ZINC001229271128 805516642 /nfs/dbraw/zinc/51/66/42/805516642.db2.gz OJMYYENBSDPDHK-UKRRQHHQSA-N 0 1 294.395 0.784 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCO ZINC001277425727 805561371 /nfs/dbraw/zinc/56/13/71/805561371.db2.gz LRTHWJYJJNSNBN-VNHYZAJKSA-N 0 1 282.384 0.371 20 30 CCEDMN C#CCOCCC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCOC ZINC001277431272 805566050 /nfs/dbraw/zinc/56/60/50/805566050.db2.gz BZBSGTFUSKVIEH-GASCZTMLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1C[C@H]2CCC[C@@H](C1)N2C[C@H](C)O ZINC001277432275 805568239 /nfs/dbraw/zinc/56/82/39/805568239.db2.gz WPXDPLASXSNRBJ-ZQDZILKHSA-N 0 1 294.395 0.471 20 30 CCEDMN COCC#CCN1CC[C@]2(NC(C)=O)CCC[C@@H]12 ZINC001278430581 807148682 /nfs/dbraw/zinc/14/86/82/807148682.db2.gz IIOPEMOJKFIQRH-ZIAGYGMSSA-N 0 1 250.342 0.769 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C(N)=O)cn2C)[C@H]1C ZINC001088707891 815108802 /nfs/dbraw/zinc/10/88/02/815108802.db2.gz TXAGNFBOVQWDFO-PWSUYJOCSA-N 0 1 290.367 0.503 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](N2CCC(CC#N)CC2)CN1 ZINC001246800554 807387574 /nfs/dbraw/zinc/38/75/74/807387574.db2.gz FIDZJAATJCFOJZ-NEPJUHHUSA-N 0 1 251.330 0.516 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](N2CCC[C@H](C#N)CC2)CN1 ZINC001246831762 807399079 /nfs/dbraw/zinc/39/90/79/807399079.db2.gz KUSTWLCVOYXNEE-AVGNSLFASA-N 0 1 265.357 0.906 20 30 CCEDMN C=C1CN(C(=O)N[C@@H](C)[C@@H]2CN(C)CCN2C)C1 ZINC001251640187 807691555 /nfs/dbraw/zinc/69/15/55/807691555.db2.gz NEOLOVXDPXUNSY-RYUDHWBXSA-N 0 1 252.362 0.202 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@](C)(O)C1CC1 ZINC001251708143 807696881 /nfs/dbraw/zinc/69/68/81/807696881.db2.gz LPHGIOWXBZXMOE-ZDUSSCGKSA-N 0 1 253.346 0.012 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@@H](n2cccn2)C1 ZINC001251707927 807696907 /nfs/dbraw/zinc/69/69/07/807696907.db2.gz IVEAXYXUAZBBEW-CYBMUJFWSA-N 0 1 275.356 0.405 20 30 CCEDMN C#CCOC[C@@H](O)CNc1cc(N2CCCC2)[nH]n1 ZINC001251832113 807712910 /nfs/dbraw/zinc/71/29/10/807712910.db2.gz CGKZSGBREZMHMA-NSHDSACASA-N 0 1 264.329 0.433 20 30 CCEDMN C#CCOC[C@H](O)CN1CCc2c(O)cccc2C1 ZINC001251832523 807713679 /nfs/dbraw/zinc/71/36/79/807713679.db2.gz LSYUIYXJDFKRDS-CYBMUJFWSA-N 0 1 261.321 0.761 20 30 CCEDMN C#CCOC[C@@H](O)CN1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)OCC ZINC001251854737 807722032 /nfs/dbraw/zinc/72/20/32/807722032.db2.gz LAHUTGMFVKFABI-AJNGGQMLSA-N 0 1 295.379 0.661 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(F)cc2c1OCOC2 ZINC001251888415 807735354 /nfs/dbraw/zinc/73/53/54/807735354.db2.gz SZGRQPZPAFIDPP-CQSZACIVSA-N 0 1 295.310 0.793 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ccc(OC)c(OC)n1 ZINC001251896636 807739978 /nfs/dbraw/zinc/73/99/78/807739978.db2.gz KYYVNRVSJSQGEA-LBPRGKRZSA-N 0 1 280.324 0.199 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cn(CC2CCC2)cn1 ZINC001251899912 807741391 /nfs/dbraw/zinc/74/13/91/807741391.db2.gz FJMINBMPDSACKJ-OAHLLOKOSA-N 0 1 277.368 0.784 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@@H]1COc2c1cccc2OC ZINC001251906742 807744953 /nfs/dbraw/zinc/74/49/53/807744953.db2.gz LGWPZLQKWRYFEO-WCQYABFASA-N 0 1 277.320 0.729 20 30 CCEDMN CCCCCCCC[C@H](O)CN[C@@H](CC(N)=O)C(N)=O ZINC001252138601 807797377 /nfs/dbraw/zinc/79/73/77/807797377.db2.gz DVTWILNORAJQJW-RYUDHWBXSA-N 0 1 287.404 0.417 20 30 CCEDMN C=CCCC(=O)NC[C@@]1(C)CCCN(CC(=O)NC)C1 ZINC001278648456 807799234 /nfs/dbraw/zinc/79/92/34/807799234.db2.gz QFDSIVAZEZVTLV-OAHLLOKOSA-N 0 1 281.400 0.917 20 30 CCEDMN C=CCOC[C@H](O)CNc1cc(N2CCCC2)[nH]n1 ZINC001252458442 807872619 /nfs/dbraw/zinc/87/26/19/807872619.db2.gz KLPAPNMABGVCTC-LLVKDONJSA-N 0 1 266.345 0.985 20 30 CCEDMN C=CCOC[C@H](O)CN1CCC[C@]1(C)C(=O)OC ZINC001252461939 807875324 /nfs/dbraw/zinc/87/53/24/807875324.db2.gz XDYLGXDWGHREBI-DGCLKSJQSA-N 0 1 257.330 0.577 20 30 CCEDMN C=CCOC[C@@H](O)CN1Cc2ccnn2CC[C@H]1CO ZINC001252474139 807884880 /nfs/dbraw/zinc/88/48/80/807884880.db2.gz VHFGHMOLCNHODO-KBPBESRZSA-N 0 1 281.356 0.013 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cc(OC(C)C)ncn1 ZINC001252497683 807894360 /nfs/dbraw/zinc/89/43/60/807894360.db2.gz WTFKXZDIVUZBJH-CYBMUJFWSA-N 0 1 281.356 0.917 20 30 CCEDMN C=C[C@@H](O)CN1CCN(CCNC(=O)OC(C)(C)C)CC1 ZINC001253571663 808081935 /nfs/dbraw/zinc/08/19/35/808081935.db2.gz IMOTXQITRFMHQJ-CYBMUJFWSA-N 0 1 299.415 0.676 20 30 CCEDMN CC(C)(C)[C@@H](O)CN1CCN(c2nccnc2C#N)CC1 ZINC001253729363 808129400 /nfs/dbraw/zinc/12/94/00/808129400.db2.gz ZEHGFHIHVGZPIL-ZDUSSCGKSA-N 0 1 289.383 0.877 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)CC[C@@H]2CCCO2)C1 ZINC001278760256 808170166 /nfs/dbraw/zinc/17/01/66/808170166.db2.gz KAZXWLHQBXVJTH-HOCLYGCPSA-N 0 1 294.395 0.522 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2occc2C)C1 ZINC001278758965 808170739 /nfs/dbraw/zinc/17/07/39/808170739.db2.gz BOMUDKKEYQOTKC-CQSZACIVSA-N 0 1 262.309 0.388 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2cnccc2C)C1 ZINC001278771775 808200329 /nfs/dbraw/zinc/20/03/29/808200329.db2.gz SSUICDHJUXHAPL-MRXNPFEDSA-N 0 1 287.363 0.580 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC001254557347 808260196 /nfs/dbraw/zinc/26/01/96/808260196.db2.gz QFTBEEFMCHPLBI-ZIAGYGMSSA-N 0 1 296.415 0.219 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@@H](F)CC)C1 ZINC001278795421 808263177 /nfs/dbraw/zinc/26/31/77/808263177.db2.gz OIMOAEVNJVJAIN-AAEUAGOBSA-N 0 1 256.321 0.311 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1CCCn2nccc21 ZINC001254593659 808264653 /nfs/dbraw/zinc/26/46/53/808264653.db2.gz OZVOJZJUBXMFSJ-GFCCVEGCSA-N 0 1 275.356 0.582 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@@H](C)CN1CCOCC1)C(=O)OCC ZINC001254737756 808278249 /nfs/dbraw/zinc/27/82/49/808278249.db2.gz XSAVFQOUJNSIGK-QWHCGFSZSA-N 0 1 298.383 0.579 20 30 CCEDMN CC[C@@H](CC#N)NCCOCCN1C(=O)C=CC1=O ZINC001255160902 808324248 /nfs/dbraw/zinc/32/42/48/808324248.db2.gz RMGQQWDUJQFFIM-NSHDSACASA-N 0 1 265.313 0.210 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)/C=C(\C)C1CC1 ZINC001278849646 808330118 /nfs/dbraw/zinc/33/01/18/808330118.db2.gz HRQFSWJKWVTISC-BRADTZPFSA-N 0 1 264.369 0.727 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)C[C@@H](O)CN(C)CC#CC ZINC001278855735 808338737 /nfs/dbraw/zinc/33/87/37/808338737.db2.gz UHEQVXIHAHHDHF-DZGCQCFKSA-N 0 1 282.384 0.088 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1ccn(CC)n1 ZINC001278878455 808418580 /nfs/dbraw/zinc/41/85/80/808418580.db2.gz OSXQTRLZEXJIEL-ZDUSSCGKSA-N 0 1 292.383 0.291 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccc(F)cc1F ZINC001278882747 808424365 /nfs/dbraw/zinc/42/43/65/808424365.db2.gz MDAUINZWCNHHNC-GFCCVEGCSA-N 0 1 296.317 0.963 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc(F)cc(F)c1 ZINC001278883577 808426184 /nfs/dbraw/zinc/42/61/84/808426184.db2.gz ZFOMBUSWDLYYPJ-CQSZACIVSA-N 0 1 296.317 0.963 20 30 CCEDMN C#CCN[C@@H]1C[C@H](C(=O)OC)N(C(=O)OC(C)(C)C)C1 ZINC001256248237 808486558 /nfs/dbraw/zinc/48/65/58/808486558.db2.gz CDOTTWIRMWDGBB-GHMZBOCLSA-N 0 1 282.340 0.760 20 30 CCEDMN CCC[C@]1(CO)CCN(C(=O)NCC#CCN(C)C)C1 ZINC001256584879 808537627 /nfs/dbraw/zinc/53/76/27/808537627.db2.gz KHJSYNDEXYBTFC-HNNXBMFYSA-N 0 1 281.400 0.746 20 30 CCEDMN CN(C)CC#CCNC(=O)NC1(C2CC2)CCOCC1 ZINC001256584960 808537915 /nfs/dbraw/zinc/53/79/15/808537915.db2.gz LVNXVLWYVOJLNH-UHFFFAOYSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@](F)(CO)C2)CC1 ZINC001256718934 808554189 /nfs/dbraw/zinc/55/41/89/808554189.db2.gz FZTGUCXXWVUMRJ-AWEZNQCLSA-N 0 1 268.332 0.265 20 30 CCEDMN [NH3+]CCC[N@@H+](C[C@H](S)OP(=O)([O-])[O-])C1CCCC1 ZINC001257349436 808611376 /nfs/dbraw/zinc/61/13/76/808611376.db2.gz NWKCSQSEWHMWLR-JTQLQIEISA-N 0 1 298.345 0.945 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](NCCC#N)C[C@H]1C(=O)OC ZINC001257717557 808629854 /nfs/dbraw/zinc/62/98/54/808629854.db2.gz WYRRVKOZAZLTTI-HBNTYKKESA-N 0 1 268.313 0.621 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](OC)C3CCC3)[C@@H]2C1 ZINC001076093024 815242284 /nfs/dbraw/zinc/24/22/84/815242284.db2.gz PGFCCOCKXAFAFA-ZNMIVQPWSA-N 0 1 276.380 0.967 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)NCC2(CC#N)CC2)n[nH]1 ZINC001261225746 808932263 /nfs/dbraw/zinc/93/22/63/808932263.db2.gz GPEDRNUGUBTKHZ-UHFFFAOYSA-N 0 1 275.312 0.144 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)N2Cc3ccc(C#N)cc3C2)CCN1 ZINC001261558968 809021425 /nfs/dbraw/zinc/02/14/25/809021425.db2.gz WLDRVPFSGNFZNQ-NSHDSACASA-N 0 1 298.346 0.221 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCOCCC=C)CC1 ZINC001262192481 809234449 /nfs/dbraw/zinc/23/44/49/809234449.db2.gz HLVXTZLTKWAZRS-UHFFFAOYSA-N 0 1 279.384 0.976 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1nnc2ccccn21 ZINC001262239102 809255399 /nfs/dbraw/zinc/25/53/99/809255399.db2.gz QHTGHBSRRZXSOU-UHFFFAOYSA-N 0 1 272.312 0.416 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CCN(C(=O)c3nc(C)c[nH]3)[C@@H]2C1 ZINC001076343469 815279385 /nfs/dbraw/zinc/27/93/85/815279385.db2.gz DVYSEJXNDJXLSK-QWHCGFSZSA-N 0 1 272.352 0.888 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3nc(C)c[nH]3)[C@@H]2C1 ZINC001076343469 815279389 /nfs/dbraw/zinc/27/93/89/815279389.db2.gz DVYSEJXNDJXLSK-QWHCGFSZSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCOCCC(=O)OC[C@H](C)CN1CCOCC1 ZINC001263610917 809540469 /nfs/dbraw/zinc/54/04/69/809540469.db2.gz DMRSJDUHMHQEAG-CYBMUJFWSA-N 0 1 269.341 0.538 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@]2(CC)CCNC2=O)CC1 ZINC001263620890 809542247 /nfs/dbraw/zinc/54/22/47/809542247.db2.gz GYBAEYHMMMWSCD-OAHLLOKOSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCC(OC(=O)c2nnn(C)c2C)CC1 ZINC001263620715 809542590 /nfs/dbraw/zinc/54/25/90/809542590.db2.gz AIUMWXWDOOIFHI-UHFFFAOYSA-N 0 1 262.313 0.378 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC(C)(C)C)NC(=O)c1ncn[nH]1 ZINC001076434012 815297389 /nfs/dbraw/zinc/29/73/89/815297389.db2.gz ASAOMXWLHMRDOE-JTQLQIEISA-N 0 1 291.355 0.479 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC(C)(C)C)NC(=O)c1nc[nH]n1 ZINC001076434012 815297394 /nfs/dbraw/zinc/29/73/94/815297394.db2.gz ASAOMXWLHMRDOE-JTQLQIEISA-N 0 1 291.355 0.479 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CCN(C(=O)Cc3cncn3C)[C@@H]2C1 ZINC001076462566 815299736 /nfs/dbraw/zinc/29/97/36/815299736.db2.gz ZYWQBSTYBIWMFP-DZGCQCFKSA-N 0 1 286.379 0.519 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc(C#C)cn3)[C@@H]2C1 ZINC001076476076 815302047 /nfs/dbraw/zinc/30/20/47/815302047.db2.gz URXNMQCGXCWURN-GOEBONIOSA-N 0 1 279.343 0.842 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCN(CC(N)=O)CC(C)(C)C1 ZINC001264751593 809675775 /nfs/dbraw/zinc/67/57/75/809675775.db2.gz LAGJVMPUXICZRQ-LBPRGKRZSA-N 0 1 297.399 0.281 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C(=O)NCC1CC1 ZINC001264743945 809676357 /nfs/dbraw/zinc/67/63/57/809676357.db2.gz BPOXGVNPMPPTFR-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN CCNC(=O)CN(C)CCCNC(=O)C#CC(C)C ZINC001264984095 809688255 /nfs/dbraw/zinc/68/82/55/809688255.db2.gz XJEKJHHPOCSHSP-UHFFFAOYSA-N 0 1 267.373 0.220 20 30 CCEDMN C#CCNC(=O)CN(CC)CCCNC(=O)C#CC(C)C ZINC001265113362 809711073 /nfs/dbraw/zinc/71/10/73/809711073.db2.gz KGOGSQUNMCNXGF-UHFFFAOYSA-N 0 1 291.395 0.223 20 30 CCEDMN CCN(CCCNC(=O)C#CC(C)C)CC(=O)NCC#N ZINC001265113346 809711257 /nfs/dbraw/zinc/71/12/57/809711257.db2.gz JSRJNTOFDKIBMX-UHFFFAOYSA-N 0 1 292.383 0.114 20 30 CCEDMN CC#CCN1CCN(CCCNC(=O)CC)CC1 ZINC001265237031 809729622 /nfs/dbraw/zinc/72/96/22/809729622.db2.gz LMDRQLLVSJIJOS-UHFFFAOYSA-N 0 1 251.374 0.544 20 30 CCEDMN CO[C@H](C)CN1CCN(CCNC(=O)C#CC2CC2)CC1 ZINC001265258769 809733879 /nfs/dbraw/zinc/73/38/79/809733879.db2.gz PWBKLCGKZFUTEB-CQSZACIVSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](C)c2cnn(C)c2)C1 ZINC001265294890 809749184 /nfs/dbraw/zinc/74/91/84/809749184.db2.gz LTKVMTNFOFKNOH-OCCSQVGLSA-N 0 1 274.368 0.737 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@](C)(NC(C)=O)C(C)C)C1 ZINC001265319321 809757385 /nfs/dbraw/zinc/75/73/85/809757385.db2.gz LLLNQTIFFQXCSY-ZFWWWQNUSA-N 0 1 281.400 0.914 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CNC(=O)c2ccccn2)C1 ZINC001265319381 809757502 /nfs/dbraw/zinc/75/75/02/809757502.db2.gz MHYUEUPTIOSWSJ-GFCCVEGCSA-N 0 1 288.351 0.188 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1C[C@@H](NCc2cnns2)C1 ZINC001265538725 809800689 /nfs/dbraw/zinc/80/06/89/809800689.db2.gz KEWZDYWEHUZRBD-PHIMTYICSA-N 0 1 278.381 0.934 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@@H](CNCC#N)C2)[nH]1 ZINC001265602233 809813509 /nfs/dbraw/zinc/81/35/09/809813509.db2.gz AGDUTPCINCXUCM-NSHDSACASA-N 0 1 261.329 0.684 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H](C)NC(C)=O ZINC001265638269 809817015 /nfs/dbraw/zinc/81/70/15/809817015.db2.gz FROYVWKWURWFTM-AAEUAGOBSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCOCCC(=O)NC[C@H](C)NCc1cc(C)ncn1 ZINC001265775444 809850744 /nfs/dbraw/zinc/85/07/44/809850744.db2.gz QRNGEDMVSLOHBK-ZDUSSCGKSA-N 0 1 290.367 0.419 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)NCc1cnnn1C ZINC001265826017 809866143 /nfs/dbraw/zinc/86/61/43/809866143.db2.gz BRGOPZZJGOVMJV-UHTWSYAYSA-N 0 1 293.371 0.001 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3[nH]cnc3C)[C@@H]2C1 ZINC001076643954 815324957 /nfs/dbraw/zinc/32/49/57/815324957.db2.gz XVUAPCFMFANXBT-QWHCGFSZSA-N 0 1 272.352 0.888 20 30 CCEDMN CN(CCCNC(=O)C1CC2(CC2)C1)CC(=O)NCC#N ZINC001265921629 809894759 /nfs/dbraw/zinc/89/47/59/809894759.db2.gz RNSFTYNIFWZPCD-UHFFFAOYSA-N 0 1 292.383 0.254 20 30 CCEDMN C=CCNC(=O)CNC[C@H](O)c1ccc(F)c(F)c1 ZINC000393824250 809915607 /nfs/dbraw/zinc/91/56/07/809915607.db2.gz CTFZYGFAZGCHCL-LBPRGKRZSA-N 0 1 270.279 0.890 20 30 CCEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H]1CCNC1=O ZINC001266070168 809929736 /nfs/dbraw/zinc/92/97/36/809929736.db2.gz PIZIMCMOVOBXSW-LLVKDONJSA-N 0 1 287.791 0.703 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@@H](C)NCc1cn(C)nn1 ZINC001266141116 809947185 /nfs/dbraw/zinc/94/71/85/809947185.db2.gz XRADOKLCRZOCFY-GFCCVEGCSA-N 0 1 277.372 0.459 20 30 CCEDMN CN1CC(C(=O)NCCC[C@H]2CCCN2CC#N)=NC1=O ZINC001266232550 809968782 /nfs/dbraw/zinc/96/87/82/809968782.db2.gz ZQESHDVKOPOFTO-NSHDSACASA-N 0 1 291.355 0.624 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)C[C@@H]2CCCOC2)C1 ZINC001279528610 809985823 /nfs/dbraw/zinc/98/58/23/809985823.db2.gz CPFOUDQZRDSERZ-HOCLYGCPSA-N 0 1 296.411 0.932 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001280271395 810010574 /nfs/dbraw/zinc/01/05/74/810010574.db2.gz PNHJIMFQPMCTND-GHMZBOCLSA-N 0 1 291.355 0.621 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)C(C)(F)F)C1 ZINC001076678258 815346180 /nfs/dbraw/zinc/34/61/80/815346180.db2.gz VZZAMVDSKFBFDU-NXEZZACHSA-N 0 1 262.300 0.769 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)n2cccn2)C1 ZINC001076765963 815367675 /nfs/dbraw/zinc/36/76/75/815367675.db2.gz XMGFFBGDUGJNRC-YNEHKIRRSA-N 0 1 278.356 0.182 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ncccc2O)C1 ZINC001076916897 815411135 /nfs/dbraw/zinc/41/11/35/815411135.db2.gz HPFBOMZYHWIZBB-ZYHUDNBSSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001076916897 815411140 /nfs/dbraw/zinc/41/11/40/815411140.db2.gz HPFBOMZYHWIZBB-ZYHUDNBSSA-N 0 1 277.324 0.138 20 30 CCEDMN Cc1cc(NC[C@@H](C)N(C)C(=O)c2ccn[nH]2)c(C#N)cn1 ZINC001104478817 811116399 /nfs/dbraw/zinc/11/63/99/811116399.db2.gz ATPPLWBEXLVVED-LLVKDONJSA-N 0 1 298.350 0.979 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCN(CC(N)=O)C1 ZINC001267300095 811117648 /nfs/dbraw/zinc/11/76/48/811117648.db2.gz KNQNKUZNBOTZQX-NWDGAFQWSA-N 0 1 267.373 0.512 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001023460588 811146870 /nfs/dbraw/zinc/14/68/70/811146870.db2.gz CFQXXXACBFQMGW-LBPRGKRZSA-N 0 1 286.379 0.973 20 30 CCEDMN C=CCCC(=O)N(C)CCNCc1cnnn1C ZINC001267352834 811199381 /nfs/dbraw/zinc/19/93/81/811199381.db2.gz APVZZQCPGVNWJG-UHFFFAOYSA-N 0 1 251.334 0.329 20 30 CCEDMN C=C(Br)CNCCN(C)C(=O)c1cn[nH]n1 ZINC001267394826 811283283 /nfs/dbraw/zinc/28/32/83/811283283.db2.gz GAZDJYOXIMBCBE-UHFFFAOYSA-N 0 1 288.149 0.375 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cn(C)nn1)C2 ZINC001111519171 811357905 /nfs/dbraw/zinc/35/79/05/811357905.db2.gz VDJZWWJVQWSJRG-MCIONIFRSA-N 0 1 289.383 0.655 20 30 CCEDMN C=CCCCC(=O)NCC[C@H]1CCN(CC(N)=O)C1 ZINC001267570735 811460677 /nfs/dbraw/zinc/46/06/77/811460677.db2.gz RMFRBDWPGQIIBD-LBPRGKRZSA-N 0 1 267.373 0.656 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)COCCOC)C1 ZINC001267610707 811560571 /nfs/dbraw/zinc/56/05/71/811560571.db2.gz MTHOBEQOAMBEKK-CYBMUJFWSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@]2(C)CCOC2)CC1 ZINC001267617311 811574904 /nfs/dbraw/zinc/57/49/04/811574904.db2.gz BTZSCTOTAHQLGB-MRXNPFEDSA-N 0 1 295.427 0.675 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccc(=O)[nH]n3)[C@@H]2C1 ZINC001075528177 811575125 /nfs/dbraw/zinc/57/51/25/811575125.db2.gz WXXDTYOAEHIKLX-WCQYABFASA-N 0 1 286.335 0.352 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](CN(C)CC(=O)N2CCC2)C1 ZINC001267631177 811587801 /nfs/dbraw/zinc/58/78/01/811587801.db2.gz BWLJDMIAYIZULA-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@H](C)SC)C1 ZINC001267673473 811637055 /nfs/dbraw/zinc/63/70/55/811637055.db2.gz BLMJCCAQWZLZMR-NSHDSACASA-N 0 1 272.414 0.989 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CCn2cncn2)C1 ZINC001267674999 811638590 /nfs/dbraw/zinc/63/85/90/811638590.db2.gz LGCKLFXJFUUBSD-UHFFFAOYSA-N 0 1 263.345 0.292 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cc(C)on2)C1 ZINC001267737115 811709197 /nfs/dbraw/zinc/70/91/97/811709197.db2.gz WIFJRAQNRFOBSB-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCCNC(N)=O)C1 ZINC001267745132 811731795 /nfs/dbraw/zinc/73/17/95/811731795.db2.gz UNRIQGLEFKOHGD-GFCCVEGCSA-N 0 1 280.372 0.039 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ncccn3)C[C@@H]21 ZINC001042277596 811765262 /nfs/dbraw/zinc/76/52/62/811765262.db2.gz OJCZETZQQPNVOH-OLZOCXBDSA-N 0 1 270.336 0.646 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1Cc1cncc(C#N)c1 ZINC000930672522 811777585 /nfs/dbraw/zinc/77/75/85/811777585.db2.gz BWZZYRJKLBPPLY-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H](C)CCNC(=O)c1cnn[nH]1 ZINC001077298498 815476108 /nfs/dbraw/zinc/47/61/08/815476108.db2.gz HREXAWKFAVYORZ-UWVGGRQHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC001077340833 815484414 /nfs/dbraw/zinc/48/44/14/815484414.db2.gz ZQCMKGDYCGNBFE-ZIAGYGMSSA-N 0 1 292.383 0.237 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(OCC)no2)C1 ZINC001077400819 815491560 /nfs/dbraw/zinc/49/15/60/815491560.db2.gz UJBVMFYDLLBCHM-GHMZBOCLSA-N 0 1 295.339 0.424 20 30 CCEDMN Cc1ncoc1CNCCNC(=O)c1c[nH]c(C#N)c1 ZINC001125995225 811972380 /nfs/dbraw/zinc/97/23/80/811972380.db2.gz XHYNMURCTZFHFW-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(F)cc2)[C@@H](O)C1 ZINC001083310671 812064436 /nfs/dbraw/zinc/06/44/36/812064436.db2.gz GMIMZCZUOAUYHK-KGLIPLIRSA-N 0 1 276.311 0.624 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2cccs2)[C@@H](O)C1 ZINC001083311761 812073112 /nfs/dbraw/zinc/07/31/12/812073112.db2.gz FYFZPYCIKXFPKH-OLZOCXBDSA-N 0 1 278.377 0.475 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cccc2n[nH]nc21 ZINC001027902743 812130653 /nfs/dbraw/zinc/13/06/53/812130653.db2.gz VLGKGGDUBDFJDK-LLVKDONJSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc2nn[n-]c2n1 ZINC001027917422 812138276 /nfs/dbraw/zinc/13/82/76/812138276.db2.gz AUKSYKSATQXUMW-SNVBAGLBSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001027917422 812138279 /nfs/dbraw/zinc/13/82/79/812138279.db2.gz AUKSYKSATQXUMW-SNVBAGLBSA-N 0 1 284.323 0.180 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001027932922 812149206 /nfs/dbraw/zinc/14/92/06/812149206.db2.gz MQXLJVCWCIFPKQ-LBPRGKRZSA-N 0 1 288.351 0.493 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1c(C)ncn1C ZINC001027952179 812162637 /nfs/dbraw/zinc/16/26/37/812162637.db2.gz KTTXPZNIOBSBQK-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1coc(C(N)=O)c1 ZINC001028045489 812232143 /nfs/dbraw/zinc/23/21/43/812232143.db2.gz JCBOARRFHKSGPU-NSHDSACASA-N 0 1 277.324 0.759 20 30 CCEDMN CC(C)N1CCO[C@H](C(=O)NC[C@@H]2CCCN2CC#N)C1 ZINC001028093371 812271427 /nfs/dbraw/zinc/27/14/27/812271427.db2.gz KVHUVYCRDZXOMG-KBPBESRZSA-N 0 1 294.399 0.200 20 30 CCEDMN CC(C)N1CCO[C@@H](C(=O)NC[C@@H]2CCCN2CC#N)C1 ZINC001028093383 812271998 /nfs/dbraw/zinc/27/19/98/812271998.db2.gz KVHUVYCRDZXOMG-UONOGXRCSA-N 0 1 294.399 0.200 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c[nH]c(=O)cc1C ZINC001028130607 812300515 /nfs/dbraw/zinc/30/05/15/812300515.db2.gz RSRGHUXKQRGOSJ-GFCCVEGCSA-N 0 1 273.336 0.923 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(C)[nH]c1=O ZINC001028162469 812325034 /nfs/dbraw/zinc/32/50/34/812325034.db2.gz IIAXRAPZPIDXAF-GFCCVEGCSA-N 0 1 273.336 0.923 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(C)[nH]c1=O ZINC001028162469 812325044 /nfs/dbraw/zinc/32/50/44/812325044.db2.gz IIAXRAPZPIDXAF-GFCCVEGCSA-N 0 1 273.336 0.923 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cncc(OC)n1 ZINC001028182461 812336415 /nfs/dbraw/zinc/33/64/15/812336415.db2.gz XIBFDXBBWUNDLE-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN C[C@H](NCC#N)[C@@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001268180998 812347939 /nfs/dbraw/zinc/34/79/39/812347939.db2.gz WBJUGTMORNQINY-NWDGAFQWSA-N 0 1 290.371 0.478 20 30 CCEDMN C[C@H](NCC#N)[C@@H]1CCCN(C(=O)CCc2cnn[nH]2)C1 ZINC001268180998 812347947 /nfs/dbraw/zinc/34/79/47/812347947.db2.gz WBJUGTMORNQINY-NWDGAFQWSA-N 0 1 290.371 0.478 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001028203637 812364266 /nfs/dbraw/zinc/36/42/66/812364266.db2.gz AIDHQTQPXHEHEZ-LBPRGKRZSA-N 0 1 260.341 0.854 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H]1CCOC1 ZINC001268233741 812395749 /nfs/dbraw/zinc/39/57/49/812395749.db2.gz WTUVRRUVGYUQAH-ZDUSSCGKSA-N 0 1 268.357 0.063 20 30 CCEDMN CN(CC#N)CCN(C)C(=O)C(C)(C)CN1CCOCC1 ZINC001268240097 812406916 /nfs/dbraw/zinc/40/69/16/812406916.db2.gz HFMYRPHRKWNNSD-UHFFFAOYSA-N 0 1 296.415 0.259 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC001268247457 812412709 /nfs/dbraw/zinc/41/27/09/812412709.db2.gz LZWYCJJSLCRNPZ-CYBMUJFWSA-N 0 1 290.367 0.898 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cc(CC)nn1C ZINC001028224185 812429257 /nfs/dbraw/zinc/42/92/57/812429257.db2.gz ZDXQWQXRFPGLNK-ZDUSSCGKSA-N 0 1 274.368 0.810 20 30 CCEDMN N#CCc1cc(NCCNC(=O)N2CCOCC2)ccn1 ZINC001167895852 812435845 /nfs/dbraw/zinc/43/58/45/812435845.db2.gz VISOSLHBLNMYNL-UHFFFAOYSA-N 0 1 289.339 0.023 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccon1 ZINC001268297188 812456242 /nfs/dbraw/zinc/45/62/42/812456242.db2.gz FHVNRDKTPNSXKS-QMMMGPOBSA-N 0 1 259.693 0.107 20 30 CCEDMN CCN(CCNCC#Cc1ccccc1)C(=O)CC(N)=O ZINC001268441219 812571512 /nfs/dbraw/zinc/57/15/12/812571512.db2.gz VDCXIGFBFVJVKK-UHFFFAOYSA-N 0 1 287.363 0.352 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1[nH]cnc1C ZINC001149130292 812582511 /nfs/dbraw/zinc/58/25/11/812582511.db2.gz LTHIVTXXKCCISH-UHFFFAOYSA-N 0 1 256.737 0.719 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cnn(C)c1OC ZINC001028288754 812583995 /nfs/dbraw/zinc/58/39/95/812583995.db2.gz LQPJNVBFQDSKHN-LLVKDONJSA-N 0 1 278.356 0.809 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cncc(F)c1 ZINC001126366546 812688406 /nfs/dbraw/zinc/68/84/06/812688406.db2.gz WSKMKTZLASVZCY-CQSZACIVSA-N 0 1 281.331 0.754 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2cncnc2C)C1 ZINC001028419835 812691858 /nfs/dbraw/zinc/69/18/58/812691858.db2.gz FTSBCQRQNWMHCG-GFCCVEGCSA-N 0 1 258.325 0.470 20 30 CCEDMN CC(C)[C@H](CNC(=O)NCCC#N)N1CCN(C)CC1 ZINC000426438764 812806527 /nfs/dbraw/zinc/80/65/27/812806527.db2.gz FHLWSKJNNHENRK-ZDUSSCGKSA-N 0 1 281.404 0.471 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cnc(C)o1 ZINC001126378823 812820404 /nfs/dbraw/zinc/82/04/04/812820404.db2.gz TVCWWUDQPOIZAN-CYBMUJFWSA-N 0 1 267.329 0.516 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001028581776 812863793 /nfs/dbraw/zinc/86/37/93/812863793.db2.gz LYZLERFAJMBZMY-NSHDSACASA-N 0 1 298.350 0.428 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cncs2)[C@@H](O)C1 ZINC001083358071 812907077 /nfs/dbraw/zinc/90/70/77/812907077.db2.gz SVKBCDKJSDSEHG-ZJUUUORDSA-N 0 1 267.354 0.494 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2CCC2)[C@@H](O)C1 ZINC001083386823 812928939 /nfs/dbraw/zinc/92/89/39/812928939.db2.gz XECPBCQFUBCXGJ-OLZOCXBDSA-N 0 1 250.342 0.361 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1ccnn1C ZINC001268723076 813040095 /nfs/dbraw/zinc/04/00/95/813040095.db2.gz FDFULZPKMTXMMH-LBPRGKRZSA-N 0 1 278.356 0.120 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)Cc1nc(CC)c[nH]1 ZINC001268733765 813049485 /nfs/dbraw/zinc/04/94/85/813049485.db2.gz KODZPQJSDJVAHK-GFCCVEGCSA-N 0 1 276.384 0.974 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)C1CC(OC)C1 ZINC001268734923 813050412 /nfs/dbraw/zinc/05/04/12/813050412.db2.gz HMVGXGYMOQOXPM-HSBZDZAISA-N 0 1 282.384 0.498 20 30 CCEDMN C=CCN(C)C[C@@H](C)NC(=O)COc1c(C)occc1=O ZINC001268737654 813052673 /nfs/dbraw/zinc/05/26/73/813052673.db2.gz LLYQOWVUYPGJTQ-LLVKDONJSA-N 0 1 294.351 0.950 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H]1CCNC(=O)CC1 ZINC001268739816 813055989 /nfs/dbraw/zinc/05/59/89/813055989.db2.gz PRXIVOLUQDCPDN-QWHCGFSZSA-N 0 1 279.384 0.363 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001268741650 813056987 /nfs/dbraw/zinc/05/69/87/813056987.db2.gz WBPDUSWDALQSBQ-STQMWFEESA-N 0 1 295.427 0.997 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnn(CCC)c1 ZINC001268748791 813060612 /nfs/dbraw/zinc/06/06/12/813060612.db2.gz LDYCXHUVHIPCLY-GFCCVEGCSA-N 0 1 262.357 0.976 20 30 CCEDMN CCNCc1cn([C@@H]2CCN(C(=O)C#CC(C)C)C2)nn1 ZINC001098672480 815575494 /nfs/dbraw/zinc/57/54/94/815575494.db2.gz FVYYLYAQTXDAMG-CQSZACIVSA-N 0 1 289.383 0.820 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CO[C@@H](C)CC)[C@H](OC)C1 ZINC001212138646 815576928 /nfs/dbraw/zinc/57/69/28/815576928.db2.gz AMCWNAFBINMDHL-BFHYXJOUSA-N 0 1 282.384 0.640 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2C(C)(C)C)[C@@H](O)C1 ZINC001083634690 815577410 /nfs/dbraw/zinc/57/74/10/815577410.db2.gz CGIXRXFVKKYMRG-SYQHCUMBSA-N 0 1 278.396 0.853 20 30 CCEDMN CCC#CC(=O)N1Cc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001268875736 813128673 /nfs/dbraw/zinc/12/86/73/813128673.db2.gz LHYSUEWFIIUFAJ-UHFFFAOYSA-N 0 1 284.319 0.677 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@]2(C)CCN(C(C)=O)C2)C1 ZINC001268896282 813137505 /nfs/dbraw/zinc/13/75/05/813137505.db2.gz NKNTVXBDLZSEPT-MRXNPFEDSA-N 0 1 293.411 0.869 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001028652242 813165852 /nfs/dbraw/zinc/16/58/52/813165852.db2.gz LULNVBGWXWAADZ-SNVBAGLBSA-N 0 1 273.340 0.474 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccn(C)c3)[C@@H]2C1 ZINC001075683954 813172513 /nfs/dbraw/zinc/17/25/13/813172513.db2.gz RDPHQJAHUSNBGN-GXTWGEPZSA-N 0 1 257.337 0.805 20 30 CCEDMN C=CCNC(=O)[C@H]1CC12CCN(C(=O)CN(C)CC)CC2 ZINC001269093390 813212894 /nfs/dbraw/zinc/21/28/94/813212894.db2.gz VYEPUUJBXFVKMC-CYBMUJFWSA-N 0 1 293.411 0.869 20 30 CCEDMN N#Cc1ccc(N[C@H]2CCN(C(=O)Cc3c[nH]cn3)C2)nc1 ZINC001059079814 813240284 /nfs/dbraw/zinc/24/02/84/813240284.db2.gz WQMFRXZLIQYBCV-LBPRGKRZSA-N 0 1 296.334 0.932 20 30 CCEDMN N#Cc1ccc2n[nH]c(C(=O)N3CC[C@H]4C[C@]43C(N)=O)c2c1 ZINC001269242933 813286304 /nfs/dbraw/zinc/28/63/04/813286304.db2.gz DTVBBXZVCYADGV-BJOHPYRUSA-N 0 1 295.302 0.524 20 30 CCEDMN CC#CCN1CC[C@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001028682179 813305016 /nfs/dbraw/zinc/30/50/16/813305016.db2.gz GKLQCJXLPNJDAN-CYBMUJFWSA-N 0 1 287.363 0.460 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)C[C@H]1CCOC1 ZINC001269287538 813309061 /nfs/dbraw/zinc/30/90/61/813309061.db2.gz VCSUOYCJDKWXAV-KGLIPLIRSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(C(=O)c3[nH]nnc3C)C2)C1=O ZINC001269350578 813335244 /nfs/dbraw/zinc/33/52/44/813335244.db2.gz CTISRVFDKAMIMU-AWEZNQCLSA-N 0 1 289.339 0.364 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CCCn1ccnc1 ZINC001269355712 813335584 /nfs/dbraw/zinc/33/55/84/813335584.db2.gz IRKMWSNMCXRWOS-CQSZACIVSA-N 0 1 274.368 0.877 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)[C@H](C)CC)CC1 ZINC001269379288 813348708 /nfs/dbraw/zinc/34/87/08/813348708.db2.gz GSNMIWPZPJNHMJ-LLVKDONJSA-N 0 1 265.357 0.020 20 30 CCEDMN C=C(C)CCC(=O)NCC1(N[C@H](C)C(=O)NC(N)=O)CC1 ZINC001269420358 813365230 /nfs/dbraw/zinc/36/52/30/813365230.db2.gz VRIYRZRTMWTQQC-SNVBAGLBSA-N 0 1 296.371 0.165 20 30 CCEDMN C=CCCCC(=O)NCC1(NCC(=O)N(C)C)CC1 ZINC001269480120 813384981 /nfs/dbraw/zinc/38/49/81/813384981.db2.gz ABSQHVQPPZKOOI-UHFFFAOYSA-N 0 1 267.373 0.669 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CNC(=O)c2c[nH]c(=O)n2C)C1 ZINC001028738225 813409800 /nfs/dbraw/zinc/40/98/00/813409800.db2.gz QLZVDLAMLUWYSD-SNVBAGLBSA-N 0 1 298.774 0.930 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)NC[C@H]1CCN(CC#N)C1 ZINC001028765058 813462490 /nfs/dbraw/zinc/46/24/90/813462490.db2.gz QYNQIWQEYDJJOH-GFCCVEGCSA-N 0 1 290.367 0.916 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001028766398 813466880 /nfs/dbraw/zinc/46/68/80/813466880.db2.gz RHHKDGLHRXHYJJ-NEPJUHHUSA-N 0 1 287.367 0.296 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)CCCCN2C(=O)[C@H](C)N(C)C ZINC001269797467 813511408 /nfs/dbraw/zinc/51/14/08/813511408.db2.gz YNEIIUYFFDGPFE-XJKSGUPXSA-N 0 1 291.395 0.553 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)CNC(=O)NC)C1 ZINC001269801549 813512515 /nfs/dbraw/zinc/51/25/15/813512515.db2.gz XCLXYBSPOOPGJS-UHFFFAOYSA-N 0 1 268.361 0.024 20 30 CCEDMN C#CC[NH2+]C[C@@H]1CCCN1C(=O)c1ncccc1[O-] ZINC001270033656 813592981 /nfs/dbraw/zinc/59/29/81/813592981.db2.gz AYPXMLAFSUAZPE-NSHDSACASA-N 0 1 259.309 0.615 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@H]1CCN(CC#N)C1 ZINC001029004586 813747446 /nfs/dbraw/zinc/74/74/46/813747446.db2.gz ZWJCJBWSCHJIAY-ZIAGYGMSSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CC3(O)CCC3)[C@@H]2C1 ZINC001075773220 813954522 /nfs/dbraw/zinc/95/45/22/813954522.db2.gz TZMQHVXAIHKGDG-QWHCGFSZSA-N 0 1 262.353 0.457 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3nn(C)cc3C)[C@@H]2C1 ZINC001075782664 813997444 /nfs/dbraw/zinc/99/74/44/813997444.db2.gz LSVPDIDLTDWZPT-UONOGXRCSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](C)OC)C1 ZINC001270940570 814093694 /nfs/dbraw/zinc/09/36/94/814093694.db2.gz LGOVHVXXNOSWSZ-JSGCOSHPSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)n2cncn2)[C@H]1CC ZINC001087547038 814133198 /nfs/dbraw/zinc/13/31/98/814133198.db2.gz RBRJLGZGESHLOZ-RWMBFGLXSA-N 0 1 275.356 0.441 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccc3ncnn32)[C@H]1CC ZINC001087644673 814180951 /nfs/dbraw/zinc/18/09/51/814180951.db2.gz JHCKJRPQRIWYFK-QWHCGFSZSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ccn(CC)n3)[C@@H]2C1 ZINC001075816798 814182996 /nfs/dbraw/zinc/18/29/96/814182996.db2.gz RFTNDPJNSCFOFX-DZGCQCFKSA-N 0 1 286.379 0.611 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccc(F)cn2)[C@@H](O)C1 ZINC001083420771 814293043 /nfs/dbraw/zinc/29/30/43/814293043.db2.gz UOHLCFDAXSVYDT-OLZOCXBDSA-N 0 1 279.315 0.572 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@H]2CCN(CC#N)C[C@H]2C1 ZINC001088062459 814324915 /nfs/dbraw/zinc/32/49/15/814324915.db2.gz FXVNMXYSHKIFAL-MNOVXSKESA-N 0 1 274.328 0.031 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001271185443 814328707 /nfs/dbraw/zinc/32/87/07/814328707.db2.gz ZKSTYBPXMUNUFA-NFAWXSAZSA-N 0 1 264.369 0.609 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)[C@H]2CCCO2)C1 ZINC001271235238 814345376 /nfs/dbraw/zinc/34/53/76/814345376.db2.gz DANQTOQRKNNQGZ-CHWSQXEVSA-N 0 1 282.384 0.683 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)C[C@@](C)(O)C=C)C1 ZINC001271276399 814362690 /nfs/dbraw/zinc/36/26/90/814362690.db2.gz QKMKNZLHNDKVQS-HIFRSBDPSA-N 0 1 296.411 0.831 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)Cc2c[nH]cn2)C1 ZINC001271361276 814391191 /nfs/dbraw/zinc/39/11/91/814391191.db2.gz LKKFIJSUMXDEJX-LBPRGKRZSA-N 0 1 292.383 0.470 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)C(N)=O)C[C@@H]2C1 ZINC001088087527 814396604 /nfs/dbraw/zinc/39/66/04/814396604.db2.gz ZTWGVYSOXBQOPB-ZJUUUORDSA-N 0 1 271.748 0.005 20 30 CCEDMN N#CCN[C@H]1CCCCCN(C(=O)c2ccn[nH]2)C1 ZINC001088269778 814558582 /nfs/dbraw/zinc/55/85/82/814558582.db2.gz SQDHMXCQXQSJMG-NSHDSACASA-N 0 1 261.329 0.908 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ncnc2[nH]ccc21 ZINC001038464211 814614044 /nfs/dbraw/zinc/61/40/44/814614044.db2.gz BNMCXYMIQFTRAX-NSHDSACASA-N 0 1 283.335 0.737 20 30 CCEDMN CC(C)[C@H]1C[C@H]1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001271931554 814634570 /nfs/dbraw/zinc/63/45/70/814634570.db2.gz CRSPBERTYJPHCS-HUUCEWRRSA-N 0 1 292.427 0.972 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)OCC)[C@H](OC)C1 ZINC001211914509 814883559 /nfs/dbraw/zinc/88/35/59/814883559.db2.gz MCJZMTGOIFBLQM-JHJVBQTASA-N 0 1 268.357 0.250 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cncc(CC)c2)[C@@H](O)C1 ZINC001083644068 815590240 /nfs/dbraw/zinc/59/02/40/815590240.db2.gz NNMCYMSXPYIIGC-CABCVRRESA-N 0 1 287.363 0.442 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](OC)[C@H]1CCOC1 ZINC001272074429 814910690 /nfs/dbraw/zinc/91/06/90/814910690.db2.gz AXKYVTCKCCEOGW-STQMWFEESA-N 0 1 268.357 0.061 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)CCN1CC#N ZINC001088567987 814921779 /nfs/dbraw/zinc/92/17/79/814921779.db2.gz NFRQGFBNSKPKQI-WZRBSPASSA-N 0 1 287.367 0.932 20 30 CCEDMN CN(C)CC#CCNC(=O)NCC[C@H]1CCCCO1 ZINC001224864060 815614830 /nfs/dbraw/zinc/61/48/30/815614830.db2.gz RSQPKRZMHMKDPJ-CYBMUJFWSA-N 0 1 267.373 0.810 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cn(C(C)C)cn2)[C@@H](O)C1 ZINC001083670349 815641140 /nfs/dbraw/zinc/64/11/40/815641140.db2.gz VLQWIRCAORUFQU-OCCSQVGLSA-N 0 1 290.367 0.262 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CO[C@@H]1CCOC1 ZINC001127133724 815838991 /nfs/dbraw/zinc/83/89/91/815838991.db2.gz BUTLOFGBFQMTPF-SNVBAGLBSA-N 0 1 262.737 0.250 20 30 CCEDMN C#CCN1CC(NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001030313972 815971341 /nfs/dbraw/zinc/97/13/41/815971341.db2.gz LADHPDJVKVBARY-NSHDSACASA-N 0 1 258.325 0.263 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1OC ZINC001212184553 816055233 /nfs/dbraw/zinc/05/52/33/816055233.db2.gz AXNYNQMAHOWZNW-BFHYXJOUSA-N 0 1 282.384 0.640 20 30 CCEDMN C#CC[NH+]1CC[C@H](NC(=O)C[N@H+]2CCC[C@@H]2C)[C@H]1C ZINC001088857432 816084459 /nfs/dbraw/zinc/08/44/59/816084459.db2.gz PBPZTNLUJCBKIK-MJBXVCDLSA-N 0 1 263.385 0.683 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)[C@H]1C ZINC001088862868 816099249 /nfs/dbraw/zinc/09/92/49/816099249.db2.gz YEIYJWRPNSMLSA-NDBYEHHHSA-N 0 1 277.368 0.100 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nc(C)c[nH]2)[C@H]1C ZINC001088910481 816169792 /nfs/dbraw/zinc/16/97/92/816169792.db2.gz OVOASCSWBMNBLN-NEPJUHHUSA-N 0 1 260.341 0.934 20 30 CCEDMN C=CCCC(=O)NC[C@H](O)CN[C@@H](C)c1cnc(C)cn1 ZINC001272380389 816376657 /nfs/dbraw/zinc/37/66/57/816376657.db2.gz MMMHYXNLLHOKSK-QWHCGFSZSA-N 0 1 292.383 0.879 20 30 CCEDMN C=C1CC(C)(C(=O)NC2CN(Cc3n[nH]c(C)n3)C2)C1 ZINC001030923917 816441430 /nfs/dbraw/zinc/44/14/30/816441430.db2.gz FNQSPCWHKVVDLR-UHFFFAOYSA-N 0 1 275.356 0.770 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC001121932580 816488518 /nfs/dbraw/zinc/48/85/18/816488518.db2.gz XXEPTZZXLWMBGM-WCQYABFASA-N 0 1 254.293 0.708 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C[C@@H](C)CC)C(=O)OCC ZINC001122170243 816601571 /nfs/dbraw/zinc/60/15/71/816601571.db2.gz YKWSUGMAABYLHE-RYUDHWBXSA-N 0 1 268.357 0.693 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H](C)C1CC1 ZINC000176523544 816635157 /nfs/dbraw/zinc/63/51/57/816635157.db2.gz OOAGTSIAWWDMKW-ONGXEEELSA-N 0 1 252.314 0.894 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001098783204 816711472 /nfs/dbraw/zinc/71/14/72/816711472.db2.gz RUQVILQZWPYTKG-XEZPLFJOSA-N 0 1 289.379 0.117 20 30 CCEDMN CC/C=C(\C)C(=O)NCCCN(C)CC(=O)NCC#N ZINC001234925559 816753396 /nfs/dbraw/zinc/75/33/96/816753396.db2.gz XLNJQYXKGIIZMS-WUXMJOGZSA-N 0 1 280.372 0.421 20 30 CCEDMN C[C@H](CCNc1nccnc1C#N)NC(=O)c1ncn[nH]1 ZINC001106411921 816761349 /nfs/dbraw/zinc/76/13/49/816761349.db2.gz IMWVOZDCUNLNCT-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CCNc1nccnc1C#N)NC(=O)c1nc[nH]n1 ZINC001106411921 816761357 /nfs/dbraw/zinc/76/13/57/816761357.db2.gz IMWVOZDCUNLNCT-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN CC(=O)N[C@@H](C)C(=O)NCCNCC#Cc1ccccc1 ZINC001123072185 816850073 /nfs/dbraw/zinc/85/00/73/816850073.db2.gz LVGDTUYGBRPSJY-ZDUSSCGKSA-N 0 1 287.363 0.269 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001031251956 816894999 /nfs/dbraw/zinc/89/49/99/816894999.db2.gz IBNALOCLCIIGPT-VIFPVBQESA-N 0 1 295.774 0.463 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001031251956 816895004 /nfs/dbraw/zinc/89/50/04/816895004.db2.gz IBNALOCLCIIGPT-VIFPVBQESA-N 0 1 295.774 0.463 20 30 CCEDMN CCn1ccnc1C(C#N)C(=O)c1nnn(C)c1C ZINC001123337588 816922527 /nfs/dbraw/zinc/92/25/27/816922527.db2.gz IHDCQQZRHJLXSJ-VIFPVBQESA-N 0 1 258.285 0.830 20 30 CCEDMN CCn1ccnc1[C@@H](C#N)C(=O)c1nnn(C)c1C ZINC001123337588 816922531 /nfs/dbraw/zinc/92/25/31/816922531.db2.gz IHDCQQZRHJLXSJ-VIFPVBQESA-N 0 1 258.285 0.830 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(F)c(C)c2)[C@@H](O)C1 ZINC001083743082 816958227 /nfs/dbraw/zinc/95/82/27/816958227.db2.gz XRXLYFQQQVTMBL-CABCVRRESA-N 0 1 290.338 0.932 20 30 CCEDMN C#CCCN1CCC(F)(F)[C@H](CNC(=O)c2cnon2)C1 ZINC001046984025 816994865 /nfs/dbraw/zinc/99/48/65/816994865.db2.gz MRMODVNAKORZAE-SNVBAGLBSA-N 0 1 298.293 0.780 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1ccn[nH]1 ZINC001123891522 817155495 /nfs/dbraw/zinc/15/54/95/817155495.db2.gz PFGYRTWPVDACHJ-UHFFFAOYSA-N 0 1 273.134 0.638 20 30 CCEDMN CO[C@@H](C)CN1C[C@@H](NC(=O)C#CC(C)C)[C@H](OC)C1 ZINC001212240872 817167576 /nfs/dbraw/zinc/16/75/76/817167576.db2.gz FOLPJGYOZUDKHP-BFHYXJOUSA-N 0 1 282.384 0.496 20 30 CCEDMN CO[C@@H]1CN(CCC[C@H](C)O)C[C@H]1NC(=O)C#CC1CC1 ZINC001212251029 817234912 /nfs/dbraw/zinc/23/49/12/817234912.db2.gz NGKROPRLDCOBSC-NWANDNLSSA-N 0 1 294.395 0.376 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc3[nH]cnc3c2)C1 ZINC001031701374 817259342 /nfs/dbraw/zinc/25/93/42/817259342.db2.gz ISDIUKUVMGTDFP-UHFFFAOYSA-N 0 1 271.324 0.806 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2(COC)CC2)[C@H](OC)C1 ZINC001212260873 817264877 /nfs/dbraw/zinc/26/48/77/817264877.db2.gz MWJJJOMWWZOPLE-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)c2ocnc2CC)[C@@H](O)C1 ZINC001083764353 817299018 /nfs/dbraw/zinc/29/90/18/817299018.db2.gz CHWQBVAKNLXLAU-OLZOCXBDSA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2ocnc2CC)[C@@H](O)C1 ZINC001083764353 817299023 /nfs/dbraw/zinc/29/90/23/817299023.db2.gz CHWQBVAKNLXLAU-OLZOCXBDSA-N 0 1 293.367 0.978 20 30 CCEDMN N#CCN1CC(CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001031743554 817307792 /nfs/dbraw/zinc/30/77/92/817307792.db2.gz ZOKYYPFIRMTGQF-GHMZBOCLSA-N 0 1 259.313 0.085 20 30 CCEDMN C=CCN1CC(C)(C)CC[C@H]1CNC(=O)c1nnn(C)n1 ZINC001089683766 817312830 /nfs/dbraw/zinc/31/28/30/817312830.db2.gz FTZNHAFYYJNEMZ-NSHDSACASA-N 0 1 292.387 0.617 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2nc3ncc(C)cn3n2)C1 ZINC001031757656 817343836 /nfs/dbraw/zinc/34/38/36/817343836.db2.gz CWADXLNOIUDZDZ-UHFFFAOYSA-N 0 1 298.350 0.118 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn(CCF)c2)C1 ZINC001031802594 817378468 /nfs/dbraw/zinc/37/84/68/817378468.db2.gz SMQUDLIXZGLNPR-UHFFFAOYSA-N 0 1 266.320 0.700 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2n[nH]c3c2CCCC3)C1 ZINC001031809057 817386189 /nfs/dbraw/zinc/38/61/89/817386189.db2.gz IDOSPTJDULQCOI-UHFFFAOYSA-N 0 1 286.379 0.973 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccc3nnnn3c2)C1 ZINC001031843617 817410359 /nfs/dbraw/zinc/41/03/59/817410359.db2.gz IKCSSMPLTWOWBC-UHFFFAOYSA-N 0 1 286.339 0.362 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001124487915 817486017 /nfs/dbraw/zinc/48/60/17/817486017.db2.gz IYYCCIFNHRYGPR-JTQLQIEISA-N 0 1 281.360 0.300 20 30 CCEDMN C#Cc1cncc(C(=O)NCC2CN(CCOC)C2)c1 ZINC001031963726 817500100 /nfs/dbraw/zinc/50/01/00/817500100.db2.gz VCQFLPQWSGXJBC-UHFFFAOYSA-N 0 1 273.336 0.371 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ncn(C(C)(C)C)n2)C1 ZINC001031974348 817511944 /nfs/dbraw/zinc/51/19/44/817511944.db2.gz ULFZRQAZKXHGET-UHFFFAOYSA-N 0 1 277.372 0.881 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2nccn3ccnc23)C1 ZINC001031984885 817522868 /nfs/dbraw/zinc/52/28/68/817522868.db2.gz DTXFSYXBAQNBRA-UHFFFAOYSA-N 0 1 285.351 0.967 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(C)[nH]c2=O)C1 ZINC001032006689 817541247 /nfs/dbraw/zinc/54/12/47/817541247.db2.gz KGTVYBOPHWCRNO-UHFFFAOYSA-N 0 1 261.325 0.943 20 30 CCEDMN CN(C)c1ccncc1C(=O)NCC1CN(CC#N)C1 ZINC001032132123 817658995 /nfs/dbraw/zinc/65/89/95/817658995.db2.gz JJFHIRUCHAFALM-UHFFFAOYSA-N 0 1 273.340 0.333 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn3ccc(C)nc23)C1 ZINC001032133297 817660924 /nfs/dbraw/zinc/66/09/24/817660924.db2.gz FJECESZCRZJDGY-UHFFFAOYSA-N 0 1 285.351 0.885 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(C(=O)NC)nc2)C1 ZINC001032133741 817660965 /nfs/dbraw/zinc/66/09/65/817660965.db2.gz RQMFGJOWYADCIT-UHFFFAOYSA-N 0 1 288.351 0.289 20 30 CCEDMN C=CCN1CC(CNC(=O)c2nc3nccc(C)n3n2)C1 ZINC001032169599 817675719 /nfs/dbraw/zinc/67/57/19/817675719.db2.gz QSXWBERJNNGHCO-UHFFFAOYSA-N 0 1 286.339 0.280 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(=O)n(CCC)n2)C1 ZINC001032179026 817677731 /nfs/dbraw/zinc/67/77/31/817677731.db2.gz ZKDYYWLCRBODFS-UHFFFAOYSA-N 0 1 290.367 0.501 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1cc(C)on1 ZINC001124999534 817680113 /nfs/dbraw/zinc/68/01/13/817680113.db2.gz ULSGGCZXAHAFRM-UHFFFAOYSA-N 0 1 257.721 0.984 20 30 CCEDMN O=C(Cc1ccon1)NCCNCC#Cc1ccccc1 ZINC001125103704 817704713 /nfs/dbraw/zinc/70/47/13/817704713.db2.gz KYVFQTPRNPXXHE-UHFFFAOYSA-N 0 1 283.331 0.975 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cccc(C(N)=O)n2)C1 ZINC001032214608 817718511 /nfs/dbraw/zinc/71/85/11/817718511.db2.gz KRFJEKUYALRACN-UHFFFAOYSA-N 0 1 288.351 0.418 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001032222973 817727585 /nfs/dbraw/zinc/72/75/85/817727585.db2.gz RUYHYGPYTWEIHZ-UHFFFAOYSA-N 0 1 274.324 0.028 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCOC1 ZINC001032307845 817831700 /nfs/dbraw/zinc/83/17/00/817831700.db2.gz WWVWTJBQGBXSMT-AGIUHOORSA-N 0 1 250.342 0.884 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCOC1 ZINC001032307845 817831702 /nfs/dbraw/zinc/83/17/02/817831702.db2.gz WWVWTJBQGBXSMT-AGIUHOORSA-N 0 1 250.342 0.884 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc3[nH]ccc3c2)C1 ZINC001077602785 817863692 /nfs/dbraw/zinc/86/36/92/817863692.db2.gz NALSMTFQYRYRHZ-HZPDHXFCSA-N 0 1 297.358 0.966 20 30 CCEDMN Cc1cc(C#N)nc(NCC=CCNC(=O)c2cnn[nH]2)n1 ZINC001107587535 817892336 /nfs/dbraw/zinc/89/23/36/817892336.db2.gz WRDJRUFWVXHGNQ-IHWYPQMZSA-N 0 1 298.310 0.173 20 30 CCEDMN C[C@H](CNc1ccc(C#N)cn1)NC(=O)[C@H]1CCCN1C ZINC001107644458 817911813 /nfs/dbraw/zinc/91/18/13/817911813.db2.gz AYBZPAKJRTVYCN-DGCLKSJQSA-N 0 1 287.367 0.964 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(C)c1 ZINC001032359098 817984497 /nfs/dbraw/zinc/98/44/97/817984497.db2.gz QYVKGKRFIZPYGA-KBPBESRZSA-N 0 1 257.337 0.947 20 30 CCEDMN CO[C@H](C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032362747 818000654 /nfs/dbraw/zinc/00/06/54/818000654.db2.gz QLXDWRRAFPCNIL-SWHYSGLUSA-N 0 1 288.351 0.820 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)CNC(=O)CC)C1 ZINC001107818781 818032708 /nfs/dbraw/zinc/03/27/08/818032708.db2.gz FTYYMZTXUFNKDS-OAHLLOKOSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CCCC2)[C@H](O)C1 ZINC001090007851 818263081 /nfs/dbraw/zinc/26/30/81/818263081.db2.gz YRIZLCHDOLCRGJ-QWHCGFSZSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](N(C)C(C)=O)C2)C1=O ZINC001032852559 818309832 /nfs/dbraw/zinc/30/98/32/818309832.db2.gz OQNRCFIFRCMULV-CHWSQXEVSA-N 0 1 265.357 0.326 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnc(C)cn2)[C@@H](O)C1 ZINC001090039741 818326983 /nfs/dbraw/zinc/32/69/83/818326983.db2.gz XUAOLMYCAIGCQS-JSGCOSHPSA-N 0 1 290.367 0.526 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C)o2)[C@@H](O)C1 ZINC001090051533 818356738 /nfs/dbraw/zinc/35/67/38/818356738.db2.gz SHFRQLFRQONLQX-RYUDHWBXSA-N 0 1 264.325 0.939 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cn(C)cn2)C1 ZINC001033001827 818415882 /nfs/dbraw/zinc/41/58/82/818415882.db2.gz KOJUYYOESFMYCH-GFCCVEGCSA-N 0 1 260.341 0.590 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033010821 818420851 /nfs/dbraw/zinc/42/08/51/818420851.db2.gz VUJRBNSZDHSNEF-SNVBAGLBSA-N 0 1 264.329 0.756 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)noc2C)[C@H](O)C1 ZINC001090060415 818427228 /nfs/dbraw/zinc/42/72/28/818427228.db2.gz JWHUYQSSSFQARC-VXGBXAGGSA-N 0 1 279.340 0.642 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cnn(C)c2N)C1 ZINC001033026297 818439753 /nfs/dbraw/zinc/43/97/53/818439753.db2.gz VGKUEEIWKVMRLN-LLVKDONJSA-N 0 1 275.356 0.172 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)CC2OCCCO2)C1 ZINC001033034957 818446937 /nfs/dbraw/zinc/44/69/37/818446937.db2.gz CPSDHFICZKJIIP-LBPRGKRZSA-N 0 1 268.357 0.858 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnn(C)c2N)C1 ZINC001033034993 818447411 /nfs/dbraw/zinc/44/74/11/818447411.db2.gz DAOARTFQQHNBJJ-JTQLQIEISA-N 0 1 263.345 0.335 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2cnn(CC)n2)C1 ZINC001033055852 818472918 /nfs/dbraw/zinc/47/29/18/818472918.db2.gz CFCYSIAEXDTAGT-LBPRGKRZSA-N 0 1 275.356 0.468 20 30 CCEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2ncn(C)n2)C1 ZINC001033061499 818477594 /nfs/dbraw/zinc/47/75/94/818477594.db2.gz MUNVRBPLPJEFGX-NSHDSACASA-N 0 1 263.345 0.538 20 30 CCEDMN CN(C(=O)[C@H]1CCCc2[nH]ncc21)[C@H]1CCN(CC#N)C1 ZINC001033085140 818502478 /nfs/dbraw/zinc/50/24/78/818502478.db2.gz QHNHCAPAWGLWFS-RYUDHWBXSA-N 0 1 287.367 0.886 20 30 CCEDMN CN(C(=O)[C@H]1CCCc2c[nH]nc21)[C@H]1CCN(CC#N)C1 ZINC001033086265 818503613 /nfs/dbraw/zinc/50/36/13/818503613.db2.gz VGROQDUHJLRWJJ-STQMWFEESA-N 0 1 287.367 0.886 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033126215 818557134 /nfs/dbraw/zinc/55/71/34/818557134.db2.gz GPGGCOQGXHDQBM-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cn2ccc(C(F)F)n2)C1 ZINC001033136153 818559693 /nfs/dbraw/zinc/55/96/93/818559693.db2.gz MWVHMZZWSFASKG-LLVKDONJSA-N 0 1 296.321 0.987 20 30 CCEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cc3n(n2)CCO3)C1 ZINC001033144392 818569668 /nfs/dbraw/zinc/56/96/68/818569668.db2.gz CCDDNABFWLPVAX-LBPRGKRZSA-N 0 1 290.367 0.998 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C2CC(OC)C2)C1 ZINC001033172422 818599016 /nfs/dbraw/zinc/59/90/16/818599016.db2.gz SAQPYVRSRBXREP-MOKVOYLWSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033172908 818603577 /nfs/dbraw/zinc/60/35/77/818603577.db2.gz WTWGBERUHCJSMW-VXGBXAGGSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncs2)[C@H](O)C1 ZINC001090116447 818642189 /nfs/dbraw/zinc/64/21/89/818642189.db2.gz ZNIGKMSSOFQKEZ-NXEZZACHSA-N 0 1 267.354 0.494 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ccn(C)c(=O)c2)C1 ZINC001033208790 818653675 /nfs/dbraw/zinc/65/36/75/818653675.db2.gz OQTFJTBEALTMHY-CYBMUJFWSA-N 0 1 273.336 0.165 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2COCCN2CC)C1 ZINC001033211533 818653749 /nfs/dbraw/zinc/65/37/49/818653749.db2.gz KGVXYEHNJDYHBQ-UONOGXRCSA-N 0 1 281.400 0.426 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001033248550 818675961 /nfs/dbraw/zinc/67/59/61/818675961.db2.gz ROGBGMMXJFESKQ-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C)n(C)n2)[C@@H](O)C1 ZINC001090131109 818692983 /nfs/dbraw/zinc/69/29/83/818692983.db2.gz YOGDNLAWESDUMY-JSGCOSHPSA-N 0 1 292.383 0.470 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001033319825 818705115 /nfs/dbraw/zinc/70/51/15/818705115.db2.gz FYDNXSSAPRAOPR-QWHCGFSZSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H](C)c2cncnc2)C1 ZINC001033356909 818735246 /nfs/dbraw/zinc/73/52/46/818735246.db2.gz RJZYNPOYESACAN-TZMCWYRMSA-N 0 1 272.352 0.746 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2ncccc2OC)C1 ZINC001033361212 818740805 /nfs/dbraw/zinc/74/08/05/818740805.db2.gz NELDXLGEVUUJAH-ZDUSSCGKSA-N 0 1 287.363 0.799 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(C(N)=O)co2)C1 ZINC001033408303 818758287 /nfs/dbraw/zinc/75/82/87/818758287.db2.gz AYMNNUMDNJWICJ-LLVKDONJSA-N 0 1 277.324 0.711 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cn2nccc2C)C1 ZINC001033481028 818794580 /nfs/dbraw/zinc/79/45/80/818794580.db2.gz VCTSYKLSLSFYEZ-CQSZACIVSA-N 0 1 274.368 0.748 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cn(CC)nn2)C1 ZINC001033548168 818822112 /nfs/dbraw/zinc/82/21/12/818822112.db2.gz GUABLIHGRRYIAY-NSHDSACASA-N 0 1 263.345 0.630 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCCNC(=O)C1CC1 ZINC001128696098 818850643 /nfs/dbraw/zinc/85/06/43/818850643.db2.gz ACYCAFQOATURNN-UHFFFAOYSA-N 0 1 287.791 0.751 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033808939 818946442 /nfs/dbraw/zinc/94/64/42/818946442.db2.gz GLXPLZPHZCJORO-OAHLLOKOSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2COC(=O)N2)C1 ZINC001033815483 818955820 /nfs/dbraw/zinc/95/58/20/818955820.db2.gz JIMIDLIDHXMQCU-NWDGAFQWSA-N 0 1 279.340 0.041 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(CC)c2)[C@H](O)C1 ZINC001090145654 818956439 /nfs/dbraw/zinc/95/64/39/818956439.db2.gz ROOKOSDHNTUNQW-QWHCGFSZSA-N 0 1 278.356 0.254 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033853530 818967595 /nfs/dbraw/zinc/96/75/95/818967595.db2.gz QZXQGKPLKCZNHG-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CC=CC2)[C@@H](O)C1 ZINC001090149681 818982357 /nfs/dbraw/zinc/98/23/57/818982357.db2.gz JEQDTDSYDKAGBS-STQMWFEESA-N 0 1 250.342 0.690 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033921441 818997395 /nfs/dbraw/zinc/99/73/95/818997395.db2.gz FLVLRZCOLWJIJE-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001033999087 819029611 /nfs/dbraw/zinc/02/96/11/819029611.db2.gz QQNKVECAUIYMSK-LBPRGKRZSA-N 0 1 290.367 0.836 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001034051888 819038961 /nfs/dbraw/zinc/03/89/61/819038961.db2.gz CZQHIDKPHQDBFF-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001034052090 819039905 /nfs/dbraw/zinc/03/99/05/819039905.db2.gz GKWRUDOBBXQXLL-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001034052089 819040317 /nfs/dbraw/zinc/04/03/17/819040317.db2.gz GKWRUDOBBXQXLL-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CC(F)(F)C2)[C@@H](O)C1 ZINC001090182683 819045675 /nfs/dbraw/zinc/04/56/75/819045675.db2.gz NSDXDSJOFADERK-MNOVXSKESA-N 0 1 274.311 0.769 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cn2cccn2)C1 ZINC001034101882 819065538 /nfs/dbraw/zinc/06/55/38/819065538.db2.gz PTDLNTMBBURGNQ-ZDUSSCGKSA-N 0 1 260.341 0.487 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2COCCO2)C1 ZINC001034107708 819077313 /nfs/dbraw/zinc/07/73/13/819077313.db2.gz LZFGBYHZPHTRFN-QWHCGFSZSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2cncnc2)C1 ZINC001034188828 819110327 /nfs/dbraw/zinc/11/03/27/819110327.db2.gz QUKOXWMNXSNUGQ-AWEZNQCLSA-N 0 1 272.352 0.623 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC001034186037 819110723 /nfs/dbraw/zinc/11/07/23/819110723.db2.gz HYTCITHYDWLDQV-OAHLLOKOSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC001034200337 819123556 /nfs/dbraw/zinc/12/35/56/819123556.db2.gz NXCJDGCPZFPWSL-GFCCVEGCSA-N 0 1 275.356 0.260 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@@H](O)C1 ZINC001090198731 819137725 /nfs/dbraw/zinc/13/77/25/819137725.db2.gz BAIHIDGSEQQVEN-YPMHNXCESA-N 0 1 274.324 0.237 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnn3ccncc23)C1 ZINC001034251359 819142148 /nfs/dbraw/zinc/14/21/48/819142148.db2.gz RRGUIIKSZJDKAK-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccc(C)o2)[C@@H](O)C1 ZINC001090204336 819157437 /nfs/dbraw/zinc/15/74/37/819157437.db2.gz PSIMHIVNPNBGOE-CABCVRRESA-N 0 1 290.363 0.705 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@H](NC(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001034340796 819171805 /nfs/dbraw/zinc/17/18/05/819171805.db2.gz VGDXODZQLZZGEM-STQMWFEESA-N 0 1 292.383 0.004 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001034340796 819171812 /nfs/dbraw/zinc/17/18/12/819171812.db2.gz VGDXODZQLZZGEM-STQMWFEESA-N 0 1 292.383 0.004 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001034341023 819171980 /nfs/dbraw/zinc/17/19/80/819171980.db2.gz YJOHTEMNQONUML-ZDUSSCGKSA-N 0 1 298.350 0.342 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001034341023 819171983 /nfs/dbraw/zinc/17/19/83/819171983.db2.gz YJOHTEMNQONUML-ZDUSSCGKSA-N 0 1 298.350 0.342 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001034354199 819174467 /nfs/dbraw/zinc/17/44/67/819174467.db2.gz KTEVEJVLMMHTPU-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001034347891 819179090 /nfs/dbraw/zinc/17/90/90/819179090.db2.gz UWFOGFQUQUECQS-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cn2ccc(C)n2)C1 ZINC001034406351 819191169 /nfs/dbraw/zinc/19/11/69/819191169.db2.gz IVHKNEYCRXHOMT-CQSZACIVSA-N 0 1 274.368 0.795 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001034395263 819193121 /nfs/dbraw/zinc/19/31/21/819193121.db2.gz VCBAQUOMHKTWFX-DOMZBBRYSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2n[nH]cc2C)[C@H](O)C1 ZINC001090252523 819315432 /nfs/dbraw/zinc/31/54/32/819315432.db2.gz TYUWUKLMIDBSKZ-VXGBXAGGSA-N 0 1 278.356 0.459 20 30 CCEDMN N#CCN1CCO[C@@H](CNC(=O)c2n[nH]c3ccccc32)C1 ZINC001035599275 819572063 /nfs/dbraw/zinc/57/20/63/819572063.db2.gz DDUYEMZHDFPTJH-NSHDSACASA-N 0 1 299.334 0.517 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1cc[n+]([O-])cc1)CC2 ZINC001035645633 819598563 /nfs/dbraw/zinc/59/85/63/819598563.db2.gz STOPBMRKTDINPH-UHFFFAOYSA-N 0 1 299.374 0.881 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](C)n1cncn1)CC2 ZINC001035689738 819605060 /nfs/dbraw/zinc/60/50/60/819605060.db2.gz FCVSILWGLHXGOH-ZDUSSCGKSA-N 0 1 287.367 0.397 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCNC(=O)C1)CC2 ZINC001035688374 819605166 /nfs/dbraw/zinc/60/51/66/819605166.db2.gz PFPPHCXAEVUCIO-ZDUSSCGKSA-N 0 1 291.395 0.623 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ncccc1O)CC2 ZINC001035691331 819605195 /nfs/dbraw/zinc/60/51/95/819605195.db2.gz LMOCJTUYCABHDD-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN C#CC[NH+]1CC2(C1)CCN(C(=O)c1ncccc1[O-])CC2 ZINC001035691331 819605198 /nfs/dbraw/zinc/60/51/98/819605198.db2.gz LMOCJTUYCABHDD-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1COC(=O)N1)CC2 ZINC001035696911 819610193 /nfs/dbraw/zinc/61/01/93/819610193.db2.gz LDNGXSWQHJTDPL-LLVKDONJSA-N 0 1 279.340 0.205 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CO[C@H](C)C1)CC2 ZINC001035734841 819617988 /nfs/dbraw/zinc/61/79/88/819617988.db2.gz BROCGRRUYBNDCZ-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccncn1)CC2 ZINC001035822000 819629653 /nfs/dbraw/zinc/62/96/53/819629653.db2.gz KBAXWLHJQRQBLM-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#CCCS(=O)(=O)N1CCN(C)C(C)(C)CC1 ZINC000710889028 819853962 /nfs/dbraw/zinc/85/39/62/819853962.db2.gz XUYBIXPFILVYFX-UHFFFAOYSA-N 0 1 258.387 0.756 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCCCN1C(=O)c1ccn[nH]1 ZINC001062023004 819886482 /nfs/dbraw/zinc/88/64/82/819886482.db2.gz NMPSFPNNCBPFIY-WDEREUQCSA-N 0 1 289.339 0.680 20 30 CCEDMN N#Cc1nccnc1NC[C@H]1CCCN1C(=O)c1ccn[nH]1 ZINC001063427917 820149226 /nfs/dbraw/zinc/14/92/26/820149226.db2.gz KOIYMZPWKAFTMY-SNVBAGLBSA-N 0 1 297.322 0.788 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc3n(n2)CCC3)[C@H](O)C1 ZINC001090314339 820249302 /nfs/dbraw/zinc/24/93/02/820249302.db2.gz ZRMHWLANXVRTFI-GXTWGEPZSA-N 0 1 290.367 0.180 20 30 CCEDMN N#Cc1cnc(NC[C@@H]2CCCN2C(=O)c2ccn[nH]2)cn1 ZINC001064867052 820260380 /nfs/dbraw/zinc/26/03/80/820260380.db2.gz IYCBKHDJNJETBM-NSHDSACASA-N 0 1 297.322 0.788 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccsn2)[C@@H](O)C1 ZINC001090353132 820291805 /nfs/dbraw/zinc/29/18/05/820291805.db2.gz XJPQMGFHYRZXKM-KOLCDFICSA-N 0 1 267.354 0.494 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2[nH]c(C)cc2C)[C@@H](O)C1 ZINC001090363250 820299967 /nfs/dbraw/zinc/29/99/67/820299967.db2.gz LPLDEIBTDQJJKW-OLZOCXBDSA-N 0 1 277.368 0.983 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@@H](C)[C@H](NC(=O)C(C)C)C2)C1=O ZINC001079434309 820395959 /nfs/dbraw/zinc/39/59/59/820395959.db2.gz IPSUDACAMUBPDX-MGPQQGTHSA-N 0 1 293.411 0.866 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(CC)n[nH]2)C1 ZINC001079440617 820397296 /nfs/dbraw/zinc/39/72/96/820397296.db2.gz OJNJTPQGGPRBKZ-ZWNOBZJWSA-N 0 1 260.341 0.655 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C)nn2C)C1 ZINC001079463516 820401992 /nfs/dbraw/zinc/40/19/92/820401992.db2.gz GYQMEQOIBGCQOP-ZYHUDNBSSA-N 0 1 260.341 0.412 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2nccnc2N)C1 ZINC001079503243 820408377 /nfs/dbraw/zinc/40/83/77/820408377.db2.gz AFFHYZFRGXWSNR-NXEZZACHSA-N 0 1 261.329 0.295 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001079881790 820481347 /nfs/dbraw/zinc/48/13/47/820481347.db2.gz UWPVXAKWFHNJFL-RQJABVFESA-N 0 1 280.368 0.250 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001080201440 820529663 /nfs/dbraw/zinc/52/96/63/820529663.db2.gz HDKWKCOMPADDOG-MGPQQGTHSA-N 0 1 291.395 0.363 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)cnn2C)C1 ZINC001080283373 820546135 /nfs/dbraw/zinc/54/61/35/820546135.db2.gz JBIJWBZEJRABNZ-VXGBXAGGSA-N 0 1 262.357 0.965 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCO[C@H]2C=C)C1 ZINC001080471074 820580086 /nfs/dbraw/zinc/58/00/86/820580086.db2.gz NKZAYVAFYOTQHR-SYQHCUMBSA-N 0 1 262.353 0.647 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001080611564 820597949 /nfs/dbraw/zinc/59/79/49/820597949.db2.gz PETWFLMJQNFFCR-DGCLKSJQSA-N 0 1 283.335 0.413 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2nonc2C)C1 ZINC001081041830 820671471 /nfs/dbraw/zinc/67/14/71/820671471.db2.gz PWYJOJIWDFZXMY-PSASIEDQSA-N 0 1 250.302 0.614 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2nonc2C)C1 ZINC001081041830 820671474 /nfs/dbraw/zinc/67/14/74/820671474.db2.gz PWYJOJIWDFZXMY-PSASIEDQSA-N 0 1 250.302 0.614 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COC(C)C)[C@@H](O)C1 ZINC001099725779 820783107 /nfs/dbraw/zinc/78/31/07/820783107.db2.gz YXLOUGNKMOKDDV-RYUDHWBXSA-N 0 1 256.346 0.149 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C=C2CCC2)[C@H](OC)C1 ZINC001081524026 820783284 /nfs/dbraw/zinc/78/32/84/820783284.db2.gz HDPCLHDDGHCSRC-ZIAGYGMSSA-N 0 1 262.353 0.935 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CCCCO2)[C@H](OC)C1 ZINC001081543152 820794021 /nfs/dbraw/zinc/79/40/21/820794021.db2.gz JYCHSFQXRCIFPP-MGPQQGTHSA-N 0 1 282.384 0.947 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C)nn2)[C@H](OC)C1 ZINC001081652046 820811441 /nfs/dbraw/zinc/81/14/41/820811441.db2.gz LWGVGBFDAIQFIB-ZIAGYGMSSA-N 0 1 288.351 0.237 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cnoc2)[C@H](OC)C1 ZINC001082054208 820891106 /nfs/dbraw/zinc/89/11/06/820891106.db2.gz WWFUFWSWQTVUJA-CHWSQXEVSA-N 0 1 279.340 0.609 20 30 CCEDMN C=CC[NH+]1C[C@@H](NC(=O)C[N@@H+]2CC[C@H](C)C2)[C@H](OC)C1 ZINC001082135148 820905484 /nfs/dbraw/zinc/90/54/84/820905484.db2.gz QPHQPRQCIMVIRT-BFHYXJOUSA-N 0 1 281.400 0.330 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2occc2C)[C@H](OC)C1 ZINC001082114994 820906017 /nfs/dbraw/zinc/90/60/17/820906017.db2.gz XHERTCIQNVGJOM-UKRRQHHQSA-N 0 1 290.363 0.969 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCO[C@H]2C=C)[C@H](OC)C1 ZINC001082149601 820907746 /nfs/dbraw/zinc/90/77/46/820907746.db2.gz CRAKXSVVVRDOMN-APIJFGDWSA-N 0 1 292.379 0.416 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cn(CC)cn2)[C@H](OC)C1 ZINC001082329592 820936799 /nfs/dbraw/zinc/93/67/99/820936799.db2.gz ZKMMIHLKUXOSSJ-TZMCWYRMSA-N 0 1 290.367 0.355 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(C)c2CC)[C@@H](O)C1 ZINC001090393043 821088742 /nfs/dbraw/zinc/08/87/42/821088742.db2.gz ZOWPOXPTVFGYAB-JSGCOSHPSA-N 0 1 292.383 0.334 20 30 CCEDMN C=C(Cl)CN1C[C@H]2OCCN(C(=O)c3ccn[nH]3)[C@H]2C1 ZINC001083009938 821103640 /nfs/dbraw/zinc/10/36/40/821103640.db2.gz OSRYLUVWYQPFTH-NWDGAFQWSA-N 0 1 296.758 0.687 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@H](C)C#N)[C@H]2C1 ZINC001083024167 821113680 /nfs/dbraw/zinc/11/36/80/821113680.db2.gz CXBCUPLDBOKROM-FRRDWIJNSA-N 0 1 263.341 0.634 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3[nH]ccc3C)[C@H]2C1 ZINC001083068653 821135608 /nfs/dbraw/zinc/13/56/08/821135608.db2.gz QLJPBGQZFOYWLY-UONOGXRCSA-N 0 1 287.363 0.872 20 30 CCEDMN C=C(C)C[N@H+]1C[C@H]2OCCN(C(=O)Cc3cnoc3)[C@H]2C1 ZINC001083172299 821155784 /nfs/dbraw/zinc/15/57/84/821155784.db2.gz UHWXSLCOQWNVIS-UONOGXRCSA-N 0 1 291.351 0.705 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)Cc3cnoc3)[C@H]2C1 ZINC001083172299 821155790 /nfs/dbraw/zinc/15/57/90/821155790.db2.gz UHWXSLCOQWNVIS-UONOGXRCSA-N 0 1 291.351 0.705 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccccc2OC)[C@@H](O)C1 ZINC001083983158 821167785 /nfs/dbraw/zinc/16/77/85/821167785.db2.gz RYSFIRMDAAACMW-KGLIPLIRSA-N 0 1 288.347 0.493 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3ccn(C)n3)[C@@H]2C1 ZINC001084334733 821274829 /nfs/dbraw/zinc/27/48/29/821274829.db2.gz HVHWQRXTAASVFL-UKRRQHHQSA-N 0 1 286.379 0.519 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccnc(OC)n3)[C@@H]2C1 ZINC001084510418 821304269 /nfs/dbraw/zinc/30/42/69/821304269.db2.gz IJMRYMJYXLHBKN-DGCLKSJQSA-N 0 1 288.351 0.818 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@@]3(C)CNC(=O)C3)[C@@H]2C1 ZINC001084541292 821309042 /nfs/dbraw/zinc/30/90/42/821309042.db2.gz JPPFAIKZBBRMFG-XJKCOSOUSA-N 0 1 291.395 0.621 20 30 CCEDMN C#CCN1C(=O)C[C@]2(CCCN(Cc3cncnc3)C2)C1=O ZINC001273230659 821383562 /nfs/dbraw/zinc/38/35/62/821383562.db2.gz LJZRBOHSGIDNSV-INIZCTEOSA-N 0 1 298.346 0.451 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(Cc1cncnc1)CC2 ZINC001273231317 821387880 /nfs/dbraw/zinc/38/78/80/821387880.db2.gz WSEIRDJBSWBHBS-CQSZACIVSA-N 0 1 284.363 0.828 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3c[nH]c(C(N)=O)c3)[C@@H]2C1 ZINC001084834339 821406809 /nfs/dbraw/zinc/40/68/09/821406809.db2.gz WEYCFFHILVQMAC-ZWNOBZJWSA-N 0 1 288.351 0.446 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H]2CCN(CC(=O)N(C)C)[C@H]2C1 ZINC001084906971 821427867 /nfs/dbraw/zinc/42/78/67/821427867.db2.gz OTTXDDDWAIYMQZ-XQQFMLRXSA-N 0 1 292.383 0.157 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2n[nH]cc2F)C1 ZINC001108196126 821565354 /nfs/dbraw/zinc/56/53/54/821565354.db2.gz SSAILMKSSFWAQS-CQSZACIVSA-N 0 1 296.346 0.946 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)Nc1ccncc1C#N ZINC001098306370 821660926 /nfs/dbraw/zinc/66/09/26/821660926.db2.gz FEFVPFBEDSEDJC-SNVBAGLBSA-N 0 1 284.323 0.258 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)Nc1nccnc1C#N ZINC001098306994 821668763 /nfs/dbraw/zinc/66/87/63/821668763.db2.gz RIPSFAGYFBKHND-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN C[C@H](NC(=O)C1(C#N)CCCC1)[C@H]1CN(C)CCN1C ZINC000826684480 821670588 /nfs/dbraw/zinc/67/05/88/821670588.db2.gz KBCGEPHWWQYNMC-QWHCGFSZSA-N 0 1 278.400 0.821 20 30 CCEDMN C=C(C)CCC(=O)N1CCC(N(CCO)CC(N)=O)CC1 ZINC001098382218 821708273 /nfs/dbraw/zinc/70/82/73/821708273.db2.gz HQTVDCBKTJKFKB-UHFFFAOYSA-N 0 1 297.399 0.113 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnn(C)c1 ZINC001085479946 821733451 /nfs/dbraw/zinc/73/34/51/821733451.db2.gz CVKCAZZPEMFMIV-ZDUSSCGKSA-N 0 1 260.341 0.590 20 30 CCEDMN C[C@H](O)CN1CC[C@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556566 821788575 /nfs/dbraw/zinc/78/85/75/821788575.db2.gz UOQPEOBHVLKLTJ-GWCFXTLKSA-N 0 1 276.340 0.413 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCNC1=O ZINC001085653727 821872163 /nfs/dbraw/zinc/87/21/63/821872163.db2.gz YDXJPJDTGFJWGA-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCC(=O)NC1 ZINC001085687832 821900546 /nfs/dbraw/zinc/90/05/46/821900546.db2.gz WKICBQVGLOLCBT-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cnon1 ZINC001085884215 821998978 /nfs/dbraw/zinc/99/89/78/821998978.db2.gz XBJHGBPKEDPPIX-SNVBAGLBSA-N 0 1 250.302 0.792 20 30 CCEDMN C=CCCN1CC[C@@H]1CN(C)C(=O)c1cnon1 ZINC001085884215 821998986 /nfs/dbraw/zinc/99/89/86/821998986.db2.gz XBJHGBPKEDPPIX-SNVBAGLBSA-N 0 1 250.302 0.792 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CF)CC2)[C@H](O)C1 ZINC001100006282 822008552 /nfs/dbraw/zinc/00/85/52/822008552.db2.gz OUQUDCKHGOCDEH-WDEREUQCSA-N 0 1 256.321 0.474 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)NC[C@H]1C[C@H](NCC#N)C1 ZINC001086459975 822267541 /nfs/dbraw/zinc/26/75/41/822267541.db2.gz CMTVJUSDSUKWOW-AULYBMBSSA-N 0 1 290.367 0.963 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(OC)nc2)[C@H](O)C1 ZINC001090412672 822276034 /nfs/dbraw/zinc/27/60/34/822276034.db2.gz HVFIYTWHZHCQEN-CHWSQXEVSA-N 0 1 291.351 0.441 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H](C)CNc2cncc(C#N)n2)[nH]n1 ZINC001108303907 822349788 /nfs/dbraw/zinc/34/97/88/822349788.db2.gz DACNTAKXCURUDE-JTQLQIEISA-N 0 1 299.338 0.539 20 30 CCEDMN C=CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(=O)C(C)(C)C ZINC001114077450 837428423 /nfs/dbraw/zinc/42/84/23/837428423.db2.gz SSWIYPLMGWRQFQ-URLYPYJESA-N 0 1 250.342 0.834 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)SC ZINC001114155910 837459756 /nfs/dbraw/zinc/45/97/56/837459756.db2.gz SXXXLORTYNFKLU-RMRHIDDWSA-N 0 1 296.436 0.824 20 30 CCEDMN Cn1cc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)C#CC2CC2)cn1 ZINC001114253492 837487906 /nfs/dbraw/zinc/48/79/06/837487906.db2.gz PBRLWDRPYWBLNB-FOLVSLTJSA-N 0 1 284.363 0.380 20 30 CCEDMN C#CCOCCC(=O)NC1[C@H]2CN(C/C=C/Cl)C[C@@H]12 ZINC001114262571 837491475 /nfs/dbraw/zinc/49/14/75/837491475.db2.gz GNOZIAALLUQYBC-FCCXGIRUSA-N 0 1 282.771 0.825 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@@H]1CCN(C)C1=O ZINC001130041564 837612865 /nfs/dbraw/zinc/61/28/65/837612865.db2.gz PFIYULWDPVBOAB-JTQLQIEISA-N 0 1 273.764 0.313 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)COCC)C1 ZINC001130334992 837769546 /nfs/dbraw/zinc/76/95/46/837769546.db2.gz ULQUUSQECWUURY-LBPRGKRZSA-N 0 1 290.367 0.485 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(CC#CC)C[C@H]2O)cc1 ZINC001090484155 837784366 /nfs/dbraw/zinc/78/43/66/837784366.db2.gz WRLZEGZZRQFIBE-IAGOWNOFSA-N 0 1 296.370 0.856 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C)CCC2)[C@H](O)C1 ZINC001090484611 837797771 /nfs/dbraw/zinc/79/77/71/837797771.db2.gz BSXJSMULBWQLTN-CHWSQXEVSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(OC)ccn2)[C@H](O)C1 ZINC001090485834 837828207 /nfs/dbraw/zinc/82/82/07/837828207.db2.gz KJDQUINJGBWZFS-TZMCWYRMSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)Cn2cncn2)C1 ZINC001108384469 835996823 /nfs/dbraw/zinc/99/68/23/835996823.db2.gz SRMBUGUAEIRFJO-AWEZNQCLSA-N 0 1 293.371 0.061 20 30 CCEDMN N#C[C@H]1CNCCN1c1nc(Cl)nc2c1CNCC2 ZINC001156321054 836283508 /nfs/dbraw/zinc/28/35/08/836283508.db2.gz NMQYCYLMOBDHBZ-QMMMGPOBSA-N 0 1 278.747 0.077 20 30 CCEDMN C#CC[NH2+]C[C@H]1CCN(C(=O)CCc2nc[nH]n2)C[C@@H]1C ZINC001184157617 844171691 /nfs/dbraw/zinc/17/16/91/844171691.db2.gz BZKPFQTXOFEZPY-QWHCGFSZSA-N 0 1 289.383 0.445 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)NC(C)=O)C2 ZINC001108902249 836571015 /nfs/dbraw/zinc/57/10/15/836571015.db2.gz FYMOYMSQMIUFOD-ZZVYKPCYSA-N 0 1 277.368 0.256 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)C1CC1)C2 ZINC001108980445 836589953 /nfs/dbraw/zinc/58/99/53/836589953.db2.gz ZVEJOTSQELGAEI-AGIUHOORSA-N 0 1 277.368 0.420 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC1(O)CCC1)C2 ZINC001109248536 836642832 /nfs/dbraw/zinc/64/28/32/836642832.db2.gz PTRNHEZHZIZOPB-AGIUHOORSA-N 0 1 262.353 0.646 20 30 CCEDMN CN(CCCNc1ccncc1C#N)C(=O)Cc1ccn[nH]1 ZINC001109398894 836671496 /nfs/dbraw/zinc/67/14/96/836671496.db2.gz NKOPIFVBAPVRFY-UHFFFAOYSA-N 0 1 298.350 0.601 20 30 CCEDMN N#Cc1ccc(NC[C@@H](NC(=O)c2ncn[nH]2)C2CC2)nc1 ZINC001109885229 836742098 /nfs/dbraw/zinc/74/20/98/836742098.db2.gz ZCDNQIJPLFRZTI-LLVKDONJSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(NC[C@@H](NC(=O)c2nc[nH]n2)C2CC2)nc1 ZINC001109885229 836742101 /nfs/dbraw/zinc/74/21/01/836742101.db2.gz ZCDNQIJPLFRZTI-LLVKDONJSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cnccc1NC[C@@H](NC(=O)c1cnn[nH]1)C1CC1 ZINC001110012456 836762681 /nfs/dbraw/zinc/76/26/81/836762681.db2.gz IAHLMAGBVCPKND-GFCCVEGCSA-N 0 1 297.322 0.114 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccc(=O)[nH]c2)[C@@H](O)C1 ZINC001090439795 836798975 /nfs/dbraw/zinc/79/89/75/836798975.db2.gz WTRQWDZSXDUYOR-STQMWFEESA-N 0 1 291.351 0.067 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)COCCOC)CC1 ZINC001112719316 836884303 /nfs/dbraw/zinc/88/43/03/836884303.db2.gz ICFFVCHBPRLAOE-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@H]1C[C@H](NCC#N)C1 ZINC001086558654 836918144 /nfs/dbraw/zinc/91/81/44/836918144.db2.gz GWVIFCBLFQDKEP-MGPQQGTHSA-N 0 1 278.400 0.869 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC[C@@H]2CCOC2)CC1 ZINC001112843886 836939768 /nfs/dbraw/zinc/93/97/68/836939768.db2.gz LDCUVZAPUAKNSU-OAHLLOKOSA-N 0 1 294.395 0.597 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CCCNC2=O)CC1 ZINC001112987258 836986628 /nfs/dbraw/zinc/98/66/28/836986628.db2.gz AUZUUOCVHDETEQ-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C[C@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001113114572 837026772 /nfs/dbraw/zinc/02/67/72/837026772.db2.gz JVZZDGZUVWWRIY-YUMQZZPRSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001113114572 837026782 /nfs/dbraw/zinc/02/67/82/837026782.db2.gz JVZZDGZUVWWRIY-YUMQZZPRSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001113115030 837030744 /nfs/dbraw/zinc/03/07/44/837030744.db2.gz UHCSOUNKGBFTHI-JGVFFNPUSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1cnc(C#N)cn1 ZINC001113115030 837030751 /nfs/dbraw/zinc/03/07/51/837030751.db2.gz UHCSOUNKGBFTHI-JGVFFNPUSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](Nc1ccncc1C#N)[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001113150827 837038968 /nfs/dbraw/zinc/03/89/68/837038968.db2.gz RCMIPRJTSBFCIH-ZJUUUORDSA-N 0 1 299.338 0.041 20 30 CCEDMN C[C@@H](NC(=O)Cc1cnc[nH]1)[C@@H](C)Nc1nccnc1C#N ZINC001113313962 837084465 /nfs/dbraw/zinc/08/44/65/837084465.db2.gz OUIBLOGDEIWSSQ-NXEZZACHSA-N 0 1 299.338 0.619 20 30 CCEDMN C[C@@H](NC(=O)Cc1cnc[nH]1)[C@@H](C)Nc1ccncc1C#N ZINC001113315166 837086829 /nfs/dbraw/zinc/08/68/29/837086829.db2.gz YGLLKMCWOVPWJB-GHMZBOCLSA-N 0 1 298.350 0.646 20 30 CCEDMN C=CCCCN1CCN(C(=O)CCc2cnn(C)n2)CC1 ZINC001113348858 837093100 /nfs/dbraw/zinc/09/31/00/837093100.db2.gz IJPNUNQATJWDNQ-UHFFFAOYSA-N 0 1 291.399 0.858 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)cn1)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001113356488 837094400 /nfs/dbraw/zinc/09/44/00/837094400.db2.gz IHONLDZPTALZAU-BDAKNGLRSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1nccnc1C#N ZINC001113356275 837095433 /nfs/dbraw/zinc/09/54/33/837095433.db2.gz DMXZZFLLKKITKQ-YUMQZZPRSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](CC(C)C)C(N)=O)CC1 ZINC001113743557 837211999 /nfs/dbraw/zinc/21/19/99/837211999.db2.gz NSRQQPRWNNVTHL-CYBMUJFWSA-N 0 1 281.400 0.854 20 30 CCEDMN CCOC(=O)[C@@H](C#N)Nc1nc2nc[nH]c2c(C)n1 ZINC001170368698 837313791 /nfs/dbraw/zinc/31/37/91/837313791.db2.gz PCUGYOUQZIYPBF-SSDOTTSWSA-N 0 1 260.257 0.480 20 30 CCEDMN COc1cnc(-n2[n-]c(=O)c(CC[NH3+])c2C)c(C#N)c1 ZINC001170339800 837321498 /nfs/dbraw/zinc/32/14/98/837321498.db2.gz GVJUUEBIDCFIGD-UHFFFAOYSA-N 0 1 273.296 0.663 20 30 CCEDMN C[C@@]1(O)CCN(C(=O)[C@@H](N)Cc2ccccc2C#N)C1 ZINC001157998704 837863246 /nfs/dbraw/zinc/86/32/46/837863246.db2.gz ZGELETSGVGTIKY-DZGCQCFKSA-N 0 1 273.336 0.411 20 30 CCEDMN C[C@]1(O)CCN(C(=O)[C@@H](N)Cc2ccccc2C#N)C1 ZINC001157998705 837864250 /nfs/dbraw/zinc/86/42/50/837864250.db2.gz ZGELETSGVGTIKY-ZFWWWQNUSA-N 0 1 273.336 0.411 20 30 CCEDMN C=CCN1CCOC[C@H]1c1nc(CNC(=O)C(C)C)n[nH]1 ZINC001130829313 837938004 /nfs/dbraw/zinc/93/80/04/837938004.db2.gz VWKYUMOFRHJSLA-NSHDSACASA-N 0 1 293.371 0.636 20 30 CCEDMN C=CCN1CCOC[C@H]1c1nnc(CNC(=O)C(C)C)[nH]1 ZINC001130829313 837938009 /nfs/dbraw/zinc/93/80/09/837938009.db2.gz VWKYUMOFRHJSLA-NSHDSACASA-N 0 1 293.371 0.636 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COCc1ncc(C)o1 ZINC001131165276 838010302 /nfs/dbraw/zinc/01/03/02/838010302.db2.gz QJZVSLAGASAMHL-UHFFFAOYSA-N 0 1 287.747 0.958 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1ccc(=O)[nH]c1 ZINC001131455634 838098852 /nfs/dbraw/zinc/09/88/52/838098852.db2.gz CIFGKBPFUQFRHI-UHFFFAOYSA-N 0 1 269.732 0.788 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCc2nc(C)nn2C1 ZINC001131636332 838161907 /nfs/dbraw/zinc/16/19/07/838161907.db2.gz IDCDJUMSBBDBLY-NSHDSACASA-N 0 1 297.790 0.607 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)COC(C)C)C[C@H](C)O2 ZINC001131641508 838165324 /nfs/dbraw/zinc/16/53/24/838165324.db2.gz GFRNNCYIVINYMQ-HOCLYGCPSA-N 0 1 294.395 0.736 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)C2CC2)[C@H](O)C1 ZINC001090502885 838218725 /nfs/dbraw/zinc/21/87/25/838218725.db2.gz BCUITIIRMNCVTL-ZIAGYGMSSA-N 0 1 278.396 0.997 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)COCCOC)CC[C@H]1C ZINC001131909484 838263886 /nfs/dbraw/zinc/26/38/86/838263886.db2.gz YMDIAKSRKMJXGC-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COCCOC)CC[C@H]1C ZINC001131908057 838264087 /nfs/dbraw/zinc/26/40/87/838264087.db2.gz GFLZLYKCDXYFQO-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2c[nH]cn2)CC[C@@H]1C ZINC001132086550 838318835 /nfs/dbraw/zinc/31/88/35/838318835.db2.gz HJTCAORTOMWKPL-JSGCOSHPSA-N 0 1 274.368 0.945 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C(C)(C)C(N)=O)CC[C@H]1C ZINC001132121046 838320529 /nfs/dbraw/zinc/32/05/29/838320529.db2.gz YSMFTWCYFHIMHA-GHMZBOCLSA-N 0 1 267.373 0.653 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@H]1CCC(=O)N1C ZINC001132257789 838345363 /nfs/dbraw/zinc/34/53/63/838345363.db2.gz PGQVPAHTWUWRPT-SNVBAGLBSA-N 0 1 273.764 0.456 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2csc(=O)[nH]2)[C@H](O)C1 ZINC001090504322 838352379 /nfs/dbraw/zinc/35/23/79/838352379.db2.gz OKFGURLNQFWSDS-MWLCHTKSSA-N 0 1 295.364 0.037 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)c2cnon2)CC[C@H]1C ZINC001132369530 838374916 /nfs/dbraw/zinc/37/49/16/838374916.db2.gz YLTWHMGVQXCQQQ-NEPJUHHUSA-N 0 1 294.355 0.855 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1csc(=O)[nH]1 ZINC001132644158 838461712 /nfs/dbraw/zinc/46/17/12/838461712.db2.gz YUHQIPYQBZDZNO-UHFFFAOYSA-N 0 1 261.734 0.921 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c(C)nc[nH]c1=O ZINC001132703279 838472561 /nfs/dbraw/zinc/47/25/61/838472561.db2.gz VJVNHPDFOOPHAG-UHFFFAOYSA-N 0 1 270.720 0.563 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc(C)n1C ZINC001132892908 838515325 /nfs/dbraw/zinc/51/53/25/838515325.db2.gz FKTSJJALMZIVKL-UHFFFAOYSA-N 0 1 256.737 0.800 20 30 CCEDMN C=CCCCC(=O)NCCNCC(=O)Nc1cnccn1 ZINC001133154585 838562566 /nfs/dbraw/zinc/56/25/66/838562566.db2.gz LCHHLLHBYIDBGF-UHFFFAOYSA-N 0 1 291.355 0.477 20 30 CCEDMN C#Cc1cncc(C(=O)NCCNCc2cnc(C)nc2)c1 ZINC001133593112 838677066 /nfs/dbraw/zinc/67/70/66/838677066.db2.gz OVACQMNMXRKBOB-UHFFFAOYSA-N 0 1 295.346 0.681 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1cnc(C)o1 ZINC001134053005 838845061 /nfs/dbraw/zinc/84/50/61/838845061.db2.gz JQAVQVUQMGBAJC-OLZOCXBDSA-N 0 1 279.340 0.780 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)C#CC1CC1)NCc1cn(C)nn1 ZINC001134318984 838945161 /nfs/dbraw/zinc/94/51/61/838945161.db2.gz WOIZZFDTLWFPES-RYUDHWBXSA-N 0 1 289.383 0.601 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C[C@H](C)NCc1nnnn1C ZINC001134341937 838946838 /nfs/dbraw/zinc/94/68/38/838946838.db2.gz WRJOBIWRUQCRND-NWDGAFQWSA-N 0 1 292.387 0.242 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)C[C@H](C)NCc1cnon1 ZINC001134352708 838952691 /nfs/dbraw/zinc/95/26/91/838952691.db2.gz SLLFOLXVAKEEJB-RYUDHWBXSA-N 0 1 294.355 0.482 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)C[C@@H](C)NCc1cnon1 ZINC001134352705 838952738 /nfs/dbraw/zinc/95/27/38/838952738.db2.gz SLLFOLXVAKEEJB-NEPJUHHUSA-N 0 1 294.355 0.482 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(C)n2C)[C@@H](O)C1 ZINC001090519042 838980511 /nfs/dbraw/zinc/98/05/11/838980511.db2.gz FHIVUJHKJCILGM-YPMHNXCESA-N 0 1 278.356 0.080 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)[C@H]1CC1(C)C ZINC001273501668 844397979 /nfs/dbraw/zinc/39/79/79/844397979.db2.gz NFIPROIOTXPBPA-CYBMUJFWSA-N 0 1 293.411 0.562 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)c1[nH]ncc1F)NCC#N ZINC001135407111 839241960 /nfs/dbraw/zinc/24/19/60/839241960.db2.gz DIXYNHPTRVWZAD-SFYZADRCSA-N 0 1 253.281 0.559 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CCN(CCO)C[C@@H]2O)CC1 ZINC001090548291 839630896 /nfs/dbraw/zinc/63/08/96/839630896.db2.gz WHHRXAGJFWDLTG-KGLIPLIRSA-N 0 1 282.384 0.277 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2occc2C)[C@@H](O)C1 ZINC001090562103 839645139 /nfs/dbraw/zinc/64/51/39/839645139.db2.gz HELLZQQBTIWAIV-KGLIPLIRSA-N 0 1 290.363 0.705 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]c(C)nc2C)[C@H](O)C1 ZINC001090582299 839657985 /nfs/dbraw/zinc/65/79/85/839657985.db2.gz XUYPEZZDFNZKGK-QWHCGFSZSA-N 0 1 290.367 0.215 20 30 CCEDMN COC(=O)c1ccc(C(=N)Nc2cc3c(nn2)CNC3)cc1 ZINC001170988571 839666999 /nfs/dbraw/zinc/66/69/99/839666999.db2.gz SSAXOAKUADYMAI-UHFFFAOYSA-N 0 1 297.318 0.903 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccco2)[C@@H](O)C1 ZINC001090659549 839709564 /nfs/dbraw/zinc/70/95/64/839709564.db2.gz HVQIDWFJGDJAPQ-STQMWFEESA-N 0 1 264.325 0.560 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C(C)(C)c2c[nH]cn2)[C@H](O)C1 ZINC001090727155 839758481 /nfs/dbraw/zinc/75/84/81/839758481.db2.gz IATJBGOWSIAZCB-VXGBXAGGSA-N 0 1 292.383 0.425 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)C)[C@H](O)C1 ZINC001090744034 839773939 /nfs/dbraw/zinc/77/39/39/839773939.db2.gz QHJLQHPSZAKFOY-VXGBXAGGSA-N 0 1 252.358 0.607 20 30 CCEDMN C#C[C@H](C)NC(=O)[C@H]1[C@@H](O)CCN1Cc1ccccc1 ZINC001143673754 839868826 /nfs/dbraw/zinc/86/88/26/839868826.db2.gz ADEOLLIBAPDIGM-AEGPPILISA-N 0 1 272.348 0.760 20 30 CCEDMN COCC(COC)N1CCN(CCOCCC#N)CC1 ZINC001171119085 839887430 /nfs/dbraw/zinc/88/74/30/839887430.db2.gz MVCPTRXUXOXFQQ-UHFFFAOYSA-N 0 1 285.388 0.196 20 30 CCEDMN C#CC(=O)N1CCN2C[C@H](OCc3cccnc3)C[C@H]2C1 ZINC001143906875 839889896 /nfs/dbraw/zinc/88/98/96/839889896.db2.gz BKPVHNXSGKWXJY-LSDHHAIUSA-N 0 1 285.347 0.517 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCN1CCCC1=O)C2 ZINC001110110832 839920435 /nfs/dbraw/zinc/92/04/35/839920435.db2.gz UCHKYFJMJAAEEL-RDBSUJKOSA-N 0 1 291.395 0.906 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CCN(CC#CC)C[C@@H]2O)CCC1 ZINC001099876252 840277262 /nfs/dbraw/zinc/27/72/62/840277262.db2.gz ADBQTSZBGRQTNL-CABCVRRESA-N 0 1 288.391 0.755 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1C[C@@]12CCOC2 ZINC001147003178 840455318 /nfs/dbraw/zinc/45/53/18/840455318.db2.gz DKCMEJMJKYYAGZ-ZYHUDNBSSA-N 0 1 258.749 0.871 20 30 CCEDMN C#CCCCCC(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001147368732 840570717 /nfs/dbraw/zinc/57/07/17/840570717.db2.gz JINIOYOUQGUSRO-CYBMUJFWSA-N 0 1 291.395 0.588 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@](C)(NC(C)=O)C1CC1 ZINC001147466502 840593665 /nfs/dbraw/zinc/59/36/65/840593665.db2.gz NHLBSIOFKRIXDD-CYBMUJFWSA-N 0 1 287.791 0.750 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@H]3CC3(F)F)C2)C1 ZINC001147475760 840595568 /nfs/dbraw/zinc/59/55/68/840595568.db2.gz LLCARZRMIXOEDE-GFCCVEGCSA-N 0 1 298.333 0.826 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)CCCF)C2)C1 ZINC001148070719 840738536 /nfs/dbraw/zinc/73/85/36/840738536.db2.gz JWEBVZBQYADMPL-UHFFFAOYSA-N 0 1 282.359 0.920 20 30 CCEDMN NC(=O)c1ccc(C(N)=NC(=O)c2cccc(O)c2O)cc1 ZINC001148224866 840765787 /nfs/dbraw/zinc/76/57/87/840765787.db2.gz XVKHDKFPTSDGIZ-UHFFFAOYSA-N 0 1 299.286 0.742 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H](CCSC)c1nn[nH]n1 ZINC001148261358 840772866 /nfs/dbraw/zinc/77/28/66/840772866.db2.gz RVHDNPVUPCJSOX-GZMMTYOYSA-N 0 1 285.373 0.437 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)C[C@H]3CCC(=O)N3)C[C@]2(C)C1 ZINC001092093170 840989011 /nfs/dbraw/zinc/98/90/11/840989011.db2.gz ONHAFJYEMDLVOK-IOASZLSFSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)C[C@](C)(O)C2CC2)C1 ZINC001149608192 840989218 /nfs/dbraw/zinc/98/92/18/840989218.db2.gz NOYRGIYIIVDSJH-HOCLYGCPSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@](C)(O)C2CC2)C1 ZINC001149608192 840989227 /nfs/dbraw/zinc/98/92/27/840989227.db2.gz NOYRGIYIIVDSJH-HOCLYGCPSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H](OC)C2CC2)C1 ZINC001149646712 841049114 /nfs/dbraw/zinc/04/91/14/841049114.db2.gz PSSRWBOREIJKHD-KBPBESRZSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(COC)CC2)[C@@H](O)C1 ZINC001099916291 841053552 /nfs/dbraw/zinc/05/35/52/841053552.db2.gz LMCGSTMOWBSQJQ-RYUDHWBXSA-N 0 1 268.357 0.150 20 30 CCEDMN CC(C)C#CC(=O)N1CCN(c2ncnc3[nH]cnc32)CC1 ZINC001093153283 841156250 /nfs/dbraw/zinc/15/62/50/841156250.db2.gz BBHWDYNMLNYZGT-UHFFFAOYSA-N 0 1 298.350 0.661 20 30 CCEDMN CC(C)NCc1cn(C2CN(C(=O)C#CC3CC3)C2)nn1 ZINC001093318080 841220253 /nfs/dbraw/zinc/22/02/53/841220253.db2.gz BNPSLUGXILTTFD-UHFFFAOYSA-N 0 1 287.367 0.573 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H]2[C@H](CCN2CC(N)=O)C1 ZINC001036794320 841224564 /nfs/dbraw/zinc/22/45/64/841224564.db2.gz SPBOJMXTGNFCJS-OLZOCXBDSA-N 0 1 293.411 0.997 20 30 CCEDMN CCOC(=O)[C@H](Cc1cnc[nH]1)NC(=O)[C@@H]1C[C@@H]1C#N ZINC001186986574 844623758 /nfs/dbraw/zinc/62/37/58/844623758.db2.gz DFUBIEUBSYGHCQ-IEBDPFPHSA-N 0 1 276.296 0.160 20 30 CCEDMN C#CCN1CC(OC2CCN(C(=O)c3ccn[nH]3)CC2)C1 ZINC001093510849 841298098 /nfs/dbraw/zinc/29/80/98/841298098.db2.gz JOALFSYCXFRHQL-UHFFFAOYSA-N 0 1 288.351 0.348 20 30 CCEDMN N#CCN1CC(OC2CCN(C(=O)c3ccn[nH]3)CC2)C1 ZINC001093510992 841298541 /nfs/dbraw/zinc/29/85/41/841298541.db2.gz LZRDQRFULYVSTD-UHFFFAOYSA-N 0 1 289.339 0.239 20 30 CCEDMN Cc1nc(NCCNC(=O)[C@H]2CCCN2C)ccc1C#N ZINC001093532018 841314442 /nfs/dbraw/zinc/31/44/42/841314442.db2.gz UTKFBYBDSNYBHG-CYBMUJFWSA-N 0 1 287.367 0.884 20 30 CCEDMN CN1CC(C(=O)NCCNc2ccc(C#N)nc2)=NC1=O ZINC001094091643 841530725 /nfs/dbraw/zinc/53/07/25/841530725.db2.gz ZKZKPRDQPMLEAI-UHFFFAOYSA-N 0 1 286.295 0.234 20 30 CCEDMN Cc1ccc(C#N)c(NCCNC(=O)c2[nH]ncc2F)n1 ZINC001094103965 841546422 /nfs/dbraw/zinc/54/64/22/841546422.db2.gz SGJCZOYBJNPBNB-UHFFFAOYSA-N 0 1 288.286 0.966 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)c2[nH]ncc2F)nc1 ZINC001094105006 841546459 /nfs/dbraw/zinc/54/64/59/841546459.db2.gz YTZPITTUNJDLEA-UHFFFAOYSA-N 0 1 274.259 0.657 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C2(COC)CC2)C1 ZINC001149974124 841739654 /nfs/dbraw/zinc/73/96/54/841739654.db2.gz JSYFNQLOOXPNPL-AWEZNQCLSA-N 0 1 294.395 0.643 20 30 CCEDMN N#C[C@@H]1CN([C@@H]2CCN(C3CC3)C(=O)C2)CCC1=O ZINC001172018783 841796752 /nfs/dbraw/zinc/79/67/52/841796752.db2.gz WSAGELHCSBSFHH-ZYHUDNBSSA-N 0 1 261.325 0.554 20 30 CCEDMN N#CCNCCCNC(=O)c1ccc2[nH]nnc2c1 ZINC001175802257 842282453 /nfs/dbraw/zinc/28/24/53/842282453.db2.gz ZXRSTJNLEGLNAQ-UHFFFAOYSA-N 0 1 258.285 0.191 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)Nc1cccc(C#N)n1 ZINC001176333865 842353238 /nfs/dbraw/zinc/35/32/38/842353238.db2.gz CNSMUYXYKKEXRB-GFCCVEGCSA-N 0 1 298.306 0.362 20 30 CCEDMN C[C@@H](CCCCNCC#N)NC(=O)CN1CCCC1 ZINC001176574020 842388083 /nfs/dbraw/zinc/38/80/83/842388083.db2.gz QDNDRTLLQZMDPG-ZDUSSCGKSA-N 0 1 266.389 0.870 20 30 CCEDMN N#Cc1ccc2c(c1)CCN(C(=O)Cc1nn[nH]n1)C2 ZINC001176840268 842425054 /nfs/dbraw/zinc/42/50/54/842425054.db2.gz NNUWQTYCYNXECY-UHFFFAOYSA-N 0 1 268.280 0.199 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@H]2CCc3[nH]cnc3C2)CCO1 ZINC001177177031 842517020 /nfs/dbraw/zinc/51/70/20/842517020.db2.gz VIQRCLAXPDJWBW-VHSXEESVSA-N 0 1 260.297 0.266 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001177181303 842519254 /nfs/dbraw/zinc/51/92/54/842519254.db2.gz FELVGMREANFDDA-JTQLQIEISA-N 0 1 277.324 0.750 20 30 CCEDMN CCC=CNC(=O)C(=NOCC(=O)OCC)c1ccn[nH]1 ZINC001177263906 842538763 /nfs/dbraw/zinc/53/87/63/842538763.db2.gz JPGNZKBAUAPWNQ-KBVNDYFYSA-N 0 1 294.311 0.733 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1nncn1C ZINC001177269194 842541759 /nfs/dbraw/zinc/54/17/59/842541759.db2.gz GTAMTCQVUWQXIR-LLVKDONJSA-N 0 1 281.360 0.002 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCN[C@@H](C)c1nncn1C ZINC001177273672 842542597 /nfs/dbraw/zinc/54/25/97/842542597.db2.gz VQCNGVBUPCHDDF-RYUDHWBXSA-N 0 1 295.387 0.563 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1cc(OC)no1 ZINC001177273795 842543305 /nfs/dbraw/zinc/54/33/05/842543305.db2.gz ZCLGKWNWQSLKHO-NSHDSACASA-N 0 1 297.355 0.870 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cc2ncccn2n1 ZINC001150678129 842549915 /nfs/dbraw/zinc/54/99/15/842549915.db2.gz OYHUWAYWQUOLPS-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN C=CCCC(=O)NCCCCCCNCc1nnnn1C ZINC001178018403 842726973 /nfs/dbraw/zinc/72/69/73/842726973.db2.gz RYPUKECDMIXONE-UHFFFAOYSA-N 0 1 294.403 0.943 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1CC[C@H](NCC#N)[C@@H]1C ZINC001178818836 842931291 /nfs/dbraw/zinc/93/12/91/842931291.db2.gz ZBMQVOOSOKBUAF-AVGNSLFASA-N 0 1 284.379 0.769 20 30 CCEDMN CC(C)N1CCN(CC(=O)NCC2(C#N)CCC2)CC1 ZINC001180349083 843149226 /nfs/dbraw/zinc/14/92/26/843149226.db2.gz DHQMPSHBTUNITE-UHFFFAOYSA-N 0 1 278.400 0.822 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@H]2CCCN2C)C[C@@]1(C)CNCC#N ZINC001180899215 843344207 /nfs/dbraw/zinc/34/42/07/843344207.db2.gz JSYCOEPYJJDHMT-UMVBOHGHSA-N 0 1 278.400 0.678 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1cncn1)C2 ZINC001110295240 843823641 /nfs/dbraw/zinc/82/36/41/843823641.db2.gz PCFFIEADTHSWFM-MCIONIFRSA-N 0 1 287.367 0.413 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CN(C)C(C)=O)C2 ZINC001110304063 843827937 /nfs/dbraw/zinc/82/79/37/843827937.db2.gz LGWSIBNJKFMDSM-UPJWGTAASA-N 0 1 265.357 0.372 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN(C)C(C)=O)C2 ZINC001110304063 843827948 /nfs/dbraw/zinc/82/79/48/843827948.db2.gz LGWSIBNJKFMDSM-UPJWGTAASA-N 0 1 265.357 0.372 20 30 CCEDMN C[C@H](C(=O)NCc1nn[nH]n1)c1ccc(C#N)cc1 ZINC001182797758 843915700 /nfs/dbraw/zinc/91/57/00/843915700.db2.gz GIAWVIVLYRFFQJ-QMMMGPOBSA-N 0 1 256.269 0.491 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)Cc1ccc2nc[nH]c2c1 ZINC001182844836 843920431 /nfs/dbraw/zinc/92/04/31/843920431.db2.gz FYFHJLXBKKLOJK-GFCCVEGCSA-N 0 1 286.291 0.677 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccnc(C)n1 ZINC001151306892 843968366 /nfs/dbraw/zinc/96/83/66/843968366.db2.gz WGAGNXBXURWLOX-UHFFFAOYSA-N 0 1 254.721 0.857 20 30 CCEDMN Cc1nc(NC2(CNC(=O)c3cnn[nH]3)CC2)ccc1C#N ZINC001110396438 844764012 /nfs/dbraw/zinc/76/40/12/844764012.db2.gz UKZBIBIGFGRWCC-UHFFFAOYSA-N 0 1 297.322 0.754 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2nc(C(F)(F)F)c[nH]2)c1N ZINC001188280216 844862959 /nfs/dbraw/zinc/86/29/59/844862959.db2.gz BJVXKWDLZQFVJU-UHFFFAOYSA-N 0 1 285.189 0.350 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)CC)C1 ZINC001188567044 844906980 /nfs/dbraw/zinc/90/69/80/844906980.db2.gz WUQNZOWTKPAHQO-NWDGAFQWSA-N 0 1 265.357 0.067 20 30 CCEDMN C=C(Br)CN[C@H](C)CNC(=O)CNC(C)=O ZINC001398853168 914886085 /nfs/dbraw/zinc/88/60/85/914886085.db2.gz IVORIGCUFMGCDM-MRVPVSSYSA-N 0 1 292.177 0.125 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)CCOC)C1 ZINC001188600904 844917171 /nfs/dbraw/zinc/91/71/71/844917171.db2.gz SZWVRKGVIAUACN-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C2CC2)C1 ZINC001188573302 844922312 /nfs/dbraw/zinc/92/23/12/844922312.db2.gz SQTWTJPQIMIKGC-DGCLKSJQSA-N 0 1 277.368 0.067 20 30 CCEDMN C=C[C@H]1C[C@@]1(NC(=O)c1ncn[nH]1)C(=O)OCC ZINC001188700058 844954494 /nfs/dbraw/zinc/95/44/94/844954494.db2.gz YTKUJFTWWVQTLP-CPCISQLKSA-N 0 1 250.258 0.042 20 30 CCEDMN C=C[C@H]1C[C@@]1(NC(=O)c1nc[nH]n1)C(=O)OCC ZINC001188700058 844954500 /nfs/dbraw/zinc/95/45/00/844954500.db2.gz YTKUJFTWWVQTLP-CPCISQLKSA-N 0 1 250.258 0.042 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C=C(C)C)C1 ZINC001188718446 844959654 /nfs/dbraw/zinc/95/96/54/844959654.db2.gz TYAIXNSVKGYBEO-UONOGXRCSA-N 0 1 291.395 0.623 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)CSC)C1 ZINC001188747183 844968099 /nfs/dbraw/zinc/96/80/99/844968099.db2.gz NFHXGSZZNIZOMP-GFCCVEGCSA-N 0 1 270.398 0.532 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnns2)C1 ZINC001189068592 845033473 /nfs/dbraw/zinc/03/34/73/845033473.db2.gz UBPKVPMIOGIIJM-SNVBAGLBSA-N 0 1 264.354 0.708 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(C)n(C)n2)C1 ZINC001189116749 845040708 /nfs/dbraw/zinc/04/07/08/845040708.db2.gz XDFHASNEVZTMJE-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCCOC)C1 ZINC001189085569 845045813 /nfs/dbraw/zinc/04/58/13/845045813.db2.gz KAPHNXWIHWXPRP-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](CC)OCC)C1 ZINC001189188697 845071052 /nfs/dbraw/zinc/07/10/52/845071052.db2.gz NKVRTQLRUBVETP-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](N(C)[C@H]2CCCNC2=O)C1 ZINC001189381204 845120618 /nfs/dbraw/zinc/12/06/18/845120618.db2.gz VMJYGLYATBQOQB-OLZOCXBDSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cccc(=O)[nH]2)C1 ZINC001189546276 845159336 /nfs/dbraw/zinc/15/93/36/845159336.db2.gz ADYKNXSVEMJBHG-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc[nH]c(=O)c2)C1 ZINC001189621133 845180798 /nfs/dbraw/zinc/18/07/98/845180798.db2.gz LASCENIILVHDGG-CYBMUJFWSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001189743581 845198404 /nfs/dbraw/zinc/19/84/04/845198404.db2.gz HAXPUTFWKJDMKN-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(OC)no2)C1 ZINC001189736133 845200249 /nfs/dbraw/zinc/20/02/49/845200249.db2.gz TWGZTICQRXTYGM-NSHDSACASA-N 0 1 277.324 0.853 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@H](CC)C(N)=O)C1 ZINC001189925935 845270832 /nfs/dbraw/zinc/27/08/32/845270832.db2.gz IGTZZDCTLGXAJW-QWHCGFSZSA-N 0 1 279.384 0.587 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(=O)NC)C1 ZINC001189927587 845274214 /nfs/dbraw/zinc/27/42/14/845274214.db2.gz ZWTDYPBHRONIFO-CHWSQXEVSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)CCOCCOC)[C@@H]2C1 ZINC001190430685 845403723 /nfs/dbraw/zinc/40/37/23/845403723.db2.gz KUMBFICXFYHPLS-UONOGXRCSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCOCCOC)[C@@H]2C1 ZINC001190430685 845403725 /nfs/dbraw/zinc/40/37/25/845403725.db2.gz KUMBFICXFYHPLS-UONOGXRCSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COC[C@@H]3CCCO3)[C@@H]2C1 ZINC001190508501 845410870 /nfs/dbraw/zinc/41/08/70/845410870.db2.gz LSTKDNYQNGVUPY-SOUVJXGZSA-N 0 1 292.379 0.348 20 30 CCEDMN N#Cc1cc(CNS(=O)(=O)c2ncc[nH]2)ccc1F ZINC001190747699 845487116 /nfs/dbraw/zinc/48/71/16/845487116.db2.gz GPSWCCAPKXRVHM-UHFFFAOYSA-N 0 1 280.284 0.899 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC001190789285 845499897 /nfs/dbraw/zinc/49/98/97/845499897.db2.gz PACLOOBOCPKJPM-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN Cn1ncc(NS(=O)(=O)c2ccncc2)c1C#N ZINC001190860577 845528331 /nfs/dbraw/zinc/52/83/31/845528331.db2.gz SVGRRAZGNPPTHO-UHFFFAOYSA-N 0 1 263.282 0.488 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC(C)(C)C)C1 ZINC001191067768 845574095 /nfs/dbraw/zinc/57/40/95/845574095.db2.gz GBCBESNUFAKLGF-VXGBXAGGSA-N 0 1 252.358 0.607 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cn(C)nc2OC)C1 ZINC001191684181 845719103 /nfs/dbraw/zinc/71/91/03/845719103.db2.gz PDVXNFNYATUTEN-GFCCVEGCSA-N 0 1 290.367 0.598 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCN(C(=O)[C@@H](CCC)OC)C1 ZINC001191694066 845719181 /nfs/dbraw/zinc/71/91/81/845719181.db2.gz AANOWPZPARLAGF-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](CCC)OC)C1 ZINC001191694066 845719184 /nfs/dbraw/zinc/71/91/84/845719184.db2.gz AANOWPZPARLAGF-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)CCCF)C1 ZINC001191781931 845735687 /nfs/dbraw/zinc/73/56/87/845735687.db2.gz YHGSGLPERNRLPP-QWHCGFSZSA-N 0 1 297.374 0.407 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001191850530 845750234 /nfs/dbraw/zinc/75/02/34/845750234.db2.gz VAPWEVYFJDGTAO-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@]2(F)CCOC2)C1 ZINC001191946559 845759793 /nfs/dbraw/zinc/75/97/93/845759793.db2.gz CTUJUNHSFGEAKW-HIFRSBDPSA-N 0 1 298.358 0.298 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](COC)OC)C1 ZINC001192277448 845812114 /nfs/dbraw/zinc/81/21/14/845812114.db2.gz QUIZATJCMJSTLM-OLZOCXBDSA-N 0 1 268.357 0.204 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001192320985 845821430 /nfs/dbraw/zinc/82/14/30/845821430.db2.gz PHAUAEKDAKFVSG-CHWSQXEVSA-N 0 1 270.348 0.701 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)/C=C\c2ccc[nH]2)C1 ZINC001192454449 845853566 /nfs/dbraw/zinc/85/35/66/845853566.db2.gz ZIZXFEKVGLZCQN-HLMASZERSA-N 0 1 275.352 0.765 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1O ZINC001192529199 845874265 /nfs/dbraw/zinc/87/42/65/845874265.db2.gz CHUQXQHWAPPGQA-NFAWXSAZSA-N 0 1 282.384 0.441 20 30 CCEDMN C[C@H](F)CCN1C[C@@H](O)[C@H](NC(=O)C#CC2CC2)C1 ZINC001192820998 845914524 /nfs/dbraw/zinc/91/45/24/845914524.db2.gz FSUFHKBVSDBHNN-CYZMBNFOSA-N 0 1 268.332 0.309 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C(C)(C)NC(C)=O)C1 ZINC001192906692 845926932 /nfs/dbraw/zinc/92/69/32/845926932.db2.gz PNMNOHUAOARQPD-CYBMUJFWSA-N 0 1 279.384 0.457 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cc(=O)[nH]c(C2CC2)n1 ZINC001193105175 845978810 /nfs/dbraw/zinc/97/88/10/845978810.db2.gz RQEFPGGIPOYFCP-LURJTMIESA-N 0 1 268.298 0.713 20 30 CCEDMN N#Cc1ncc(NS(=O)(=O)C[C@@H]2CCCO2)cn1 ZINC001193209012 846019401 /nfs/dbraw/zinc/01/94/01/846019401.db2.gz YLDXPSHDXWDOAH-VIFPVBQESA-N 0 1 268.298 0.269 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1O ZINC001193337262 846055788 /nfs/dbraw/zinc/05/57/88/846055788.db2.gz MNTJFJIKCGQZHB-YNEHKIRRSA-N 0 1 284.400 0.785 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1O ZINC001193399704 846071785 /nfs/dbraw/zinc/07/17/85/846071785.db2.gz BDMOZCOROBWHGB-JHJVBQTASA-N 0 1 270.373 0.539 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2(C(=O)N(C)C)CC2)C1 ZINC001193399090 846071805 /nfs/dbraw/zinc/07/18/05/846071805.db2.gz MKLXIQOUKYPAHQ-GFCCVEGCSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cccn(C)c2=O)C1 ZINC001193531695 846096120 /nfs/dbraw/zinc/09/61/20/846096120.db2.gz CRHZESQHJWYLRF-ZDUSSCGKSA-N 0 1 287.363 0.555 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@@H](C(N)=O)C2)ccc1O ZINC001193504299 846101595 /nfs/dbraw/zinc/10/15/95/846101595.db2.gz QBQPSELDUORPOW-SECBINFHSA-N 0 1 259.265 0.211 20 30 CCEDMN COc1ccnc(C(=N)NC(=O)c2ccc(O)c(C#N)c2)n1 ZINC001193516001 846103140 /nfs/dbraw/zinc/10/31/40/846103140.db2.gz XIDYORHWJUXGPO-UHFFFAOYSA-N 0 1 297.274 0.818 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2nccn2C)cc[nH]1 ZINC001193554717 846117083 /nfs/dbraw/zinc/11/70/83/846117083.db2.gz DJUWVSZBCGXBOU-UHFFFAOYSA-N 0 1 262.294 0.019 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)COCC2CCCC2)C1 ZINC001193857268 846169226 /nfs/dbraw/zinc/16/92/26/846169226.db2.gz CTFVCVMXYNZQIX-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)[C@@H](C)CC)C1 ZINC001194081805 846212439 /nfs/dbraw/zinc/21/24/39/846212439.db2.gz WXHRFPOQYJGAOS-QWHCGFSZSA-N 0 1 279.384 0.315 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)Cc2ccc[nH]2)C1 ZINC001194164397 846226752 /nfs/dbraw/zinc/22/67/52/846226752.db2.gz OFWDDTHGOJOXLN-OAHLLOKOSA-N 0 1 289.379 0.740 20 30 CCEDMN C[C@@H](O)CN1CCCN(C(=O)CSCC#N)CC1 ZINC001194919591 846417948 /nfs/dbraw/zinc/41/79/48/846417948.db2.gz VWJIZWONPUIBIS-LLVKDONJSA-N 0 1 271.386 0.158 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2cn[nH]c2)CC1 ZINC001194946198 846423611 /nfs/dbraw/zinc/42/36/11/846423611.db2.gz REQMJMAQTRVYLN-UHFFFAOYSA-N 0 1 278.356 0.760 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@H]2CCOC2)CC1 ZINC001195088330 846456877 /nfs/dbraw/zinc/45/68/77/846456877.db2.gz BPUIJSXDBBKJAH-AWEZNQCLSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1nccnc1OC ZINC001195096023 846460184 /nfs/dbraw/zinc/46/01/84/846460184.db2.gz TYJBNACQCYJLSN-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@]2(C)C=CCC2)C1 ZINC001195318452 846506409 /nfs/dbraw/zinc/50/64/09/846506409.db2.gz IVDYAGQXJBPRRO-FMKPAKJESA-N 0 1 294.395 0.707 20 30 CCEDMN C=CCN1C(=O)C[C@@]2(CCC[N@H+](CC(=O)NCC)C2)C1=O ZINC001273698609 846524058 /nfs/dbraw/zinc/52/40/58/846524058.db2.gz NRTACCGZZBAIMO-OAHLLOKOSA-N 0 1 293.367 0.150 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)CCCCN2[C@@H](CC)C(N)=O ZINC001273703864 846595449 /nfs/dbraw/zinc/59/54/49/846595449.db2.gz LHSAIHSZDWGWNB-WFASDCNBSA-N 0 1 277.368 0.341 20 30 CCEDMN C=CCNC(=O)[C@@H]1CC12CCN([C@H](CC)C(N)=O)CC2 ZINC001273703934 846601055 /nfs/dbraw/zinc/60/10/55/846601055.db2.gz LWONCIXQRCGBRK-NWDGAFQWSA-N 0 1 279.384 0.655 20 30 CCEDMN CC1(C)C(=O)NC[C@@H]1NC(=O)c1ccc(C#N)cc1O ZINC001195769134 846615014 /nfs/dbraw/zinc/61/50/14/846615014.db2.gz WLMYTLDHCFJFGH-NSHDSACASA-N 0 1 273.292 0.518 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@H](C)NC(N)=O)CC1 ZINC001195832230 846635444 /nfs/dbraw/zinc/63/54/44/846635444.db2.gz GJTGLHIVJHTARH-NSHDSACASA-N 0 1 268.361 0.154 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(C[C@@H](C)OC)C[C@H]2O)CC1 ZINC001195906301 846647185 /nfs/dbraw/zinc/64/71/85/846647185.db2.gz VTCUAOXLHBXDLZ-MGPQQGTHSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCN1CCCN(C(=O)CCNC(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001196033931 846677985 /nfs/dbraw/zinc/67/79/85/846677985.db2.gz WHPWABQWMPBGFC-UONOGXRCSA-N 0 1 293.411 0.869 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cc(Cl)ncc2O)CCO1 ZINC001196396553 846740900 /nfs/dbraw/zinc/74/09/00/846740900.db2.gz VAFXJQHNGIBXOF-ZETCQYMHSA-N 0 1 267.672 0.805 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCC2CCOCC2)C1 ZINC001196644155 846780302 /nfs/dbraw/zinc/78/03/02/846780302.db2.gz AHUKSDHFDJKIQW-HUUCEWRRSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCCN(CCOCCO)CC1 ZINC001196731839 846795927 /nfs/dbraw/zinc/79/59/27/846795927.db2.gz IYVXQKYCCQXYDZ-UHFFFAOYSA-N 0 1 284.400 0.742 20 30 CCEDMN C=CCCCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2cnon2)C1 ZINC001197091987 846836040 /nfs/dbraw/zinc/83/60/40/846836040.db2.gz XOSVHLHELSATTE-CHWSQXEVSA-N 0 1 294.355 0.591 20 30 CCEDMN C=CCCCCCN1C[C@@H](O)[C@H](NC(=O)c2cnon2)C1 ZINC001197091987 846836045 /nfs/dbraw/zinc/83/60/45/846836045.db2.gz XOSVHLHELSATTE-CHWSQXEVSA-N 0 1 294.355 0.591 20 30 CCEDMN COC(=O)CNC(=S)Nc1cc(C#N)ccc1O ZINC001197190254 846853540 /nfs/dbraw/zinc/85/35/40/846853540.db2.gz JIYIRADTWZPYHU-UHFFFAOYSA-N 0 1 265.294 0.723 20 30 CCEDMN C=CCN1CCCN(C(=O)C(C)(C)CNC(C)=O)CC1 ZINC001197418984 846909072 /nfs/dbraw/zinc/90/90/72/846909072.db2.gz ZLYMQKHOHFTCNQ-UHFFFAOYSA-N 0 1 281.400 0.869 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)c1ccncc1C#N)C2 ZINC001273745562 846927404 /nfs/dbraw/zinc/92/74/04/846927404.db2.gz AGJXJMBAIWKOKO-PWSUYJOCSA-N 0 1 256.309 0.729 20 30 CCEDMN N#Cc1ncc(NS(=O)(=O)Cc2ccccn2)cn1 ZINC001197782230 846962222 /nfs/dbraw/zinc/96/22/22/846962222.db2.gz ITHHYEVFUKKXOP-UHFFFAOYSA-N 0 1 275.293 0.685 20 30 CCEDMN C=CCCOCC(=O)N1CCCN(CCO)CC1 ZINC001198336456 847090375 /nfs/dbraw/zinc/09/03/75/847090375.db2.gz BYUVMYIIPLKJKA-UHFFFAOYSA-N 0 1 256.346 0.106 20 30 CCEDMN COCCOc1cnc(C(=O)Nc2nc[nH]c2C#N)cn1 ZINC001199125285 847225590 /nfs/dbraw/zinc/22/55/90/847225590.db2.gz ZDNFACPHLCARRE-UHFFFAOYSA-N 0 1 288.267 0.349 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ccccc1C(=O)OC ZINC001251880959 847309319 /nfs/dbraw/zinc/30/93/19/847309319.db2.gz LEVJYGYJTKLXKW-ZDUSSCGKSA-N 0 1 277.320 0.574 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1nn(C)c2ccccc12 ZINC001251894387 847397644 /nfs/dbraw/zinc/39/76/44/847397644.db2.gz SHVVCSHCWHKZEJ-LBPRGKRZSA-N 0 1 273.336 0.674 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)c1ccc(C#N)[nH]1 ZINC001199684225 847402031 /nfs/dbraw/zinc/40/20/31/847402031.db2.gz UMYMWYJLACCKCM-UHFFFAOYSA-N 0 1 287.279 0.716 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)CCCCN2C(C)(C)C(=O)NC ZINC001273839435 847649851 /nfs/dbraw/zinc/64/98/51/847649851.db2.gz OALRKPCQHRMRCZ-INIZCTEOSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1C[C@@]2(CCN(C(C)(C)C(=O)NC)C2)OCC1=O ZINC001273839560 847649991 /nfs/dbraw/zinc/64/99/91/847649991.db2.gz RPHZTTWBZSWJGC-OAHLLOKOSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CO[C@H]1CCOC1)C2 ZINC001110561188 847746777 /nfs/dbraw/zinc/74/67/77/847746777.db2.gz QFCKJEUZIALXCE-BARDWOONSA-N 0 1 292.379 0.537 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)NC(=O)CC)C2 ZINC001110587469 847908930 /nfs/dbraw/zinc/90/89/30/847908930.db2.gz PSIRFGXNVHYHPE-DGAVXFQQSA-N 0 1 291.395 0.646 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)NC(=O)COC)C2 ZINC001110588831 847909114 /nfs/dbraw/zinc/90/91/14/847909114.db2.gz QJHSDCAMPCRMOM-LPWJVIDDSA-N 0 1 295.383 0.045 20 30 CCEDMN C=CCOC[C@@H](O)CN1CC[C@](O)(C(F)(F)F)C1 ZINC001252461342 847974584 /nfs/dbraw/zinc/97/45/84/847974584.db2.gz PJRYVSARTDZWDJ-VHSXEESVSA-N 0 1 269.263 0.549 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1COC(=O)C1)C2 ZINC001095313426 847972120 /nfs/dbraw/zinc/97/21/20/847972120.db2.gz OHPIDRFFRGLNGW-RNJOBUHISA-N 0 1 276.336 0.294 20 30 CCEDMN C=CCOC[C@H](O)CN1CC[C@@](O)(C(F)(F)F)C1 ZINC001252461343 847974731 /nfs/dbraw/zinc/97/47/31/847974731.db2.gz PJRYVSARTDZWDJ-ZJUUUORDSA-N 0 1 269.263 0.549 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN([C@@H](CC)C(N)=O)C[C@H]21 ZINC001114352484 848022544 /nfs/dbraw/zinc/02/25/44/848022544.db2.gz BCWWYAZDPMQPMW-KZVDOYCCSA-N 0 1 279.384 0.653 20 30 CCEDMN C=C[C@](C)(O)CN[C@@H](CC(=O)OC(C)(C)C)C(N)=O ZINC001252583475 848022585 /nfs/dbraw/zinc/02/25/85/848022585.db2.gz LQFOEWWRQNYIDS-ZANVPECISA-N 0 1 272.345 0.099 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC001114358047 848026406 /nfs/dbraw/zinc/02/64/06/848026406.db2.gz OKWGKDGKNASFKU-QNWHQSFQSA-N 0 1 282.363 0.236 20 30 CCEDMN C=CCNC(=O)N[C@H]1CC[C@H](NC(=O)CN(C)C)CC1 ZINC001202578551 848182087 /nfs/dbraw/zinc/18/20/87/848182087.db2.gz WRYHCMRVUZQFPO-HAQNSBGRSA-N 0 1 282.388 0.461 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)CCCCN2CCNC(C)=O ZINC001273998467 848252054 /nfs/dbraw/zinc/25/20/54/848252054.db2.gz CTPBBVAZNCHNEA-HNNXBMFYSA-N 0 1 277.368 0.213 20 30 CCEDMN CC(C)OC(=O)CN1CC[C@@]2(CCN(CCC#N)C2)C1=O ZINC001274031547 848311457 /nfs/dbraw/zinc/31/14/57/848311457.db2.gz UJTMXTBXHRNHHG-OAHLLOKOSA-N 0 1 293.367 0.776 20 30 CCEDMN Cn1cnnc1CN1CC[C@@]2(CCN(CCC#N)C2)C1=O ZINC001274032677 848312687 /nfs/dbraw/zinc/31/26/87/848312687.db2.gz VDDWQSXVMZMBKN-CQSZACIVSA-N 0 1 288.355 0.153 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)C(C)(C)C(C)C ZINC001274056110 848331907 /nfs/dbraw/zinc/33/19/07/848331907.db2.gz AAKKUUPUNLSBOL-FPLPWBNLSA-N 0 1 293.411 0.680 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)nn1)C2 ZINC001095379384 848380515 /nfs/dbraw/zinc/38/05/15/848380515.db2.gz MXXSQQBTFYWCQN-SCVCMEIPSA-N 0 1 261.329 0.336 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CNCc1ccn(C)n1 ZINC001274732725 848554260 /nfs/dbraw/zinc/55/42/60/848554260.db2.gz FNRSPCRHRVXXGN-GFCCVEGCSA-N 0 1 262.357 0.818 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1cnnn1CC ZINC001275109067 848640230 /nfs/dbraw/zinc/64/02/30/848640230.db2.gz VICZEAYQRKHXNQ-CYBMUJFWSA-N 0 1 289.383 0.858 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CCNC(=O)CC1 ZINC001275128563 848645374 /nfs/dbraw/zinc/64/53/74/848645374.db2.gz IQNUJAMIVBJISS-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CCN1CC[C@@H](N(C)C[C@@H](C)NC(=O)C#CC2CC2)C1=O ZINC001275551937 848759928 /nfs/dbraw/zinc/75/99/28/848759928.db2.gz MMCMQKIGEDCMEI-TZMCWYRMSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H](C)NC(=O)c1ccoc1 ZINC001275567822 848763565 /nfs/dbraw/zinc/76/35/65/848763565.db2.gz XDJBYXDONBRFMC-RYUDHWBXSA-N 0 1 291.351 0.468 20 30 CCEDMN C=CCN(C)C[C@H](C)NC(=O)c1cn(C)c(C)cc1=O ZINC001275583101 848768815 /nfs/dbraw/zinc/76/88/15/848768815.db2.gz SQIKONWYECKLGX-NSHDSACASA-N 0 1 277.368 0.930 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCCOCC1 ZINC001275818655 848834524 /nfs/dbraw/zinc/83/45/24/848834524.db2.gz KREWIXBWOPKBHQ-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN N#Cc1cc(C(=O)N2Cc3n[nH]c(C(N)=O)c3C2)ccc1O ZINC001276156093 848942078 /nfs/dbraw/zinc/94/20/78/848942078.db2.gz NWHDURZOACTXCW-UHFFFAOYSA-N 0 1 297.274 0.242 20 30 CCEDMN N#Cc1cc(C(=O)NC23CC(C(N)=O)(C2)C3)ccc1O ZINC001276156597 848942106 /nfs/dbraw/zinc/94/21/06/848942106.db2.gz VLGQCUDEEJYFIN-UHFFFAOYSA-N 0 1 271.276 0.402 20 30 CCEDMN N#Cc1cc(C(=O)N2C[C@@H]3CC[C@H](C2)[C@H]3C(N)=O)ccc1O ZINC001276156579 848942398 /nfs/dbraw/zinc/94/23/98/848942398.db2.gz UVEKKRRQTXBSGF-GNXNZQSNSA-N 0 1 299.330 0.847 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(C)(C)NC(C)=O)C2 ZINC001111155984 849081122 /nfs/dbraw/zinc/08/11/22/849081122.db2.gz FAJMDZAROOSJFP-UPJWGTAASA-N 0 1 279.384 0.809 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnon1)C2 ZINC001111170460 849085728 /nfs/dbraw/zinc/08/57/28/849085728.db2.gz OUBVAKUYVSRMNP-WZRBSPASSA-N 0 1 292.339 0.607 20 30 CCEDMN CN(CCCNC(=O)c1cnn[nH]1)c1ccc(C#N)cn1 ZINC001095778500 849139160 /nfs/dbraw/zinc/13/91/60/849139160.db2.gz DFPPQLMQAXZHEG-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCOC[C@H]1CCCO1 ZINC001114510580 849229077 /nfs/dbraw/zinc/22/90/77/849229077.db2.gz POODVLWVRCPRSG-NYTXWWLZSA-N 0 1 292.379 0.252 20 30 CCEDMN CS(=O)(=O)CCNC[C@@H](O)c1ccc(C#N)cc1 ZINC000037750311 849245648 /nfs/dbraw/zinc/24/56/48/849245648.db2.gz ZQTLWOPVYCGHIU-GFCCVEGCSA-N 0 1 268.338 0.226 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H](C2OCCO2)C1 ZINC000718976553 849282312 /nfs/dbraw/zinc/28/23/12/849282312.db2.gz ULFBGNXPJSLCPH-LLVKDONJSA-N 0 1 297.355 0.083 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cc(C)on1 ZINC001114824509 849407956 /nfs/dbraw/zinc/40/79/56/849407956.db2.gz PVKZULNIKDCNAO-FOLVSLTJSA-N 0 1 287.363 0.985 20 30 CCEDMN C=CCOCCN1CC([C@H](C)NC(=O)c2ccon2)C1 ZINC001276341418 849431655 /nfs/dbraw/zinc/43/16/55/849431655.db2.gz CBJXKZGKCGCXAF-NSHDSACASA-N 0 1 279.340 0.927 20 30 CCEDMN CC[C@@H]1CN(C(=O)Cc2ccn[nH]2)CC[C@@H]1NCC#N ZINC001037805361 849589536 /nfs/dbraw/zinc/58/95/36/849589536.db2.gz CDWSXQJUKZXCLK-YPMHNXCESA-N 0 1 275.356 0.692 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001038411268 849883586 /nfs/dbraw/zinc/88/35/86/849883586.db2.gz SKPMZDUUNMZVQX-KBPBESRZSA-N 0 1 263.385 0.685 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001038424417 849888969 /nfs/dbraw/zinc/88/89/69/849888969.db2.gz PIZKGGXNNANPEZ-KGLIPLIRSA-N 0 1 286.379 0.659 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](C(C)(C)O)C1 ZINC000721885288 849926949 /nfs/dbraw/zinc/92/69/49/849926949.db2.gz FSUHRZLZPAUBMA-JTQLQIEISA-N 0 1 269.345 0.091 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1[nH]c(C)nc1C ZINC001038706357 849989177 /nfs/dbraw/zinc/98/91/77/849989177.db2.gz UXRGUDHJUQHAHL-GFCCVEGCSA-N 0 1 260.341 0.854 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@H]2CCN2C(C)C)nn1 ZINC001038784804 850016471 /nfs/dbraw/zinc/01/64/71/850016471.db2.gz VRNRQMAFWARXJV-LLVKDONJSA-N 0 1 263.345 0.677 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001038915001 850080191 /nfs/dbraw/zinc/08/01/91/850080191.db2.gz SCTZHXRONXUBHF-GXTWGEPZSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCOc1ncccc1C(=O)NC[C@H]1CCN1CC ZINC001038927867 850087465 /nfs/dbraw/zinc/08/74/65/850087465.db2.gz DZKPJAKASXUARK-GFCCVEGCSA-N 0 1 273.336 0.918 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1nnc(C)[nH]1 ZINC001039373879 850181885 /nfs/dbraw/zinc/18/18/85/850181885.db2.gz SCSDCXNYMGHTCS-OLZOCXBDSA-N 0 1 287.367 0.354 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CN(C)C(=O)N1 ZINC001039417267 850187271 /nfs/dbraw/zinc/18/72/71/850187271.db2.gz XNSYKLGSSOHMCM-AGIUHOORSA-N 0 1 292.383 0.261 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CCNC1=O ZINC001039435160 850191990 /nfs/dbraw/zinc/19/19/90/850191990.db2.gz VTIWKTJPCCZYFQ-DVOMOZLQSA-N 0 1 289.379 0.211 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC#N ZINC001039816984 850236376 /nfs/dbraw/zinc/23/63/76/850236376.db2.gz DQGMVTWSRCUOST-PHIMTYICSA-N 0 1 274.328 0.316 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)c3cnns3)C2)C1 ZINC001041109867 850373886 /nfs/dbraw/zinc/37/38/86/850373886.db2.gz WHLCQXAYKPIJKN-ZDUSSCGKSA-N 0 1 276.365 0.709 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)[C@@]3(C)CNC(=O)C3)C2)C1 ZINC001041499607 850455590 /nfs/dbraw/zinc/45/55/90/850455590.db2.gz XNFUQZWWYYYMPG-CVEARBPZSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)c3cnon3)C2)C1 ZINC001041747973 850495243 /nfs/dbraw/zinc/49/52/43/850495243.db2.gz HPKADQOKTSCCLS-CYBMUJFWSA-N 0 1 260.297 0.241 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cnn(C)c3)C[C@H]21 ZINC001041927426 850529495 /nfs/dbraw/zinc/52/94/95/850529495.db2.gz HYROHIJYLPLJND-DZGCQCFKSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3c[nH]c(C)n3)C[C@@H]21 ZINC001041978454 850546356 /nfs/dbraw/zinc/54/63/56/850546356.db2.gz LHUGFHRZBPFBRT-JSGCOSHPSA-N 0 1 272.352 0.888 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3nccnc3N)C[C@@H]21 ZINC001041987016 850549395 /nfs/dbraw/zinc/54/93/95/850549395.db2.gz VULHNEJUHTYDCV-OLZOCXBDSA-N 0 1 299.378 0.619 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C3CN(C(C)=O)C3)C[C@H]21 ZINC001042031463 850562947 /nfs/dbraw/zinc/56/29/47/850562947.db2.gz GFWJEWPYESWXHZ-UKRRQHHQSA-N 0 1 289.379 0.021 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cn3ccc(C)n3)C[C@H]21 ZINC001042241258 850603237 /nfs/dbraw/zinc/60/32/37/850603237.db2.gz VJMRHQYTLRVMLG-LSDHHAIUSA-N 0 1 286.379 0.748 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3nc(C)c[nH]3)C[C@@H]21 ZINC001042262408 850604464 /nfs/dbraw/zinc/60/44/64/850604464.db2.gz KMBMAMSYDSGIAB-OLZOCXBDSA-N 0 1 272.352 0.888 20 30 CCEDMN CN(C(=O)[C@@H]1CCCCN1C)C1CN(CC#N)C1 ZINC001043096043 850811011 /nfs/dbraw/zinc/81/10/11/850811011.db2.gz QNIRJXPVEUHXDG-LBPRGKRZSA-N 0 1 250.346 0.137 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2nnc3ccccc3c2O)C1 ZINC001043988832 850980035 /nfs/dbraw/zinc/98/00/35/850980035.db2.gz VOCLSDJRBNYSDD-UHFFFAOYSA-N 0 1 296.330 0.725 20 30 CCEDMN C=CCN1CC(N(C)C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001044151361 851025489 /nfs/dbraw/zinc/02/54/89/851025489.db2.gz CHLWCYZZOSMGQU-LLVKDONJSA-N 0 1 274.368 0.843 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCCC(=O)N1)C2 ZINC001095996450 851165391 /nfs/dbraw/zinc/16/53/91/851165391.db2.gz WUJBGTOHAWGDQW-RFGFWPKPSA-N 0 1 291.395 0.953 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)CCNC1=O)C2 ZINC001096003914 851186596 /nfs/dbraw/zinc/18/65/96/851186596.db2.gz WOLKGXUWWDCXBV-OXJKWZBOSA-N 0 1 277.368 0.420 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCCNC2=O)CC1 ZINC001045468168 851263657 /nfs/dbraw/zinc/26/36/57/851263657.db2.gz FGCFGMWAIXVQPY-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCC(=O)NC2)CC1 ZINC001045488120 851267418 /nfs/dbraw/zinc/26/74/18/851267418.db2.gz RIWPQCJAFHWNNB-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001046262352 851442941 /nfs/dbraw/zinc/44/29/41/851442941.db2.gz PNADXZMHVUQOBM-AWEZNQCLSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ncccc2O)C1 ZINC001046262352 851442948 /nfs/dbraw/zinc/44/29/48/851442948.db2.gz PNADXZMHVUQOBM-AWEZNQCLSA-N 0 1 259.309 0.615 20 30 CCEDMN C[C@@]1(NC(=O)[C@H]2CCCc3[nH]ncc32)CCN(CC#N)C1 ZINC001046311397 851464394 /nfs/dbraw/zinc/46/43/94/851464394.db2.gz JGWBOQKIRAINRH-XHDPSFHLSA-N 0 1 287.367 0.934 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001046427010 851497024 /nfs/dbraw/zinc/49/70/24/851497024.db2.gz SVVXVKKXLCPJSZ-INIZCTEOSA-N 0 1 287.363 0.603 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cccc3ncnn32)C1 ZINC001046451589 851513787 /nfs/dbraw/zinc/51/37/87/851513787.db2.gz LLGLKVZTLVUQIU-INIZCTEOSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001046474657 851521992 /nfs/dbraw/zinc/52/19/92/851521992.db2.gz URHIQJCNLIQFFR-CQSZACIVSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001046577382 851556351 /nfs/dbraw/zinc/55/63/51/851556351.db2.gz WTDAKTHTIDNHDY-ABAIWWIYSA-N 0 1 287.367 0.438 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2OCC[C@H]2C)C1 ZINC001046625861 851570020 /nfs/dbraw/zinc/57/00/20/851570020.db2.gz ZZBYCPBYSOCJFZ-MBNYWOFBSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccnc(N(C)C)c2)C1 ZINC001046672859 851580944 /nfs/dbraw/zinc/58/09/44/851580944.db2.gz ZTKRBWOKKDJCFY-INIZCTEOSA-N 0 1 286.379 0.975 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnc3cccnn32)C1 ZINC001046682510 851582318 /nfs/dbraw/zinc/58/23/18/851582318.db2.gz ZLSFPSNNWPQTFS-MRXNPFEDSA-N 0 1 297.362 0.947 20 30 CCEDMN C=C(C)CN1CC[C@@](C)(NC(=O)c2cnn(C)n2)C1 ZINC001046695411 851586274 /nfs/dbraw/zinc/58/62/74/851586274.db2.gz LDSYGUPZZMOOEC-CYBMUJFWSA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001046755598 851605547 /nfs/dbraw/zinc/60/55/47/851605547.db2.gz WBTLIJGLBWOCON-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001046783405 851614401 /nfs/dbraw/zinc/61/44/01/851614401.db2.gz BRUCBDNKQPSDOJ-ZFWWWQNUSA-N 0 1 277.368 0.211 20 30 CCEDMN C[C@@]1(NC(=O)c2ccc3[nH]nnc3c2)CCN(CC#N)C1 ZINC001046827043 851623579 /nfs/dbraw/zinc/62/35/79/851623579.db2.gz JUGVOCUOCMWKBP-CQSZACIVSA-N 0 1 284.323 0.676 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@H]2C=CCC2)C1 ZINC001047297511 851703401 /nfs/dbraw/zinc/70/34/01/851703401.db2.gz QMSCSECMJQNFOC-KKUMJFAQSA-N 0 1 276.380 0.870 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cccn2C)C1 ZINC001047305267 851704576 /nfs/dbraw/zinc/70/45/76/851704576.db2.gz QJRBTZBFARWEBM-KBPBESRZSA-N 0 1 277.368 0.718 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(F)nc2)C1 ZINC001047328306 851720042 /nfs/dbraw/zinc/72/00/42/851720042.db2.gz JZESPWUKZZYLBX-STQMWFEESA-N 0 1 291.326 0.361 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001047341286 851727010 /nfs/dbraw/zinc/72/70/10/851727010.db2.gz ZSMCMJRMAXCOJN-XFIYOXNOSA-N 0 1 290.407 0.949 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H](C)n2cccc2)C1 ZINC001047351888 851733548 /nfs/dbraw/zinc/73/35/48/851733548.db2.gz NXPLNINEQDAKLW-ILXRZTDVSA-N 0 1 289.379 0.576 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccnn2CC)C1 ZINC001047361701 851737188 /nfs/dbraw/zinc/73/71/88/851737188.db2.gz RXDTWALKLYZCOA-KBPBESRZSA-N 0 1 292.383 0.596 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C2C=CC=CC=C2)C1 ZINC001047400404 851749688 /nfs/dbraw/zinc/74/96/88/851749688.db2.gz UPXLSOURHYSSIT-GJZGRUSLSA-N 0 1 274.364 0.974 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(F)c[nH]2)C1 ZINC001047462101 851774789 /nfs/dbraw/zinc/77/47/89/851774789.db2.gz OJGZLOJUHIHDBB-STQMWFEESA-N 0 1 279.315 0.294 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2occc2C)C1 ZINC001047514605 851797365 /nfs/dbraw/zinc/79/73/65/851797365.db2.gz JLZWLUSAKDWQNO-STQMWFEESA-N 0 1 278.352 0.820 20 30 CCEDMN C#Cc1ccccc1CC(=O)N(C)[C@H]1CN(CC=C)C[C@@H]1O ZINC001047609891 851835451 /nfs/dbraw/zinc/83/54/51/851835451.db2.gz NCYHMJRTUKLXCF-IRXDYDNUSA-N 0 1 298.386 0.900 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001096365487 851963378 /nfs/dbraw/zinc/96/33/78/851963378.db2.gz YWTFKPNZCSZBJE-NSHDSACASA-N 0 1 296.334 0.461 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2CN(C(=O)c3cnco3)C[C@H]2C1 ZINC001048967408 852123860 /nfs/dbraw/zinc/12/38/60/852123860.db2.gz BMMPSBFDEUKAGQ-TXEJJXNPSA-N 0 1 259.309 0.702 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCCO1 ZINC001049308201 852222843 /nfs/dbraw/zinc/22/28/43/852222843.db2.gz QDQZYAGWVNUUTO-BFHYXJOUSA-N 0 1 262.353 0.864 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)Cc1ccon1 ZINC001049368801 852244229 /nfs/dbraw/zinc/24/42/29/852244229.db2.gz BRUPRHSQIGUIMB-UONOGXRCSA-N 0 1 273.336 0.916 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1nccnc1N ZINC001049381771 852251373 /nfs/dbraw/zinc/25/13/73/852251373.db2.gz KYYZKKLCWGSEBO-NWDGAFQWSA-N 0 1 285.351 0.371 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CN(C)CCO1 ZINC001049392252 852256320 /nfs/dbraw/zinc/25/63/20/852256320.db2.gz WTQTUDDJEZITMR-RRFJBIMHSA-N 0 1 291.395 0.016 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc3[nH]nnc3c1)C2 ZINC001096525475 852272756 /nfs/dbraw/zinc/27/27/56/852272756.db2.gz IXZDYKPUMMLCKT-SWHYSGLUSA-N 0 1 296.334 0.817 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@H](O)C(C)C ZINC001049455043 852285150 /nfs/dbraw/zinc/28/51/50/852285150.db2.gz WQIFEUWJWBKHIO-HZSPNIEDSA-N 0 1 264.369 0.702 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cnnn1CC ZINC001049465771 852289425 /nfs/dbraw/zinc/28/94/25/852289425.db2.gz VGHQIMXGTRAQEO-STQMWFEESA-N 0 1 287.367 0.610 20 30 CCEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049785264 852371564 /nfs/dbraw/zinc/37/15/64/852371564.db2.gz YFQASBYQBFLMJS-CHWSQXEVSA-N 0 1 275.356 0.680 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3cnn(C)c3)[C@@H]2C1 ZINC001049970861 852410668 /nfs/dbraw/zinc/41/06/68/852410668.db2.gz UOFIACLLYQOREL-DZGCQCFKSA-N 0 1 286.379 0.980 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CCCN(C(=O)[C@@H]3CCOC3)[C@@H]2C1 ZINC001049994119 852416631 /nfs/dbraw/zinc/41/66/31/852416631.db2.gz XYKFLNZBRIYXSQ-RRFJBIMHSA-N 0 1 276.380 0.969 20 30 CCEDMN N#Cc1ccc(N[C@H](CNC(=O)c2ncn[nH]2)C2CC2)nn1 ZINC001096705074 852427641 /nfs/dbraw/zinc/42/76/41/852427641.db2.gz ICDRSSREDVNDII-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1ccc(N[C@H](CNC(=O)c2nc[nH]n2)C2CC2)nn1 ZINC001096705074 852427646 /nfs/dbraw/zinc/42/76/46/852427646.db2.gz ICDRSSREDVNDII-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc[nH]n1)C2 ZINC001096906991 852463735 /nfs/dbraw/zinc/46/37/35/852463735.db2.gz PIWCCSMHLULEEP-UPJWGTAASA-N 0 1 260.341 0.860 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc[nH]n1)C2 ZINC001096906991 852463745 /nfs/dbraw/zinc/46/37/45/852463745.db2.gz PIWCCSMHLULEEP-UPJWGTAASA-N 0 1 260.341 0.860 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCNC1=O)C2 ZINC001097267644 852520340 /nfs/dbraw/zinc/52/03/40/852520340.db2.gz PKOUTCDVUMHEGC-RQJABVFESA-N 0 1 289.379 0.257 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCCC(=O)N1)C2 ZINC001097496061 852541290 /nfs/dbraw/zinc/54/12/90/852541290.db2.gz HLNKQOFGKZYUMH-DGAVXFQQSA-N 0 1 289.379 0.400 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cn(CC)nn1)C2 ZINC001097571763 852547773 /nfs/dbraw/zinc/54/77/73/852547773.db2.gz OODCHTVDYFUFTE-MCIONIFRSA-N 0 1 289.383 0.748 20 30 CCEDMN C=C(C)CN1CC2(C1)CN(C(=O)c1cc(C)[nH]n1)CCO2 ZINC001053174389 852702186 /nfs/dbraw/zinc/70/21/86/852702186.db2.gz FLWZEYMDXMCZRQ-UHFFFAOYSA-N 0 1 290.367 0.821 20 30 CCEDMN C#CCN1CC2(C1)C[C@H](NC(=O)[C@H]1CCCCN1C)CO2 ZINC001053847882 852832342 /nfs/dbraw/zinc/83/23/42/852832342.db2.gz NWDNOBNVNCVBEG-UONOGXRCSA-N 0 1 291.395 0.063 20 30 CCEDMN C#CC[NH2+][C@H]1CN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001054588475 852974569 /nfs/dbraw/zinc/97/45/69/852974569.db2.gz IXKBTBXTMNOHLB-QWRGUYRKSA-N 0 1 259.309 0.471 20 30 CCEDMN C[C@@H]1CN(C(=O)c2cccc3nn[nH]c32)C[C@H]1NCC#N ZINC001054675777 852994666 /nfs/dbraw/zinc/99/46/66/852994666.db2.gz YTUIFVDBBAKFJO-BXKDBHETSA-N 0 1 284.323 0.532 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NCc2cnnn2C)C1 ZINC001054977371 853047752 /nfs/dbraw/zinc/04/77/52/853047752.db2.gz XGLKLRMLRJKSAI-YPMHNXCESA-N 0 1 291.399 0.964 20 30 CCEDMN C=CCOCC(=O)NC1CCN(CCNC(=O)CC)CC1 ZINC001055473178 853074505 /nfs/dbraw/zinc/07/45/05/853074505.db2.gz NFANQEKUPNOOST-UHFFFAOYSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCCOCC(=O)NC1CCN(CCNC(C)=O)CC1 ZINC001055486685 853081191 /nfs/dbraw/zinc/08/11/91/853081191.db2.gz IQHLEYABPFLVNC-UHFFFAOYSA-N 0 1 297.399 0.296 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN1CCC(NC(C)=O)CC1 ZINC001055567964 853086311 /nfs/dbraw/zinc/08/63/11/853086311.db2.gz VHVAZXXNLAEOLT-HNNXBMFYSA-N 0 1 297.399 0.030 20 30 CCEDMN Cc1cc(N2CCN(C(=O)c3ccn[nH]3)CC2)c(C#N)cn1 ZINC001055722355 853108663 /nfs/dbraw/zinc/10/86/63/853108663.db2.gz VVRIQUUAZLFNQX-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C[C@H]3CCNC3=O)[C@@H]2C1 ZINC001050063276 853306094 /nfs/dbraw/zinc/30/60/94/853306094.db2.gz VRKAOHCKGKJDES-HZSPNIEDSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3n[nH]nc3C)[C@@H]2C1 ZINC001050108135 853313706 /nfs/dbraw/zinc/31/37/06/853313706.db2.gz QPGPENGIIIMLCZ-NWDGAFQWSA-N 0 1 273.340 0.283 20 30 CCEDMN N#CCN1CCOC[C@H]1CNC(=O)c1ccc2cncn2c1 ZINC001051028181 853509735 /nfs/dbraw/zinc/50/97/35/853509735.db2.gz IHEVYAOTQRJUGV-CQSZACIVSA-N 0 1 299.334 0.288 20 30 CCEDMN Cc1nocc1CNC[C@H]1CN(C(=O)[C@@H](C)C#N)CCO1 ZINC001051493813 853594814 /nfs/dbraw/zinc/59/48/14/853594814.db2.gz AHYYLAKXUOELFP-GWCFXTLKSA-N 0 1 292.339 0.460 20 30 CCEDMN C=C(C)CN1CCN([C@H]2CCN(C(=O)COC)C2)CC1 ZINC001051956843 853662130 /nfs/dbraw/zinc/66/21/30/853662130.db2.gz VQRASSPWIDMQMG-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)C(C)(F)F)C2)CC1 ZINC001051968620 853666278 /nfs/dbraw/zinc/66/62/78/853666278.db2.gz CBSJQBNEMQZIAV-ZDUSSCGKSA-N 0 1 299.365 0.883 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001051997525 853672111 /nfs/dbraw/zinc/67/21/11/853672111.db2.gz CTZSLTSBKYJVTH-HUUCEWRRSA-N 0 1 288.395 0.388 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052025289 853676758 /nfs/dbraw/zinc/67/67/58/853676758.db2.gz ATKPJZHAQYHZDO-QGZVFWFLSA-N 0 1 299.418 0.642 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)[C@H]3C[C@@H]3C)C2)CC1 ZINC001052067470 853681519 /nfs/dbraw/zinc/68/15/19/853681519.db2.gz KJONQECPFWKIFN-JYJNAYRXSA-N 0 1 289.423 0.884 20 30 CCEDMN Cc1ccc(C#N)c(N2CC[C@H](NC(=O)c3ncn[nH]3)C2)n1 ZINC001058422589 853844217 /nfs/dbraw/zinc/84/42/17/853844217.db2.gz INGIVHLOICPNMC-NSHDSACASA-N 0 1 297.322 0.389 20 30 CCEDMN Cc1ccc(C#N)c(N2CC[C@H](NC(=O)c3nc[nH]n3)C2)n1 ZINC001058422589 853844219 /nfs/dbraw/zinc/84/42/19/853844219.db2.gz INGIVHLOICPNMC-NSHDSACASA-N 0 1 297.322 0.389 20 30 CCEDMN Cc1nc(N[C@H](C)CNC(=O)Cc2nnc[nH]2)ccc1C#N ZINC001098056089 853878076 /nfs/dbraw/zinc/87/80/76/853878076.db2.gz DKJLFXZHPDSWKK-SECBINFHSA-N 0 1 299.338 0.539 20 30 CCEDMN C[C@H]1C[C@@H](Nc2ccnc(C#N)n2)CN1C(=O)c1ccn[nH]1 ZINC001069018551 853939671 /nfs/dbraw/zinc/93/96/71/853939671.db2.gz PHTBIRAULJHLKT-VHSXEESVSA-N 0 1 297.322 0.208 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2(C)CC2)C[C@@H]1n1ccnn1 ZINC001070200161 854034638 /nfs/dbraw/zinc/03/46/38/854034638.db2.gz AVQATKKLUQBPNB-NEPJUHHUSA-N 0 1 273.340 0.053 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](F)C(C)C)C[C@@H]1n1ccnn1 ZINC001070255318 854038442 /nfs/dbraw/zinc/03/84/42/854038442.db2.gz VYLOMCKQCBSGKA-FRRDWIJNSA-N 0 1 293.346 0.247 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@@H]1C ZINC001071593427 854244392 /nfs/dbraw/zinc/24/43/92/854244392.db2.gz BOIGLADWXXIPQZ-CMPLNLGQSA-N 0 1 274.324 0.398 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cn(C)c(=O)[nH]2)CC[C@@H]1C ZINC001071803698 854297574 /nfs/dbraw/zinc/29/75/74/854297574.db2.gz QAHYISSXSPWUBL-WDEREUQCSA-N 0 1 276.340 0.342 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cnn(C)n2)CC[C@@H]1C ZINC001071890401 854313610 /nfs/dbraw/zinc/31/36/10/854313610.db2.gz LJZGQXHYVWJRRT-QWRGUYRKSA-N 0 1 263.345 0.584 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cncn2C)CC[C@@H]1C ZINC001071990242 854332822 /nfs/dbraw/zinc/33/28/22/854332822.db2.gz WNRYFKJDCQKNGU-STQMWFEESA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)c2nonc2C)CC[C@@H]1C ZINC001072116871 854349823 /nfs/dbraw/zinc/34/98/23/854349823.db2.gz SOURIHKFVHBCDT-CMPLNLGQSA-N 0 1 276.340 0.984 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@@H]3COC(=O)C3)C2)C1 ZINC001072621345 854431557 /nfs/dbraw/zinc/43/15/57/854431557.db2.gz XARJNMHOFDXKAS-LBPRGKRZSA-N 0 1 276.336 0.107 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cccnc3)C2)C1 ZINC001072625642 854431719 /nfs/dbraw/zinc/43/17/19/854431719.db2.gz SLYLEAIOGIPKKL-UHFFFAOYSA-N 0 1 269.348 0.792 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCCOCC3)C2)C1 ZINC001072678572 854445497 /nfs/dbraw/zinc/44/54/97/854445497.db2.gz PHUREACBTHGTED-AWEZNQCLSA-N 0 1 276.380 0.971 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)[C@H]3CCCc4c[nH]nc43)C2)C1 ZINC001072735837 854456078 /nfs/dbraw/zinc/45/60/78/854456078.db2.gz SPRWQBZCMFDLFH-ZDUSSCGKSA-N 0 1 299.378 0.887 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CC(=O)N(CC)C3)C2)C1 ZINC001072796792 854464470 /nfs/dbraw/zinc/46/44/70/854464470.db2.gz BYXIHBASGFWSJL-CYBMUJFWSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCO[C@H](C)C3)C2)C1 ZINC001073083550 854527404 /nfs/dbraw/zinc/52/74/04/854527404.db2.gz DSECTVJRWFLVQF-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3c4c(nn3C)CCC4)C2)C1 ZINC001073121313 854534659 /nfs/dbraw/zinc/53/46/59/854534659.db2.gz SPBRQMLGNWRJEG-UHFFFAOYSA-N 0 1 298.390 0.690 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@H]3CCCOC3)C2)C1 ZINC001073437492 854560797 /nfs/dbraw/zinc/56/07/97/854560797.db2.gz XVRJKQAXLYGCQP-CQSZACIVSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)c3cnn(C)c3)C2)C1 ZINC001073499878 854567054 /nfs/dbraw/zinc/56/70/54/854567054.db2.gz YKGZSHJFMUIBJP-CYBMUJFWSA-N 0 1 286.379 0.691 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnn(C)c2)C1 ZINC001073530797 854579674 /nfs/dbraw/zinc/57/96/74/854579674.db2.gz ZSIUWTYKSAAKNT-CYBMUJFWSA-N 0 1 278.356 0.427 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cc(C)n(C)n2)C1 ZINC001073547273 854588883 /nfs/dbraw/zinc/58/88/83/854588883.db2.gz FMXVSKMWODZERE-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN C=C(C)CN1CCCO[C@@H](CNC(=O)c2n[nH]cc2F)C1 ZINC001073757723 854639666 /nfs/dbraw/zinc/63/96/66/854639666.db2.gz HNLXPYIUSUMRMP-NSHDSACASA-N 0 1 296.346 0.946 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001073772286 854642957 /nfs/dbraw/zinc/64/29/57/854642957.db2.gz KCBOFPBUFYWMQT-HZSPNIEDSA-N 0 1 282.384 0.947 20 30 CCEDMN C=CCCN1CCO[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC001074181687 854690862 /nfs/dbraw/zinc/69/08/62/854690862.db2.gz YKMGVBHNCOZAEI-UONOGXRCSA-N 0 1 290.367 0.901 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)Cc3ccn[nH]3)C[C@@H]21 ZINC001074199303 854694053 /nfs/dbraw/zinc/69/40/53/854694053.db2.gz AEFWKKVGZWPMDK-KBPBESRZSA-N 0 1 290.367 0.440 20 30 CCEDMN C#CCN1CCO[C@H]2CCN(C(=O)CN3CCCC3)C[C@H]21 ZINC001074405053 854726799 /nfs/dbraw/zinc/72/67/99/854726799.db2.gz LLODSNFDMJVKBK-CABCVRRESA-N 0 1 291.395 0.017 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CC(=O)N(C)C2)[C@H]1C ZINC001074675711 854763839 /nfs/dbraw/zinc/76/38/39/854763839.db2.gz HLAKPCJRLUQZHC-SRVKXCTJSA-N 0 1 299.802 0.796 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCC(=O)N1)C2 ZINC001098248372 854852534 /nfs/dbraw/zinc/85/25/34/854852534.db2.gz KCHASXPIBXPQKN-XQHKEYJVSA-N 0 1 275.352 0.010 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)Cn2nccc2C)C1 ZINC001099056663 854910718 /nfs/dbraw/zinc/91/07/18/854910718.db2.gz XNXIWDBBWVVFHA-HOCLYGCPSA-N 0 1 286.379 0.795 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)COC2CCCC2)[C@H](O)C1 ZINC001099697742 854969964 /nfs/dbraw/zinc/96/99/64/854969964.db2.gz DAXGJYGBKKNHAR-LSDHHAIUSA-N 0 1 294.395 0.520 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCOCC(C)C)[C@@H](O)C1 ZINC001099698636 854970421 /nfs/dbraw/zinc/97/04/21/854970421.db2.gz RLDFKALOWAHAJX-GJZGRUSLSA-N 0 1 296.411 0.624 20 30 CCEDMN C[C@@H](CCNC(=O)Cc1cnc[nH]1)Nc1cnc(C#N)cn1 ZINC001099712787 854975423 /nfs/dbraw/zinc/97/54/23/854975423.db2.gz GHHLXYMHCVFQMB-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CC[N@H+](CCOC(C)C)C[C@H]1O ZINC001099805267 855000152 /nfs/dbraw/zinc/00/01/52/855000152.db2.gz ZDBKKFIAIRZKPX-LSDHHAIUSA-N 0 1 296.411 0.766 20 30 CCEDMN CN(CCNC(=O)CCc1nc[nH]n1)c1ccncc1C#N ZINC001100018088 855074811 /nfs/dbraw/zinc/07/48/11/855074811.db2.gz QBFVQYQEUCKABX-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN Cc1cc(CC(=O)NCCN(C)c2ccc(C#N)cn2)[nH]n1 ZINC001100023636 855075031 /nfs/dbraw/zinc/07/50/31/855075031.db2.gz QLDFIVLCZVIOOR-UHFFFAOYSA-N 0 1 298.350 0.780 20 30 CCEDMN CC#CC[NH2+]C1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001100268340 855134683 /nfs/dbraw/zinc/13/46/83/855134683.db2.gz VGSJWKKWCGUHAZ-UHFFFAOYSA-N 0 1 273.336 0.909 20 30 CCEDMN CN(CCNC(=O)CCc1cnc[nH]1)c1ncccc1C#N ZINC001100380475 855157754 /nfs/dbraw/zinc/15/77/54/855157754.db2.gz NWXAPFFSVFKOED-UHFFFAOYSA-N 0 1 298.350 0.862 20 30 CCEDMN CCN(CCNC(=O)Cc1cnc[nH]1)c1ccc(C#N)cn1 ZINC001100735624 855215788 /nfs/dbraw/zinc/21/57/88/855215788.db2.gz QGJHOQQUYMQKOV-UHFFFAOYSA-N 0 1 298.350 0.862 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CN(C(=O)CCC)C[C@]2(C)C1 ZINC001101106930 855264882 /nfs/dbraw/zinc/26/48/82/855264882.db2.gz IYLUHWXZHDIULE-CJNGLKHVSA-N 0 1 293.411 0.869 20 30 CCEDMN CC[C@H](F)C(=O)NC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001102231569 855420726 /nfs/dbraw/zinc/42/07/26/855420726.db2.gz MRXHLMHTPXMUDF-UTUOFQBUSA-N 0 1 298.362 0.058 20 30 CCEDMN C=CCCCC(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102296940 855425903 /nfs/dbraw/zinc/42/59/03/855425903.db2.gz BGQURTDKDNFQRK-VXGBXAGGSA-N 0 1 267.373 0.512 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC[C@@H]1CN(CC(=O)NC)C[C@H]1C ZINC001102609720 855449078 /nfs/dbraw/zinc/44/90/78/855449078.db2.gz JJPNTDBVKIKWFT-FRRDWIJNSA-N 0 1 297.399 0.008 20 30 CCEDMN CC[C@H]1CN(C(=O)NCC#CCN(C)C)C[C@H](C)O1 ZINC001256584669 855501570 /nfs/dbraw/zinc/50/15/70/855501570.db2.gz IGVLVLBIGNTNEZ-STQMWFEESA-N 0 1 267.373 0.760 20 30 CCEDMN CC[C@@H](CNC(=O)Cc1cnc[nH]1)Nc1nccnc1C#N ZINC001103334751 855512023 /nfs/dbraw/zinc/51/20/23/855512023.db2.gz MCVZYRFUSVAJQO-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN N#CCNC[C@]12CCC[C@H]1CN(C(=O)c1ccn[nH]1)C2 ZINC001112262085 855621703 /nfs/dbraw/zinc/62/17/03/855621703.db2.gz ZYILTNXQOIHPHJ-FZMZJTMJSA-N 0 1 273.340 0.765 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cn[nH]c1 ZINC001114956431 855630951 /nfs/dbraw/zinc/63/09/51/855630951.db2.gz HHLLPGCRARLOEN-NHAGDIPZSA-N 0 1 272.352 0.412 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cccc1 ZINC001115023299 855635370 /nfs/dbraw/zinc/63/53/70/855635370.db2.gz QWKDBEZMDRWXJJ-FOLVSLTJSA-N 0 1 271.364 0.948 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1c(C)n[nH]c1C ZINC001115227798 855652767 /nfs/dbraw/zinc/65/27/67/855652767.db2.gz NGGINPHUVCPFKV-FOLVSLTJSA-N 0 1 286.379 0.639 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cc(C)cn1 ZINC001115295732 855659760 /nfs/dbraw/zinc/65/97/60/855659760.db2.gz FGIHLQQYZDHRAQ-FOLVSLTJSA-N 0 1 286.379 0.651 20 30 CCEDMN CC[C@H](C)NC(=O)CCNC(O)=C1N=CC=CC1=O ZINC001116061691 855716639 /nfs/dbraw/zinc/71/66/39/855716639.db2.gz MOGDLANVYYZEMP-XRNDOFNESA-N 0 1 265.313 0.818 20 30 CCEDMN COCC#CC(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC001118946826 856503267 /nfs/dbraw/zinc/50/32/67/856503267.db2.gz FCMMRFIFUZIIOU-UHFFFAOYSA-N 0 1 280.368 0.350 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N2CCN[C@@H](C)C2)C1 ZINC001118962218 856509667 /nfs/dbraw/zinc/50/96/67/856509667.db2.gz IULDVJCRGKLCCK-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CC[C@H](CO)NCc1ccc(S(C)(=O)=O)o1 ZINC001119345161 856643452 /nfs/dbraw/zinc/64/34/52/856643452.db2.gz JKTJKBYEVVKTDN-SECBINFHSA-N 0 1 259.327 0.710 20 30 CCEDMN C#C[C@H](NC[C@@H]1[C@@H](C(=O)OC)C1(F)F)[C@@H]1CCCO1 ZINC001119513464 856713353 /nfs/dbraw/zinc/71/33/53/856713353.db2.gz RJOXXIDXGIYMPO-RCWTZXSCSA-N 0 1 273.279 0.811 20 30 CCEDMN C=CCOCCC[NH2+]C1CCN(CC(=O)[O-])CC1 ZINC001119603479 856772855 /nfs/dbraw/zinc/77/28/55/856772855.db2.gz UTPALYBYXIAGJP-UHFFFAOYSA-N 0 1 256.346 0.718 20 30 CCEDMN C[C@@H](NCCNC(=O)C1N=CC=CC1=O)c1cn(C)cn1 ZINC001119631468 856793805 /nfs/dbraw/zinc/79/38/05/856793805.db2.gz VWUPKWDASLRQTO-WBNXYMAISA-N 0 1 289.339 0.597 20 30 CCEDMN C#CCN1CCC(Nc2cc(C)nc(CO)n2)CC1 ZINC001119974532 856943794 /nfs/dbraw/zinc/94/37/94/856943794.db2.gz CAWIELNLQOYAMR-UHFFFAOYSA-N 0 1 260.341 0.787 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(C)CCN([C@H](C)C(=O)N(C)C)CC1 ZINC001393058356 912360034 /nfs/dbraw/zinc/36/00/34/912360034.db2.gz MORMFFDGVFWJCF-VXGBXAGGSA-N 0 1 294.399 0.593 20 30 CCEDMN CN(C(=O)C#CC1CC1)C1CN(C[C@@H]2C[C@]23CCOC3)C1 ZINC001323921508 912582202 /nfs/dbraw/zinc/58/22/02/912582202.db2.gz OYDFHPPXVQIFMH-YOEHRIQHSA-N 0 1 288.391 0.969 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H]2CNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001393460132 912587453 /nfs/dbraw/zinc/58/74/53/912587453.db2.gz YKHKPGDPDYGBDT-WCBMZHEXSA-N 0 1 275.312 0.209 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cnnn1C ZINC001393926462 912853634 /nfs/dbraw/zinc/85/36/34/912853634.db2.gz FHXXOUWMNVKEDC-BDAKNGLRSA-N 0 1 271.752 0.664 20 30 CCEDMN CC#CCN1CCC[C@](C)(CNC(=O)c2nnn(C)n2)C1 ZINC001324956347 913116728 /nfs/dbraw/zinc/11/67/28/913116728.db2.gz ARVUSLDJYWHOHA-CQSZACIVSA-N 0 1 290.371 0.065 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](COC)OC)C1 ZINC001324959985 913121836 /nfs/dbraw/zinc/12/18/36/913121836.db2.gz CRWGEJSHWTYLAB-DZGCQCFKSA-N 0 1 282.384 0.499 20 30 CCEDMN C[C@@]1(CNC(=O)Cc2nnc[nH]2)CCCN(CC#N)C1 ZINC001324971261 913124359 /nfs/dbraw/zinc/12/43/59/913124359.db2.gz GVTCRDWZLLLHHW-ZDUSSCGKSA-N 0 1 276.344 0.089 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)C(=O)Nc2cccc(C#N)c2)n[nH]1 ZINC001324974282 913127237 /nfs/dbraw/zinc/12/72/37/913127237.db2.gz ZWVOVLRSMRNDHN-MRVPVSSYSA-N 0 1 298.306 0.801 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)CO[C@@H](C)CC)C1 ZINC001325087708 913205777 /nfs/dbraw/zinc/20/57/77/913205777.db2.gz WLLXJXZRRPCBSV-DZGCQCFKSA-N 0 1 282.384 0.378 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C[C@@H]2C[C@H]2C2CC2)C1 ZINC001325116118 913226166 /nfs/dbraw/zinc/22/61/66/913226166.db2.gz ZLRDDVOXOFYSLZ-ZOBUZTSGSA-N 0 1 290.407 0.999 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@]2(O)CCN(CC#CC)C2)C1 ZINC001325125329 913232722 /nfs/dbraw/zinc/23/27/22/913232722.db2.gz CWULLBPPNZUEPI-INIZCTEOSA-N 0 1 276.380 0.919 20 30 CCEDMN C=CCN1C(=O)N=NC1SCCOC(=O)N(C)C ZINC001325241204 913297419 /nfs/dbraw/zinc/29/74/19/913297419.db2.gz VYVIBEANZQPGMT-UHFFFAOYSA-N 0 1 272.330 0.960 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1ccncn1 ZINC001394751325 913404635 /nfs/dbraw/zinc/40/46/35/913404635.db2.gz HGCPKHVVGJENRJ-JTQLQIEISA-N 0 1 284.747 0.252 20 30 CCEDMN C#CCOCCN(C)[C@@H](C)C(=O)NCC(F)(F)F ZINC001325513967 913445506 /nfs/dbraw/zinc/44/55/06/913445506.db2.gz YNXFNEKAZWXWDF-VIFPVBQESA-N 0 1 266.263 0.635 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CN(C(=O)[C@H]3C[C@@H]3C)C[C@@H]2C1 ZINC001325717351 913543697 /nfs/dbraw/zinc/54/36/97/913543697.db2.gz ZBNCCOLRSODOIS-FQUUOJAGSA-N 0 1 291.395 0.335 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1nn(C)c2ccccc12 ZINC001480864248 891416553 /nfs/dbraw/zinc/41/65/53/891416553.db2.gz MWBWXLREXOQIQL-UHFFFAOYSA-N 0 1 284.363 0.797 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cn2c(n1)CCC2 ZINC001480866114 891433057 /nfs/dbraw/zinc/43/30/57/891433057.db2.gz WBHPDMRQEWHTIG-UHFFFAOYSA-N 0 1 260.341 0.514 20 30 CCEDMN C=C(C)C[N@@H+](C)CCNC(=O)c1ccnc2ccnn21 ZINC001480872536 891482924 /nfs/dbraw/zinc/48/29/24/891482924.db2.gz BOJWYDRQAXNWJJ-UHFFFAOYSA-N 0 1 273.340 0.967 20 30 CCEDMN C=CCC[N@@H+]1CCC[C@](CO)(NC(=O)c2cnccn2)C1 ZINC001279463370 891589064 /nfs/dbraw/zinc/58/90/64/891589064.db2.gz YYNFZSKFKZWLAE-HNNXBMFYSA-N 0 1 290.367 0.609 20 30 CCEDMN COC[C@@H](C)N1CCC[C@](CO)(NC(=O)C#CC2CC2)C1 ZINC001325833868 913599688 /nfs/dbraw/zinc/59/96/88/913599688.db2.gz HEVBKQHTOHLRKK-CJNGLKHVSA-N 0 1 294.395 0.378 20 30 CCEDMN CC[C@@H]1C[C@@H](C(=O)NCCN(C)CC#CCOC)CCO1 ZINC001480933299 891872262 /nfs/dbraw/zinc/87/22/62/891872262.db2.gz CJMWWQHRKLKGPK-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CC[N@@H+]1CCC[C@](CO)(NC(=O)C2CC(OC)C2)C1 ZINC001325852624 913607355 /nfs/dbraw/zinc/60/73/55/913607355.db2.gz QLTARKIAPMEZTH-PIMMBPRGSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)C2CC(OC)C2)C1 ZINC001325852624 913607367 /nfs/dbraw/zinc/60/73/67/913607367.db2.gz QLTARKIAPMEZTH-PIMMBPRGSA-N 0 1 282.384 0.541 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cccc2ncnn21 ZINC001480986223 892015917 /nfs/dbraw/zinc/01/59/17/892015917.db2.gz PUZIACVAKZDFGD-UHFFFAOYSA-N 0 1 285.351 0.804 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCN(CC)[C@@H]1CCNC1=O ZINC001480992622 892027898 /nfs/dbraw/zinc/02/78/98/892027898.db2.gz WQKGQSSCAGZZOO-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H](CC(C)C)NC(C)=O ZINC001481065087 892125726 /nfs/dbraw/zinc/12/57/26/892125726.db2.gz LOGPNXCKIZWFTE-CABCVRRESA-N 0 1 293.411 0.751 20 30 CCEDMN CCN(C)C(=O)CN1CC[C@H](CNC(=O)C#CC(C)C)C1 ZINC001481088070 892149692 /nfs/dbraw/zinc/14/96/92/892149692.db2.gz VIPABNFBNWITEC-CQSZACIVSA-N 0 1 293.411 0.562 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H]1CCN([C@H](C)C(=O)NC)C1 ZINC001481107016 892170259 /nfs/dbraw/zinc/17/02/59/892170259.db2.gz VFNDUTDSRSPJPK-KGLIPLIRSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H](OCC)[C@H]1CCOC1)C1CC1 ZINC001481180076 892276373 /nfs/dbraw/zinc/27/63/73/892276373.db2.gz LMRVCHHPXZYAEL-ZFWWWQNUSA-N 0 1 294.395 0.642 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](CO)N[C@H]1CCN(CC)C1=O ZINC001283759370 892363873 /nfs/dbraw/zinc/36/38/73/892363873.db2.gz GXFLVWSNNJYAJC-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CC1CCC(OC)CC1 ZINC001283839842 892465934 /nfs/dbraw/zinc/46/59/34/892465934.db2.gz CQVQDNWHYZCXPZ-ROKHWSDSSA-N 0 1 282.384 0.282 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N(C)CCNCCS(C)(=O)=O ZINC001481277136 892488575 /nfs/dbraw/zinc/48/85/75/892488575.db2.gz KYRFCRURWKRVTP-CYBMUJFWSA-N 0 1 290.429 0.681 20 30 CCEDMN Cc1nc(C(=O)N(C)CC2CN(C)C2)ccc1C#N ZINC001328402143 915167985 /nfs/dbraw/zinc/16/79/85/915167985.db2.gz DPXGIBKXPBXKRU-UHFFFAOYSA-N 0 1 258.325 0.895 20 30 CCEDMN C#CCCCCCC(=O)NCC1CN(CCO)C1 ZINC001481639295 892946256 /nfs/dbraw/zinc/94/62/56/892946256.db2.gz ULQTVAYJYQDZPW-UHFFFAOYSA-N 0 1 252.358 0.610 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCC(=O)NCC)C1 ZINC001481719085 893084895 /nfs/dbraw/zinc/08/48/95/893084895.db2.gz GZJYIVWMLQFTPF-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN N#CCNCCN(CCO)C(=O)c1cccc2n[nH]cc21 ZINC001326070177 913734754 /nfs/dbraw/zinc/73/47/54/913734754.db2.gz NNQOWSRATNVTBH-UHFFFAOYSA-N 0 1 287.323 0.111 20 30 CCEDMN C#CCN(C(=O)Cc1cnc[nH]1)C1CCN(CC#CC)CC1 ZINC001270345447 893758656 /nfs/dbraw/zinc/75/86/56/893758656.db2.gz CDQIBYAIXNKMGS-UHFFFAOYSA-N 0 1 298.390 0.902 20 30 CCEDMN Cc1nc(CNS(=O)(=O)c2c(C)cccc2C#N)n[nH]1 ZINC001364069566 893933209 /nfs/dbraw/zinc/93/32/09/893933209.db2.gz OQPSFRKSTUINDW-UHFFFAOYSA-N 0 1 291.336 0.772 20 30 CCEDMN C#CC[C@H](NC(=O)C(C)(C)c1cnc[nH]1)C(=O)OC ZINC001299177473 894021545 /nfs/dbraw/zinc/02/15/45/894021545.db2.gz BJZCNGCBVFDHIZ-VIFPVBQESA-N 0 1 263.297 0.368 20 30 CCEDMN C=CC[N@H+](C)CCN(C)C(=O)C1(C(=O)NC)CCC1 ZINC001482644600 894447899 /nfs/dbraw/zinc/44/78/99/894447899.db2.gz WHHSRPUZTWFQDM-UHFFFAOYSA-N 0 1 267.373 0.479 20 30 CCEDMN Cc1csc(CNC[C@@H](O)CNC(=O)[C@@H](C)C#N)n1 ZINC001482716434 894511866 /nfs/dbraw/zinc/51/18/66/894511866.db2.gz OELZYOMMOAHXNN-WCBMZHEXSA-N 0 1 282.369 0.178 20 30 CCEDMN C=C(Br)CNC[C@H](O)CNC(=O)C(C)C ZINC001482709733 894524757 /nfs/dbraw/zinc/52/47/57/894524757.db2.gz QIWVUNHEUFGZER-VIFPVBQESA-N 0 1 279.178 0.618 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)CNCc1nccnc1C ZINC001483226609 895140091 /nfs/dbraw/zinc/14/00/91/895140091.db2.gz BVFJJMCKDWOINX-LBPRGKRZSA-N 0 1 290.367 0.419 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)Cc1[nH]nc2c1CCCC2 ZINC001483339868 895478098 /nfs/dbraw/zinc/47/80/98/895478098.db2.gz UUJXPAWYDFPYFC-LBPRGKRZSA-N 0 1 288.395 0.901 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCOC[C@H]1CCCO1 ZINC001483355781 895496529 /nfs/dbraw/zinc/49/65/29/895496529.db2.gz HDQUGYMAGKBABQ-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)CCCNC(=O)Cc1nnc[nH]1 ZINC001492854522 913976855 /nfs/dbraw/zinc/97/68/55/913976855.db2.gz MHBUGRUSJMDBFB-UHFFFAOYSA-N 0 1 293.371 0.524 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@]1(C)CCOC1 ZINC001483400187 895539962 /nfs/dbraw/zinc/53/99/62/895539962.db2.gz CTAKSJQZKMUQGG-OCCSQVGLSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCCCC(=O)NC ZINC001483424309 895556911 /nfs/dbraw/zinc/55/69/11/895556911.db2.gz PLUUZNHCNWVFAW-GFCCVEGCSA-N 0 1 267.373 0.363 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CCc1cn(C)nc1C ZINC001483693370 895869438 /nfs/dbraw/zinc/86/94/38/895869438.db2.gz BWARRVKZNXLKTP-HNNXBMFYSA-N 0 1 288.395 0.875 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)CNC(=O)CC)C1 ZINC001483857543 896081163 /nfs/dbraw/zinc/08/11/63/896081163.db2.gz WJBCUCKGYANCLM-UHFFFAOYSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCCCCCN1CC(N(C)C(=O)Cn2cnnn2)C1 ZINC001483853673 896089742 /nfs/dbraw/zinc/08/97/42/896089742.db2.gz YOTKVEYRCWKJRP-UHFFFAOYSA-N 0 1 292.387 0.562 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001484040817 896169060 /nfs/dbraw/zinc/16/90/60/896169060.db2.gz OEWFTNBQMZGAKI-DOMZBBRYSA-N 0 1 278.352 0.544 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001484040818 896170040 /nfs/dbraw/zinc/17/00/40/896170040.db2.gz OEWFTNBQMZGAKI-IUODEOHRSA-N 0 1 278.352 0.544 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C[C@H]2C=CCC2)C1 ZINC001484201202 896243696 /nfs/dbraw/zinc/24/36/96/896243696.db2.gz HMUFXAUTERGUAQ-GOEBONIOSA-N 0 1 276.380 0.919 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@](O)(CNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001484231050 896262374 /nfs/dbraw/zinc/26/23/74/896262374.db2.gz KUKOLAWIVXYNMX-YDHLFZDLSA-N 0 1 282.384 0.398 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2c(C)nsc2C)C1 ZINC001484251323 896287286 /nfs/dbraw/zinc/28/72/86/896287286.db2.gz BYAOKOWNTMHTCK-CQSZACIVSA-N 0 1 293.392 0.560 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)C[C@H](C)OC)C1 ZINC001484265362 896293606 /nfs/dbraw/zinc/29/36/06/896293606.db2.gz ZJCSOHIYLBFRER-OLZOCXBDSA-N 0 1 284.400 0.929 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@H](NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001397115708 914054855 /nfs/dbraw/zinc/05/48/55/914054855.db2.gz DAFIESFSZQCQEN-ONGXEEELSA-N 0 1 289.339 0.462 20 30 CCEDMN CC[C@H](C)OCC(=O)NCCN1CCC(NCC#N)CC1 ZINC001484417743 896389176 /nfs/dbraw/zinc/38/91/76/896389176.db2.gz FXBIKNOBTRMUCJ-ZDUSSCGKSA-N 0 1 296.415 0.495 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)[C@H](C)OCC)C1 ZINC001484428444 896398559 /nfs/dbraw/zinc/39/85/59/896398559.db2.gz PBMADWVAZUJQAF-DZGCQCFKSA-N 0 1 284.400 0.931 20 30 CCEDMN C=CCN(C)CCCN(C)C(=O)C(C)(C)S(C)(=O)=O ZINC001484760732 896581150 /nfs/dbraw/zinc/58/11/50/896581150.db2.gz KFSDCKBBZMHQPH-UHFFFAOYSA-N 0 1 290.429 0.776 20 30 CCEDMN C=CCCC(=O)N1CCN(C2CN(C[C@H](C)OC)C2)CC1 ZINC001484941555 896677439 /nfs/dbraw/zinc/67/74/39/896677439.db2.gz GWZNSNXUJCYHOQ-AWEZNQCLSA-N 0 1 295.427 0.816 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C2CCC2)CC1 ZINC001485011951 896717582 /nfs/dbraw/zinc/71/75/82/896717582.db2.gz PFSUORRNBBFVPH-UHFFFAOYSA-N 0 1 252.358 0.916 20 30 CCEDMN O=C(C#CC1CC1)NC1CC(CNCc2nncs2)C1 ZINC001485093004 896774668 /nfs/dbraw/zinc/77/46/68/896774668.db2.gz XVLQZODOGXUKBW-UHFFFAOYSA-N 0 1 290.392 0.936 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)CCOC(C)C ZINC001485278108 896899628 /nfs/dbraw/zinc/89/96/28/896899628.db2.gz BROSCLUFLIYFBQ-CQSZACIVSA-N 0 1 284.400 0.888 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H](C)CNC(=O)COCC)C1=O ZINC001485271876 896908551 /nfs/dbraw/zinc/90/85/51/896908551.db2.gz ZRRNODAXKCXVEZ-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001485313199 896936385 /nfs/dbraw/zinc/93/63/85/896936385.db2.gz NMUCSBRHNJQYRR-SNVBAGLBSA-N 0 1 288.351 0.743 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001485395128 897017905 /nfs/dbraw/zinc/01/79/05/897017905.db2.gz XVVILXMRPQOLIV-WFASDCNBSA-N 0 1 279.384 0.505 20 30 CCEDMN C=CCCC(=O)N[C@H](C)C1CN(CCN2CCNC2=O)C1 ZINC001485499632 897087341 /nfs/dbraw/zinc/08/73/41/897087341.db2.gz TXEBACKVXYLYSI-GFCCVEGCSA-N 0 1 294.399 0.414 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)Cc2[nH]cnc2C)C1 ZINC001485533213 897111708 /nfs/dbraw/zinc/11/17/08/897111708.db2.gz OAFOVJHGYMWEAY-NSHDSACASA-N 0 1 274.368 0.720 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@]2(C1)CN(CC)CCO2 ZINC001485557222 897116017 /nfs/dbraw/zinc/11/60/17/897116017.db2.gz WOKVSJUOOIEIEF-HUUCEWRRSA-N 0 1 282.384 0.637 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)[C@H](CC)[NH+](C)C)C(C)(C)C1 ZINC001485581628 897127014 /nfs/dbraw/zinc/12/70/14/897127014.db2.gz HDHPQCLSVGZPGV-STQMWFEESA-N 0 1 265.401 0.786 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2[C@@H](CNC(=O)[C@H](C)CC)[C@@H]2C1 ZINC001485588899 897143964 /nfs/dbraw/zinc/14/39/64/897143964.db2.gz CAHNQZAUHPZCGW-SYQHCUMBSA-N 0 1 293.411 0.629 20 30 CCEDMN C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCn1ccnn1 ZINC001032375868 897365479 /nfs/dbraw/zinc/36/54/79/897365479.db2.gz AROTYKPPQDPOBG-STQMWFEESA-N 0 1 275.356 0.529 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)cc2F)C1 ZINC001077732130 897371136 /nfs/dbraw/zinc/37/11/36/897371136.db2.gz XYNKEGJKYOAYEV-HUUCEWRRSA-N 0 1 290.338 0.932 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C)cc2F)C1 ZINC001077732130 897371148 /nfs/dbraw/zinc/37/11/48/897371148.db2.gz XYNKEGJKYOAYEV-HUUCEWRRSA-N 0 1 290.338 0.932 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnc2n[nH]nc2c1 ZINC001032448423 897579212 /nfs/dbraw/zinc/57/92/12/897579212.db2.gz MQQYWYGLWNIFQY-RYUDHWBXSA-N 0 1 298.350 0.828 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc2n(n1)CCO2 ZINC001032448361 897582451 /nfs/dbraw/zinc/58/24/51/897582451.db2.gz JKXFHPLXLWVNHA-RYUDHWBXSA-N 0 1 288.351 0.750 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2cncn2C1 ZINC001032485770 897640716 /nfs/dbraw/zinc/64/07/16/897640716.db2.gz DKAMEGKXCPTNCV-KBMXLJTQSA-N 0 1 298.390 0.754 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cc(C)nn1C ZINC001032517826 897689177 /nfs/dbraw/zinc/68/91/77/897689177.db2.gz ISSHFJTYFJQZDX-GJZGRUSLSA-N 0 1 286.379 0.579 20 30 CCEDMN C#CCN1CC[C@@]2(CCC[N@H+]2Cc2ccc(=O)[nH]c2)C1=O ZINC001272802976 897693122 /nfs/dbraw/zinc/69/31/22/897693122.db2.gz PGBVMINMTZVMQE-INIZCTEOSA-N 0 1 285.347 0.987 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2Cc2ccc(=O)[nH]c2)C1=O ZINC001272802976 897693128 /nfs/dbraw/zinc/69/31/28/897693128.db2.gz PGBVMINMTZVMQE-INIZCTEOSA-N 0 1 285.347 0.987 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)NC(=O)c1ccoc1 ZINC001127999677 897731885 /nfs/dbraw/zinc/73/18/85/897731885.db2.gz UEUSRPHIYWSFKY-SNVBAGLBSA-N 0 1 299.758 0.856 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1nc(C)no1 ZINC001032551559 897738653 /nfs/dbraw/zinc/73/86/53/897738653.db2.gz XEGJGZBNMYOGHJ-STQMWFEESA-N 0 1 288.351 0.619 20 30 CCEDMN CC(C)CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)NCCC#N ZINC000356045772 897750934 /nfs/dbraw/zinc/75/09/34/897750934.db2.gz AZZPIRVXYNTVPX-GFCCVEGCSA-N 0 1 291.355 0.513 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)c(=O)[nH]1 ZINC001032604974 897811835 /nfs/dbraw/zinc/81/18/35/897811835.db2.gz OOKPEYRDBMNAIT-QWRGUYRKSA-N 0 1 274.324 0.048 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(CCCO)C[C@H]2OC)CCC1 ZINC001212393439 897825157 /nfs/dbraw/zinc/82/51/57/897825157.db2.gz NKTAUCLOYUWJDE-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001326811161 914198689 /nfs/dbraw/zinc/19/86/89/914198689.db2.gz CDCXZIUZYPYNLF-VWYCJHECSA-N 0 1 292.339 0.864 20 30 CCEDMN C=CCn1cc(C(=O)N2C[C@@H]3C[C@H]2CN3C(C)C)nn1 ZINC001032670163 897914961 /nfs/dbraw/zinc/91/49/61/897914961.db2.gz ONZDUKFSOBTNED-RYUDHWBXSA-N 0 1 275.356 0.771 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1ncccn1 ZINC001032691491 897939617 /nfs/dbraw/zinc/93/96/17/897939617.db2.gz BDOJXZZXICEMFN-KBPBESRZSA-N 0 1 284.363 0.718 20 30 CCEDMN C=CCN1CCOC[C@H]1CNC(=O)CN1CCC(C)CC1 ZINC001272894826 898137291 /nfs/dbraw/zinc/13/72/91/898137291.db2.gz UPSFZTQQLGRRAE-OAHLLOKOSA-N 0 1 295.427 0.721 20 30 CCEDMN C#CCN1CCO[C@](C)(CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001108030817 898245141 /nfs/dbraw/zinc/24/51/41/898245141.db2.gz POCUVWBXWTVBBO-GOEBONIOSA-N 0 1 293.411 0.311 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@H]2CCCOC2)C1 ZINC001078191399 898287332 /nfs/dbraw/zinc/28/73/32/898287332.db2.gz SZYKSYFORJIALE-MGPQQGTHSA-N 0 1 282.384 0.541 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc2cc[nH]c2n1 ZINC001486006381 898603636 /nfs/dbraw/zinc/60/36/36/898603636.db2.gz KOPCOCSAJLXFCW-GFCCVEGCSA-N 0 1 286.335 0.267 20 30 CCEDMN N#CCNC[C@@H]1CC[C@@H](NC(=O)CN2CCCC2)C1 ZINC001486220520 898718783 /nfs/dbraw/zinc/71/87/83/898718783.db2.gz MGRSZSMPBDDFCJ-CHWSQXEVSA-N 0 1 264.373 0.480 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@H](C)OCC(C)C ZINC001486326111 898791156 /nfs/dbraw/zinc/79/11/56/898791156.db2.gz IJEZZIMPPOBTIS-GJZGRUSLSA-N 0 1 298.427 0.822 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CN(C)C(=O)c1ccc[nH]1 ZINC001486324705 898807444 /nfs/dbraw/zinc/80/74/44/898807444.db2.gz LCEDQDFFFGMNHC-ZDUSSCGKSA-N 0 1 293.367 0.029 20 30 CCEDMN C=CCCC(=O)N(C)C1CC(NCc2nncn2C)C1 ZINC001486457056 898886888 /nfs/dbraw/zinc/88/68/88/898886888.db2.gz HHALHILZZOQTMR-UHFFFAOYSA-N 0 1 277.372 0.860 20 30 CCEDMN C#CCC[NH2+]CCOCCN(C)C(=O)c1ncccc1[O-] ZINC001486493787 898903203 /nfs/dbraw/zinc/90/32/03/898903203.db2.gz KYDNKIRSNVCTAB-UHFFFAOYSA-N 0 1 291.351 0.489 20 30 CCEDMN C#CCN1CC=C(CNC(=O)Cc2cnn(C)c2C)CC1 ZINC001486509938 898915068 /nfs/dbraw/zinc/91/50/68/898915068.db2.gz HMTUGZLTLSCFEB-UHFFFAOYSA-N 0 1 286.379 0.653 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H]1CN(C(=O)c2ncc[nH]2)CCO1 ZINC001410162574 899249692 /nfs/dbraw/zinc/24/96/92/899249692.db2.gz USNPAVXPABMVTQ-MNOVXSKESA-N 0 1 298.774 0.981 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001327079453 914358079 /nfs/dbraw/zinc/35/80/79/914358079.db2.gz IGRSKONVKBBPPB-NXEZZACHSA-N 0 1 295.343 0.392 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H](OC)[C@H]2CCOC2)CC1 ZINC001327085091 914365324 /nfs/dbraw/zinc/36/53/24/914365324.db2.gz LEWQRGLPXLYLBV-LSDHHAIUSA-N 0 1 295.379 0.926 20 30 CCEDMN C[C@H](CCCCNCC#N)NC(=O)c1[nH]ncc1F ZINC001175135547 899957165 /nfs/dbraw/zinc/95/71/65/899957165.db2.gz UHFUVEKMWQKGRL-SECBINFHSA-N 0 1 267.308 0.951 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cnccc1N1CCOCC1 ZINC001193110649 900033368 /nfs/dbraw/zinc/03/33/68/900033368.db2.gz AFWOAWVHQXTAKI-SNVBAGLBSA-N 0 1 296.352 0.572 20 30 CCEDMN Cn1c2ccccc2nc(C(=O)Nc2nc[nH]c2C#N)c1=O ZINC001198634061 900066700 /nfs/dbraw/zinc/06/67/00/900066700.db2.gz QHWRUBUMXKUBQY-UHFFFAOYSA-N 0 1 294.274 0.781 20 30 CCEDMN C#CC[NH+]1CC[C@H]1CN(C)C(=O)C[N@H+](C)CCC ZINC001488684052 900343175 /nfs/dbraw/zinc/34/31/75/900343175.db2.gz RYDCIHPVNQABCR-ZDUSSCGKSA-N 0 1 251.374 0.494 20 30 CCEDMN CC#CCNCc1cc(=O)[nH]c(CNC(=O)C(C)(C)F)n1 ZINC001489070773 900427782 /nfs/dbraw/zinc/42/77/82/900427782.db2.gz KAABYTWAQJKVOS-UHFFFAOYSA-N 0 1 294.330 0.659 20 30 CCEDMN CCN(C)C(=O)CN(C)CCCNC(=O)C#CC(C)C ZINC001490189847 900564119 /nfs/dbraw/zinc/56/41/19/900564119.db2.gz VAJLWXYLHZRROD-UHFFFAOYSA-N 0 1 281.400 0.562 20 30 CCEDMN CC#CC[NH2+][C@@H](C)[C@@H]1CCCCN1C(=O)Cc1nnc[n-]1 ZINC001490304033 900595847 /nfs/dbraw/zinc/59/58/47/900595847.db2.gz SVZGCEHLTUGQEF-STQMWFEESA-N 0 1 289.383 0.730 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CSCC#N)CC1 ZINC001490398406 900617537 /nfs/dbraw/zinc/61/75/37/900617537.db2.gz HIMBDVZSSODLAV-UHFFFAOYSA-N 0 1 265.382 0.799 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)CC2(COC)CC2)C1 ZINC001490538778 900652776 /nfs/dbraw/zinc/65/27/76/900652776.db2.gz CKKGMQMNZIGXOV-LBPRGKRZSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CC2(COC)CC2)C1 ZINC001490538778 900652782 /nfs/dbraw/zinc/65/27/82/900652782.db2.gz CKKGMQMNZIGXOV-LBPRGKRZSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)Cc2c[nH]cn2)C1 ZINC001490565237 900668300 /nfs/dbraw/zinc/66/83/00/900668300.db2.gz FZKHAIFOUZNCKH-OLZOCXBDSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)CC(C)(C)C)C1 ZINC001493173161 900698260 /nfs/dbraw/zinc/69/82/60/900698260.db2.gz IMMJAVSMGKVYAG-CYBMUJFWSA-N 0 1 293.411 0.610 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@@H]1CCCN(C(=O)CC)C1 ZINC001490747494 900715105 /nfs/dbraw/zinc/71/51/05/900715105.db2.gz FKVXAWNPNMQDIX-ZDUSSCGKSA-N 0 1 279.384 0.316 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](NC(=O)[C@@H](C)C#N)CC1 ZINC001280706981 900939204 /nfs/dbraw/zinc/93/92/04/900939204.db2.gz FEADXGSAPAKPKT-QWHCGFSZSA-N 0 1 292.383 0.419 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC001326347452 901385381 /nfs/dbraw/zinc/38/53/81/901385381.db2.gz FDJYIPOTVBRTOM-ZDUSSCGKSA-N 0 1 277.328 0.395 20 30 CCEDMN Cc1nnc(SCCC(=O)Nc2n[nH]cc2C#N)[nH]1 ZINC001412266477 901611452 /nfs/dbraw/zinc/61/14/52/901611452.db2.gz DHNAEACLSWINRW-UHFFFAOYSA-N 0 1 277.313 0.829 20 30 CCEDMN C[C@H](NC(N)=O)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001412411463 901698711 /nfs/dbraw/zinc/69/87/11/901698711.db2.gz VFPKBTSVNIAHKQ-LURJTMIESA-N 0 1 272.268 0.430 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H](CO)Cc2cnc[nH]2)ccc1F ZINC001412487980 901763412 /nfs/dbraw/zinc/76/34/12/901763412.db2.gz KHMBNGZZLMTVTI-LBPRGKRZSA-N 0 1 288.282 0.754 20 30 CCEDMN C[C@H]1CN(Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[N@H+]1C ZINC001412963147 902396809 /nfs/dbraw/zinc/39/68/09/902396809.db2.gz MKFZWQLZQHBSIG-JTQLQIEISA-N 0 1 286.339 0.442 20 30 CCEDMN C=CCOCCNC(=O)C(=O)N(CCC)[C@H]1CCN(C)C1 ZINC001327931119 902584905 /nfs/dbraw/zinc/58/49/05/902584905.db2.gz VFAYQYUMKKEPQK-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN COC(=O)[C@@]1(CNCc2nc(C#N)cs2)CCOC1 ZINC001413189178 902769552 /nfs/dbraw/zinc/76/95/52/902769552.db2.gz SCDYFNZSXGUQLC-GFCCVEGCSA-N 0 1 281.337 0.684 20 30 CCEDMN N#CCc1cccc(C(=O)NC[C@H](O)c2cnc[nH]2)c1 ZINC001413553224 903045164 /nfs/dbraw/zinc/04/51/64/903045164.db2.gz KUBUEBSTBQTNHI-ZDUSSCGKSA-N 0 1 270.292 0.939 20 30 CCEDMN C#Cc1cccc(NC(=O)CN[C@H](C)CNC(C)=O)c1 ZINC001491375299 903514850 /nfs/dbraw/zinc/51/48/50/903514850.db2.gz IYBMJIRWAGWDEF-LLVKDONJSA-N 0 1 273.336 0.721 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)CCCC)CC1 ZINC001281798954 904348435 /nfs/dbraw/zinc/34/84/35/904348435.db2.gz NISNXCWGYCZWJJ-UHFFFAOYSA-N 0 1 252.358 0.753 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cn(C)nc1C ZINC001281929100 904373483 /nfs/dbraw/zinc/37/34/83/904373483.db2.gz ONIOSJZFPJMNBE-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN CC[C@H](C(N)=O)N(C)C[C@H]1CCCN1C(=O)C#CC(C)C ZINC001281931358 904374404 /nfs/dbraw/zinc/37/44/04/904374404.db2.gz ALFRBACBBUPXNG-ZIAGYGMSSA-N 0 1 293.411 0.833 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H](C)CNC(=O)C2CC2)C1=O ZINC001282346794 904453484 /nfs/dbraw/zinc/45/34/84/904453484.db2.gz VYXGZUZNPYFFEB-DGCLKSJQSA-N 0 1 279.384 0.620 20 30 CCEDMN CCc1n[nH]cc1C(=O)NC[C@H](C)N(C)CC#CCOC ZINC001282422946 904473889 /nfs/dbraw/zinc/47/38/89/904473889.db2.gz ICGPTIBODIFRKM-LBPRGKRZSA-N 0 1 292.383 0.672 20 30 CCEDMN C=CC[C@@H](NC(=O)NCC[N@H+]1CCCOCC1)C(=O)[O-] ZINC001332605929 904517265 /nfs/dbraw/zinc/51/72/65/904517265.db2.gz HUOWRQFAMPVEML-LLVKDONJSA-N 0 1 285.344 0.037 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](CNCc2cnon2)C1 ZINC001283031964 904675043 /nfs/dbraw/zinc/67/50/43/904675043.db2.gz MDHKTOUIHQQPJO-GUBZILKMSA-N 0 1 263.301 0.214 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1ccns1 ZINC001283378220 904829405 /nfs/dbraw/zinc/82/94/05/904829405.db2.gz SAPMGJHTWQWZGC-UHFFFAOYSA-N 0 1 281.381 0.845 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@@H](C)C(=O)NC1CC1 ZINC001377480581 904927957 /nfs/dbraw/zinc/92/79/57/904927957.db2.gz ZMPJEEBRRPNIDR-RYUDHWBXSA-N 0 1 294.399 0.641 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@@H](C)NCc1ccn(C)n1 ZINC001283609220 904928232 /nfs/dbraw/zinc/92/82/32/904928232.db2.gz ZWZNJMGFSWQJQO-CYBMUJFWSA-N 0 1 292.383 0.397 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCNC(=O)[C@@H]1CCCCN1C ZINC001283624857 904934144 /nfs/dbraw/zinc/93/41/44/904934144.db2.gz YMUIIHSHBVEFSK-OLZOCXBDSA-N 0 1 294.399 0.595 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccccc1F ZINC001283732764 904980699 /nfs/dbraw/zinc/98/06/99/904980699.db2.gz IEXUYPREYDCGND-JTQLQIEISA-N 0 1 250.273 0.139 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H](C)CCCC ZINC001283744734 904989422 /nfs/dbraw/zinc/98/94/22/904989422.db2.gz NJUYABJKCCRFHS-OLZOCXBDSA-N 0 1 254.374 0.903 20 30 CCEDMN CC(C)(C)C#CC(=O)NC[C@@H](CO)NCc1cncs1 ZINC001283755781 904994769 /nfs/dbraw/zinc/99/47/69/904994769.db2.gz JWVBMFAYLOQDLT-NSHDSACASA-N 0 1 295.408 0.759 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1cncc2ccccc21 ZINC001283764826 905003557 /nfs/dbraw/zinc/00/35/57/905003557.db2.gz OCDQRDAULOHKTB-OAHLLOKOSA-N 0 1 297.358 0.477 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H](F)c1ccccc1 ZINC001283812407 905028381 /nfs/dbraw/zinc/02/83/81/905028381.db2.gz HMVFIGHIRGQGNH-ZIAGYGMSSA-N 0 1 278.327 0.787 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@@H](NC(=O)CN2CCCC2)C1 ZINC001284088259 905143586 /nfs/dbraw/zinc/14/35/86/905143586.db2.gz IDSKHTHWEPAAQS-OKILXGFUSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1C[C@H](NCc2cn(C)nn2)C1 ZINC001316632004 905238218 /nfs/dbraw/zinc/23/82/18/905238218.db2.gz KTHVMSZEDOFHAQ-JOCQHMNTSA-N 0 1 289.383 0.603 20 30 CCEDMN C=CCCC(=O)NCC[C@@H](C)NC(=O)Cc1nnc[nH]1 ZINC001284420114 905284633 /nfs/dbraw/zinc/28/46/33/905284633.db2.gz YDJXFLJEFSWNHR-SNVBAGLBSA-N 0 1 279.344 0.324 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CSCCC ZINC001284481677 905312259 /nfs/dbraw/zinc/31/22/59/905312259.db2.gz HASLVPBKOSZHEP-GFCCVEGCSA-N 0 1 272.414 0.514 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@H]1CCCC(=O)N1C)C(C)C ZINC001284558549 905370994 /nfs/dbraw/zinc/37/09/94/905370994.db2.gz NXGSCKQIRYLBNW-CQSZACIVSA-N 0 1 293.411 0.799 20 30 CCEDMN C=CCN(CCNC(=O)[C@@H]1CCO[C@@H]1C)CCOC ZINC001284669132 905413606 /nfs/dbraw/zinc/41/36/06/905413606.db2.gz UVRSUQSRRUMMSE-CHWSQXEVSA-N 0 1 270.373 0.662 20 30 CCEDMN Cc1nc(CC(=O)N[C@H](C)C2CCN(CC#N)CC2)n[nH]1 ZINC001284685332 905416840 /nfs/dbraw/zinc/41/68/40/905416840.db2.gz ZNZGKHOAUJOZFT-SNVBAGLBSA-N 0 1 290.371 0.396 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)NC(=O)CN1CCCC1 ZINC001285731319 905748656 /nfs/dbraw/zinc/74/86/56/905748656.db2.gz IIGAELPXRRSXLL-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H]1CN(C(=O)COCC)CCO1 ZINC001378756243 905799754 /nfs/dbraw/zinc/79/97/54/905799754.db2.gz GJELFOLGWBITHQ-VXGBXAGGSA-N 0 1 290.791 0.981 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H]1CCCC(=O)N1 ZINC001378782717 905816445 /nfs/dbraw/zinc/81/64/45/905816445.db2.gz WRCMYBVFCZUXQP-WDEREUQCSA-N 0 1 287.791 0.844 20 30 CCEDMN CO[C@@H](CN1CCN(CC#N)CC1)[C@H]1CCOC1 ZINC001333319201 905923422 /nfs/dbraw/zinc/92/34/22/905923422.db2.gz CNUKBWUYAUMAMV-STQMWFEESA-N 0 1 253.346 0.179 20 30 CCEDMN CC(=O)N1CCC[C@@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC001337147876 921201252 /nfs/dbraw/zinc/20/12/52/921201252.db2.gz JHUHZYVYBQNTNP-CYBMUJFWSA-N 0 1 292.383 0.349 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H](OC)C1CCC1 ZINC001379231466 906077920 /nfs/dbraw/zinc/07/79/20/906077920.db2.gz LKNVBQVMDGTPNB-RYUDHWBXSA-N 0 1 290.791 0.621 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ncccc1F ZINC001379264299 906104035 /nfs/dbraw/zinc/10/40/35/906104035.db2.gz VTRAZVBTHRRVNG-VIFPVBQESA-N 0 1 287.722 0.654 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2cc(C#N)[nH]c2C)n1 ZINC001292880188 906362497 /nfs/dbraw/zinc/36/24/97/906362497.db2.gz FZUXXIRUBBTWPM-UHFFFAOYSA-N 0 1 258.285 0.594 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCCNC(=O)C#CC(C)C ZINC001294057701 906553155 /nfs/dbraw/zinc/55/31/55/906553155.db2.gz ZRSHJRWSAHEUAP-UHFFFAOYSA-N 0 1 291.355 0.351 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001380073217 906622167 /nfs/dbraw/zinc/62/21/67/906622167.db2.gz NIKQTEVAZIXTKR-JTQLQIEISA-N 0 1 286.759 0.931 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](CNC(=O)c1cnn[nH]1)C1CC1 ZINC001294908128 906652126 /nfs/dbraw/zinc/65/21/26/906652126.db2.gz NWCMAGALDBBVLE-NSHDSACASA-N 0 1 289.339 0.089 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1CCNC(=O)C1 ZINC001380269893 906707397 /nfs/dbraw/zinc/70/73/97/906707397.db2.gz KYEKNYMSOYHDDR-NEPJUHHUSA-N 0 1 299.802 0.846 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(C)CCN([C@@H]2CCNC2=O)CC1 ZINC001380300912 906722927 /nfs/dbraw/zinc/72/29/27/906722927.db2.gz WEXHLGIHSNXCPT-GHMZBOCLSA-N 0 1 278.356 0.005 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001295893428 906818122 /nfs/dbraw/zinc/81/81/22/906818122.db2.gz GXHLRBFQPWIEPB-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001295893428 906818135 /nfs/dbraw/zinc/81/81/35/906818135.db2.gz GXHLRBFQPWIEPB-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN O=C(NCC#CCO)c1cc(O)cc([N+](=O)[O-])c1 ZINC001297017673 906993134 /nfs/dbraw/zinc/99/31/34/906993134.db2.gz ZWSJKDHTKLPTPY-UHFFFAOYSA-N 0 1 250.210 0.026 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)N1CC(NC(=O)c2[nH]ncc2F)C1 ZINC001297137537 907030785 /nfs/dbraw/zinc/03/07/85/907030785.db2.gz JHCWVTGDQOFGAC-RKDXNWHRSA-N 0 1 294.330 0.948 20 30 CCEDMN C#CCOc1ccc(CNC(=O)c2ncn[nH]2)cc1 ZINC001298076595 907152561 /nfs/dbraw/zinc/15/25/61/907152561.db2.gz VPMMRKJIGAGOLF-UHFFFAOYSA-N 0 1 256.265 0.747 20 30 CCEDMN C#CCOc1ccc(CNC(=O)c2nc[nH]n2)cc1 ZINC001298076595 907152569 /nfs/dbraw/zinc/15/25/69/907152569.db2.gz VPMMRKJIGAGOLF-UHFFFAOYSA-N 0 1 256.265 0.747 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](O)CNC(=O)[C@H]1CCCN1C ZINC001298185710 907171994 /nfs/dbraw/zinc/17/19/94/907171994.db2.gz CWUANFZFHUERDW-CHWSQXEVSA-N 0 1 297.399 0.030 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CC(C)(C)CNCc1cnn(C)n1 ZINC001381475460 907218974 /nfs/dbraw/zinc/21/89/74/907218974.db2.gz ZQMLTHQAEQICBZ-LLVKDONJSA-N 0 1 292.387 0.549 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@H]1CCC(=O)N1 ZINC001382136446 907565199 /nfs/dbraw/zinc/56/51/99/907565199.db2.gz ZXGDHRIAGICRCG-WDEREUQCSA-N 0 1 287.791 0.844 20 30 CCEDMN N#Cc1cccc(CN[C@H](CO)CNC(=O)c2ncc[nH]2)c1 ZINC001382209531 907622540 /nfs/dbraw/zinc/62/25/40/907622540.db2.gz WRKBKQYDWTUWJH-ZDUSSCGKSA-N 0 1 299.334 0.162 20 30 CCEDMN C[C@H](C(N)=O)N(C)[C@H]1CCCN(C(=O)C#CC(C)(C)C)C1 ZINC001491924433 907641636 /nfs/dbraw/zinc/64/16/36/907641636.db2.gz CNMLQPRFFNRXTO-OLZOCXBDSA-N 0 1 293.411 0.833 20 30 CCEDMN CCn1ncnc1CN[C@H](C)CCNC(=O)C#CC(C)C ZINC001492021846 907676979 /nfs/dbraw/zinc/67/69/79/907676979.db2.gz ZFDQKIZQUIHTAA-CYBMUJFWSA-N 0 1 291.399 0.942 20 30 CCEDMN C#Cc1ccc(N2CCN(Cc3nnc[nH]3)CC2)nc1 ZINC001338028720 921344788 /nfs/dbraw/zinc/34/47/88/921344788.db2.gz PTMNCNSUSKFXQV-UHFFFAOYSA-N 0 1 268.324 0.503 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccc(C)o1 ZINC001492190474 907744851 /nfs/dbraw/zinc/74/48/51/907744851.db2.gz LSEUPKRMGIEEIG-UHFFFAOYSA-N 0 1 264.325 0.899 20 30 CCEDMN CCc1n[nH]cc1C(=O)NCCN(C)CC#CCOC ZINC001492318186 907843975 /nfs/dbraw/zinc/84/39/75/907843975.db2.gz VFFQAEXEHUYZLZ-UHFFFAOYSA-N 0 1 278.356 0.284 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCO[C@H]1C1CC1 ZINC001492349771 907868635 /nfs/dbraw/zinc/86/86/35/907868635.db2.gz BFHWOQVRWKGCKU-OLZOCXBDSA-N 0 1 250.342 0.483 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@H]3C[C@H]3C(N)=O)[nH]c2c1 ZINC001301793194 907988503 /nfs/dbraw/zinc/98/85/03/907988503.db2.gz RJCGKIHSGNZXRA-SFYZADRCSA-N 0 1 269.264 0.494 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](CNCc2cnn(C)n2)C1 ZINC001317320908 908109102 /nfs/dbraw/zinc/10/91/02/908109102.db2.gz QWRGWVWZAGVFAC-CYBMUJFWSA-N 0 1 289.383 0.413 20 30 CCEDMN COCC#CCN(C)[C@H]1CCCN(C(=O)[C@H](C)OC)C1 ZINC001317372121 908184499 /nfs/dbraw/zinc/18/44/99/908184499.db2.gz RKEKRMPKKTUVFW-KBPBESRZSA-N 0 1 282.384 0.594 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H]1CC12CC2 ZINC001316849649 908218609 /nfs/dbraw/zinc/21/86/09/908218609.db2.gz DWQKZBZGTJTIMS-LBPRGKRZSA-N 0 1 279.384 0.527 20 30 CCEDMN N#Cc1cncc(CN2C[C@H](CCO)[C@H](CO)C2)c1 ZINC001308161692 908392831 /nfs/dbraw/zinc/39/28/31/908392831.db2.gz CVZVTKMSBHOCQZ-KBPBESRZSA-N 0 1 261.325 0.376 20 30 CCEDMN Cn1cncc1CNCCNC(=O)C1N=CC=CC1=O ZINC001308262753 908406007 /nfs/dbraw/zinc/40/60/07/908406007.db2.gz SXHZLIOIKSLGQY-OUKQBFOZSA-N 0 1 275.312 0.036 20 30 CCEDMN COCC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCOC1 ZINC001317497651 908533350 /nfs/dbraw/zinc/53/33/50/908533350.db2.gz OFWCGUGCYKWOHA-UONOGXRCSA-N 0 1 280.368 0.253 20 30 CCEDMN COC1([C@H](C)NC(=O)NCC#CCN(C)C)CCOCC1 ZINC001312888227 908639751 /nfs/dbraw/zinc/63/97/51/908639751.db2.gz UJDBOJUNCYUKPD-ZDUSSCGKSA-N 0 1 297.399 0.435 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCC2(CC1)OCCO2 ZINC001313439094 908667931 /nfs/dbraw/zinc/66/79/31/908667931.db2.gz FYIVRIDANDFEPM-UHFFFAOYSA-N 0 1 281.356 0.100 20 30 CCEDMN C=CC[N@H+](C)CCOCCN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001316761665 908685869 /nfs/dbraw/zinc/68/58/69/908685869.db2.gz OVKTZSQGYBOPCG-UHFFFAOYSA-N 0 1 296.371 0.630 20 30 CCEDMN COC[C@H](O)CN1CCC[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001316944449 908698264 /nfs/dbraw/zinc/69/82/64/908698264.db2.gz LILKDBGHFCRGCQ-UONOGXRCSA-N 0 1 296.411 0.624 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2cnn(C)c2)[C@H]1C ZINC001316799204 908778062 /nfs/dbraw/zinc/77/80/62/908778062.db2.gz NMPNLELAEVNOSU-OCCSQVGLSA-N 0 1 274.368 0.565 20 30 CCEDMN CC(C)C#CC(=O)NCCCN(C)CC(=O)NC(C)C ZINC001316822505 908788368 /nfs/dbraw/zinc/78/83/68/908788368.db2.gz REUWMHKZCLNWEU-UHFFFAOYSA-N 0 1 281.400 0.609 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)[C@@H](C)NC(C)=O)C1 ZINC001316936967 908856118 /nfs/dbraw/zinc/85/61/18/908856118.db2.gz BNLJZCPIJXEIEU-TZMCWYRMSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)COC(C)C)CC1 ZINC001316958216 908867913 /nfs/dbraw/zinc/86/79/13/908867913.db2.gz YBAHYRRCGUTRBX-UHFFFAOYSA-N 0 1 283.416 0.721 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001317005367 908912100 /nfs/dbraw/zinc/91/21/00/908912100.db2.gz KMXUBPCKGDUFGP-AWEZNQCLSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@H](NCc2nccn2C)C1 ZINC001317075143 908963813 /nfs/dbraw/zinc/96/38/13/908963813.db2.gz VCRSCWBBCWCOLD-JOCQHMNTSA-N 0 1 274.368 0.960 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)N[C@@H]1CC[N@H+](C2CC2)C1 ZINC001417619984 921461083 /nfs/dbraw/zinc/46/10/83/921461083.db2.gz MSVSGVSNNITTOA-LLVKDONJSA-N 0 1 286.335 0.934 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)C[C@@H]1CCN(C)C1=O ZINC001317174130 909025187 /nfs/dbraw/zinc/02/51/87/909025187.db2.gz SBGDRKHXXKSHSW-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CNC(=O)CN1CCC[C@H]([C@@H](C)NC(=O)C#CC(C)C)C1 ZINC001317206120 909042066 /nfs/dbraw/zinc/04/20/66/909042066.db2.gz WJWNMJMDPSHQIH-KGLIPLIRSA-N 0 1 293.411 0.609 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cn1cncn1 ZINC001317283661 909114350 /nfs/dbraw/zinc/11/43/50/909114350.db2.gz LPRRLLIQXPQNKZ-SECBINFHSA-N 0 1 257.725 0.125 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C[C@@H]1CCCCO1 ZINC001317441627 909208085 /nfs/dbraw/zinc/20/80/85/909208085.db2.gz ADLCFNVFMHQBKM-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](C)[C@H]1CCCO1 ZINC001317458862 909235508 /nfs/dbraw/zinc/23/55/08/909235508.db2.gz DVCUGEGGNCHXDU-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CCCN1C(C)=O ZINC001317491807 909259153 /nfs/dbraw/zinc/25/91/53/909259153.db2.gz FIHHNVCWAMQKFA-CQSZACIVSA-N 0 1 279.384 0.459 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H]1CCC[N@@H+]1CCOCC ZINC001317498352 909269602 /nfs/dbraw/zinc/26/96/02/909269602.db2.gz HTJGRNKQBPFIKX-UKRRQHHQSA-N 0 1 284.400 0.931 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@@H]1CCNC1=O ZINC001317503407 909270720 /nfs/dbraw/zinc/27/07/20/909270720.db2.gz YJBSNRKQSOBGMS-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H]1CCO[C@H]1C=C)C1CC1 ZINC001317557433 909332420 /nfs/dbraw/zinc/33/24/20/909332420.db2.gz YOEPYRGIURJWIL-KGLIPLIRSA-N 0 1 262.353 0.791 20 30 CCEDMN CCn1nnc(C)c1CNCCN(C)C(=O)[C@H](C)C#N ZINC001317572195 909359603 /nfs/dbraw/zinc/35/96/03/909359603.db2.gz BHKYWWNJZACAOG-SNVBAGLBSA-N 0 1 278.360 0.314 20 30 CCEDMN CC(C)(C)C(=O)NCC[C@H]1CCN(CC(=O)NCC#N)C1 ZINC001317808780 909503193 /nfs/dbraw/zinc/50/31/93/909503193.db2.gz HRPNUOLFEGXKLL-LBPRGKRZSA-N 0 1 294.399 0.500 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@](C)(O)C=C ZINC001318196269 909663733 /nfs/dbraw/zinc/66/37/33/909663733.db2.gz QDCXSNJIEQEBBO-YDHLFZDLSA-N 0 1 262.353 0.622 20 30 CCEDMN N#CCSCC(=O)N[C@@H]1CCN(CCn2cccn2)C1 ZINC001318311956 909700117 /nfs/dbraw/zinc/70/01/17/909700117.db2.gz IWLGWODETGXXRI-GFCCVEGCSA-N 0 1 293.396 0.330 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2nn(C)cc2C)C1 ZINC001318331296 909711109 /nfs/dbraw/zinc/71/11/09/909711109.db2.gz MGNQXBXLAHPXEG-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCOCC(F)F)C1 ZINC001318403188 909742665 /nfs/dbraw/zinc/74/26/65/909742665.db2.gz PKKVFKFLBGBLLW-LLVKDONJSA-N 0 1 274.311 0.824 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H](C)Cc2c[nH]cn2)C1 ZINC001318437353 909758630 /nfs/dbraw/zinc/75/86/30/909758630.db2.gz OIUSPZMQLUHXEC-JSGCOSHPSA-N 0 1 274.368 0.754 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)CN(C)C(C)=O)C1 ZINC001318457140 909763089 /nfs/dbraw/zinc/76/30/89/909763089.db2.gz FLVQDHXOUVDFFV-AWEZNQCLSA-N 0 1 279.384 0.411 20 30 CCEDMN CCN(C(=O)C#CC1CC1)[C@H]1CCN(CCO)C1 ZINC001318472200 909771762 /nfs/dbraw/zinc/77/17/62/909771762.db2.gz WVFWYXWIKCHMJF-ZDUSSCGKSA-N 0 1 250.342 0.315 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)CCOCCOC)C1 ZINC001318491646 909779932 /nfs/dbraw/zinc/77/99/32/909779932.db2.gz KGNVZDXVODRQCY-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC(N(C)C(=O)[C@H](C)C#N)CC1 ZINC001389332054 909830520 /nfs/dbraw/zinc/83/05/20/909830520.db2.gz OTXYTBORJXMHGO-PWSUYJOCSA-N 0 1 280.372 0.333 20 30 CCEDMN Cc1nccnc1C(C)N=Nc1nccn(C)c1=O ZINC001338648583 921535796 /nfs/dbraw/zinc/53/57/96/921535796.db2.gz FNCPDULQGFZLQP-UHFFFAOYSA-N 0 1 258.285 0.715 20 30 CCEDMN CCC(=O)NCCC1CCN(CC(=O)NCC#N)CC1 ZINC001319009138 909955188 /nfs/dbraw/zinc/95/51/88/909955188.db2.gz SSYBYSWPOVAXCH-UHFFFAOYSA-N 0 1 280.372 0.254 20 30 CCEDMN COC(=O)c1cc(C=Nn2c(=O)c(C)n[nH]c2=S)c[nH]1 ZINC001319077417 909977747 /nfs/dbraw/zinc/97/77/47/909977747.db2.gz HSKMKWAQETYBAY-UHFFFAOYSA-N 0 1 293.308 0.232 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@@H]1CCC(=O)N1)C1CC1 ZINC001389690819 910031089 /nfs/dbraw/zinc/03/10/89/910031089.db2.gz NIFUUCONRMUPMJ-QWRGUYRKSA-N 0 1 285.775 0.502 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCCC(=O)NC)CC2 ZINC001319397857 910131494 /nfs/dbraw/zinc/13/14/94/910131494.db2.gz JOAZAMZVAKEUSI-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CNC(=O)[C@H]2CCCCN2C)CC1 ZINC001389894836 910138162 /nfs/dbraw/zinc/13/81/62/910138162.db2.gz IGGHUZNNAIEIHC-NWDGAFQWSA-N 0 1 292.383 0.395 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC[C@](C)(CO)C1 ZINC001319425077 910140414 /nfs/dbraw/zinc/14/04/14/910140414.db2.gz KZGWBOUKBMWEFM-OCCSQVGLSA-N 0 1 267.373 0.841 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H](C)CNCc1ccn(C)n1 ZINC001319437144 910147376 /nfs/dbraw/zinc/14/73/76/910147376.db2.gz QAQIJGBIHOELFN-QWRGUYRKSA-N 0 1 263.345 0.516 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NCc2nnnn2C)[C@H](C)C1 ZINC001319670987 910252342 /nfs/dbraw/zinc/25/23/42/910252342.db2.gz BFWZPHIHRNDFMX-NEPJUHHUSA-N 0 1 292.387 0.503 20 30 CCEDMN C=CCO[C@H]1CCN(CC(=O)N(C)Cc2cnn(C)c2)C1 ZINC001320038062 910427480 /nfs/dbraw/zinc/42/74/80/910427480.db2.gz MRLIUZAWHHOCCH-AWEZNQCLSA-N 0 1 292.383 0.655 20 30 CCEDMN C=CCO[C@@H]1CCN(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)C1 ZINC001320038418 910430416 /nfs/dbraw/zinc/43/04/16/910430416.db2.gz RUPIWENWVSGCKX-HZSPNIEDSA-N 0 1 282.384 0.899 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)[C@@H]1COC(=O)N1 ZINC001320724859 910827153 /nfs/dbraw/zinc/82/71/53/910827153.db2.gz LOVOATQSGWPQDD-VIFPVBQESA-N 0 1 275.736 0.285 20 30 CCEDMN C=CCO[C@@H]1CCN(C[C@@H](C)CS(C)(=O)=O)C1 ZINC001320899922 910923996 /nfs/dbraw/zinc/92/39/96/910923996.db2.gz YPCIFTKKXFHEGT-VXGBXAGGSA-N 0 1 261.387 0.944 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)Cc1cc[nH]n1 ZINC001321015619 911021845 /nfs/dbraw/zinc/02/18/45/911021845.db2.gz VGWXTODSTQLUKH-NSCUHMNNSA-N 0 1 268.748 0.967 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)Cn2ncnn2)C1 ZINC001391299754 911027082 /nfs/dbraw/zinc/02/70/82/911027082.db2.gz SMBBIXJFALBEEU-NSHDSACASA-N 0 1 298.778 0.254 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CN(CCc2ccns2)C1 ZINC001391472288 911162892 /nfs/dbraw/zinc/16/28/92/911162892.db2.gz ICEYONLOTZAEJE-SNVBAGLBSA-N 0 1 278.381 0.893 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)CC1CCOCC1 ZINC001322131237 911668864 /nfs/dbraw/zinc/66/88/64/911668864.db2.gz WYBCVRPKDMGWLD-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cccn2nnnc12 ZINC001322167785 911693074 /nfs/dbraw/zinc/69/30/74/911693074.db2.gz GAKSFGFPCOYHGT-LLVKDONJSA-N 0 1 286.339 0.198 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CN1CCCCCCC1=O ZINC001322167811 911694588 /nfs/dbraw/zinc/69/45/88/911694588.db2.gz GDYSCFRXOGCWHC-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CC2OCCCO2)CC1 ZINC001322278105 911743461 /nfs/dbraw/zinc/74/34/61/911743461.db2.gz WOJOOOQCIIPGRJ-UHFFFAOYSA-N 0 1 267.329 0.244 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H](C)c1cnn(C)c1 ZINC001322315503 911759923 /nfs/dbraw/zinc/75/99/23/911759923.db2.gz UPZUFPBSHJBIPQ-NWDGAFQWSA-N 0 1 262.357 0.593 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)COC[C@H]1CCCO1 ZINC001322313424 911760558 /nfs/dbraw/zinc/76/05/58/911760558.db2.gz JEXOIKRFAZDQMI-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CCc1cccnc1 ZINC001392390616 911777630 /nfs/dbraw/zinc/77/76/30/911777630.db2.gz RGCWJAOPJMXKKZ-CYBMUJFWSA-N 0 1 297.786 0.833 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)c1cc[nH]c(=O)c1 ZINC001323209131 912183277 /nfs/dbraw/zinc/18/32/77/912183277.db2.gz FRCNFLMELSQMMN-ZDUSSCGKSA-N 0 1 291.351 0.794 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CNC(=O)OC)C(C)(C)C1 ZINC001328068504 914953448 /nfs/dbraw/zinc/95/34/48/914953448.db2.gz QZYPOYCPSCVFLN-LLVKDONJSA-N 0 1 281.356 0.192 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC[C@H](C)NCc1ccon1 ZINC001328342742 915123853 /nfs/dbraw/zinc/12/38/53/915123853.db2.gz JYQZAEZNCCQMJW-RYUDHWBXSA-N 0 1 279.340 0.697 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)CCCC[NH+]1CCOCC1 ZINC001339524610 921750286 /nfs/dbraw/zinc/75/02/86/921750286.db2.gz ZPQNEXIQBBDWAP-UHFFFAOYSA-N 0 1 284.356 0.588 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)CC2CC2)CC1 ZINC001328510006 915241740 /nfs/dbraw/zinc/24/17/40/915241740.db2.gz RUQRIIRUJIAIGS-UHFFFAOYSA-N 0 1 250.342 0.363 20 30 CCEDMN C=C(CO)C(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC001328678562 915355462 /nfs/dbraw/zinc/35/54/62/915355462.db2.gz JGNLGOPJVQFTNZ-QMMMGPOBSA-N 0 1 253.258 0.030 20 30 CCEDMN N#Cc1ccc(CNC(=O)CCCc2nn[nH]n2)cc1F ZINC001418019629 921767264 /nfs/dbraw/zinc/76/72/64/921767264.db2.gz VJINGKWNEUFBTK-UHFFFAOYSA-N 0 1 288.286 0.850 20 30 CCEDMN CC(=O)N1CCC[C@@H]([C@@H]2CCN(CC(=O)NCC#N)C2)C1 ZINC001328806222 915442908 /nfs/dbraw/zinc/44/29/08/915442908.db2.gz ICNPTULERDCUCG-ZIAGYGMSSA-N 0 1 292.383 0.207 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N(C)CC2CN(C)C2)C1 ZINC001328919704 915517484 /nfs/dbraw/zinc/51/74/84/915517484.db2.gz UCCIFPBZTOCTMB-GFCCVEGCSA-N 0 1 279.384 0.431 20 30 CCEDMN C#CCNC(=O)CCNCc1cc(OCC(F)F)ccn1 ZINC001329139646 915701293 /nfs/dbraw/zinc/70/12/93/915701293.db2.gz BSXVNPJCBBDFFJ-UHFFFAOYSA-N 0 1 297.305 0.955 20 30 CCEDMN N#CCCC[C@H]([NH3+])C(=O)N1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC001329288538 915794458 /nfs/dbraw/zinc/79/44/58/915794458.db2.gz RITYQZUOXQRPNF-ZJUUUORDSA-N 0 1 293.327 0.502 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](NC(=O)[C@H](C)S(C)(=O)=O)C1 ZINC001418061914 921802583 /nfs/dbraw/zinc/80/25/83/921802583.db2.gz DSNLOBUXVHTOQM-GUBZILKMSA-N 0 1 294.804 0.409 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1ncoc1C ZINC001329394717 915875317 /nfs/dbraw/zinc/87/53/17/915875317.db2.gz XKYXBZHTKIBJBS-LLVKDONJSA-N 0 1 279.340 0.683 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC001329456352 915929929 /nfs/dbraw/zinc/92/99/29/915929929.db2.gz YNROWQDGKSVYKD-JOYOIKCWSA-N 0 1 250.302 0.448 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)Cn1cc(C2CC2)nn1 ZINC001329458851 915933403 /nfs/dbraw/zinc/93/34/03/915933403.db2.gz FHVFMLZKWWJWOH-LLVKDONJSA-N 0 1 275.356 0.225 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCCN1C(=O)C1CC1 ZINC001329575883 916026749 /nfs/dbraw/zinc/02/67/49/916026749.db2.gz XUBZWDYLTQHCFP-JSGCOSHPSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)Cc1c(C)noc1C ZINC001329614103 916062044 /nfs/dbraw/zinc/06/20/44/916062044.db2.gz RDSVHMHKNLZSJF-SNVBAGLBSA-N 0 1 263.341 0.904 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cc2ccc(C#N)nc2)CC1 ZINC001329791949 916188830 /nfs/dbraw/zinc/18/88/30/916188830.db2.gz GPCYBWAJSOVPGG-UHFFFAOYSA-N 0 1 283.335 0.600 20 30 CCEDMN C=C(C)CCN1CCN([C@@]2(C(=O)OC)CCOC2)CC1 ZINC001329844164 916218879 /nfs/dbraw/zinc/21/88/79/916218879.db2.gz DKSSHCQYGBUTRH-HNNXBMFYSA-N 0 1 282.384 0.902 20 30 CCEDMN C=CC[C@@H]1CCCN(CCS(=O)(=O)CCC(N)=O)C1 ZINC001329910794 916270039 /nfs/dbraw/zinc/27/00/39/916270039.db2.gz HTBSKCBEZFONRT-GFCCVEGCSA-N 0 1 288.413 0.565 20 30 CCEDMN CO[C@H](C)C(=O)NC[C@@H](O)CNCc1ccccc1C#N ZINC001401484174 916270040 /nfs/dbraw/zinc/27/00/40/916270040.db2.gz FJNORAWJUNOVDO-RISCZKNCSA-N 0 1 291.351 0.160 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001330032832 916368699 /nfs/dbraw/zinc/36/86/99/916368699.db2.gz WTDCREAAQRPEFX-RISCZKNCSA-N 0 1 292.383 0.730 20 30 CCEDMN C[C@H](CC#N)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001330394155 916606642 /nfs/dbraw/zinc/60/66/42/916606642.db2.gz MJAZAQAZYIRIRI-GFCCVEGCSA-N 0 1 266.389 0.678 20 30 CCEDMN C#CCC1(O)CCN(CC[C@@H]2CCS(=O)(=O)C2)CC1 ZINC001330733402 916825214 /nfs/dbraw/zinc/82/52/14/916825214.db2.gz RVBXCLPCIHGZKM-CYBMUJFWSA-N 0 1 285.409 0.661 20 30 CCEDMN COCC#CC(=O)Nc1c(C(C)C)n[nH]c1C(N)=O ZINC001331203036 917178842 /nfs/dbraw/zinc/17/88/42/917178842.db2.gz GOJOTCWEQFYCTH-UHFFFAOYSA-N 0 1 264.285 0.220 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1c[nH]c(=O)n1C ZINC001402988893 917404359 /nfs/dbraw/zinc/40/43/59/917404359.db2.gz BTPIPWNJWNPAQJ-RKDXNWHRSA-N 0 1 286.763 0.975 20 30 CCEDMN C#CC[C@H](CO)NCc1cc(C(=O)OCC)n[nH]1 ZINC001331585368 917431916 /nfs/dbraw/zinc/43/19/16/917431916.db2.gz TZUUVYXXPSRTAO-SECBINFHSA-N 0 1 251.286 0.060 20 30 CCEDMN O=C(NC[C@@H](CO)NCC#Cc1ccccc1)c1cc[nH]c1 ZINC001331723600 917548231 /nfs/dbraw/zinc/54/82/31/917548231.db2.gz DBHMRQXLQLURFT-INIZCTEOSA-N 0 1 297.358 0.747 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc(COC)c1 ZINC001331740612 917565055 /nfs/dbraw/zinc/56/50/55/917565055.db2.gz QNDVURAYOZGSDL-CQSZACIVSA-N 0 1 276.336 0.147 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1ccc2cnccc2c1 ZINC001331759321 917579365 /nfs/dbraw/zinc/57/93/65/917579365.db2.gz PABGOXXWAXQSIV-INIZCTEOSA-N 0 1 297.358 0.477 20 30 CCEDMN N#Cc1ccc(NC(=O)NCCN2CC=CC2)nc1 ZINC001331768542 917589477 /nfs/dbraw/zinc/58/94/77/917589477.db2.gz WOLOEGQROVBUPZ-UHFFFAOYSA-N 0 1 257.297 0.947 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccn(C)c1C ZINC001331787810 917610940 /nfs/dbraw/zinc/61/09/40/917610940.db2.gz MPRXMORNBYKMBE-LBPRGKRZSA-N 0 1 263.341 0.037 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1(c2ccccc2F)CC1 ZINC001331803880 917620985 /nfs/dbraw/zinc/62/09/85/917620985.db2.gz GMZSGBVFIHBHKA-GFCCVEGCSA-N 0 1 290.338 0.557 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ncoc1C(C)(C)C ZINC001331823257 917642113 /nfs/dbraw/zinc/64/21/13/917642113.db2.gz DLJRDIYADJJUCZ-NSHDSACASA-N 0 1 293.367 0.676 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H](C)OC ZINC001492920796 917651056 /nfs/dbraw/zinc/65/10/56/917651056.db2.gz VPPLCIAPXGDKNZ-GFCCVEGCSA-N 0 1 256.346 0.109 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CCc1c(C)n[nH]c1C ZINC001331834566 917651610 /nfs/dbraw/zinc/65/16/10/917651610.db2.gz IQQRBLZGNXKJFN-CYBMUJFWSA-N 0 1 292.383 0.049 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCc1cc(F)ccc1F ZINC001331843494 917658486 /nfs/dbraw/zinc/65/84/86/917658486.db2.gz WKDDWNOPDHNUGX-ZDUSSCGKSA-N 0 1 296.317 0.597 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)Cc3nc[nH]n3)[C@@H]2C1 ZINC001403448693 917719411 /nfs/dbraw/zinc/71/94/11/917719411.db2.gz KYZWSHSJXAEWLU-RTCCRHLQSA-N 0 1 295.774 0.394 20 30 CCEDMN C=C[C@@H](COC)NS(=O)(=O)c1occc1C(=O)OC ZINC001331988094 917784238 /nfs/dbraw/zinc/78/42/38/917784238.db2.gz YYKUAKHOBYBMLC-QMMMGPOBSA-N 0 1 289.309 0.546 20 30 CCEDMN N#CCCC[C@@H]([NH3+])C(=O)N1CCC(c2n[n-]c(=O)o2)CC1 ZINC001332157165 917913726 /nfs/dbraw/zinc/91/37/26/917913726.db2.gz OKORAHLKVDCAGH-SNVBAGLBSA-N 0 1 293.327 0.502 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@@H]1CCCO1 ZINC001403833384 918040793 /nfs/dbraw/zinc/04/07/93/918040793.db2.gz QJRYSTOJZSMMJE-MNOVXSKESA-N 0 1 276.764 0.327 20 30 CCEDMN N#Cc1csc(CN[C@H]2CC[C@@H](O)[C@@H](O)CC2)n1 ZINC001332364687 918089409 /nfs/dbraw/zinc/08/94/09/918089409.db2.gz JQAYVSHILSKEAE-GUDFOSOFSA-N 0 1 267.354 0.769 20 30 CCEDMN C=CCCC(=O)N(C)C[C@@H](O)CNCc1cc(C)no1 ZINC001332489383 918211258 /nfs/dbraw/zinc/21/12/58/918211258.db2.gz IOXDHHKVJMXFAG-LBPRGKRZSA-N 0 1 281.356 0.858 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccoc1 ZINC001332616618 918315729 /nfs/dbraw/zinc/31/57/29/918315729.db2.gz NPBLLIQHMJFTJH-CQSZACIVSA-N 0 1 294.351 0.294 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)C=C1CCC1 ZINC001332631596 918332094 /nfs/dbraw/zinc/33/20/94/918332094.db2.gz FYCPHFWMPJBLLW-OAHLLOKOSA-N 0 1 294.395 0.498 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC001332852797 918485853 /nfs/dbraw/zinc/48/58/53/918485853.db2.gz HUAQSVCAIAWAGZ-VHSXEESVSA-N 0 1 261.325 0.649 20 30 CCEDMN C#CCCc1cc(=O)n(C2CCS(=O)(=O)CC2)[nH]1 ZINC001333841301 919203195 /nfs/dbraw/zinc/20/31/95/919203195.db2.gz OJYDAZNUYRCVFH-UHFFFAOYSA-N 0 1 268.338 0.565 20 30 CCEDMN COCCCn1ccc(NC(=O)NCC#CCN(C)C)n1 ZINC001334115051 919375992 /nfs/dbraw/zinc/37/59/92/919375992.db2.gz XWCXOWAWCARCSD-UHFFFAOYSA-N 0 1 293.371 0.606 20 30 CCEDMN C#CCN(CC1CC1)C(=O)NCCCc1nc[nH]n1 ZINC001334330145 919500301 /nfs/dbraw/zinc/50/03/01/919500301.db2.gz NILQWCFNKCMZCE-UHFFFAOYSA-N 0 1 261.329 0.792 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H]2[C@@H](C(=O)[O-])[C@H]2C1 ZINC001335015037 919897793 /nfs/dbraw/zinc/89/77/93/919897793.db2.gz FOPHKERJEJTNER-MROQNXINSA-N 0 1 276.336 0.263 20 30 CCEDMN C=C(Cl)CN[C@@]1(CO)CCCN(C(=O)[C@H](C)OC)C1 ZINC001408003282 919983173 /nfs/dbraw/zinc/98/31/73/919983173.db2.gz BYPOMPLXSIWBQT-AAEUAGOBSA-N 0 1 290.791 0.717 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c([N+](=O)[O-])cnn2C)CC1 ZINC001335158104 919987198 /nfs/dbraw/zinc/98/71/98/919987198.db2.gz CZHWQLHLGXNVNB-UHFFFAOYSA-N 0 1 291.311 0.156 20 30 CCEDMN Cc1ccnc(CN[C@@H](C)CCNC(=O)[C@H](C)C#N)n1 ZINC001408173297 920077185 /nfs/dbraw/zinc/07/71/85/920077185.db2.gz JRQNFNDIOSLTFI-MNOVXSKESA-N 0 1 275.356 0.929 20 30 CCEDMN CCn1cc(CN[C@@H](C)CCNC(=O)[C@H](C)C#N)nn1 ZINC001408177389 920083636 /nfs/dbraw/zinc/08/36/36/920083636.db2.gz YMCNCZPVIPFETO-MNOVXSKESA-N 0 1 278.360 0.442 20 30 CCEDMN CCCN1CCCC[C@H]1C(=O)N[C@@H]1CCN(O)C1=O ZINC001335287205 920094823 /nfs/dbraw/zinc/09/48/23/920094823.db2.gz GCJZKBNSMZAVGV-MNOVXSKESA-N 0 1 269.345 0.357 20 30 CCEDMN Cn1nnc2c1CC[C@H](NC[C@@H](C#N)CCC#N)C2 ZINC001335501004 920239220 /nfs/dbraw/zinc/23/92/20/920239220.db2.gz QHLOYMRCWKRRCO-MNOVXSKESA-N 0 1 258.329 0.706 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCc2nc[nH]c2[C@@H]1c1cccnc1 ZINC001336538632 920821219 /nfs/dbraw/zinc/82/12/19/920821219.db2.gz ZSKKNHVTRLYSOF-JSGCOSHPSA-N 0 1 284.319 0.826 20 30 CCEDMN C=C(C)CCNC(=O)N1CCNC[C@H]1CCOC ZINC001336895004 921088828 /nfs/dbraw/zinc/08/88/28/921088828.db2.gz XFGXLXCWSODSBK-GFCCVEGCSA-N 0 1 255.362 0.973 20 30 CCEDMN CCOC(=O)c1cc(C(=O)Nc2n[nH]cc2C#N)on1 ZINC001418146312 921855740 /nfs/dbraw/zinc/85/57/40/921855740.db2.gz ZENYWSHQUWAPRU-UHFFFAOYSA-N 0 1 275.224 0.698 20 30 CCEDMN C#CCNCC(=O)NCc1noc(-c2ccccc2C)n1 ZINC001340248670 922024459 /nfs/dbraw/zinc/02/44/59/922024459.db2.gz DREBKGDDEHYOSY-UHFFFAOYSA-N 0 1 284.319 0.884 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCC[C@@]1(C)C(=O)OCC ZINC001340656561 922157743 /nfs/dbraw/zinc/15/77/43/922157743.db2.gz GCWUWMOCMVMICG-SMDDNHRTSA-N 0 1 266.341 0.447 20 30 CCEDMN CON=Cc1ccc(C(=O)N2CCNC[C@H]2CO)cc1 ZINC001340848908 922241572 /nfs/dbraw/zinc/24/15/72/922241572.db2.gz SPXFEYXZPWRWAG-ZDUSSCGKSA-N 0 1 277.324 0.073 20 30 CCEDMN CCCn1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1C ZINC001340906842 922267588 /nfs/dbraw/zinc/26/75/88/922267588.db2.gz FHGJGDAHCLKTBP-JQWIXIFHSA-N 0 1 261.329 0.443 20 30 CCEDMN Cc1nc([C@H](C)N(CCNC(=O)[C@H](C)C#N)C2CC2)n[nH]1 ZINC001418749005 922407800 /nfs/dbraw/zinc/40/78/00/922407800.db2.gz AQYWMYWGCWGZRH-ZJUUUORDSA-N 0 1 290.371 0.914 20 30 CCEDMN N#Cc1cscc1C(=O)NC[C@H]1COCCN1 ZINC001341465075 922497005 /nfs/dbraw/zinc/49/70/05/922497005.db2.gz ZGUQMSVWJOZQDA-VIFPVBQESA-N 0 1 251.311 0.338 20 30 CCEDMN COC(=O)[C@H]1C[C@@H](C(=O)C(C#N)C(=O)NC2CCCC2)C1 ZINC001342011966 922760651 /nfs/dbraw/zinc/76/06/51/922760651.db2.gz ZRGCHFPBGUVBRL-JFGNBEQYSA-N 0 1 292.335 0.953 20 30 CCEDMN C[C@H](C(=O)C(C#N)C(=O)NC1CC1)[C@H]1CCCO1 ZINC001342723355 923071276 /nfs/dbraw/zinc/07/12/76/923071276.db2.gz XJKLDEZFULAWFK-JMJZKYOTSA-N 0 1 250.298 0.789 20 30 CCEDMN C=C(C)Cn1c(-c2c[nH]nn2)nnc1N1CCO[C@@H](C)C1 ZINC001343315445 923266729 /nfs/dbraw/zinc/26/67/29/923266729.db2.gz SXQNGJGKOVUTBU-JTQLQIEISA-N 0 1 289.343 0.864 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C(=O)OC)C1CCCCC1 ZINC001343338897 923277483 /nfs/dbraw/zinc/27/74/83/923277483.db2.gz HFDQVTCKKMWNGH-CYBMUJFWSA-N 0 1 266.341 0.447 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1c(C)nc[nH]c1=O ZINC001420326533 923547143 /nfs/dbraw/zinc/54/71/43/923547143.db2.gz FZPQLGKBWUAUCK-MRVPVSSYSA-N 0 1 284.747 0.951 20 30 CCEDMN Cc1ccc(CNC(=O)[C@@H]2CNCCO2)cc1C#N ZINC001344899887 923726843 /nfs/dbraw/zinc/72/68/43/923726843.db2.gz MKAPOECECXUNEA-ZDUSSCGKSA-N 0 1 259.309 0.471 20 30 CCEDMN C#CCN1CCC(OC(=O)C2(C(=O)OC)CC2)CC1 ZINC001344942326 923741157 /nfs/dbraw/zinc/74/11/57/923741157.db2.gz LOAZLWVQUJKROR-UHFFFAOYSA-N 0 1 265.309 0.580 20 30 CCEDMN Cn1cc(C(=O)[O-])cc1C=NNCCC[NH+]1CCOCC1 ZINC001345054435 923777776 /nfs/dbraw/zinc/77/77/76/923777776.db2.gz NTVWOQMXJXEUFO-UHFFFAOYSA-N 0 1 294.355 0.369 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)c1cnncc1O ZINC001347060561 924360904 /nfs/dbraw/zinc/36/09/04/924360904.db2.gz MEWWBCRUSSWSLS-JOYOIKCWSA-N 0 1 263.297 0.893 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)c1cnncc1O ZINC001347060558 924361708 /nfs/dbraw/zinc/36/17/08/924361708.db2.gz MEWWBCRUSSWSLS-BXKDBHETSA-N 0 1 263.297 0.893 20 30 CCEDMN C#CCN(CC#CC)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001347187361 924393911 /nfs/dbraw/zinc/39/39/11/924393911.db2.gz QTILFIFMXUKHAQ-LSDHHAIUSA-N 0 1 290.411 0.289 20 30 CCEDMN CCC[C@H](C#N)NC(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001347553727 924478395 /nfs/dbraw/zinc/47/83/95/924478395.db2.gz IBBJQAQQMHAVEU-UTUOFQBUSA-N 0 1 250.346 0.183 20 30 CCEDMN C=CCC1(O)CN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001347805225 924541658 /nfs/dbraw/zinc/54/16/58/924541658.db2.gz UBTAQHWUWLFJOB-JTQLQIEISA-N 0 1 261.325 0.979 20 30 CCEDMN C#CCN(CC1CC1)[C@@H](C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC001348450489 924691080 /nfs/dbraw/zinc/69/10/80/924691080.db2.gz WEPGHWUWGJWRBP-JSGCOSHPSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCN(CC1CC1)[C@H](C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC001348450490 924691240 /nfs/dbraw/zinc/69/12/40/924691240.db2.gz WEPGHWUWGJWRBP-OCCSQVGLSA-N 0 1 291.395 0.444 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N(C)CC(C)(C)C#N ZINC001348683869 924773619 /nfs/dbraw/zinc/77/36/19/924773619.db2.gz BIFKMBDYTCHMEY-VXGBXAGGSA-N 0 1 264.373 0.383 20 30 CCEDMN CC#CCCCC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001348746610 924793792 /nfs/dbraw/zinc/79/37/92/924793792.db2.gz FUKPGXDEYUPUKI-GOEBONIOSA-N 0 1 294.395 0.522 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)[C@@H]2CN3CCN2C[C@H]3C)C1 ZINC001348888437 924836661 /nfs/dbraw/zinc/83/66/61/924836661.db2.gz VBMURWWOYYBARL-MCIONIFRSA-N 0 1 261.369 0.247 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001349079630 924881551 /nfs/dbraw/zinc/88/15/51/924881551.db2.gz OZNSQPRLMXHCCJ-NSHDSACASA-N 0 1 278.356 0.785 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2cncn2C)C1 ZINC001479969644 924977163 /nfs/dbraw/zinc/97/71/63/924977163.db2.gz IBAZVQOJZPWMGZ-LBPRGKRZSA-N 0 1 260.341 0.176 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCNC(=O)CCc1nc[nH]n1 ZINC001349881346 925084803 /nfs/dbraw/zinc/08/48/03/925084803.db2.gz SSVRTGZCWUBEJF-UHFFFAOYSA-N 0 1 293.371 0.572 20 30 CCEDMN C#CCN(CC1CC1)[C@@H]1CCN(CCC(=O)OC)C1=O ZINC001350364416 925181448 /nfs/dbraw/zinc/18/14/48/925181448.db2.gz LJUYVTVUWOEBKL-CYBMUJFWSA-N 0 1 278.352 0.496 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC(N3CCN(CC)CC3)C2)nc1 ZINC001350717368 925260529 /nfs/dbraw/zinc/26/05/29/925260529.db2.gz MTVWVVYKNKSJGC-UHFFFAOYSA-N 0 1 298.390 0.525 20 30 CCEDMN C=CCN(C(=O)C(=O)NCc1cc(C)[nH]n1)[C@@H](C)COC ZINC001350745954 925267011 /nfs/dbraw/zinc/26/70/11/925267011.db2.gz SCVFAYOENOZNOR-NSHDSACASA-N 0 1 294.355 0.384 20 30 CCEDMN CCOc1ccc(C(=O)NCC#CCN(C)C)cn1 ZINC001350835963 925292554 /nfs/dbraw/zinc/29/25/54/925292554.db2.gz DCXZAHVSVJKVLP-UHFFFAOYSA-N 0 1 261.325 0.775 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC001351129844 925366803 /nfs/dbraw/zinc/36/68/03/925366803.db2.gz QIAYINLZBFEXPL-GFCCVEGCSA-N 0 1 267.373 0.574 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2CCNC[C@@H]2C(C)C)CC1 ZINC001351695150 925457166 /nfs/dbraw/zinc/45/71/66/925457166.db2.gz SUSKYVRQGFUKCE-CQSZACIVSA-N 0 1 293.411 0.867 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001352913350 925670684 /nfs/dbraw/zinc/67/06/84/925670684.db2.gz VSPXSDLPEQEIHG-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001352913350 925670689 /nfs/dbraw/zinc/67/06/89/925670689.db2.gz VSPXSDLPEQEIHG-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001352964558 925685104 /nfs/dbraw/zinc/68/51/04/925685104.db2.gz XYEBXGNCEWWCGX-NSHDSACASA-N 0 1 291.355 0.421 20 30 CCEDMN C#CCC(C)(C)C(=O)N1CC(N2CCN(CC)CC2)C1 ZINC001353084389 925726438 /nfs/dbraw/zinc/72/64/38/925726438.db2.gz BEILBFWSGJBHBY-UHFFFAOYSA-N 0 1 277.412 0.884 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@](C)(CCF)C(=O)[O-] ZINC001354040352 925992223 /nfs/dbraw/zinc/99/22/23/925992223.db2.gz COUMYCCKXPZWHJ-CYBMUJFWSA-N 0 1 287.335 0.444 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H](C)CNC(=O)C#CC(C)C)c1C ZINC001354369319 926096996 /nfs/dbraw/zinc/09/69/96/926096996.db2.gz QQTFFGJBNFEKPZ-SNVBAGLBSA-N 0 1 290.367 0.920 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)C(=O)N[C@@H]1CCN(C)C1 ZINC001354436026 926109218 /nfs/dbraw/zinc/10/92/18/926109218.db2.gz XRPMPVBWOHTKKO-GFCCVEGCSA-N 0 1 286.335 0.626 20 30 CCEDMN C#CCCCC(=O)N(C)CCNC(=O)Cc1cnc[nH]1 ZINC001355954300 926327917 /nfs/dbraw/zinc/32/79/17/926327917.db2.gz LRLTZEWTYIWYDI-UHFFFAOYSA-N 0 1 276.340 0.330 20 30 CCEDMN C#CCCCC(=O)N(CC)CCNC(=O)c1[nH]ncc1F ZINC001356207283 926355894 /nfs/dbraw/zinc/35/58/94/926355894.db2.gz IJQWDQRCNILKFH-UHFFFAOYSA-N 0 1 294.330 0.931 20 30 CCEDMN C#CCNCC(=O)N[C@H](CO)c1cc(F)ccc1F ZINC001356482451 926388668 /nfs/dbraw/zinc/38/86/68/926388668.db2.gz BKRCUMPDRWLQON-GFCCVEGCSA-N 0 1 268.263 0.337 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCNC[C@@H]1COC ZINC001357515368 926552930 /nfs/dbraw/zinc/55/29/30/926552930.db2.gz OKPPNDCNYKGVDC-XQQFMLRXSA-N 0 1 283.372 0.207 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC[C@@H](C)NC(=O)[C@H]1CCCN1C ZINC001358303229 926671069 /nfs/dbraw/zinc/67/10/69/926671069.db2.gz IZLPWGBWMVHIID-FRRDWIJNSA-N 0 1 297.399 0.293 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H](C)C#N)NCc1cnc(C)cn1 ZINC001421377249 926710681 /nfs/dbraw/zinc/71/06/81/926710681.db2.gz NWVUXHSVFQSPEO-CMPLNLGQSA-N 0 1 275.356 0.929 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(C[C@@H](O)c2cccc(C#N)c2)C1 ZINC001413985369 926730295 /nfs/dbraw/zinc/73/02/95/926730295.db2.gz VUQMKDAWHVGIHZ-ZIAGYGMSSA-N 0 1 288.351 0.595 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(C[C@H](O)c2cccc(C#N)c2)C1 ZINC001413985353 926730739 /nfs/dbraw/zinc/73/07/39/926730739.db2.gz VUQMKDAWHVGIHZ-KGLIPLIRSA-N 0 1 288.351 0.595 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1c[nH]c(=O)cn1 ZINC001422374137 927251384 /nfs/dbraw/zinc/25/13/84/927251384.db2.gz FSMXUMMUCSXCBD-BDAKNGLRSA-N 0 1 284.747 0.619 20 30 CCEDMN Cc1cc(CNC[C@H](C)NC(=O)c2c[nH]c(C#N)c2)ncn1 ZINC001422632473 927427625 /nfs/dbraw/zinc/42/76/25/927427625.db2.gz HQWGOVYBOZARLT-NSHDSACASA-N 0 1 298.350 0.893 20 30 CCEDMN N#Cc1ccc(CN[C@@H](CO)CNC(=O)[C@H]2CC23CC3)cc1 ZINC001423275513 927784793 /nfs/dbraw/zinc/78/47/93/927784793.db2.gz VWZBSUCXOOINBF-HUUCEWRRSA-N 0 1 299.374 0.925 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@]1(C)CCN(CCNC(=O)C2CC2)C1 ZINC001423575603 927928642 /nfs/dbraw/zinc/92/86/42/927928642.db2.gz VZJXRPCVFZXACK-XHDPSFHLSA-N 0 1 292.383 0.253 20 30 CCEDMN CC(C)(NC(=O)CNc1ccc(C#N)cn1)c1c[nH]nn1 ZINC001362536473 928147747 /nfs/dbraw/zinc/14/77/47/928147747.db2.gz XXFXGPZOMBTVKC-UHFFFAOYSA-N 0 1 285.311 0.535 20 30 CCEDMN CN(CCC#N)S(=O)(=O)NC[C@@H]1CCCCN1C ZINC001424848022 928316021 /nfs/dbraw/zinc/31/60/21/928316021.db2.gz SQKIEPSZYIUVHI-NSHDSACASA-N 0 1 274.390 0.151 20 30 CCEDMN N#Cc1ccccc1OCC[N@@H+]1CC[C@H](c2nnn[n-]2)C1 ZINC001363292819 929086258 /nfs/dbraw/zinc/08/62/58/929086258.db2.gz UBYORDCHJXKFNB-LBPRGKRZSA-N 0 1 284.323 0.940 20 30 CCEDMN N#Cc1ccccc1OCC[N@H+]1CC[C@H](c2nnn[n-]2)C1 ZINC001363292819 929086270 /nfs/dbraw/zinc/08/62/70/929086270.db2.gz UBYORDCHJXKFNB-LBPRGKRZSA-N 0 1 284.323 0.940 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@@H](NC(=O)CCCc1nn[nH]n1)C2 ZINC001363519468 929325142 /nfs/dbraw/zinc/32/51/42/929325142.db2.gz VSVPEEBPGHUTQE-ZDUSSCGKSA-N 0 1 296.334 0.678 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCNC(=O)CCc1cnc[nH]1 ZINC001429056245 929372101 /nfs/dbraw/zinc/37/21/01/929372101.db2.gz URGVAZIGLWRBAQ-GHMZBOCLSA-N 0 1 291.355 0.513 20 30 CCEDMN CCCN(CCNC(=O)[C@@H]1CCCN1C)C(=O)[C@@H](C)C#N ZINC001429794331 929515972 /nfs/dbraw/zinc/51/59/72/929515972.db2.gz SWGLHKAELFTRQT-STQMWFEESA-N 0 1 294.399 0.595 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CNCc2cn(C)nn2)CCCC1 ZINC001444060428 929781212 /nfs/dbraw/zinc/78/12/12/929781212.db2.gz SONWORNZTQVLOY-NSHDSACASA-N 0 1 290.371 0.493 20 30 CCEDMN Cc1nn(C)cc1CN1CC[C@](C)(NC(=O)[C@H](C)C#N)C1 ZINC001375817210 930982710 /nfs/dbraw/zinc/98/27/10/930982710.db2.gz AVIKLQVHRJFNJN-ABAIWWIYSA-N 0 1 289.383 0.969 20 30 CCEDMN C=C(CN(C)C)C(=O)N1CCO[C@@H](C(=O)C2CC2)C1 ZINC001448846248 931006063 /nfs/dbraw/zinc/00/60/63/931006063.db2.gz JSYWMOWKLZBNHK-GFCCVEGCSA-N 0 1 266.341 0.311 20 30 CCEDMN Cc1nnsc1CN[C@@H](CNC(=O)[C@@H](C)C#N)C1CC1 ZINC001376195647 931087037 /nfs/dbraw/zinc/08/70/37/931087037.db2.gz HLMOQFZSAFMOTJ-KWQFWETISA-N 0 1 293.396 0.991 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)CCn1ccnn1)C1CC1 ZINC001376226333 931097085 /nfs/dbraw/zinc/09/70/85/931097085.db2.gz YJZLLXDOXYBCHV-GFCCVEGCSA-N 0 1 297.790 0.905 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)CC(N)=O)C1 ZINC001376330295 931124339 /nfs/dbraw/zinc/12/43/39/931124339.db2.gz GTQXBJVIEMPBOX-WPRPVWTQSA-N 0 1 273.764 0.441 20 30 CCEDMN C#C[C@H]1CCC[N@@H+](CN2C[C@H](C(=O)[O-])CC2=O)C1 ZINC001602675356 971247666 /nfs/dbraw/zinc/24/76/66/971247666.db2.gz RUVLQVJXNMEGKG-WDEREUQCSA-N 0 1 250.298 0.222 20 30 CCEDMN C#C[C@H]1CCC[N@H+](CN2C[C@H](C(=O)[O-])CC2=O)C1 ZINC001602675356 971247673 /nfs/dbraw/zinc/24/76/73/971247673.db2.gz RUVLQVJXNMEGKG-WDEREUQCSA-N 0 1 250.298 0.222 20 30 CCEDMN CC#CCCNc1cnc(C#N)c(-c2nn[nH]n2)n1 ZINC001573358661 947668111 /nfs/dbraw/zinc/66/81/11/947668111.db2.gz OLICVIBHGZEHFX-UHFFFAOYSA-N 0 1 254.257 0.354 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1CCC[C@]1(C)C(=O)[O-] ZINC001589720444 950415970 /nfs/dbraw/zinc/41/59/70/950415970.db2.gz POORNBOIQYODOS-BXUZGUMPSA-N 0 1 281.356 0.934 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CCC[C@]1(C)C(=O)[O-] ZINC001589720444 950415983 /nfs/dbraw/zinc/41/59/83/950415983.db2.gz POORNBOIQYODOS-BXUZGUMPSA-N 0 1 281.356 0.934 20 30 CCEDMN C[C@H]1CC[N@H+](Cn2cccc(C#N)c2=O)C[C@@H]1C(=O)[O-] ZINC001589356061 954144685 /nfs/dbraw/zinc/14/46/85/954144685.db2.gz YUGGJGRBKNMYFO-JQWIXIFHSA-N 0 1 275.308 0.720 20 30 CCEDMN C[C@H]1CC[N@@H+](Cn2cccc(C#N)c2=O)C[C@@H]1C(=O)[O-] ZINC001589356061 954144690 /nfs/dbraw/zinc/14/46/90/954144690.db2.gz YUGGJGRBKNMYFO-JQWIXIFHSA-N 0 1 275.308 0.720 20 30 CCEDMN C[N@H+]1CCCC[C@H]1CNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC001593780211 954642406 /nfs/dbraw/zinc/64/24/06/954642406.db2.gz SLSGUVQARDHSCD-GXFFZTMASA-N 0 1 267.329 0.591 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC001589440615 954706656 /nfs/dbraw/zinc/70/66/56/954706656.db2.gz AMXWOXUHNFDIGO-ZWNOBZJWSA-N 0 1 267.329 0.590 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC001589440615 954706668 /nfs/dbraw/zinc/70/66/68/954706668.db2.gz AMXWOXUHNFDIGO-ZWNOBZJWSA-N 0 1 267.329 0.590 20 30 CCEDMN Cn1cc([C@H]2C[C@H](C(=O)[O-])C[N@H+](CCC#N)C2)cn1 ZINC001594538146 955598826 /nfs/dbraw/zinc/59/88/26/955598826.db2.gz QPRVNHIKOLIQMC-QWRGUYRKSA-N 0 1 262.313 0.824 20 30 CCEDMN Cn1cc([C@H]2C[C@H](C(=O)[O-])C[N@@H+](CCC#N)C2)cn1 ZINC001594538146 955598839 /nfs/dbraw/zinc/59/88/39/955598839.db2.gz QPRVNHIKOLIQMC-QWRGUYRKSA-N 0 1 262.313 0.824 20 30 CCEDMN C[C@@]1(C(=O)[O-])CC[N@@H+](Cc2cnc3c(C#N)cnn3c2)C1 ZINC001589199229 956627438 /nfs/dbraw/zinc/62/74/38/956627438.db2.gz WEYBUEHQMVIZIT-CQSZACIVSA-N 0 1 285.307 0.898 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@H]1CCC[N@H+](C(C)C)C1 ZINC001588408462 958111003 /nfs/dbraw/zinc/11/10/03/958111003.db2.gz OEPGJAUFCMDDHA-CYBMUJFWSA-N 0 1 295.383 0.836 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)[C@]2(C(=O)[O-])C[C@H]2C)C1 ZINC001588429809 958249804 /nfs/dbraw/zinc/24/98/04/958249804.db2.gz DHLUXBBXLJGNCE-GYSYKLTISA-N 0 1 264.325 0.311 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)[C@]2(C(=O)[O-])C[C@H]2C)C1 ZINC001588429809 958249817 /nfs/dbraw/zinc/24/98/17/958249817.db2.gz DHLUXBBXLJGNCE-GYSYKLTISA-N 0 1 264.325 0.311 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N2CC[C@@](C)(C(=O)[O-])C2)C1 ZINC001588430937 958261337 /nfs/dbraw/zinc/26/13/37/958261337.db2.gz QRPUELFOMVYZRF-IUODEOHRSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N2CC[C@@](C)(C(=O)[O-])C2)C1 ZINC001588430937 958261352 /nfs/dbraw/zinc/26/13/52/958261352.db2.gz QRPUELFOMVYZRF-IUODEOHRSA-N 0 1 293.367 0.590 20 30 CCEDMN C#Cc1ccc(C[N@@H+]2CCO[C@](COC)(C(=O)[O-])C2)cc1 ZINC001588439265 958315330 /nfs/dbraw/zinc/31/53/30/958315330.db2.gz PUKZOUZPTIZQRO-MRXNPFEDSA-N 0 1 289.331 0.970 20 30 CCEDMN C#Cc1ccc(C[N@H+]2CCO[C@](COC)(C(=O)[O-])C2)cc1 ZINC001588439265 958315341 /nfs/dbraw/zinc/31/53/41/958315341.db2.gz PUKZOUZPTIZQRO-MRXNPFEDSA-N 0 1 289.331 0.970 20 30 CCEDMN C#CCNC(=O)C[N@H+]1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC000111242677 958416439 /nfs/dbraw/zinc/41/64/39/958416439.db2.gz DTIAGESNNAFSLD-JTQLQIEISA-N 0 1 278.230 0.075 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CC[C@](C(=O)[O-])(C(F)(F)F)C1 ZINC000111242677 958416447 /nfs/dbraw/zinc/41/64/47/958416447.db2.gz DTIAGESNNAFSLD-JTQLQIEISA-N 0 1 278.230 0.075 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+](C)[C@@H](C(=O)[O-])C2CC2)C1=O ZINC001588464006 958488654 /nfs/dbraw/zinc/48/86/54/958488654.db2.gz DOBIRIHXNDJMEG-WDEREUQCSA-N 0 1 252.314 0.568 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@](C)(C(=O)[O-])C2)C1=O ZINC001588464259 958489993 /nfs/dbraw/zinc/48/99/93/958489993.db2.gz LDZWSXBPNHNXLG-GWCFXTLKSA-N 0 1 252.314 0.570 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC000124546541 958535305 /nfs/dbraw/zinc/53/53/05/958535305.db2.gz BKLCHVKUSFAZHN-NSHDSACASA-N 0 1 286.331 0.881 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC000124546541 958535320 /nfs/dbraw/zinc/53/53/20/958535320.db2.gz BKLCHVKUSFAZHN-NSHDSACASA-N 0 1 286.331 0.881 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1cc(C#N)ccn1)[C@@H](C)C(=O)[O-] ZINC001573352515 958566635 /nfs/dbraw/zinc/56/66/35/958566635.db2.gz GOOJZKSAACVEMD-JTQLQIEISA-N 0 1 290.323 0.364 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C(=O)[O-] ZINC001573874976 961237430 /nfs/dbraw/zinc/23/74/30/961237430.db2.gz AKZKWIWFEZORTE-IJLUTSLNSA-N 0 1 281.356 0.932 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1[C@H](C)CC[C@@H]1C(=O)[O-] ZINC001573874976 961237444 /nfs/dbraw/zinc/23/74/44/961237444.db2.gz AKZKWIWFEZORTE-IJLUTSLNSA-N 0 1 281.356 0.932 20 30 CCEDMN N#CCSCCC[N@@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001574480331 961659913 /nfs/dbraw/zinc/65/99/13/961659913.db2.gz GBAPEXKAWSUQPN-JQWIXIFHSA-N 0 1 270.354 0.666 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C ZINC000300208466 962992523 /nfs/dbraw/zinc/99/25/23/962992523.db2.gz ZOSJHYDPRBKVDB-NXEZZACHSA-N 0 1 283.328 0.183 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)C(=O)c1cc(C(=O)[O-])no1 ZINC001573360431 963038820 /nfs/dbraw/zinc/03/88/20/963038820.db2.gz OQRYWGTZJASNJH-UHFFFAOYSA-N 0 1 281.312 0.953 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@](C)(C#N)C(C)C ZINC001603498746 973462577 /nfs/dbraw/zinc/46/25/77/973462577.db2.gz XNJBLIPKFMEVJN-ZWNOBZJWSA-N 0 1 269.345 0.836 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@](C)(C#N)C(C)C ZINC001603498746 973462584 /nfs/dbraw/zinc/46/25/84/973462584.db2.gz XNJBLIPKFMEVJN-ZWNOBZJWSA-N 0 1 269.345 0.836 20 30 CCEDMN C=C(Br)C[N@@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC000401910975 973524718 /nfs/dbraw/zinc/52/47/18/973524718.db2.gz SYYLOWSOFPSLJK-JGVFFNPUSA-N 0 1 264.119 0.662 20 30 CCEDMN C=C(Br)C[N@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC000401910975 973524723 /nfs/dbraw/zinc/52/47/23/973524723.db2.gz SYYLOWSOFPSLJK-JGVFFNPUSA-N 0 1 264.119 0.662 20 30 CCEDMN C[C@@H](CCC#N)C[N@H+]1CCN(C)C[C@@H](C(=O)[O-])C1 ZINC001592469827 978214253 /nfs/dbraw/zinc/21/42/53/978214253.db2.gz BAFZCTBRBVCWFU-NWDGAFQWSA-N 0 1 253.346 0.874 20 30 CCEDMN C#CC(C)(C)[N@H+](C)CC(=O)N[C@@H](C(=O)[O-])[C@H](C)CC ZINC001588367499 983299205 /nfs/dbraw/zinc/29/92/05/983299205.db2.gz WGSFZEIQFSNHLZ-ZYHUDNBSSA-N 0 1 268.357 0.946 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)Nc1c(C(=O)[O-])cnn1C ZINC001588463843 983492493 /nfs/dbraw/zinc/49/24/93/983492493.db2.gz OMNFMCJWUCOLBY-UHFFFAOYSA-N 0 1 293.327 0.195 20 30 CCEDMN C#CC[N@H+](CCc1cn(CC(=O)[O-])nn1)C(C)(C)C ZINC001588469287 983501347 /nfs/dbraw/zinc/50/13/47/983501347.db2.gz BNDUTKMJZSIIMQ-UHFFFAOYSA-N 0 1 264.329 0.639 20 30 CCEDMN C#CC[N@@H+](CCC)CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001588469722 983502663 /nfs/dbraw/zinc/50/26/63/983502663.db2.gz ORFNYJRTRKJLLC-QWRGUYRKSA-N 0 1 252.314 0.169 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)NC/C=C(/C)C(=O)[O-])C1 ZINC001588477178 983514498 /nfs/dbraw/zinc/51/44/98/983514498.db2.gz VXBPLOAGXFLKFA-HUMZTAOYSA-N 0 1 279.340 0.414 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)NC/C=C(/C)C(=O)[O-])C1 ZINC001588477178 983514500 /nfs/dbraw/zinc/51/45/00/983514500.db2.gz VXBPLOAGXFLKFA-HUMZTAOYSA-N 0 1 279.340 0.414 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CC[NH+]1CCOCC1)C(=O)[O-] ZINC001588540676 983604778 /nfs/dbraw/zinc/60/47/78/983604778.db2.gz KGPBXJXYFOVPRO-LLVKDONJSA-N 0 1 270.329 0.244 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1C[C@H](O)CC2(CCOCC2)C1 ZINC001588662976 983792642 /nfs/dbraw/zinc/79/26/42/983792642.db2.gz ATZLXTWMPDKVBA-MNOVXSKESA-N 0 1 255.314 0.489 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1C[C@@H](O)CC2(CCOCC2)C1 ZINC001588662980 983792678 /nfs/dbraw/zinc/79/26/78/983792678.db2.gz ATZLXTWMPDKVBA-WDEREUQCSA-N 0 1 255.314 0.489 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](CS(C)(=O)=O)C1 ZINC001588729654 983991917 /nfs/dbraw/zinc/99/19/17/983991917.db2.gz MJNHHAMSBMZJJW-QWRGUYRKSA-N 0 1 275.370 0.772 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@@](OC)(C(=O)OC)C1 ZINC001588730873 984002615 /nfs/dbraw/zinc/00/26/15/984002615.db2.gz XOWNWOAUWLYJBY-SKDRFNHKSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2C[C@@H](C)[C@H](CC(=O)[O-])C2)C1=O ZINC001588838671 984339975 /nfs/dbraw/zinc/33/99/75/984339975.db2.gz IEKKYKCLDBYQCN-IJLUTSLNSA-N 0 1 266.341 0.816 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+](CCC(=O)[O-])CC(C)C ZINC001588844071 984355180 /nfs/dbraw/zinc/35/51/80/984355180.db2.gz OYUWCJCSRMMYDH-UHFFFAOYSA-N 0 1 285.344 0.431 20 30 CCEDMN C=C[C@H](CO)[NH2+]CC(=O)Nc1cccc(C(=O)[O-])c1 ZINC001588919341 984571176 /nfs/dbraw/zinc/57/11/76/984571176.db2.gz IFSQDUPRYRMTKN-SNVBAGLBSA-N 0 1 264.281 0.460 20 30 CCEDMN CC#CCC[N@H+]1C[C@@H](C(=O)[O-])CC[C@@H]1C(=O)OC ZINC001588927153 984597235 /nfs/dbraw/zinc/59/72/35/984597235.db2.gz OYIIQOGEUVRFIZ-WDEREUQCSA-N 0 1 253.298 0.738 20 30 CCEDMN CC#CCC[N@@H+]1C[C@@H](C(=O)[O-])CC[C@@H]1C(=O)OC ZINC001588927153 984597238 /nfs/dbraw/zinc/59/72/38/984597238.db2.gz OYIIQOGEUVRFIZ-WDEREUQCSA-N 0 1 253.298 0.738 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001589378918 986451703 /nfs/dbraw/zinc/45/17/03/986451703.db2.gz VTBRDNOQFJAQEV-KLFGWDPYSA-N 0 1 293.367 0.692 20 30 CCEDMN C[N@@H+](C[C@@H](O)CC1(C#N)CCOCC1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001598432927 991807154 /nfs/dbraw/zinc/80/71/54/991807154.db2.gz PQDTYZPZOFMYAD-XQQFMLRXSA-N 0 1 296.367 0.853 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)c2coc(C#N)c2)C[C@@]1(C)C(=O)[O-] ZINC001598572001 993211110 /nfs/dbraw/zinc/21/11/10/993211110.db2.gz CHNPRWROJWFMPM-GWCFXTLKSA-N 0 1 278.264 0.855 20 30 CCEDMN C[C@]1(C(=O)[O-])CC[N@@H+](C[C@H](O)CC2(C#N)CC2)C1 ZINC001593795871 996513057 /nfs/dbraw/zinc/51/30/57/996513057.db2.gz ZTUHUNOUURYXGV-PWSUYJOCSA-N 0 1 252.314 0.838 20 30 CCEDMN COC[C@](C)([NH2+]CC(=O)N[C@](C)(C#N)C(C)C)C(=O)[O-] ZINC001599154337 998344108 /nfs/dbraw/zinc/34/41/08/998344108.db2.gz KWIZFQRXENKZCT-OLZOCXBDSA-N 0 1 285.344 0.120 20 30 CCEDMN COc1cc(C#N)ccc1OCC[N@@H+](C)CCOCCO ZINC000337484979 214113633 /nfs/dbraw/zinc/11/36/33/214113633.db2.gz HKZKKISDRZCRSQ-UHFFFAOYSA-N 0 1 294.351 0.886 20 30 CCEDMN CCC[C@H](NC(=O)Cc1ccc(C#N)nc1)c1nn[nH]n1 ZINC000599652286 361773506 /nfs/dbraw/zinc/77/35/06/361773506.db2.gz LGHQRIZRDDPFSZ-NSHDSACASA-N 0 1 285.311 0.666 20 30 CCEDMN N#CCc1cccc2c1CCN(CCN1C(=O)CNC1=O)C2 ZINC000599679705 361783389 /nfs/dbraw/zinc/78/33/89/361783389.db2.gz XHCFMXFRISSCFO-UHFFFAOYSA-N 0 1 298.346 0.663 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)NC[C@@H]1COCCN1 ZINC000599942246 361837639 /nfs/dbraw/zinc/83/76/39/361837639.db2.gz XZRUZVRMMSUTPS-SNVBAGLBSA-N 0 1 254.334 0.166 20 30 CCEDMN CC[C@]1(O)CCN(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)C1 ZINC000330281433 529434158 /nfs/dbraw/zinc/43/41/58/529434158.db2.gz UQNDCOQXASWTCR-RISCZKNCSA-N 0 1 278.356 0.959 20 30 CCEDMN C[C@H](CNS(=O)(=O)CCCC#N)N(C)C1CC1 ZINC000111338959 349771325 /nfs/dbraw/zinc/77/13/25/349771325.db2.gz MWKAAFZLHHMXJL-SNVBAGLBSA-N 0 1 259.375 0.692 20 30 CCEDMN CN(CC(=O)NCC1(O)CCOCC1)[C@H]1CCSC1 ZINC000329007703 529819399 /nfs/dbraw/zinc/81/93/99/529819399.db2.gz YKWPYJNXAJWSEQ-NSHDSACASA-N 0 1 288.413 0.922 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H](CCC)c1nn[nH]n1 ZINC000295076681 199333745 /nfs/dbraw/zinc/33/37/45/199333745.db2.gz WKNFYLOPFSYNAL-DTWKUNHWSA-N 0 1 251.290 0.195 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)C(=O)OC ZINC000601781688 362389199 /nfs/dbraw/zinc/38/91/99/362389199.db2.gz LADZESJNMQPRCQ-MWLCHTKSSA-N 0 1 292.339 0.849 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CN1CCN(CC)CC1)C(=O)OC ZINC000601783408 362391079 /nfs/dbraw/zinc/39/10/79/362391079.db2.gz WIBAQHWQDMPINX-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)Cc1cc(C)n[nH]1)C(=O)OC ZINC000601782733 362391152 /nfs/dbraw/zinc/39/11/52/362391152.db2.gz SBYVCEHGOGPREM-LLVKDONJSA-N 0 1 265.313 0.885 20 30 CCEDMN C[C@@H](C#N)CNC(=O)C(C)(C)CN1CCOCC1 ZINC000602099518 362487017 /nfs/dbraw/zinc/48/70/17/362487017.db2.gz XIVHYXUARKHHJS-NSHDSACASA-N 0 1 253.346 0.621 20 30 CCEDMN Cn1cc(CN2CCC(N3CCNC3=O)CC2)cc1C#N ZINC000361507333 232142367 /nfs/dbraw/zinc/14/23/67/232142367.db2.gz DMIDQNOFECBZHH-UHFFFAOYSA-N 0 1 287.367 0.886 20 30 CCEDMN N#CCCN1CCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC000602204853 362556673 /nfs/dbraw/zinc/55/66/73/362556673.db2.gz ZIORVLVUPLZQSM-UHFFFAOYSA-N 0 1 290.371 0.575 20 30 CCEDMN C[C@@H](NCc1cccc(C#N)n1)[C@@H]1CN(C)CCO1 ZINC000602576351 362689319 /nfs/dbraw/zinc/68/93/19/362689319.db2.gz MEXGWVZRDWCLQO-RISCZKNCSA-N 0 1 260.341 0.762 20 30 CCEDMN C=CC[C@H](CO)NCc1cc(C(=O)OCC)no1 ZINC000602614501 362714753 /nfs/dbraw/zinc/71/47/53/362714753.db2.gz RVCSTLUBWXHJAU-SECBINFHSA-N 0 1 254.286 0.878 20 30 CCEDMN COc1ccc(CN2CCN(C)C[C@H]2CO)cc1C#N ZINC000602716963 362769388 /nfs/dbraw/zinc/76/93/88/362769388.db2.gz WHWRVRPYPARDLA-AWEZNQCLSA-N 0 1 275.352 0.675 20 30 CCEDMN CS(=O)(=O)NC[C@@H]1CCCN(CC2(CC#N)CC2)C1 ZINC000602786847 362800128 /nfs/dbraw/zinc/80/01/28/362800128.db2.gz WFNYQVGCYZNCHY-LBPRGKRZSA-N 0 1 285.413 0.941 20 30 CCEDMN COC(=O)CCCN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854446 362840381 /nfs/dbraw/zinc/84/03/81/362840381.db2.gz PHFKULCIAPYCPE-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN CCc1nc(CN2C[C@@H](C)N(CC#N)[C@@H](C)C2)n[nH]1 ZINC000602855112 362841647 /nfs/dbraw/zinc/84/16/47/362841647.db2.gz SBDCWZDOAGGONJ-PHIMTYICSA-N 0 1 262.361 0.785 20 30 CCEDMN C=CCNC(=O)NC(=O)CSc1nc(C2CC2)n[nH]1 ZINC000011472326 348017749 /nfs/dbraw/zinc/01/77/49/348017749.db2.gz JHKBZGDWMPAVHK-UHFFFAOYSA-N 0 1 281.341 0.786 20 30 CCEDMN C=CCNC(=O)NC(=O)CSc1n[nH]c(C2CC2)n1 ZINC000011472326 348017751 /nfs/dbraw/zinc/01/77/51/348017751.db2.gz JHKBZGDWMPAVHK-UHFFFAOYSA-N 0 1 281.341 0.786 20 30 CCEDMN CN(CCN(C)S(=O)(=O)c1ccc(C#N)o1)C1CC1 ZINC000344673979 137207037 /nfs/dbraw/zinc/20/70/37/137207037.db2.gz OWKYJKBOHVPTRH-UHFFFAOYSA-N 0 1 283.353 0.866 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC[C@@H]2CC2(F)F)[nH]1 ZINC000602886609 362866187 /nfs/dbraw/zinc/86/61/87/362866187.db2.gz KEIRVHZJLFNSPQ-MRVPVSSYSA-N 0 1 269.255 0.880 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CCc3[nH]nnc3C2)cc1 ZINC000346015966 137264201 /nfs/dbraw/zinc/26/42/01/137264201.db2.gz OXBSJQGQQLMNHU-UHFFFAOYSA-N 0 1 267.292 0.804 20 30 CCEDMN CC(=O)NCC1CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000047772767 348387331 /nfs/dbraw/zinc/38/73/31/348387331.db2.gz ODRGLJWGYLNSSL-GFCCVEGCSA-N 0 1 294.399 0.595 20 30 CCEDMN CN(CCC(=O)N1CCOCC1)[C@H]1CCC[C@H]1C#N ZINC000602976547 362918600 /nfs/dbraw/zinc/91/86/00/362918600.db2.gz QVOZXPNRWKATSB-STQMWFEESA-N 0 1 265.357 0.859 20 30 CCEDMN CCS(=O)(=O)NCCCN(C)[C@@H]1CCC[C@H]1C#N ZINC000602980830 362920143 /nfs/dbraw/zinc/92/01/43/362920143.db2.gz WFPUIGRAWKKEOQ-NWDGAFQWSA-N 0 1 273.402 0.940 20 30 CCEDMN CCNC(=O)CCN1CCN(c2ccc(C#N)cn2)CC1 ZINC000060480598 348644346 /nfs/dbraw/zinc/64/43/46/348644346.db2.gz OKZCEYQISLGHEY-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](C)OC[C@@H]2C)CC1 ZINC000068063992 348795772 /nfs/dbraw/zinc/79/57/72/348795772.db2.gz RWVHKRBSYMQWGV-STQMWFEESA-N 0 1 264.369 0.967 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC2(CNC(=O)C2)CC1 ZINC000075014453 349017412 /nfs/dbraw/zinc/01/74/12/349017412.db2.gz HAYFKUFJUCAPMJ-GFCCVEGCSA-N 0 1 292.383 0.349 20 30 CCEDMN C=CCCN1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000132429966 350307990 /nfs/dbraw/zinc/30/79/90/350307990.db2.gz PMMAJULZTBJPIQ-LBPRGKRZSA-N 0 1 251.374 0.847 20 30 CCEDMN CC(C)N(CC(=O)NC(N)=O)Cc1ccc(C#N)cc1 ZINC000339720156 215312754 /nfs/dbraw/zinc/31/27/54/215312754.db2.gz ZZFPHYOGBHDLJV-UHFFFAOYSA-N 0 1 274.324 0.964 20 30 CCEDMN CN(CC(=O)N[C@@]1(C#N)CCSC1)[C@@H]1CCSC1 ZINC000135891268 350410244 /nfs/dbraw/zinc/41/02/44/350410244.db2.gz KZXUOPMJBVDFLC-ZYHUDNBSSA-N 0 1 285.438 0.939 20 30 CCEDMN C[C@@H](C(=O)N1C[C@@H](C)N(CC#N)[C@@H](C)C1)N(C)C ZINC000603088896 362956212 /nfs/dbraw/zinc/95/62/12/362956212.db2.gz FQYAJDIOHDGCEX-TUAOUCFPSA-N 0 1 252.362 0.381 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCCC[C@H]1CO ZINC000157137336 350639689 /nfs/dbraw/zinc/63/96/89/350639689.db2.gz KTKRHVWRPSMQGS-NSHDSACASA-N 0 1 269.345 0.235 20 30 CCEDMN CN(CCNS(=O)(=O)c1ccc(C#N)nc1)C1CC1 ZINC000166042029 350795872 /nfs/dbraw/zinc/79/58/72/350795872.db2.gz GBLRQJXTUBNKFE-UHFFFAOYSA-N 0 1 280.353 0.326 20 30 CCEDMN CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cccc(C#N)c1 ZINC000170926341 350874494 /nfs/dbraw/zinc/87/44/94/350874494.db2.gz UAOTYPQQAKITKI-UHFFFAOYSA-N 0 1 292.320 0.849 20 30 CCEDMN COC[C@H](O)CN1CCN(c2ccc(C#N)cn2)CC1 ZINC000171971938 350947959 /nfs/dbraw/zinc/94/79/59/350947959.db2.gz NKGAEUVWDIRYOX-CYBMUJFWSA-N 0 1 276.340 0.083 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1cc(C#N)c[nH]1 ZINC000175594125 351136129 /nfs/dbraw/zinc/13/61/29/351136129.db2.gz ZOMXYKRTHOOXQQ-LLVKDONJSA-N 0 1 275.356 0.252 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)CC1(CO)CC1 ZINC000186804719 351515998 /nfs/dbraw/zinc/51/59/98/351515998.db2.gz YYRLHWUNWIDJKU-UHFFFAOYSA-N 0 1 252.358 0.891 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)c1ccc(C#N)s1 ZINC000230341167 352112474 /nfs/dbraw/zinc/11/24/74/352112474.db2.gz OPBLXAZMCLJKAX-UHFFFAOYSA-N 0 1 283.338 0.559 20 30 CCEDMN C[C@H]1C[C@H](NS(=O)(=O)c2cccnc2C#N)CCN1C ZINC000235671796 352185974 /nfs/dbraw/zinc/18/59/74/352185974.db2.gz CETOWOAKVXWYOP-WDEREUQCSA-N 0 1 294.380 0.714 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@H](CCC(N)=O)C1 ZINC000245394249 352319349 /nfs/dbraw/zinc/31/93/49/352319349.db2.gz FFGJEJSMRJBWQC-NEPJUHHUSA-N 0 1 267.373 0.655 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H]1CN1C[C@H](C)O[C@@H](C)C1 ZINC000249819483 352502106 /nfs/dbraw/zinc/50/21/06/352502106.db2.gz MSXIKTSZPLTYFB-SOUVJXGZSA-N 0 1 295.427 0.862 20 30 CCEDMN C=CCNC(=O)CN1CCC2(C[C@@H]2C(=O)OC)CC1 ZINC000265025388 352614500 /nfs/dbraw/zinc/61/45/00/352614500.db2.gz HRUKRGJGQBGMCT-LLVKDONJSA-N 0 1 266.341 0.564 20 30 CCEDMN CCOC[C@H](O)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000265049139 352616373 /nfs/dbraw/zinc/61/63/73/352616373.db2.gz WCEMCYCGRVJOPC-CQSZACIVSA-N 0 1 290.367 0.473 20 30 CCEDMN CS(=O)(=O)N1CCC[C@H](NCc2ccc(C#N)o2)C1 ZINC000266214462 352690578 /nfs/dbraw/zinc/69/05/78/352690578.db2.gz PFLBWXOQBJBGTP-JTQLQIEISA-N 0 1 283.353 0.665 20 30 CCEDMN C=CCCS(=O)(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000270572118 352950152 /nfs/dbraw/zinc/95/01/52/352950152.db2.gz NMDABQSSLHFHEV-QMMMGPOBSA-N 0 1 258.347 0.924 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1cnc(C#N)cn1 ZINC000418995639 234281987 /nfs/dbraw/zinc/28/19/87/234281987.db2.gz DCTSDLLKQDERAW-WDEREUQCSA-N 0 1 261.329 0.240 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cccc(C#N)n2)[C@H](C)C1 ZINC000277828743 353268584 /nfs/dbraw/zinc/26/85/84/353268584.db2.gz QYZGYVDNGMXUIZ-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCN1CC[C@@H](N[C@H](C(=O)N(C)CC)C(C)C)C1=O ZINC000296216992 353937472 /nfs/dbraw/zinc/93/74/72/353937472.db2.gz JYMYANWAGYEBJY-OLZOCXBDSA-N 0 1 281.400 0.866 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCCC(=O)OC)CC1 ZINC000299139663 353994631 /nfs/dbraw/zinc/99/46/31/353994631.db2.gz IGXKSJYIMYRJNX-UHFFFAOYSA-N 0 1 280.368 0.743 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)C[C@H](O)C1CC1 ZINC000304776267 354121518 /nfs/dbraw/zinc/12/15/18/354121518.db2.gz HOSVMPFNGHUPPZ-GXTWGEPZSA-N 0 1 265.357 0.498 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)C[C@H](O)C1CC1 ZINC000304776268 354122814 /nfs/dbraw/zinc/12/28/14/354122814.db2.gz HOSVMPFNGHUPPZ-JSGCOSHPSA-N 0 1 265.357 0.498 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(C(=O)c2cc(C#N)c[nH]2)C1 ZINC000331400308 354563155 /nfs/dbraw/zinc/56/31/55/354563155.db2.gz MNXVVHHQKFBXDJ-KOLCDFICSA-N 0 1 286.295 0.607 20 30 CCEDMN N#CC1(C(=O)Nc2ccn(-c3ccncc3)n2)CCOCC1 ZINC000332607934 283042487 /nfs/dbraw/zinc/04/24/87/283042487.db2.gz BALBOTOGPVNRJD-UHFFFAOYSA-N 0 1 297.318 0.948 20 30 CCEDMN CCCN(CCO)CC(=O)NCc1cccc(C#N)c1 ZINC000339095114 355486205 /nfs/dbraw/zinc/48/62/05/355486205.db2.gz CWSVESQXGDBFJW-UHFFFAOYSA-N 0 1 275.352 0.879 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NCc1cn[nH]c1C ZINC000343966286 355780800 /nfs/dbraw/zinc/78/08/00/355780800.db2.gz IMKGZNYPIMQUJT-SNVBAGLBSA-N 0 1 252.318 0.702 20 30 CCEDMN CN([C@H](C(N)=O)c1ccc(C#N)cc1)[C@@H]1CCC[C@H]1O ZINC000352659316 356043591 /nfs/dbraw/zinc/04/35/91/356043591.db2.gz MPGYRBFSIMGKTK-MCIONIFRSA-N 0 1 273.336 0.930 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)CNc1ccc(C#N)cc1 ZINC000353778617 356292959 /nfs/dbraw/zinc/29/29/59/356292959.db2.gz GHEYIKYRFYWHHC-UHFFFAOYSA-N 0 1 270.296 0.747 20 30 CCEDMN N#Cc1ccc(CN2CCC[C@H](N3CCNCC3=O)C2)cc1 ZINC000362825191 138076087 /nfs/dbraw/zinc/07/60/87/138076087.db2.gz FLAGCSCUSRQPKG-INIZCTEOSA-N 0 1 298.390 0.954 20 30 CCEDMN N#CCN1CCC(NC(=O)NCCN2CC=CCC2)CC1 ZINC000619427141 366250625 /nfs/dbraw/zinc/25/06/25/366250625.db2.gz IGYHVNHJMJJXMV-UHFFFAOYSA-N 0 1 291.399 0.535 20 30 CCEDMN N#CC[C@@H](CC(=O)NCc1nn[nH]n1)c1ccccc1 ZINC000619435991 366252121 /nfs/dbraw/zinc/25/21/21/366252121.db2.gz YZZCRJMKMMBIFC-NSHDSACASA-N 0 1 270.296 0.903 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C(=O)Nc2ccccc2)CC1 ZINC000609460133 363498260 /nfs/dbraw/zinc/49/82/60/363498260.db2.gz YRLBYKNNBJSJET-UHFFFAOYSA-N 0 1 286.335 0.729 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC1CCN(CC#N)CC1 ZINC000609550418 363503766 /nfs/dbraw/zinc/50/37/66/363503766.db2.gz WLJMEUNFULUVEH-ZDUSSCGKSA-N 0 1 264.373 0.575 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N1CCc2cn[nH]c2C1 ZINC000619712558 366331543 /nfs/dbraw/zinc/33/15/43/366331543.db2.gz XRIHJSADIFSKAH-UHFFFAOYSA-N 0 1 283.357 0.248 20 30 CCEDMN N#Cc1cccc(N2CCCN(CCO)CC2)c1[N+](=O)[O-] ZINC000126677764 283207719 /nfs/dbraw/zinc/20/77/19/283207719.db2.gz YTUWLPKOTIQSAM-UHFFFAOYSA-N 0 1 290.323 0.971 20 30 CCEDMN CO[C@@]1(CN[C@H](C(N)=O)c2ccc(C#N)cc2)CCOC1 ZINC000359638901 356862962 /nfs/dbraw/zinc/86/29/62/356862962.db2.gz RVXHZCFQTJKSDT-DZGCQCFKSA-N 0 1 289.335 0.480 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CCN(C3CC3)C2)cn1 ZINC000359964204 356928312 /nfs/dbraw/zinc/92/83/12/356928312.db2.gz ORLXIYWUMPNLKG-GFCCVEGCSA-N 0 1 256.309 0.920 20 30 CCEDMN CCOCCOC[C@@H](O)CNCc1ccc(C#N)o1 ZINC000360167532 356963612 /nfs/dbraw/zinc/96/36/12/356963612.db2.gz ZKEACIHXYDBBJP-NSHDSACASA-N 0 1 268.313 0.655 20 30 CCEDMN CN(C)c1ccc(CNC(=O)N=c2[nH]n(C)cc2C#N)cn1 ZINC000610527856 363572370 /nfs/dbraw/zinc/57/23/70/363572370.db2.gz SBOGIWZDBZQLTL-UHFFFAOYSA-N 0 1 299.338 0.496 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@@](O)(C2CC2)C1 ZINC000365105557 357136119 /nfs/dbraw/zinc/13/61/19/357136119.db2.gz AEVFPFPUQSLVTP-GJZGRUSLSA-N 0 1 279.384 0.888 20 30 CCEDMN CC(C)C[C@@H](CCO)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610562446 363576396 /nfs/dbraw/zinc/57/63/96/363576396.db2.gz UGNKSTLALVRCGU-LLVKDONJSA-N 0 1 293.371 0.880 20 30 CCEDMN C=CCSCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610562535 363576444 /nfs/dbraw/zinc/57/64/44/363576444.db2.gz XCHMNPINHPVLDN-UHFFFAOYSA-N 0 1 265.342 0.754 20 30 CCEDMN Cc1ccccc1[C@@H](CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610564236 363579769 /nfs/dbraw/zinc/57/97/69/363579769.db2.gz GOAOEVXZEUBIHO-CYBMUJFWSA-N 0 1 299.334 0.877 20 30 CCEDMN COCCN1CCCN(C(=O)C2(C#N)CCOCC2)CC1 ZINC000369136373 357340836 /nfs/dbraw/zinc/34/08/36/357340836.db2.gz GHMVGPSQGHEJGF-UHFFFAOYSA-N 0 1 295.383 0.487 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@@H](C)C2)CC1 ZINC000374341728 357398634 /nfs/dbraw/zinc/39/86/34/357398634.db2.gz PTXVOGZNOWTHFW-ZDUSSCGKSA-N 0 1 264.369 0.827 20 30 CCEDMN N#Cc1csc(CN2CCC3(CC2)NC(=O)NC3=O)c1 ZINC000408221760 357978351 /nfs/dbraw/zinc/97/83/51/357978351.db2.gz LBOLFDWWYVQDEW-UHFFFAOYSA-N 0 1 290.348 0.794 20 30 CCEDMN C[C@H]1CN(CCO)CCN1CCOc1ccc(C#N)cc1 ZINC000452116505 236221786 /nfs/dbraw/zinc/22/17/86/236221786.db2.gz NCOFKQWMZHQGET-AWEZNQCLSA-N 0 1 289.379 0.935 20 30 CCEDMN C#CCNC(=O)CCN1CCSC[C@H]1c1cnn(C)c1 ZINC000294697706 199139533 /nfs/dbraw/zinc/13/95/33/199139533.db2.gz UHRYZGKZUAALKV-ZDUSSCGKSA-N 0 1 292.408 0.650 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2C[C@@H]3CCC[C@H]3C2)[nH]1 ZINC000610698536 363600001 /nfs/dbraw/zinc/60/00/01/363600001.db2.gz REPUDNIVGIGLHC-UWVGGRQHSA-N 0 1 259.313 0.977 20 30 CCEDMN C=CCNC(=O)CN=c1nc(N(C)C)c2ccccc2[nH]1 ZINC000450424415 358255916 /nfs/dbraw/zinc/25/59/16/358255916.db2.gz KOFUPOHTFUVSKH-UHFFFAOYSA-N 0 1 285.351 0.832 20 30 CCEDMN C=C(C)CCN=c1[nH]c2c(c(=O)[nH]c(=O)n2C)n1C ZINC000450708357 358257927 /nfs/dbraw/zinc/25/79/27/358257927.db2.gz CRFRAMVTTJMBPS-UHFFFAOYSA-N 0 1 263.301 0.173 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@@H]1CNC(=O)O1 ZINC000493508920 358308775 /nfs/dbraw/zinc/30/87/75/358308775.db2.gz ABUBONOOXVYECD-LURJTMIESA-N 0 1 256.287 0.370 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCC[C@@]1(C)CO ZINC000544760985 358549347 /nfs/dbraw/zinc/54/93/47/358549347.db2.gz AJDAQMNKAJGXID-UONOGXRCSA-N 0 1 267.373 0.888 20 30 CCEDMN CN1CCN(C[C@@H](O)COc2cc(F)cc(C#N)c2)CC1 ZINC000569978119 358811723 /nfs/dbraw/zinc/81/17/23/358811723.db2.gz XRNJFCDMENNCAK-CQSZACIVSA-N 0 1 293.342 0.684 20 30 CCEDMN COC(=O)[C@H](COC(C)C)N(C)C(=O)C(C)C#N ZINC000610835350 363618982 /nfs/dbraw/zinc/61/89/82/363618982.db2.gz IYXWGUINJPRLTP-ZJUUUORDSA-N 0 1 256.302 0.571 20 30 CCEDMN C[C@H]1OCC[C@@H]1[N@@H+](CCO)Cc1ccc(C#N)cn1 ZINC000573263729 358952811 /nfs/dbraw/zinc/95/28/11/358952811.db2.gz HMXNLQDNZSCBJA-RISCZKNCSA-N 0 1 261.325 0.925 20 30 CCEDMN C[C@H]1OCC[C@@H]1N(CCO)Cc1ccc(C#N)cn1 ZINC000573263729 358952814 /nfs/dbraw/zinc/95/28/14/358952814.db2.gz HMXNLQDNZSCBJA-RISCZKNCSA-N 0 1 261.325 0.925 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@H](O)C23CCCC3)CCC1 ZINC000579909262 359059982 /nfs/dbraw/zinc/05/99/82/359059982.db2.gz PXXOQDVCTBYCKH-LBPRGKRZSA-N 0 1 277.368 0.928 20 30 CCEDMN Cn1nc2c(cc1=O)CN(Cc1ccnc(C#N)c1)CC2 ZINC000610993747 363643362 /nfs/dbraw/zinc/64/33/62/363643362.db2.gz GMFJFZFNTCVJPM-UHFFFAOYSA-N 0 1 281.319 0.605 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000610992490 363643406 /nfs/dbraw/zinc/64/34/06/363643406.db2.gz JYJFUWXEKHBMAE-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@H]([C@H]3CCOC3)C2)[nH]1 ZINC000611007140 363647975 /nfs/dbraw/zinc/64/79/75/363647975.db2.gz MVGGKGAKIVIRAB-MNOVXSKESA-N 0 1 289.339 0.604 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@H](O)CC2)CCC1 ZINC000590210250 359430046 /nfs/dbraw/zinc/43/00/46/359430046.db2.gz YOHLSYJKGJLZFQ-NSHDSACASA-N 0 1 251.330 0.396 20 30 CCEDMN CC(C)(O)CN1CCN(C(=O)CC2(C#N)CC2)CC1 ZINC000590223353 359431655 /nfs/dbraw/zinc/43/16/55/359431655.db2.gz HZZYYEKUAXUFLZ-UHFFFAOYSA-N 0 1 265.357 0.595 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H](C2CC2)C(C)(C)CO)[nH]1 ZINC000590957460 359542495 /nfs/dbraw/zinc/54/24/95/359542495.db2.gz MEGNNUYQWCWPFL-NSHDSACASA-N 0 1 291.355 0.632 20 30 CCEDMN Cn1cnc(=NC(=O)N[C@H]2CCc3cc(C#N)ccc32)[nH]1 ZINC000590839323 359529001 /nfs/dbraw/zinc/52/90/01/359529001.db2.gz ROOWNCQWYITRLF-LBPRGKRZSA-N 0 1 282.307 0.918 20 30 CCEDMN N#Cc1ccc(CNC(=O)N2CCNCC2)c(F)c1 ZINC000591351127 359580353 /nfs/dbraw/zinc/58/03/53/359580353.db2.gz ZZOUOLODSLWXEB-UHFFFAOYSA-N 0 1 262.288 0.812 20 30 CCEDMN O=C(C#Cc1ccccc1)NCCN1CCC(O)CC1 ZINC000297040147 200069326 /nfs/dbraw/zinc/06/93/26/200069326.db2.gz SRWQULABBYJURN-UHFFFAOYSA-N 0 1 272.348 0.611 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCc1ccc2cncn2c1 ZINC000297127297 200092403 /nfs/dbraw/zinc/09/24/03/200092403.db2.gz HIVOSDZQWVLDDB-LLVKDONJSA-N 0 1 257.293 0.989 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2ccncc2C#N)[C@@H](C)C1 ZINC000592107654 359757391 /nfs/dbraw/zinc/75/73/91/359757391.db2.gz MKWQJJLXHUOMFO-STQMWFEESA-N 0 1 274.368 0.840 20 30 CCEDMN C[N@H+]1CC[C@H]2CCN(C(=O)CNc3ccc(C#N)cn3)[C@H]2C1 ZINC000329313017 202278148 /nfs/dbraw/zinc/27/81/48/202278148.db2.gz APZMCCBALLLAJQ-KBPBESRZSA-N 0 1 299.378 0.340 20 30 CCEDMN N#CC[C@@]1(O)CCN(CCOC[C@@H]2CCCO2)C1 ZINC000592152428 359770853 /nfs/dbraw/zinc/77/08/53/359770853.db2.gz XONMYYXRLIKZAO-QWHCGFSZSA-N 0 1 254.330 0.532 20 30 CCEDMN Cc1cc(NC(=O)CCN2CC[C@@](O)(CC#N)C2)no1 ZINC000592147211 359765311 /nfs/dbraw/zinc/76/53/11/359765311.db2.gz JNZQOGYMFGXKNN-ZDUSSCGKSA-N 0 1 278.312 0.084 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)Nc2cccc(C#N)n2)n1 ZINC000592265478 359807338 /nfs/dbraw/zinc/80/73/38/359807338.db2.gz JSGBQYHUAGLFNF-UHFFFAOYSA-N 0 1 271.284 0.744 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN[C@H](CO)c1ccsc1 ZINC000592431686 359838463 /nfs/dbraw/zinc/83/84/63/359838463.db2.gz ZQOOKUABVIVKIF-QWHCGFSZSA-N 0 1 283.397 0.577 20 30 CCEDMN CCOC(=O)C[C@@H](C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611169527 363668968 /nfs/dbraw/zinc/66/89/68/363668968.db2.gz QVHDBLYCTOGOSC-SECBINFHSA-N 0 1 293.327 0.424 20 30 CCEDMN C=CCCOCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000611216956 363684836 /nfs/dbraw/zinc/68/48/36/363684836.db2.gz HJYIDIMOXCJSEL-NSHDSACASA-N 0 1 281.312 0.203 20 30 CCEDMN COCC#CCN(CCC(=O)OC)C[C@@H]1CCCO1 ZINC000611194711 363678614 /nfs/dbraw/zinc/67/86/14/363678614.db2.gz CJMZGBWQNIBWJD-ZDUSSCGKSA-N 0 1 269.341 0.680 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2ccnc(N(C)C)c2)[nH]n1C ZINC000592647090 359908299 /nfs/dbraw/zinc/90/82/99/359908299.db2.gz PLSYMSNSMVITSL-UHFFFAOYSA-N 0 1 284.323 0.735 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2CCc3ncncc3C2)[nH]n1C ZINC000592649311 359909279 /nfs/dbraw/zinc/90/92/79/359909279.db2.gz BZLAOPROFWFDBS-JTQLQIEISA-N 0 1 296.334 0.556 20 30 CCEDMN N#CCN1CCC(Nc2cc(-n3cccn3)nc(N)n2)CC1 ZINC000611305340 363705209 /nfs/dbraw/zinc/70/52/09/363705209.db2.gz CPMLFENUYVOBBI-UHFFFAOYSA-N 0 1 298.354 0.475 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1CC[C@H]2CCN(C)C[C@@H]21 ZINC000297858496 200293789 /nfs/dbraw/zinc/29/37/89/200293789.db2.gz OFKZENITCRCNNE-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN CN(CC(=O)N1CC[C@](O)(CC#N)C1)[C@@H]1CCSC1 ZINC000592847895 359953872 /nfs/dbraw/zinc/95/38/72/359953872.db2.gz RKBDHEQSUMDDOV-DGCLKSJQSA-N 0 1 283.397 0.301 20 30 CCEDMN COC(=O)CC1(NCC(=O)N[C@@](C)(C#N)C2CC2)CC1 ZINC000593059328 360024292 /nfs/dbraw/zinc/02/42/92/360024292.db2.gz RJZDHFWYUDPKKP-ZDUSSCGKSA-N 0 1 279.340 0.480 20 30 CCEDMN C[C@H](CCO)N(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000593109650 360042241 /nfs/dbraw/zinc/04/22/41/360042241.db2.gz LHDCWTURIMWKPU-ZWNOBZJWSA-N 0 1 253.346 0.498 20 30 CCEDMN CC[C@H](C#N)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000593465014 360094572 /nfs/dbraw/zinc/09/45/72/360094572.db2.gz MUNFFSWREIBPTI-KGLIPLIRSA-N 0 1 280.416 0.924 20 30 CCEDMN CC[C@H](C#N)C(=O)NC[C@H]1CCCCN1CCO ZINC000593731726 360143214 /nfs/dbraw/zinc/14/32/14/360143214.db2.gz AOPGDRMRVGDVGZ-VXGBXAGGSA-N 0 1 253.346 0.499 20 30 CCEDMN CCN1C[C@H](CNCc2nc(C#N)cs2)CC1=O ZINC000593685365 360134622 /nfs/dbraw/zinc/13/46/22/360134622.db2.gz DCNRFSQAOCTVJB-VIFPVBQESA-N 0 1 264.354 0.973 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CCC(=O)OCC)C(C)C ZINC000594046609 360242785 /nfs/dbraw/zinc/24/27/85/360242785.db2.gz AGNBAAWNUWMHMV-UHFFFAOYSA-N 0 1 299.371 0.662 20 30 CCEDMN CC[C@@H](CSC)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000611388943 363712142 /nfs/dbraw/zinc/71/21/42/363712142.db2.gz ZNIFGQFEWRYACV-VIFPVBQESA-N 0 1 267.358 0.977 20 30 CCEDMN C[C@H]1CN(C(=O)CCCCC#N)[C@@H](C)CN1CCO ZINC000594370252 360284743 /nfs/dbraw/zinc/28/47/43/360284743.db2.gz UEOMAEIAQVCUAB-STQMWFEESA-N 0 1 267.373 0.984 20 30 CCEDMN CC(C)(CO)N1CCN(c2ccnc(C#N)n2)CC1 ZINC000594556743 360330651 /nfs/dbraw/zinc/33/06/51/360330651.db2.gz QNJLWVSDKMAWBL-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN1CC2(CC2(F)F)C1 ZINC000594570251 360331875 /nfs/dbraw/zinc/33/18/75/360331875.db2.gz KEULCUSSLGAYPF-JTQLQIEISA-N 0 1 259.300 0.534 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CCC[C@H](CC#N)C2)C1 ZINC000595499962 360552588 /nfs/dbraw/zinc/55/25/88/360552588.db2.gz OUDGEFXHGCXFBU-ZIAGYGMSSA-N 0 1 293.415 0.567 20 30 CCEDMN CCOC(=O)[C@@](C)(O)C[N@H+]1CCC[C@@H](CC#N)C1 ZINC000595619994 360600223 /nfs/dbraw/zinc/60/02/23/360600223.db2.gz IYYOGWMRXAHQOD-AAEUAGOBSA-N 0 1 254.330 0.926 20 30 CCEDMN C[C@@]12COC[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)C2 ZINC000595761504 360643783 /nfs/dbraw/zinc/64/37/83/360643783.db2.gz XIUICEPPKHUPEX-DZGCQCFKSA-N 0 1 290.367 0.611 20 30 CCEDMN C[C@]12COC[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)C2 ZINC000595761514 360644030 /nfs/dbraw/zinc/64/40/30/360644030.db2.gz XIUICEPPKHUPEX-ZFWWWQNUSA-N 0 1 290.367 0.611 20 30 CCEDMN COCC#CCN1C[C@@H]2COC[C@]2(C(=O)OC(C)(C)C)C1 ZINC000595722972 360630258 /nfs/dbraw/zinc/63/02/58/360630258.db2.gz LDZLWMHOBMJPMH-CZUORRHYSA-N 0 1 295.379 0.926 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000595863486 360683717 /nfs/dbraw/zinc/68/37/17/360683717.db2.gz HXUQMMDXHKEILF-VXGBXAGGSA-N 0 1 265.357 0.854 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC(=O)NCc1ccccc1 ZINC000595864115 360684270 /nfs/dbraw/zinc/68/42/70/360684270.db2.gz QRFPQAYTRONRKV-GFCCVEGCSA-N 0 1 277.324 0.149 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000595864360 360684897 /nfs/dbraw/zinc/68/48/97/360684897.db2.gz ZQNZJOJBACZXBT-WCQYABFASA-N 0 1 291.351 0.537 20 30 CCEDMN Cn1ncc(C#N)c1N1CCN(C[C@@H]2CCOC2)CC1 ZINC000596150347 360813141 /nfs/dbraw/zinc/81/31/41/360813141.db2.gz AKYWJCCVUJXAGD-LBPRGKRZSA-N 0 1 275.356 0.450 20 30 CCEDMN COCCN1CCCN(C(=O)[C@H](C)CC#N)CC1 ZINC000596924804 360980068 /nfs/dbraw/zinc/98/00/68/360980068.db2.gz AIIRCXLTRNFIMO-GFCCVEGCSA-N 0 1 253.346 0.717 20 30 CCEDMN COCC[N@H+]1CCC[C@@H](NC(=O)[C@H](C)CC#N)C1 ZINC000597167932 361022089 /nfs/dbraw/zinc/02/20/89/361022089.db2.gz BQLHRLNALCSENT-VXGBXAGGSA-N 0 1 253.346 0.763 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)[C@H](C)CC#N)C1 ZINC000597167932 361022092 /nfs/dbraw/zinc/02/20/92/361022092.db2.gz BQLHRLNALCSENT-VXGBXAGGSA-N 0 1 253.346 0.763 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)CC#N)N1CCN(C)CC1 ZINC000597233886 361037672 /nfs/dbraw/zinc/03/76/72/361037672.db2.gz BYRXPLCCAMAPEN-NWDGAFQWSA-N 0 1 252.362 0.288 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CN3CCN2CCC3)ccn1 ZINC000597625945 361166884 /nfs/dbraw/zinc/16/68/84/361166884.db2.gz RPUUDKHXOCZQPZ-CQSZACIVSA-N 0 1 285.351 0.073 20 30 CCEDMN COC[C@]1(C(=O)OC)CCCN1C(=O)C(C)C#N ZINC000597957861 361291151 /nfs/dbraw/zinc/29/11/51/361291151.db2.gz KHIXFKUIOKRPCR-SKDRFNHKSA-N 0 1 254.286 0.327 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)COc1ccccc1C#N ZINC000598163055 361346683 /nfs/dbraw/zinc/34/66/83/361346683.db2.gz MKLDFWQIONUVJE-UHFFFAOYSA-N 0 1 273.336 0.957 20 30 CCEDMN COc1ccc(CN2CC[C@H](CO)[C@H](O)C2)cc1C#N ZINC000564151825 291267562 /nfs/dbraw/zinc/26/75/62/291267562.db2.gz JSKIPQBNTINIDK-TZMCWYRMSA-N 0 1 276.336 0.742 20 30 CCEDMN Cc1nnc(SCC(=O)N2CC[C@@](C)(C#N)C2)[nH]1 ZINC000598409032 361406571 /nfs/dbraw/zinc/40/65/71/361406571.db2.gz OOGLLGXMXHZILO-NSHDSACASA-N 0 1 265.342 0.967 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CC[C@@](C)(C#N)C2)n1 ZINC000598409032 361406576 /nfs/dbraw/zinc/40/65/76/361406576.db2.gz OOGLLGXMXHZILO-NSHDSACASA-N 0 1 265.342 0.967 20 30 CCEDMN COCCN(C[C@@H](O)CC(C)(C)C#N)[C@@H](C)C(N)=O ZINC000598587428 361449088 /nfs/dbraw/zinc/44/90/88/361449088.db2.gz ADWRYUNGEMIMMF-QWRGUYRKSA-N 0 1 271.361 0.109 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1C[C@@H]2C[C@H]1C[S@@]2=O ZINC000598624280 361464955 /nfs/dbraw/zinc/46/49/55/361464955.db2.gz YZWDHLGVDBOYTA-UCSBCBTLSA-N 0 1 256.371 0.492 20 30 CCEDMN COC[C@@]1(C(N)=O)CCCN1C[C@@H](O)CC(C)(C)C#N ZINC000598626227 361465739 /nfs/dbraw/zinc/46/57/39/361465739.db2.gz WZSMHBSMHNMGBE-SMDDNHRTSA-N 0 1 283.372 0.253 20 30 CCEDMN CN(C[C@@H](O)CC(C)(C)C#N)[C@@H]1CCN(C)C1=O ZINC000598592746 361453787 /nfs/dbraw/zinc/45/37/87/361453787.db2.gz MJCFBDOHJAXGLW-WDEREUQCSA-N 0 1 253.346 0.450 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCC[C@H](S(N)(=O)=O)C1 ZINC000598599647 361454879 /nfs/dbraw/zinc/45/48/79/361454879.db2.gz KTKUMERTVURMTM-MNOVXSKESA-N 0 1 289.401 0.040 20 30 CCEDMN C[C@@H]1CC(=O)NCCN1C[C@@H](O)CC(C)(C)C#N ZINC000598600660 361456730 /nfs/dbraw/zinc/45/67/30/361456730.db2.gz WJQZWAVXUCIXER-MNOVXSKESA-N 0 1 253.346 0.498 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CNCc1cc(C(N)=O)co1 ZINC000598725616 361496644 /nfs/dbraw/zinc/49/66/44/361496644.db2.gz XNUUFRYWGWREJX-JTQLQIEISA-N 0 1 265.313 0.769 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CNCc1cc(C(N)=O)co1 ZINC000598725617 361497010 /nfs/dbraw/zinc/49/70/10/361497010.db2.gz XNUUFRYWGWREJX-SNVBAGLBSA-N 0 1 265.313 0.769 20 30 CCEDMN Cc1c(Cl)cnc(NCCN2CC[C@@H](O)C2)c1C#N ZINC000599175684 361598886 /nfs/dbraw/zinc/59/88/86/361598886.db2.gz HSYBEORRQDUVJJ-SNVBAGLBSA-N 0 1 280.759 0.816 20 30 CCEDMN CCC[C@@H](C#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599234012 361617836 /nfs/dbraw/zinc/61/78/36/361617836.db2.gz DJVMXDDLUFMJMS-JTQLQIEISA-N 0 1 296.352 0.875 20 30 CCEDMN C[C@@H]1[C@@H](C)[N@@H+](C)CCN1C(=O)N=c1[n-]n(C)cc1C#N ZINC000612573659 363961346 /nfs/dbraw/zinc/96/13/46/363961346.db2.gz ZTOSRRJITIMWBN-NXEZZACHSA-N 0 1 276.344 0.270 20 30 CCEDMN N#CCNC(=O)CN1CC[C@H](Cc2ccncc2)C1 ZINC000613179276 364143394 /nfs/dbraw/zinc/14/33/94/364143394.db2.gz RTFNFICQTHKQLL-CYBMUJFWSA-N 0 1 258.325 0.586 20 30 CCEDMN C=CCN(C(=O)c1cc(S(N)(=O)=O)ccc1O)C1CC1 ZINC000613238858 364175535 /nfs/dbraw/zinc/17/55/35/364175535.db2.gz FHSOEBISCUPIHE-UHFFFAOYSA-N 0 1 296.348 0.830 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000614440632 364605368 /nfs/dbraw/zinc/60/53/68/364605368.db2.gz OGNVHPSTKBCJKY-AWEZNQCLSA-N 0 1 282.384 0.902 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NC[C@H]1CN2CCN1CCC2)OCC ZINC000614503012 364632045 /nfs/dbraw/zinc/63/20/45/364632045.db2.gz MUTRUJSHFAHRHU-LSDHHAIUSA-N 0 1 295.427 0.864 20 30 CCEDMN CC[C@]1(CO)CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000614688608 364698469 /nfs/dbraw/zinc/69/84/69/364698469.db2.gz UFIRIRIEZYHMTJ-AWEZNQCLSA-N 0 1 291.355 0.730 20 30 CCEDMN CO[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CC[C@H]1C ZINC000615253050 364896242 /nfs/dbraw/zinc/89/62/42/364896242.db2.gz GOMORELRLOOLDZ-KOLCDFICSA-N 0 1 277.328 0.602 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCc1n[nH]c(CC)n1 ZINC000615450665 364959084 /nfs/dbraw/zinc/95/90/84/364959084.db2.gz PCCMKLRVLLVFLM-JTQLQIEISA-N 0 1 279.344 0.454 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N2CC[C@](F)(C#N)C2)cn1 ZINC000615826177 365099158 /nfs/dbraw/zinc/09/91/58/365099158.db2.gz QVWFSHFHCNKECK-ZDUSSCGKSA-N 0 1 288.286 0.888 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCCN1CCC(O)CC1 ZINC000615991941 365152098 /nfs/dbraw/zinc/15/20/98/365152098.db2.gz UVNCTXVENKAKLL-CQSZACIVSA-N 0 1 297.399 0.030 20 30 CCEDMN C=C(CC)CNC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000616114737 365181603 /nfs/dbraw/zinc/18/16/03/365181603.db2.gz CXBWQWSOOHVUSP-UHFFFAOYSA-N 0 1 284.337 0.736 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccc(C#N)[nH]2)CCN1CC(C)(C)O ZINC000275707132 193110532 /nfs/dbraw/zinc/11/05/32/193110532.db2.gz VRXBGYSXPBZSMS-NSHDSACASA-N 0 1 290.367 0.804 20 30 CCEDMN C=CC[C@H](CO)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275933645 193165939 /nfs/dbraw/zinc/16/59/39/193165939.db2.gz IDWPVLPXRHCWFQ-SNVBAGLBSA-N 0 1 275.312 0.477 20 30 CCEDMN C=CC[C@@H]1CC[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000629500104 369684385 /nfs/dbraw/zinc/68/43/85/369684385.db2.gz VRYTXRGKMIHOPG-MNOVXSKESA-N 0 1 252.314 0.570 20 30 CCEDMN C=C(C)C[C@H]1NC(=O)N(Cc2n[nH]c(CC)n2)C1=O ZINC000630981800 370347965 /nfs/dbraw/zinc/34/79/65/370347965.db2.gz HHQQQSPBRUHTSX-MRVPVSSYSA-N 0 1 263.301 0.754 20 30 CCEDMN C[C@H](NCc1cc(C#N)n(C)c1)C(=O)NCCF ZINC000337234742 213947453 /nfs/dbraw/zinc/94/74/53/213947453.db2.gz BLEFMHFENQGLQF-VIFPVBQESA-N 0 1 252.293 0.461 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H](C)C1=O ZINC000639772682 376004520 /nfs/dbraw/zinc/00/45/20/376004520.db2.gz LMTBASSCEOXKNZ-RKDXNWHRSA-N 0 1 275.312 0.402 20 30 CCEDMN C=C(C)CN(CC)C(=O)Cn1cc([C@@H](C)NC)nn1 ZINC000640792052 376542513 /nfs/dbraw/zinc/54/25/13/376542513.db2.gz KNXGTBURJLBWNP-LLVKDONJSA-N 0 1 265.361 0.983 20 30 CCEDMN C=CCN1CC[C@H](N2CC[N@@H+](CCO)C(C)(C)C2)C1=O ZINC000685004965 545784311 /nfs/dbraw/zinc/78/43/11/545784311.db2.gz ZQDZZDUBVBWDLF-ZDUSSCGKSA-N 0 1 281.400 0.162 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(CC)[C@@H](C)C2)C1=O ZINC000685003901 545785329 /nfs/dbraw/zinc/78/53/29/545785329.db2.gz RBJKOYUFASPTBT-STQMWFEESA-N 0 1 251.374 0.799 20 30 CCEDMN CC#CC[C@H](CO)NCc1cnc(N2CCCC2)nc1 ZINC000641768731 377453895 /nfs/dbraw/zinc/45/38/95/377453895.db2.gz GTIBDZLOSUOOJP-CQSZACIVSA-N 0 1 274.368 0.941 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC000642269748 377553420 /nfs/dbraw/zinc/55/34/20/377553420.db2.gz MCKIKPDAUITYOG-CYBMUJFWSA-N 0 1 297.399 0.152 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)C2(C#N)CCC2)C1 ZINC000331761338 532976655 /nfs/dbraw/zinc/97/66/55/532976655.db2.gz JGVWXOMMURVSLV-LLVKDONJSA-N 0 1 251.330 0.517 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)N(C)Cc1cc[nH]n1)CC2 ZINC000329229262 227367579 /nfs/dbraw/zinc/36/75/79/227367579.db2.gz CLDQGLNMVGQPTD-JTQLQIEISA-N 0 1 289.343 0.670 20 30 CCEDMN CN1CCN(c2cc(N)c([N+](=O)[O-])c(C#N)c2)CC1 ZINC000285638360 388796058 /nfs/dbraw/zinc/79/60/58/388796058.db2.gz NQEQMUUUJYRKHN-UHFFFAOYSA-N 0 1 261.285 0.800 20 30 CCEDMN C#CCSCCNC(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000287575907 388853913 /nfs/dbraw/zinc/85/39/13/388853913.db2.gz WAHVFJQGRLESBW-QMMMGPOBSA-N 0 1 267.358 0.840 20 30 CCEDMN C#CCC(CC#C)C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000289131904 388867538 /nfs/dbraw/zinc/86/75/38/388867538.db2.gz QWINCEKWNHMNEZ-CQSZACIVSA-N 0 1 290.407 0.953 20 30 CCEDMN C[C@H](O)CN(CC#Cc1ccc(F)cc1)CCO ZINC000289743459 388887765 /nfs/dbraw/zinc/88/77/65/388887765.db2.gz JRWSONNJENYAMP-LBPRGKRZSA-N 0 1 251.301 0.852 20 30 CCEDMN CCCN(CCO)CC(=O)N[C@@](C)(C#N)C(C)C ZINC000049825558 388923798 /nfs/dbraw/zinc/92/37/98/388923798.db2.gz ZZHSGYHLSNBRSM-ZDUSSCGKSA-N 0 1 255.362 0.745 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCCC[C@@H]1CCO ZINC000049801293 388924062 /nfs/dbraw/zinc/92/40/62/388924062.db2.gz HIYLHYFHIYHZOO-CQSZACIVSA-N 0 1 292.383 0.879 20 30 CCEDMN C#C[C@H](NC(=O)[C@H](Cc1cnc[nH]1)NC(C)=O)C(C)(C)C ZINC000291673165 388933239 /nfs/dbraw/zinc/93/32/39/388933239.db2.gz GTIVXBQEUHNKSF-STQMWFEESA-N 0 1 290.367 0.621 20 30 CCEDMN COCCN1CCCN(CCCSCC#N)CC1=O ZINC000190785055 388999005 /nfs/dbraw/zinc/99/90/05/388999005.db2.gz XKSLXBKXUPBGGZ-UHFFFAOYSA-N 0 1 285.413 0.814 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)NCCN(C)C1CC1 ZINC000336926441 389033030 /nfs/dbraw/zinc/03/30/30/389033030.db2.gz VXGXZIKUDYNKKC-LLVKDONJSA-N 0 1 288.417 0.397 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN([C@H](CC)CO)CC2)C1=O ZINC000337192888 389051699 /nfs/dbraw/zinc/05/16/99/389051699.db2.gz UEJWOKBSEUGSQY-ZIAGYGMSSA-N 0 1 281.400 0.162 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](O)CSC)C1=O ZINC000337199738 389053830 /nfs/dbraw/zinc/05/38/30/389053830.db2.gz BEXHALFZWWDIOM-WDEREUQCSA-N 0 1 258.387 0.429 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(CC(C)(C)O)[C@H](C)C2)C1=O ZINC000337205511 389055027 /nfs/dbraw/zinc/05/50/27/389055027.db2.gz KTIALQSSKOWEHK-KGLIPLIRSA-N 0 1 295.427 0.550 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N1CCN(C)CC[C@H]1C ZINC000337967958 389071728 /nfs/dbraw/zinc/07/17/28/389071728.db2.gz UNUQPRNTEUWIME-NWDGAFQWSA-N 0 1 288.417 0.491 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@H]1C(=O)NCC[C@H]1C ZINC000193563837 389073044 /nfs/dbraw/zinc/07/30/44/389073044.db2.gz QNVCPYLKHBDJKZ-DGCLKSJQSA-N 0 1 265.357 0.301 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCCC[C@@H]1CO ZINC000303108095 389080480 /nfs/dbraw/zinc/08/04/80/389080480.db2.gz HEYLBFTUNYGYAG-CHWSQXEVSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](C)[C@@H](O)C1 ZINC000305766578 389088992 /nfs/dbraw/zinc/08/89/92/389088992.db2.gz SCJLZRZFKTVCFD-RYUDHWBXSA-N 0 1 253.346 0.451 20 30 CCEDMN CN(CCC(N)=O)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000298630708 389036530 /nfs/dbraw/zinc/03/65/30/389036530.db2.gz VWYBTIZKKAIUKV-ZDUSSCGKSA-N 0 1 286.335 0.471 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)[C@@H]2COCCN2C)n[nH]1 ZINC000328937808 389146633 /nfs/dbraw/zinc/14/66/33/389146633.db2.gz DBGVCBMGCYAYJU-IUCAKERBSA-N 0 1 267.333 0.715 20 30 CCEDMN CCCc1[nH]nc(C(=O)N2CCO[C@H](C#N)C2)c1[N+](=O)[O-] ZINC000109688671 389100103 /nfs/dbraw/zinc/10/01/03/389100103.db2.gz OAEDWQCTROLLRU-MRVPVSSYSA-N 0 1 293.283 0.635 20 30 CCEDMN N#CCSCC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000112197920 389118978 /nfs/dbraw/zinc/11/89/78/389118978.db2.gz LICDJMYZWRNZGW-ZDUSSCGKSA-N 0 1 297.424 0.814 20 30 CCEDMN N#CCCOCCN1CCCC[C@H]1C(=O)N1CCOCC1 ZINC000338958930 389119703 /nfs/dbraw/zinc/11/97/03/389119703.db2.gz WKVSAQAXFGAGLN-AWEZNQCLSA-N 0 1 295.383 0.630 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@@H](N2CCN(CC)CC2)C1 ZINC000338980561 389120696 /nfs/dbraw/zinc/12/06/96/389120696.db2.gz KTVARSFBXXMPBJ-OAHLLOKOSA-N 0 1 267.417 0.901 20 30 CCEDMN CCCN(CC#N)C(=O)c1cnc(CCOCCOC)[nH]1 ZINC000339069770 389123885 /nfs/dbraw/zinc/12/38/85/389123885.db2.gz VRIVVSBDQMTVBE-UHFFFAOYSA-N 0 1 294.355 0.991 20 30 CCEDMN CCCN(CCOCCC#N)[C@@H]1CC(=O)N(C)C1=O ZINC000339166067 389126876 /nfs/dbraw/zinc/12/68/76/389126876.db2.gz QUUNQPFMCIALIE-LLVKDONJSA-N 0 1 267.329 0.386 20 30 CCEDMN C[C@H]1[C@H](C)N(CC(=O)N(CCC#N)CCC#N)CCN1C ZINC000357041189 389130305 /nfs/dbraw/zinc/13/03/05/389130305.db2.gz QINWGUQNFKQSDY-KBPBESRZSA-N 0 1 291.399 0.667 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000339729808 389137831 /nfs/dbraw/zinc/13/78/31/389137831.db2.gz NUWZJRPMTHYMIS-CYBMUJFWSA-N 0 1 297.399 0.104 20 30 CCEDMN CC(=O)N1CC(NC(=O)c2ccc3cncn3c2)C1 ZINC000328830807 389140387 /nfs/dbraw/zinc/14/03/87/389140387.db2.gz URODVJHHBKYFTL-UHFFFAOYSA-N 0 1 258.281 0.870 20 30 CCEDMN CN(C)C1CN(C(=O)Nc2cnn(-c3ncccn3)c2)C1 ZINC000329857373 389206666 /nfs/dbraw/zinc/20/66/66/389206666.db2.gz VIBNTOLCCCVAFC-UHFFFAOYSA-N 0 1 287.327 0.454 20 30 CCEDMN N#C[C@@]1(NC(=O)c2csc(=NC3CC3)[nH]2)CCOC1 ZINC000358445178 389150799 /nfs/dbraw/zinc/15/07/99/389150799.db2.gz KQDZFMQSUFNNJS-LBPRGKRZSA-N 0 1 278.337 0.552 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCN(CCOC)[C@@H](CCC)C1 ZINC000121876153 389151034 /nfs/dbraw/zinc/15/10/34/389151034.db2.gz CTODNDWWGKPLRD-GJZGRUSLSA-N 0 1 295.427 0.557 20 30 CCEDMN [O-]C(N[C@@H]1CCc2ncnn2C1)=[NH+][C@@H]1CC[C@H](F)C1 ZINC000329297465 389154979 /nfs/dbraw/zinc/15/49/79/389154979.db2.gz UDJAAOQCMBOXBI-IVZWLZJFSA-N 0 1 267.308 0.987 20 30 CCEDMN O=C(N[C@@H]1CC[C@H](F)C1)N[C@@H]1CCc2ncnn2C1 ZINC000329297465 389154980 /nfs/dbraw/zinc/15/49/80/389154980.db2.gz UDJAAOQCMBOXBI-IVZWLZJFSA-N 0 1 267.308 0.987 20 30 CCEDMN CN1CCCN(C(=O)C#Cc2ccc3c(c2)OCO3)CC1 ZINC000123148687 389155443 /nfs/dbraw/zinc/15/54/43/389155443.db2.gz OOHKPZGHXRPDGV-UHFFFAOYSA-N 0 1 286.331 0.931 20 30 CCEDMN CC(C)N1CC[C@@H](NC(=O)[C@H](C)CN2CCOCC2)C1=O ZINC000329327212 389157546 /nfs/dbraw/zinc/15/75/46/389157546.db2.gz JWNSSHJRBRHKFO-CHWSQXEVSA-N 0 1 297.399 0.921 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@](C)(O)C1 ZINC000124556620 389161426 /nfs/dbraw/zinc/16/14/26/389161426.db2.gz ZXJGFRRACZOPGG-CYBMUJFWSA-N 0 1 253.346 0.595 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@](C)(O)C1 ZINC000124415874 389161676 /nfs/dbraw/zinc/16/16/76/389161676.db2.gz VBXZCIDGUHBKBG-GXTWGEPZSA-N 0 1 267.373 0.841 20 30 CCEDMN [O-]C(N[C@H]1CCCOC1)=[NH+][C@@H]1CCn2ccnc2C1 ZINC000329544084 389170503 /nfs/dbraw/zinc/17/05/03/389170503.db2.gz OGNWWNFPRSTWJO-MNOVXSKESA-N 0 1 264.329 0.881 20 30 CCEDMN [O-]C(N[C@@H]1CCn2ccnc2C1)=[NH+][C@H]1CCCOC1 ZINC000329544084 389170506 /nfs/dbraw/zinc/17/05/06/389170506.db2.gz OGNWWNFPRSTWJO-MNOVXSKESA-N 0 1 264.329 0.881 20 30 CCEDMN C[C@@H]1OCC[C@@]1(C)NC([O-])=[NH+]CCn1cncn1 ZINC000329577803 389171872 /nfs/dbraw/zinc/17/18/72/389171872.db2.gz SYAJXBLJZMRCEV-GXSJLCMTSA-N 0 1 253.306 0.349 20 30 CCEDMN C[C@@H]1OCC[C@@]1(C)NC(=O)NCCn1cncn1 ZINC000329577803 389171876 /nfs/dbraw/zinc/17/18/76/389171876.db2.gz SYAJXBLJZMRCEV-GXSJLCMTSA-N 0 1 253.306 0.349 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000359473103 389174582 /nfs/dbraw/zinc/17/45/82/389174582.db2.gz DVKQLHFKUWLBJL-ZDUSSCGKSA-N 0 1 268.357 0.370 20 30 CCEDMN CCCCNC(=O)NC(=O)CN1C[C@H](C)N(C)C[C@H]1C ZINC000330570345 389242169 /nfs/dbraw/zinc/24/21/69/389242169.db2.gz CPSGGFSZBWHFIU-NWDGAFQWSA-N 0 1 284.404 0.841 20 30 CCEDMN CCCCNC(=O)NC(=O)CN1C[C@H](C)N(C)C[C@@H]1C ZINC000330570348 389242670 /nfs/dbraw/zinc/24/26/70/389242670.db2.gz CPSGGFSZBWHFIU-RYUDHWBXSA-N 0 1 284.404 0.841 20 30 CCEDMN C=CCCC(=O)NC1CCN([C@@H]2CCN(C)C2=O)CC1 ZINC000330607764 389245804 /nfs/dbraw/zinc/24/58/04/389245804.db2.gz KJNWVQIQRWIPEC-CYBMUJFWSA-N 0 1 279.384 0.764 20 30 CCEDMN N#C[C@@H]1CN(C(=O)NCCCn2ccnc2)CCO1 ZINC000330641959 389248990 /nfs/dbraw/zinc/24/89/90/389248990.db2.gz DSEVPQYAPXNMPP-LLVKDONJSA-N 0 1 263.301 0.412 20 30 CCEDMN CCN1C(=O)[C@@H]2CN(CCC(C)(C)C#N)CCN2C1=O ZINC000363480501 389249179 /nfs/dbraw/zinc/24/91/79/389249179.db2.gz NHROMYDSAYCDJP-NSHDSACASA-N 0 1 278.356 0.895 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1C[C@H](O)C[C@H]1CO ZINC000364201762 389257625 /nfs/dbraw/zinc/25/76/25/389257625.db2.gz FMPNEDXZNUNACH-FRRDWIJNSA-N 0 1 268.357 0.003 20 30 CCEDMN C=CCCCNC(=O)C(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000342474334 389219004 /nfs/dbraw/zinc/21/90/04/389219004.db2.gz DNHKWLFHDMYGGC-CYBMUJFWSA-N 0 1 279.384 0.764 20 30 CCEDMN CNC(=O)[C@@H](C)CN(C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000246150934 389224512 /nfs/dbraw/zinc/22/45/12/389224512.db2.gz HHEPJKBCRJMZHP-IINYFYTJSA-N 0 1 280.372 0.109 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N(C)C[C@H]1CCCO1 ZINC000246153382 389224692 /nfs/dbraw/zinc/22/46/92/389224692.db2.gz UBRBIASYLRBQLZ-NWDGAFQWSA-N 0 1 253.346 0.858 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC2(CCOCC2)CC1 ZINC000367069201 389318520 /nfs/dbraw/zinc/31/85/20/389318520.db2.gz UHQZIYCVLYXDLT-UHFFFAOYSA-N 0 1 295.383 0.891 20 30 CCEDMN CNC(=O)[C@H]1CCCN([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346314021 389326611 /nfs/dbraw/zinc/32/66/11/389326611.db2.gz XMTOCLGBUBGOJH-NEPJUHHUSA-N 0 1 292.383 0.395 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000346320419 389326877 /nfs/dbraw/zinc/32/68/77/389326877.db2.gz ZQOLIZHZEJSFBD-VXGBXAGGSA-N 0 1 251.330 0.327 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCC[C@](C)(O)C1 ZINC000346515381 389330395 /nfs/dbraw/zinc/33/03/95/389330395.db2.gz CAPNDUXSKJBGCA-AAEUAGOBSA-N 0 1 265.357 0.784 20 30 CCEDMN CN1CCN(c2cc(C(=O)NCCCC#N)ccn2)CC1 ZINC000345153410 389292208 /nfs/dbraw/zinc/29/22/08/389292208.db2.gz RCKITGDUMAPYRD-UHFFFAOYSA-N 0 1 287.367 0.867 20 30 CCEDMN Cc1cc(C(=O)NC[C@H]2CCCCN2CCO)cnn1 ZINC000331320233 389302863 /nfs/dbraw/zinc/30/28/63/389302863.db2.gz KKIQECVWSCCHCK-CYBMUJFWSA-N 0 1 278.356 0.936 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1CCN(C)CC[C@@H]1C ZINC000410470096 389381202 /nfs/dbraw/zinc/38/12/02/389381202.db2.gz CVAOXWOHJQDIDV-LBPRGKRZSA-N 0 1 267.373 0.574 20 30 CCEDMN CNS(=O)(=O)CCNCc1ccc(C#N)c(F)c1 ZINC000421383922 389361907 /nfs/dbraw/zinc/36/19/07/389361907.db2.gz JWVDRYGGQSMYBD-UHFFFAOYSA-N 0 1 271.317 0.336 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(C)CC2(C)C)C1=O ZINC000334226213 389461896 /nfs/dbraw/zinc/46/18/96/389461896.db2.gz ZHHAMDSMBNSWNN-GFCCVEGCSA-N 0 1 251.374 0.799 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N(C)C[C@@H](C)C#N)C1 ZINC000349940922 389465186 /nfs/dbraw/zinc/46/51/86/389465186.db2.gz YHTIJKRLXJLMME-NWDGAFQWSA-N 0 1 268.361 0.508 20 30 CCEDMN CNC(=O)CCCN(C)CC(=O)N(CCC#N)CCC#N ZINC000269810221 389426565 /nfs/dbraw/zinc/42/65/65/389426565.db2.gz AOQLMGHDGKZBHX-UHFFFAOYSA-N 0 1 293.371 0.100 20 30 CCEDMN C#CCCN1CCC[C@H](S(=O)(=O)N2CCOCC2)C1 ZINC000371551046 389434618 /nfs/dbraw/zinc/43/46/18/389434618.db2.gz DFLLXLKCVXZRSO-ZDUSSCGKSA-N 0 1 286.397 0.136 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000333724812 389441304 /nfs/dbraw/zinc/44/13/04/389441304.db2.gz SDOFJYAANWZXFV-MCIONIFRSA-N 0 1 296.411 0.881 20 30 CCEDMN CNC(=O)[C@H](C)CN(C)CC(=O)Nc1ccc(C#N)cc1 ZINC000176362115 389556192 /nfs/dbraw/zinc/55/61/92/389556192.db2.gz SJWJMGVUICDPAM-LLVKDONJSA-N 0 1 288.351 0.811 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000351592093 389624881 /nfs/dbraw/zinc/62/48/81/389624881.db2.gz BRTQIKUDLPHXQS-SNVBAGLBSA-N 0 1 278.356 0.848 20 30 CCEDMN C=CCn1cc(CNC(=O)C(C)(C)c2cnc[nH]2)nn1 ZINC000424907967 389635134 /nfs/dbraw/zinc/63/51/34/389635134.db2.gz MFMCXUMGGJVVED-UHFFFAOYSA-N 0 1 274.328 0.781 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC000425217279 389641947 /nfs/dbraw/zinc/64/19/47/389641947.db2.gz ULRWCCXGGLOWFT-VIFPVBQESA-N 0 1 256.331 0.500 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CCC[C@H]2CN(C)C[C@H]21 ZINC000425218063 389642149 /nfs/dbraw/zinc/64/21/49/389642149.db2.gz WYUJUDVWDMXUTM-OUAUKWLOSA-N 0 1 257.359 0.254 20 30 CCEDMN C[C@@H]1CN([C@@H]2CCN(c3ccc(C#N)cc3)C2=O)C[C@@H]1O ZINC000351945586 389721499 /nfs/dbraw/zinc/72/14/99/389721499.db2.gz ROWQYKRXILWHCW-DFBGVHRSSA-N 0 1 285.347 0.976 20 30 CCEDMN Cc1cc(C#N)cc(NC(=O)C(=O)N2CCNC[C@H]2C)c1 ZINC000415350713 389676576 /nfs/dbraw/zinc/67/65/76/389676576.db2.gz ZMSMMOFGPUGZMQ-LLVKDONJSA-N 0 1 286.335 0.626 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)Nc2ccc(C#N)cc2F)CCN1 ZINC000415417563 389682021 /nfs/dbraw/zinc/68/20/21/389682021.db2.gz QAQXBTRHKKBERJ-VIFPVBQESA-N 0 1 290.298 0.456 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C#N)c(F)c2)CCN1 ZINC000415440946 389683398 /nfs/dbraw/zinc/68/33/98/389683398.db2.gz SEBPBKZSPIXEHV-SECBINFHSA-N 0 1 290.298 0.456 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2sccc2C#N)[C@H](C)CN1 ZINC000415573329 389692967 /nfs/dbraw/zinc/69/29/67/389692967.db2.gz VLGQDLWJRKIUJG-RKDXNWHRSA-N 0 1 292.364 0.767 20 30 CCEDMN CN(C)C(=O)[C@@H]1CC[C@H](CNCc2ccc(C#N)o2)O1 ZINC000182038542 389698265 /nfs/dbraw/zinc/69/82/65/389698265.db2.gz RRRLLNCQYVWHSH-OLZOCXBDSA-N 0 1 277.324 0.877 20 30 CCEDMN C[C@@H]1[C@H](C)NCCN1S(=O)(=O)c1cccnc1C#N ZINC000379452544 389761168 /nfs/dbraw/zinc/76/11/68/389761168.db2.gz KCEZAUCYNXGTPV-VHSXEESVSA-N 0 1 280.353 0.324 20 30 CCEDMN CCS(=O)(=O)NCCNCc1ccc(C#N)c(F)c1 ZINC000404098982 389810446 /nfs/dbraw/zinc/81/04/46/389810446.db2.gz YVYMUAANBPOETG-UHFFFAOYSA-N 0 1 285.344 0.726 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000352472556 389816122 /nfs/dbraw/zinc/81/61/22/389816122.db2.gz GVMWIVUIQXMPEN-ZJUUUORDSA-N 0 1 267.333 0.743 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](N3CCOCC3)C[C@@H]2C)C1=O ZINC000352890931 389840604 /nfs/dbraw/zinc/84/06/04/389840604.db2.gz ZXFRLCVPIXEWHU-KKUMJFAQSA-N 0 1 293.411 0.568 20 30 CCEDMN CC(C)N1CCC[C@H](NS(=O)(=O)N(C)CCC#N)C1 ZINC000408320326 389842181 /nfs/dbraw/zinc/84/21/81/389842181.db2.gz KNCVQKRBEOQOIN-LBPRGKRZSA-N 0 1 288.417 0.539 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000444390243 389905884 /nfs/dbraw/zinc/90/58/84/389905884.db2.gz UARFVMDEBVOVOC-MNOVXSKESA-N 0 1 253.346 0.450 20 30 CCEDMN C=CCc1ccccc1OC[C@H](O)CN1C[C@@H](O)[C@H](O)C1 ZINC000444705589 389914627 /nfs/dbraw/zinc/91/46/27/389914627.db2.gz NKQVLCGDZMHQDD-RBSFLKMASA-N 0 1 293.363 0.192 20 30 CCEDMN N#CCNC(=O)CN[C@]1(CO)CCCc2ccccc21 ZINC000440481562 390037805 /nfs/dbraw/zinc/03/78/05/390037805.db2.gz MTTDWNLPQJAPRA-HNNXBMFYSA-N 0 1 273.336 0.440 20 30 CCEDMN C#CCN(CC#N)C(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000490960250 390108885 /nfs/dbraw/zinc/10/88/85/390108885.db2.gz AQKJLLLJKMITCI-UHFFFAOYSA-N 0 1 297.362 0.432 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NC[C@H]1COC(C)(C)O1 ZINC000491460666 390113623 /nfs/dbraw/zinc/11/36/23/390113623.db2.gz AQSXYKQDCDIYGI-LBPRGKRZSA-N 0 1 283.372 0.392 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1cccc(CC)c1 ZINC000491689151 390115977 /nfs/dbraw/zinc/11/59/77/390115977.db2.gz GUMYOEKXBBHSMG-KGLIPLIRSA-N 0 1 263.337 0.572 20 30 CCEDMN C=CCCCS(=O)(=O)N1CC[C@H]2CN(C)C[C@H]21 ZINC000644298211 390186449 /nfs/dbraw/zinc/18/64/49/390186449.db2.gz FIPRBDZZJKLEAK-NWDGAFQWSA-N 0 1 258.387 0.918 20 30 CCEDMN C=CCN1C(=O)N=NC1S[C@H]1CCCN(C)C1=O ZINC000538706240 390196734 /nfs/dbraw/zinc/19/67/34/390196734.db2.gz PRJRQBGSOFEVKE-QMMMGPOBSA-N 0 1 268.342 0.883 20 30 CCEDMN C[C@H](C(=O)NC(=O)NC1CC1)N1CCC(CC#N)CC1 ZINC000541022444 390234665 /nfs/dbraw/zinc/23/46/65/390234665.db2.gz FEPPFFXXECWTAD-SNVBAGLBSA-N 0 1 278.356 0.989 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000644828162 390328068 /nfs/dbraw/zinc/32/80/68/390328068.db2.gz JKSGXQCIXYVZKQ-SNVBAGLBSA-N 0 1 250.302 0.713 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCC(=O)C[C@@H]2C)[nH]n1C ZINC000648434303 390372262 /nfs/dbraw/zinc/37/22/62/390372262.db2.gz FXDNXTGYZBLZRN-GZMMTYOYSA-N 0 1 274.324 0.966 20 30 CCEDMN C=CCn1cc(C(=O)N2CCN3CCC2CC3)nn1 ZINC000648875332 390431976 /nfs/dbraw/zinc/43/19/76/390431976.db2.gz JVTLMGLKLYQEIU-UHFFFAOYSA-N 0 1 261.329 0.384 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCCN(CCCO)C2)nn1 ZINC000648918275 390444402 /nfs/dbraw/zinc/44/44/02/390444402.db2.gz QSOBNYWDMRBNBU-GFCCVEGCSA-N 0 1 293.371 0.041 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)CSc1nnc(C)[nH]1 ZINC000649185602 390514611 /nfs/dbraw/zinc/51/46/11/390514611.db2.gz HSJIJPBPSZSYSW-SECBINFHSA-N 0 1 268.342 0.096 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)CSc1nc(C)n[nH]1 ZINC000649185602 390514614 /nfs/dbraw/zinc/51/46/14/390514614.db2.gz HSJIJPBPSZSYSW-SECBINFHSA-N 0 1 268.342 0.096 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2ccc(C#N)cn2)[C@@H](C)C1 ZINC000565488843 390519480 /nfs/dbraw/zinc/51/94/80/390519480.db2.gz VKIOYNVTEMEXTM-QWHCGFSZSA-N 0 1 274.368 0.840 20 30 CCEDMN N#CC1(CNC(=O)N2CCN(C[C@@H]3CCOC3)CC2)CC1 ZINC000566656041 390636664 /nfs/dbraw/zinc/63/66/64/390636664.db2.gz IGSIGDVPIMGEBR-ZDUSSCGKSA-N 0 1 292.383 0.654 20 30 CCEDMN CC[C@@H](CC#N)NCCC(=O)N1CCN(C)CC1 ZINC000567657121 390708890 /nfs/dbraw/zinc/70/88/90/390708890.db2.gz SPEFNFGLMBIGLB-LBPRGKRZSA-N 0 1 252.362 0.432 20 30 CCEDMN CCN(CC(=O)NC1(C#N)CCC1)[C@H]1CCOC1 ZINC000569777828 390716462 /nfs/dbraw/zinc/71/64/62/390716462.db2.gz XACLGAVOPGSXPC-NSHDSACASA-N 0 1 251.330 0.660 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]CC(F)(F)C[NH3+])o1 ZINC000572424234 390783300 /nfs/dbraw/zinc/78/33/00/390783300.db2.gz AGAYXAMUWHNUOO-UHFFFAOYSA-N 0 1 265.241 0.024 20 30 CCEDMN N#Cc1cccc(CNC(=O)NC[C@H]2COCCN2)c1 ZINC000529288076 390802291 /nfs/dbraw/zinc/80/22/91/390802291.db2.gz RYEFSUWVNCOHRE-ZDUSSCGKSA-N 0 1 274.324 0.346 20 30 CCEDMN Cc1nn(C)c(N2CCN(C(=O)c3ccn[nH]3)CC2)c1C#N ZINC000530363642 390876398 /nfs/dbraw/zinc/87/63/98/390876398.db2.gz FDYNJYWKNXTKEW-UHFFFAOYSA-N 0 1 299.338 0.286 20 30 CCEDMN C=CC[C@H](CO)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000578323596 390877264 /nfs/dbraw/zinc/87/72/64/390877264.db2.gz PGLZPRKCMFSSMU-GFCCVEGCSA-N 0 1 295.364 0.254 20 30 CCEDMN Cc1ccnc(CNC[C@@H](O)CN(C)CCC#N)c1 ZINC000578350527 390879123 /nfs/dbraw/zinc/87/91/23/390879123.db2.gz PNAVQFDHFUPNDC-CQSZACIVSA-N 0 1 262.357 0.686 20 30 CCEDMN C=C[C@H](CO)NCc1ccc(S(C)(=O)=O)cc1 ZINC000657791362 413061843 /nfs/dbraw/zinc/06/18/43/413061843.db2.gz VUIAIMZWFDJVFX-LLVKDONJSA-N 0 1 255.339 0.727 20 30 CCEDMN CCN1CCN(C[C@@H](O)COc2ccccc2C#N)CC1 ZINC000034674248 397375986 /nfs/dbraw/zinc/37/59/86/397375986.db2.gz FSGNNKZMBZYEKT-OAHLLOKOSA-N 0 1 289.379 0.935 20 30 CCEDMN COCCCN1C(=O)N=NC1SC[C@H](O)CC#N ZINC000352161232 397463864 /nfs/dbraw/zinc/46/38/64/397463864.db2.gz VZOXNOKSUDKHMP-MRVPVSSYSA-N 0 1 272.330 0.387 20 30 CCEDMN Cc1nc([C@H](C)NS(=O)(=O)N(C)C[C@@H](C)C#N)n[nH]1 ZINC000352538715 397466285 /nfs/dbraw/zinc/46/62/85/397466285.db2.gz NUENDBCCNPDHQI-YUMQZZPRSA-N 0 1 286.361 0.100 20 30 CCEDMN N#Cc1ncccc1N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000368185781 144210999 /nfs/dbraw/zinc/21/09/99/144210999.db2.gz WGYGKHRHZONKOU-CABCVRRESA-N 0 1 272.352 0.989 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC[C@H](NC(=O)N(C)C)C1 ZINC000650279032 397708483 /nfs/dbraw/zinc/70/84/83/397708483.db2.gz MSGAPPPEVJJPIF-RYUDHWBXSA-N 0 1 255.362 0.659 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)N(CC)[C@H]1CC[N@@H+](C)C1 ZINC000658801446 413210105 /nfs/dbraw/zinc/21/01/05/413210105.db2.gz CJQFZSFTDGRIDO-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC000658801446 413210113 /nfs/dbraw/zinc/21/01/13/413210113.db2.gz CJQFZSFTDGRIDO-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000551903385 286134228 /nfs/dbraw/zinc/13/42/28/286134228.db2.gz QRBLLRDJHDBAAO-LLVKDONJSA-N 0 1 297.322 0.795 20 30 CCEDMN C=CCN1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000344129040 411763852 /nfs/dbraw/zinc/76/38/52/411763852.db2.gz XKFNKPUSGDPBEW-LLVKDONJSA-N 0 1 258.387 0.966 20 30 CCEDMN C=CCCn1cc(CN[C@@H]2CCC[C@@H]2C(=O)NC)nn1 ZINC000653640612 412329428 /nfs/dbraw/zinc/32/94/28/412329428.db2.gz UPGORZZGQFHQHG-QWHCGFSZSA-N 0 1 277.372 0.859 20 30 CCEDMN C[N@@H+](CCC(=O)Nc1ccccc1C#N)CC(=O)[O-] ZINC000035304868 172118556 /nfs/dbraw/zinc/11/85/56/172118556.db2.gz JJESNCRJXLEYAP-UHFFFAOYSA-N 0 1 261.281 0.903 20 30 CCEDMN CC(=O)c1[nH]c(CCN2CC[C@](O)(CC#N)C2)nc1C ZINC000660901214 414524707 /nfs/dbraw/zinc/52/47/07/414524707.db2.gz VXJWRGFIWLEQFN-CQSZACIVSA-N 0 1 276.340 0.814 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)Nc1ccc2c(c1)OCCO2 ZINC000662166192 414695044 /nfs/dbraw/zinc/69/50/44/414695044.db2.gz VBPOTJDBGJROPK-GHMZBOCLSA-N 0 1 292.335 0.921 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N(C)Cc1ccc(F)cc1 ZINC000662167928 414695120 /nfs/dbraw/zinc/69/51/20/414695120.db2.gz SIIXCHPNKSWUKL-CYBMUJFWSA-N 0 1 266.316 0.921 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N([C@H](C)C1CC1)C1CC1 ZINC000662167268 414695371 /nfs/dbraw/zinc/69/53/71/414695371.db2.gz XQINLSLNKLVSPK-ZYHUDNBSSA-N 0 1 252.358 0.912 20 30 CCEDMN C=CC[C@H]1CCC[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662217249 414697486 /nfs/dbraw/zinc/69/74/86/414697486.db2.gz QWMYBEKMVGTZMY-RYUDHWBXSA-N 0 1 266.341 0.960 20 30 CCEDMN C=CCNC(=O)c1ccc(N(C)CC2CN(C)C2)nc1 ZINC000664331949 415348371 /nfs/dbraw/zinc/34/83/71/415348371.db2.gz VYKPMUWIPCWZBQ-UHFFFAOYSA-N 0 1 274.368 0.995 20 30 CCEDMN C=CCCOCCNC(=O)NCCCc1nc[nH]n1 ZINC000664531205 415411910 /nfs/dbraw/zinc/41/19/10/415411910.db2.gz GZLIBOOAVASJBR-UHFFFAOYSA-N 0 1 267.333 0.629 20 30 CCEDMN C=C(C)COCCNC(=O)NCCCc1nc[nH]n1 ZINC000664445602 415390060 /nfs/dbraw/zinc/39/00/60/415390060.db2.gz ZRSTYWBWNDCYLA-UHFFFAOYSA-N 0 1 267.333 0.629 20 30 CCEDMN C[C@H](O)C[C@H]1CCCN1CC(=O)NC1(C#N)CCC1 ZINC000346972915 226000367 /nfs/dbraw/zinc/00/03/67/226000367.db2.gz NYAGJMXHTGJEEO-NWDGAFQWSA-N 0 1 265.357 0.784 20 30 CCEDMN CC(C#N)C(=O)N=c1ccn(Cc2ccncc2)[nH]1 ZINC000347040451 226025322 /nfs/dbraw/zinc/02/53/22/226025322.db2.gz RCAUIYCLPQTPSO-JTQLQIEISA-N 0 1 255.281 0.846 20 30 CCEDMN C[C@@]1(O)CCCN(CC(=O)NC2(C#N)CCC2)CC1 ZINC000347110773 226028613 /nfs/dbraw/zinc/02/86/13/226028613.db2.gz XQHSDPFZAQXRRN-CYBMUJFWSA-N 0 1 265.357 0.786 20 30 CCEDMN COC[C@H](NCC(=O)NC1(C#N)CCC1)[C@@H]1CCCO1 ZINC000347082830 226043456 /nfs/dbraw/zinc/04/34/56/226043456.db2.gz KLQGVDADYVLVON-RYUDHWBXSA-N 0 1 281.356 0.332 20 30 CCEDMN CN(C)CCNS(=O)(=O)CC1(C#N)CCCC1 ZINC000354065398 226888678 /nfs/dbraw/zinc/88/86/78/226888678.db2.gz NZARCPJUWJMASM-UHFFFAOYSA-N 0 1 259.375 0.551 20 30 CCEDMN C[C@H](Cn1cncn1)NC(=O)N[C@]1(C)CCCOC1 ZINC000329150323 227328044 /nfs/dbraw/zinc/32/80/44/227328044.db2.gz RKQUKAKAXZHKJZ-ZYHUDNBSSA-N 0 1 267.333 0.739 20 30 CCEDMN C[C@H](NC(=O)[C@@H]1CCCN1C1CC1)C(=O)N1CCOCC1 ZINC000330251889 228081649 /nfs/dbraw/zinc/08/16/49/228081649.db2.gz IAXTYKXDAGOPTD-AAEUAGOBSA-N 0 1 295.383 0.817 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)[C@H]1CCCN1C1CC1 ZINC000330270601 228087275 /nfs/dbraw/zinc/08/72/75/228087275.db2.gz ULRARHMMBLMYEE-VXGBXAGGSA-N 0 1 254.330 0.985 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)CC(C)(C)CC#N ZINC000332513911 228163149 /nfs/dbraw/zinc/16/31/49/228163149.db2.gz NUULBLIJGAURCX-UHFFFAOYSA-N 0 1 261.391 0.749 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cc2ccn[nH]2)C1=O ZINC000281682324 544961290 /nfs/dbraw/zinc/96/12/90/544961290.db2.gz KSOHEBSZBDNIBO-LLVKDONJSA-N 0 1 262.313 0.198 20 30 CCEDMN CN1CCN(CCNC(=O)c2nccn3ccnc23)CC1 ZINC000328687226 545018353 /nfs/dbraw/zinc/01/83/53/545018353.db2.gz GVOQEFJLQVBPPQ-UHFFFAOYSA-N 0 1 288.355 0.281 20 30 CCEDMN O=C(NCCn1cncn1)N(C[C@@H]1CCOC1)C1CC1 ZINC000328710611 545018837 /nfs/dbraw/zinc/01/88/37/545018837.db2.gz BTVANDKCXJPCEB-NSHDSACASA-N 0 1 279.344 0.693 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)[C@@]2(O)CCSC2)C1 ZINC000328937793 545020501 /nfs/dbraw/zinc/02/05/01/545020501.db2.gz DAZYIRJHNZNPIG-ZYHUDNBSSA-N 0 1 273.402 0.057 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NC[C@@]1(C)CCOC1)CC2 ZINC000329364339 545022124 /nfs/dbraw/zinc/02/21/24/545022124.db2.gz FYAMCRPDTHGCPM-BXUZGUMPSA-N 0 1 293.371 0.832 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+]C[C@@]1(C)CCOC1)CC2 ZINC000329364339 545022127 /nfs/dbraw/zinc/02/21/27/545022127.db2.gz FYAMCRPDTHGCPM-BXUZGUMPSA-N 0 1 293.371 0.832 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2cccc3c2OCO3)C1 ZINC000329436001 545023106 /nfs/dbraw/zinc/02/31/06/545023106.db2.gz JDRPPKRIHGVKHR-LLVKDONJSA-N 0 1 291.351 0.966 20 30 CCEDMN C=C(C)[C@H](CC(=O)N1CCO[C@H](c2nn[nH]n2)C1)OCC ZINC000363443602 260260792 /nfs/dbraw/zinc/26/07/92/260260792.db2.gz XDJJDPSJJLQZJG-QWRGUYRKSA-N 0 1 295.343 0.471 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCCSCC2)CC1 ZINC000089981382 260309230 /nfs/dbraw/zinc/30/92/30/260309230.db2.gz WRLAUHLBGJGIPA-UHFFFAOYSA-N 0 1 281.425 0.203 20 30 CCEDMN CN(C)c1ccc(C(=O)NC2(C#N)CCN(C)CC2)cn1 ZINC000518339731 260380122 /nfs/dbraw/zinc/38/01/22/260380122.db2.gz SPYSYDWTVSRZFH-UHFFFAOYSA-N 0 1 287.367 0.865 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)cn1 ZINC000107597885 269835013 /nfs/dbraw/zinc/83/50/13/269835013.db2.gz MECIZZMCFUSHKP-LBPRGKRZSA-N 0 1 287.367 0.319 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CCCCC(=O)N1 ZINC000491810419 262081899 /nfs/dbraw/zinc/08/18/99/262081899.db2.gz IHYJQOLBIJNJHN-STQMWFEESA-N 0 1 278.352 0.686 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1ccccc1F ZINC000491820187 262098398 /nfs/dbraw/zinc/09/83/98/262098398.db2.gz QHTAMDYGSJILLR-MNOVXSKESA-N 0 1 253.273 0.149 20 30 CCEDMN COC(=O)CNC(=O)CCN(CCC#N)CC(C)(C)C ZINC000111250744 262258499 /nfs/dbraw/zinc/25/84/99/262258499.db2.gz HQRASOMYMORZRM-UHFFFAOYSA-N 0 1 283.372 0.927 20 30 CCEDMN CCO[C@@H]1COC[C@H]1NCC(=O)N(CC)C[C@H](C)C#N ZINC000451940788 263010552 /nfs/dbraw/zinc/01/05/52/263010552.db2.gz VQTSVPVRMJXZCZ-JHJVBQTASA-N 0 1 283.372 0.388 20 30 CCEDMN C[C@H](CN(C)C(=O)C1(C#N)CCCC1)c1nn[nH]n1 ZINC000273590694 263018225 /nfs/dbraw/zinc/01/82/25/263018225.db2.gz SQHUGKYAMZQVRX-SECBINFHSA-N 0 1 262.317 0.846 20 30 CCEDMN CCOC(=O)CNC(=O)C(C#N)Cc1ccc(C#N)cc1 ZINC000279498958 263055896 /nfs/dbraw/zinc/05/58/96/263055896.db2.gz JVOMVTKEHBZLRS-CYBMUJFWSA-N 0 1 285.303 0.920 20 30 CCEDMN COC(=O)CNC(=O)C(C#N)Cc1ccc(C#N)cc1 ZINC000280128011 263059147 /nfs/dbraw/zinc/05/91/47/263059147.db2.gz YXTATQZCISVPGA-LBPRGKRZSA-N 0 1 271.276 0.530 20 30 CCEDMN CO/N=C/C(=O)NC1CCN(Cc2cccnc2)CC1 ZINC000283201701 263081971 /nfs/dbraw/zinc/08/19/71/263081971.db2.gz WTSWKWMGQAVWRR-MHWRWJLKSA-N 0 1 276.340 0.794 20 30 CCEDMN CCOC(=O)[C@@H](NC(=O)C(C)C#N)C1CCOCC1 ZINC000340378226 263121935 /nfs/dbraw/zinc/12/19/35/263121935.db2.gz OVMWENNAWVXBAU-ONGXEEELSA-N 0 1 268.313 0.621 20 30 CCEDMN CN1CCN(CCNC(=O)/C=C/c2ccc(C#N)cc2)CC1 ZINC000298085326 263214521 /nfs/dbraw/zinc/21/45/21/263214521.db2.gz HDGIGXYZDIWYRK-VOTSOKGWSA-N 0 1 298.390 0.935 20 30 CCEDMN C[C@H](CC#N)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000299566821 263247360 /nfs/dbraw/zinc/24/73/60/263247360.db2.gz AWZAXYOXFVCOKH-MRVPVSSYSA-N 0 1 273.300 0.207 20 30 CCEDMN CC#CCN(C)C(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000341092763 263321740 /nfs/dbraw/zinc/32/17/40/263321740.db2.gz SQOAMPFDNPKLOZ-UHFFFAOYSA-N 0 1 286.379 0.929 20 30 CCEDMN C=CCCOCCNC(=O)[C@@H](C)CN1CCOCC1 ZINC000341895702 263366707 /nfs/dbraw/zinc/36/67/07/263366707.db2.gz IUZFAWAZSGCWFO-ZDUSSCGKSA-N 0 1 270.373 0.664 20 30 CCEDMN CC#CCN1CCC[C@](O)(C(=O)N2CCCC2)C1 ZINC000334397563 263612899 /nfs/dbraw/zinc/61/28/99/263612899.db2.gz LGEJBPIMASSFGW-CQSZACIVSA-N 0 1 250.342 0.459 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC([C@@H](O)C(=O)OC)CC2)C1=O ZINC000330410602 263931592 /nfs/dbraw/zinc/93/15/92/263931592.db2.gz YUUMTWFOXZOGED-CHWSQXEVSA-N 0 1 296.367 0.019 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C)C[C@H]1C ZINC000330672852 264014274 /nfs/dbraw/zinc/01/42/74/264014274.db2.gz OOQQSVCDXDRUNW-GFCCVEGCSA-N 0 1 252.362 0.384 20 30 CCEDMN C[C@H](Cn1ccnc1)[NH+]=C([O-])N1CC[C@H](CO)C1 ZINC000330830252 264050004 /nfs/dbraw/zinc/05/00/04/264050004.db2.gz UFLHWDGKZCNBSV-MNOVXSKESA-N 0 1 252.318 0.500 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NCCCC#N ZINC000330865543 264057126 /nfs/dbraw/zinc/05/71/26/264057126.db2.gz ZBGWPKFMGVLPDY-GFCCVEGCSA-N 0 1 267.377 0.430 20 30 CCEDMN N#C[C@@H]1CCC[C@H]1NC[C@H](O)COC1CCOCC1 ZINC000330853191 264068895 /nfs/dbraw/zinc/06/88/95/264068895.db2.gz RCBHTDBAMHEQDM-SGMGOOAPSA-N 0 1 268.357 0.825 20 30 CCEDMN CN1CCN(CCNC(=O)CC(C)(C)C#N)CC1 ZINC000330943921 264084431 /nfs/dbraw/zinc/08/44/31/264084431.db2.gz FWLMFUMSYXVABS-UHFFFAOYSA-N 0 1 252.362 0.290 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000330948848 264085597 /nfs/dbraw/zinc/08/55/97/264085597.db2.gz JAZWLCYAUSSONO-TZMCWYRMSA-N 0 1 269.389 0.066 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N2CCO[C@@H](CO)C2)n[nH]1 ZINC000331122374 264131048 /nfs/dbraw/zinc/13/10/48/264131048.db2.gz BSWZBPVODDAJKQ-JOYOIKCWSA-N 0 1 282.344 0.256 20 30 CCEDMN COc1cc(C)cnc1C(=O)NCCN1CCN(C)CC1 ZINC000331172772 264146492 /nfs/dbraw/zinc/14/64/92/264146492.db2.gz OSXJIOAWCCKROG-UHFFFAOYSA-N 0 1 292.383 0.951 20 30 CCEDMN Nc1nccnc1C(=O)NC[C@H]1CCCCN1CCO ZINC000331311555 264186464 /nfs/dbraw/zinc/18/64/64/264186464.db2.gz HRGBBCTZRMHQOB-SNVBAGLBSA-N 0 1 279.344 0.210 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000126474235 264220883 /nfs/dbraw/zinc/22/08/83/264220883.db2.gz KOHRKEWNXUSUIG-ZYHUDNBSSA-N 0 1 271.386 0.469 20 30 CCEDMN C=CCCn1cc(C(=O)NCc2n[nH]c(C3CC3)n2)nn1 ZINC000424861386 264245884 /nfs/dbraw/zinc/24/58/84/264245884.db2.gz GKGJVYKRNHCIHJ-UHFFFAOYSA-N 0 1 287.327 0.780 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000131291418 264368177 /nfs/dbraw/zinc/36/81/77/264368177.db2.gz XQGWUFPOYFQMHV-LBPRGKRZSA-N 0 1 275.418 0.118 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000366866122 265060742 /nfs/dbraw/zinc/06/07/42/265060742.db2.gz LSJOBUUTRGUKGD-JHJVBQTASA-N 0 1 295.383 0.735 20 30 CCEDMN C=CCN(C(=O)NC[C@H]1CN(C)CCN1C)C1CC1 ZINC000357584483 266330779 /nfs/dbraw/zinc/33/07/79/266330779.db2.gz UUCDEQQGTGJYIK-ZDUSSCGKSA-N 0 1 266.389 0.592 20 30 CCEDMN CC[C@H](CO)N1CCN(Cc2ccc(C#N)cn2)CC1 ZINC000521337685 267212473 /nfs/dbraw/zinc/21/24/73/267212473.db2.gz YLCBASFDYCTNNH-OAHLLOKOSA-N 0 1 274.368 0.842 20 30 CCEDMN C=CCNC(=O)C[N@H+](C)C1CCN(c2cnccn2)CC1 ZINC000369312625 267235110 /nfs/dbraw/zinc/23/51/10/267235110.db2.gz QRFPGDFNIBENJA-UHFFFAOYSA-N 0 1 289.383 0.679 20 30 CCEDMN COC(=O)CCN1CCN(c2ccnc(C#N)n2)[C@@H](C)C1 ZINC000521462985 267361668 /nfs/dbraw/zinc/36/16/68/267361668.db2.gz UNJDMEJPRRJUJV-NSHDSACASA-N 0 1 289.339 0.422 20 30 CCEDMN Cc1[nH+]cc2n1CC[C@H](C(=O)Nc1nc(C#N)c(C#N)[n-]1)C2 ZINC000352609960 293625170 /nfs/dbraw/zinc/62/51/70/293625170.db2.gz MLVVGSXAZYHEBM-VIFPVBQESA-N 0 1 295.306 0.859 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1ccc(C#N)cn1 ZINC000319318639 328123114 /nfs/dbraw/zinc/12/31/14/328123114.db2.gz GDEVHPNAZIUROZ-LBPRGKRZSA-N 0 1 299.290 0.190 20 30 CCEDMN N#CCC[C@@H](C#N)CNCCNC(=O)c1cnccn1 ZINC000558506870 327099709 /nfs/dbraw/zinc/09/97/09/327099709.db2.gz RTZZQFLYHMMCRW-NSHDSACASA-N 0 1 272.312 0.240 20 30 CCEDMN CCNC(=O)N1CC[C@@H](NC[C@H](C#N)CCC#N)C1 ZINC000558703398 327115979 /nfs/dbraw/zinc/11/59/79/327115979.db2.gz OJQVTFCNXOKJLF-NWDGAFQWSA-N 0 1 263.345 0.823 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@@H]2CC)CC1 ZINC000115310162 327235563 /nfs/dbraw/zinc/23/55/63/327235563.db2.gz BYVKMAAYCVCVEY-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC([C@@H]2NC(=O)C2(C)C)CC1 ZINC000562477464 327484690 /nfs/dbraw/zinc/48/46/90/327484690.db2.gz AQFAYCBZNPRSKR-AAEUAGOBSA-N 0 1 293.411 0.914 20 30 CCEDMN CC(C)(C#N)C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000377748376 329027772 /nfs/dbraw/zinc/02/77/72/329027772.db2.gz XYYCWCWZYHYSBI-ZDUSSCGKSA-N 0 1 294.399 0.059 20 30 CCEDMN CC(C)(C)N1CC[C@@](F)(C(=O)N2CCOC[C@H]2C#N)C1 ZINC000377938870 329035286 /nfs/dbraw/zinc/03/52/86/329035286.db2.gz MOGQGKXWXCLDFZ-RISCZKNCSA-N 0 1 283.347 0.950 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@H](OCCO)C1 ZINC000172300786 329084725 /nfs/dbraw/zinc/08/47/25/329084725.db2.gz DEMCDSKKSAHORJ-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)Nc1cc(C#N)ncn1 ZINC000582643833 329116699 /nfs/dbraw/zinc/11/66/99/329116699.db2.gz YNCFJQFECVFQRW-LLVKDONJSA-N 0 1 260.345 0.396 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(C)Cc1nnc[nH]1 ZINC000177154377 329180503 /nfs/dbraw/zinc/18/05/03/329180503.db2.gz DXTNSJYGIWVPKH-LLVKDONJSA-N 0 1 263.345 0.826 20 30 CCEDMN C=CCCS(=O)(=O)N[C@@H](C)CCN1CCN(C)CC1 ZINC000185336153 329270576 /nfs/dbraw/zinc/27/05/76/329270576.db2.gz JVLXRZJHHNHWSD-ZDUSSCGKSA-N 0 1 289.445 0.508 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CCO1 ZINC000185409879 329273328 /nfs/dbraw/zinc/27/33/28/329273328.db2.gz GTNDFDWGMFZCJH-LBPRGKRZSA-N 0 1 283.291 0.836 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H](C)c2n[nH]c(C)n2)C1=O ZINC000583070712 329310083 /nfs/dbraw/zinc/31/00/83/329310083.db2.gz ZCAQDFCGEWHABE-KOLCDFICSA-N 0 1 263.345 0.893 20 30 CCEDMN N#CC1(C(=O)N2CCN3CCC2CC3)CCOCC1 ZINC000188650324 329371396 /nfs/dbraw/zinc/37/13/96/329371396.db2.gz MDKVWANTEWMBQU-UHFFFAOYSA-N 0 1 263.341 0.613 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1CCNC[C@@H]1CCC ZINC000419053705 329706818 /nfs/dbraw/zinc/70/68/18/329706818.db2.gz JTVQHPDHTUITIH-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN C=CCOc1ccccc1CNC(=O)[C@H](N)COC ZINC000262320764 330005972 /nfs/dbraw/zinc/00/59/72/330005972.db2.gz QHDKETGYDYCJCQ-GFCCVEGCSA-N 0 1 264.325 0.841 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1C[C@@H](C)NC[C@H]1C ZINC000423623839 330212919 /nfs/dbraw/zinc/21/29/19/330212919.db2.gz AQIGVPPWSHSXEH-GHMZBOCLSA-N 0 1 253.346 0.230 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@@]2(CCOC2)C1 ZINC000451902227 331046772 /nfs/dbraw/zinc/04/67/72/331046772.db2.gz OAKOBUPYOOTWNE-SWLSCSKDSA-N 0 1 295.383 0.889 20 30 CCEDMN C[C@@H](CNS(=O)(=O)CC1(C#N)CCC1)N(C)C ZINC000451927293 331047498 /nfs/dbraw/zinc/04/74/98/331047498.db2.gz JDTUMAZLWNWPTH-JTQLQIEISA-N 0 1 259.375 0.550 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@@H]1COC ZINC000452027115 331050960 /nfs/dbraw/zinc/05/09/60/331050960.db2.gz DCTVVXSUAXDHSU-LLVKDONJSA-N 0 1 269.345 0.499 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000456766748 331201674 /nfs/dbraw/zinc/20/16/74/331201674.db2.gz LKXYIRUIDFQPFY-QWHCGFSZSA-N 0 1 270.373 0.398 20 30 CCEDMN C=C(CC)CNC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000456825077 331206073 /nfs/dbraw/zinc/20/60/73/331206073.db2.gz GMWWOGIVQHYEHY-UHFFFAOYSA-N 0 1 274.328 0.872 20 30 CCEDMN C=CCN(CCOC)C(=O)NCc1n[nH]c(CC)n1 ZINC000458869484 331261992 /nfs/dbraw/zinc/26/19/92/331261992.db2.gz HQPDLUXTEXRNSP-UHFFFAOYSA-N 0 1 267.333 0.711 20 30 CCEDMN C=CCn1cccc1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000488933518 332034298 /nfs/dbraw/zinc/03/42/98/332034298.db2.gz JIQMILUGSFTODY-CYBMUJFWSA-N 0 1 276.384 0.650 20 30 CCEDMN C=C[C@H]1CCCCN1CC(=O)NCCC(=O)OC ZINC000489503292 332057381 /nfs/dbraw/zinc/05/73/81/332057381.db2.gz XSOKJHHGYCCJKM-NSHDSACASA-N 0 1 254.330 0.706 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](C(=O)N2CCCC2)C1 ZINC000490672269 332101885 /nfs/dbraw/zinc/10/18/85/332101885.db2.gz YFJPDHDYRUUGRX-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCC[C@@H](C(N)=O)C1 ZINC000490717935 332108432 /nfs/dbraw/zinc/10/84/32/332108432.db2.gz GFGWZAWBCWEYKC-HZSPNIEDSA-N 0 1 292.379 0.919 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000490892975 332125894 /nfs/dbraw/zinc/12/58/94/332125894.db2.gz PQSJMFRTGMXWPE-LLVKDONJSA-N 0 1 292.295 0.432 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H](C)C(=O)NCCC)CC1 ZINC000491069775 332145804 /nfs/dbraw/zinc/14/58/04/332145804.db2.gz XZFGBHZCYCZYFU-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCC)CC(N)=O)CC1 ZINC000491094097 332148338 /nfs/dbraw/zinc/14/83/38/332148338.db2.gz HCWMEHIDYGPSRD-UHFFFAOYSA-N 0 1 265.357 0.056 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N=c1[nH]n(C)cc1C(=O)OCC ZINC000491148493 332156923 /nfs/dbraw/zinc/15/69/23/332156923.db2.gz WDNDNKOUTMOOQI-VIFPVBQESA-N 0 1 278.312 0.552 20 30 CCEDMN C#CCNC(=O)CCN[C@H](c1nnc[nH]1)c1ccccc1 ZINC000491166654 332160206 /nfs/dbraw/zinc/16/02/06/332160206.db2.gz TZKLBHXXBHUNAV-AWEZNQCLSA-N 0 1 283.335 0.623 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000491202514 332164956 /nfs/dbraw/zinc/16/49/56/332164956.db2.gz OTDVKMRDWQANRG-LLVKDONJSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H](C)C(=O)N[C@H](C)CC)CC1 ZINC000491202960 332165405 /nfs/dbraw/zinc/16/54/05/332165405.db2.gz RKZRRAPQGKRLAF-CHWSQXEVSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1OC[C@@H]2COCC[C@@H]12 ZINC000491231207 332170925 /nfs/dbraw/zinc/17/09/25/332170925.db2.gz REGXRUMNISLAJD-GBJTYRQASA-N 0 1 293.363 0.679 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000491288954 332182375 /nfs/dbraw/zinc/18/23/75/332182375.db2.gz WBAQMCCOVSOGIG-LLVKDONJSA-N 0 1 297.318 0.108 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@](C)(C(=O)OC)C1 ZINC000491408897 332207148 /nfs/dbraw/zinc/20/71/48/332207148.db2.gz XPBIAIZBFFMKLR-FZMZJTMJSA-N 0 1 266.341 0.399 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1cc(C)ccc1Cl ZINC000491438433 332211230 /nfs/dbraw/zinc/21/12/30/332211230.db2.gz CIPAYFWXASQODQ-VXGBXAGGSA-N 0 1 283.755 0.972 20 30 CCEDMN C#CCN(C)C(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000491440816 332212258 /nfs/dbraw/zinc/21/22/58/332212258.db2.gz RDBCSFIQJCQVEX-STQMWFEESA-N 0 1 267.373 0.760 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1ccc(SC)cc1 ZINC000491452970 332214345 /nfs/dbraw/zinc/21/43/45/332214345.db2.gz OWICNHZTGBDQFB-VXGBXAGGSA-N 0 1 281.377 0.732 20 30 CCEDMN C#CCn1ccc(CN(CC)C[C@H]2CCCNC2=O)n1 ZINC000491505749 332224977 /nfs/dbraw/zinc/22/49/77/332224977.db2.gz MVEJSKCCBDFZTG-CYBMUJFWSA-N 0 1 274.368 0.864 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)[C@@H]2CC2(C)C)CC1 ZINC000491617151 332244065 /nfs/dbraw/zinc/24/40/65/332244065.db2.gz KBOMEFRUFYEOAK-CYBMUJFWSA-N 0 1 263.385 0.494 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1ccccc1Cl ZINC000491674590 332280270 /nfs/dbraw/zinc/28/02/70/332280270.db2.gz KPRBVCIHKXAIQN-MNOVXSKESA-N 0 1 269.728 0.663 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1cc(C(F)(F)F)[nH]n1 ZINC000491707574 332300150 /nfs/dbraw/zinc/30/01/50/332300150.db2.gz LTMZJVNVYUOLBR-SSDOTTSWSA-N 0 1 273.214 0.903 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)NCCN1CC=C(C)CC1 ZINC000491744008 332321666 /nfs/dbraw/zinc/32/16/66/332321666.db2.gz RQCZETHQTAARMW-AWEZNQCLSA-N 0 1 277.368 0.682 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCCC[C@H]2COC)CC1 ZINC000491754635 332329480 /nfs/dbraw/zinc/32/94/80/332329480.db2.gz ULQGHYQRODMNTF-HNNXBMFYSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2n[nH]c(CC)n2)CC1 ZINC000491770027 332337023 /nfs/dbraw/zinc/33/70/23/332337023.db2.gz LNTFMULDYYOTMT-UHFFFAOYSA-N 0 1 275.356 0.329 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)NCc1n[nH]c(C2CC2)n1 ZINC000491773628 332339888 /nfs/dbraw/zinc/33/98/88/332339888.db2.gz SGZGFSMKIVHXIZ-SNVBAGLBSA-N 0 1 275.312 0.226 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000491773941 332339918 /nfs/dbraw/zinc/33/99/18/332339918.db2.gz WFSOQNYLJJTOIK-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN C#C[C@H](C)N(C)CN1C[C@]2(CN3CCC2CC3)OC1=O ZINC000491803917 332357824 /nfs/dbraw/zinc/35/78/24/332357824.db2.gz JVVAUGIYSAPMEQ-WFASDCNBSA-N 0 1 277.368 0.814 20 30 CCEDMN C#CCNC(=O)[C@H](C)ON=C(N)CN1CCCC[C@H]1C ZINC000178172777 333068889 /nfs/dbraw/zinc/06/88/89/333068889.db2.gz JOITVTDQHAYAQG-NEPJUHHUSA-N 0 1 280.372 0.288 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](C(=O)NCCCC)C1 ZINC000250488423 333305743 /nfs/dbraw/zinc/30/57/43/333305743.db2.gz MTPDOWIMAMFTFU-KGLIPLIRSA-N 0 1 293.411 0.753 20 30 CCEDMN Cc1ccc(OC[C@H](O)CNCC#CCO)c(C)c1 ZINC000576040684 335144521 /nfs/dbraw/zinc/14/45/21/335144521.db2.gz ONLVSVQYVSWHRG-CQSZACIVSA-N 0 1 263.337 0.628 20 30 CCEDMN COC(=O)CNC(=O)[C@@H](C)N1CCC(CC#N)CC1 ZINC000541952532 333803916 /nfs/dbraw/zinc/80/39/16/333803916.db2.gz QAJMJDCOWGZLGN-SNVBAGLBSA-N 0 1 267.329 0.290 20 30 CCEDMN C[C@@H](CNC(=O)C1(C#N)CCC1)N1CCN(C)CC1 ZINC000134935995 334108872 /nfs/dbraw/zinc/10/88/72/334108872.db2.gz PIZVTLGPRGDDBD-LBPRGKRZSA-N 0 1 264.373 0.432 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@H](CNC(=O)C(C)C)C1 ZINC000245389600 334189706 /nfs/dbraw/zinc/18/97/06/334189706.db2.gz IRLKCNOJQDEMSP-KGLIPLIRSA-N 0 1 293.411 0.609 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@@H]([C@H](C)O)C1 ZINC000089932118 334353847 /nfs/dbraw/zinc/35/38/47/334353847.db2.gz ITEMJOAOYKFTJZ-SDDRHHMPSA-N 0 1 283.372 0.480 20 30 CCEDMN CC(C)(C)c1nc(CNc2ccnc(C#N)n2)n[nH]1 ZINC000576598514 335225029 /nfs/dbraw/zinc/22/50/29/335225029.db2.gz PFJACXCIPNFCDE-UHFFFAOYSA-N 0 1 257.301 0.798 20 30 CCEDMN N#Cc1cc(N2CCC[C@@H](c3n[nH]c(=O)o3)C2)ncn1 ZINC000576629655 335227761 /nfs/dbraw/zinc/22/77/61/335227761.db2.gz CHHNNJOYNAOTMR-MRVPVSSYSA-N 0 1 272.268 0.821 20 30 CCEDMN C[C@@H]([NH3+])C[C@H](C)[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000576836724 335254086 /nfs/dbraw/zinc/25/40/86/335254086.db2.gz AHAQBENIOOMKEN-SFYZADRCSA-N 0 1 257.315 0.555 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NCCN1CCCOCC1 ZINC000581590974 336108245 /nfs/dbraw/zinc/10/82/45/336108245.db2.gz SJIOICJGXIRITG-KGLIPLIRSA-N 0 1 297.399 0.742 20 30 CCEDMN CN1C(=O)CC[C@@H](NCc2cnc3ccc(C#N)cn23)C1=O ZINC000581999379 336187854 /nfs/dbraw/zinc/18/78/54/336187854.db2.gz XZNLICHNZWDTLL-GFCCVEGCSA-N 0 1 297.318 0.443 20 30 CCEDMN C[C@@H]1c2nncn2CCN1C(=O)NCC[C@H]1CCOC1 ZINC000330057325 534259084 /nfs/dbraw/zinc/25/90/84/534259084.db2.gz XHOYVNJUTZLNBP-MNOVXSKESA-N 0 1 279.344 0.995 20 30 CCEDMN Cn1nnc(CNC([O-])=[NH+]C2(C)Cc3ccccc3C2)n1 ZINC000330312226 534327312 /nfs/dbraw/zinc/32/73/12/534327312.db2.gz ODNJOOQEFJIYKZ-UHFFFAOYSA-N 0 1 286.339 0.771 20 30 CCEDMN C=CCCNC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000665943566 545110191 /nfs/dbraw/zinc/11/01/91/545110191.db2.gz WBPADBJWEAJMNS-NEPJUHHUSA-N 0 1 255.362 0.659 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC2(SC)CC2)CC1 ZINC000347668035 526537759 /nfs/dbraw/zinc/53/77/59/526537759.db2.gz HKDQCISOMNAGEM-UHFFFAOYSA-N 0 1 283.441 0.802 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1c(C)n[nH]c1C(C)C ZINC000347893903 526565352 /nfs/dbraw/zinc/56/53/52/526565352.db2.gz OYZCXPRDYQSXJJ-UHFFFAOYSA-N 0 1 264.329 0.874 20 30 CCEDMN CC(=O)N1CC[C@H](NC(=O)N[C@H]2CCCc3cn[nH]c32)C1 ZINC000330152972 526655335 /nfs/dbraw/zinc/65/53/35/526655335.db2.gz MKIAZJMGILAQMV-RYUDHWBXSA-N 0 1 291.355 0.912 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCCN(CCOC)CC1 ZINC000667194911 545140927 /nfs/dbraw/zinc/14/09/27/545140927.db2.gz ICJZVMPJSZHKBB-ZDUSSCGKSA-N 0 1 256.346 0.104 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@H](OC)C[C@H]1c1n[nH]c(C)n1 ZINC000332339545 527629516 /nfs/dbraw/zinc/62/95/16/527629516.db2.gz DQJQFIOCBBAODL-SUNKGSAMSA-N 0 1 294.355 0.729 20 30 CCEDMN CCC[C@H](NC(=O)c1cc(C#N)[nH]c1C)c1nn[nH]n1 ZINC000450119579 528202120 /nfs/dbraw/zinc/20/21/20/528202120.db2.gz CYTYYPOQPKNSPB-JTQLQIEISA-N 0 1 273.300 0.979 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)C[C@H](C)OC ZINC000353200139 528616063 /nfs/dbraw/zinc/61/60/63/528616063.db2.gz BCRZPJRYVMRJJL-RYUDHWBXSA-N 0 1 255.362 0.961 20 30 CCEDMN C=C(C)[C@H](CO)N1CC[C@H]2[C@@H](C1)NC(=O)N2CCOC ZINC000671522967 545252213 /nfs/dbraw/zinc/25/22/13/545252213.db2.gz SPRNQUQOYLASBD-AGIUHOORSA-N 0 1 283.372 0.038 20 30 CCEDMN CC(C)(NC(=O)Cc1c(F)cccc1C#N)c1nn[nH]n1 ZINC000353482099 535222629 /nfs/dbraw/zinc/22/26/29/535222629.db2.gz LGOFECCWNKSMPG-UHFFFAOYSA-N 0 1 288.286 0.804 20 30 CCEDMN C=C[C@H](C)NC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000674934374 545318942 /nfs/dbraw/zinc/31/89/42/545318942.db2.gz ZEEQJISYNGEHDL-KBPBESRZSA-N 0 1 296.415 0.267 20 30 CCEDMN COCC#CCN1CCCC[C@H]1CCNS(C)(=O)=O ZINC000677477656 545366051 /nfs/dbraw/zinc/36/60/51/545366051.db2.gz QGTQPZXMIVMEIW-ZDUSSCGKSA-N 0 1 288.413 0.430 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C2CC2)CC1 ZINC000677817809 545373779 /nfs/dbraw/zinc/37/37/79/545373779.db2.gz BPNFQKLCVATHTI-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000681991915 545468888 /nfs/dbraw/zinc/46/88/88/545468888.db2.gz OOVUWSNUIGXMCI-CYBMUJFWSA-N 0 1 299.415 0.676 20 30 CCEDMN C[C@@H]1CN=C(NN=C2CCCc3cc(C#N)cnc32)N1 ZINC000788438082 581111265 /nfs/dbraw/zinc/11/12/65/581111265.db2.gz HRKZORMSWWSBBK-SECBINFHSA-N 0 1 268.324 0.931 20 30 CCEDMN N#C[C@@H](C(=O)CN1CCOCC1=O)c1ccccn1 ZINC000790769580 581197668 /nfs/dbraw/zinc/19/76/68/581197668.db2.gz ZUBVAJOAMHRKAS-SNVBAGLBSA-N 0 1 259.265 0.117 20 30 CCEDMN C#CCCNC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000780988049 581254628 /nfs/dbraw/zinc/25/46/28/581254628.db2.gz LNKHFJSTPRWEBU-GFCCVEGCSA-N 0 1 267.373 0.496 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H]2CCCCN2C(=O)[O-])CC1 ZINC000738456691 581330731 /nfs/dbraw/zinc/33/07/31/581330731.db2.gz ADNYWAOQTQWJTR-ZDUSSCGKSA-N 0 1 293.367 0.686 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000738462357 581333692 /nfs/dbraw/zinc/33/36/92/581333692.db2.gz ZBZLYXKTPFCKSR-UPJWGTAASA-N 0 1 293.367 0.589 20 30 CCEDMN COC[C@@H](C#N)OC(=O)c1ccccc1NS(C)(=O)=O ZINC000785074595 581401006 /nfs/dbraw/zinc/40/10/06/581401006.db2.gz GXOKJHATURXTJU-SECBINFHSA-N 0 1 298.320 0.753 20 30 CCEDMN CC(C)(NCC(=O)NCCC#N)C(=O)N1CCCC1 ZINC000796581845 581445103 /nfs/dbraw/zinc/44/51/03/581445103.db2.gz JQAVBJZEKOJICA-UHFFFAOYSA-N 0 1 266.345 0.007 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCCO)C2CC2)CC1 ZINC000765233821 581506967 /nfs/dbraw/zinc/50/69/67/581506967.db2.gz GKMQJBZZMJGWBM-UHFFFAOYSA-N 0 1 264.369 0.705 20 30 CCEDMN Oc1ccc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)c(O)c1O ZINC000753937699 581565735 /nfs/dbraw/zinc/56/57/35/581565735.db2.gz FUZBMVHVVCEDMC-AOOOYVTPSA-N 0 1 290.323 0.997 20 30 CCEDMN C#CCCCN(CCCOC)[C@@H]1CCS(=O)(=O)C1 ZINC000766919857 581587295 /nfs/dbraw/zinc/58/72/95/581587295.db2.gz OVVIOKRUFBBELA-CYBMUJFWSA-N 0 1 273.398 0.925 20 30 CCEDMN CCc1nc(COC(=O)c2ccc(C#N)nc2)n[nH]1 ZINC000754821730 581611663 /nfs/dbraw/zinc/61/16/63/581611663.db2.gz SDMHLXUIMQRWPU-UHFFFAOYSA-N 0 1 257.253 0.991 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(CCOC)C2)nc1 ZINC000729979193 581627010 /nfs/dbraw/zinc/62/70/10/581627010.db2.gz BCACNRXVAVGXOP-AWEZNQCLSA-N 0 1 287.363 0.904 20 30 CCEDMN COC[C@H](C)NC(=S)NN=Cc1[nH]cnc1C ZINC000755526334 581644005 /nfs/dbraw/zinc/64/40/05/581644005.db2.gz NIVQIUOMNRFBCT-ZETCQYMHSA-N 0 1 255.347 0.551 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C[C@H]1CCOC1 ZINC000740529904 581692257 /nfs/dbraw/zinc/69/22/57/581692257.db2.gz YHOFEFFBIYQVQC-GHMZBOCLSA-N 0 1 268.313 0.275 20 30 CCEDMN C=CCN1CC(=O)N(C[C@@H]2CN3CCC[C@H]3CO2)C1=O ZINC000761201799 581712595 /nfs/dbraw/zinc/71/25/95/581712595.db2.gz QYHIREDTOYESIM-RYUDHWBXSA-N 0 1 279.340 0.300 20 30 CCEDMN CC(=NNC(=N)N)c1cccc(N2CCCS2(=O)=O)c1 ZINC000741160636 581717647 /nfs/dbraw/zinc/71/76/47/581717647.db2.gz WTKPLLOUWVSOLC-UHFFFAOYSA-N 0 1 295.368 0.434 20 30 CCEDMN CN1CCCC(=NN[C@H]2CCS(=O)(=O)C2)CC1 ZINC000758083252 581721187 /nfs/dbraw/zinc/72/11/87/581721187.db2.gz KKPHPHQGHPQBSA-NSHDSACASA-N 0 1 259.375 0.235 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000736350488 581748662 /nfs/dbraw/zinc/74/86/62/581748662.db2.gz YJNRZAVONGKBLV-BXUZGUMPSA-N 0 1 281.356 0.837 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)N(C)CCCN(C)C ZINC000758662429 581761463 /nfs/dbraw/zinc/76/14/63/581761463.db2.gz ZDSMOQNTRJKDPD-UHFFFAOYSA-N 0 1 291.417 0.180 20 30 CCEDMN CON=Cc1ccc(C(=O)NCc2nnc[nH]2)cc1 ZINC000732117261 581804812 /nfs/dbraw/zinc/80/48/12/581804812.db2.gz DGUWXAIPCIWJPD-UHFFFAOYSA-N 0 1 259.269 0.715 20 30 CCEDMN CN1C(=O)CC[C@@H]1C(=O)Nc1cc(C#N)ccc1O ZINC000771633374 581814104 /nfs/dbraw/zinc/81/41/04/581814104.db2.gz YYWUHOFFNBWEQQ-SNVBAGLBSA-N 0 1 259.265 0.823 20 30 CCEDMN N#Cc1ccc([C@H](N[C@@H](CO)C2CC2)C(N)=O)cc1 ZINC000771812373 581823521 /nfs/dbraw/zinc/82/35/21/581823521.db2.gz HQFOVOGKOUKFBY-STQMWFEESA-N 0 1 259.309 0.445 20 30 CCEDMN CC(C)NC(=O)CCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000744031207 581830255 /nfs/dbraw/zinc/83/02/55/581830255.db2.gz UGSDWMYCOOLWQT-LLVKDONJSA-N 0 1 279.340 0.669 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](CNC(=O)C(C)(C)C)C1 ZINC000732987994 581857496 /nfs/dbraw/zinc/85/74/96/581857496.db2.gz CKFVESZYZRDFOO-CYBMUJFWSA-N 0 1 293.411 0.610 20 30 CCEDMN C#CCNC(=O)CN1CCC(C2(C)OCCO2)CC1 ZINC000760900517 581878490 /nfs/dbraw/zinc/87/84/90/581878490.db2.gz ZONMDUTYVZIKLW-UHFFFAOYSA-N 0 1 266.341 0.211 20 30 CCEDMN CCOC(=O)[C@@H]1CSCCN1C(=O)C(C)C#N ZINC000746117337 581894308 /nfs/dbraw/zinc/89/43/08/581894308.db2.gz VSDNJHKBSUPTMJ-IUCAKERBSA-N 0 1 256.327 0.653 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@@H]3[C@H](O)CCCN3C2=O)CC1 ZINC000761249411 581899375 /nfs/dbraw/zinc/89/93/75/581899375.db2.gz JHOFIYDMGSCTLU-OLZOCXBDSA-N 0 1 293.367 0.424 20 30 CCEDMN CC(=NNC(=N)N)c1cnn(-c2ccccn2)c1C ZINC000748246792 581967489 /nfs/dbraw/zinc/96/74/89/581967489.db2.gz PHNLWNJYYAYWJQ-UHFFFAOYSA-N 0 1 257.301 0.783 20 30 CCEDMN C#CCCN1CCN(c2cncc(-n3cncn3)n2)CC1 ZINC000774528394 581978126 /nfs/dbraw/zinc/97/81/26/581978126.db2.gz DQUPEYRASMSCNP-UHFFFAOYSA-N 0 1 283.339 0.203 20 30 CCEDMN COc1nccnc1NN=C1C(=O)Nc2cc(O)ccc21 ZINC000763413114 581998156 /nfs/dbraw/zinc/99/81/56/581998156.db2.gz XRQRNWIQOXWIST-UHFFFAOYSA-N 0 1 285.263 0.959 20 30 CCEDMN CN(C)c1ccc(C(N)=NOCCOCCO)cc1 ZINC000763425041 581998634 /nfs/dbraw/zinc/99/86/34/581998634.db2.gz BZDZMBCVXIYBBL-UHFFFAOYSA-N 0 1 267.329 0.398 20 30 CCEDMN C#C[C@H](NC(=O)[C@H]1CCCCN1C)[C@@H]1CCCO1 ZINC000775328186 582018316 /nfs/dbraw/zinc/01/83/16/582018316.db2.gz ZKBZTGKPQQOOQE-XQQFMLRXSA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCCN1CCC(N(C)S(=O)(=O)CC)CC1 ZINC000764241934 582039444 /nfs/dbraw/zinc/03/94/44/582039444.db2.gz SLMVIMGRMNVQDS-UHFFFAOYSA-N 0 1 258.387 0.756 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](CNC(=O)[O-])C1 ZINC000738599387 582065081 /nfs/dbraw/zinc/06/50/81/582065081.db2.gz FDQYJHPDGDJIFP-GHMZBOCLSA-N 0 1 282.344 0.336 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@@H]1C[C@@]12CCOC2 ZINC000764754835 582067278 /nfs/dbraw/zinc/06/72/78/582067278.db2.gz AXOJGHHUYGXFHZ-GBIKHYSHSA-N 0 1 250.298 0.646 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@@]12CCOC2 ZINC000764764463 582067984 /nfs/dbraw/zinc/06/79/84/582067984.db2.gz ZAEABGQHPYDMJP-LALPHHSUSA-N 0 1 294.351 0.665 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@]12CCOC2 ZINC000764764417 582068162 /nfs/dbraw/zinc/06/81/62/582068162.db2.gz ZAEABGQHPYDMJP-JMSVASOKSA-N 0 1 294.351 0.665 20 30 CCEDMN C#CCCN1CCN(CC(=O)OCCCC)CC1 ZINC000776594347 582083552 /nfs/dbraw/zinc/08/35/52/582083552.db2.gz DJWVBGKFLMUHHW-UHFFFAOYSA-N 0 1 252.358 0.971 20 30 CCEDMN N#Cc1ccc([C@@H](C(=O)[O-])N2C[C@H]3C[NH2+]C[C@H]3C2)cc1 ZINC000739104577 582102739 /nfs/dbraw/zinc/10/27/39/582102739.db2.gz HDWLYQQXJDIBTF-MJBXVCDLSA-N 0 1 271.320 0.835 20 30 CCEDMN C#CCCCS(=O)(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000819912223 612983893 /nfs/dbraw/zinc/98/38/93/612983893.db2.gz QIKCSZMHYLSMIO-SNVBAGLBSA-N 0 1 268.342 0.337 20 30 CCEDMN C#CCOCCN1CC[C@]2(CC(C(=O)OC)=NO2)C1 ZINC000879490756 612984860 /nfs/dbraw/zinc/98/48/60/612984860.db2.gz WDSGXKPVCRMGOO-ZDUSSCGKSA-N 0 1 266.297 0.030 20 30 CCEDMN C[C@@H]1CCC[N@@H+](CCNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820237747 597634952 /nfs/dbraw/zinc/63/49/52/597634952.db2.gz CXMLZFLJLJWUFK-RISCZKNCSA-N 0 1 281.356 0.839 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N1CC[N@H+]2CCC[C@@H]2C1 ZINC000819823373 597638975 /nfs/dbraw/zinc/63/89/75/597638975.db2.gz SXQHZQBLJPRRSO-ZWNOBZJWSA-N 0 1 265.313 0.298 20 30 CCEDMN C#CCOCCNC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000921935347 612987645 /nfs/dbraw/zinc/98/76/45/612987645.db2.gz XLGQUMYSFYQDQL-CHWSQXEVSA-N 0 1 297.424 0.515 20 30 CCEDMN C#CCO[N-]C(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000812787012 612993048 /nfs/dbraw/zinc/99/30/48/612993048.db2.gz YXWCSANUXJUHDE-GFCCVEGCSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CCO[N-]C(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000812787012 612993050 /nfs/dbraw/zinc/99/30/50/612993050.db2.gz YXWCSANUXJUHDE-GFCCVEGCSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2c[nH]nc2C)CC1 ZINC000981725300 613005632 /nfs/dbraw/zinc/00/56/32/613005632.db2.gz RDMYJALJYVOQPM-UHFFFAOYSA-N 0 1 260.341 0.889 20 30 CCEDMN C#CCNC(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC000905150736 612947280 /nfs/dbraw/zinc/94/72/80/612947280.db2.gz OEHIOHWBIXVJES-WDEREUQCSA-N 0 1 253.371 0.499 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCc2nccc(N)c2C1 ZINC000931508757 612947938 /nfs/dbraw/zinc/94/79/38/612947938.db2.gz KRISANKOUDLTCF-SNVBAGLBSA-N 0 1 258.325 0.160 20 30 CCEDMN C#CCSCC(=O)N[C@@]1(C)CN2CCC1CC2 ZINC000892758812 613013608 /nfs/dbraw/zinc/01/36/08/613013608.db2.gz MQGPCHSRERXDST-ZDUSSCGKSA-N 0 1 252.383 0.953 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+]1CC[C@@](C)(C(=O)[O-])C1)C1CC1 ZINC000828020416 601923013 /nfs/dbraw/zinc/92/30/13/601923013.db2.gz PUMBPHIMKQKDCQ-KGLIPLIRSA-N 0 1 279.340 0.591 20 30 CCEDMN C#CC1(F)CN(C(=O)c2ccc(CN(C)C)nc2)C1 ZINC000919939618 612949096 /nfs/dbraw/zinc/94/90/96/612949096.db2.gz IKIYSSNIDCVDGD-UHFFFAOYSA-N 0 1 261.300 0.941 20 30 CCEDMN COc1cc(C)sc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834489393 617179026 /nfs/dbraw/zinc/17/90/26/617179026.db2.gz MBUWUHOWSKNJKD-DTWKUNHWSA-N 0 1 265.338 0.907 20 30 CCEDMN C#CC1(O)CCN(S(=O)(=O)c2cc(O)cc(F)c2)CC1 ZINC000917914767 612952826 /nfs/dbraw/zinc/95/28/26/612952826.db2.gz YINUJPXKGVAYRV-UHFFFAOYSA-N 0 1 299.323 0.680 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)NCCCCC#N ZINC000833622813 605049321 /nfs/dbraw/zinc/04/93/21/605049321.db2.gz GRVIICHYXLUVCP-LBPRGKRZSA-N 0 1 296.371 0.871 20 30 CCEDMN C#CC[C@@H](NS(=O)(=O)C[C@H](OC)C(C)C)C(=O)OC ZINC000827683488 613043057 /nfs/dbraw/zinc/04/30/57/613043057.db2.gz INJJISUKIZZLEO-MNOVXSKESA-N 0 1 291.369 0.142 20 30 CCEDMN C#CCNC(=S)N[C@H]1CCC[N@H+]2CCSC[C@H]12 ZINC000905634489 612953908 /nfs/dbraw/zinc/95/39/08/612953908.db2.gz RDDBOJLPCAYGRZ-WDEREUQCSA-N 0 1 269.439 0.664 20 30 CCEDMN C#CCNC(=S)N[C@H]1CCCN2CCSC[C@H]12 ZINC000905634489 612953910 /nfs/dbraw/zinc/95/39/10/612953910.db2.gz RDDBOJLPCAYGRZ-WDEREUQCSA-N 0 1 269.439 0.664 20 30 CCEDMN CC(=NNc1cc[nH+]cc1)c1cn(CC(=O)[O-])nn1 ZINC000833387229 605584576 /nfs/dbraw/zinc/58/45/76/605584576.db2.gz WDNUHWFKIDUEPO-UHFFFAOYSA-N 0 1 260.257 0.016 20 30 CCEDMN Cn1cc(CCC[NH2+][C@H]2CN(C(=O)[O-])C[C@H]2C#N)cn1 ZINC000833946604 605684308 /nfs/dbraw/zinc/68/43/08/605684308.db2.gz IVYKMZCTBNNESQ-NEPJUHHUSA-N 0 1 277.328 0.444 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@H]1NC(=O)c1cncc2nc[nH]c21 ZINC000966234524 649963756 /nfs/dbraw/zinc/96/37/56/649963756.db2.gz NGBHOAPSQRLBHW-ZWNOBZJWSA-N 0 1 298.350 0.922 20 30 CCEDMN N#C[C@@H]1CCC[C@H]1Nc1nccnc1-c1nn[nH]n1 ZINC000822806383 606242311 /nfs/dbraw/zinc/24/23/11/606242311.db2.gz MDDSZKINYZDIBJ-JGVFFNPUSA-N 0 1 256.273 0.761 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000867335901 613054923 /nfs/dbraw/zinc/05/49/23/613054923.db2.gz NRRXMHBZUBSPNH-JTQLQIEISA-N 0 1 255.343 0.592 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000875929742 613064805 /nfs/dbraw/zinc/06/48/05/613064805.db2.gz UKCKOHIGCIHDGX-PSASIEDQSA-N 0 1 265.317 0.512 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1nc2ccccc2c(=O)[nH]1 ZINC000800458189 612956293 /nfs/dbraw/zinc/95/62/93/612956293.db2.gz VPDRMXUUWGYOGV-UHFFFAOYSA-N 0 1 284.319 0.517 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(C(=O)NCC)cc1 ZINC000912314763 612956560 /nfs/dbraw/zinc/95/65/60/612956560.db2.gz INHDKZGISPHKQC-UHFFFAOYSA-N 0 1 273.336 0.275 20 30 CCEDMN C[C@@H](NCC(=O)NCC#N)c1ccc(-c2nn[nH]n2)cc1 ZINC000824564115 608466737 /nfs/dbraw/zinc/46/67/37/608466737.db2.gz RTYMISSRKOYTCF-SECBINFHSA-N 0 1 285.311 0.157 20 30 CCEDMN C#C[C@@H](NC(=O)CN(C)C1CCC1)[C@H]1CCCO1 ZINC000863906745 613092297 /nfs/dbraw/zinc/09/22/97/613092297.db2.gz VWDBYDHTIQHYBF-CHWSQXEVSA-N 0 1 250.342 0.768 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)nc(N)n1CCc1cccnc1 ZINC000826382506 609174808 /nfs/dbraw/zinc/17/48/08/609174808.db2.gz HSLLJKBZMCIHMK-UHFFFAOYSA-N 0 1 281.283 0.155 20 30 CCEDMN Cc1ccnc(-n2cnc(-c3nn[nH]n3)n2)c1C#N ZINC000826308497 609524697 /nfs/dbraw/zinc/52/46/97/609524697.db2.gz BZCNYACKJCRIGM-UHFFFAOYSA-N 0 1 253.229 0.023 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2CCO[C@H](C)C2)C1 ZINC000847032608 613105764 /nfs/dbraw/zinc/10/57/64/613105764.db2.gz XQWIUAQKPRTFEC-CHWSQXEVSA-N 0 1 250.342 0.579 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)NCCS(=O)(=O)C(C)(C)C ZINC000876662400 613389877 /nfs/dbraw/zinc/38/98/77/613389877.db2.gz OGQJVXIYICPEGJ-VIFPVBQESA-N 0 1 296.820 0.786 20 30 CCEDMN CSC1([C@H](C#N)N(C)C(=O)C2=NC(=O)N(C)C2)CC1 ZINC000906114216 617205609 /nfs/dbraw/zinc/20/56/09/617205609.db2.gz GKQXDGBIYSWTSH-VIFPVBQESA-N 0 1 280.353 0.985 20 30 CCEDMN C#CCC1(O)CCN(C(=O)CN(C)[C@@H]2CCSC2)CC1 ZINC000882526088 612971438 /nfs/dbraw/zinc/97/14/38/612971438.db2.gz ZFEXEMUASOFEMP-CYBMUJFWSA-N 0 1 296.436 0.801 20 30 CCEDMN CC(C)CCSCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834495396 614058692 /nfs/dbraw/zinc/05/86/92/614058692.db2.gz VGFJTSIKRDHAOJ-GHMZBOCLSA-N 0 1 255.387 0.993 20 30 CCEDMN C#CCCCN1CCCN(S(=O)(=O)C2CC2)CC1 ZINC000801979971 612978486 /nfs/dbraw/zinc/97/84/86/612978486.db2.gz VQENCWGLEFAYGW-UHFFFAOYSA-N 0 1 270.398 0.900 20 30 CCEDMN CSCC1(CC(=O)N[C@H]2CNC[C@@H]2C#N)CC1 ZINC000846676646 617231333 /nfs/dbraw/zinc/23/13/33/617231333.db2.gz GICJFYLTMHVFTN-UWVGGRQHSA-N 0 1 253.371 0.747 20 30 CCEDMN COc1ccc(C(=O)[O-])cc1C=NNC1=[NH+]C[C@@H](C)N1 ZINC000901216116 617235427 /nfs/dbraw/zinc/23/54/27/617235427.db2.gz OSYWRRWNVBTMSD-MRVPVSSYSA-N 0 1 276.296 0.665 20 30 CCEDMN COc1ccc(CC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834507251 617242184 /nfs/dbraw/zinc/24/21/84/617242184.db2.gz ZBMCAPXVUGPJSQ-AAEUAGOBSA-N 0 1 259.309 0.465 20 30 CCEDMN COc1ccc(F)cc1CC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834500014 617265572 /nfs/dbraw/zinc/26/55/72/617265572.db2.gz PRJNQQKRBIPBLT-JQWIXIFHSA-N 0 1 277.299 0.605 20 30 CCEDMN COc1ccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000841002530 617270203 /nfs/dbraw/zinc/27/02/03/617270203.db2.gz YJCWIKMFSGMJNV-CABZTGNLSA-N 0 1 260.297 0.928 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(NC(=O)c2[nH]ncc2F)CC1 ZINC000948096299 617288503 /nfs/dbraw/zinc/28/85/03/617288503.db2.gz JJUUIMAIRIMGCZ-QMMMGPOBSA-N 0 1 293.302 0.429 20 30 CCEDMN COc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1O ZINC000834506714 617293808 /nfs/dbraw/zinc/29/38/08/617293808.db2.gz VJWGSRRVCKAJKG-WPRPVWTQSA-N 0 1 261.281 0.242 20 30 CCEDMN COc1cccc(OC)c1CC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834480316 617305756 /nfs/dbraw/zinc/30/57/56/617305756.db2.gz OHRPBYDHNUUDBH-JQWIXIFHSA-N 0 1 289.335 0.474 20 30 CCEDMN C#CC1CCN(CC(=O)N2CCC3(CC2)OCCO3)CC1 ZINC000830343540 612964560 /nfs/dbraw/zinc/96/45/60/612964560.db2.gz ZXJQFAFPMFQRSM-UHFFFAOYSA-N 0 1 292.379 0.697 20 30 CCEDMN C#CC1CCN([C@@H]2CC(=O)N(CCOC)C2=O)CC1 ZINC000843267627 612964957 /nfs/dbraw/zinc/96/49/57/612964957.db2.gz BLHHFBTYYOARJJ-GFCCVEGCSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCC(CC#C)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000863936577 612967279 /nfs/dbraw/zinc/96/72/79/612967279.db2.gz QROINLNUMDVDAZ-AWEZNQCLSA-N 0 1 289.379 0.105 20 30 CCEDMN C#CCC1(O)CCN(C(=O)CN(C)[C@H]2CCSC2)CC1 ZINC000882526089 612971453 /nfs/dbraw/zinc/97/14/53/612971453.db2.gz ZFEXEMUASOFEMP-ZDUSSCGKSA-N 0 1 296.436 0.801 20 30 CCEDMN C#CCC1(O)CCN([C@H]2CCN(CC=C)C2=O)CC1 ZINC000880484307 612974126 /nfs/dbraw/zinc/97/41/26/612974126.db2.gz UMHRRVMZHJARJQ-ZDUSSCGKSA-N 0 1 262.353 0.624 20 30 CCEDMN C#CCCCC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907480911 612974654 /nfs/dbraw/zinc/97/46/54/612974654.db2.gz XEFWLLNLNRDSHI-VIFPVBQESA-N 0 1 265.342 0.620 20 30 CCEDMN C#CCOCCC(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000854568479 612977681 /nfs/dbraw/zinc/97/76/81/612977681.db2.gz AYWGCRCUXKFVCU-AWEZNQCLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCCCNC(=O)C(=O)N1CCN(C2CCCC2)CC1 ZINC000806914132 612979434 /nfs/dbraw/zinc/97/94/34/612979434.db2.gz PCNDTUYEWUBROK-UHFFFAOYSA-N 0 1 291.395 0.603 20 30 CCEDMN C#CCOCCC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000837941903 612980201 /nfs/dbraw/zinc/98/02/01/612980201.db2.gz VNFGDJYGVYJPMP-UHFFFAOYSA-N 0 1 264.329 0.758 20 30 CCEDMN C#CCCCNC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000806877309 612981444 /nfs/dbraw/zinc/98/14/44/612981444.db2.gz TXQHKQRMDLPZCN-UHFFFAOYSA-N 0 1 267.373 0.498 20 30 CCEDMN C#CCOCCN1CCN(CCOC(C)C)CC1 ZINC000851795644 612983515 /nfs/dbraw/zinc/98/35/15/612983515.db2.gz HTTSOSBEOWZINY-UHFFFAOYSA-N 0 1 254.374 0.679 20 30 CCEDMN C#CCOCCN1CCc2nc[nH]c2C12CCOCC2 ZINC000931108969 612984792 /nfs/dbraw/zinc/98/47/92/612984792.db2.gz GIXCASNVHCYETE-UHFFFAOYSA-N 0 1 275.352 0.923 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(C)C(C)(C)C1 ZINC000883566187 612986170 /nfs/dbraw/zinc/98/61/70/612986170.db2.gz PFZPTQIGJDSAJD-UHFFFAOYSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCOCCNC(=O)NC1CCN(CC#C)CC1 ZINC000921931616 612986844 /nfs/dbraw/zinc/98/68/44/612986844.db2.gz HBJFCGZCDQEZSK-UHFFFAOYSA-N 0 1 263.341 0.033 20 30 CCEDMN C#CCCN(CCOC)C[C@@H]1CCS(=O)(=O)C1 ZINC000852749430 612989371 /nfs/dbraw/zinc/98/93/71/612989371.db2.gz XWSGUFIDOFXSJU-LBPRGKRZSA-N 0 1 259.371 0.393 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000913437828 613003243 /nfs/dbraw/zinc/00/32/43/613003243.db2.gz OMVHBGAAKUFUHY-ZYHUDNBSSA-N 0 1 285.307 0.444 20 30 CCEDMN C#CCCN1CCCN(C(=O)Cc2nnc(C)o2)CC1 ZINC000981101218 613003460 /nfs/dbraw/zinc/00/34/60/613003460.db2.gz XEOMKHCZSSBHMP-UHFFFAOYSA-N 0 1 276.340 0.478 20 30 CCEDMN C#CCOc1ccc(C[N@@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000819706275 613006019 /nfs/dbraw/zinc/00/60/19/613006019.db2.gz SKDMCEGZJBMGLG-CQSZACIVSA-N 0 1 275.304 0.984 20 30 CCEDMN C#CCOc1ccc(C[N@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000819706275 613006021 /nfs/dbraw/zinc/00/60/21/613006021.db2.gz SKDMCEGZJBMGLG-CQSZACIVSA-N 0 1 275.304 0.984 20 30 CCEDMN C#CCOc1ccc(CNC(=O)Cc2n[nH]c(C)n2)cc1 ZINC000854826454 613006174 /nfs/dbraw/zinc/00/61/74/613006174.db2.gz KTGRMHHSQQFZPH-UHFFFAOYSA-N 0 1 284.319 0.984 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cn(C)cn2)CC1 ZINC000980971593 613006301 /nfs/dbraw/zinc/00/63/01/613006301.db2.gz OIGHCHWDUNHYBA-UHFFFAOYSA-N 0 1 260.341 0.591 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2csnn2)CC1 ZINC000981704708 613006895 /nfs/dbraw/zinc/00/68/95/613006895.db2.gz MIPUNVGZGDHXEE-UHFFFAOYSA-N 0 1 264.354 0.709 20 30 CCEDMN C#CCCN1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC000874264417 613010012 /nfs/dbraw/zinc/01/00/12/613010012.db2.gz UOLVBZFGUAOFNK-CHWSQXEVSA-N 0 1 272.352 0.681 20 30 CCEDMN C#CCSCC(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC000898042086 613011174 /nfs/dbraw/zinc/01/11/74/613011174.db2.gz OWRRMMRRDCFOSA-VIFPVBQESA-N 0 1 250.327 0.487 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)C2CS(=O)(=O)C2)C[C@H]1C ZINC000947342829 613026059 /nfs/dbraw/zinc/02/60/59/613026059.db2.gz LRXYBZHJPQJEOZ-YPMHNXCESA-N 0 1 298.408 0.023 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ncn(C)n2)C(C)(C)C1 ZINC000974557430 613033497 /nfs/dbraw/zinc/03/34/97/613033497.db2.gz CXQUZESQQOUSNE-LLVKDONJSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CC[C@@H](NC(=O)CN(C)C1CCC1)C(=O)OC ZINC000863924176 613039762 /nfs/dbraw/zinc/03/97/62/613039762.db2.gz ULRDVXFCUWHARA-LLVKDONJSA-N 0 1 252.314 0.152 20 30 CCEDMN C#CC[C@@H](NC(=O)c1[nH]nc2c1C[C@@H](C)CC2)C(=O)OC ZINC000897956327 613042438 /nfs/dbraw/zinc/04/24/38/613042438.db2.gz AOLZJJPOPKHWMI-JOYOIKCWSA-N 0 1 289.335 0.829 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NCc1n[nH]c(C)n1 ZINC000896062259 613051260 /nfs/dbraw/zinc/05/12/60/613051260.db2.gz UZOCVJIRLCLTBO-SNVBAGLBSA-N 0 1 265.317 0.341 20 30 CCEDMN C#CC[C@H](CO)NCc1ccc(/C=C\C(=O)OC)o1 ZINC000895489479 613058507 /nfs/dbraw/zinc/05/85/07/613058507.db2.gz CSEVOSRWYVRSJX-SKVAFPRGSA-N 0 1 263.293 0.940 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)CN(C)[C@H]1CCSC1 ZINC000799071254 613060446 /nfs/dbraw/zinc/06/04/46/613060446.db2.gz FYIKZHFVGAISPU-RYUDHWBXSA-N 0 1 270.398 0.314 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N(C)Cc1cnc[nH]1 ZINC000859037998 613061230 /nfs/dbraw/zinc/06/12/30/613061230.db2.gz HPPBWONOKPCBJZ-SNVBAGLBSA-N 0 1 250.302 0.589 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)CCCc2nn[nH]n2)C1 ZINC000824021420 613071865 /nfs/dbraw/zinc/07/18/65/613071865.db2.gz MPKZAYLCCBHETD-NSHDSACASA-N 0 1 261.329 0.784 20 30 CCEDMN C#CCN(C)C(=O)[C@@H]1CC[N@@H+](C)C[C@H]1c1cnn(C)c1 ZINC000849582202 613071919 /nfs/dbraw/zinc/07/19/19/613071919.db2.gz LRAQMJVPSOQWIJ-KGLIPLIRSA-N 0 1 274.368 0.547 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)NCCCc2nc[nH]n2)C1 ZINC000923128053 613073350 /nfs/dbraw/zinc/07/33/50/613073350.db2.gz RODFBHXOBYGPGM-NSHDSACASA-N 0 1 261.329 0.792 20 30 CCEDMN C#CC[C@H]1CCN(CC(=O)N(C)CC(=O)NCCC)C1 ZINC000886355929 613074512 /nfs/dbraw/zinc/07/45/12/613074512.db2.gz QFTKTEONIRVBSW-ZDUSSCGKSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCN(C)CCCNC(=O)c1cncc(C(C)=O)c1 ZINC000908436999 613078516 /nfs/dbraw/zinc/07/85/16/613078516.db2.gz LEEOYPAYLCAUKO-UHFFFAOYSA-N 0 1 273.336 0.969 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C(=O)Nc2cc(C)on2)C1 ZINC000980378509 613079632 /nfs/dbraw/zinc/07/96/32/613079632.db2.gz YBBPPYILBGJYQO-LLVKDONJSA-N 0 1 290.323 0.087 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](OC)C2CC2)C1 ZINC000971442758 613082170 /nfs/dbraw/zinc/08/21/70/613082170.db2.gz OGOVJNPTYASTFD-OLZOCXBDSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(CC)nn2C)C1 ZINC000972610159 613084906 /nfs/dbraw/zinc/08/49/06/613084906.db2.gz IBUAPGGWNAFNJS-CYBMUJFWSA-N 0 1 274.368 0.762 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1ccc2cc[nH]c2c1 ZINC000905364303 613090286 /nfs/dbraw/zinc/09/02/86/613090286.db2.gz DCZQTKLFGYIKIX-STQMWFEESA-N 0 1 274.320 0.491 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCNC(C)(C)C1)[C@@H]1CCCO1 ZINC000841878244 613094151 /nfs/dbraw/zinc/09/41/51/613094151.db2.gz FGWUQNIBFXYADQ-NEPJUHHUSA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(OC)n(C)n2)C1 ZINC000971915700 613094311 /nfs/dbraw/zinc/09/43/11/613094311.db2.gz ZXOWYWCAGTVOCF-NSHDSACASA-N 0 1 276.340 0.208 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc3n[nH]c(=O)n3c2)C1 ZINC000972536491 613095346 /nfs/dbraw/zinc/09/53/46/613095346.db2.gz MPJLWFPTHGZZHI-LBPRGKRZSA-N 0 1 299.334 0.214 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc3n[nH]nc3c2)C1 ZINC000971697137 613096087 /nfs/dbraw/zinc/09/60/87/613096087.db2.gz OYGIKSHRXXTQDW-NSHDSACASA-N 0 1 284.323 0.132 20 30 CCEDMN C#CCN(CC#C)C(=O)C1CCC(N2CCOCC2)CC1 ZINC000900906081 613098645 /nfs/dbraw/zinc/09/86/45/613098645.db2.gz HMTVAGLDPBWYMO-UHFFFAOYSA-N 0 1 288.391 0.972 20 30 CCEDMN C#C[C@@H](NC(=O)c1cc(S(N)(=O)=O)ccc1O)C(C)C ZINC000847118397 613098915 /nfs/dbraw/zinc/09/89/15/613098915.db2.gz VVHGPLKHQKTVOP-LLVKDONJSA-N 0 1 296.348 0.427 20 30 CCEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)NC(=O)NC(C)C)C1 ZINC000847024331 613107036 /nfs/dbraw/zinc/10/70/36/613107036.db2.gz QHGPJLMQVUSTFI-NWDGAFQWSA-N 0 1 265.357 0.954 20 30 CCEDMN C#CCN(CCc1nnnn1C)Cc1ccccc1 ZINC000878613565 613107974 /nfs/dbraw/zinc/10/79/74/613107974.db2.gz RAAMWEKEZHDQGV-UHFFFAOYSA-N 0 1 255.325 0.888 20 30 CCEDMN C#CC[NH+]1CC([C@@H](C)NC(=O)[C@H]2CCCC[N@@H+]2C)C1 ZINC000969975968 613117643 /nfs/dbraw/zinc/11/76/43/613117643.db2.gz OFIMUWOSEVRTQS-TZMCWYRMSA-N 0 1 263.385 0.540 20 30 CCEDMN C#C[C@H](C)NCc1cc(OC)c(OCC(N)=O)c(OC)c1 ZINC000809683586 613118956 /nfs/dbraw/zinc/11/89/56/613118956.db2.gz HERZVKJBVGXHIK-JTQLQIEISA-N 0 1 292.335 0.679 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1CCN(C)CCN(C)CC1 ZINC000895689641 613122757 /nfs/dbraw/zinc/12/27/57/613122757.db2.gz QHXYWTPHQYNMSJ-CQSZACIVSA-N 0 1 280.416 0.677 20 30 CCEDMN C#C[C@H](CO)NC(=O)Nc1cc(CN(C)C)ccn1 ZINC000880602100 613125950 /nfs/dbraw/zinc/12/59/50/613125950.db2.gz PQDMIFVHMQCTTF-LLVKDONJSA-N 0 1 262.313 0.259 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCF)CCOC)CC1 ZINC000855588634 613128475 /nfs/dbraw/zinc/12/84/75/613128475.db2.gz SHMUOCLVGPZDKH-UHFFFAOYSA-N 0 1 270.348 0.776 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(OC)CC2)CC1 ZINC000854860871 613129310 /nfs/dbraw/zinc/12/93/10/613129310.db2.gz QFPDUACWPTYLDP-UHFFFAOYSA-N 0 1 265.357 0.037 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](C)[C@H](NC(C)=O)C2)CC1 ZINC000966503402 613129706 /nfs/dbraw/zinc/12/97/06/613129706.db2.gz QXBKSIBVIGGUIW-SWLSCSKDSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H]3COC[C@H]3C2)CC1 ZINC000856151839 613129729 /nfs/dbraw/zinc/12/97/29/613129729.db2.gz VMGRLGQIINWXIQ-LSDHHAIUSA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H]3[C@H](O)CC[C@@H]3C2)CC1 ZINC000899394038 613130027 /nfs/dbraw/zinc/13/00/27/613130027.db2.gz FZRNWUJMIXNUPJ-QLFBSQMISA-N 0 1 276.380 0.561 20 30 CCEDMN C#CCN1CCC(C(=O)OCCc2cn(C)nn2)CC1 ZINC000859556830 613131897 /nfs/dbraw/zinc/13/18/97/613131897.db2.gz PWAHNENGNZFXGZ-UHFFFAOYSA-N 0 1 276.340 0.246 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C\CN2CCOCC2)CC1 ZINC000928650425 613132381 /nfs/dbraw/zinc/13/23/81/613132381.db2.gz BRJLAWVIKQEOMS-ARJAWSKDSA-N 0 1 291.395 0.089 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)OC(C)(C)C)CC1 ZINC000928657484 613132864 /nfs/dbraw/zinc/13/28/64/613132864.db2.gz KOOYCHLUKAQIOB-UHFFFAOYSA-N 0 1 295.383 0.725 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2ccc(C)cc2=O)CC1 ZINC000928652720 613133484 /nfs/dbraw/zinc/13/34/84/613133484.db2.gz JUMHPUROGJBRDO-UHFFFAOYSA-N 0 1 287.363 0.371 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2cc(Cl)cn2)CC1 ZINC000928653161 613133487 /nfs/dbraw/zinc/13/34/87/613133487.db2.gz BMTXUTFJWWPRNK-UHFFFAOYSA-N 0 1 280.759 0.750 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cc(C)no2)CC1 ZINC000928653503 613133527 /nfs/dbraw/zinc/13/35/27/613133527.db2.gz OOIHRKGECQGCSV-UHFFFAOYSA-N 0 1 261.325 0.739 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H]2CCOC2)CC1 ZINC000928652876 613133557 /nfs/dbraw/zinc/13/35/57/613133557.db2.gz PXUSUBWWVUWPLR-GFCCVEGCSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC[C@@H](COC)OC)CC1 ZINC000923182468 613134040 /nfs/dbraw/zinc/13/40/40/613134040.db2.gz GVGQTAUSVYQFKW-AWEZNQCLSA-N 0 1 297.399 0.435 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@]2(C)CCCO2)CC1 ZINC000921396707 613134307 /nfs/dbraw/zinc/13/43/07/613134307.db2.gz HNLCDKPBZSJWHS-HNNXBMFYSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)c2csnn2)CC1 ZINC000922905213 613134998 /nfs/dbraw/zinc/13/49/98/613134998.db2.gz OEXHRLKVPDYQMM-JTQLQIEISA-N 0 1 293.396 0.996 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](COC)C2CC2)CC1 ZINC000921589566 613135014 /nfs/dbraw/zinc/13/50/14/613135014.db2.gz MHYLFUAGXJMEFI-AWEZNQCLSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ncn(C)n2)CC1 ZINC000931542228 613135241 /nfs/dbraw/zinc/13/52/41/613135241.db2.gz LRGVVPJVOJIBIV-UHFFFAOYSA-N 0 1 262.317 0.034 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cnsn2)CC1 ZINC000931393329 613135261 /nfs/dbraw/zinc/13/52/61/613135261.db2.gz GYPTVAMIPJKUSD-UHFFFAOYSA-N 0 1 265.342 0.757 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CO[C@@H](C)C2)CC1 ZINC000923048007 613135400 /nfs/dbraw/zinc/13/54/00/613135400.db2.gz RJZBHEACUOIEFI-WCQYABFASA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)c2csnn2)CC1 ZINC000922905214 613135425 /nfs/dbraw/zinc/13/54/25/613135425.db2.gz OEXHRLKVPDYQMM-SNVBAGLBSA-N 0 1 293.396 0.996 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)NC(=O)CC)CC1 ZINC000928657226 613135715 /nfs/dbraw/zinc/13/57/15/613135715.db2.gz SMCJNSPUEFJUTJ-LLVKDONJSA-N 0 1 265.357 0.115 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC(C)(C)C1)[C@H]1CCCO1 ZINC000841878370 613136173 /nfs/dbraw/zinc/13/61/73/613136173.db2.gz FGWUQNIBFXYADQ-NWDGAFQWSA-N 0 1 265.357 0.561 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN[C@@H](C)C1)[C@@H]1CCCO1 ZINC000841879750 613136195 /nfs/dbraw/zinc/13/61/95/613136195.db2.gz RJOFYHGGHXTSHM-SRVKXCTJSA-N 0 1 251.330 0.171 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@@H](C)CO2)CC1 ZINC000928660044 613136192 /nfs/dbraw/zinc/13/61/92/613136192.db2.gz FMZINVCBXZLEFQ-YPMHNXCESA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](NC(C)=O)C(C)C)CC1 ZINC000928658404 613136201 /nfs/dbraw/zinc/13/62/01/613136201.db2.gz JOHMPCRDZRPRPO-CQSZACIVSA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn3nccc3nc2C)CC1 ZINC000928651053 613137588 /nfs/dbraw/zinc/13/75/88/613137588.db2.gz LBBGMGVICJWKIR-UHFFFAOYSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn(C3CC3)c2N)CC1 ZINC000928661547 613137854 /nfs/dbraw/zinc/13/78/54/613137854.db2.gz XVXBEEYEFBUJTF-UHFFFAOYSA-N 0 1 287.367 0.628 20 30 CCEDMN C#CCN1CCC(Nc2ncnc(N)c2OC)CC1 ZINC000895810792 613139097 /nfs/dbraw/zinc/13/90/97/613139097.db2.gz CEOHREIJTCGTDG-UHFFFAOYSA-N 0 1 261.329 0.577 20 30 CCEDMN C#CCN1CCC(Nc2nccn3c(C)nnc23)CC1 ZINC000895808987 613139126 /nfs/dbraw/zinc/13/91/26/613139126.db2.gz PMBFUHIFHLZOLO-UHFFFAOYSA-N 0 1 270.340 0.942 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3nonc3C)C2)C1 ZINC000982096753 613141304 /nfs/dbraw/zinc/14/13/04/613141304.db2.gz PJQLXJCUTVLLGK-UHFFFAOYSA-N 0 1 288.351 0.478 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@H]1COCCN1)c1ccc(F)cc1 ZINC000861684112 613141382 /nfs/dbraw/zinc/14/13/82/613141382.db2.gz JITQSJQMZVXWED-KBPBESRZSA-N 0 1 291.326 0.788 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cn(CC=C)nn3)C2)C1 ZINC000982057704 613144629 /nfs/dbraw/zinc/14/46/29/613144629.db2.gz GDVJKFHVYVMGAX-UHFFFAOYSA-N 0 1 299.378 0.635 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CN(C)C(=O)N1 ZINC000968982340 613148825 /nfs/dbraw/zinc/14/88/25/613148825.db2.gz HICVFFIRGGGSKR-QWHCGFSZSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC000968907311 613148842 /nfs/dbraw/zinc/14/88/42/613148842.db2.gz QKHXAAIFBWIPOY-UONOGXRCSA-N 0 1 291.395 0.649 20 30 CCEDMN C#C[C@H](N[C@@H]1C[C@@H](OCC)[C@@H]1OC)[C@H]1CCCO1 ZINC000893675475 613152831 /nfs/dbraw/zinc/15/28/31/613152831.db2.gz DQGUYQGNTVIQBI-RYMFRWLXSA-N 0 1 253.342 0.949 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N2CCN(C(C)=O)CC2)C1 ZINC000847028866 613158808 /nfs/dbraw/zinc/15/88/08/613158808.db2.gz KZWVFBUQUJDWAH-AWEZNQCLSA-N 0 1 277.368 0.022 20 30 CCEDMN C#C[C@H]1COCCN1S(=O)(=O)c1ccccc1O ZINC000849400574 613163240 /nfs/dbraw/zinc/16/32/40/613163240.db2.gz VVGIHKJQVXAHOW-JTQLQIEISA-N 0 1 267.306 0.415 20 30 CCEDMN C#C[C@](C)(CC)NC[C@@](O)(C(N)=O)c1ccccc1 ZINC000852103943 613164509 /nfs/dbraw/zinc/16/45/09/613164509.db2.gz WQNIXGSRNVIVQV-CABCVRRESA-N 0 1 260.337 0.751 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C(/C)COC)C1 ZINC000923555911 613165218 /nfs/dbraw/zinc/16/52/18/613165218.db2.gz YHJSHQAWPDFACL-KIWPFMIBSA-N 0 1 250.342 0.793 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C/c2cn(C)cn2)C1 ZINC000829582425 613180805 /nfs/dbraw/zinc/18/08/05/613180805.db2.gz MEHBYFWMSNBVGQ-UZYOAWRESA-N 0 1 272.352 0.647 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)C2CN(CCO)C2)cc1 ZINC000970259251 613188340 /nfs/dbraw/zinc/18/83/40/613188340.db2.gz HUMUDZLUBYEWFR-GFCCVEGCSA-N 0 1 272.348 0.710 20 30 CCEDMN C#CCN1CCC[C@H](NCc2nccnc2OC)C1 ZINC000886209141 613192693 /nfs/dbraw/zinc/19/26/93/613192693.db2.gz FUKJHVQWBOQNSD-LBPRGKRZSA-N 0 1 260.341 0.672 20 30 CCEDMN C#CCN1CCC[C@H](N[C@@H](C)c2cc(CO)on2)C1 ZINC000926865864 613193021 /nfs/dbraw/zinc/19/30/21/613193021.db2.gz IMRNHTCDIZHPPS-RYUDHWBXSA-N 0 1 263.341 0.915 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)C2=CCOCC2)C1 ZINC000965936016 613213357 /nfs/dbraw/zinc/21/33/57/613213357.db2.gz LVFXTHHQXFGBIO-OCCSQVGLSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000967005590 613216082 /nfs/dbraw/zinc/21/60/82/613216082.db2.gz FWIZVBPOVIZBJF-OCCSQVGLSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC000968524862 613218700 /nfs/dbraw/zinc/21/87/00/613218700.db2.gz IHNDICSBSBGWOU-UKRRQHHQSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230921 613221029 /nfs/dbraw/zinc/22/10/29/613221029.db2.gz HKMSVJQWSUBLKH-GHMZBOCLSA-N 0 1 276.340 0.199 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H](C)[C@@H]2CN(C)CCN2C)c1 ZINC000896542636 613221240 /nfs/dbraw/zinc/22/12/40/613221240.db2.gz UCBROGICAXJXFX-DOMZBBRYSA-N 0 1 286.379 0.427 20 30 CCEDMN C(=NNC1=NCCN1)c1ccc(O[C@@H]2CCOC2)cc1 ZINC000853358154 613223031 /nfs/dbraw/zinc/22/30/31/613223031.db2.gz VMKNVOHKFHCSDU-CYBMUJFWSA-N 0 1 274.324 0.737 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000940974852 613229491 /nfs/dbraw/zinc/22/94/91/613229491.db2.gz FPGDNYVDYISINJ-CHWSQXEVSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cncc(OC)n2)C[C@@H]1C ZINC000947778701 613241066 /nfs/dbraw/zinc/24/10/66/613241066.db2.gz IJJODIXYPCSARI-NWDGAFQWSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3cccnc23)C[C@@H]1C ZINC000947608223 613242197 /nfs/dbraw/zinc/24/21/97/613242197.db2.gz WGFVBVIWGSYMHL-QWHCGFSZSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3ccncc23)[C@@H](C)C1 ZINC000942129681 613242449 /nfs/dbraw/zinc/24/24/49/613242449.db2.gz KOIYTXKCLYTRKC-GXTWGEPZSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnon2)C(C)(C)C1 ZINC000941113159 613242556 /nfs/dbraw/zinc/24/25/56/613242556.db2.gz LTEORMHIAIDCED-LLVKDONJSA-N 0 1 262.313 0.533 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2coc(OC)n2)[C@H](C)C1 ZINC000942531912 613242843 /nfs/dbraw/zinc/24/28/43/613242843.db2.gz CHIRKSMVFXRFLB-GHMZBOCLSA-N 0 1 277.324 0.757 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2n[nH]cc2F)[C@@H](C)C1 ZINC000942599534 613243209 /nfs/dbraw/zinc/24/32/09/613243209.db2.gz BEDMVUJJOTWAOS-GXSJLCMTSA-N 0 1 264.304 0.622 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncccn2)[C@H](C)C1 ZINC000943737623 613244167 /nfs/dbraw/zinc/24/41/67/613244167.db2.gz DCIAAWWJUHWRBY-VXGBXAGGSA-N 0 1 258.325 0.550 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CN(C)C(=O)N2)CC1 ZINC000949659558 613258976 /nfs/dbraw/zinc/25/89/76/613258976.db2.gz OEYWFMYAKCRRBX-LBPRGKRZSA-N 0 1 280.372 0.120 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC000949654826 613259016 /nfs/dbraw/zinc/25/90/16/613259016.db2.gz IRNJBYXREARXRR-CHWSQXEVSA-N 0 1 279.384 0.608 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCNC2=O)C(C)(C)C1 ZINC000940799504 613259509 /nfs/dbraw/zinc/25/95/09/613259509.db2.gz OZRLDKBVEJRSMR-STQMWFEESA-N 0 1 291.395 0.363 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CN(CC)CCO2)CC1 ZINC000957720757 613260263 /nfs/dbraw/zinc/26/02/63/613260263.db2.gz LFPRGQHLZDCMIJ-OAHLLOKOSA-N 0 1 295.427 0.818 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc3ncccn3n2)C[C@H]1C ZINC000947836766 613267705 /nfs/dbraw/zinc/26/77/05/613267705.db2.gz JYJJSYWPTURHPL-OLZOCXBDSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccnn2)[C@H](C)C1 ZINC000943743566 613271455 /nfs/dbraw/zinc/27/14/55/613271455.db2.gz BBUVIEVTCGYHDA-NEPJUHHUSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc(OC)n2)C[C@@H]1C ZINC000947533538 613272164 /nfs/dbraw/zinc/27/21/64/613272164.db2.gz SKTFXJGTFSIEEZ-RYUDHWBXSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CCCC(=O)N2C)C(C)(C)C1 ZINC000977540069 613282078 /nfs/dbraw/zinc/28/20/78/613282078.db2.gz LZTZQJNKZQLJLB-CHWSQXEVSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CCCCC(=O)N2)C(C)(C)C1 ZINC000975023760 613282199 /nfs/dbraw/zinc/28/21/99/613282199.db2.gz ABEALEQRPQGBOM-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CCCC2)C1 ZINC000957807040 613285452 /nfs/dbraw/zinc/28/54/52/613285452.db2.gz AQESLYBRGHQPQD-QWHCGFSZSA-N 0 1 250.342 0.219 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C)cc2F)C1 ZINC000958422436 613286186 /nfs/dbraw/zinc/28/61/86/613286186.db2.gz FBSFCMPQOWYASP-SWLSCSKDSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc3n[nH]cc32)[C@H](O)C1 ZINC000958309506 613289510 /nfs/dbraw/zinc/28/95/10/613289510.db2.gz MOVPCCHIGFAMPD-IAQYHMDHSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccccc2)[C@H](O)C1 ZINC000957827160 613289528 /nfs/dbraw/zinc/28/95/28/613289528.db2.gz UONSRQJRWAZSDU-ZIAGYGMSSA-N 0 1 258.321 0.342 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc(CC)n2)[C@H](O)C1 ZINC000958149260 613289573 /nfs/dbraw/zinc/28/95/73/613289573.db2.gz QARACQFMQPVBAT-IUODEOHRSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC000958574020 613294609 /nfs/dbraw/zinc/29/46/09/613294609.db2.gz MOADSMCBGDRHGG-WFASDCNBSA-N 0 1 287.363 0.159 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc(C)c2C)C1 ZINC000958639961 613294989 /nfs/dbraw/zinc/29/49/89/613294989.db2.gz MYCMYDTYSXGZHB-HOCLYGCPSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2nc(CC)oc2C)C1 ZINC000958467375 613296204 /nfs/dbraw/zinc/29/62/04/613296204.db2.gz HQETYQZCLHQCLM-RYUDHWBXSA-N 0 1 291.351 0.201 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2scnc2Cl)C1 ZINC000958677338 613296717 /nfs/dbraw/zinc/29/67/17/613296717.db2.gz NIOQRFIMODMVFL-IUCAKERBSA-N 0 1 299.783 0.452 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)C(C)(C)C1 ZINC000977500275 613298088 /nfs/dbraw/zinc/29/80/88/613298088.db2.gz IXFPRQQLSHCXRT-KBPBESRZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(=O)N1CCC[C@@H]1CC ZINC000962225497 613312877 /nfs/dbraw/zinc/31/28/77/613312877.db2.gz AHGKEIGWPGLAMR-IGQOVBAYSA-N 0 1 289.379 0.067 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2nnn(C)c2C)CC1 ZINC000980889261 613313181 /nfs/dbraw/zinc/31/31/81/613313181.db2.gz ZMVZKWKALWOAKH-UHFFFAOYSA-N 0 1 277.372 0.848 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)c1c[nH]cn1 ZINC000962315122 613313313 /nfs/dbraw/zinc/31/33/13/613313313.db2.gz NDZXZSKWUBWYEX-PJXYFTJBSA-N 0 1 272.352 0.367 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCCCC(=O)N1 ZINC000962396135 613313842 /nfs/dbraw/zinc/31/38/42/613313842.db2.gz WVYSBGQTBPMMHT-BCUIYNNISA-N 0 1 289.379 0.115 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc(C)nc1 ZINC000962565394 613314265 /nfs/dbraw/zinc/31/42/65/613314265.db2.gz OEPZATAUBSFKSQ-FOLVSLTJSA-N 0 1 269.348 0.612 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cnn(CC)c1 ZINC000962270669 613314749 /nfs/dbraw/zinc/31/47/49/613314749.db2.gz KGBZZLMCZPFXPT-NHAGDIPZSA-N 0 1 272.352 0.125 20 30 CCEDMN C=C(C)CN1CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC000950582028 613316355 /nfs/dbraw/zinc/31/63/55/613316355.db2.gz WHFYPQLPRXKKFP-UHFFFAOYSA-N 0 1 263.345 0.458 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c2ncccc12 ZINC000962621364 613317261 /nfs/dbraw/zinc/31/72/61/613317261.db2.gz XIZCEFOCEKHSJA-WDNDVIMCSA-N 0 1 280.331 0.856 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)nc(C)c1 ZINC000960101786 613318122 /nfs/dbraw/zinc/31/81/22/613318122.db2.gz JDMKCJISZMFOMS-FICVDOATSA-N 0 1 269.348 0.992 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2n(n1)CCCC2 ZINC000960462987 613318455 /nfs/dbraw/zinc/31/84/55/613318455.db2.gz GHJOMLUFNWYFCX-NHAGDIPZSA-N 0 1 284.363 0.513 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2nccnc2c1 ZINC000962503439 613319588 /nfs/dbraw/zinc/31/95/88/613319588.db2.gz WTNXGCVXCCERIS-VIKVFOODSA-N 0 1 292.342 0.923 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2n[nH]nc21 ZINC000960075767 613320281 /nfs/dbraw/zinc/32/02/81/613320281.db2.gz WQYUHIWSWVJZIM-PJXYFTJBSA-N 0 1 281.319 0.251 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)nc1C1CCC1 ZINC000960641964 613320735 /nfs/dbraw/zinc/32/07/35/613320735.db2.gz GVKMLEXUMWYTFH-VIKVFOODSA-N 0 1 298.390 0.981 20 30 CCEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cnn(C)n2)C1 ZINC000968414775 613324680 /nfs/dbraw/zinc/32/46/80/613324680.db2.gz WXFMKXJQKHVFMU-YPMHNXCESA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)CN1CC[C@H](C)[C@@H](NC(=O)c2cnn(C)n2)C1 ZINC000968414772 613332980 /nfs/dbraw/zinc/33/29/80/613332980.db2.gz WXFMKXJQKHVFMU-AAEUAGOBSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2cnnn2C)C(C)(C)C1 ZINC000974533022 613342484 /nfs/dbraw/zinc/34/24/84/613342484.db2.gz RGHXRJAEKYKOPQ-LBPRGKRZSA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)NCCCCN1CCOCC1 ZINC000883122134 613354665 /nfs/dbraw/zinc/35/46/65/613354665.db2.gz CFFXDMRBCKBVGZ-AWEZNQCLSA-N 0 1 299.415 0.725 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)NC[C@@H]1CCN1CC ZINC000890055692 613357470 /nfs/dbraw/zinc/35/74/70/613357470.db2.gz DRLGZMGFCXKVOX-NEPJUHHUSA-N 0 1 255.362 0.707 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000882901926 613358039 /nfs/dbraw/zinc/35/80/39/613358039.db2.gz UJHVCRFQSXRYMH-GHMZBOCLSA-N 0 1 263.341 0.958 20 30 CCEDMN C=C(C)C[C@H](NC(=O)C1CN([C@H]2CCOC2)C1)C(=O)OC ZINC000899165211 613358547 /nfs/dbraw/zinc/35/85/47/613358547.db2.gz UZEIUNWIGVXOEA-STQMWFEESA-N 0 1 296.367 0.331 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC000908872194 613358850 /nfs/dbraw/zinc/35/88/50/613358850.db2.gz XFGBVJGFJKHICP-QWRGUYRKSA-N 0 1 269.345 0.799 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N[C@@H](CCC)c1nn[n-]n1 ZINC000900981552 613365347 /nfs/dbraw/zinc/36/53/47/613365347.db2.gz CXHBHJPMFVUSJR-JTQLQIEISA-N 0 1 266.349 0.665 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000967157777 613375813 /nfs/dbraw/zinc/37/58/13/613375813.db2.gz SPUUHBLARRAPHJ-LDYMZIIASA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN1C[C@H](NC(=O)Cc2nnc[nH]2)C(C)(C)C1 ZINC000977490824 613380853 /nfs/dbraw/zinc/38/08/53/613380853.db2.gz XIVBIOPJTATECW-JTQLQIEISA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC000968964476 613385470 /nfs/dbraw/zinc/38/54/70/613385470.db2.gz DMSMEETYHFVEEU-TUAOUCFPSA-N 0 1 288.775 0.733 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000970349598 613385534 /nfs/dbraw/zinc/38/55/34/613385534.db2.gz DKEJKTQRXAZUSH-SNVBAGLBSA-N 0 1 296.758 0.670 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2nccnc2N)C1 ZINC000968026826 613386117 /nfs/dbraw/zinc/38/61/17/613386117.db2.gz IHAJRGOVSAFYLV-SECBINFHSA-N 0 1 281.747 0.615 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)[C@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000910268386 613388749 /nfs/dbraw/zinc/38/87/49/613388749.db2.gz YEBOMFOYEYKTLC-NXEZZACHSA-N 0 1 290.747 0.419 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)[C@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000910268386 613388750 /nfs/dbraw/zinc/38/87/50/613388750.db2.gz YEBOMFOYEYKTLC-NXEZZACHSA-N 0 1 290.747 0.419 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN(CCCCCO)CC1 ZINC000931491618 613397587 /nfs/dbraw/zinc/39/75/87/613397587.db2.gz CCPJYPHTCIKNIO-AWEZNQCLSA-N 0 1 284.400 0.884 20 30 CCEDMN C=C1CCC(C(=O)N2CCC(O)(c3nn[nH]n3)CC2)CC1 ZINC000907942885 613404173 /nfs/dbraw/zinc/40/41/73/613404173.db2.gz CPRYGOGNCUGRFM-UHFFFAOYSA-N 0 1 291.355 0.756 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000878046970 613411830 /nfs/dbraw/zinc/41/18/30/613411830.db2.gz DCJCPIHKOAAQRO-BFHYXJOUSA-N 0 1 282.384 0.635 20 30 CCEDMN C=C1CN(C(=O)Cc2c(C)nc(-c3ncccn3)[nH]c2=O)C1 ZINC000898694332 613415513 /nfs/dbraw/zinc/41/55/13/613415513.db2.gz FGZAAVMLLHLZTG-UHFFFAOYSA-N 0 1 297.318 0.889 20 30 CCEDMN C=CC[C@H](CO)NCc1cn(C[C@@H]2CCCO2)nn1 ZINC000865527178 613418615 /nfs/dbraw/zinc/41/86/15/613418615.db2.gz HWRWWICFNGUALR-YPMHNXCESA-N 0 1 266.345 0.484 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@]2(C)CN3CCC2CC3)nn1 ZINC000892766388 613430718 /nfs/dbraw/zinc/43/07/18/613430718.db2.gz KOTDVBPXIXTFJR-AWEZNQCLSA-N 0 1 275.356 0.678 20 30 CCEDMN C=CCn1cc(C(=O)OC[C@@]2(C)C[C@H](OC)CN2C)nn1 ZINC000861357159 613432539 /nfs/dbraw/zinc/43/25/39/613432539.db2.gz QTZVHMMWWVHPDQ-SMDDNHRTSA-N 0 1 294.355 0.730 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(C)CCN(C)CC1 ZINC000888983459 613432831 /nfs/dbraw/zinc/43/28/31/613432831.db2.gz CTTOTPQDMMCJFS-UHFFFAOYSA-N 0 1 253.390 0.904 20 30 CCEDMN C=CCn1cc(CN[C@@H]2CC[C@H](C(=O)OC)C2)nn1 ZINC000886628627 613435863 /nfs/dbraw/zinc/43/58/63/613435863.db2.gz XNBRZTXHEBSQHU-WDEREUQCSA-N 0 1 264.329 0.895 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N1CCN(C2CCOCC2)CC1 ZINC000845636247 613444579 /nfs/dbraw/zinc/44/45/79/613444579.db2.gz UXQITXAFBCZTEJ-GFCCVEGCSA-N 0 1 283.372 0.999 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000847392096 613446494 /nfs/dbraw/zinc/44/64/94/613446494.db2.gz LNOTYPLPUWRYMY-BDAKNGLRSA-N 0 1 281.316 0.962 20 30 CCEDMN C=CCC(F)(F)C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000854353396 613454979 /nfs/dbraw/zinc/45/49/79/613454979.db2.gz YEMXRYSCDJVPCO-NSHDSACASA-N 0 1 273.327 0.704 20 30 CCEDMN C=CCC1(NC(=O)C2CN([C@H]3CCOC3)C2)CCOCC1 ZINC000912456873 613457463 /nfs/dbraw/zinc/45/74/63/613457463.db2.gz RIMJFSOEOUXDGW-AWEZNQCLSA-N 0 1 294.395 0.949 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NCc1cn(-c2ccn(C)n2)nn1 ZINC000906305774 613461488 /nfs/dbraw/zinc/46/14/88/613461488.db2.gz VMYYVVAHIZDOHM-OLZOCXBDSA-N 0 1 288.355 0.824 20 30 CCEDMN C=CCCCNC(=O)C(=O)NCc1cc(N(C)C)ccn1 ZINC000930319984 613467769 /nfs/dbraw/zinc/46/77/69/613467769.db2.gz WOISXYZTSAZDAY-UHFFFAOYSA-N 0 1 290.367 0.846 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@]1(C)C[C@H](O)C[N@H+]1C)C(=O)[O-] ZINC000910927474 613473575 /nfs/dbraw/zinc/47/35/75/613473575.db2.gz ZNTHZBVNYXXHHD-COPLHBTASA-N 0 1 284.356 0.367 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@]1(C)C[C@H](O)C[N@@H+]1C)C(=O)[O-] ZINC000910927474 613473577 /nfs/dbraw/zinc/47/35/77/613473577.db2.gz ZNTHZBVNYXXHHD-COPLHBTASA-N 0 1 284.356 0.367 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)[C@@H](C)C#N)CC2)C1 ZINC000941348876 613482147 /nfs/dbraw/zinc/48/21/47/613482147.db2.gz RODKATJMKAVPSO-ZDUSSCGKSA-N 0 1 276.384 0.551 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000941348875 613482253 /nfs/dbraw/zinc/48/22/53/613482253.db2.gz RODKATJMKAVPSO-CYBMUJFWSA-N 0 1 276.384 0.551 20 30 CCEDMN C=C[C@H](O)C(=O)N1CC[C@](C)(NC(=O)C(F)(F)F)C1 ZINC000830796223 613494906 /nfs/dbraw/zinc/49/49/06/613494906.db2.gz YAUQXZZUVVWBPT-XVKPBYJWSA-N 0 1 280.246 0.203 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@H]2CCC(=O)N2)CC1 ZINC000951722337 613504828 /nfs/dbraw/zinc/50/48/28/613504828.db2.gz SBZWDBFKOIZDGQ-GFCCVEGCSA-N 0 1 265.357 0.375 20 30 CCEDMN C=CCCN1CCN(C(=O)Cc2cn(CC)nn2)CC1 ZINC000949417203 613504835 /nfs/dbraw/zinc/50/48/35/613504835.db2.gz UILYZGVJRAZHHF-UHFFFAOYSA-N 0 1 277.372 0.561 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NOCCN1CCCC1=O ZINC000856042570 613505517 /nfs/dbraw/zinc/50/55/17/613505517.db2.gz AXCXIJWDUQEQNZ-CQSZACIVSA-N 0 1 284.356 0.885 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000900812842 613506193 /nfs/dbraw/zinc/50/61/93/613506193.db2.gz XFZJVRNEZJMLCH-SQWLQELKSA-N 0 1 297.443 0.966 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCCC(=O)N2C)CC1 ZINC000957500909 613506205 /nfs/dbraw/zinc/50/62/05/613506205.db2.gz SQDUYDJBLXAMGV-ZDUSSCGKSA-N 0 1 279.384 0.718 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000970432705 613533308 /nfs/dbraw/zinc/53/33/08/613533308.db2.gz CGTVYMITUXQNJI-SNVBAGLBSA-N 0 1 288.351 0.187 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000970273018 613534706 /nfs/dbraw/zinc/53/47/06/613534706.db2.gz DUNNZHRDBYYPRM-SNVBAGLBSA-N 0 1 276.340 0.199 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CCCOC2)C1 ZINC000969391389 613536731 /nfs/dbraw/zinc/53/67/31/613536731.db2.gz JRIDGNIABAMREW-STQMWFEESA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCCO[C@H](C)C(=O)C(C#N)C(=O)NC1CC1 ZINC000119616792 613580224 /nfs/dbraw/zinc/58/02/24/613580224.db2.gz JMHBYAIYYXIJIW-KOLCDFICSA-N 0 1 250.298 0.955 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)Cc3cncnc3)C2)C1 ZINC000981737161 613542298 /nfs/dbraw/zinc/54/22/98/613542298.db2.gz YKZHPGNXAOBSBM-UHFFFAOYSA-N 0 1 298.390 0.967 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)C2=COCCO2)C1 ZINC000964877392 613571677 /nfs/dbraw/zinc/57/16/77/613571677.db2.gz SZARRFFDVVLOCY-OLZOCXBDSA-N 0 1 278.352 0.725 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2nccn2)C1 ZINC000966428199 613572826 /nfs/dbraw/zinc/57/28/26/613572826.db2.gz WUYQLTMINWLCSO-OLZOCXBDSA-N 0 1 275.356 0.128 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897931143 613583124 /nfs/dbraw/zinc/58/31/24/613583124.db2.gz NVORYXBGLQZZGI-QWHCGFSZSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCC[C@@H](NCc1nnc2n1CCNC2=O)C1CC1 ZINC000883303602 613585642 /nfs/dbraw/zinc/58/56/42/613585642.db2.gz BKDCJJQDIZHMLY-LLVKDONJSA-N 0 1 275.356 0.856 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cncn2C)C1 ZINC000968543515 613593643 /nfs/dbraw/zinc/59/36/43/613593643.db2.gz BWOXFYFGBACUMC-DZGCQCFKSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC000968361507 613594047 /nfs/dbraw/zinc/59/40/47/613594047.db2.gz YDUVSLQNAJUXLJ-GXTWGEPZSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2cnn[n-]2)C1 ZINC000967154218 613594612 /nfs/dbraw/zinc/59/46/12/613594612.db2.gz IHACGYJOMWRBEM-CMPLNLGQSA-N 0 1 261.329 0.268 20 30 CCEDMN C=CCCn1cc(CN[C@@H]2CCc3nnn(C)c3C2)nn1 ZINC000922991800 613599032 /nfs/dbraw/zinc/59/90/32/613599032.db2.gz LVAUDBYWSWEDTF-LLVKDONJSA-N 0 1 287.371 0.630 20 30 CCEDMN C=CCN(C(=O)C(=O)N1CC[C@H](N2CC=CC2)C1)C(C)C ZINC000839247607 613601955 /nfs/dbraw/zinc/60/19/55/613601955.db2.gz RBTMRRLWRXMWDZ-AWEZNQCLSA-N 0 1 291.395 0.882 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CC2OCCCO2)C(C)(C)C1 ZINC000974507066 613608384 /nfs/dbraw/zinc/60/83/84/613608384.db2.gz OWEBDCSHAAHYKV-CYBMUJFWSA-N 0 1 294.395 0.989 20 30 CCEDMN C=CCN(CCC#N)C(=O)[C@@]1(C)C[C@@H](O)CN1C ZINC000908343573 613648992 /nfs/dbraw/zinc/64/89/92/613648992.db2.gz QFFLMFJGBVVEMH-DGCLKSJQSA-N 0 1 251.330 0.370 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(F)s2)C1 ZINC000958740194 613612895 /nfs/dbraw/zinc/61/28/95/613612895.db2.gz KTLDUUQYLPGWFO-WDEREUQCSA-N 0 1 296.367 0.933 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cncc(C)c2)C1 ZINC000957953719 613614273 /nfs/dbraw/zinc/61/42/73/613614273.db2.gz QOCUWTNOZFNBHN-LSDHHAIUSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccsn2)[C@H](O)C1 ZINC000958174667 613615335 /nfs/dbraw/zinc/61/53/35/613615335.db2.gz GMYJRAQLMMDWMN-ZYHUDNBSSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccoc2CC)[C@H](O)C1 ZINC000958025406 613615480 /nfs/dbraw/zinc/61/54/80/613615480.db2.gz RZTFKVMWAGXTPE-TZMCWYRMSA-N 0 1 290.363 0.888 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2coc(C)c2)[C@H](O)C1 ZINC000958013756 613615536 /nfs/dbraw/zinc/61/55/36/613615536.db2.gz BIXMFGULSBVBHQ-ZIAGYGMSSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccnc(F)c2)C1 ZINC000957923371 613619677 /nfs/dbraw/zinc/61/96/77/613619677.db2.gz YFJSOBOHESRXOV-STQMWFEESA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2onc(C)c2C)C1 ZINC000958166847 613619979 /nfs/dbraw/zinc/61/99/79/613619979.db2.gz BTUSFNUQYJIJKD-STQMWFEESA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ocnc2CC)C1 ZINC000958389328 613620192 /nfs/dbraw/zinc/62/01/92/613620192.db2.gz DUYLAIJYBFKTSN-AAEUAGOBSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2nc[nH]n2)C(C)(C)C1 ZINC000977470525 613621367 /nfs/dbraw/zinc/62/13/67/613621367.db2.gz GAVDZKAGNJJADG-NSHDSACASA-N 0 1 275.356 0.197 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2ccc(=O)[nH]n2)C(C)(C)C1 ZINC000972790333 613623467 /nfs/dbraw/zinc/62/34/67/613623467.db2.gz YCUKHLJRNHVCNC-LBPRGKRZSA-N 0 1 288.351 0.646 20 30 CCEDMN C=CCN(C)[C@@H]1CCN(C(=O)c2cn(C)ccc2=O)C1 ZINC000971916600 613623932 /nfs/dbraw/zinc/62/39/32/613623932.db2.gz HDIHFOBBNWADDZ-GFCCVEGCSA-N 0 1 275.352 0.718 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2oc(C)cc2C)C1 ZINC000957938712 613626506 /nfs/dbraw/zinc/62/65/06/613626506.db2.gz ADLAUCMBGWFYFR-KGLIPLIRSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CN1CCCCC1=O ZINC000960764195 613634157 /nfs/dbraw/zinc/63/41/57/613634157.db2.gz HQSNSSDCOSYISU-VIKVFOODSA-N 0 1 289.379 0.069 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC000961310744 613636569 /nfs/dbraw/zinc/63/65/69/613636569.db2.gz HJBLTTRBHNPZDS-FAHYXWLWSA-N 0 1 298.390 0.593 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1Cc2ccncc2C1 ZINC000961793073 613637137 /nfs/dbraw/zinc/63/71/37/613637137.db2.gz XEJKDFRZNPLBGY-QMCVQRASSA-N 0 1 295.386 0.866 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(CC)nc1C ZINC000961937555 613641455 /nfs/dbraw/zinc/64/14/55/613641455.db2.gz ZOBXPNMNXSPQIA-FICVDOATSA-N 0 1 286.379 0.895 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(CC2CC2)c1 ZINC000962241030 613642051 /nfs/dbraw/zinc/64/20/51/613642051.db2.gz OSPSBVHOCSCYCD-ZSHCYNCHSA-N 0 1 298.390 0.976 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc(F)c1 ZINC000958554262 613642079 /nfs/dbraw/zinc/64/20/79/613642079.db2.gz CUUWWDFSUYBOBX-WDNDVIMCSA-N 0 1 273.311 0.904 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C)c1C ZINC000958253742 613642363 /nfs/dbraw/zinc/64/23/63/613642363.db2.gz DNPTVMIFVLOYKM-WDNDVIMCSA-N 0 1 272.352 0.412 20 30 CCEDMN C=CC[N@H+](CCn1cc([N+](=O)[O-])cn1)CC(=O)[O-] ZINC000846263706 613650766 /nfs/dbraw/zinc/65/07/66/613650766.db2.gz UKMJFDZXTXBGGF-UHFFFAOYSA-N 0 1 254.246 0.364 20 30 CCEDMN C=CC[N@H+](CN1C[C@@H](NC(=O)[O-])CC1=O)C(C)C ZINC000833788336 613651354 /nfs/dbraw/zinc/65/13/54/613651354.db2.gz VHHYYMZTQYUVRJ-JTQLQIEISA-N 0 1 255.318 0.709 20 30 CCEDMN C=CC[N@@H+](CN1C[C@@H](NC(=O)[O-])CC1=O)C(C)C ZINC000833788336 613651356 /nfs/dbraw/zinc/65/13/56/613651356.db2.gz VHHYYMZTQYUVRJ-JTQLQIEISA-N 0 1 255.318 0.709 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(=O)n(C)n2)C1 ZINC000970839578 613670986 /nfs/dbraw/zinc/67/09/86/613670986.db2.gz AHEGVBMHEYBQAO-JTQLQIEISA-N 0 1 276.340 0.016 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccnn2CCOC)C1 ZINC000969927351 613672469 /nfs/dbraw/zinc/67/24/69/613672469.db2.gz UYBFZENECLKICD-LBPRGKRZSA-N 0 1 292.383 0.766 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnnn2CC)C1 ZINC000969604879 613673202 /nfs/dbraw/zinc/67/32/02/613673202.db2.gz HYBKCHRLXTUANB-JTQLQIEISA-N 0 1 263.345 0.534 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnsn2)C1 ZINC000969326119 613673896 /nfs/dbraw/zinc/67/38/96/613673896.db2.gz DSQCIPLDKWKVRX-QMMMGPOBSA-N 0 1 252.343 0.774 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](OC)[C@H]2CCOC2)CC1 ZINC000981696815 613693908 /nfs/dbraw/zinc/69/39/08/613693908.db2.gz UIYQCOWPDMVJAU-UONOGXRCSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cn[nH]c(=O)c2)CC1 ZINC000981397676 613699766 /nfs/dbraw/zinc/69/97/66/613699766.db2.gz ORUKSYFRZZCYDM-UHFFFAOYSA-N 0 1 262.313 0.516 20 30 CCEDMN C=CCN1CCN(CN2CC[C@@H](COCCOC)C2)C1=O ZINC000840015715 613720510 /nfs/dbraw/zinc/72/05/10/613720510.db2.gz QKNFSEXKLHMNON-CQSZACIVSA-N 0 1 297.399 0.852 20 30 CCEDMN CC(=O)NCCCCCN1CCN(C(=O)CC#N)CC1 ZINC000930631338 613721982 /nfs/dbraw/zinc/72/19/82/613721982.db2.gz SOUIZAWMTXKSPJ-UHFFFAOYSA-N 0 1 280.372 0.351 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(=O)n(C)n2)C1 ZINC000968614295 613734281 /nfs/dbraw/zinc/73/42/81/613734281.db2.gz JYJSEJLMMUUIIW-DGCLKSJQSA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCO[C@@H](C)C#N)C1=O ZINC000801953196 613738388 /nfs/dbraw/zinc/73/83/88/613738388.db2.gz KUPSCLSDUNIQAQ-NWDGAFQWSA-N 0 1 251.330 0.634 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCC(=O)N(C)OC)C1=O ZINC000799176497 613738505 /nfs/dbraw/zinc/73/85/05/613738505.db2.gz WCPZMAXSWKGELT-LLVKDONJSA-N 0 1 269.345 0.115 20 30 CCEDMN CC(=O)N(CC(=O)N[C@@H]1CNC[C@H]1C#N)c1ccccc1 ZINC000884250499 613756195 /nfs/dbraw/zinc/75/61/95/613756195.db2.gz GEOXNIZWWGJCAR-TZMCWYRMSA-N 0 1 286.335 0.267 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966884945 613775393 /nfs/dbraw/zinc/77/53/93/613775393.db2.gz UUVYOBGNCIBPSN-CMPLNLGQSA-N 0 1 276.340 0.808 20 30 CCEDMN C=CCN1CC[C@H](N(C)c2nc(C)cc(N)n2)C1=O ZINC000893668801 613777751 /nfs/dbraw/zinc/77/77/51/613777751.db2.gz PVHWQCQIPASZLW-JTQLQIEISA-N 0 1 261.329 0.590 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974648081 613808322 /nfs/dbraw/zinc/80/83/22/613808322.db2.gz ZSYODACZUMFVFX-NWDGAFQWSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)N2CCOCC2)C(C)(C)C1 ZINC000977677850 613808387 /nfs/dbraw/zinc/80/83/87/613808387.db2.gz IBXMKEYXSVHBHX-UONOGXRCSA-N 0 1 295.427 0.720 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2COCCO2)C(C)(C)C1 ZINC000972820204 613809245 /nfs/dbraw/zinc/80/92/45/613809245.db2.gz BSHYEIKWQJMCMB-VXGBXAGGSA-N 0 1 268.357 0.414 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2nccnc2N)C(C)(C)C1 ZINC000972968975 613812877 /nfs/dbraw/zinc/81/28/77/613812877.db2.gz BQTVCFQIPYPVET-SNVBAGLBSA-N 0 1 275.356 0.685 20 30 CCEDMN C=CCN1C[C@H](NC(=O)CN2CCCNC2=O)C(C)(C)C1 ZINC000974765117 613826475 /nfs/dbraw/zinc/82/64/75/613826475.db2.gz AKOIQUACZPWKIM-LBPRGKRZSA-N 0 1 294.399 0.414 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2COCCO2)C(C)(C)C1 ZINC000972820203 613827497 /nfs/dbraw/zinc/82/74/97/613827497.db2.gz BSHYEIKWQJMCMB-RYUDHWBXSA-N 0 1 268.357 0.414 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2COCCN2C)C(C)(C)C1 ZINC000974482758 613827589 /nfs/dbraw/zinc/82/75/89/613827589.db2.gz GXDZGCRXBBPBTL-STQMWFEESA-N 0 1 281.400 0.330 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000823506847 613846004 /nfs/dbraw/zinc/84/60/04/613846004.db2.gz OCCWGTFEKOXYLY-MNOVXSKESA-N 0 1 267.329 0.505 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CC[C@H]2CC[C@@H](C1)N2C(=O)[O-] ZINC000823506847 613846006 /nfs/dbraw/zinc/84/60/06/613846006.db2.gz OCCWGTFEKOXYLY-MNOVXSKESA-N 0 1 267.329 0.505 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@H](OC)CC[C@@H]1C ZINC000879317937 613850001 /nfs/dbraw/zinc/85/00/01/613850001.db2.gz OKAAEASRYPADKB-WDEREUQCSA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@@H+](C)CC(C)(C)CNC(=O)[O-] ZINC000823506227 613854910 /nfs/dbraw/zinc/85/49/10/613854910.db2.gz DSOLCKJLEUYQPS-JTQLQIEISA-N 0 1 271.361 0.903 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000928094334 613879353 /nfs/dbraw/zinc/87/93/53/613879353.db2.gz KOHPOYDSBVLLNZ-VXGBXAGGSA-N 0 1 270.398 0.885 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CCO[C@@](C)(C#N)C1 ZINC000887692779 614090076 /nfs/dbraw/zinc/09/00/76/614090076.db2.gz UBUCBWTYOVSWGR-HNNXBMFYSA-N 0 1 295.383 0.486 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N2CCOC[C@H]2C#N)cc1=O ZINC000933558852 614235739 /nfs/dbraw/zinc/23/57/39/614235739.db2.gz HUYIKZLMVIJURZ-GFCCVEGCSA-N 0 1 292.339 0.126 20 30 CCEDMN CC(C)Cn1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000884250222 614241336 /nfs/dbraw/zinc/24/13/36/614241336.db2.gz ZSWXIWFEUYONDV-ZYHUDNBSSA-N 0 1 261.329 0.380 20 30 CCEDMN CC(C)Cn1cccc(C(=O)NCC#CCN(C)C)c1=O ZINC000827972173 614243008 /nfs/dbraw/zinc/24/30/08/614243008.db2.gz UOYMNTZWDNSOJO-UHFFFAOYSA-N 0 1 289.379 0.799 20 30 CCEDMN CC(C)[C@H]([NH2+][C@@H](C(=O)[O-])c1cccc(C#N)c1)C(N)=O ZINC000826715810 614251032 /nfs/dbraw/zinc/25/10/32/614251032.db2.gz GOZVEECMNDQQNH-NWDGAFQWSA-N 0 1 275.308 0.783 20 30 CCEDMN CC(=O)c1csc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834484634 614269161 /nfs/dbraw/zinc/26/91/61/614269161.db2.gz LCZUQNBYGCNWJB-UWVGGRQHSA-N 0 1 263.322 0.792 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)OC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840672967 614286914 /nfs/dbraw/zinc/28/69/14/614286914.db2.gz UEUCJVPETNMKRB-WTBMIXGQSA-N 0 1 297.311 0.638 20 30 CCEDMN CC(C)(C(=O)NCc1nn[nH]n1)c1ccc(C#N)cc1 ZINC000860839552 614298717 /nfs/dbraw/zinc/29/87/17/614298717.db2.gz ZAPHAEGVLDFLEE-UHFFFAOYSA-N 0 1 270.296 0.665 20 30 CCEDMN CC(C)N1CCC[C@@H]1C(=O)N[C@@H]1CCCN(O)C1=O ZINC000820544623 614344232 /nfs/dbraw/zinc/34/42/32/614344232.db2.gz NVJXHRUDJYDYLN-GHMZBOCLSA-N 0 1 269.345 0.356 20 30 CCEDMN CC(C)[N@H+]1CCC[C@H]1C(=O)N1CCO[C@@H](C#N)C1 ZINC000932149609 614351180 /nfs/dbraw/zinc/35/11/80/614351180.db2.gz QMKQLZTYZIWTLQ-RYUDHWBXSA-N 0 1 251.330 0.610 20 30 CCEDMN CCC(=O)Nc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834489386 614466310 /nfs/dbraw/zinc/46/63/10/614466310.db2.gz MBMHDQFXOPSVTC-DGCLKSJQSA-N 0 1 286.335 0.876 20 30 CCEDMN CCCn1cc(CNC2CCN(CC#N)CC2)nn1 ZINC000865456583 614529848 /nfs/dbraw/zinc/52/98/48/614529848.db2.gz LJYANINNRUERHA-UHFFFAOYSA-N 0 1 262.361 0.766 20 30 CCEDMN CC1(C)CC[C@H](CC(=O)N[C@@H]2CNC[C@@H]2C#N)OC1 ZINC000884250710 614570122 /nfs/dbraw/zinc/57/01/22/614570122.db2.gz LYXGLMPEVXPNBX-QJPTWQEYSA-N 0 1 265.357 0.809 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@@H]1NC(=O)c1cnn[nH]1 ZINC000940857797 614604782 /nfs/dbraw/zinc/60/47/82/614604782.db2.gz DORFCLDYJCTEPV-JTQLQIEISA-N 0 1 262.317 0.159 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@H]1NC(=O)c1cnn[nH]1 ZINC000940857798 614605769 /nfs/dbraw/zinc/60/57/69/614605769.db2.gz DORFCLDYJCTEPV-SNVBAGLBSA-N 0 1 262.317 0.159 20 30 CCEDMN CCN(C(=O)[C@@H]1CCCCN1C)C1CN(CC#N)C1 ZINC000950631296 614616989 /nfs/dbraw/zinc/61/69/89/614616989.db2.gz JSXMMOLLGBUBHG-ZDUSSCGKSA-N 0 1 264.373 0.527 20 30 CCEDMN CCCCCCCNC(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000861786183 614901265 /nfs/dbraw/zinc/90/12/65/614901265.db2.gz RPAYGWGCRAMIGI-LBPRGKRZSA-N 0 1 269.389 0.893 20 30 CCEDMN CCCCCCCNC(=O)C(=O)NC[C@H]1COCCN1 ZINC000861786656 614902130 /nfs/dbraw/zinc/90/21/30/614902130.db2.gz ZJMXZADPJWZODZ-LBPRGKRZSA-N 0 1 285.388 0.178 20 30 CCEDMN CC1=NO[C@H](CNCc2cnc3c(C#N)cnn3c2)C1 ZINC000895311329 615008206 /nfs/dbraw/zinc/00/82/06/615008206.db2.gz OXFKDOXHSLCIFE-LBPRGKRZSA-N 0 1 270.296 0.855 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+](CC(=O)[O-])C1CCOCC1 ZINC000829692328 615021210 /nfs/dbraw/zinc/02/12/10/615021210.db2.gz GRDIJHCGBFAPSG-UHFFFAOYSA-N 0 1 297.355 0.314 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](CC(=O)[O-])C1CCOCC1 ZINC000829692328 615021213 /nfs/dbraw/zinc/02/12/13/615021213.db2.gz GRDIJHCGBFAPSG-UHFFFAOYSA-N 0 1 297.355 0.314 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@@H]1C(=O)NCC1(CC)CC ZINC000933289291 615022408 /nfs/dbraw/zinc/02/24/08/615022408.db2.gz OWDAYXXIGAHNDM-CYBMUJFWSA-N 0 1 294.399 0.643 20 30 CCEDMN CCC[C@H](C)N1C[C@H](C(=O)N[C@@H]2CNC[C@@H]2C#N)CC1=O ZINC000843459656 615038765 /nfs/dbraw/zinc/03/87/65/615038765.db2.gz GDCYLXRRPGWYPD-QNWHQSFQSA-N 0 1 292.383 0.251 20 30 CCEDMN CCN(CCC(N)=O)CC(=O)N(CC)C[C@H](C)C#N ZINC000932480092 615040148 /nfs/dbraw/zinc/04/01/48/615040148.db2.gz ANHKKFHQNRWTCU-LLVKDONJSA-N 0 1 268.361 0.192 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC000818193824 615061462 /nfs/dbraw/zinc/06/14/62/615061462.db2.gz XZQDCFWWHQQBNB-QWRGUYRKSA-N 0 1 267.329 0.401 20 30 CCEDMN CC[N@@H+]1CCO[C@H](C(=O)[N-]Oc2cccc(C#N)c2)C1 ZINC000870692354 615183585 /nfs/dbraw/zinc/18/35/85/615183585.db2.gz BBDWSOROYCWRTR-ZDUSSCGKSA-N 0 1 275.308 0.689 20 30 CCEDMN CC[N@H+]1CCO[C@H](C(=O)[N-]Oc2cccc(C#N)c2)C1 ZINC000870692354 615183587 /nfs/dbraw/zinc/18/35/87/615183587.db2.gz BBDWSOROYCWRTR-ZDUSSCGKSA-N 0 1 275.308 0.689 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1CCO[C@@](C)(C#N)C1 ZINC000896462167 615246803 /nfs/dbraw/zinc/24/68/03/615246803.db2.gz VHXSZCNXOZGXSB-YPMHNXCESA-N 0 1 266.345 0.405 20 30 CCEDMN CCOC(=O)[C@@H]1C[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000932179006 615313478 /nfs/dbraw/zinc/31/34/78/615313478.db2.gz WAWGPIUTXQBAHX-GHMZBOCLSA-N 0 1 279.340 0.290 20 30 CCEDMN CCNC(=O)CN(C)C[C@H](O)CC1(C#N)CCC1 ZINC000885961052 615466107 /nfs/dbraw/zinc/46/61/07/615466107.db2.gz TWSHKJXXZPTFNQ-LLVKDONJSA-N 0 1 253.346 0.499 20 30 CCEDMN CCNC(=O)CN(C)C[C@@H](O)CC1(C#N)CCC1 ZINC000885961055 615466295 /nfs/dbraw/zinc/46/62/95/615466295.db2.gz TWSHKJXXZPTFNQ-NSHDSACASA-N 0 1 253.346 0.499 20 30 CCEDMN CCO[C@H]1COCC[C@H]1CC(=O)NCC#CCN(C)C ZINC000913524956 615492490 /nfs/dbraw/zinc/49/24/90/615492490.db2.gz DFXPEKOBSPSYNQ-KBPBESRZSA-N 0 1 282.384 0.499 20 30 CCEDMN CCOc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834512666 615509375 /nfs/dbraw/zinc/50/93/75/615509375.db2.gz ZVZISVQGZJMFQC-WCQYABFASA-N 0 1 259.309 0.927 20 30 CCEDMN CCOc1cnc(C(=O)NCC#CCN(C)C)cn1 ZINC000913520896 615520679 /nfs/dbraw/zinc/52/06/79/615520679.db2.gz CIBKRUYIHVDZGI-UHFFFAOYSA-N 0 1 262.313 0.170 20 30 CCEDMN CCc1ccc(O)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834495652 615676666 /nfs/dbraw/zinc/67/66/66/615676666.db2.gz XHXJAHFNNORJTF-ZYHUDNBSSA-N 0 1 259.309 0.796 20 30 CCEDMN CCn1nnc(C)c1C=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000834966333 615742432 /nfs/dbraw/zinc/74/24/32/615742432.db2.gz NGCDIPSQIFXZDP-PHIMTYICSA-N 0 1 275.360 0.800 20 30 CCEDMN CCc1ncc(NC(=O)N[C@H]2CNC[C@H]2C#N)cn1 ZINC000841151993 615775145 /nfs/dbraw/zinc/77/51/45/615775145.db2.gz DLTUQKPSKBOCDX-SCZZXKLOSA-N 0 1 260.301 0.272 20 30 CCEDMN CCc1ncc(NC(=O)N[C@@H]2CNC[C@H]2C#N)cn1 ZINC000841151968 615775284 /nfs/dbraw/zinc/77/52/84/615775284.db2.gz DLTUQKPSKBOCDX-PSASIEDQSA-N 0 1 260.301 0.272 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@H]1OC(=O)c2ccccc21 ZINC000913515484 615858178 /nfs/dbraw/zinc/85/81/78/615858178.db2.gz YRFYXIVKOJWPGI-CQSZACIVSA-N 0 1 286.331 0.969 20 30 CCEDMN CN(C)CC#CCNC(=O)CSC[C@@H]1CCCCO1 ZINC000913518170 615858270 /nfs/dbraw/zinc/85/82/70/615858270.db2.gz HAGCJTMDPVQJHB-ZDUSSCGKSA-N 0 1 284.425 0.970 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@@H]1COc2ccccc2O1 ZINC000913523380 615858333 /nfs/dbraw/zinc/85/83/33/615858333.db2.gz YCDLPMUJWTURAG-CYBMUJFWSA-N 0 1 288.347 0.898 20 30 CCEDMN CN(C)CC#CCNC(=O)COCC(F)(F)F ZINC000913516940 615858361 /nfs/dbraw/zinc/85/83/61/615858361.db2.gz MDVBDNSYJIVFDP-UHFFFAOYSA-N 0 1 252.236 0.247 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cccnc1F ZINC000931809672 615858869 /nfs/dbraw/zinc/85/88/69/615858869.db2.gz DSLJVMQQWGHEGN-UHFFFAOYSA-N 0 1 250.277 0.907 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cc(F)ccn1 ZINC000905151228 615858965 /nfs/dbraw/zinc/85/89/65/615858965.db2.gz JDXCOUXTRXOZEX-UHFFFAOYSA-N 0 1 250.277 0.907 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1nc2ccccn2n1 ZINC000930757239 615859154 /nfs/dbraw/zinc/85/91/54/615859154.db2.gz KHUQZAAOKHBGEL-UHFFFAOYSA-N 0 1 272.312 0.416 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ncn(C(C)(C)C)n1 ZINC000930800853 615859485 /nfs/dbraw/zinc/85/94/85/615859485.db2.gz XZTSJYLTLNIXHL-UHFFFAOYSA-N 0 1 278.360 0.720 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CNC(=O)c2ccccc21 ZINC000913524214 615860105 /nfs/dbraw/zinc/86/01/05/615860105.db2.gz DGQCDBWZFDYEEB-AWEZNQCLSA-N 0 1 285.347 0.195 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc([C@H]2CCC(=O)N2)cc1 ZINC000913525172 615860521 /nfs/dbraw/zinc/86/05/21/615860521.db2.gz MSBMZQLLARQNHS-OAHLLOKOSA-N 0 1 299.374 0.933 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(Br)no1 ZINC000905152406 615860549 /nfs/dbraw/zinc/86/05/49/615860549.db2.gz XRVOQQDPZPCIFS-UHFFFAOYSA-N 0 1 286.129 0.732 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)OCCO2 ZINC000913514591 615861347 /nfs/dbraw/zinc/86/13/47/615861347.db2.gz WASXKOJKRALIGT-UHFFFAOYSA-N 0 1 274.320 0.753 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(C(F)F)c1 ZINC000913525011 615861667 /nfs/dbraw/zinc/86/16/67/615861667.db2.gz HCNWFOMTBKOGSP-UHFFFAOYSA-N 0 1 256.256 0.573 20 30 CCEDMN CCn1cc(-c2n[nH]cc2C(=O)N[C@@H]2CNC[C@@H]2C#N)cn1 ZINC000834498676 615929476 /nfs/dbraw/zinc/92/94/76/615929476.db2.gz BNEFRRAVUUSQNJ-JOYOIKCWSA-N 0 1 299.338 0.134 20 30 CCEDMN CN(C)c1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(F)c1 ZINC000834480394 616013494 /nfs/dbraw/zinc/01/34/94/616013494.db2.gz PLXNNDQRAIOBDL-ZANVPECISA-N 0 1 276.315 0.733 20 30 CCEDMN CN(C)c1cccc(CCC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834505550 616020691 /nfs/dbraw/zinc/02/06/91/616020691.db2.gz LYOVHDNQZRBNGC-ZFWWWQNUSA-N 0 1 286.379 0.913 20 30 CCEDMN CN(C)c1ccccc1NC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000841031131 616023447 /nfs/dbraw/zinc/02/34/47/616023447.db2.gz WRFCKGHLJXPXMJ-JQWIXIFHSA-N 0 1 273.340 0.986 20 30 CCEDMN CN(CC1CN(S(C)(=O)=O)C1)[C@H]1CCC[C@H]1C#N ZINC000931473915 616067176 /nfs/dbraw/zinc/06/71/76/616067176.db2.gz CMGRVRBSLAHQST-RYUDHWBXSA-N 0 1 271.386 0.502 20 30 CCEDMN CN(CCc1ccc2c(c1)OCO2)CC(=O)NCC#N ZINC000887097083 616124491 /nfs/dbraw/zinc/12/44/91/616124491.db2.gz FFNUVYRMAIDQOM-UHFFFAOYSA-N 0 1 275.308 0.529 20 30 CCEDMN CN1C(=O)[C@H]2CN(Cc3cccc(C#N)c3F)C[C@H]2C1=O ZINC000879533491 616159066 /nfs/dbraw/zinc/15/90/66/616159066.db2.gz GYYHNRWXLTYEKA-TXEJJXNPSA-N 0 1 287.294 0.744 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@@H]1CN(CC#N)CC1(C)C ZINC000974727663 616180348 /nfs/dbraw/zinc/18/03/48/616180348.db2.gz CFGXOJWYUAFJHE-CHWSQXEVSA-N 0 1 278.400 0.821 20 30 CCEDMN C[N@H+]1CCC[C@@H]2CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC[C@H]21 ZINC000820620406 616197913 /nfs/dbraw/zinc/19/79/13/616197913.db2.gz MBDMEHHZEXRFGS-LALPHHSUSA-N 0 1 293.367 0.934 20 30 CCEDMN CN1CCN(C)CCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000888987787 616236294 /nfs/dbraw/zinc/23/62/94/616236294.db2.gz AOKGJKDYLXUSNT-UHFFFAOYSA-N 0 1 275.356 0.206 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)N1Cc2cccc(C#N)c2C1 ZINC000911923172 616344019 /nfs/dbraw/zinc/34/40/19/616344019.db2.gz MACAQFHCZQHPRK-XJKSGUPXSA-N 0 1 285.347 0.856 20 30 CCEDMN CN1C[C@@H]2CCN(S(=O)(=O)c3ccc(C#N)cn3)[C@@H]2C1 ZINC000907196239 616362018 /nfs/dbraw/zinc/36/20/18/616362018.db2.gz GHWDSLAFJQHPRD-NWDGAFQWSA-N 0 1 292.364 0.278 20 30 CCEDMN CN(C[C@@H](O)CC1(C#N)CCC1)[C@H]1CCN(C)C1=O ZINC000886017280 616403067 /nfs/dbraw/zinc/40/30/67/616403067.db2.gz WRGPSBJJSVYCHH-RYUDHWBXSA-N 0 1 265.357 0.594 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCCC#C[Si](C)(C)C ZINC000912326265 616497765 /nfs/dbraw/zinc/49/77/65/616497765.db2.gz HNXDWBXAHUXRTG-TZMCWYRMSA-N 0 1 282.460 0.829 20 30 CCEDMN COC(=O)CCN1CCN(CCO[C@H](C)C#N)[C@H](C)C1 ZINC000801939773 616555043 /nfs/dbraw/zinc/55/50/43/616555043.db2.gz SJGAYJTUZPMBER-CHWSQXEVSA-N 0 1 283.372 0.484 20 30 CCEDMN COC(=O)[C@@]1(O)CCN(C[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000880561899 616559934 /nfs/dbraw/zinc/55/99/34/616559934.db2.gz JMKSCXPBJPCYKG-UKRRQHHQSA-N 0 1 290.319 0.201 20 30 CCEDMN CNC(=O)CCCN1CCN(c2ccc(C#N)nc2)CC1 ZINC000846885944 616571089 /nfs/dbraw/zinc/57/10/89/616571089.db2.gz VALSMECLUOSGPX-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN COC(=O)[C@H](C)CC=Nn1c(=O)c(C)n[nH]c1=S ZINC000814213838 616573355 /nfs/dbraw/zinc/57/33/55/616573355.db2.gz VMQFBHRGIALISB-ZCFIWIBFSA-N 0 1 270.314 0.269 20 30 CCEDMN COCC(=O)N1CC[C@@H](NCc2ccccc2C#N)C1 ZINC000965960952 616604172 /nfs/dbraw/zinc/60/41/72/616604172.db2.gz XBWPIMCLOJDGCX-CQSZACIVSA-N 0 1 273.336 0.895 20 30 CCEDMN COCCn1cc(C(=O)NCC#CCN(C)C)c(C)n1 ZINC000913521074 616720325 /nfs/dbraw/zinc/72/03/25/616720325.db2.gz JZYGPCAPCVSPEY-UHFFFAOYSA-N 0 1 278.356 0.133 20 30 CCEDMN COCC(COC)NN=C(C)c1ccc(N)nc1 ZINC000863192445 616735757 /nfs/dbraw/zinc/73/57/57/616735757.db2.gz RVHZDQBDQXEQQG-UHFFFAOYSA-N 0 1 252.318 0.639 20 30 CCEDMN COC(=O)[C@]12CCC[C@H]1N(CC(=O)NCCC#N)CC2 ZINC000880179847 616799558 /nfs/dbraw/zinc/79/95/58/616799558.db2.gz RMBUWONKBBMNCN-RISCZKNCSA-N 0 1 279.340 0.434 20 30 CCEDMN COC[C@](C)(NC(=O)NCC#CCN(C)C)C(F)(F)F ZINC000932316112 616829571 /nfs/dbraw/zinc/82/95/71/616829571.db2.gz JELOPLUZJWMLBN-NSHDSACASA-N 0 1 295.305 0.818 20 30 CCEDMN COCCC1(C(=O)N[C@@H]2CNC[C@@H]2C#N)CCC1 ZINC000834479700 616839342 /nfs/dbraw/zinc/83/93/42/616839342.db2.gz HTHSJNVOOHVQAW-WDEREUQCSA-N 0 1 251.330 0.421 20 30 CCEDMN COC(=O)c1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834507335 616884522 /nfs/dbraw/zinc/88/45/22/616884522.db2.gz ZUTRFBMXWVIIGN-NWDGAFQWSA-N 0 1 273.292 0.315 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H](C)[C@H](C)COC ZINC000813058336 616901162 /nfs/dbraw/zinc/90/11/62/616901162.db2.gz ICQVCDGDFACKNP-GRYCIOLGSA-N 0 1 284.356 0.767 20 30 CCEDMN COCc1nc(C)c(C(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000834485051 616932259 /nfs/dbraw/zinc/93/22/59/616932259.db2.gz RBXLAYJPCXSINF-RKDXNWHRSA-N 0 1 280.353 0.439 20 30 CCEDMN COC[C@@H]1CNCCN1C(=O)Nc1cccc(CC#N)n1 ZINC000904539116 616967846 /nfs/dbraw/zinc/96/78/46/616967846.db2.gz KUAMZBLZHZYNJZ-LBPRGKRZSA-N 0 1 289.339 0.600 20 30 CCEDMN COc1cc(C#N)ccc1Cn1cnc(-c2nn[nH]n2)n1 ZINC000826177191 617152718 /nfs/dbraw/zinc/15/27/18/617152718.db2.gz WAASHRYOSOJVKG-UHFFFAOYSA-N 0 1 282.267 0.387 20 30 CCEDMN CO[C@@H](C)CNC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000867984924 617158305 /nfs/dbraw/zinc/15/83/05/617158305.db2.gz QTZRFWZJRUKNLN-IINYFYTJSA-N 0 1 273.336 0.896 20 30 CCEDMN COc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)ccc1[N+](=O)[O-] ZINC000834494733 617167314 /nfs/dbraw/zinc/16/73/14/617167314.db2.gz PESJURLLJBZDCA-UWVGGRQHSA-N 0 1 290.279 0.445 20 30 CCEDMN N#CC[C@@H](O)C[N@H+]1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000930543373 625354659 /nfs/dbraw/zinc/35/46/59/625354659.db2.gz TVLMRHQEHMZDDM-CHWSQXEVSA-N 0 1 272.308 0.681 20 30 CCEDMN N#CC[C@@H](O)CN1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000930543373 625354660 /nfs/dbraw/zinc/35/46/60/625354660.db2.gz TVLMRHQEHMZDDM-CHWSQXEVSA-N 0 1 272.308 0.681 20 30 CCEDMN CCc1cc(C(=O)N2C[C@@H]3CC[C@@H](NCC#N)[C@H]3C2)n[nH]1 ZINC001026207492 625357535 /nfs/dbraw/zinc/35/75/35/625357535.db2.gz VJCRYCMTILMURI-WCFLWFBJSA-N 0 1 287.367 0.936 20 30 CCEDMN C#C[C@@H](C)NC(=O)NCCCCN1CCOCC1 ZINC000186082861 625422202 /nfs/dbraw/zinc/42/22/02/625422202.db2.gz DFVGOFLAIJKKNP-GFCCVEGCSA-N 0 1 253.346 0.420 20 30 CCEDMN CCn1ncnc1CNC[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001026733019 625580134 /nfs/dbraw/zinc/58/01/34/625580134.db2.gz QXSDETNYRYDPCA-VXGBXAGGSA-N 0 1 290.371 0.396 20 30 CCEDMN N#Cc1ccc(N2CCN(CCCO)CC2)c(CO)c1 ZINC000892164704 622627539 /nfs/dbraw/zinc/62/75/39/622627539.db2.gz JHOVSNVHUUNCBI-UHFFFAOYSA-N 0 1 275.352 0.555 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001024411365 623320898 /nfs/dbraw/zinc/32/08/98/623320898.db2.gz PJFAGKPMRMEQLH-AWEZNQCLSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001024631154 623403374 /nfs/dbraw/zinc/40/33/74/623403374.db2.gz GKOWTZYORWEDNT-NSHDSACASA-N 0 1 276.340 0.343 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001024779097 623439463 /nfs/dbraw/zinc/43/94/63/623439463.db2.gz AGABSCYJDJQUES-HUUCEWRRSA-N 0 1 291.395 0.459 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1CCCN(CC#N)C1 ZINC001006917629 623468277 /nfs/dbraw/zinc/46/82/77/623468277.db2.gz OWBSEGFCXDLONB-NEPJUHHUSA-N 0 1 250.346 0.185 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001007462349 623644669 /nfs/dbraw/zinc/64/46/69/623644669.db2.gz WIWTXORFHWDKRY-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnc3n2CCOC3)C1 ZINC001007532333 623662242 /nfs/dbraw/zinc/66/22/42/623662242.db2.gz RITFKRLWUYVSQI-LBPRGKRZSA-N 0 1 290.367 0.794 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1[C@@H]1CCCCN1C(=O)c1ncn[n-]1 ZINC001025279994 623686871 /nfs/dbraw/zinc/68/68/71/623686871.db2.gz KBVMEUUQCHOYCP-STQMWFEESA-N 0 1 287.367 0.897 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H](CC)C(=O)[O-] ZINC000328205286 623870615 /nfs/dbraw/zinc/87/06/15/623870615.db2.gz URNVRGFJIYUZRB-JTQLQIEISA-N 0 1 255.318 0.104 20 30 CCEDMN C[C@H](OCC1CC1)C(=O)C(C#N)C(=O)NC1CC1 ZINC000120264341 624486408 /nfs/dbraw/zinc/48/64/08/624486408.db2.gz NSBDXUUDJRBBLB-KWQFWETISA-N 0 1 250.298 0.789 20 30 CCEDMN C[C@H](NC(=O)c1[nH]ncc1F)C1CN(CC#N)C1 ZINC000970378064 624682027 /nfs/dbraw/zinc/68/20/27/624682027.db2.gz VNAQRFSBJVTAQZ-ZETCQYMHSA-N 0 1 251.265 0.122 20 30 CCEDMN Cc1nc(CN(C)[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC000971210244 624827921 /nfs/dbraw/zinc/82/79/21/624827921.db2.gz ZBNCZDDUOIKWMP-ONGXEEELSA-N 0 1 276.344 0.306 20 30 CCEDMN Cc1nc(CN(C)[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC000971210239 624827931 /nfs/dbraw/zinc/82/79/31/624827931.db2.gz ZBNCZDDUOIKWMP-GXSJLCMTSA-N 0 1 276.344 0.306 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)[nH]1 ZINC001021981332 624859207 /nfs/dbraw/zinc/85/92/07/624859207.db2.gz ZYIAJDZNDNQHFT-PHIMTYICSA-N 0 1 299.338 0.364 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001022081625 624871685 /nfs/dbraw/zinc/87/16/85/624871685.db2.gz JSQCZIIMAHVRRA-AXFHLTTASA-N 0 1 273.340 0.590 20 30 CCEDMN N#Cc1ccccc1OC[C@H](O)CN1CC[C@@H]1CO ZINC000934010226 625001907 /nfs/dbraw/zinc/00/19/07/625001907.db2.gz BFNRNCZQPHGSIP-CHWSQXEVSA-N 0 1 262.309 0.365 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001009357168 625812255 /nfs/dbraw/zinc/81/22/55/625812255.db2.gz CLXKTZUUKFEHES-GFCCVEGCSA-N 0 1 290.367 0.646 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2ccc(C#N)cn2)[C@@H]1C ZINC000903498121 634580992 /nfs/dbraw/zinc/58/09/92/634580992.db2.gz ZGLMHXIKAIICPN-NXEZZACHSA-N 0 1 280.353 0.324 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2ccsc2C#N)[C@@H]1C ZINC000903497910 634591690 /nfs/dbraw/zinc/59/16/90/634591690.db2.gz ULLQONGUJDERQJ-RKDXNWHRSA-N 0 1 285.394 0.991 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)[C@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC000978124191 630783224 /nfs/dbraw/zinc/78/32/24/630783224.db2.gz ATQFRPYXAQQCKD-AUZPSNTRSA-N 0 1 299.378 0.647 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)c3cccc4nc[nH]c43)[C@@H]2C1 ZINC000978880863 630853475 /nfs/dbraw/zinc/85/34/75/630853475.db2.gz FZBVKSNVMRBBAO-XYYAHUGASA-N 0 1 295.346 0.994 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@H]1CC[N@H+]2CCCC[C@@H]12 ZINC000220625707 630909167 /nfs/dbraw/zinc/90/91/67/630909167.db2.gz CMRZTHBFFYQKEG-RYUDHWBXSA-N 0 1 281.356 0.895 20 30 CCEDMN Cc1nc(CN2CCCN(C(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC000980849647 631068576 /nfs/dbraw/zinc/06/85/76/631068576.db2.gz MATXPHKLYVAQES-SNVBAGLBSA-N 0 1 276.344 0.307 20 30 CCEDMN N#CCN1CCCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC000981380127 631272353 /nfs/dbraw/zinc/27/23/53/631272353.db2.gz CYSIEIPBJPAOAJ-VXGBXAGGSA-N 0 1 273.340 0.571 20 30 CCEDMN Cc1cnc(CN[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)cn1 ZINC000967976086 650006737 /nfs/dbraw/zinc/00/67/37/650006737.db2.gz SCHPTAVLVMBVLM-ZYHUDNBSSA-N 0 1 273.340 0.635 20 30 CCEDMN Cn1ccc(-c2cc(C(=O)N3CC[C@@H](NCC#N)C3)n[nH]2)c1 ZINC000968884078 650033096 /nfs/dbraw/zinc/03/30/96/650033096.db2.gz HFUKOBXBWCAJEA-GFCCVEGCSA-N 0 1 298.350 0.743 20 30 CCEDMN C[C@@H](Nc1nccnc1C#N)[C@H]1CN(C)CCN1C ZINC000895245215 632031969 /nfs/dbraw/zinc/03/19/69/632031969.db2.gz DHQDIGSKAUBMJV-ZYHUDNBSSA-N 0 1 260.345 0.394 20 30 CCEDMN Cc1n[nH]c(C2CN(c3nnc(C)c(C)c3C#N)C2)n1 ZINC000895328417 632057840 /nfs/dbraw/zinc/05/78/40/632057840.db2.gz NFOCGDIDDKNFRT-UHFFFAOYSA-N 0 1 269.312 0.995 20 30 CCEDMN Cc1nc(C2CN(c3nnc(C)c(C)c3C#N)C2)n[nH]1 ZINC000895328417 632057844 /nfs/dbraw/zinc/05/78/44/632057844.db2.gz NFOCGDIDDKNFRT-UHFFFAOYSA-N 0 1 269.312 0.995 20 30 CCEDMN C[C@H](NC(=O)c1ccnc(C#N)c1)[C@H]1CN(C)CCN1C ZINC000896546895 632277004 /nfs/dbraw/zinc/27/70/04/632277004.db2.gz ZUMOVIPLFUWKHI-SMDDNHRTSA-N 0 1 287.367 0.317 20 30 CCEDMN Cc1[nH]nc(CN2C[C@@H]3CS(=O)(=O)C[C@]3(C#N)C2)c1C ZINC000897058955 632384390 /nfs/dbraw/zinc/38/43/90/632384390.db2.gz TWBASGRNPKJFDY-YPMHNXCESA-N 0 1 294.380 0.397 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC(N(C)CC(N)=O)CC2)cc1 ZINC000985567571 632561280 /nfs/dbraw/zinc/56/12/80/632561280.db2.gz XYPLWHMULGRDSI-UHFFFAOYSA-N 0 1 299.374 0.690 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001007490239 650080536 /nfs/dbraw/zinc/08/05/36/650080536.db2.gz AICGVIRHOAAAFQ-CYBMUJFWSA-N 0 1 289.383 0.922 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001007564252 650084288 /nfs/dbraw/zinc/08/42/88/650084288.db2.gz SBFUMDJMOGLQDT-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001007735235 650089489 /nfs/dbraw/zinc/08/94/89/650089489.db2.gz CCLXRRHTOONTFU-OLZOCXBDSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC(N)=O)[C@H]1C ZINC000986114938 632716001 /nfs/dbraw/zinc/71/60/01/632716001.db2.gz RTZMYFNALHHLJL-IUCAKERBSA-N 0 1 259.737 0.193 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCn2cncn2)C1 ZINC000988147696 632954109 /nfs/dbraw/zinc/95/41/09/632954109.db2.gz RTLOPSAOTDHFSE-NEPJUHHUSA-N 0 1 297.790 1.000 20 30 CCEDMN C[C@H]1C[C@H](NCC#N)CN1C(=O)c1cccc2nn[nH]c21 ZINC000988876837 633125127 /nfs/dbraw/zinc/12/51/27/633125127.db2.gz RYOKQLAHRAQBKE-UWVGGRQHSA-N 0 1 284.323 0.674 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC001008331911 650110446 /nfs/dbraw/zinc/11/04/46/650110446.db2.gz HIMCLHXJMAISJK-NSHDSACASA-N 0 1 276.340 0.540 20 30 CCEDMN C[C@]1(NCc2cnc3ccc(C#N)cn23)CCNC1=O ZINC000897873117 633249719 /nfs/dbraw/zinc/24/97/19/633249719.db2.gz WVBOKKVRCGILNE-AWEZNQCLSA-N 0 1 269.308 0.574 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCCN(C[C@@H](C)O)CC2)nc1 ZINC000989512535 633322414 /nfs/dbraw/zinc/32/24/14/633322414.db2.gz NPOHDHWNFRTZHL-CYBMUJFWSA-N 0 1 287.363 0.592 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnn(C)c3)CCC[C@@H]12 ZINC000989943603 633391313 /nfs/dbraw/zinc/39/13/13/633391313.db2.gz YNXPOELTLDUIPU-UKRRQHHQSA-N 0 1 272.352 0.780 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3ncn(C)n3)CCC[C@@H]12 ZINC000990540041 633552277 /nfs/dbraw/zinc/55/22/77/633552277.db2.gz CNWRXPHLTYRVEX-BXUZGUMPSA-N 0 1 273.340 0.175 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N(CC#N)CC#N ZINC000900463988 634026826 /nfs/dbraw/zinc/02/68/26/634026826.db2.gz IZKURWCXILERQJ-SKDRFNHKSA-N 0 1 257.297 0.951 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CCN(CC#N)CC1 ZINC000900777665 634086090 /nfs/dbraw/zinc/08/60/90/634086090.db2.gz UCGVEDFZNIHISC-SMDDNHRTSA-N 0 1 287.367 0.743 20 30 CCEDMN C[C@H](CC#N)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000900802695 634106938 /nfs/dbraw/zinc/10/69/38/634106938.db2.gz FRHNIPJDVUNDMX-IJLUTSLNSA-N 0 1 252.362 0.287 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001009087101 650137138 /nfs/dbraw/zinc/13/71/38/650137138.db2.gz AJPZFCSTXPOJMG-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001009380666 650143606 /nfs/dbraw/zinc/14/36/06/650143606.db2.gz YOUGVFGFRMLLRS-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001009488204 650145844 /nfs/dbraw/zinc/14/58/44/650145844.db2.gz MGBCGORZQQXAAV-LLVKDONJSA-N 0 1 284.323 0.676 20 30 CCEDMN Cc1nnc(NN=Cc2cnn(CCF)c2)n1C ZINC000905490476 634872188 /nfs/dbraw/zinc/87/21/88/634872188.db2.gz MVIAFJAPEVFZBL-UHFFFAOYSA-N 0 1 251.269 0.736 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc(C)cn2)C1 ZINC001009997049 650177020 /nfs/dbraw/zinc/17/70/20/650177020.db2.gz BCOVXTUCYWUNGR-GFCCVEGCSA-N 0 1 258.325 0.612 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)N[C@H]3CCCN(O)C3=O)c2C1 ZINC000908405124 635366847 /nfs/dbraw/zinc/36/68/47/635366847.db2.gz DZKPYCGLYMQEIK-KWQFWETISA-N 0 1 292.339 0.645 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N1CC[C@@H](N2CCC2)C1 ZINC000912902225 636704677 /nfs/dbraw/zinc/70/46/77/636704677.db2.gz CBRQEPDRGIDRLU-GFCCVEGCSA-N 0 1 258.325 0.817 20 30 CCEDMN Cc1cccc2ncc(C(=O)NCC#CCN(C)C)n21 ZINC000913523479 636832567 /nfs/dbraw/zinc/83/25/67/636832567.db2.gz BMNGFAWNQIJCKQ-UHFFFAOYSA-N 0 1 270.336 0.938 20 30 CCEDMN C[C@H](c1ccccc1F)[C@H](O)C(=O)NCC#CCN(C)C ZINC000913525188 636833085 /nfs/dbraw/zinc/83/30/85/636833085.db2.gz NHIJCHIWCMJKNY-DOMZBBRYSA-N 0 1 292.354 0.971 20 30 CCEDMN Cc1ccn2c(c1)ncc(C(=O)NCC#CCN(C)C)c2=O ZINC000913517033 636834588 /nfs/dbraw/zinc/83/45/88/636834588.db2.gz PQKVMNIDWPLHEN-UHFFFAOYSA-N 0 1 298.346 0.298 20 30 CCEDMN C[C@H]1C[C@@H](C(=O)NCC#CCN(C)C)CCC1=O ZINC000913520090 636835544 /nfs/dbraw/zinc/83/55/44/636835544.db2.gz CAZSSBRDEKECDH-RYUDHWBXSA-N 0 1 250.342 0.673 20 30 CCEDMN Cc1ccn2ncc(C(=O)NCC#CCN(C)C)c2c1 ZINC000913520265 636835811 /nfs/dbraw/zinc/83/58/11/636835811.db2.gz KOLKSQBLHKQJLK-UHFFFAOYSA-N 0 1 270.336 0.938 20 30 CCEDMN C[C@@H](C#N)CNC(=O)c1nnc2ccccc2c1O ZINC000172381004 637172088 /nfs/dbraw/zinc/17/20/88/637172088.db2.gz KNNOMGXGXOXQEV-QMMMGPOBSA-N 0 1 256.265 0.813 20 30 CCEDMN CC[C@H](C#N)NC(=O)c1nnc2ccccc2c1O ZINC000172378419 637172159 /nfs/dbraw/zinc/17/21/59/637172159.db2.gz TVPZTRDYXGCDIQ-MRVPVSSYSA-N 0 1 256.265 0.955 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(C(=O)c2[nH]nnc2C)CC1 ZINC001011877018 650318788 /nfs/dbraw/zinc/31/87/88/650318788.db2.gz UBOMTKTWYDELHE-UHFFFAOYSA-N 0 1 291.355 0.610 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@H]3CCCO3)CCC[C@@H]12 ZINC000992508132 637457854 /nfs/dbraw/zinc/45/78/54/637457854.db2.gz LKKUCDHIOFRJCJ-NFAWXSAZSA-N 0 1 262.353 0.912 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001015300932 637561008 /nfs/dbraw/zinc/56/10/08/637561008.db2.gz QYRKRUDLBWUZRD-CYBMUJFWSA-N 0 1 273.336 0.544 20 30 CCEDMN C[C@@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)CC(C)(C)C#N ZINC000914244434 637569651 /nfs/dbraw/zinc/56/96/51/637569651.db2.gz IOGCWQFJFFHAOE-PWSUYJOCSA-N 0 1 285.413 0.938 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)Cc3ncn[nH]3)C[C@@H]21 ZINC000992860837 637628261 /nfs/dbraw/zinc/62/82/61/637628261.db2.gz NDJSWRSTTVKSJE-GRYCIOLGSA-N 0 1 288.355 0.087 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@@H]1C ZINC000993005257 637660075 /nfs/dbraw/zinc/66/00/75/637660075.db2.gz PWCKKZGYDSJWBH-QWRGUYRKSA-N 0 1 274.324 0.398 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cc[n+]([O-])cc2)[C@H]1C ZINC000993005751 637662529 /nfs/dbraw/zinc/66/25/29/637662529.db2.gz RDWOWFFJLGBIOH-HIFRSBDPSA-N 0 1 287.363 0.926 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)C2=CCOCC2)[C@H]1C ZINC000993213385 637746623 /nfs/dbraw/zinc/74/66/23/637746623.db2.gz IQGWVBPTVZELEU-OCCSQVGLSA-N 0 1 262.353 0.935 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CCCN(CC#N)[C@@H]2C)n[nH]1 ZINC000993305703 637770020 /nfs/dbraw/zinc/77/00/20/637770020.db2.gz AHOYBKLRHZTWBU-MWLCHTKSSA-N 0 1 276.344 0.148 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2nnc(C)[nH]2)[C@@H]1C ZINC000993360666 637795379 /nfs/dbraw/zinc/79/53/79/637795379.db2.gz SELLHRMCWOZPKR-AAEUAGOBSA-N 0 1 289.383 0.648 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cn(C)nc2C)[C@@H]1C ZINC000993417898 637803408 /nfs/dbraw/zinc/80/34/08/637803408.db2.gz DLNKIQCLNVXIDU-ZFWWWQNUSA-N 0 1 288.395 0.873 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(=O)n(C)cn2)[C@H]1C ZINC000993458042 637810367 /nfs/dbraw/zinc/81/03/67/637810367.db2.gz GWYCPXNTOXLDCN-VXGBXAGGSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CN2CCCNC2=O)[C@H]1C ZINC000993538485 637814687 /nfs/dbraw/zinc/81/46/87/637814687.db2.gz ALAJAMVEORESGF-OLZOCXBDSA-N 0 1 292.383 0.004 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2nnn(C)n2)[C@H]1C ZINC000993685182 637830760 /nfs/dbraw/zinc/83/07/60/637830760.db2.gz GTIVCQPLLGSUFE-MNOVXSKESA-N 0 1 278.360 0.369 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2c[nH]cn2)[C@@H]1C ZINC000993883425 637851584 /nfs/dbraw/zinc/85/15/84/637851584.db2.gz CTGCCOAQCKWASI-JSGCOSHPSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cccn(C)c2=O)[C@@H]1C ZINC000994237450 637878329 /nfs/dbraw/zinc/87/83/29/637878329.db2.gz GECOIZNOKIHRSN-JSGCOSHPSA-N 0 1 287.363 0.601 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2COCCN2C)C1 ZINC001007162114 637951941 /nfs/dbraw/zinc/95/19/41/637951941.db2.gz IFYLKJVKCOJQFQ-STQMWFEESA-N 0 1 267.373 0.084 20 30 CCEDMN C[C@H](C(=O)Nc1cc(C#N)ccc1[O-])N1CC[NH+](C)CC1 ZINC000179740274 638241826 /nfs/dbraw/zinc/24/18/26/638241826.db2.gz MUBMFTKZGJKRNU-LLVKDONJSA-N 0 1 288.351 0.838 20 30 CCEDMN O=C(CN1CCCC1)N[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000940538703 638474246 /nfs/dbraw/zinc/47/42/46/638474246.db2.gz DVWXNBDZHQRSFL-CQSZACIVSA-N 0 1 289.379 0.213 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@H](C(F)F)C2)CC1 ZINC000194171953 638657927 /nfs/dbraw/zinc/65/79/27/638657927.db2.gz JWHXZGVYYWIVFA-GFCCVEGCSA-N 0 1 286.322 0.824 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc(N(C)C)cn2)C1 ZINC001014417810 650450006 /nfs/dbraw/zinc/45/00/06/650450006.db2.gz HQDWFHWOWKKVJM-GFCCVEGCSA-N 0 1 287.367 0.370 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(CNCc2cn(C)nn2)CC1 ZINC000997622852 638878876 /nfs/dbraw/zinc/87/88/76/638878876.db2.gz FCSHGXOLEODAPJ-LLVKDONJSA-N 0 1 290.371 0.303 20 30 CCEDMN C[C@H](NC(=O)C1=NC(=O)N(C)C1)C1CCN(CC#N)CC1 ZINC000997738134 638881926 /nfs/dbraw/zinc/88/19/26/638881926.db2.gz COLLYPLEOKXJKF-JTQLQIEISA-N 0 1 291.355 0.480 20 30 CCEDMN C#CCN[C@H]1CN(C(=O)COC)CC[C@@H]1C(F)(F)F ZINC000998212302 638898933 /nfs/dbraw/zinc/89/89/33/638898933.db2.gz TVZHUNCTTNBUQN-UWVGGRQHSA-N 0 1 278.274 0.635 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncc3[nH]cnc32)C1 ZINC001014501387 650457258 /nfs/dbraw/zinc/45/72/58/650457258.db2.gz CANLJADKSLBXIK-JTQLQIEISA-N 0 1 271.324 0.948 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001016118548 638933345 /nfs/dbraw/zinc/93/33/45/638933345.db2.gz CIYDONLCNFIHPN-OLZOCXBDSA-N 0 1 265.357 0.231 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](NCc2cnns2)C1 ZINC000998755696 638939417 /nfs/dbraw/zinc/93/94/17/638939417.db2.gz RWNIPDGKXRSJHH-UWVGGRQHSA-N 0 1 279.369 0.778 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2COC(=O)N2)C1 ZINC000999059532 638960862 /nfs/dbraw/zinc/96/08/62/638960862.db2.gz QWVDRZGKZSYBNI-NXEZZACHSA-N 0 1 287.747 0.428 20 30 CCEDMN N#Cc1cc(CNCCN2CCCS2(=O)=O)cs1 ZINC000921689769 639041058 /nfs/dbraw/zinc/04/10/58/639041058.db2.gz GTIOYFXDUBPPDO-UHFFFAOYSA-N 0 1 285.394 0.745 20 30 CCEDMN CC1(C)CC[N@@H+](CC(=O)N2CCC[C@H](NCC#N)C2)C1 ZINC001000183358 639049311 /nfs/dbraw/zinc/04/93/11/639049311.db2.gz IYJZEKMDVIIRPZ-ZDUSSCGKSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CC[N@@H+]1CC=C(CNC(=O)[C@H]2CCCCO2)CC1 ZINC001000568126 639122094 /nfs/dbraw/zinc/12/20/94/639122094.db2.gz KJRWCDXAMUYIBK-CQSZACIVSA-N 0 1 262.353 0.937 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCCCO2)CC1 ZINC001000568126 639122097 /nfs/dbraw/zinc/12/20/97/639122097.db2.gz KJRWCDXAMUYIBK-CQSZACIVSA-N 0 1 262.353 0.937 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc3n(n2)CCC3)C1 ZINC001014652091 650474113 /nfs/dbraw/zinc/47/41/13/650474113.db2.gz AFJKFAIOFDOIPT-LLVKDONJSA-N 0 1 260.341 0.819 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc3n(n2)CCO3)CC1 ZINC001000774096 639171059 /nfs/dbraw/zinc/17/10/59/639171059.db2.gz OLMYSGOZBVWBGD-UHFFFAOYSA-N 0 1 286.335 0.271 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnn3ccncc23)CC1 ZINC001000786306 639172993 /nfs/dbraw/zinc/17/29/93/639172993.db2.gz XFXQWVNEFNMGAR-UHFFFAOYSA-N 0 1 295.346 0.724 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2ccnnc2)CC1 ZINC001001199051 639252588 /nfs/dbraw/zinc/25/25/88/639252588.db2.gz OYGBMXSQCTVBEX-UHFFFAOYSA-N 0 1 270.336 0.862 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cnc(C)o2)C1 ZINC001014707971 650480994 /nfs/dbraw/zinc/48/09/94/650480994.db2.gz ULBGNHXJAGBEPF-LBPRGKRZSA-N 0 1 261.325 0.739 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2nc(C)c[nH]2)CC1 ZINC001001242495 639264778 /nfs/dbraw/zinc/26/47/78/639264778.db2.gz JMCBHUKFWZDQJK-UHFFFAOYSA-N 0 1 258.325 0.713 20 30 CCEDMN C#CCC[NH+]1CCC(CNC(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001001314742 639280864 /nfs/dbraw/zinc/28/08/64/639280864.db2.gz NGCSSJMEJBZAQF-UHFFFAOYSA-N 0 1 290.367 0.889 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CNC(=O)c3ccccc32)C1 ZINC001014747663 650484800 /nfs/dbraw/zinc/48/48/00/650484800.db2.gz ULTJICDSCABOFC-IUODEOHRSA-N 0 1 299.374 0.890 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCc3c[nH]nc3C2)CC1 ZINC001001467981 639303073 /nfs/dbraw/zinc/30/30/73/639303073.db2.gz VTUABJVNTBAOBD-AWEZNQCLSA-N 0 1 298.390 0.896 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001016304067 639408810 /nfs/dbraw/zinc/40/88/10/639408810.db2.gz DWPVCQBNILSLAN-WCQYABFASA-N 0 1 274.368 0.891 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CC(=O)N[C@H](C)C2)CC1 ZINC001003221368 639517336 /nfs/dbraw/zinc/51/73/36/639517336.db2.gz IBGRUWDXUXWBCT-OLZOCXBDSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@]2(F)CCOC2)CC1 ZINC001003508261 639555295 /nfs/dbraw/zinc/55/52/95/639555295.db2.gz NENVGLDMKBVPJA-ZDUSSCGKSA-N 0 1 254.305 0.329 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@@H]1CCN(CC#N)C1 ZINC001014956290 650507902 /nfs/dbraw/zinc/50/79/02/650507902.db2.gz ULPUFAYFEUMSNY-NEPJUHHUSA-N 0 1 250.346 0.185 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCn3cncc3C2)C1 ZINC001014989602 650512471 /nfs/dbraw/zinc/51/24/71/650512471.db2.gz IPGAFKCNFJMDCC-UONOGXRCSA-N 0 1 286.379 0.659 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CN2CCCCC2=O)C1 ZINC001015073019 650524713 /nfs/dbraw/zinc/52/47/13/650524713.db2.gz IROWJSCDLSTJDW-GFCCVEGCSA-N 0 1 265.357 0.375 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001015111678 650529210 /nfs/dbraw/zinc/52/92/10/650529210.db2.gz LRKDHGLWELRVAU-NSHDSACASA-N 0 1 276.340 0.114 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)C[C@@H]2COC(=O)C2)CC1 ZINC001005252685 639894191 /nfs/dbraw/zinc/89/41/91/639894191.db2.gz SCASERHEPBQDQU-ZDUSSCGKSA-N 0 1 292.379 0.886 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)CN2CCOCC2)CC1 ZINC001005279249 639895643 /nfs/dbraw/zinc/89/56/43/639895643.db2.gz NLXQWVNGIUFZKR-UHFFFAOYSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C2=CCOCC2)CC1 ZINC001005305817 639896544 /nfs/dbraw/zinc/89/65/44/639896544.db2.gz HGHBKJDPBUMGHV-UHFFFAOYSA-N 0 1 262.353 0.889 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001015167844 650534151 /nfs/dbraw/zinc/53/41/51/650534151.db2.gz QJXXJIDVPPQOFT-UONOGXRCSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnnn2CC)CC1 ZINC001005356039 639904827 /nfs/dbraw/zinc/90/48/27/639904827.db2.gz LKVWDFACVCASEZ-UHFFFAOYSA-N 0 1 275.356 0.468 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2ncc[nH]2)CC1 ZINC001005368578 639905881 /nfs/dbraw/zinc/90/58/81/639905881.db2.gz JFJSYEYVIQFWIR-UHFFFAOYSA-N 0 1 260.341 0.969 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cccc(C(N)=O)c2)CC1 ZINC001005595549 639942942 /nfs/dbraw/zinc/94/29/42/639942942.db2.gz VBMKRQRMMOYHKE-UHFFFAOYSA-N 0 1 299.374 0.955 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@H]2CCNC2=O)CC1 ZINC001005824217 639978990 /nfs/dbraw/zinc/97/89/90/639978990.db2.gz XDZMUSGZCISLFC-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@]2(F)CCOC2)CC1 ZINC001005882951 640007624 /nfs/dbraw/zinc/00/76/24/640007624.db2.gz MTAANMFCBUBMDX-CQSZACIVSA-N 0 1 268.332 0.671 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001015362927 650558499 /nfs/dbraw/zinc/55/84/99/650558499.db2.gz XEXKRAQHCSFZIX-JHJVBQTASA-N 0 1 274.368 0.900 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(-n3cnnn3)cn2)C1 ZINC001015383666 650560624 /nfs/dbraw/zinc/56/06/24/650560624.db2.gz XJSVSDPWVNQACF-LLVKDONJSA-N 0 1 299.338 0.047 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001015402769 650562413 /nfs/dbraw/zinc/56/24/13/650562413.db2.gz JEIMDKDNUBMGJF-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]nc2[C@@H]2CCOC2)C1 ZINC001015403147 650562602 /nfs/dbraw/zinc/56/26/02/650562602.db2.gz AFJIVOLDXAIUQY-VXGBXAGGSA-N 0 1 290.367 0.904 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC000805849610 640436555 /nfs/dbraw/zinc/43/65/55/640436555.db2.gz NTNJJPFQVXIYGX-UHFFFAOYSA-N 0 1 276.340 0.789 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H](C)c2cncnc2)C1 ZINC001015596725 650587496 /nfs/dbraw/zinc/58/74/96/650587496.db2.gz GEEYGBOTPOAQIQ-DGCLKSJQSA-N 0 1 260.341 0.957 20 30 CCEDMN COc1nccnc1NNC1=CC(=O)N2CCC[C@H]12 ZINC000799485475 640576552 /nfs/dbraw/zinc/57/65/52/640576552.db2.gz UAVAPCGYGIRRBQ-SECBINFHSA-N 0 1 261.285 0.648 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccc3[nH]c(=O)[nH]c3n2)C1 ZINC001015619162 650594047 /nfs/dbraw/zinc/59/40/47/650594047.db2.gz HPYDXILHNINBMM-JTQLQIEISA-N 0 1 299.334 0.491 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc3c2nnn3C)C1 ZINC001015618869 650594072 /nfs/dbraw/zinc/59/40/72/650594072.db2.gz BMODXPDBAPYVOG-JTQLQIEISA-N 0 1 286.339 0.353 20 30 CCEDMN C[C@@H](C#N)OCCN1CCC[C@H](N2CCNC2=O)C1 ZINC000801921384 640674765 /nfs/dbraw/zinc/67/47/65/640674765.db2.gz BJJQZUCJFXAGJS-RYUDHWBXSA-N 0 1 266.345 0.405 20 30 CCEDMN C[C@H](C#N)OCCN1CC[N@H+](C[C@H](C)O)C[C@H]1C ZINC000801943621 640678070 /nfs/dbraw/zinc/67/80/70/640678070.db2.gz BLKFYDQBLTYKSE-FRRDWIJNSA-N 0 1 255.362 0.302 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2n[nH]cc2F)C1 ZINC001015665107 650605048 /nfs/dbraw/zinc/60/50/48/650605048.db2.gz FUIAHLUUUDQRBD-SECBINFHSA-N 0 1 250.277 0.376 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001015706960 650613125 /nfs/dbraw/zinc/61/31/25/650613125.db2.gz LGBVMAUUORTENW-SDDRHHMPSA-N 0 1 265.357 0.087 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccnc(OC)c2)C1 ZINC001015709966 650613284 /nfs/dbraw/zinc/61/32/84/650613284.db2.gz DNYVGDMMTRGNIX-CQSZACIVSA-N 0 1 287.363 0.847 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001015772643 650627332 /nfs/dbraw/zinc/62/73/32/650627332.db2.gz CIIUNGBIHHFZGA-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN Cn1cc(C=[NH+][N-]c2ccc(S(N)(=O)=O)cc2)c(=O)[nH]1 ZINC000814211989 641060497 /nfs/dbraw/zinc/06/04/97/641060497.db2.gz AFCMYXICJKEEHC-UHFFFAOYSA-N 0 1 295.324 0.219 20 30 CCEDMN Nc1ncc2c(n1)CCCC2=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000814886309 641079624 /nfs/dbraw/zinc/07/96/24/641079624.db2.gz XTELQZDJLUYHNX-CHWSQXEVSA-N 0 1 299.382 0.959 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001015855873 650640111 /nfs/dbraw/zinc/64/01/11/650640111.db2.gz ODKUQTPBRWUWAC-CQSZACIVSA-N 0 1 295.427 0.864 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2c(C)[nH]c(=O)[nH]c2=O)C1 ZINC001015896487 650652140 /nfs/dbraw/zinc/65/21/40/650652140.db2.gz ZNLBRJCNJUYDHO-JTQLQIEISA-N 0 1 292.339 0.115 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2c(C)cc(C)nc2=O)C1 ZINC001015909996 650655702 /nfs/dbraw/zinc/65/57/02/650655702.db2.gz IZZCRWGELMGOFW-CYBMUJFWSA-N 0 1 290.367 0.237 20 30 CCEDMN N#CCNC(=O)CNC[C@H](O)c1cc(F)ccc1F ZINC000819310844 641400059 /nfs/dbraw/zinc/40/00/59/641400059.db2.gz HZEOXPGHHBPYII-NSHDSACASA-N 0 1 269.251 0.228 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(CC)nn2)C1 ZINC001015921040 650657236 /nfs/dbraw/zinc/65/72/36/650657236.db2.gz XZJBKKDMZSFHIA-LLVKDONJSA-N 0 1 261.329 0.125 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001015985515 650668837 /nfs/dbraw/zinc/66/88/37/650668837.db2.gz XTUDFFBLMMZQIO-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN N#CC1(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)CCSCC1 ZINC000824298392 641816391 /nfs/dbraw/zinc/81/63/91/641816391.db2.gz VMSMLTJGKPBJFV-NWDGAFQWSA-N 0 1 295.408 0.301 20 30 CCEDMN N#C[C@@H](NC(=O)c1cc(S(N)(=O)=O)ccc1O)C1CC1 ZINC000826931380 641968075 /nfs/dbraw/zinc/96/80/75/641968075.db2.gz HGFUMICKQWQQDI-SNVBAGLBSA-N 0 1 295.320 0.072 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@H]2CCc3[nH]cnc3C2)CCN1C1CC1 ZINC000827384675 642003783 /nfs/dbraw/zinc/00/37/83/642003783.db2.gz XMUCSVIAIUXVOH-AAEUAGOBSA-N 0 1 299.378 0.713 20 30 CCEDMN Cc1occc(=O)c1OCC(=O)NCC#CCN(C)C ZINC000827972034 642055364 /nfs/dbraw/zinc/05/53/64/642055364.db2.gz PEZONJNUPCRSKE-UHFFFAOYSA-N 0 1 278.308 0.008 20 30 CCEDMN C#CC[C@@H]1CCCN(CC(=O)NCC(=O)OC)C1 ZINC000829773919 642190570 /nfs/dbraw/zinc/19/05/70/642190570.db2.gz LGIAJATZLWKIQG-LLVKDONJSA-N 0 1 252.314 0.011 20 30 CCEDMN C#CC[C@@H]1CCCN(CC(=O)NC(=O)N[C@H](C)COC)C1 ZINC000829769066 642190623 /nfs/dbraw/zinc/19/06/23/642190623.db2.gz OBGNPMYYHJQXAH-CHWSQXEVSA-N 0 1 295.383 0.582 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1CSCCS1 ZINC000834479621 642570992 /nfs/dbraw/zinc/57/09/92/642570992.db2.gz GXIGYSVRSSLWSX-HLTSFMKQSA-N 0 1 257.384 0.063 20 30 CCEDMN C[C@@H]1CC[C@H](CCC(=O)N[C@H]2CNC[C@H]2C#N)O1 ZINC000834479600 642571049 /nfs/dbraw/zinc/57/10/49/642571049.db2.gz GNDQVPPOXVTBAH-KKOKHZNYSA-N 0 1 251.330 0.562 20 30 CCEDMN Cc1ccc(-c2cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)[nH]n2)o1 ZINC000834480300 642573490 /nfs/dbraw/zinc/57/34/90/642573490.db2.gz NSTARMVGQMFKLR-JOYOIKCWSA-N 0 1 285.307 0.820 20 30 CCEDMN Cn1nc(CC(=O)N[C@H]2CNC[C@@H]2C#N)c2ccccc21 ZINC000834484157 642574423 /nfs/dbraw/zinc/57/44/23/642574423.db2.gz FJWKNBIWTSNGDW-GWCFXTLKSA-N 0 1 283.335 0.344 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccc(F)c(F)c1 ZINC000834481051 642574644 /nfs/dbraw/zinc/57/46/44/642574644.db2.gz ZMZMFAHNMHXDQD-JOYOIKCWSA-N 0 1 265.263 0.735 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1coc(=O)c2ccccc12 ZINC000834485055 642576162 /nfs/dbraw/zinc/57/61/62/642576162.db2.gz RCDPYPZNOAOAJK-ZANVPECISA-N 0 1 283.287 0.634 20 30 CCEDMN Cc1nc(CCC(=O)N[C@@H]2CNC[C@@H]2C#N)cs1 ZINC000834488187 642578385 /nfs/dbraw/zinc/57/83/85/642578385.db2.gz AFRPUEPTEFWWND-GXSJLCMTSA-N 0 1 264.354 0.612 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@H]1OCCc2ccccc21 ZINC000834489177 642579590 /nfs/dbraw/zinc/57/95/90/642579590.db2.gz KGGNVLATFREMOM-VHDGCEQUSA-N 0 1 285.347 0.918 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccc2c(c1)OCO2 ZINC000834493146 642582132 /nfs/dbraw/zinc/58/21/32/642582132.db2.gz BBASHGIIYQFLHY-WDEREUQCSA-N 0 1 273.292 0.186 20 30 CCEDMN Cc1ccc([N+](=O)[O-])cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834493218 642582809 /nfs/dbraw/zinc/58/28/09/642582809.db2.gz BUWVZRIAWWKMDS-JOYOIKCWSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(Br)cn1 ZINC000834494201 642583170 /nfs/dbraw/zinc/58/31/70/642583170.db2.gz LQNOXTYNMFNTAU-XCBNKYQSSA-N 0 1 295.140 0.685 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCc1cscn1 ZINC000834494125 642584004 /nfs/dbraw/zinc/58/40/04/642584004.db2.gz KKWWMRWUBFCYRV-WPRPVWTQSA-N 0 1 250.327 0.303 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CNC(=O)CC1CCCCC1 ZINC000834493922 642584016 /nfs/dbraw/zinc/58/40/16/642584016.db2.gz IUMVFAHQRLUDSX-OLZOCXBDSA-N 0 1 292.383 0.301 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCNC(=O)C1CCCCC1 ZINC000834493540 642584098 /nfs/dbraw/zinc/58/40/98/642584098.db2.gz FGHTZJWGRAOMHM-STQMWFEESA-N 0 1 292.383 0.301 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc2c1OCCO2 ZINC000834494912 642585257 /nfs/dbraw/zinc/58/52/57/642585257.db2.gz QWNWCMKJHAQPLM-KOLCDFICSA-N 0 1 273.292 0.299 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCCC1CCOCC1 ZINC000834499166 642587311 /nfs/dbraw/zinc/58/73/11/642587311.db2.gz FIFVTUCZYKUVCO-OLZOCXBDSA-N 0 1 265.357 0.811 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)COC2 ZINC000834495653 642587359 /nfs/dbraw/zinc/58/73/59/642587359.db2.gz XJMDWLOKCOIZME-CHWSQXEVSA-N 0 1 257.293 0.558 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ncccc1Br ZINC000834500948 642590182 /nfs/dbraw/zinc/59/01/82/642590182.db2.gz YJWKADHOBJTHDY-IONNQARKSA-N 0 1 295.140 0.685 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc(NC(=O)C2CC2)c1 ZINC000834505757 642592341 /nfs/dbraw/zinc/59/23/41/642592341.db2.gz NQHYADJJLWADLH-GXTWGEPZSA-N 0 1 298.346 0.876 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000834506518 642592800 /nfs/dbraw/zinc/59/28/00/642592800.db2.gz TWNLUHXOWANXMK-VHSXEESVSA-N 0 1 290.279 0.201 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(Cc2ccccc2)n[nH]1 ZINC000834507103 642592817 /nfs/dbraw/zinc/59/28/17/642592817.db2.gz YDFLCDSLAZPXER-SWLSCSKDSA-N 0 1 295.346 0.842 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccc2c(c1)OCCO2 ZINC000834506746 642593697 /nfs/dbraw/zinc/59/36/97/642593697.db2.gz VMYAZQHFLXQEJB-RYUDHWBXSA-N 0 1 287.319 0.228 20 30 CCEDMN Cn1cnc(-c2ccc(C(=O)N[C@H]3CNC[C@H]3C#N)cc2)n1 ZINC000834506854 642594216 /nfs/dbraw/zinc/59/42/16/642594216.db2.gz WQEZCFMFSKDHHD-OLZOCXBDSA-N 0 1 296.334 0.323 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COc1ccc(Cl)cc1 ZINC000834511756 642596691 /nfs/dbraw/zinc/59/66/91/642596691.db2.gz NSEUIEIJAIEQJB-CABZTGNLSA-N 0 1 279.727 0.947 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(-n2cccn2)nc1 ZINC000834516216 642598264 /nfs/dbraw/zinc/59/82/64/642598264.db2.gz GJVILULVOPJZGP-VXGBXAGGSA-N 0 1 282.307 0.109 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@@H]2C#N)cnn1C(C)(C)C ZINC000834521621 642601627 /nfs/dbraw/zinc/60/16/27/642601627.db2.gz OHBXWNJJAVFNRH-JQWIXIFHSA-N 0 1 275.356 0.788 20 30 CCEDMN Cc1sc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1[N+](=O)[O-] ZINC000834517838 642601941 /nfs/dbraw/zinc/60/19/41/642601941.db2.gz ZXZLYTLVIHQBJG-YUMQZZPRSA-N 0 1 280.309 0.806 20 30 CCEDMN O=C1CCC(=NNCCN2CCCCC2)CCN1 ZINC000834941168 642643497 /nfs/dbraw/zinc/64/34/97/642643497.db2.gz VUHJXJLBQDZTCE-UHFFFAOYSA-N 0 1 252.362 0.718 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)N[C@@H]1CCCN(C)C1 ZINC000837785742 642769255 /nfs/dbraw/zinc/76/92/55/642769255.db2.gz ZSFKVKJIPPZOTJ-NEPJUHHUSA-N 0 1 251.330 0.124 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1cccc(Cl)n1 ZINC000841137325 642804588 /nfs/dbraw/zinc/80/45/88/642804588.db2.gz HONYKVXAEMWBQN-HTQZYQBOSA-N 0 1 265.704 0.968 20 30 CCEDMN Cc1cc(C)nc(NC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000841189739 642807259 /nfs/dbraw/zinc/80/72/59/642807259.db2.gz JTLJPDYJJKKDAS-MNOVXSKESA-N 0 1 259.313 0.932 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccc(OC(F)F)nc1 ZINC000841234412 642808473 /nfs/dbraw/zinc/80/84/73/642808473.db2.gz PWQDEKCWDRDVHU-CBAPKCEASA-N 0 1 297.265 0.916 20 30 CCEDMN C#CC[C@@H]1CCCN(CN2C[C@@H](OC)CC2=O)C1 ZINC000842630029 642918838 /nfs/dbraw/zinc/91/88/38/642918838.db2.gz VULCTIPRRNYOEJ-OLZOCXBDSA-N 0 1 250.342 0.927 20 30 CCEDMN C[C@H](O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(C#N)[nH]1 ZINC001017484144 650804761 /nfs/dbraw/zinc/80/47/61/650804761.db2.gz YTUHBPVXNUKXPT-WCFLWFBJSA-N 0 1 288.351 0.556 20 30 CCEDMN Cc1cc(C)nc(SCC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000843461656 643066154 /nfs/dbraw/zinc/06/61/54/643066154.db2.gz MNWVGKCYHRURAE-WDEREUQCSA-N 0 1 291.380 0.413 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C1(c2ccccc2)CCOCC1 ZINC000843463388 643068079 /nfs/dbraw/zinc/06/80/79/643068079.db2.gz WJUXHEGCAIAKAT-DZGCQCFKSA-N 0 1 299.374 0.963 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnc[nH]c1=O ZINC001017644892 650816063 /nfs/dbraw/zinc/81/60/63/650816063.db2.gz ZAMSRICJFMHRCF-TXEJJXNPSA-N 0 1 286.335 0.494 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1ccnc1 ZINC001017780858 650821555 /nfs/dbraw/zinc/82/15/55/650821555.db2.gz UNEIBKJNNDRTAB-GASCZTMLSA-N 0 1 286.379 0.972 20 30 CCEDMN C=CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]nnc1C ZINC001017787377 650822309 /nfs/dbraw/zinc/82/23/09/650822309.db2.gz SBBZBUOBINMSSE-PHIMTYICSA-N 0 1 261.329 0.588 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(-c2ccccn2)nc1 ZINC000846676959 643441369 /nfs/dbraw/zinc/44/13/69/643441369.db2.gz IPOGYGPPOOURCZ-IUODEOHRSA-N 0 1 293.330 0.985 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1cc(F)c(F)cc1F ZINC000846678455 643441840 /nfs/dbraw/zinc/44/18/40/643441840.db2.gz UQSXQBXMMMZLQA-PELKAZGASA-N 0 1 283.253 0.874 20 30 CCEDMN C[C@H](Oc1ccccc1C#N)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000846677393 643442041 /nfs/dbraw/zinc/44/20/41/643442041.db2.gz MLKWDDKTIWZBOP-WCFLWFBJSA-N 0 1 284.319 0.553 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1CC(c2ccccc2)=NO1 ZINC000846678571 643442917 /nfs/dbraw/zinc/44/29/17/643442917.db2.gz VGDKUWLFUIPUGP-KWCYVHTRSA-N 0 1 284.319 0.407 20 30 CCEDMN C#C[C@H]1CCCN([C@@H](C)C(=O)N(C)CC(=O)NC2CC2)C1 ZINC000847023218 643479463 /nfs/dbraw/zinc/47/94/63/643479463.db2.gz BLWDNWJPKMJSOJ-STQMWFEESA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1nnc[nH]1 ZINC001018159489 650846189 /nfs/dbraw/zinc/84/61/89/650846189.db2.gz QPGCUBYQYQASCI-TXEJJXNPSA-N 0 1 273.340 0.046 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2CCCS2(=O)=O)c1 ZINC000847615721 643537906 /nfs/dbraw/zinc/53/79/06/643537906.db2.gz ITELALHLNKJGTE-NSHDSACASA-N 0 1 280.305 0.780 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2CC[C@@H]2C(N)=O)c1 ZINC000847615547 643538406 /nfs/dbraw/zinc/53/84/06/643538406.db2.gz GRIFKQBGBOZRRQ-DTWKUNHWSA-N 0 1 259.265 0.714 20 30 CCEDMN COc1cnc(F)c(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000848419223 643634671 /nfs/dbraw/zinc/63/46/71/643634671.db2.gz RMVFWSMYEJFUMH-XCBNKYQSSA-N 0 1 264.260 0.071 20 30 CCEDMN C[C@H](C#N)Oc1ccc(CN[C@H]2CCNC2=O)cc1 ZINC000850342202 643797566 /nfs/dbraw/zinc/79/75/66/643797566.db2.gz DHUXBAUWWLHJGJ-MFKMUULPSA-N 0 1 259.309 0.956 20 30 CCEDMN C#C[C@@](C)(CC)NC[C@@](O)(C(N)=O)c1ccccc1 ZINC000852103944 643928232 /nfs/dbraw/zinc/92/82/32/643928232.db2.gz WQNIXGSRNVIVQV-GJZGRUSLSA-N 0 1 260.337 0.751 20 30 CCEDMN COc1ncccc1CN[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001018633588 650887476 /nfs/dbraw/zinc/88/74/76/650887476.db2.gz YGVLQURMWRWZIJ-AAEUAGOBSA-N 0 1 288.351 0.940 20 30 CCEDMN C[C@@H](CO)N(C)N=Cc1ccnn1-c1ccncc1 ZINC000853366567 644102222 /nfs/dbraw/zinc/10/22/22/644102222.db2.gz NKXGSNQLNFUHBS-NSHDSACASA-N 0 1 259.313 0.914 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)c1cc2[nH]cnc2cc1F ZINC000854648377 644240957 /nfs/dbraw/zinc/24/09/57/644240957.db2.gz CDHBSNFVRPILRT-VIFPVBQESA-N 0 1 292.270 0.812 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)n2cncn2)C1 ZINC001018737590 650903011 /nfs/dbraw/zinc/90/30/11/650903011.db2.gz CZQAGIUOWCNHEE-MNOVXSKESA-N 0 1 283.763 0.782 20 30 CCEDMN Cc1nc([C@@H](C)N[C@H]2CCN(C(=O)C#CC3CC3)C2)n[nH]1 ZINC001018830328 650914091 /nfs/dbraw/zinc/91/40/91/650914091.db2.gz ICLCMWNMGJWLAU-MFKMUULPSA-N 0 1 287.367 0.778 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cn2nccn2)C1 ZINC001018914517 650920711 /nfs/dbraw/zinc/92/07/11/650920711.db2.gz OJXLXLPXAUAMQK-JTQLQIEISA-N 0 1 269.736 0.221 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CC[C@H](NCC#N)C1 ZINC001019043808 650929736 /nfs/dbraw/zinc/92/97/36/650929736.db2.gz IMDNFEHWABJIEL-NWDGAFQWSA-N 0 1 250.346 0.185 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001019006246 650929817 /nfs/dbraw/zinc/92/98/17/650929817.db2.gz QPXUHGXQERTBNO-VIFPVBQESA-N 0 1 284.747 0.980 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001019073510 650936254 /nfs/dbraw/zinc/93/62/54/650936254.db2.gz ANSIISKRUMJWFU-MNOVXSKESA-N 0 1 285.775 0.456 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2ccn3cncc3c2)C1 ZINC001019094701 650938028 /nfs/dbraw/zinc/93/80/28/650938028.db2.gz IWBZHUQTWQSLBD-LBPRGKRZSA-N 0 1 269.308 0.662 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001019262371 650953885 /nfs/dbraw/zinc/95/38/85/650953885.db2.gz QXKQFEPXQMGWAT-NNYUYHANSA-N 0 1 270.760 0.822 20 30 CCEDMN N#C[C@H](NC(=O)c1nnc2ccccc2c1O)C1CC1 ZINC000118337345 644889962 /nfs/dbraw/zinc/88/99/62/644889962.db2.gz JIKAGHQRMBSPBU-NSHDSACASA-N 0 1 268.276 0.955 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001019388184 650968589 /nfs/dbraw/zinc/96/85/89/650968589.db2.gz NOMBFDYNIIJYQF-TUAOUCFPSA-N 0 1 299.802 0.654 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CC[C@H](NCC#N)C1 ZINC001019493959 650977997 /nfs/dbraw/zinc/97/79/97/650977997.db2.gz TYRUEPWUVBZTPA-MNOVXSKESA-N 0 1 261.329 0.302 20 30 CCEDMN NC(CN1CCCCCC1)=NOCCC1OCCO1 ZINC000120231318 645281434 /nfs/dbraw/zinc/28/14/34/645281434.db2.gz MLXDRUYUUIOAQT-UHFFFAOYSA-N 0 1 271.361 0.914 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1coc(C#N)c1 ZINC000865203132 645340100 /nfs/dbraw/zinc/34/01/00/645340100.db2.gz RHQQQBWQWMUMLP-NSHDSACASA-N 0 1 276.340 0.517 20 30 CCEDMN C#CCSCCNCc1cn(C[C@H]2CCCO2)nn1 ZINC000865470195 645370722 /nfs/dbraw/zinc/37/07/22/645370722.db2.gz GJRANHCIVWSJLB-CYBMUJFWSA-N 0 1 280.397 0.913 20 30 CCEDMN N#C[C@]1(C(=O)NC[C@H]2CCCC[N@@H+]2CCO)CCCOC1 ZINC000868637549 645797950 /nfs/dbraw/zinc/79/79/50/645797950.db2.gz YPJHAKMBQUQFAX-UKRRQHHQSA-N 0 1 295.383 0.270 20 30 CCEDMN N#C[C@]1(C(=O)NC[C@H]2CCCCN2CCO)CCCOC1 ZINC000868637549 645797954 /nfs/dbraw/zinc/79/79/54/645797954.db2.gz YPJHAKMBQUQFAX-UKRRQHHQSA-N 0 1 295.383 0.270 20 30 CCEDMN N#Cc1cccc(ONC(=O)[C@H]2CCC(=O)NC2)c1 ZINC000870692872 646018014 /nfs/dbraw/zinc/01/80/14/646018014.db2.gz PWAPLOALRVLCFF-JTQLQIEISA-N 0 1 259.265 0.494 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccn(C2CC2)c1=O ZINC000870940310 646054603 /nfs/dbraw/zinc/05/46/03/646054603.db2.gz IFMIKRCZBZSYBG-BXKDBHETSA-N 0 1 272.308 0.025 20 30 CCEDMN C[C@@](O)(C[C@H](O)CNCc1ccc(C#N)cn1)C1CC1 ZINC000872208349 646221310 /nfs/dbraw/zinc/22/13/10/646221310.db2.gz IRRXNWGGTHUVOH-LSDHHAIUSA-N 0 1 275.352 0.955 20 30 CCEDMN N#Cc1cccc(CNC[C@]23COC(=O)N2CCOC3)n1 ZINC000872261360 646231149 /nfs/dbraw/zinc/23/11/49/646231149.db2.gz NKUSKENSJVETDQ-AWEZNQCLSA-N 0 1 288.307 0.264 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NCc1ncc[nH]1 ZINC000876765721 646660953 /nfs/dbraw/zinc/66/09/53/646660953.db2.gz ZALBRRGMZHRYMD-AWEZNQCLSA-N 0 1 281.319 0.785 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(Cn2ccnn2)cc1 ZINC000876801748 646668822 /nfs/dbraw/zinc/66/88/22/646668822.db2.gz IFEMWCXTWKULCV-KGLIPLIRSA-N 0 1 296.334 0.168 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnn(CC2CCCC2)c1 ZINC000876803842 646671339 /nfs/dbraw/zinc/67/13/39/646671339.db2.gz YCTDUFAVFLTMHN-GXTWGEPZSA-N 0 1 287.367 0.915 20 30 CCEDMN C#CCN(C[C@H](O)Cn1cccn1)C1CSC1 ZINC000878914553 646998663 /nfs/dbraw/zinc/99/86/63/646998663.db2.gz WWFDLHKWGFZQSB-LBPRGKRZSA-N 0 1 251.355 0.295 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC2(CC1)COCCO2 ZINC000880541827 647226344 /nfs/dbraw/zinc/22/63/44/647226344.db2.gz RITSKTYVXMZTFO-ZDUSSCGKSA-N 0 1 295.383 0.628 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN(C[C@@H](C)O)C[C@@H]2C)n1 ZINC000882208552 647382967 /nfs/dbraw/zinc/38/29/67/647382967.db2.gz WGVYCNMLFWQWKA-WDEREUQCSA-N 0 1 275.356 0.548 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN(CCO)C(C)(C)C2)n1 ZINC000882231896 647385672 /nfs/dbraw/zinc/38/56/72/647385672.db2.gz KEPFSGGKGYNXEL-UHFFFAOYSA-N 0 1 275.356 0.550 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnc2cc[nH]c2c1 ZINC000884250918 647682505 /nfs/dbraw/zinc/68/25/05/647682505.db2.gz ROWGJQLWCXZACX-BXKDBHETSA-N 0 1 255.281 0.404 20 30 CCEDMN C[C@H]1C[N@H+](C[C@@H](O)COc2ccc(C#N)cc2)CCN1C ZINC000216558281 647832460 /nfs/dbraw/zinc/83/24/60/647832460.db2.gz GMBDNXIDFPMEKI-DZGCQCFKSA-N 0 1 289.379 0.934 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)c2ccc3[nH]nnc3c2)CCO1 ZINC000887667626 648137367 /nfs/dbraw/zinc/13/73/67/648137367.db2.gz VIXYKXPAZYDYMA-CYBMUJFWSA-N 0 1 271.280 0.713 20 30 CCEDMN N#C[C@@H]1CCCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000928212336 648622384 /nfs/dbraw/zinc/62/23/84/648622384.db2.gz YWBRYXUERFCHIG-WCQYABFASA-N 0 1 263.341 0.469 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CCC[C@H](C#N)C1 ZINC000928223145 648623774 /nfs/dbraw/zinc/62/37/74/648623774.db2.gz YBCXWFXUHQIDBY-CHWSQXEVSA-N 0 1 265.357 0.717 20 30 CCEDMN C[C@@H]1CC[C@@H](C(N)=O)[C@@H](C)N1CC(=O)NC1(C#N)CCC1 ZINC000930909051 648924017 /nfs/dbraw/zinc/92/40/17/648924017.db2.gz DJXPDYWHKLFWAM-IJLUTSLNSA-N 0 1 292.383 0.523 20 30 CCEDMN C[C@@H](C#N)OCCN1CCCC[C@@H]1CS(N)(=O)=O ZINC000931231216 648965665 /nfs/dbraw/zinc/96/56/65/648965665.db2.gz QEQIVDHNHNSQGS-WDEREUQCSA-N 0 1 275.374 0.058 20 30 CCEDMN CC[C@H](C#N)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000931330294 648979100 /nfs/dbraw/zinc/97/91/00/648979100.db2.gz FCLAVQAROAWAQJ-SNVBAGLBSA-N 0 1 264.329 0.793 20 30 CCEDMN C[C@@H](O)[C@H](O)CN1CCN(c2ccc(C#N)cc2)CC1 ZINC000931547892 649004297 /nfs/dbraw/zinc/00/42/97/649004297.db2.gz UZHOHQPVIKQNCB-IUODEOHRSA-N 0 1 275.352 0.422 20 30 CCEDMN CC(C)(C#N)CCN[C@](C)(Cn1cccn1)C(N)=O ZINC000934222513 649163112 /nfs/dbraw/zinc/16/31/12/649163112.db2.gz LVUBQQXVPIIPBS-CYBMUJFWSA-N 0 1 263.345 0.657 20 30 CCEDMN C#CCCCC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000192153077 649500046 /nfs/dbraw/zinc/50/00/46/649500046.db2.gz UIUKGHCVTOSTAM-CQSZACIVSA-N 0 1 264.369 0.971 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnnn2C)C1 ZINC001007241009 649626592 /nfs/dbraw/zinc/62/65/92/649626592.db2.gz FJSFUBNYTTXFRF-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN COc1ccc(CN[C@@H]2CCC(=O)N(C)C2=O)cc1C#N ZINC000090027787 649666780 /nfs/dbraw/zinc/66/67/80/649666780.db2.gz ODMDPWICMMVCPW-GFCCVEGCSA-N 0 1 287.319 0.804 20 30 CCEDMN CN1CCO[C@H]2CN(c3[nH]ccc4ncc(C#N)c3-4)C[C@H]21 ZINC001164666493 719423357 /nfs/dbraw/zinc/42/33/57/719423357.db2.gz DEQDYQPCVAGNTA-OLZOCXBDSA-N 0 1 283.335 0.954 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H](C)CCOC)CC1 ZINC001230306783 805594182 /nfs/dbraw/zinc/59/41/82/805594182.db2.gz CGTWXKIFCOOGDJ-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2(COC)CCC2)C1 ZINC001208022315 790364298 /nfs/dbraw/zinc/36/42/98/790364298.db2.gz OKFYABZNZRQQGJ-CHWSQXEVSA-N 0 1 264.369 0.873 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)CNc1ccncc1C#N ZINC001104226622 790454110 /nfs/dbraw/zinc/45/41/10/790454110.db2.gz WYCHQASRLOSPAN-NSHDSACASA-N 0 1 298.350 0.505 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN(CCn2cccn2)C1 ZINC001266317916 790495865 /nfs/dbraw/zinc/49/58/65/790495865.db2.gz WOUXZRSKTLUMAV-AWEZNQCLSA-N 0 1 274.368 0.877 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001266320911 790501632 /nfs/dbraw/zinc/50/16/32/790501632.db2.gz IOGQXUDTBALBIK-WDEREUQCSA-N 0 1 253.346 0.278 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CC[N@H+](CCO)C2)CCC1 ZINC001266342006 790546102 /nfs/dbraw/zinc/54/61/02/790546102.db2.gz NXFUMVSIXDDSRV-GFCCVEGCSA-N 0 1 252.358 0.916 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CCN(CCO)C2)CCC1 ZINC001266342006 790546108 /nfs/dbraw/zinc/54/61/08/790546108.db2.gz NXFUMVSIXDDSRV-GFCCVEGCSA-N 0 1 252.358 0.916 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1COCCN1C ZINC001038177674 790644109 /nfs/dbraw/zinc/64/41/09/790644109.db2.gz NJIAIXVWLOLUHP-STQMWFEESA-N 0 1 267.373 0.084 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](OC)[C@@H]1CCOC1 ZINC001266789454 791240164 /nfs/dbraw/zinc/24/01/64/791240164.db2.gz YUTUTVNOQJVVJT-VXGBXAGGSA-N 0 1 290.791 0.838 20 30 CCEDMN CCNCc1cn([C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)nn1 ZINC001075374803 790736619 /nfs/dbraw/zinc/73/66/19/790736619.db2.gz SWUAAKHEEPRYLO-AAEUAGOBSA-N 0 1 290.371 0.711 20 30 CCEDMN Cc1cc(N)ncc1Nc1nc(C#N)c(C#N)nc1N ZINC001209964957 790748133 /nfs/dbraw/zinc/74/81/33/790748133.db2.gz JGNGPBNJNBHLQG-UHFFFAOYSA-N 0 1 266.268 0.831 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCc1cc(OC)no1 ZINC001266465393 790759672 /nfs/dbraw/zinc/75/96/72/790759672.db2.gz VMDDZUZYCVYOLJ-GFCCVEGCSA-N 0 1 291.351 0.830 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)C[C@H]1CCC(=O)NC1 ZINC001266467862 790762384 /nfs/dbraw/zinc/76/23/84/790762384.db2.gz FQSXCVWMSKRILF-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)Cc1ncc[nH]1 ZINC001266485541 790778284 /nfs/dbraw/zinc/77/82/84/790778284.db2.gz DTPSPIJRNKNQAE-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)c2ccco2)C1 ZINC001266497350 790790526 /nfs/dbraw/zinc/79/05/26/790790526.db2.gz FGTHUDXSYMWUME-GFCCVEGCSA-N 0 1 289.335 0.081 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1CCN([C@@H](C)C(=O)N(C)C)C1 ZINC001266511262 790830640 /nfs/dbraw/zinc/83/06/40/790830640.db2.gz LBXXIFJKKGOBBW-UONOGXRCSA-N 0 1 293.411 0.561 20 30 CCEDMN C=C1O[C@H](CC)C(=O)C1OCCN1CCOCC1 ZINC001210225680 790834576 /nfs/dbraw/zinc/83/45/76/790834576.db2.gz YUFRRRDLPAYCHL-LLVKDONJSA-N 0 1 255.314 0.945 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cnn(C)c1N ZINC001038190257 790869763 /nfs/dbraw/zinc/86/97/63/790869763.db2.gz MESQNUOOILFDFZ-JTQLQIEISA-N 0 1 263.345 0.383 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@H]1C[C@H]1C)C1CC1 ZINC001266581567 790976299 /nfs/dbraw/zinc/97/62/99/790976299.db2.gz GJSZXWNAAOIMDU-OCCSQVGLSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](NCc2cnc(C)o2)C1 ZINC001266582747 790979723 /nfs/dbraw/zinc/97/97/23/790979723.db2.gz BACDZHHAKCXWOV-HAQNSBGRSA-N 0 1 279.340 0.922 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@H]2CN(CC(N)=O)CC[C@@H]21 ZINC001266658779 791090403 /nfs/dbraw/zinc/09/04/03/791090403.db2.gz IKTLGZYBIITKTE-KBPBESRZSA-N 0 1 291.395 0.588 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230814258 805672236 /nfs/dbraw/zinc/67/22/36/805672236.db2.gz AURAKHBNSJAKBX-LBPRGKRZSA-N 0 1 265.382 0.799 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C)CCCNC(=O)COC ZINC001230943614 805695325 /nfs/dbraw/zinc/69/53/25/805695325.db2.gz DFUQAAWFHOTIPL-UHFFFAOYSA-N 0 1 299.415 0.496 20 30 CCEDMN CCOCCN1CC[C@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231294524 805771807 /nfs/dbraw/zinc/77/18/07/805771807.db2.gz YXANWMYMOFXGKF-RYUDHWBXSA-N 0 1 253.346 0.715 20 30 CCEDMN CN(CC#N)Cc1ccc(N2CCN(C)CC2)nc1 ZINC001232365014 805927510 /nfs/dbraw/zinc/92/75/10/805927510.db2.gz DQYHQLVPZSFFOO-UHFFFAOYSA-N 0 1 259.357 0.789 20 30 CCEDMN CN(C(=O)CCc1cnc[nH]1)[C@@H](CNCC#N)C1CC1 ZINC001277655124 805932307 /nfs/dbraw/zinc/93/23/07/805932307.db2.gz JZDVFIKEZQVSMA-ZDUSSCGKSA-N 0 1 275.356 0.692 20 30 CCEDMN C[C@H]1C(=O)N(C)CCN1Cc1cc(C#N)ccc1O ZINC001232677583 805969963 /nfs/dbraw/zinc/96/99/63/805969963.db2.gz FNFUJHMKSUSDMX-JTQLQIEISA-N 0 1 259.309 0.926 20 30 CCEDMN CN(C)CC(=O)N[C@@H]1CCCN(Cc2[nH]ccc2C#N)C1 ZINC001233048575 806014034 /nfs/dbraw/zinc/01/40/34/806014034.db2.gz GSCPMDVNJMLIID-CYBMUJFWSA-N 0 1 289.383 0.529 20 30 CCEDMN CN1CCN(C2CN(Cc3[nH]ccc3C#N)C2)CC1 ZINC001233048929 806015381 /nfs/dbraw/zinc/01/53/81/806015381.db2.gz RSHJLRZWBQIZHG-UHFFFAOYSA-N 0 1 259.357 0.318 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H](C)NCc1cnc(C)cn1 ZINC001277771136 806028136 /nfs/dbraw/zinc/02/81/36/806028136.db2.gz LRYPOIUQJUULQR-ZDUSSCGKSA-N 0 1 292.383 0.924 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OC)[C@H]1CCOC1 ZINC001266903006 791332220 /nfs/dbraw/zinc/33/22/20/791332220.db2.gz YOBJLTPGOQCUCW-AHDPXTMNSA-N 0 1 292.379 0.108 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H]1C[C@H]1C ZINC001233492379 806066246 /nfs/dbraw/zinc/06/62/46/806066246.db2.gz WOSRROFMTFQJCV-NEPJUHHUSA-N 0 1 267.373 0.383 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@@H](CNCc2ccon2)C1 ZINC001267037206 791468892 /nfs/dbraw/zinc/46/88/92/791468892.db2.gz AAZSYXYSWSPPKD-SWLSCSKDSA-N 0 1 293.367 0.940 20 30 CCEDMN N#CCN[C@H]1CCC[C@@H](NC(=O)CCc2c[nH]nn2)C1 ZINC001267089229 791524208 /nfs/dbraw/zinc/52/42/08/791524208.db2.gz QNJHUQMNQKVTJR-WDEREUQCSA-N 0 1 276.344 0.278 20 30 CCEDMN N#CCN[C@H]1CCC[C@@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001267089229 791524216 /nfs/dbraw/zinc/52/42/16/791524216.db2.gz QNJHUQMNQKVTJR-WDEREUQCSA-N 0 1 276.344 0.278 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)COCC ZINC001233512129 806072188 /nfs/dbraw/zinc/07/21/88/806072188.db2.gz WKWSFCGDIXEQPI-LBPRGKRZSA-N 0 1 285.388 0.152 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc[nH]c(=O)c1 ZINC001125604516 791585837 /nfs/dbraw/zinc/58/58/37/791585837.db2.gz DHAOIZRWAPTWPL-UHFFFAOYSA-N 0 1 255.705 0.859 20 30 CCEDMN COC(=O)[C@H](CS)Nc1cncc2nc[nH]c21 ZINC001167620400 791640395 /nfs/dbraw/zinc/64/03/95/791640395.db2.gz ATIGDWLDPHHZRD-QMMMGPOBSA-N 0 1 252.299 0.841 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnn(C)c3N)C[C@H]21 ZINC001042023817 791665800 /nfs/dbraw/zinc/66/58/00/791665800.db2.gz STIRZPSYQUDCFG-DGCLKSJQSA-N 0 1 287.367 0.172 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1c(C)cc(=O)oc1C ZINC001267206047 791672521 /nfs/dbraw/zinc/67/25/21/791672521.db2.gz LAIYYYQIUYZUTP-UHFFFAOYSA-N 0 1 276.336 0.942 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cn(CC)nc1C ZINC001267219474 791692864 /nfs/dbraw/zinc/69/28/64/791692864.db2.gz SPVKBUNCLWKKMS-UHFFFAOYSA-N 0 1 262.357 0.896 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCCc1nc(C)no1 ZINC001267230656 791711058 /nfs/dbraw/zinc/71/10/58/791711058.db2.gz XTMHHMJEPKHYBW-UHFFFAOYSA-N 0 1 278.356 0.772 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1COC(=O)C1 ZINC001233609816 806092962 /nfs/dbraw/zinc/09/29/62/806092962.db2.gz ASTBCCHGGUQXQV-NWDGAFQWSA-N 0 1 266.341 0.658 20 30 CCEDMN C#CCNC(=O)CC(=O)N(C)C[C@H]1CCN1CC=C(C)C ZINC001233810966 806124413 /nfs/dbraw/zinc/12/44/13/806124413.db2.gz STLPFSOIJTUDHU-CQSZACIVSA-N 0 1 291.395 0.625 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CC1OCCCO1 ZINC001233914780 806145750 /nfs/dbraw/zinc/14/57/50/806145750.db2.gz FKWLBFFGDKAWJT-GFCCVEGCSA-N 0 1 268.357 0.858 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CC1OCCCO1 ZINC001233914790 806146353 /nfs/dbraw/zinc/14/63/53/806146353.db2.gz FNIUHKHYTKEBJF-ZDUSSCGKSA-N 0 1 280.368 0.696 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C(C)C ZINC001234089735 806181366 /nfs/dbraw/zinc/18/13/66/806181366.db2.gz ZDCSXPXMJFKPAV-LBPRGKRZSA-N 0 1 267.373 0.218 20 30 CCEDMN CC[C@H](C)C(=O)NCCCN(C)CC(=O)NCC#N ZINC001234115778 806185580 /nfs/dbraw/zinc/18/55/80/806185580.db2.gz LIZZEFCHZBVAOG-NSHDSACASA-N 0 1 268.361 0.110 20 30 CCEDMN C[C@H](O)CN1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234177362 806196112 /nfs/dbraw/zinc/19/61/12/806196112.db2.gz AXTMQWKDCIDAQN-AAEUAGOBSA-N 0 1 250.342 0.313 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@@H](C)OCC ZINC001234302875 806220290 /nfs/dbraw/zinc/22/02/90/806220290.db2.gz ZBEYKNYGJKMUCS-CHWSQXEVSA-N 0 1 299.415 0.540 20 30 CCEDMN C#CCCCC(=O)NCCN(CC)[C@H]1CCN(C)C1=O ZINC001267240210 793226126 /nfs/dbraw/zinc/22/61/26/793226126.db2.gz DRABGBRTXMWZIQ-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H](C)NC(=O)COC ZINC001234479744 806258362 /nfs/dbraw/zinc/25/83/62/806258362.db2.gz YXRJAFWBVKMFBX-STQMWFEESA-N 0 1 297.399 0.246 20 30 CCEDMN Cn1ncnc1C(=O)N1C[C@H]2C[C@@H](C1)N(CCCC#N)C2 ZINC001277943183 806419058 /nfs/dbraw/zinc/41/90/58/806419058.db2.gz OOTRYUDPZFVQFX-RYUDHWBXSA-N 0 1 288.355 0.265 20 30 CCEDMN CN(C)S(=O)(=O)N1C[C@@H]2CCN(CCCC#N)[C@@H]2C1 ZINC001277945137 806421365 /nfs/dbraw/zinc/42/13/65/806421365.db2.gz NWSCBOKNVIOOHZ-NWDGAFQWSA-N 0 1 286.401 0.103 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)NCC ZINC001235436684 806422598 /nfs/dbraw/zinc/42/25/98/806422598.db2.gz PYBXDAOZPZVIKY-UHFFFAOYSA-N 0 1 255.362 0.527 20 30 CCEDMN CN(C)C(=O)CN1C[C@@H]2C[N@H+](CCCCC#N)C[C@H](C1)O2 ZINC001277948988 806435568 /nfs/dbraw/zinc/43/55/68/806435568.db2.gz QCBATUTWCJSVGE-OKILXGFUSA-N 0 1 294.399 0.153 20 30 CCEDMN CN(C)C(=O)CN1C[C@H]2CN(CCCCC#N)C[C@@H](C1)O2 ZINC001277948988 806435572 /nfs/dbraw/zinc/43/55/72/806435572.db2.gz QCBATUTWCJSVGE-OKILXGFUSA-N 0 1 294.399 0.153 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C(C)(C)C(N)=O ZINC001235615762 806475172 /nfs/dbraw/zinc/47/51/72/806475172.db2.gz GVTAFOXLPXKPBN-LLVKDONJSA-N 0 1 265.357 0.054 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)[C@H]1CCN(CC)C1=O ZINC001235683243 806490543 /nfs/dbraw/zinc/49/05/43/806490543.db2.gz HGBDITGLKYKSPK-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CSC(C)C ZINC001278047807 806636632 /nfs/dbraw/zinc/63/66/32/806636632.db2.gz ICDZFRBSGXWIHY-NSHDSACASA-N 0 1 258.387 0.218 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1CCc2cc(F)ccc21 ZINC001278112545 806681271 /nfs/dbraw/zinc/68/12/71/806681271.db2.gz MJQHHVWDGCJJPX-UKRRQHHQSA-N 0 1 290.338 0.555 20 30 CCEDMN C#CCNC(=O)CCN[C@@H]1Cc2ccccc2N(C)C1=O ZINC001120596405 798882561 /nfs/dbraw/zinc/88/25/61/798882561.db2.gz UXGKYGWNLHDTJZ-CYBMUJFWSA-N 0 1 285.347 0.303 20 30 CCEDMN CN(CC(=O)NCC1(C#N)CCOCC1)C1CCC1 ZINC001120747950 798927745 /nfs/dbraw/zinc/92/77/45/798927745.db2.gz KGYNAOBQQRTDPI-UHFFFAOYSA-N 0 1 265.357 0.907 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001278120766 806701541 /nfs/dbraw/zinc/70/15/41/806701541.db2.gz XWGCOQZICSWNNW-FQUUOJAGSA-N 0 1 264.369 0.513 20 30 CCEDMN CC#CC[NH2+]C1CC2(CC(NC(=O)c3[n-]nnc3C)C2)C1 ZINC001121508867 799053199 /nfs/dbraw/zinc/05/31/99/799053199.db2.gz ZKWJBJYYKXRIOL-UHFFFAOYSA-N 0 1 287.367 0.767 20 30 CCEDMN Cn1nc(C2CC2)cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC001122011979 799121710 /nfs/dbraw/zinc/12/17/10/799121710.db2.gz YEBQIVUOYFCIFE-KOLCDFICSA-N 0 1 259.313 0.139 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1CC(=O)N(Cc2ccccc2)C1 ZINC001122056346 799131962 /nfs/dbraw/zinc/13/19/62/799131962.db2.gz CXADGMHDFSVBGY-HNNXBMFYSA-N 0 1 299.374 0.374 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCC[S@@](C)=O)CC1 ZINC001122111709 799146929 /nfs/dbraw/zinc/14/69/29/799146929.db2.gz FRKIYDMWVRBZSJ-LJQANCHMSA-N 0 1 285.413 0.152 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ncsc1C1CC1 ZINC001278155016 806727438 /nfs/dbraw/zinc/72/74/38/806727438.db2.gz KVXQLAYHNVQKKZ-LLVKDONJSA-N 0 1 293.392 0.724 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CSC(F)F ZINC001122533469 799257687 /nfs/dbraw/zinc/25/76/87/799257687.db2.gz MPBYXMKAVGPJBW-SSDOTTSWSA-N 0 1 280.296 0.804 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001122541310 799258898 /nfs/dbraw/zinc/25/88/98/799258898.db2.gz OUDURWDOGYUBOT-HDLKOFKZSA-N 0 1 276.336 0.750 20 30 CCEDMN N#C[C@H](C(=O)CCn1ccccc1=O)c1ccncn1 ZINC001122722013 799289526 /nfs/dbraw/zinc/28/95/26/799289526.db2.gz SGQKCRPFELJBCH-NSHDSACASA-N 0 1 268.276 0.905 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](CC)Cc1ccccc1 ZINC001278165073 806736210 /nfs/dbraw/zinc/73/62/10/806736210.db2.gz SUVWBTFTWXKSKX-CVEARBPZSA-N 0 1 288.391 0.955 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H]2CC(=O)N(C3CC3)C2)CC1 ZINC001123250032 799378284 /nfs/dbraw/zinc/37/82/84/799378284.db2.gz FPLIGTWDJDSMQI-GFCCVEGCSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2CC(=O)N(C3CC3)C2)CC1 ZINC001123250033 799378928 /nfs/dbraw/zinc/37/89/28/799378928.db2.gz FPLIGTWDJDSMQI-LBPRGKRZSA-N 0 1 290.363 0.638 20 30 CCEDMN C=C(Br)CNCCNC(=O)Cn1cccn1 ZINC001123515251 799426325 /nfs/dbraw/zinc/42/63/25/799426325.db2.gz KANMPBZJHUSBHK-UHFFFAOYSA-N 0 1 287.161 0.498 20 30 CCEDMN C#C[C@H](CC)NC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001123560016 799433364 /nfs/dbraw/zinc/43/33/64/799433364.db2.gz XUVCNISCCYHOMK-JHJVBQTASA-N 0 1 266.389 0.332 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1oc(CC)nc1C ZINC001278179664 806742757 /nfs/dbraw/zinc/74/27/57/806742757.db2.gz SVQSIXNZOBNFRM-NSHDSACASA-N 0 1 279.340 0.249 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)c1ccc(C)cc1 ZINC001278187861 806749192 /nfs/dbraw/zinc/74/91/92/806749192.db2.gz ZJMQLSOCIIYXCR-HNNXBMFYSA-N 0 1 290.363 0.325 20 30 CCEDMN C=CCN(C)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001123795166 799476447 /nfs/dbraw/zinc/47/64/47/799476447.db2.gz PXDRNKOCEDWDEU-NEPJUHHUSA-N 0 1 254.378 0.448 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@@H](Cc3nn[nH]n3)C2)nc1 ZINC001123915398 799503552 /nfs/dbraw/zinc/50/35/52/799503552.db2.gz VITFRXVQHCHVLS-LBPRGKRZSA-N 0 1 296.334 0.671 20 30 CCEDMN C#CCC1(NC(=O)[C@H]2CN3CCN2C[C@@H]3C)CCC1 ZINC001124052401 799545391 /nfs/dbraw/zinc/54/53/91/799545391.db2.gz WGDHRZRZKYBRMW-QWHCGFSZSA-N 0 1 261.369 0.437 20 30 CCEDMN N#Cc1ccc(CNCCNC(=O)c2cn[nH]c2)c(F)c1 ZINC001124056033 799547476 /nfs/dbraw/zinc/54/74/76/799547476.db2.gz WZPOCNFPTDYPPE-UHFFFAOYSA-N 0 1 287.298 0.940 20 30 CCEDMN C=CCCC(=O)NCCNCC(=O)Nc1cc(C)on1 ZINC001124636145 799620358 /nfs/dbraw/zinc/62/03/58/799620358.db2.gz JOZJWJCYHKMNQB-UHFFFAOYSA-N 0 1 280.328 0.594 20 30 CCEDMN C#Cc1cccc(NC(=O)CNCCNC(=O)[C@H](C)C#N)c1 ZINC001124897474 799644989 /nfs/dbraw/zinc/64/49/89/799644989.db2.gz UOVMJTWFPLEYHL-GFCCVEGCSA-N 0 1 298.346 0.472 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](OCC)c1ccccc1 ZINC001278201247 806769744 /nfs/dbraw/zinc/76/97/44/806769744.db2.gz CJUJWXZVJQTHDG-HUUCEWRRSA-N 0 1 290.363 0.464 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(C[C@H]2CCC(=O)N2)C1 ZINC001202911047 799799856 /nfs/dbraw/zinc/79/98/56/799799856.db2.gz ALDGBYMQILPZFQ-VXGBXAGGSA-N 0 1 281.356 0.642 20 30 CCEDMN CC(C)(C)OC(=O)N1CCN(Cc2c[nH]nn2)[C@@H](C#N)C1 ZINC001203198206 799828726 /nfs/dbraw/zinc/82/87/26/799828726.db2.gz IPGASOJXDKKJRQ-NSHDSACASA-N 0 1 292.343 0.750 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2cnns2)[C@H]1C ZINC001278233724 806784965 /nfs/dbraw/zinc/78/49/65/806784965.db2.gz LMOSSFYBURQCCZ-PWSUYJOCSA-N 0 1 296.396 0.820 20 30 CCEDMN CC(C)(C#N)C(=O)N[C@@H]1CCCN(CCCO)C1 ZINC001141030078 799973931 /nfs/dbraw/zinc/97/39/31/799973931.db2.gz MRYYYANHIJGDES-LLVKDONJSA-N 0 1 253.346 0.499 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)CCc1nc[nH]n1 ZINC001141168511 799987488 /nfs/dbraw/zinc/98/74/88/799987488.db2.gz VMJVXASWHSXZNK-ZJUUUORDSA-N 0 1 250.302 0.587 20 30 CCEDMN CN(Cc1cccc(C#N)c1)C(=O)Cc1ncn[nH]1 ZINC001141525498 800015620 /nfs/dbraw/zinc/01/56/20/800015620.db2.gz KKILKXGIKAWBJB-UHFFFAOYSA-N 0 1 255.281 0.877 20 30 CCEDMN CCOC(=O)[C@@H]1c2[nH]cnc2CCN1C(=O)C1(C#N)CC1 ZINC001143176573 800204013 /nfs/dbraw/zinc/20/40/13/800204013.db2.gz IEYSJEYETMCNFF-NSHDSACASA-N 0 1 288.307 0.702 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H](C)n2cccn2)C1 ZINC001149225814 800237806 /nfs/dbraw/zinc/23/78/06/800237806.db2.gz AIQHJEGPWSOYSB-ZIAGYGMSSA-N 0 1 292.383 0.837 20 30 CCEDMN C=CCN1CC[C@H](N(CC)[C@H](C)CNC(=O)COC)C1=O ZINC001151506621 800433900 /nfs/dbraw/zinc/43/39/00/800433900.db2.gz AMRSDUHTXMGURM-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)OCCOCC ZINC001152201486 800499950 /nfs/dbraw/zinc/49/99/50/800499950.db2.gz DMVFQVACOWKQKN-LLVKDONJSA-N 0 1 278.780 0.886 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cccc2c1NC(=O)C2=O ZINC001152449144 800522951 /nfs/dbraw/zinc/52/29/51/800522951.db2.gz XOSBNFUZXXMHAD-UHFFFAOYSA-N 0 1 281.231 0.668 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](CO)Cc2cnc[nH]2)cc1 ZINC001152489867 800529538 /nfs/dbraw/zinc/52/95/38/800529538.db2.gz GPNCSHWZQVUUAF-AWEZNQCLSA-N 0 1 269.304 0.724 20 30 CCEDMN N#C[C@@H](NC(=O)c1cnc2[nH]ccc(Cl)c1-2)C(N)=O ZINC001153110241 800597522 /nfs/dbraw/zinc/59/75/22/800597522.db2.gz PPKVRIMWBGPJFS-SSDOTTSWSA-N 0 1 277.671 0.324 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)c1cc(OC)ncn1 ZINC001153393366 800628131 /nfs/dbraw/zinc/62/81/31/800628131.db2.gz YSKVNPDJDUDBMA-LLVKDONJSA-N 0 1 276.340 0.559 20 30 CCEDMN C=C[C@](C)(O)C(=O)N(Cc1nn[nH]n1)CC(C)C ZINC001153810718 800669771 /nfs/dbraw/zinc/66/97/71/800669771.db2.gz CTTTZULGEGYGLO-NSHDSACASA-N 0 1 253.306 0.121 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2ccc(C#N)cn2)CC1 ZINC001155153016 800920292 /nfs/dbraw/zinc/92/02/92/800920292.db2.gz BTHSJQOBILAZJC-CYBMUJFWSA-N 0 1 274.324 0.670 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2ccc(C)c(C#N)n2)CC1 ZINC001155154370 800920749 /nfs/dbraw/zinc/92/07/49/800920749.db2.gz WBVIWGJWFBDREN-AWEZNQCLSA-N 0 1 288.351 0.978 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1nnc(CC)n1C ZINC001155725329 801044044 /nfs/dbraw/zinc/04/40/44/801044044.db2.gz NXWHBLJIBVVJRL-UHFFFAOYSA-N 0 1 295.387 0.176 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1cccc2cncn21 ZINC001155790414 801051578 /nfs/dbraw/zinc/05/15/78/801051578.db2.gz XNECVGHUSUAMQF-NSHDSACASA-N 0 1 255.281 0.272 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1cccc2cncn21 ZINC001155800131 801053914 /nfs/dbraw/zinc/05/39/14/801053914.db2.gz RQZIZTUZEHLZON-JTQLQIEISA-N 0 1 272.264 0.519 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1nnnn1C(C)(C)C ZINC001155828481 801059926 /nfs/dbraw/zinc/05/99/26/801059926.db2.gz OKBZTZREWIEALS-JTQLQIEISA-N 0 1 293.375 0.184 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CCN2CCCC2=O)C[C@H]1C ZINC001206659443 801095511 /nfs/dbraw/zinc/09/55/11/801095511.db2.gz KVWVFFVPUXMGKD-YNEHKIRRSA-N 0 1 292.383 0.205 20 30 CCEDMN N#Cc1ccc2[nH]c(C(=O)NCc3nn[nH]n3)cc2c1 ZINC001156461415 801170440 /nfs/dbraw/zinc/17/04/40/801170440.db2.gz MMHMYGQHJQYBHN-UHFFFAOYSA-N 0 1 267.252 0.483 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1nonc1C ZINC001157189260 801320941 /nfs/dbraw/zinc/32/09/41/801320941.db2.gz ISRWLLBNNGXWNO-CYBMUJFWSA-N 0 1 282.344 0.301 20 30 CCEDMN CC(=O)OC[C@H](N)C(=O)N(CCC#N)Cc1cccnc1 ZINC001157720095 801462741 /nfs/dbraw/zinc/46/27/41/801462741.db2.gz AGFYHJLLQDCCPD-ZDUSSCGKSA-N 0 1 290.323 0.214 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C1CN(C(C)=O)C1 ZINC001157864425 801506487 /nfs/dbraw/zinc/50/64/87/801506487.db2.gz AYYDUQJSNDYLOU-OAHLLOKOSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1ncc(C)cn1 ZINC001157869695 801508386 /nfs/dbraw/zinc/50/83/86/801508386.db2.gz POPWFRAQAZRMDF-CYBMUJFWSA-N 0 1 290.367 0.419 20 30 CCEDMN CN1CCN(C(=N)Nc2ncc(F)cc2C#N)CC1 ZINC001157915496 801520098 /nfs/dbraw/zinc/52/00/98/801520098.db2.gz ZNPUQXYEZAJUBW-UHFFFAOYSA-N 0 1 262.292 0.686 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)Cn1nccn1 ZINC001158024811 801555126 /nfs/dbraw/zinc/55/51/26/801555126.db2.gz WUGXYQKOPMJJRN-CQSZACIVSA-N 0 1 289.383 0.614 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCC(=O)N1 ZINC001158773561 801704516 /nfs/dbraw/zinc/70/45/16/801704516.db2.gz RNHYWSQOOSGTJA-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C[C@H](C#N)C(=O)NCCC1=CCN(CC(=O)N(C)C)CC1 ZINC001159587142 801836241 /nfs/dbraw/zinc/83/62/41/801836241.db2.gz IZUIEQVMUJFZQQ-GFCCVEGCSA-N 0 1 292.383 0.373 20 30 CCEDMN C#CCC1(C(=O)NCCCNCc2cn(C)nn2)CCC1 ZINC001159638292 801840452 /nfs/dbraw/zinc/84/04/52/801840452.db2.gz NIRHIXYRJUCDEU-UHFFFAOYSA-N 0 1 289.383 0.605 20 30 CCEDMN C#CCC1(C(=O)NCCCNCc2cnn(C)n2)CCC1 ZINC001159638622 801840487 /nfs/dbraw/zinc/84/04/87/801840487.db2.gz XMGPVKNLCUDRSZ-UHFFFAOYSA-N 0 1 289.383 0.605 20 30 CCEDMN C#CCOCCC(=O)NCCC1=CCN(CC#C)CC1 ZINC001160107108 801904220 /nfs/dbraw/zinc/90/42/20/801904220.db2.gz IZHLTEGVJWRYSM-UHFFFAOYSA-N 0 1 274.364 0.798 20 30 CCEDMN COC(CN(C)C(=O)[C@@H](N)Cc1ccccc1C#N)OC ZINC001160684703 801999389 /nfs/dbraw/zinc/99/93/89/801999389.db2.gz ZHFJQZUTOFJFOQ-ZDUSSCGKSA-N 0 1 291.351 0.505 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1Cc2occ(C(=O)OCC)c2C1 ZINC001160762021 802016418 /nfs/dbraw/zinc/01/64/18/802016418.db2.gz NWSLXEJKPPLSKU-AWEZNQCLSA-N 0 1 276.292 0.649 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@@H](N)Cc2c[nH]c3ncccc23)CCO1 ZINC001160877777 802039786 /nfs/dbraw/zinc/03/97/86/802039786.db2.gz CCYNEJXXLLPEEH-YPMHNXCESA-N 0 1 299.334 0.184 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@]2(F)CCOC2)CC1 ZINC001161014186 802060785 /nfs/dbraw/zinc/06/07/85/802060785.db2.gz NRFMGXZJRVVIKO-OAHLLOKOSA-N 0 1 280.343 0.887 20 30 CCEDMN CC(=O)[C@@H]1CCN(C(=O)[C@@H](N)Cc2ccccc2C#N)C1 ZINC001161035776 802071478 /nfs/dbraw/zinc/07/14/78/802071478.db2.gz ZXCINZFWSDQABT-CABCVRRESA-N 0 1 285.347 0.866 20 30 CCEDMN C[C@H](C#N)N(C)C(=O)[C@@H](N)CC(=O)OCc1ccccc1 ZINC001161140411 802100168 /nfs/dbraw/zinc/10/01/68/802100168.db2.gz FNJCIFRJUHUNHB-YPMHNXCESA-N 0 1 289.335 0.818 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(C(=O)c2ccccc2)C[C@H]1C ZINC001161439249 802154039 /nfs/dbraw/zinc/15/40/39/802154039.db2.gz RXSMSGDRKIKORP-DYVFJYSZSA-N 0 1 299.374 0.710 20 30 CCEDMN Cc1c(C(=O)NCCCNCC#N)ccc2cncn21 ZINC001161558251 802183221 /nfs/dbraw/zinc/18/32/21/802183221.db2.gz BDLREKXDHIGLQD-UHFFFAOYSA-N 0 1 271.324 0.876 20 30 CCEDMN N#CCN1CC=C(CCNC(=O)Cc2nnc[nH]2)CC1 ZINC001161679308 802198441 /nfs/dbraw/zinc/19/84/41/802198441.db2.gz YRJYUSRANHVABI-UHFFFAOYSA-N 0 1 274.328 0.009 20 30 CCEDMN N#CCN1CC=C(CCNC(=O)CN2CCCC2)CC1 ZINC001161874508 802237553 /nfs/dbraw/zinc/23/75/53/802237553.db2.gz AOSDYPRFBVPRRU-UHFFFAOYSA-N 0 1 276.384 0.744 20 30 CCEDMN N#Cc1ccnc(NCc2noc3c2CNCC3)n1 ZINC001163124332 802487873 /nfs/dbraw/zinc/48/78/73/802487873.db2.gz KHANZQYLEOAOHY-UHFFFAOYSA-N 0 1 256.269 0.594 20 30 CCEDMN N#Cc1ncc(NCCCc2nc[nH]n2)nc1C#N ZINC001163192596 802504314 /nfs/dbraw/zinc/50/43/14/802504314.db2.gz YRQNJPDNGAVSSD-UHFFFAOYSA-N 0 1 254.257 0.383 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H](COC)OC)CC1 ZINC001261584999 809025001 /nfs/dbraw/zinc/02/50/01/809025001.db2.gz OMSYECUBKKQMBA-CYBMUJFWSA-N 0 1 269.341 0.536 20 30 CCEDMN CCOC(=O)c1cn(-c2cnc(C#N)cn2)nc1CN ZINC001163520892 802566661 /nfs/dbraw/zinc/56/66/61/802566661.db2.gz VBFBGHDZEUYKDL-UHFFFAOYSA-N 0 1 272.268 0.169 20 30 CCEDMN CC(=O)C=C(C)Nc1ncnc2[nH]cc(C(N)=O)c21 ZINC001163737839 802601678 /nfs/dbraw/zinc/60/16/78/802601678.db2.gz LATTZVYYBQYPEC-UTCJRWHESA-N 0 1 259.269 0.962 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COCCOC)C1 ZINC001206682362 802610341 /nfs/dbraw/zinc/61/03/41/802610341.db2.gz SDQCNUNPDLNHSK-CHWSQXEVSA-N 0 1 268.357 0.109 20 30 CCEDMN Cc1nnc(CNCC2(CCNC(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001163859499 802626062 /nfs/dbraw/zinc/62/60/62/802626062.db2.gz CHGFKOLKENYHTC-JTQLQIEISA-N 0 1 290.371 0.649 20 30 CCEDMN CN(C)C1CN(c2nc(C#N)c(C#N)nc2Cl)C1 ZINC001163933751 802635821 /nfs/dbraw/zinc/63/58/21/802635821.db2.gz ORSJLROQZJNCNY-UHFFFAOYSA-N 0 1 262.704 0.624 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCNCc1nncn1C ZINC001163948740 802640376 /nfs/dbraw/zinc/64/03/76/802640376.db2.gz RSRMESUPPCQTFG-UHFFFAOYSA-N 0 1 265.361 0.623 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CCC)NC(N)=O)[C@H]1C ZINC001264729417 809674345 /nfs/dbraw/zinc/67/43/45/809674345.db2.gz QHLCHIGEINSRJR-GRYCIOLGSA-N 0 1 280.372 0.036 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](COC)OC)[C@H]1C ZINC001264718811 809675593 /nfs/dbraw/zinc/67/55/93/809675593.db2.gz BBGYQWPFJIPPFD-AGIUHOORSA-N 0 1 268.357 0.250 20 30 CCEDMN Cc1nccnc1CN(C)CCCNC(=O)[C@@H](C)C#N ZINC001264970958 809683453 /nfs/dbraw/zinc/68/34/53/809683453.db2.gz DJAQDODCTAULHG-NSHDSACASA-N 0 1 275.356 0.883 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001164346651 802726571 /nfs/dbraw/zinc/72/65/71/802726571.db2.gz VCDIKIOLDQXPLC-NSHDSACASA-N 0 1 295.387 0.307 20 30 CCEDMN N#CNC(=Nc1ncc2c(n1)CNCC2)c1ccncc1 ZINC001164502627 802751308 /nfs/dbraw/zinc/75/13/08/802751308.db2.gz CIJIUUKLRYTKGB-UHFFFAOYSA-N 0 1 279.307 0.666 20 30 CCEDMN C=CCCCC(=O)NCCCNCc1nncn1C ZINC001165535436 802851699 /nfs/dbraw/zinc/85/16/99/802851699.db2.gz MHELQTMHKYHPPE-UHFFFAOYSA-N 0 1 265.361 0.767 20 30 CCEDMN COCc1nn(-c2cnc(C#N)cn2)c2c1CNC2 ZINC001165632604 802857508 /nfs/dbraw/zinc/85/75/08/802857508.db2.gz VGWWVYNUMVAWSD-UHFFFAOYSA-N 0 1 256.269 0.284 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001165930541 802876297 /nfs/dbraw/zinc/87/62/97/802876297.db2.gz ZHTPFPNSTGGPRG-SMDDNHRTSA-N 0 1 294.403 0.969 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CCCOc2ccccc2)c1=O ZINC001166383046 802903899 /nfs/dbraw/zinc/90/38/99/802903899.db2.gz IPVALOQXDVRGEX-UHFFFAOYSA-N 0 1 271.276 0.877 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)CSCC#N)C1 ZINC001206089980 803204813 /nfs/dbraw/zinc/20/48/13/803204813.db2.gz IIZMKCNYCKWYPS-GHMZBOCLSA-N 0 1 253.371 0.866 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1C[N@@H+](CCCO)C[C@H]1C ZINC001206429226 803241710 /nfs/dbraw/zinc/24/17/10/803241710.db2.gz TZHGLBPHGFYYDX-MGPQQGTHSA-N 0 1 284.400 0.787 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H](C)OC)C1 ZINC001206485376 803251916 /nfs/dbraw/zinc/25/19/16/803251916.db2.gz JDBQDWPXAUETMC-FRRDWIJNSA-N 0 1 252.358 0.871 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCn2ccnn2)C1 ZINC001206908991 803305398 /nfs/dbraw/zinc/30/53/98/803305398.db2.gz REECGGNTLWKPFZ-CHWSQXEVSA-N 0 1 275.356 0.128 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N[C@@H]1CN(CC#N)C[C@H]1C ZINC001207262257 803374869 /nfs/dbraw/zinc/37/48/69/803374869.db2.gz GLUYRUQVZWKFJB-FRRDWIJNSA-N 0 1 284.379 0.626 20 30 CCEDMN C=CCN(CCN1CC[C@H](O)[C@H](CO)C1)C(=O)OCC ZINC001209015927 803563592 /nfs/dbraw/zinc/56/35/92/803563592.db2.gz BVSXYVKAKSTPGO-STQMWFEESA-N 0 1 286.372 0.306 20 30 CCEDMN C=CCN(CC[N@H+]1CC[C@H](NC(C)=O)C1)C(=O)OCC ZINC001209021115 803564927 /nfs/dbraw/zinc/56/49/27/803564927.db2.gz RPXUESRNNSBOBD-ZDUSSCGKSA-N 0 1 283.372 0.841 20 30 CCEDMN C=CCN(CCN1CC[C@H](NC(C)=O)C1)C(=O)OCC ZINC001209021115 803564929 /nfs/dbraw/zinc/56/49/29/803564929.db2.gz RPXUESRNNSBOBD-ZDUSSCGKSA-N 0 1 283.372 0.841 20 30 CCEDMN NC(=O)C(=O)N1CCC[C@H](NCC#Cc2ccccc2)C1 ZINC001209385463 803600094 /nfs/dbraw/zinc/60/00/94/803600094.db2.gz DBJJGZTXCJLODQ-AWEZNQCLSA-N 0 1 285.347 0.104 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@](C)(NC(C)=O)C2CC2)C1 ZINC001210245035 803643315 /nfs/dbraw/zinc/64/33/15/803643315.db2.gz XKFOLRSIIKKTPH-XFJVYGCCSA-N 0 1 291.395 0.361 20 30 CCEDMN C=CCN(CC=C)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001210450765 803650682 /nfs/dbraw/zinc/65/06/82/803650682.db2.gz QZOZMBVYUTVBQD-UHFFFAOYSA-N 0 1 290.327 0.903 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C2(C(=O)NC)CCC2)C1 ZINC001210529105 803653065 /nfs/dbraw/zinc/65/30/65/803653065.db2.gz QGVUZKSVPPETIX-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COC[C@H]2CCCO2)C1 ZINC001211476176 803684049 /nfs/dbraw/zinc/68/40/49/803684049.db2.gz YNHOJFYCOKIBNU-MGPQQGTHSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](F)C(C)C)[C@H](OC)C1 ZINC001213300613 803690705 /nfs/dbraw/zinc/69/07/05/803690705.db2.gz WGORASJMLLNXBW-UPJWGTAASA-N 0 1 270.348 0.819 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCCC(N)=O)C[C@H]1C ZINC001217021250 803864216 /nfs/dbraw/zinc/86/42/16/803864216.db2.gz FRFRJKSXZSJKLC-MWLCHTKSSA-N 0 1 287.791 0.831 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCOCC)[C@H](OC)C1 ZINC001212019897 814977623 /nfs/dbraw/zinc/97/76/23/814977623.db2.gz GZKPGDUCSMNEJI-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C#Cc1cc(NC(=O)[C@H](N)[C@@H](C)OC(C)(C)C)ccn1 ZINC001218520564 803981891 /nfs/dbraw/zinc/98/18/91/803981891.db2.gz QACPVKLZJVANBB-ZWNOBZJWSA-N 0 1 275.352 0.954 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)Nc1[nH]ccc1C#N ZINC001218655131 804066802 /nfs/dbraw/zinc/06/68/02/804066802.db2.gz SZIBPKGFYCNWIB-JTQLQIEISA-N 0 1 277.328 0.314 20 30 CCEDMN C=CCCCN1C[C@H]2OCCN(C(=O)c3cnon3)[C@H]2C1 ZINC001218810193 804129450 /nfs/dbraw/zinc/12/94/50/804129450.db2.gz VJJBFCOIZZWPDN-QWHCGFSZSA-N 0 1 292.339 0.561 20 30 CCEDMN C#C[C@](C)(N)C(=O)Nc1ccc2cn[nH]c2c1C(=O)OC ZINC001218989719 804176747 /nfs/dbraw/zinc/17/67/47/804176747.db2.gz XGMKCBOAROIOKG-AWEZNQCLSA-N 0 1 286.291 0.639 20 30 CCEDMN C[C@H]1COCC[C@@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000311445718 804259792 /nfs/dbraw/zinc/25/97/92/804259792.db2.gz WMMYAXTWLXTZCL-RYUDHWBXSA-N 0 1 265.357 0.763 20 30 CCEDMN Cc1ccccc1CN1C[C@@H](NC(=O)[C@H](C)C#N)[C@@H](O)C1 ZINC001219379109 804292049 /nfs/dbraw/zinc/29/20/49/804292049.db2.gz NUHKOAGXPNEQRY-YUELXQCFSA-N 0 1 287.363 0.816 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@H](CC)SC)[C@@H](O)C1 ZINC001219473982 804325593 /nfs/dbraw/zinc/32/55/93/804325593.db2.gz IPHNNQRPVICHIL-WOPDTQHZSA-N 0 1 272.414 0.865 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOC(C)C)C[C@@H]1O ZINC001219541284 804340041 /nfs/dbraw/zinc/34/00/41/804340041.db2.gz GUCWUWRTNGFRJC-KGLIPLIRSA-N 0 1 282.384 0.376 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H]2CC2(F)F)[C@@H](O)C1 ZINC001219566077 804352991 /nfs/dbraw/zinc/35/29/91/804352991.db2.gz PJPAUWURFSBTPE-MXWKQRLJSA-N 0 1 290.310 0.006 20 30 CCEDMN O=C(C#Cc1ccccc1)NC[C@]1(O)CCNC[C@@H]1F ZINC001219616327 804376131 /nfs/dbraw/zinc/37/61/31/804376131.db2.gz XXNLNIBJIJDUJQ-DZGCQCFKSA-N 0 1 276.311 0.217 20 30 CCEDMN N[C@H]1CCCCN(CC(=O)NC2=CC(=O)CCC2)C1=O ZINC001219885150 804439414 /nfs/dbraw/zinc/43/94/14/804439414.db2.gz IUCVTLIUOPFRSX-LBPRGKRZSA-N 0 1 279.340 0.079 20 30 CCEDMN CC(=O)/C=C(/C)NC(=O)[C@@H](N)Cc1cccc(O)c1 ZINC001219910264 804442722 /nfs/dbraw/zinc/44/27/22/804442722.db2.gz VTWGRYCZPZGTDU-XURPKSDJSA-N 0 1 262.309 0.871 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@@H](C)Oc1ccc(OC)cc1 ZINC001219945897 804455137 /nfs/dbraw/zinc/45/51/37/804455137.db2.gz WZIIGDQHGWFBEY-ABAIWWIYSA-N 0 1 276.336 0.929 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCc1ccncn1 ZINC001220005279 804468262 /nfs/dbraw/zinc/46/82/62/804468262.db2.gz VYPKSJOPBFTOFR-AWEZNQCLSA-N 0 1 281.319 0.534 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)[C@H](N)c1ccccc1 ZINC001220043541 804482182 /nfs/dbraw/zinc/48/21/82/804482182.db2.gz VURVKUOVKGQPRV-CYBMUJFWSA-N 0 1 275.352 0.885 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](F)C(C)C)[C@@H](O)C1 ZINC001220178412 804527779 /nfs/dbraw/zinc/52/77/79/804527779.db2.gz JYDGLPNMDWRMKC-GRYCIOLGSA-N 0 1 256.321 0.165 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@@H]1O ZINC001220185796 804532187 /nfs/dbraw/zinc/53/21/87/804532187.db2.gz OFBOBPQJELUITK-ZNMIVQPWSA-N 0 1 296.411 0.502 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](C)C(F)(F)F)[C@@H](O)C1 ZINC001220265017 804554501 /nfs/dbraw/zinc/55/45/01/804554501.db2.gz TYEBDLUSFUEIQM-AXFHLTTASA-N 0 1 292.301 0.760 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(C[C@@H](C)OC)C[C@@H]2O)CC1 ZINC001220290318 804564425 /nfs/dbraw/zinc/56/44/25/804564425.db2.gz VTCUAOXLHBXDLZ-MCIONIFRSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2(C)CC(=C)C2)[C@@H](O)C1 ZINC001220315347 804571543 /nfs/dbraw/zinc/57/15/43/804571543.db2.gz XQHGTISTMNLOFA-KGLIPLIRSA-N 0 1 294.395 0.707 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccc(OCCOC)nc1 ZINC001220458258 804608695 /nfs/dbraw/zinc/60/86/95/804608695.db2.gz LOWMUMZXPKXFEL-AWEZNQCLSA-N 0 1 277.324 0.074 20 30 CCEDMN C[C@@H](Cn1cccn1)NC(=O)NCC#CCN(C)C ZINC001220645730 804641887 /nfs/dbraw/zinc/64/18/87/804641887.db2.gz ZOQOMYUPULMGAP-LBPRGKRZSA-N 0 1 263.345 0.136 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2cscn2)[C@@H](O)C1 ZINC001221150271 804736008 /nfs/dbraw/zinc/73/60/08/804736008.db2.gz SBIMIHISJGYHQO-OLZOCXBDSA-N 0 1 295.408 0.813 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cc(OCC2CC2)ncn1 ZINC001221158263 804737720 /nfs/dbraw/zinc/73/77/20/804737720.db2.gz XAMXKMKXHXHNJK-AWEZNQCLSA-N 0 1 274.324 0.232 20 30 CCEDMN CC(C)C[C@](C)(C#N)NC(=O)[C@@H]1CCCN1C(=O)CN ZINC001221291961 804766824 /nfs/dbraw/zinc/76/68/24/804766824.db2.gz AWKKYUALPFEREH-SMDDNHRTSA-N 0 1 280.372 0.381 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CNC(=O)C3CC3)[C@@H]2C1 ZINC001221318775 804776002 /nfs/dbraw/zinc/77/60/02/804776002.db2.gz YFIMOQPARMIMMJ-ZIAGYGMSSA-N 0 1 289.379 0.069 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccc(-n2ccnn2)cc1 ZINC001221339298 804781965 /nfs/dbraw/zinc/78/19/65/804781965.db2.gz GEADBORGEASOAS-AWEZNQCLSA-N 0 1 269.308 0.234 20 30 CCEDMN N#CC1(NC(=O)C[C@@H]2COCCN2)Cc2ccccc2C1 ZINC001221367411 804789696 /nfs/dbraw/zinc/78/96/96/804789696.db2.gz QPLDYPPTKDORKW-CQSZACIVSA-N 0 1 285.347 0.542 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CN(C)C(=O)C3CC3)[C@@H]2C1 ZINC001221591563 804832453 /nfs/dbraw/zinc/83/24/53/804832453.db2.gz GWKDEUKWSAGEBC-ZIAGYGMSSA-N 0 1 291.395 0.574 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@@H]2CN(C(=O)c3cn[nH]n3)[C@@H]2C1 ZINC001221666590 804833179 /nfs/dbraw/zinc/83/31/79/804833179.db2.gz OCZMDJVXXSXKNN-DGCLKSJQSA-N 0 1 291.355 0.154 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)c3cn[nH]n3)[C@@H]2C1 ZINC001221666590 804833183 /nfs/dbraw/zinc/83/31/83/804833183.db2.gz OCZMDJVXXSXKNN-DGCLKSJQSA-N 0 1 291.355 0.154 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]2CN(C(=O)CCCn3ccnn3)[C@@H]2C1 ZINC001221609303 804833604 /nfs/dbraw/zinc/83/36/04/804833604.db2.gz JSQGDLOPVDVFRH-ZIAGYGMSSA-N 0 1 289.383 0.777 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCCn3ccnn3)[C@@H]2C1 ZINC001221609303 804833613 /nfs/dbraw/zinc/83/36/13/804833613.db2.gz JSQGDLOPVDVFRH-ZIAGYGMSSA-N 0 1 289.383 0.777 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CNC(=O)C[C@H]1CCOC1 ZINC001276824119 804834035 /nfs/dbraw/zinc/83/40/35/804834035.db2.gz RAVXTWHUERTPEM-CHWSQXEVSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@H]1CCOC1 ZINC001276824119 804834042 /nfs/dbraw/zinc/83/40/42/804834042.db2.gz RAVXTWHUERTPEM-CHWSQXEVSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@]3(COC)CCOC3)[C@@H]2C1 ZINC001221717134 804838997 /nfs/dbraw/zinc/83/89/97/804838997.db2.gz ZNXLLVCWARPWSQ-FMKPAKJESA-N 0 1 294.395 0.758 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)C(=O)NCC3CC3)[C@@H]2C1 ZINC001221903386 804850923 /nfs/dbraw/zinc/85/09/23/804850923.db2.gz HWYVAGNHBHBLSJ-ZIAGYGMSSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(CNC(=O)CC)CC1 ZINC001222208151 804883004 /nfs/dbraw/zinc/88/30/04/804883004.db2.gz SIHZXWMRFMCPRC-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN CC[C@@H]1OC(C)=C(O[C@H](COC)C(=O)OC)C1=O ZINC001222350197 804893686 /nfs/dbraw/zinc/89/36/86/804893686.db2.gz RGBFUAJMWKPJKI-DTWKUNHWSA-N 0 1 258.270 0.800 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)[C@H](C)C#N)CC1 ZINC001222636193 804922181 /nfs/dbraw/zinc/92/21/81/804922181.db2.gz JCEOOAITTIDSFJ-GFCCVEGCSA-N 0 1 292.383 0.276 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCC(CNC(=O)C#CC(C)C)CC1 ZINC001223122798 804956489 /nfs/dbraw/zinc/95/64/89/804956489.db2.gz OAMHPWQVHNCWJN-CYBMUJFWSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCCCCCC(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224062001 805006104 /nfs/dbraw/zinc/00/61/04/805006104.db2.gz RMQPJJZXCXPMQR-UHFFFAOYSA-N 0 1 293.411 0.884 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C1(C(=O)NC)CCC1 ZINC001276955745 805014320 /nfs/dbraw/zinc/01/43/20/805014320.db2.gz INPCPLSPVZLISI-GFCCVEGCSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)Cc1[nH]nc2ccccc21 ZINC001276981035 805056115 /nfs/dbraw/zinc/05/61/15/805056115.db2.gz YYSHXILVHVHZHV-GFCCVEGCSA-N 0 1 282.347 0.929 20 30 CCEDMN C=C(C)C(=O)OC[C@@H](C)Oc1nc(C(=O)OC)cc(=O)[nH]1 ZINC001225734949 805156478 /nfs/dbraw/zinc/15/64/78/805156478.db2.gz QMSBSRAMIRWKIZ-MRVPVSSYSA-N 0 1 296.279 0.855 20 30 CCEDMN COCC#CCN1CCC(NC(=O)COC(C)C)CC1 ZINC001226419806 805229799 /nfs/dbraw/zinc/22/97/99/805229799.db2.gz HVNHDWUVKUPVKA-UHFFFAOYSA-N 0 1 282.384 0.642 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC001226649891 805261347 /nfs/dbraw/zinc/26/13/47/805261347.db2.gz XWBLMUHGYPTBLC-QWRGUYRKSA-N 0 1 292.383 0.394 20 30 CCEDMN CC#CCCCC(=O)NCC1(N[C@@H]2CCN(C)C2=O)CC1 ZINC001277150269 805271514 /nfs/dbraw/zinc/27/15/14/805271514.db2.gz NSBFRKRWSCQGMZ-CYBMUJFWSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C[C@H](Oc1nc2[nH]cnc2c(=S)[nH]1)C(=O)OC ZINC001226787257 805281819 /nfs/dbraw/zinc/28/18/19/805281819.db2.gz WYYNIRCMMQYDMM-YFKPBYRVSA-N 0 1 266.282 0.748 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H]1CCC(C)(C)O1 ZINC001226795787 805282136 /nfs/dbraw/zinc/28/21/36/805282136.db2.gz XPNXNTOEHIIDJL-LBPRGKRZSA-N 0 1 267.373 0.808 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1[nH]c(=O)nc2cc[nH]c21 ZINC001227810929 805392412 /nfs/dbraw/zinc/39/24/12/805392412.db2.gz ZBDYINQSDKPANE-QMMMGPOBSA-N 0 1 275.264 0.997 20 30 CCEDMN C[C@H](NC1(CNC(=O)CC#Cc2ccccc2)CC1)C(N)=O ZINC001277252153 805401455 /nfs/dbraw/zinc/40/14/55/805401455.db2.gz DLILYGWYIXEQEQ-ZDUSSCGKSA-N 0 1 299.374 0.540 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2nccn2C)CC1 ZINC001228408765 805444033 /nfs/dbraw/zinc/44/40/33/805444033.db2.gz YQGHYEZTVWGBTN-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN N#CCN1CC[C@@H](Oc2[nH]c(=O)ncc2Cl)C1 ZINC001228481605 805451530 /nfs/dbraw/zinc/45/15/30/805451530.db2.gz FGJQSZCOJDVCDR-SSDOTTSWSA-N 0 1 254.677 0.812 20 30 CCEDMN C[C@H]1C[C@H](NCC#Cc2ccccc2)CN1C(=O)C(N)=O ZINC001278396893 807065347 /nfs/dbraw/zinc/06/53/47/807065347.db2.gz DDXYHXBSGUSZEK-JSGCOSHPSA-N 0 1 285.347 0.102 20 30 CCEDMN C=CCOC[C@@H](O)CN1CC[C@](N)(C(F)(F)F)C1 ZINC001246032046 807127128 /nfs/dbraw/zinc/12/71/28/807127128.db2.gz RPYNOBXQFVFWPV-VHSXEESVSA-N 0 1 268.279 0.515 20 30 CCEDMN C=CCOC[C@H](O)C[N@@H+]1CC[C@](N)(C(F)(F)F)C1 ZINC001246032031 807126749 /nfs/dbraw/zinc/12/67/49/807126749.db2.gz RPYNOBXQFVFWPV-NXEZZACHSA-N 0 1 268.279 0.515 20 30 CCEDMN C=CCOC[C@H](O)CN1CC[C@](N)(C(F)(F)F)C1 ZINC001246032031 807126755 /nfs/dbraw/zinc/12/67/55/807126755.db2.gz RPYNOBXQFVFWPV-NXEZZACHSA-N 0 1 268.279 0.515 20 30 CCEDMN C=CCOC[C@@H](O)C[N@@H+]1CC[C@](N)(C(F)(F)F)C1 ZINC001246032046 807127125 /nfs/dbraw/zinc/12/71/25/807127125.db2.gz RPYNOBXQFVFWPV-VHSXEESVSA-N 0 1 268.279 0.515 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)COc2cccnc2)C1 ZINC001278433407 807167981 /nfs/dbraw/zinc/16/79/81/807167981.db2.gz KDCRZHQFULCZPH-HNNXBMFYSA-N 0 1 273.336 0.674 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](NCc2ccc(C#N)o2)CN1 ZINC001246353449 807230586 /nfs/dbraw/zinc/23/05/86/807230586.db2.gz WASOPNFYZLRVCR-CABZTGNLSA-N 0 1 263.297 0.534 20 30 CCEDMN N#Cc1ccc(-c2noc([C@@H]3C[C@@H](O)CN3)n2)nc1 ZINC001247503269 807465734 /nfs/dbraw/zinc/46/57/34/807465734.db2.gz OVELDRHRLVPSBX-SCZZXKLOSA-N 0 1 257.253 0.399 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCCN(CCOCCO)[C@@H]1C ZINC001278511513 807536809 /nfs/dbraw/zinc/53/68/09/807536809.db2.gz WKNPWDLJWUQLAR-HUUCEWRRSA-N 0 1 296.411 0.624 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NCc1n[nH]c(CC)n1 ZINC001251394013 807681371 /nfs/dbraw/zinc/68/13/71/807681371.db2.gz YNHNHEPMQLDMNI-SECBINFHSA-N 0 1 267.333 0.351 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)[C@H]1C ZINC001088763815 815145036 /nfs/dbraw/zinc/14/50/36/815145036.db2.gz AZBNGJOGMHNQNG-SYQHCUMBSA-N 0 1 286.379 0.736 20 30 CCEDMN CN(C)CC#CCNC(=O)NCC1(CO)CCCCC1 ZINC001251707996 807697090 /nfs/dbraw/zinc/69/70/90/807697090.db2.gz JVEKOKQQSIPGHJ-UHFFFAOYSA-N 0 1 281.400 0.793 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN2CC(F)(F)C[C@H]2C1 ZINC001251854311 807721286 /nfs/dbraw/zinc/72/12/86/807721286.db2.gz ASNTYXCRVCTUKS-NWDGAFQWSA-N 0 1 274.311 0.022 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(C(=O)OCC)ccn1 ZINC001251885394 807735157 /nfs/dbraw/zinc/73/51/57/807735157.db2.gz URZZWLCQFNPKDK-AWEZNQCLSA-N 0 1 292.335 0.359 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ccc(Cl)nn1 ZINC001251891464 807737643 /nfs/dbraw/zinc/73/76/43/807737643.db2.gz SZUBKYDSHOTUDH-SNVBAGLBSA-N 0 1 255.705 0.230 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc(OC2CCC2)ncn1 ZINC001251900277 807742342 /nfs/dbraw/zinc/74/23/42/807742342.db2.gz MWKAFMVSRQZUIG-CYBMUJFWSA-N 0 1 291.351 0.508 20 30 CCEDMN C=CCOCc1[nH]nc2c1CN(C[C@@H](O)COCC=C)C2 ZINC001252463019 807874929 /nfs/dbraw/zinc/87/49/29/807874929.db2.gz KZFHUXJPOGJSIS-GFCCVEGCSA-N 0 1 293.367 0.991 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cn(CC2CC2)cn1 ZINC001252495025 807893087 /nfs/dbraw/zinc/89/30/87/807893087.db2.gz JISNPCDERURCER-CQSZACIVSA-N 0 1 265.357 0.946 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(c2nccc(C)n2)CC1 ZINC001252556466 807909835 /nfs/dbraw/zinc/90/98/35/807909835.db2.gz HHNVXMZFYKRVEM-AWEZNQCLSA-N 0 1 262.357 0.844 20 30 CCEDMN C=C[C@@H](O)CN1CC[C@]2(CC(C(=O)N(C)C(C)C)=NO2)C1 ZINC001253576502 808083329 /nfs/dbraw/zinc/08/33/29/808083329.db2.gz FNVPKBACQXNMCB-DOMZBBRYSA-N 0 1 295.383 0.621 20 30 CCEDMN C=C[C@@H](O)CN1CC[C@@]2(CC(C(=O)N(C)C(C)C)=NO2)C1 ZINC001253576503 808084451 /nfs/dbraw/zinc/08/44/51/808084451.db2.gz FNVPKBACQXNMCB-IUODEOHRSA-N 0 1 295.383 0.621 20 30 CCEDMN C=C[C@@H](O)C[NH+]1CCC([N-]C(=O)C(F)(F)F)CC1 ZINC001253579853 808087862 /nfs/dbraw/zinc/08/78/62/808087862.db2.gz UJBQXPFWFNUKRS-SECBINFHSA-N 0 1 266.263 0.676 20 30 CCEDMN C=C[C@H](O)CN1Cc2cnn(CC)c2[C@H](COC)C1 ZINC001253578202 808088098 /nfs/dbraw/zinc/08/80/98/808088098.db2.gz XBGXPBQSLCUGHF-STQMWFEESA-N 0 1 265.357 0.996 20 30 CCEDMN C=C[C@@H](O)CNCc1ccnc(OCCOC)n1 ZINC001253608769 808095522 /nfs/dbraw/zinc/09/55/22/808095522.db2.gz GWYOTACCZQDROQ-LLVKDONJSA-N 0 1 253.302 0.138 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)[C@H]1C ZINC001088809275 815178500 /nfs/dbraw/zinc/17/85/00/815178500.db2.gz LPLZQBBXRYWBPX-WWGRRREGSA-N 0 1 291.395 0.505 20 30 CCEDMN Cc1ccc(OC[C@@H](O)CN[C@@H]2C(=O)N(O)C[C@@H]2C)cc1 ZINC001253846093 808157045 /nfs/dbraw/zinc/15/70/45/808157045.db2.gz YHADYYZIHGVUSW-OBJOEFQTSA-N 0 1 294.351 0.560 20 30 CCEDMN N#Cc1ccccc1OCCN[C@@H]1CCS(=O)(=O)C1 ZINC001254321544 808206486 /nfs/dbraw/zinc/20/64/86/808206486.db2.gz JACNXFQIXOPYII-GFCCVEGCSA-N 0 1 280.349 0.714 20 30 CCEDMN COC(=O)C1(N[C@@H]2CC[C@@H](C#N)C2)CCN(C)CC1 ZINC001254644662 808269116 /nfs/dbraw/zinc/26/91/16/808269116.db2.gz UNKLDCRIZUPNNM-VXGBXAGGSA-N 0 1 265.357 0.906 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)CCCOC(C)C)C1 ZINC001278828931 808301074 /nfs/dbraw/zinc/30/10/74/808301074.db2.gz RHDOKPKIUYFWFA-MRXNPFEDSA-N 0 1 296.411 0.768 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)[C@@H](C)NC(C)=O)C1 ZINC001278833543 808308050 /nfs/dbraw/zinc/30/80/50/808308050.db2.gz QBHDTRIMXSQLSQ-NWDGAFQWSA-N 0 1 297.399 0.029 20 30 CCEDMN C#CCCCCC(=O)N(C)C[C@H](O)CN(C)CC#C ZINC001278855582 808338290 /nfs/dbraw/zinc/33/82/90/808338290.db2.gz RYZNFCDYSGWGPE-CQSZACIVSA-N 0 1 264.369 0.564 20 30 CCEDMN N#Cc1cc(NC(=O)C(=O)NCc2c[nH]nn2)ccc1F ZINC001255482561 808388514 /nfs/dbraw/zinc/38/85/14/808388514.db2.gz OUAGTSXXMYRHFO-UHFFFAOYSA-N 0 1 288.242 0.070 20 30 CCEDMN C=C1CN(C(=O)NCc2nc(CSC)n[nH]2)C1 ZINC001255515057 808396800 /nfs/dbraw/zinc/39/68/00/808396800.db2.gz GXYCUSVSBYAPEV-UHFFFAOYSA-N 0 1 253.331 0.749 20 30 CCEDMN C=C1CN(C(=O)NCc2nnc(CSC)[nH]2)C1 ZINC001255515057 808396807 /nfs/dbraw/zinc/39/68/07/808396807.db2.gz GXYCUSVSBYAPEV-UHFFFAOYSA-N 0 1 253.331 0.749 20 30 CCEDMN C#CC[N@H+](C)C[C@H](O)CN(C)C(=O)c1cncc(C#C)c1 ZINC001278873654 808410936 /nfs/dbraw/zinc/41/09/36/808410936.db2.gz MGWYOGGAUDSHKZ-HNNXBMFYSA-N 0 1 285.347 0.061 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cncc(C#C)c1 ZINC001278873654 808410940 /nfs/dbraw/zinc/41/09/40/808410940.db2.gz MGWYOGGAUDSHKZ-HNNXBMFYSA-N 0 1 285.347 0.061 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cnc(C)nc1C ZINC001278877669 808417594 /nfs/dbraw/zinc/41/75/94/808417594.db2.gz AEKURQTULHGYLG-ZDUSSCGKSA-N 0 1 290.367 0.091 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@]1(C)CCCOC1 ZINC001278877986 808419170 /nfs/dbraw/zinc/41/91/70/808419170.db2.gz FWYVLZHJBPIJQK-DZGCQCFKSA-N 0 1 282.384 0.187 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N(C)C[C@@H](O)CN(C)CC#N ZINC001278888718 808429367 /nfs/dbraw/zinc/42/93/67/808429367.db2.gz HGUZDWICRXHARV-UONOGXRCSA-N 0 1 296.415 0.135 20 30 CCEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CCN(C)C1=O)C(C)C ZINC001278919126 808479591 /nfs/dbraw/zinc/47/95/91/808479591.db2.gz ZYEYZLXRXWFFOS-CQSZACIVSA-N 0 1 293.411 0.657 20 30 CCEDMN C[C@@H](CC(=O)N1CCOCC1)NCC1(C#N)CCC1 ZINC001256318731 808489866 /nfs/dbraw/zinc/48/98/66/808489866.db2.gz PZJAHJJBDNEEDE-LBPRGKRZSA-N 0 1 265.357 0.907 20 30 CCEDMN CC[C@@H](CC#N)N[C@@H](C)CC(=O)N1CCOCC1 ZINC001256322786 808494920 /nfs/dbraw/zinc/49/49/20/808494920.db2.gz BPFVDPRJCSAZMC-RYUDHWBXSA-N 0 1 253.346 0.906 20 30 CCEDMN COC(=O)C1CCN(C(=O)NCC#CCN(C)C)CC1 ZINC001256581028 808536698 /nfs/dbraw/zinc/53/66/98/808536698.db2.gz XFXFYZMADHJZGI-UHFFFAOYSA-N 0 1 281.356 0.146 20 30 CCEDMN COC[C@]1(C)CCN(C(=O)NCC#CCN(C)C)C1 ZINC001256584305 808538086 /nfs/dbraw/zinc/53/80/86/808538086.db2.gz AQGMUIXYFJKKRU-CQSZACIVSA-N 0 1 267.373 0.619 20 30 CCEDMN COC(=O)[C@H]1CN(C2CCC(C#N)CC2)CCN1C(C)=O ZINC001256971870 808574192 /nfs/dbraw/zinc/57/41/92/808574192.db2.gz NQVDMSCIGHCYTF-JXQTWKCFSA-N 0 1 293.367 0.774 20 30 CCEDMN C[C@@H]1COC(=O)C1N=Nc1nncc(N)c1Cl ZINC001257405706 808614827 /nfs/dbraw/zinc/61/48/27/808614827.db2.gz UGWLMEUVMKGHKP-SCSAIBSYSA-N 0 1 255.665 0.673 20 30 CCEDMN C#CCN(CC#C)[C@@H]1CC[C@@H](C(=O)OC)[C@H](C(=O)OC)C1 ZINC001257749350 808633745 /nfs/dbraw/zinc/63/37/45/808633745.db2.gz NFAPHEUPYUTRIK-MGPQQGTHSA-N 0 1 291.347 0.686 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)NCc2ccc(C#N)cc2)n[nH]1 ZINC001257773955 808635621 /nfs/dbraw/zinc/63/56/21/808635621.db2.gz FITAIDFRDFGWKS-UHFFFAOYSA-N 0 1 297.318 0.522 20 30 CCEDMN C#Cc1ccc(NS(=O)(=O)CC(=O)OC)cc1F ZINC001259027119 808724415 /nfs/dbraw/zinc/72/44/15/808724415.db2.gz MXCRFCKLURCGMU-UHFFFAOYSA-N 0 1 271.269 0.722 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CCCN(O)C2=O)ccc2cncn21 ZINC001261550337 809017061 /nfs/dbraw/zinc/01/70/61/809017061.db2.gz VMXRDJMHNMIMHT-GFCCVEGCSA-N 0 1 288.307 0.753 20 30 CCEDMN C=CCO[C@@H]1CCN(S(=O)(=O)c2ncc[nH]2)C1 ZINC001261854860 809111614 /nfs/dbraw/zinc/11/16/14/809111614.db2.gz YARRLUSVQVXRDL-SECBINFHSA-N 0 1 257.315 0.375 20 30 CCEDMN C#CCNCC(=O)N1CCn2nc(C(F)(F)F)cc2C1 ZINC001263209607 809482146 /nfs/dbraw/zinc/48/21/46/809482146.db2.gz NXQRRCMWFJWHOE-UHFFFAOYSA-N 0 1 286.257 0.467 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2CCN(C(=O)[C@H]3CCc4[nH]cnc4C3)[C@@H]2C1 ZINC001076439937 815298359 /nfs/dbraw/zinc/29/83/59/815298359.db2.gz NDJMNLSYVOYWDT-HEHGZKQESA-N 0 1 298.390 0.681 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@H]3CCc4[nH]cnc4C3)[C@@H]2C1 ZINC001076439937 815298364 /nfs/dbraw/zinc/29/83/64/815298364.db2.gz NDJMNLSYVOYWDT-HEHGZKQESA-N 0 1 298.390 0.681 20 30 CCEDMN C=CCCC(=O)NC[C@H]1C[C@H](N[C@H](C)c2nnnn2C)C1 ZINC001263857345 809589988 /nfs/dbraw/zinc/58/99/88/809589988.db2.gz OQNVHWOMONUNMX-IJLUTSLNSA-N 0 1 292.387 0.722 20 30 CCEDMN C#CCOCCN(C)C(=O)[C@H](C)CN1CCOCC1 ZINC001264015412 809622683 /nfs/dbraw/zinc/62/26/83/809622683.db2.gz VBHNDUHAGQPQCM-CYBMUJFWSA-N 0 1 268.357 0.063 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)c2ncn[n-]2)CC[N@H+]1CC#CCOC ZINC001264065041 809626426 /nfs/dbraw/zinc/62/64/26/809626426.db2.gz KQMSGMLJLIAGGI-NWDGAFQWSA-N 0 1 291.355 0.037 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@H](C)CSC)C1 ZINC001264075515 809628377 /nfs/dbraw/zinc/62/83/77/809628377.db2.gz XMOJOTLIXOMQNN-ZIAGYGMSSA-N 0 1 298.452 0.904 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H](OC)C2CC2)C1 ZINC001264075591 809628683 /nfs/dbraw/zinc/62/86/83/809628683.db2.gz YWEDIRFEOWFCON-GJZGRUSLSA-N 0 1 294.395 0.330 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CCNC(N)=O ZINC001264378674 809646064 /nfs/dbraw/zinc/64/60/64/809646064.db2.gz OLMKXJCQFIBRTA-NSHDSACASA-N 0 1 268.361 0.154 20 30 CCEDMN CC#CCN(CCO)[C@H]1CCCN(C(=O)CC(C)(C)O)C1 ZINC001264630630 809666694 /nfs/dbraw/zinc/66/66/94/809666694.db2.gz TVERSMIJLPZKQG-AWEZNQCLSA-N 0 1 296.411 0.456 20 30 CCEDMN CN(CCCNC(=O)C#CC1CC1)CC(=O)NC(C)(C)C ZINC001264984911 809687193 /nfs/dbraw/zinc/68/71/93/809687193.db2.gz FYJOCMNUFWCWCO-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCC[N@@H+](Cc1n[nH]c(=O)[n-]1)C1CC1 ZINC001265156082 809717055 /nfs/dbraw/zinc/71/70/55/809717055.db2.gz NDLVWTBAKYUPBX-VIFPVBQESA-N 0 1 292.343 0.141 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001265314527 809755846 /nfs/dbraw/zinc/75/58/46/809755846.db2.gz CUOVPLAFAGEHAZ-GHMZBOCLSA-N 0 1 268.361 0.200 20 30 CCEDMN CC#CC[NH2+][C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001265352685 809762661 /nfs/dbraw/zinc/76/26/61/809762661.db2.gz VOFZYTOTDYPWRP-GFCCVEGCSA-N 0 1 273.336 0.909 20 30 CCEDMN CC#CC[NH2+][C@H](CNC(=O)c1[n-]nnc1C)C1CC1 ZINC001265366276 809765847 /nfs/dbraw/zinc/76/58/47/809765847.db2.gz KVRFGJBBIVJAKU-LLVKDONJSA-N 0 1 261.329 0.234 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@H](NCC#N)C1CC1 ZINC001265382001 809769462 /nfs/dbraw/zinc/76/94/62/809769462.db2.gz GLVDDNYUZPPWCG-UONOGXRCSA-N 0 1 278.400 0.869 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1C[C@H](NCc2cc(C)no2)C1 ZINC001265476641 809788222 /nfs/dbraw/zinc/78/82/22/809788222.db2.gz KZIHOTJVDPAPPZ-JHJVBQTASA-N 0 1 291.351 0.758 20 30 CCEDMN C[C@H](CNC(=O)CSCC#N)N[C@@H](C)c1cnccn1 ZINC001265754426 809840570 /nfs/dbraw/zinc/84/05/70/809840570.db2.gz DOVWCIXODMREDS-MNOVXSKESA-N 0 1 293.396 0.889 20 30 CCEDMN C#CC[N@@H+](CC)CCCNC(=O)c1[nH]nc(C)c1[O-] ZINC001266033071 809923089 /nfs/dbraw/zinc/92/30/89/809923089.db2.gz UGYNFSCBYBUSER-UHFFFAOYSA-N 0 1 264.329 0.499 20 30 CCEDMN C#CC[N@H+](CC)CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001266033071 809923094 /nfs/dbraw/zinc/92/30/94/809923094.db2.gz UGYNFSCBYBUSER-UHFFFAOYSA-N 0 1 264.329 0.499 20 30 CCEDMN C#CC[N@@H+](CC)CCCNC(=O)c1n[nH]c(C)c1[O-] ZINC001266033071 809923098 /nfs/dbraw/zinc/92/30/98/809923098.db2.gz UGYNFSCBYBUSER-UHFFFAOYSA-N 0 1 264.329 0.499 20 30 CCEDMN C[C@H](CCNC(=O)C#CC1CC1)NCc1ncccn1 ZINC001266143632 809947648 /nfs/dbraw/zinc/94/76/48/809947648.db2.gz HYDKRVLYKCMGKV-GFCCVEGCSA-N 0 1 272.352 0.874 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CNC(=O)C2CC2)C1 ZINC001266205221 809962320 /nfs/dbraw/zinc/96/23/20/809962320.db2.gz MCILMINONKJVAR-LBPRGKRZSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)[C@H]2CCC(=O)N2)C1 ZINC001266209066 809963290 /nfs/dbraw/zinc/96/32/90/809963290.db2.gz ITJMAWWRWSFSGL-CHWSQXEVSA-N 0 1 295.383 0.048 20 30 CCEDMN CO[C@H](C)CCC(=O)NCCN1CCC(NCC#N)CC1 ZINC001279425198 809978217 /nfs/dbraw/zinc/97/82/17/809978217.db2.gz WICFZOVOIARYOX-CYBMUJFWSA-N 0 1 296.415 0.495 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)n(C)n2)C1 ZINC001076787088 815373611 /nfs/dbraw/zinc/37/36/11/815373611.db2.gz CRLAPDAVZAEQJK-CHWSQXEVSA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCCN1Cc1n[nH]c(C)n1 ZINC001267263105 811063786 /nfs/dbraw/zinc/06/37/86/811063786.db2.gz GLEPDHBMDGMXSE-GFCCVEGCSA-N 0 1 293.371 0.396 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H]1CCCN1[C@@H](C)C(=O)NC ZINC001267273586 811079000 /nfs/dbraw/zinc/07/90/00/811079000.db2.gz XPCFZFJIQPRBTA-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCCCCC(=O)NC[C@H]1CCN(CC(N)=O)C1 ZINC001267291307 811108464 /nfs/dbraw/zinc/10/84/64/811108464.db2.gz DDRRYZAAVBLJHB-GFCCVEGCSA-N 0 1 265.357 0.103 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H](C)[C@H]2CCC(=O)N2)CC1 ZINC001279644469 811149206 /nfs/dbraw/zinc/14/92/06/811149206.db2.gz OWONUEZSOQLLBD-TZMCWYRMSA-N 0 1 289.379 0.283 20 30 CCEDMN CCCN(CC#N)CCNC(=O)[C@H](C)Cc1cnc[nH]1 ZINC001267318123 811149401 /nfs/dbraw/zinc/14/94/01/811149401.db2.gz GIDFFIMOEILNHF-GFCCVEGCSA-N 0 1 277.372 0.940 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H]2CC2(F)F)C1 ZINC001076967473 815425170 /nfs/dbraw/zinc/42/51/70/815425170.db2.gz PUFZFTIOABKCRI-OPRDCNLKSA-N 0 1 260.284 0.379 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC2(F)F)C1 ZINC001076967473 815425174 /nfs/dbraw/zinc/42/51/74/815425174.db2.gz PUFZFTIOABKCRI-OPRDCNLKSA-N 0 1 260.284 0.379 20 30 CCEDMN C#CCN(CCNC(=O)C[C@H]1CCN(C)C1=O)C1CC1 ZINC001267327680 811161037 /nfs/dbraw/zinc/16/10/37/811161037.db2.gz WPMIZBCVMUFSNS-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN(CCNC(=O)[C@@H](COC)OC)C1CC1 ZINC001267332295 811167925 /nfs/dbraw/zinc/16/79/25/811167925.db2.gz JKSBDPFDQCNOQX-CYBMUJFWSA-N 0 1 268.357 0.252 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(OCC)n[nH]2)[C@@H](O)C1 ZINC001083558496 815429860 /nfs/dbraw/zinc/42/98/60/815429860.db2.gz MKOXYCKMQRWUDS-NEPJUHHUSA-N 0 1 294.355 0.159 20 30 CCEDMN Cc1nccnc1CNCCN(C)C(=O)C#CC1CC1 ZINC001267371867 811229285 /nfs/dbraw/zinc/22/92/85/811229285.db2.gz YFNWHJUBQPHRCH-UHFFFAOYSA-N 0 1 272.352 0.746 20 30 CCEDMN COc1cccc(CNCCNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001125776529 811322752 /nfs/dbraw/zinc/32/27/52/811322752.db2.gz QQEWOKMSFBDIQH-UHFFFAOYSA-N 0 1 299.334 0.810 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccnn2C(F)F)C1 ZINC001077059379 815438760 /nfs/dbraw/zinc/43/87/60/815438760.db2.gz FLAXKHWHAPUSFG-MWLCHTKSSA-N 0 1 298.293 0.076 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)C[C@H]21 ZINC001042193378 811368572 /nfs/dbraw/zinc/36/85/72/811368572.db2.gz LXFDTGXHOXRBQJ-VQJWOFKYSA-N 0 1 274.364 0.435 20 30 CCEDMN CCCCC(=O)NCC[C@@H]1CCN(CC(=O)NCC#N)C1 ZINC001267502466 811382357 /nfs/dbraw/zinc/38/23/57/811382357.db2.gz UPHQHAWGEUVWRP-CYBMUJFWSA-N 0 1 294.399 0.645 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc3occc3[nH]2)C1 ZINC001077079833 815443667 /nfs/dbraw/zinc/44/36/67/815443667.db2.gz RMAOGVCGIJEXPS-CHWSQXEVSA-N 0 1 287.319 0.559 20 30 CCEDMN CC1(C)[C@H](NC(=O)c2ncn[nH]2)[C@H]2CCCN(CC#N)[C@H]21 ZINC001087307534 811399464 /nfs/dbraw/zinc/39/94/64/811399464.db2.gz BEPHKFYZEKSAFC-GMTAPVOTSA-N 0 1 288.355 0.547 20 30 CCEDMN CC1(C)[C@H](NC(=O)c2nc[nH]n2)[C@H]2CCCN(CC#N)[C@H]21 ZINC001087307534 811399472 /nfs/dbraw/zinc/39/94/72/811399472.db2.gz BEPHKFYZEKSAFC-GMTAPVOTSA-N 0 1 288.355 0.547 20 30 CCEDMN Cc1cc(N2CC[C@H](NC(=O)c3cnn[nH]3)C2)c(C#N)cn1 ZINC001058599702 811423794 /nfs/dbraw/zinc/42/37/94/811423794.db2.gz XREOEDNPBBBFOU-NSHDSACASA-N 0 1 297.322 0.389 20 30 CCEDMN CC#CCN1CC[C@H](N(CCC)C(=O)[C@H](C)CC(N)=O)C1 ZINC001267546389 811427957 /nfs/dbraw/zinc/42/79/57/811427957.db2.gz NKTYUSBOOHPOSS-KGLIPLIRSA-N 0 1 293.411 0.834 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CN(C)C(C)=O)C1 ZINC001207430531 811517294 /nfs/dbraw/zinc/51/72/94/811517294.db2.gz LCLPUEDDERXEJL-LBPRGKRZSA-N 0 1 287.791 0.798 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cc[n+]([O-])cc3)[C@@H]2C1 ZINC001075518730 811517587 /nfs/dbraw/zinc/51/75/87/811517587.db2.gz VHJYFKZAYIQQAX-LSDHHAIUSA-N 0 1 285.347 0.490 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCCN(CCn2cccn2)C1 ZINC001267623777 811582802 /nfs/dbraw/zinc/58/28/02/811582802.db2.gz WZCWGGVGCIZRSV-AWEZNQCLSA-N 0 1 292.383 0.666 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)CCCOC)C1 ZINC001149272773 811599921 /nfs/dbraw/zinc/59/99/21/811599921.db2.gz NYKZVKFHFYCYLW-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN N#CCN1CC(CNC(=O)Cc2n[nH]c3c2CCCC3)C1 ZINC001267680984 811647172 /nfs/dbraw/zinc/64/71/72/811647172.db2.gz FDXFOTGVXYDFBD-UHFFFAOYSA-N 0 1 287.367 0.403 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H](F)C[NH+](CC)CC)C1 ZINC001267684302 811650116 /nfs/dbraw/zinc/65/01/16/811650116.db2.gz YKNHMUGBBXZDSG-ZDUSSCGKSA-N 0 1 271.380 0.900 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CCc2ccn(C)n2)C1 ZINC001267684021 811650182 /nfs/dbraw/zinc/65/01/82/811650182.db2.gz QXWRKGHFUIDMHS-UHFFFAOYSA-N 0 1 276.384 0.977 20 30 CCEDMN C=CCn1cccc1C(=O)NC[C@@H]1CCN1C[C@H](C)O ZINC001038346410 811673437 /nfs/dbraw/zinc/67/34/37/811673437.db2.gz WTLSYOJSAYHSOU-STQMWFEESA-N 0 1 277.368 0.859 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ncc(F)cc2F)C1 ZINC001077235914 815467916 /nfs/dbraw/zinc/46/79/16/815467916.db2.gz AVEOSDLAHCATNP-VXGBXAGGSA-N 0 1 297.305 0.711 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ncc(F)cc2F)C1 ZINC001077235914 815467920 /nfs/dbraw/zinc/46/79/20/815467920.db2.gz AVEOSDLAHCATNP-VXGBXAGGSA-N 0 1 297.305 0.711 20 30 CCEDMN C[C@H](CNc1cncc(C#N)n1)N(C)C(=O)Cc1c[nH]cn1 ZINC001104825967 811734299 /nfs/dbraw/zinc/73/42/99/811734299.db2.gz OZKHLQWGAHENIC-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)CN(C)C(C)=O)C1 ZINC001267757200 811752089 /nfs/dbraw/zinc/75/20/89/811752089.db2.gz TYKBAPQPQOVCSW-ZDUSSCGKSA-N 0 1 265.357 0.021 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CN(C)C(C)=O)C1 ZINC001267757200 811752101 /nfs/dbraw/zinc/75/21/01/811752101.db2.gz TYKBAPQPQOVCSW-ZDUSSCGKSA-N 0 1 265.357 0.021 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CCCC(=O)NCC)C1 ZINC001267778244 811779810 /nfs/dbraw/zinc/77/98/10/811779810.db2.gz YKUFWYXGMZJFQY-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H](CC)NC(C)=O)C1 ZINC001267788921 811787021 /nfs/dbraw/zinc/78/70/21/811787021.db2.gz BCZOAVKWVSNVHG-HUUCEWRRSA-N 0 1 293.411 0.847 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCNC(=O)c1cnn[nH]1 ZINC001077293687 815476094 /nfs/dbraw/zinc/47/60/94/815476094.db2.gz AWBVJCAGEYITRJ-VIFPVBQESA-N 0 1 265.317 0.396 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)C#CC(C)C)C2)nn1 ZINC001105238265 811871313 /nfs/dbraw/zinc/87/13/13/811871313.db2.gz SWKMRHDIOFHZRN-UHFFFAOYSA-N 0 1 299.378 0.434 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1cnn[nH]1)Nc1ccncc1C#N ZINC001105304874 811901282 /nfs/dbraw/zinc/90/12/82/811901282.db2.gz IOTADRMENOOAPF-GFCCVEGCSA-N 0 1 299.338 0.360 20 30 CCEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)COC)C1 ZINC001268018836 811961349 /nfs/dbraw/zinc/96/13/49/811961349.db2.gz RHXXPNCSVMVRFM-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCn3cccn3)[C@@H]2C1 ZINC001075560063 811966099 /nfs/dbraw/zinc/96/60/99/811966099.db2.gz CGOLXOZGKOZDTC-UONOGXRCSA-N 0 1 272.352 0.439 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1CNC(=O)c1ccc2nn[n-]c2n1 ZINC001027917420 812139115 /nfs/dbraw/zinc/13/91/15/812139115.db2.gz AUKSYKSATQXUMW-JTQLQIEISA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001027917420 812139118 /nfs/dbraw/zinc/13/91/18/812139118.db2.gz AUKSYKSATQXUMW-JTQLQIEISA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCc2nccn21 ZINC001027931759 812149715 /nfs/dbraw/zinc/14/97/15/812149715.db2.gz KCWAMDKJWHAUKG-UONOGXRCSA-N 0 1 286.379 0.974 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](Nc2ncnc3[nH]cnc32)C1 ZINC001058853947 812207285 /nfs/dbraw/zinc/20/72/85/812207285.db2.gz USTSYFKFKWEQIG-BDAKNGLRSA-N 0 1 285.311 0.525 20 30 CCEDMN N#Cc1nccnc1N[C@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001058864924 812233628 /nfs/dbraw/zinc/23/36/28/812233628.db2.gz SBYYFZJBUGWJRX-NSHDSACASA-N 0 1 297.322 0.327 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001028130747 812300719 /nfs/dbraw/zinc/30/07/19/812300719.db2.gz UXYDGLZZMUYZGX-STQMWFEESA-N 0 1 277.368 0.259 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)[C@@H]3CCCOC3)[C@@H]2C1 ZINC001075611032 812367988 /nfs/dbraw/zinc/36/79/88/812367988.db2.gz HGWVXZVTLZFEGZ-BFHYXJOUSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCOC3)[C@@H]2C1 ZINC001075611032 812367994 /nfs/dbraw/zinc/36/79/94/812367994.db2.gz HGWVXZVTLZFEGZ-BFHYXJOUSA-N 0 1 262.353 0.579 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001028210185 812374015 /nfs/dbraw/zinc/37/40/15/812374015.db2.gz WTXBULLJIQORCT-GFCCVEGCSA-N 0 1 290.367 0.643 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)CC[C@@H]1CCOC1 ZINC001268231335 812387182 /nfs/dbraw/zinc/38/71/82/812387182.db2.gz BHHCJWXVZUMMEB-OAHLLOKOSA-N 0 1 296.411 0.843 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccncn1 ZINC001028213809 812394098 /nfs/dbraw/zinc/39/40/98/812394098.db2.gz MFSNDILTLVBOOO-GFCCVEGCSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CCO[C@@H](C)C1 ZINC001268252040 812418761 /nfs/dbraw/zinc/41/87/61/812418761.db2.gz NNLXEBLYCPUEEG-STQMWFEESA-N 0 1 252.358 0.825 20 30 CCEDMN N#CCNC[C@@H]1CCC[C@H]1NC(=O)c1[nH]ncc1F ZINC001268285418 812447824 /nfs/dbraw/zinc/44/78/24/812447824.db2.gz HNOYBQRDYACSBS-WCBMZHEXSA-N 0 1 265.292 0.560 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001028255934 812514808 /nfs/dbraw/zinc/51/48/08/812514808.db2.gz SWMKIKXHRCMOPR-GXTWGEPZSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccoc2C)[C@@H](O)C1 ZINC001083329031 812675784 /nfs/dbraw/zinc/67/57/84/812675784.db2.gz QHLZFJPVFZENRV-OLZOCXBDSA-N 0 1 262.309 0.386 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1snnc1C ZINC001126365184 812678602 /nfs/dbraw/zinc/67/86/02/812678602.db2.gz HOBDNNGGDOPULZ-LBPRGKRZSA-N 0 1 284.385 0.379 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1n[nH]c(C(C)C)n1 ZINC001126365995 812679773 /nfs/dbraw/zinc/67/97/73/812679773.db2.gz OTTCKPHBVNLEJU-CQSZACIVSA-N 0 1 295.387 0.461 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2n[nH]c(C)c2C)C1 ZINC001028452638 812721279 /nfs/dbraw/zinc/72/12/79/812721279.db2.gz MUXUWIOMNBREKR-GFCCVEGCSA-N 0 1 260.341 0.711 20 30 CCEDMN CC(C)(C)CC(=O)NC/C=C/CNCC(=O)NCC#N ZINC001268508434 812740336 /nfs/dbraw/zinc/74/03/36/812740336.db2.gz BEVYRVPQZCYLAD-SNAWJCMRSA-N 0 1 280.372 0.324 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](NC(=O)COCC)[C@H](OC)C1 ZINC001211802008 812745427 /nfs/dbraw/zinc/74/54/27/812745427.db2.gz FWKSUCNPUZXFSP-CHWSQXEVSA-N 0 1 286.372 0.041 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)COCC)[C@H](OC)C1 ZINC001211802008 812745433 /nfs/dbraw/zinc/74/54/33/812745433.db2.gz FWKSUCNPUZXFSP-CHWSQXEVSA-N 0 1 286.372 0.041 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cncc(OC)n1 ZINC001126379146 812824589 /nfs/dbraw/zinc/82/45/89/812824589.db2.gz XXNWGZNVAWPMLY-CQSZACIVSA-N 0 1 294.355 0.018 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1ocnc1C ZINC001127023671 815560332 /nfs/dbraw/zinc/56/03/32/815560332.db2.gz QAKHRQZICKUHHW-UHFFFAOYSA-N 0 1 265.313 0.229 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)[C@@H]1CC[C@@H](F)C1 ZINC001268582991 812865899 /nfs/dbraw/zinc/86/58/99/812865899.db2.gz RKROOJGVSYOYIO-FGTRRKKMSA-N 0 1 295.358 0.136 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)[C@@H]1CCC(=O)N1 ZINC001268592618 812883801 /nfs/dbraw/zinc/88/38/01/812883801.db2.gz IKCJTKHMYJUPHQ-LXYZTQFVSA-N 0 1 299.802 0.916 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](NC(=O)[C@H]2CCCOC2)[C@@H](O)C1 ZINC001083362465 812910590 /nfs/dbraw/zinc/91/05/90/812910590.db2.gz KCMOMSLEOPJGLF-XQQFMLRXSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CCCOC2)[C@@H](O)C1 ZINC001083362465 812910593 /nfs/dbraw/zinc/91/05/93/812910593.db2.gz KCMOMSLEOPJGLF-XQQFMLRXSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccncn1 ZINC001268667368 812992020 /nfs/dbraw/zinc/99/20/20/812992020.db2.gz SDLCUPMBUWWYIS-SECBINFHSA-N 0 1 254.721 0.937 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)C[C@H]1CCCO1 ZINC001268722513 813037709 /nfs/dbraw/zinc/03/77/09/813037709.db2.gz UNUVNXPNQPNPAL-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1nccnc1N ZINC001268726921 813041239 /nfs/dbraw/zinc/04/12/39/813041239.db2.gz SXVCXBBKFKBEFU-JTQLQIEISA-N 0 1 261.329 0.132 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cncc2[nH]cnc21 ZINC001268732069 813047938 /nfs/dbraw/zinc/04/79/38/813047938.db2.gz VDQGEUZERPWIAU-JTQLQIEISA-N 0 1 271.324 0.641 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)Cc1nc(C2CC2)nn1C ZINC001268756739 813068973 /nfs/dbraw/zinc/06/89/73/813068973.db2.gz MKVMDKRQGPLKAA-NSHDSACASA-N 0 1 289.383 0.305 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCCNCc1cc(C)on1 ZINC001268791521 813088300 /nfs/dbraw/zinc/08/83/00/813088300.db2.gz OJMLPTWQHFVASK-ZDUSSCGKSA-N 0 1 293.367 0.959 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cnc[nH]c2=O)C1 ZINC001268844872 813111274 /nfs/dbraw/zinc/11/12/74/813111274.db2.gz ZKNGTNKZDRCIAK-UHFFFAOYSA-N 0 1 292.339 0.046 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)CCCCN2C(=O)c1cnc[nH]1 ZINC001268867303 813124558 /nfs/dbraw/zinc/12/45/58/813124558.db2.gz GPSHWHAYTJWSPB-OAHLLOKOSA-N 0 1 286.335 0.640 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)c2[nH]nc3c2CCC3)C1 ZINC001028652241 813165356 /nfs/dbraw/zinc/16/53/56/813165356.db2.gz LULNVBGWXWAADZ-JTQLQIEISA-N 0 1 273.340 0.474 20 30 CCEDMN N#CCCC(=O)N1CC[C@@H]2C[C@@]21C(=O)NCc1cnc[nH]1 ZINC001269024880 813181577 /nfs/dbraw/zinc/18/15/77/813181577.db2.gz XVSZYGAIVCZZJR-YGRLFVJLSA-N 0 1 287.323 0.321 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CCN(C(=O)CCC#N)C2 ZINC001269024653 813181693 /nfs/dbraw/zinc/18/16/93/813181693.db2.gz RANMUOBZBYPOMR-UHFFFAOYSA-N 0 1 275.312 0.300 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)CN(C)CC)C2)C1=O ZINC001269092996 813211849 /nfs/dbraw/zinc/21/18/49/813211849.db2.gz LSNRABMYFQDOQG-HNNXBMFYSA-N 0 1 277.368 0.022 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)C(C)(C)CN1CCOCC1 ZINC001269254494 813291730 /nfs/dbraw/zinc/29/17/30/813291730.db2.gz AQWLLUFCRNULPV-CQSZACIVSA-N 0 1 293.411 0.169 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H](COC)OC)C1 ZINC001269345064 813334718 /nfs/dbraw/zinc/33/47/18/813334718.db2.gz JDLYXTGYCTUFEE-UONOGXRCSA-N 0 1 282.384 0.594 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN([C@H](C)C(N)=O)C2)cc1 ZINC001028731876 813398059 /nfs/dbraw/zinc/39/80/59/813398059.db2.gz PMSXDLDBEFUNQS-OCCSQVGLSA-N 0 1 299.374 0.593 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CNC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001028776890 813487941 /nfs/dbraw/zinc/48/79/41/813487941.db2.gz WFDDXANNRFPJMM-JTQLQIEISA-N 0 1 298.774 0.930 20 30 CCEDMN CC#CCN1CC[C@@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001028906721 813646044 /nfs/dbraw/zinc/64/60/44/813646044.db2.gz UHIPXDQWOFOFJH-ZDUSSCGKSA-N 0 1 287.363 0.758 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cc3ccnn3C)[C@@H]2C1 ZINC001075737753 813673616 /nfs/dbraw/zinc/67/36/16/813673616.db2.gz YHLSIIKNCASAJO-DZGCQCFKSA-N 0 1 286.379 0.519 20 30 CCEDMN Cc1cc2[nH]ncc2cc1NC(=O)C(=O)NCCC#N ZINC000427875131 813687616 /nfs/dbraw/zinc/68/76/16/813687616.db2.gz OBSCQWNEOAJOKA-UHFFFAOYSA-N 0 1 271.280 0.840 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)Cc2cn(C)nc2C)CC1 ZINC001270237001 813690865 /nfs/dbraw/zinc/69/08/65/813690865.db2.gz GASAUSOJTMDXCG-UHFFFAOYSA-N 0 1 288.395 0.875 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCc3ncc[nH]3)[C@@H]2C1 ZINC001075745429 813697372 /nfs/dbraw/zinc/69/73/72/813697372.db2.gz GWKQPVBZGFNRDS-UONOGXRCSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN(C(=O)CNC(C)=O)C1CCN(CC#CC)CC1 ZINC001270279507 813706816 /nfs/dbraw/zinc/70/68/16/813706816.db2.gz NANBPPHYYSLURM-UHFFFAOYSA-N 0 1 289.379 0.072 20 30 CCEDMN C=CCCC(=O)N[C@@]1(C)CCN([C@@H](CC)C(N)=O)C1 ZINC001270558067 813809443 /nfs/dbraw/zinc/80/94/43/813809443.db2.gz PTTFDHRSFQHHBL-FZMZJTMJSA-N 0 1 267.373 0.797 20 30 CCEDMN C#CCCCC(=O)N[C@]1(C)CCN([C@@H](C)C(=O)NC)C1 ZINC001270576657 813821750 /nfs/dbraw/zinc/82/17/50/813821750.db2.gz MFQNMVWDJNBESV-SWLSCSKDSA-N 0 1 279.384 0.505 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1C[C@H](Nc2ccncc2C#N)C1 ZINC001059167515 813848356 /nfs/dbraw/zinc/84/83/56/813848356.db2.gz UISRDGHGQSETDO-UMVBOHGHSA-N 0 1 299.378 0.528 20 30 CCEDMN C=CCN1CCO[C@H](CNC(=O)CN2CCC(C)CC2)C1 ZINC001270692943 813895118 /nfs/dbraw/zinc/89/51/18/813895118.db2.gz WDAJHZHZWJYOPL-OAHLLOKOSA-N 0 1 295.427 0.721 20 30 CCEDMN CC#CC(=O)N1CC2(C1)CCN(Cc1cccc(=O)[nH]1)C2 ZINC001270716372 813903049 /nfs/dbraw/zinc/90/30/49/813903049.db2.gz DMAMQKNQHYITPU-UHFFFAOYSA-N 0 1 285.347 0.845 20 30 CCEDMN C[C@]1(CNCC#N)CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC001270727272 813907175 /nfs/dbraw/zinc/90/71/75/813907175.db2.gz PWBUXDUYZUINFB-OAHLLOKOSA-N 0 1 298.350 0.923 20 30 CCEDMN N#Cc1cnccc1N[C@H]1C[C@H](NC(=O)CN2CCCC2)C1 ZINC001059233567 813978199 /nfs/dbraw/zinc/97/81/99/813978199.db2.gz QAVOQJBSFOYWNT-HDJSIYSDSA-N 0 1 299.378 0.530 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2[C@H]1CCCNC1=O ZINC001029245322 814013068 /nfs/dbraw/zinc/01/30/68/814013068.db2.gz UIGRIHWEIJKXIT-RVMXOQNASA-N 0 1 290.367 0.100 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCc4c[nH]nc43)[C@@H]2C1 ZINC001075792108 814034908 /nfs/dbraw/zinc/03/49/08/814034908.db2.gz FSQXVFNKTUTVPV-MELADBBJSA-N 0 1 299.378 0.886 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)n(C)n2)[C@H]1CC ZINC001087514510 814046386 /nfs/dbraw/zinc/04/63/86/814046386.db2.gz ZTPYHWXACFEXIL-GXTWGEPZSA-N 0 1 274.368 0.944 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C=C(C)C)C1 ZINC001271110584 814156833 /nfs/dbraw/zinc/15/68/33/814156833.db2.gz VNWUXLHCJKUWJI-AWEZNQCLSA-N 0 1 250.342 0.529 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2nnc(C)o2)[C@H]1CC ZINC001087563855 814165164 /nfs/dbraw/zinc/16/51/64/814165164.db2.gz SRUUEAIJQMERNU-QWHCGFSZSA-N 0 1 290.367 0.913 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)CN2CC[C@H](C)C2)CCN1CC#N ZINC001087726897 814198617 /nfs/dbraw/zinc/19/86/17/814198617.db2.gz WPZWRDXMVLGARB-MELADBBJSA-N 0 1 278.400 0.821 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3nncn3c2)[C@H]1CC ZINC001087760429 814205006 /nfs/dbraw/zinc/20/50/06/814205006.db2.gz NSOZESWNJXIKOV-UONOGXRCSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CC(C#C)Oc1[nH]c(=O)ncc1Br ZINC001227138654 814290130 /nfs/dbraw/zinc/29/01/30/814290130.db2.gz SFUCOWISFCHOGP-UHFFFAOYSA-N 0 1 253.055 0.959 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)CNC(=O)CC)C1 ZINC001271262471 814355557 /nfs/dbraw/zinc/35/55/57/814355557.db2.gz IOFFXCOEYGRLDL-GFCCVEGCSA-N 0 1 297.399 0.030 20 30 CCEDMN C#CCCCC(=O)NCC1(O)CN(CC2CCOCC2)C1 ZINC001271276507 814362457 /nfs/dbraw/zinc/36/24/57/814362457.db2.gz UOQKEUFWJGOLJZ-UHFFFAOYSA-N 0 1 294.395 0.379 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(C(=O)c3cc(C)[nH]n3)C2)C1=O ZINC001271316786 814377473 /nfs/dbraw/zinc/37/74/73/814377473.db2.gz XYORKASGOGRMTJ-HNNXBMFYSA-N 0 1 288.351 0.969 20 30 CCEDMN C#CCN1CC[C@@H](OCC2CCN(C(=O)COC)CC2)C1 ZINC001088225649 814449421 /nfs/dbraw/zinc/44/94/21/814449421.db2.gz SEWGJVJMTBJKIZ-OAHLLOKOSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCn3ccccc3=O)[C@@H]2C1 ZINC001075856959 814551007 /nfs/dbraw/zinc/55/10/07/814551007.db2.gz RUIINLQUCRUFOA-LSDHHAIUSA-N 0 1 299.374 0.404 20 30 CCEDMN CC(C)(F)C(=O)NCCN1CCC(NCC#N)CC1 ZINC001271898556 814623615 /nfs/dbraw/zinc/62/36/15/814623615.db2.gz OONFFGFBBVOCQE-UHFFFAOYSA-N 0 1 270.352 0.428 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)C2CC2)C1 ZINC001271932419 814634493 /nfs/dbraw/zinc/63/44/93/814634493.db2.gz PXRZJBMJUDGEQA-CQSZACIVSA-N 0 1 252.358 0.916 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)COCC=C)C1 ZINC001271951376 814647074 /nfs/dbraw/zinc/64/70/74/814647074.db2.gz WDFAYFABDNWVAJ-OAHLLOKOSA-N 0 1 280.368 0.155 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccon2)C1 ZINC001098737531 814693634 /nfs/dbraw/zinc/69/36/34/814693634.db2.gz QNCJXUSSYXNPLM-FZMZJTMJSA-N 0 1 259.309 0.892 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1C ZINC001088455837 814707898 /nfs/dbraw/zinc/70/78/98/814707898.db2.gz MABRRIMPOVRJDP-ZJUUUORDSA-N 0 1 260.297 0.008 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2COCCO2)[C@H]1C ZINC001088475309 814726220 /nfs/dbraw/zinc/72/62/20/814726220.db2.gz RUCMBOYRSADPFD-FRRDWIJNSA-N 0 1 266.341 0.004 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)n2cncn2)[C@H]1C ZINC001088531218 814855399 /nfs/dbraw/zinc/85/53/99/814855399.db2.gz AFKMWJQUBVWMJC-AGIUHOORSA-N 0 1 275.356 0.441 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc4n(n3)CCO4)[C@@H]2C1 ZINC001075888634 814880101 /nfs/dbraw/zinc/88/01/01/814880101.db2.gz UJQPJBXDOOXPAQ-WCQYABFASA-N 0 1 288.351 0.608 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cn(C)nc3C)[C@@H]2C1 ZINC001075891166 814885704 /nfs/dbraw/zinc/88/57/04/814885704.db2.gz JOCFXHVLZRCBOH-DZGCQCFKSA-N 0 1 286.379 0.437 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2cc(Cl)cn2)[C@H]1C ZINC001088889186 816160536 /nfs/dbraw/zinc/16/05/36/816160536.db2.gz DLZACZCKPATWTE-PWSUYJOCSA-N 0 1 280.759 0.749 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CC[N@@H+](CCCO)[C@@H]2C)cn1 ZINC001088990361 816205982 /nfs/dbraw/zinc/20/59/82/816205982.db2.gz RFSKRJNSIZLSGK-DOMZBBRYSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)C2CC[NH+](CC#C)CC2)[C@H]1C ZINC001089060090 816227785 /nfs/dbraw/zinc/22/77/85/816227785.db2.gz SKHPQFLXWAJJQO-ZBFHGGJFSA-N 0 1 287.407 0.544 20 30 CCEDMN C=CCCC(=O)NC[C@@H](O)CNCc1oc(C)nc1C ZINC001272379741 816376608 /nfs/dbraw/zinc/37/66/08/816376608.db2.gz KWYWZOLAXMIZCZ-LBPRGKRZSA-N 0 1 281.356 0.824 20 30 CCEDMN Cc1csc(CNC[C@H](O)CNC(=O)C#CC2CC2)n1 ZINC001272415428 816404549 /nfs/dbraw/zinc/40/45/49/816404549.db2.gz XPYUYRWRLMKMFP-LBPRGKRZSA-N 0 1 293.392 0.432 20 30 CCEDMN C#CCCCNC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000176372333 816455854 /nfs/dbraw/zinc/45/58/54/816455854.db2.gz PTFFUGSSFDUDBY-AWEZNQCLSA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@H](CS(C)(=O)=O)C(=O)Nc1cc(C#N)ccc1O ZINC000176407472 816471656 /nfs/dbraw/zinc/47/16/56/816471656.db2.gz OTZBLPYDCDDMRY-MRVPVSSYSA-N 0 1 282.321 0.883 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(=O)n(C)o2)C1 ZINC001046273012 816477145 /nfs/dbraw/zinc/47/71/45/816477145.db2.gz DMBDZJQAAWIIQM-CYBMUJFWSA-N 0 1 265.313 0.359 20 30 CCEDMN CN(CCCNC(=O)CSCC#N)Cc1cncn1C ZINC001234146327 816501503 /nfs/dbraw/zinc/50/15/03/816501503.db2.gz HSANNVNEZLYDMB-UHFFFAOYSA-N 0 1 295.412 0.615 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)C[N@@H+]2CC[C@@H](C)C2)C1 ZINC001030960073 816506078 /nfs/dbraw/zinc/50/60/78/816506078.db2.gz OUGOCEPGDZMBHG-SNVBAGLBSA-N 0 1 271.792 0.881 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cocc2C)[C@@H](O)C1 ZINC001083705854 816507671 /nfs/dbraw/zinc/50/76/71/816507671.db2.gz YYPZFHYQYPTATP-OLZOCXBDSA-N 0 1 264.325 0.939 20 30 CCEDMN C=CCN1C[C@]2(CC1=O)CCCCN2CC(=O)N(C)C ZINC001272535225 816560232 /nfs/dbraw/zinc/56/02/32/816560232.db2.gz WOKUPLIODTZXOQ-OAHLLOKOSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCCN(C(N)=O)C2)C1 ZINC001046461032 816596884 /nfs/dbraw/zinc/59/68/84/816596884.db2.gz LFVNXZJDYCPHIZ-SWLSCSKDSA-N 0 1 294.399 0.544 20 30 CCEDMN CC(=O)NCC(=O)NCCNCc1ccc(C#N)cc1F ZINC001122667974 816753370 /nfs/dbraw/zinc/75/33/70/816753370.db2.gz PSYURKGHCGXVTK-UHFFFAOYSA-N 0 1 292.314 0.039 20 30 CCEDMN C[C@@H](CCNc1cncc(C#N)n1)NC(=O)c1ncn[nH]1 ZINC001106412734 816762959 /nfs/dbraw/zinc/76/29/59/816762959.db2.gz QEJLDKFCWYMYLM-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CCNc1cncc(C#N)n1)NC(=O)c1nc[nH]n1 ZINC001106412734 816762965 /nfs/dbraw/zinc/76/29/65/816762965.db2.gz QEJLDKFCWYMYLM-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN CCN(CCNc1nccnc1C#N)C(=O)c1cc(C)[nH]n1 ZINC001106691799 816851919 /nfs/dbraw/zinc/85/19/19/816851919.db2.gz IITWXIWMEBUCOT-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3cc[nH]c3)C2)OCC1=O ZINC001272644823 816922517 /nfs/dbraw/zinc/92/25/17/816922517.db2.gz TXQGTYCGWPHBPR-OAHLLOKOSA-N 0 1 273.336 0.451 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cccnc2OC)[C@@H](O)C1 ZINC001083749910 816979909 /nfs/dbraw/zinc/97/99/09/816979909.db2.gz WGUBWSONIHOHEO-OLZOCXBDSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2cnn(C)n2)C1 ZINC001046981539 816995428 /nfs/dbraw/zinc/99/54/28/816995428.db2.gz DVAMYOMFBZEKBE-JTQLQIEISA-N 0 1 299.325 0.688 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)NC[C@H](C)N2CCN(C)CC2)C1 ZINC001123885261 817153955 /nfs/dbraw/zinc/15/39/55/817153955.db2.gz DABFKZVNNKVHJQ-LSDHHAIUSA-N 0 1 292.427 0.677 20 30 CCEDMN C=C(Cl)CN1CC(CNC(=O)[C@H]2CCCN2C)C1 ZINC001031577328 817157068 /nfs/dbraw/zinc/15/70/68/817157068.db2.gz LIZBWLQMQSOTKU-GFCCVEGCSA-N 0 1 271.792 0.881 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2nnn(C)c2C)C1 ZINC001031591803 817171922 /nfs/dbraw/zinc/17/19/22/817171922.db2.gz WZJAYGBIXPHZQB-UHFFFAOYSA-N 0 1 263.345 0.361 20 30 CCEDMN CO[C@@H](C)CN1C[C@@H](NC(=O)C#CC2CC2)[C@H](OC)C1 ZINC001212245709 817198576 /nfs/dbraw/zinc/19/85/76/817198576.db2.gz AIFPFTJUJVNGEA-IACUBPJLSA-N 0 1 280.368 0.250 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cncc3[nH]cnc32)C1 ZINC001031688928 817244433 /nfs/dbraw/zinc/24/44/33/817244433.db2.gz NWROTJIVVQDRJS-UHFFFAOYSA-N 0 1 271.324 0.806 20 30 CCEDMN COC(=O)[C@H]1CN(Cc2ccc(C#N)cc2[O-])C[C@H]1[NH3+] ZINC001236349797 817279624 /nfs/dbraw/zinc/27/96/24/817279624.db2.gz HOQLYTWGWKBTRT-NWDGAFQWSA-N 0 1 275.308 0.196 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2occc2C)[C@@H](O)C1 ZINC001083764129 817287875 /nfs/dbraw/zinc/28/78/75/817287875.db2.gz QTSAJVFZSZZBFQ-OLZOCXBDSA-N 0 1 276.336 0.315 20 30 CCEDMN CC1(C)CC[C@H](CNC(=O)c2ncn[nH]2)N(CC#N)C1 ZINC001089710074 817327069 /nfs/dbraw/zinc/32/70/69/817327069.db2.gz AJYMQGJWNNLYFY-SNVBAGLBSA-N 0 1 276.344 0.549 20 30 CCEDMN CC1(C)CC[C@H](CNC(=O)c2nc[nH]n2)N(CC#N)C1 ZINC001089710074 817327079 /nfs/dbraw/zinc/32/70/79/817327079.db2.gz AJYMQGJWNNLYFY-SNVBAGLBSA-N 0 1 276.344 0.549 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](OCC)C2CC2)[C@H](OC)C1 ZINC001212289235 817342801 /nfs/dbraw/zinc/34/28/01/817342801.db2.gz BTXMKALJQUIYAK-KFWWJZLASA-N 0 1 294.395 0.640 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCC1CN(CC#N)C1 ZINC001031774147 817354711 /nfs/dbraw/zinc/35/47/11/817354711.db2.gz POQQKBVRWPMOBD-LBPRGKRZSA-N 0 1 250.346 0.042 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(=O)n(C)c2)C1 ZINC001031805899 817380949 /nfs/dbraw/zinc/38/09/49/817380949.db2.gz YIVDIJIJNOOOSU-UHFFFAOYSA-N 0 1 261.325 0.233 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)NCC1CN(CC#N)C1 ZINC001031856844 817420364 /nfs/dbraw/zinc/42/03/64/817420364.db2.gz KFNMCTBBAJQFOH-QMTHXVAHSA-N 0 1 287.367 0.647 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2coc(C(N)=O)c2)C1 ZINC001031872806 817433552 /nfs/dbraw/zinc/43/35/52/817433552.db2.gz VSBHZUMZQXGFNR-UHFFFAOYSA-N 0 1 277.324 0.616 20 30 CCEDMN C=CCN1CC(CNC(=O)c2nnn(CC)c2CC)C1 ZINC001031972173 817510828 /nfs/dbraw/zinc/51/08/28/817510828.db2.gz XALLASMZYCVIFT-UHFFFAOYSA-N 0 1 277.372 0.708 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc3ncn(C)c3n2)C1 ZINC001031973627 817512877 /nfs/dbraw/zinc/51/28/77/817512877.db2.gz CIJQNSGUVSUHQQ-UHFFFAOYSA-N 0 1 285.351 0.816 20 30 CCEDMN C=CCCC(=O)NCCN[C@H](C)C(=O)Nc1ncccn1 ZINC001124635836 817529670 /nfs/dbraw/zinc/52/96/70/817529670.db2.gz AXDDNVDPDSHKQY-LLVKDONJSA-N 0 1 291.355 0.476 20 30 CCEDMN C#CCOc1ccc(C(=O)NCC2CN(CCO)C2)cc1 ZINC001032031169 817564358 /nfs/dbraw/zinc/56/43/58/817564358.db2.gz UFRQISJWKKSAOQ-UHFFFAOYSA-N 0 1 288.347 0.353 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cc(OC)ns1 ZINC001124764966 817565816 /nfs/dbraw/zinc/56/58/16/817565816.db2.gz GRWTVEXVMDYVLO-UHFFFAOYSA-N 0 1 285.369 0.560 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(C(N)=O)s2)C1 ZINC001032050367 817581045 /nfs/dbraw/zinc/58/10/45/817581045.db2.gz XTTYOZWLWCZUGN-UHFFFAOYSA-N 0 1 279.365 0.695 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnc(OC)nc2)C1 ZINC001032099843 817632482 /nfs/dbraw/zinc/63/24/82/817632482.db2.gz VOPJIFZWUKHXPZ-UHFFFAOYSA-N 0 1 274.324 0.170 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCCCN2C(N)=O)C1 ZINC001032119353 817645193 /nfs/dbraw/zinc/64/51/93/817645193.db2.gz OFZBCTDESIFSHP-GFCCVEGCSA-N 0 1 280.372 0.154 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1COCCO1 ZINC001032290205 817787826 /nfs/dbraw/zinc/78/78/26/817787826.db2.gz KSWWCLYWVNOSLG-RWMBFGLXSA-N 0 1 266.341 0.263 20 30 CCEDMN CC(=O)NC[C@]12CCC[C@H]1N(CC(=O)NCC#N)CC2 ZINC001107251545 817821840 /nfs/dbraw/zinc/82/18/40/817821840.db2.gz HMWURJWXALLWKS-TZMCWYRMSA-N 0 1 278.356 0.007 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC2=CCOCC2)C1 ZINC001077628575 817887893 /nfs/dbraw/zinc/88/78/93/817887893.db2.gz ISOXYGVIOJZWHL-ZIAGYGMSSA-N 0 1 280.368 0.461 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)CN(C)C(C)=O)C1 ZINC001107755984 817985219 /nfs/dbraw/zinc/98/52/19/817985219.db2.gz QGOOBZVBAATJPB-HNNXBMFYSA-N 0 1 297.399 0.248 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@H](NC(=O)c2[n-]nnc2C)C12CCC2 ZINC001078695915 818035552 /nfs/dbraw/zinc/03/55/52/818035552.db2.gz SDQFDNZPMILYHT-QWRGUYRKSA-N 0 1 273.340 0.377 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2C[C@H](NCC#N)C23CCC3)=NC1=O ZINC001078721113 818041727 /nfs/dbraw/zinc/04/17/27/818041727.db2.gz WAVRRWUNEKFUHU-WDEREUQCSA-N 0 1 289.339 0.280 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccnc2)[C@@H](O)C1 ZINC001089973118 818083184 /nfs/dbraw/zinc/08/31/84/818083184.db2.gz KROZWYCDBZBEMJ-STQMWFEESA-N 0 1 261.325 0.433 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2cncn2C)C1 ZINC001108053902 818196608 /nfs/dbraw/zinc/19/66/08/818196608.db2.gz VYGPJFQKXKQBIN-OAHLLOKOSA-N 0 1 292.383 0.817 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](N(C)C(C)=O)C2)C1=O ZINC001032852563 818311150 /nfs/dbraw/zinc/31/11/50/818311150.db2.gz OQNRCFIFRCMULV-QWHCGFSZSA-N 0 1 265.357 0.326 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(C)n(C)n2)C1 ZINC001032942858 818380581 /nfs/dbraw/zinc/38/05/81/818380581.db2.gz OQCKSNXOOMRHPX-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cc(C)on2)C1 ZINC001032968409 818396908 /nfs/dbraw/zinc/39/69/08/818396908.db2.gz QRPWYIMLFUALEB-ZDUSSCGKSA-N 0 1 261.325 0.691 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCOC2)C1 ZINC001032979484 818402970 /nfs/dbraw/zinc/40/29/70/818402970.db2.gz DMPRZUKFLJBQKO-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001032991619 818412043 /nfs/dbraw/zinc/41/20/43/818412043.db2.gz GYKSUXUZBKXKMJ-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)n2cncn2)C1 ZINC001033020313 818428843 /nfs/dbraw/zinc/42/88/43/818428843.db2.gz KECJHOZZNHSCOI-NWDGAFQWSA-N 0 1 263.345 0.558 20 30 CCEDMN C=C(C)CN1C[C@]2(CCN(Cc3cnc[nH]3)C2)OCC1=O ZINC001273022479 818452649 /nfs/dbraw/zinc/45/26/49/818452649.db2.gz AJVPOZFQHQPCET-OAHLLOKOSA-N 0 1 290.367 0.789 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2ccn(C)n2)C1 ZINC001033075987 818496097 /nfs/dbraw/zinc/49/60/97/818496097.db2.gz RZDHHBAEUOAVAG-CYBMUJFWSA-N 0 1 260.341 0.129 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCc3n[nH]cc32)C1 ZINC001033080656 818501234 /nfs/dbraw/zinc/50/12/34/818501234.db2.gz AHZYIHCSUIUSES-OLZOCXBDSA-N 0 1 286.379 0.996 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033094020 818507840 /nfs/dbraw/zinc/50/78/40/818507840.db2.gz WFNYZJMBJNURRX-JHJVBQTASA-N 0 1 279.384 0.620 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033126213 818557264 /nfs/dbraw/zinc/55/72/64/818557264.db2.gz GPGGCOQGXHDQBM-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C1 ZINC001033166652 818594872 /nfs/dbraw/zinc/59/48/72/818594872.db2.gz VMWIWYIPDHYOFU-YNEHKIRRSA-N 0 1 272.352 0.679 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033168940 818597751 /nfs/dbraw/zinc/59/77/51/818597751.db2.gz HLDDUKSERPTSBM-SNVBAGLBSA-N 0 1 264.329 0.756 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CN2CCCCC2=O)C1 ZINC001033215914 818655993 /nfs/dbraw/zinc/65/59/93/818655993.db2.gz BTDCPPKPCCIYGS-CQSZACIVSA-N 0 1 291.395 0.555 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(C)s2)[C@@H](O)C1 ZINC001090129958 818687746 /nfs/dbraw/zinc/68/77/46/818687746.db2.gz RSTXHWBXWJEVDU-MNOVXSKESA-N 0 1 281.381 0.803 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C)n(C)n2)[C@H](O)C1 ZINC001090131111 818693864 /nfs/dbraw/zinc/69/38/64/818693864.db2.gz YOGDNLAWESDUMY-TZMCWYRMSA-N 0 1 292.383 0.470 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2c(C)nn(C)c2C)C1 ZINC001033307341 818702633 /nfs/dbraw/zinc/70/26/33/818702633.db2.gz XDHDGWDKIBIDHK-CQSZACIVSA-N 0 1 288.395 0.745 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001033319827 818705194 /nfs/dbraw/zinc/70/51/94/818705194.db2.gz FYDNXSSAPRAOPR-STQMWFEESA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cn(C)nc2OC)C1 ZINC001033323959 818709633 /nfs/dbraw/zinc/70/96/33/818709633.db2.gz BMDLBRVZJJSEDW-NSHDSACASA-N 0 1 278.356 0.761 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cscn2)[C@@H](O)C1 ZINC001090135935 818719327 /nfs/dbraw/zinc/71/93/27/818719327.db2.gz ZCTUGQPKMWTOLV-JQWIXIFHSA-N 0 1 281.381 0.884 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2ccc(OC)cn2)C1 ZINC001033367550 818736073 /nfs/dbraw/zinc/73/60/73/818736073.db2.gz GOUKFTMWJSHMCL-AWEZNQCLSA-N 0 1 287.363 0.799 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cnc(C)n2C)C1 ZINC001033359164 818737713 /nfs/dbraw/zinc/73/77/13/818737713.db2.gz VOQLHRRDOKVMIR-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001033532572 818817382 /nfs/dbraw/zinc/81/73/82/818817382.db2.gz KLCMOXWMTWNMSY-GFCCVEGCSA-N 0 1 288.351 0.283 20 30 CCEDMN CC1CCN(CC(=O)N(C)[C@@H]2CCN(CC#N)C2)CC1 ZINC001033534817 818822611 /nfs/dbraw/zinc/82/26/11/818822611.db2.gz YQAQBKOIWOHUOM-CQSZACIVSA-N 0 1 278.400 0.775 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc(=O)[nH]c2)C1 ZINC001033637435 818863038 /nfs/dbraw/zinc/86/30/38/818863038.db2.gz YFZPQVFPGOINBG-CYBMUJFWSA-N 0 1 273.336 0.957 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001033668083 818877573 /nfs/dbraw/zinc/87/75/73/818877573.db2.gz KTNWANBYRLSHPZ-LBPRGKRZSA-N 0 1 288.351 0.283 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001033668083 818877578 /nfs/dbraw/zinc/87/75/78/818877578.db2.gz KTNWANBYRLSHPZ-LBPRGKRZSA-N 0 1 288.351 0.283 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001033677107 818884482 /nfs/dbraw/zinc/88/44/82/818884482.db2.gz ZJTGLOMALCICLJ-OCCSQVGLSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001033689065 818889659 /nfs/dbraw/zinc/88/96/59/818889659.db2.gz WOAOTCBXNXLDTM-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2ccnn2C)C1 ZINC001033774599 818928133 /nfs/dbraw/zinc/92/81/33/818928133.db2.gz VTQMZMAQSPAELQ-ZDUSSCGKSA-N 0 1 274.368 0.980 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033848494 818965632 /nfs/dbraw/zinc/96/56/32/818965632.db2.gz NIJUDKBWIOKRPW-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033881993 818979636 /nfs/dbraw/zinc/97/96/36/818979636.db2.gz NFGSTEPXNJLRJF-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCNC2=O)C1 ZINC001033920228 818995024 /nfs/dbraw/zinc/99/50/24/818995024.db2.gz RKPFQKOFBQHDGM-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2=CCCC2)[C@H](O)C1 ZINC001090171522 819011128 /nfs/dbraw/zinc/01/11/28/819011128.db2.gz PQNZNMDTLDIMQF-CHWSQXEVSA-N 0 1 250.342 0.834 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001033960268 819018741 /nfs/dbraw/zinc/01/87/41/819018741.db2.gz PCPCNQKVYWNLFG-TUAOUCFPSA-N 0 1 265.357 0.217 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001033960269 819019712 /nfs/dbraw/zinc/01/97/12/819019712.db2.gz PCPCNQKVYWNLFG-UTUOFQBUSA-N 0 1 265.357 0.217 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(CCC)C[C@@H]1n1ccnn1 ZINC001128812206 819032165 /nfs/dbraw/zinc/03/21/65/819032165.db2.gz RIPUQNRLWIHFKB-OLZOCXBDSA-N 0 1 293.371 0.232 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cn(C)cn2)[C@H](O)C1 ZINC001090188586 819068059 /nfs/dbraw/zinc/06/80/59/819068059.db2.gz FTZDCYUIHVFEHK-DGCLKSJQSA-N 0 1 278.356 0.161 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cn2cc(C)cn2)C1 ZINC001034123778 819072584 /nfs/dbraw/zinc/07/25/84/819072584.db2.gz RQOXDEHZBJDKGS-AWEZNQCLSA-N 0 1 274.368 0.795 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cncc(F)c2)[C@H](O)C1 ZINC001090191182 819088674 /nfs/dbraw/zinc/08/86/74/819088674.db2.gz GKHMOXJSEOJDJY-QWHCGFSZSA-N 0 1 279.315 0.572 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC001034189021 819110136 /nfs/dbraw/zinc/11/01/36/819110136.db2.gz YCZMUIMRYWSUQF-NSHDSACASA-N 0 1 277.324 0.196 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](O)C1 ZINC001090201962 819145746 /nfs/dbraw/zinc/14/57/46/819145746.db2.gz VAGFMGSEGWCKRQ-GHMZBOCLSA-N 0 1 294.355 0.165 20 30 CCEDMN C=C(C)C[N@@H+]1CCCC[C@@H](NC(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001034340484 819173267 /nfs/dbraw/zinc/17/32/67/819173267.db2.gz ORQOYEFCKDSMSN-CHWSQXEVSA-N 0 1 294.399 0.557 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001034368003 819179565 /nfs/dbraw/zinc/17/95/65/819179565.db2.gz JAEUABGMHQTKJB-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001034403957 819195192 /nfs/dbraw/zinc/19/51/92/819195192.db2.gz FIMMVZKHELVCKS-MELADBBJSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)Cn2nccc2C)C1 ZINC001034427449 819197095 /nfs/dbraw/zinc/19/70/95/819197095.db2.gz XTQSVNJFHSMAHU-AWEZNQCLSA-N 0 1 274.368 0.795 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cn2nccc2C)C1 ZINC001034427449 819197100 /nfs/dbraw/zinc/19/71/00/819197100.db2.gz XTQSVNJFHSMAHU-AWEZNQCLSA-N 0 1 274.368 0.795 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001034509436 819226570 /nfs/dbraw/zinc/22/65/70/819226570.db2.gz WFTJCRDBXGUETP-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cn2ccnc2)C1 ZINC001034546210 819238676 /nfs/dbraw/zinc/23/86/76/819238676.db2.gz ATGOTFVLJNHTMC-ZDUSSCGKSA-N 0 1 260.341 0.487 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CN(CC)CCO2)C1 ZINC001034543370 819239736 /nfs/dbraw/zinc/23/97/36/819239736.db2.gz WYIXHHQDILEQJI-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN C[C@H](CNc1ncccc1C#N)NC(=O)CCc1c[nH]nn1 ZINC001108131680 819250368 /nfs/dbraw/zinc/25/03/68/819250368.db2.gz WBRUJOGMFDSQQL-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@H](CNc1ncccc1C#N)NC(=O)CCc1cnn[nH]1 ZINC001108131680 819250373 /nfs/dbraw/zinc/25/03/73/819250373.db2.gz WBRUJOGMFDSQQL-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)Cc2cncnc2)[C@@H](O)C1 ZINC001090232030 819280077 /nfs/dbraw/zinc/28/00/77/819280077.db2.gz RGOVDVUQQWVYTN-KBPBESRZSA-N 0 1 290.367 0.147 20 30 CCEDMN C[C@H](CNc1nccnc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001108136338 819280596 /nfs/dbraw/zinc/28/05/96/819280596.db2.gz RYVBOECFXJIXJX-SECBINFHSA-N 0 1 285.311 0.231 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc[nH]c2CC)[C@@H](O)C1 ZINC001090247367 819305513 /nfs/dbraw/zinc/30/55/13/819305513.db2.gz WDBAAPPFKULQBV-KGLIPLIRSA-N 0 1 277.368 0.928 20 30 CCEDMN C#CCC1(C(=O)NCCNCc2cnnn2C)CCC1 ZINC001129120879 819418367 /nfs/dbraw/zinc/41/83/67/819418367.db2.gz IQIYGVDXTJVLOJ-UHFFFAOYSA-N 0 1 275.356 0.215 20 30 CCEDMN N#CCN1CCO[C@@H](CNC(=O)c2cccc3nc[nH]c32)C1 ZINC001035559802 819570513 /nfs/dbraw/zinc/57/05/13/819570513.db2.gz NRJRPIKMWGZMBP-NSHDSACASA-N 0 1 299.334 0.517 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@H]1OCC)CC2 ZINC001035655890 819593511 /nfs/dbraw/zinc/59/35/11/819593511.db2.gz KXNPTKUHTYHXHY-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cccc(=O)[nH]1)CC2 ZINC001035677273 819599993 /nfs/dbraw/zinc/59/99/93/819599993.db2.gz CLEGLECKBCJMKO-UHFFFAOYSA-N 0 1 285.347 0.958 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCn2cccn2)[C@H](O)C1 ZINC001099684166 819698893 /nfs/dbraw/zinc/69/88/93/819698893.db2.gz NYYWXVYFCFAMGX-CHWSQXEVSA-N 0 1 278.356 0.011 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCn2cccn2)[C@@H](O)C1 ZINC001099684169 819700025 /nfs/dbraw/zinc/70/00/25/819700025.db2.gz NYYWXVYFCFAMGX-STQMWFEESA-N 0 1 278.356 0.011 20 30 CCEDMN C#CC1(O)CCN([C@@H]2CCN(C(C)C)C2=O)CC1 ZINC000707913132 819749857 /nfs/dbraw/zinc/74/98/57/819749857.db2.gz ZTFDFVPZOWWIQH-GFCCVEGCSA-N 0 1 250.342 0.456 20 30 CCEDMN C#CC1(O)CCN(CCn2cc(Cl)cn2)CC1 ZINC000708166334 819761608 /nfs/dbraw/zinc/76/16/08/819761608.db2.gz ZDIYLRCVFOLXPU-UHFFFAOYSA-N 0 1 253.733 0.997 20 30 CCEDMN C#CC1(O)CCN([C@@H](C)C(=O)NC(C)(C)C)CC1 ZINC000708158849 819762237 /nfs/dbraw/zinc/76/22/37/819762237.db2.gz MBTZUFWYDAMRTI-NSHDSACASA-N 0 1 252.358 0.750 20 30 CCEDMN C#CCCCS(=O)(=O)N1CCC[C@@H]1CN(C)C ZINC000710286230 819825101 /nfs/dbraw/zinc/82/51/01/819825101.db2.gz VAMUFSQAGARBQP-GFCCVEGCSA-N 0 1 258.387 0.756 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H](C)CN1CCCCC1 ZINC000711046846 819877894 /nfs/dbraw/zinc/87/78/94/819877894.db2.gz QXICQYUQGAFNAF-LBPRGKRZSA-N 0 1 258.387 0.804 20 30 CCEDMN N#Cc1cncc(N2C[C@@H]3C[C@H]2CN3C(=O)c2ccn[nH]2)n1 ZINC001062450218 819954742 /nfs/dbraw/zinc/95/47/42/819954742.db2.gz AQANQKMZTOATDU-QWRGUYRKSA-N 0 1 295.306 0.175 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(C)nn2)[C@H](O)C1 ZINC001090259633 819973402 /nfs/dbraw/zinc/97/34/02/819973402.db2.gz VSEFHONCZMQKTD-DGCLKSJQSA-N 0 1 276.340 0.136 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)Cc3ccn[nH]3)CC[C@@H]21 ZINC001036677290 819990351 /nfs/dbraw/zinc/99/03/51/819990351.db2.gz ZBXGHGLTKWPRGB-YPMHNXCESA-N 0 1 273.340 0.399 20 30 CCEDMN C#CC[N@H+]1CC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC001036696021 820036013 /nfs/dbraw/zinc/03/60/13/820036013.db2.gz MVFUOIMNQPBOMK-QWHCGFSZSA-N 0 1 285.347 0.957 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CN(C(=O)c3ncccc3[O-])CC[C@H]21 ZINC001036696021 820036019 /nfs/dbraw/zinc/03/60/19/820036019.db2.gz MVFUOIMNQPBOMK-QWHCGFSZSA-N 0 1 285.347 0.957 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(OC)ns2)[C@H](O)C1 ZINC001090272500 820036094 /nfs/dbraw/zinc/03/60/94/820036094.db2.gz PKUXSXHRHPYFOG-NXEZZACHSA-N 0 1 297.380 0.503 20 30 CCEDMN CN1CC[C@H]1CNC(=O)COc1ccccc1C#N ZINC000712268964 820246876 /nfs/dbraw/zinc/24/68/76/820246876.db2.gz ZZGWKBDRXOGVHW-LBPRGKRZSA-N 0 1 259.309 0.757 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2C)C1 ZINC001079427343 820382430 /nfs/dbraw/zinc/38/24/30/820382430.db2.gz PPSGKOWKTXRXTO-ZWNOBZJWSA-N 0 1 260.341 0.412 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCCO2)C1 ZINC001079437164 820398161 /nfs/dbraw/zinc/39/81/61/820398161.db2.gz PBOWSUWLEWMNLR-JHJVBQTASA-N 0 1 250.342 0.625 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cccc(=O)[nH]2)C1 ZINC001079531413 820416041 /nfs/dbraw/zinc/41/60/41/820416041.db2.gz MFUPHFMCGDZZPR-DGCLKSJQSA-N 0 1 273.336 0.861 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC001079630859 820436837 /nfs/dbraw/zinc/43/68/37/820436837.db2.gz RQJWHSHQWJPNHJ-GHMZBOCLSA-N 0 1 277.324 0.052 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c[nH]nc2CC)C1 ZINC001080021861 820501430 /nfs/dbraw/zinc/50/14/30/820501430.db2.gz VZVHSFWSDFXWNY-ZWNOBZJWSA-N 0 1 260.341 0.655 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(N(C)C)nc2)C1 ZINC001080018824 820502890 /nfs/dbraw/zinc/50/28/90/820502890.db2.gz NIKIELRIMGXGSD-TZMCWYRMSA-N 0 1 286.379 0.831 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2csnn2)C1 ZINC001080211282 820529278 /nfs/dbraw/zinc/52/92/78/820529278.db2.gz OSGHAVKADGUBFF-RKDXNWHRSA-N 0 1 252.343 0.774 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3nonc3c2)C1 ZINC001080372200 820560366 /nfs/dbraw/zinc/56/03/66/820560366.db2.gz LMASBXYMMWRQEW-QMTHXVAHSA-N 0 1 284.319 0.906 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001080462958 820578783 /nfs/dbraw/zinc/57/87/83/820578783.db2.gz RXAAFQGGHIEESK-ZYHUDNBSSA-N 0 1 289.335 0.479 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(CC)nn2C)C1 ZINC001080666650 820609165 /nfs/dbraw/zinc/60/91/65/820609165.db2.gz VFNLLWZLSIMZIO-DGCLKSJQSA-N 0 1 274.368 0.666 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCOC2)C1 ZINC001080674554 820619230 /nfs/dbraw/zinc/61/92/30/820619230.db2.gz KBYHORPIIDDFPQ-NFAWXSAZSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001080723760 820630697 /nfs/dbraw/zinc/63/06/97/820630697.db2.gz RERUKLSESHZLBY-DGCLKSJQSA-N 0 1 288.351 0.559 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001080805386 820639849 /nfs/dbraw/zinc/63/98/49/820639849.db2.gz PHYOXIGIHIOARG-TZMCWYRMSA-N 0 1 287.363 0.459 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001080860169 820647943 /nfs/dbraw/zinc/64/79/43/820647943.db2.gz AABPMTCSXMTWCN-BPLDGKMQSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(CCOC)c2)C1 ZINC001080860681 820648266 /nfs/dbraw/zinc/64/82/66/820648266.db2.gz VLLTWEJANWBASB-TZMCWYRMSA-N 0 1 292.383 0.766 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001080948962 820660349 /nfs/dbraw/zinc/66/03/49/820660349.db2.gz OPVILKPLPUOMNB-ZWNOBZJWSA-N 0 1 288.351 0.187 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc(N(C)C)cn2)C1 ZINC001081015946 820670652 /nfs/dbraw/zinc/67/06/52/820670652.db2.gz ZAQQWCVLULLMFO-IUODEOHRSA-N 0 1 286.379 0.831 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(N(C)C)cn2)C1 ZINC001081015946 820670657 /nfs/dbraw/zinc/67/06/57/820670657.db2.gz ZAQQWCVLULLMFO-IUODEOHRSA-N 0 1 286.379 0.831 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)ncn2)[C@H](OC)C1 ZINC001081548311 820795802 /nfs/dbraw/zinc/79/58/02/820795802.db2.gz PIUAESGQTBNRMX-ZIAGYGMSSA-N 0 1 288.351 0.237 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2c[nH]nc2C)[C@H](OC)C1 ZINC001082004711 820877748 /nfs/dbraw/zinc/87/77/48/820877748.db2.gz YCIMGHAIZKGBFB-CHWSQXEVSA-N 0 1 278.356 0.723 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@H](OC)C1 ZINC001082101008 820898397 /nfs/dbraw/zinc/89/83/97/820898397.db2.gz BBRIBYGUHWACCM-ZYHUDNBSSA-N 0 1 294.355 0.131 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2COCCO2)C[C@H]1C ZINC001082430280 820970664 /nfs/dbraw/zinc/97/06/64/820970664.db2.gz BRIGOTOSRVZZIB-JLLWLGSASA-N 0 1 288.775 0.591 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C[C@H]2CCNC2=O)C[C@H]1C ZINC001082556649 821000740 /nfs/dbraw/zinc/00/07/40/821000740.db2.gz XUIGVWZUNJWMLH-YUSALJHKSA-N 0 1 299.802 0.702 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCC(=O)N2C)C[C@H]1C ZINC001082688715 821018591 /nfs/dbraw/zinc/01/85/91/821018591.db2.gz KLOIKVKFBQCMHG-JLLWLGSASA-N 0 1 299.802 0.796 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3c[nH]c(C)n3)[C@H]2C1 ZINC001083023445 821113229 /nfs/dbraw/zinc/11/32/29/821113229.db2.gz CGCFJZRTFMNRLJ-UONOGXRCSA-N 0 1 290.367 0.819 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H]3C[C@@H]3C)[C@H]2C1 ZINC001083166072 821153850 /nfs/dbraw/zinc/15/38/50/821153850.db2.gz ZDYYCFXQSPFNDW-XDQVBPFNSA-N 0 1 262.353 0.577 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2[C@H]3[C@@H]2[C@@H]2CC[C@H]3C2)[C@@H](O)C1 ZINC001084107741 821202307 /nfs/dbraw/zinc/20/23/07/821202307.db2.gz RMQLGWIMHILTRZ-RMCMBSFLSA-N 0 1 288.391 0.463 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCCC(=O)N3)[C@@H]2C1 ZINC001084434962 821295946 /nfs/dbraw/zinc/29/59/46/821295946.db2.gz BOHLLYLYDMCJFQ-JHJVBQTASA-N 0 1 277.368 0.374 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CN3CCCCC3=O)[C@@H]2C1 ZINC001084480199 821297572 /nfs/dbraw/zinc/29/75/72/821297572.db2.gz IWDDELBGYZAJHF-ZIAGYGMSSA-N 0 1 291.395 0.718 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3c[nH]c(=O)n3C)[C@@H]2C1 ZINC001084576745 821317856 /nfs/dbraw/zinc/31/78/56/821317856.db2.gz PSJILPXLASMDIT-DGCLKSJQSA-N 0 1 288.351 0.295 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)[C@@H]3COCCO3)C[C@@H]21 ZINC001084895011 821424844 /nfs/dbraw/zinc/42/48/44/821424844.db2.gz FUACLNUVYYNJBY-ILXRZTDVSA-N 0 1 292.379 0.348 20 30 CCEDMN C#C[C@H](Oc1nc(C)cc(O)c1[N+](=O)[O-])C(=O)OCC ZINC001231264868 821515505 /nfs/dbraw/zinc/51/55/05/821515505.db2.gz VIJRYZATMYPALY-VIFPVBQESA-N 0 1 280.236 0.948 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C1=CCOCC1 ZINC001085559516 821792642 /nfs/dbraw/zinc/79/26/42/821792642.db2.gz QVHLYADRPBPNPS-AWEZNQCLSA-N 0 1 262.353 0.889 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCNC1=O ZINC001085652970 821872624 /nfs/dbraw/zinc/87/26/24/821872624.db2.gz JWHHWPARYHMLHH-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cnc[nH]c1=O ZINC001085662552 821882544 /nfs/dbraw/zinc/88/25/44/821882544.db2.gz DWMIVSVPRCCJAW-LLVKDONJSA-N 0 1 276.340 0.905 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001085822753 821970982 /nfs/dbraw/zinc/97/09/82/821970982.db2.gz QBBJROOQCPKXSG-RDBSUJKOSA-N 0 1 293.411 0.997 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N2CCN(CCOC)CC2)C1 ZINC001273374791 822025776 /nfs/dbraw/zinc/02/57/76/822025776.db2.gz MINCMVHTAFEKHK-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001086194962 822150231 /nfs/dbraw/zinc/15/02/31/822150231.db2.gz YCTCTKUWXSUVKL-TUAOUCFPSA-N 0 1 287.367 0.838 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2CN(Cc3cccnc3)C[C@H]21 ZINC001114063838 837425630 /nfs/dbraw/zinc/42/56/30/837425630.db2.gz IRDNOSGXYSKFEZ-SCUASFONSA-N 0 1 270.336 0.788 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC=CC1 ZINC001114084618 837431522 /nfs/dbraw/zinc/43/15/22/837431522.db2.gz BWYSDPMRHQGIOL-FICVDOATSA-N 0 1 274.364 0.649 20 30 CCEDMN N#CCNCCCNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001170431198 837433296 /nfs/dbraw/zinc/43/32/96/837433296.db2.gz IODVWCKKHDQROY-JTQLQIEISA-N 0 1 261.329 0.134 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(C)n1 ZINC001114174598 837462267 /nfs/dbraw/zinc/46/22/67/837462267.db2.gz WYFNQECDVRABEL-IMRBUKKESA-N 0 1 289.335 0.293 20 30 CCEDMN C=C(Br)CNCCNC(=O)C(C)(C)C(N)=O ZINC001129931230 837588078 /nfs/dbraw/zinc/58/80/78/837588078.db2.gz MLXHTVBRTKZCTI-UHFFFAOYSA-N 0 1 292.177 0.112 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)[C@H]2CC23CC3)C[C@@H]1n1ccnn1 ZINC001130017318 837610328 /nfs/dbraw/zinc/61/03/28/837610328.db2.gz BPHCFQBCENZGJI-MCIONIFRSA-N 0 1 299.378 0.443 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCn2cncn2)C1 ZINC001206059349 837714560 /nfs/dbraw/zinc/71/45/60/837714560.db2.gz JUWSSNRUDWWSFB-CHWSQXEVSA-N 0 1 275.356 0.128 20 30 CCEDMN N#Cc1cc2[nH]c(-n3[n-]c(=O)nc3C[NH3+])ccc-2n1 ZINC001157941252 837747819 /nfs/dbraw/zinc/74/78/19/837747819.db2.gz YLDVGFHGBCBAEE-UHFFFAOYSA-N 0 1 255.241 0.180 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCCOC)[C@@H]2C1 ZINC001187048213 844639577 /nfs/dbraw/zinc/63/95/77/844639577.db2.gz VKHUPUZWPVPMEB-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)Cn2cncn2)C1 ZINC001108384470 835997961 /nfs/dbraw/zinc/99/79/61/835997961.db2.gz SRMBUGUAEIRFJO-CQSZACIVSA-N 0 1 293.371 0.061 20 30 CCEDMN Cc1cnc(CN)n1-c1ccc([N+](=O)[O-])c(C#N)n1 ZINC001169021428 836115102 /nfs/dbraw/zinc/11/51/02/836115102.db2.gz FSHCYRDYIXNLGM-UHFFFAOYSA-N 0 1 258.241 0.814 20 30 CCEDMN CCOC(=O)[C@@H]1CC[C@H](NC2(C#N)CCN(C)CC2)CO1 ZINC001169375927 836249129 /nfs/dbraw/zinc/24/91/29/836249129.db2.gz RDUXUPNURVBYIV-STQMWFEESA-N 0 1 295.383 0.675 20 30 CCEDMN C=CCC[C@H](C)N1CC(N2C[C@H](NC(C)=O)CC2=O)C1 ZINC001108490878 836287878 /nfs/dbraw/zinc/28/78/78/836287878.db2.gz CVNBSKPHOMWDEI-WCQYABFASA-N 0 1 279.384 0.762 20 30 CCEDMN COC(=O)n1ncc(C#N)c1Nc1ncnc2c1CNCC2 ZINC001169961876 836489956 /nfs/dbraw/zinc/48/99/56/836489956.db2.gz FZYKWIAQKVDSGZ-UHFFFAOYSA-N 0 1 299.294 0.549 20 30 CCEDMN C[C@@H](CNc1nccnc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001108718749 836515369 /nfs/dbraw/zinc/51/53/69/836515369.db2.gz RYVBOECFXJIXJX-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN CN(CCCNc1cncc(C#N)n1)C(=O)Cc1c[nH]cn1 ZINC001109633665 836707809 /nfs/dbraw/zinc/70/78/09/836707809.db2.gz LPEUPXKODRZNJO-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN N#Cc1ccc2ncc(NC[C@@H]3COCCN3)nc2c1 ZINC001170041080 836770367 /nfs/dbraw/zinc/77/03/67/836770367.db2.gz CREMDRZPKRWHEZ-LLVKDONJSA-N 0 1 269.308 0.902 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H](C)NC(C)=O)CC1 ZINC001112604365 836819333 /nfs/dbraw/zinc/81/93/33/836819333.db2.gz XTXXUMKWNQTBOQ-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2CN(C)CCO2)CC1 ZINC001112741875 836888877 /nfs/dbraw/zinc/88/88/77/836888877.db2.gz DPRRXBZRFLYITE-GJZGRUSLSA-N 0 1 295.427 0.816 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@@H]2COC(=O)N2)CC1 ZINC001112815304 836926556 /nfs/dbraw/zinc/92/65/56/836926556.db2.gz LRZLRVINXNBUGL-NEPJUHHUSA-N 0 1 281.356 0.594 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CCC=C)CC1 ZINC001112844836 836938998 /nfs/dbraw/zinc/93/89/98/836938998.db2.gz IUTUMYRJDLSNMJ-UHFFFAOYSA-N 0 1 250.342 0.747 20 30 CCEDMN C=CCC1(C(=O)N[C@H]2CCN(CCO)C[C@H]2O)CCCC1 ZINC001100145014 836990692 /nfs/dbraw/zinc/99/06/92/836990692.db2.gz FVHUPQFEJPUBKS-UONOGXRCSA-N 0 1 296.411 0.667 20 30 CCEDMN C[C@@H](NC(=O)c1ncn[nH]1)[C@@H](C)Nc1ncccc1C#N ZINC001113114452 837028605 /nfs/dbraw/zinc/02/86/05/837028605.db2.gz HXVSWZGBJXUXLN-RKDXNWHRSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@@H](NC(=O)c1nc[nH]n1)[C@@H](C)Nc1ncccc1C#N ZINC001113114452 837028618 /nfs/dbraw/zinc/02/86/18/837028618.db2.gz HXVSWZGBJXUXLN-RKDXNWHRSA-N 0 1 285.311 0.690 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CCOCC1 ZINC001113770889 837228289 /nfs/dbraw/zinc/22/82/89/837228289.db2.gz WRGCKLYCXSMAAV-FICVDOATSA-N 0 1 292.379 0.109 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCC ZINC001113783100 837236479 /nfs/dbraw/zinc/23/64/79/837236479.db2.gz ZZTQCOOTIINJND-CIQGVGRVSA-N 0 1 279.384 0.524 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)C ZINC001113862205 837343274 /nfs/dbraw/zinc/34/32/74/837343274.db2.gz JYSWWFCUIWJWFZ-ITGUQSILSA-N 0 1 250.342 0.339 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(C)CCC2)[C@@H](O)C1 ZINC001090494380 837920831 /nfs/dbraw/zinc/92/08/31/837920831.db2.gz YGCRINDIOUPUPR-RYUDHWBXSA-N 0 1 252.358 0.914 20 30 CCEDMN CCCC(=O)NCc1n[nH]c([C@@H]2COCCN2CC#N)n1 ZINC001130823191 837934268 /nfs/dbraw/zinc/93/42/68/837934268.db2.gz OLSXRLMXTHYMSA-JTQLQIEISA-N 0 1 292.343 0.118 20 30 CCEDMN CCCC(=O)NCc1nnc([C@@H]2COCCN2CC#N)[nH]1 ZINC001130823191 837934279 /nfs/dbraw/zinc/93/42/79/837934279.db2.gz OLSXRLMXTHYMSA-JTQLQIEISA-N 0 1 292.343 0.118 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCCN(C(C)=O)C1 ZINC001131014441 837970563 /nfs/dbraw/zinc/97/05/63/837970563.db2.gz WWKPTDCSTIGRAG-LBPRGKRZSA-N 0 1 287.791 0.703 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CNC(C)=O)CC[C@@H]1C ZINC001131764054 838217159 /nfs/dbraw/zinc/21/71/59/838217159.db2.gz BPRNWLKXBGBMLX-WCQYABFASA-N 0 1 265.357 0.115 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CNC(=O)OC)CC[C@H]1C ZINC001131843781 838239882 /nfs/dbraw/zinc/23/98/82/838239882.db2.gz NJKWGZLWJOCIAT-VXGBXAGGSA-N 0 1 281.356 0.335 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCC(=O)NCC)CC[C@H]1C ZINC001131895436 838266987 /nfs/dbraw/zinc/26/69/87/838266987.db2.gz IZSUZWQQDCPSFD-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COCC=C)CC[C@@H]1C ZINC001131943756 838274727 /nfs/dbraw/zinc/27/47/27/838274727.db2.gz UKLBQIMFDGYQSA-QWHCGFSZSA-N 0 1 250.342 0.791 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)CCCn2ccnn2)CC[C@H]1C ZINC001132097489 838317268 /nfs/dbraw/zinc/31/72/68/838317268.db2.gz KFNMLIHAPSDOHN-ZIAGYGMSSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCn2ccnn2)CC[C@H]1C ZINC001132097489 838317274 /nfs/dbraw/zinc/31/72/74/838317274.db2.gz KFNMLIHAPSDOHN-ZIAGYGMSSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)CN(C)C(=O)C2CC2)CC[C@@H]1C ZINC001132097159 838317362 /nfs/dbraw/zinc/31/73/62/838317362.db2.gz GBMGCDOLKCTYHI-JSGCOSHPSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN(C)C(=O)C2CC2)CC[C@@H]1C ZINC001132097159 838317370 /nfs/dbraw/zinc/31/73/70/838317370.db2.gz GBMGCDOLKCTYHI-JSGCOSHPSA-N 0 1 291.395 0.457 20 30 CCEDMN N#CCNCCNC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC001132247981 838343096 /nfs/dbraw/zinc/34/30/96/838343096.db2.gz OMLHCZARMDSGMA-UHFFFAOYSA-N 0 1 270.296 0.315 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)NC(C)=O)CC[C@H]1C ZINC001132365957 838373102 /nfs/dbraw/zinc/37/31/02/838373102.db2.gz TZXZFGRHVOFVNK-TZMCWYRMSA-N 0 1 293.411 0.894 20 30 CCEDMN C#CCCCCCC(=O)NCCNCc1cnnn1C ZINC001132398477 838383607 /nfs/dbraw/zinc/38/36/07/838383607.db2.gz AXYBHIWVHDCRBI-UHFFFAOYSA-N 0 1 277.372 0.605 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)C(=O)NCC2CC2)CC[C@@H]1C ZINC001132402392 838384936 /nfs/dbraw/zinc/38/49/36/838384936.db2.gz JTASRBBTNXLMEJ-GXTWGEPZSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNCC(=O)Nc1nncs1 ZINC001132404180 838386783 /nfs/dbraw/zinc/38/67/83/838386783.db2.gz UBFKARPDWTWUDX-UHFFFAOYSA-N 0 1 297.384 0.395 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cn(C3CCOCC3)nn2)=NO1 ZINC000810787116 838402176 /nfs/dbraw/zinc/40/21/76/838402176.db2.gz KQMAEJAKXRTXJA-QMMMGPOBSA-N 0 1 279.300 0.482 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCC(=O)NCCC)CC[C@H]1C ZINC001132440794 838403547 /nfs/dbraw/zinc/40/35/47/838403547.db2.gz FYAIZYSKTNTLNM-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCC(N)=O)CC[C@@H]1C ZINC001132536614 838430623 /nfs/dbraw/zinc/43/06/23/838430623.db2.gz DDBYNDYGMGRMHP-RYUDHWBXSA-N 0 1 265.357 0.244 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCC(=O)Nc1ccon1 ZINC001132576868 838440128 /nfs/dbraw/zinc/44/01/28/838440128.db2.gz OQKSETAHSLJGNN-QWRGUYRKSA-N 0 1 294.355 0.777 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1cnnn1C ZINC001132653065 838459334 /nfs/dbraw/zinc/45/93/34/838459334.db2.gz DHWUANNOKHVYFR-NSHDSACASA-N 0 1 265.361 0.623 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2c[nH]nn2)CC[C@H]1CNCC#N ZINC001185167660 844354043 /nfs/dbraw/zinc/35/40/43/844354043.db2.gz DABWOSSNMDKIMI-RYUDHWBXSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnn[nH]2)CC[C@H]1CNCC#N ZINC001185167660 844354049 /nfs/dbraw/zinc/35/40/49/844354049.db2.gz DABWOSSNMDKIMI-RYUDHWBXSA-N 0 1 290.371 0.335 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC001133721663 838700113 /nfs/dbraw/zinc/70/01/13/838700113.db2.gz QOWHDFJGPPSJCD-KKOKHZNYSA-N 0 1 265.382 0.842 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1cnnn1CC ZINC001134061844 838847933 /nfs/dbraw/zinc/84/79/33/838847933.db2.gz GMUCTCUKVYJUCJ-OLZOCXBDSA-N 0 1 293.371 0.095 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)Nc2ccc(C#N)cc2C#N)C1 ZINC001185255103 844378590 /nfs/dbraw/zinc/37/85/90/844378590.db2.gz KQARAHKRMGPQCU-CQSZACIVSA-N 0 1 283.335 0.614 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1nncn1C ZINC001134201517 838908871 /nfs/dbraw/zinc/90/88/71/838908871.db2.gz PWCKGSRTGAQFPY-OLZOCXBDSA-N 0 1 291.399 0.992 20 30 CCEDMN C#CC1(NC(=O)[C@@H]2CN(C)CCN2C)CCCCC1 ZINC001185268617 844391600 /nfs/dbraw/zinc/39/16/00/844391600.db2.gz FFSGOIXYEJJCGQ-ZDUSSCGKSA-N 0 1 263.385 0.685 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc2nncn2c1 ZINC001135244375 839187809 /nfs/dbraw/zinc/18/78/09/839187809.db2.gz HKJVOLTZHAJVKF-UHFFFAOYSA-N 0 1 279.731 0.801 20 30 CCEDMN O=C(Cc1ncc[nH]1)NCCNCC#Cc1ccccc1 ZINC001135760572 839394010 /nfs/dbraw/zinc/39/40/10/839394010.db2.gz OBSFASWQQFRAJG-UHFFFAOYSA-N 0 1 282.347 0.710 20 30 CCEDMN CC[C@@](N)(CO)Nc1ccc(CN(C)/C(C)=N/C#N)cn1 ZINC001170860917 839451865 /nfs/dbraw/zinc/45/18/65/839451865.db2.gz XNACGJPDBHLLRX-BGARDKSCSA-N 0 1 290.371 0.882 20 30 CCEDMN C=CCOc1ccc(N[C@](N)(CC)CO)nc1C#N ZINC001170860110 839453072 /nfs/dbraw/zinc/45/30/72/839453072.db2.gz VELHIDMJJDKYTB-CYBMUJFWSA-N 0 1 262.313 0.987 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccn(C)c2C)[C@@H](O)C1 ZINC001090555579 839639731 /nfs/dbraw/zinc/63/97/31/839639731.db2.gz MFFRHBSNUAEWCK-KGLIPLIRSA-N 0 1 277.368 0.685 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(CC#CC)C[C@@H]2O)C1 ZINC001090555332 839640797 /nfs/dbraw/zinc/64/07/97/839640797.db2.gz JAANMPNASUIPCC-KBPBESRZSA-N 0 1 276.380 0.917 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccn(C)c2C)[C@@H](O)C1 ZINC001090558380 839642637 /nfs/dbraw/zinc/64/26/37/839642637.db2.gz DHVXBOWREJMOAH-GJZGRUSLSA-N 0 1 289.379 0.522 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CCN(CC=C)C[C@H]2O)c1 ZINC001090560594 839644195 /nfs/dbraw/zinc/64/41/95/839644195.db2.gz VXMWQVKPEQWOFK-HUUCEWRRSA-N 0 1 285.347 0.414 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(CC)nc2C)[C@@H](O)C1 ZINC001090610474 839671875 /nfs/dbraw/zinc/67/18/75/839671875.db2.gz SETOPMOURGAVFI-KGLIPLIRSA-N 0 1 292.383 0.562 20 30 CCEDMN O=C(C#Cc1cccs1)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001136655779 839695828 /nfs/dbraw/zinc/69/58/28/839695828.db2.gz HHOHPBCJXKTSKV-SNVBAGLBSA-N 0 1 289.320 0.213 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)nc(C)n2)[C@H](O)C1 ZINC001090646245 839697648 /nfs/dbraw/zinc/69/76/48/839697648.db2.gz YCAIZIUKKMPFCG-GXTWGEPZSA-N 0 1 290.367 0.444 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2ccc(=O)[nH]c2)[C@H](O)C1 ZINC001090778886 839804147 /nfs/dbraw/zinc/80/41/47/839804147.db2.gz AKLSEDSTKDRALK-NWDGAFQWSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(=O)[nH]c2)[C@H](O)C1 ZINC001090778886 839804157 /nfs/dbraw/zinc/80/41/57/839804157.db2.gz AKLSEDSTKDRALK-NWDGAFQWSA-N 0 1 277.324 0.138 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N1CCc2[nH]nnc2C1 ZINC001136905453 839806377 /nfs/dbraw/zinc/80/63/77/839806377.db2.gz DLKIBJYOBGVYDZ-UHFFFAOYSA-N 0 1 256.269 0.511 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)[C@]2(C#N)CCCOC2)n1 ZINC001144010297 839913810 /nfs/dbraw/zinc/91/38/10/839913810.db2.gz FWWKKKRFCMRXAE-LBPRGKRZSA-N 0 1 263.301 0.092 20 30 CCEDMN N#CCNC1CC(CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001091358414 840190031 /nfs/dbraw/zinc/19/00/31/840190031.db2.gz JYTPAHJEZQNYFD-UHFFFAOYSA-N 0 1 284.323 0.579 20 30 CCEDMN N=C(c1nonc1N)N(O)C(=O)c1ccc(O)c(F)c1 ZINC001186202767 844519498 /nfs/dbraw/zinc/51/94/98/844519498.db2.gz XFQDYLNXRHLTLO-UHFFFAOYSA-N 0 1 281.203 0.353 20 30 CCEDMN CC(C)C#CC(=O)N1CC2(C1)CCN([C@H]1CCNC1=O)C2 ZINC001147505939 840605310 /nfs/dbraw/zinc/60/53/10/840605310.db2.gz BBPQRPFSNDJWEJ-ZDUSSCGKSA-N 0 1 289.379 0.069 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cnc(C)o2)nc1 ZINC001148376886 840797256 /nfs/dbraw/zinc/79/72/56/840797256.db2.gz OTSSBDJVMRIGOI-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(C[C@@H]1C)CCN(CC(N)=O)CC2 ZINC001086909993 840937448 /nfs/dbraw/zinc/93/74/48/840937448.db2.gz JRPYHNRBINLJRL-RYUDHWBXSA-N 0 1 292.383 0.334 20 30 CCEDMN C#CCN1C[C@@H]2CN(C(=O)c3cn(C)ccc3=O)C[C@]2(C)C1 ZINC001091842657 840966494 /nfs/dbraw/zinc/96/64/94/840966494.db2.gz LXFNDAATDQBSRB-DYVFJYSZSA-N 0 1 299.374 0.412 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H]1CN(CC#CC)CCCO1 ZINC001149622628 841009452 /nfs/dbraw/zinc/00/94/52/841009452.db2.gz CHXJYRQLTGBUOK-ZBFHGGJFSA-N 0 1 294.395 0.544 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)[C@H]1CCCN1C ZINC001092504009 841029601 /nfs/dbraw/zinc/02/96/01/841029601.db2.gz GJWVUPCIWQBYIC-JHJVBQTASA-N 0 1 264.373 0.288 20 30 CCEDMN Cc1cc(C#N)nc(NCCNC(=O)[C@@H]2CCCN2C)n1 ZINC001093531498 841314226 /nfs/dbraw/zinc/31/42/26/841314226.db2.gz KGOKTRGYKXAQOJ-LBPRGKRZSA-N 0 1 288.355 0.279 20 30 CCEDMN O=C(NC12CCN(CC1)C2)c1cccc(C#CCO)c1 ZINC000715842371 841399797 /nfs/dbraw/zinc/39/97/97/841399797.db2.gz LICKEDIZAWZVNZ-UHFFFAOYSA-N 0 1 270.332 0.608 20 30 CCEDMN N#Cc1cnc(NCCNC(=O)Cc2cnc[nH]2)c(F)c1 ZINC001094150473 841549604 /nfs/dbraw/zinc/54/96/04/841549604.db2.gz JJWOHBDKPRLRRI-UHFFFAOYSA-N 0 1 288.286 0.586 20 30 CCEDMN C=C(C)CCN1CC(N2C[C@H](NC(=O)C3CC3)CC2=O)C1 ZINC001094691598 841704744 /nfs/dbraw/zinc/70/47/44/841704744.db2.gz ILYXNKCODHZNNM-CYBMUJFWSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cnco2)[C@@H](O)C1 ZINC001099936648 841732735 /nfs/dbraw/zinc/73/27/35/841732735.db2.gz BRHVFFCZQLUKOC-RYUDHWBXSA-N 0 1 295.339 0.042 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)c1cccc2nn[nH]c21 ZINC001171919644 841775546 /nfs/dbraw/zinc/77/55/46/841775546.db2.gz DUJLRKNILUEBPG-UHFFFAOYSA-N 0 1 287.279 0.487 20 30 CCEDMN N#CCC1CCC(n2cnc(C[C@H](N)C(N)=O)c2)CC1 ZINC001173332587 842044484 /nfs/dbraw/zinc/04/44/84/842044484.db2.gz OUNBRSGGIMRKDT-GDKBPFBDSA-N 0 1 275.356 0.883 20 30 CCEDMN N#C[C@@H](NC(=O)c1cc2c(Cl)[nH]ccc-2n1)C(N)=O ZINC001174601773 842196730 /nfs/dbraw/zinc/19/67/30/842196730.db2.gz UQXBAVSAISPLCA-MRVPVSSYSA-N 0 1 277.671 0.324 20 30 CCEDMN C#CCNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)OC(C)(C)C ZINC001177089208 842506522 /nfs/dbraw/zinc/50/65/22/842506522.db2.gz UPYXCHUBAXSEOO-NSHDSACASA-N 0 1 292.339 0.595 20 30 CCEDMN C#Cc1cnc(NC(=O)[C@@H]2CCc3[nH]cnc3C2)c(C#C)n1 ZINC001177172509 842511298 /nfs/dbraw/zinc/51/12/98/842511298.db2.gz IIADYEANKFTYRA-SNVBAGLBSA-N 0 1 291.314 0.906 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@H]2CCc3[nH]cnc3C2)CCO1 ZINC001177177030 842516643 /nfs/dbraw/zinc/51/66/43/842516643.db2.gz VIQRCLAXPDJWBW-UWVGGRQHSA-N 0 1 260.297 0.266 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCCCCNCc1nnnn1C ZINC001178049482 842736875 /nfs/dbraw/zinc/73/68/75/842736875.db2.gz CAMFRUQMHGNKOA-NSHDSACASA-N 0 1 293.375 0.136 20 30 CCEDMN N#CC1(CNC(=O)c2[nH]ncc2F)CCOCC1 ZINC001180617240 843213520 /nfs/dbraw/zinc/21/35/20/843213520.db2.gz PEUZRSYILFFBQE-UHFFFAOYSA-N 0 1 252.249 0.599 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NCC(=O)Nc2ccon2)C1 ZINC001181535576 843516238 /nfs/dbraw/zinc/51/62/38/843516238.db2.gz XWEGGTCNISPGKX-LLVKDONJSA-N 0 1 292.339 0.770 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2cc(C)no2)C1 ZINC001181639654 843548257 /nfs/dbraw/zinc/54/82/57/843548257.db2.gz XSZCUQFTANCXGV-GFCCVEGCSA-N 0 1 279.340 0.876 20 30 CCEDMN COc1cc2[nH]cc(C(=O)NO)c(=O)c2c(OC)c1 ZINC001182280950 843764330 /nfs/dbraw/zinc/76/43/30/843764330.db2.gz JUTKSEOJMDXWCS-UHFFFAOYSA-N 0 1 264.237 0.664 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)n1cccn1 ZINC001115086469 843776851 /nfs/dbraw/zinc/77/68/51/843776851.db2.gz URBMPRNWULZRAK-TTZDDIAXSA-N 0 1 286.379 0.904 20 30 CCEDMN COCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CSCC#N)C2 ZINC001110300657 843826076 /nfs/dbraw/zinc/82/60/76/843826076.db2.gz IKGDONGCZSYZHU-UTUOFQBUSA-N 0 1 283.397 0.611 20 30 CCEDMN O=C(NC1=CC(=O)CCC1)C(CO)C(F)(F)F ZINC001183286771 844004299 /nfs/dbraw/zinc/00/42/99/844004299.db2.gz BWXJZTQGQHZPHR-MRVPVSSYSA-N 0 1 251.204 0.910 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](C)COC)[C@@H]2C1 ZINC001187311944 844704687 /nfs/dbraw/zinc/70/46/87/844704687.db2.gz NYWFOTRVGCCMJX-HZSPNIEDSA-N 0 1 264.369 0.825 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)CC(C)(C)O)[C@@H]2C1 ZINC001187729821 844751306 /nfs/dbraw/zinc/75/13/06/844751306.db2.gz YHYCZCVWMOUOPZ-UONOGXRCSA-N 0 1 294.395 0.330 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](NCc2ccn(C)n2)C1 ZINC001188287712 844863213 /nfs/dbraw/zinc/86/32/13/844863213.db2.gz PGLPGGOMLZBLCT-CQSZACIVSA-N 0 1 292.383 0.703 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C(C)C)C1 ZINC001188916125 844991797 /nfs/dbraw/zinc/99/17/97/844991797.db2.gz VGOAWGFTPLYFMY-OLZOCXBDSA-N 0 1 281.400 0.866 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCCO2)C1 ZINC001189012667 845021754 /nfs/dbraw/zinc/02/17/54/845021754.db2.gz MCGSESFYKCNLEV-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ncoc2C)C1 ZINC001189152176 845065962 /nfs/dbraw/zinc/06/59/62/845065962.db2.gz SXPDWMBCTXDPSO-CYBMUJFWSA-N 0 1 291.351 0.779 20 30 CCEDMN CCCOCC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189214908 845091094 /nfs/dbraw/zinc/09/10/94/845091094.db2.gz OGCZDJDMWMNRRN-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C[C@H](C)OC)C1 ZINC001189290552 845095571 /nfs/dbraw/zinc/09/55/71/845095571.db2.gz QIQWPNPRSQIKPZ-KBPBESRZSA-N 0 1 282.384 0.594 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](N(C)CC#CC)C1 ZINC001189372735 845118319 /nfs/dbraw/zinc/11/83/19/845118319.db2.gz ZTXWWOXQBHYPHW-ZDUSSCGKSA-N 0 1 250.342 0.745 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCOC2)C1 ZINC001189489466 845147012 /nfs/dbraw/zinc/14/70/12/845147012.db2.gz JNPWBUNYWJZJET-CABCVRRESA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001189743895 845198895 /nfs/dbraw/zinc/19/88/95/845198895.db2.gz KEMPYJMFGKPBJJ-QWHCGFSZSA-N 0 1 279.384 0.587 20 30 CCEDMN CC[C@@H](C)OCC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189727295 845199783 /nfs/dbraw/zinc/19/97/83/845199783.db2.gz KWSXLCJZJSYFPW-CABCVRRESA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)Cc2n[nH]c(C)n2)C1 ZINC001189927281 845273943 /nfs/dbraw/zinc/27/39/43/845273943.db2.gz XBYYRFBXQSKYJC-CYBMUJFWSA-N 0 1 289.383 0.949 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(=O)NC)C1 ZINC001189927589 845273994 /nfs/dbraw/zinc/27/39/94/845273994.db2.gz ZWTDYPBHRONIFO-QWHCGFSZSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccc(C)nn2)C1 ZINC001190066389 845310494 /nfs/dbraw/zinc/31/04/94/845310494.db2.gz GKUCJQDJXYIDIX-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN C[C@@H](C(N)=O)N(C)[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190075635 845326075 /nfs/dbraw/zinc/32/60/75/845326075.db2.gz AODPTZHNCNVDSB-RYUDHWBXSA-N 0 1 279.384 0.442 20 30 CCEDMN CC[C@H](C#N)NS(=O)(=O)c1ncc(F)cc1F ZINC001190204979 845365727 /nfs/dbraw/zinc/36/57/27/845365727.db2.gz FRKMQLBXLRMAQS-SSDOTTSWSA-N 0 1 261.253 0.940 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H]([N@@H+](C)CCOCCO)C1 ZINC001190428699 845402852 /nfs/dbraw/zinc/40/28/52/845402852.db2.gz NTJDCRPTDQZJFA-CQSZACIVSA-N 0 1 284.400 0.884 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2c(C)nnn2CC)C1 ZINC001190635762 845452500 /nfs/dbraw/zinc/45/25/00/845452500.db2.gz ZVIXGEVEFQZLPN-ZDUSSCGKSA-N 0 1 289.383 0.776 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1ccn2cncc2c1 ZINC001151897196 845536891 /nfs/dbraw/zinc/53/68/91/845536891.db2.gz OTMFTPRJBJVIOI-LBPRGKRZSA-N 0 1 255.281 0.272 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001190974454 845556436 /nfs/dbraw/zinc/55/64/36/845556436.db2.gz ZCBHJCWFIJZANT-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cncn2C)C1 ZINC001191170138 845601320 /nfs/dbraw/zinc/60/13/20/845601320.db2.gz KIJDOGCMORXTQS-ZDUSSCGKSA-N 0 1 290.367 0.216 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCSCC)C1 ZINC001191338641 845629105 /nfs/dbraw/zinc/62/91/05/845629105.db2.gz FAGHBKRDWFKXHW-VXGBXAGGSA-N 0 1 270.398 0.314 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)/C(C)=C\CC)C1 ZINC001191491916 845660855 /nfs/dbraw/zinc/66/08/55/845660855.db2.gz HVZRQOKYPBPWMR-CUOXCHRPSA-N 0 1 250.342 0.527 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2cn(CC)nn2)C1 ZINC001191468567 845667468 /nfs/dbraw/zinc/66/74/68/845667468.db2.gz JIUZABXDAKCKTG-AWEZNQCLSA-N 0 1 289.383 0.397 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)OCCCC)C1 ZINC001191599164 845700652 /nfs/dbraw/zinc/70/06/52/845700652.db2.gz VCQYNACRFPVAHQ-BFHYXJOUSA-N 0 1 282.384 0.376 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)OC)C1 ZINC001191658315 845712047 /nfs/dbraw/zinc/71/20/47/845712047.db2.gz FWHNAMAQJKFYCO-IJLUTSLNSA-N 0 1 256.346 0.149 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC001191734803 845727889 /nfs/dbraw/zinc/72/78/89/845727889.db2.gz GHYAMECOTQTWBJ-MGPQQGTHSA-N 0 1 264.369 0.825 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)Cc2cnoc2)C1 ZINC001191922137 845753814 /nfs/dbraw/zinc/75/38/14/845753814.db2.gz GWJFLSPXKUHGQF-AWEZNQCLSA-N 0 1 291.351 0.400 20 30 CCEDMN C=CCCCC(=O)N1CC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001192095034 845792903 /nfs/dbraw/zinc/79/29/03/845792903.db2.gz YLDMPTPISIPDNY-NEPJUHHUSA-N 0 1 267.373 0.749 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1C[N@@H+]([C@H](C)COC)C[C@H]1O ZINC001192328454 845820510 /nfs/dbraw/zinc/82/05/10/845820510.db2.gz GZNISVMOXXFDLX-MGPQQGTHSA-N 0 1 282.384 0.376 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1O ZINC001192328454 845820515 /nfs/dbraw/zinc/82/05/15/845820515.db2.gz GZNISVMOXXFDLX-MGPQQGTHSA-N 0 1 282.384 0.376 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1O ZINC001192529171 845873649 /nfs/dbraw/zinc/87/36/49/845873649.db2.gz BUWLVNLAIBHZOK-BZPMIXESSA-N 0 1 268.357 0.051 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](CC(=C)C)NC(C)=O)C1 ZINC001192688636 845893934 /nfs/dbraw/zinc/89/39/34/845893934.db2.gz WZQSDAPUHBHDCC-GJZGRUSLSA-N 0 1 291.395 0.623 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cncc(OC)n2)C1 ZINC001192696088 845895719 /nfs/dbraw/zinc/89/57/19/845895719.db2.gz LVCDKEGADUMFQC-GFCCVEGCSA-N 0 1 288.351 0.655 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2nc(C)c[nH]2)C1 ZINC001192777829 845905674 /nfs/dbraw/zinc/90/56/74/845905674.db2.gz HIBPTNZNCKAYDR-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CCOC(C)C)C[C@H]1O ZINC001192804890 845912485 /nfs/dbraw/zinc/91/24/85/845912485.db2.gz LRNCSEXSRGHEBE-ZIAGYGMSSA-N 0 1 282.384 0.232 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2(NC(C)=O)CCCC2)C1 ZINC001192818764 845914951 /nfs/dbraw/zinc/91/49/51/845914951.db2.gz RHHYJQVLHGSZTI-CQSZACIVSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CC[C@@]2(CC[N@H+](Cc3ccc(O)cn3)C2)C1=O ZINC001273648337 845921412 /nfs/dbraw/zinc/92/14/12/845921412.db2.gz DPBBFELXKLJDPC-MRXNPFEDSA-N 0 1 285.347 0.845 20 30 CCEDMN N#CCS(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001192954151 845934600 /nfs/dbraw/zinc/93/46/00/845934600.db2.gz UKGTWMMYVOYRRB-QMMMGPOBSA-N 0 1 258.324 0.114 20 30 CCEDMN COC(=O)c1cccc(NS(=O)(=O)CC#N)c1F ZINC001192982527 845943739 /nfs/dbraw/zinc/94/37/39/845943739.db2.gz ROEXYNOQCZWHOD-UHFFFAOYSA-N 0 1 272.257 0.878 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cn(CCC)nn2)C1 ZINC001193077782 845973124 /nfs/dbraw/zinc/97/31/24/845973124.db2.gz NILFCFLWWWTXCG-ZDUSSCGKSA-N 0 1 289.383 0.858 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cccnc1N1CCOCC1 ZINC001193104007 845978530 /nfs/dbraw/zinc/97/85/30/845978530.db2.gz CPYUOUSZFLYNEQ-SNVBAGLBSA-N 0 1 296.352 0.572 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1nccnc1Br ZINC001193104642 845979503 /nfs/dbraw/zinc/97/95/03/845979503.db2.gz LPIOYKRKDSJRKC-RXMQYKEDSA-N 0 1 291.130 0.893 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CNC(=O)C2)ccc1O ZINC001193515763 846102589 /nfs/dbraw/zinc/10/25/89/846102589.db2.gz RPMRQCJBYZEUJO-QMMMGPOBSA-N 0 1 259.265 0.130 20 30 CCEDMN CC(=O)NC[C@@H](C)CNC(=O)c1ccc(O)c(C#N)c1 ZINC001193515768 846103134 /nfs/dbraw/zinc/10/31/34/846103134.db2.gz RRSLWNWTOGYAJV-SECBINFHSA-N 0 1 275.308 0.766 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1cc(O)c(O)c(Cl)c1 ZINC001193633987 846131193 /nfs/dbraw/zinc/13/11/93/846131193.db2.gz NNTSSBBJUHXTSI-QMMMGPOBSA-N 0 1 298.682 0.936 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C2CCC2)C1 ZINC001194023098 846200762 /nfs/dbraw/zinc/20/07/62/846200762.db2.gz AIKGMTMWAQUBEU-NWANDNLSSA-N 0 1 296.411 0.787 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(C)CC1 ZINC001114308308 846263099 /nfs/dbraw/zinc/26/30/99/846263099.db2.gz DNLHIVVKWGCUDZ-ITGUQSILSA-N 0 1 262.353 0.483 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cnc(C(F)(F)F)[nH]2)CCO1 ZINC001194779126 846382306 /nfs/dbraw/zinc/38/23/06/846382306.db2.gz PPXNWIALGYQQIM-ZCFIWIBFSA-N 0 1 274.202 0.793 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@H]2CCC(=O)N2)CC1 ZINC001195253562 846485557 /nfs/dbraw/zinc/48/55/57/846485557.db2.gz TWUVKPGDBYQPPT-CYBMUJFWSA-N 0 1 295.383 0.002 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@H]1O ZINC001195312320 846502154 /nfs/dbraw/zinc/50/21/54/846502154.db2.gz MGJJFLKCORQDGR-JHJVBQTASA-N 0 1 284.400 0.521 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CCCF)C1 ZINC001195381228 846526448 /nfs/dbraw/zinc/52/64/48/846526448.db2.gz WTXHHNYTZNDGOI-VXGBXAGGSA-N 0 1 274.336 0.100 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1O ZINC001195466560 846545957 /nfs/dbraw/zinc/54/59/57/846545957.db2.gz ALKZJXBHPMADCE-BFHYXJOUSA-N 0 1 282.384 0.376 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC(N3CC(F)C3)C2)c(O)c1 ZINC001195733160 846604183 /nfs/dbraw/zinc/60/41/83/846604183.db2.gz BPEGQHJDEAJYOB-UHFFFAOYSA-N 0 1 275.283 0.742 20 30 CCEDMN CN1CCN(C(=O)c2ccc(C#N)cc2O)CC1=O ZINC001195733781 846604750 /nfs/dbraw/zinc/60/47/50/846604750.db2.gz VXRBVIGHGLAJKA-UHFFFAOYSA-N 0 1 259.265 0.178 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2C=CS(=O)(=O)C2)c(O)c1 ZINC001195764659 846614182 /nfs/dbraw/zinc/61/41/82/846614182.db2.gz NROWBIRCVOAXTC-VIFPVBQESA-N 0 1 278.289 0.304 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CCCNC(=O)C2)c(O)c1 ZINC001195768676 846615361 /nfs/dbraw/zinc/61/53/61/846615361.db2.gz PLPZWXSWJZGDLJ-JTQLQIEISA-N 0 1 273.292 0.662 20 30 CCEDMN COC[C@H](O)CN1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195775358 846621895 /nfs/dbraw/zinc/62/18/95/846621895.db2.gz XURXOBAADNVOTB-CQSZACIVSA-N 0 1 282.384 0.187 20 30 CCEDMN COCCOCCN1CCCN(C(=O)C#CC(C)C)CC1 ZINC001195774940 846623177 /nfs/dbraw/zinc/62/31/77/846623177.db2.gz IQZHDHCOUNTUSZ-UHFFFAOYSA-N 0 1 296.411 0.843 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196027683 846676708 /nfs/dbraw/zinc/67/67/08/846676708.db2.gz ZHDFRHWGMLXRLG-VIFPVBQESA-N 0 1 294.380 0.851 20 30 CCEDMN C=CC[N@H+]1CCC[C@H]1CNC(=O)c1c[nH]c(=S)[n-]c1=O ZINC001196027683 846676713 /nfs/dbraw/zinc/67/67/13/846676713.db2.gz ZHDFRHWGMLXRLG-VIFPVBQESA-N 0 1 294.380 0.851 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1O ZINC001196474401 846752295 /nfs/dbraw/zinc/75/22/95/846752295.db2.gz IUQMJAVPDROHOH-YNEHKIRRSA-N 0 1 284.400 0.785 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)n2ccnc2)C1 ZINC001196500423 846765467 /nfs/dbraw/zinc/76/54/67/846765467.db2.gz UFBHVKGQXQJIRC-MGPQQGTHSA-N 0 1 290.367 0.019 20 30 CCEDMN COCc1[nH]nc2c1CN(C(=O)c1ncccc1C#N)C2 ZINC001196554940 846774292 /nfs/dbraw/zinc/77/42/92/846774292.db2.gz PQKPVOYWLZXSNZ-UHFFFAOYSA-N 0 1 283.291 0.979 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@]2(COC)CCOC2)CC1 ZINC001196665635 846784335 /nfs/dbraw/zinc/78/43/35/846784335.db2.gz GLICIYINNHLVLV-HNNXBMFYSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)Cc2cnoc2)CC1 ZINC001196895938 846816840 /nfs/dbraw/zinc/81/68/40/846816840.db2.gz UUTPFERQSWODDK-UHFFFAOYSA-N 0 1 293.367 0.954 20 30 CCEDMN CC[C@@H](C(=O)N1CCC[N@H+](CC#CCOC)CC1)[NH+](C)C ZINC001197172135 846867378 /nfs/dbraw/zinc/86/73/78/846867378.db2.gz HGFOEKDEJSYZOY-HNNXBMFYSA-N 0 1 295.427 0.511 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C2(CC)CCOCC2)C1 ZINC001197310410 846892492 /nfs/dbraw/zinc/89/24/92/846892492.db2.gz BATSUPZACNCVBB-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CCCN(C(=O)COC[C@H]2CCOC2)CC1 ZINC001197322535 846896245 /nfs/dbraw/zinc/89/62/45/846896245.db2.gz CZRMPTGFNAKJOO-AWEZNQCLSA-N 0 1 282.384 0.760 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@H](C)OCCOC)CC1 ZINC001197338780 846899330 /nfs/dbraw/zinc/89/93/30/846899330.db2.gz GUPJHNGXYBDUAQ-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)C2(C(=O)NC)CC2)CC1 ZINC001197440895 846900363 /nfs/dbraw/zinc/90/03/63/846900363.db2.gz QPNQOXSLCQCDMR-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001197871609 846991999 /nfs/dbraw/zinc/99/19/99/846991999.db2.gz TWUVKPGDBYQPPT-ZDUSSCGKSA-N 0 1 295.383 0.002 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](CCC)NC(N)=O)CC1 ZINC001197950541 847008407 /nfs/dbraw/zinc/00/84/07/847008407.db2.gz GHDYEZQSFMTZRX-GFCCVEGCSA-N 0 1 282.388 0.544 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1[nH]nc2c1CC[C@H]2C ZINC001198463538 847116636 /nfs/dbraw/zinc/11/66/36/847116636.db2.gz NSNCZFGCISUVOR-VXNVDRBHSA-N 0 1 276.296 0.644 20 30 CCEDMN C=CCn1cnc2c1ncnc2NS(=O)(=O)C=C ZINC001198574350 847127946 /nfs/dbraw/zinc/12/79/46/847127946.db2.gz XUSOBCUXHIWPPZ-UHFFFAOYSA-N 0 1 265.298 0.898 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCCO)C[C@H]2O)CCCC1 ZINC001199560166 847357240 /nfs/dbraw/zinc/35/72/40/847357240.db2.gz PDUKZNJVVNSJEO-ZIAGYGMSSA-N 0 1 296.411 0.667 20 30 CCEDMN C=CCOCC(=O)N1CCC(NCc2nncs2)CC1 ZINC001199796326 847436790 /nfs/dbraw/zinc/43/67/90/847436790.db2.gz FHGMHFKKJGIQBW-UHFFFAOYSA-N 0 1 296.396 0.821 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)[C@@H](C)OC)CCCO1 ZINC001199977304 847493104 /nfs/dbraw/zinc/49/31/04/847493104.db2.gz MNAXYANWIKZUKX-VXGBXAGGSA-N 0 1 290.791 0.981 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CO[C@H]1CCOC1)C2 ZINC001110554364 847661771 /nfs/dbraw/zinc/66/17/71/847661771.db2.gz FCADIRUPFYPULB-RQJABVFESA-N 0 1 280.368 0.699 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)/C(C)=C/CC ZINC001273879976 847722407 /nfs/dbraw/zinc/72/24/07/847722407.db2.gz UPVVRUQLEZFXAV-ONVRAGQBSA-N 0 1 277.368 0.354 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(c2nccnc2C#N)CC1 ZINC001252560466 848005474 /nfs/dbraw/zinc/00/54/74/848005474.db2.gz SLNIFNGOVOSXGI-AWEZNQCLSA-N 0 1 273.340 0.407 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCc1ncc(C)o1 ZINC001114388770 848033238 /nfs/dbraw/zinc/03/32/38/848033238.db2.gz GFHUWCNMZLPFFW-VIKVFOODSA-N 0 1 287.363 0.985 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccsn1 ZINC001114447360 848067106 /nfs/dbraw/zinc/06/71/06/848067106.db2.gz MQHWJJXLYQBQAD-PJXYFTJBSA-N 0 1 291.376 0.453 20 30 CCEDMN C=CCNC(=O)N1C[C@H]2CN(CC3CC3)C[C@@H](C1)O2 ZINC001202565103 848175377 /nfs/dbraw/zinc/17/53/77/848175377.db2.gz QCWGOHUUTGKZEM-BETUJISGSA-N 0 1 265.357 0.677 20 30 CCEDMN C=CCNC(=O)N1CCN(CCC(C)(C)O)CC1 ZINC001202568985 848179858 /nfs/dbraw/zinc/17/98/58/848179858.db2.gz LMXOJAJWGFRATL-UHFFFAOYSA-N 0 1 255.362 0.661 20 30 CCEDMN C#CCN1C(=O)C[C@@]2(CCCN(COCCOC)C2)C1=O ZINC001273989557 848209137 /nfs/dbraw/zinc/20/91/37/848209137.db2.gz DZDIQBUHKYIILN-OAHLLOKOSA-N 0 1 294.351 0.081 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2CC(=O)N(CC)CC ZINC001274000159 848270413 /nfs/dbraw/zinc/27/04/13/848270413.db2.gz UJKILFKLDGYMFR-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN CN1CCCN(C(=O)c2cccc(C#CCO)c2)CC1 ZINC000037567733 848285144 /nfs/dbraw/zinc/28/51/44/848285144.db2.gz WIZWAZCTJJXIBU-UHFFFAOYSA-N 0 1 272.348 0.808 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ncn(C)n1)C2 ZINC001095384918 848380638 /nfs/dbraw/zinc/38/06/38/848380638.db2.gz YTKYFSIJDPXENB-WOPDTQHZSA-N 0 1 275.356 0.726 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)Cc2ccoc2)CC1 ZINC001274903912 848588421 /nfs/dbraw/zinc/58/84/21/848588421.db2.gz QYSYHMAXHVQMSY-UHFFFAOYSA-N 0 1 276.336 0.398 20 30 CCEDMN C[C@H](CNCC#Cc1ccccc1Cl)NC(=O)C(N)=O ZINC001274900681 848588902 /nfs/dbraw/zinc/58/89/02/848588902.db2.gz JIHPNNZKJXFUOS-SNVBAGLBSA-N 0 1 293.754 0.271 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H](OC)C2CCC2)CC1 ZINC001274924315 848591867 /nfs/dbraw/zinc/59/18/67/848591867.db2.gz QBNVAUZPMBKYLP-CQSZACIVSA-N 0 1 294.395 0.378 20 30 CCEDMN COCCN1CC2(C1)CN(Cc1cc(C#N)n(C)c1)C2 ZINC001274981330 848607201 /nfs/dbraw/zinc/60/72/01/848607201.db2.gz BMALLWQVDZIELJ-UHFFFAOYSA-N 0 1 274.368 0.661 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CCNC(=O)C1 ZINC001275100416 848636759 /nfs/dbraw/zinc/63/67/59/848636759.db2.gz KPLKYJMQURXLJU-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC[C@@H]1CN(C)CC#C ZINC001275109202 848640257 /nfs/dbraw/zinc/64/02/57/848640257.db2.gz XPOWRXCCZBCWBQ-ZIAGYGMSSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1csnn1 ZINC001275128333 848643875 /nfs/dbraw/zinc/64/38/75/848643875.db2.gz GUCDUJHEYTVGIF-SNVBAGLBSA-N 0 1 264.354 0.708 20 30 CCEDMN CCCOCC(=O)N[C@H](C)CN(C)CC#CCOC ZINC001275514074 848744937 /nfs/dbraw/zinc/74/49/37/848744937.db2.gz VAXLNYCDYHKCQU-CYBMUJFWSA-N 0 1 270.373 0.499 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCCOC1 ZINC001275519612 848749831 /nfs/dbraw/zinc/74/98/31/848749831.db2.gz ABNVZDYFXNJXIG-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCCN(C)C[C@@H](C)NC(=O)CCS(C)(=O)=O ZINC001275592249 848770319 /nfs/dbraw/zinc/77/03/19/848770319.db2.gz HNUIRACFFFAMRG-LLVKDONJSA-N 0 1 276.402 0.434 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@@H](C)CNC(=O)CC)C1=O ZINC001275750631 848808768 /nfs/dbraw/zinc/80/87/68/848808768.db2.gz JVMDDVZIYKAKPX-NWDGAFQWSA-N 0 1 267.373 0.620 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)C[C@H]1COC(=O)C1 ZINC001275810690 848832509 /nfs/dbraw/zinc/83/25/09/848832509.db2.gz LRJRSRLZIFZYOA-CHWSQXEVSA-N 0 1 296.367 0.026 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ncc(OC)cc1F ZINC001275842897 848841326 /nfs/dbraw/zinc/84/13/26/848841326.db2.gz DBXDQQDRTRMWQC-JTQLQIEISA-N 0 1 279.315 0.913 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ncccc1NC(C)=O ZINC001275846341 848843404 /nfs/dbraw/zinc/84/34/04/848843404.db2.gz YEXFUTNCONMVBR-NSHDSACASA-N 0 1 288.351 0.723 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc2n(n1)CCO2 ZINC001275849119 848844203 /nfs/dbraw/zinc/84/42/03/848844203.db2.gz BHVPEQJVMBYUNR-NSHDSACASA-N 0 1 276.340 0.349 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1c(C)cnn1C ZINC001275905295 848861622 /nfs/dbraw/zinc/86/16/22/848861622.db2.gz XPNMRJRFRWYTPM-CYBMUJFWSA-N 0 1 292.383 0.428 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCN(C)C(=O)C1)C2 ZINC001095632892 849002087 /nfs/dbraw/zinc/00/20/87/849002087.db2.gz TXEGVINWAAJFKR-CRWXNKLISA-N 0 1 291.395 0.762 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCN(C)C(=O)C1)C2 ZINC001095632892 849002092 /nfs/dbraw/zinc/00/20/92/849002092.db2.gz TXEGVINWAAJFKR-CRWXNKLISA-N 0 1 291.395 0.762 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1(C(=O)NC)CC1)C2 ZINC001111143843 849079584 /nfs/dbraw/zinc/07/95/84/849079584.db2.gz BICMDVOBIXYLSP-UPJWGTAASA-N 0 1 289.379 0.257 20 30 CCEDMN Cn1cc(CNCc2ccc(C#N)cc2)c(=O)n(C)c1=O ZINC000037748337 849245807 /nfs/dbraw/zinc/24/58/07/849245807.db2.gz SMOILGJQSACGGQ-UHFFFAOYSA-N 0 1 284.319 0.245 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(C)CCC1 ZINC001114683695 849361643 /nfs/dbraw/zinc/36/16/43/849361643.db2.gz TWBKMJRFAUUUJX-WDNDVIMCSA-N 0 1 276.380 0.873 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)N(C)C)C[C@H]21 ZINC001114686539 849363184 /nfs/dbraw/zinc/36/31/84/849363184.db2.gz NJMOYEHPZROIMC-NDBYEHHHSA-N 0 1 293.411 0.722 20 30 CCEDMN C=C[C@@H](O)CNC1(C(=O)OCC)CCN(C)CC1 ZINC001253603531 849652961 /nfs/dbraw/zinc/65/29/61/849652961.db2.gz XRGSZHBKCRUUNL-LLVKDONJSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C[C@H](O)CN[C@@H](CO)c1cccc(S(C)(=O)=O)c1 ZINC001253611555 849659338 /nfs/dbraw/zinc/65/93/38/849659338.db2.gz RJMHXFIKSXHUPI-AAEUAGOBSA-N 0 1 285.365 0.260 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnc2n1CCOC2 ZINC001038368050 849867403 /nfs/dbraw/zinc/86/74/03/849867403.db2.gz RJQUKTYPUNBUBX-GFCCVEGCSA-N 0 1 288.351 0.241 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCn2cncc2C1 ZINC001038414655 849886653 /nfs/dbraw/zinc/88/66/53/849886653.db2.gz BINJSYKRECZNGA-UONOGXRCSA-N 0 1 286.379 0.659 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001038419245 849888825 /nfs/dbraw/zinc/88/88/25/849888825.db2.gz ROXYWZISVOIFDA-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1ccn(CCOC)n1 ZINC001038500363 849924504 /nfs/dbraw/zinc/92/45/04/849924504.db2.gz LFFMXTIBEOQSBI-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCN(C)C1=O ZINC001038618773 849965424 /nfs/dbraw/zinc/96/54/24/849965424.db2.gz DOWXBWCBMLVKJT-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2CCN2CCCF)nn1 ZINC001038789347 850016816 /nfs/dbraw/zinc/01/68/16/850016816.db2.gz FZDQAEKUMIIOMD-NSHDSACASA-N 0 1 281.335 0.628 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(=O)n(CC)n1 ZINC001038823691 850028451 /nfs/dbraw/zinc/02/84/51/850028451.db2.gz ROQMYENKENFHRS-GFCCVEGCSA-N 0 1 288.351 0.091 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cc(CC)nn1C ZINC001038841585 850041031 /nfs/dbraw/zinc/04/10/31/850041031.db2.gz ODGGEFTZMMFNPI-CYBMUJFWSA-N 0 1 274.368 0.810 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001038857928 850052918 /nfs/dbraw/zinc/05/29/18/850052918.db2.gz AIQHYNQECJAIRJ-NEPJUHHUSA-N 0 1 286.379 0.972 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cnc(OC)nc1 ZINC001038877467 850064202 /nfs/dbraw/zinc/06/42/02/850064202.db2.gz GLFKFUWQIHBWSZ-GFCCVEGCSA-N 0 1 276.340 0.865 20 30 CCEDMN C[C@H]1[C@@H](Nc2nccnc2C#N)CCN1C(=O)c1ccn[nH]1 ZINC001040044853 850257323 /nfs/dbraw/zinc/25/73/23/850257323.db2.gz WANNLAVOEYYAEH-UWVGGRQHSA-N 0 1 297.322 0.786 20 30 CCEDMN N#CCN1CC[C@@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC001041163014 850387797 /nfs/dbraw/zinc/38/77/97/850387797.db2.gz XQMGAHBVUPSNOG-CQSZACIVSA-N 0 1 273.340 0.400 20 30 CCEDMN N#CCN1CC[C@]2(CCN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)C2)C1 ZINC001041375342 850437851 /nfs/dbraw/zinc/43/78/51/850437851.db2.gz MXPWGTVDAIGTBS-IOASZLSFSA-N 0 1 299.378 0.961 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3nccnc3N)C[C@H]21 ZINC001041987017 850549184 /nfs/dbraw/zinc/54/91/84/850549184.db2.gz VULHNEJUHTYDCV-QWHCGFSZSA-N 0 1 299.378 0.619 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3nccnc3N)C[C@@H]21 ZINC001041987018 850549465 /nfs/dbraw/zinc/54/94/65/850549465.db2.gz VULHNEJUHTYDCV-STQMWFEESA-N 0 1 299.378 0.619 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnnc(C)c3)C[C@@H]21 ZINC001042030346 850559449 /nfs/dbraw/zinc/55/94/49/850559449.db2.gz ABOVSDNKWKOBHW-HIFRSBDPSA-N 0 1 284.363 0.955 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H]2CCN(C(=O)Cc3nnc[n-]3)C[C@H]21 ZINC001042306448 850612000 /nfs/dbraw/zinc/61/20/00/850612000.db2.gz GUHHISPWLQFYEM-QWHCGFSZSA-N 0 1 287.367 0.293 20 30 CCEDMN CC#CC[N@H+]1CC[C@H]2CCN(C(=O)Cc3nnc[n-]3)C[C@H]21 ZINC001042306448 850612006 /nfs/dbraw/zinc/61/20/06/850612006.db2.gz GUHHISPWLQFYEM-QWHCGFSZSA-N 0 1 287.367 0.293 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2COCCN2C)C1 ZINC001042635907 850719695 /nfs/dbraw/zinc/71/96/95/850719695.db2.gz GIGJBQWCUMIMKC-AWEZNQCLSA-N 0 1 281.400 0.426 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cccc3c[nH]nc32)C1 ZINC001043504172 850887123 /nfs/dbraw/zinc/88/71/23/850887123.db2.gz QFCGPLOPSPQAQB-UHFFFAOYSA-N 0 1 268.320 0.952 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@]2(C)CCNC2=O)C1 ZINC001043854091 850949774 /nfs/dbraw/zinc/94/97/74/850949774.db2.gz YWOFCGVIBAGGNH-OAHLLOKOSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(C)C1CN(C[C@H]2CCOC2)C1 ZINC001043924469 850964907 /nfs/dbraw/zinc/96/49/07/850964907.db2.gz YSUNDWCGBNNQBH-YUELXQCFSA-N 0 1 294.395 0.757 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)CCC(=O)N1)C2 ZINC001095971857 851048041 /nfs/dbraw/zinc/04/80/41/851048041.db2.gz KNILANBQPZFOGV-YXMPFFBPSA-N 0 1 277.368 0.563 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCCC(=O)N1)C2 ZINC001095996449 851164575 /nfs/dbraw/zinc/16/45/75/851164575.db2.gz WUJBGTOHAWGDQW-MQYQWHSLSA-N 0 1 291.395 0.953 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccc(C(N)=O)[nH]1)C2 ZINC001096017289 851230130 /nfs/dbraw/zinc/23/01/30/851230130.db2.gz KDEHMCUXATWNGN-ICCXJUOJSA-N 0 1 288.351 0.635 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cn[nH]c(=O)c2)CC1 ZINC001045468228 851262389 /nfs/dbraw/zinc/26/23/89/851262389.db2.gz AOYJEQWBPNXGEZ-UHFFFAOYSA-N 0 1 274.324 0.400 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CC(=O)N(CC)C2)CC1 ZINC001045456856 851263550 /nfs/dbraw/zinc/26/35/50/851263550.db2.gz HBRJEIFDYGCTJJ-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N2CCN(C(=O)[C@@H](CC)OC)CC2)C1 ZINC001046009868 851348242 /nfs/dbraw/zinc/34/82/42/851348242.db2.gz FBSULMWXDCYNSV-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C2CCOCC2)C1 ZINC001046099600 851375528 /nfs/dbraw/zinc/37/55/28/851375528.db2.gz OJJVRMAMRMYSAK-AWEZNQCLSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001046125809 851387992 /nfs/dbraw/zinc/38/79/92/851387992.db2.gz WNMVQYUXQYBXKZ-ZDUSSCGKSA-N 0 1 260.297 0.010 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001046225311 851429586 /nfs/dbraw/zinc/42/95/86/851429586.db2.gz ZMLZEXJECVXGQV-AWEZNQCLSA-N 0 1 256.309 0.714 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001046326805 851467374 /nfs/dbraw/zinc/46/73/74/851467374.db2.gz WHLUCQJMVGIYJS-XJKCOSOUSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCc3nccn32)C1 ZINC001046372946 851479755 /nfs/dbraw/zinc/47/97/55/851479755.db2.gz RQZVMJPSROOVTK-XJKSGUPXSA-N 0 1 286.379 0.974 20 30 CCEDMN N#Cc1cnc(N[C@H]2C[C@@H](CNC(=O)c3ncn[nH]3)C2)cn1 ZINC001046431696 851499798 /nfs/dbraw/zinc/49/97/98/851499798.db2.gz LWFOQLQLMLRRBM-DTORHVGOSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cnc(N[C@H]2C[C@@H](CNC(=O)c3nc[nH]n3)C2)cn1 ZINC001046431696 851499801 /nfs/dbraw/zinc/49/98/01/851499801.db2.gz LWFOQLQLMLRRBM-DTORHVGOSA-N 0 1 298.310 0.087 20 30 CCEDMN C[C@]1(NC(=O)c2[nH]nc3c2CCC3)CCN(CC#N)C1 ZINC001046440310 851506165 /nfs/dbraw/zinc/50/61/65/851506165.db2.gz NXSBGEAAZOKDQW-AWEZNQCLSA-N 0 1 273.340 0.616 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001046451179 851513714 /nfs/dbraw/zinc/51/37/14/851513714.db2.gz YCISRQSXGVKSKN-OAHLLOKOSA-N 0 1 290.367 0.504 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cc(OC)n(C)n2)C1 ZINC001046475298 851520230 /nfs/dbraw/zinc/52/02/30/851520230.db2.gz ZSUJSANLXLGCFE-HNNXBMFYSA-N 0 1 290.367 0.646 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001046516917 851528926 /nfs/dbraw/zinc/52/89/26/851528926.db2.gz SDNKVYJZCJDKDT-IUODEOHRSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001046812441 851621099 /nfs/dbraw/zinc/62/10/99/851621099.db2.gz XIOZDULHUZQSPS-SWLSCSKDSA-N 0 1 277.368 0.211 20 30 CCEDMN N#Cc1cncc(N[C@H]2C[C@H](CNC(=O)c3ncn[nH]3)C2)n1 ZINC001046872065 851636947 /nfs/dbraw/zinc/63/69/47/851636947.db2.gz SIXPAWXVERGRRR-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cncc(N[C@H]2C[C@H](CNC(=O)c3nc[nH]n3)C2)n1 ZINC001046872065 851636953 /nfs/dbraw/zinc/63/69/53/851636953.db2.gz SIXPAWXVERGRRR-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2[nH]cnc2C)C1 ZINC001046876762 851638192 /nfs/dbraw/zinc/63/81/92/851638192.db2.gz MGABQTGBWKPDMC-AWEZNQCLSA-N 0 1 260.341 0.936 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2n[nH]c(C)c2C)C1 ZINC001047328570 851720170 /nfs/dbraw/zinc/72/01/70/851720170.db2.gz SFVSDWCLRKHGGS-STQMWFEESA-N 0 1 292.383 0.720 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ncoc2CC)C1 ZINC001047332622 851721497 /nfs/dbraw/zinc/72/14/97/851721497.db2.gz WAXGRHIIESDETJ-RYUDHWBXSA-N 0 1 293.367 0.930 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cnccc2C)C1 ZINC001047334267 851723628 /nfs/dbraw/zinc/72/36/28/851723628.db2.gz BUTBHQMEZPCOJT-GJZGRUSLSA-N 0 1 287.363 0.530 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@]23C[C@H]2COC3)C1 ZINC001047387992 851744686 /nfs/dbraw/zinc/74/46/86/851744686.db2.gz WMFDNPKIDYBZDS-ABHRYQDASA-N 0 1 280.368 0.103 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001047459576 851771392 /nfs/dbraw/zinc/77/13/92/851771392.db2.gz ADQQSUAOOXMYBZ-STQMWFEESA-N 0 1 291.351 0.019 20 30 CCEDMN CN(C(=O)c1cccc2n[nH]cc21)[C@H]1CN(CC#N)C[C@@H]1O ZINC001047479639 851780987 /nfs/dbraw/zinc/78/09/87/851780987.db2.gz VVPATKVDPGEYRG-KBPBESRZSA-N 0 1 299.334 0.204 20 30 CCEDMN C=C1CCC(C(=O)N(C)[C@H]2CN(CCOC)C[C@@H]2O)CC1 ZINC001047512303 851796641 /nfs/dbraw/zinc/79/66/41/851796641.db2.gz MXPKCNRMXFYHET-GJZGRUSLSA-N 0 1 296.411 0.883 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)[C@H]2CN(CC)C[C@@H]2O)c1 ZINC001047520804 851801656 /nfs/dbraw/zinc/80/16/56/851801656.db2.gz CLUGVBZIVWXNOY-KBPBESRZSA-N 0 1 273.336 0.200 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cnoc2CC)C1 ZINC001047550083 851813339 /nfs/dbraw/zinc/81/33/39/851813339.db2.gz OGRKINGKKCIKSG-STQMWFEESA-N 0 1 293.367 0.930 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2nocc2C)C1 ZINC001047587851 851824541 /nfs/dbraw/zinc/82/45/41/851824541.db2.gz AUVFPIKLBDRBHK-RYUDHWBXSA-N 0 1 279.340 0.676 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)[C@@H]3CCCc4c[nH]nc43)C[C@@H]2C1 ZINC001048820078 852074370 /nfs/dbraw/zinc/07/43/70/852074370.db2.gz BJTPZOCVJQELSX-HZSPNIEDSA-N 0 1 299.378 0.743 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)Cc1ccon1 ZINC001049368800 852244394 /nfs/dbraw/zinc/24/43/94/852244394.db2.gz BRUPRHSQIGUIMB-KGLIPLIRSA-N 0 1 273.336 0.916 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C(C)(C)C(N)=O ZINC001049534166 852306898 /nfs/dbraw/zinc/30/68/98/852306898.db2.gz ZBSHHPPBKIZENZ-NEPJUHHUSA-N 0 1 277.368 0.196 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@@H]1CCC(=O)N1C ZINC001049648644 852331995 /nfs/dbraw/zinc/33/19/95/852331995.db2.gz XSFQFEIRRVDAME-RDBSUJKOSA-N 0 1 289.379 0.306 20 30 CCEDMN C=CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1nnn(C)n1 ZINC001049653372 852333388 /nfs/dbraw/zinc/33/33/88/852333388.db2.gz ZYBXHAFYUPOXTG-QWRGUYRKSA-N 0 1 276.344 0.075 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1(C(N)=O)CC1 ZINC001049688861 852352083 /nfs/dbraw/zinc/35/20/83/852352083.db2.gz XCVFAEZDNIUAGP-CHWSQXEVSA-N 0 1 289.379 0.341 20 30 CCEDMN C=CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnon1 ZINC001049801646 852378483 /nfs/dbraw/zinc/37/84/83/852378483.db2.gz INNDEVOGULEDKV-VXGBXAGGSA-N 0 1 262.313 0.935 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049835048 852382770 /nfs/dbraw/zinc/38/27/70/852382770.db2.gz NQUKPOVUBONILP-VXGBXAGGSA-N 0 1 273.340 0.046 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049835048 852382774 /nfs/dbraw/zinc/38/27/74/852382774.db2.gz NQUKPOVUBONILP-VXGBXAGGSA-N 0 1 273.340 0.046 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C3=COCCO3)[C@@H]2C1 ZINC001049963936 852409804 /nfs/dbraw/zinc/40/98/04/852409804.db2.gz IFZPYKOJJPVXFM-QWHCGFSZSA-N 0 1 276.336 0.431 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CN3CCCC3=O)[C@@H]2C1 ZINC001049967235 852410613 /nfs/dbraw/zinc/41/06/13/852410613.db2.gz HRACPZHWDNUAQA-UONOGXRCSA-N 0 1 289.379 0.165 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1ccnc1)C2 ZINC001096652676 852420557 /nfs/dbraw/zinc/42/05/57/852420557.db2.gz ZLIIFJVYLCNJQV-AGIUHOORSA-N 0 1 260.341 0.791 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnn(C)c1)C2 ZINC001096862290 852465108 /nfs/dbraw/zinc/46/51/08/852465108.db2.gz PDARPMDPLBPGCT-MCIONIFRSA-N 0 1 272.352 0.317 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](Nc2ncnc3[nH]cnc32)C1 ZINC001097165518 852513227 /nfs/dbraw/zinc/51/32/27/852513227.db2.gz CKAVTRLXQWCUKV-XHNCKOQMSA-N 0 1 285.311 0.572 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCc3n[nH]nc31)C2 ZINC001097738014 852596986 /nfs/dbraw/zinc/59/69/86/852596986.db2.gz XPGMJZSMRYYYPM-WVWOOGAGSA-N 0 1 299.378 0.579 20 30 CCEDMN C#CCCN1CC2(C1)CN(C(=O)[C@H]1CCCN1C)CCO2 ZINC001053190382 852705072 /nfs/dbraw/zinc/70/50/72/852705072.db2.gz SPTVSHBROPXNQP-CQSZACIVSA-N 0 1 291.395 0.017 20 30 CCEDMN C#CCN1CC2(C1)CN(C(=O)[C@H]1CCCCN1C)CCO2 ZINC001053311242 852727178 /nfs/dbraw/zinc/72/71/78/852727178.db2.gz WGHDROOMVANCEO-CQSZACIVSA-N 0 1 291.395 0.017 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@@H](CNC(=O)c1cn[nH]c1)O2 ZINC001053578914 852763468 /nfs/dbraw/zinc/76/34/68/852763468.db2.gz PSFISUIYTXTGMV-ZDUSSCGKSA-N 0 1 290.367 0.949 20 30 CCEDMN C=C(C)CN1CC2(C1)C[C@H](NC(=O)[C@H]1CCCN1C)CO2 ZINC001053755306 852805643 /nfs/dbraw/zinc/80/56/43/852805643.db2.gz BSRUTGCYIZFBLH-UONOGXRCSA-N 0 1 293.411 0.616 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)[C@H]1CCCCN1C)CO2 ZINC001053848392 852834216 /nfs/dbraw/zinc/83/42/16/852834216.db2.gz YWVZSMPJVYPDTK-ZIAGYGMSSA-N 0 1 293.411 0.616 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCn2ccnn2)C[C@@H]1C ZINC001054596925 852977853 /nfs/dbraw/zinc/97/78/53/852977853.db2.gz UJBIEWFWDYIQGF-JQWIXIFHSA-N 0 1 297.790 0.857 20 30 CCEDMN C=CCCC(=O)NC1CCN(CCNC(C)=O)CC1 ZINC001055483384 853078845 /nfs/dbraw/zinc/07/88/45/853078845.db2.gz YZLPXHYOUZPNGF-UHFFFAOYSA-N 0 1 267.373 0.669 20 30 CCEDMN Cc1ccc(C#N)c(N2CCN(C(=O)c3ccn[nH]3)CC2)n1 ZINC001055721669 853109023 /nfs/dbraw/zinc/10/90/23/853109023.db2.gz IWFPTVJRBLSCFG-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCN(c2ncnc3[nH]cnc32)CC1 ZINC001057106851 853272540 /nfs/dbraw/zinc/27/25/40/853272540.db2.gz BFYKAVVXWMEUNH-JTQLQIEISA-N 0 1 299.338 0.551 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cc3nnc(C)[nH]3)[C@@H]2C1 ZINC001050041842 853302056 /nfs/dbraw/zinc/30/20/56/853302056.db2.gz YJTNITMBKCCDHA-QWHCGFSZSA-N 0 1 287.367 0.212 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cn[nH]c(=O)c3)[C@@H]2C1 ZINC001050069378 853307766 /nfs/dbraw/zinc/30/77/66/853307766.db2.gz DIHAFLKTAWPWQY-WCQYABFASA-N 0 1 286.335 0.352 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@H]2CN(CC#N)C[C@H]21 ZINC001050107898 853314693 /nfs/dbraw/zinc/31/46/93/853314693.db2.gz FTCIMZCTIVVSPY-WDEREUQCSA-N 0 1 274.328 0.173 20 30 CCEDMN C=C(C)CN1CCOC[C@@H]1CNC(=O)[C@@H]1CCCN1C ZINC001050848637 853461899 /nfs/dbraw/zinc/46/18/99/853461899.db2.gz LTXLGUWWWPVTMB-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN N#CCN1CCOC[C@H]1CNC(=O)c1cccc2nc[nH]c21 ZINC001051182517 853541976 /nfs/dbraw/zinc/54/19/76/853541976.db2.gz FHMRJSZQEINKFU-LLVKDONJSA-N 0 1 299.334 0.517 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCO[C@H](CNCc2ccns2)C1 ZINC001051493853 853596973 /nfs/dbraw/zinc/59/69/73/853596973.db2.gz AZAOYHAAKSXGAY-GHMZBOCLSA-N 0 1 294.380 0.620 20 30 CCEDMN COCCN1CCN([C@@H]2CCN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001051997969 853671074 /nfs/dbraw/zinc/67/10/74/853671074.db2.gz LJNDJHFQJSKUCI-ZIAGYGMSSA-N 0 1 294.399 0.011 20 30 CCEDMN C=C(C)CN1CCN([C@@H]2CCN(C(=O)[C@H](C)OC)C2)CC1 ZINC001051990492 853672107 /nfs/dbraw/zinc/67/21/07/853672107.db2.gz CDQSUEWGSLJHLK-LSDHHAIUSA-N 0 1 295.427 0.816 20 30 CCEDMN C=CC[N@H+]1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001052529361 853762637 /nfs/dbraw/zinc/76/26/37/853762637.db2.gz QHDDAXUEHOCHEG-VIFPVBQESA-N 0 1 293.327 0.053 20 30 CCEDMN Cc1nc(N2CC[C@H](NC(=O)c3ncn[nH]3)C2)ccc1C#N ZINC001058422979 853844053 /nfs/dbraw/zinc/84/40/53/853844053.db2.gz UGJRQBIJMIPVPM-NSHDSACASA-N 0 1 297.322 0.389 20 30 CCEDMN Cc1nc(N2CC[C@H](NC(=O)c3nc[nH]n3)C2)ccc1C#N ZINC001058422979 853844056 /nfs/dbraw/zinc/84/40/56/853844056.db2.gz UGJRQBIJMIPVPM-NSHDSACASA-N 0 1 297.322 0.389 20 30 CCEDMN C[C@@H]1C[C@@H](Nc2ccc(C#N)nn2)CN1C(=O)c1ccn[nH]1 ZINC001069017348 853939268 /nfs/dbraw/zinc/93/92/68/853939268.db2.gz LFNYUIZTKOTMIA-MWLCHTKSSA-N 0 1 297.322 0.786 20 30 CCEDMN C[C@@H]1C[C@H](Nc2ccc(C#N)nn2)CN1C(=O)c1ccn[nH]1 ZINC001069017347 853939421 /nfs/dbraw/zinc/93/94/21/853939421.db2.gz LFNYUIZTKOTMIA-KOLCDFICSA-N 0 1 297.322 0.786 20 30 CCEDMN C[C@H]1C[C@@H](Nc2ccncc2C#N)CN1C(=O)c1ccn[nH]1 ZINC001069020322 853939580 /nfs/dbraw/zinc/93/95/80/853939580.db2.gz XEFBMNFPYPZALP-CMPLNLGQSA-N 0 1 296.334 0.813 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C=C2CCC2)[C@@H](n2ccnn2)C1 ZINC001069909082 853998109 /nfs/dbraw/zinc/99/81/09/853998109.db2.gz GIDQSEFGDFOROE-CABCVRRESA-N 0 1 299.378 0.753 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ncc[nH]1)C2 ZINC001098105126 854020928 /nfs/dbraw/zinc/02/09/28/854020928.db2.gz PXZZRESPBBZCLW-UPJWGTAASA-N 0 1 272.352 0.697 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2CC2)C[C@H]1c1cn(C)cn1 ZINC001070454193 854061996 /nfs/dbraw/zinc/06/19/96/854061996.db2.gz OFPUPOFRLCZOJE-GXTWGEPZSA-N 0 1 272.352 0.347 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CC(C)C)C[C@H]1c1cn(C)cn1 ZINC001070462483 854062535 /nfs/dbraw/zinc/06/25/35/854062535.db2.gz IKTPETCFYJFJPQ-DZGCQCFKSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](F)CC)C[C@H]1c1cn(C)cn1 ZINC001070522504 854071547 /nfs/dbraw/zinc/07/15/47/854071547.db2.gz FAJCTIHCZUFWMJ-OUCADQQQSA-N 0 1 292.358 0.685 20 30 CCEDMN CCCCN1CCNC(=O)CCN(C(=O)[C@@H](C)C#N)CC1 ZINC001070948508 854108941 /nfs/dbraw/zinc/10/89/41/854108941.db2.gz PBXUZAVKBUEEQU-ZDUSSCGKSA-N 0 1 294.399 0.597 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)c1cn[nH]n1)C[C@@H](C)O2 ZINC001071183766 854133776 /nfs/dbraw/zinc/13/37/76/854133776.db2.gz SOFFCXFIJYMNJW-RISCZKNCSA-N 0 1 291.355 0.296 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2cccn2)CC[C@@H]1C ZINC001071354202 854166339 /nfs/dbraw/zinc/16/63/39/854166339.db2.gz IRKCQITYJJXAGH-STQMWFEESA-N 0 1 260.341 0.485 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)Cc2ccon2)CC[C@H]1C ZINC001071380777 854171118 /nfs/dbraw/zinc/17/11/18/854171118.db2.gz CVVXKLOMBANISD-YPMHNXCESA-N 0 1 261.325 0.819 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ccon2)CC[C@H]1C ZINC001071380777 854171124 /nfs/dbraw/zinc/17/11/24/854171124.db2.gz CVVXKLOMBANISD-YPMHNXCESA-N 0 1 261.325 0.819 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CC[C@H](C)N(CC#N)C2)[nH]n1 ZINC001071427081 854189813 /nfs/dbraw/zinc/18/98/13/854189813.db2.gz FDNXXCICEWQFHB-RYUDHWBXSA-N 0 1 275.356 0.753 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1C ZINC001071652295 854258056 /nfs/dbraw/zinc/25/80/56/854258056.db2.gz SQOWGEWYNXCMKA-JQWIXIFHSA-N 0 1 292.339 0.189 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)c2[nH]nnc2C)CC[C@H]1C ZINC001071728569 854273172 /nfs/dbraw/zinc/27/31/72/854273172.db2.gz OSUBIAVQCQVSTG-PWSUYJOCSA-N 0 1 275.356 0.719 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@H]1C ZINC001071738519 854277064 /nfs/dbraw/zinc/27/70/64/854277064.db2.gz DKKYKTWZFWCJTN-GHMZBOCLSA-N 0 1 276.340 0.342 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@H]1C ZINC001071775320 854285861 /nfs/dbraw/zinc/28/58/61/854285861.db2.gz AEUOJJIGTFCSNV-MNOVXSKESA-N 0 1 276.340 0.539 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CC[C@H](C)N(CCO)C2)nn1 ZINC001071884040 854312082 /nfs/dbraw/zinc/31/20/82/854312082.db2.gz WRJULUOZBWMMNW-NWDGAFQWSA-N 0 1 293.371 0.039 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc(C)nn3)C2)C1 ZINC001072718599 854452080 /nfs/dbraw/zinc/45/20/80/854452080.db2.gz DJPHCCVJWOBJJR-UHFFFAOYSA-N 0 1 270.336 0.566 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C(=O)Cc2ncn[nH]2)C[C@H]1C ZINC001072778914 854460973 /nfs/dbraw/zinc/46/09/73/854460973.db2.gz WYRHHLRWGUJGFK-GHMZBOCLSA-N 0 1 291.355 0.277 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnn4ncccc34)C2)C1 ZINC001072820231 854471661 /nfs/dbraw/zinc/47/16/61/854471661.db2.gz AHVSZFYKYPUTNJ-UHFFFAOYSA-N 0 1 295.346 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3nc(CC)c[nH]3)C2)C1 ZINC001072826159 854473539 /nfs/dbraw/zinc/47/35/39/854473539.db2.gz LBXSDVCQZDPGOS-UHFFFAOYSA-N 0 1 286.379 0.682 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccsn3)C2)C1 ZINC001072843098 854478023 /nfs/dbraw/zinc/47/80/23/854478023.db2.gz PVYWDBBMVVRAJX-UHFFFAOYSA-N 0 1 261.350 0.924 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccn(C)c(=O)c3)C2)C1 ZINC001072862141 854481305 /nfs/dbraw/zinc/48/13/05/854481305.db2.gz PEVDPLLGMFTAQF-UHFFFAOYSA-N 0 1 285.347 0.166 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CC2(C1)CCN(CC#N)C2 ZINC001072859173 854481590 /nfs/dbraw/zinc/48/15/90/854481590.db2.gz YWYMSDYRTRWLIA-CYBMUJFWSA-N 0 1 276.384 0.529 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccn(CC)n3)C2)C1 ZINC001073035307 854519181 /nfs/dbraw/zinc/51/91/81/854519181.db2.gz CCAFYYYISNXVKL-UHFFFAOYSA-N 0 1 272.352 0.684 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc4nncn4c3)C2)C1 ZINC001073054916 854520927 /nfs/dbraw/zinc/52/09/27/854520927.db2.gz OVNJNNRDHQRGBF-UHFFFAOYSA-N 0 1 295.346 0.510 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cn(C)nc2C)C1 ZINC001073557181 854594226 /nfs/dbraw/zinc/59/42/26/854594226.db2.gz ZIRCVBZKYWZXRY-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccnn2CC)C1 ZINC001073583915 854604376 /nfs/dbraw/zinc/60/43/76/854604376.db2.gz IJEILGIGUOOEGT-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H]2CC2(F)F)C1 ZINC001073595416 854608392 /nfs/dbraw/zinc/60/83/92/854608392.db2.gz MWPVTGKNEAKBAP-NEPJUHHUSA-N 0 1 286.322 0.872 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cncn2C)C1 ZINC001073681377 854631062 /nfs/dbraw/zinc/63/10/62/854631062.db2.gz MAOUAEVOBNAOFP-GFCCVEGCSA-N 0 1 278.356 0.427 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2n[nH]cc2F)C1 ZINC001073757520 854639174 /nfs/dbraw/zinc/63/91/74/854639174.db2.gz FQKDOLOFYGSZAB-JTQLQIEISA-N 0 1 282.319 0.556 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2[nH]cnc2C)C1 ZINC001073914659 854661288 /nfs/dbraw/zinc/66/12/88/854661288.db2.gz ZAJDKSRKGBDPTK-LBPRGKRZSA-N 0 1 278.356 0.725 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)[C@@H](C)C1 ZINC001074048555 854669030 /nfs/dbraw/zinc/66/90/30/854669030.db2.gz IMBMUCZUDZHQCZ-WDEREUQCSA-N 0 1 291.355 0.738 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1ccccc1=O)C2 ZINC001098367113 854860276 /nfs/dbraw/zinc/86/02/76/854860276.db2.gz MCHVBOHJMINQJR-KFWWJZLASA-N 0 1 299.374 0.593 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001098405480 854865386 /nfs/dbraw/zinc/86/53/86/854865386.db2.gz ZXMUBOVOQOAHOC-SECBINFHSA-N 0 1 285.311 0.610 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(C(N)=O)c1)C2 ZINC001098410338 854865526 /nfs/dbraw/zinc/86/55/26/854865526.db2.gz AIPKQTPRPSDRHT-WZRBSPASSA-N 0 1 288.351 0.635 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001098714928 854879113 /nfs/dbraw/zinc/87/91/13/854879113.db2.gz MVUMBGKFYXPBSF-HOCLYGCPSA-N 0 1 285.347 0.538 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)Cc2cncnc2)C1 ZINC001098815208 854890595 /nfs/dbraw/zinc/89/05/95/854890595.db2.gz DVZXKKOBDUXKLZ-HOCLYGCPSA-N 0 1 284.363 0.623 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnn(CC)n2)C1 ZINC001098827504 854892364 /nfs/dbraw/zinc/89/23/64/854892364.db2.gz MXHQXSUIBYOONU-WFASDCNBSA-N 0 1 287.367 0.516 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001098915722 854897717 /nfs/dbraw/zinc/89/77/17/854897717.db2.gz JIDNXNNSOIRKEE-XEZPLFJOSA-N 0 1 289.379 0.117 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@]2(F)CCOC2)C1 ZINC001098992026 854903811 /nfs/dbraw/zinc/90/38/11/854903811.db2.gz ICHUGRPKTPSLQV-CFVMTHIKSA-N 0 1 280.343 0.719 20 30 CCEDMN C[C@@H](CCNC(=O)Cc1nnc[nH]1)Nc1ncccc1C#N ZINC001099484005 854934035 /nfs/dbraw/zinc/93/40/35/854934035.db2.gz SXWDJWSSFZCKEW-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2(O)CCC2)[C@@H](O)C1 ZINC001099824058 855004517 /nfs/dbraw/zinc/00/45/17/855004517.db2.gz OJHUGGNGMLYYDY-RYUDHWBXSA-N 0 1 268.357 0.029 20 30 CCEDMN CC(C)OCCN1CC[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001099826476 855004699 /nfs/dbraw/zinc/00/46/99/855004699.db2.gz LITOOPVACMRLAW-CABCVRRESA-N 0 1 294.395 0.376 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COc2cccnc2)[C@H](O)C1 ZINC001100036863 855078335 /nfs/dbraw/zinc/07/83/35/855078335.db2.gz OKZMFSPPICCVBI-ZIAGYGMSSA-N 0 1 291.351 0.198 20 30 CCEDMN Cc1nc(N(C)CCNC(=O)c2cnn[nH]2)ccc1C#N ZINC001100400981 855160616 /nfs/dbraw/zinc/16/06/16/855160616.db2.gz XWIFVDUAAGCVEQ-UHFFFAOYSA-N 0 1 285.311 0.246 20 30 CCEDMN Cc1cc(C(=O)N(C)CCNc2cnc(C#N)cn2)n[nH]1 ZINC001101522791 855305887 /nfs/dbraw/zinc/30/58/87/855305887.db2.gz WUYJHUWFRMLGBW-UHFFFAOYSA-N 0 1 285.311 0.564 20 30 CCEDMN CN(CCNc1cnc(C#N)cn1)C(=O)Cc1ccn[nH]1 ZINC001101534941 855308960 /nfs/dbraw/zinc/30/89/60/855308960.db2.gz HUAHMESZLBGHRK-UHFFFAOYSA-N 0 1 285.311 0.184 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CN(Cc2cnnn2C)C[C@H]1C ZINC001101822201 855373578 /nfs/dbraw/zinc/37/35/78/855373578.db2.gz HLHDEXOCTMTYEA-IJLUTSLNSA-N 0 1 290.371 0.159 20 30 CCEDMN C[C@@H]1CN(CC(N)=O)C[C@H]1CNC(=O)C#CC(C)(C)C ZINC001101954868 855397732 /nfs/dbraw/zinc/39/77/32/855397732.db2.gz HJFBGWIKTMLLDF-VXGBXAGGSA-N 0 1 279.384 0.205 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)C2(C)CC2)[C@H](C)C1 ZINC001101989139 855404611 /nfs/dbraw/zinc/40/46/11/855404611.db2.gz JSERPXAQQZHQRX-CHWSQXEVSA-N 0 1 291.395 0.220 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H]1CN(CC(=O)NC)C[C@H]1C ZINC001102252509 855422473 /nfs/dbraw/zinc/42/24/73/855422473.db2.gz DYADOUFLHDZQSC-ZIAGYGMSSA-N 0 1 293.411 0.610 20 30 CCEDMN CC[C@H](CNC(=O)c1ncn[nH]1)Nc1ncccc1C#N ZINC001103170827 855501647 /nfs/dbraw/zinc/50/16/47/855501647.db2.gz PMESAQZFEJRAPC-SNVBAGLBSA-N 0 1 285.311 0.692 20 30 CCEDMN CC[C@H](CNC(=O)c1nc[nH]n1)Nc1ncccc1C#N ZINC001103170827 855501650 /nfs/dbraw/zinc/50/16/50/855501650.db2.gz PMESAQZFEJRAPC-SNVBAGLBSA-N 0 1 285.311 0.692 20 30 CCEDMN CC[C@H](CNC(=O)Cc1nnc[nH]1)Nc1ncccc1C#N ZINC001103186418 855503123 /nfs/dbraw/zinc/50/31/23/855503123.db2.gz JNLXWZMPJMEWNR-LLVKDONJSA-N 0 1 299.338 0.621 20 30 CCEDMN CN(CC(=O)N[C@]12CCC[C@H]1CN(CC#N)C2)C1CCC1 ZINC001111777981 855593341 /nfs/dbraw/zinc/59/33/41/855593341.db2.gz BKBINKGIGHEJDX-BBRMVZONSA-N 0 1 290.411 0.965 20 30 CCEDMN N#Cc1nccnc1NC1(CNC(=O)c2cnn[nH]2)CCC1 ZINC001111926493 855604944 /nfs/dbraw/zinc/60/49/44/855604944.db2.gz SFQUTFVQUYFSDA-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CC)CCOCC1 ZINC001115039451 855636858 /nfs/dbraw/zinc/63/68/58/855636858.db2.gz WWPULBXSAZWTEL-WDNDVIMCSA-N 0 1 276.380 0.873 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cnccn1 ZINC001115076630 855639242 /nfs/dbraw/zinc/63/92/42/855639242.db2.gz KKYWUCOGDIBDMC-NHAGDIPZSA-N 0 1 270.336 0.089 20 30 CCEDMN CC[C@H](C)NC(=O)CCNC(O)=C1N=CC=CC1=O ZINC001116061688 855715554 /nfs/dbraw/zinc/71/55/54/855715554.db2.gz MOGDLANVYYZEMP-LZTQFINJSA-N 0 1 265.313 0.818 20 30 CCEDMN CNC(=O)C1CCN([C@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC001116723545 855819775 /nfs/dbraw/zinc/81/97/75/855819775.db2.gz CTTRSBAXGQOECV-LLVKDONJSA-N 0 1 292.383 0.395 20 30 CCEDMN C=CCOC[C@@H](NCc1cc(C)[nH]n1)C(=O)OC ZINC001116881672 855857331 /nfs/dbraw/zinc/85/73/31/855857331.db2.gz XIECCFJZHHFLCN-LLVKDONJSA-N 0 1 253.302 0.552 20 30 CCEDMN C#CCOCCN(C)C[C@H]1CN(C2CC2)C(=O)O1 ZINC001118292099 856245722 /nfs/dbraw/zinc/24/57/22/856245722.db2.gz YXGUCGFHBOHQNR-LBPRGKRZSA-N 0 1 252.314 0.551 20 30 CCEDMN CC#CCN(C)C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001118373209 856278486 /nfs/dbraw/zinc/27/84/86/856278486.db2.gz FTQDDMNDSMTOGA-JTQLQIEISA-N 0 1 277.328 0.821 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)C(=O)N(C)Cc2cnc[nH]2)C1 ZINC001118509494 856322480 /nfs/dbraw/zinc/32/24/80/856322480.db2.gz UKNAOWHADUKXFW-LBPRGKRZSA-N 0 1 292.339 0.172 20 30 CCEDMN CCn1ncc(Cl)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC001118806588 856450214 /nfs/dbraw/zinc/45/02/14/856450214.db2.gz DLAOOIHTJUPCNI-VXNVDRBHSA-N 0 1 267.720 0.398 20 30 CCEDMN N#CC1(CNC[C@@H](O)CC2(O)CCOCC2)CC1 ZINC001119002729 856525490 /nfs/dbraw/zinc/52/54/90/856525490.db2.gz BVUKLGWQXWFYCZ-NSHDSACASA-N 0 1 254.330 0.172 20 30 CCEDMN CC(C)(C)N1CC[C@H]1C(=O)N1CCOC[C@H]1C#N ZINC001119454704 856691459 /nfs/dbraw/zinc/69/14/59/856691459.db2.gz LDTAOILYOZCQFZ-MNOVXSKESA-N 0 1 251.330 0.610 20 30 CCEDMN C=CCC[C@@H](NC(=O)CC[NH+]1CCN(CC)CC1)C(=O)[O-] ZINC001119580287 856752748 /nfs/dbraw/zinc/75/27/48/856752748.db2.gz KNFQNDRQRZVDAZ-CYBMUJFWSA-N 0 1 297.399 0.550 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@H](C)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001119586322 856757612 /nfs/dbraw/zinc/75/76/12/856757612.db2.gz FBOPQAPCCUEHEN-OLZOCXBDSA-N 0 1 297.399 0.548 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)[C@@]2(C)C[C@@H](O)CN2C)C1 ZINC001119629303 856791708 /nfs/dbraw/zinc/79/17/08/856791708.db2.gz YGWKXWNTCRIYER-YRGRVCCFSA-N 0 1 268.357 0.245 20 30 CCEDMN CC(C)N(C)C(=O)C1CCN(C[C@H](O)CC#N)CC1 ZINC001119744692 856863811 /nfs/dbraw/zinc/86/38/11/856863811.db2.gz ADGBHDUSSJTWMF-CYBMUJFWSA-N 0 1 267.373 0.840 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@H]2CCC3(COC3)O2)C1 ZINC001119795959 856879548 /nfs/dbraw/zinc/87/95/48/856879548.db2.gz GAWXVOPVAPOYKQ-VXGBXAGGSA-N 0 1 252.314 0.285 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)Cc1cncs1 ZINC001323260780 912227698 /nfs/dbraw/zinc/22/76/98/912227698.db2.gz HLSYVXMGNMHLNL-NSHDSACASA-N 0 1 263.366 0.899 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@H](C)CC)CC1 ZINC001323393288 912315597 /nfs/dbraw/zinc/31/55/97/912315597.db2.gz FHZAQGYVBOGTSE-LLVKDONJSA-N 0 1 267.373 0.573 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCC1(N[C@H]2CCNC2=O)CC1 ZINC001323395748 912316042 /nfs/dbraw/zinc/31/60/42/912316042.db2.gz AONNHCFYGXZQCG-RYUDHWBXSA-N 0 1 295.383 0.095 20 30 CCEDMN C=CCCC(=O)NCC1(NCC(=O)N(C)C2CC2)CC1 ZINC001323406648 912328459 /nfs/dbraw/zinc/32/84/59/912328459.db2.gz QSJXIFKZUZYHFX-UHFFFAOYSA-N 0 1 279.384 0.812 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C(C)(C)C)CC1 ZINC001323597237 912441937 /nfs/dbraw/zinc/44/19/37/912441937.db2.gz RZFFMEIKURXRSD-UHFFFAOYSA-N 0 1 267.373 0.573 20 30 CCEDMN CN(C(=O)C#CC1CC1)C1CN(C[C@H]2C[C@@]23CCOC3)C1 ZINC001323921504 912582447 /nfs/dbraw/zinc/58/24/47/912582447.db2.gz OYDFHPPXVQIFMH-RHSMWYFYSA-N 0 1 288.391 0.969 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C1CN(C[C@H]2CCCOC2)C1 ZINC001323921193 912583529 /nfs/dbraw/zinc/58/35/29/912583529.db2.gz OBDWPUYEKXEJJT-UONOGXRCSA-N 0 1 294.395 0.594 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2COCCO2)CCC1 ZINC001393535877 912632471 /nfs/dbraw/zinc/63/24/71/912632471.db2.gz ATJPMQNMHGODMH-NSHDSACASA-N 0 1 288.775 0.783 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCNC(=O)C2)CCC1 ZINC001393545684 912640579 /nfs/dbraw/zinc/64/05/79/912640579.db2.gz IJDFDYYNGGIXHT-NSHDSACASA-N 0 1 299.802 0.894 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CNC(=O)C2CC2)C[C@H]1C ZINC001393730664 912731552 /nfs/dbraw/zinc/73/15/52/912731552.db2.gz PFFRVZMHMAHENU-SKDRFNHKSA-N 0 1 299.802 0.702 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)C[C@@H](C)NC(N)=O)CC1 ZINC001324307918 912772642 /nfs/dbraw/zinc/77/26/42/912772642.db2.gz AOONAKJGQVFQAD-LLVKDONJSA-N 0 1 280.372 0.037 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)Cn2cccn2)C1 ZINC001324572321 912913990 /nfs/dbraw/zinc/91/39/90/912913990.db2.gz FYQIZTZYTWMBSM-CQSZACIVSA-N 0 1 260.341 0.487 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)Cc1cccnc1 ZINC001324650546 912963831 /nfs/dbraw/zinc/96/38/31/912963831.db2.gz WJJXDRMXBDSJSB-UHFFFAOYSA-N 0 1 280.309 0.539 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)COC2CCCC2)C1 ZINC001325068253 913181557 /nfs/dbraw/zinc/18/15/57/913181557.db2.gz NDKCPTCCTJLBSK-HNNXBMFYSA-N 0 1 280.368 0.132 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCS(C)(=O)=O ZINC001394495880 913221463 /nfs/dbraw/zinc/22/14/63/913221463.db2.gz OKAPXODXDZNYSN-SNVBAGLBSA-N 0 1 296.820 0.610 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@]23C[C@H]2CCC3)C1 ZINC001325115671 913222546 /nfs/dbraw/zinc/22/25/46/913222546.db2.gz WPPOCQAGUSXGIF-BPLDGKMQSA-N 0 1 262.353 0.363 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)Cc2nncn2C)CC1 ZINC001325222615 913288935 /nfs/dbraw/zinc/28/89/35/913288935.db2.gz NWSYXWACXRRQTL-UHFFFAOYSA-N 0 1 275.356 0.119 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)CC1(O)CCC1 ZINC001394731226 913395399 /nfs/dbraw/zinc/39/53/99/913395399.db2.gz BGLWDSBSFASEEF-LLVKDONJSA-N 0 1 290.791 0.453 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CN(C(=O)C=C(C)C)C[C@@H]2C1 ZINC001325717308 913541094 /nfs/dbraw/zinc/54/10/94/913541094.db2.gz XHQWLVVMLBMGIR-OKILXGFUSA-N 0 1 291.395 0.645 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H](OC)C1CC1 ZINC001480859411 891401059 /nfs/dbraw/zinc/40/10/59/891401059.db2.gz IESWQLNHAMQYRA-CYBMUJFWSA-N 0 1 268.357 0.109 20 30 CCEDMN CC#CCN(C)CCNC(=O)C1(COC)CCOCC1 ZINC001480872153 891479617 /nfs/dbraw/zinc/47/96/17/891479617.db2.gz KXKBAGNFSOZHPM-UHFFFAOYSA-N 0 1 282.384 0.501 20 30 CCEDMN C#CCN(C)CCNC(=O)C1(COC)CCOCC1 ZINC001480873137 891485259 /nfs/dbraw/zinc/48/52/59/891485259.db2.gz XIYGJPPEUFXWMH-UHFFFAOYSA-N 0 1 268.357 0.111 20 30 CCEDMN C#CCN(CCNC(=O)[C@H]1CCC[C@@H](C(N)=O)C1)C1CC1 ZINC001493422211 891497715 /nfs/dbraw/zinc/49/77/15/891497715.db2.gz ATSNOXXGVNHDBE-OLZOCXBDSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc(Cn2ccnn2)o1 ZINC001480894247 891700807 /nfs/dbraw/zinc/70/08/07/891700807.db2.gz RDWYVXFPQGTGKJ-UHFFFAOYSA-N 0 1 287.323 0.214 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)[C@H](CC)OC)C1 ZINC001325820380 913589398 /nfs/dbraw/zinc/58/93/98/913589398.db2.gz RRPQPZQWPQSSDY-JSGCOSHPSA-N 0 1 270.373 0.541 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)[C@H](C)C#N)C1 ZINC001325818301 913589678 /nfs/dbraw/zinc/58/96/78/913589678.db2.gz ILAQKYBAQWBFGI-TZMCWYRMSA-N 0 1 265.357 0.665 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1ccc(CC)cn1 ZINC001480915020 891772455 /nfs/dbraw/zinc/77/24/55/891772455.db2.gz JXHXRGYNZKSCPI-UHFFFAOYSA-N 0 1 259.353 0.868 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1c(C)nc[nH]c1=O ZINC001418264192 891781696 /nfs/dbraw/zinc/78/16/96/891781696.db2.gz JUYHJCTZGPLKEK-QMMMGPOBSA-N 0 1 284.747 0.951 20 30 CCEDMN C#CCN(C)CCNC(=O)C1(CCOC)CCC1 ZINC001480938560 891900908 /nfs/dbraw/zinc/90/09/08/891900908.db2.gz BGFVPXXDNFUMCD-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCCN1CC=CC1 ZINC001350801168 891865316 /nfs/dbraw/zinc/86/53/16/891865316.db2.gz BFKKEKXENDLHPO-CYBMUJFWSA-N 0 1 265.357 0.445 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1Cc2cccc(C)c2O1 ZINC001480955885 891955226 /nfs/dbraw/zinc/95/52/26/891955226.db2.gz HCVVBMSJWNKEKD-AWEZNQCLSA-N 0 1 272.348 0.980 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cc(C)nn1C ZINC001480965252 891988396 /nfs/dbraw/zinc/98/83/96/891988396.db2.gz IVLABXWMPXCNKP-UHFFFAOYSA-N 0 1 262.357 0.804 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cc[nH]c(=O)c1 ZINC001480970074 891996655 /nfs/dbraw/zinc/99/66/55/891996655.db2.gz YWTHTNOLVDQAHO-UHFFFAOYSA-N 0 1 261.325 0.862 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H]1CCOC[C@H]1C ZINC001480999142 892036627 /nfs/dbraw/zinc/03/66/27/892036627.db2.gz KTQUKLBEUZPEGC-OLZOCXBDSA-N 0 1 252.358 0.730 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnn(CCOC)c1 ZINC001481014188 892056357 /nfs/dbraw/zinc/05/63/57/892056357.db2.gz CLPHNWJYXYXVMI-UHFFFAOYSA-N 0 1 278.356 0.214 20 30 CCEDMN O=C(NCCNCc1ccns1)C1N=CC=CC1=O ZINC001353002277 892163608 /nfs/dbraw/zinc/16/36/08/892163608.db2.gz ABQFDXZLKMVGNK-VAWYXSNFSA-N 0 1 278.337 0.759 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2n[nH]cc2F)C1 ZINC001481109082 892174501 /nfs/dbraw/zinc/17/45/01/892174501.db2.gz KQCFCOSQGKHISI-NSHDSACASA-N 0 1 294.330 0.250 20 30 CCEDMN CCCN(CCNC(=O)C#CC(C)C)[C@@H](C)C(N)=O ZINC001481135054 892215674 /nfs/dbraw/zinc/21/56/74/892215674.db2.gz GFXRXAUGCVBGHP-LBPRGKRZSA-N 0 1 267.373 0.348 20 30 CCEDMN CC1CCN(CC(=O)NCCN(CC#N)C2CC2)CC1 ZINC001481192292 892288156 /nfs/dbraw/zinc/28/81/56/892288156.db2.gz REPNVRIJZOLHKD-UHFFFAOYSA-N 0 1 278.400 0.822 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cnc2n1CCOC2 ZINC001481242163 892418272 /nfs/dbraw/zinc/41/82/72/892418272.db2.gz GJFYSRLODYOTHI-UHFFFAOYSA-N 0 1 298.774 0.827 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)C=C(C)C)C2)nn1 ZINC001105142694 892491755 /nfs/dbraw/zinc/49/17/55/892491755.db2.gz QQEVBARJPKDEGP-UHFFFAOYSA-N 0 1 287.367 0.741 20 30 CCEDMN C#CCCCC(=O)N1C[C@H]2CC[C@@H](C1)N2[C@@H]1CCNC1=O ZINC001481379375 892604295 /nfs/dbraw/zinc/60/42/95/892604295.db2.gz WGKMQLALSGIZNB-HZSPNIEDSA-N 0 1 289.379 0.354 20 30 CCEDMN C[C@@H]1C[C@H]1C(=O)N1CC[C@@H](CN(C)CC(=O)NCC#N)C1 ZINC001481496005 892745591 /nfs/dbraw/zinc/74/55/91/892745591.db2.gz QKXQAFDSJJAJAI-FRRDWIJNSA-N 0 1 292.383 0.062 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001481630523 892935565 /nfs/dbraw/zinc/93/55/65/892935565.db2.gz KGSOTCFTOXYGNS-CQSZACIVSA-N 0 1 265.357 0.137 20 30 CCEDMN C=CCN1CC(CNC(=O)C(=O)N2CCC[C@H](C)C2)C1 ZINC001481648573 892966445 /nfs/dbraw/zinc/96/64/45/892966445.db2.gz WCKLYABLKZMGRU-LBPRGKRZSA-N 0 1 279.384 0.479 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CN(CC2CCC2)CCO1 ZINC001482185154 893474308 /nfs/dbraw/zinc/47/43/08/893474308.db2.gz QPHHNESLXYCHFX-YPMHNXCESA-N 0 1 265.357 0.763 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)COCC)CC2 ZINC001482240235 893639489 /nfs/dbraw/zinc/63/94/89/893639489.db2.gz OXPKTMGAINLZIP-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001482355183 893909046 /nfs/dbraw/zinc/90/90/46/893909046.db2.gz SRRRHXQQZHIVES-UWVGGRQHSA-N 0 1 273.764 0.454 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1ccc(=O)n(C)n1 ZINC001482360890 893914220 /nfs/dbraw/zinc/91/42/20/893914220.db2.gz SVSGXPSZLOSGIW-SNVBAGLBSA-N 0 1 298.774 0.583 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CNC(=O)CCc1nc[nH]n1 ZINC001285712759 894048770 /nfs/dbraw/zinc/04/87/70/894048770.db2.gz LECAXNKNFVDDMT-JTQLQIEISA-N 0 1 279.344 0.324 20 30 CCEDMN C#CC[N@H+](C)CCN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001482589532 894318107 /nfs/dbraw/zinc/31/81/07/894318107.db2.gz BGGVQWMALSATPR-UHFFFAOYSA-N 0 1 250.302 0.061 20 30 CCEDMN C#CCC[N@H+](C)CCN(C)C(=O)[C@@H]1CCO[C@H]1C=C ZINC001482629484 894430906 /nfs/dbraw/zinc/43/09/06/894430906.db2.gz ZJLLMNUTDGXIKU-KGLIPLIRSA-N 0 1 264.369 0.991 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnn(CCOC)c1 ZINC001482645998 894442654 /nfs/dbraw/zinc/44/26/54/894442654.db2.gz OIMZCMWPOKCGGE-UHFFFAOYSA-N 0 1 278.356 0.167 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](C)OC[C@@H]1CCCCO1 ZINC001482652708 894446384 /nfs/dbraw/zinc/44/63/84/894446384.db2.gz BAEVOHJGZYCREF-GJZGRUSLSA-N 0 1 296.411 0.984 20 30 CCEDMN N#CCNC[C@@H]1CCC[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC001482698134 894495229 /nfs/dbraw/zinc/49/52/29/894495229.db2.gz OIWWYWLUABVEIO-NWDGAFQWSA-N 0 1 298.350 0.970 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](O)CNCc1ccc(F)cc1F ZINC001482716060 894511924 /nfs/dbraw/zinc/51/19/24/894511924.db2.gz LBSHXRWEBYVDST-SKDRFNHKSA-N 0 1 297.305 0.691 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1=CCCC1 ZINC001482720849 894520211 /nfs/dbraw/zinc/52/02/11/894520211.db2.gz HXEWTAJFUGUNPW-LLVKDONJSA-N 0 1 258.749 0.916 20 30 CCEDMN C[C@@H](CCNC(=O)[C@@H](C)Cc1cnc[nH]1)NCC#N ZINC001328427549 915189047 /nfs/dbraw/zinc/18/90/47/915189047.db2.gz QAMBWWFORUFYAA-QWRGUYRKSA-N 0 1 263.345 0.596 20 30 CCEDMN CCc1nc([C@H](C)NCC=CCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001482990955 894778899 /nfs/dbraw/zinc/77/88/99/894778899.db2.gz KHIQTCRXLGWPKJ-MXFLAHGMSA-N 0 1 290.371 0.850 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)CCn1ccnn1 ZINC001483005382 894793782 /nfs/dbraw/zinc/79/37/82/894793782.db2.gz YOMFVQWUCOCFTD-NSCUHMNNSA-N 0 1 283.763 0.683 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC/C=C/CN[C@@H]1CCNC1=O ZINC001483043821 894829854 /nfs/dbraw/zinc/82/98/54/894829854.db2.gz XNIGETXMHWTDIC-BTDICHCPSA-N 0 1 279.384 0.739 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)[C@H](C)CC(N)=O ZINC001483044995 894841494 /nfs/dbraw/zinc/84/14/94/894841494.db2.gz TZOBIDOUTDDCDN-ZBJFTSOASA-N 0 1 273.764 0.512 20 30 CCEDMN Cc1cc(NC(=O)CNC[C@@H](C)NC(=O)[C@@H](C)C#N)no1 ZINC001483117057 894939616 /nfs/dbraw/zinc/93/96/16/894939616.db2.gz SAUYEYILNLWNFT-DTWKUNHWSA-N 0 1 293.327 0.176 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H](C)S(C)(=O)=O ZINC001483139608 895015176 /nfs/dbraw/zinc/01/51/76/895015176.db2.gz BKGQNFLOUHGDJH-RKDXNWHRSA-N 0 1 282.793 0.266 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001483160204 895042843 /nfs/dbraw/zinc/04/28/43/895042843.db2.gz XHJUDLQZAVZFOV-MFKMUULPSA-N 0 1 287.791 0.750 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cc(OCC)n[nH]1 ZINC001483350925 895488389 /nfs/dbraw/zinc/48/83/89/895488389.db2.gz BCLIYOWJYVDKNK-NSHDSACASA-N 0 1 278.356 0.882 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)[C@]1(F)CCOC1 ZINC001483375770 895518909 /nfs/dbraw/zinc/51/89/09/895518909.db2.gz AGEWUMKJLCMLRK-OCCSQVGLSA-N 0 1 286.347 0.201 20 30 CCEDMN C=CCCC(=O)NC[C@@H](O)CNCc1ocnc1C ZINC001496951553 895630431 /nfs/dbraw/zinc/63/04/31/895630431.db2.gz VORYOBAZNRAGAT-NSHDSACASA-N 0 1 267.329 0.516 20 30 CCEDMN C=CCN(CCNC(=O)[C@H]1C[C@@]12CCOC2)CCOC ZINC001508055498 895787668 /nfs/dbraw/zinc/78/76/68/895787668.db2.gz FKGOYPYPBGSWTJ-UKRRQHHQSA-N 0 1 282.384 0.664 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)/C(C)=C\CC)CC1 ZINC001483719939 895914231 /nfs/dbraw/zinc/91/42/31/895914231.db2.gz SIJFELZTSPUYNY-SDQBBNPISA-N 0 1 279.384 0.883 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@@H]2C[C@@H]2C(C)C)CC1 ZINC001483780432 896050247 /nfs/dbraw/zinc/05/02/47/896050247.db2.gz RUQZZQSRTBQPEK-CHWSQXEVSA-N 0 1 293.411 0.819 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001127571149 896150760 /nfs/dbraw/zinc/15/07/60/896150760.db2.gz MISPGUWNQABBEE-PSASIEDQSA-N 0 1 273.764 0.359 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001484045846 896169630 /nfs/dbraw/zinc/16/96/30/896169630.db2.gz XUJWAFQTEGKEPD-BXUZGUMPSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cc2cnccc2OC)C1 ZINC001484048875 896175236 /nfs/dbraw/zinc/17/52/36/896175236.db2.gz HNEJZEMIXNDZLI-INIZCTEOSA-N 0 1 287.363 0.847 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)CCOCCOC)C1 ZINC001484173748 896218400 /nfs/dbraw/zinc/21/84/00/896218400.db2.gz HGZUONQMEHWNFD-INIZCTEOSA-N 0 1 296.411 0.891 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)Cc2ccccc2C#C)C1 ZINC001484247522 896273512 /nfs/dbraw/zinc/27/35/12/896273512.db2.gz GYNANXHPUTXISP-SFHVURJKSA-N 0 1 296.370 0.397 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)COC)C1 ZINC001484254297 896279167 /nfs/dbraw/zinc/27/91/67/896279167.db2.gz ILQIOFIUCOGPTD-LLVKDONJSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)c2cc(C)n[nH]2)C1 ZINC001484427233 896396830 /nfs/dbraw/zinc/39/68/30/896396830.db2.gz CAMQIZGHMBVQQB-OAHLLOKOSA-N 0 1 292.383 0.851 20 30 CCEDMN N#CCNC[C@H]1CC[C@H](NC(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001484566996 896473362 /nfs/dbraw/zinc/47/33/62/896473362.db2.gz BICXAQDCHIHUQF-DDHJBXDOSA-N 0 1 280.328 0.440 20 30 CCEDMN C=CCCC(=O)NC[C@H]1COCCN1Cc1n[nH]c(C)n1 ZINC001484588948 896486287 /nfs/dbraw/zinc/48/62/87/896486287.db2.gz KUUIECRYZWMICX-LBPRGKRZSA-N 0 1 293.371 0.396 20 30 CCEDMN C#CCCCC(=O)N(C)CCCN(C)[C@H]1CCN(C)C1=O ZINC001484741360 896570156 /nfs/dbraw/zinc/57/01/56/896570156.db2.gz UWILVRIHRVBMQE-AWEZNQCLSA-N 0 1 293.411 0.801 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@H]2CCCO2)CC1 ZINC001485013102 896713843 /nfs/dbraw/zinc/71/38/43/896713843.db2.gz IUJREOMEYWQYQC-CYBMUJFWSA-N 0 1 280.368 0.132 20 30 CCEDMN C#CCCCCC(=O)NC[C@H](C)N(C)[C@@H]1CCN(C)C1=O ZINC001485295320 896924708 /nfs/dbraw/zinc/92/47/08/896924708.db2.gz JTVPRAWQQKCTBQ-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN CCn1ccc(C(=O)NC[C@H](C)N(C)CC#CCOC)n1 ZINC001485359134 897003940 /nfs/dbraw/zinc/00/39/40/897003940.db2.gz UXPQYULXJMLHNW-ZDUSSCGKSA-N 0 1 292.383 0.603 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCN(CC(N)=O)CC(C)(C)C1 ZINC001397267693 914122040 /nfs/dbraw/zinc/12/20/40/914122040.db2.gz DYVAOYNQHMUDNW-MNOVXSKESA-N 0 1 280.372 0.238 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)CO[C@H]1CCOC1 ZINC001485477676 897070284 /nfs/dbraw/zinc/07/02/84/897070284.db2.gz XAUMNZSBIUGCFN-CABCVRRESA-N 0 1 294.395 0.786 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001485529749 897098971 /nfs/dbraw/zinc/09/89/71/897098971.db2.gz MNQJDDZEHYISDG-ZDUSSCGKSA-N 0 1 295.427 0.720 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](CCCC)NC(N)=O)C1 ZINC001485538841 897106795 /nfs/dbraw/zinc/10/67/95/897106795.db2.gz IBRNNBQASZTRFP-DGCLKSJQSA-N 0 1 296.415 0.836 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)CO[C@@H]2CCOC2)C(C)(C)C1 ZINC001485568732 897120586 /nfs/dbraw/zinc/12/05/86/897120586.db2.gz GNOPAIPOYDKZDD-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN N#CCCCN1CC[N@@H+](CCCC#N)[C@@H](CCO)C1 ZINC001326749784 914136247 /nfs/dbraw/zinc/13/62/47/914136247.db2.gz MFSHTJYILDUSEH-AWEZNQCLSA-N 0 1 264.373 0.963 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CCCNCc1ncnn1C ZINC001485721438 897202699 /nfs/dbraw/zinc/20/26/99/897202699.db2.gz NTNFEYHUTDFPSD-CYBMUJFWSA-N 0 1 291.399 0.849 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCCNCc1cnn(C)n1 ZINC001485714268 897207656 /nfs/dbraw/zinc/20/76/56/897207656.db2.gz DAONVHYVNUBTKD-GHMZBOCLSA-N 0 1 278.360 0.349 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2ncc[nH]2)C1 ZINC001107929648 897509520 /nfs/dbraw/zinc/50/95/20/897509520.db2.gz XQVIXCILEAFJML-CQSZACIVSA-N 0 1 278.356 0.807 20 30 CCEDMN C=CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCC(=O)NC1 ZINC001032441589 897577211 /nfs/dbraw/zinc/57/72/11/897577211.db2.gz TWZYAYIAJOUCPR-RDBSUJKOSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ccc(=O)[nH]c1 ZINC001032525912 897705295 /nfs/dbraw/zinc/70/52/95/897705295.db2.gz HLWFOGKECMLLKD-KBPBESRZSA-N 0 1 285.347 0.638 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCCC(=O)N1 ZINC001032622047 897836320 /nfs/dbraw/zinc/83/63/20/897836320.db2.gz QIHQECDGNXHXRY-IHRRRGAJSA-N 0 1 289.379 0.354 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(N)=O)co1 ZINC001032622937 897842022 /nfs/dbraw/zinc/84/20/22/897842022.db2.gz CURCCWKDWATZJI-RYUDHWBXSA-N 0 1 289.335 0.853 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@H](C)Nc2nccnc2C#N)n[nH]1 ZINC001115622175 897874839 /nfs/dbraw/zinc/87/48/39/897874839.db2.gz JAPRLXFTCIHKFX-JTQLQIEISA-N 0 1 299.338 0.952 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2CCC=CCC2)C1 ZINC001078192354 898288924 /nfs/dbraw/zinc/28/89/24/898288924.db2.gz SHBFFLLUDPIYFH-HUUCEWRRSA-N 0 1 276.380 0.917 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1cn[nH]c(=O)c1 ZINC001485889171 898490382 /nfs/dbraw/zinc/49/03/82/898490382.db2.gz WCGFCBKJRZXSKF-SECBINFHSA-N 0 1 284.747 0.985 20 30 CCEDMN Cc1nsc(C)c1CN[C@@H](CO)CNC(=O)[C@H](C)C#N ZINC001485982314 898565944 /nfs/dbraw/zinc/56/59/44/898565944.db2.gz LAHYMQZXPWEPAW-LDYMZIIASA-N 0 1 296.396 0.486 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(C)n([C@@H](C)CC)n1 ZINC001486011537 898605616 /nfs/dbraw/zinc/60/56/16/898605616.db2.gz VQTRCTTUGDYWLK-WCQYABFASA-N 0 1 292.383 0.476 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cncc(Cl)c1 ZINC001486043661 898610877 /nfs/dbraw/zinc/61/08/77/898610877.db2.gz IHGXNJNLSHVUEM-GFCCVEGCSA-N 0 1 281.743 0.439 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H]1Cc2ccc(F)cc21 ZINC001486026473 898614803 /nfs/dbraw/zinc/61/48/03/898614803.db2.gz GKOGBVWKDCZABA-UKRRQHHQSA-N 0 1 290.338 0.555 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(F)cc(Cl)c1 ZINC001486035561 898623344 /nfs/dbraw/zinc/62/33/44/898623344.db2.gz BQGGNQXQKYLEPW-GFCCVEGCSA-N 0 1 284.718 0.793 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1c(F)cccc1Cl ZINC001486053863 898642135 /nfs/dbraw/zinc/64/21/35/898642135.db2.gz KMBRLSHDWJTTHQ-JTQLQIEISA-N 0 1 298.745 0.722 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCCC(=O)NC)[C@@H]1C ZINC001486171549 898696824 /nfs/dbraw/zinc/69/68/24/898696824.db2.gz VBFHCWSWUGHXDZ-STQMWFEESA-N 0 1 279.384 0.505 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H](CC(C)C)OC ZINC001486349098 898825721 /nfs/dbraw/zinc/82/57/21/898825721.db2.gz OSYVPAZNRYUJQB-CABCVRRESA-N 0 1 298.427 0.822 20 30 CCEDMN C=CCOCC(=O)NCC1=CCN(CC#CC)CC1 ZINC001486504048 898908470 /nfs/dbraw/zinc/90/84/70/898908470.db2.gz NQKGZUBQERSRJW-UHFFFAOYSA-N 0 1 262.353 0.961 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCN(C)C(=O)[C@H](C)C#N)[nH]1 ZINC001532926557 899075962 /nfs/dbraw/zinc/07/59/62/899075962.db2.gz CNBSVNMQGOBLCJ-SECBINFHSA-N 0 1 277.328 0.408 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H](CNC(=O)CN1CCCC1)C1CC1 ZINC001414855798 899556520 /nfs/dbraw/zinc/55/65/20/899556520.db2.gz JJTQYWFYZASSTJ-WCQYABFASA-N 0 1 292.383 0.253 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CN(C(=O)[C@H]2CCCCN2C)C1 ZINC001410919798 899627473 /nfs/dbraw/zinc/62/74/73/899627473.db2.gz FDBIXUNHBHMYHW-DGCLKSJQSA-N 0 1 292.383 0.300 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@H](NC(=O)COC)CC1 ZINC001327093653 914367975 /nfs/dbraw/zinc/36/79/75/914367975.db2.gz FFVKFJWWUZNXGT-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@@H](N(C)[C@@H](CC)C(N)=O)C1 ZINC001191868037 900020842 /nfs/dbraw/zinc/02/08/42/900020842.db2.gz NAPXMKUSXUKXMG-KGLIPLIRSA-N 0 1 293.411 0.977 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CCCCCF)C[C@H]1O ZINC001191872496 900021621 /nfs/dbraw/zinc/02/16/21/900021621.db2.gz JDCFISPCPIQTDD-QJPTWQEYSA-N 0 1 271.336 0.447 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)Oc1ccccn1 ZINC001115133846 900035812 /nfs/dbraw/zinc/03/58/12/900035812.db2.gz GHIAPTWRAYZARU-TTZDDIAXSA-N 0 1 299.374 0.919 20 30 CCEDMN Cc1[nH]nc(C(=O)N2CC[C@@](O)(CC#N)C2)c1[N+](=O)[O-] ZINC001327172016 914405838 /nfs/dbraw/zinc/40/58/38/914405838.db2.gz SZUJXSKNROFSHH-NSHDSACASA-N 0 1 279.256 0.117 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001489137628 900439227 /nfs/dbraw/zinc/43/92/27/900439227.db2.gz JJMZPEBNWKQGJY-NXEZZACHSA-N 0 1 292.343 0.369 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C2CC(OC)C2)C1 ZINC001489161895 900446286 /nfs/dbraw/zinc/44/62/86/900446286.db2.gz ZMLZXVUZNCGCED-SHARSMKWSA-N 0 1 294.395 0.330 20 30 CCEDMN CN(CCCNC(=O)[C@]12C[C@H]1CCC2)CC(=O)NCC#N ZINC001490229193 900570637 /nfs/dbraw/zinc/57/06/37/900570637.db2.gz QORUKRPKHPDPRZ-IUODEOHRSA-N 0 1 292.383 0.254 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)c2cncs2)CC1 ZINC001490466682 900629894 /nfs/dbraw/zinc/62/98/94/900629894.db2.gz UMDKMFTUVJOJSC-UHFFFAOYSA-N 0 1 292.408 0.514 20 30 CCEDMN C=CCCC(=O)NCCCN1CCN(CCO)CC1 ZINC001490465681 900631050 /nfs/dbraw/zinc/63/10/50/900631050.db2.gz LLKDFBDXMWKDMF-UHFFFAOYSA-N 0 1 269.389 0.069 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H](OC)[C@@H](C)CC)CC1 ZINC001490494623 900641186 /nfs/dbraw/zinc/64/11/86/900641186.db2.gz LXSRVNIAZSTTNL-GJZGRUSLSA-N 0 1 297.443 0.967 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCc2cnn(C)c2)C1 ZINC001490459073 900643115 /nfs/dbraw/zinc/64/31/15/900643115.db2.gz XBXGFEMGLNSKBF-HNNXBMFYSA-N 0 1 288.395 0.957 20 30 CCEDMN C=CCCC(=O)NC[C@H]([NH2+]Cc1nc(=O)n(C)[n-]1)C1CC1 ZINC001490639878 900671435 /nfs/dbraw/zinc/67/14/35/900671435.db2.gz BWKQVPXOYRZERP-NSHDSACASA-N 0 1 293.371 0.059 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CO[C@@H]1CCOC1 ZINC001275108229 900993476 /nfs/dbraw/zinc/99/34/76/900993476.db2.gz JKWGFIIUNVBFBA-ZIAGYGMSSA-N 0 1 280.368 0.348 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)NCC1(C#N)CCOCC1 ZINC001413956390 901467255 /nfs/dbraw/zinc/46/72/55/901467255.db2.gz YZWVTNZLOZADNW-UHFFFAOYSA-N 0 1 278.316 0.266 20 30 CCEDMN COC[C@@H](NC(=O)c1sccc1C#N)c1nn[nH]n1 ZINC001412613447 901870499 /nfs/dbraw/zinc/87/04/99/901870499.db2.gz UPAYBNSKRAGBDY-SSDOTTSWSA-N 0 1 278.297 0.250 20 30 CCEDMN CCNC(=O)CN1CC[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001493203672 902154881 /nfs/dbraw/zinc/15/48/81/902154881.db2.gz UWLFVMOGAPYTBK-ZDUSSCGKSA-N 0 1 293.411 0.610 20 30 CCEDMN O=C(C#CC1CC1)N1CCC[C@@](CO)(NCCF)C1 ZINC001327565847 902207025 /nfs/dbraw/zinc/20/70/25/902207025.db2.gz YUWBSATZSIRPGY-CQSZACIVSA-N 0 1 268.332 0.312 20 30 CCEDMN C[C@@H]1CN(CCO)CCN1C[C@H](O)c1ccc(C#N)cc1 ZINC001412994117 902447737 /nfs/dbraw/zinc/44/77/37/902447737.db2.gz JMHOBRJEYTXBNN-CJNGLKHVSA-N 0 1 289.379 0.590 20 30 CCEDMN Cc1ncc(C(=O)N(CCNC(=O)[C@@H](C)C#N)C2CC2)[nH]1 ZINC001398366569 914636918 /nfs/dbraw/zinc/63/69/18/914636918.db2.gz NKUHRDHWINVJJW-VIFPVBQESA-N 0 1 289.339 0.599 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)CN2CCOCC2)C1 ZINC001042631920 902678569 /nfs/dbraw/zinc/67/85/69/902678569.db2.gz WOYNABVMRCNFBY-UHFFFAOYSA-N 0 1 281.400 0.427 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2Cc2ccncc2C#N)n[nH]1 ZINC001413104899 902711293 /nfs/dbraw/zinc/71/12/93/902711293.db2.gz ZYUUEBZHQLFBNT-OLZOCXBDSA-N 0 1 298.350 0.942 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@@]3(O)CCOC3)[nH]c2c1 ZINC001413370421 902908315 /nfs/dbraw/zinc/90/83/15/902908315.db2.gz JSSODNGMJGWANZ-CYBMUJFWSA-N 0 1 272.264 0.524 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC(c3nn[nH]n3)CC2)c[nH]1 ZINC001413430979 902948562 /nfs/dbraw/zinc/94/85/62/902948562.db2.gz YVPMPKBCNVDQKA-UHFFFAOYSA-N 0 1 271.284 0.419 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)Cc1cc[nH]n1 ZINC001491199559 903437778 /nfs/dbraw/zinc/43/77/78/903437778.db2.gz SNVVGSDULYGVFD-CQSZACIVSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)CC ZINC001491321444 903497213 /nfs/dbraw/zinc/49/72/13/903497213.db2.gz YODQHUICOAQNII-BNDIWNMDSA-N 0 1 297.374 0.472 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@](C)(F)CCCC ZINC001331741505 904013339 /nfs/dbraw/zinc/01/33/39/904013339.db2.gz VFLKESPAHRWSAC-TZMCWYRMSA-N 0 1 272.364 0.995 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H]1CCC1(C)C ZINC001331773088 904014159 /nfs/dbraw/zinc/01/41/59/904014159.db2.gz TWFLDUKYQXOHIF-NEPJUHHUSA-N 0 1 252.358 0.513 20 30 CCEDMN CC(C)C#CC(=O)N(C)C[C@@H](C)NC(=O)[C@H]1CCCN1C ZINC001281002892 904199232 /nfs/dbraw/zinc/19/92/32/904199232.db2.gz YQOSRBYZONYDFM-ZIAGYGMSSA-N 0 1 293.411 0.703 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cnc(C)cn1 ZINC001282343782 904448966 /nfs/dbraw/zinc/44/89/66/904448966.db2.gz MSCJBYIHBSGKFA-GFCCVEGCSA-N 0 1 260.341 0.858 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COC(C)C)C(C)(C)C1 ZINC001282790604 904543382 /nfs/dbraw/zinc/54/33/82/904543382.db2.gz IACRSNFAXNCKCM-GFCCVEGCSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCC(=O)NCC)C(C)(C)C1 ZINC001282790797 904544327 /nfs/dbraw/zinc/54/43/27/904544327.db2.gz JSUUUUAHFKOTGD-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN(C)C(=O)c1ccn[nH]1 ZINC001283541883 904898297 /nfs/dbraw/zinc/89/82/97/904898297.db2.gz FHYYNVSIXYIPCG-NSHDSACASA-N 0 1 292.339 0.026 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@H](C)C(=O)NC1CC1 ZINC001377480588 904929692 /nfs/dbraw/zinc/92/96/92/904929692.db2.gz ZMPJEEBRRPNIDR-VXGBXAGGSA-N 0 1 294.399 0.641 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CC(C)(C)C1CC1 ZINC001283758018 904997949 /nfs/dbraw/zinc/99/79/49/904997949.db2.gz ZAYSHBKVYWMJCX-LBPRGKRZSA-N 0 1 252.358 0.513 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc2cccnn21 ZINC001283814132 905032597 /nfs/dbraw/zinc/03/25/97/905032597.db2.gz SVSXXVZKAQBRCO-LBPRGKRZSA-N 0 1 286.335 0.038 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn[nH]1 ZINC001284171092 905165120 /nfs/dbraw/zinc/16/51/20/905165120.db2.gz LBVCOVHXXWTSLE-IWIIMEHWSA-N 0 1 289.339 0.348 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1nnn(C)n1 ZINC001377832478 905178774 /nfs/dbraw/zinc/17/87/74/905178774.db2.gz HABPEUCPCPZXLO-JGVFFNPUSA-N 0 1 272.740 0.059 20 30 CCEDMN Cc1nc(C)n(CCN2CC(CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001377961573 905283548 /nfs/dbraw/zinc/28/35/48/905283548.db2.gz WIWHMKWQCXXOBU-JTQLQIEISA-N 0 1 290.371 0.103 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@H](C)CSC ZINC001284481749 905313018 /nfs/dbraw/zinc/31/30/18/905313018.db2.gz HXYUJTIBSIUJBQ-NEPJUHHUSA-N 0 1 272.414 0.370 20 30 CCEDMN CC[C@H](F)CN(C)C[C@H](O)CN(C)C(=O)C#CC1CC1 ZINC001284491263 905324614 /nfs/dbraw/zinc/32/46/14/905324614.db2.gz ACLCLERHTFZRBZ-KBPBESRZSA-N 0 1 284.375 0.899 20 30 CCEDMN CC#CC[N@H+](C)C[C@@H](O)CN(C)C(=O)[C@H]1CCCCN1C ZINC001284501415 905337950 /nfs/dbraw/zinc/33/79/50/905337950.db2.gz DHKLDKRTHGIZFV-HUUCEWRRSA-N 0 1 295.427 0.245 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H](CC)CNC(=O)c1cnn[nH]1 ZINC001285072334 905539857 /nfs/dbraw/zinc/53/98/57/905539857.db2.gz FVUYBNKSHBEYAP-ZJUUUORDSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCCOCC(=O)N[C@H](C)CNC(=O)c1[nH]ncc1F ZINC001285659947 905707114 /nfs/dbraw/zinc/70/71/14/905707114.db2.gz KVFXOUYEGPCGCP-SECBINFHSA-N 0 1 298.318 0.376 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H]1CNC(=O)CN1CCCC1 ZINC001285862371 905791326 /nfs/dbraw/zinc/79/13/26/905791326.db2.gz BOBAXHLZONHERI-AWEZNQCLSA-N 0 1 291.395 0.603 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](COC)OC ZINC001378807453 905826695 /nfs/dbraw/zinc/82/66/95/905826695.db2.gz DDGZRUIOWSPQJX-GHMZBOCLSA-N 0 1 278.780 0.837 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@@H](C)C#N)NCc1cn(C)nn1 ZINC001378957623 905900847 /nfs/dbraw/zinc/90/08/47/905900847.db2.gz AZTZSLNVHBNOMS-JQWIXIFHSA-N 0 1 278.360 0.205 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1CCNC(=O)C1 ZINC001379105321 905996792 /nfs/dbraw/zinc/99/67/92/905996792.db2.gz SXRVRSWNMOPSOD-GHMZBOCLSA-N 0 1 287.791 0.702 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)NC[C@@H]1CN(C)CCN1C ZINC001333723074 906095126 /nfs/dbraw/zinc/09/51/26/906095126.db2.gz JHMXVGUQQXKVSK-ZIAGYGMSSA-N 0 1 278.400 0.430 20 30 CCEDMN C=C(C)CCC(=O)N1CC(N2CCN(C)CC2)C1 ZINC001337192706 921213825 /nfs/dbraw/zinc/21/38/25/921213825.db2.gz SWUYHQXCNFNIIY-UHFFFAOYSA-N 0 1 251.374 0.801 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C(C)(C)S(C)(=O)=O ZINC001379434672 906237843 /nfs/dbraw/zinc/23/78/43/906237843.db2.gz MRFGKUVPXGZODW-SECBINFHSA-N 0 1 296.820 0.656 20 30 CCEDMN C=C(C)CCC(=O)NCCNC(=O)CCc1cnc[nH]1 ZINC001292827731 906347698 /nfs/dbraw/zinc/34/76/98/906347698.db2.gz BRPQWUZVUOGNBZ-UHFFFAOYSA-N 0 1 278.356 0.931 20 30 CCEDMN CN1CCN(C(C)(C)CNC(=O)[C@@H]2C[C@@H]2C#N)CC1 ZINC001292956347 906374454 /nfs/dbraw/zinc/37/44/54/906374454.db2.gz ORUFNYLKDMJWJB-VXGBXAGGSA-N 0 1 264.373 0.288 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CC[C@@H](NCc2nnnn2C)C1 ZINC001316652604 906413990 /nfs/dbraw/zinc/41/39/90/906413990.db2.gz SUYVDVYQBHIQPA-NWDGAFQWSA-N 0 1 292.387 0.551 20 30 CCEDMN C=C[C@H](CC)CC(=O)N(C)CCCNC(=O)c1cnn[nH]1 ZINC001294179365 906566009 /nfs/dbraw/zinc/56/60/09/906566009.db2.gz WTDGCDLGBAONHP-LLVKDONJSA-N 0 1 293.371 0.985 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CNC(=O)C1CC1 ZINC001380259121 906699423 /nfs/dbraw/zinc/69/94/23/906699423.db2.gz BKRSYKJVIURJLI-LBPRGKRZSA-N 0 1 299.802 0.846 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)CNC(=O)[C@H]1CCCN1C ZINC001295450672 906736783 /nfs/dbraw/zinc/73/67/83/906736783.db2.gz VVXGEECKWGWHAX-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)NC(=O)[C@@H]1CCCN1C ZINC001295470207 906744109 /nfs/dbraw/zinc/74/41/09/906744109.db2.gz FBACIWCKYICUCI-OLZOCXBDSA-N 0 1 279.384 0.505 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001295555371 906761318 /nfs/dbraw/zinc/76/13/18/906761318.db2.gz ANCKWSOMNPNIOJ-SNVBAGLBSA-N 0 1 277.328 0.233 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)CN(C)[C@@H]2CCC[C@H]2O)C1 ZINC001295556157 906761687 /nfs/dbraw/zinc/76/16/87/906761687.db2.gz CSTQGTAMWQEBBB-BFHYXJOUSA-N 0 1 282.384 0.635 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)N(C)CCNC(=O)Cc1cnc[nH]1 ZINC001296253158 906885835 /nfs/dbraw/zinc/88/58/35/906885835.db2.gz JBESBACDSKVUTM-NEPJUHHUSA-N 0 1 292.383 0.985 20 30 CCEDMN CCNC(=O)CN1CCC2(CN(C(=O)[C@H](C)C#N)C2)CC1 ZINC001380799864 906934998 /nfs/dbraw/zinc/93/49/98/906934998.db2.gz XIOMIRGPKYMSTP-GFCCVEGCSA-N 0 1 292.383 0.207 20 30 CCEDMN N#CCC[C@H](C#N)CNCC[C@H]1CCCS1(=O)=O ZINC001337779379 921292460 /nfs/dbraw/zinc/29/24/60/921292460.db2.gz IXADEFYPLDKWIJ-VXGBXAGGSA-N 0 1 269.370 0.987 20 30 CCEDMN C=CCCCC(=O)N1CC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001299061373 907376557 /nfs/dbraw/zinc/37/65/57/907376557.db2.gz RIQVKZOZJKCDDR-SNVBAGLBSA-N 0 1 277.328 0.492 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CN(C)C(=O)Cc1ccn[nH]1 ZINC001381910994 907452879 /nfs/dbraw/zinc/45/28/79/907452879.db2.gz IMMFSFSWFBOQRS-NXEZZACHSA-N 0 1 277.328 0.075 20 30 CCEDMN C[C@H](CNC(=O)Cc1nc[nH]n1)NCc1ccccc1C#N ZINC001491634863 907474449 /nfs/dbraw/zinc/47/44/49/907474449.db2.gz JCTGTQLQSCSTAZ-LLVKDONJSA-N 0 1 298.350 0.513 20 30 CCEDMN C#CCC[N@H+](C)[C@H]1CCCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001491922868 907641451 /nfs/dbraw/zinc/64/14/51/907641451.db2.gz LRNVIFYKVXMRKQ-LBPRGKRZSA-N 0 1 290.367 0.983 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cn(C)nc1CC ZINC001492292085 907860934 /nfs/dbraw/zinc/86/09/34/907860934.db2.gz QYIVEKFZSSFIRG-UHFFFAOYSA-N 0 1 262.357 0.667 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C1(COC)CCC1 ZINC001492345805 907896914 /nfs/dbraw/zinc/89/69/14/907896914.db2.gz IXNCAQBWAQLMOT-UHFFFAOYSA-N 0 1 282.384 0.501 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H]1CC12CC2 ZINC001492432394 907921349 /nfs/dbraw/zinc/92/13/49/907921349.db2.gz IAESMIRINZOFEX-LBPRGKRZSA-N 0 1 250.342 0.484 20 30 CCEDMN C[C@H](Nc1cccnc1C#N)[C@@H]1CN(C)CCN1C ZINC001338084274 921364232 /nfs/dbraw/zinc/36/42/32/921364232.db2.gz MFIMEQYYPBHJAN-FZMZJTMJSA-N 0 1 259.357 0.999 20 30 CCEDMN COCC#CCN(C)CCNC(=O)Cc1ccco1 ZINC001317465492 908258993 /nfs/dbraw/zinc/25/89/93/908258993.db2.gz AXBPBJDTOFCIRV-UHFFFAOYSA-N 0 1 264.325 0.520 20 30 CCEDMN CN1CC[C@H](NC(=O)C(=O)Nc2sccc2C#N)C1 ZINC001338246593 921404742 /nfs/dbraw/zinc/40/47/42/921404742.db2.gz JXGUUMSEEMWSTF-VIFPVBQESA-N 0 1 278.337 0.379 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCc1ccnc(C)n1 ZINC001317481528 908488803 /nfs/dbraw/zinc/48/88/03/908488803.db2.gz IMKHBJASDSTYDW-UHFFFAOYSA-N 0 1 274.368 0.789 20 30 CCEDMN CC#CC[N@@H+](CC)CCNC(=O)[C@H]1CCCCN1C(N)=O ZINC001317488013 908492718 /nfs/dbraw/zinc/49/27/18/908492718.db2.gz BUCKAAFPSWTRAH-CYBMUJFWSA-N 0 1 294.399 0.381 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CCCCN1C(N)=O ZINC001317488013 908492729 /nfs/dbraw/zinc/49/27/29/908492729.db2.gz BUCKAAFPSWTRAH-CYBMUJFWSA-N 0 1 294.399 0.381 20 30 CCEDMN C#CCN(CC)CCNC(=O)C(=O)N1CCC[C@@H](C)C1 ZINC001317489583 908495883 /nfs/dbraw/zinc/49/58/83/908495883.db2.gz LUFWNWCDSVNIRC-CYBMUJFWSA-N 0 1 279.384 0.316 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)Nc1ccnc(C#N)c1 ZINC001311072166 908531759 /nfs/dbraw/zinc/53/17/59/908531759.db2.gz HMWGRDDHEHVUIM-UHFFFAOYSA-N 0 1 257.257 0.735 20 30 CCEDMN Cc1noc(C)c1CCNC(=O)NCC#CCN(C)C ZINC001311893830 908573714 /nfs/dbraw/zinc/57/37/14/908573714.db2.gz KAVRFRSCILGKRF-UHFFFAOYSA-N 0 1 278.356 0.698 20 30 CCEDMN C[C@@H](CO[C@@H]1CCOC1)NC(=O)NCC#CCN(C)C ZINC001312272025 908593274 /nfs/dbraw/zinc/59/32/74/908593274.db2.gz CNLWVSPSFCUTRJ-QWHCGFSZSA-N 0 1 283.372 0.045 20 30 CCEDMN CO[C@H]1CCCC[C@@H]1NC(=O)NCC#CCN(C)C ZINC001312578838 908610053 /nfs/dbraw/zinc/61/00/53/908610053.db2.gz FISPRCKIJDBOOA-STQMWFEESA-N 0 1 267.373 0.808 20 30 CCEDMN CO[C@@H]([C@H](C)NC(=O)NCC#CCN(C)C)C1CC1 ZINC001312696178 908617525 /nfs/dbraw/zinc/61/75/25/908617525.db2.gz BPOLIAMTSVESAG-AAEUAGOBSA-N 0 1 267.373 0.664 20 30 CCEDMN COC(C)(C)[C@@H](C)NC(=O)NCC#CCN(C)C ZINC001313329436 908663150 /nfs/dbraw/zinc/66/31/50/908663150.db2.gz UXAFCKHBCRHHQI-LLVKDONJSA-N 0 1 255.362 0.664 20 30 CCEDMN C[C@H]1COCCN(C(=O)NCC#CCN(C)C)C1 ZINC001313439040 908665807 /nfs/dbraw/zinc/66/58/07/908665807.db2.gz DVKFVUXRBNMDDD-GFCCVEGCSA-N 0 1 253.346 0.229 20 30 CCEDMN COC[C@H]1CCCN(C(=O)NCC#CCN(C)C)C1 ZINC001313439308 908666681 /nfs/dbraw/zinc/66/66/81/908666681.db2.gz WAHCYDBOOCLGHE-ZDUSSCGKSA-N 0 1 267.373 0.619 20 30 CCEDMN CC#CCCCC(=O)NCCN1CCN(C[C@H](C)O)CC1 ZINC001316967091 908704730 /nfs/dbraw/zinc/70/47/30/908704730.db2.gz QPIPHNNNVBWRLS-HNNXBMFYSA-N 0 1 295.427 0.295 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H]1[C@@H]2CCC[C@@H]21 ZINC001316818491 908745943 /nfs/dbraw/zinc/74/59/43/908745943.db2.gz BJOICBQYARYXSS-NHAGDIPZSA-N 0 1 291.395 0.220 20 30 CCEDMN Cc1cc(CN(C)CCCNC(=O)[C@@H](C)C#N)ncn1 ZINC001316816086 908781685 /nfs/dbraw/zinc/78/16/85/908781685.db2.gz WNTRTYSUTRNKGR-NSHDSACASA-N 0 1 275.356 0.883 20 30 CCEDMN Cc1nc(CC(=O)NCCCN(CC#N)C2CC2)n[nH]1 ZINC001316915284 908840068 /nfs/dbraw/zinc/84/00/68/908840068.db2.gz PBAMONAIGTVBFD-UHFFFAOYSA-N 0 1 276.344 0.150 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](N[C@@H](C)c2nncn2C)C1 ZINC001317073781 908962827 /nfs/dbraw/zinc/96/28/27/908962827.db2.gz XCYBMPAFBVQLTG-SRVKXCTJSA-N 0 1 293.371 0.316 20 30 CCEDMN C#CCOCCC(=O)NC[C@H](C)NCc1oc(C)nc1C ZINC001317243376 909084420 /nfs/dbraw/zinc/08/44/20/909084420.db2.gz WZXKGDFBIZRARO-NSHDSACASA-N 0 1 293.367 0.926 20 30 CCEDMN C#CCN(C)CCNC(=O)COCc1cc(C)on1 ZINC001317448977 909218355 /nfs/dbraw/zinc/21/83/55/909218355.db2.gz HGTNDAIBWIAOJO-UHFFFAOYSA-N 0 1 265.313 0.181 20 30 CCEDMN C=CCN(C)CCNC(=O)C(=O)NCc1ccc(F)cc1 ZINC001317463300 909241162 /nfs/dbraw/zinc/24/11/62/909241162.db2.gz DGNJQMAHHKZTQC-UHFFFAOYSA-N 0 1 293.342 0.676 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN(CC(=O)N(C)CC)C1 ZINC001317521082 909285731 /nfs/dbraw/zinc/28/57/31/909285731.db2.gz DFIAERIRSMNJHH-CYBMUJFWSA-N 0 1 281.400 0.869 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2ocnc2C)C1 ZINC001317523656 909291163 /nfs/dbraw/zinc/29/11/63/909291163.db2.gz KTEPGEFOAUTFNC-ZDUSSCGKSA-N 0 1 291.351 0.685 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)C2(C)CCC2)C1 ZINC001317528599 909301214 /nfs/dbraw/zinc/30/12/14/909301214.db2.gz SJTWOHVOEDCEEI-CYBMUJFWSA-N 0 1 293.411 0.917 20 30 CCEDMN CC#CCN(CCNC(=O)CCn1cccn1)C1CC1 ZINC001317546881 909314592 /nfs/dbraw/zinc/31/45/92/909314592.db2.gz CMPDPPRXNPXYIP-UHFFFAOYSA-N 0 1 274.368 0.877 20 30 CCEDMN C#CCN(CCNC(=O)[C@H](OC)C1CC1)C1CC1 ZINC001317549744 909319557 /nfs/dbraw/zinc/31/95/57/909319557.db2.gz GLNCSSVBSJTQGA-CYBMUJFWSA-N 0 1 250.342 0.625 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@H]1CO[C@H](C)C1)C1CC1 ZINC001317553278 909324448 /nfs/dbraw/zinc/32/44/48/909324448.db2.gz IJXJEBWORDTJSN-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@H](C)NC(C)=O)c1ccccc1 ZINC001317715317 909477876 /nfs/dbraw/zinc/47/78/76/909477876.db2.gz ZQSIHTIKPXXGFJ-WFASDCNBSA-N 0 1 287.363 0.591 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)CC(C)(C)O)CC1 ZINC001317817018 909509357 /nfs/dbraw/zinc/50/93/57/909509357.db2.gz SFGGYXIGUDSNFT-UHFFFAOYSA-N 0 1 283.416 0.409 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CCCN(CC#CC)C1 ZINC001317930901 909545853 /nfs/dbraw/zinc/54/58/53/909545853.db2.gz PGMWLNJCEYCDSB-UKRRQHHQSA-N 0 1 264.369 0.917 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC1CN(C[C@H](C)CC)C1 ZINC001318024861 909585982 /nfs/dbraw/zinc/58/59/82/909585982.db2.gz PLOSJBISGUTGCT-NEPJUHHUSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CC2(O)CCC2)C1 ZINC001318471713 909776123 /nfs/dbraw/zinc/77/61/23/909776123.db2.gz UKVGJIFZQSSHIV-CYBMUJFWSA-N 0 1 264.369 0.848 20 30 CCEDMN C=CCOCCCNC(=O)N1CCNC[C@H]1CCOC ZINC001338653144 921538927 /nfs/dbraw/zinc/53/89/27/921538927.db2.gz CUHHSVQZDIFSQX-CYBMUJFWSA-N 0 1 285.388 0.599 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1C[N@@H+](CCC(C)C)CCO1 ZINC001319309486 910088201 /nfs/dbraw/zinc/08/82/01/910088201.db2.gz KUULZAUGBOAOSZ-HUUCEWRRSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1CN(CCC(C)C)CCO1 ZINC001319309486 910088238 /nfs/dbraw/zinc/08/82/38/910088238.db2.gz KUULZAUGBOAOSZ-HUUCEWRRSA-N 0 1 296.411 0.888 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCC1(NCC#N)CCCC1 ZINC001319339987 910105056 /nfs/dbraw/zinc/10/50/56/910105056.db2.gz FOLGGIYIGJDYBR-LBPRGKRZSA-N 0 1 264.373 0.623 20 30 CCEDMN CC(C)C[C@@H](CNCC#N)NC(=O)CN1CCCC1 ZINC001319642486 910241205 /nfs/dbraw/zinc/24/12/05/910241205.db2.gz JAFOZRSJEQQIBV-ZDUSSCGKSA-N 0 1 266.389 0.726 20 30 CCEDMN C[C@H]1CCCN(C(=O)Cc2ncn[nH]2)[C@H]1CNCC#N ZINC001319865879 910324887 /nfs/dbraw/zinc/32/48/87/910324887.db2.gz YMQCBFFFOWKPGG-QWRGUYRKSA-N 0 1 276.344 0.087 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ccnnc1C ZINC001320016381 910413616 /nfs/dbraw/zinc/41/36/16/910413616.db2.gz PQZKREBPGRTDPU-UHFFFAOYSA-N 0 1 260.341 0.812 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnc2n1CCC2 ZINC001320008921 910414826 /nfs/dbraw/zinc/41/48/26/910414826.db2.gz NLBSVLIMWWNAQB-UHFFFAOYSA-N 0 1 260.341 0.466 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)Cc1ccco1 ZINC001320062514 910443611 /nfs/dbraw/zinc/44/36/11/910443611.db2.gz XIGMTWUVHBUNLT-UHFFFAOYSA-N 0 1 278.352 0.862 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H]2[C@@H](CCN2CC(N)=O)C1 ZINC001320104322 910467856 /nfs/dbraw/zinc/46/78/56/910467856.db2.gz QOFXUKBRVZSLGJ-STQMWFEESA-N 0 1 279.384 0.751 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](OCC=C)C1 ZINC001320160531 910486499 /nfs/dbraw/zinc/48/64/99/910486499.db2.gz POFWAHROFFULAY-RYUDHWBXSA-N 0 1 281.356 0.664 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H]1CCc2nncn2C1 ZINC001390538015 910504141 /nfs/dbraw/zinc/50/41/41/910504141.db2.gz ZCEPCFIEEBBARK-MNOVXSKESA-N 0 1 297.790 0.687 20 30 CCEDMN Cc1nocc1CNC[C@H](O)CNC(=O)C#CC(C)(C)C ZINC001320216407 910529020 /nfs/dbraw/zinc/52/90/20/910529020.db2.gz KGSANSPGNXACKM-ZDUSSCGKSA-N 0 1 293.367 0.599 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](O)CNCc1ccn(C)n1 ZINC001320259726 910561069 /nfs/dbraw/zinc/56/10/69/910561069.db2.gz RUQMGGOYJMOJJT-ZDUSSCGKSA-N 0 1 294.399 0.589 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)N[C@@H]2CCN(CCOC)C2)C1 ZINC001320351799 910629278 /nfs/dbraw/zinc/62/92/78/910629278.db2.gz JTJKHIMFIXPPCK-KGLIPLIRSA-N 0 1 297.399 0.694 20 30 CCEDMN N#C[C@H]1CSCCN1C(=O)CCc1nc[nH]n1 ZINC001320625551 910767415 /nfs/dbraw/zinc/76/74/15/910767415.db2.gz IZIJYNYLIVTCLC-QMMMGPOBSA-N 0 1 251.315 0.205 20 30 CCEDMN C=CCNC(=O)CNC/C=C/CNC(=O)[C@H]1CC=CCC1 ZINC001320965863 910961927 /nfs/dbraw/zinc/96/19/27/910961927.db2.gz JUNWEQCXANSBGP-UZYOAWRESA-N 0 1 291.395 0.907 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC/C=C/CNCc1ccnn1C ZINC001321039219 911037847 /nfs/dbraw/zinc/03/78/47/911037847.db2.gz OFIDBJSIFOTGMD-NFAHFFEMSA-N 0 1 292.383 0.509 20 30 CCEDMN C#CCCNC(=O)Cc1c(C)nc(-c2ncccn2)[nH]c1=O ZINC001339017556 921627829 /nfs/dbraw/zinc/62/78/29/921627829.db2.gz NMXBHGABUDFCTE-UHFFFAOYSA-N 0 1 297.318 0.630 20 30 CCEDMN CO[C@@H](C)CN1CCN(CCN(C)C(=O)[C@@H](C)C#N)CC1 ZINC001391336499 911048913 /nfs/dbraw/zinc/04/89/13/911048913.db2.gz KBMOUEPXAQBFQV-KBPBESRZSA-N 0 1 296.415 0.257 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCN(CCc2ccnn2C)C1 ZINC001391376083 911085891 /nfs/dbraw/zinc/08/58/91/911085891.db2.gz VWBUUZKBDOSWCA-QWHCGFSZSA-N 0 1 289.383 0.703 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)c1oncc1C ZINC001321323634 911231112 /nfs/dbraw/zinc/23/11/12/911231112.db2.gz RQALTXFNBXPWOW-PLNGDYQASA-N 0 1 292.339 0.161 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H](C)S(C)(=O)=O ZINC001391698477 911331708 /nfs/dbraw/zinc/33/17/08/911331708.db2.gz COZSGRNCPBSOFM-UWVGGRQHSA-N 0 1 296.820 0.656 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C)CCn1cccn1 ZINC001339095761 921653225 /nfs/dbraw/zinc/65/32/25/921653225.db2.gz QZBCJXYZULBHJW-UHFFFAOYSA-N 0 1 299.342 0.582 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C[C@H]1CCNC1=O ZINC001391860689 911426748 /nfs/dbraw/zinc/42/67/48/911426748.db2.gz HUTYYEVAECLSDO-VXGBXAGGSA-N 0 1 299.802 0.846 20 30 CCEDMN C[C@@H](NC(=O)C(=O)Nc1cccc(C#N)c1)c1nnc[nH]1 ZINC001321677365 911439461 /nfs/dbraw/zinc/43/94/61/911439461.db2.gz MEBAYJLOUVLWOT-MRVPVSSYSA-N 0 1 284.279 0.492 20 30 CCEDMN CN(CCN(C)C(=O)c1nc[nH]n1)Cc1cccc(C#N)c1 ZINC001392312507 911718871 /nfs/dbraw/zinc/71/88/71/911718871.db2.gz MALIFCTUCCZETO-UHFFFAOYSA-N 0 1 298.350 0.880 20 30 CCEDMN C#CC[N@H+](C)C[C@@H](C)NC(=O)c1ncccc1OC ZINC001322286454 911745694 /nfs/dbraw/zinc/74/56/94/911745694.db2.gz UACYVMUTBSQOSC-LLVKDONJSA-N 0 1 261.325 0.774 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ncccc1OC ZINC001322286454 911745706 /nfs/dbraw/zinc/74/57/06/911745706.db2.gz UACYVMUTBSQOSC-LLVKDONJSA-N 0 1 261.325 0.774 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@H]1OCC[C@H]1CC ZINC001392370861 911766012 /nfs/dbraw/zinc/76/60/12/911766012.db2.gz AVXUWXKAVRREDF-WOPDTQHZSA-N 0 1 290.791 0.621 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)C[C@H](C)NC(=O)c1ccc(C#N)[nH]1 ZINC001392654623 912048549 /nfs/dbraw/zinc/04/85/49/912048549.db2.gz XTLQKYCANRPTBM-CABZTGNLSA-N 0 1 291.355 0.200 20 30 CCEDMN NC(=O)C(=O)N[C@H](CNCC#Cc1ccccc1)C1CC1 ZINC001323063526 912093423 /nfs/dbraw/zinc/09/34/23/912093423.db2.gz FKTISNDFHJMUMI-CQSZACIVSA-N 0 1 285.347 0.008 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)CCNC(=O)NC ZINC001323233547 912205749 /nfs/dbraw/zinc/20/57/49/912205749.db2.gz WNOVKHOJRGURMJ-LLVKDONJSA-N 0 1 268.361 0.072 20 30 CCEDMN C=CCCn1cc(CNCC2(C(N)=O)CCOCC2)nn1 ZINC001328160329 915003407 /nfs/dbraw/zinc/00/34/07/915003407.db2.gz OXRGDYKLVKWDQL-UHFFFAOYSA-N 0 1 293.371 0.226 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)CN(C)C(C)=O)C[C@@H]1C ZINC001328239610 915051615 /nfs/dbraw/zinc/05/16/15/915051615.db2.gz HTNGXGLXIYYHDL-JSGCOSHPSA-N 0 1 279.384 0.457 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N(CC)[C@H]2CCN(C)C2)CC1 ZINC001328281182 915076960 /nfs/dbraw/zinc/07/69/60/915076960.db2.gz JNURCKIXZXRVIG-AWEZNQCLSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CC[C@@H](NC(=O)C[N@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC001339540306 921755666 /nfs/dbraw/zinc/75/56/66/921755666.db2.gz SAPAQKXPHGFNQW-NXEZZACHSA-N 0 1 270.354 0.016 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)C[C@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC001339554418 921760116 /nfs/dbraw/zinc/76/01/16/921760116.db2.gz PZGITNLVOVDRLB-GHMZBOCLSA-N 0 1 254.330 0.864 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)C[C@@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC001339554428 921760738 /nfs/dbraw/zinc/76/07/38/921760738.db2.gz PZGITNLVOVDRLB-WDEREUQCSA-N 0 1 254.330 0.864 20 30 CCEDMN C=C[C@@H](COC)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001328666797 915347068 /nfs/dbraw/zinc/34/70/68/915347068.db2.gz PKJBWCXNWFLCLU-DTWKUNHWSA-N 0 1 250.302 0.542 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C(=O)NCC1CC1 ZINC001328780563 915429035 /nfs/dbraw/zinc/42/90/35/915429035.db2.gz NGGGPUSSXNBPCA-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)[N@@H+](C)CCNC(=O)C1CC1 ZINC001329430262 915906948 /nfs/dbraw/zinc/90/69/48/915906948.db2.gz BQVKZMCVYKAACY-LBPRGKRZSA-N 0 1 291.395 0.363 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)N(C)CCNC(=O)C1CC1 ZINC001329430262 915906960 /nfs/dbraw/zinc/90/69/60/915906960.db2.gz BQVKZMCVYKAACY-LBPRGKRZSA-N 0 1 291.395 0.363 20 30 CCEDMN CN(C)C[C@](C)(C#N)NC(=O)c1ccn(-c2ccncc2)n1 ZINC001329597789 916053750 /nfs/dbraw/zinc/05/37/50/916053750.db2.gz PAQBJBMQEKSYCJ-HNNXBMFYSA-N 0 1 298.350 0.841 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N2CCN[C@H](C)C2)C1 ZINC001329611904 916062813 /nfs/dbraw/zinc/06/28/13/916062813.db2.gz IULDVJCRGKLCCK-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccc(C(N)=O)cc1 ZINC001329614547 916064738 /nfs/dbraw/zinc/06/47/38/916064738.db2.gz VPIZXFDSCWLBAF-NSHDSACASA-N 0 1 273.336 0.469 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](NC(C)=O)[C@@H](C)CC ZINC001329622683 916070375 /nfs/dbraw/zinc/07/03/75/916070375.db2.gz FFLSXDHSSBBLIP-YDHLFZDLSA-N 0 1 295.427 0.997 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CN1CCCC1=O ZINC001401197727 916101588 /nfs/dbraw/zinc/10/15/88/916101588.db2.gz BULUNQSIVHIJSA-NSHDSACASA-N 0 1 287.791 0.798 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1nn(C)cc1C ZINC001401502629 916287519 /nfs/dbraw/zinc/28/75/19/916287519.db2.gz KHVONQRDCKJJLC-JTQLQIEISA-N 0 1 286.763 0.161 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1[nH]c(C)nc1C ZINC001401550895 916317165 /nfs/dbraw/zinc/31/71/65/916317165.db2.gz FMRRZWLMUNDTHU-JTQLQIEISA-N 0 1 286.763 0.459 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2nccn2C)C(C)(C)C1 ZINC001330223588 916491773 /nfs/dbraw/zinc/49/17/73/916491773.db2.gz RYROGJFBVLHHFU-CYBMUJFWSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCOCCOC)C(C)(C)C1 ZINC001330260043 916514114 /nfs/dbraw/zinc/51/41/14/916514114.db2.gz ACIGUTBJBLLDSZ-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)NC[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC001330297891 916537570 /nfs/dbraw/zinc/53/75/70/916537570.db2.gz QWSYOHYSAQVXKS-FQUUOJAGSA-N 0 1 296.390 0.484 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCCN([C@H]1CCNC1=O)C2 ZINC001330489607 916664401 /nfs/dbraw/zinc/66/44/01/916664401.db2.gz UILRSJHOJQFBIK-ZDUSSCGKSA-N 0 1 291.395 0.766 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1CNC(=O)N1 ZINC001402062136 916782533 /nfs/dbraw/zinc/78/25/33/916782533.db2.gz TUFQWAMKEAEYHX-ZJUUUORDSA-N 0 1 286.763 0.001 20 30 CCEDMN Cc1nonc1CNC[C@@]1(C)CCN(C(=O)[C@@H](C)C#N)C1 ZINC001402163607 916847526 /nfs/dbraw/zinc/84/75/26/916847526.db2.gz RNORFICPDYTZEP-IINYFYTJSA-N 0 1 291.355 0.866 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CCNC(=O)C1)C1CC1 ZINC001402296860 916937649 /nfs/dbraw/zinc/93/76/49/916937649.db2.gz OPFVEQLVTMLGGC-RYUDHWBXSA-N 0 1 299.802 0.750 20 30 CCEDMN CCNC(=O)CN(C)C[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001402371847 916984604 /nfs/dbraw/zinc/98/46/04/916984604.db2.gz JRTRTGZINWAKNK-OLZOCXBDSA-N 0 1 294.399 0.453 20 30 CCEDMN C[C@H](C#N)C(=O)N(CCO)CCN[C@H](C)c1cnccn1 ZINC001402566103 917101800 /nfs/dbraw/zinc/10/18/00/917101800.db2.gz YVSQMGLPWLTGDD-VXGBXAGGSA-N 0 1 291.355 0.108 20 30 CCEDMN CCn1ncnc1CN[C@H]1C[C@@H](NC(=O)[C@@H](C)C#N)C1 ZINC001402576546 917105248 /nfs/dbraw/zinc/10/52/48/917105248.db2.gz IVPDPGNVLKLSQU-GARJFASQSA-N 0 1 276.344 0.194 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1ccn(C)n1 ZINC001331174887 917153894 /nfs/dbraw/zinc/15/38/94/917153894.db2.gz WUNCHNWTWRIXKV-UHFFFAOYSA-N 0 1 278.356 0.122 20 30 CCEDMN C#CC[C@@H](CO)NCc1cc(C(=O)OCC)n[nH]1 ZINC001331585369 917432167 /nfs/dbraw/zinc/43/21/67/917432167.db2.gz TZUUVYXXPSRTAO-VIFPVBQESA-N 0 1 251.286 0.060 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CCCS(C)(=O)=O ZINC001403067746 917456956 /nfs/dbraw/zinc/45/69/56/917456956.db2.gz GGWKGPAFRUUCBI-JTQLQIEISA-N 0 1 296.820 0.658 20 30 CCEDMN CC(=O)NC[C@H](CO)NCC#Cc1ccc(Cl)cc1 ZINC001331664046 917490387 /nfs/dbraw/zinc/49/03/87/917490387.db2.gz KXJMAYABFNTUGP-CQSZACIVSA-N 0 1 280.755 0.778 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H]1CC=CCC1 ZINC001331665942 917492954 /nfs/dbraw/zinc/49/29/54/917492954.db2.gz MACKCHLGBXBJFU-OLZOCXBDSA-N 0 1 250.342 0.433 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(C2CC2)nn1C ZINC001331724341 917549731 /nfs/dbraw/zinc/54/97/31/917549731.db2.gz HUUNESPSLNIMDQ-GFCCVEGCSA-N 0 1 290.367 0.001 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(C2CC2)nn1C ZINC001331724342 917549967 /nfs/dbraw/zinc/54/99/67/917549967.db2.gz HUUNESPSLNIMDQ-LBPRGKRZSA-N 0 1 290.367 0.001 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1ccc2cnccc2c1 ZINC001331759322 917580319 /nfs/dbraw/zinc/58/03/19/917580319.db2.gz PABGOXXWAXQSIV-MRXNPFEDSA-N 0 1 297.358 0.477 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N2CC[C@@H](F)[C@H](N)C2)C1 ZINC001331780932 917602478 /nfs/dbraw/zinc/60/24/78/917602478.db2.gz SHTFWVANJLTQPJ-IJLUTSLNSA-N 0 1 283.347 0.309 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cc(C(F)F)[nH]n2)C1 ZINC001331801669 917617154 /nfs/dbraw/zinc/61/71/54/917617154.db2.gz DNJFKFLUXIJSIZ-UHFFFAOYSA-N 0 1 256.212 0.448 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H]1CN(C(=O)CCOC)CCO1 ZINC001403414617 917702871 /nfs/dbraw/zinc/70/28/71/917702871.db2.gz IJGGLFPLHZJVAD-NEPJUHHUSA-N 0 1 290.791 0.981 20 30 CCEDMN CC[C@H](F)CN[C@H](CO)CNC(=O)c1c[nH]c(C#N)c1 ZINC001403644475 917878661 /nfs/dbraw/zinc/87/86/61/917878661.db2.gz LLUZARXVXBGEQH-JQWIXIFHSA-N 0 1 282.319 0.315 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)C(C)(F)F ZINC001403837371 918046833 /nfs/dbraw/zinc/04/68/33/918046833.db2.gz YDUPVRRBACBXDD-MRVPVSSYSA-N 0 1 270.707 0.803 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@H]1C[N@@H+](C2CC2)C[C@@H]1C)C(=O)[O-] ZINC001332605900 918303756 /nfs/dbraw/zinc/30/37/56/918303756.db2.gz GHDUXYDZOUTUBW-DLOVCJGASA-N 0 1 281.356 0.798 20 30 CCEDMN C=CCOC[C@@H](NC(=O)C[N@H+](C)C1CCC1)C(=O)[O-] ZINC001332625705 918327084 /nfs/dbraw/zinc/32/70/84/918327084.db2.gz YRHMFOHMPRFNSK-LLVKDONJSA-N 0 1 270.329 0.243 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CCc1cncs1 ZINC001332663024 918363840 /nfs/dbraw/zinc/36/38/40/918363840.db2.gz JMKGRVOEKQDOJW-LBPRGKRZSA-N 0 1 295.408 0.460 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@@H](C)Cc1cc(C)[nH]n1)C(C)C ZINC001332700742 918391281 /nfs/dbraw/zinc/39/12/81/918391281.db2.gz PAKCLMUCXMDAEM-NSHDSACASA-N 0 1 290.367 0.636 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@H](C)C#N)NCc1nncn1C ZINC001406016637 918999784 /nfs/dbraw/zinc/99/97/84/918999784.db2.gz MYQDMTXKJJXUBE-GHMZBOCLSA-N 0 1 278.360 0.205 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2CC[C@@H](F)[C@H](N)C2)CC1 ZINC001333637967 919060643 /nfs/dbraw/zinc/06/06/43/919060643.db2.gz BRBZXRGBASXFMF-VXGBXAGGSA-N 0 1 283.347 0.309 20 30 CCEDMN C=C(Br)CNC[C@H](O)CNC(=O)C1CCC1 ZINC001406184900 919097040 /nfs/dbraw/zinc/09/70/40/919097040.db2.gz DZCRCENQTVZBSB-JTQLQIEISA-N 0 1 291.189 0.762 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)[C@@H](C)NC(=O)Cc1nnc[nH]1 ZINC001333853542 919209970 /nfs/dbraw/zinc/20/99/70/919209970.db2.gz XWCSZLCYZDWVQY-VHSXEESVSA-N 0 1 279.344 0.323 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H]1CN(C)C(=O)Cc1ccn[nH]1 ZINC001406457840 919284365 /nfs/dbraw/zinc/28/43/65/919284365.db2.gz BZHIGCHZHVOJBU-JQWIXIFHSA-N 0 1 289.339 0.171 20 30 CCEDMN COCC#CC(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC001334387561 919544361 /nfs/dbraw/zinc/54/43/61/919544361.db2.gz BAROCBOBSLBXJK-SECBINFHSA-N 0 1 265.269 0.131 20 30 CCEDMN C[C@H](NC(=O)CCCCC#N)[C@@H]1CN(C)CCN1C ZINC001334416500 919569549 /nfs/dbraw/zinc/56/95/49/919569549.db2.gz SBXSJSVHSHELJM-STQMWFEESA-N 0 1 266.389 0.821 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CNC(=O)CC ZINC001407098959 919613585 /nfs/dbraw/zinc/61/35/85/919613585.db2.gz HZRKAGGTMHSFER-NSHDSACASA-N 0 1 287.791 0.846 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001334629699 919681656 /nfs/dbraw/zinc/68/16/56/919681656.db2.gz DYPUOBPXBWJGBQ-UHFFFAOYSA-N 0 1 265.317 0.154 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)[nH]1 ZINC001408034306 919991281 /nfs/dbraw/zinc/99/12/81/919991281.db2.gz OPYCJXOQVQECKE-BXSSEVMYSA-N 0 1 287.323 0.064 20 30 CCEDMN N#CC1(C(=O)NCCCc2nc[nH]n2)CCSCC1 ZINC001335278614 920089044 /nfs/dbraw/zinc/08/90/44/920089044.db2.gz YDAFPPXJLUZTLI-UHFFFAOYSA-N 0 1 279.369 0.890 20 30 CCEDMN C#CCOCCN(C)[C@H](C)C(=O)NCc1ccco1 ZINC001336067052 920593370 /nfs/dbraw/zinc/59/33/70/920593370.db2.gz NEBBDFXNQNQOLZ-GFCCVEGCSA-N 0 1 264.325 0.866 20 30 CCEDMN C[C@@H](Nc1[n-]c(=O)n(C)c(=O)c1C#N)C1=CC[N@@H+](C)CC1 ZINC001336571472 920844918 /nfs/dbraw/zinc/84/49/18/920844918.db2.gz YYZAVYSSCRQGMT-SECBINFHSA-N 0 1 289.339 0.008 20 30 CCEDMN CON(C)C(=O)CCNCC1(C#N)CCOCC1 ZINC001336721421 920955120 /nfs/dbraw/zinc/95/51/20/920955120.db2.gz KKWBLOITVSAUPR-UHFFFAOYSA-N 0 1 255.318 0.306 20 30 CCEDMN COCCOCCN1CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC001336774400 920999291 /nfs/dbraw/zinc/99/92/91/920999291.db2.gz PIDNDFDRRTUPNG-LSDHHAIUSA-N 0 1 281.400 0.959 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCCCN1CCn1cncn1 ZINC001418149696 921862499 /nfs/dbraw/zinc/86/24/99/921862499.db2.gz HNRIIXLCBVQHJM-QWHCGFSZSA-N 0 1 290.371 0.408 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)NCc1n[nH]c(C2CC2)n1 ZINC001418218294 921932686 /nfs/dbraw/zinc/93/26/86/921932686.db2.gz ZOUBUWIZKZGZPB-RKDXNWHRSA-N 0 1 276.344 0.436 20 30 CCEDMN Cc1cc(Cl)nc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC001340268187 922035362 /nfs/dbraw/zinc/03/53/62/922035362.db2.gz ZUPQZRLIYVOOIS-WCBMZHEXSA-N 0 1 264.716 0.885 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCC[C@]1(C)C(=O)OCC ZINC001340656558 922157941 /nfs/dbraw/zinc/15/79/41/922157941.db2.gz GCWUWMOCMVMICG-FZMZJTMJSA-N 0 1 266.341 0.447 20 30 CCEDMN C#CCNCC(=O)N1CCN(Cc2cccc(F)c2)CC1 ZINC001340950148 922289086 /nfs/dbraw/zinc/28/90/86/922289086.db2.gz ATIWHYUNXWQXCM-UHFFFAOYSA-N 0 1 289.354 0.693 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)[C@@H](O)c1cccc(F)c1 ZINC001341039161 922324322 /nfs/dbraw/zinc/32/43/22/922324322.db2.gz DSSBXKIELIBJTM-QMTHXVAHSA-N 0 1 264.300 0.587 20 30 CCEDMN N#C[C@@H]1CCCN(C(=O)c2[nH]nc3c2CNCC3)C1 ZINC001341092375 922343399 /nfs/dbraw/zinc/34/33/99/922343399.db2.gz BWECOVNATVCAKZ-VIFPVBQESA-N 0 1 259.313 0.431 20 30 CCEDMN C#CCNCC(=O)NCc1ccc2c(c1)OC(F)(F)O2 ZINC001341162513 922364296 /nfs/dbraw/zinc/36/42/96/922364296.db2.gz GLGNDBXNKHAANZ-UHFFFAOYSA-N 0 1 282.246 0.847 20 30 CCEDMN CCc1nc([C@H](C)NCCN(C)C(=O)[C@@H](C)C#N)n[nH]1 ZINC001418816364 922434254 /nfs/dbraw/zinc/43/42/54/922434254.db2.gz QDSCNAPHNIQCIC-UWVGGRQHSA-N 0 1 278.360 0.636 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCCc2nc(C)ncc21 ZINC001341454738 922495004 /nfs/dbraw/zinc/49/50/04/922495004.db2.gz YNQCGZNQSHWRGC-CYBMUJFWSA-N 0 1 258.325 0.501 20 30 CCEDMN C=C(C)Cn1c(C[C@H](C)O)nnc1N1CCN(CC)CC1 ZINC001342011635 922760806 /nfs/dbraw/zinc/76/08/06/922760806.db2.gz VEJNMPSOKIKBAP-ZDUSSCGKSA-N 0 1 293.415 0.919 20 30 CCEDMN C#CC[NH2+]CC(=O)N1CCC[C@H]1c1ncc(OC)c(=O)[n-]1 ZINC001342175178 922847590 /nfs/dbraw/zinc/84/75/90/922847590.db2.gz XDWIPVNQMWLZBI-JTQLQIEISA-N 0 1 290.323 0.077 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)[C@@H](C)CN2CCOCC2)C1 ZINC001342278742 922890908 /nfs/dbraw/zinc/89/09/08/922890908.db2.gz KOYMOIWAFDIEHD-KBPBESRZSA-N 0 1 282.384 0.758 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1CCC12CCOCC2 ZINC001342299715 922902598 /nfs/dbraw/zinc/90/25/98/922902598.db2.gz GTXAKSFWFQRMGL-GFCCVEGCSA-N 0 1 250.342 0.532 20 30 CCEDMN C#CCNCC(=O)N1CC[C@](OC)(C(F)(F)F)C1 ZINC001342366302 922934405 /nfs/dbraw/zinc/93/44/05/922934405.db2.gz VSZCDCFNYNLYNN-SNVBAGLBSA-N 0 1 264.247 0.389 20 30 CCEDMN C=C1CCC(CNC(=O)C2CNCCS2(=O)=O)CC1 ZINC001342578261 923009729 /nfs/dbraw/zinc/00/97/29/923009729.db2.gz OFJRKFWCPTUZPX-GFCCVEGCSA-N 0 1 286.397 0.236 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001419783143 923096958 /nfs/dbraw/zinc/09/69/58/923096958.db2.gz AIDAYGNLHPTJDM-WDEREUQCSA-N 0 1 287.791 0.702 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@@H]1CC[C@@H](C2CC2)O1 ZINC001343468143 923332416 /nfs/dbraw/zinc/33/24/16/923332416.db2.gz RACWQRANJBFKAX-VOAKCMCISA-N 0 1 263.341 0.562 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1CC12CC2 ZINC001343473366 923334629 /nfs/dbraw/zinc/33/46/29/923334629.db2.gz JRTICSSVDXDYGQ-ZJUUUORDSA-N 0 1 250.298 0.648 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cnc(C2CC2)o1 ZINC001420136728 923338862 /nfs/dbraw/zinc/33/88/62/923338862.db2.gz JCDXHQUOXDVDNF-SNVBAGLBSA-N 0 1 299.758 0.985 20 30 CCEDMN CCOC1CC2(C[C@H]2C(=O)C(C#N)C(=O)NC)C1 ZINC001343500015 923351377 /nfs/dbraw/zinc/35/13/77/923351377.db2.gz HZLKFUXITKCNIO-YZOMMJAFSA-N 0 1 250.298 0.646 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1nc(C)c[nH]1 ZINC001420184090 923383656 /nfs/dbraw/zinc/38/36/56/923383656.db2.gz RSZLYGVKLPZDOY-SECBINFHSA-N 0 1 272.736 0.151 20 30 CCEDMN C#CCNCC(=O)N[C@H](COC)c1ccc(F)c(F)c1 ZINC001343593034 923387942 /nfs/dbraw/zinc/38/79/42/923387942.db2.gz LMWDOQBEFCICBW-CYBMUJFWSA-N 0 1 282.290 0.991 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@H]1[C@H]1CCCO1 ZINC001343641221 923402028 /nfs/dbraw/zinc/40/20/28/923402028.db2.gz RHNCJXSLJOIAPH-QWHCGFSZSA-N 0 1 250.342 0.769 20 30 CCEDMN CN(CCNC(=O)c1cnon1)Cc1ccc(C#N)cc1 ZINC001420315858 923535263 /nfs/dbraw/zinc/53/52/63/923535263.db2.gz BZPJEWNXASUXQJ-UHFFFAOYSA-N 0 1 285.307 0.803 20 30 CCEDMN CC(C)n1ncc(C(=O)NC2(C#N)CCN(C)CC2)c1N ZINC001344534185 923626017 /nfs/dbraw/zinc/62/60/17/923626017.db2.gz MJVYLEJHROZTMS-UHFFFAOYSA-N 0 1 290.371 0.764 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC[C@](C)(C#N)C2)[C@H](C)CN1 ZINC001345483397 923902287 /nfs/dbraw/zinc/90/22/87/923902287.db2.gz KMCARCQUASEBDC-LALPHHSUSA-N 0 1 292.383 0.347 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCc2nnc([C@@H]3CCOC3)[nH]2)c1 ZINC001420987934 924073627 /nfs/dbraw/zinc/07/36/27/924073627.db2.gz OYZYSSPVRYWUJV-SECBINFHSA-N 0 1 286.295 0.438 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCc2n[nH]c([C@@H]3CCOC3)n2)c1 ZINC001420987934 924073637 /nfs/dbraw/zinc/07/36/37/924073637.db2.gz OYZYSSPVRYWUJV-SECBINFHSA-N 0 1 286.295 0.438 20 30 CCEDMN CCNC(=O)CN1CCC[C@](C)(CNC(=O)[C@@H](C)C#N)C1 ZINC001421278247 924249443 /nfs/dbraw/zinc/24/94/43/924249443.db2.gz BZUHPVCAHPKBHB-SWLSCSKDSA-N 0 1 294.399 0.500 20 30 CCEDMN C=CC(C)(C)CNC(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001347147721 924385456 /nfs/dbraw/zinc/38/54/56/924385456.db2.gz DWZPZBCQDCGTLH-NWDGAFQWSA-N 0 1 251.374 0.703 20 30 CCEDMN C#CCN1CCC(OC(=O)C[C@H]2CCCC(=O)N2)CC1 ZINC001347399972 924439731 /nfs/dbraw/zinc/43/97/31/924439731.db2.gz AMNZSPFYKKFVGI-GFCCVEGCSA-N 0 1 278.352 0.686 20 30 CCEDMN Cc1nc(CC(=O)Nc2ccn(CCC#N)n2)n[nH]1 ZINC001347513813 924468720 /nfs/dbraw/zinc/46/87/20/924468720.db2.gz DBMANZMJWBOXDA-UHFFFAOYSA-N 0 1 259.273 0.405 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H]1CCC[N@H+](CCC)C1)C(=O)[O-] ZINC001347549273 924476843 /nfs/dbraw/zinc/47/68/43/924476843.db2.gz YXJIGAXBSOGPMX-CHWSQXEVSA-N 0 1 298.383 0.880 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNC(=O)CCc1nc[nH]n1 ZINC001348735371 924790306 /nfs/dbraw/zinc/79/03/06/924790306.db2.gz VNQGOQQTESDBPQ-MNOVXSKESA-N 0 1 293.371 0.428 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NCCNC(=O)c1cnn[nH]1 ZINC001349291240 924923619 /nfs/dbraw/zinc/92/36/19/924923619.db2.gz BSTURBFSMGAADH-VIFPVBQESA-N 0 1 265.317 0.253 20 30 CCEDMN C#CCOCCN(C)C(=O)CCSc1nnc(C)[nH]1 ZINC001349902438 925091443 /nfs/dbraw/zinc/09/14/43/925091443.db2.gz WIFCDQRGUUCBLJ-UHFFFAOYSA-N 0 1 282.369 0.704 20 30 CCEDMN N#Cc1cc(NC(=O)N2CC[N@H+]3CC[C@H]2C3)ccn1 ZINC001350142218 925134632 /nfs/dbraw/zinc/13/46/32/925134632.db2.gz BXOCWEMHNDMWAM-LBPRGKRZSA-N 0 1 257.297 0.875 20 30 CCEDMN C#CCOc1ccc(C(=O)N2CC[C@@H](c3nn[nH]n3)C2)cc1 ZINC001350233727 925151004 /nfs/dbraw/zinc/15/10/04/925151004.db2.gz GXFOQKZHSMIXML-GFCCVEGCSA-N 0 1 297.318 0.841 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001350314613 925168101 /nfs/dbraw/zinc/16/81/01/925168101.db2.gz FWXLAIPJGRQANN-LBPRGKRZSA-N 0 1 276.340 0.377 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)Cc1nnc[nH]1 ZINC001350387715 925185954 /nfs/dbraw/zinc/18/59/54/925185954.db2.gz TVANDJCTARNFMH-UHFFFAOYSA-N 0 1 250.302 0.582 20 30 CCEDMN C=CCN(C(=O)C(=O)NCc1cc(C)[nH]n1)[C@H](C)COC ZINC001350745943 925266543 /nfs/dbraw/zinc/26/65/43/925266543.db2.gz SCVFAYOENOZNOR-LLVKDONJSA-N 0 1 294.355 0.384 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1ccc2n1CCN[C@@H]2C ZINC001353718193 925916275 /nfs/dbraw/zinc/91/62/75/925916275.db2.gz ZWLDOQOLNIYOEV-SNVBAGLBSA-N 0 1 276.340 0.184 20 30 CCEDMN C=CCCCC(=O)N[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001354638400 926143897 /nfs/dbraw/zinc/14/38/97/926143897.db2.gz KTCWCSUJEIYWPL-VIFPVBQESA-N 0 1 265.317 0.396 20 30 CCEDMN C=CCCCC(=O)N[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001354638400 926143905 /nfs/dbraw/zinc/14/39/05/926143905.db2.gz KTCWCSUJEIYWPL-VIFPVBQESA-N 0 1 265.317 0.396 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@H](C)CCNC(=O)c1ncn[nH]1 ZINC001355262584 926231999 /nfs/dbraw/zinc/23/19/99/926231999.db2.gz XSIHKSAOSIUDEK-NXEZZACHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@H](C)CCNC(=O)c1nc[nH]n1 ZINC001355262584 926232007 /nfs/dbraw/zinc/23/20/07/926232007.db2.gz XSIHKSAOSIUDEK-NXEZZACHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C[C@H](O)c1nnc(N2CCN(CC)[C@@H](C)C2)n1C ZINC001355408416 926253608 /nfs/dbraw/zinc/25/36/08/926253608.db2.gz SXVBRPMLVJXLNY-QWRGUYRKSA-N 0 1 265.361 0.565 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCC(CO)CC1 ZINC001355998503 926332877 /nfs/dbraw/zinc/33/28/77/926332877.db2.gz YAJPXKHAEPOBOD-UHFFFAOYSA-N 0 1 289.343 0.458 20 30 CCEDMN C#CCCCC(=O)NCCN(CC)C(=O)Cc1c[nH]cn1 ZINC001356032653 926337713 /nfs/dbraw/zinc/33/77/13/926337713.db2.gz DWMIFIIYSCKJFP-UHFFFAOYSA-N 0 1 290.367 0.720 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCN(C)C(=O)[C@H]1CCC[N@H+]1C ZINC001357181543 926493986 /nfs/dbraw/zinc/49/39/86/926493986.db2.gz IKYOKGAHKQFKFA-CQSZACIVSA-N 0 1 293.411 0.657 20 30 CCEDMN C#CCNCC(=O)NCc1ccnc(N2CCCCC2)c1 ZINC001357347817 926515670 /nfs/dbraw/zinc/51/56/70/926515670.db2.gz YDQYWDVKGAUELP-UHFFFAOYSA-N 0 1 286.379 0.911 20 30 CCEDMN C#CCNCC(=O)N1CCc2cccc(NC(C)=O)c2C1 ZINC001357970185 926616254 /nfs/dbraw/zinc/61/62/54/926616254.db2.gz SWYRASXJVIDAGX-UHFFFAOYSA-N 0 1 285.347 0.753 20 30 CCEDMN CC[C@H](CNC(=O)[C@H](C)C#N)NCc1cnc(C)cn1 ZINC001421377252 926710946 /nfs/dbraw/zinc/71/09/46/926710946.db2.gz NWVUXHSVFQSPEO-ZYHUDNBSSA-N 0 1 275.356 0.929 20 30 CCEDMN N#Cc1ncc(NCCN2CCCOCC2)nc1C#N ZINC001413884149 926760601 /nfs/dbraw/zinc/76/06/01/926760601.db2.gz DHIZXTLOQRSWCC-UHFFFAOYSA-N 0 1 272.312 0.354 20 30 CCEDMN C=CCCC(=O)NC1(CNC(=O)[C@H]2CCCN2C)CC1 ZINC001358652951 926778952 /nfs/dbraw/zinc/77/89/52/926778952.db2.gz OHRGOYOTYQXGMI-GFCCVEGCSA-N 0 1 279.384 0.812 20 30 CCEDMN C[C@]1(NC(=O)C#CC2CC2)CCN(C(=O)c2ccn[nH]2)C1 ZINC001358792123 926825400 /nfs/dbraw/zinc/82/54/00/926825400.db2.gz LSFCJFGRWNUNHV-HNNXBMFYSA-N 0 1 286.335 0.544 20 30 CCEDMN CO[C@@H]1CC[C@@H]1[N@@H+](C)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001414056228 926904018 /nfs/dbraw/zinc/90/40/18/926904018.db2.gz BEYRVMMFUHWIAY-NWDGAFQWSA-N 0 1 287.323 0.916 20 30 CCEDMN Cc1cc(CNC[C@H](C)N(C)C(=O)[C@H](C)C#N)ncn1 ZINC001421872197 926960472 /nfs/dbraw/zinc/96/04/72/926960472.db2.gz LQAQPOOAVRTCAV-PWSUYJOCSA-N 0 1 275.356 0.881 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCn1ccnn1 ZINC001421883591 926974447 /nfs/dbraw/zinc/97/44/47/926974447.db2.gz ARZAGNXWIMLHCR-NSHDSACASA-N 0 1 285.779 0.857 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](C)CC(N)=O ZINC001421940437 927005037 /nfs/dbraw/zinc/00/50/37/927005037.db2.gz UGORCQKQNYQOMC-PSASIEDQSA-N 0 1 275.780 0.687 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](C(=O)NC)C1 ZINC001421947745 927005234 /nfs/dbraw/zinc/00/52/34/927005234.db2.gz JYIZBGQAPPLEBT-NEPJUHHUSA-N 0 1 280.372 0.062 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCCC(N)=O)C[C@H]1C ZINC001422057043 927054210 /nfs/dbraw/zinc/05/42/10/927054210.db2.gz FRFRJKSXZSJKLC-KOLCDFICSA-N 0 1 287.791 0.831 20 30 CCEDMN N#CCn1cc(NC(=O)Cc2n[nH]c(C3CC3)n2)cn1 ZINC001361864539 927401890 /nfs/dbraw/zinc/40/18/90/927401890.db2.gz AUXAJFXTNKOJKI-UHFFFAOYSA-N 0 1 271.284 0.583 20 30 CCEDMN COCC(=O)N[C@@H](C)CNCc1ccc(C#N)cc1F ZINC001422611235 927404988 /nfs/dbraw/zinc/40/49/88/927404988.db2.gz BOACMLNPJMFIKD-JTQLQIEISA-N 0 1 279.315 0.938 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC001362080265 927591411 /nfs/dbraw/zinc/59/14/11/927591411.db2.gz RJADQYJQVPWUAB-HZSPNIEDSA-N 0 1 277.368 0.859 20 30 CCEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)Cn1ncnn1 ZINC001422975269 927615989 /nfs/dbraw/zinc/61/59/89/927615989.db2.gz AGVXWVUEUNBZPB-NSHDSACASA-N 0 1 298.778 0.252 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)c2c[nH]cc3ncnc2-3)CC1 ZINC001362239505 927765094 /nfs/dbraw/zinc/76/50/94/927765094.db2.gz RVJHUJPRTDTZMC-UHFFFAOYSA-N 0 1 298.306 0.156 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1ocnc1C ZINC001423428439 927856319 /nfs/dbraw/zinc/85/63/19/927856319.db2.gz CLFJNDVONXOUMZ-JTQLQIEISA-N 0 1 287.747 0.758 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CN1CCCC1=O ZINC001424755106 928276235 /nfs/dbraw/zinc/27/62/35/928276235.db2.gz YFDLESRTKKUGGW-WDEREUQCSA-N 0 1 287.791 0.844 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)NCCN1CCC(O)CC1 ZINC001362846680 928566591 /nfs/dbraw/zinc/56/65/91/928566591.db2.gz AYZXQYICVZNDAT-UHFFFAOYSA-N 0 1 277.324 0.646 20 30 CCEDMN N#Cc1ccncc1C(=O)N[C@H](CO)Cc1cnc[nH]1 ZINC001363283116 929072281 /nfs/dbraw/zinc/07/22/81/929072281.db2.gz PPYHAIWDMKQFCJ-NSHDSACASA-N 0 1 271.280 0.010 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@@H](NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001427800887 929122739 /nfs/dbraw/zinc/12/27/39/929122739.db2.gz DAFIESFSZQCQEN-MWLCHTKSSA-N 0 1 289.339 0.462 20 30 CCEDMN CNC(=O)NCC(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001363361447 929146811 /nfs/dbraw/zinc/14/68/11/929146811.db2.gz XHOUNHUCNNUMTK-UHFFFAOYSA-N 0 1 272.268 0.302 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)C(=O)c1cc(C2CC2)[nH]n1 ZINC001429454871 929458701 /nfs/dbraw/zinc/45/87/01/929458701.db2.gz REQXDLSXDMSBQM-SECBINFHSA-N 0 1 289.339 0.635 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@@H+]1CCC[C@H]1CCO)cc2=O ZINC001363784544 929571043 /nfs/dbraw/zinc/57/10/43/929571043.db2.gz APXMEXSFAPUGTM-LBPRGKRZSA-N 0 1 287.323 0.653 20 30 CCEDMN Cc1ccc(S(=O)(=O)NCCc2nc[nH]n2)c(C#N)c1 ZINC001363819593 929613104 /nfs/dbraw/zinc/61/31/04/929613104.db2.gz LJOFDVMYGHXSSZ-UHFFFAOYSA-N 0 1 291.336 0.506 20 30 CCEDMN CN(CC(=O)NCCCCC#N)[C@@H]1CCC[C@H]1O ZINC001443684736 929675403 /nfs/dbraw/zinc/67/54/03/929675403.db2.gz JAJGZFJCAMLVCQ-VXGBXAGGSA-N 0 1 253.346 0.642 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H](C)C#N)NCc1cc(C)ncn1 ZINC001443898353 929735511 /nfs/dbraw/zinc/73/55/11/929735511.db2.gz MHNXSHWIVPNMMY-CMPLNLGQSA-N 0 1 275.356 0.929 20 30 CCEDMN CC(C)c1nnc(CNC[C@H](C)CNC(=O)[C@@H](C)C#N)[nH]1 ZINC001444314327 929840675 /nfs/dbraw/zinc/84/06/75/929840675.db2.gz YFGCUVTWDRYCOQ-QWRGUYRKSA-N 0 1 292.387 0.930 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](O)CNC/C(Cl)=C\Cl ZINC001445164576 930056435 /nfs/dbraw/zinc/05/64/35/930056435.db2.gz WBNBKTMAPMUWPM-DRDMBFKXSA-N 0 1 280.155 0.532 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cccn1CC ZINC001445270359 930077925 /nfs/dbraw/zinc/07/79/25/930077925.db2.gz NHPPSVSXAFYCEG-LLVKDONJSA-N 0 1 285.775 0.941 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)C[C@H](C)NC(=O)c1cc(C#N)c[nH]1 ZINC001445689656 930212041 /nfs/dbraw/zinc/21/20/41/930212041.db2.gz OVHOAEVGZYYFBW-CABZTGNLSA-N 0 1 291.355 0.200 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CNC(=O)C2CC2)CC1 ZINC001446149347 930351004 /nfs/dbraw/zinc/35/10/04/930351004.db2.gz FEGSYJBEVQKOFU-UHFFFAOYSA-N 0 1 285.775 0.504 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)C(=O)CN1CCCC1 ZINC001374169958 930439618 /nfs/dbraw/zinc/43/96/18/930439618.db2.gz YIXWFHPSSBYFMR-CYBMUJFWSA-N 0 1 294.399 0.597 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)C(=O)[C@@H]1CCCN1C ZINC001374167001 930440116 /nfs/dbraw/zinc/44/01/16/930440116.db2.gz JEPWGZRETDJTLA-STQMWFEESA-N 0 1 294.399 0.595 20 30 CCEDMN C[C@H](C#N)C(=O)N(CCNC(=O)[C@H]1CCCN1C)C1CC1 ZINC001374289342 930478417 /nfs/dbraw/zinc/47/84/17/930478417.db2.gz UNDBUMJBLDUEFA-DGCLKSJQSA-N 0 1 292.383 0.347 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@]1(C)CCC(=O)N1 ZINC001374283900 930478864 /nfs/dbraw/zinc/47/88/64/930478864.db2.gz IZMDSJWCWOBDHI-GWCFXTLKSA-N 0 1 287.791 0.844 20 30 CCEDMN Cc1nc(C)c(CNC[C@@H](O)CNC(=O)[C@H](C)C#N)o1 ZINC001374591780 930580719 /nfs/dbraw/zinc/58/07/19/930580719.db2.gz SQJVXAJTUOZJCF-LDYMZIIASA-N 0 1 280.328 0.018 20 30 CCEDMN Cc1nc(C)c(CNC[C@@H](O)CNC(=O)[C@@H](C)C#N)o1 ZINC001374591775 930581102 /nfs/dbraw/zinc/58/11/02/930581102.db2.gz SQJVXAJTUOZJCF-GZMMTYOYSA-N 0 1 280.328 0.018 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C[C@H]1CCOC1 ZINC001374646067 930606646 /nfs/dbraw/zinc/60/66/46/930606646.db2.gz LPFDUVWMLWZUIT-MNOVXSKESA-N 0 1 276.764 0.232 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@H](NCc2nccn2C)C1 ZINC001375521954 930905892 /nfs/dbraw/zinc/90/58/92/930905892.db2.gz HTTJTNHTNBLAND-IJLUTSLNSA-N 0 1 275.356 0.564 20 30 CCEDMN CC[C@@H](F)CN[C@@H](CO)CNC(=O)c1ccc(C#N)[nH]1 ZINC001448821173 930996000 /nfs/dbraw/zinc/99/60/00/930996000.db2.gz UGHVLBMZDGWFDE-MWLCHTKSSA-N 0 1 282.319 0.315 20 30 CCEDMN C#CCn1cc(CNC(=O)CCN2CC[C@H](F)C2)cn1 ZINC001448874065 931014686 /nfs/dbraw/zinc/01/46/86/931014686.db2.gz ILAVEOWJMPNNMV-ZDUSSCGKSA-N 0 1 278.331 0.566 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cn(C)cn1 ZINC001449106849 931073080 /nfs/dbraw/zinc/07/30/80/931073080.db2.gz DJZHDNMCURGFTO-SNVBAGLBSA-N 0 1 286.763 0.195 20 30 CCEDMN N#C[C@H](CO)NC(=O)c1c[nH]c2ccccc2c1=O ZINC001449516822 931152387 /nfs/dbraw/zinc/15/23/87/931152387.db2.gz CZHKSXSEZQNYFP-MRVPVSSYSA-N 0 1 257.249 0.142 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2CC[C@@](COC)(C(=O)[O-])C2)C1=O ZINC001602723094 971393383 /nfs/dbraw/zinc/39/33/83/971393383.db2.gz LHTDFWPMVRNJQN-CQSZACIVSA-N 0 1 297.355 0.291 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+](CC)[C@H](C)C(=O)[O-] ZINC001589719344 950401948 /nfs/dbraw/zinc/40/19/48/950401948.db2.gz DCRQRXHKXXYBAX-GHMZBOCLSA-N 0 1 269.345 0.790 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](CC)[C@H](C)C(=O)[O-] ZINC001589719344 950401958 /nfs/dbraw/zinc/40/19/58/950401958.db2.gz DCRQRXHKXXYBAX-GHMZBOCLSA-N 0 1 269.345 0.790 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCC[C@H](CC(=O)[O-])C1 ZINC000328273367 951125056 /nfs/dbraw/zinc/12/50/56/951125056.db2.gz OAJZEBWFJFJNJA-CYBMUJFWSA-N 0 1 295.383 0.838 20 30 CCEDMN C[C@@H]1C[C@@H](C(=O)[O-])C[N@@H+](CC(=O)NCCC#N)C1 ZINC000399060597 951629855 /nfs/dbraw/zinc/62/98/55/951629855.db2.gz IXCOWTUGGROYMW-NXEZZACHSA-N 0 1 253.302 0.059 20 30 CCEDMN C#CC[C@@H]1CC[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001588392023 958029303 /nfs/dbraw/zinc/02/93/03/958029303.db2.gz RQQLHBISYWFPQI-MNOVXSKESA-N 0 1 250.298 0.017 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@@H]1C[N@@H+](C2CC2)C[C@H]1C ZINC001588410140 958135524 /nfs/dbraw/zinc/13/55/24/958135524.db2.gz PLEULUOQXTTYFA-ZYHUDNBSSA-N 0 1 279.340 0.199 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H]1CCC[C@@]2(CCOC2)O1 ZINC001588423631 958205034 /nfs/dbraw/zinc/20/50/34/958205034.db2.gz AAIJWKLZQWHOQY-OCCSQVGLSA-N 0 1 267.325 0.734 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H]1CCC[C@@]2(CCOC2)O1 ZINC001588423631 958205056 /nfs/dbraw/zinc/20/50/56/958205056.db2.gz AAIJWKLZQWHOQY-OCCSQVGLSA-N 0 1 267.325 0.734 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N[C@@H](C)CC(=O)[O-])C1 ZINC001588429854 958251038 /nfs/dbraw/zinc/25/10/38/958251038.db2.gz FJZGBJVHMUSGRU-QWRGUYRKSA-N 0 1 267.329 0.246 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N[C@@H](C)CC(=O)[O-])C1 ZINC001588429854 958251053 /nfs/dbraw/zinc/25/10/53/958251053.db2.gz FJZGBJVHMUSGRU-QWRGUYRKSA-N 0 1 267.329 0.246 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@H](C(=O)[O-])C2CC2)CC1 ZINC001588430164 958252160 /nfs/dbraw/zinc/25/21/60/958252160.db2.gz IVLWJRMVOLQKHH-GFCCVEGCSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@H](C(=O)[O-])C2CC2)CC1 ZINC001588430164 958252177 /nfs/dbraw/zinc/25/21/77/958252177.db2.gz IVLWJRMVOLQKHH-GFCCVEGCSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CC[N@H+](CCc1c(C)nc2ccccn2c1=O)CC(=O)[O-] ZINC001588432329 958281440 /nfs/dbraw/zinc/28/14/40/958281440.db2.gz LFJWWEIGFHAVER-UHFFFAOYSA-N 0 1 299.330 0.565 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2C[C@H](C)C[C@H](C(=O)[O-])C2)C1=O ZINC001588464525 958493554 /nfs/dbraw/zinc/49/35/54/958493554.db2.gz YHPATSODJAYNPN-WOPDTQHZSA-N 0 1 266.341 0.816 20 30 CCEDMN C=CCO[C@H]1CC[N@@H+](CN2C[C@H](C(=O)[O-])CC2=O)C1 ZINC001588465160 958498159 /nfs/dbraw/zinc/49/81/59/958498159.db2.gz NQHDXLIFHFGZLG-MNOVXSKESA-N 0 1 268.313 0.154 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](CN2C[C@H](C(=O)[O-])CC2=O)C1 ZINC001588465160 958498168 /nfs/dbraw/zinc/49/81/68/958498168.db2.gz NQHDXLIFHFGZLG-MNOVXSKESA-N 0 1 268.313 0.154 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2CC[C@@](C)(C(=O)[O-])C2)C1=O ZINC001588465366 958501812 /nfs/dbraw/zinc/50/18/12/958501812.db2.gz FYOYHRKQSOMOLW-CYBMUJFWSA-N 0 1 267.329 0.664 20 30 CCEDMN C[C@H](C#N)OCC[N@@H+](CC(=O)[O-])C1CCOCC1 ZINC001588543274 958978421 /nfs/dbraw/zinc/97/84/21/958978421.db2.gz JQECHQVRJDOOMN-SNVBAGLBSA-N 0 1 256.302 0.481 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001588552669 959037591 /nfs/dbraw/zinc/03/75/91/959037591.db2.gz ZCYFLNRBDLFKRA-QWRGUYRKSA-N 0 1 279.340 0.734 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)C(=C)CCC(=O)[O-])C1 ZINC001573311436 962703079 /nfs/dbraw/zinc/70/30/79/962703079.db2.gz QCEYVTYTCGZEQR-LBPRGKRZSA-N 0 1 264.325 0.621 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)C(=C)CCC(=O)[O-])C1 ZINC001573311436 962703095 /nfs/dbraw/zinc/70/30/95/962703095.db2.gz QCEYVTYTCGZEQR-LBPRGKRZSA-N 0 1 264.325 0.621 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@@H]2CCN(C(=O)CNC(=O)[O-])[C@@H]2C1 ZINC001573343386 962904106 /nfs/dbraw/zinc/90/41/06/962904106.db2.gz DEQGZNSDFLAXPN-VHSXEESVSA-N 0 1 287.747 0.539 20 30 CCEDMN C=C(Cl)C[N@@H+]1C[C@@H]2CCN(C(=O)CNC(=O)[O-])[C@@H]2C1 ZINC001573343386 962904111 /nfs/dbraw/zinc/90/41/11/962904111.db2.gz DEQGZNSDFLAXPN-VHSXEESVSA-N 0 1 287.747 0.539 20 30 CCEDMN C#CCC[N@H+](C)CCN(C(=O)[C@H]1C[C@@H]1C(=O)[O-])C(C)C ZINC001588447893 964092097 /nfs/dbraw/zinc/09/20/97/964092097.db2.gz RSPIHAOFOFDBHF-STQMWFEESA-N 0 1 280.368 0.899 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC001603426719 973159374 /nfs/dbraw/zinc/15/93/74/973159374.db2.gz LMFJKMHGMCISJE-UTUOFQBUSA-N 0 1 281.356 0.790 20 30 CCEDMN N#Cc1ccc(F)cc1C[N@@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC000401924614 973538075 /nfs/dbraw/zinc/53/80/75/973538075.db2.gz QIJVBVNZCSHXDF-WCQYABFASA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1ccc(F)cc1C[N@H+]1C[C@H](O)C[C@H](C(=O)[O-])C1 ZINC000401924614 973538081 /nfs/dbraw/zinc/53/80/81/973538081.db2.gz QIJVBVNZCSHXDF-WCQYABFASA-N 0 1 278.283 0.965 20 30 CCEDMN CC(C)OC[C@H](C(=O)[O-])[N@H+](C)CCO[C@H](C)C#N ZINC001591712816 975612046 /nfs/dbraw/zinc/61/20/46/975612046.db2.gz YNNSTORPXOQEBB-GHMZBOCLSA-N 0 1 258.318 0.725 20 30 CCEDMN CC(C)OC[C@H](C(=O)[O-])[N@@H+](C)CCO[C@H](C)C#N ZINC001591712816 975612052 /nfs/dbraw/zinc/61/20/52/975612052.db2.gz YNNSTORPXOQEBB-GHMZBOCLSA-N 0 1 258.318 0.725 20 30 CCEDMN C[C@H](CC#N)C(=O)O[C@H]1C[N@@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001592221523 977400539 /nfs/dbraw/zinc/40/05/39/977400539.db2.gz JKGDJIKEOCTOAK-KBVBSXBZSA-N 0 1 254.286 0.627 20 30 CCEDMN CC[C@](COC)([NH2+]CC(=O)N[C@](C)(C#N)C(C)C)C(=O)[O-] ZINC001595195809 979917544 /nfs/dbraw/zinc/91/75/44/979917544.db2.gz ZGBHSYRKYDVIKD-ZIAGYGMSSA-N 0 1 299.371 0.510 20 30 CCEDMN C#C[C@H](C)[N@@H+](C)CC(=O)N[C@H](C(=O)[O-])[C@H](C)CC ZINC001588368668 983308008 /nfs/dbraw/zinc/30/80/08/983308008.db2.gz QMJFZVPZCFWPPL-SCVCMEIPSA-N 0 1 254.330 0.555 20 30 CCEDMN C#C[C@H](C)[N@H+](C)CC(=O)N[C@H](C(=O)[O-])[C@H](C)CC ZINC001588368668 983308013 /nfs/dbraw/zinc/30/80/13/983308013.db2.gz QMJFZVPZCFWPPL-SCVCMEIPSA-N 0 1 254.330 0.555 20 30 CCEDMN C#CCC[N@H+]1C[C@H]2CN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])C[C@H]2C1 ZINC001588453756 983477435 /nfs/dbraw/zinc/47/74/35/983477435.db2.gz RMYJNQVXHYKJNH-RNJOBUHISA-N 0 1 276.336 0.121 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)C(=C)CCC(=O)[O-])CC1 ZINC001588477374 983516049 /nfs/dbraw/zinc/51/60/49/983516049.db2.gz ONMMFRQMHXDTIO-UHFFFAOYSA-N 0 1 264.325 0.575 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)C(=C)CCC(=O)[O-])CC1 ZINC001588477374 983516051 /nfs/dbraw/zinc/51/60/51/983516051.db2.gz ONMMFRQMHXDTIO-UHFFFAOYSA-N 0 1 264.325 0.575 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1[C@H]2C[C@H](C(=O)[O-])O[C@H]2CC[C@H]1C ZINC001588479284 983518651 /nfs/dbraw/zinc/51/86/51/983518651.db2.gz IGBXYHLSKAPUFR-MROQNXINSA-N 0 1 294.351 0.221 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001588479512 983519977 /nfs/dbraw/zinc/51/99/77/983519977.db2.gz QQFQEYNFTVIYNN-ADEWGFFLSA-N 0 1 264.325 0.167 20 30 CCEDMN C#CCNC(=O)C[NH+]1CCC(c2cc(C(=O)[O-])on2)CC1 ZINC001588483950 983522270 /nfs/dbraw/zinc/52/22/70/983522270.db2.gz NDXUIHUODHVZOH-UHFFFAOYSA-N 0 1 291.307 0.302 20 30 CCEDMN C#CCSCC(=O)N1CC[NH+]([C@@H](C)CC(=O)[O-])CC1 ZINC001588504873 983549237 /nfs/dbraw/zinc/54/92/37/983549237.db2.gz OASZFRBIPYPJKZ-NSHDSACASA-N 0 1 284.381 0.360 20 30 CCEDMN C=C(C)C[N@@H+](CC)CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001588563169 983644591 /nfs/dbraw/zinc/64/45/91/983644591.db2.gz NDOWKGVRTUITBR-MNOVXSKESA-N 0 1 254.330 0.721 20 30 CCEDMN C=C(CC)C[N@H+]1C[C@@H](N2C(=O)CNC2=O)C[C@H]1C(=O)[O-] ZINC001588605812 983694708 /nfs/dbraw/zinc/69/47/08/983694708.db2.gz OESBPHZZROMAPN-UWVGGRQHSA-N 0 1 281.312 0.032 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[N@H+](C[C@@H](C)O)C[C@@H]1C ZINC001588615378 983711893 /nfs/dbraw/zinc/71/18/93/983711893.db2.gz RWURYXXMMUKLFQ-NWDGAFQWSA-N 0 1 284.356 0.321 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1C[C@@H](C(=O)OCC)C2(COC2)C1 ZINC001588663163 983793556 /nfs/dbraw/zinc/79/35/56/983793556.db2.gz CFUXFOMCGWEIRJ-VHSXEESVSA-N 0 1 269.297 0.137 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](CN2CCOCC2)C1 ZINC001588663457 983794949 /nfs/dbraw/zinc/79/49/49/983794949.db2.gz NIHYKAFAFPAIRV-OLZOCXBDSA-N 0 1 268.357 0.670 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1C[C@H](C)OC2(CCOCC2)C1 ZINC001588664274 983799473 /nfs/dbraw/zinc/79/94/73/983799473.db2.gz PEXGRSPWFWNHBU-QWRGUYRKSA-N 0 1 255.314 0.896 20 30 CCEDMN C=C[C@H](C(=O)[O-])N1CCN(C[C@@H]2CCCC[N@@H+]2C)CC1 ZINC001588669678 983812772 /nfs/dbraw/zinc/81/27/72/983812772.db2.gz MPAGUPLQYFNULE-UONOGXRCSA-N 0 1 281.400 0.728 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](CS(C)(=O)=O)C1 ZINC001588729652 983992015 /nfs/dbraw/zinc/99/20/15/983992015.db2.gz MJNHHAMSBMZJJW-GHMZBOCLSA-N 0 1 275.370 0.772 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[NH+]1CCN(C(=O)CN2CCCC2)CC1 ZINC001588729795 983993518 /nfs/dbraw/zinc/99/35/18/983993518.db2.gz NFIOHZGZRINAQA-ZDUSSCGKSA-N 0 1 295.383 0.256 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CC[C@H](N2CC[NH+](C)CC2)[C@H](C)C1 ZINC001588730204 983996399 /nfs/dbraw/zinc/99/63/99/983996399.db2.gz XIVDKNQMPPNGQE-QLFBSQMISA-N 0 1 295.427 0.974 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@H](N2CCN(C)CC2)[C@H](C)C1 ZINC001588730204 983996402 /nfs/dbraw/zinc/99/64/02/983996402.db2.gz XIVDKNQMPPNGQE-QLFBSQMISA-N 0 1 295.427 0.974 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CC[C@H](N2CCN(C)CC2)[C@H](C)C1 ZINC001588730204 983996404 /nfs/dbraw/zinc/99/64/04/983996404.db2.gz XIVDKNQMPPNGQE-QLFBSQMISA-N 0 1 295.427 0.974 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@@H](N2CCN(C)CC2)[C@H](C)C1 ZINC001588730205 983997203 /nfs/dbraw/zinc/99/72/03/983997203.db2.gz XIVDKNQMPPNGQE-RBSFLKMASA-N 0 1 295.427 0.974 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CC[C@@H](N2CCN(C)CC2)[C@H](C)C1 ZINC001588730205 983997207 /nfs/dbraw/zinc/99/72/07/983997207.db2.gz XIVDKNQMPPNGQE-RBSFLKMASA-N 0 1 295.427 0.974 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@@H+]1CCc2c(=O)[nH]n(C)c2C1 ZINC001588731254 984006960 /nfs/dbraw/zinc/00/69/60/984006960.db2.gz GTLWSBPCUDFDGK-VIFPVBQESA-N 0 1 251.286 0.513 20 30 CCEDMN C=CC[C@H](C(=O)OC)[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588731699 984011318 /nfs/dbraw/zinc/01/13/18/984011318.db2.gz NILJIBWRAMUNQD-GXSJLCMTSA-N 0 1 294.311 0.341 20 30 CCEDMN C=CC[C@H](C(=O)OC)[N@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588731699 984011325 /nfs/dbraw/zinc/01/13/25/984011325.db2.gz NILJIBWRAMUNQD-GXSJLCMTSA-N 0 1 294.311 0.341 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[C@](O)(C(=O)[O-])C1 ZINC001588825387 984300886 /nfs/dbraw/zinc/30/08/86/984300886.db2.gz NLVNMWCDFKDWGG-SMDDNHRTSA-N 0 1 282.340 0.097 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC001588838292 984336520 /nfs/dbraw/zinc/33/65/20/984336520.db2.gz DDVVJJIZEIHRTP-SECBINFHSA-N 0 1 295.364 0.933 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@@H](c2ncc(C(=O)[O-])s2)C1 ZINC001588838292 984336527 /nfs/dbraw/zinc/33/65/27/984336527.db2.gz DDVVJJIZEIHRTP-SECBINFHSA-N 0 1 295.364 0.933 20 30 CCEDMN C=CCN1CCN(C[N@H+]2CCSC[C@@H]2CC(=O)[O-])C1=O ZINC001588838910 984342860 /nfs/dbraw/zinc/34/28/60/984342860.db2.gz LSMWSOWXDQALKN-NSHDSACASA-N 0 1 299.396 0.760 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2CCSC[C@@H]2CC(=O)[O-])C1=O ZINC001588838910 984342861 /nfs/dbraw/zinc/34/28/61/984342861.db2.gz LSMWSOWXDQALKN-NSHDSACASA-N 0 1 299.396 0.760 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)C1=O ZINC001588839032 984343787 /nfs/dbraw/zinc/34/37/87/984343787.db2.gz NGONJKXPVNUJKK-FZMZJTMJSA-N 0 1 293.323 0.079 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@]3(CC(C(=O)[O-])=NO3)C2)C1=O ZINC001588839032 984343792 /nfs/dbraw/zinc/34/37/92/984343792.db2.gz NGONJKXPVNUJKK-FZMZJTMJSA-N 0 1 293.323 0.079 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](CCC(=O)N(C)CC(=O)[O-])C1 ZINC001588850114 984378494 /nfs/dbraw/zinc/37/84/94/984378494.db2.gz XUNNESMPQQDNBI-LLVKDONJSA-N 0 1 270.329 0.196 20 30 CCEDMN C=CCOCC[NH+]1CCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588869203 984438881 /nfs/dbraw/zinc/43/88/81/984438881.db2.gz ZDXUYRYYOVYLKA-RYUDHWBXSA-N 0 1 282.340 0.054 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1Cc1ccc(C#N)cn1 ZINC001594524764 986025831 /nfs/dbraw/zinc/02/58/31/986025831.db2.gz CWZGPLDTDZHRAS-LBPRGKRZSA-N 0 1 288.351 0.934 20 30 CCEDMN C[N@@H+](CCNC(=O)Cc1ccccc1CC#N)CC(=O)[O-] ZINC001598490010 992273223 /nfs/dbraw/zinc/27/32/23/992273223.db2.gz FCUCBZFTNQMANP-UHFFFAOYSA-N 0 1 289.335 0.428 20 30 CCEDMN C#CCCN1CCN(c2nccn(CC)c2=O)CC1 ZINC000091008686 349446240 /nfs/dbraw/zinc/44/62/40/349446240.db2.gz ATNKLEGUAKFXLG-UHFFFAOYSA-N 0 1 260.341 0.409 20 30 CCEDMN CN(CC(=O)N1CCOCC1)[C@H]1CC[C@H](C#N)C1 ZINC000407988894 260152468 /nfs/dbraw/zinc/15/24/68/260152468.db2.gz IFXNESXZADHCMY-RYUDHWBXSA-N 0 1 251.330 0.469 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)CC1(C)COC1 ZINC000599571546 361748466 /nfs/dbraw/zinc/74/84/66/361748466.db2.gz KZIZBMAMXQUAAV-UHFFFAOYSA-N 0 1 251.330 0.517 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](C(=O)OC)[C@H]2CCC[C@H]21 ZINC000599653410 361774092 /nfs/dbraw/zinc/77/40/92/361774092.db2.gz NTELLOFHRDKERB-FRRDWIJNSA-N 0 1 280.368 0.952 20 30 CCEDMN CC(C)(CC#N)CNC(=O)NC[C@H]1COCCN1 ZINC000599927125 361831650 /nfs/dbraw/zinc/83/16/50/361831650.db2.gz TXMNYJMYZPBONZ-JTQLQIEISA-N 0 1 254.334 0.214 20 30 CCEDMN C[NH+](C)[C@@H]1[C@H]2CN(C(=O)c3cc([O-])cc(C#N)c3)C[C@H]21 ZINC000599992593 361848778 /nfs/dbraw/zinc/84/87/78/361848778.db2.gz XXNOPBIKWHVEIV-WDNDVIMCSA-N 0 1 271.320 0.896 20 30 CCEDMN N#CC1(C[C@H](O)CNCc2nnc(C3CC3)[nH]2)CC1 ZINC000600738575 362049888 /nfs/dbraw/zinc/04/98/88/362049888.db2.gz JPIOPORFWKDLDR-JTQLQIEISA-N 0 1 261.329 0.826 20 30 CCEDMN CN(Cc1ccc(C#N)cc1)C(=O)CCc1nn[nH]n1 ZINC000600990902 362120615 /nfs/dbraw/zinc/12/06/15/362120615.db2.gz INMSAQGLWYJEKK-UHFFFAOYSA-N 0 1 270.296 0.663 20 30 CCEDMN CCn1ncc(C(=O)NC2(C#N)CCN(C)CC2)c1C ZINC000601043236 362140787 /nfs/dbraw/zinc/14/07/87/362140787.db2.gz XUHPJPGTHUWOLS-UHFFFAOYSA-N 0 1 275.356 0.929 20 30 CCEDMN CN1C(=O)N=NC1CNc1nc(C#N)c(Cl)s1 ZINC000601191029 362171972 /nfs/dbraw/zinc/17/19/72/362171972.db2.gz FHDQNKBVLUCYHP-UHFFFAOYSA-N 0 1 270.705 0.536 20 30 CCEDMN Cc1cc(C#N)cc(NCc2nnc([C@@H]3CCOC3)[nH]2)n1 ZINC000601198294 362174325 /nfs/dbraw/zinc/17/43/25/362174325.db2.gz UKXBJGIMHJTXRM-LLVKDONJSA-N 0 1 284.323 0.918 20 30 CCEDMN Cc1cc(C#N)cc(NCc2n[nH]c([C@@H]3CCOC3)n2)n1 ZINC000601198294 362174332 /nfs/dbraw/zinc/17/43/32/362174332.db2.gz UKXBJGIMHJTXRM-LLVKDONJSA-N 0 1 284.323 0.918 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)CC1(O)CCC1 ZINC000347255663 529831661 /nfs/dbraw/zinc/83/16/61/529831661.db2.gz UTPMDCMRJNJQJH-UHFFFAOYSA-N 0 1 251.330 0.396 20 30 CCEDMN CN([C@@H]1CCC[C@@H]1C#N)S(=O)(=O)c1ncc[nH]1 ZINC000601510679 362296572 /nfs/dbraw/zinc/29/65/72/362296572.db2.gz ZCJRFTXXMLZUJD-RKDXNWHRSA-N 0 1 254.315 0.722 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NCCCc1cn[nH]c1C ZINC000273208441 192075452 /nfs/dbraw/zinc/07/54/52/192075452.db2.gz AEIKECKTWRHLSA-LBPRGKRZSA-N 0 1 266.345 0.887 20 30 CCEDMN Cc1cc(=NC(=O)c2[nH]cnc2C)[nH]n1CCC#N ZINC000602133141 362513240 /nfs/dbraw/zinc/51/32/40/362513240.db2.gz OERLJUTXEVCOEM-UHFFFAOYSA-N 0 1 258.285 0.811 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)NCC1(C#N)CC1 ZINC000602149942 362527032 /nfs/dbraw/zinc/52/70/32/362527032.db2.gz ZESWZVYAJNUIIW-UHFFFAOYSA-N 0 1 265.357 0.765 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC000602198681 362554205 /nfs/dbraw/zinc/55/42/05/362554205.db2.gz KTWCHBUUMLKPBM-VXGBXAGGSA-N 0 1 273.340 0.571 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CC(CC#N)C1 ZINC000602178092 362544343 /nfs/dbraw/zinc/54/43/43/362544343.db2.gz GFOIRRACENUYMM-UHFFFAOYSA-N 0 1 265.357 0.717 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2ncc3n2CCCC3)[nH]n1C ZINC000602352450 362595500 /nfs/dbraw/zinc/59/55/00/362595500.db2.gz MJXXKVJNFRCKBH-UHFFFAOYSA-N 0 1 284.323 0.807 20 30 CCEDMN N#CCc1cccc(C(=O)NC[C@@H]2COCCN2)c1 ZINC000602551143 362675440 /nfs/dbraw/zinc/67/54/40/362675440.db2.gz NHBPTXJFWPNMLE-CYBMUJFWSA-N 0 1 259.309 0.471 20 30 CCEDMN C[C@H](CCC#N)CN1CCN(S(C)(=O)=O)[C@@H](C)C1 ZINC000602585472 362692103 /nfs/dbraw/zinc/69/21/03/362692103.db2.gz SUPRSMVZKPJWDC-NEPJUHHUSA-N 0 1 273.402 0.892 20 30 CCEDMN Cn1cc([C@@H]2CN(Cc3cccc(C#N)n3)C[C@H]2CO)cn1 ZINC000602633224 362722375 /nfs/dbraw/zinc/72/23/75/362722375.db2.gz LVFIOIFSUVVHBG-BBRMVZONSA-N 0 1 297.362 0.895 20 30 CCEDMN C[C@H]1CN(CCO)CCN1Cc1cccc(C#N)n1 ZINC000602686961 362749270 /nfs/dbraw/zinc/74/92/70/362749270.db2.gz SNVMCQULNUWLTK-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN CN(C)CC(=O)N1CCN(CC2(CC#N)CC2)CC1 ZINC000602764814 362788689 /nfs/dbraw/zinc/78/86/89/362788689.db2.gz YRTRZBJFPDYDIY-UHFFFAOYSA-N 0 1 264.373 0.386 20 30 CCEDMN N#CCC1(CN2CCC[C@@]3(C2)NC(=O)NC3=O)CC1 ZINC000602821913 362818429 /nfs/dbraw/zinc/81/84/29/362818429.db2.gz PBHYNWITSMWVTC-ZDUSSCGKSA-N 0 1 262.313 0.354 20 30 CCEDMN N#Cc1ccccc1CN1CCN(Cc2nnc[nH]2)CC1 ZINC000602861400 362845426 /nfs/dbraw/zinc/84/54/26/362845426.db2.gz AAFKVGNDLXPBFE-UHFFFAOYSA-N 0 1 282.351 0.994 20 30 CCEDMN C[C@H]1C[C@H](NS(=O)(=O)c2cncc(C#N)c2)CCN1C ZINC000312607283 137077298 /nfs/dbraw/zinc/07/72/98/137077298.db2.gz FLGXGCGZBZVYSB-CMPLNLGQSA-N 0 1 294.380 0.714 20 30 CCEDMN Cc1cc(C#N)cc(N2CCN(CCO)[C@H](C)C2)n1 ZINC000343053332 137112407 /nfs/dbraw/zinc/11/24/07/137112407.db2.gz SHCCHCNPCNTTNF-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCc2ccccc2)CC1 ZINC000025355798 348090368 /nfs/dbraw/zinc/09/03/68/348090368.db2.gz IVQHEMYALIQPQC-UHFFFAOYSA-N 0 1 285.391 0.596 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)[C@@H](C)O1 ZINC000602884171 362863428 /nfs/dbraw/zinc/86/34/28/362863428.db2.gz VNTOYGDCPFIWKU-NQMVMOMDSA-N 0 1 263.301 0.401 20 30 CCEDMN C[C@@H]1C[C@@H](CO)CN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602888393 362866901 /nfs/dbraw/zinc/86/69/01/362866901.db2.gz UKZDEZYRDPPXAC-NXEZZACHSA-N 0 1 277.328 0.196 20 30 CCEDMN CCO[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H]1C ZINC000602879978 362860556 /nfs/dbraw/zinc/86/05/56/362860556.db2.gz IGPRBUWGKVBYET-ZYHUDNBSSA-N 0 1 291.355 0.992 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCCC[C@@H]1C(N)=O ZINC000042404894 348279033 /nfs/dbraw/zinc/27/90/33/348279033.db2.gz ANQXUXQMBDXIEN-LLVKDONJSA-N 0 1 266.345 0.088 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+](C)CCCC(=O)[O-] ZINC000042717394 348291886 /nfs/dbraw/zinc/29/18/86/348291886.db2.gz AWACISAWXXMBHX-UHFFFAOYSA-N 0 1 254.330 0.984 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000045859754 348344143 /nfs/dbraw/zinc/34/41/43/348344143.db2.gz HQYDQYXSJPZBGQ-UHFFFAOYSA-N 0 1 285.303 0.560 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](OC)C[C@]1(C)CO ZINC000602965045 362912269 /nfs/dbraw/zinc/91/22/69/362912269.db2.gz YHPHVMITYVISHF-GXTWGEPZSA-N 0 1 283.372 0.220 20 30 CCEDMN C=C(C)CN(CC)CC(=O)N1CCN(C(C)=O)CC1 ZINC000052890387 348516417 /nfs/dbraw/zinc/51/64/17/348516417.db2.gz CXEBACGIYBTPMA-UHFFFAOYSA-N 0 1 267.373 0.575 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](CNC(C)=O)C1 ZINC000067418104 348774040 /nfs/dbraw/zinc/77/40/40/348774040.db2.gz FKFQQAKZWPBUBE-GFCCVEGCSA-N 0 1 253.346 0.137 20 30 CCEDMN C[C@@H](CNS(=O)(=O)N(C)CCC#N)N(C)C1CC1 ZINC000069129248 348832597 /nfs/dbraw/zinc/83/25/97/348832597.db2.gz IFRBCPXQDOEUFV-JTQLQIEISA-N 0 1 274.390 0.149 20 30 CCEDMN N#CCN1CCN(C(=O)c2cccc3n[nH]cc32)CC1 ZINC000073099851 348942937 /nfs/dbraw/zinc/94/29/37/348942937.db2.gz JPVMHSWUYLJPCM-UHFFFAOYSA-N 0 1 269.308 0.844 20 30 CCEDMN CS(=O)(=O)N1CCCN(CCCSCC#N)CC1 ZINC000074981137 349016455 /nfs/dbraw/zinc/01/64/55/349016455.db2.gz MNTRCCUPHXDXSZ-UHFFFAOYSA-N 0 1 291.442 0.601 20 30 CCEDMN N#Cc1ccc(OCC(=O)NCc2cnc[nH]2)cc1 ZINC000088751191 349371160 /nfs/dbraw/zinc/37/11/60/349371160.db2.gz MAKHPJQMCHYZRM-UHFFFAOYSA-N 0 1 256.265 0.977 20 30 CCEDMN CCc1cc(C(=O)NC2(C#N)CCN(C)CC2)n(C)n1 ZINC000090126219 349426939 /nfs/dbraw/zinc/42/69/39/349426939.db2.gz FSSXWUCMFKFZOF-UHFFFAOYSA-N 0 1 275.356 0.700 20 30 CCEDMN N#Cc1cc(F)cc(NC(=O)NCC[N@@H+]2CC[C@@H](O)C2)c1 ZINC000603063806 362949946 /nfs/dbraw/zinc/94/99/46/362949946.db2.gz CVPDEODCCXHDTC-CYBMUJFWSA-N 0 1 292.314 0.885 20 30 CCEDMN N#Cc1cc(F)cc(NC(=O)NCCN2CC[C@@H](O)C2)c1 ZINC000603063806 362949951 /nfs/dbraw/zinc/94/99/51/362949951.db2.gz CVPDEODCCXHDTC-CYBMUJFWSA-N 0 1 292.314 0.885 20 30 CCEDMN CC[C@H](CO)N1CCN(c2cnc(C#N)cn2)CC1 ZINC000125865298 350132276 /nfs/dbraw/zinc/13/22/76/350132276.db2.gz LIQYMSKUPQWICR-GFCCVEGCSA-N 0 1 261.329 0.241 20 30 CCEDMN C[C@H](CNC(=O)c1ccc(C#N)[nH]1)N1CCN(C)CC1 ZINC000133492287 350337899 /nfs/dbraw/zinc/33/78/99/350337899.db2.gz HVGBDOABMCQMFO-LLVKDONJSA-N 0 1 275.356 0.252 20 30 CCEDMN CCC[C@@H](NC(=O)c1cc(C#N)c[nH]1)c1nn[nH]n1 ZINC000136648755 350425426 /nfs/dbraw/zinc/42/54/26/350425426.db2.gz FBOBWGPSRSGCCA-MRVPVSSYSA-N 0 1 259.273 0.671 20 30 CCEDMN N#Cc1ccc2ncc(CN3CC[C@@H](C(N)=O)C3)n2c1 ZINC000157121683 350639720 /nfs/dbraw/zinc/63/97/20/350639720.db2.gz FAXJKQJCYGTCNZ-LLVKDONJSA-N 0 1 269.308 0.513 20 30 CCEDMN CNC(C)(C)c1cn(CC(=O)N[C@@](C)(C#N)C(C)C)nn1 ZINC000603173438 362983224 /nfs/dbraw/zinc/98/32/24/362983224.db2.gz WFQQBKAYNCITDB-AWEZNQCLSA-N 0 1 292.387 0.787 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000168809240 350831575 /nfs/dbraw/zinc/83/15/75/350831575.db2.gz HUPZIWJJYRUSFR-SECBINFHSA-N 0 1 285.344 0.926 20 30 CCEDMN CCNCc1cn([C@H](C)C(=O)N(C)CCC#N)nn1 ZINC000603189475 362991273 /nfs/dbraw/zinc/99/12/73/362991273.db2.gz SBEBJPYGTPUYBE-SNVBAGLBSA-N 0 1 264.333 0.321 20 30 CCEDMN CCN(CCC#N)C(=O)Cn1cc(C(C)(C)NC)nn1 ZINC000603207846 363000857 /nfs/dbraw/zinc/00/08/57/363000857.db2.gz CXXCXUOSVKJQCU-UHFFFAOYSA-N 0 1 278.360 0.495 20 30 CCEDMN CN(Cc1nnc[nH]1)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000174823215 351105736 /nfs/dbraw/zinc/10/57/36/351105736.db2.gz MIZGYBKEZLNLHB-CYBMUJFWSA-N 0 1 296.334 0.914 20 30 CCEDMN CCNCc1cn([C@@H](C)C(=O)NC2(C#N)CCC2)nn1 ZINC000603239374 363018645 /nfs/dbraw/zinc/01/86/45/363018645.db2.gz GYGTUQYOQGOMJS-JTQLQIEISA-N 0 1 276.344 0.511 20 30 CCEDMN C=CCNC(=O)c1ccccc1NC(=O)[C@H]1CNCCO1 ZINC000187239443 351534423 /nfs/dbraw/zinc/53/44/23/351534423.db2.gz VSNYQSIUUYSFIW-CYBMUJFWSA-N 0 1 289.335 0.529 20 30 CCEDMN N#Cc1cc(C(=O)NCCCCN2CCOCC2)ccn1 ZINC000192719526 351795604 /nfs/dbraw/zinc/79/56/04/351795604.db2.gz LHJNHSJIPOWIOH-UHFFFAOYSA-N 0 1 288.351 0.795 20 30 CCEDMN CN(C[C@@H]1CCCN1C)S(=O)(=O)c1ccc(C#N)nc1 ZINC000122079523 187013840 /nfs/dbraw/zinc/01/38/40/187013840.db2.gz MMRWSVPPRODXOG-LBPRGKRZSA-N 0 1 294.380 0.668 20 30 CCEDMN C[C@@H]1CCNC(=O)[C@H]1NCC(=O)Nc1ccc(C#N)cc1 ZINC000193660765 351839617 /nfs/dbraw/zinc/83/96/17/351839617.db2.gz WAUBPVPVISIESH-YGRLFVJLSA-N 0 1 286.335 0.611 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1cccnc1C#N ZINC000235115223 352180319 /nfs/dbraw/zinc/18/03/19/352180319.db2.gz MYORIXBFFTVSAZ-VIFPVBQESA-N 0 1 268.342 0.182 20 30 CCEDMN CCN1CCC[C@@H]1CN(C)S(=O)(=O)[C@H](C)C#N ZINC000252582635 352519935 /nfs/dbraw/zinc/51/99/35/352519935.db2.gz VVVUHUXYKYOEQP-GHMZBOCLSA-N 0 1 259.375 0.644 20 30 CCEDMN N#Cc1ccc(CNC(=O)CN2CC[C@@H](CO)C2)cc1 ZINC000265891232 352670074 /nfs/dbraw/zinc/67/00/74/352670074.db2.gz RQMHILKITOKKNW-CQSZACIVSA-N 0 1 273.336 0.489 20 30 CCEDMN CCN(CCCO)CC(=O)NCc1ccc(C#N)cc1 ZINC000266610766 352713172 /nfs/dbraw/zinc/71/31/72/352713172.db2.gz ZWXLWKCKASLPQS-UHFFFAOYSA-N 0 1 275.352 0.879 20 30 CCEDMN COCCN1CCCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000267203629 352751250 /nfs/dbraw/zinc/75/12/50/352751250.db2.gz QZHJURFIFAADLW-UHFFFAOYSA-N 0 1 276.340 0.681 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)nc2)[C@@H](C)CN1CCO ZINC000418994895 234282131 /nfs/dbraw/zinc/28/21/31/234282131.db2.gz CEPFZDCVDQGWSQ-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1cc(C#N)ccn1 ZINC000419006310 234285941 /nfs/dbraw/zinc/28/59/41/234285941.db2.gz PXEFVFAWAXKQTP-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@H](O)CN1CCN(c2nc3ccccn3c2C#N)CC1 ZINC000275052779 353181565 /nfs/dbraw/zinc/18/15/65/353181565.db2.gz UDWYIUPEMCHXFV-LBPRGKRZSA-N 0 1 285.351 0.709 20 30 CCEDMN COc1cc(CNC[C@H]2CC(C(N)=O)=NO2)ccc1C#N ZINC000282482558 353428246 /nfs/dbraw/zinc/42/82/46/353428246.db2.gz WZTQRKNSTCFMAI-LLVKDONJSA-N 0 1 288.307 0.287 20 30 CCEDMN N#Cc1ccccc1N1CCN(Cc2c[nH]nn2)CC1 ZINC000284411144 353555153 /nfs/dbraw/zinc/55/51/53/353555153.db2.gz XCXHENGUSUKKGP-UHFFFAOYSA-N 0 1 268.324 0.999 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(CC(C)(C)O)C[C@H]2C)n1 ZINC000286797875 353611371 /nfs/dbraw/zinc/61/13/71/353611371.db2.gz WEOUDJZAFUSETK-GFCCVEGCSA-N 0 1 289.383 0.938 20 30 CCEDMN CCc1nn(Cc2c[nH]nn2)c(=O)c(C#N)c1CC ZINC000287671383 353660547 /nfs/dbraw/zinc/66/05/47/353660547.db2.gz YDPSYAXMYPWIFD-UHFFFAOYSA-N 0 1 258.285 0.406 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H](C)CN2CCN(C)CC2)n1 ZINC000287583571 353655687 /nfs/dbraw/zinc/65/56/87/353655687.db2.gz XOKNBEZNZVRGDS-GFCCVEGCSA-N 0 1 274.372 0.705 20 30 CCEDMN CN1CCC[C@H]1CNC(=O)C(=O)Nc1sccc1C#N ZINC000293530522 353818449 /nfs/dbraw/zinc/81/84/49/353818449.db2.gz WYXFLPDHHFUAOD-JTQLQIEISA-N 0 1 292.364 0.769 20 30 CCEDMN C#CCNC(=O)CCN[C@]1(CO)CCOc2ccccc21 ZINC000294106273 353868900 /nfs/dbraw/zinc/86/89/00/353868900.db2.gz MPHBEXDOAAVVKP-INIZCTEOSA-N 0 1 288.347 0.386 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@H](CNC(C)=O)C1 ZINC000299369060 354011581 /nfs/dbraw/zinc/01/15/81/354011581.db2.gz YDXMRZVANNRUTA-YPMHNXCESA-N 0 1 267.373 0.525 20 30 CCEDMN Cn1ccnc1[C@@H](NCCn1cnc(C#N)n1)C1CC1 ZINC000556919079 291017019 /nfs/dbraw/zinc/01/70/19/291017019.db2.gz CSLPZRBWSFECRJ-LBPRGKRZSA-N 0 1 271.328 0.624 20 30 CCEDMN C=CCN(CCCS(=O)(=O)NC)CCOC ZINC000336662042 355130894 /nfs/dbraw/zinc/13/08/94/355130894.db2.gz CCJDSDCZJMTRMR-UHFFFAOYSA-N 0 1 250.364 0.060 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCOCCOCC)C1=O ZINC000337205439 355283758 /nfs/dbraw/zinc/28/37/58/355283758.db2.gz KONAGIVCTOJFKO-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN CCC(=O)N[C@H]1CCCN(CCOCCC#N)C1 ZINC000338946180 355458301 /nfs/dbraw/zinc/45/83/01/355458301.db2.gz UIZZFJNPNWYMOO-LBPRGKRZSA-N 0 1 253.346 0.907 20 30 CCEDMN N#Cc1ccsc1C(=O)NC1(c2nn[nH]n2)CC1 ZINC000348277880 355901832 /nfs/dbraw/zinc/90/18/32/355901832.db2.gz AKZUBBGTNYXTHE-UHFFFAOYSA-N 0 1 260.282 0.552 20 30 CCEDMN C[C@H](CN1CCOCC1)NCc1nc(C#N)cs1 ZINC000352851741 356096503 /nfs/dbraw/zinc/09/65/03/356096503.db2.gz IYGJINSCAAEHHB-SNVBAGLBSA-N 0 1 266.370 0.825 20 30 CCEDMN N#Cc1cccc(-n2ccc(C(=O)NCc3nn[nH]n3)n2)c1 ZINC000619612832 366298943 /nfs/dbraw/zinc/29/89/43/366298943.db2.gz CQNQEOKRERIWSR-UHFFFAOYSA-N 0 1 294.278 0.187 20 30 CCEDMN N#Cc1ccc(CCC(=O)NCc2nn[nH]n2)cc1 ZINC000609531931 363502433 /nfs/dbraw/zinc/50/24/33/363502433.db2.gz BTGFVOWOLURNBO-UHFFFAOYSA-N 0 1 256.269 0.320 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(CCCC(=O)NC(N)=O)CC1 ZINC000609539237 363503815 /nfs/dbraw/zinc/50/38/15/363503815.db2.gz WDCPRRPTMBGBKJ-LBPRGKRZSA-N 0 1 295.387 0.127 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CN3CCN2CCC3)c[nH]1 ZINC000619873370 366368237 /nfs/dbraw/zinc/36/82/37/366368237.db2.gz HNYURQKVYGKAPX-ZDUSSCGKSA-N 0 1 273.340 0.006 20 30 CCEDMN COCC[N@H+]1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000610490382 363566231 /nfs/dbraw/zinc/56/62/31/363566231.db2.gz HJYGKSKLLBMJQF-GFCCVEGCSA-N 0 1 276.340 0.727 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000610490382 363566236 /nfs/dbraw/zinc/56/62/36/363566236.db2.gz HJYGKSKLLBMJQF-GFCCVEGCSA-N 0 1 276.340 0.727 20 30 CCEDMN C[C@@H]1CCCC[N@H+]1CCNC(=O)N=c1[n-]n(C)cc1C#N ZINC000610527820 363572434 /nfs/dbraw/zinc/57/24/34/363572434.db2.gz MLMHMJBSQCOTQO-LLVKDONJSA-N 0 1 290.371 0.710 20 30 CCEDMN C[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)C(=O)NC(C)(C)C ZINC000610527988 363572798 /nfs/dbraw/zinc/57/27/98/363572798.db2.gz DQJYRZQYANGQNW-QMMMGPOBSA-N 0 1 292.343 0.138 20 30 CCEDMN CCO[C@@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610527790 363572887 /nfs/dbraw/zinc/57/28/87/363572887.db2.gz JIJMQSHXUGEAMW-LLVKDONJSA-N 0 1 277.328 0.746 20 30 CCEDMN C[C@@H](C(=O)N1CCN(c2ncccc2C#N)CC1)N(C)C ZINC000610541522 363574127 /nfs/dbraw/zinc/57/41/27/363574127.db2.gz ZPFASXXSVSXVKE-LBPRGKRZSA-N 0 1 287.367 0.552 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC(=O)OC(C)(C)C)[nH]1 ZINC000610565539 363578902 /nfs/dbraw/zinc/57/89/02/363578902.db2.gz YLHOIOQCCHSVGL-UHFFFAOYSA-N 0 1 279.300 0.177 20 30 CCEDMN C=CCOCCNC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000368193798 357328381 /nfs/dbraw/zinc/32/83/81/357328381.db2.gz FRROWBPELSACFT-SNVBAGLBSA-N 0 1 264.329 0.769 20 30 CCEDMN N#CCCCNCc1ccc(S(N)(=O)=O)s1 ZINC000381791877 357711264 /nfs/dbraw/zinc/71/12/64/357711264.db2.gz AEWBDGJGIOMVLE-UHFFFAOYSA-N 0 1 259.356 0.789 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2CCCCN2CCO)cn1 ZINC000452387324 236242916 /nfs/dbraw/zinc/24/29/16/236242916.db2.gz GWUHPLZDZBXTNV-AWEZNQCLSA-N 0 1 288.351 0.530 20 30 CCEDMN C=CCSCCNCc1cn(CC(=O)OC)nn1 ZINC000294695461 199139040 /nfs/dbraw/zinc/13/90/40/199139040.db2.gz ALSBJBZVVOTYFC-UHFFFAOYSA-N 0 1 270.358 0.460 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](CO)[C@H]1C ZINC000488749531 358289166 /nfs/dbraw/zinc/28/91/66/358289166.db2.gz JCNZNWGWEWUKEV-UPJWGTAASA-N 0 1 267.373 0.697 20 30 CCEDMN CN1CCN(C[C@H](O)COc2cc(F)cc(C#N)c2)CC1 ZINC000569978118 358811884 /nfs/dbraw/zinc/81/18/84/358811884.db2.gz XRNJFCDMENNCAK-AWEZNQCLSA-N 0 1 293.342 0.684 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H](CO)C(C)(C)C)[nH]1 ZINC000610923069 363631652 /nfs/dbraw/zinc/63/16/52/363631652.db2.gz USYSIZYNIQAZKU-SECBINFHSA-N 0 1 265.317 0.242 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCSC[C@@H]2CCO)[nH]1 ZINC000610876659 363625238 /nfs/dbraw/zinc/62/52/38/363625238.db2.gz LLIOZUONDGMNIV-JTQLQIEISA-N 0 1 295.368 0.045 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3ccc(C#N)nc3)[C@H]2C1 ZINC000589926664 359410320 /nfs/dbraw/zinc/41/03/20/359410320.db2.gz YEODDHYORBIKDW-MFKMUULPSA-N 0 1 292.364 0.278 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CCOC[C@@H]2C#N)C1 ZINC000590435072 359461285 /nfs/dbraw/zinc/46/12/85/359461285.db2.gz AAPDKMBYMRFVTP-ADEWGFFLSA-N 0 1 274.324 0.827 20 30 CCEDMN CC[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@@H]1CCCO1 ZINC000611127429 363662370 /nfs/dbraw/zinc/66/23/70/363662370.db2.gz DPVOMLQDILNVQY-MNOVXSKESA-N 0 1 277.328 0.793 20 30 CCEDMN C[C@@H]1[C@H](O)CCN1CC(=O)NCc1ccc(C#N)cc1 ZINC000590762383 359520013 /nfs/dbraw/zinc/52/00/13/359520013.db2.gz RYGXLWPXBRMAOY-BXUZGUMPSA-N 0 1 273.336 0.630 20 30 CCEDMN N#Cc1cc(C(=O)Nc2n[nH]c3ncnn23)ccc1F ZINC000590551961 359483955 /nfs/dbraw/zinc/48/39/55/359483955.db2.gz ADWCFEVYWCSYHM-UHFFFAOYSA-N 0 1 271.215 0.715 20 30 CCEDMN C[C@H]1CCC[C@@]1(O)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000590819465 359526957 /nfs/dbraw/zinc/52/69/57/359526957.db2.gz KMYKZNDQSGVWEU-TVQRCGJNSA-N 0 1 277.328 0.386 20 30 CCEDMN CO[C@@H]([C@H](C)NC(=O)N=c1[nH]n(C)cc1C#N)C1CC1 ZINC000590819768 359527324 /nfs/dbraw/zinc/52/73/24/359527324.db2.gz UWAPRXDZWYUAPQ-KWQFWETISA-N 0 1 277.328 0.649 20 30 CCEDMN CN1CCC[C@@H](NC(=O)N2CC[C@@](O)(CC#N)C2)C1 ZINC000591926459 359703663 /nfs/dbraw/zinc/70/36/63/359703663.db2.gz YMTDGJYGAKHZNP-YPMHNXCESA-N 0 1 266.345 0.141 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(Cc2ccncc2C#N)C1 ZINC000592125389 359760274 /nfs/dbraw/zinc/76/02/74/359760274.db2.gz VSFUINYVUYBSKQ-CQSZACIVSA-N 0 1 288.351 0.490 20 30 CCEDMN N#CC[C@@]1(O)CCN(Cc2ccc(C(N)=O)cc2)C1 ZINC000592148289 359767470 /nfs/dbraw/zinc/76/74/70/359767470.db2.gz XLUDFCKKCQOKTH-CQSZACIVSA-N 0 1 259.309 0.636 20 30 CCEDMN CC(C)N1CCC[C@H](N2CC[C@](O)(CC#N)C2)C1=O ZINC000592150585 359768835 /nfs/dbraw/zinc/76/88/35/359768835.db2.gz GYXKTNMSZCPVPU-GXTWGEPZSA-N 0 1 265.357 0.736 20 30 CCEDMN C=C[C@@H](O)C(=O)NC[C@H]1CCN1Cc1ccccc1 ZINC000620785057 366568229 /nfs/dbraw/zinc/56/82/29/366568229.db2.gz WZCUJFGEGOUOJO-ZIAGYGMSSA-N 0 1 260.337 0.924 20 30 CCEDMN N#CCC[C@H](C#N)CNC[C@H](O)COCC1CC1 ZINC000592432219 359839761 /nfs/dbraw/zinc/83/97/61/359839761.db2.gz QSYMCGXRWNYARN-OLZOCXBDSA-N 0 1 251.330 0.807 20 30 CCEDMN CC(C)COC[C@@H](O)CN1CCN(CCC#N)CC1 ZINC000611174897 363671428 /nfs/dbraw/zinc/67/14/28/363671428.db2.gz PCZFVTCEQFTHBV-AWEZNQCLSA-N 0 1 269.389 0.551 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2noc(C3CC3)n2)[nH]1 ZINC000611201534 363679725 /nfs/dbraw/zinc/67/97/25/363679725.db2.gz QNSXLHHDKVWIPD-UHFFFAOYSA-N 0 1 287.283 0.296 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@H](C3CC3)C2)[nH]1 ZINC000611201499 363680763 /nfs/dbraw/zinc/68/07/63/363680763.db2.gz COAXTUHJCJUTEE-JTQLQIEISA-N 0 1 259.313 0.977 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H](C)OC[C@@H]2CCCO2)[nH]n1C ZINC000592647329 359907901 /nfs/dbraw/zinc/90/79/01/359907901.db2.gz TYCRTZQIUUYQBX-QWRGUYRKSA-N 0 1 292.339 0.545 20 30 CCEDMN Cc1cc(C(=O)N=c2[nH]n(C)c(C)c2C#N)nn1C ZINC000592646900 359907943 /nfs/dbraw/zinc/90/79/43/359907943.db2.gz SEEGKCTZANNPID-UHFFFAOYSA-N 0 1 258.285 0.316 20 30 CCEDMN C=CCOCCCC(=O)N1CCCNC[C@@H]1C(=O)OC ZINC000592556433 359886198 /nfs/dbraw/zinc/88/61/98/359886198.db2.gz SXSGSYYUGAOGDF-GFCCVEGCSA-N 0 1 284.356 0.333 20 30 CCEDMN C[C@H]1C[C@H](CNC(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000611303354 363704697 /nfs/dbraw/zinc/70/46/97/363704697.db2.gz XUIRMNIIPUXGBN-VHSXEESVSA-N 0 1 277.328 0.650 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCc2c[nH]nc2C1 ZINC000611302942 363705238 /nfs/dbraw/zinc/70/52/38/363705238.db2.gz SLQSWJQLILHFCP-UHFFFAOYSA-N 0 1 261.329 0.530 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@@H+]1CCc2cn[nH]c2C1 ZINC000611302942 363705241 /nfs/dbraw/zinc/70/52/41/363705241.db2.gz SLQSWJQLILHFCP-UHFFFAOYSA-N 0 1 261.329 0.530 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCc2c[nH]nc2C1 ZINC000611302942 363705246 /nfs/dbraw/zinc/70/52/46/363705246.db2.gz SLQSWJQLILHFCP-UHFFFAOYSA-N 0 1 261.329 0.530 20 30 CCEDMN CCCc1cc(C(=O)N2CC[C@@](O)(CC#N)C2)n[nH]1 ZINC000592838495 359949335 /nfs/dbraw/zinc/94/93/35/359949335.db2.gz KPCWRVANDHZRSN-ZDUSSCGKSA-N 0 1 262.313 0.853 20 30 CCEDMN CC[C@@H](C#N)C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000593476466 360095280 /nfs/dbraw/zinc/09/52/80/360095280.db2.gz ZVHGHUPJHIDDDB-KBPBESRZSA-N 0 1 294.399 0.059 20 30 CCEDMN Cc1ccc(C#N)c(N2CCN(Cc3nnc[nH]3)CC2)n1 ZINC000593507468 360102470 /nfs/dbraw/zinc/10/24/70/360102470.db2.gz JBPSBSIRCYHQKM-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000593508952 360103255 /nfs/dbraw/zinc/10/32/55/360103255.db2.gz XKLZOUATEMHTOY-QWHCGFSZSA-N 0 1 265.357 0.717 20 30 CCEDMN CN(Cc1cccc(C#N)c1)Cc1cc(=O)n(C)c(=O)n1C ZINC000298283751 200382560 /nfs/dbraw/zinc/38/25/60/200382560.db2.gz PCCKIMQSFSFMHH-UHFFFAOYSA-N 0 1 298.346 0.588 20 30 CCEDMN CC[C@@H](C#N)C(=O)Nc1ccc(O)c(S(=O)(=O)NC)c1 ZINC000593894559 360197275 /nfs/dbraw/zinc/19/72/75/360197275.db2.gz QJJZPWVLPNXGTB-QMMMGPOBSA-N 0 1 297.336 0.789 20 30 CCEDMN C[C@@H](NCC(=O)N1CCNC1=O)c1cccc(C#N)c1 ZINC000611387566 363712580 /nfs/dbraw/zinc/71/25/80/363712580.db2.gz PYTBXMWXOLRBNT-SNVBAGLBSA-N 0 1 272.308 0.761 20 30 CCEDMN N#Cc1cnc(N2CCN3C[C@H](O)C[C@H]3C2)c(F)c1 ZINC000594603142 360339133 /nfs/dbraw/zinc/33/91/33/360339133.db2.gz LHSXXMNZELMNCG-WDEREUQCSA-N 0 1 262.288 0.348 20 30 CCEDMN CC1(C)CNCCN1S(=O)(=O)c1ccc(C#N)o1 ZINC000595107408 360436576 /nfs/dbraw/zinc/43/65/76/360436576.db2.gz CPKSYEDZYISYSO-UHFFFAOYSA-N 0 1 269.326 0.524 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC(C)(C#N)CC2)[nH]1 ZINC000611557518 363737627 /nfs/dbraw/zinc/73/76/27/363737627.db2.gz SAKVWKCVIHDCAT-UHFFFAOYSA-N 0 1 272.312 0.871 20 30 CCEDMN COc1cc(CN(C)CCCc2[nH]nc(N)c2C#N)on1 ZINC000595399956 360520210 /nfs/dbraw/zinc/52/02/10/360520210.db2.gz ZCVLTSWGJIJFMO-UHFFFAOYSA-N 0 1 290.327 0.755 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)CC2(C#N)CC2)CCN1 ZINC000595411972 360525912 /nfs/dbraw/zinc/52/59/12/360525912.db2.gz JOXNWWVMVBQFMJ-LLVKDONJSA-N 0 1 271.386 0.550 20 30 CCEDMN N#Cc1cccc(N2CCN(C[C@@H]3CNC(=O)C3)CC2)n1 ZINC000595435856 360532329 /nfs/dbraw/zinc/53/23/29/360532329.db2.gz WCCRFBNWAVMLKD-LBPRGKRZSA-N 0 1 285.351 0.211 20 30 CCEDMN CCCN1CC[C@@H](NS(=O)(=O)N(C)C[C@H](C)C#N)C1 ZINC000595357494 360506753 /nfs/dbraw/zinc/50/67/53/360506753.db2.gz ZVSHAXPZSSJBHZ-VXGBXAGGSA-N 0 1 288.417 0.397 20 30 CCEDMN COC(=O)[C@@H]1OCC[C@@H]1NCc1ccc(C#N)cc1 ZINC000595665222 360613624 /nfs/dbraw/zinc/61/36/24/360613624.db2.gz VRJMAQAEVRNCQJ-QWHCGFSZSA-N 0 1 260.293 0.978 20 30 CCEDMN C=CCN([C@H](C)COC)[C@H](C)C(=O)NC(=O)NCC ZINC000595646418 360604534 /nfs/dbraw/zinc/60/45/34/360604534.db2.gz JBEYVTAMZAYXLB-GHMZBOCLSA-N 0 1 271.361 0.744 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000595863928 360683025 /nfs/dbraw/zinc/68/30/25/360683025.db2.gz KSERGWSTFYNPJG-LOWVWBTDSA-N 0 1 253.346 0.566 20 30 CCEDMN CCN1CCN([C@@H]2CCN(c3c(C#N)cnn3C)C2)CC1 ZINC000596120912 360802565 /nfs/dbraw/zinc/80/25/65/360802565.db2.gz FKJAVADHWPRNBY-CQSZACIVSA-N 0 1 288.399 0.508 20 30 CCEDMN Cn1ncc(C#N)c1N1CCC[C@H](CN2CCOCC2)C1 ZINC000596220981 360840140 /nfs/dbraw/zinc/84/01/40/360840140.db2.gz HOMNAVQSITUCDI-CYBMUJFWSA-N 0 1 289.383 0.840 20 30 CCEDMN N#Cc1cnnc(N2CCC[C@@H](c3n[nH]c(=O)o3)C2)c1 ZINC000596224889 360842273 /nfs/dbraw/zinc/84/22/73/360842273.db2.gz JSPNIXGSIXXKKQ-SECBINFHSA-N 0 1 272.268 0.821 20 30 CCEDMN N#CC1(CNC(=O)c2cc(S(N)(=O)=O)ccc2O)CC1 ZINC000597611249 361162197 /nfs/dbraw/zinc/16/21/97/361162197.db2.gz XJGQVWWPIWFMNC-UHFFFAOYSA-N 0 1 295.320 0.073 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2CN3CCN2CCC3)cn1 ZINC000597625611 361166948 /nfs/dbraw/zinc/16/69/48/361166948.db2.gz PRJKJGUEVDLDRE-CQSZACIVSA-N 0 1 285.351 0.073 20 30 CCEDMN COCc1nc(CNC(=O)c2ccc(C#N)n2C)n[nH]1 ZINC000598049961 361323779 /nfs/dbraw/zinc/32/37/79/361323779.db2.gz KPXAYSXMWCNBGM-UHFFFAOYSA-N 0 1 274.284 0.091 20 30 CCEDMN COCc1nnc(CNC(=O)c2ccc(C#N)n2C)[nH]1 ZINC000598049961 361323784 /nfs/dbraw/zinc/32/37/84/361323784.db2.gz KPXAYSXMWCNBGM-UHFFFAOYSA-N 0 1 274.284 0.091 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C(C)(C)n2cccn2)CC1 ZINC000598670224 361478517 /nfs/dbraw/zinc/47/85/17/361478517.db2.gz UIXYCSGWZNRPGF-UHFFFAOYSA-N 0 1 275.356 0.722 20 30 CCEDMN CCOCCN1CCN(C[C@@H](O)CC(C)(C)C#N)CC1 ZINC000598590824 361451249 /nfs/dbraw/zinc/45/12/49/361451249.db2.gz UJEHWGUCJAXNJS-AWEZNQCLSA-N 0 1 283.416 0.941 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCN(c2cccnn2)CC1 ZINC000598591296 361451457 /nfs/dbraw/zinc/45/14/57/361451457.db2.gz YTLUYHHYLXDWTL-CYBMUJFWSA-N 0 1 289.383 0.899 20 30 CCEDMN C=C[C@@](C)(O)C(=O)Nc1nc(C(=O)OCC)c[nH]1 ZINC000598730176 361499570 /nfs/dbraw/zinc/49/95/70/361499570.db2.gz ZVTQCLKMONSZHL-LLVKDONJSA-N 0 1 253.258 0.462 20 30 CCEDMN CCN1CCN(CC(C)(C)NC(=O)[C@@H](C)C#N)CC1 ZINC000598742952 361506217 /nfs/dbraw/zinc/50/62/17/361506217.db2.gz OOJBGNZQVVFQMS-LBPRGKRZSA-N 0 1 266.389 0.678 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CNCc1ccc(C(N)=O)o1 ZINC000598720351 361496203 /nfs/dbraw/zinc/49/62/03/361496203.db2.gz OICMLXQYGKCBIS-VIFPVBQESA-N 0 1 265.313 0.769 20 30 CCEDMN COC(=O)c1cc(S(=O)(=O)NCC2(CC#N)CC2)on1 ZINC000599302428 361643092 /nfs/dbraw/zinc/64/30/92/361643092.db2.gz JLULCKVQTAWIIJ-UHFFFAOYSA-N 0 1 299.308 0.433 20 30 CCEDMN CN(C)C(=O)[C@@H]1CCN(Cc2ccc(C#N)cn2)C1 ZINC000564666228 291292676 /nfs/dbraw/zinc/29/26/76/291292676.db2.gz NCFLNVSCMRFVPQ-GFCCVEGCSA-N 0 1 258.325 0.863 20 30 CCEDMN C=CCCOCCNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000613016141 364088030 /nfs/dbraw/zinc/08/80/30/364088030.db2.gz ALPAGJCHPIKTPR-JTQLQIEISA-N 0 1 264.329 0.934 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCCCc1nc[nH]n1 ZINC000614365613 364585416 /nfs/dbraw/zinc/58/54/16/364585416.db2.gz HURQGTCXKRSNDM-LLVKDONJSA-N 0 1 279.344 0.324 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2nn[nH]n2)cc1Cl ZINC000614623411 364682944 /nfs/dbraw/zinc/68/29/44/364682944.db2.gz MXUQZZAWBUBQMO-UHFFFAOYSA-N 0 1 262.660 0.655 20 30 CCEDMN C=CC[C@@H]1CCCN(S(=O)(=O)C[C@@H]2CNCCO2)C1 ZINC000631951149 370782754 /nfs/dbraw/zinc/78/27/54/370782754.db2.gz YLQTYZYSZCOONF-OLZOCXBDSA-N 0 1 288.413 0.593 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000615084641 364844464 /nfs/dbraw/zinc/84/44/64/364844464.db2.gz XMSVSSWRJAANRV-DOMZBBRYSA-N 0 1 282.384 0.635 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N(CCO)C2CCC2)[nH]1 ZINC000615253039 364896232 /nfs/dbraw/zinc/89/62/32/364896232.db2.gz CFPLDAWMPMWZLP-UHFFFAOYSA-N 0 1 263.301 0.092 20 30 CCEDMN N#Cc1cnn2cc(CN[C@H]3CC(=O)N(C4CC4)C3)cnc12 ZINC000564986105 291330999 /nfs/dbraw/zinc/33/09/99/291330999.db2.gz NWFFULLRSBFYRA-LBPRGKRZSA-N 0 1 296.334 0.454 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N1CC[C@@](F)(C#N)C1 ZINC000615546093 365000412 /nfs/dbraw/zinc/00/04/12/365000412.db2.gz FQAPJKREFGUALT-TZMCWYRMSA-N 0 1 297.378 0.269 20 30 CCEDMN N#C[C@@]1(F)CCN(C(=O)CCCCc2cn[nH]n2)C1 ZINC000615823608 365096534 /nfs/dbraw/zinc/09/65/34/365096534.db2.gz QWRBOTOKDKPGGB-LBPRGKRZSA-N 0 1 265.292 0.982 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cccc3[nH]cnc32)C1 ZINC000615917589 365128616 /nfs/dbraw/zinc/12/86/16/365128616.db2.gz BHYHRYQSTPFFTP-UHFFFAOYSA-N 0 1 256.265 0.663 20 30 CCEDMN C=CCNC(=O)CNC(=O)C(C)(C)c1cnc[nH]1 ZINC000621944743 366940790 /nfs/dbraw/zinc/94/07/90/366940790.db2.gz RMSALHLPSBKCCW-UHFFFAOYSA-N 0 1 250.302 0.106 20 30 CCEDMN CC1(C)CN(Cc2cnc3c(C#N)cnn3c2)C[C@@H]1O ZINC000566241955 291382472 /nfs/dbraw/zinc/38/24/72/291382472.db2.gz LMCCMMHIBSBZJL-LBPRGKRZSA-N 0 1 271.324 0.804 20 30 CCEDMN C[C@H](O)[C@@H]1CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000566243376 291382642 /nfs/dbraw/zinc/38/26/42/291382642.db2.gz ULPNCAYJNPFIDN-CMPLNLGQSA-N 0 1 271.324 0.804 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N[C@@H]1CCO[C@@H]1c1nc(C)no1 ZINC000626146085 368457567 /nfs/dbraw/zinc/45/75/67/368457567.db2.gz OQRVYUHYIASQFS-IEBDPFPHSA-N 0 1 280.328 0.488 20 30 CCEDMN N#Cc1c[nH]c(=O)n(Cc2ccc3c(c2)CCC3)c1=O ZINC000335480852 212155415 /nfs/dbraw/zinc/15/54/15/212155415.db2.gz XMAMZXJYGFXBTQ-UHFFFAOYSA-N 0 1 267.288 0.945 20 30 CCEDMN C=CC[C@@H](CO)CNS(=O)(=O)c1cc(O)cc(F)c1 ZINC000632182471 370910930 /nfs/dbraw/zinc/91/09/30/370910930.db2.gz KPTOHAACUNMVIE-SECBINFHSA-N 0 1 289.328 0.994 20 30 CCEDMN C=CCn1c(Cc2cc(C)n[nH]2)nnc1Nc1ccn(C)n1 ZINC000634336738 372018427 /nfs/dbraw/zinc/01/84/27/372018427.db2.gz MIRKUGGRZYOITC-UHFFFAOYSA-N 0 1 298.354 0.985 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)CCCc1nn[nH]n1 ZINC000635890051 373060040 /nfs/dbraw/zinc/06/00/40/373060040.db2.gz PYZXRNIGNRYDIS-GWCFXTLKSA-N 0 1 279.344 0.620 20 30 CCEDMN C=CCOCCNC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000642749899 377625095 /nfs/dbraw/zinc/62/50/95/377625095.db2.gz OBYASVJGGPAGJF-OLZOCXBDSA-N 0 1 285.388 0.286 20 30 CCEDMN C=CCn1c(SC[C@H](COC)OC)n[nH]c1=O ZINC000657252083 412942667 /nfs/dbraw/zinc/94/26/67/412942667.db2.gz RLBPSGYCIOMTOB-QMMMGPOBSA-N 0 1 259.331 0.923 20 30 CCEDMN C=CCCn1cc(CNCCCN2CCCC2=O)nn1 ZINC000657293122 412953806 /nfs/dbraw/zinc/95/38/06/412953806.db2.gz TUUTUPPHMYWVRU-UHFFFAOYSA-N 0 1 277.372 0.956 20 30 CCEDMN C[C@@H]1CCCN(CC(=O)N[C@](C)(C#N)C2CC2)[C@H]1CO ZINC000305151736 296387889 /nfs/dbraw/zinc/38/78/89/296387889.db2.gz AIBTVMWLCZGKFW-OSAQELSMSA-N 0 1 279.384 0.888 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCc2ccnn2C)C1=O ZINC000283210517 388763993 /nfs/dbraw/zinc/76/39/93/388763993.db2.gz RNVPREOACUHNPT-CYBMUJFWSA-N 0 1 262.357 0.681 20 30 CCEDMN N#CC1(CS(=O)(=O)N2CCN3CCC[C@@H]3C2)CC1 ZINC000183889728 388764801 /nfs/dbraw/zinc/76/48/01/388764801.db2.gz SXYSLFIGQDBSFR-LLVKDONJSA-N 0 1 269.370 0.400 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)NCc1cn[nH]c1C ZINC000284260437 388774807 /nfs/dbraw/zinc/77/48/07/388774807.db2.gz RWFWBDVADTUPJF-UHFFFAOYSA-N 0 1 262.313 0.535 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1n[nH]c2ccccc21 ZINC000013592628 388787341 /nfs/dbraw/zinc/78/73/41/388787341.db2.gz UDGWKBGZYIFVCD-UHFFFAOYSA-N 0 1 258.281 0.595 20 30 CCEDMN C#CCNC(=O)NC1C[C@H]2CC[C@@H](C1)N2CC(=O)N(C)C ZINC000285171594 388788623 /nfs/dbraw/zinc/78/86/23/388788623.db2.gz UBVRHTGQNHVRGD-YHWZYXNKSA-N 0 1 292.383 0.002 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000286678403 388845161 /nfs/dbraw/zinc/84/51/61/388845161.db2.gz SFVMXCPHXMXJSC-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN COC(=O)[C@@H](C)CN(C)CC(=O)N(CCC#N)CCC#N ZINC000050069411 388925398 /nfs/dbraw/zinc/92/53/98/388925398.db2.gz YUNOUFGUOIPYHW-LBPRGKRZSA-N 0 1 294.355 0.383 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CC[C@@H](n3cccn3)C2)C1=O ZINC000291678469 388933227 /nfs/dbraw/zinc/93/32/27/388933227.db2.gz GUDRWDVSQHCQFA-CHWSQXEVSA-N 0 1 260.341 0.917 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](n3cccn3)C2)C1=O ZINC000291678469 388933231 /nfs/dbraw/zinc/93/32/31/388933231.db2.gz GUDRWDVSQHCQFA-CHWSQXEVSA-N 0 1 260.341 0.917 20 30 CCEDMN C#CCNC(=O)CC[N@H+](CCO)Cc1ccccc1OC ZINC000292711918 388954683 /nfs/dbraw/zinc/95/46/83/388954683.db2.gz BGOPNHXSARHICZ-UHFFFAOYSA-N 0 1 290.363 0.629 20 30 CCEDMN C#CCNC(=O)CCN(CCO)Cc1ccccc1OC ZINC000292711918 388954687 /nfs/dbraw/zinc/95/46/87/388954687.db2.gz BGOPNHXSARHICZ-UHFFFAOYSA-N 0 1 290.363 0.629 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1CCC[C@H](C(=O)OC)C1 ZINC000292689105 388954704 /nfs/dbraw/zinc/95/47/04/388954704.db2.gz CIMZKUHEZWNVJD-NSHDSACASA-N 0 1 252.314 0.011 20 30 CCEDMN COCCCN(CCO)CC(=O)NC1(C#N)CCCCC1 ZINC000189884703 388975735 /nfs/dbraw/zinc/97/57/35/388975735.db2.gz CWRNSJKZDXKYTM-UHFFFAOYSA-N 0 1 297.399 0.660 20 30 CCEDMN C#CCN(CC#C)CCCN1C(=O)NC(C)(C)C1=O ZINC000076185182 388986002 /nfs/dbraw/zinc/98/60/02/388986002.db2.gz JERHPVAKRATIKD-UHFFFAOYSA-N 0 1 261.325 0.275 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)NC[C@@H]1CCCN1C ZINC000336916158 389031704 /nfs/dbraw/zinc/03/17/04/389031704.db2.gz MKXGIYRXPNGVER-MNOVXSKESA-N 0 1 274.390 0.006 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CNC1(C(N)=O)CCCCC1 ZINC000088545442 389014051 /nfs/dbraw/zinc/01/40/51/389014051.db2.gz UDZPGTRRBCIJTM-LBPRGKRZSA-N 0 1 294.399 0.772 20 30 CCEDMN COC[C@@H]1CN(CCC(=O)N(C)CCC#N)CCO1 ZINC000092797778 389032391 /nfs/dbraw/zinc/03/23/91/389032391.db2.gz AWRAPRGNJIGJGF-LBPRGKRZSA-N 0 1 269.345 0.096 20 30 CCEDMN C[C@H](O)CN(C)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000298443206 389020428 /nfs/dbraw/zinc/02/04/28/389020428.db2.gz QRFJENAUARUAPM-SMDDNHRTSA-N 0 1 273.336 0.976 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCC[C@@H]1CCO ZINC000336810678 389023191 /nfs/dbraw/zinc/02/31/91/389023191.db2.gz IXGMHHNMVFOBQP-TZMCWYRMSA-N 0 1 267.373 0.888 20 30 CCEDMN C=CCN1CC[C@H](N(CCO)CCCOC)C1=O ZINC000337207570 389054866 /nfs/dbraw/zinc/05/48/66/389054866.db2.gz OSWVMSSZPWOTLD-LBPRGKRZSA-N 0 1 256.346 0.104 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCN(C2CCC2)CC1 ZINC000355324513 389060486 /nfs/dbraw/zinc/06/04/86/389060486.db2.gz REPPRUQFVACTTD-GFCCVEGCSA-N 0 1 251.374 0.847 20 30 CCEDMN CCN(C[C@H](C)C#N)C[C@H](O)CN1C[C@H](C)O[C@@H](C)C1 ZINC000304019007 389082166 /nfs/dbraw/zinc/08/21/66/389082166.db2.gz XMFDHJGDOSNILJ-QPSCCSFWSA-N 0 1 283.416 0.938 20 30 CCEDMN N#CCNC(=O)CN(CCO)[C@@H]1CCCc2ccccc21 ZINC000304129477 389082535 /nfs/dbraw/zinc/08/25/35/389082535.db2.gz VOEHAMSHFFCXRG-OAHLLOKOSA-N 0 1 287.363 0.998 20 30 CCEDMN C=CCNC(=O)CN1CCC2(CC1)[C@H](OCC)C[C@@H]2O ZINC000304377350 389082609 /nfs/dbraw/zinc/08/26/09/389082609.db2.gz RBZMLSMFJGCHOS-QWHCGFSZSA-N 0 1 282.384 0.541 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C[C@H]1CCC[C@H]1O ZINC000305295442 389086225 /nfs/dbraw/zinc/08/62/25/389086225.db2.gz GHWQMEJLOBFZCR-CHWSQXEVSA-N 0 1 267.373 0.841 20 30 CCEDMN CN(CCC(N)=O)[C@@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000298630705 389036874 /nfs/dbraw/zinc/03/68/74/389036874.db2.gz VWYBTIZKKAIUKV-CYBMUJFWSA-N 0 1 286.335 0.471 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N(C)[C@H]1CCCN(C)C1 ZINC000337056656 389040037 /nfs/dbraw/zinc/04/00/37/389040037.db2.gz CMZUQYRGHBBTOW-LBPRGKRZSA-N 0 1 288.417 0.493 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000355123679 389041978 /nfs/dbraw/zinc/04/19/78/389041978.db2.gz BMDJMDHBUCMDAL-LLVKDONJSA-N 0 1 265.357 0.422 20 30 CCEDMN Cn1ncc(C(=O)N[C@H]2CCN(C3CC3)C2)c1C#N ZINC000355117751 389042311 /nfs/dbraw/zinc/04/23/11/389042311.db2.gz VRNOINUJGXIDKF-VIFPVBQESA-N 0 1 259.313 0.258 20 30 CCEDMN CC(=O)N1CCC[C@H](C(=O)NCCN2CCC2)C1 ZINC000328765896 389137046 /nfs/dbraw/zinc/13/70/46/389137046.db2.gz FCFCHLQAYMPQHC-LBPRGKRZSA-N 0 1 253.346 0.907 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)N(C)C1CCOCC1)CC2 ZINC000328783140 389138049 /nfs/dbraw/zinc/13/80/49/389138049.db2.gz HTNORYRHMXAUEF-NSHDSACASA-N 0 1 293.371 0.926 20 30 CCEDMN C=CCN1CC[C@H](N(CCO)[C@@H]2CCO[C@H]2C)C1=O ZINC000328844928 389140859 /nfs/dbraw/zinc/14/08/59/389140859.db2.gz VGDRZRSNMMRZFN-XQQFMLRXSA-N 0 1 268.357 0.245 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@@]1(N(C)C)CCSC1 ZINC000360234137 389193978 /nfs/dbraw/zinc/19/39/78/389193978.db2.gz LVTURIWOLMPZES-NSHDSACASA-N 0 1 278.443 0.919 20 30 CCEDMN CC(C)[C@@H]1CN(C)CCN1C(=O)NC[C@@H]1COCCO1 ZINC000329848700 389206154 /nfs/dbraw/zinc/20/61/54/389206154.db2.gz PRLMJCHUGVTWPQ-OLZOCXBDSA-N 0 1 285.388 0.588 20 30 CCEDMN CNC(=O)C1(C(=O)N2CCO[C@@H]3CCN(C)C[C@H]32)CC1 ZINC000329208442 389153110 /nfs/dbraw/zinc/15/31/10/389153110.db2.gz XZFAUHKEEJJUPB-GHMZBOCLSA-N 0 1 281.356 0.284 20 30 CCEDMN CC(C)N(CCN(C)C)S(=O)(=O)CCCC#N ZINC000123408592 389156169 /nfs/dbraw/zinc/15/61/69/389156169.db2.gz BZVFAFZKNPHHBW-UHFFFAOYSA-N 0 1 261.391 0.892 20 30 CCEDMN CC(C)N1CCN(C(=O)NCCC2(O)CCOCC2)CC1 ZINC000329334261 389157671 /nfs/dbraw/zinc/15/76/71/389157671.db2.gz KRIBMLDKIUTYEW-UHFFFAOYSA-N 0 1 299.415 0.858 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCCC2(O)CCC2)[C@H](C)C1 ZINC000329398158 389162214 /nfs/dbraw/zinc/16/22/14/389162214.db2.gz WWPKOOJZFINYHC-OLZOCXBDSA-N 0 1 299.415 0.592 20 30 CCEDMN C[C@@H]1C[C@H](O)CN1CC(=O)NCc1cccc(C#N)c1 ZINC000340907261 389164927 /nfs/dbraw/zinc/16/49/27/389164927.db2.gz LRTNONQTOYXXON-RISCZKNCSA-N 0 1 273.336 0.630 20 30 CCEDMN CN(C([O-])=[NH+][C@@H]1CCn2ccnc2C1)[C@@H]1CCC[C@H]1O ZINC000329542864 389170240 /nfs/dbraw/zinc/17/02/40/389170240.db2.gz NVKNOBCUCSBWCM-IJLUTSLNSA-N 0 1 278.356 0.957 20 30 CCEDMN [O-]C(N[C@H]1CCCOC1)=[NH+][C@H]1CCn2ccnc2C1 ZINC000329544086 389170472 /nfs/dbraw/zinc/17/04/72/389170472.db2.gz OGNWWNFPRSTWJO-QWRGUYRKSA-N 0 1 264.329 0.881 20 30 CCEDMN [O-]C(N[C@H]1CCn2ccnc2C1)=[NH+][C@H]1CCCOC1 ZINC000329544086 389170475 /nfs/dbraw/zinc/17/04/75/389170475.db2.gz OGNWWNFPRSTWJO-QWRGUYRKSA-N 0 1 264.329 0.881 20 30 CCEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N(CCO)C1CC1 ZINC000329598187 389173928 /nfs/dbraw/zinc/17/39/28/389173928.db2.gz DAEOVAALTRGVHN-SECBINFHSA-N 0 1 264.329 0.638 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2nc[nH]c2C1)N(CCO)C1CC1 ZINC000329598187 389173930 /nfs/dbraw/zinc/17/39/30/389173930.db2.gz DAEOVAALTRGVHN-SECBINFHSA-N 0 1 264.329 0.638 20 30 CCEDMN CN1CCC(NS(=O)(=O)CC2(C#N)CC2)CC1 ZINC000329599742 389174063 /nfs/dbraw/zinc/17/40/63/389174063.db2.gz DQMFJXUUYTUXOY-UHFFFAOYSA-N 0 1 257.359 0.304 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1c(O)cccc1F ZINC000128279331 389176241 /nfs/dbraw/zinc/17/62/41/389176241.db2.gz QHSHVSHKELMBJB-UHFFFAOYSA-N 0 1 266.228 0.670 20 30 CCEDMN COCCN1C(=O)N[C@@H]2CN(CCCC#N)CC[C@@H]21 ZINC000330557685 389240774 /nfs/dbraw/zinc/24/07/74/389240774.db2.gz QJPBLKDMJXFMOP-NEPJUHHUSA-N 0 1 266.345 0.609 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)CN(C)[C@H]1CCSC1 ZINC000330565395 389241538 /nfs/dbraw/zinc/24/15/38/389241538.db2.gz KOHRKEWNXUSUIG-JQWIXIFHSA-N 0 1 271.386 0.469 20 30 CCEDMN C[C@H](CC#N)N(C)C(=O)[C@@H](C)CN1CCOCC1 ZINC000330587045 389244349 /nfs/dbraw/zinc/24/43/49/389244349.db2.gz VLZJIXRNGLXRAR-NWDGAFQWSA-N 0 1 253.346 0.715 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@H](C(N)=O)CC[C@H]1C ZINC000330598170 389245057 /nfs/dbraw/zinc/24/50/57/389245057.db2.gz WKHPJMVQUQIMQO-NXEZZACHSA-N 0 1 282.344 0.838 20 30 CCEDMN O=C(NCCCN1CCCCC1=O)c1cnn[nH]1 ZINC000330657218 389250629 /nfs/dbraw/zinc/25/06/29/389250629.db2.gz REPUHDSOBBARFX-UHFFFAOYSA-N 0 1 251.290 0.512 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)[C@H]2CCN(C)C(=O)C2)[nH]1 ZINC000330946717 389260456 /nfs/dbraw/zinc/26/04/56/389260456.db2.gz HATBIGDPFQFJFJ-JTQLQIEISA-N 0 1 289.339 0.564 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cc3n(n2)CCCO3)C1 ZINC000329885671 389208473 /nfs/dbraw/zinc/20/84/73/389208473.db2.gz UZBNMLLPEITKRG-NSHDSACASA-N 0 1 294.355 0.691 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCn2cncn2)C[C@@H](C2CC2)O1 ZINC000329900446 389209853 /nfs/dbraw/zinc/20/98/53/389209853.db2.gz GDMKEAVKDVBZET-PWSUYJOCSA-N 0 1 279.344 0.691 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@@H]1CCCN1CCOC ZINC000131149458 389210396 /nfs/dbraw/zinc/21/03/96/389210396.db2.gz WWBSLMUQXJWMBM-LBPRGKRZSA-N 0 1 276.402 0.593 20 30 CCEDMN C[C@@H]1OCC[C@@]12CN(C(=O)NCCn1cncn1)CCO2 ZINC000329923724 389211413 /nfs/dbraw/zinc/21/14/13/389211413.db2.gz UNLSMQQCVWZCNP-WCQYABFASA-N 0 1 295.343 0.072 20 30 CCEDMN CCN(CC(=O)N(CCC#N)CCC#N)[C@H]1CCOC1 ZINC000361585855 389221093 /nfs/dbraw/zinc/22/10/93/389221093.db2.gz FRMCUVUIGLCRLG-ZDUSSCGKSA-N 0 1 278.356 0.753 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1c[nH]c2ccc(C)cc2c1=O ZINC000342564369 389222342 /nfs/dbraw/zinc/22/23/42/389222342.db2.gz GUDYJNVYPGJUGN-UHFFFAOYSA-N 0 1 299.330 0.869 20 30 CCEDMN CCCCNC(=O)NC(=O)CN1C[C@@H](C)[C@H](C(N)=O)C1 ZINC000330549603 389240272 /nfs/dbraw/zinc/24/02/72/389240272.db2.gz DRWCIDQRVZZQQN-NXEZZACHSA-N 0 1 284.360 0.920 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CC[C@@H](O)C1(C)C)C1CC1 ZINC000331691388 389311102 /nfs/dbraw/zinc/31/11/02/389311102.db2.gz XEINEKSXNCKSCK-RISCZKNCSA-N 0 1 265.357 0.640 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000345721811 389313301 /nfs/dbraw/zinc/31/33/01/389313301.db2.gz LVCVLHXUZPBJPF-ZNMIVQPWSA-N 0 1 295.427 0.720 20 30 CCEDMN N#Cc1ccc2ncc(CN3CCC[C@H](C(N)=O)C3)n2c1 ZINC000155053564 389316573 /nfs/dbraw/zinc/31/65/73/389316573.db2.gz MMIBOKYZNQWREG-LBPRGKRZSA-N 0 1 283.335 0.903 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1cccc(C#N)c1 ZINC000264357873 389323711 /nfs/dbraw/zinc/32/37/11/389323711.db2.gz BULHVXLOHOBRQW-ZDUSSCGKSA-N 0 1 286.379 0.924 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN([C@H](CC)CO)CC1 ZINC000330998728 389277821 /nfs/dbraw/zinc/27/78/21/389277821.db2.gz VNZOSWSFJYGTIB-OCCSQVGLSA-N 0 1 270.373 0.229 20 30 CCEDMN N#CCSCC(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000365922359 389290158 /nfs/dbraw/zinc/29/01/58/389290158.db2.gz SLQRLSUHCDYPHW-UHFFFAOYSA-N 0 1 265.342 0.767 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)NCCC#N ZINC000408365857 389341728 /nfs/dbraw/zinc/34/17/28/389341728.db2.gz LLPULCKTTXNHKO-LLVKDONJSA-N 0 1 268.361 0.387 20 30 CCEDMN C[C@@H](O)C[C@@H]1CCCN1CC(=O)NC1(C#N)CCC1 ZINC000346972914 389342714 /nfs/dbraw/zinc/34/27/14/389342714.db2.gz NYAGJMXHTGJEEO-NEPJUHHUSA-N 0 1 265.357 0.784 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)CCSc1nnc(C)[nH]1 ZINC000345541827 389308762 /nfs/dbraw/zinc/30/87/62/389308762.db2.gz KAPQYQQKTSGDME-UHFFFAOYSA-N 0 1 297.340 0.121 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[N@@H+]2CCC[C@@H]2[C@@H]1C(=O)[O-] ZINC000368496910 389380069 /nfs/dbraw/zinc/38/00/69/389380069.db2.gz SYHRIBBUFAPQLO-GHMZBOCLSA-N 0 1 288.369 0.126 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N([C@@H](C)Cc2cc(C)[nH]n2)C1=O ZINC000348575972 389383135 /nfs/dbraw/zinc/38/31/35/389383135.db2.gz RYSXMPQTDFCIAN-CMPLNLGQSA-N 0 1 292.339 0.772 20 30 CCEDMN C=C[C@H](C)NS(=O)(=O)c1scnc1C(=O)OC ZINC000161816835 389409824 /nfs/dbraw/zinc/40/98/24/389409824.db2.gz AEUAIAOAWXCJDP-LURJTMIESA-N 0 1 276.339 0.783 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2(C#N)CCC2)C[C@H]1C ZINC000332439662 389352001 /nfs/dbraw/zinc/35/20/01/389352001.db2.gz DKDKSNXOBBSNCX-OLZOCXBDSA-N 0 1 294.399 0.777 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCO[C@H]2CCN(C)C[C@H]21 ZINC000332675598 389356394 /nfs/dbraw/zinc/35/63/94/389356394.db2.gz CXQCXEVYPQBGCL-KGLIPLIRSA-N 0 1 294.399 0.153 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)C(=O)N2CCC(C#N)CC2)CCCN1C ZINC000347762631 389357732 /nfs/dbraw/zinc/35/77/32/389357732.db2.gz ZHIODCBJTUHOPH-AAEUAGOBSA-N 0 1 292.383 0.347 20 30 CCEDMN C#CCCCNC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000373110546 389464468 /nfs/dbraw/zinc/46/44/68/389464468.db2.gz DHJFOHWESOBVEM-KGLIPLIRSA-N 0 1 279.384 0.640 20 30 CCEDMN C[C@H]1[C@@H](CO)CCCN1CC(=O)N(CCC#N)CCC#N ZINC000412099617 389475928 /nfs/dbraw/zinc/47/59/28/389475928.db2.gz WPHJMKWPZMRAIP-UONOGXRCSA-N 0 1 292.383 0.735 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCN2C(=O)CC[C@H]2C1 ZINC000168536784 389450303 /nfs/dbraw/zinc/45/03/03/389450303.db2.gz WNDPNBMOOUKREW-LBPRGKRZSA-N 0 1 278.356 0.055 20 30 CCEDMN CN(CC(=O)N(C)C1(C#N)CCCCC1)Cc1nnc[nH]1 ZINC000174822319 389530415 /nfs/dbraw/zinc/53/04/15/389530415.db2.gz HHGXXGLWLKYQHZ-UHFFFAOYSA-N 0 1 290.371 0.921 20 30 CCEDMN CC#CC(=O)NCc1ccnc(N2CCN(C)CC2)c1 ZINC000278981966 389564098 /nfs/dbraw/zinc/56/40/98/389564098.db2.gz YOQIEUKNEXAZKY-UHFFFAOYSA-N 0 1 272.352 0.473 20 30 CCEDMN CN(C)CCN(C1CC1)S(=O)(=O)c1ccc(C#N)o1 ZINC000414457845 389617011 /nfs/dbraw/zinc/61/70/11/389617011.db2.gz GUFRDFVVTMHJGH-UHFFFAOYSA-N 0 1 283.353 0.866 20 30 CCEDMN C[C@](C#N)(NC(=O)CSc1c[nH]nn1)C1CC1 ZINC000177370118 389581087 /nfs/dbraw/zinc/58/10/87/389581087.db2.gz MIVWCNVKHPLSNQ-SNVBAGLBSA-N 0 1 251.315 0.705 20 30 CCEDMN C#CCSCCNC(=O)NCCN1CC=CCC1 ZINC000280159327 389597970 /nfs/dbraw/zinc/59/79/70/389597970.db2.gz FPUMOCVSFSGXEL-UHFFFAOYSA-N 0 1 267.398 0.914 20 30 CCEDMN C[C@H]1CNCCN1C(=O)C(=O)Nc1ccc(F)c(C#N)c1 ZINC000415339049 389675350 /nfs/dbraw/zinc/67/53/50/389675350.db2.gz AWXABSLQNZQZOQ-VIFPVBQESA-N 0 1 290.298 0.456 20 30 CCEDMN Cc1cccc(NC(=O)C(=O)N2CCN[C@H](C)C2)c1C#N ZINC000415417103 389682072 /nfs/dbraw/zinc/68/20/72/389682072.db2.gz OWTJNZYNCBWZLS-LLVKDONJSA-N 0 1 286.335 0.626 20 30 CCEDMN CN(CCC(=O)N(C)CCC#N)C[C@@H](O)C(F)(F)F ZINC000181331219 389684711 /nfs/dbraw/zinc/68/47/11/389684711.db2.gz BGAXZBFVRAQENC-SECBINFHSA-N 0 1 281.278 0.604 20 30 CCEDMN C=CCN(C)C(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000428288604 389689903 /nfs/dbraw/zinc/68/99/03/389689903.db2.gz QQZDGUOVEGFLLU-UHFFFAOYSA-N 0 1 298.364 0.901 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)Nc2sccc2C#N)[C@@H](C)CN1 ZINC000415573327 389692987 /nfs/dbraw/zinc/69/29/87/389692987.db2.gz VLGQDLWJRKIUJG-IUCAKERBSA-N 0 1 292.364 0.767 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](O)C[C@@H]1C ZINC000429125304 389739246 /nfs/dbraw/zinc/73/92/46/389739246.db2.gz YTTNKAXTVRLAKA-RYUDHWBXSA-N 0 1 253.346 0.594 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](O)C[C@@H]1C ZINC000429139087 389740316 /nfs/dbraw/zinc/74/03/16/389740316.db2.gz GMNAKKHLSHDKBN-AGIUHOORSA-N 0 1 267.373 0.840 20 30 CCEDMN CS(=O)(=O)N1CC[C@@H](CN2CCC(F)(C#N)CC2)C1 ZINC000352468663 389815798 /nfs/dbraw/zinc/81/57/98/389815798.db2.gz UMILSBCCXTVMPX-NSHDSACASA-N 0 1 289.376 0.596 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCCCCC#N)[C@@H](C)C1 ZINC000352898507 389840579 /nfs/dbraw/zinc/84/05/79/389840579.db2.gz GCFRTXSHVJAHQG-STQMWFEESA-N 0 1 282.388 0.777 20 30 CCEDMN COCC[N@H+]1CC[C@@H](NC(=O)c2ccc(C#N)c([O-])c2)C1 ZINC000442640797 389851410 /nfs/dbraw/zinc/85/14/10/389851410.db2.gz BNVSXAGSOWPGJQ-CYBMUJFWSA-N 0 1 289.335 0.714 20 30 CCEDMN CN(Cc1nnc[nH]1)c1ncc([N+](=O)[O-])cc1C#N ZINC000432942313 389894625 /nfs/dbraw/zinc/89/46/25/389894625.db2.gz ACLKYIPUKINCGX-UHFFFAOYSA-N 0 1 259.229 0.616 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC000435441450 389957596 /nfs/dbraw/zinc/95/75/96/389957596.db2.gz FTTCDWUORIVOPH-CMPLNLGQSA-N 0 1 258.387 0.965 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000433974645 389922135 /nfs/dbraw/zinc/92/21/35/389922135.db2.gz PMXMXRVCEFAKSH-NOZJJQNGSA-N 0 1 262.313 0.874 20 30 CCEDMN C[C@H](CNC(=O)c1ccc(C#N)s1)N1CCN(C)CC1 ZINC000487029601 390083512 /nfs/dbraw/zinc/08/35/12/390083512.db2.gz HMOFHZMRHUQALL-LLVKDONJSA-N 0 1 292.408 0.985 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)s1 ZINC000487255398 390085340 /nfs/dbraw/zinc/08/53/40/390085340.db2.gz NFOYKKOKGQEFGR-NSHDSACASA-N 0 1 292.408 0.985 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC000490619076 390106541 /nfs/dbraw/zinc/10/65/41/390106541.db2.gz ZQZFVNSCLWILMP-HUUCEWRRSA-N 0 1 292.379 0.886 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NCCN1CCCOCC1 ZINC000458846767 390064494 /nfs/dbraw/zinc/06/44/94/390064494.db2.gz PVAZSBGRUALVJU-CYBMUJFWSA-N 0 1 285.388 0.193 20 30 CCEDMN C=CCN1C(=O)N=NC1SCc1nncn1C ZINC000536739634 390171219 /nfs/dbraw/zinc/17/12/19/390171219.db2.gz HONTUHMPGUQTIV-UHFFFAOYSA-N 0 1 252.303 0.591 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@](O)(CC)C1 ZINC000495231078 390227780 /nfs/dbraw/zinc/22/77/80/390227780.db2.gz HMJRRBQHUBBWCX-ZDUSSCGKSA-N 0 1 253.346 0.595 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000582231735 390271120 /nfs/dbraw/zinc/27/11/20/390271120.db2.gz UAIRZTURTCODCI-IJLUTSLNSA-N 0 1 268.361 0.243 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC000644828161 390328164 /nfs/dbraw/zinc/32/81/64/390328164.db2.gz JKSGXQCIXYVZKQ-JTQLQIEISA-N 0 1 250.302 0.713 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000648280930 390351953 /nfs/dbraw/zinc/35/19/53/390351953.db2.gz BOMQPQVBSBLUMQ-KBXIAJHMSA-N 0 1 294.395 0.635 20 30 CCEDMN C=CCC[C@H]1NC(=O)N(Cc2n[nH]c(CC)n2)C1=O ZINC000562830718 390491319 /nfs/dbraw/zinc/49/13/19/390491319.db2.gz PTYNQFXBOBJBRK-MRVPVSSYSA-N 0 1 263.301 0.754 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@]2(CNC(=O)C2)C1 ZINC000555830028 390466425 /nfs/dbraw/zinc/46/64/25/390466425.db2.gz KMTYEWXDFUCAFL-RISCZKNCSA-N 0 1 290.367 0.149 20 30 CCEDMN Cc1ccn(CC(=O)NC2(C#N)CCN(C)CC2)c(=O)c1 ZINC000521950992 390614989 /nfs/dbraw/zinc/61/49/89/390614989.db2.gz UTXBWMOEQACSRS-UHFFFAOYSA-N 0 1 288.351 0.261 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CC[C@@H]2OCC[C@@H]2C1)C1CC1 ZINC000567796335 390711169 /nfs/dbraw/zinc/71/11/69/390711169.db2.gz UKXXMZRHMQITED-ZLDLUXBVSA-N 0 1 277.368 0.906 20 30 CCEDMN O[C@H](CNCC#Cc1ccccc1)CN1CCOCC1 ZINC000570274052 390718163 /nfs/dbraw/zinc/71/81/63/390718163.db2.gz VBNCKNIFCHKHIY-MRXNPFEDSA-N 0 1 274.364 0.321 20 30 CCEDMN C=C[C@H](O)C(=O)NC1CCN(Cc2cccnc2)CC1 ZINC000646816858 390724701 /nfs/dbraw/zinc/72/47/01/390724701.db2.gz NDBNHELIXHOPND-AWEZNQCLSA-N 0 1 275.352 0.709 20 30 CCEDMN CC1=CCN(CCNC(=O)c2cnn(C)c2C#N)CC1 ZINC000526013664 390672842 /nfs/dbraw/zinc/67/28/42/390672842.db2.gz QYSLKDPUBPZGEF-UHFFFAOYSA-N 0 1 273.340 0.674 20 30 CCEDMN C[C@@H]1CN(c2ccnc(C#N)n2)C[C@H](C)N1CCO ZINC000567290254 390692173 /nfs/dbraw/zinc/69/21/73/390692173.db2.gz ANAKJZMBANVFGM-PHIMTYICSA-N 0 1 261.329 0.240 20 30 CCEDMN CN1C(=O)NCC12CCN(Cc1ccc(C#N)cn1)CC2 ZINC000572732710 390799761 /nfs/dbraw/zinc/79/97/61/390799761.db2.gz MNVVICJIMNPWMZ-UHFFFAOYSA-N 0 1 285.351 0.943 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN3C(=O)CC[C@H]3C2)CC1 ZINC000528434146 390744009 /nfs/dbraw/zinc/74/40/09/390744009.db2.gz VQIKYRXHHUAXKQ-NWDGAFQWSA-N 0 1 263.341 0.348 20 30 CCEDMN N#CC[C@@H]1CC[C@H](NC(=O)NC[C@@H]2COCCN2)C1 ZINC000529326435 390808787 /nfs/dbraw/zinc/80/87/87/390808787.db2.gz OBHUYFBIQWROEK-SDDRHHMPSA-N 0 1 266.345 0.356 20 30 CCEDMN C[C@H]1CN(Cc2cc(C#N)n(C)c2)CCN1CCO ZINC000191535820 130251349 /nfs/dbraw/zinc/25/13/49/130251349.db2.gz IUKLPMMOUOPAHX-LBPRGKRZSA-N 0 1 262.357 0.395 20 30 CCEDMN CNC(=O)CCN(C)CC(=O)NCc1ccc(C#N)cc1 ZINC000263915794 131360080 /nfs/dbraw/zinc/36/00/80/131360080.db2.gz PJYOSLMEMPAFKG-UHFFFAOYSA-N 0 1 288.351 0.242 20 30 CCEDMN CS(=O)(=O)NCCNCc1cccc(C#N)c1 ZINC000020203059 171067575 /nfs/dbraw/zinc/06/75/75/171067575.db2.gz XLUKAGNERXCBCI-UHFFFAOYSA-N 0 1 253.327 0.197 20 30 CCEDMN C=CCCn1cc(CN[C@@H]2CCCC[C@@H]2C(N)=O)nn1 ZINC000653616406 412325167 /nfs/dbraw/zinc/32/51/67/412325167.db2.gz UVJGYIIXRWFAIZ-QWHCGFSZSA-N 0 1 277.372 0.988 20 30 CCEDMN C=CCOCCNC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000654522101 412409050 /nfs/dbraw/zinc/40/90/50/412409050.db2.gz BBFVVPDSTDWFNI-JTQLQIEISA-N 0 1 295.343 0.658 20 30 CCEDMN CC(=O)c1[nH]c(CCN2CCN(CCC#N)CC2)nc1C ZINC000660139014 414144737 /nfs/dbraw/zinc/14/47/37/414144737.db2.gz FUNAZTOICWSGRV-UHFFFAOYSA-N 0 1 289.383 0.995 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)CC(C)(C)O ZINC000078727766 177377679 /nfs/dbraw/zinc/37/76/79/177377679.db2.gz VFMZIDWMJSXZEU-ZDUSSCGKSA-N 0 1 255.362 0.744 20 30 CCEDMN C[C@H](Cn1cncn1)NC(=O)N[C@@]1(C)CCCOC1 ZINC000329150322 227326773 /nfs/dbraw/zinc/32/67/73/227326773.db2.gz RKQUKAKAXZHKJZ-PWSUYJOCSA-N 0 1 267.333 0.739 20 30 CCEDMN O=C(NCCc1cnccn1)NCCN1CCCOCC1 ZINC000329981637 228005574 /nfs/dbraw/zinc/00/55/74/228005574.db2.gz NRBWJFIVKIPUOL-UHFFFAOYSA-N 0 1 293.371 0.245 20 30 CCEDMN O=C(CCc1nc[nH]n1)N1CC(NC(=O)C2CCC2)C1 ZINC000330114153 228042293 /nfs/dbraw/zinc/04/22/93/228042293.db2.gz DOISBDZJNMRSPO-UHFFFAOYSA-N 0 1 277.328 0.705 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)NC[C@@H]2COCCO2)n[nH]1 ZINC000330181242 228058221 /nfs/dbraw/zinc/05/82/21/228058221.db2.gz NYGKYLYIRSISQR-JOYOIKCWSA-N 0 1 282.344 0.568 20 30 CCEDMN CN(C(=O)N[C@@H]1CCc2ncnn2C1)[C@@H]1CCCC[C@H]1O ZINC000330285041 228093412 /nfs/dbraw/zinc/09/34/12/228093412.db2.gz YHNMCIUHJAULDT-IJLUTSLNSA-N 0 1 293.371 0.742 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CNC(=O)C2)CC1 ZINC000075013197 544462565 /nfs/dbraw/zinc/46/25/65/544462565.db2.gz CTWORXZARNSRGM-NSHDSACASA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000087612286 544503667 /nfs/dbraw/zinc/50/36/67/544503667.db2.gz UQQDLQYELSMREX-ZDUSSCGKSA-N 0 1 274.320 0.973 20 30 CCEDMN C=CCNC(=O)C[N@H+]1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000087612286 544503670 /nfs/dbraw/zinc/50/36/70/544503670.db2.gz UQQDLQYELSMREX-ZDUSSCGKSA-N 0 1 274.320 0.973 20 30 CCEDMN Cc1ncc(C(=O)NC[C@@H]2CN(C)CCN2C)[nH]1 ZINC000328793588 545018879 /nfs/dbraw/zinc/01/88/79/545018879.db2.gz RZZIHYHDEVJVNT-SNVBAGLBSA-N 0 1 251.334 0.269 20 30 CCEDMN O=C(NCCn1cccn1)NCc1nnc2n1CCCC2 ZINC000329327557 545022005 /nfs/dbraw/zinc/02/20/05/545022005.db2.gz XHARGLCXLIBTTP-UHFFFAOYSA-N 0 1 289.343 0.515 20 30 CCEDMN C[C@@H]1CN(C([O-])=[NH+][C@@H]2CCn3ccnc3C2)CCO1 ZINC000329413772 545023096 /nfs/dbraw/zinc/02/30/96/545023096.db2.gz CPKALWOTVJQQKA-GHMZBOCLSA-N 0 1 264.329 0.833 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)Nc1nn(C)cc1C#N ZINC000614182629 420496316 /nfs/dbraw/zinc/49/63/16/420496316.db2.gz VHGBHWCZOSMCIH-UHFFFAOYSA-N 0 1 260.261 0.074 20 30 CCEDMN C[C@@H](NS(=O)(=O)CC1(C#N)CCC1)c1nnc[nH]1 ZINC000357168721 260139931 /nfs/dbraw/zinc/13/99/31/260139931.db2.gz MQYNAUFKUSFGGU-MRVPVSSYSA-N 0 1 269.330 0.479 20 30 CCEDMN C[C@H]1CCCN(CCNS(=O)(=O)CCCC#N)C1 ZINC000111329035 260273025 /nfs/dbraw/zinc/27/30/25/260273025.db2.gz BYESZXPRRQPZAW-LBPRGKRZSA-N 0 1 273.402 0.941 20 30 CCEDMN C[C@@H]1C[C@H](NS(=O)(=O)N(C)CCC#N)C[N@H+]1C1CC1 ZINC000126178322 260346026 /nfs/dbraw/zinc/34/60/26/260346026.db2.gz DRYYEUKGJCYRJN-MNOVXSKESA-N 0 1 286.401 0.291 20 30 CCEDMN C[C@@H]1C[C@H](NS(=O)(=O)N(C)CCC#N)CN1C1CC1 ZINC000126178322 260346027 /nfs/dbraw/zinc/34/60/27/260346027.db2.gz DRYYEUKGJCYRJN-MNOVXSKESA-N 0 1 286.401 0.291 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@@H](N2CCOCC2)C1 ZINC000247177299 260866321 /nfs/dbraw/zinc/86/63/21/260866321.db2.gz RUIMGPVXOSAXOZ-UONOGXRCSA-N 0 1 294.399 0.153 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](c2ccnn2C)C1 ZINC000490556868 261162364 /nfs/dbraw/zinc/16/23/64/261162364.db2.gz RWRASKIPNDOION-STQMWFEESA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC(C(=O)OC)CC2)CC1 ZINC000490575009 261163930 /nfs/dbraw/zinc/16/39/30/261163930.db2.gz JWFPYDMRJUHTTK-UHFFFAOYSA-N 0 1 292.379 0.743 20 30 CCEDMN CC[C@@H](C#N)S(=O)(=O)Nc1cccc(C(N)=O)c1 ZINC000229899662 261236238 /nfs/dbraw/zinc/23/62/38/261236238.db2.gz VSNJVNSDMYKZTG-JTQLQIEISA-N 0 1 267.310 0.829 20 30 CCEDMN C#CCNC(=O)[C@H](C)N[C@H](CO)c1sccc1C ZINC000491023454 261300950 /nfs/dbraw/zinc/30/09/50/261300950.db2.gz XJKDGVYVFBEIBW-WDEREUQCSA-N 0 1 266.366 0.817 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2CO)CC1 ZINC000491037718 261304024 /nfs/dbraw/zinc/30/40/24/261304024.db2.gz FOKIGQHTJNPXPH-ZDUSSCGKSA-N 0 1 250.342 0.315 20 30 CCEDMN CC[C@](C)(NCC(=O)N(C)C1(C#N)CCCCC1)C(N)=O ZINC000177139519 261334979 /nfs/dbraw/zinc/33/49/79/261334979.db2.gz GZHBFLJGIZNCLJ-AWEZNQCLSA-N 0 1 294.399 0.915 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cccc3c[nH]nc32)CCO1 ZINC000106049432 261366188 /nfs/dbraw/zinc/36/61/88/261366188.db2.gz IIZCBCCWTJUVHI-JTQLQIEISA-N 0 1 256.265 0.927 20 30 CCEDMN CN(C)CC(=O)N1CCN([C@H](C#N)c2ccccc2)CC1 ZINC000171357290 261462483 /nfs/dbraw/zinc/46/24/83/261462483.db2.gz FCDJPSSZZOGRLY-OAHLLOKOSA-N 0 1 286.379 0.957 20 30 CCEDMN C[C@H](NCC(=O)N[C@@](C)(C#N)C1CC1)c1cn[nH]c1 ZINC000273955446 263020690 /nfs/dbraw/zinc/02/06/90/263020690.db2.gz FFQJYKWAQRAOAY-ZANVPECISA-N 0 1 261.329 0.869 20 30 CCEDMN C=CCN(C)C(=O)/C(=N/OCC(=O)OCC)c1cc[nH]n1 ZINC000278435151 263048017 /nfs/dbraw/zinc/04/80/17/263048017.db2.gz DOZGCILFWSDTRW-FOWTUZBSSA-N 0 1 294.311 0.338 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2ccc3cncn3c2)CCO1 ZINC000283963955 263085373 /nfs/dbraw/zinc/08/53/73/263085373.db2.gz LUPLQBNJUPQFJJ-LBPRGKRZSA-N 0 1 256.265 0.699 20 30 CCEDMN C=CCN(C)[C@H](C)C(=O)NC(=O)NCC(F)(F)F ZINC000121167024 263292047 /nfs/dbraw/zinc/29/20/47/263292047.db2.gz ASVUOCXSNYLGRT-SSDOTTSWSA-N 0 1 267.251 0.881 20 30 CCEDMN C=C(C)COCCNC(=O)[C@H](C)CN1CCOCC1 ZINC000341888941 263364180 /nfs/dbraw/zinc/36/41/80/263364180.db2.gz QLEALZDBVCLCRN-CYBMUJFWSA-N 0 1 270.373 0.664 20 30 CCEDMN CCN(CC(=O)N1CCSC[C@H]1C#N)C1CC1 ZINC000342101787 263387520 /nfs/dbraw/zinc/38/75/20/263387520.db2.gz GRPPEXFYLJBYIM-LLVKDONJSA-N 0 1 253.371 0.938 20 30 CCEDMN CCNC([O-])=[NH+][C@H]1CCc2nc(COC)nn2C1 ZINC000330413103 263933091 /nfs/dbraw/zinc/93/30/91/263933091.db2.gz MGOQGNUTVJJBIA-QMMMGPOBSA-N 0 1 253.306 0.263 20 30 CCEDMN CCNC(=O)N[C@H]1CCc2nc(COC)nn2C1 ZINC000330413103 263933093 /nfs/dbraw/zinc/93/30/93/263933093.db2.gz MGOQGNUTVJJBIA-QMMMGPOBSA-N 0 1 253.306 0.263 20 30 CCEDMN CC1(C)NC(=O)CC[C@@H]1NC(=O)NCCn1cccn1 ZINC000330433856 263939338 /nfs/dbraw/zinc/93/93/38/263939338.db2.gz LIVDPOHSKFIBAZ-JTQLQIEISA-N 0 1 279.344 0.444 20 30 CCEDMN CC1(C)NC(=O)CC[C@@H]1NC([O-])=[NH+]CCn1cccn1 ZINC000330433856 263939340 /nfs/dbraw/zinc/93/93/40/263939340.db2.gz LIVDPOHSKFIBAZ-JTQLQIEISA-N 0 1 279.344 0.444 20 30 CCEDMN Cc1cnc(C(=O)NC[C@H](C)N2CCN(C)CC2)cn1 ZINC000330465264 263943479 /nfs/dbraw/zinc/94/34/79/263943479.db2.gz WLLOCRAWPWOSLW-LBPRGKRZSA-N 0 1 277.372 0.726 20 30 CCEDMN CNC(=O)NC(=O)[C@H](C)N[C@@H](C)c1cncc(F)c1 ZINC000330794658 264039908 /nfs/dbraw/zinc/03/99/08/264039908.db2.gz DLTABHZXSLWIOC-YUMQZZPRSA-N 0 1 268.292 0.920 20 30 CCEDMN CNC(=O)NC(=O)[C@@H](C)N1CCC(OCCOC)CC1 ZINC000330828980 264049154 /nfs/dbraw/zinc/04/91/54/264049154.db2.gz ILMXJXDZGKRBID-SNVBAGLBSA-N 0 1 287.360 0.162 20 30 CCEDMN N#C[C@@H]1CN(C(=O)CCCCc2cn[nH]n2)CCO1 ZINC000330830478 264049699 /nfs/dbraw/zinc/04/96/99/264049699.db2.gz JRPUBJDOAPWJSM-LLVKDONJSA-N 0 1 263.301 0.268 20 30 CCEDMN CN(C)c1ccncc1C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000330838404 264051637 /nfs/dbraw/zinc/05/16/37/264051637.db2.gz YCCPMHMIFNOACM-VIFPVBQESA-N 0 1 283.353 0.639 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N1CCSC[C@H]1C#N ZINC000330868364 264058840 /nfs/dbraw/zinc/05/88/40/264058840.db2.gz WFJBPVVCBSCPTB-LLVKDONJSA-N 0 1 291.442 0.599 20 30 CCEDMN CN(C)[C@H]1CCN(S(=O)(=O)CC2(C#N)CC2)C1 ZINC000165187081 264079297 /nfs/dbraw/zinc/07/92/97/264079297.db2.gz NOXOKZPZDCKAMU-JTQLQIEISA-N 0 1 257.359 0.256 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCn2cccn2)C[C@H](C(N)=O)O1 ZINC000330961025 264088819 /nfs/dbraw/zinc/08/88/19/264088819.db2.gz JNOUVMRQHPJPNW-NXEZZACHSA-N 0 1 281.316 0.422 20 30 CCEDMN C[C@H](C[NH+]=C([O-])N1CCO[C@H](CO)C1)Cn1cccn1 ZINC000331167371 264144878 /nfs/dbraw/zinc/14/48/78/264144878.db2.gz HDKKQWCMJXQHCR-NEPJUHHUSA-N 0 1 282.344 0.126 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)CN1[C@H](C)CC[C@@H]1C ZINC000331211714 264163243 /nfs/dbraw/zinc/16/32/43/264163243.db2.gz JFWGOWVPIULREG-NTZNESFSSA-N 0 1 253.346 0.904 20 30 CCEDMN CN1CC[C@@H](N2CCC(NC(=O)CCCC#N)CC2)C1=O ZINC000331249727 264180485 /nfs/dbraw/zinc/18/04/85/264180485.db2.gz YTBFDNKHHLWPKC-CYBMUJFWSA-N 0 1 292.383 0.492 20 30 CCEDMN CCN1CCN(CC(=O)N2CCC(C)(C#N)CC2)CC1 ZINC000356990161 266225852 /nfs/dbraw/zinc/22/58/52/266225852.db2.gz SOVHHBMNDJNSHY-UHFFFAOYSA-N 0 1 278.400 0.776 20 30 CCEDMN N#CCc1ccc(C(=O)NC2(c3nn[nH]n3)CC2)cc1 ZINC000357061099 266240747 /nfs/dbraw/zinc/24/07/47/266240747.db2.gz VUXOBUBCENUEEW-UHFFFAOYSA-N 0 1 268.280 0.685 20 30 CCEDMN C#C[C@H](CC)NC(=O)C(=O)N=c1[nH]n(C(C)C)cc1C#N ZINC000491362552 266804082 /nfs/dbraw/zinc/80/40/82/266804082.db2.gz SSRSNBQSZPMGCP-LLVKDONJSA-N 0 1 287.323 0.224 20 30 CCEDMN C#CCCCCNC(=O)NC[C@@H]1C[N@H+]2CCC[C@@H]2CO1 ZINC000371138049 267354247 /nfs/dbraw/zinc/35/42/47/267354247.db2.gz DLCIAZZODFAHEQ-ZIAGYGMSSA-N 0 1 279.384 0.952 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)/C=C\c2ccc(C#N)cc2)C1 ZINC000491798317 277102242 /nfs/dbraw/zinc/10/22/42/277102242.db2.gz RLKUXYJYVYGDLW-XITLMJRVSA-N 0 1 298.390 0.933 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)N[C@@H]2CCCOCC2)[C@@H](C)C1 ZINC000329396438 278289694 /nfs/dbraw/zinc/28/96/94/278289694.db2.gz WCGYIQMWSCTKDX-MELADBBJSA-N 0 1 299.415 0.856 20 30 CCEDMN CC(C)(C)[C@@H](NCC(=O)NC1(C#N)CCCCC1)C(N)=O ZINC000109331906 278492822 /nfs/dbraw/zinc/49/28/22/278492822.db2.gz YLBIPNADDJHVAJ-LBPRGKRZSA-N 0 1 294.399 0.819 20 30 CCEDMN O=C1CCN(C([O-])=[NH+][C@H]2CCc3cn[nH]c3C2)CCN1 ZINC000329830659 295389640 /nfs/dbraw/zinc/38/96/40/295389640.db2.gz FDADCIJIGRLEPA-JTQLQIEISA-N 0 1 277.328 0.843 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@H](C(=O)NCC)C(C)C ZINC000339325530 328006289 /nfs/dbraw/zinc/00/62/89/328006289.db2.gz MUSVQLRLLFMASD-CQSZACIVSA-N 0 1 281.400 0.937 20 30 CCEDMN COCCN1C(=O)C[C@@H](N2CCC(CCC#N)CC2)C1=O ZINC000571326752 328107653 /nfs/dbraw/zinc/10/76/53/328107653.db2.gz LOXRHSZNOXCLCV-CYBMUJFWSA-N 0 1 293.367 0.776 20 30 CCEDMN C=CCN1C(=O)N=NC1SCC(=O)N(C)OC ZINC000763258820 581993244 /nfs/dbraw/zinc/99/32/44/581993244.db2.gz FZGCGBYGMSRMBD-UHFFFAOYSA-N 0 1 258.303 0.282 20 30 CCEDMN C=C(C)CCNC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000413577273 328149873 /nfs/dbraw/zinc/14/98/73/328149873.db2.gz ALLLMWYHYXWVPN-GFCCVEGCSA-N 0 1 283.372 0.699 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000451669131 328163390 /nfs/dbraw/zinc/16/33/90/328163390.db2.gz UKKRQERFTMJLEW-GASCZTMLSA-N 0 1 295.427 0.574 20 30 CCEDMN N#CC1(CNC[C@H](O)Cn2cc([N+](=O)[O-])cn2)CC1 ZINC000564865225 327035407 /nfs/dbraw/zinc/03/54/07/327035407.db2.gz XBRCSDPDXCGTCP-JTQLQIEISA-N 0 1 265.273 0.046 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnn(C)c2)CC1 ZINC000363414233 327089801 /nfs/dbraw/zinc/08/98/01/327089801.db2.gz LJTUOLSYDZSUCU-UHFFFAOYSA-N 0 1 260.341 0.638 20 30 CCEDMN CC1CCN(C(=O)C(=O)NC2(C#N)CCN(C)CC2)CC1 ZINC000558977924 327135723 /nfs/dbraw/zinc/13/57/23/327135723.db2.gz JKMCTHIBZGPEFV-UHFFFAOYSA-N 0 1 292.383 0.349 20 30 CCEDMN C=CCCC[C@@H](C)NC(=O)NCC(=O)N1CCNCC1 ZINC000569459420 327180581 /nfs/dbraw/zinc/18/05/81/327180581.db2.gz JOOIVNAVMXMVLV-GFCCVEGCSA-N 0 1 282.388 0.462 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H]2OCCC[C@@H]2C1 ZINC000152887407 327299165 /nfs/dbraw/zinc/29/91/65/327299165.db2.gz MBARXEVLHAMSGC-VXGBXAGGSA-N 0 1 281.356 0.499 20 30 CCEDMN CC(C)(C#N)C(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000174199196 329155354 /nfs/dbraw/zinc/15/53/54/329155354.db2.gz CUJJBEFNMGOYNY-LBPRGKRZSA-N 0 1 265.357 0.717 20 30 CCEDMN C=C(C)CN(C)[C@H](C)C(=O)N(C)CC(=O)NC1CC1 ZINC000181301040 329230480 /nfs/dbraw/zinc/23/04/80/329230480.db2.gz SLUOGGJIZCZWRB-LLVKDONJSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@@H](C)c2n[nH]c(C)n2)C1=O ZINC000583070711 329310191 /nfs/dbraw/zinc/31/01/91/329310191.db2.gz ZCAQDFCGEWHABE-GXSJLCMTSA-N 0 1 263.345 0.893 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N1CCNC(C)(C)C1 ZINC000419135130 329710288 /nfs/dbraw/zinc/71/02/88/329710288.db2.gz KODMKAFPYAIKNN-LLVKDONJSA-N 0 1 267.373 0.668 20 30 CCEDMN C[C@H](C#N)CNC[C@H](O)CN1C(=O)c2ccccc2C1=O ZINC000572356803 329999956 /nfs/dbraw/zinc/99/99/56/329999956.db2.gz WEDCQILWFPINGA-MNOVXSKESA-N 0 1 287.319 0.393 20 30 CCEDMN C=CCNC(=O)Nc1ccc(NC(=O)[C@H](N)COC)cc1 ZINC000262352462 330007693 /nfs/dbraw/zinc/00/76/93/330007693.db2.gz UPEWYBCHSFHBKY-GFCCVEGCSA-N 0 1 292.339 0.906 20 30 CCEDMN C=CCCCCCN(C)C(=O)[C@@H](N)CCS(C)(=O)=O ZINC000262700259 330027953 /nfs/dbraw/zinc/02/79/53/330027953.db2.gz HJMYKPDQOBCSMG-LBPRGKRZSA-N 0 1 290.429 0.953 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)N(C)CC(C)(C)C#N ZINC000423744226 330215119 /nfs/dbraw/zinc/21/51/19/330215119.db2.gz MHYFCPVBXGLHNZ-LBPRGKRZSA-N 0 1 294.399 0.595 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@](C)(N)c2ccccc2)nn1 ZINC000424205562 330327677 /nfs/dbraw/zinc/32/76/77/330327677.db2.gz GDXZTWDRYAPFPX-OAHLLOKOSA-N 0 1 285.351 0.954 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCC[C@H](C)[C@H]2C(=O)[O-])C1=O ZINC000424270517 330333123 /nfs/dbraw/zinc/33/31/23/330333123.db2.gz NRJWNIYUUVWIOK-SRVKXCTJSA-N 0 1 266.341 0.958 20 30 CCEDMN Cc1nsc(NCC2CCN(CC#N)CC2)n1 ZINC000444826958 330826747 /nfs/dbraw/zinc/82/67/47/330826747.db2.gz HDMCXXHMJHRJOJ-UHFFFAOYSA-N 0 1 251.359 0.916 20 30 CCEDMN CN(CCNS(=O)(=O)CC(C)(C)CC#N)C1CC1 ZINC000451108205 331015710 /nfs/dbraw/zinc/01/57/10/331015710.db2.gz ABYZWIMLGMUHGW-UHFFFAOYSA-N 0 1 273.402 0.940 20 30 CCEDMN C=C(CC)CNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000454659660 331150638 /nfs/dbraw/zinc/15/06/38/331150638.db2.gz RMXUUXIGJPTINT-ZDUSSCGKSA-N 0 1 268.405 0.888 20 30 CCEDMN COCCN(CC#N)C(=O)CN(C)[C@H]1CCSC1 ZINC000457204986 331215798 /nfs/dbraw/zinc/21/57/98/331215798.db2.gz PZRDTLICUMHQLN-NSHDSACASA-N 0 1 271.386 0.422 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@](C)(C(N)=O)C(C)C ZINC000459487577 331284540 /nfs/dbraw/zinc/28/45/40/331284540.db2.gz SUZHAVFXQAFSAQ-BXUZGUMPSA-N 0 1 282.388 0.484 20 30 CCEDMN C=CCCCS(=O)(=O)N[C@H]1CCN(CCOC)C1 ZINC000489633508 332062405 /nfs/dbraw/zinc/06/24/05/332062405.db2.gz YBKDDMYRFGWDIA-LBPRGKRZSA-N 0 1 276.402 0.593 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N1CC[C@@]2(CC[N@@H+](C)C2)C1 ZINC000490518311 332090297 /nfs/dbraw/zinc/09/02/97/332090297.db2.gz ZWJMIFALMRSCSE-GFCCVEGCSA-N 0 1 286.401 0.104 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C)[C@H]2CO)CC1 ZINC000490717461 332108222 /nfs/dbraw/zinc/10/82/22/332108222.db2.gz CZOSQPDSIRHIQM-TZMCWYRMSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@](C)(C(N)=O)C2)CC1 ZINC000490740732 332111133 /nfs/dbraw/zinc/11/11/33/332111133.db2.gz QEFVSZLJKCXTOM-OAHLLOKOSA-N 0 1 277.368 0.056 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)COCc1nc(C)no1 ZINC000490871295 332122815 /nfs/dbraw/zinc/12/28/15/332122815.db2.gz AYEOJGUDZDHGPI-LBPRGKRZSA-N 0 1 293.323 0.535 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)COCCCOC ZINC000490922173 332129434 /nfs/dbraw/zinc/12/94/34/332129434.db2.gz BZHGUKZKOLPTRR-CYBMUJFWSA-N 0 1 269.341 0.680 20 30 CCEDMN C#CCNC(=O)CCN[C@H](c1nccn1C)C1CC1 ZINC000491095870 332148806 /nfs/dbraw/zinc/14/88/06/332148806.db2.gz NBXRYFNMMMSENQ-ZDUSSCGKSA-N 0 1 260.341 0.600 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cccc(C(N)=O)c2)CC1 ZINC000491107127 332150613 /nfs/dbraw/zinc/15/06/13/332150613.db2.gz ZPRHAEOIKICJIK-UHFFFAOYSA-N 0 1 299.374 0.747 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC(C)(OC)CC2)CC1 ZINC000491220282 332168524 /nfs/dbraw/zinc/16/85/24/332168524.db2.gz MDWIUSOKUQUXRJ-UHFFFAOYSA-N 0 1 293.411 0.265 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CNC(=O)OC(C)(C)C)CC1 ZINC000491311988 332187759 /nfs/dbraw/zinc/18/77/59/332187759.db2.gz JITSNYMKOWOMEE-UHFFFAOYSA-N 0 1 296.371 0.615 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@@H]1CN(C)CCN1C)C(C)(C)C ZINC000491339130 332193027 /nfs/dbraw/zinc/19/30/27/332193027.db2.gz NUYGKHXBCJDWOD-OLZOCXBDSA-N 0 1 280.416 0.579 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2cncc(OC)n2)CC1 ZINC000491384289 332201884 /nfs/dbraw/zinc/20/18/84/332201884.db2.gz OIFSYSNJMCEOLO-UHFFFAOYSA-N 0 1 274.324 0.769 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@](C)(C(=O)OC)C1 ZINC000491408898 332207028 /nfs/dbraw/zinc/20/70/28/332207028.db2.gz XPBIAIZBFFMKLR-RISCZKNCSA-N 0 1 266.341 0.399 20 30 CCEDMN C#CCN(C)C(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000491545546 332234983 /nfs/dbraw/zinc/23/49/83/332234983.db2.gz QXAZUKVDCYQLDV-SNVBAGLBSA-N 0 1 284.319 0.773 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000491554282 332236793 /nfs/dbraw/zinc/23/67/93/332236793.db2.gz HXDCZJHTRKPPQR-GJZGRUSLSA-N 0 1 294.443 0.969 20 30 CCEDMN C#CCN1CCC(C(=O)OCCCC(=O)N(C)C)CC1 ZINC000491616432 332243620 /nfs/dbraw/zinc/24/36/20/332243620.db2.gz JARSHEKUUNRLLL-UHFFFAOYSA-N 0 1 280.368 0.743 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCCCC(N)=O ZINC000491693833 332290179 /nfs/dbraw/zinc/29/01/79/332290179.db2.gz USXMOFOEELIDIJ-GFCCVEGCSA-N 0 1 266.341 0.673 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1c(C)ccc(C)c1C ZINC000491727480 332310546 /nfs/dbraw/zinc/31/05/46/332310546.db2.gz GBSJMMLLPKASRG-CABCVRRESA-N 0 1 277.364 0.935 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC000491728606 332311024 /nfs/dbraw/zinc/31/10/24/332311024.db2.gz AZQXSYKVFFNIRU-NSHDSACASA-N 0 1 256.346 0.779 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NCc1ccccc1CO ZINC000491768237 332335645 /nfs/dbraw/zinc/33/56/45/332335645.db2.gz KEVXOIQCMFUDLO-UHFFFAOYSA-N 0 1 289.379 0.933 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)N=c1[nH]n(C)cc1C(=O)OCC ZINC000491769376 332336548 /nfs/dbraw/zinc/33/65/48/332336548.db2.gz NBWNKUUDTPEDSI-VIFPVBQESA-N 0 1 278.312 0.504 20 30 CCEDMN CCO[C@@H]1C[C@@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000521859272 333194041 /nfs/dbraw/zinc/19/40/41/333194041.db2.gz OOVUHHBEJJLVRO-WDEREUQCSA-N 0 1 251.330 0.516 20 30 CCEDMN CN(CCNS(=O)(=O)CC1(C#N)CCC1)C1CC1 ZINC000522035799 333231087 /nfs/dbraw/zinc/23/10/87/333231087.db2.gz FIGVEZOZSUNEPD-UHFFFAOYSA-N 0 1 271.386 0.694 20 30 CCEDMN C=CCn1cc(CNC(=O)N2CCNC[C@H]2C(C)C)nn1 ZINC000529345295 333482983 /nfs/dbraw/zinc/48/29/83/333482983.db2.gz UXJKJRGMFVNPCW-ZDUSSCGKSA-N 0 1 292.387 0.604 20 30 CCEDMN C=CCCN1CCN([C@H](C)C(=O)NC2CC2)CC1 ZINC000132430156 333980258 /nfs/dbraw/zinc/98/02/58/333980258.db2.gz PMMAJULZTBJPIQ-GFCCVEGCSA-N 0 1 251.374 0.847 20 30 CCEDMN C[C@@H]1CC[C@H](C)N1CC(=O)N[C@@]1(C#N)CCOC1 ZINC000547488337 334093095 /nfs/dbraw/zinc/09/30/95/334093095.db2.gz PFEXTBLRMQWXMF-NTZNESFSSA-N 0 1 251.330 0.658 20 30 CCEDMN N#C[C@]1(NC(=O)c2cccc3n[nH]cc32)CCOC1 ZINC000548468514 334158183 /nfs/dbraw/zinc/15/81/83/334158183.db2.gz KLNXRKISCRBUGG-CYBMUJFWSA-N 0 1 256.265 0.975 20 30 CCEDMN C[C@@H]1C[C@H](C)[C@@H](C(=O)NC2(C#N)CCN(C)CC2)O1 ZINC000548762468 334173506 /nfs/dbraw/zinc/17/35/06/334173506.db2.gz YOVIZONILNXCGW-TUAOUCFPSA-N 0 1 265.357 0.904 20 30 CCEDMN N#CCC(=O)N1CCN([C@H]2CCCC[C@@H]2O)CC1 ZINC000568175699 334800335 /nfs/dbraw/zinc/80/03/35/334800335.db2.gz FTAYAWOHSMJKLX-RYUDHWBXSA-N 0 1 251.330 0.348 20 30 CCEDMN C[C@@H](CO)NCCS(=O)(=O)c1cccc(C#N)c1 ZINC000166370249 334913045 /nfs/dbraw/zinc/91/30/45/334913045.db2.gz WBYGIGGUGIGEDW-JTQLQIEISA-N 0 1 268.338 0.302 20 30 CCEDMN N#CCc1ccc(OC[C@@H](O)CNCC#CCO)cc1 ZINC000576943904 335267460 /nfs/dbraw/zinc/26/74/60/335267460.db2.gz MJARCGMYFAZARZ-AWEZNQCLSA-N 0 1 274.320 0.078 20 30 CCEDMN C[C@@H](NCC(=O)NCC#N)c1cccc([N+](=O)[O-])c1 ZINC000180437487 335583136 /nfs/dbraw/zinc/58/31/36/335583136.db2.gz XJXMYKNZBXNHBR-SECBINFHSA-N 0 1 262.269 0.885 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NS(=O)(=O)CCN1CCCC1 ZINC000581648824 336117450 /nfs/dbraw/zinc/11/74/50/336117450.db2.gz PIHUFSRIORJUHZ-OLZOCXBDSA-N 0 1 288.413 0.735 20 30 CCEDMN CN1C(=O)CC[C@H](NCc2cnc3ccc(C#N)cn23)C1=O ZINC000581999380 336187851 /nfs/dbraw/zinc/18/78/51/336187851.db2.gz XZNLICHNZWDTLL-LBPRGKRZSA-N 0 1 297.318 0.443 20 30 CCEDMN O=C(NCCNc1cnccn1)[C@@H]1CCCN1C1CC1 ZINC000330261821 534752208 /nfs/dbraw/zinc/75/22/08/534752208.db2.gz PKHXDNRMLFZKFK-LBPRGKRZSA-N 0 1 275.356 0.894 20 30 CCEDMN O=C(NCCNc1cnccn1)[C@H]1CCCN1C1CC1 ZINC000330261820 534753129 /nfs/dbraw/zinc/75/31/29/534753129.db2.gz PKHXDNRMLFZKFK-GFCCVEGCSA-N 0 1 275.356 0.894 20 30 CCEDMN N#C[C@H]1CC[C@@H](NS(=O)(=O)CCN2CCCC2)C1 ZINC000333401961 534755397 /nfs/dbraw/zinc/75/53/97/534755397.db2.gz FUPFTVPEYJMOCB-NWDGAFQWSA-N 0 1 271.386 0.694 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@H]1CCCN(C)[C@@H]1C ZINC000347765943 526480492 /nfs/dbraw/zinc/48/04/92/526480492.db2.gz DELUQOJIQIXQIA-NEPJUHHUSA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCCOCC=C)CC1 ZINC000347976742 526536896 /nfs/dbraw/zinc/53/68/96/526536896.db2.gz ZGKFPDVGHGXIAF-UHFFFAOYSA-N 0 1 281.400 0.499 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC[C@@H]2CCCCO2)CC1 ZINC000347396059 526537095 /nfs/dbraw/zinc/53/70/95/526537095.db2.gz DSYVYQQKTNJDII-HNNXBMFYSA-N 0 1 295.427 0.865 20 30 CCEDMN C=CCOCC(=O)Nc1ccc(N2CCN(C)CC2)cn1 ZINC000354607672 526721547 /nfs/dbraw/zinc/72/15/47/526721547.db2.gz XJHRIXAJXYZMLH-UHFFFAOYSA-N 0 1 290.367 0.975 20 30 CCEDMN C=CCOCCCNC(=O)NCCCc1nc[nH]n1 ZINC000666514306 545124487 /nfs/dbraw/zinc/12/44/87/545124487.db2.gz YBHRGONKGXUUCU-UHFFFAOYSA-N 0 1 267.333 0.629 20 30 CCEDMN CCN1CCOC[C@@H]1C(=O)N[C@H](C)c1n[nH]c(C)n1 ZINC000329218089 527716858 /nfs/dbraw/zinc/71/68/58/527716858.db2.gz RHLGFDJGGUXJBQ-PSASIEDQSA-N 0 1 267.333 0.851 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N(C)C1CC(O)C1 ZINC000331959319 528855532 /nfs/dbraw/zinc/85/55/32/528855532.db2.gz AFIKXPUPDMJLMJ-DLOFLVKXSA-N 0 1 267.373 0.884 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN(C(C)(C)CO)CC1 ZINC000673895259 545294664 /nfs/dbraw/zinc/29/46/64/545294664.db2.gz KPKMBIKEOHGPOD-UHFFFAOYSA-N 0 1 295.427 0.576 20 30 CCEDMN C=CCOCC(=O)N1CCC(c2nc[nH]n2)CC1 ZINC000674366792 545305198 /nfs/dbraw/zinc/30/51/98/545305198.db2.gz CILABIZXLARFLW-UHFFFAOYSA-N 0 1 250.302 0.713 20 30 CCEDMN C=C[C@H](C)NC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000676099520 545335088 /nfs/dbraw/zinc/33/50/88/545335088.db2.gz RMYGDLMHQBKXFS-JTQLQIEISA-N 0 1 285.307 0.897 20 30 CCEDMN CC(C)(C#N)CS(=O)(=O)NCCN1CCCCC1 ZINC000777817487 581134584 /nfs/dbraw/zinc/13/45/84/581134584.db2.gz JXEZEEAWPNMZLP-UHFFFAOYSA-N 0 1 273.402 0.941 20 30 CCEDMN N#CCCNC(=O)CN1CCC(Cn2cncn2)CC1 ZINC000778219359 581145933 /nfs/dbraw/zinc/14/59/33/581145933.db2.gz FDVVYMHZIXRPGL-UHFFFAOYSA-N 0 1 276.344 0.020 20 30 CCEDMN C#CCCCNC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000789890868 581160975 /nfs/dbraw/zinc/16/09/75/581160975.db2.gz JPZVHWWAZMJHIM-UHFFFAOYSA-N 0 1 286.379 0.977 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2ccc(OCC#N)cc2)N1 ZINC000779801653 581206768 /nfs/dbraw/zinc/20/67/68/581206768.db2.gz VPNLLPMGLOMVAP-JTQLQIEISA-N 0 1 257.297 0.860 20 30 CCEDMN C=C(CO)C(=O)NCC1(N2CCOCC2)CCCCC1 ZINC000791299402 581213280 /nfs/dbraw/zinc/21/32/80/581213280.db2.gz OWEMIIBHZVLTMF-UHFFFAOYSA-N 0 1 282.384 0.686 20 30 CCEDMN C[C@@H]1CCCN1CCNS(=O)(=O)N(C)CCC#N ZINC000780866743 581247082 /nfs/dbraw/zinc/24/70/82/581247082.db2.gz LPLUSMACEJXTQX-LLVKDONJSA-N 0 1 274.390 0.151 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)[C@H](O)c2ccc(C#N)cc2)n1 ZINC000780933620 581250445 /nfs/dbraw/zinc/25/04/45/581250445.db2.gz QCOGYUWFNDLHPD-CYBMUJFWSA-N 0 1 285.307 0.377 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC2(CNC(=O)O2)CC1 ZINC000781998733 581284324 /nfs/dbraw/zinc/28/43/24/581284324.db2.gz JSSIVCNVFSHKSA-UHFFFAOYSA-N 0 1 293.367 0.761 20 30 CCEDMN N#Cc1ccc([C@@H](C(N)=O)[N@@H+]2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000738430721 581319090 /nfs/dbraw/zinc/31/90/90/581319090.db2.gz NTTPEMJFYCIZTA-STQMWFEESA-N 0 1 287.319 0.881 20 30 CCEDMN N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CCC[C@H](C(=O)[O-])C2)cc1 ZINC000738430721 581319092 /nfs/dbraw/zinc/31/90/92/581319092.db2.gz NTTPEMJFYCIZTA-STQMWFEESA-N 0 1 287.319 0.881 20 30 CCEDMN C=CC[C@H](C)NC(=O)NCCC[NH+]1CCN(C(=O)[O-])CC1 ZINC000738487997 581357427 /nfs/dbraw/zinc/35/74/27/581357427.db2.gz FEERCGXZJAARGP-LBPRGKRZSA-N 0 1 298.387 0.936 20 30 CCEDMN Cn1ccnc(NN=Cc2cc(O)ccc2[N+](=O)[O-])c1=O ZINC000751132465 581360133 /nfs/dbraw/zinc/36/01/33/581360133.db2.gz COTRQTFCANFFDT-UHFFFAOYSA-N 0 1 289.251 0.840 20 30 CCEDMN C#CCNC(=O)CN1CCC2(CC(OCC)C2)C1 ZINC000796527279 581442863 /nfs/dbraw/zinc/44/28/63/581442863.db2.gz DMMBUWSKJBZADA-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN3CCC2CC3)nc1 ZINC000787505891 581471327 /nfs/dbraw/zinc/47/13/27/581471327.db2.gz XMNJVFFRROVYIK-UHFFFAOYSA-N 0 1 255.321 0.983 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)N2CCN3CCC2CC3)cc1 ZINC000787523378 581471708 /nfs/dbraw/zinc/47/17/08/581471708.db2.gz NONQZPSKNKHRHP-OAHLLOKOSA-N 0 1 285.347 0.898 20 30 CCEDMN C#CCCNC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000733641762 581516230 /nfs/dbraw/zinc/51/62/30/581516230.db2.gz NMTSWEIXPIGOEB-AWEZNQCLSA-N 0 1 280.416 0.581 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)c1 ZINC000740757345 581552615 /nfs/dbraw/zinc/55/26/15/581552615.db2.gz AIWYSARUGNFMTI-CQSZACIVSA-N 0 1 297.358 0.959 20 30 CCEDMN NC(=O)c1ccc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)cc1 ZINC000753937126 581565513 /nfs/dbraw/zinc/56/55/13/581565513.db2.gz WGZTUNIKVHRPOO-BETUJISGSA-N 0 1 285.351 0.979 20 30 CCEDMN C#CCCCN1CCN([C@H](C)C(=O)NCCCOC)CC1 ZINC000766896604 581585615 /nfs/dbraw/zinc/58/56/15/581585615.db2.gz USCIWSFASFRUBR-OAHLLOKOSA-N 0 1 295.427 0.559 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H]1C[C@H](O)c1cnn(C)c1 ZINC000766947686 581588803 /nfs/dbraw/zinc/58/88/03/581588803.db2.gz ZWBZTIXJHNYLOD-KBPBESRZSA-N 0 1 290.367 0.057 20 30 CCEDMN C=C(Cl)CNS(=O)(=O)c1cn(CC)nc1[N+](=O)[O-] ZINC000754803935 581610929 /nfs/dbraw/zinc/61/09/29/581610929.db2.gz DDOXDNBGAINYNH-UHFFFAOYSA-N 0 1 294.720 0.842 20 30 CCEDMN C#CCNC(=O)CNC(C)(C)C(=O)NCC1CCCC1 ZINC000767665137 581625276 /nfs/dbraw/zinc/62/52/76/581625276.db2.gz KBVAJZUDFWEKFC-UHFFFAOYSA-N 0 1 279.384 0.410 20 30 CCEDMN Cc1[nH]nc(C(=O)N2CCO[C@H](C#N)C2)c1Br ZINC000729979776 581626765 /nfs/dbraw/zinc/62/67/65/581626765.db2.gz RMXFBPKFZKEVMY-SSDOTTSWSA-N 0 1 299.128 0.845 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(CCOC)C2)cn1 ZINC000729981456 581627539 /nfs/dbraw/zinc/62/75/39/581627539.db2.gz XMAHVZMVSXYFCX-OAHLLOKOSA-N 0 1 287.363 0.904 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)NC(C)(C)C)CC1 ZINC000735940515 581673160 /nfs/dbraw/zinc/67/31/60/581673160.db2.gz QTIGJYIKFZNYCG-UHFFFAOYSA-N 0 1 280.368 0.790 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC2(C[C@H]2C(=O)[O-])CC1 ZINC000736079971 581687355 /nfs/dbraw/zinc/68/73/55/581687355.db2.gz PVFSIHBKWIYNFB-STQMWFEESA-N 0 1 290.363 0.797 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(C(C)C)CC1 ZINC000730276743 581690175 /nfs/dbraw/zinc/69/01/75/581690175.db2.gz BLWIAZAVERVQAN-AWEZNQCLSA-N 0 1 263.385 0.637 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000736200131 581713867 /nfs/dbraw/zinc/71/38/67/581713867.db2.gz OIFWTFWYNPYWHD-WDEREUQCSA-N 0 1 267.329 0.544 20 30 CCEDMN C#CCNC(=S)N1CCN(c2cc(OC)ccn2)CC1 ZINC000758228880 581729624 /nfs/dbraw/zinc/72/96/24/581729624.db2.gz HUUYXPUAJVUKIQ-UHFFFAOYSA-N 0 1 290.392 0.720 20 30 CCEDMN C[C@H](C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C1CC1)C(=O)[O-] ZINC000736578207 581790470 /nfs/dbraw/zinc/79/04/70/581790470.db2.gz JQYJYFCYPFZKCL-MEBBXXQBSA-N 0 1 293.367 0.980 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2CCCN2C(N)=O)c1 ZINC000759720997 581818042 /nfs/dbraw/zinc/81/80/42/581818042.db2.gz WSGAIKRVUHVWFO-JTQLQIEISA-N 0 1 274.280 0.745 20 30 CCEDMN C#CCCCC(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000760346304 581855852 /nfs/dbraw/zinc/85/58/52/581855852.db2.gz XNGKJZNTFTYBMU-UHFFFAOYSA-N 0 1 275.356 0.561 20 30 CCEDMN CC(C)[C@H](C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000733155182 581867784 /nfs/dbraw/zinc/86/77/84/581867784.db2.gz MIQUYRNMMNVAPR-JMVABTLVSA-N 0 1 279.340 0.921 20 30 CCEDMN C#CCCN1CCN(c2ccc(C(N)=O)cn2)CC1 ZINC000760854345 581875943 /nfs/dbraw/zinc/87/59/43/581875943.db2.gz OOYIZYSKOVFTIQ-UHFFFAOYSA-N 0 1 258.325 0.326 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN(C)[C@@H](C)C1 ZINC000761082493 581886686 /nfs/dbraw/zinc/88/66/86/581886686.db2.gz QATONUCONPJXSL-ZDUSSCGKSA-N 0 1 251.374 0.823 20 30 CCEDMN C#CCCNC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000733355557 581902636 /nfs/dbraw/zinc/90/26/36/581902636.db2.gz AMDJGDKKBOFNHG-CYBMUJFWSA-N 0 1 267.373 0.666 20 30 CCEDMN C#CCNC(=O)Nc1cc(C(N)=O)ccc1CN(C)C ZINC000747563188 581945532 /nfs/dbraw/zinc/94/55/32/581945532.db2.gz SZBZZUITULURBJ-UHFFFAOYSA-N 0 1 274.324 0.602 20 30 CCEDMN C[NH+]1CCN(N=Cc2cc(C(=O)[O-])ccc2O)CC1 ZINC000737528516 581972997 /nfs/dbraw/zinc/97/29/97/581972997.db2.gz GEKZUKFQENOZDD-UHFFFAOYSA-N 0 1 263.297 0.672 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)c2c[nH]c(C(N)=O)c2)c1 ZINC000748410167 581974134 /nfs/dbraw/zinc/97/41/34/581974134.db2.gz CSWJFUSYBJPIHC-UHFFFAOYSA-N 0 1 270.248 0.943 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(C[C@@H]3CCOC3)CC2)cn1 ZINC000749511071 582010724 /nfs/dbraw/zinc/01/07/24/582010724.db2.gz JWEOSAQNFHYTJD-AWEZNQCLSA-N 0 1 299.374 0.857 20 30 CCEDMN C#C[C@@H](NC(=O)c1n[nH]cc1[N+](=O)[O-])[C@H]1CCCO1 ZINC000775341847 582020285 /nfs/dbraw/zinc/02/02/85/582020285.db2.gz SKJGRPGFRRIVNX-VXNVDRBHSA-N 0 1 264.241 0.229 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NCCCOC)[C@@H]1CCCO1 ZINC000775800026 582040166 /nfs/dbraw/zinc/04/01/66/582040166.db2.gz ODULKYSQVONLKQ-AVGNSLFASA-N 0 1 268.357 0.298 20 30 CCEDMN C#C[C@H](NC(=O)NCc1cn[nH]c1C)[C@@H]1CCCO1 ZINC000776117000 582052423 /nfs/dbraw/zinc/05/24/23/582052423.db2.gz JLWOJYPWICHCRH-RYUDHWBXSA-N 0 1 262.313 0.698 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCCN(C(=O)[O-])CC1 ZINC000738603047 582065028 /nfs/dbraw/zinc/06/50/28/582065028.db2.gz ORMCWSZJRWJIPN-NSHDSACASA-N 0 1 282.344 0.433 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCCN(C(=O)[O-])CC1 ZINC000738603047 582065031 /nfs/dbraw/zinc/06/50/31/582065031.db2.gz ORMCWSZJRWJIPN-NSHDSACASA-N 0 1 282.344 0.433 20 30 CCEDMN CC(C)S(=O)(=O)NN=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000751132966 582071119 /nfs/dbraw/zinc/07/11/19/582071119.db2.gz KJSFJFGITQOWBN-UHFFFAOYSA-N 0 1 287.297 0.962 20 30 CCEDMN N#Cc1ccc(OCC[N@H+]2C[C@H](O)C[C@H]2C(=O)[O-])cc1 ZINC000229082047 600137304 /nfs/dbraw/zinc/13/73/04/600137304.db2.gz AWWAYHAIDODSJE-YPMHNXCESA-N 0 1 276.292 0.457 20 30 CCEDMN C#CCNC(=O)N1CCc2sc(CN)nc2C1 ZINC000887278431 612946567 /nfs/dbraw/zinc/94/65/67/612946567.db2.gz CBZASERFSPKTKX-UHFFFAOYSA-N 0 1 250.327 0.303 20 30 CCEDMN C#CC1(F)CN(C(=O)NCCCCN2CCOCC2)C1 ZINC000883122310 612947201 /nfs/dbraw/zinc/94/72/01/612947201.db2.gz IOWOWNSQFGWBHL-UHFFFAOYSA-N 0 1 283.347 0.466 20 30 CCEDMN C#CCCN1CCN(C[C@@H](O)CC2(O)CCCC2)CC1 ZINC000878313178 613011683 /nfs/dbraw/zinc/01/16/83/613011683.db2.gz VBTBDMCHVJSZMF-HNNXBMFYSA-N 0 1 280.412 0.683 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](CN2CCCC2=O)C1 ZINC000852681031 612948147 /nfs/dbraw/zinc/94/81/47/612948147.db2.gz CSJBRDFQTDRTCQ-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N[C@@H]1C(=O)NCC1(CC)CC ZINC000933292159 612948366 /nfs/dbraw/zinc/94/83/66/612948366.db2.gz DMNFMFANKYMDNQ-GHMZBOCLSA-N 0 1 265.357 0.019 20 30 CCEDMN C#CCSCCNC(=O)NC[C@@H]1CCN1CC ZINC000883443733 613017120 /nfs/dbraw/zinc/01/71/20/613017120.db2.gz FNYPFGALFRBFTG-NSHDSACASA-N 0 1 255.387 0.746 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](c2ccn(C)n2)C1 ZINC000932140378 612950189 /nfs/dbraw/zinc/95/01/89/612950189.db2.gz YUTIKHSKITWDFR-STQMWFEESA-N 0 1 274.368 0.737 20 30 CCEDMN C[C@@H]1C[N@@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C[C@H]1O ZINC000833621781 604569215 /nfs/dbraw/zinc/56/92/15/604569215.db2.gz JTEZLLYINHPMGV-WQAKAFBOSA-N 0 1 260.293 0.997 20 30 CCEDMN C[C@@H]1C[N@H+]([C@H](C(=O)[O-])c2cccc(C#N)c2)C[C@H]1O ZINC000833621781 604569217 /nfs/dbraw/zinc/56/92/17/604569217.db2.gz JTEZLLYINHPMGV-WQAKAFBOSA-N 0 1 260.293 0.997 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1cc(O)cc([N+](=O)[O-])c1 ZINC000799084205 613058597 /nfs/dbraw/zinc/05/85/97/613058597.db2.gz WGNINPNRPMXPJS-LLVKDONJSA-N 0 1 278.264 0.805 20 30 CCEDMN N#Cc1cccc(OCCCn2cnc(-c3nn[nH]n3)n2)c1 ZINC000822837788 606867264 /nfs/dbraw/zinc/86/72/64/606867264.db2.gz SUJKZIYSJVVADY-UHFFFAOYSA-N 0 1 296.294 0.799 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N(C)CC1CN(C)C1 ZINC000859038604 613061033 /nfs/dbraw/zinc/06/10/33/613061033.db2.gz OEOIGZPDLRFVTO-GFCCVEGCSA-N 0 1 253.346 0.228 20 30 CCEDMN C#CCN(C)C(=O)N1CCc2sc(CN)nc2C1 ZINC000892879190 613071326 /nfs/dbraw/zinc/07/13/26/613071326.db2.gz LHZMJDRYGYDMBI-UHFFFAOYSA-N 0 1 264.354 0.645 20 30 CCEDMN CS(C)(=O)=NS(=O)(=O)CCN[C@@H]1CC[C@@H](C#N)C1 ZINC000903227170 617196604 /nfs/dbraw/zinc/19/66/04/617196604.db2.gz RPKZFAWYMONNIJ-NXEZZACHSA-N 0 1 293.414 0.326 20 30 CCEDMN C#C[C@@H](C)NCC1(S(=O)(=O)NC(C)(C)C)CC1 ZINC000877514872 613082269 /nfs/dbraw/zinc/08/22/69/613082269.db2.gz WQBFVABZVWVXAC-SNVBAGLBSA-N 0 1 258.387 0.848 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cncs2)C1 ZINC000971736640 613090993 /nfs/dbraw/zinc/09/09/93/613090993.db2.gz RMFOPOGKIUBFFN-NSHDSACASA-N 0 1 263.366 0.852 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2coc(OC)n2)C1 ZINC000972015702 613096761 /nfs/dbraw/zinc/09/67/61/613096761.db2.gz DSDRVPBTRJFMKQ-JTQLQIEISA-N 0 1 263.297 0.463 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1conc1C ZINC000922022533 613098015 /nfs/dbraw/zinc/09/80/15/613098015.db2.gz MQZBBUZHTKMCTD-UHFFFAOYSA-N 0 1 297.380 0.701 20 30 CCEDMN C#C[C@@H](NC1CC(S(C)(=O)=O)C1)[C@H]1CCCO1 ZINC000893676534 613099687 /nfs/dbraw/zinc/09/96/87/613099687.db2.gz MEHQODPFTOVIBA-KIDURHIOSA-N 0 1 257.355 0.332 20 30 CCEDMN C#C[C@@H]1CCCCN1C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000934516381 613102954 /nfs/dbraw/zinc/10/29/54/613102954.db2.gz GPTWXCIGBHFGBB-QWHCGFSZSA-N 0 1 270.398 0.756 20 30 CCEDMN C=C(Cl)C[C@@H]1NC(=O)N(C[C@H]2CCN2C)C1=O ZINC000925194655 613388911 /nfs/dbraw/zinc/38/89/11/613388911.db2.gz CJSAWQXYRXXYHB-BDAKNGLRSA-N 0 1 257.721 0.754 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)CC(F)(F)CC ZINC000905252063 613424484 /nfs/dbraw/zinc/42/44/84/613424484.db2.gz PRXMYQYVGXKABU-UHFFFAOYSA-N 0 1 281.284 0.989 20 30 CCEDMN C#CCC1(O)CCN(CC(=O)N(C)C2CC2)CC1 ZINC000880478828 612973347 /nfs/dbraw/zinc/97/33/47/612973347.db2.gz HPUVUPLTTFIHNH-UHFFFAOYSA-N 0 1 250.342 0.457 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1OC ZINC000834512538 617232949 /nfs/dbraw/zinc/23/29/49/617232949.db2.gz YLVODDFBKIGVEJ-GHMZBOCLSA-N 0 1 275.308 0.545 20 30 CCEDMN COc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1F ZINC000834485398 617292828 /nfs/dbraw/zinc/29/28/28/617292828.db2.gz WCVPDBJMKZQDMR-WCBMZHEXSA-N 0 1 263.272 0.676 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000885262738 612951481 /nfs/dbraw/zinc/95/14/81/612951481.db2.gz PRFFSQVCNWKXJI-OUJBWJOFSA-N 0 1 289.335 0.757 20 30 CCEDMN C#CC1CCN(C(=O)NCCN2CCCOCC2)CC1 ZINC000826863421 612963264 /nfs/dbraw/zinc/96/32/64/612963264.db2.gz VNDSQTUDAPFXRH-UHFFFAOYSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CC1CCN(CC(=O)NC(=O)NCc2ccco2)CC1 ZINC000830301742 612964545 /nfs/dbraw/zinc/96/45/45/612964545.db2.gz UKPZEMZZVYTQSI-UHFFFAOYSA-N 0 1 289.335 0.951 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)Cn1ccnc1 ZINC000960336509 612971020 /nfs/dbraw/zinc/97/10/20/612971020.db2.gz GYYCNRLQRQQDPJ-NVXWUHKLSA-N 0 1 294.358 0.888 20 30 CCEDMN C#CCCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC000833362412 612978643 /nfs/dbraw/zinc/97/86/43/612978643.db2.gz QMCNEHHFUJBSSZ-QWRGUYRKSA-N 0 1 253.298 0.738 20 30 CCEDMN C#CCOCC[N@@H+]1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000852052163 612982909 /nfs/dbraw/zinc/98/29/09/612982909.db2.gz JWXQTBJFXJZPOR-SNVBAGLBSA-N 0 1 250.302 0.340 20 30 CCEDMN C#CCOCCN1C[C@@H](O)[C@H](Oc2ccccc2)C1 ZINC000879131214 612984629 /nfs/dbraw/zinc/98/46/29/612984629.db2.gz WWEWLJURHVVMJI-HUUCEWRRSA-N 0 1 261.321 0.760 20 30 CCEDMN C#CCOCCNC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C ZINC000879457919 612988596 /nfs/dbraw/zinc/98/85/96/612988596.db2.gz BMMHGRVLVRGRGI-MFKMUULPSA-N 0 1 261.325 0.842 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000826701518 612995031 /nfs/dbraw/zinc/99/50/31/612995031.db2.gz YPGBBZWKXLKOIG-UONOGXRCSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCC[N@@H+]1C[C@@H](C)O[C@@H](C)C1 ZINC000822313880 612995704 /nfs/dbraw/zinc/99/57/04/612995704.db2.gz PMORWFQWWXFSEV-MJBXVCDLSA-N 0 1 282.384 0.640 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000822313880 612995705 /nfs/dbraw/zinc/99/57/05/612995705.db2.gz PMORWFQWWXFSEV-MJBXVCDLSA-N 0 1 282.384 0.640 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000822542148 612999091 /nfs/dbraw/zinc/99/90/91/612999091.db2.gz QZUFFTFSHGXHHN-CQSZACIVSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000819967542 612999686 /nfs/dbraw/zinc/99/96/86/612999686.db2.gz KZVHGKQJOMTYAF-ZJUUUORDSA-N 0 1 279.296 0.520 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@]2(C)CCC(=O)NC2)CC1 ZINC000981801990 613004379 /nfs/dbraw/zinc/00/43/79/613004379.db2.gz DCCDSOUGFQJBEP-INIZCTEOSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccn3nnnc3c2)CC1 ZINC000981745443 613006347 /nfs/dbraw/zinc/00/63/47/613006347.db2.gz XLWFNOWJTUZDKX-UHFFFAOYSA-N 0 1 298.350 0.296 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnc(C)n2C)CC1 ZINC000981824680 613006585 /nfs/dbraw/zinc/00/65/85/613006585.db2.gz RZEVEBLBNDOHOQ-UHFFFAOYSA-N 0 1 274.368 0.900 20 30 CCEDMN C#CCOc1ccccc1CN1CCC(CO)(CO)C1 ZINC000827481477 613007787 /nfs/dbraw/zinc/00/77/87/613007787.db2.gz OCVRPHXPGYUSRB-UHFFFAOYSA-N 0 1 275.348 0.875 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H](CC(C)C)NC(=O)[O-])CC1 ZINC000823445345 613010127 /nfs/dbraw/zinc/01/01/27/613010127.db2.gz XVZOXGJFEJVPFI-ZDUSSCGKSA-N 0 1 295.383 0.836 20 30 CCEDMN C#CCSCC(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000896684878 613010860 /nfs/dbraw/zinc/01/08/60/613010860.db2.gz UFNFZYPSPYLIPK-CYBMUJFWSA-N 0 1 282.409 0.534 20 30 CCEDMN C#CCCN1CCN(c2ccc(N)c([N+](=O)[O-])n2)CC1 ZINC000820652055 613011951 /nfs/dbraw/zinc/01/19/51/613011951.db2.gz MYJUGEBYTKSSGR-UHFFFAOYSA-N 0 1 275.312 0.717 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000854541376 613024237 /nfs/dbraw/zinc/02/42/37/613024237.db2.gz FHKZIUBILCSICU-JTQLQIEISA-N 0 1 261.325 0.331 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1CCC[N@@H+]1C(C)C)C(=O)[O-] ZINC000910049127 613040742 /nfs/dbraw/zinc/04/07/42/613040742.db2.gz TUXFWGXADJFXKR-MNOVXSKESA-N 0 1 252.314 0.452 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@H]1CCN1C1CCOCC1 ZINC000921911726 613054300 /nfs/dbraw/zinc/05/43/00/613054300.db2.gz SBONESAENFGTRK-CYBMUJFWSA-N 0 1 286.397 0.182 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000867335902 613055105 /nfs/dbraw/zinc/05/51/05/613055105.db2.gz NRRXMHBZUBSPNH-SNVBAGLBSA-N 0 1 255.343 0.592 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)CN(C)[C@@H]1CCSC1 ZINC000799071255 613055945 /nfs/dbraw/zinc/05/59/45/613055945.db2.gz FYIKZHFVGAISPU-VXGBXAGGSA-N 0 1 270.398 0.314 20 30 CCEDMN C#CC[C@H](COC)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000859429709 613066806 /nfs/dbraw/zinc/06/68/06/613066806.db2.gz ZZZNNCDMQVTQDB-SECBINFHSA-N 0 1 299.308 0.306 20 30 CCEDMN C#CCN(C(=O)NC[C@@H]1COCCN1)C1CCCCC1 ZINC000861681012 613067127 /nfs/dbraw/zinc/06/71/27/613067127.db2.gz DFCYFZGJMHUPFX-CYBMUJFWSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CCCN1C1CC1)C(=O)OC ZINC000837945801 613068730 /nfs/dbraw/zinc/06/87/30/613068730.db2.gz AEYFOIZLSLQPNK-RYUDHWBXSA-N 0 1 264.325 0.294 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1c2cn[nH]c2CC[C@H]1C)C(=O)OC ZINC000880270778 613069110 /nfs/dbraw/zinc/06/91/10/613069110.db2.gz FOWWBKRAHOTOPS-ICCXJUOJSA-N 0 1 289.335 0.757 20 30 CCEDMN C#CCN(C(=O)c1cc(C=O)n(C)n1)C1CCN(C)CC1 ZINC000886570954 613069864 /nfs/dbraw/zinc/06/98/64/613069864.db2.gz DICTUSQEHDPPBW-UHFFFAOYSA-N 0 1 288.351 0.402 20 30 CCEDMN C#CC[C@H]1CCN(CC(=O)N[C@H](C(=O)OC)C(C)C)C1 ZINC000886365896 613074534 /nfs/dbraw/zinc/07/45/34/613074534.db2.gz RTRCDRBMCUMHMH-JSGCOSHPSA-N 0 1 280.368 0.645 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCn2cccn2)C1 ZINC000971101551 613080832 /nfs/dbraw/zinc/08/08/32/613080832.db2.gz LVLWPEGWJSLMFS-CYBMUJFWSA-N 0 1 260.341 0.439 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC000971747264 613081240 /nfs/dbraw/zinc/08/12/40/613081240.db2.gz VNZZSBPXOLSHAT-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000847401276 613084026 /nfs/dbraw/zinc/08/40/26/613084026.db2.gz FDQPHGBFZYHUSA-VHSXEESVSA-N 0 1 277.328 0.867 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c(C)cnn2C)C1 ZINC000972124217 613084442 /nfs/dbraw/zinc/08/44/42/613084442.db2.gz JLHUCLVYPLNROE-GFCCVEGCSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(CC)n[nH]2)C1 ZINC000971098024 613085092 /nfs/dbraw/zinc/08/50/92/613085092.db2.gz HYABQSAKKGMMMY-GFCCVEGCSA-N 0 1 260.341 0.752 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnn2CC(F)F)C1 ZINC000971537361 613086961 /nfs/dbraw/zinc/08/69/61/613086961.db2.gz LJGWXEYPAIUSCF-LLVKDONJSA-N 0 1 296.321 0.928 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2coc(COC)n2)C1 ZINC000972638499 613087737 /nfs/dbraw/zinc/08/77/37/613087737.db2.gz UOLHMDYOLLMJGT-LLVKDONJSA-N 0 1 277.324 0.601 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ncnc3[nH]ccc32)C1 ZINC000971867390 613088166 /nfs/dbraw/zinc/08/81/66/613088166.db2.gz QULPHTAJDHNEJM-LLVKDONJSA-N 0 1 283.335 0.689 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC000972348537 613093120 /nfs/dbraw/zinc/09/31/20/613093120.db2.gz KSNMZCKFLYVFNW-AGIUHOORSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCc3ncncc3C2)C1 ZINC000971664705 613093129 /nfs/dbraw/zinc/09/31/29/613093129.db2.gz GBXCPEDZZBTVTA-ZFWWWQNUSA-N 0 1 298.390 0.747 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(C(N)=O)co2)C1 ZINC000972300644 613093921 /nfs/dbraw/zinc/09/39/21/613093921.db2.gz MGAKHMWIJQGUFV-NSHDSACASA-N 0 1 275.308 0.158 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN[C@H](C)C1)[C@H]1CCCO1 ZINC000841879747 613094214 /nfs/dbraw/zinc/09/42/14/613094214.db2.gz RJOFYHGGHXTSHM-IJLUTSLNSA-N 0 1 251.330 0.171 20 30 CCEDMN C#C[C@@H](NC(=O)N1C[C@H]2CCC[C@@H](C1)N2)[C@H]1CCCO1 ZINC000841879201 613094638 /nfs/dbraw/zinc/09/46/38/613094638.db2.gz LRAXFRCGKRZOBJ-XJFOESAGSA-N 0 1 277.368 0.703 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cccc(=O)n2C)C1 ZINC000972294966 613095464 /nfs/dbraw/zinc/09/54/64/613095464.db2.gz DUXJMQLHIIDEQH-LBPRGKRZSA-N 0 1 273.336 0.165 20 30 CCEDMN C#C[C@@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C1CCOCC1 ZINC000856119804 613098277 /nfs/dbraw/zinc/09/82/77/613098277.db2.gz MPCSOWJNHHTIIY-GMTAPVOTSA-N 0 1 252.270 0.196 20 30 CCEDMN C#C[C@@H](NC(=O)[C@H]1CCCN1C)C1CCOCC1 ZINC000856109270 613098532 /nfs/dbraw/zinc/09/85/32/613098532.db2.gz PBXQZAWYOOTGBC-CHWSQXEVSA-N 0 1 250.342 0.625 20 30 CCEDMN C#C[C@@H](NC(=O)c1ccc(NS(C)(=O)=O)nc1)C(C)C ZINC000861511493 613099062 /nfs/dbraw/zinc/09/90/62/613099062.db2.gz GWAQGFKBCVHONT-LLVKDONJSA-N 0 1 295.364 0.841 20 30 CCEDMN C#CCN(CC#N)C(=O)c1nnc2ccccc2c1O ZINC000799088842 613101425 /nfs/dbraw/zinc/10/14/25/613101425.db2.gz ZPOAOTSQSLMKHY-UHFFFAOYSA-N 0 1 266.260 0.934 20 30 CCEDMN C#CC[N@@H+](CC)CC(=O)N[C@@H](C(=O)[O-])[C@@H](C)CC ZINC000825614782 613106735 /nfs/dbraw/zinc/10/67/35/613106735.db2.gz XXBYCDNIMANHOC-CMPLNLGQSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CC[N@H+](CC)CC(=O)N[C@@H](C(=O)[O-])[C@@H](C)CC ZINC000825614782 613106737 /nfs/dbraw/zinc/10/67/37/613106737.db2.gz XXBYCDNIMANHOC-CMPLNLGQSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC[C@@H](OC(C)C)C2)C1=O ZINC000844274033 613109462 /nfs/dbraw/zinc/10/94/62/613109462.db2.gz YNYGPKGFXSPHBF-CHWSQXEVSA-N 0 1 278.352 0.637 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CC[C@]3(CC3(F)F)C2)C1=O ZINC000844274200 613109633 /nfs/dbraw/zinc/10/96/33/613109633.db2.gz OZKOMZDCCUPQMF-SKDRFNHKSA-N 0 1 268.263 0.478 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1cc([C@H]2CCCN2C)n[nH]1 ZINC000897963127 613110967 /nfs/dbraw/zinc/11/09/67/613110967.db2.gz UGRARNWPAIAXEG-BXUZGUMPSA-N 0 1 288.351 0.651 20 30 CCEDMN COc1ccccc1OCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834511892 617316634 /nfs/dbraw/zinc/31/66/34/617316634.db2.gz PKJWJMPFTGWQRZ-MNOVXSKESA-N 0 1 275.308 0.302 20 30 CCEDMN C#C[C@H](CC)NC(=O)NC[C@@H]1CCO[C@@H]1c1n[nH]c(C)n1 ZINC000922526736 613121258 /nfs/dbraw/zinc/12/12/58/613121258.db2.gz UFCILAFMGYISQL-TUAOUCFPSA-N 0 1 291.355 0.902 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H]3CC[C@@H](O)[C@@H]32)CC1 ZINC000863732098 613129187 /nfs/dbraw/zinc/12/91/87/613129187.db2.gz HYMUWIYUINPKEM-NWANDNLSSA-N 0 1 276.380 0.703 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@](C)(NC(C)=O)C2)CC1 ZINC000956615955 613129317 /nfs/dbraw/zinc/12/93/17/613129317.db2.gz YWLSFJIZPQLTQB-MRXNPFEDSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)[C@H](O)[C@@H](C)C2)CC1 ZINC000855687821 613129663 /nfs/dbraw/zinc/12/96/63/613129663.db2.gz LIYHHSWUCISOMP-NHAGDIPZSA-N 0 1 278.396 0.807 20 30 CCEDMN C#C[C@H](NC(=O)C(C)(C)c1cnc[nH]1)[C@H]1CCCO1 ZINC000857182443 613132338 /nfs/dbraw/zinc/13/23/38/613132338.db2.gz WUUCVUOFROQQBZ-WDEREUQCSA-N 0 1 261.325 0.984 20 30 CCEDMN C#CCN1CCC(NC(=O)C2CCC(O)CC2)CC1 ZINC000928653060 613132409 /nfs/dbraw/zinc/13/24/09/613132409.db2.gz XITCCYRELQMENH-UHFFFAOYSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(N3CCOCC3)CC2)CC1 ZINC000928648273 613132510 /nfs/dbraw/zinc/13/25/10/613132510.db2.gz IRVBTSUHAXBNAQ-UHFFFAOYSA-N 0 1 291.395 0.065 20 30 CCEDMN C#CCN1CCC(NC(=O)COCCCOC)CC1 ZINC000928653913 613132771 /nfs/dbraw/zinc/13/27/71/613132771.db2.gz GAXCSZYSJDYETQ-UHFFFAOYSA-N 0 1 268.357 0.253 20 30 CCEDMN C#CCN1CCC(NC(=O)CNc2ccc(C#N)cn2)CC1 ZINC000928655321 613132886 /nfs/dbraw/zinc/13/28/86/613132886.db2.gz UGKOEEUWNGTLHL-UHFFFAOYSA-N 0 1 297.362 0.579 20 30 CCEDMN C#CCN1CCC(NC(=O)COCc2cccnc2)CC1 ZINC000928653403 613133542 /nfs/dbraw/zinc/13/35/42/613133542.db2.gz LGXKBYOFHBIIRI-UHFFFAOYSA-N 0 1 287.363 0.812 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H]2CCOC[C@H]2OC)CC1 ZINC000928650505 613133609 /nfs/dbraw/zinc/13/36/09/613133609.db2.gz CZJGEJQCAWEZLF-DZGCQCFKSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CCC(NC(=O)NC2CC(COC)C2)CC1 ZINC000923931683 613134065 /nfs/dbraw/zinc/13/40/65/613134065.db2.gz XAVOZCDIXTTYHM-UHFFFAOYSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N=c2nc(C)[nH]n2CC)CC1 ZINC000931755784 613134069 /nfs/dbraw/zinc/13/40/69/613134069.db2.gz BXLDDUWRVZZJJK-UHFFFAOYSA-N 0 1 290.371 0.247 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCc2cn(C)cn2)CC1 ZINC000922820917 613134084 /nfs/dbraw/zinc/13/40/84/613134084.db2.gz IGENTCXEAXHODX-UHFFFAOYSA-N 0 1 289.383 0.359 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cccn2C)CC1 ZINC000921017108 613134349 /nfs/dbraw/zinc/13/43/49/613134349.db2.gz CFONZGPZVXGVQU-UHFFFAOYSA-N 0 1 274.368 0.922 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2nccn2C)CC1 ZINC000916648335 613134585 /nfs/dbraw/zinc/13/45/85/613134585.db2.gz KBSZSFUQAWETJS-UHFFFAOYSA-N 0 1 275.356 0.317 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2ccncn2)CC1 ZINC000902944416 613135373 /nfs/dbraw/zinc/13/53/73/613135373.db2.gz FZIKIGPZXOWACL-UHFFFAOYSA-N 0 1 259.313 0.696 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](O)/C=C/CCC)CC1 ZINC000928660711 613135821 /nfs/dbraw/zinc/13/58/21/613135821.db2.gz NWAMJLFYCZMBJF-UZYOAWRESA-N 0 1 264.369 0.917 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@H]1CCC)[C@H]1CCCO1 ZINC000841880515 613136270 /nfs/dbraw/zinc/13/62/70/613136270.db2.gz BRTUVYBXNNGAMA-HZSPNIEDSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)NC(=O)C(C)(C)C)CC1 ZINC000928659490 613136461 /nfs/dbraw/zinc/13/64/61/613136461.db2.gz OJJSHJTXKSQEHD-LBPRGKRZSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCCC23OCCO3)CC1 ZINC000928653542 613136596 /nfs/dbraw/zinc/13/65/96/613136596.db2.gz PRZVKZUCPQKGEC-CQSZACIVSA-N 0 1 292.379 0.743 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc3c(c2)nnn3C)CC1 ZINC000928653982 613137578 /nfs/dbraw/zinc/13/75/78/613137578.db2.gz ITZOZNKVRPGOBT-UHFFFAOYSA-N 0 1 298.350 0.191 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nnc(CC)s2)CC1 ZINC000928649172 613137970 /nfs/dbraw/zinc/13/79/70/613137970.db2.gz HGLBVYXXGGPUMU-UHFFFAOYSA-N 0 1 278.381 0.928 20 30 CCEDMN C#CCN1CCC(Nc2c([N+](=O)[O-])ncn2C)CC1 ZINC000895803327 613138545 /nfs/dbraw/zinc/13/85/45/613138545.db2.gz GYCGLTACJXYQHW-UHFFFAOYSA-N 0 1 263.301 0.838 20 30 CCEDMN C#C[C@H](NC(=O)NCc1cc(N)ccn1)[C@H]1CCCO1 ZINC000891813210 613141388 /nfs/dbraw/zinc/14/13/88/613141388.db2.gz IRYWJENVLXWZMM-QWHCGFSZSA-N 0 1 274.324 0.644 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ccnn3C)C2)C1 ZINC000981662628 613144683 /nfs/dbraw/zinc/14/46/83/613144683.db2.gz QGKPZWSDMKUSQH-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cnn(C)c1N ZINC000968826319 613147603 /nfs/dbraw/zinc/14/76/03/613147603.db2.gz ZHDHJHXIKZDNOR-GFCCVEGCSA-N 0 1 289.383 0.610 20 30 CCEDMN C#C[C@H](NC[C@@H]1CN(C2CC2)C(=O)O1)[C@@H]1CCCO1 ZINC000844322111 613150542 /nfs/dbraw/zinc/15/05/42/613150542.db2.gz KBQNBOJLVNXQRT-AGIUHOORSA-N 0 1 264.325 0.740 20 30 CCEDMN C#C[C@H](NS(=O)(=O)CCN(CC)CC)[C@H]1CCCO1 ZINC000810065302 613151390 /nfs/dbraw/zinc/15/13/90/613151390.db2.gz QZKHCKGYNICHFX-QWHCGFSZSA-N 0 1 288.413 0.428 20 30 CCEDMN C#C[C@](C)(CC)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000861858724 613164010 /nfs/dbraw/zinc/16/40/10/613164010.db2.gz XNQNYOWQOPKUPC-CYBMUJFWSA-N 0 1 295.364 0.985 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCCCN2CCO)nc1 ZINC000833560066 613179373 /nfs/dbraw/zinc/17/93/73/613179373.db2.gz ZDCUIOPQELWARB-AWEZNQCLSA-N 0 1 287.363 0.640 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC000923560784 613182543 /nfs/dbraw/zinc/18/25/43/613182543.db2.gz IDONVOJMQSPMMR-LBPRGKRZSA-N 0 1 260.341 0.474 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)N[C@@H](C)CN(C)C)cc1 ZINC000907187478 613198016 /nfs/dbraw/zinc/19/80/16/613198016.db2.gz OPQLHMOJGWILEB-NSHDSACASA-N 0 1 266.366 0.896 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@H](CCC)C(=O)[O-] ZINC000909812127 613199192 /nfs/dbraw/zinc/19/91/92/613199192.db2.gz QJYJJYNTFJOGLT-NEPJUHHUSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CCN1CCN(C(=O)c2n[nH]cc2C(F)(F)F)CC1 ZINC000865795976 613209316 /nfs/dbraw/zinc/20/93/16/613209316.db2.gz PLPDLEAEIMPNDC-UHFFFAOYSA-N 0 1 286.257 0.820 20 30 CCEDMN C#Cc1cnc(N2CCN(C[C@H](C)O)C[C@H]2C)nc1 ZINC000827944209 613209554 /nfs/dbraw/zinc/20/95/54/613209554.db2.gz BUEKMQLTMMAXHL-NEPJUHHUSA-N 0 1 260.341 0.349 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cn2cccn2)C1 ZINC000965147911 613218787 /nfs/dbraw/zinc/21/87/87/613218787.db2.gz GAPHPKPUSANINR-CHWSQXEVSA-N 0 1 260.341 0.343 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN(CCO)CC2(C)C)c1 ZINC000975011544 613220280 /nfs/dbraw/zinc/22/02/80/613220280.db2.gz MWHQVKFJLCYHKH-CQSZACIVSA-N 0 1 287.363 0.495 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN(CCO)CC[C@H]2C)c1 ZINC000968317302 613220425 /nfs/dbraw/zinc/22/04/25/613220425.db2.gz JIWIHTWCAYORSL-IUODEOHRSA-N 0 1 287.363 0.495 20 30 CCEDMN c1cn(-c2ccc(N=NCc3cnccn3)nn2)cn1 ZINC000807983879 613223807 /nfs/dbraw/zinc/22/38/07/613223807.db2.gz ARXOMMDXBWTPTA-UHFFFAOYSA-N 0 1 266.268 0.898 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cn2nccn2)C(C)(C)C1 ZINC000940777583 613229166 /nfs/dbraw/zinc/22/91/66/613229166.db2.gz WDVYEGFVDWDDME-GFCCVEGCSA-N 0 1 275.356 0.128 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)nc2C)C[C@@H]1C ZINC000947126752 613239755 /nfs/dbraw/zinc/23/97/55/613239755.db2.gz PJRASTOKXMLKSW-WCQYABFASA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2c[nH]c(=O)n2C)C(C)(C)C1 ZINC000940947025 613240899 /nfs/dbraw/zinc/24/08/99/613240899.db2.gz QUFLZZPAJJNCAN-GFCCVEGCSA-N 0 1 290.367 0.589 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nnn(C)c2C)C(C)(C)C1 ZINC000940648000 613244811 /nfs/dbraw/zinc/24/48/11/613244811.db2.gz VBOWAOGPZWNLBI-GFCCVEGCSA-N 0 1 289.383 0.587 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC000966198137 613247734 /nfs/dbraw/zinc/24/77/34/613247734.db2.gz LNCXXNZJTVSQCD-ZFWWWQNUSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2cccc(=O)n2C)C1 ZINC000968318165 613248481 /nfs/dbraw/zinc/24/84/81/613248481.db2.gz QDJDJGPZUQLZMX-STQMWFEESA-N 0 1 287.363 0.459 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C(OC)OC)CC1 ZINC000930956541 613256988 /nfs/dbraw/zinc/25/69/88/613256988.db2.gz FHDFLEGDLRKGTF-UHFFFAOYSA-N 0 1 256.346 0.716 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC000949749363 613259131 /nfs/dbraw/zinc/25/91/31/613259131.db2.gz SZTLOPQAYFIZEL-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2n[nH]c3c2CCC3)[C@H](C)C1 ZINC000942217350 613277385 /nfs/dbraw/zinc/27/73/85/613277385.db2.gz AGLDDNDJZJQZJU-YPMHNXCESA-N 0 1 286.379 0.972 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CCCC(=O)N2C)C(C)(C)C1 ZINC000977540073 613281794 /nfs/dbraw/zinc/28/17/94/613281794.db2.gz LZTZQJNKZQLJLB-QWHCGFSZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974933038 613281847 /nfs/dbraw/zinc/28/18/47/613281847.db2.gz ZJAHFKVEZKCTNT-NWDGAFQWSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H](C)c2ccnn2C)C(C)(C)C1 ZINC000974603706 613282411 /nfs/dbraw/zinc/28/24/11/613282411.db2.gz PMBVBLYKZFDLML-TZMCWYRMSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC000958395186 613285390 /nfs/dbraw/zinc/28/53/90/613285390.db2.gz PLRIRCMJHTYWSW-GZMMTYOYSA-N 0 1 298.293 0.003 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2C(C)(C)C2(C)C)C1 ZINC000957960891 613285537 /nfs/dbraw/zinc/28/55/37/613285537.db2.gz IOZLYQRREDAKBG-NWDGAFQWSA-N 0 1 278.396 0.711 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)cc(C)c2)C1 ZINC000958625563 613286206 /nfs/dbraw/zinc/28/62/06/613286206.db2.gz KVCMZCZHIRLXHH-JKSUJKDBSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc3cc[nH]c32)C1 ZINC000958412939 613286974 /nfs/dbraw/zinc/28/69/74/613286974.db2.gz LEYSSNPMXAHYDT-DZGCQCFKSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ncc(F)cc2F)C1 ZINC000958182992 613287668 /nfs/dbraw/zinc/28/76/68/613287668.db2.gz JLKIPKJATFPTJR-JOYOIKCWSA-N 0 1 295.289 0.016 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2CC)C1 ZINC000958186881 613287908 /nfs/dbraw/zinc/28/79/08/613287908.db2.gz HYGIKZDCNJDMIM-UONOGXRCSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2[nH]ccc2C2CC2)[C@H](O)C1 ZINC000958121191 613288615 /nfs/dbraw/zinc/28/86/15/613288615.db2.gz DGYCCUHAXUKUTF-TZMCWYRMSA-N 0 1 287.363 0.548 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2scnc2C)[C@H](O)C1 ZINC000957881764 613290556 /nfs/dbraw/zinc/29/05/56/613290556.db2.gz FJPMGGZUIAWBRD-GHMZBOCLSA-N 0 1 279.365 0.107 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[NH2+][C@]1(C(=O)[O-])CCOC1 ZINC000833369287 613293126 /nfs/dbraw/zinc/29/31/26/613293126.db2.gz PEMMLOCCLSJYRP-CYBMUJFWSA-N 0 1 270.329 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2CCCCCC2)C1 ZINC000957917895 613294196 /nfs/dbraw/zinc/29/41/96/613294196.db2.gz ATKVZSUWCWKGND-GJZGRUSLSA-N 0 1 278.396 0.999 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(Cl)cn2C)C1 ZINC000958243775 613294392 /nfs/dbraw/zinc/29/43/92/613294392.db2.gz MFVCTEMMEBLEAZ-GWCFXTLKSA-N 0 1 295.770 0.334 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)co2)C1 ZINC000958324224 613294737 /nfs/dbraw/zinc/29/47/37/613294737.db2.gz HQVZBPKIHSRIPD-RYUDHWBXSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2Cl)C1 ZINC000958605818 613296102 /nfs/dbraw/zinc/29/61/02/613296102.db2.gz GMNWOPPNAZTWED-JQWIXIFHSA-N 0 1 293.754 0.391 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2occc2Cl)C1 ZINC000958380364 613296733 /nfs/dbraw/zinc/29/67/33/613296733.db2.gz YUGMBCWJXKVRDF-ONGXEEELSA-N 0 1 282.727 0.589 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974924612 613297407 /nfs/dbraw/zinc/29/74/07/613297407.db2.gz PRPZPDXHMMXUKU-OLZOCXBDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)cc(C)n2)C1 ZINC000958199282 613302291 /nfs/dbraw/zinc/30/22/91/613302291.db2.gz GPQSYKRYQAELKC-HIFRSBDPSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc[nH]c2CC)C1 ZINC000958045767 613302878 /nfs/dbraw/zinc/30/28/78/613302878.db2.gz BIFAEJOQVNQFKZ-RISCZKNCSA-N 0 1 275.352 0.233 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc3c[nH]ccc-3n2)C1 ZINC000958179518 613303073 /nfs/dbraw/zinc/30/30/73/613303073.db2.gz OGBPMKMXZBYSMZ-DOMZBBRYSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cnoc2C(C)C)C1 ZINC000958559699 613304163 /nfs/dbraw/zinc/30/41/63/613304163.db2.gz RVUILACRSVHCHM-YPMHNXCESA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2scnc2Cl)C1 ZINC000958677336 613304578 /nfs/dbraw/zinc/30/45/78/613304578.db2.gz NIOQRFIMODMVFL-BDAKNGLRSA-N 0 1 299.783 0.452 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2sccc2OC)C1 ZINC000958606490 613304598 /nfs/dbraw/zinc/30/45/98/613304598.db2.gz PRPBEQCAQWBKEY-MNOVXSKESA-N 0 1 294.376 0.412 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]cnc1CC ZINC000962441452 613317237 /nfs/dbraw/zinc/31/72/37/613317237.db2.gz OVEAPEADXIYZHB-IAZYJMLFSA-N 0 1 258.325 0.265 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)[nH]nc1Cl ZINC000960225318 613317632 /nfs/dbraw/zinc/31/76/32/613317632.db2.gz CLYBWHYSVUZLJD-JZYVYDRUSA-N 0 1 278.743 0.665 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C(F)F)nn1C ZINC000961059165 613318235 /nfs/dbraw/zinc/31/82/35/613318235.db2.gz KCRMOOFPWOCJPU-GDGBQDQQSA-N 0 1 294.305 0.651 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2cccnn21 ZINC000961971561 613319688 /nfs/dbraw/zinc/31/96/88/613319688.db2.gz IJRQSXHHSALANU-NHAGDIPZSA-N 0 1 280.331 0.628 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)nc1Cl ZINC000962270951 613320687 /nfs/dbraw/zinc/32/06/87/613320687.db2.gz OOTXPFYWLBEYTK-JZYVYDRUSA-N 0 1 278.743 0.367 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2n1CCCCC2 ZINC000960512254 613321301 /nfs/dbraw/zinc/32/13/01/613321301.db2.gz BCVZNWVYPWGEAI-VIKVFOODSA-N 0 1 298.390 0.903 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C(C)C)c1 ZINC000962274693 613321408 /nfs/dbraw/zinc/32/14/08/613321408.db2.gz ZEHBWPSZUBVGKZ-WDNDVIMCSA-N 0 1 272.352 0.757 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nn(C)cc1Cl ZINC000960084379 613322276 /nfs/dbraw/zinc/32/22/76/613322276.db2.gz CQMAQDOGYJDEEA-JZYVYDRUSA-N 0 1 278.743 0.367 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nn(C)c(C)c1Cl ZINC000961923588 613322361 /nfs/dbraw/zinc/32/23/61/613322361.db2.gz ORKKCQJHJFGQAY-IAZYJMLFSA-N 0 1 292.770 0.675 20 30 CCEDMN C#CCNC(=O)C1CCC(N2CCOCC2)CC1 ZINC000899975577 613322953 /nfs/dbraw/zinc/32/29/53/613322953.db2.gz MNPRRAQQFCQMCG-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCNC(=O)CCN(CCS(C)(=O)=O)C(C)(C)C ZINC000815062822 613324018 /nfs/dbraw/zinc/32/40/18/613324018.db2.gz AVTFFTAUTKHWTO-UHFFFAOYSA-N 0 1 288.413 0.271 20 30 CCEDMN C=C(C)CN1CC[C@@H](C)[C@@H](NC(=O)c2cn(C)nn2)C1 ZINC000965986463 613324363 /nfs/dbraw/zinc/32/43/63/613324363.db2.gz YZCGBIFYJLINDS-NEPJUHHUSA-N 0 1 277.372 0.831 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H]1CN1C[C@@H](C)O[C@H](C)C1 ZINC000920257315 613329233 /nfs/dbraw/zinc/32/92/33/613329233.db2.gz MYFSEIJDFSGEJX-RBSFLKMASA-N 0 1 293.411 0.309 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C(=O)OC)[C@H]2CCCC[C@@H]21 ZINC000852494958 613330068 /nfs/dbraw/zinc/33/00/68/613330068.db2.gz HTJISZNUGXAYBJ-RDBSUJKOSA-N 0 1 292.379 0.790 20 30 CCEDMN C#CCNC(=O)CN[C@@H]1Cc2ccccc2[C@@H]1OC ZINC000839627883 613331145 /nfs/dbraw/zinc/33/11/45/613331145.db2.gz IJCBVOBJJNZYNF-HIFRSBDPSA-N 0 1 258.321 0.638 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)Cn1cc[nH+]c1C)C(=O)[O-] ZINC000910286457 613355803 /nfs/dbraw/zinc/35/58/03/613355803.db2.gz IVCOECKPGQJOET-SNVBAGLBSA-N 0 1 251.286 0.727 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)N[C@@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC000908872191 613355889 /nfs/dbraw/zinc/35/58/89/613355889.db2.gz XFGBVJGFJKHICP-GHMZBOCLSA-N 0 1 269.345 0.799 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000910292252 613356077 /nfs/dbraw/zinc/35/60/77/613356077.db2.gz NZYSQMKMSWBQFZ-GHMZBOCLSA-N 0 1 270.329 0.243 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000910292252 613356078 /nfs/dbraw/zinc/35/60/78/613356078.db2.gz NZYSQMKMSWBQFZ-GHMZBOCLSA-N 0 1 270.329 0.243 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)[C@H](C)CN1CCOCC1 ZINC000882897978 613357962 /nfs/dbraw/zinc/35/79/62/613357962.db2.gz XWNHAYUAWYACNP-CHWSQXEVSA-N 0 1 270.373 0.398 20 30 CCEDMN C=C(C)[C@H](CC(=O)N1CCOC[C@@H]1c1nn[nH]n1)OCC ZINC000913495969 613362282 /nfs/dbraw/zinc/36/22/82/613362282.db2.gz QGQHCIJXYCIQAA-MNOVXSKESA-N 0 1 295.343 0.471 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000906776806 613363001 /nfs/dbraw/zinc/36/30/01/613363001.db2.gz FGORMNLKYJZAKE-GBJTYRQASA-N 0 1 295.427 0.718 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N[C@@H](CCSC)c1nn[n-]n1 ZINC000912862884 613366705 /nfs/dbraw/zinc/36/67/05/613366705.db2.gz YNWKGPLEXRHZKG-VIFPVBQESA-N 0 1 284.389 0.228 20 30 CCEDMN C=C(Cl)CN1CCN(C(=O)C2=NC(=O)N(C)C2)CC1 ZINC000950023724 613375255 /nfs/dbraw/zinc/37/52/55/613375255.db2.gz XQQXWYAQNNZFNR-UHFFFAOYSA-N 0 1 284.747 0.636 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3COC(=O)N3)[C@@H]2C1 ZINC000978098511 613381682 /nfs/dbraw/zinc/38/16/82/613381682.db2.gz BLBGANJVJXLZJL-MMWGEVLESA-N 0 1 299.758 0.141 20 30 CCEDMN C=C(Cl)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn[nH]1 ZINC000960740678 613383218 /nfs/dbraw/zinc/38/32/18/613383218.db2.gz JFBMPJDYLZDLKW-MBTKJCJQSA-N 0 1 267.720 0.217 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2cc[nH]n2)C1 ZINC000968222665 613384778 /nfs/dbraw/zinc/38/47/78/613384778.db2.gz GSQRVLMZRPHKGB-LLVKDONJSA-N 0 1 268.748 0.895 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cn2cncn2)C1 ZINC000970653440 613384952 /nfs/dbraw/zinc/38/49/52/613384952.db2.gz MQSHHOJYZSKVMX-SNVBAGLBSA-N 0 1 269.736 0.221 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)NCCS(=O)(=O)CC1CC1 ZINC000876704996 613388111 /nfs/dbraw/zinc/38/81/11/613388111.db2.gz IIGJEAGHDCEBTC-SNVBAGLBSA-N 0 1 294.804 0.397 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)N[C@@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC000908872481 613390708 /nfs/dbraw/zinc/39/07/08/613390708.db2.gz ZIIAKPGFTLZQRT-ZJUUUORDSA-N 0 1 289.763 0.976 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCC(N2CCOCC2)CC1 ZINC000878968206 613396859 /nfs/dbraw/zinc/39/68/59/613396859.db2.gz LRWZHXTZOITVDR-AWEZNQCLSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN(CCO)C(C)(C)C1 ZINC000878477829 613397474 /nfs/dbraw/zinc/39/74/74/613397474.db2.gz UECGBASFPIPWKZ-LBPRGKRZSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)c1cccc2nc(CO)[nH]c21 ZINC000907220160 613402298 /nfs/dbraw/zinc/40/22/98/613402298.db2.gz LVGYARFUKXEHBM-SNVBAGLBSA-N 0 1 289.335 0.970 20 30 CCEDMN C=CCn1cccc1C(=O)N[C@H](C)C1CN(CCO)C1 ZINC000969837787 613438167 /nfs/dbraw/zinc/43/81/67/613438167.db2.gz VETZPSPUBNYZMH-GFCCVEGCSA-N 0 1 277.368 0.717 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC000972079510 613439345 /nfs/dbraw/zinc/43/93/45/613439345.db2.gz ODACXVCKHKVXBZ-MNOVXSKESA-N 0 1 267.373 0.605 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000815643061 613454099 /nfs/dbraw/zinc/45/40/99/613454099.db2.gz RZXSPYAGKIBXCS-UHFFFAOYSA-N 0 1 299.325 0.969 20 30 CCEDMN C=CCC(F)(F)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000815491390 613454863 /nfs/dbraw/zinc/45/48/63/613454863.db2.gz OUJRWCAKIYNGNG-NSHDSACASA-N 0 1 275.343 0.950 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913746451 613462545 /nfs/dbraw/zinc/46/25/45/613462545.db2.gz UOQNYLZTUBHCGR-MNOVXSKESA-N 0 1 277.328 0.497 20 30 CCEDMN C=CCCC[C@H](NC(=O)CCc1c[nH]nn1)C(=O)OC ZINC000928318600 613473249 /nfs/dbraw/zinc/47/32/49/613473249.db2.gz DAQQXGUTTPDEJK-NSHDSACASA-N 0 1 280.328 0.751 20 30 CCEDMN C=CCCC[C@H](NC(=O)CCc1cnn[nH]1)C(=O)OC ZINC000928318600 613473252 /nfs/dbraw/zinc/47/32/52/613473252.db2.gz DAQQXGUTTPDEJK-NSHDSACASA-N 0 1 280.328 0.751 20 30 CCEDMN C=CCCC[C@H](NC(=O)C1=NC(=O)N(C)C1)C(=O)OC ZINC000928319831 613474149 /nfs/dbraw/zinc/47/41/49/613474149.db2.gz NWTJPYAATKDFLU-VIFPVBQESA-N 0 1 281.312 0.753 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC000910914021 613474428 /nfs/dbraw/zinc/47/44/28/613474428.db2.gz BOEAPUFPONHPSK-QWRGUYRKSA-N 0 1 254.330 0.864 20 30 CCEDMN C=C[C@H](C)ONC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000847392097 613487390 /nfs/dbraw/zinc/48/73/90/613487390.db2.gz LNOTYPLPUWRYMY-DTWKUNHWSA-N 0 1 281.316 0.962 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N1C[C@H](C)OC(C)(C)C1 ZINC000931068010 613492670 /nfs/dbraw/zinc/49/26/70/613492670.db2.gz UOLHCOYOENTKBQ-WDEREUQCSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC000948839933 613508950 /nfs/dbraw/zinc/50/89/50/613508950.db2.gz OJOBYGZMOSFEMB-STQMWFEESA-N 0 1 268.357 0.511 20 30 CCEDMN CC#CC(=O)NCc1ccc(N2CCN(CC)CC2)nc1 ZINC000801132608 613518787 /nfs/dbraw/zinc/51/87/87/613518787.db2.gz OSAPGFSBWOXVLV-UHFFFAOYSA-N 0 1 286.379 0.863 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)C2CCOCC2)C1 ZINC000969193497 613530799 /nfs/dbraw/zinc/53/07/99/613530799.db2.gz ZDHASMSPMBOSNX-GFCCVEGCSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@]23C[C@H]2COC3)C1 ZINC000969717531 613531550 /nfs/dbraw/zinc/53/15/50/613531550.db2.gz NTVSFIFXAFVBSW-ZLDLUXBVSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cccc(=O)n2C)C1 ZINC000970404613 613532925 /nfs/dbraw/zinc/53/29/25/613532925.db2.gz RGXFMHGGYRJQSH-GFCCVEGCSA-N 0 1 287.363 0.459 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC000970866582 613533130 /nfs/dbraw/zinc/53/31/30/613533130.db2.gz ZARNMMSLPDIYCH-GFCCVEGCSA-N 0 1 299.374 0.859 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cc[n+]([O-])cc2)C1 ZINC000969254970 613533425 /nfs/dbraw/zinc/53/34/25/613533425.db2.gz WKCUOARKCWGBIL-GFCCVEGCSA-N 0 1 273.336 0.393 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2COCCN2CC)C1 ZINC000970002455 613536421 /nfs/dbraw/zinc/53/64/21/613536421.db2.gz WQBTXGNGVAPAAH-ZFWWWQNUSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3n[nH]nc3C)C2)C1 ZINC000981927869 613543372 /nfs/dbraw/zinc/54/33/72/613543372.db2.gz QTSNEFUFPWHJGJ-UHFFFAOYSA-N 0 1 287.367 0.674 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3cn[nH]n3)C2)C1 ZINC000981867960 613544263 /nfs/dbraw/zinc/54/42/63/613544263.db2.gz RDKHPVZSZQPFLN-UHFFFAOYSA-N 0 1 273.340 0.366 20 30 CCEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cnnn1C ZINC000968842848 613546135 /nfs/dbraw/zinc/54/61/35/613546135.db2.gz CCGUWLVBVLRHMW-CYBMUJFWSA-N 0 1 289.383 0.813 20 30 CCEDMN C=CCCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1CS(=O)(=O)C1 ZINC000960660505 613562735 /nfs/dbraw/zinc/56/27/35/613562735.db2.gz OIXBPCTXGSYAJE-IMRBUKKESA-N 0 1 298.408 0.044 20 30 CCEDMN C=CCCOCC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907474445 613571661 /nfs/dbraw/zinc/57/16/61/613571661.db2.gz KJDZPRYCCZDCBQ-VIFPVBQESA-N 0 1 283.357 0.409 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[NH+]([C@@H](C)CC(=O)[O-])CC1 ZINC000825675998 613580748 /nfs/dbraw/zinc/58/07/48/613580748.db2.gz NOQHGRBSZMUXCH-NSHDSACASA-N 0 1 290.385 0.373 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cn2ccnc2)C1 ZINC000968703327 613593986 /nfs/dbraw/zinc/59/39/86/613593986.db2.gz UNBQLWIQOLUBQT-UONOGXRCSA-N 0 1 274.368 0.733 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974708553 613610043 /nfs/dbraw/zinc/61/00/43/613610043.db2.gz PKIRIDFKCDOVOO-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2csnc2C)C1 ZINC000957982111 613614248 /nfs/dbraw/zinc/61/42/48/613614248.db2.gz ICWKIAZLKANEEV-WCQYABFASA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc(C)no2)[C@H](O)C1 ZINC000957859228 613614396 /nfs/dbraw/zinc/61/43/96/613614396.db2.gz MXWXJQGWXVYEAK-VXGBXAGGSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cnc(C)s2)C1 ZINC000957918893 613619112 /nfs/dbraw/zinc/61/91/12/613619112.db2.gz OIRDVPKBTYSFDJ-RYUDHWBXSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cncs2)C1 ZINC000957909690 613619202 /nfs/dbraw/zinc/61/92/02/613619202.db2.gz DSEBJZNRPLYQAX-QWRGUYRKSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccncc2F)C1 ZINC000957940095 613619689 /nfs/dbraw/zinc/61/96/89/613619689.db2.gz OIFXKFIBIXPDBU-FZMZJTMJSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ocnc2C)C1 ZINC000957965669 613620129 /nfs/dbraw/zinc/62/01/29/613620129.db2.gz MHQUWLHBTXAFOV-RYUDHWBXSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cn2nccn2)C(C)(C)C1 ZINC000974631943 613620993 /nfs/dbraw/zinc/62/09/93/613620993.db2.gz MNRNRCVYALNNNO-LBPRGKRZSA-N 0 1 275.356 0.128 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)[nH]c2C)C1 ZINC000958442755 613624880 /nfs/dbraw/zinc/62/48/80/613624880.db2.gz DOEGDBFGIPZTOT-HIFRSBDPSA-N 0 1 289.379 0.677 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cncc(F)c2)C1 ZINC000957970039 613625637 /nfs/dbraw/zinc/62/56/37/613625637.db2.gz FLLACUDSLNJGHX-OCCSQVGLSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2conc2C)C1 ZINC000958368730 613625983 /nfs/dbraw/zinc/62/59/83/613625983.db2.gz CTGVUXPXQRVWMN-YPMHNXCESA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(Cl)cnn1C ZINC000959910308 613638944 /nfs/dbraw/zinc/63/89/44/613638944.db2.gz HYPVUHQWGZGBBK-IAZYJMLFSA-N 0 1 292.770 0.757 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)nc1CC ZINC000960457479 613641419 /nfs/dbraw/zinc/64/14/19/613641419.db2.gz WENZGCXTLPSJIU-JYAVWHMHSA-N 0 1 286.379 0.666 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1conc1CC ZINC000961703356 613642088 /nfs/dbraw/zinc/64/20/88/613642088.db2.gz KAMADASUPDHYFY-YABSGUDNSA-N 0 1 273.336 0.920 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(CCC)c1 ZINC000961921782 613642113 /nfs/dbraw/zinc/64/21/13/613642113.db2.gz JEXJQHGMHMPVOZ-FICVDOATSA-N 0 1 286.379 0.976 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C)c1CC ZINC000960756539 613642464 /nfs/dbraw/zinc/64/24/64/613642464.db2.gz HCVKPPMZWGTIOG-NHAGDIPZSA-N 0 1 286.379 0.666 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@@H]1C[C@H](C)[N@H+](C2CC2)C1 ZINC000909143369 613643379 /nfs/dbraw/zinc/64/33/79/613643379.db2.gz VRNJPPBXDVRMOB-WDEREUQCSA-N 0 1 281.356 0.894 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncc2n1CCCC2 ZINC000962638764 613643393 /nfs/dbraw/zinc/64/33/93/613643393.db2.gz ACLMKTNNTMOYFW-FICVDOATSA-N 0 1 298.390 0.903 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000844111928 613648306 /nfs/dbraw/zinc/64/83/06/613648306.db2.gz GMZXBBMVZJJGPF-QMMMGPOBSA-N 0 1 294.273 0.334 20 30 CCEDMN C=CCN(Cc1c(CO)[nH]cc(OC)c1=O)[C@H](C)COC ZINC000902312743 613650971 /nfs/dbraw/zinc/65/09/71/613650971.db2.gz MPVQLQAPSPWYHL-LLVKDONJSA-N 0 1 296.367 0.899 20 30 CCEDMN C=CC[N@H+](CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O)C(C)C ZINC000823500261 613651202 /nfs/dbraw/zinc/65/12/02/613651202.db2.gz DKTMOSDBQZOFKB-LBPRGKRZSA-N 0 1 296.371 0.940 20 30 CCEDMN C=CC[N@@H+](CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O)C(C)C ZINC000823500261 613651203 /nfs/dbraw/zinc/65/12/03/613651203.db2.gz DKTMOSDBQZOFKB-LBPRGKRZSA-N 0 1 296.371 0.940 20 30 CCEDMN CC(=NNc1cnnn1C)c1cc(C(N)=O)n(C)c1 ZINC000814983580 613657824 /nfs/dbraw/zinc/65/78/24/613657824.db2.gz MYROQCGCIYZXLA-UHFFFAOYSA-N 0 1 261.289 0.089 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc(C(N)=O)o2)C1 ZINC000970104924 613665526 /nfs/dbraw/zinc/66/55/26/613665526.db2.gz LZTUFPKYMVWWPO-SECBINFHSA-N 0 1 277.324 0.615 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cccc3ncnn32)C1 ZINC000970077095 613666101 /nfs/dbraw/zinc/66/61/01/613666101.db2.gz RRVNZOQGHYCTFR-LLVKDONJSA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCCN(C(N)=O)C2)C1 ZINC000970096136 613669203 /nfs/dbraw/zinc/66/92/03/613669203.db2.gz MYJWDJUERBAYPQ-RYUDHWBXSA-N 0 1 294.399 0.400 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@H]2COC(=O)C2)CC1 ZINC000980971571 613690032 /nfs/dbraw/zinc/69/00/32/613690032.db2.gz NRTFMVUDZLNUQY-GFCCVEGCSA-N 0 1 266.341 0.660 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@]2(C)CNC(=O)C2)CC1 ZINC000981673014 613695708 /nfs/dbraw/zinc/69/57/08/613695708.db2.gz DJEJOFVEZUAUPE-AWEZNQCLSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCN1CCN(CN2CC[C@H]3COC[C@@H]3C2)C1=O ZINC000853591416 613720833 /nfs/dbraw/zinc/72/08/33/613720833.db2.gz RAOZUFWBQDLWHM-STQMWFEESA-N 0 1 265.357 0.836 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968628175 613733346 /nfs/dbraw/zinc/73/33/46/613733346.db2.gz UEDNUOBNCCXDBW-ZIAGYGMSSA-N 0 1 289.379 0.861 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC000965924321 613736324 /nfs/dbraw/zinc/73/63/24/613736324.db2.gz BCTOHUQXCVLBLV-ZYHUDNBSSA-N 0 1 277.372 0.629 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cc(=O)n(C)cn2)C1 ZINC000966838398 613772780 /nfs/dbraw/zinc/77/27/80/613772780.db2.gz AZPGFXGZCKGYLV-WCQYABFASA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cc[n+]([O-])cc2)C(C)(C)C1 ZINC000972782787 613810007 /nfs/dbraw/zinc/81/00/07/613810007.db2.gz KYBAJTBWKDBKLB-CYBMUJFWSA-N 0 1 275.352 0.946 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973014547 613827556 /nfs/dbraw/zinc/82/75/56/613827556.db2.gz DDBSBQWLKWGLOC-NEPJUHHUSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C(C)(C)C1 ZINC000974598212 613828179 /nfs/dbraw/zinc/82/81/79/613828179.db2.gz UTGQRMGDLUQNKR-UPJWGTAASA-N 0 1 293.411 0.914 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CN(C)CCO2)C(C)(C)C1 ZINC000972991431 613828445 /nfs/dbraw/zinc/82/84/45/613828445.db2.gz KOLGLXAGSQDKHZ-OLZOCXBDSA-N 0 1 281.400 0.330 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](O)CC2(CCOCC2)C1 ZINC000880589110 613845982 /nfs/dbraw/zinc/84/59/82/613845982.db2.gz KRTGNTNFBZPYKR-LBPRGKRZSA-N 0 1 268.357 0.152 20 30 CCEDMN C=CCNC(=O)CN[C@H]1C(=O)NCC1(CC)CC ZINC000933294259 613847328 /nfs/dbraw/zinc/84/73/28/613847328.db2.gz SPENAVJKRHBWAX-NSHDSACASA-N 0 1 253.346 0.183 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000825687573 613851985 /nfs/dbraw/zinc/85/19/85/613851985.db2.gz QETFOBNBSWRKQS-GUBZILKMSA-N 0 1 283.328 0.039 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC([C@H]2COC(=O)N2)CC1 ZINC000932783379 613854982 /nfs/dbraw/zinc/85/49/82/613854982.db2.gz OVUHGNUNHWWKOP-CMPLNLGQSA-N 0 1 281.356 0.498 20 30 CCEDMN C=CCNC(=S)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000840856501 613856584 /nfs/dbraw/zinc/85/65/84/613856584.db2.gz TUNABKNPNZVWFI-SNVBAGLBSA-N 0 1 271.386 0.084 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@](C)(O)C(F)(F)F ZINC000819222669 613885642 /nfs/dbraw/zinc/88/56/42/613885642.db2.gz UMYNURKJJJXVGD-QMMMGPOBSA-N 0 1 275.292 0.490 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1cc[nH]c(=O)c1 ZINC000921986704 613885888 /nfs/dbraw/zinc/88/58/88/613885888.db2.gz MECVSAIJNRHCQS-UHFFFAOYSA-N 0 1 256.327 0.478 20 30 CCEDMN CC(C)NC(=O)CON=C(N)CN1CCCC[C@@H]1C ZINC000106437988 613920172 /nfs/dbraw/zinc/92/01/72/613920172.db2.gz SWOKVZRVFCSWKN-NSHDSACASA-N 0 1 270.377 0.674 20 30 CCEDMN CC(C)OC[C@@H](O)CN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000878771743 614028453 /nfs/dbraw/zinc/02/84/53/614028453.db2.gz UKNLYFYHMSEJQM-MCIONIFRSA-N 0 1 269.389 0.690 20 30 CCEDMN CC(=O)Nc1ccc(CC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834510622 614200122 /nfs/dbraw/zinc/20/01/22/614200122.db2.gz DLBFRFHURHLVIE-TZMCWYRMSA-N 0 1 286.335 0.415 20 30 CCEDMN CC(=O)Nc1cccc(CC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834485267 614204286 /nfs/dbraw/zinc/20/42/86/614204286.db2.gz UMDZJNUQCMIKLT-JSGCOSHPSA-N 0 1 286.335 0.415 20 30 CCEDMN CC(=O)OC1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000153351269 614215674 /nfs/dbraw/zinc/21/56/74/614215674.db2.gz OBRNEETWWPKRSS-NSHDSACASA-N 0 1 281.356 0.774 20 30 CCEDMN CC(C)Cc1ncc(NC(=O)N[C@H]2CNC[C@H]2C#N)cn1 ZINC000841223639 614233639 /nfs/dbraw/zinc/23/36/39/614233639.db2.gz GXOVBJVSSYTMGI-PWSUYJOCSA-N 0 1 288.355 0.908 20 30 CCEDMN CC(C)[N@@H+](C)CCNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000819996660 614256198 /nfs/dbraw/zinc/25/61/98/614256198.db2.gz XQFSGRCERONRJT-LBPRGKRZSA-N 0 1 255.318 0.447 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000824057991 614285825 /nfs/dbraw/zinc/28/58/25/614285825.db2.gz UOTKMPZCAOLUCX-FZMZJTMJSA-N 0 1 296.371 0.773 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000824057991 614285826 /nfs/dbraw/zinc/28/58/26/614285826.db2.gz UOTKMPZCAOLUCX-FZMZJTMJSA-N 0 1 296.371 0.773 20 30 CCEDMN CC(C)(C(=O)N[C@H]1CCN(O)C1=O)N1CCCCC1 ZINC000820127765 614303056 /nfs/dbraw/zinc/30/30/56/614303056.db2.gz QLXVRDKKNAWZBX-JTQLQIEISA-N 0 1 269.345 0.357 20 30 CCEDMN CC(C)(C(=O)N[C@H]1CNC[C@H]1C#N)c1cccnc1 ZINC000834505721 614303630 /nfs/dbraw/zinc/30/36/30/614303630.db2.gz NERXLNHIFKFJJF-PWSUYJOCSA-N 0 1 258.325 0.587 20 30 CCEDMN CC(C)c1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)n(C)n1 ZINC000834480286 614303664 /nfs/dbraw/zinc/30/36/64/614303664.db2.gz NPKVVYVBXXALQH-GXSJLCMTSA-N 0 1 261.329 0.385 20 30 CCEDMN CC1(C)CN(CCO)C[C@@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC000974474006 614663466 /nfs/dbraw/zinc/66/34/66/614663466.db2.gz WLJWHGBKHCZYMH-LBPRGKRZSA-N 0 1 276.340 0.319 20 30 CCEDMN CC1(C)CNC(=O)[C@@H]1NCc1cnc2ccc(C#N)cn12 ZINC000895317572 614692722 /nfs/dbraw/zinc/69/27/22/614692722.db2.gz XKZSQHFLDYEVSB-ZDUSSCGKSA-N 0 1 283.335 0.820 20 30 CCEDMN CCCC(=O)N1CCC[C@@H](C(=O)NCC#CCN(C)C)C1 ZINC000913517438 614750907 /nfs/dbraw/zinc/75/09/07/614750907.db2.gz AUXBVVMEEDDMPJ-CQSZACIVSA-N 0 1 293.411 0.706 20 30 CCEDMN CC1(CNCc2cnc3c(C#N)cnn3c2)OCCO1 ZINC000843401867 614903599 /nfs/dbraw/zinc/90/35/99/614903599.db2.gz PRJKZCSMPYMXEW-UHFFFAOYSA-N 0 1 273.296 0.454 20 30 CCEDMN CCN(CC1CC[NH+](CC(=O)NCC#N)CC1)C(=O)[O-] ZINC000827092456 615020279 /nfs/dbraw/zinc/02/02/79/615020279.db2.gz FLWYXPVBKLXMBS-UHFFFAOYSA-N 0 1 282.344 0.338 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+](CC(=O)[O-])C(C)C ZINC000820343978 615021139 /nfs/dbraw/zinc/02/11/39/615021139.db2.gz GWHIMISBBCONFZ-UHFFFAOYSA-N 0 1 255.318 0.544 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](CC(=O)[O-])C(C)C ZINC000820343978 615021140 /nfs/dbraw/zinc/02/11/40/615021140.db2.gz GWHIMISBBCONFZ-UHFFFAOYSA-N 0 1 255.318 0.544 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1[C@@H](C)CC[C@H]1CO ZINC000880439180 615064749 /nfs/dbraw/zinc/06/47/49/615064749.db2.gz OUWHQLSKJFLHPN-AGIUHOORSA-N 0 1 267.373 0.840 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000829642398 615064755 /nfs/dbraw/zinc/06/47/55/615064755.db2.gz LZGYHXQXVZODMF-WOPDTQHZSA-N 0 1 281.356 0.647 20 30 CCEDMN CCN1CCC[C@H]1CNS(=O)(=O)c1ccc(C#N)cn1 ZINC000906799101 615146318 /nfs/dbraw/zinc/14/63/18/615146318.db2.gz QELNCQOKNOEXCV-LBPRGKRZSA-N 0 1 294.380 0.716 20 30 CCEDMN CCOC(=O)[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC000870142329 615302702 /nfs/dbraw/zinc/30/27/02/615302702.db2.gz JGIDIXOZJBBVNY-UMNHJUIQSA-N 0 1 292.335 0.953 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)C#Cc1ccc2c(c1)OCO2 ZINC000893874128 615308929 /nfs/dbraw/zinc/30/89/29/615308929.db2.gz UBJJISJQJYALLH-ZDUSSCGKSA-N 0 1 286.331 0.977 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)NCc1ccc(C#N)cn1 ZINC000883635676 615319620 /nfs/dbraw/zinc/31/96/20/615319620.db2.gz XNJAVAVKKMFCHZ-ZDUSSCGKSA-N 0 1 273.340 0.847 20 30 CCEDMN CCOC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)C(C)C#N ZINC000924879401 615362122 /nfs/dbraw/zinc/36/21/22/615362122.db2.gz QKMKESAVMGLVIY-VWYCJHECSA-N 0 1 268.313 0.763 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)NCC#CCO)C[C@@H]1C ZINC000923774819 615392509 /nfs/dbraw/zinc/39/25/09/615392509.db2.gz CGFHPMVQOAZISW-RYUDHWBXSA-N 0 1 253.346 0.106 20 30 CCEDMN CCc1cc(C(=O)NCC#CCN(C)C)c(C)nn1 ZINC000913520933 615622960 /nfs/dbraw/zinc/62/29/60/615622960.db2.gz DVXUREUTFBGGJT-UHFFFAOYSA-N 0 1 260.341 0.642 20 30 CCEDMN CCc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc(=O)[nH]1 ZINC000834485531 615631206 /nfs/dbraw/zinc/63/12/06/615631206.db2.gz XYWNOEMTAZHUBP-MWLCHTKSSA-N 0 1 260.297 0.191 20 30 CCEDMN CCc1ccccc1OCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834511522 615688353 /nfs/dbraw/zinc/68/83/53/615688353.db2.gz LXEURLJMDXZQKO-STQMWFEESA-N 0 1 273.336 0.856 20 30 CCEDMN CCn1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1C1CC1 ZINC000884250292 615689477 /nfs/dbraw/zinc/68/94/77/615689477.db2.gz BHMBPHQMTFRZGC-CMPLNLGQSA-N 0 1 273.340 0.622 20 30 CCEDMN CCn1nnc(C)c1C=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000834966323 615742721 /nfs/dbraw/zinc/74/27/21/615742721.db2.gz NGCDIPSQIFXZDP-GHMZBOCLSA-N 0 1 275.360 0.800 20 30 CCEDMN CN(C)C1(CS(=O)(=O)Oc2cccnc2C#N)CC1 ZINC000867213654 615853581 /nfs/dbraw/zinc/85/35/81/615853581.db2.gz AGWICVNUNBCDOL-UHFFFAOYSA-N 0 1 281.337 0.756 20 30 CCEDMN CN(C)CC#CCNC(=O)CCC(=O)NC1CCCC1 ZINC000913520747 615857759 /nfs/dbraw/zinc/85/77/59/615857759.db2.gz WVMCHVOTRBNZNA-UHFFFAOYSA-N 0 1 279.384 0.507 20 30 CCEDMN CN(C)CC#CCNC(=O)CCNC(=O)C(C)(C)C ZINC000913516542 615857963 /nfs/dbraw/zinc/85/79/63/615857963.db2.gz VFJMDOFEDVXEFX-UHFFFAOYSA-N 0 1 267.373 0.220 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)CC1CCCC1 ZINC000913517630 615858514 /nfs/dbraw/zinc/85/85/14/615858514.db2.gz JNTNUPSZUIFUIE-UHFFFAOYSA-N 0 1 279.384 0.364 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cncc(F)c1 ZINC000931260269 615859051 /nfs/dbraw/zinc/85/90/51/615859051.db2.gz QWLVCFPQPJQJOV-UHFFFAOYSA-N 0 1 250.277 0.907 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1cnc2ccccc21 ZINC000913517814 615859121 /nfs/dbraw/zinc/85/91/21/615859121.db2.gz QQTSJONZOMAGSV-UHFFFAOYSA-N 0 1 270.336 0.718 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(Cl)nc1N ZINC000913522347 615860919 /nfs/dbraw/zinc/86/09/19/615860919.db2.gz FSUQBCLBIWLFAO-UHFFFAOYSA-N 0 1 266.732 0.612 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2c1OCO2 ZINC000913516737 615861221 /nfs/dbraw/zinc/86/12/21/615861221.db2.gz CPMURABEHBARBH-UHFFFAOYSA-N 0 1 260.293 0.710 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnc(-c2ncc[nH]2)c1 ZINC000913522413 615861252 /nfs/dbraw/zinc/86/12/52/615861252.db2.gz INDTVWYIWBMRTJ-UHFFFAOYSA-N 0 1 283.335 0.767 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncccc1N(C)C ZINC000913523303 615861709 /nfs/dbraw/zinc/86/17/09/615861709.db2.gz UNGRBFJEDIRHBP-UHFFFAOYSA-N 0 1 260.341 0.442 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncc2n1CCCC2 ZINC000913525130 615861797 /nfs/dbraw/zinc/86/17/97/615861797.db2.gz LGSNISDQJOFLFM-UHFFFAOYSA-N 0 1 260.341 0.514 20 30 CCEDMN CN(C)CC#CCNC(=O)c1scc2c1OCCO2 ZINC000913524079 615862602 /nfs/dbraw/zinc/86/26/02/615862602.db2.gz ZCODSNIEHGEPDD-UHFFFAOYSA-N 0 1 280.349 0.814 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000896540036 615942124 /nfs/dbraw/zinc/94/21/24/615942124.db2.gz COWTWMYXXYFAGO-YRGRVCCFSA-N 0 1 266.389 0.677 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)[C@@]1(C#N)CCCOC1 ZINC000869255934 616163431 /nfs/dbraw/zinc/16/34/31/616163431.db2.gz JZIUEOZFVNFSLL-CYBMUJFWSA-N 0 1 251.330 0.327 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCO[C@@](C)(C#N)C1 ZINC000887675167 616179349 /nfs/dbraw/zinc/17/93/49/616179349.db2.gz RBCNFAKZJNIZDE-YPMHNXCESA-N 0 1 251.330 0.612 20 30 CCEDMN CN1CCCN(C(=O)[C@]2(C#N)CCCOC2)CC1 ZINC000864443389 616185834 /nfs/dbraw/zinc/18/58/34/616185834.db2.gz ZUTZTZIONXMTOX-ZDUSSCGKSA-N 0 1 251.330 0.471 20 30 CCEDMN CN1CCC[C@@H]1CNS(=O)(=O)c1ccc(C#N)cn1 ZINC000906869802 616196567 /nfs/dbraw/zinc/19/65/67/616196567.db2.gz LEWOGMNPEDECED-LLVKDONJSA-N 0 1 280.353 0.326 20 30 CCEDMN C[N@H+]1CCC[C@@H]2CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC[C@@H]21 ZINC000820620408 616197681 /nfs/dbraw/zinc/19/76/81/616197681.db2.gz MBDMEHHZEXRFGS-TYNCELHUSA-N 0 1 293.367 0.934 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)N1CCC(CC#N)CC1 ZINC000907288616 616342002 /nfs/dbraw/zinc/34/20/02/616342002.db2.gz GEHKWEGJNBONQP-GXTWGEPZSA-N 0 1 265.357 0.594 20 30 CCEDMN COC(=O)C1(C#N)CCN(C[C@H](O)C2(O)CCCC2)CC1 ZINC000930641294 616345860 /nfs/dbraw/zinc/34/58/60/616345860.db2.gz ZRVIQGXGARKUKG-LBPRGKRZSA-N 0 1 296.367 0.431 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCc1cccc(C#N)c1 ZINC000900127622 616346355 /nfs/dbraw/zinc/34/63/55/616346355.db2.gz OIXVLRULNLXRBK-DZGCQCFKSA-N 0 1 273.336 0.630 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCc1ccc(C#N)cn1 ZINC000908213931 616346386 /nfs/dbraw/zinc/34/63/86/616346386.db2.gz FMGFXGPNZDSUHU-GXTWGEPZSA-N 0 1 274.324 0.025 20 30 CCEDMN CN1CC[C@H]1CN[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000905859993 616432720 /nfs/dbraw/zinc/43/27/20/616432720.db2.gz WXQBZIJDRHHRQB-STQMWFEESA-N 0 1 258.325 0.378 20 30 CCEDMN CN1C[C@H](O)C[C@@H]1CNC(=O)[C@@]1(C#N)CC2CCC1CC2 ZINC000924994133 616459298 /nfs/dbraw/zinc/45/92/98/616459298.db2.gz YFZBDTWKDKOZKK-KFLZXYTKSA-N 0 1 291.395 0.888 20 30 CCEDMN COCCOCCOCCN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000930828308 616553013 /nfs/dbraw/zinc/55/30/13/616553013.db2.gz JMNQLXASJUDNLK-GJZGRUSLSA-N 0 1 299.415 0.584 20 30 CCEDMN COCCc1ncc(C=NNC2=NCCN2)s1 ZINC000872385225 616701672 /nfs/dbraw/zinc/70/16/72/616701672.db2.gz BNFMHCAMKBAXDQ-UHFFFAOYSA-N 0 1 253.331 0.215 20 30 CCEDMN COCC(COC)NN=C1C[C@H]2CCC[N@H+](C1)C2 ZINC000905494187 616736146 /nfs/dbraw/zinc/73/61/46/616736146.db2.gz ZKJJDBILCMCTNL-LLVKDONJSA-N 0 1 255.362 0.709 20 30 CCEDMN COCC(COC)NN=C1C[C@H]2CCCN(C1)C2 ZINC000905494187 616736150 /nfs/dbraw/zinc/73/61/50/616736150.db2.gz ZKJJDBILCMCTNL-LLVKDONJSA-N 0 1 255.362 0.709 20 30 CCEDMN COC(=O)Cn1cccc1C=NNc1cnnn1C ZINC000814980717 616740671 /nfs/dbraw/zinc/74/06/71/616740671.db2.gz JZVXEUYSKVOMFT-UHFFFAOYSA-N 0 1 262.273 0.236 20 30 CCEDMN COCC1(C(=O)NCC#CCN(C)C)CCOCC1 ZINC000913522684 616747033 /nfs/dbraw/zinc/74/70/33/616747033.db2.gz UOKOFCWARFIFFZ-UHFFFAOYSA-N 0 1 268.357 0.111 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)[C@H]1CCCN1C(C)C ZINC000934714580 616817574 /nfs/dbraw/zinc/81/75/74/616817574.db2.gz RVFINUBQLPKASW-YPMHNXCESA-N 0 1 253.346 0.904 20 30 CCEDMN COC(=O)N1CCC(N2CCN(CCC#N)CC2)CC1 ZINC000866593560 616821044 /nfs/dbraw/zinc/82/10/44/616821044.db2.gz RHBVUEREERMMDC-UHFFFAOYSA-N 0 1 280.372 0.748 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC1(C#N)CC1 ZINC000815904692 616897230 /nfs/dbraw/zinc/89/72/30/616897230.db2.gz NILGOAZTOLLGJW-JTQLQIEISA-N 0 1 263.297 0.542 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1O[C@H](C)C[C@H]1C ZINC000800385116 616901950 /nfs/dbraw/zinc/90/19/50/616901950.db2.gz RWYQRKZYXOFLLX-PRULPYPASA-N 0 1 282.340 0.661 20 30 CCEDMN COCc1ccnc(NC(=O)NCC#CCN(C)C)c1 ZINC000931829095 616909451 /nfs/dbraw/zinc/90/94/51/616909451.db2.gz OHUKNHWWZIGAKY-UHFFFAOYSA-N 0 1 276.340 0.915 20 30 CCEDMN COCc1cnc(C=NNC2=NC[C@@H](C)N2)s1 ZINC000814897494 616912517 /nfs/dbraw/zinc/91/25/17/616912517.db2.gz KDVHDLYBGOZQSJ-SSDOTTSWSA-N 0 1 253.331 0.561 20 30 CCEDMN COCc1nc(C)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000834485049 616932312 /nfs/dbraw/zinc/93/23/12/616932312.db2.gz RBXLAYJPCXSINF-DTWKUNHWSA-N 0 1 280.353 0.439 20 30 CCEDMN CNCc1cn(Cc2ccc(OCC#N)cc2)nn1 ZINC000881285316 617002993 /nfs/dbraw/zinc/00/29/93/617002993.db2.gz IIIGLZRSSASCKI-UHFFFAOYSA-N 0 1 257.297 0.948 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC1CCCC1)[C@H]1CCOC1 ZINC000850768091 617006197 /nfs/dbraw/zinc/00/61/97/617006197.db2.gz LXIBJUVHHBNRAY-SUHUHFCYSA-N 0 1 294.351 0.806 20 30 CCEDMN C[C@H]1C[C@@H](NC(=O)C(N)=O)CCN1CC#Cc1ccccc1 ZINC000947671154 625388105 /nfs/dbraw/zinc/38/81/05/625388105.db2.gz KWXKFAHGVMIWCB-ZFWWWQNUSA-N 0 1 299.374 0.493 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1ccc(C(=O)NC)cc1 ZINC000092492826 625458189 /nfs/dbraw/zinc/45/81/89/625458189.db2.gz IQZYXNQXJDRSFL-UHFFFAOYSA-N 0 1 273.336 0.227 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CNCc2ncccn2)C1 ZINC001026732850 625578658 /nfs/dbraw/zinc/57/86/58/625578658.db2.gz OGOXVYVHUKMKMW-RYUDHWBXSA-N 0 1 273.340 0.574 20 30 CCEDMN C#CCN1CCO[C@@]2(CCN(C(=O)c3cc(C)[nH]n3)C2)C1 ZINC000972281502 622744434 /nfs/dbraw/zinc/74/44/34/622744434.db2.gz IPDMFDYPRVNDQC-HNNXBMFYSA-N 0 1 288.351 0.268 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001023325299 623000760 /nfs/dbraw/zinc/00/07/60/623000760.db2.gz GHTQMPGZCVLENG-GFCCVEGCSA-N 0 1 273.336 0.862 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cnn2ncccc12 ZINC001024483734 623334506 /nfs/dbraw/zinc/33/45/06/623334506.db2.gz AXKVFKRPAUGZFI-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN N#C[C@H](C(=O)CN1CCCCS1(=O)=O)c1ccccn1 ZINC000892483615 623361953 /nfs/dbraw/zinc/36/19/53/623361953.db2.gz RVPJPEJHGACHDX-NSHDSACASA-N 0 1 293.348 0.683 20 30 CCEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1nnn(C)n1 ZINC001024607644 623393483 /nfs/dbraw/zinc/39/34/83/623393483.db2.gz FVUKTJRTIHBRTJ-NSHDSACASA-N 0 1 278.360 0.371 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1c[nH]c(=O)cn1 ZINC001024624661 623401971 /nfs/dbraw/zinc/40/19/71/623401971.db2.gz WNMMVMGRORVAKM-GFCCVEGCSA-N 0 1 288.351 0.378 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001024652491 623409367 /nfs/dbraw/zinc/40/93/67/623409367.db2.gz NXPQHMSGFFQKIC-HZSPNIEDSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001024782064 623440129 /nfs/dbraw/zinc/44/01/29/623440129.db2.gz GGKNSLNOGIKJDL-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC[C@H](CC)O1 ZINC001025807490 623884666 /nfs/dbraw/zinc/88/46/66/623884666.db2.gz QDMLJPQZEJRXAK-ODXJTPSBSA-N 0 1 262.353 0.624 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCCN(C[C@H](C)O)C2)nn1 ZINC001008795725 625717747 /nfs/dbraw/zinc/71/77/47/625717747.db2.gz RMDVXVISQONRDV-RYUDHWBXSA-N 0 1 293.371 0.039 20 30 CCEDMN C[C@H]1CCN(CC(=O)N[C@H]2CN(CC#N)CC2(C)C)C1 ZINC000975060040 625717858 /nfs/dbraw/zinc/71/78/58/625717858.db2.gz IFSTYMTYTHBREJ-STQMWFEESA-N 0 1 278.400 0.678 20 30 CCEDMN C[C@H](NC(=O)[C@H]1CCCCN1C)C1CN(CC#N)C1 ZINC000969975050 624567551 /nfs/dbraw/zinc/56/75/51/624567551.db2.gz HROVDRYVSBEABN-WCQYABFASA-N 0 1 264.373 0.431 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)[C@H](O)c2ccc(C#N)cc2)n[nH]1 ZINC000804814388 624638930 /nfs/dbraw/zinc/63/89/30/624638930.db2.gz TWICIBXJIHECOJ-QPUJVOFHSA-N 0 1 285.307 0.896 20 30 CCEDMN O=C(CN1CCCC1)NCC#C[C@@H]1CCCCO1 ZINC000891124042 624732394 /nfs/dbraw/zinc/73/23/94/624732394.db2.gz HQKDPRRQWAAUQT-ZDUSSCGKSA-N 0 1 250.342 0.771 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@H](CCCN2C(=O)c2cc[nH]n2)C1 ZINC001021529211 624760886 /nfs/dbraw/zinc/76/08/86/624760886.db2.gz LDHVUSWXPXYJLA-OCCSQVGLSA-N 0 1 272.352 0.969 20 30 CCEDMN C#CC[N@H+]1CC[C@H]2[C@H](CCCN2C(=O)c2cc[nH]n2)C1 ZINC001021529211 624760892 /nfs/dbraw/zinc/76/08/92/624760892.db2.gz LDHVUSWXPXYJLA-OCCSQVGLSA-N 0 1 272.352 0.969 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H](CCCN2C(=O)c2nonc2C)C1 ZINC001021750325 624819131 /nfs/dbraw/zinc/81/91/31/624819131.db2.gz LFHNSSJZCALKDQ-QWHCGFSZSA-N 0 1 288.351 0.938 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CCO[C@](C)(C#N)C1 ZINC000891990404 625020544 /nfs/dbraw/zinc/02/05/44/625020544.db2.gz APLQZZJJGNZLRG-GFCCVEGCSA-N 0 1 263.301 0.542 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001027521383 625936503 /nfs/dbraw/zinc/93/65/03/625936503.db2.gz BZBAVBFFZMNVFU-RYUDHWBXSA-N 0 1 281.400 0.995 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001027523635 625940273 /nfs/dbraw/zinc/94/02/73/625940273.db2.gz DRPVKUBUXHGXSM-STQMWFEESA-N 0 1 277.368 0.163 20 30 CCEDMN C[C@@H]1[C@H](C)NCCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000903498123 634580686 /nfs/dbraw/zinc/58/06/86/634580686.db2.gz ZGLMHXIKAIICPN-VHSXEESVSA-N 0 1 280.353 0.324 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)cn2)[C@H](C)CN1 ZINC000903489633 634587549 /nfs/dbraw/zinc/58/75/49/634587549.db2.gz CUALDWWXLRHJIE-NXEZZACHSA-N 0 1 280.353 0.324 20 30 CCEDMN Cc1cc2nc(C)cc(C(=O)NCC#CCN(C)C)n2n1 ZINC000905151325 634811192 /nfs/dbraw/zinc/81/11/92/634811192.db2.gz LMRPYPJKZANCRE-UHFFFAOYSA-N 0 1 285.351 0.641 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)c3ccc4[nH]nnc4c3)[C@@H]2C1 ZINC000979053246 630874624 /nfs/dbraw/zinc/87/46/24/630874624.db2.gz AIJFROPHRIADTO-CNDDSTCGSA-N 0 1 296.334 0.389 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnn(C)c1C ZINC001027760800 630899387 /nfs/dbraw/zinc/89/93/87/630899387.db2.gz YUTQEJDEUBKNSB-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@H](NC(=O)c3ncn[nH]3)C[C@@H]2C1 ZINC000980187657 631000023 /nfs/dbraw/zinc/00/00/23/631000023.db2.gz KCRWDHDIDXXTEO-AXFHLTTASA-N 0 1 274.328 0.159 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@H](NC(=O)c3nc[nH]n3)C[C@@H]2C1 ZINC000980187657 631000027 /nfs/dbraw/zinc/00/00/27/631000027.db2.gz KCRWDHDIDXXTEO-AXFHLTTASA-N 0 1 274.328 0.159 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCCN(CCCO)CC2)c1 ZINC000980917513 631080364 /nfs/dbraw/zinc/08/03/64/631080364.db2.gz OGQPJQBQYSRRBH-UHFFFAOYSA-N 0 1 276.340 0.417 20 30 CCEDMN N#CCN[C@H]1CCC[C@@H](NC(=O)CN2CCCC2)C1 ZINC000981529155 631286116 /nfs/dbraw/zinc/28/61/16/631286116.db2.gz ZWMCGHOQYHUKPG-QWHCGFSZSA-N 0 1 264.373 0.623 20 30 CCEDMN N#CC1(CNC[C@@H](O)C[C@]2(O)CCOC2)CCCCC1 ZINC000905387845 634852461 /nfs/dbraw/zinc/85/24/61/634852461.db2.gz ZAQKBCZEYCWGNY-DZGCQCFKSA-N 0 1 282.384 0.952 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@]2(C)CN([C@H](C)C(N)=O)C[C@@]2(C)C1 ZINC000982233168 631386980 /nfs/dbraw/zinc/38/69/80/631386980.db2.gz JTJVPXZFWZKDIB-FKGLVLAHSA-N 0 1 292.383 0.190 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](NCc2ccccn2)C1 ZINC000967944324 650005637 /nfs/dbraw/zinc/00/56/37/650005637.db2.gz PCHDWQYMTOIDFA-DGCLKSJQSA-N 0 1 258.325 0.932 20 30 CCEDMN COc1nccc(CN[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC000967942746 650005690 /nfs/dbraw/zinc/00/56/90/650005690.db2.gz FXNKATVCBUEUIL-ZYHUDNBSSA-N 0 1 289.339 0.335 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)CCCN2 ZINC000841849767 631600563 /nfs/dbraw/zinc/60/05/63/631600563.db2.gz KRZPGBWFVOFUNA-TZMCWYRMSA-N 0 1 270.336 0.886 20 30 CCEDMN C[C@]1(CO)C[C@@H](O)CN1Cc1ccc(C#N)s1 ZINC000878984296 631696142 /nfs/dbraw/zinc/69/61/42/631696142.db2.gz RZBMAIOPIYCEKD-BXKDBHETSA-N 0 1 252.339 0.937 20 30 CCEDMN C[C@@H](Nc1cc(C#N)ncn1)[C@H]1CN(C)CCN1C ZINC000895245681 632032077 /nfs/dbraw/zinc/03/20/77/632032077.db2.gz HPXNJUODXUMIGY-ZYHUDNBSSA-N 0 1 260.345 0.394 20 30 CCEDMN C[C@H](NC(=O)c1cccc(C#N)n1)[C@@H]1CN(C)CCN1C ZINC000896542070 632273836 /nfs/dbraw/zinc/27/38/36/632273836.db2.gz NQUJVMMALASNQT-FZMZJTMJSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@H](NC(=O)CC(C)(C)C#N)[C@@H]1CN(C)CCN1C ZINC000896541688 632273849 /nfs/dbraw/zinc/27/38/49/632273849.db2.gz HAZORPICCTZCLR-RYUDHWBXSA-N 0 1 266.389 0.677 20 30 CCEDMN C[C@@H](NC(=O)c1cc(C#N)ccn1)[C@@H]1CN(C)CCN1C ZINC000896540638 632274419 /nfs/dbraw/zinc/27/44/19/632274419.db2.gz GKZHCPIRCSSLCW-RISCZKNCSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@H](NC(=O)C1(C#N)CCC1)[C@@H]1CN(C)CCN1C ZINC000896563987 632288730 /nfs/dbraw/zinc/28/87/30/632288730.db2.gz PBTHDZAORJCZDI-RYUDHWBXSA-N 0 1 264.373 0.431 20 30 CCEDMN CN(CC#N)C1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC000985366220 632450395 /nfs/dbraw/zinc/45/03/95/632450395.db2.gz KANZUQFKJHADPM-UHFFFAOYSA-N 0 1 276.344 0.184 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2COC(=O)N2)C1 ZINC001007224861 650070852 /nfs/dbraw/zinc/07/08/52/650070852.db2.gz DHZQOTOZKUJZFK-MNOVXSKESA-N 0 1 267.329 0.252 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897307669 632476248 /nfs/dbraw/zinc/47/62/48/632476248.db2.gz UZYHKUTYXPWSNM-AAEUAGOBSA-N 0 1 268.357 0.008 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC001007304067 650073809 /nfs/dbraw/zinc/07/38/09/650073809.db2.gz ATGLOJYEISKWKP-GFCCVEGCSA-N 0 1 275.356 0.260 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001007476540 650079966 /nfs/dbraw/zinc/07/99/66/650079966.db2.gz DIGYLOPQRXAFJG-OAHLLOKOSA-N 0 1 288.395 0.875 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@@H](CC#N)C(F)(F)F ZINC000928812135 632616717 /nfs/dbraw/zinc/61/67/17/632616717.db2.gz NFVDCCQVGZXPJE-ZJUUUORDSA-N 0 1 293.289 0.915 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001007564254 650084091 /nfs/dbraw/zinc/08/40/91/650084091.db2.gz SBFUMDJMOGLQDT-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCN1CCN(C(=O)N2CCN(C(C)=O)CC2)CC1 ZINC000985822802 632649174 /nfs/dbraw/zinc/64/91/74/632649174.db2.gz PLWPHUQAPJRMHP-UHFFFAOYSA-N 0 1 294.399 0.464 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cnc[nH]c2=O)C1 ZINC001007583051 650085551 /nfs/dbraw/zinc/08/55/51/650085551.db2.gz QHSKDAJFLAUORZ-NSHDSACASA-N 0 1 274.324 0.400 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cn2ncnn2)[C@@H]1C ZINC000986268523 632736681 /nfs/dbraw/zinc/73/66/81/632736681.db2.gz HVXWVMIPJLQAAQ-ZJUUUORDSA-N 0 1 284.751 0.005 20 30 CCEDMN C[C@@H]1[C@@H](NCC#N)CCN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000986297405 632740723 /nfs/dbraw/zinc/74/07/23/632740723.db2.gz BLFCMPZBYFQJMS-KOLCDFICSA-N 0 1 284.323 0.674 20 30 CCEDMN Cc1nc(C#N)cc(NCCN2CCC(O)CC2)n1 ZINC000321249809 632806450 /nfs/dbraw/zinc/80/64/50/632806450.db2.gz JZEBXLLIDPDDAW-UHFFFAOYSA-N 0 1 261.329 0.525 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cn2cnnn2)C1 ZINC000987994852 632939425 /nfs/dbraw/zinc/93/94/25/632939425.db2.gz MGGVUBHRWNQRFA-UWVGGRQHSA-N 0 1 284.751 0.005 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001008159637 650103551 /nfs/dbraw/zinc/10/35/51/650103551.db2.gz VWGMCWHAMXDAAA-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cn2ncnn2)C1 ZINC000988831689 633118715 /nfs/dbraw/zinc/11/87/15/633118715.db2.gz YJMCRZKHOVUQGJ-NXEZZACHSA-N 0 1 284.751 0.005 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001008337275 650110530 /nfs/dbraw/zinc/11/05/30/650110530.db2.gz DCLHLVYLOLJBJA-RYUDHWBXSA-N 0 1 289.383 0.991 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2nnn(C)n2)C1 ZINC000989309186 633189297 /nfs/dbraw/zinc/18/92/97/633189297.db2.gz SQYGSIAIXQKAIB-DTWKUNHWSA-N 0 1 284.751 0.155 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1nc2c(s1)CCC2 ZINC000841017638 633199556 /nfs/dbraw/zinc/19/95/56/633199556.db2.gz GYTJNWYOMCXUKU-CBAPKCEASA-N 0 1 277.353 0.865 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCCCN(C)C2=O)CC1 ZINC000989469608 633312662 /nfs/dbraw/zinc/31/26/62/633312662.db2.gz QNYRYKPFUBOEMR-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2cncn2C)CC1 ZINC000989482379 633317955 /nfs/dbraw/zinc/31/79/55/633317955.db2.gz ARZVLVZYELWDIU-UHFFFAOYSA-N 0 1 262.357 0.683 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC000989520149 633323404 /nfs/dbraw/zinc/32/34/04/633323404.db2.gz WWQMLJUFGPDXHM-HNNXBMFYSA-N 0 1 291.395 0.555 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCn2cnnn2)C1 ZINC000989600020 633334529 /nfs/dbraw/zinc/33/45/29/633334529.db2.gz DQXKFIAVWQWTCC-GHMZBOCLSA-N 0 1 298.778 0.395 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cc[n+]([O-])cc3)CCC[C@@H]12 ZINC000989927706 633386693 /nfs/dbraw/zinc/38/66/93/633386693.db2.gz CAKGTEXKHBBTLM-GDBMZVCRSA-N 0 1 285.347 0.680 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H]3CN(C)CCO3)CCC[C@H]12 ZINC000990119290 633452338 /nfs/dbraw/zinc/45/23/38/633452338.db2.gz BQJTWOYLYSCKDG-OFQRWUPVSA-N 0 1 291.395 0.063 20 30 CCEDMN C#CC[N@H+]1CC[C@]2(NC(=O)c3[nH]nc(C)c3[O-])CCC[C@H]12 ZINC000990264348 633499210 /nfs/dbraw/zinc/49/92/10/633499210.db2.gz GGWMWLPSYMYNNI-XHDPSFHLSA-N 0 1 288.351 0.784 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NC(=O)Cc2cnc[nH]2)[C@H]1C ZINC000990429749 633529850 /nfs/dbraw/zinc/52/98/50/633529850.db2.gz DWYCZFAXDWSFLF-UMNHJUIQSA-N 0 1 289.339 0.217 20 30 CCEDMN C[C@H](NC[C@H](O)CS(C)(=O)=O)c1cccc(C#N)c1 ZINC000927029768 633578908 /nfs/dbraw/zinc/57/89/08/633578908.db2.gz LDAKNCITQUETCD-GWCFXTLKSA-N 0 1 282.365 0.614 20 30 CCEDMN C=C1CN(C(=O)C(C)(C)NC(=O)C(F)(F)F)C1 ZINC000898700590 633649498 /nfs/dbraw/zinc/64/94/98/633649498.db2.gz BZMXOITYIHLKEY-UHFFFAOYSA-N 0 1 250.220 0.842 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001008490441 650116522 /nfs/dbraw/zinc/11/65/22/650116522.db2.gz ZWYGXPKRKNMVPK-GFCCVEGCSA-N 0 1 275.352 0.766 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001008541866 650119145 /nfs/dbraw/zinc/11/91/45/650119145.db2.gz SEZNXJYEMUCTBO-LLVKDONJSA-N 0 1 290.367 0.884 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001008549314 650119948 /nfs/dbraw/zinc/11/99/48/650119948.db2.gz FTWWQTDFESTBOR-AGIUHOORSA-N 0 1 279.384 0.655 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001008549430 650120193 /nfs/dbraw/zinc/12/01/93/650120193.db2.gz FVZFXGKZNKGOBQ-HZSPNIEDSA-N 0 1 293.411 0.867 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001008670157 650122073 /nfs/dbraw/zinc/12/20/73/650122073.db2.gz IBCYOJHKEAXJMW-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001008670157 650122075 /nfs/dbraw/zinc/12/20/75/650122075.db2.gz IBCYOJHKEAXJMW-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001008840088 650128955 /nfs/dbraw/zinc/12/89/55/650128955.db2.gz LMHKEMBTIMDVNS-VXGBXAGGSA-N 0 1 265.357 0.422 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001008984627 650134908 /nfs/dbraw/zinc/13/49/08/650134908.db2.gz CLJGSAUYMLTOEE-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C[C@H](NC(=O)C#Cc1cccs1)[C@@H]1CN(C)CCN1C ZINC000900809925 634094052 /nfs/dbraw/zinc/09/40/52/634094052.db2.gz FNBAUNHOJPBULA-JSGCOSHPSA-N 0 1 291.420 0.850 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2ccc3[nH]c(CO)nc3c2)NO1 ZINC000901249124 634214164 /nfs/dbraw/zinc/21/41/64/634214164.db2.gz CMXXHZUDVIPMJX-ZETCQYMHSA-N 0 1 274.280 0.907 20 30 CCEDMN OCC#CCNC[C@H](O)COc1ccc2cc[nH]c2c1 ZINC000905357173 634847307 /nfs/dbraw/zinc/84/73/07/634847307.db2.gz JQVXSSBMHOROIE-ZDUSSCGKSA-N 0 1 274.320 0.493 20 30 CCEDMN C[C@H](NC(=O)c1ccsc1C#N)[C@@H]1CN(C)CCN1C ZINC000906780876 635035529 /nfs/dbraw/zinc/03/55/29/635035529.db2.gz SFLAOKZJMRLVFF-JQWIXIFHSA-N 0 1 292.408 0.984 20 30 CCEDMN N#CC1(CC(=O)N2CCSC[C@H]2c2nn[nH]n2)CC1 ZINC000907481328 635142897 /nfs/dbraw/zinc/14/28/97/635142897.db2.gz VTVSUXOQUOXWGP-QMMMGPOBSA-N 0 1 278.341 0.510 20 30 CCEDMN C#Cc1cncc(C(=O)N2CC[C@H](N3CCC3)C2)c1 ZINC000912908346 636697597 /nfs/dbraw/zinc/69/75/97/636697597.db2.gz MWPWHIAYJCMVJU-AWEZNQCLSA-N 0 1 255.321 0.983 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N1CC[C@H](N2CCC2)C1 ZINC000912902226 636705183 /nfs/dbraw/zinc/70/51/83/636705183.db2.gz CBRQEPDRGIDRLU-LBPRGKRZSA-N 0 1 258.325 0.817 20 30 CCEDMN C[C@H](OC[C@@H]1CCCO1)C(=O)NCC#CCN(C)C ZINC000913517623 636835012 /nfs/dbraw/zinc/83/50/12/636835012.db2.gz JDOINEAJTQKMOU-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN C[C@@H](Oc1cccc(CO)c1)C(=O)NCC#CCN(C)C ZINC000913520173 636835533 /nfs/dbraw/zinc/83/55/33/636835533.db2.gz HFSBZVGTPPUHGG-CYBMUJFWSA-N 0 1 290.363 0.627 20 30 CCEDMN Cc1nc2c(nccc2C(=O)NCC#CCN(C)C)[nH]1 ZINC000913521830 636835963 /nfs/dbraw/zinc/83/59/63/636835963.db2.gz OPDCMIYIVOHXDL-UHFFFAOYSA-N 0 1 271.324 0.561 20 30 CCEDMN C#CCCCNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000174125255 637244280 /nfs/dbraw/zinc/24/42/80/637244280.db2.gz ZPBCKSDBIKJUDG-CYBMUJFWSA-N 0 1 266.389 0.335 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3n[nH]cc3F)CCC[C@H]12 ZINC000992150559 637324080 /nfs/dbraw/zinc/32/40/80/637324080.db2.gz HZYGODKXEFUWEJ-FZMZJTMJSA-N 0 1 276.315 0.909 20 30 CCEDMN N#Cc1ccc(C(=O)NCC(=O)NC23CCN(CC2)C3)cc1 ZINC000913633133 637395766 /nfs/dbraw/zinc/39/57/66/637395766.db2.gz MVBDXZPPNQLJDQ-UHFFFAOYSA-N 0 1 298.346 0.252 20 30 CCEDMN C[C@H](CC#N)NS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914015311 637489382 /nfs/dbraw/zinc/48/93/82/637489382.db2.gz FROIVTPBGIKMDC-NEPJUHHUSA-N 0 1 271.386 0.694 20 30 CCEDMN CN1CC[C@H]1CNC(=O)NCCNc1ccc(C#N)cn1 ZINC000914123775 637516834 /nfs/dbraw/zinc/51/68/34/637516834.db2.gz CLPYZRBOEALDRT-LBPRGKRZSA-N 0 1 288.355 0.368 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H]2CN(C(=O)Cc3ccn[nH]3)C[C@@H]21 ZINC000992656575 637532586 /nfs/dbraw/zinc/53/25/86/637532586.db2.gz UGDMULVZJIRQGF-FPMFFAJLSA-N 0 1 287.367 0.692 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC000992658404 637534327 /nfs/dbraw/zinc/53/43/27/637534327.db2.gz PMYUWFNJUOELEF-GRYCIOLGSA-N 0 1 273.340 0.764 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cn2cccn2)[C@@H]1C ZINC000993012181 637663595 /nfs/dbraw/zinc/66/35/95/637663595.db2.gz PXAQYRHOOHWOHV-STQMWFEESA-N 0 1 260.341 0.485 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)c2cc(C#N)c[nH]2)CCC[N@H+]1CCO ZINC000993166518 637722290 /nfs/dbraw/zinc/72/22/90/637722290.db2.gz CSEOKLOMQQLFKH-ZYHUDNBSSA-N 0 1 276.340 0.461 20 30 CCEDMN N=C(N)NN=C1C(=O)Nc2cccc(Br)c21 ZINC000915051265 637725446 /nfs/dbraw/zinc/72/54/46/637725446.db2.gz ZJOXXVOIHVNNPZ-UHFFFAOYSA-N 0 1 282.101 0.588 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2ccn(C)c(=O)c2)[C@@H]1C ZINC000993499284 637811934 /nfs/dbraw/zinc/81/19/34/637811934.db2.gz OKFIEWOUCNFSNQ-JSGCOSHPSA-N 0 1 287.363 0.601 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)n2cncn2)C1 ZINC001007153694 637812237 /nfs/dbraw/zinc/81/22/37/637812237.db2.gz BVLVFJOFOHDLDY-NEPJUHHUSA-N 0 1 263.345 0.606 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2[nH]nnc2C)[C@@H]1C ZINC000993677211 637830557 /nfs/dbraw/zinc/83/05/57/637830557.db2.gz YQUKFTKXBHFLDJ-QWRGUYRKSA-N 0 1 263.345 0.882 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@H]1C ZINC000993724547 637835146 /nfs/dbraw/zinc/83/51/46/637835146.db2.gz AOAHEISFQMTGDT-NEPJUHHUSA-N 0 1 290.367 0.732 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cn2cncn2)[C@@H]1C ZINC000994377026 637924611 /nfs/dbraw/zinc/92/46/11/637924611.db2.gz MJILOUNVOXKALU-QWHCGFSZSA-N 0 1 275.356 0.271 20 30 CCEDMN N#Cc1ccc(OCC(=O)C(C#N)C(=O)NC2CC2)cc1 ZINC000916926714 637932832 /nfs/dbraw/zinc/93/28/32/637932832.db2.gz SYYBKABESOLWJY-ZDUSSCGKSA-N 0 1 283.287 0.925 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC000939395135 638358226 /nfs/dbraw/zinc/35/82/26/638358226.db2.gz CNBIGVGFGVRQGD-MWLCHTKSSA-N 0 1 289.339 0.551 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCCN(C(=O)c2ccn[nH]2)CC1 ZINC000940324629 638456154 /nfs/dbraw/zinc/45/61/54/638456154.db2.gz JKIGHPFTEHPEBU-JTQLQIEISA-N 0 1 275.312 0.244 20 30 CCEDMN CC1(C)CN(C(=O)c2cccc3nn[nH]c32)C[C@@H]1NCC#N ZINC000995846892 638803115 /nfs/dbraw/zinc/80/31/15/638803115.db2.gz HLTJPRSDNVXUSJ-LBPRGKRZSA-N 0 1 298.350 0.922 20 30 CCEDMN C=C(C)C[N@@H+]1CCC[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC001007171469 638817350 /nfs/dbraw/zinc/81/73/50/638817350.db2.gz FHJUJIATBODFQT-LLVKDONJSA-N 0 1 277.372 0.773 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC001007171469 638817351 /nfs/dbraw/zinc/81/73/51/638817351.db2.gz FHJUJIATBODFQT-LLVKDONJSA-N 0 1 277.372 0.773 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CCC(NCC#N)CC1 ZINC000997087451 638851351 /nfs/dbraw/zinc/85/13/51/638851351.db2.gz XSPLSJLECRHWSV-LLVKDONJSA-N 0 1 275.356 0.692 20 30 CCEDMN C#Cc1ccc(C(=O)NC2CN(C(=O)c3ccn[nH]3)C2)cc1 ZINC000997327771 638862340 /nfs/dbraw/zinc/86/23/40/638862340.db2.gz CIRSXCKUSRWFTC-UHFFFAOYSA-N 0 1 294.314 0.645 20 30 CCEDMN Cc1nnc(CNCC2CCN(C(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC000997623280 638878804 /nfs/dbraw/zinc/87/88/04/638878804.db2.gz IJCBGZIBBBHFAQ-JTQLQIEISA-N 0 1 290.371 0.601 20 30 CCEDMN CCn1nncc1CN[C@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC000998745754 638938812 /nfs/dbraw/zinc/93/88/12/638938812.db2.gz JQQFYJJBYFEVQB-RYUDHWBXSA-N 0 1 290.371 0.538 20 30 CCEDMN COc1cc(CN[C@H]2CCCN(C(=O)[C@@H](C)C#N)C2)on1 ZINC000998752157 638939278 /nfs/dbraw/zinc/93/92/78/638939278.db2.gz IGELWXBLSSRHEF-QWRGUYRKSA-N 0 1 292.339 0.923 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CCCNC2=O)C1 ZINC000999426446 638981091 /nfs/dbraw/zinc/98/10/91/638981091.db2.gz XRDJFEZMBCFFHQ-NWDGAFQWSA-N 0 1 299.802 0.846 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC001000518647 639108876 /nfs/dbraw/zinc/10/88/76/639108876.db2.gz YLTXZHRISDQIFS-STQMWFEESA-N 0 1 276.336 0.177 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC001000518646 639109578 /nfs/dbraw/zinc/10/95/78/639109578.db2.gz YLTXZHRISDQIFS-QWHCGFSZSA-N 0 1 276.336 0.177 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001000569142 639122444 /nfs/dbraw/zinc/12/24/44/639122444.db2.gz MBPBNEMIZYLIBL-OAHLLOKOSA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnn(CC)n2)CC1 ZINC001000651367 639148332 /nfs/dbraw/zinc/14/83/32/639148332.db2.gz NKOBQLMXLVFGKD-UHFFFAOYSA-N 0 1 273.340 0.293 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@]23C[C@H]2COC3)CC1 ZINC001000715590 639160476 /nfs/dbraw/zinc/16/04/76/639160476.db2.gz STZBERRJRSGBOT-HOCLYGCPSA-N 0 1 274.364 0.795 20 30 CCEDMN C#CC[N@H+]1CC=C(CNC(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)CC1 ZINC001000844150 639181943 /nfs/dbraw/zinc/18/19/43/639181943.db2.gz JRXUPVOOYUJKJI-UKRRQHHQSA-N 0 1 291.395 0.087 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2CCC(=O)NC2)CC1 ZINC001000887618 639185033 /nfs/dbraw/zinc/18/50/33/639185033.db2.gz UAIZZAOOXSFEEK-AWEZNQCLSA-N 0 1 289.379 0.284 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn3cccnc3n2)CC1 ZINC001000902676 639187728 /nfs/dbraw/zinc/18/77/28/639187728.db2.gz DWOFMZSDFFMPOI-UHFFFAOYSA-N 0 1 295.346 0.724 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001014689683 650478081 /nfs/dbraw/zinc/47/80/81/650478081.db2.gz SDXVZBPQGTUTDN-UKRRQHHQSA-N 0 1 298.390 0.795 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccn(CC)n2)CC1 ZINC001001230500 639260172 /nfs/dbraw/zinc/26/01/72/639260172.db2.gz UCKHDJHDMZQXDZ-UHFFFAOYSA-N 0 1 272.352 0.898 20 30 CCEDMN C=CCn1cc(C(=O)NCC2=CCN(CC#CC)CC2)nn1 ZINC001001241377 639264876 /nfs/dbraw/zinc/26/48/76/639264876.db2.gz KVGAAIKDNZCSBJ-UHFFFAOYSA-N 0 1 299.378 0.849 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2C[C@@]23CCOC3)CC1 ZINC001001358337 639287813 /nfs/dbraw/zinc/28/78/13/639287813.db2.gz VYTGLWIWZVDMAG-GDBMZVCRSA-N 0 1 274.364 0.795 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cn2cccn2)CC1 ZINC001002347590 639397707 /nfs/dbraw/zinc/39/77/07/639397707.db2.gz DXXWMOSBGYZFGJ-UHFFFAOYSA-N 0 1 260.341 0.487 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnn2CCOC)C1 ZINC001014860902 650496491 /nfs/dbraw/zinc/49/64/91/650496491.db2.gz VVSRBFFRMXWVEH-GFCCVEGCSA-N 0 1 278.356 0.520 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cnccc2OC)C1 ZINC001014916311 650502808 /nfs/dbraw/zinc/50/28/08/650502808.db2.gz PYSQUJGJKQHEGF-AWEZNQCLSA-N 0 1 287.363 0.847 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CCNC(=O)CC2)CC1 ZINC001003379267 639536697 /nfs/dbraw/zinc/53/66/97/639536697.db2.gz BOIUEODDDSFJIJ-CYBMUJFWSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccoc2CC(N)=O)CC1 ZINC001003510512 639554707 /nfs/dbraw/zinc/55/47/07/639554707.db2.gz SCFRLHPIAAJQEP-UHFFFAOYSA-N 0 1 289.335 0.135 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC001003578373 639572068 /nfs/dbraw/zinc/57/20/68/639572068.db2.gz DWSRQQGPRKLLKU-HNNXBMFYSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3ccccn3c2=O)C1 ZINC001014959501 650507539 /nfs/dbraw/zinc/50/75/39/650507539.db2.gz FPJNWABXXARODH-LBPRGKRZSA-N 0 1 298.346 0.685 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(OC)cc[nH]c2=O)CC1 ZINC001003983869 639661687 /nfs/dbraw/zinc/66/16/87/639661687.db2.gz RGNCLGWADBPCEK-UHFFFAOYSA-N 0 1 289.335 0.623 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2coc(OCC)n2)C1 ZINC001015096885 650528413 /nfs/dbraw/zinc/52/84/13/650528413.db2.gz MEINPCVNAWYBCJ-NSHDSACASA-N 0 1 277.324 0.901 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C2CCOCC2)CC1 ZINC001005043503 639867620 /nfs/dbraw/zinc/86/76/20/639867620.db2.gz OYAPWPNDZDXPCF-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001015156860 650533280 /nfs/dbraw/zinc/53/32/80/650533280.db2.gz VBZTZOPSEHOTLO-GJZGRUSLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@]2(C)CCNC(=O)C2)CC1 ZINC001005583657 639941589 /nfs/dbraw/zinc/94/15/89/639941589.db2.gz XOWWQYDCCAUMOH-MRXNPFEDSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3n[nH]cc3c2)C1 ZINC001014878380 640181407 /nfs/dbraw/zinc/18/14/07/640181407.db2.gz QFBRGBRSVQROAB-LBPRGKRZSA-N 0 1 271.324 0.948 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001015353805 650557382 /nfs/dbraw/zinc/55/73/82/650557382.db2.gz DGLPBRBGVBUHFZ-CYBMUJFWSA-N 0 1 274.368 0.349 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001015369795 650559384 /nfs/dbraw/zinc/55/93/84/650559384.db2.gz DCPHMOQHOMTNHX-LJISPDSOSA-N 0 1 294.395 0.805 20 30 CCEDMN O=C1C=C(NNc2[nH+]cnc3[n-]cnc32)[C@@H]2CCCN12 ZINC000799477722 640574598 /nfs/dbraw/zinc/57/45/98/640574598.db2.gz GVGFUKWLIHHETJ-QMMMGPOBSA-N 0 1 271.284 0.516 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2c[nH]c3cnccc23)C1 ZINC001015605457 650590096 /nfs/dbraw/zinc/59/00/96/650590096.db2.gz NVIXFOINZCKMDO-CYBMUJFWSA-N 0 1 282.347 0.929 20 30 CCEDMN COc1cc[nH]c(=NNS(=O)(=O)c2ccc(C#N)o2)n1 ZINC000808075676 640847241 /nfs/dbraw/zinc/84/72/41/640847241.db2.gz WQKGIOUOZDHKBM-UHFFFAOYSA-N 0 1 295.280 0.255 20 30 CCEDMN C[C@@H]1CCCN1CCNS(=O)(=O)c1cncc(C#N)c1 ZINC000810067694 640922929 /nfs/dbraw/zinc/92/29/29/640922929.db2.gz ZGNRTAABWPDWII-LLVKDONJSA-N 0 1 294.380 0.716 20 30 CCEDMN Cn1ncc(C=[NH+][N-]c2ccc(Cl)nn2)c1N ZINC000814698075 641074191 /nfs/dbraw/zinc/07/41/91/641074191.db2.gz PRDYRNMYAFJDOF-UHFFFAOYSA-N 0 1 251.681 0.892 20 30 CCEDMN Nc1ncc2c(n1)CCCC2=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000814886310 641079538 /nfs/dbraw/zinc/07/95/38/641079538.db2.gz XTELQZDJLUYHNX-STQMWFEESA-N 0 1 299.382 0.959 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cncc(OC)n2)C1 ZINC001015795616 650630155 /nfs/dbraw/zinc/63/01/55/650630155.db2.gz WRWYZEFQDXLLGS-NSHDSACASA-N 0 1 274.324 0.313 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nc3ncccn3n2)C1 ZINC001015873176 650643203 /nfs/dbraw/zinc/64/32/03/650643203.db2.gz TULJHJWYMNBDDK-LLVKDONJSA-N 0 1 286.339 0.505 20 30 CCEDMN N#CCNC(=O)CNC[C@@H](O)c1ccc(F)cc1Cl ZINC000819309952 641400241 /nfs/dbraw/zinc/40/02/41/641400241.db2.gz QNNMYYZFOVOUQB-LLVKDONJSA-N 0 1 285.706 0.742 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn3nccc3nc2C)C1 ZINC001015923010 650657481 /nfs/dbraw/zinc/65/74/81/650657481.db2.gz JMSLGKKUVDFMOL-CYBMUJFWSA-N 0 1 297.362 0.865 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001015937336 650659587 /nfs/dbraw/zinc/65/95/87/650659587.db2.gz BBYZZBGDODQRQK-LBPRGKRZSA-N 0 1 276.340 0.865 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001016128109 650683690 /nfs/dbraw/zinc/68/36/90/650683690.db2.gz MSGKNBWBZGCQDI-KGLIPLIRSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001016140851 650689817 /nfs/dbraw/zinc/68/98/17/650689817.db2.gz INBWKXWOUWXPNL-NWDGAFQWSA-N 0 1 265.357 0.374 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1ccccc1C#N ZINC000826387447 641933296 /nfs/dbraw/zinc/93/32/96/641933296.db2.gz VSPONUKQRNAVIT-UHFFFAOYSA-N 0 1 276.263 0.855 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001016307652 650714371 /nfs/dbraw/zinc/71/43/71/650714371.db2.gz UTSLNGUELHJLQP-GXTWGEPZSA-N 0 1 286.379 0.728 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2c(C)c(C)sc2=O)C1 ZINC001016338060 650719506 /nfs/dbraw/zinc/71/95/06/650719506.db2.gz WBMUPVVRTMKVGQ-GFCCVEGCSA-N 0 1 295.408 0.903 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2c(C)c(C)sc2=O)C1 ZINC001016338061 650719868 /nfs/dbraw/zinc/71/98/68/650719868.db2.gz WBMUPVVRTMKVGQ-LBPRGKRZSA-N 0 1 295.408 0.903 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001016353514 650721039 /nfs/dbraw/zinc/72/10/39/650721039.db2.gz KPUCEUQADHULRD-GFCCVEGCSA-N 0 1 296.334 0.799 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(CC)n(C)n2)C1 ZINC001016417751 650729024 /nfs/dbraw/zinc/72/90/24/650729024.db2.gz WFSAZOYJVGKRLL-GFCCVEGCSA-N 0 1 274.368 0.810 20 30 CCEDMN CO[C@H]1C[C@@H](N(C)CC(=O)NCCC#N)C12CCC2 ZINC000832205030 642459399 /nfs/dbraw/zinc/45/93/99/642459399.db2.gz VRRGVZUDTYKOTG-NEPJUHHUSA-N 0 1 265.357 0.906 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1cc(F)ccc1F ZINC000834480682 642573197 /nfs/dbraw/zinc/57/31/97/642573197.db2.gz USVHNUYRMLDZFN-CABZTGNLSA-N 0 1 265.263 0.735 20 30 CCEDMN Cc1nc2ccccc2n1CC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834480279 642573638 /nfs/dbraw/zinc/57/36/38/642573638.db2.gz NNAGFIVDFZSVJV-DGCLKSJQSA-N 0 1 283.335 0.573 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1scnc1C1CC1 ZINC000834483882 642574155 /nfs/dbraw/zinc/57/41/55/642574155.db2.gz AUPJZIIEBUJWLS-RKDXNWHRSA-N 0 1 262.338 0.862 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc2c(s1)CCOC2 ZINC000834484768 642575472 /nfs/dbraw/zinc/57/54/72/642575472.db2.gz MUYGROGZXDAROO-VHSXEESVSA-N 0 1 277.349 0.662 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1c[nH]nc1-c1ccncc1 ZINC000834491101 642580944 /nfs/dbraw/zinc/58/09/44/642580944.db2.gz ZWCNEVKBIOJTKA-JQWIXIFHSA-N 0 1 282.307 0.313 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CNC(=O)CC1CCCCC1 ZINC000834493921 642583446 /nfs/dbraw/zinc/58/34/46/642583446.db2.gz IUMVFAHQRLUDSX-CHWSQXEVSA-N 0 1 292.383 0.301 20 30 CCEDMN Cc1cc2c[nH]nc2c(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834493627 642584130 /nfs/dbraw/zinc/58/41/30/642584130.db2.gz FZEGBXWSDLUFMX-PWSUYJOCSA-N 0 1 269.308 0.713 20 30 CCEDMN C[C@H](Oc1ccc(F)c(F)c1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834495197 642586077 /nfs/dbraw/zinc/58/60/77/642586077.db2.gz SVQXNDBWEWWRMJ-IGJMFERPSA-N 0 1 295.289 0.960 20 30 CCEDMN Cc1nn2c(nc(C)cc2C)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834500577 642589172 /nfs/dbraw/zinc/58/91/72/642589172.db2.gz UTFBYYCHVUDYDS-NWDGAFQWSA-N 0 1 298.350 0.496 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cncnc1-c1ccccc1 ZINC000834499795 642589226 /nfs/dbraw/zinc/58/92/26/642589226.db2.gz MTBZJFWZDJUZGA-OCCSQVGLSA-N 0 1 293.330 0.985 20 30 CCEDMN Cc1nn2c(nc(C)cc2C)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834500579 642589290 /nfs/dbraw/zinc/58/92/90/642589290.db2.gz UTFBYYCHVUDYDS-VXGBXAGGSA-N 0 1 298.350 0.496 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000834505898 642591238 /nfs/dbraw/zinc/59/12/38/642591238.db2.gz OXIAADGQCBZBGN-KOLCDFICSA-N 0 1 260.253 0.436 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CNC[C@H]2C#N)cs1 ZINC000834507174 642592833 /nfs/dbraw/zinc/59/28/33/642592833.db2.gz YONRAZQKUDPAQY-PSASIEDQSA-N 0 1 250.327 0.222 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COc1ccc2c(c1)CCC2 ZINC000834507053 642593882 /nfs/dbraw/zinc/59/38/82/642593882.db2.gz XOKQPXMROKFCFS-DZGCQCFKSA-N 0 1 285.347 0.782 20 30 CCEDMN C[C@H](Oc1ccc(F)cc1)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834506076 642594051 /nfs/dbraw/zinc/59/40/51/642594051.db2.gz PNLXDLGHMFTHGC-KWBADKCTSA-N 0 1 277.299 0.821 20 30 CCEDMN C[C@H](Oc1ccc(F)cc1)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834506071 642594339 /nfs/dbraw/zinc/59/43/39/642594339.db2.gz PNLXDLGHMFTHGC-CWSCBRNRSA-N 0 1 277.299 0.821 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(Cc2ccccc2)n[nH]1 ZINC000834507101 642594350 /nfs/dbraw/zinc/59/43/50/642594350.db2.gz YDFLCDSLAZPXER-DOMZBBRYSA-N 0 1 295.346 0.842 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cncn1-c1ccc(F)cc1 ZINC000834512065 642596495 /nfs/dbraw/zinc/59/64/95/642596495.db2.gz RKKZYCWVUBIHAQ-GWCFXTLKSA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCNC(=O)c1cccs1 ZINC000834511939 642597072 /nfs/dbraw/zinc/59/70/72/642597072.db2.gz QBGPZTMWSJFUFY-ZJUUUORDSA-N 0 1 292.364 0.096 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(Cl)nc1 ZINC000834512182 642597169 /nfs/dbraw/zinc/59/71/69/642597169.db2.gz SPTRURYSSLKFJG-DTWKUNHWSA-N 0 1 250.689 0.576 20 30 CCEDMN C=CC1CCN([C@@H]2CC(=O)N(CCCO)C2=O)CC1 ZINC000840739849 642790363 /nfs/dbraw/zinc/79/03/63/642790363.db2.gz GVQMPLIMRXUNBE-GFCCVEGCSA-N 0 1 266.341 0.394 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccc(Cl)nc1 ZINC000841075863 642801965 /nfs/dbraw/zinc/80/19/65/642801965.db2.gz NGFGUFUIRMVWJQ-IONNQARKSA-N 0 1 265.704 0.968 20 30 CCEDMN c1ccc(CN2CCC(N=NC3=NCCN3)C2)cc1 ZINC000841658698 642828781 /nfs/dbraw/zinc/82/87/81/642828781.db2.gz ICWHHLSHXWZNSK-UHFFFAOYSA-N 0 1 257.341 0.797 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COCCO1 ZINC001017371184 650797041 /nfs/dbraw/zinc/79/70/41/650797041.db2.gz MJIYRUIZJWZDPS-HZSPNIEDSA-N 0 1 280.368 0.653 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COCCN1C ZINC001017496330 650806614 /nfs/dbraw/zinc/80/66/14/650806614.db2.gz ONDPUCUSUSBADA-QLFBSQMISA-N 0 1 293.411 0.568 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CSc1ccc(O)cc1 ZINC000843461199 643065312 /nfs/dbraw/zinc/06/53/12/643065312.db2.gz SGMKNBXQOASJTO-CABZTGNLSA-N 0 1 277.349 0.712 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(F)cc1[N+](=O)[O-] ZINC000843462187 643066624 /nfs/dbraw/zinc/06/66/24/643066624.db2.gz OTERPLGCPURRNZ-XCBNKYQSSA-N 0 1 278.243 0.575 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCCN1CC#C ZINC001017628236 650814277 /nfs/dbraw/zinc/81/42/77/650814277.db2.gz IAAGTSHZLPQGMO-BBWFWOEESA-N 0 1 299.418 0.783 20 30 CCEDMN Cc1[nH]nc2ncc(C(=O)N[C@H]3CNC[C@@H]3C#N)cc12 ZINC000844195211 643179003 /nfs/dbraw/zinc/17/90/03/643179003.db2.gz SJAMJWGCLOPRDQ-ONGXEEELSA-N 0 1 270.296 0.108 20 30 CCEDMN C[C@@H](CO)C1CCN(CC(=O)NCCC#N)CC1 ZINC000844420314 643213927 /nfs/dbraw/zinc/21/39/27/643213927.db2.gz WBRHAGHAZKUJDL-NSHDSACASA-N 0 1 253.346 0.357 20 30 CCEDMN N#Cc1ccc([C@@H](O)CN2C[C@@H]3C[C@H]2C[S@@]3=O)cc1 ZINC000844430669 643214593 /nfs/dbraw/zinc/21/45/93/643214593.db2.gz CKXJBNANXCLKFF-MNUAXYBXSA-N 0 1 276.361 0.797 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)o1 ZINC000846526053 643419533 /nfs/dbraw/zinc/41/95/33/643419533.db2.gz BQYNKVJXNOIXTH-UHFFFAOYSA-N 0 1 286.295 0.227 20 30 CCEDMN N#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001018156299 650846331 /nfs/dbraw/zinc/84/63/31/650846331.db2.gz KUVVALBBXGLXRJ-RWMBFGLXSA-N 0 1 299.378 0.713 20 30 CCEDMN Cc1nnc(SCCC(=O)N[C@@H]2CCCN(O)C2=O)[nH]1 ZINC000848135398 643605564 /nfs/dbraw/zinc/60/55/64/643605564.db2.gz CLSKKGBLAMZPGJ-MRVPVSSYSA-N 0 1 299.356 0.092 20 30 CCEDMN C[C@@H]1CC[C@H](C)N1CC(=O)N[C@@H]1CCCN(O)C1=O ZINC000848136937 643608178 /nfs/dbraw/zinc/60/81/78/643608178.db2.gz UTMWMTCNNYLIBV-OUAUKWLOSA-N 0 1 269.345 0.356 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1COC[C@@H]1c1ccccc1 ZINC000852875179 644036208 /nfs/dbraw/zinc/03/62/08/644036208.db2.gz GJHNMSMDMVLHGB-TUVASFSCSA-N 0 1 285.347 0.644 20 30 CCEDMN N#Cc1cnnc(N2CCN(CCCCO)CC2)c1 ZINC000853373533 644103114 /nfs/dbraw/zinc/10/31/14/644103114.db2.gz ZKJIGBTYWMYWER-UHFFFAOYSA-N 0 1 261.329 0.243 20 30 CCEDMN N#CC(C(=O)[C@@H]1[C@H]2CC[C@]3(COC(=O)[C@H]13)O2)c1ccccn1 ZINC000860468512 644803811 /nfs/dbraw/zinc/80/38/11/644803811.db2.gz SMBOIEWSYMLZSN-BVEFZAQCSA-N 0 1 298.298 0.978 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C1)CCN(C(=O)c1ccn[nH]1)C2 ZINC001019361393 650965584 /nfs/dbraw/zinc/96/55/84/650965584.db2.gz FSJKPAREDKDBEM-SNVBAGLBSA-N 0 1 287.323 0.244 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)[nH]1 ZINC001020058598 651033849 /nfs/dbraw/zinc/03/38/49/651033849.db2.gz OYVIZWPPYBWTAJ-XYPYZODXSA-N 0 1 299.338 0.364 20 30 CCEDMN Cn1cnnc1CN[C@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001020088792 651036952 /nfs/dbraw/zinc/03/69/52/651036952.db2.gz XTOZSJZJDGXOKC-XYPYZODXSA-N 0 1 299.338 0.065 20 30 CCEDMN N#C[C@H](C(=O)CN1CCCCC(=O)C1=O)c1ccccn1 ZINC000870137074 645963689 /nfs/dbraw/zinc/96/36/89/645963689.db2.gz VTXUMVJRNYFPGD-NSHDSACASA-N 0 1 285.303 0.839 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ncc2n1CCCC2 ZINC000870941143 646053936 /nfs/dbraw/zinc/05/39/36/646053936.db2.gz RKZQDGCBVCSPNU-GXSJLCMTSA-N 0 1 259.313 0.061 20 30 CCEDMN Cc1nc2c(cccc2C(=O)N[C@H]2CNC[C@@H]2C#N)[nH]1 ZINC000876803356 646670865 /nfs/dbraw/zinc/67/08/65/646670865.db2.gz VYZXKJMEDATZNZ-CABZTGNLSA-N 0 1 269.308 0.713 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NC/C=C\CO ZINC000876961973 646707909 /nfs/dbraw/zinc/70/79/09/646707909.db2.gz XTGVOZXMPHIDTL-DDGIKZQJSA-N 0 1 271.320 0.410 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H](O)C[C@@H](O)c2ccccc2)C1 ZINC000878761580 646974642 /nfs/dbraw/zinc/97/46/42/646974642.db2.gz NXXNXUJVUBFPFQ-XHSDSOJGSA-N 0 1 290.363 0.821 20 30 CCEDMN N#Cc1ccc(C2CCN([C@@H]3CCC(=O)NC3=O)CC2)nc1 ZINC000879023716 647015141 /nfs/dbraw/zinc/01/51/41/647015141.db2.gz PVTYPGUINRDZKZ-CQSZACIVSA-N 0 1 298.346 0.938 20 30 CCEDMN C[C@@H]1CCc2[nH]nc(C(=O)N[C@@H]3CNC[C@@H]3C#N)c2C1 ZINC000884250236 647681141 /nfs/dbraw/zinc/68/11/41/647681141.db2.gz ZZRVMKXJIZEUEY-VDDIYKPWSA-N 0 1 273.340 0.376 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCNC(=O)CC2)CCC1 ZINC000885986121 647890105 /nfs/dbraw/zinc/89/01/05/647890105.db2.gz YTCBUKQNAXLTQQ-LLVKDONJSA-N 0 1 251.330 0.253 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2ccn(C)n2)CC1 ZINC001006403296 647956358 /nfs/dbraw/zinc/95/63/58/647956358.db2.gz FGEWVSGXNHSTCP-UHFFFAOYSA-N 0 1 274.368 0.980 20 30 CCEDMN Cc1nnc(SCC(=O)N2CCC[C@H](C#N)C2)[nH]1 ZINC000928222229 648623522 /nfs/dbraw/zinc/62/35/22/648623522.db2.gz VDDXVKASPKJPIF-SECBINFHSA-N 0 1 265.342 0.967 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CCC[C@H](C#N)C2)n1 ZINC000928222229 648623525 /nfs/dbraw/zinc/62/35/25/648623525.db2.gz VDDXVKASPKJPIF-SECBINFHSA-N 0 1 265.342 0.967 20 30 CCEDMN N#CC[C@@H](O)CN1CC[C@@](CO)(c2ccccc2)C1 ZINC000930530601 648872544 /nfs/dbraw/zinc/87/25/44/648872544.db2.gz ANQFYZYWLHCZOM-HUUCEWRRSA-N 0 1 260.337 0.897 20 30 CCEDMN CS(=O)(=O)N1CC(CN2CCC[C@@H](CC#N)C2)C1 ZINC000930921630 648926821 /nfs/dbraw/zinc/92/68/21/648926821.db2.gz FWELIWDBIPFMJE-NSHDSACASA-N 0 1 271.386 0.503 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCO[C@@H](C)CC1 ZINC000932350972 649068242 /nfs/dbraw/zinc/06/82/42/649068242.db2.gz XMLIMZDDZHPKBX-NWDGAFQWSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@H]1COC[C@H]1NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000932487430 649074742 /nfs/dbraw/zinc/07/47/42/649074742.db2.gz BCZWERRHSHXGKH-CMPLNLGQSA-N 0 1 295.364 0.351 20 30 CCEDMN Cc1nc(CN2CCC3(CC2)CC(=O)C=CO3)n[nH]1 ZINC000933647790 649132628 /nfs/dbraw/zinc/13/26/28/649132628.db2.gz LZGPRNFQLUPDNN-UHFFFAOYSA-N 0 1 262.313 0.951 20 30 CCEDMN C[C@H](OC[C@@H]1CCCCO1)C(=O)C(C#N)C(=O)NC1CC1 ZINC000195286513 649595407 /nfs/dbraw/zinc/59/54/07/649595407.db2.gz KXZUZVSHQSBJJK-WCFLWFBJSA-N 0 1 294.351 0.948 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H](O)C2CC2)CC1 ZINC000304932066 649840445 /nfs/dbraw/zinc/84/04/45/649840445.db2.gz DLNMVRZIJMYYKM-CQSZACIVSA-N 0 1 264.369 0.561 20 30 CCEDMN COc1ccnc(N2C[C@H]3OCCN(C)[C@H]3C2)c1C#N ZINC001164669367 719386153 /nfs/dbraw/zinc/38/61/53/719386153.db2.gz UVQPBQJQGYNOIF-WCQYABFASA-N 0 1 274.324 0.481 20 30 CCEDMN Cc1nc(Cl)nc(N2C[C@H]3OCCN(C)[C@H]3C2)c1C#N ZINC001164671244 719396209 /nfs/dbraw/zinc/39/62/09/719396209.db2.gz YFBMTZGXQVOFSS-WDEREUQCSA-N 0 1 293.758 0.829 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@](C)(NC(C)=O)C2CC2)CC1 ZINC001230016596 805575013 /nfs/dbraw/zinc/57/50/13/805575013.db2.gz QMODUMGQNKPMNY-MRXNPFEDSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCCCC(=O)NC)CC1 ZINC001230415102 805601874 /nfs/dbraw/zinc/60/18/74/805601874.db2.gz KMQLSNYYJBJJJU-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@@H]2CCCCO2)CC1 ZINC001266259443 790345825 /nfs/dbraw/zinc/34/58/25/790345825.db2.gz DCYSCSYWXKRKIF-HNNXBMFYSA-N 0 1 295.427 0.865 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2ccon2)CC1 ZINC001266281716 790394391 /nfs/dbraw/zinc/39/43/91/790394391.db2.gz YCDCZEUJBOEGRD-UHFFFAOYSA-N 0 1 276.340 0.045 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H]2CCCCO2)CC1 ZINC001266283354 790398460 /nfs/dbraw/zinc/39/84/60/790398460.db2.gz NQPVKADYDXJCMY-AWEZNQCLSA-N 0 1 281.400 0.475 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCO[C@@H]3CN(C(C)C)C[C@@H]32)c1 ZINC001083188414 790416153 /nfs/dbraw/zinc/41/61/53/790416153.db2.gz UGKNZOLPEVUATH-JKSUJKDBSA-N 0 1 299.374 0.997 20 30 CCEDMN C#Cc1cccc(NC(=O)CN(C)Cc2nnc[nH]2)c1 ZINC001167071416 790426152 /nfs/dbraw/zinc/42/61/52/790426152.db2.gz LTOHTIGPFYFEGC-UHFFFAOYSA-N 0 1 269.308 0.857 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001266309942 790484852 /nfs/dbraw/zinc/48/48/52/790484852.db2.gz BKDOTXJMQCBTIP-ZDUSSCGKSA-N 0 1 288.351 0.893 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCc2nccn2C)C1 ZINC001266330381 790522310 /nfs/dbraw/zinc/52/23/10/790522310.db2.gz CKRVKOFVNUEFFY-GFCCVEGCSA-N 0 1 260.341 0.176 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCCCNC(C)=O)C1 ZINC001266356318 790579724 /nfs/dbraw/zinc/57/97/24/790579724.db2.gz SWBASFAJRATZHA-OAHLLOKOSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCC[N@@H+](C)CCNC(=O)c1cc(C(N)=O)cs1 ZINC001266362894 790590678 /nfs/dbraw/zinc/59/06/78/790590678.db2.gz ZFMALSHVSRLGTJ-UHFFFAOYSA-N 0 1 279.365 0.532 20 30 CCEDMN C[C@H](C(N)=O)N1CCC2(C[C@@H]2NC(=O)C#CC2CC2)CC1 ZINC001266371389 790605148 /nfs/dbraw/zinc/60/51/48/790605148.db2.gz XGBHMTOPYOSBNJ-YPMHNXCESA-N 0 1 289.379 0.244 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)Cc1cc[nH]n1 ZINC001266383366 790617199 /nfs/dbraw/zinc/61/71/99/790617199.db2.gz NLFBZXXJAUGMJO-UHFFFAOYSA-N 0 1 278.356 0.040 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@@H]1CC[C@@H]1C(=O)[O-] ZINC000397028895 790623767 /nfs/dbraw/zinc/62/37/67/790623767.db2.gz AKMKVUTVPSFCGW-MNOVXSKESA-N 0 1 252.314 0.169 20 30 CCEDMN C=CC[N@@H+](CC)CCNC(=O)c1ccc2[nH]c(=O)[nH]c2n1 ZINC001266411415 790655458 /nfs/dbraw/zinc/65/54/58/790655458.db2.gz UPWSCNUZHQTAIG-UHFFFAOYSA-N 0 1 289.339 0.901 20 30 CCEDMN N#CCN[C@@H](CNC(=O)[C@H]1CCCc2n[nH]nc21)C1CC1 ZINC001266426359 790674528 /nfs/dbraw/zinc/67/45/28/790674528.db2.gz JZSCBNIEVYSBHK-JQWIXIFHSA-N 0 1 288.355 0.233 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CCc2nncn2C1 ZINC001266440145 790709528 /nfs/dbraw/zinc/70/95/28/790709528.db2.gz ILBMJNXMFMUXQO-CYBMUJFWSA-N 0 1 289.383 0.302 20 30 CCEDMN CCCCNC(=O)CN1CC[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001266505785 790811717 /nfs/dbraw/zinc/81/17/17/790811717.db2.gz PXTVKFKFMHLFRD-OLZOCXBDSA-N 0 1 294.399 0.500 20 30 CCEDMN Cc1ncc(CN[C@H]2C[C@@H](NC(=O)[C@@H](C)C#N)C2)o1 ZINC001266674326 791119670 /nfs/dbraw/zinc/11/96/70/791119670.db2.gz UOJYMPZULNSBPR-INTQDDNPSA-N 0 1 262.313 0.880 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CN1CCCC1=O ZINC001230752535 805660844 /nfs/dbraw/zinc/66/08/44/805660844.db2.gz IMNBNCKPTJTLDF-GFCCVEGCSA-N 0 1 265.357 0.328 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1COCCO1 ZINC001230824264 805673940 /nfs/dbraw/zinc/67/39/40/805673940.db2.gz WADUJHYSVAMJPI-KGLIPLIRSA-N 0 1 298.383 0.137 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cnn(CC)c1 ZINC001277481250 805714798 /nfs/dbraw/zinc/71/47/98/805714798.db2.gz PXUCVHGTLKJADW-UHFFFAOYSA-N 0 1 292.383 0.605 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCOCCN(C)CC#N)c1C ZINC001277481529 805714846 /nfs/dbraw/zinc/71/48/46/805714846.db2.gz VIVGAVPEAJWUDC-UHFFFAOYSA-N 0 1 279.344 0.228 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C1CCCC1 ZINC001231122534 805734297 /nfs/dbraw/zinc/73/42/97/805734297.db2.gz FCUJZHCQVDOERD-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)C1CN(CC2CC2)C1 ZINC001277585442 805867049 /nfs/dbraw/zinc/86/70/49/805867049.db2.gz DIAUGMSICNFSHC-AWEZNQCLSA-N 0 1 252.358 0.866 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](Cc2cc(C#N)ccc2[O-])CCN1C ZINC001232677706 805970509 /nfs/dbraw/zinc/97/05/09/805970509.db2.gz ICUKNOFITABQIG-ZDUSSCGKSA-N 0 1 289.335 0.553 20 30 CCEDMN COC(=O)[C@@]12COC[C@@H]1CN(Cc1cccc(C#N)n1)C2 ZINC001232923583 805996693 /nfs/dbraw/zinc/99/66/93/805996693.db2.gz ZIYRHIGMDBEJSP-NHYWBVRUSA-N 0 1 287.319 0.575 20 30 CCEDMN C=CCCCN1CC[C@H]2C[C@]21C(=O)Nc1nnnn1C ZINC001277758255 806019497 /nfs/dbraw/zinc/01/94/97/806019497.db2.gz FQWHLXJFCKPVMT-GXFFZTMASA-N 0 1 276.344 0.579 20 30 CCEDMN Cc1nc(CN(C)[C@H]2CCN(C(=O)C#CC3CC3)C2)n[nH]1 ZINC000971511923 791229849 /nfs/dbraw/zinc/22/98/49/791229849.db2.gz URICMRFEQMHAQN-ZDUSSCGKSA-N 0 1 287.367 0.559 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@H]1C[C@@H](C(=O)[O-])C1 ZINC000693450799 791268921 /nfs/dbraw/zinc/26/89/21/791268921.db2.gz ATAAPQUXCLBFSR-PHIMTYICSA-N 0 1 267.329 0.104 20 30 CCEDMN CC(=O)N[C@@H]1CC[N@@H+](Cc2ccc(C#N)cc2[O-])C1 ZINC001233387561 806054917 /nfs/dbraw/zinc/05/49/17/806054917.db2.gz NPCZVPVBMSKZJU-CYBMUJFWSA-N 0 1 259.309 0.974 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)C[C@H]2CCCOC2)C1 ZINC001279528612 791310391 /nfs/dbraw/zinc/31/03/91/791310391.db2.gz CPFOUDQZRDSERZ-ZBFHGGJFSA-N 0 1 296.411 0.932 20 30 CCEDMN C#C[C@@H](CO)NC[C@](C)(O)C(F)(F)C(F)(F)F ZINC000724023301 791376861 /nfs/dbraw/zinc/37/68/61/791376861.db2.gz MUJMWOKWAVAUCM-BQBZGAKWSA-N 0 1 261.190 0.519 20 30 CCEDMN C[C@@H](CNC(=O)c1ccc(-c2nnc[nH]2)cc1)NCC#N ZINC001266984939 791420682 /nfs/dbraw/zinc/42/06/82/791420682.db2.gz YIUJIQFBQWSEGN-JTQLQIEISA-N 0 1 284.323 0.703 20 30 CCEDMN C#CC1(O)CCN(CC2CCS(=O)(=O)CC2)CC1 ZINC000707911530 791492932 /nfs/dbraw/zinc/49/29/32/791492932.db2.gz LKFJTNCQCLUPAM-UHFFFAOYSA-N 0 1 271.382 0.271 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCO[C@H]1CC ZINC001267209798 791675497 /nfs/dbraw/zinc/67/54/97/791675497.db2.gz MKERHLCFHDVTEO-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1nn(C)c2c1CCCC2 ZINC001267219434 791692795 /nfs/dbraw/zinc/69/27/95/791692795.db2.gz QNRBSTDXYFRFHI-UHFFFAOYSA-N 0 1 288.395 0.984 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CC(=O)NC ZINC001233891315 806142211 /nfs/dbraw/zinc/14/22/11/806142211.db2.gz QKRJYDIVQLICFL-NSHDSACASA-N 0 1 253.346 0.231 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1C[C@H](C)O ZINC001233947394 806154838 /nfs/dbraw/zinc/15/48/38/806154838.db2.gz LKERPTYZOKQJTJ-QWHCGFSZSA-N 0 1 252.358 0.703 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1C[C@H](C)O ZINC001233947394 806154846 /nfs/dbraw/zinc/15/48/46/806154846.db2.gz LKERPTYZOKQJTJ-QWHCGFSZSA-N 0 1 252.358 0.703 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H](C)SC ZINC001234269074 806216078 /nfs/dbraw/zinc/21/60/78/806216078.db2.gz LMXOEBDOPJNCON-LLVKDONJSA-N 0 1 287.429 0.478 20 30 CCEDMN C#CCN(CC)CCNC(=O)Cn1ncc2cc(C)cnc21 ZINC001267244727 793236107 /nfs/dbraw/zinc/23/61/07/793236107.db2.gz OEYQQZXHERCWHB-UHFFFAOYSA-N 0 1 299.378 0.811 20 30 CCEDMN COC[C@@H](C)N1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038142283 793254088 /nfs/dbraw/zinc/25/40/88/793254088.db2.gz CKIOEAUMUPFZGX-PWSUYJOCSA-N 0 1 276.340 0.725 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@]12C[C@H]1COC2 ZINC001234413576 806240261 /nfs/dbraw/zinc/24/02/61/806240261.db2.gz KKGDTWUZMFQYLO-DZKIICNBSA-N 0 1 294.395 0.758 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@]12C[C@H]1COC2 ZINC001234413576 806240264 /nfs/dbraw/zinc/24/02/64/806240264.db2.gz KKGDTWUZMFQYLO-DZKIICNBSA-N 0 1 294.395 0.758 20 30 CCEDMN N#CCCN1CC(Oc2nc(F)nc3nc[nH]c32)C1 ZINC001234689918 806297274 /nfs/dbraw/zinc/29/72/74/806297274.db2.gz KDKVFCFOKMGOCV-UHFFFAOYSA-N 0 1 262.248 0.469 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)/C(C)=C\CC ZINC001234984391 806327821 /nfs/dbraw/zinc/32/78/21/806327821.db2.gz PPXINZZCBIOTJS-XXYUJHKVSA-N 0 1 293.411 0.919 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)COC(C)C ZINC001235124966 806376572 /nfs/dbraw/zinc/37/65/72/806376572.db2.gz HTRWMYJROVRDQJ-ZDUSSCGKSA-N 0 1 299.415 0.540 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCN(C)[C@H](C)C(=O)NC ZINC001235229877 806391656 /nfs/dbraw/zinc/39/16/56/806391656.db2.gz QCAVMDVEILTVKC-CHWSQXEVSA-N 0 1 299.415 0.540 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C[C@H](C)C(C)C ZINC001235526222 806445571 /nfs/dbraw/zinc/44/55/71/806445571.db2.gz OKLCQHMXZBCACG-AWEZNQCLSA-N 0 1 295.427 0.856 20 30 CCEDMN CCc1occc1C(=O)NC[C@H](CO)NCC#CCOC ZINC001278071219 806649934 /nfs/dbraw/zinc/64/99/34/806649934.db2.gz MESDKUDRBPALDA-GFCCVEGCSA-N 0 1 294.351 0.172 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC001278079515 806652901 /nfs/dbraw/zinc/65/29/01/806652901.db2.gz JMQZMUNEHMDEGC-STQMWFEESA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc(NC(C)=O)cc1 ZINC001121940979 799103266 /nfs/dbraw/zinc/10/32/66/799103266.db2.gz YIOFGFCTSBPFAJ-UHFFFAOYSA-N 0 1 273.336 0.527 20 30 CCEDMN C#CCNCC(=O)NC[C@H](O)c1c(F)cccc1F ZINC001122034653 799129122 /nfs/dbraw/zinc/12/91/22/799129122.db2.gz MOVIHEMTQVQCPJ-NSHDSACASA-N 0 1 268.263 0.337 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1CCc2ccccc2C1 ZINC001278165277 806736800 /nfs/dbraw/zinc/73/68/00/806736800.db2.gz UZJPDMUYDMOZOK-HOTGVXAUSA-N 0 1 286.375 0.491 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(=O)[nH]n1 ZINC001123090363 799352688 /nfs/dbraw/zinc/35/26/88/799352688.db2.gz HTBAHWXFDHPBQP-UHFFFAOYSA-N 0 1 256.693 0.254 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cn(C)nc2COC)CC1 ZINC001123349371 799395301 /nfs/dbraw/zinc/39/53/01/799395301.db2.gz URTJQAIRYLMIHU-UHFFFAOYSA-N 0 1 291.351 0.821 20 30 CCEDMN C=C(CC)C(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC001123790228 799475068 /nfs/dbraw/zinc/47/50/68/799475068.db2.gz QYSLTTSIGDQHQY-QMMMGPOBSA-N 0 1 253.331 0.782 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)Cc1cccc(F)c1 ZINC001278195512 806757211 /nfs/dbraw/zinc/75/72/11/806757211.db2.gz UAHGRFYXZPTPJT-AWEZNQCLSA-N 0 1 278.327 0.458 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cccnc1OC ZINC001124764839 799633855 /nfs/dbraw/zinc/63/38/55/799633855.db2.gz DDYMATRDNGZJOJ-UHFFFAOYSA-N 0 1 279.340 0.499 20 30 CCEDMN Cc1cc(NC(=O)[C@H](C)NCCNC(=O)[C@H](C)C#N)on1 ZINC001124907860 799645697 /nfs/dbraw/zinc/64/56/97/799645697.db2.gz AWFCUOAWXVMXTC-SCZZXKLOSA-N 0 1 293.327 0.176 20 30 CCEDMN CC(C)(C#N)CC(=O)N1CCC[C@H](c2nn[nH]n2)C1 ZINC001137730207 799684777 /nfs/dbraw/zinc/68/47/77/799684777.db2.gz CMRPQBSFVOQUPA-VIFPVBQESA-N 0 1 262.317 0.846 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1ccc(CN2CCCC2)o1 ZINC001137840969 799690045 /nfs/dbraw/zinc/69/00/45/799690045.db2.gz LYXAZXDXYUVFAR-UHFFFAOYSA-N 0 1 291.351 0.907 20 30 CCEDMN COc1cc(CN(C)C[C@@H](O)CO)ccc1C#N ZINC001238405927 806781754 /nfs/dbraw/zinc/78/17/54/806781754.db2.gz LAHZIWJAARHTSB-GFCCVEGCSA-N 0 1 250.298 0.352 20 30 CCEDMN CCOC(=O)CN(CCC#N)Cc1cc(C)[nH]n1 ZINC001203080693 799961386 /nfs/dbraw/zinc/96/13/86/799961386.db2.gz BTJCBTNBKGJPJH-UHFFFAOYSA-N 0 1 250.302 0.997 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccc2c(c1)CCO2 ZINC000841037233 800067176 /nfs/dbraw/zinc/06/71/76/800067176.db2.gz RIJZGVVSJSPHLW-CMPLNLGQSA-N 0 1 272.308 0.855 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C[C@@H]2CCCO2)C1 ZINC001149227756 800237816 /nfs/dbraw/zinc/23/78/16/800237816.db2.gz NJKAYZYLYDHMKZ-GJZGRUSLSA-N 0 1 294.395 0.786 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)COCCC)C1 ZINC001149341908 800249236 /nfs/dbraw/zinc/24/92/36/800249236.db2.gz RUKKDNONTNLFGO-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@H](C)OC)C1 ZINC001149416192 800258712 /nfs/dbraw/zinc/25/87/12/800258712.db2.gz RLXYFNALEXUSRS-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001150497602 800342000 /nfs/dbraw/zinc/34/20/00/800342000.db2.gz KDNSPGWSGUTSRQ-ZFWWWQNUSA-N 0 1 282.384 0.806 20 30 CCEDMN C=C[C@H](C(=O)NCCNCc1cnnn1C)c1ccccc1 ZINC001151990535 800477318 /nfs/dbraw/zinc/47/73/18/800477318.db2.gz JAEZCIUQRDLADL-HNNXBMFYSA-N 0 1 299.378 0.991 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)N1CCCCC1=O ZINC001152162123 800497035 /nfs/dbraw/zinc/49/70/35/800497035.db2.gz RVUNQFMGDSSHSK-NSHDSACASA-N 0 1 287.791 0.846 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2ccc3cncn3c2)c1N ZINC001152196740 800500566 /nfs/dbraw/zinc/50/05/66/800500566.db2.gz LDPBALGRAQNLPX-UHFFFAOYSA-N 0 1 267.252 0.255 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1cc(OC)ns1 ZINC001153135738 800600798 /nfs/dbraw/zinc/60/07/98/800600798.db2.gz ZXBNXZSBHNVXKZ-JTQLQIEISA-N 0 1 299.396 0.949 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cnc2cccnc2c1O ZINC001153855450 800679131 /nfs/dbraw/zinc/67/91/31/800679131.db2.gz CBRIDRTZBKHCJT-VIFPVBQESA-N 0 1 284.275 0.288 20 30 CCEDMN Cc1cnc(CNCCCNC(=O)CSCC#N)nc1 ZINC001154809197 800849646 /nfs/dbraw/zinc/84/96/46/800849646.db2.gz SUBHKMRVVMPWKB-UHFFFAOYSA-N 0 1 293.396 0.638 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2nc(C)ccc2C#N)CC1 ZINC001155151387 800918919 /nfs/dbraw/zinc/91/89/19/800918919.db2.gz CYDFWNZLCHTTGY-CYBMUJFWSA-N 0 1 288.351 0.978 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2cnc(C#N)c(C)n2)CC1 ZINC001155160092 800921427 /nfs/dbraw/zinc/92/14/27/800921427.db2.gz PWBUNEJWWHLWEM-CYBMUJFWSA-N 0 1 289.339 0.373 20 30 CCEDMN N#CCc1ccnc(NCc2nnc3n2CCCNC3)c1 ZINC001155430222 800974329 /nfs/dbraw/zinc/97/43/29/800974329.db2.gz IJFYYHNYDPZRIM-UHFFFAOYSA-N 0 1 283.339 0.845 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1csnc1OC ZINC001155712749 801041543 /nfs/dbraw/zinc/04/15/43/801041543.db2.gz RTYQZFJZJLLCJK-UHFFFAOYSA-N 0 1 299.396 0.950 20 30 CCEDMN C=CCOCC(=O)NCCCN[C@@H](C)c1nnc(CC)[nH]1 ZINC001155712753 801041674 /nfs/dbraw/zinc/04/16/74/801041674.db2.gz RXXHJEUYNYKSOQ-NSHDSACASA-N 0 1 295.387 0.727 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cccc3cncn32)CCO1 ZINC001155793686 801052716 /nfs/dbraw/zinc/05/27/16/801052716.db2.gz VIBPDQCCADQRHB-LLVKDONJSA-N 0 1 256.265 0.699 20 30 CCEDMN CCCCn1nnnc1CNCCCNC(=O)[C@H](C)C#N ZINC001155849109 801066508 /nfs/dbraw/zinc/06/65/08/801066508.db2.gz UILUATXGOWQAGN-LLVKDONJSA-N 0 1 293.375 0.229 20 30 CCEDMN CCCCn1nnnc1CNCCCNC(=O)[C@@H](C)C#N ZINC001155849110 801066657 /nfs/dbraw/zinc/06/66/57/801066657.db2.gz UILUATXGOWQAGN-NSHDSACASA-N 0 1 293.375 0.229 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CCN2CCCC2=O)C[C@H]1C ZINC001206659442 801094036 /nfs/dbraw/zinc/09/40/36/801094036.db2.gz KVWVFFVPUXMGKD-JHJVBQTASA-N 0 1 292.383 0.205 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)C(=O)N1CCC[C@@H]1CC ZINC001156254553 801140404 /nfs/dbraw/zinc/14/04/04/801140404.db2.gz URUPTGKLVMDKJI-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN CC(C)C#CC(=O)NCCCNCc1nncn1C ZINC001157632717 801441933 /nfs/dbraw/zinc/44/19/33/801441933.db2.gz JDXLUAQNOJZPOH-UHFFFAOYSA-N 0 1 263.345 0.070 20 30 CCEDMN N#Cc1ccc2c(c1)CCN(C(=O)C[C@H]1COCCN1)C2 ZINC001157936475 801532384 /nfs/dbraw/zinc/53/23/84/801532384.db2.gz GGZHMRJGXOZQJP-HNNXBMFYSA-N 0 1 285.347 0.821 20 30 CCEDMN CCOC(=O)C1=C=CC(=NCCC2=CNCC(OC)=C2)N=C1 ZINC001158382363 801630510 /nfs/dbraw/zinc/63/05/10/801630510.db2.gz WSIPCRYLDBXICV-UHFFFAOYSA-N 0 1 299.330 0.811 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CNC(=O)N1 ZINC001158426107 801645554 /nfs/dbraw/zinc/64/55/54/801645554.db2.gz HVFYMRAEEOPNOK-OLZOCXBDSA-N 0 1 292.383 0.004 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CCNC(=O)c2cnn[n-]2)CC1 ZINC001160587248 801976801 /nfs/dbraw/zinc/97/68/01/801976801.db2.gz OWSGTXZGPWNGDV-UHFFFAOYSA-N 0 1 273.340 0.580 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)N1CCCC[C@@H]1C#N ZINC001160638284 801985980 /nfs/dbraw/zinc/98/59/80/801985980.db2.gz RRDSRXVWJSAGTK-NEPJUHHUSA-N 0 1 280.372 0.381 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H](C)CC(N)=O)CC1 ZINC001160856954 802033519 /nfs/dbraw/zinc/03/35/19/802033519.db2.gz SMKFGJNZYVNUID-LBPRGKRZSA-N 0 1 277.368 0.270 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)[C@@H](N)Cc1cccc(O)c1 ZINC001160932846 802049722 /nfs/dbraw/zinc/04/97/22/802049722.db2.gz GHBFMEIDKPOBLA-AAEUAGOBSA-N 0 1 275.308 0.013 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)[C@@H](N)Cc1c[nH]c2ncccc12 ZINC001160933900 802050170 /nfs/dbraw/zinc/05/01/70/802050170.db2.gz QAQPCYQRLSUKIN-YPMHNXCESA-N 0 1 299.334 0.184 20 30 CCEDMN C[C@@H]1CN(C(=O)c2cc(=O)c3cc(C#N)ccc3[nH]2)CCN1 ZINC001161026754 802069309 /nfs/dbraw/zinc/06/93/09/802069309.db2.gz YQBFMCSCJRLAHF-SNVBAGLBSA-N 0 1 296.330 0.834 20 30 CCEDMN N#CC1(Nc2ncnc3c2CCNC3)CCOCC1 ZINC001161475823 802161051 /nfs/dbraw/zinc/16/10/51/802161051.db2.gz YKWTULGFBSBZMI-UHFFFAOYSA-N 0 1 259.313 0.607 20 30 CCEDMN Cn1nnc2c1ncnc2NC1(C#N)CCN(C)CC1 ZINC001162231810 802293604 /nfs/dbraw/zinc/29/36/04/802293604.db2.gz QVNWKPHIWBSISY-UHFFFAOYSA-N 0 1 272.316 0.158 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC001162687861 802394546 /nfs/dbraw/zinc/39/45/46/802394546.db2.gz ZNSNBVQEMDKEHY-YVECIDJPSA-N 0 1 262.357 0.183 20 30 CCEDMN CC[C@@H](CC#N)N1C[C@H]2COC[C@@]2(C(=O)OC)C1 ZINC001255166430 808325031 /nfs/dbraw/zinc/32/50/31/808325031.db2.gz KQIMISQWTMJYJZ-GVXVVHGQSA-N 0 1 252.314 0.800 20 30 CCEDMN C=CCS(=O)(=O)Nc1c(CCC)nn(C)c1C(N)=O ZINC001259922513 808804248 /nfs/dbraw/zinc/80/42/48/808804248.db2.gz MALXFHRXMSWJEH-UHFFFAOYSA-N 0 1 286.357 0.399 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)[C@H]1C ZINC001088574913 814934202 /nfs/dbraw/zinc/93/42/02/814934202.db2.gz YUJXTEWQNRWUBW-YIYPIFLZSA-N 0 1 291.395 0.503 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCC1(CNCc2nncn2C)CC1 ZINC001163854515 802625383 /nfs/dbraw/zinc/62/53/83/802625383.db2.gz OXWDHBUNSLIELC-NSHDSACASA-N 0 1 290.371 0.351 20 30 CCEDMN C[C@H](C#N)C(=O)NCCC1(CNCc2nccn2C)CC1 ZINC001163853913 802625972 /nfs/dbraw/zinc/62/59/72/802625972.db2.gz HFWDNBSSAIEVMR-GFCCVEGCSA-N 0 1 289.383 0.956 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCNCc1nnnn1C ZINC001163948671 802640526 /nfs/dbraw/zinc/64/05/26/802640526.db2.gz PLPAWXQIKMUGKJ-UHFFFAOYSA-N 0 1 266.349 0.018 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001164324152 802723222 /nfs/dbraw/zinc/72/32/22/802723222.db2.gz PWLRVLYFACRVQG-NSHDSACASA-N 0 1 295.387 0.307 20 30 CCEDMN CC(=O)Nc1ccc(N=C(NC#N)c2ccncc2)nn1 ZINC001164503302 802751199 /nfs/dbraw/zinc/75/11/99/802751199.db2.gz ZMPADKFFNATDTF-UHFFFAOYSA-N 0 1 281.279 0.979 20 30 CCEDMN Cc1cnc(N2CC(N(C)[C@@H]3CCOC3)C2)c(C#N)n1 ZINC001165204963 802796725 /nfs/dbraw/zinc/79/67/25/802796725.db2.gz CMVOMSCUVAVCQE-LLVKDONJSA-N 0 1 273.340 0.566 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001267752406 811748118 /nfs/dbraw/zinc/74/81/18/811748118.db2.gz YVSAYDNMUDIXKA-VXGBXAGGSA-N 0 1 280.372 0.037 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](CC)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001267808814 811805019 /nfs/dbraw/zinc/80/50/19/811805019.db2.gz YLNKITUQTPSJSC-NSHDSACASA-N 0 1 295.387 0.449 20 30 CCEDMN Cc1cc(N2CC[C@H](N)C(F)(F)C2)nc(C#N)n1 ZINC001166959342 802995490 /nfs/dbraw/zinc/99/54/90/802995490.db2.gz WVMWTLRUSBGRKR-QMMMGPOBSA-N 0 1 253.256 0.829 20 30 CCEDMN CN1CC(C(=O)N2CCC(CNCC#N)CC2)=NC1=O ZINC001206138487 803210275 /nfs/dbraw/zinc/21/02/75/803210275.db2.gz POCRBKUQKRCBDA-UHFFFAOYSA-N 0 1 277.328 0.091 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)COC)C1 ZINC001206598668 803273007 /nfs/dbraw/zinc/27/30/07/803273007.db2.gz HNFXEDPNEWXYHA-HZSPNIEDSA-N 0 1 282.384 0.355 20 30 CCEDMN CC(C)(O)CC(=O)NCCNCC#Cc1ccccc1 ZINC001126477545 813861603 /nfs/dbraw/zinc/86/16/03/813861603.db2.gz QXOXMLZCUSSOBS-UHFFFAOYSA-N 0 1 274.364 0.905 20 30 CCEDMN C#CCNC(=O)CC(=O)N[C@@H]1CN(C[C@H](F)CC)C[C@H]1C ZINC001206887274 803298836 /nfs/dbraw/zinc/29/88/36/803298836.db2.gz DYKQQHQLSBCYRG-JHJVBQTASA-N 0 1 297.374 0.311 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN([C@H](C)C(=O)N(C)C)C[C@H]1C ZINC001206911282 803305347 /nfs/dbraw/zinc/30/53/47/803305347.db2.gz JDTYAEFCDSATTO-MGPQQGTHSA-N 0 1 293.411 0.703 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001207079529 803349778 /nfs/dbraw/zinc/34/97/78/803349778.db2.gz XVKXNPRPKFOJPN-FRRDWIJNSA-N 0 1 279.384 0.361 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCc2nccn2C)C1 ZINC001208062082 803484834 /nfs/dbraw/zinc/48/48/34/803484834.db2.gz YOSHSUIMGLAADA-ZIAGYGMSSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H](C)OC)C(C)(C)C1 ZINC001276561077 803496219 /nfs/dbraw/zinc/49/62/19/803496219.db2.gz JGALXNFRVOGDRG-NEPJUHHUSA-N 0 1 252.358 0.871 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001213319958 803689841 /nfs/dbraw/zinc/68/98/41/803689841.db2.gz HWGBMLGWVCVZQW-MGPQQGTHSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@@H](C)OCC)[C@H]2C1 ZINC001217366537 803887281 /nfs/dbraw/zinc/88/72/81/803887281.db2.gz PXTIPOCZIXLNTK-HZSPNIEDSA-N 0 1 282.384 0.899 20 30 CCEDMN CO[C@H](C)CN1C[C@H]2OCCN(C(=O)C#CC(C)C)[C@H]2C1 ZINC001217852463 803921490 /nfs/dbraw/zinc/92/14/90/803921490.db2.gz KHMFTOYUKSHCFX-QLFBSQMISA-N 0 1 294.395 0.592 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)Nc1cc(C#N)ccn1 ZINC001218504861 803971350 /nfs/dbraw/zinc/97/13/50/803971350.db2.gz UOQXTEFZGMRZAW-NSHDSACASA-N 0 1 289.339 0.381 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(CCOCC)C[C@H]1OC ZINC001212036543 814982010 /nfs/dbraw/zinc/98/20/10/814982010.db2.gz YRVOPJFNZCMNLM-CHWSQXEVSA-N 0 1 286.372 0.041 20 30 CCEDMN N#Cc1cc2cccnc2nc1NC(=O)C[C@H]1COCCN1 ZINC001218544289 803998374 /nfs/dbraw/zinc/99/83/74/803998374.db2.gz MOWHUXIIDYCVGP-LBPRGKRZSA-N 0 1 297.318 0.818 20 30 CCEDMN Cc1cc(C#N)c(NC(=O)[C@@H]2CCCN2C(=O)CN)s1 ZINC001218556353 804004262 /nfs/dbraw/zinc/00/42/62/804004262.db2.gz ZAZKMKSHYFPJFD-JTQLQIEISA-N 0 1 292.364 0.816 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@H](COC)OC)[C@H]2C1 ZINC001218610395 804033880 /nfs/dbraw/zinc/03/38/80/804033880.db2.gz WDBZZRMVOQEKDT-MJBXVCDLSA-N 0 1 298.383 0.136 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CC2(F)F)[C@@H](O)C1 ZINC001083448615 814999346 /nfs/dbraw/zinc/99/93/46/814999346.db2.gz PUFZFTIOABKCRI-BBBLOLIVSA-N 0 1 260.284 0.379 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@H](C)SC)[C@@H](O)C1 ZINC001219246143 804245463 /nfs/dbraw/zinc/24/54/63/804245463.db2.gz JTFTZPNSERIGNY-AXFHLTTASA-N 0 1 258.387 0.475 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)CCn2cccn2)[C@@H](O)C1 ZINC001219210300 804237949 /nfs/dbraw/zinc/23/79/49/804237949.db2.gz ILNZCRYYXSGPST-OLZOCXBDSA-N 0 1 278.356 0.011 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](C)C(C)C)[C@@H](O)C1 ZINC001219354031 804283100 /nfs/dbraw/zinc/28/31/00/804283100.db2.gz TXANDDKFJUAPFM-MCIONIFRSA-N 0 1 266.385 0.853 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)/C=C/C(C)(C)C)[C@@H](O)C1 ZINC001219376955 804290783 /nfs/dbraw/zinc/29/07/83/804290783.db2.gz IYQJCDYBEYVLGA-JIVBQCDMSA-N 0 1 252.358 0.936 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H](C)SC)[C@@H](O)C1 ZINC001219475907 804325491 /nfs/dbraw/zinc/32/54/91/804325491.db2.gz JGRVFCBPLPLBDN-UTUOFQBUSA-N 0 1 272.414 0.865 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001219482203 804326984 /nfs/dbraw/zinc/32/69/84/804326984.db2.gz PHAUAEKDAKFVSG-OLZOCXBDSA-N 0 1 270.348 0.701 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001219532180 804339283 /nfs/dbraw/zinc/33/92/83/804339283.db2.gz MMMZBKWYPTXLJP-NEPJUHHUSA-N 0 1 256.321 0.311 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C(\C)CC)[C@@H](O)C1 ZINC001219578773 804358138 /nfs/dbraw/zinc/35/81/38/804358138.db2.gz NMPBFXSDCMFJHE-GTMNMCRUSA-N 0 1 250.342 0.527 20 30 CCEDMN COC[C@@H](C)N1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219597935 804367096 /nfs/dbraw/zinc/36/70/96/804367096.db2.gz AGIYUYGGAOAXFT-UPJWGTAASA-N 0 1 282.384 0.232 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CN(CC=C(C)C)C[C@@H]1O ZINC001219599912 804368839 /nfs/dbraw/zinc/36/88/39/804368839.db2.gz WSIIGIQDWIFVAI-KGLIPLIRSA-N 0 1 280.368 0.154 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@@H]1O ZINC001219689790 804405235 /nfs/dbraw/zinc/40/52/35/804405235.db2.gz JDMBSWACSBFIHN-BNOWGMLFSA-N 0 1 282.384 0.297 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](CC)CC(F)F)[C@@H](O)C1 ZINC001219781986 804424611 /nfs/dbraw/zinc/42/46/11/804424611.db2.gz IKQUMLKZVDIWPW-UTUOFQBUSA-N 0 1 288.338 0.852 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(c3ccccn3)CC2)[C@@H](O)C1 ZINC001083458745 815018129 /nfs/dbraw/zinc/01/81/29/815018129.db2.gz DUIVUEDKBIISIM-KGLIPLIRSA-N 0 1 299.374 0.298 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@](C)(C=C)CCOC)[C@@H](O)C1 ZINC001220080074 804495289 /nfs/dbraw/zinc/49/52/89/804495289.db2.gz MIAJUGSKRFVASV-IJEWVQPXSA-N 0 1 294.395 0.400 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCn2ccnc2)[C@@H](O)C1 ZINC001220103672 804501833 /nfs/dbraw/zinc/50/18/33/804501833.db2.gz BJJVEAOFSDZJHU-OLZOCXBDSA-N 0 1 278.356 0.011 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C/C=C(\C)C=C)[C@@H](O)C1 ZINC001220172217 804525561 /nfs/dbraw/zinc/52/55/61/804525561.db2.gz MRZAWRXRZKNZOV-NMEJBFKPSA-N 0 1 262.353 0.693 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001220199232 804533561 /nfs/dbraw/zinc/53/35/61/804533561.db2.gz KCEGTOKXFAQDMU-MCIONIFRSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](C)n2ccnc2)[C@@H](O)C1 ZINC001220441957 804605606 /nfs/dbraw/zinc/60/56/06/804605606.db2.gz UFBHVKGQXQJIRC-MJBXVCDLSA-N 0 1 290.367 0.019 20 30 CCEDMN N[C@@H](CC(=O)OCc1ccccc1)C(=O)NCCCS ZINC001220585600 804631856 /nfs/dbraw/zinc/63/18/56/804631856.db2.gz QQICJLUVILNGHD-LBPRGKRZSA-N 0 1 296.392 0.883 20 30 CCEDMN C=CCC[C@@H](NC(=O)N[C@@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC000315892185 804656282 /nfs/dbraw/zinc/65/62/82/804656282.db2.gz LONLNNCJWBYPMK-ZYHUDNBSSA-N 0 1 281.356 0.942 20 30 CCEDMN CC1(C)[C@H](NC(=O)[C@@H](N)Cc2ccccc2C#N)C[C@@H]1O ZINC001220766351 804663440 /nfs/dbraw/zinc/66/34/40/804663440.db2.gz QPHPBESVZWVYMF-MJBXVCDLSA-N 0 1 287.363 0.704 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COc2ccsc2)[C@@H](O)C1 ZINC001220774454 804664672 /nfs/dbraw/zinc/66/46/72/804664672.db2.gz FBGWNYZIMHICRN-OLZOCXBDSA-N 0 1 294.376 0.312 20 30 CCEDMN COc1cc(CNC(=O)NCC#CCN(C)C)ccc1O ZINC001220811960 804671100 /nfs/dbraw/zinc/67/11/00/804671100.db2.gz WXFFPTYGDCOYAQ-UHFFFAOYSA-N 0 1 291.351 0.765 20 30 CCEDMN COC[C@H](NC(=O)[C@@H](N)Cc1ccccc1C#N)C1CC1 ZINC001221012904 804718998 /nfs/dbraw/zinc/71/89/98/804718998.db2.gz DXPPGAGACNXZAP-GJZGRUSLSA-N 0 1 287.363 0.969 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2nonc2C)[C@@H](O)C1 ZINC001221168955 804739110 /nfs/dbraw/zinc/73/91/10/804739110.db2.gz ILMDPYODOAKPAJ-MNOVXSKESA-N 0 1 280.328 0.119 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CNC(=O)C[C@@H]1CCOC1 ZINC001276824125 804835107 /nfs/dbraw/zinc/83/51/07/804835107.db2.gz RAVXTWHUERTPEM-STQMWFEESA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@@H]1CCOC1 ZINC001276824125 804835115 /nfs/dbraw/zinc/83/51/15/804835115.db2.gz RAVXTWHUERTPEM-STQMWFEESA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)C(C)(C)CNC(C)=O)[C@@H]2C1 ZINC001221864400 804852525 /nfs/dbraw/zinc/85/25/25/804852525.db2.gz XTKIMTUSMLFFMS-ZIAGYGMSSA-N 0 1 293.411 0.867 20 30 CCEDMN COCc1nc(COC2=C(C)O[C@H](C)C2=O)no1 ZINC001222463995 804903158 /nfs/dbraw/zinc/90/31/58/804903158.db2.gz ZMLSJLRGBDVWBF-ZCFIWIBFSA-N 0 1 254.242 0.952 20 30 CCEDMN C=CCCC(=O)NCC1CCN([C@@H](C)C(=O)NC)CC1 ZINC001222613199 804920773 /nfs/dbraw/zinc/92/07/73/804920773.db2.gz SPMGQRBEKRBBRD-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCCCCC(=O)NCC1CCN([C@H](C)C(N)=O)CC1 ZINC001222913934 804939026 /nfs/dbraw/zinc/93/90/26/804939026.db2.gz ATUFTPPBBAQBQM-CYBMUJFWSA-N 0 1 293.411 0.882 20 30 CCEDMN C#CCCCC(=O)NCC1CCN(CC(=O)NC)CC1 ZINC001222987484 804944550 /nfs/dbraw/zinc/94/45/50/804944550.db2.gz RAUUWGANDVHJRC-UHFFFAOYSA-N 0 1 279.384 0.364 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC1CCN([C@@H](C)C(N)=O)CC1 ZINC001223027416 804947688 /nfs/dbraw/zinc/94/76/88/804947688.db2.gz MXYKSQXLSLRDOD-XHDPSFHLSA-N 0 1 297.399 0.016 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H](COC)OC)C2)C1 ZINC001276876701 804962053 /nfs/dbraw/zinc/96/20/53/804962053.db2.gz BWIHYQBVMABABF-ZDUSSCGKSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CCc1ccnc(C)n1 ZINC001276892351 804973332 /nfs/dbraw/zinc/97/33/32/804973332.db2.gz QNONLORWPWFKLB-HNNXBMFYSA-N 0 1 286.379 0.931 20 30 CCEDMN COCCN1CC[C@@H]2C[C@@]21C(=O)N1CC(CC#N)C1 ZINC001276897791 804978024 /nfs/dbraw/zinc/97/80/24/804978024.db2.gz WZTTVPFSEDNHHW-OCCSQVGLSA-N 0 1 263.341 0.469 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn[nH]c(=O)c2)[C@H]1C ZINC001088641818 815045656 /nfs/dbraw/zinc/04/56/56/815045656.db2.gz YGUKPSIULFNCMB-KOLCDFICSA-N 0 1 260.297 0.008 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C2CC(OC)C2)[C@H]1C ZINC001088645530 815046387 /nfs/dbraw/zinc/04/63/87/815046387.db2.gz MOKISTMNYGCUMX-XVSSEFHLSA-N 0 1 250.342 0.624 20 30 CCEDMN CC[C@H]1OC(C)=C(OCC(=O)ON2C(=O)CCC2=O)C1=O ZINC001224530118 805046203 /nfs/dbraw/zinc/04/62/03/805046203.db2.gz WLNJRNKNDDSCET-MRVPVSSYSA-N 0 1 297.263 0.220 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)C(C)(C)C)CC1 ZINC001225251300 805115507 /nfs/dbraw/zinc/11/55/07/805115507.db2.gz BCWMDQLMRJAJSA-UHFFFAOYSA-N 0 1 293.411 0.610 20 30 CCEDMN COC(=O)[C@@H](Oc1nccc(/C=N/O)n1)C(F)(F)F ZINC001226253704 805212118 /nfs/dbraw/zinc/21/21/18/805212118.db2.gz LWWLFRMCYYVJBX-PVUDEJQASA-N 0 1 279.174 0.767 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ccon2)CC1 ZINC001226291207 805214713 /nfs/dbraw/zinc/21/47/13/805214713.db2.gz FOJACNKOYSNFFD-UHFFFAOYSA-N 0 1 277.324 0.519 20 30 CCEDMN CC#CCN1CCC(NC(=O)CNC(=O)CC)CC1 ZINC001226624624 805255937 /nfs/dbraw/zinc/25/59/37/805255937.db2.gz BIOLAEXPWJZZOW-UHFFFAOYSA-N 0 1 265.357 0.117 20 30 CCEDMN CCN1CC[C@H](N2CCC(NC(=O)[C@H](C)C#N)CC2)C1=O ZINC001226639517 805260049 /nfs/dbraw/zinc/26/00/49/805260049.db2.gz FBLIHEKBUFFXFF-YPMHNXCESA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@@H](C(=O)N(C)C)N1CCC(NC(=O)C#CC2CC2)CC1 ZINC001227299038 805342498 /nfs/dbraw/zinc/34/24/98/805342498.db2.gz ICCQYPURFXDOFV-LBPRGKRZSA-N 0 1 291.395 0.457 20 30 CCEDMN N#CCC1CN(C(=O)C23CC(NCc4cccnn4)(C2)C3)C1 ZINC001277206536 805346560 /nfs/dbraw/zinc/34/65/60/805346560.db2.gz ZEJPHSUODKNQRM-UHFFFAOYSA-N 0 1 297.362 0.861 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCCNC(N)=O)CC1 ZINC001228187555 805421287 /nfs/dbraw/zinc/42/12/87/805421287.db2.gz FBSQPHMKGWIOTG-UHFFFAOYSA-N 0 1 280.372 0.039 20 30 CCEDMN NC(=O)C(=O)N[C@@H]1CCCC[C@H]1NCC#Cc1ccccc1 ZINC001228320962 805436549 /nfs/dbraw/zinc/43/65/49/805436549.db2.gz WLTGVMOMVSJRNL-HUUCEWRRSA-N 0 1 299.374 0.540 20 30 CCEDMN CC[C@H](C)[C@H](CNC(=O)NCC#CCN(C)C)OC ZINC001228720765 805474389 /nfs/dbraw/zinc/47/43/89/805474389.db2.gz BGMNFVFXKNZZKE-STQMWFEESA-N 0 1 269.389 0.912 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1nc(OC)cc(=O)[nH]1 ZINC001228918014 805489025 /nfs/dbraw/zinc/48/90/25/805489025.db2.gz JSKGHMSZJBKNRN-MRVPVSSYSA-N 0 1 266.253 0.525 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1nc(=O)n(C)c2[nH]cnc21 ZINC001230002294 805572068 /nfs/dbraw/zinc/57/20/68/805572068.db2.gz MCVHERSRIHNESQ-RXMQYKEDSA-N 0 1 276.252 0.206 20 30 CCEDMN N#Cc1nc(-c2cnc(NCCO)nc2)cc2[nH]cnc21 ZINC001242831825 807015483 /nfs/dbraw/zinc/01/54/83/807015483.db2.gz HSPLYBCGHXRQRT-UHFFFAOYSA-N 0 1 281.279 0.691 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H](COC)OC)CCC[C@@H]12 ZINC001278460075 807346531 /nfs/dbraw/zinc/34/65/31/807346531.db2.gz PTORPJPJDQXPMQ-GZBFAFLISA-N 0 1 280.368 0.394 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cccc3ncnn32)[C@H]1C ZINC001088711999 815111678 /nfs/dbraw/zinc/11/16/78/815111678.db2.gz MNTKAQWPOURMAA-OLZOCXBDSA-N 0 1 297.362 0.945 20 30 CCEDMN C=CCCNC(=S)NCCN1CCC(O)CC1 ZINC001247676809 807476111 /nfs/dbraw/zinc/47/61/11/807476111.db2.gz SATALSMEWHDWSW-UHFFFAOYSA-N 0 1 257.403 0.483 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccnc3n[nH]nc32)[C@H]1C ZINC001088735641 815122353 /nfs/dbraw/zinc/12/23/53/815122353.db2.gz AAJYAYOFUYDPFZ-PWSUYJOCSA-N 0 1 298.350 0.569 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc(OC)n2)[C@H]1C ZINC001088735963 815122592 /nfs/dbraw/zinc/12/25/92/815122592.db2.gz SPMDTLAUHBKVED-MNOVXSKESA-N 0 1 276.340 0.864 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2csnn2)CC1 ZINC001251384645 807681565 /nfs/dbraw/zinc/68/15/65/807681565.db2.gz JYXAEOQGTWGZFM-UHFFFAOYSA-N 0 1 265.338 0.927 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1nnc2c(C)cccn12 ZINC001251821628 807709871 /nfs/dbraw/zinc/70/98/71/807709871.db2.gz UDTLFSWDIHHBGG-LBPRGKRZSA-N 0 1 274.324 0.138 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(Cc2cccnc2)CC1 ZINC001251821634 807710215 /nfs/dbraw/zinc/71/02/15/807710215.db2.gz UJHYTPLDKWOETO-MRXNPFEDSA-N 0 1 289.379 0.210 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(C)c2nc(C)ccc2C1 ZINC001251840709 807716816 /nfs/dbraw/zinc/71/68/16/807716816.db2.gz SLZAUGFBKIKTED-HNNXBMFYSA-N 0 1 289.379 0.653 20 30 CCEDMN C#CCOC[C@H](O)CN1CCc2nc[nH]c2[C@@H]1C(C)C ZINC001251854459 807721167 /nfs/dbraw/zinc/72/11/67/807721167.db2.gz DCFKKGSDMGGKNK-DOMZBBRYSA-N 0 1 277.368 0.976 20 30 CCEDMN C#CCOC[C@H](O)CNc1ccc2nc(CO)[nH]c2c1 ZINC001251867727 807728002 /nfs/dbraw/zinc/72/80/02/807728002.db2.gz MSCQXDAZSFDOCX-LLVKDONJSA-N 0 1 275.308 0.478 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(F)cc2c1OCOC2 ZINC001251888409 807735000 /nfs/dbraw/zinc/73/50/00/807735000.db2.gz SZGRQPZPAFIDPP-AWEZNQCLSA-N 0 1 295.310 0.793 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cn2cccc(C)c2n1 ZINC001251888406 807735315 /nfs/dbraw/zinc/73/53/15/807735315.db2.gz SYHVZWLUAKVAQP-AWEZNQCLSA-N 0 1 273.336 0.743 20 30 CCEDMN C#CCOC[C@H](O)CN[C@@H](CC(=O)OC)c1cccnc1 ZINC001251893405 807737142 /nfs/dbraw/zinc/73/71/42/807737142.db2.gz CYKFXNAREFFSRH-KGLIPLIRSA-N 0 1 292.335 0.286 20 30 CCEDMN C#CCOC[C@@H](O)CNCC(=O)c1ccc(C)cc1 ZINC001251891684 807737407 /nfs/dbraw/zinc/73/74/07/807737407.db2.gz XKXNKWZUEZWXFE-AWEZNQCLSA-N 0 1 261.321 0.778 20 30 CCEDMN C#CCOC[C@@H](O)CNCC(=O)Cc1ccc(F)cc1 ZINC001251896800 807740927 /nfs/dbraw/zinc/74/09/27/807740927.db2.gz NWWMPJKLDAQDEZ-HNNXBMFYSA-N 0 1 279.311 0.538 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ccc(OC)nc1OC ZINC001251897315 807741042 /nfs/dbraw/zinc/74/10/42/807741042.db2.gz XGDBJYSKIKBNSM-GFCCVEGCSA-N 0 1 280.324 0.199 20 30 CCEDMN CCCCCCCC[C@@H](O)CN[C@@H](CC(N)=O)C(N)=O ZINC001252138596 807797760 /nfs/dbraw/zinc/79/77/60/807797760.db2.gz DVTWILNORAJQJW-NEPJUHHUSA-N 0 1 287.404 0.417 20 30 CCEDMN C#CCCCC(=O)NC[C@]1(C)CCCN([C@H](C)C(N)=O)C1 ZINC001278659309 807807278 /nfs/dbraw/zinc/80/72/78/807807278.db2.gz SXBYRAMBYVUMCT-CJNGLKHVSA-N 0 1 293.411 0.882 20 30 CCEDMN C=CCOC[C@H](O)CN1CCN(Cc2ccccn2)CC1 ZINC001252462426 807874338 /nfs/dbraw/zinc/87/43/38/807874338.db2.gz HGHHFZLDVHKZFK-MRXNPFEDSA-N 0 1 291.395 0.763 20 30 CCEDMN C=CCOC[C@@H](O)CN1Cc2ccnn2C[C@H](COC)C1 ZINC001252467278 807876714 /nfs/dbraw/zinc/87/67/14/807876714.db2.gz XFHLYHIOVTXJDM-HIFRSBDPSA-N 0 1 295.383 0.525 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@@H]1Cc2ccccc2NC1=O ZINC001252481784 807887287 /nfs/dbraw/zinc/88/72/87/807887287.db2.gz GHRGEASBXCDDRP-GXTWGEPZSA-N 0 1 276.336 0.703 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ccnc(OC(C)C)n1 ZINC001252495487 807893751 /nfs/dbraw/zinc/89/37/51/807893751.db2.gz PBURSYHWXKLAEO-CYBMUJFWSA-N 0 1 281.356 0.917 20 30 CCEDMN C=C[C@](C)(O)CN1CCCn2cnc(CNC(C)=O)c2C1 ZINC001252552082 807908178 /nfs/dbraw/zinc/90/81/78/807908178.db2.gz SRBCNOOSALVBOV-HNNXBMFYSA-N 0 1 292.383 0.662 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(c2nccc(C)n2)CC1 ZINC001252556474 807909048 /nfs/dbraw/zinc/90/90/48/807909048.db2.gz HHNVXMZFYKRVEM-CQSZACIVSA-N 0 1 262.357 0.844 20 30 CCEDMN C=C[C@@](C)(O)CN1CCCC(O)=C1C(=O)OCC ZINC001252555567 807909139 /nfs/dbraw/zinc/90/91/39/807909139.db2.gz XXPAIHJEQMGBCF-DGCLKSJQSA-N 0 1 255.314 0.520 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001278673432 807914414 /nfs/dbraw/zinc/91/44/14/807914414.db2.gz SGMIFNRRVHXISZ-CZUORRHYSA-N 0 1 291.395 0.316 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2cncnc2)CC1 ZINC001252601634 807917584 /nfs/dbraw/zinc/91/75/84/807917584.db2.gz ANVQNQCSIUHKRZ-CQSZACIVSA-N 0 1 262.357 0.926 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2ccncn2)CC1 ZINC001252603551 807918513 /nfs/dbraw/zinc/91/85/13/807918513.db2.gz ZWKCYUXHTORPPR-ZDUSSCGKSA-N 0 1 262.357 0.926 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cncn3C)[C@@H]2C1 ZINC001075997313 815162002 /nfs/dbraw/zinc/16/20/02/815162002.db2.gz VKMMAIXKAJRCNP-WCQYABFASA-N 0 1 258.325 0.200 20 30 CCEDMN CCS(=O)(=O)Nc1c(C#N)cnn1-c1ccccn1 ZINC001253277558 808041778 /nfs/dbraw/zinc/04/17/78/808041778.db2.gz MCWTZYAKXXTLLY-UHFFFAOYSA-N 0 1 277.309 0.901 20 30 CCEDMN C=C[C@@H](O)CN1CC[C@H]2[C@H]1CC(=O)N2c1cnn(C)c1 ZINC001253577509 808084881 /nfs/dbraw/zinc/08/48/81/808084881.db2.gz VWRZKNIIDOEPJN-FRRDWIJNSA-N 0 1 276.340 0.147 20 30 CCEDMN C=C[C@@H](O)CN1CCCn2cnc(CNC(C)=O)c2C1 ZINC001253582426 808090910 /nfs/dbraw/zinc/09/09/10/808090910.db2.gz OSIAUIJFKCPFRO-GFCCVEGCSA-N 0 1 278.356 0.272 20 30 CCEDMN N#C[C@@H]1CC[C@H](N[C@@H]2COCCC23OCCO3)C1 ZINC001254642755 808268911 /nfs/dbraw/zinc/26/89/11/808268911.db2.gz LBYNMTGGFLLZCI-GRYCIOLGSA-N 0 1 252.314 0.800 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccc(C)nc1 ZINC001278845468 808324162 /nfs/dbraw/zinc/32/41/62/808324162.db2.gz ZTGVILWMUBZYDN-CQSZACIVSA-N 0 1 275.352 0.388 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1cc(C2CC2)no1 ZINC001255265542 808338271 /nfs/dbraw/zinc/33/82/71/808338271.db2.gz MIJZJKPGJJVVOM-UHFFFAOYSA-N 0 1 276.340 0.916 20 30 CCEDMN COc1ccnc(CNCCNC(=O)C#CC2CC2)c1 ZINC001126898822 815203429 /nfs/dbraw/zinc/20/34/29/815203429.db2.gz BHRGBGVCXRMPRF-UHFFFAOYSA-N 0 1 273.336 0.709 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cnc(C)nc1C ZINC001278877668 808417542 /nfs/dbraw/zinc/41/75/42/808417542.db2.gz AEKURQTULHGYLG-CYBMUJFWSA-N 0 1 290.367 0.091 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@H]1CC12CCC2 ZINC001278878767 808420055 /nfs/dbraw/zinc/42/00/55/808420055.db2.gz UCJCWDUXEGGTAT-QWHCGFSZSA-N 0 1 264.369 0.561 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCc2ccncn2)CC1 ZINC001255794965 808428368 /nfs/dbraw/zinc/42/83/68/808428368.db2.gz YPLKAGKPLNZSBT-UHFFFAOYSA-N 0 1 273.340 0.513 20 30 CCEDMN CCOC(=O)N1CC[C@@H](NC2(C#N)CCN(C)CC2)C1 ZINC001256024993 808452748 /nfs/dbraw/zinc/45/27/48/808452748.db2.gz FBPLFVAIBLESNS-GFCCVEGCSA-N 0 1 280.372 0.795 20 30 CCEDMN C#CCN1CC(O)(CNC(=O)[C@@H]2CCCCN2C(C)C)C1 ZINC001278910926 808471396 /nfs/dbraw/zinc/47/13/96/808471396.db2.gz NRJSHHIKCDVUJD-AWEZNQCLSA-N 0 1 293.411 0.045 20 30 CCEDMN Cc1nc(C(C)(C)NC(=O)NCC#CCN(C)C)no1 ZINC001256581322 808536625 /nfs/dbraw/zinc/53/66/25/808536625.db2.gz ZBVPFXZOIRCLJN-UHFFFAOYSA-N 0 1 279.344 0.477 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H](CO)CC(F)(F)F ZINC001256584951 808538307 /nfs/dbraw/zinc/53/83/07/808538307.db2.gz LOSLANGWQQWKRO-VIFPVBQESA-N 0 1 281.278 0.164 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCC[C@H]1CNCc1cnon1 ZINC001272244808 815219622 /nfs/dbraw/zinc/21/96/22/815219622.db2.gz TUODAZYOVHZEOV-AAEUAGOBSA-N 0 1 294.355 0.647 20 30 CCEDMN CCOC(=O)CCC[C@@H](C)[NH2+][C@@H]1C(=O)N([O-])C[C@@H]1C ZINC001258113714 808655152 /nfs/dbraw/zinc/65/51/52/808655152.db2.gz ZPHYGYAVYHQAEZ-UMNHJUIQSA-N 0 1 272.345 0.934 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@H]3CCCC(=O)N3)[C@@H]2C1 ZINC001076018450 815234596 /nfs/dbraw/zinc/23/45/96/815234596.db2.gz QWDREEXCNDVOOU-BFHYXJOUSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCO[C@H]3CC)[C@@H]2C1 ZINC001076070442 815240114 /nfs/dbraw/zinc/24/01/14/815240114.db2.gz JOXLZWOBUPYVIX-YJNKXOJESA-N 0 1 276.380 0.967 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CCO1 ZINC001262346403 809285129 /nfs/dbraw/zinc/28/51/29/809285129.db2.gz SQKINYMHKMFZEC-GIPNMCIBSA-N 0 1 260.297 0.654 20 30 CCEDMN C#CCNC(=O)CCN[C@@H]1Cc2ccccc2NC1=O ZINC001262566517 809345173 /nfs/dbraw/zinc/34/51/73/809345173.db2.gz RXGDSPUKWZOBRL-CYBMUJFWSA-N 0 1 271.320 0.279 20 30 CCEDMN C#CCNC(=O)CCN[C@H]1Cc2ccccc2NC1=O ZINC001262566518 809345908 /nfs/dbraw/zinc/34/59/08/809345908.db2.gz RXGDSPUKWZOBRL-ZDUSSCGKSA-N 0 1 271.320 0.279 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ccco3)[C@@H]2C1 ZINC001076384306 815287100 /nfs/dbraw/zinc/28/71/00/815287100.db2.gz XPZURBXEBRMPNU-GXTWGEPZSA-N 0 1 258.321 0.988 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cccnn3)[C@@H]2C1 ZINC001076389245 815288244 /nfs/dbraw/zinc/28/82/44/815288244.db2.gz MTBJJLYMOHKLDJ-WCQYABFASA-N 0 1 258.325 0.809 20 30 CCEDMN C#CCNCC(=O)NCCc1nc2cc(F)ccc2n1C ZINC001263100682 809443172 /nfs/dbraw/zinc/44/31/72/809443172.db2.gz UVHFXRZSCKBNIA-UHFFFAOYSA-N 0 1 288.326 0.594 20 30 CCEDMN N#Cc1ccc(F)c(CNC(=O)[C@@H]2CNCCO2)c1 ZINC001263191359 809477033 /nfs/dbraw/zinc/47/70/33/809477033.db2.gz KCBIHKTVNRXMRB-LBPRGKRZSA-N 0 1 263.272 0.302 20 30 CCEDMN C#CCN1CCC(OC(=O)CN(C)C(C)=O)CC1 ZINC001263620902 809542164 /nfs/dbraw/zinc/54/21/64/809542164.db2.gz HPUPHWBYQRBUQZ-UHFFFAOYSA-N 0 1 252.314 0.106 20 30 CCEDMN CCn1ccnc1[C@@H](C#N)C(=O)[C@H]1CCN(C)C1=O ZINC001263616777 809541417 /nfs/dbraw/zinc/54/14/17/809541417.db2.gz IKVMBKCWEHTMSI-ZJUUUORDSA-N 0 1 260.297 0.558 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@]2(CC)CCNC2=O)CC1 ZINC001263620889 809543022 /nfs/dbraw/zinc/54/30/22/809543022.db2.gz GYBAEYHMMMWSCD-HNNXBMFYSA-N 0 1 278.352 0.544 20 30 CCEDMN C=C(C)CNC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001263830453 809582468 /nfs/dbraw/zinc/58/24/68/809582468.db2.gz NOIMGMCZSCFQMI-GFCCVEGCSA-N 0 1 254.378 0.498 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)NC[C@H]1C[C@H](NCC#N)C1 ZINC001263863400 809592128 /nfs/dbraw/zinc/59/21/28/809592128.db2.gz QJJXGPZVNVXVDS-AVGNSLFASA-N 0 1 284.379 0.674 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001263886443 809599626 /nfs/dbraw/zinc/59/96/26/809599626.db2.gz KCZTYTCQSJKPND-GWCFXTLKSA-N 0 1 279.344 0.744 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CC[C@@H](NCc2cnon2)C1 ZINC001263894193 809600971 /nfs/dbraw/zinc/60/09/71/809600971.db2.gz WRKHAVIWDSCRCH-NWDGAFQWSA-N 0 1 294.355 0.647 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N1CCC[C@@H](Cc2nn[n-]n2)C1 ZINC001263911668 809605836 /nfs/dbraw/zinc/60/58/36/809605836.db2.gz PEOFNVJSCHELOV-LBPRGKRZSA-N 0 1 292.387 0.489 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)CCCCOC)C1 ZINC001264074980 809628910 /nfs/dbraw/zinc/62/89/10/809628910.db2.gz MKKZLCYRZAIUMN-HNNXBMFYSA-N 0 1 296.411 0.722 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCN1C(=O)CCC1=O ZINC001264364798 809643312 /nfs/dbraw/zinc/64/33/12/809643312.db2.gz KLAKHGSXIBNWMH-LBPRGKRZSA-N 0 1 293.367 0.244 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)c1cncn1C ZINC001264364344 809643869 /nfs/dbraw/zinc/64/38/69/809643869.db2.gz AAUHBFFMHNIXGS-ZDUSSCGKSA-N 0 1 292.383 0.769 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H]2CCN([C@H](C)C(N)=O)C[C@H]2C1 ZINC001264646062 809667509 /nfs/dbraw/zinc/66/75/09/809667509.db2.gz ZUIOZPCYAAFWSK-MCIONIFRSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H]1CCNC(=O)C1 ZINC001264738744 809676033 /nfs/dbraw/zinc/67/60/33/809676033.db2.gz FBKFJABNGBQXHG-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CCN(CC#N)CCCNC(=O)[C@H](C)CN1CCOCC1 ZINC001265146000 809716172 /nfs/dbraw/zinc/71/61/72/809716172.db2.gz JEKXEDDUBLRJQL-CQSZACIVSA-N 0 1 296.415 0.306 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CCCN(CCn2cncn2)C1 ZINC001265213333 809725994 /nfs/dbraw/zinc/72/59/94/809725994.db2.gz PWHAAAAKSBXFNK-AWEZNQCLSA-N 0 1 289.383 0.518 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001265230611 809727654 /nfs/dbraw/zinc/72/76/54/809727654.db2.gz ITJMAWWRWSFSGL-OLZOCXBDSA-N 0 1 295.383 0.048 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H](OC)C2CC2)CC1 ZINC001265258222 809733067 /nfs/dbraw/zinc/73/30/67/809733067.db2.gz CMROZSKHZQBVQE-AWEZNQCLSA-N 0 1 281.400 0.331 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)[C@H](CCC)OC)CC1 ZINC001265261669 809734755 /nfs/dbraw/zinc/73/47/55/809734755.db2.gz PJTGVLZEJLUWTN-HNNXBMFYSA-N 0 1 295.427 0.559 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1ccn(-c2ccncc2)n1 ZINC001038504370 815315456 /nfs/dbraw/zinc/31/54/56/815315456.db2.gz ZLZHLEZFFIBCCK-CYBMUJFWSA-N 0 1 296.334 0.595 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H]1CCCN([C@@H](C)C(N)=O)C1 ZINC001265589052 809808903 /nfs/dbraw/zinc/80/89/03/809808903.db2.gz MNAJNEQPXBBNMQ-NWDGAFQWSA-N 0 1 281.400 0.901 20 30 CCEDMN N#CCN1CCCC[C@H]1CNC(=O)CCc1c[nH]nn1 ZINC001265653849 809820351 /nfs/dbraw/zinc/82/03/51/809820351.db2.gz JELNIGUGTCRQHR-LBPRGKRZSA-N 0 1 276.344 0.232 20 30 CCEDMN N#CCN1CCCC[C@H]1CNC(=O)CCc1cnn[nH]1 ZINC001265653849 809820357 /nfs/dbraw/zinc/82/03/57/809820357.db2.gz JELNIGUGTCRQHR-LBPRGKRZSA-N 0 1 276.344 0.232 20 30 CCEDMN CCn1nncc1CN[C@@H](C)CNC(=O)CSCC#N ZINC001265754797 809840757 /nfs/dbraw/zinc/84/07/57/809840757.db2.gz LZMINSKRHNXVHS-JTQLQIEISA-N 0 1 296.400 0.149 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)CC1(C)CC1 ZINC001265892391 809886095 /nfs/dbraw/zinc/88/60/95/809886095.db2.gz ZNXXPLOTAJBCTM-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCN(C)[C@@H]2CCNC2=O)cc1 ZINC001265900104 809889317 /nfs/dbraw/zinc/88/93/17/809889317.db2.gz OYZUGQPEAPXFDA-OAHLLOKOSA-N 0 1 299.374 0.608 20 30 CCEDMN C=CCN(C)CCCNC(=O)CS(=O)(=O)C(C)C ZINC001265976644 809911111 /nfs/dbraw/zinc/91/11/11/809911111.db2.gz WCIMRVSZGISOSB-UHFFFAOYSA-N 0 1 276.402 0.434 20 30 CCEDMN C#CCCCC(=O)N(C)C1CCN([C@@H](CC)C(N)=O)CC1 ZINC001266181923 809956713 /nfs/dbraw/zinc/95/67/13/809956713.db2.gz VJLLKSXFMJGVBZ-AWEZNQCLSA-N 0 1 293.411 0.977 20 30 CCEDMN C=CCOCC(=O)NC1(C2CCN(CC(N)=O)CC2)CC1 ZINC001279352515 809975830 /nfs/dbraw/zinc/97/58/30/809975830.db2.gz HSXTXVJAIMUMBL-UHFFFAOYSA-N 0 1 295.383 0.035 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)[C@H]2CCOC2)C1 ZINC001279470655 809981231 /nfs/dbraw/zinc/98/12/31/809981231.db2.gz HFHQIWFBGPWUCN-ZFWWWQNUSA-N 0 1 282.384 0.542 20 30 CCEDMN CCOCCN1CCC[C@](CO)(NC(=O)[C@@H](C)C#N)C1 ZINC001279471931 809983056 /nfs/dbraw/zinc/98/30/56/809983056.db2.gz UIXYZBUVRBYIJV-JSGCOSHPSA-N 0 1 283.372 0.126 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccoc2C)C1 ZINC001076721834 815354759 /nfs/dbraw/zinc/35/47/59/815354759.db2.gz HTZYLTALKMDBPX-CHWSQXEVSA-N 0 1 264.325 0.939 20 30 CCEDMN CC(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001076889965 815408040 /nfs/dbraw/zinc/40/80/40/815408040.db2.gz CBDHLNWXMYHVMN-CHWSQXEVSA-N 0 1 276.340 0.317 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)CCc1ccnn1C ZINC001267270690 811074254 /nfs/dbraw/zinc/07/42/54/811074254.db2.gz VSZRWRUZINCKCG-HNNXBMFYSA-N 0 1 288.395 0.957 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)Cc1nonc1C ZINC001267280903 811088076 /nfs/dbraw/zinc/08/80/76/811088076.db2.gz XXMKSBOVYSYWFK-LLVKDONJSA-N 0 1 262.313 0.134 20 30 CCEDMN C[C@@H](CNc1nccnc1C#N)N(C)C(=O)c1ccn[nH]1 ZINC001104480246 811120140 /nfs/dbraw/zinc/12/01/40/811120140.db2.gz YXYDOMCNPZVGSA-VIFPVBQESA-N 0 1 285.311 0.644 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@H](C)CNc2cnc(C#N)cn2)n[nH]1 ZINC001104486841 811130171 /nfs/dbraw/zinc/13/01/71/811130171.db2.gz MJEWFQCMEZJHHQ-SNVBAGLBSA-N 0 1 299.338 0.952 20 30 CCEDMN CCC[N@H+](CCNC(=O)C#CC1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001267312672 811138352 /nfs/dbraw/zinc/13/83/52/811138352.db2.gz KCCIMWXXZBKUAF-UHFFFAOYSA-N 0 1 291.355 0.252 20 30 CCEDMN CCC[N@@H+](CCNC(=O)C#CC1CC1)Cc1n[nH]c(=O)[n-]1 ZINC001267312672 811138354 /nfs/dbraw/zinc/13/83/54/811138354.db2.gz KCCIMWXXZBKUAF-UHFFFAOYSA-N 0 1 291.355 0.252 20 30 CCEDMN N#CCN(CCNC(=O)[C@H]1CCCc2n[nH]nc21)C1CC1 ZINC001267331839 811167668 /nfs/dbraw/zinc/16/76/68/811167668.db2.gz SZGUQCUGKUWBCE-NSHDSACASA-N 0 1 288.355 0.329 20 30 CCEDMN Cc1nc(C)c(CNCCN(C)C(=O)[C@@H](C)C#N)o1 ZINC001267355594 811204788 /nfs/dbraw/zinc/20/47/88/811204788.db2.gz WRVZYCDCZFDAEZ-VIFPVBQESA-N 0 1 264.329 0.999 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCCO[C@H]2C=C)C1 ZINC001077019252 815433116 /nfs/dbraw/zinc/43/31/16/815433116.db2.gz PFLJMIAWJGQBSF-TUVASFSCSA-N 0 1 292.379 0.152 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H]2CC[C@@H](C1)N2[C@H]1CCNC1=O ZINC001267410069 811285414 /nfs/dbraw/zinc/28/54/14/811285414.db2.gz MOLRLIIWYKIMCZ-MJBXVCDLSA-N 0 1 291.395 0.906 20 30 CCEDMN N#Cc1cccnc1N1CC[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001058562167 811322169 /nfs/dbraw/zinc/32/21/69/811322169.db2.gz DRIZKQYSLBCFPB-LBPRGKRZSA-N 0 1 296.334 0.614 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(C(N)=O)nc1 ZINC001267478271 811361368 /nfs/dbraw/zinc/36/13/68/811361368.db2.gz HIIQDODKARFSDX-UHFFFAOYSA-N 0 1 296.758 0.595 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CCNC(=O)[C@H](C)CC)C1 ZINC001267507976 811383127 /nfs/dbraw/zinc/38/31/27/811383127.db2.gz HVOVJBGDHDLLCT-ZIAGYGMSSA-N 0 1 293.411 0.610 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](N(CCC)C(=O)c2cnn[n-]2)C1 ZINC001267543774 811424939 /nfs/dbraw/zinc/42/49/39/811424939.db2.gz KIPXZSMRGXJNPS-GFCCVEGCSA-N 0 1 275.356 0.755 20 30 CCEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)c2cn[nH]n2)C1 ZINC001267543774 811424942 /nfs/dbraw/zinc/42/49/42/811424942.db2.gz KIPXZSMRGXJNPS-GFCCVEGCSA-N 0 1 275.356 0.755 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)CCN1CCN(CCO)CC1 ZINC001267574559 811467210 /nfs/dbraw/zinc/46/72/10/811467210.db2.gz LNVIEOYAIAUHSN-UHFFFAOYSA-N 0 1 283.416 0.267 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H](CN(C)CC(N)=O)C2)C1 ZINC001267589705 811493442 /nfs/dbraw/zinc/49/34/42/811493442.db2.gz QAAMJSFDNICDRL-GFCCVEGCSA-N 0 1 279.384 0.608 20 30 CCEDMN N#CCN1CC[C@H](CCNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001267602116 811517152 /nfs/dbraw/zinc/51/71/52/811517152.db2.gz VPYVOAJVILPQGS-NSHDSACASA-N 0 1 298.350 0.923 20 30 CCEDMN C=C(C)CN1CCN(CCN(C)C(=O)[C@H](C)C#N)CC1 ZINC001267606060 811545375 /nfs/dbraw/zinc/54/53/75/811545375.db2.gz MISBZVPYPDORHB-CQSZACIVSA-N 0 1 278.400 0.798 20 30 CCEDMN COCC#CCN[C@@H]1CN(C(C)=O)C[C@H]1C(F)(F)F ZINC001112030278 811611343 /nfs/dbraw/zinc/61/13/43/811611343.db2.gz KOBXKXWYBVMKJI-GHMZBOCLSA-N 0 1 278.274 0.635 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2[nH]c(C)cc2C)C1 ZINC001077239484 815465122 /nfs/dbraw/zinc/46/51/22/815465122.db2.gz AUGWFMINGUAVBK-CHWSQXEVSA-N 0 1 275.352 0.430 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccccc2)C1 ZINC001077243032 815466334 /nfs/dbraw/zinc/46/63/34/815466334.db2.gz WCZDEZUVBKBDRY-HUUCEWRRSA-N 0 1 272.348 0.414 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@H]1CCN(CCOCCO)C1 ZINC001267722612 811687776 /nfs/dbraw/zinc/68/77/76/811687776.db2.gz JZTLXTHYZJBINJ-ZDUSSCGKSA-N 0 1 282.384 0.235 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC001267732796 811699535 /nfs/dbraw/zinc/69/95/35/811699535.db2.gz OPQBIDAKOYSPQQ-LBPRGKRZSA-N 0 1 293.371 0.305 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCCc2nccn21 ZINC001038355844 811703603 /nfs/dbraw/zinc/70/36/03/811703603.db2.gz FBYLTWRIKGRUEL-UONOGXRCSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cnc3ccccn32)C1 ZINC001077265531 815468990 /nfs/dbraw/zinc/46/89/90/815468990.db2.gz VTXCWWTXPOMPIY-TZMCWYRMSA-N 0 1 298.346 0.133 20 30 CCEDMN CC#CC[NH2+]CC[C@@H]1CN(C(=O)Cc2nnc[n-]2)C[C@H]1C ZINC001104891325 811759679 /nfs/dbraw/zinc/75/96/79/811759679.db2.gz APBKVIPITIQVFA-CHWSQXEVSA-N 0 1 289.383 0.445 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCCC(=O)NC)C1 ZINC001267764912 811762613 /nfs/dbraw/zinc/76/26/13/811762613.db2.gz RGNWFCHSLHFDSO-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H](C)CCNC(=O)c2cnn[nH]2)C1 ZINC001077297740 815473331 /nfs/dbraw/zinc/47/33/31/815473331.db2.gz VOPIEELRDNOURT-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ncccn3)C[C@@H]21 ZINC001042277611 811765043 /nfs/dbraw/zinc/76/50/43/811765043.db2.gz OJCZETZQQPNVOH-STQMWFEESA-N 0 1 270.336 0.646 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCOCCOC)C1 ZINC001267778891 811780098 /nfs/dbraw/zinc/78/00/98/811780098.db2.gz JEFWHWHVKOREFY-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(CC)C(=O)Cc2cn(C)nc2C)C1 ZINC001267786871 811786474 /nfs/dbraw/zinc/78/64/74/811786474.db2.gz QZBITBIPJFKULH-OAHLLOKOSA-N 0 1 288.395 0.827 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)Cc2cn(C)nc2C)C1 ZINC001267786871 811786478 /nfs/dbraw/zinc/78/64/78/811786478.db2.gz QZBITBIPJFKULH-OAHLLOKOSA-N 0 1 288.395 0.827 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccnnc2C)C1 ZINC001077313416 815478478 /nfs/dbraw/zinc/47/84/78/815478478.db2.gz WJPRFOBWRMHNED-CHWSQXEVSA-N 0 1 276.340 0.136 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cn[nH]c3)[C@@H]2C1 ZINC001075577962 812072680 /nfs/dbraw/zinc/07/26/80/812072680.db2.gz BQLDEFHIVLWDIH-WCQYABFASA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](C)NC(C)=O)CC2 ZINC001268042506 812078210 /nfs/dbraw/zinc/07/82/10/812078210.db2.gz RJRWKUZEKYLUCM-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN O=C(CCn1ccnn1)NCCNCC#Cc1ccccc1 ZINC001126270189 812130943 /nfs/dbraw/zinc/13/09/43/812130943.db2.gz BSKNAIOPXMVKCM-UHFFFAOYSA-N 0 1 297.362 0.426 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnn2ccncc12 ZINC001027934172 812149533 /nfs/dbraw/zinc/14/95/33/812149533.db2.gz LVQKJUGMMUFVBA-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cnc2n[nH]nc2c1 ZINC001027934958 812151012 /nfs/dbraw/zinc/15/10/12/812151012.db2.gz BUUUHTMZFKYUNX-LLVKDONJSA-N 0 1 286.339 0.733 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001038829728 812173023 /nfs/dbraw/zinc/17/30/23/812173023.db2.gz NKIOZCMJHAGDOA-GFCCVEGCSA-N 0 1 260.341 0.854 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001038829728 812173032 /nfs/dbraw/zinc/17/30/32/812173032.db2.gz NKIOZCMJHAGDOA-GFCCVEGCSA-N 0 1 260.341 0.854 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)C1CCC(C(N)=O)CC1 ZINC001027982829 812194179 /nfs/dbraw/zinc/19/41/79/812194179.db2.gz WARVDNOPMXYQFZ-JXQTWKCFSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c[nH]nc1CC ZINC001027990573 812200104 /nfs/dbraw/zinc/20/01/04/812200104.db2.gz WSWXQUDWFHJQPE-NSHDSACASA-N 0 1 260.341 0.800 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCN(C(C)=O)C1 ZINC001028007751 812211019 /nfs/dbraw/zinc/21/10/19/812211019.db2.gz PPUNUWJEFFQSTK-HUUCEWRRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccn2nnnc2c1 ZINC001028070618 812246780 /nfs/dbraw/zinc/24/67/80/812246780.db2.gz HBCNCQDORPXQDF-CYBMUJFWSA-N 0 1 298.350 0.342 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccoc1CC(N)=O ZINC001028099398 812275920 /nfs/dbraw/zinc/27/59/20/812275920.db2.gz ONGMHVZSJSBGSH-NSHDSACASA-N 0 1 291.351 0.688 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001028141689 812310028 /nfs/dbraw/zinc/31/00/28/812310028.db2.gz NULGRIXCAULICM-HZSPNIEDSA-N 0 1 291.395 0.492 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)CNC(=O)CC)C1 ZINC001268169984 812338577 /nfs/dbraw/zinc/33/85/77/812338577.db2.gz QZXOEQORNYIWEI-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2[C@@H](C)COC)cn1 ZINC001038927953 812353552 /nfs/dbraw/zinc/35/35/52/812353552.db2.gz FLKGQOTVTWRTAZ-SWLSCSKDSA-N 0 1 287.363 0.902 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)C(=O)NCC(F)F)C1 ZINC001268201761 812358782 /nfs/dbraw/zinc/35/87/82/812358782.db2.gz BXGAMDRZPQNBOP-JTQLQIEISA-N 0 1 289.326 0.524 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCc1cncnc1 ZINC001268243822 812410760 /nfs/dbraw/zinc/41/07/60/812410760.db2.gz PFVXWADTIQLOKD-UHFFFAOYSA-N 0 1 260.341 0.433 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H]1CCC(=O)N1C ZINC001268244667 812410879 /nfs/dbraw/zinc/41/08/79/812410879.db2.gz GKIVFSCZDNCPGA-LBPRGKRZSA-N 0 1 265.357 0.021 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001268248419 812414995 /nfs/dbraw/zinc/41/49/95/812414995.db2.gz ZXMLYZBUDQPBOU-ZDUSSCGKSA-N 0 1 279.384 0.409 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC1CN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC001268300676 812462224 /nfs/dbraw/zinc/46/22/24/812462224.db2.gz PQMPOQFDPFJFSI-VHSXEESVSA-N 0 1 291.355 0.013 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](O)CNCc1nccnc1C ZINC001268315686 812479199 /nfs/dbraw/zinc/47/91/99/812479199.db2.gz CWHMHMUOIUSURR-LBPRGKRZSA-N 0 1 292.383 0.564 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccnc2nc(C)nn21 ZINC001028258197 812518880 /nfs/dbraw/zinc/51/88/80/812518880.db2.gz NRDOJGIWDNIOBG-LBPRGKRZSA-N 0 1 298.350 0.260 20 30 CCEDMN C#CCCCC(=O)NCCN[C@H](C)c1n[nH]c(CC)n1 ZINC001126343608 812529529 /nfs/dbraw/zinc/52/95/29/812529529.db2.gz BZWFVPAIOABSHH-LLVKDONJSA-N 0 1 277.372 0.937 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccc(=O)[nH]c1 ZINC001028297051 812598598 /nfs/dbraw/zinc/59/85/98/812598598.db2.gz GRQQTESGXDAOSE-GFCCVEGCSA-N 0 1 259.309 0.615 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cc(OC)ccn1 ZINC001126361044 812633369 /nfs/dbraw/zinc/63/33/69/812633369.db2.gz VGDLMUDVFOWVBN-HNNXBMFYSA-N 0 1 293.367 0.623 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)c2cn(C)c(=O)n2C)C1 ZINC001268492202 812686478 /nfs/dbraw/zinc/68/64/78/812686478.db2.gz SSGMROYOYMSSIP-NSHDSACASA-N 0 1 292.383 0.493 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3nnn(C)c3C)[C@@H]2C1 ZINC001075640529 812695649 /nfs/dbraw/zinc/69/56/49/812695649.db2.gz OHZHMQPEZRWBST-NWDGAFQWSA-N 0 1 275.356 0.456 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC[C@@H]2CCN(CCF)C2)c1 ZINC001028468428 812735525 /nfs/dbraw/zinc/73/55/25/812735525.db2.gz VWKCENIFSAKMGH-JTQLQIEISA-N 0 1 264.304 0.908 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CN2CCCC2=O)C1 ZINC001268508836 812738166 /nfs/dbraw/zinc/73/81/66/812738166.db2.gz CKBNYKMJXYXNNC-UHFFFAOYSA-N 0 1 265.357 0.233 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1ccns1 ZINC001126377463 812803248 /nfs/dbraw/zinc/80/32/48/812803248.db2.gz ATTXERJOZFLQRO-GFCCVEGCSA-N 0 1 269.370 0.676 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CC(C)C2)[C@@H](O)C1 ZINC001083398386 812938156 /nfs/dbraw/zinc/93/81/56/812938156.db2.gz CNVBIPSKUWAXRW-TUUUFIMRSA-N 0 1 250.342 0.217 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ncccc2C)[C@@H](O)C1 ZINC001083395163 812938258 /nfs/dbraw/zinc/93/82/58/812938258.db2.gz CODMCDCHTGZRSH-OLZOCXBDSA-N 0 1 273.336 0.188 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H](C)CNCc1cc(OC)no1 ZINC001268646464 812974474 /nfs/dbraw/zinc/97/44/74/812974474.db2.gz SOMGFUVXDOSXJB-GHMZBOCLSA-N 0 1 295.339 0.316 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H](C)N(C)CC(F)(F)F ZINC001268741885 813053729 /nfs/dbraw/zinc/05/37/29/813053729.db2.gz AJCBHCLLMJTDRD-GHMZBOCLSA-N 0 1 293.333 0.939 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](C)NC(=O)CCCF)C1=O ZINC001268742479 813055930 /nfs/dbraw/zinc/05/59/30/813055930.db2.gz DWTRDRAZIUDHQH-QWHCGFSZSA-N 0 1 299.390 0.960 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001028641121 813097704 /nfs/dbraw/zinc/09/77/04/813097704.db2.gz BSRYIYROGTXKHM-KGLIPLIRSA-N 0 1 286.379 0.517 20 30 CCEDMN O=C(CCS)N1Cc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001268894710 813136462 /nfs/dbraw/zinc/13/64/62/813136462.db2.gz INIIBISSCDUOQQ-UHFFFAOYSA-N 0 1 292.364 0.584 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CCc2cn(C)nn2)C1 ZINC001268917347 813146267 /nfs/dbraw/zinc/14/62/67/813146267.db2.gz PWGXMEZXNZMDMX-UHFFFAOYSA-N 0 1 277.372 0.372 20 30 CCEDMN C#CCOCCC(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](C)OC ZINC001268943927 813152548 /nfs/dbraw/zinc/15/25/48/813152548.db2.gz CBSZKLBUTCCGKF-IHRRRGAJSA-N 0 1 280.368 0.346 20 30 CCEDMN O=C(CCS)N1CC[C@H]2[C@@H]1CCN2Cc1cn[nH]c1 ZINC001268959896 813161739 /nfs/dbraw/zinc/16/17/39/813161739.db2.gz PIDJCABYVUEOKN-RYUDHWBXSA-N 0 1 280.397 0.905 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCn2ccccc2=O)C1 ZINC001269029605 813183650 /nfs/dbraw/zinc/18/36/50/813183650.db2.gz BMYDTHABILJPRV-ZDUSSCGKSA-N 0 1 275.352 0.615 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cn(C)cn3)[C@@H]2C1 ZINC001075685164 813185020 /nfs/dbraw/zinc/18/50/20/813185020.db2.gz PWDABULSUZEXRV-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cnoc1C ZINC001127046897 815603123 /nfs/dbraw/zinc/60/31/23/815603123.db2.gz VVBLCERFYQIDKV-LLVKDONJSA-N 0 1 265.313 0.227 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001269263584 813295061 /nfs/dbraw/zinc/29/50/61/813295061.db2.gz RFEAGISZIMCRRA-ZIAGYGMSSA-N 0 1 292.383 0.826 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)C[C@H]1CCOC1 ZINC001269287540 813308548 /nfs/dbraw/zinc/30/85/48/813308548.db2.gz VCSUOYCJDKWXAV-ZIAGYGMSSA-N 0 1 282.384 0.806 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CCc2cncn2C)C1 ZINC001269293215 813310271 /nfs/dbraw/zinc/31/02/71/813310271.db2.gz UBJXRYRPFBSAFW-HNNXBMFYSA-N 0 1 288.395 0.909 20 30 CCEDMN CC[C@H](CNC(=O)CSCC#N)NCc1cnns1 ZINC001269371373 813345914 /nfs/dbraw/zinc/34/59/14/813345914.db2.gz GWFJZYCYYRSBDR-SECBINFHSA-N 0 1 299.425 0.779 20 30 CCEDMN CC(C)(C)NC(=O)CNC1(CNC(=O)C#CC2CC2)CC1 ZINC001269411385 813360746 /nfs/dbraw/zinc/36/07/46/813360746.db2.gz DWVJUSFLLTZMLO-UHFFFAOYSA-N 0 1 291.395 0.553 20 30 CCEDMN N#CC1(NC(=O)[C@@]23C[C@@H]2CN(C(=O)c2cnc[nH]2)C3)CCC1 ZINC001269414778 813362926 /nfs/dbraw/zinc/36/29/26/813362926.db2.gz YMOCFSXUMKGJNS-MEBBXXQBSA-N 0 1 299.334 0.434 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN(CC(=O)NC)C2)cc1 ZINC001028730589 813395295 /nfs/dbraw/zinc/39/52/95/813395295.db2.gz MTRHEKADKRQQPN-AWEZNQCLSA-N 0 1 299.374 0.466 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C2(C(=O)NC)CC2)CC1 ZINC001269505324 813396376 /nfs/dbraw/zinc/39/63/76/813396376.db2.gz CCAXGOIQFAQGSD-UHFFFAOYSA-N 0 1 285.775 0.504 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc2nc[nH]c2n1 ZINC001038406234 813495198 /nfs/dbraw/zinc/49/51/98/813495198.db2.gz SQNNEWXKMWXDMP-NSHDSACASA-N 0 1 283.335 0.785 20 30 CCEDMN CC#CCCCC(=O)N(C)C1CN(Cc2n[nH]c(C)n2)C1 ZINC001269837763 813525570 /nfs/dbraw/zinc/52/55/70/813525570.db2.gz BOWOZBZMAWBUJG-UHFFFAOYSA-N 0 1 289.383 0.949 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001028917026 813653233 /nfs/dbraw/zinc/65/32/33/813653233.db2.gz DOBZUQKWLDJFMU-VXGBXAGGSA-N 0 1 287.367 0.476 20 30 CCEDMN C#CCN(C(=O)[C@H](C)OC)C1CCN(CC#C)CC1 ZINC001270291437 813710857 /nfs/dbraw/zinc/71/08/57/813710857.db2.gz ZGHAYOXTXVCEHW-ZDUSSCGKSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@]2(C1)CCCCN(C(C)=O)C2 ZINC001270505509 813788310 /nfs/dbraw/zinc/78/83/10/813788310.db2.gz SCQOLPTVWODBFE-MRXNPFEDSA-N 0 1 291.395 0.460 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCn1ccnc1 ZINC001270506990 813789670 /nfs/dbraw/zinc/78/96/70/813789670.db2.gz FWBNNBOXWOGORQ-MELADBBJSA-N 0 1 287.367 0.718 20 30 CCEDMN COCCOCCN1CC[C@@](C)(NC(=O)C#CC2CC2)C1 ZINC001270584893 813824844 /nfs/dbraw/zinc/82/48/44/813824844.db2.gz WOVXPWHKVHGMKZ-MRXNPFEDSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cc2cscn2)C1 ZINC001270631500 813862674 /nfs/dbraw/zinc/86/26/74/813862674.db2.gz CGOMAJHJGYEZSP-ZDUSSCGKSA-N 0 1 263.366 0.899 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCO2)[C@H]1CC ZINC001087495368 813965466 /nfs/dbraw/zinc/96/54/66/813965466.db2.gz ZZAMOAZVCRPQJD-YNEHKIRRSA-N 0 1 250.342 0.768 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001029240989 814011217 /nfs/dbraw/zinc/01/12/17/814011217.db2.gz CJXGUECDRHQJDE-FRRDWIJNSA-N 0 1 262.357 0.279 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)n1 ZINC001059277101 814053776 /nfs/dbraw/zinc/05/37/76/814053776.db2.gz VRPSIZIMIDXGSS-KYZUINATSA-N 0 1 298.310 0.148 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)n1 ZINC001059277101 814053782 /nfs/dbraw/zinc/05/37/82/814053782.db2.gz VRPSIZIMIDXGSS-KYZUINATSA-N 0 1 298.310 0.148 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)Cc2n[nH]c(C)n2)CCN1CC#N ZINC001087564447 814165957 /nfs/dbraw/zinc/16/59/57/814165957.db2.gz BIVLZLGGPDZCNF-WDEREUQCSA-N 0 1 276.344 0.148 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn(C)c(=O)c2)[C@H]1CC ZINC001087628112 814178353 /nfs/dbraw/zinc/17/83/53/814178353.db2.gz BPQREQXYQIXYNG-UONOGXRCSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2n[nH]cc2F)[C@H]1CC ZINC001087715482 814195529 /nfs/dbraw/zinc/19/55/29/814195529.db2.gz LEXUATHWXIFIOS-WDEREUQCSA-N 0 1 264.304 0.765 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@](O)(CNC(=O)c2cncc(F)c2)C1 ZINC001271139809 814203673 /nfs/dbraw/zinc/20/36/73/814203673.db2.gz ZFZPXLIHBUZWJD-OAHLLOKOSA-N 0 1 293.342 0.963 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccncn2)[C@H]1CC ZINC001087766777 814206797 /nfs/dbraw/zinc/20/67/97/814206797.db2.gz REGNCXKBGRSMOY-WCQYABFASA-N 0 1 258.325 0.693 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CCN(CCF)C[C@H]2C1 ZINC001087910836 814268134 /nfs/dbraw/zinc/26/81/34/814268134.db2.gz AQYWFWQNWOVHDD-UTUOFQBUSA-N 0 1 253.321 0.896 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083422275 814369546 /nfs/dbraw/zinc/36/95/46/814369546.db2.gz ORISIMQSDWCAHL-PWSUYJOCSA-N 0 1 277.324 0.138 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ncccc2O)[C@@H](O)C1 ZINC001083422275 814369551 /nfs/dbraw/zinc/36/95/51/814369551.db2.gz ORISIMQSDWCAHL-PWSUYJOCSA-N 0 1 277.324 0.138 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2C(=O)c2ccncc2O)C1=O ZINC001271402693 814405190 /nfs/dbraw/zinc/40/51/90/814405190.db2.gz PRNDKEKCYTYYKP-INIZCTEOSA-N 0 1 299.330 0.628 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1nccnc1C ZINC001127051274 815606634 /nfs/dbraw/zinc/60/66/34/815606634.db2.gz FAIZCQYAMPTRAT-LBPRGKRZSA-N 0 1 276.340 0.029 20 30 CCEDMN CS[C@@H](C)CC(=O)NCCN1CCC(NCC#N)CC1 ZINC001271904794 814626901 /nfs/dbraw/zinc/62/69/01/814626901.db2.gz HSSFSRKQYWVLFT-LBPRGKRZSA-N 0 1 298.456 0.822 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)C2CC(OC)C2)C1 ZINC001271964167 814654025 /nfs/dbraw/zinc/65/40/25/814654025.db2.gz AVQWGYBBHWJFLL-ZBCRRDGASA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)CCCF)C1 ZINC001271973560 814659443 /nfs/dbraw/zinc/65/94/43/814659443.db2.gz LHTUQRVWLJQVBU-CYBMUJFWSA-N 0 1 258.337 0.865 20 30 CCEDMN CC(C)[C@@H](O)C(=O)NCCNCC#Cc1ccccc1 ZINC001126631537 814695921 /nfs/dbraw/zinc/69/59/21/814695921.db2.gz FYTNAPXVGBSDLS-OAHLLOKOSA-N 0 1 274.364 0.761 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc[n+]([O-])cc2)[C@H]1C ZINC001088456270 814709534 /nfs/dbraw/zinc/70/95/34/814709534.db2.gz IFLSQRRYYDTSGF-OCCSQVGLSA-N 0 1 273.336 0.536 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cc(C)nn2C)[C@@H](O)C1 ZINC001083638935 815582132 /nfs/dbraw/zinc/58/21/32/815582132.db2.gz PLWXSNIVWPAVIJ-KGLIPLIRSA-N 0 1 292.383 0.008 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C)n[nH]2)[C@H]1C ZINC001088483175 814735923 /nfs/dbraw/zinc/73/59/23/814735923.db2.gz UKJWTDPNBYOHEQ-NEPJUHHUSA-N 0 1 260.341 0.934 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cn(C)nc2C)[C@H]1C ZINC001088498606 814747275 /nfs/dbraw/zinc/74/72/75/814747275.db2.gz HWEHWYVFGRLIRB-OCCSQVGLSA-N 0 1 274.368 0.944 20 30 CCEDMN CCOC(=O)C1CO[C@H](C)C1=Nc1ncc(C#N)cn1 ZINC001168158781 814763876 /nfs/dbraw/zinc/76/38/76/814763876.db2.gz OPHHZMCPPICHDR-MRVPVSSYSA-N 0 1 274.280 0.996 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cc(C)[nH]n2)[C@H]1C ZINC001088518013 814848064 /nfs/dbraw/zinc/84/80/64/814848064.db2.gz LIALRIPRZUIFOI-YPMHNXCESA-N 0 1 260.341 0.473 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cc(C)n[nH]2)[C@H]1C ZINC001088518013 814848069 /nfs/dbraw/zinc/84/80/69/814848069.db2.gz LIALRIPRZUIFOI-YPMHNXCESA-N 0 1 260.341 0.473 20 30 CCEDMN N#C[C@@H](C(=O)NC1CC1)C(=O)[C@H]1Cc2ccccc2C(=O)O1 ZINC000129408813 815613958 /nfs/dbraw/zinc/61/39/58/815613958.db2.gz HQUPIHHUMHSFTH-CHWSQXEVSA-N 0 1 298.298 0.756 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC1CN(C[C@H](C)CC)C1 ZINC001030463590 816049156 /nfs/dbraw/zinc/04/91/56/816049156.db2.gz QZOPOFQLJVBKRT-HIFRSBDPSA-N 0 1 277.412 0.931 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CC[C@@H](C)O2)[C@H]1C ZINC001088869797 816133734 /nfs/dbraw/zinc/13/37/34/816133734.db2.gz CJWCMXABXFQBGW-FVCCEPFGSA-N 0 1 250.342 0.766 20 30 CCEDMN C=C[C@@H](C)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC001120582978 816150203 /nfs/dbraw/zinc/15/02/03/816150203.db2.gz LTANVHNCKWPRJO-SNVBAGLBSA-N 0 1 265.338 0.891 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(=O)n(CC)n2)[C@H]1C ZINC001088927988 816179195 /nfs/dbraw/zinc/17/91/95/816179195.db2.gz HDDBSGSOQIZQSC-NEPJUHHUSA-N 0 1 288.351 0.089 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2nonc2C)[C@H]1C ZINC001088950589 816190196 /nfs/dbraw/zinc/19/01/96/816190196.db2.gz XXIMVHUDEDNLGL-MNOVXSKESA-N 0 1 262.313 0.133 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)[C@H]1C ZINC001089006825 816211599 /nfs/dbraw/zinc/21/15/99/816211599.db2.gz ZXCICBGUBOBVGR-XBFCOCLRSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN1CC(NC(=O)c2ccc3cncn3c2)C1 ZINC001030682675 816214313 /nfs/dbraw/zinc/21/43/13/816214313.db2.gz HEEFHKIMNBRAKM-UHFFFAOYSA-N 0 1 254.293 0.382 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc3c[nH]nc32)C1 ZINC001077490177 816278409 /nfs/dbraw/zinc/27/84/09/816278409.db2.gz VPNHJOMPIUEPRW-ZIAGYGMSSA-N 0 1 298.346 0.361 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1nnc(C)[nH]1 ZINC001234204101 816521710 /nfs/dbraw/zinc/52/17/10/816521710.db2.gz ACBQEHBMWIVFNK-LLVKDONJSA-N 0 1 263.345 0.374 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H](O)CNCc2cnc(C)o2)C1 ZINC001272520349 816545356 /nfs/dbraw/zinc/54/53/56/816545356.db2.gz HLAQQOIKSNYNPE-LBPRGKRZSA-N 0 1 293.367 0.906 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cn(C)nc2COC)C1 ZINC001046433170 816583300 /nfs/dbraw/zinc/58/33/00/816583300.db2.gz ZBAVHYCCQUNSCT-OAHLLOKOSA-N 0 1 292.383 0.947 20 30 CCEDMN C=CCN1CC(NC(=O)c2nnc3ccccc3c2O)C1 ZINC001031035529 816590567 /nfs/dbraw/zinc/59/05/67/816590567.db2.gz VQKHTKJYEMASNY-UHFFFAOYSA-N 0 1 284.319 0.935 20 30 CCEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCCN(C(N)=O)C2)C1 ZINC001046461034 816596727 /nfs/dbraw/zinc/59/67/27/816596727.db2.gz LFVNXZJDYCPHIZ-WFASDCNBSA-N 0 1 294.399 0.544 20 30 CCEDMN C[C@@H](CCNc1ccc(C#N)nc1)NC(=O)c1ncn[nH]1 ZINC001106412893 816763059 /nfs/dbraw/zinc/76/30/59/816763059.db2.gz SEAUVSZFEZBIPC-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@@H](CCNc1ccc(C#N)nc1)NC(=O)c1nc[nH]n1 ZINC001106412893 816763064 /nfs/dbraw/zinc/76/30/64/816763064.db2.gz SEAUVSZFEZBIPC-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](OC)C2CC2)[C@H](OC)C1 ZINC001212203143 816820686 /nfs/dbraw/zinc/82/06/86/816820686.db2.gz DGOMTLOBGKDGCS-MCIONIFRSA-N 0 1 282.384 0.803 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CCC(=C)CC2)[C@@H](O)C1 ZINC001083754524 817004157 /nfs/dbraw/zinc/00/41/57/817004157.db2.gz VOKBECUBKBWPMC-CABCVRRESA-N 0 1 276.380 0.917 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnns2)C1 ZINC001031563835 817142801 /nfs/dbraw/zinc/14/28/01/817142801.db2.gz BMWFCDZZAGEWKP-UHFFFAOYSA-N 0 1 250.327 0.223 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(C)n(C)n2)C1 ZINC001031569269 817148433 /nfs/dbraw/zinc/14/84/33/817148433.db2.gz BGXCEFDAEVXBAR-UHFFFAOYSA-N 0 1 260.341 0.413 20 30 CCEDMN CC[C@H](C[N@H+]1C[C@@H](NC(=O)C#CC2CC2)[C@H](OC)C1)OC ZINC001212250668 817234033 /nfs/dbraw/zinc/23/40/33/817234033.db2.gz FBWPFYSDIAHEHP-RBSFLKMASA-N 0 1 294.395 0.640 20 30 CCEDMN CC[C@H](CN1C[C@@H](NC(=O)C#CC2CC2)[C@H](OC)C1)OC ZINC001212250668 817234036 /nfs/dbraw/zinc/23/40/36/817234036.db2.gz FBWPFYSDIAHEHP-RBSFLKMASA-N 0 1 294.395 0.640 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2nnn(C(C)(C)C)n2)C1 ZINC001031690729 817246409 /nfs/dbraw/zinc/24/64/09/817246409.db2.gz XXIBFNLPMKZPHQ-UHFFFAOYSA-N 0 1 292.387 0.666 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001031710489 817273809 /nfs/dbraw/zinc/27/38/09/817273809.db2.gz MNLYQGDUYZYGPI-AWEZNQCLSA-N 0 1 293.411 0.867 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnn3ccncc23)C1 ZINC001031734453 817298323 /nfs/dbraw/zinc/29/83/23/817298323.db2.gz PBBKNPFVPWXYSJ-UHFFFAOYSA-N 0 1 285.351 0.967 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1 ZINC001089723377 817328093 /nfs/dbraw/zinc/32/80/93/817328093.db2.gz JFQXKCZDIWOPOG-STQMWFEESA-N 0 1 291.395 0.505 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NCCNCc1n[nH]c(C)n1 ZINC001127608907 817342990 /nfs/dbraw/zinc/34/29/90/817342990.db2.gz IXMSDECUWHCJLN-NEPJUHHUSA-N 0 1 293.371 0.300 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccc(C(N)=O)c2)C1 ZINC001031774432 817357145 /nfs/dbraw/zinc/35/71/45/817357145.db2.gz DBXHTQSKTYVCTM-UHFFFAOYSA-N 0 1 273.336 0.633 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001031776213 817357283 /nfs/dbraw/zinc/35/72/83/817357283.db2.gz QRJPNQJSMBUETM-CYBMUJFWSA-N 0 1 274.368 0.680 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cncnc2)C1 ZINC001047356582 817425299 /nfs/dbraw/zinc/42/52/99/817425299.db2.gz CTNAYSIZCORKSC-STQMWFEESA-N 0 1 276.340 0.170 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c[nH]nc2[C@@H]2CCOC2)C1 ZINC001031879203 817436388 /nfs/dbraw/zinc/43/63/88/817436388.db2.gz KJVMAGSFGUWXLU-GFCCVEGCSA-N 0 1 290.367 0.761 20 30 CCEDMN C=CCOCC(=O)NCCNCc1nccnc1C ZINC001124767364 817570048 /nfs/dbraw/zinc/57/00/48/817570048.db2.gz DRAJYTKZKXDKQV-UHFFFAOYSA-N 0 1 264.329 0.193 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@]3(CCN(C(C)=O)C3)C2)C1=O ZINC001040965519 817584590 /nfs/dbraw/zinc/58/45/90/817584590.db2.gz MPBYQOSMWWBYAG-GDBMZVCRSA-N 0 1 291.395 0.718 20 30 CCEDMN Cc1ccc(NC(=O)CNCCNC(=O)[C@H](C)C#N)cc1 ZINC001124889625 817617727 /nfs/dbraw/zinc/61/77/27/817617727.db2.gz RZUIOTGZRKTNLK-GFCCVEGCSA-N 0 1 288.351 0.799 20 30 CCEDMN COc1coc(CNCCNC(=O)[C@@H](C)C#N)cc1=O ZINC001124903568 817629076 /nfs/dbraw/zinc/62/90/76/817629076.db2.gz RWXIQPHMASFSLC-VIFPVBQESA-N 0 1 279.296 0.014 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn(C)nc2Cl)C1 ZINC001032120187 817646653 /nfs/dbraw/zinc/64/66/53/817646653.db2.gz PTYYRAPFAVUXMS-UHFFFAOYSA-N 0 1 268.748 0.921 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc[nH]c2C2CC2)C1 ZINC001077581035 817662601 /nfs/dbraw/zinc/66/26/01/817662601.db2.gz XUYWTXXVSIIDEK-ZIAGYGMSSA-N 0 1 287.363 0.690 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccc(C(=O)NC)n2)C1 ZINC001032159277 817671452 /nfs/dbraw/zinc/67/14/52/817671452.db2.gz YPQQHGPDWPTZIT-UHFFFAOYSA-N 0 1 288.351 0.289 20 30 CCEDMN CNC(=O)CN1CC[C@@]2(CNC(=O)[C@@H](C)C#N)CCC[C@@H]12 ZINC001107329312 817836519 /nfs/dbraw/zinc/83/65/19/817836519.db2.gz PSPWMKMYQZSEJZ-YWPYICTPSA-N 0 1 292.383 0.253 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN(CCCF)C[C@H]2O)c1 ZINC001077648637 817916123 /nfs/dbraw/zinc/91/61/23/817916123.db2.gz PYFFIJKJPKGUPH-ZIAGYGMSSA-N 0 1 291.326 0.197 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CNc1ncnc2[nH]cnc21 ZINC001107652813 817917467 /nfs/dbraw/zinc/91/74/67/817917467.db2.gz ICAWBGXBIYLZSU-HTQZYQBOSA-N 0 1 273.300 0.381 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCCCO1 ZINC001032355749 817974772 /nfs/dbraw/zinc/97/47/72/817974772.db2.gz MLSNBCLVXARTQE-IHRRRGAJSA-N 0 1 262.353 0.864 20 30 CCEDMN C[C@H](O)CN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032364825 818013475 /nfs/dbraw/zinc/01/34/75/818013475.db2.gz SXKZVARQURSZLD-XDTLVQLUSA-N 0 1 274.324 0.166 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccnc2)[C@@H](O)C1 ZINC001089973116 818083908 /nfs/dbraw/zinc/08/39/08/818083908.db2.gz KROZWYCDBZBEMJ-OLZOCXBDSA-N 0 1 261.325 0.433 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)NCCNCCS(C)(=O)=O ZINC001128209316 818118360 /nfs/dbraw/zinc/11/83/60/818118360.db2.gz VAPARYCMWBILHU-UHFFFAOYSA-N 0 1 296.820 0.516 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CCCC2)[C@@H](O)C1 ZINC001090007852 818263287 /nfs/dbraw/zinc/26/32/87/818263287.db2.gz YRIZLCHDOLCRGJ-STQMWFEESA-N 0 1 252.358 0.914 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C)on2)[C@@H](O)C1 ZINC001090024821 818283529 /nfs/dbraw/zinc/28/35/29/818283529.db2.gz BKFNYCTUNRRZKP-AAEUAGOBSA-N 0 1 279.340 0.724 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cn2cc(C)cn2)C1 ZINC001032941732 818380162 /nfs/dbraw/zinc/38/01/62/818380162.db2.gz IHHDSYKUPSPVPZ-CQSZACIVSA-N 0 1 274.368 0.748 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cc(C)on2)C1 ZINC001032968408 818395420 /nfs/dbraw/zinc/39/54/20/818395420.db2.gz QRPWYIMLFUALEB-CYBMUJFWSA-N 0 1 261.325 0.691 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2nnn(C)c2C)C1 ZINC001032971378 818395515 /nfs/dbraw/zinc/39/55/15/818395515.db2.gz YHBPDISGNAQFNO-NSHDSACASA-N 0 1 263.345 0.456 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2cc(C)n[nH]2)C1 ZINC001032999928 818412703 /nfs/dbraw/zinc/41/27/03/818412703.db2.gz NPJBNNCPXQHWAU-AWEZNQCLSA-N 0 1 274.368 0.817 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](C)n2cncn2)C1 ZINC001033020315 818428337 /nfs/dbraw/zinc/42/83/37/818428337.db2.gz KECJHOZZNHSCOI-RYUDHWBXSA-N 0 1 263.345 0.558 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)COCCN(Cc1cnc[nH]1)C2 ZINC001273023895 818459100 /nfs/dbraw/zinc/45/91/00/818459100.db2.gz LROOZXQAEXGINN-OAHLLOKOSA-N 0 1 288.351 0.094 20 30 CCEDMN C=C(C)CN1C(=O)COCC12CN(C[C@@H]1CCCOC1)C2 ZINC001273033689 818500918 /nfs/dbraw/zinc/50/09/18/818500918.db2.gz MEMVKTAWVCFHSQ-AWEZNQCLSA-N 0 1 294.395 0.902 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCc3cn[nH]c32)C1 ZINC001033087593 818505505 /nfs/dbraw/zinc/50/55/05/818505505.db2.gz XTDDZEYSWBCEDS-UONOGXRCSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCc3cn[nH]c32)C1 ZINC001033087587 818505947 /nfs/dbraw/zinc/50/59/47/818505947.db2.gz XTDDZEYSWBCEDS-KBPBESRZSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccsc2C)[C@@H](O)C1 ZINC001083835491 818536034 /nfs/dbraw/zinc/53/60/34/818536034.db2.gz FHRMEVKIRDVPNP-KGLIPLIRSA-N 0 1 292.404 0.784 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cn(C)nc2C)C1 ZINC001033148647 818572449 /nfs/dbraw/zinc/57/24/49/818572449.db2.gz MQFBTTPEBUBSHB-AWEZNQCLSA-N 0 1 274.368 0.437 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2=COCCC2)[C@@H](O)C1 ZINC001090118327 818645416 /nfs/dbraw/zinc/64/54/16/818645416.db2.gz JALFKAVPJMODES-KBPBESRZSA-N 0 1 278.352 0.255 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033202171 818646863 /nfs/dbraw/zinc/64/68/63/818646863.db2.gz FONYIVCCBVTJSP-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cn2cc(C3CC3)cn2)C1 ZINC001033209725 818650749 /nfs/dbraw/zinc/65/07/49/818650749.db2.gz DPCFGCHEVXXOPV-HNNXBMFYSA-N 0 1 286.379 0.926 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cncn2C)C1 ZINC001033232676 818666426 /nfs/dbraw/zinc/66/64/26/818666426.db2.gz JZSKMZHUYSJOAA-GFCCVEGCSA-N 0 1 260.341 0.590 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cc(CC)nn2C)C1 ZINC001033282188 818691564 /nfs/dbraw/zinc/69/15/64/818691564.db2.gz KRDRSVVOWYKRBS-CQSZACIVSA-N 0 1 288.395 0.691 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001033289724 818696987 /nfs/dbraw/zinc/69/69/87/818696987.db2.gz KNTNOVOFLRNZQN-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2n[nH]cc2F)C1 ZINC001033392990 818751748 /nfs/dbraw/zinc/75/17/48/818751748.db2.gz FRCZVMLTTAREBA-JTQLQIEISA-N 0 1 264.304 0.718 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CC(=O)N(C(C)C)C1 ZINC001128637619 818758725 /nfs/dbraw/zinc/75/87/25/818758725.db2.gz BBUWQLOUHAXHCS-NSHDSACASA-N 0 1 287.791 0.702 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033428917 818774608 /nfs/dbraw/zinc/77/46/08/818774608.db2.gz HVTHTERDWQYMLV-LLVKDONJSA-N 0 1 288.351 0.283 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCC(=O)N2)C1 ZINC001033489938 818801171 /nfs/dbraw/zinc/80/11/71/818801171.db2.gz CERIGGNUUPXOQR-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001033584146 818845239 /nfs/dbraw/zinc/84/52/39/818845239.db2.gz QYLFZERTSCDPMD-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001033630237 818860133 /nfs/dbraw/zinc/86/01/33/818860133.db2.gz VMQDSCMPAKELFW-STQMWFEESA-N 0 1 279.384 0.716 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)C2CCOCC2)C1 ZINC001033727579 818906140 /nfs/dbraw/zinc/90/61/40/818906140.db2.gz NQBAKMWGQDUMJJ-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cn(C)cn2)C1 ZINC001033798423 818937695 /nfs/dbraw/zinc/93/76/95/818937695.db2.gz MBVRIQBPEKPMRB-CYBMUJFWSA-N 0 1 274.368 0.980 20 30 CCEDMN CCN(C(=O)c1ccc(C#N)[nH]1)[C@H]1CC[N@@H+](C[C@@H](C)O)C1 ZINC001033800178 818940763 /nfs/dbraw/zinc/94/07/63/818940763.db2.gz BMWKFDLUJBQMAQ-YPMHNXCESA-N 0 1 290.367 0.804 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033808496 818943951 /nfs/dbraw/zinc/94/39/51/818943951.db2.gz BSMMUPPKWGHBMR-NSHDSACASA-N 0 1 277.324 0.148 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001033878350 818972253 /nfs/dbraw/zinc/97/22/53/818972253.db2.gz JDXFSMQHBHBIOP-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033867319 818973749 /nfs/dbraw/zinc/97/37/49/818973749.db2.gz RCIPZZRLKGLIQA-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033881727 818979021 /nfs/dbraw/zinc/97/90/21/818979021.db2.gz USTYIFGTFKFMFK-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001034031683 819034541 /nfs/dbraw/zinc/03/45/41/819034541.db2.gz KUYAOPMOGZZIEC-CABCVRRESA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](N2CCCC[C@@H](NC(C)=O)C2)C1=O ZINC001034065327 819050473 /nfs/dbraw/zinc/05/04/73/819050473.db2.gz PUGWQSOOQNJWIQ-KGLIPLIRSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001034091089 819066775 /nfs/dbraw/zinc/06/67/75/819066775.db2.gz KUYPJEOEXCBSSC-LBPRGKRZSA-N 0 1 288.351 0.790 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cn(C)cn2)[C@H](O)C1 ZINC001090188587 819069219 /nfs/dbraw/zinc/06/92/19/819069219.db2.gz FTZDCYUIHVFEHK-WCQYABFASA-N 0 1 278.356 0.161 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H](C)n2cncn2)C1 ZINC001034174127 819102023 /nfs/dbraw/zinc/10/20/23/819102023.db2.gz JAHUZHUTVAMLGN-KGLIPLIRSA-N 0 1 289.383 0.833 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2ncn(C)n2)C1 ZINC001034192547 819114929 /nfs/dbraw/zinc/11/49/29/819114929.db2.gz PAZVHTPMGZIOCX-GFCCVEGCSA-N 0 1 275.356 0.423 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2COCCN2CC)C1 ZINC001034279602 819151380 /nfs/dbraw/zinc/15/13/80/819151380.db2.gz USRXTTAJKWUUQA-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@H]1CCCCN(CC#N)C1 ZINC001034276647 819151742 /nfs/dbraw/zinc/15/17/42/819151742.db2.gz IJTOWZUVQCLCIB-KBPBESRZSA-N 0 1 278.400 0.965 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001034323891 819172696 /nfs/dbraw/zinc/17/26/96/819172696.db2.gz RARVZZYDVOGLAP-CZUORRHYSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC001034391336 819185630 /nfs/dbraw/zinc/18/56/30/819185630.db2.gz HVTUUVSKASXZKM-ZDUSSCGKSA-N 0 1 287.363 0.603 20 30 CCEDMN C=CCC[N@H+]1CC[C@H](NC(=O)c2ncccc2O)[C@H](O)C1 ZINC001090213576 819194660 /nfs/dbraw/zinc/19/46/60/819194660.db2.gz MSFPOKUKDJPJAP-WCQYABFASA-N 0 1 291.351 0.528 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090213576 819194667 /nfs/dbraw/zinc/19/46/67/819194667.db2.gz MSFPOKUKDJPJAP-WCQYABFASA-N 0 1 291.351 0.528 20 30 CCEDMN C=CCC[N@H+]1CC[C@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090213576 819194673 /nfs/dbraw/zinc/19/46/73/819194673.db2.gz MSFPOKUKDJPJAP-WCQYABFASA-N 0 1 291.351 0.528 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccn(C)n2)C1 ZINC001034526935 819231687 /nfs/dbraw/zinc/23/16/87/819231687.db2.gz BZRQAXDROIFPCV-LBPRGKRZSA-N 0 1 260.341 0.638 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnnc(C)c2)[C@H](O)C1 ZINC001090222283 819247479 /nfs/dbraw/zinc/24/74/79/819247479.db2.gz SDRABHYWZNYSHU-QWHCGFSZSA-N 0 1 276.340 0.136 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnn(CC)n2)[C@H](O)C1 ZINC001090228215 819278420 /nfs/dbraw/zinc/27/84/20/819278420.db2.gz AABPVKSFWWYYFB-WCQYABFASA-N 0 1 293.371 0.039 20 30 CCEDMN C[C@H](CNc1cnc(C#N)cn1)NC(=O)Cc1cnc[nH]1 ZINC001108136176 819281322 /nfs/dbraw/zinc/28/13/22/819281322.db2.gz QSKSOAQEVQFDSP-SECBINFHSA-N 0 1 285.311 0.231 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2cnccn2)C1 ZINC001035272076 819434358 /nfs/dbraw/zinc/43/43/58/819434358.db2.gz ISCZQSHNRKRUPO-CYBMUJFWSA-N 0 1 290.367 0.873 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001035282955 819447950 /nfs/dbraw/zinc/44/79/50/819447950.db2.gz LPEWKIVEJZYJLN-UONOGXRCSA-N 0 1 282.384 0.949 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CN(CC3CC3)CCO2)c[nH]1 ZINC001035337066 819478897 /nfs/dbraw/zinc/47/88/97/819478897.db2.gz PDZBWCIKIDTFLU-AWEZNQCLSA-N 0 1 288.351 0.727 20 30 CCEDMN C=C(C)CC[N@H+]1CCO[C@H](CNC(=O)c2nnc[nH]2)C1 ZINC001035548804 819562333 /nfs/dbraw/zinc/56/23/33/819562333.db2.gz BMLUZASULYHDMX-LLVKDONJSA-N 0 1 279.344 0.202 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2ccn(C)n2)C1 ZINC001035610432 819589084 /nfs/dbraw/zinc/58/90/84/819589084.db2.gz STTAMPUIDBHRCU-CYBMUJFWSA-N 0 1 292.383 0.817 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1nccnc1N)CC2 ZINC001035675952 819600840 /nfs/dbraw/zinc/60/08/40/819600840.db2.gz XPCFMLMHKIABKZ-UHFFFAOYSA-N 0 1 285.351 0.230 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1c[nH]c(=O)n1C)CC2 ZINC001035768859 819621000 /nfs/dbraw/zinc/62/10/00/819621000.db2.gz NNXYAUBSBHEIRK-UHFFFAOYSA-N 0 1 288.351 0.297 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCc1cncnc1)CC2 ZINC001035748557 819622001 /nfs/dbraw/zinc/62/20/01/819622001.db2.gz REYQCUGCXIFNEW-UHFFFAOYSA-N 0 1 298.390 0.967 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@H]1C(N)=O)CC2 ZINC001035797891 819626303 /nfs/dbraw/zinc/62/63/03/819626303.db2.gz IHHRRKXAEIARIU-NEPJUHHUSA-N 0 1 277.368 0.218 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@@]13CCOC3)CC2 ZINC001035836580 819634189 /nfs/dbraw/zinc/63/41/89/819634189.db2.gz ZVSURKOUSHBGRK-WMLDXEAASA-N 0 1 288.391 0.971 20 30 CCEDMN C#CC1(O)CCN([C@@H](C)C(=O)N[C@@H](C)CC)CC1 ZINC000708158479 819761518 /nfs/dbraw/zinc/76/15/18/819761518.db2.gz KFNDGSLUHYJQHF-RYUDHWBXSA-N 0 1 252.358 0.750 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@H]1CCN(c2cnc(C#N)cn2)C1 ZINC001062889666 820120104 /nfs/dbraw/zinc/12/01/04/820120104.db2.gz FRBUAQNHBPSENW-NSHDSACASA-N 0 1 297.322 0.422 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc[nH]c2)[C@@H](O)C1 ZINC001090289394 820142049 /nfs/dbraw/zinc/14/20/49/820142049.db2.gz IJMOUWAXVRLWJJ-STQMWFEESA-N 0 1 261.325 0.203 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cnn(C)c2C)[C@@H](O)C1 ZINC001090312617 820249353 /nfs/dbraw/zinc/24/93/53/820249353.db2.gz KPYRPPRGFLHOAQ-KGLIPLIRSA-N 0 1 292.383 0.008 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCNc1ccccc1 ZINC001118285044 820290667 /nfs/dbraw/zinc/29/06/67/820290667.db2.gz RRTBHFCFAAIZJE-WCQYABFASA-N 0 1 258.325 0.716 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2C)C1 ZINC001079427315 820382227 /nfs/dbraw/zinc/38/22/27/820382227.db2.gz OKTLPKYCOHKPLJ-ZWNOBZJWSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cn(C)nc2C)C1 ZINC001079489971 820410363 /nfs/dbraw/zinc/41/03/63/820410363.db2.gz VRRVPSHRPYZZSO-ZWNOBZJWSA-N 0 1 262.357 0.965 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)[C@H]1CCCN1C ZINC001079494454 820411967 /nfs/dbraw/zinc/41/19/67/820411967.db2.gz DKUFRZNESPEKAB-IJLUTSLNSA-N 0 1 250.346 0.041 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCOC)[C@H](O)C1 ZINC001099703977 820454276 /nfs/dbraw/zinc/45/42/76/820454276.db2.gz DRXSFZORQCNBFT-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC001079828751 820474027 /nfs/dbraw/zinc/47/40/27/820474027.db2.gz FYCVQFOGJMAKLB-IUODEOHRSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cn2ccc(C(F)F)n2)C1 ZINC001079826131 820474703 /nfs/dbraw/zinc/47/47/03/820474703.db2.gz IHFNGTBBSRZIJE-ZYHUDNBSSA-N 0 1 296.321 0.890 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)ncn2C)C1 ZINC001079900242 820480386 /nfs/dbraw/zinc/48/03/86/820480386.db2.gz DLBNGVZVYOFSAT-ZYHUDNBSSA-N 0 1 262.357 0.965 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001079918267 820487204 /nfs/dbraw/zinc/48/72/04/820487204.db2.gz INXMCUFTSNFBFB-JHJVBQTASA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001080016169 820501894 /nfs/dbraw/zinc/50/18/94/820501894.db2.gz QOMKJIISQDTJJQ-BLYZHGLHSA-N 0 1 291.395 0.348 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)cc(=O)oc2C)C1 ZINC001080088100 820509607 /nfs/dbraw/zinc/50/96/07/820509607.db2.gz DUJCUTCBIKUAMJ-DGCLKSJQSA-N 0 1 288.347 0.940 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001080173730 820523812 /nfs/dbraw/zinc/52/38/12/820523812.db2.gz NOIDHSWIANKDBQ-IUODEOHRSA-N 0 1 288.395 0.595 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2n[nH]cc2F)C1 ZINC001080397874 820565628 /nfs/dbraw/zinc/56/56/28/820565628.db2.gz YATKTDYELSFZIZ-PSASIEDQSA-N 0 1 252.293 0.785 20 30 CCEDMN CCN(C(=O)[C@@H](C)C#N)C1CN(C(=O)c2cnc(C)[nH]2)C1 ZINC001080411886 820569955 /nfs/dbraw/zinc/56/99/55/820569955.db2.gz WSVHWPQFKGWTFH-VIFPVBQESA-N 0 1 289.339 0.551 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCOC2)C1 ZINC001080676229 820618912 /nfs/dbraw/zinc/61/89/12/820618912.db2.gz TYFYPMYBCTVPPO-YRGRVCCFSA-N 0 1 250.342 0.483 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2Cc3cccnc3C2)C1 ZINC001080847807 820646315 /nfs/dbraw/zinc/64/63/15/820646315.db2.gz NAINJPUKYOAKDZ-IVMMDQJWSA-N 0 1 283.375 0.866 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H]2CCCO2)[C@H](OC)C1 ZINC001081398624 820756885 /nfs/dbraw/zinc/75/68/85/820756885.db2.gz RAFKOKNNSHLHSG-MGPQQGTHSA-N 0 1 280.368 0.394 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2nnc(C)o2)[C@H](OC)C1 ZINC001081627817 820798868 /nfs/dbraw/zinc/79/88/68/820798868.db2.gz IOZDQXKEJACNKI-VXGBXAGGSA-N 0 1 294.355 0.312 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cnnn2CC)[C@H](OC)C1 ZINC001081636201 820801671 /nfs/dbraw/zinc/80/16/71/820801671.db2.gz PHAYSOPJZHQFMZ-DGCLKSJQSA-N 0 1 293.371 0.303 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOCC)[C@H](O)C1 ZINC001099729059 820841454 /nfs/dbraw/zinc/84/14/54/820841454.db2.gz BACLPSOSASEUCU-NWDGAFQWSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)CC2=CCOCC2)[C@H](OC)C1 ZINC001082097949 820898547 /nfs/dbraw/zinc/89/85/47/820898547.db2.gz JJKMHUHDKUMRKK-ZIAGYGMSSA-N 0 1 280.368 0.725 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)N[C@H](C#N)C2CC2)cn1 ZINC001118821776 820925238 /nfs/dbraw/zinc/92/52/38/820925238.db2.gz OJNNAIJTVRSHBF-SNVBAGLBSA-N 0 1 294.336 0.485 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@]2(C)CCCOC2)[C@H](OC)C1 ZINC001082279403 820932010 /nfs/dbraw/zinc/93/20/10/820932010.db2.gz ZCMIVTYRZBJBQX-FMKPAKJESA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CCCNC2=O)C1 ZINC001098886720 821053213 /nfs/dbraw/zinc/05/32/13/821053213.db2.gz DTYDRWOZRBSTCT-XEZPLFJOSA-N 0 1 289.379 0.117 20 30 CCEDMN C[C@@H](CCNC(=O)c1cnn[nH]1)Nc1ccc(C#N)cn1 ZINC001099777237 821058026 /nfs/dbraw/zinc/05/80/26/821058026.db2.gz IWAFRQDRVKMBIM-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)C2CCCC2)[C@@H](O)C1 ZINC001083939034 821160759 /nfs/dbraw/zinc/16/07/59/821160759.db2.gz VEGYNHCQSXAALJ-YUELXQCFSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2csc(C)n2)[C@@H](O)C1 ZINC001084034321 821181333 /nfs/dbraw/zinc/18/13/33/821181333.db2.gz BXGAUFWDXBSIJX-OLZOCXBDSA-N 0 1 293.392 0.179 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)n(C)c2C)[C@@H](O)C1 ZINC001084040416 821186188 /nfs/dbraw/zinc/18/61/88/821186188.db2.gz GMOQWONHNJDKLN-CABCVRRESA-N 0 1 289.379 0.440 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CN(C)CCO3)[C@@H]2C1 ZINC001084250365 821237976 /nfs/dbraw/zinc/23/79/76/821237976.db2.gz CQQUWLKARAFFLZ-MGPQQGTHSA-N 0 1 279.384 0.036 20 30 CCEDMN C=CCN(C)C(=O)NCc1nc(CSC)n[nH]1 ZINC001119378338 821249224 /nfs/dbraw/zinc/24/92/24/821249224.db2.gz NNODYCYXUIQBRM-UHFFFAOYSA-N 0 1 255.347 0.995 20 30 CCEDMN C=CCN(C)C(=O)NCc1nnc(CSC)[nH]1 ZINC001119378338 821249227 /nfs/dbraw/zinc/24/92/27/821249227.db2.gz NNODYCYXUIQBRM-UHFFFAOYSA-N 0 1 255.347 0.995 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cn(C)c(=O)cn3)[C@@H]2C1 ZINC001084532024 821307302 /nfs/dbraw/zinc/30/73/02/821307302.db2.gz JKPNBIFWXOOAEY-DGCLKSJQSA-N 0 1 288.351 0.113 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCc3cnn(C)n3)[C@@H]2C1 ZINC001084666307 821344777 /nfs/dbraw/zinc/34/47/77/821344777.db2.gz VEJLJAMDTJDCIY-TZMCWYRMSA-N 0 1 289.383 0.466 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)CC2(COC)CC2)[C@H](O)C1 ZINC001099815598 821351129 /nfs/dbraw/zinc/35/11/29/821351129.db2.gz KBEMQLZKMQNZOM-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2(COC)CC2)[C@H](O)C1 ZINC001099815598 821351137 /nfs/dbraw/zinc/35/11/37/821351137.db2.gz KBEMQLZKMQNZOM-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cn3nccc3C)[C@@H]2C1 ZINC001084692390 821353049 /nfs/dbraw/zinc/35/30/49/821353049.db2.gz HFNGFDXJEZDLBS-HUUCEWRRSA-N 0 1 286.379 0.748 20 30 CCEDMN CC(C)=CCN1CC[C@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001099825805 821381781 /nfs/dbraw/zinc/38/17/81/821381781.db2.gz AQERGLIMAGNNGH-GJZGRUSLSA-N 0 1 276.380 0.917 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3ccnc(C)n3)[C@@H]2C1 ZINC001084836606 821407124 /nfs/dbraw/zinc/40/71/24/821407124.db2.gz HMLNHSZOAIILSZ-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)Cc3ccc[nH]3)[C@@H]2C1 ZINC001084843454 821410404 /nfs/dbraw/zinc/41/04/04/821410404.db2.gz CETCXJMYVBHBKF-TZMCWYRMSA-N 0 1 257.337 0.723 20 30 CCEDMN O=C1CCC(=O)N1CCN1CCC2(CC1)CC(=O)C=CO2 ZINC001119870166 821442296 /nfs/dbraw/zinc/44/22/96/821442296.db2.gz QQISTDDLAALLJX-UHFFFAOYSA-N 0 1 292.335 0.473 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccnn2C)[C@H](O)C1 ZINC001099861075 821454535 /nfs/dbraw/zinc/45/45/35/821454535.db2.gz MOGZJIDNLZCBDQ-ZIAGYGMSSA-N 0 1 292.383 0.090 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3ccc(C)nc3)C2)OCC1=O ZINC001273258591 821476295 /nfs/dbraw/zinc/47/62/95/821476295.db2.gz DXZQJULZDWISBQ-KRWDZBQOSA-N 0 1 299.374 0.827 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1CCCC[C@H]1NCC#N ZINC001085149483 821517025 /nfs/dbraw/zinc/51/70/25/821517025.db2.gz SYLRZQPQEBYBHR-JHJVBQTASA-N 0 1 264.373 0.621 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc2c(c1)OCO2 ZINC000823675039 821530598 /nfs/dbraw/zinc/53/05/98/821530598.db2.gz WIIKRKMNJMICCS-UHFFFAOYSA-N 0 1 274.320 0.639 20 30 CCEDMN CNc1snc(C)c1C(=O)NCC#CCN(C)C ZINC000824100943 821614695 /nfs/dbraw/zinc/61/46/95/821614695.db2.gz RUKYOZVSHBZHNW-UHFFFAOYSA-N 0 1 266.370 0.788 20 30 CCEDMN C#C[C@@H](NC(=O)Cc1n[nH]c(C2CC2)n1)[C@@H]1CCCO1 ZINC001154793046 821666912 /nfs/dbraw/zinc/66/69/12/821666912.db2.gz PXWPIWFQBGXNIM-MNOVXSKESA-N 0 1 274.324 0.522 20 30 CCEDMN N#CCN[C@@H]1CCCC[C@H]1NC(=O)CN1CCCC1 ZINC001085423117 821681300 /nfs/dbraw/zinc/68/13/00/821681300.db2.gz XLLJDRNQBRWOGK-CHWSQXEVSA-N 0 1 264.373 0.623 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C1CCOCC1 ZINC001085454862 821708223 /nfs/dbraw/zinc/70/82/23/821708223.db2.gz WNRPNHAUNMDZKG-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc[n+]([O-])cc1 ZINC001085470323 821721806 /nfs/dbraw/zinc/72/18/06/821721806.db2.gz MUSXHTIYGIPANH-AWEZNQCLSA-N 0 1 273.336 0.490 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCOC1 ZINC001085507363 821753211 /nfs/dbraw/zinc/75/32/11/821753211.db2.gz FWCCROFCWZXNTQ-OLZOCXBDSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnnc(C)c1 ZINC001085566961 821799858 /nfs/dbraw/zinc/79/98/58/821799858.db2.gz WIFOLNCQVKGMBZ-CQSZACIVSA-N 0 1 272.352 0.955 20 30 CCEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1ncn(C)n1 ZINC001085582357 821832821 /nfs/dbraw/zinc/83/28/21/821832821.db2.gz IUJCSFPAFKRFQJ-NSHDSACASA-N 0 1 263.345 0.538 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001085597273 821842190 /nfs/dbraw/zinc/84/21/90/821842190.db2.gz ULDAQDYIWILFCK-KGLIPLIRSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(OC)ncn1 ZINC001085599020 821843664 /nfs/dbraw/zinc/84/36/64/821843664.db2.gz GJJJPJIQBARHBZ-GFCCVEGCSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)c1c[nH]cc2ncnc1-2 ZINC001085609981 821848759 /nfs/dbraw/zinc/84/87/59/821848759.db2.gz GIJZQJAFGRQYPA-NSHDSACASA-N 0 1 283.335 0.737 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCN(C)c2nccnc2C#N)c1C ZINC001099987783 821865595 /nfs/dbraw/zinc/86/55/95/821865595.db2.gz RFZRFHDTGDNTNA-UHFFFAOYSA-N 0 1 299.338 0.554 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CN(C)C(=O)N1 ZINC001085761464 821933761 /nfs/dbraw/zinc/93/37/61/821933761.db2.gz KQUNFZSJIGZRLK-NEPJUHHUSA-N 0 1 280.372 0.119 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCN(C)C1=O ZINC001085770199 821941089 /nfs/dbraw/zinc/94/10/89/821941089.db2.gz APNVTLIQLVHRPO-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cn(CC=C)nn1 ZINC001085861160 821986298 /nfs/dbraw/zinc/98/62/98/821986298.db2.gz QYPONMLAVNPYCU-CYBMUJFWSA-N 0 1 287.367 0.634 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cc(C)on2)[C@H](O)C1 ZINC001100004643 822001739 /nfs/dbraw/zinc/00/17/39/822001739.db2.gz ZSIPFURUZKDUAX-ZIAGYGMSSA-N 0 1 293.367 0.653 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnc(OC)nc1 ZINC001085906400 822007566 /nfs/dbraw/zinc/00/75/66/822007566.db2.gz HKQPSIDKWKFSGC-ZDUSSCGKSA-N 0 1 288.351 0.655 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001085914779 822015393 /nfs/dbraw/zinc/01/53/93/822015393.db2.gz JLEAIJYYCZXGDO-RYUDHWBXSA-N 0 1 287.367 0.571 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(C(N)=O)cc1 ZINC001085967294 822042174 /nfs/dbraw/zinc/04/21/74/822042174.db2.gz HZFZTPCKACXMHH-HNNXBMFYSA-N 0 1 299.374 0.955 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)NC[C@H]1C[C@@H](NCC#N)C1 ZINC001086180163 822145204 /nfs/dbraw/zinc/14/52/04/822145204.db2.gz CMTVJUSDSUKWOW-BJHJDKERSA-N 0 1 290.367 0.963 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCn2cccc2)[C@H](O)C1 ZINC001100050317 822171455 /nfs/dbraw/zinc/17/14/55/822171455.db2.gz GJPWSRNKXFQVAK-ZIAGYGMSSA-N 0 1 277.368 0.616 20 30 CCEDMN C=C(C)CCN1CCO[C@@](C)(CNC(=O)c2cnon2)C1 ZINC001108290653 822320913 /nfs/dbraw/zinc/32/09/13/822320913.db2.gz BYMKWDHQKZRWDL-AWEZNQCLSA-N 0 1 294.355 0.857 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCCn2cccn2)[C@@H](O)C1 ZINC001100117644 822325135 /nfs/dbraw/zinc/32/51/35/822325135.db2.gz MIAATCAESYKSBW-KGLIPLIRSA-N 0 1 292.383 0.401 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2ccncn2)C1 ZINC001108294005 822330545 /nfs/dbraw/zinc/33/05/45/822330545.db2.gz RGHPCOZRVMPQAO-OAHLLOKOSA-N 0 1 290.367 0.873 20 30 CCEDMN Cc1noc(C)c1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C#N ZINC001114063415 837423415 /nfs/dbraw/zinc/42/34/15/837423415.db2.gz CHOUNZNHYIVSGW-NGSVMEPUSA-N 0 1 288.351 0.998 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc[nH]c1C ZINC001114109248 837437427 /nfs/dbraw/zinc/43/74/27/837437427.db2.gz SMEQTCBXZFNULL-FICVDOATSA-N 0 1 287.363 0.633 20 30 CCEDMN C=C(Cl)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1nc[nH]n1 ZINC001114117205 837443328 /nfs/dbraw/zinc/44/33/28/837443328.db2.gz NMYIQMPKAHTICN-IWIIMEHWSA-N 0 1 295.774 0.536 20 30 CCEDMN COCC#CCN1C[C@@H]2C(NC(=O)/C=C/C3CC3)[C@@H]2C1 ZINC001114117271 837443399 /nfs/dbraw/zinc/44/33/99/837443399.db2.gz OGPOVRQFAMPLGS-UYSDTRJMSA-N 0 1 274.364 0.649 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CO[C@@H](C)CC ZINC001114152757 837456657 /nfs/dbraw/zinc/45/66/57/837456657.db2.gz DFQMCPLNINJKKJ-RMRHIDDWSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@@H](C)OC ZINC001114167970 837462564 /nfs/dbraw/zinc/46/25/64/837462564.db2.gz KEDOVNLPPYJCGP-NMKXLXIOSA-N 0 1 250.342 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](OC)C1CC1 ZINC001114204333 837471900 /nfs/dbraw/zinc/47/19/00/837471900.db2.gz RCUYVFBUQPFERS-DGAVXFQQSA-N 0 1 262.353 0.481 20 30 CCEDMN C=CCn1cccc1C(=O)NCCNCc1n[nH]c(C)n1 ZINC001129502487 837516286 /nfs/dbraw/zinc/51/62/86/837516286.db2.gz GFZRNMYAGHHKQH-UHFFFAOYSA-N 0 1 288.355 0.620 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)CCC)C[C@@H]1n1ccnn1 ZINC001129530302 837520249 /nfs/dbraw/zinc/52/02/49/837520249.db2.gz RJGKJNTXDIVPFB-OLZOCXBDSA-N 0 1 275.356 0.443 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1nocc1C ZINC001157879800 837541616 /nfs/dbraw/zinc/54/16/16/837541616.db2.gz IEQZNIIUYBADEX-GFCCVEGCSA-N 0 1 279.340 0.617 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)[C@H]2C[C@@H]2C)C[C@@H]1n1ccnn1 ZINC001129959041 837594870 /nfs/dbraw/zinc/59/48/70/837594870.db2.gz CRWXQBIKEWKJDK-FQUUOJAGSA-N 0 1 287.367 0.299 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)CC)C2 ZINC001110395860 844751685 /nfs/dbraw/zinc/75/16/85/844751685.db2.gz HDRYEDUPGWCPRI-UPJWGTAASA-N 0 1 277.368 0.257 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@H]1CCCC(=O)N1 ZINC001130035475 837668909 /nfs/dbraw/zinc/66/89/09/837668909.db2.gz XLNNFCAHVSTZAO-OAHLLOKOSA-N 0 1 299.374 0.413 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cnnn1CC ZINC001157907719 837674080 /nfs/dbraw/zinc/67/40/80/837674080.db2.gz BUTWDEXCAYGESO-CYBMUJFWSA-N 0 1 289.383 0.858 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)CCOC)C1 ZINC001130326266 837764957 /nfs/dbraw/zinc/76/49/57/837764957.db2.gz KOAKFEWWRSOLKS-GFCCVEGCSA-N 0 1 290.367 0.485 20 30 CCEDMN Cn1cc(NC(=O)C23CCCN2CCC3)c(C#N)n1 ZINC001183556937 844036170 /nfs/dbraw/zinc/03/61/70/844036170.db2.gz XORFRSBKBBZKHU-UHFFFAOYSA-N 0 1 259.313 0.859 20 30 CCEDMN N#C[C@@H](Cc1ccc(O)cc1)C(=O)Nc1cn[nH]c1C(N)=O ZINC001183605881 844042707 /nfs/dbraw/zinc/04/27/07/844042707.db2.gz UEKXUGRHZFFTMR-SECBINFHSA-N 0 1 299.290 0.535 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(COC)o2)[C@@H](O)C1 ZINC001090417999 835981453 /nfs/dbraw/zinc/98/14/53/835981453.db2.gz WDLVOPFHTDOBFD-STQMWFEESA-N 0 1 294.351 0.777 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001086528565 835999786 /nfs/dbraw/zinc/99/97/86/835999786.db2.gz QDDSCYGUCDITAH-IJLUTSLNSA-N 0 1 287.367 0.523 20 30 CCEDMN C=CCc1ccnc(-n2nnnc2CN)c1C(OC)OC ZINC001168918607 836046815 /nfs/dbraw/zinc/04/68/15/836046815.db2.gz GWOQMAVBGHKQQY-UHFFFAOYSA-N 0 1 290.327 0.536 20 30 CCEDMN N#CC1(c2cccc(-n3nnnc3CN)c2)CCOCC1 ZINC001168924508 836060452 /nfs/dbraw/zinc/06/04/52/836060452.db2.gz LERHXSHNDOKBNY-UHFFFAOYSA-N 0 1 284.323 0.693 20 30 CCEDMN CCOC(=O)C1(Nc2cc(C#N)ncn2)CCN(C)CC1 ZINC001169493001 836302610 /nfs/dbraw/zinc/30/26/10/836302610.db2.gz RYCZUMXWAUITBQ-UHFFFAOYSA-N 0 1 289.339 0.788 20 30 CCEDMN C[C@@H](CNc1ncccc1C#N)NC(=O)Cc1nnc[nH]1 ZINC001108516452 836396107 /nfs/dbraw/zinc/39/61/07/836396107.db2.gz LCFIERNIXRGRRO-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN C#CC[NH2+]C[C@@H]1CCN(C(=O)CCc2nc[nH]n2)C[C@@H]1C ZINC001184157620 844171457 /nfs/dbraw/zinc/17/14/57/844171457.db2.gz BZKPFQTXOFEZPY-STQMWFEESA-N 0 1 289.383 0.445 20 30 CCEDMN C[C@@H](CNc1ccncc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001108718625 836514535 /nfs/dbraw/zinc/51/45/35/836514535.db2.gz MHMVRTQRPCSKRS-JTQLQIEISA-N 0 1 284.323 0.258 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CO[C@@H]1CCOC1)C2 ZINC001109283332 836652922 /nfs/dbraw/zinc/65/29/22/836652922.db2.gz QFCKJEUZIALXCE-KBXIAJHMSA-N 0 1 292.379 0.537 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)NC(=O)CC)C2 ZINC001109321140 836657174 /nfs/dbraw/zinc/65/71/74/836657174.db2.gz PSIRFGXNVHYHPE-MQYQWHSLSA-N 0 1 291.395 0.646 20 30 CCEDMN C[C@H](CN(C)c1ncccc1C#N)NC(=O)c1cnn[nH]1 ZINC001109306877 836657633 /nfs/dbraw/zinc/65/76/33/836657633.db2.gz QWLDVACHFIZNFK-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1ccnn1C)C2 ZINC001109358483 836661086 /nfs/dbraw/zinc/66/10/86/836661086.db2.gz LWALLWKZHKXRJG-ILXRZTDVSA-N 0 1 286.379 0.707 20 30 CCEDMN CN(CCCNc1nccnc1C#N)C(=O)c1ccn[nH]1 ZINC001109371010 836664163 /nfs/dbraw/zinc/66/41/63/836664163.db2.gz MKIMUIJLMXHLJZ-UHFFFAOYSA-N 0 1 285.311 0.646 20 30 CCEDMN CN(CCCNc1ccc(C#N)nn1)C(=O)Cc1c[nH]cn1 ZINC001109633809 836707418 /nfs/dbraw/zinc/70/74/18/836707418.db2.gz QYHLOKRBXKALDA-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](CNc1ncnc2[nH]cnc21)C1CC1 ZINC001109796445 836732963 /nfs/dbraw/zinc/73/29/63/836732963.db2.gz VOMXNDYINURJGT-WPRPVWTQSA-N 0 1 299.338 0.771 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC[NH+]1CCOCC1)C2 ZINC001109846780 836738065 /nfs/dbraw/zinc/73/80/65/836738065.db2.gz WCHIEKBRNMMODL-ILXRZTDVSA-N 0 1 293.411 0.616 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2ccc3cncn3c2)[C@H](O)C1 ZINC001090435112 836771315 /nfs/dbraw/zinc/77/13/15/836771315.db2.gz FXOTYZGAOYKGRL-ZIAGYGMSSA-N 0 1 299.334 0.023 20 30 CCEDMN CCOC(=O)c1cnc(NC[C@@H]2COCCN2)c(C#N)c1 ZINC001170043646 836776022 /nfs/dbraw/zinc/77/60/22/836776022.db2.gz JLQGZRNMOYUCNG-GFCCVEGCSA-N 0 1 290.323 0.530 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(F)c[nH]2)[C@@H](O)C1 ZINC001090436666 836786622 /nfs/dbraw/zinc/78/66/22/836786622.db2.gz BLUYPMXHIGTEEF-AAEUAGOBSA-N 0 1 279.315 0.342 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1nnc(CC)n1C ZINC001157164650 836844420 /nfs/dbraw/zinc/84/44/20/836844420.db2.gz XLSMJSSEMCBUNU-UHFFFAOYSA-N 0 1 291.399 0.777 20 30 CCEDMN COCC#CCN1CCN(C(=O)c2cc(C)[nH]n2)CC1 ZINC001112649554 836845618 /nfs/dbraw/zinc/84/56/18/836845618.db2.gz ISUBRXJKAUYDQH-UHFFFAOYSA-N 0 1 276.340 0.126 20 30 CCEDMN Cc1nc([C@H](C)N2CCN(C(=O)C#CC(C)C)CC2)n[nH]1 ZINC001112832986 836931643 /nfs/dbraw/zinc/93/16/43/836931643.db2.gz BBCUMOXMSWKTJU-LBPRGKRZSA-N 0 1 289.383 0.978 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(C[C@H]2CCCOC2)CC1 ZINC001112846061 836937663 /nfs/dbraw/zinc/93/76/63/836937663.db2.gz DQDOXFKTXVXJRC-HUUCEWRRSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCOCCC(=O)N1CCN(C[C@@H](CC)OC)CC1 ZINC001112844093 836940932 /nfs/dbraw/zinc/94/09/32/836940932.db2.gz VURYWBDCATUQIP-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2cnc[nH]c2=O)CC1 ZINC001112997633 836990948 /nfs/dbraw/zinc/99/09/48/836990948.db2.gz GVCIJYDFQZVYBN-UHFFFAOYSA-N 0 1 276.340 0.906 20 30 CCEDMN C=CCCCN1CCN(C(=O)CN2CCCNC2=O)CC1 ZINC001113065033 837014311 /nfs/dbraw/zinc/01/43/11/837014311.db2.gz YQSWCWUDVDMTIV-UHFFFAOYSA-N 0 1 294.399 0.512 20 30 CCEDMN Cc1nc(N[C@@H](C)[C@H](C)NC(=O)c2ncn[nH]2)ccc1C#N ZINC001113117253 837025561 /nfs/dbraw/zinc/02/55/61/837025561.db2.gz VZKFXJHAYRIQME-IUCAKERBSA-N 0 1 299.338 0.999 20 30 CCEDMN Cc1nc(N[C@@H](C)[C@H](C)NC(=O)c2nc[nH]n2)ccc1C#N ZINC001113117253 837025572 /nfs/dbraw/zinc/02/55/72/837025572.db2.gz VZKFXJHAYRIQME-IUCAKERBSA-N 0 1 299.338 0.999 20 30 CCEDMN CC#CCN1CCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC001113154042 837041731 /nfs/dbraw/zinc/04/17/31/837041731.db2.gz SBJYQTLPVPMMJC-UHFFFAOYSA-N 0 1 289.383 0.685 20 30 CCEDMN C[C@@H](NC(=O)Cc1cnc[nH]1)[C@@H](C)Nc1ccc(C#N)nn1 ZINC001113313510 837083903 /nfs/dbraw/zinc/08/39/03/837083903.db2.gz IYJBHVVBKIOFSG-NXEZZACHSA-N 0 1 299.338 0.619 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@@H](C)Nc1ccc(C#N)nc1 ZINC001113356267 837095040 /nfs/dbraw/zinc/09/50/40/837095040.db2.gz DJUXLTAJBLQLBY-RKDXNWHRSA-N 0 1 285.311 0.690 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)C#N)N(C)c1ncnc2[nH]cnc21 ZINC001113537377 837151869 /nfs/dbraw/zinc/15/18/69/837151869.db2.gz MVCHMPPCOUODSU-DTWKUNHWSA-N 0 1 287.327 0.453 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2csnn2)[C@H](O)C1 ZINC001090454294 837170103 /nfs/dbraw/zinc/17/01/03/837170103.db2.gz ZDENVCTUECCCSC-MWLCHTKSSA-N 0 1 282.369 0.279 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C(C)(C)NC(=O)NC)CC1 ZINC001113681119 837194535 /nfs/dbraw/zinc/19/45/35/837194535.db2.gz FZAVWGAAIUPAKU-UHFFFAOYSA-N 0 1 296.415 0.804 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC001113713558 837203755 /nfs/dbraw/zinc/20/37/55/837203755.db2.gz SQLFRDYAQOLPJY-KBPBESRZSA-N 0 1 282.384 0.758 20 30 CCEDMN Cc1c(CC[NH3+])c(=O)[n-]n1-c1nccnc1CC#N ZINC001170340264 837321843 /nfs/dbraw/zinc/32/18/43/837321843.db2.gz MGVPRJAYFWPJAZ-UHFFFAOYSA-N 0 1 258.285 0.244 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(C)n1 ZINC001113870495 837350266 /nfs/dbraw/zinc/35/02/66/837350266.db2.gz GTWHQHWNIMUBRG-FOLVSLTJSA-N 0 1 299.374 0.700 20 30 CCEDMN C#CCN1CCOC[C@@H]1c1nc(CNC(=O)C(C)C)n[nH]1 ZINC001130828520 837935150 /nfs/dbraw/zinc/93/51/50/837935150.db2.gz KCZFGTACFGJPGR-LLVKDONJSA-N 0 1 291.355 0.083 20 30 CCEDMN C#CCN1CCOC[C@@H]1c1nnc(CNC(=O)C(C)C)[nH]1 ZINC001130828520 837935162 /nfs/dbraw/zinc/93/51/62/837935162.db2.gz KCZFGTACFGJPGR-LLVKDONJSA-N 0 1 291.355 0.083 20 30 CCEDMN C=CCCC(=O)NCC1CC(NCc2nncn2C)C1 ZINC001100170415 837965873 /nfs/dbraw/zinc/96/58/73/837965873.db2.gz MWVSDTYRKAVPQE-UHFFFAOYSA-N 0 1 277.372 0.766 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCC(=O)NC)CC[C@@H]1C ZINC001131984745 838289298 /nfs/dbraw/zinc/28/92/98/838289298.db2.gz OOCJFJLGTSMWDO-QWHCGFSZSA-N 0 1 279.384 0.505 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CC(=O)NC)CC[C@@H]1C ZINC001132010596 838297663 /nfs/dbraw/zinc/29/76/63/838297663.db2.gz UOVGLYZBSPLXRV-RYUDHWBXSA-N 0 1 265.357 0.115 20 30 CCEDMN C[C@@H]1CC[C@@H](NC(=O)C#CC2CC2)CN1CCOCCO ZINC001132033612 838302498 /nfs/dbraw/zinc/30/24/98/838302498.db2.gz ITYJNLAJKMGDHK-UKRRQHHQSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)CN(C)C(=O)C2CC2)CC[C@@H]1C ZINC001132097158 838316611 /nfs/dbraw/zinc/31/66/11/838316611.db2.gz GBMGCDOLKCTYHI-GXTWGEPZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN(C)C(=O)C2CC2)CC[C@@H]1C ZINC001132097158 838316619 /nfs/dbraw/zinc/31/66/19/838316619.db2.gz GBMGCDOLKCTYHI-GXTWGEPZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COC2CCOCC2)CC[C@H]1C ZINC001132335443 838362711 /nfs/dbraw/zinc/36/27/11/838362711.db2.gz OROYKKDCTYLDAZ-ZIAGYGMSSA-N 0 1 294.395 0.784 20 30 CCEDMN NC(=O)[C@@H]1CC[C@H]1C(=O)NCCNCC#Cc1ccccc1 ZINC001132337330 838363418 /nfs/dbraw/zinc/36/34/18/838363418.db2.gz JCELRZAXPVMOFU-HUUCEWRRSA-N 0 1 299.374 0.255 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CNC(=O)C(C)(C)C)CC[C@@H]1C ZINC001132481710 838413540 /nfs/dbraw/zinc/41/35/40/838413540.db2.gz JSFIKBOVLZVEFJ-STQMWFEESA-N 0 1 293.411 0.751 20 30 CCEDMN CN1C(=O)CC[C@H]1C(=O)NCCNCC#Cc1ccccc1 ZINC001132519957 838420727 /nfs/dbraw/zinc/42/07/27/838420727.db2.gz SBSCYSCKJKXXJS-HNNXBMFYSA-N 0 1 299.374 0.365 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1cnnn1C ZINC001132568983 838437313 /nfs/dbraw/zinc/43/73/13/838437313.db2.gz IWRJXPDMOOUJOR-MNOVXSKESA-N 0 1 265.361 0.479 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001132605148 838443498 /nfs/dbraw/zinc/44/34/98/838443498.db2.gz BWAKTZUASKKHLQ-FICVDOATSA-N 0 1 285.347 0.656 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCNCc1cnnn1C ZINC001132667994 838466459 /nfs/dbraw/zinc/46/64/59/838466459.db2.gz YSKFGFNNFUIKCF-LLVKDONJSA-N 0 1 265.361 0.623 20 30 CCEDMN COc1cc[nH]c(=O)c1C(=O)Nc1nc[nH]c1C#N ZINC001185067833 844339486 /nfs/dbraw/zinc/33/94/86/844339486.db2.gz NMTMYUISBCNVMS-UHFFFAOYSA-N 0 1 259.225 0.643 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2c[nH]nn2)CC[C@@H]1CNCC#N ZINC001185167662 844353880 /nfs/dbraw/zinc/35/38/80/844353880.db2.gz DABWOSSNMDKIMI-VXGBXAGGSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnn[nH]2)CC[C@@H]1CNCC#N ZINC001185167662 844353892 /nfs/dbraw/zinc/35/38/92/844353892.db2.gz DABWOSSNMDKIMI-VXGBXAGGSA-N 0 1 290.371 0.335 20 30 CCEDMN C=CCN1CC[C@H](N2CC=C(CCNC(C)=O)CC2)C1=O ZINC001159004448 838763895 /nfs/dbraw/zinc/76/38/95/838763895.db2.gz QRNQLAVULXBLRV-HNNXBMFYSA-N 0 1 291.395 0.932 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@H](C)NCc1nnc(C)[nH]1 ZINC001133909009 838772902 /nfs/dbraw/zinc/77/29/02/838772902.db2.gz FYAPKGDNYREBDM-WDEREUQCSA-N 0 1 295.387 0.689 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001133950915 838785929 /nfs/dbraw/zinc/78/59/29/838785929.db2.gz KXBSVZAVGHQHIW-UHFFFAOYSA-N 0 1 270.720 0.186 20 30 CCEDMN Cc1ncc(C#N)cc1NC(=O)[C@H]1CN(C)CCN1C ZINC001185244652 844371316 /nfs/dbraw/zinc/37/13/16/844371316.db2.gz LUUNWGOHUVCOQS-CYBMUJFWSA-N 0 1 273.340 0.446 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)C[C@@H](C)NCc1nnnn1C ZINC001134200530 838909592 /nfs/dbraw/zinc/90/95/92/838909592.db2.gz GDRRUVUGRWMZEH-VXGBXAGGSA-N 0 1 292.387 0.387 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN2CCSC[C@@H]12 ZINC000720509152 849476168 /nfs/dbraw/zinc/47/61/68/849476168.db2.gz GPYITKHFUZNEBZ-VWYCJHECSA-N 0 1 253.371 0.842 20 30 CCEDMN Cc1c(CC[NH3+])c(=O)[n-]n1-c1nc2c(cc1C#N)COCC2 ZINC001159302026 839108170 /nfs/dbraw/zinc/10/81/70/839108170.db2.gz FJIFYJFMZBIJQA-UHFFFAOYSA-N 0 1 299.334 0.727 20 30 CCEDMN C=CCn1cc(C(=O)NCCNCc2cncs2)nn1 ZINC001134973592 839127621 /nfs/dbraw/zinc/12/76/21/839127621.db2.gz YJELXBFCMFOEBH-UHFFFAOYSA-N 0 1 292.368 0.440 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)CCCCc1cn[nH]n1)NCC#N ZINC001135077493 839156896 /nfs/dbraw/zinc/15/68/96/839156896.db2.gz PEOBBVSTZSFQAQ-RYUDHWBXSA-N 0 1 292.387 0.914 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CNC(=O)CC)CC1 ZINC001159581441 839395362 /nfs/dbraw/zinc/39/53/62/839395362.db2.gz PWEOAXVUFQYLSZ-UHFFFAOYSA-N 0 1 277.368 0.284 20 30 CCEDMN CC[C@](N)(CO)Nc1ccc(C#N)cc1C(=O)OC ZINC001170863696 839458095 /nfs/dbraw/zinc/45/80/95/839458095.db2.gz CZCOCAORGVKHGJ-CYBMUJFWSA-N 0 1 263.297 0.814 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccnc2C)[C@H](O)C1 ZINC001090531778 839586553 /nfs/dbraw/zinc/58/65/53/839586553.db2.gz NONNNALHXZMASY-UONOGXRCSA-N 0 1 275.352 0.741 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2conc2C)[C@H](O)C1 ZINC001090539662 839626885 /nfs/dbraw/zinc/62/68/85/839626885.db2.gz ZOLBALMCISOOEI-QWHCGFSZSA-N 0 1 279.340 0.724 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2n[nH]cc2F)[C@H](O)C1 ZINC001090553274 839636230 /nfs/dbraw/zinc/63/62/30/839636230.db2.gz LNJYKXAYZDWPBM-GHMZBOCLSA-N 0 1 282.319 0.290 20 30 CCEDMN C#CCCCC(=O)NCCC1=CCN([C@@H](C)C(N)=O)CC1 ZINC001159945419 839637486 /nfs/dbraw/zinc/63/74/86/839637486.db2.gz ODQHLDYDOHEXCU-ZDUSSCGKSA-N 0 1 291.395 0.802 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ocnc2CC)[C@@H](O)C1 ZINC001090560295 839642619 /nfs/dbraw/zinc/64/26/19/839642619.db2.gz SFOHTUDQZPKMPB-STQMWFEESA-N 0 1 293.367 0.978 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CC3(CC3)C2)[C@@H](O)C1 ZINC001090561958 839644181 /nfs/dbraw/zinc/64/41/81/839644181.db2.gz APHPPHMGFPGRCV-KGLIPLIRSA-N 0 1 276.380 0.751 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cccnc2C)[C@H](O)C1 ZINC001090572300 839651140 /nfs/dbraw/zinc/65/11/40/839651140.db2.gz FRMDVTLSSCJVPN-HUUCEWRRSA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C)[nH]c2=O)[C@H](O)C1 ZINC001090593475 839663497 /nfs/dbraw/zinc/66/34/97/839663497.db2.gz FAFCIDBPUKUIHI-QWHCGFSZSA-N 0 1 291.351 0.447 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)Cc2cnc[nH]2)[C@H](O)C1 ZINC001090593834 839663618 /nfs/dbraw/zinc/66/36/18/839663618.db2.gz GLFPTRHMZMBWLB-NWDGAFQWSA-N 0 1 298.774 0.256 20 30 CCEDMN C=CCOC[C@H]1c2nnn(C)c2CCN1Cc1cnc[nH]1 ZINC001136867456 839790768 /nfs/dbraw/zinc/79/07/68/839790768.db2.gz QLSOEJFDAXRASY-ZDUSSCGKSA-N 0 1 288.355 0.840 20 30 CCEDMN C#C[C@H](C)NC(=O)[C@H]1[C@H](O)CCN1Cc1ccccc1 ZINC001143673757 839869198 /nfs/dbraw/zinc/86/91/98/839869198.db2.gz ADEOLLIBAPDIGM-NWANDNLSSA-N 0 1 272.348 0.760 20 30 CCEDMN C#CC(=O)NCCN(C)CCNC(=O)OC(C)(C)C ZINC001143915496 839894140 /nfs/dbraw/zinc/89/41/40/839894140.db2.gz BQPKECLPGLVQNQ-UHFFFAOYSA-N 0 1 269.345 0.192 20 30 CCEDMN CN(C1CN(Cc2cncc(C#N)c2)C1)[C@H]1CCOC1 ZINC001144079370 839929903 /nfs/dbraw/zinc/92/99/03/839929903.db2.gz LSHKQEUMJVLAGQ-AWEZNQCLSA-N 0 1 272.352 0.858 20 30 CCEDMN N#CCNC1CC(CNC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001091315858 840174267 /nfs/dbraw/zinc/17/42/67/840174267.db2.gz QDDSCYGUCDITAH-MOENNCHZSA-N 0 1 287.367 0.523 20 30 CCEDMN N#Cc1cccc(ONC(=O)[C@H]2CCCN(C(N)=O)C2)c1 ZINC001171247068 840191642 /nfs/dbraw/zinc/19/16/42/840191642.db2.gz MRWGLBJOFIYXHK-NSHDSACASA-N 0 1 288.307 0.759 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CN(C(=O)c3cc[nH]n3)C[C@]2(C)C1 ZINC001091501558 840239213 /nfs/dbraw/zinc/23/92/13/840239213.db2.gz IHLPKXSYSBZDCS-DOMZBBRYSA-N 0 1 272.352 0.827 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CN(C(=O)CCC)C2)C1 ZINC001146882143 840403953 /nfs/dbraw/zinc/40/39/53/840403953.db2.gz ZHGXQHHIOBFAKB-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOCCO ZINC001110378823 844535753 /nfs/dbraw/zinc/53/57/53/844535753.db2.gz JESGXHWFQSRJAN-MCIONIFRSA-N 0 1 282.384 0.683 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)C3CCC3)C2)C1 ZINC001146876234 840413561 /nfs/dbraw/zinc/41/35/61/840413561.db2.gz PAGWEDPRRSJGMG-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C=CCOCC(=O)N1CC2(C1)CC[N@H+](CCF)C2 ZINC001147260941 840540463 /nfs/dbraw/zinc/54/04/63/840540463.db2.gz KUNPEKDZMFUIKJ-UHFFFAOYSA-N 0 1 256.321 0.693 20 30 CCEDMN C=CCOCC(=O)N1CC2(C1)CCN(CCF)C2 ZINC001147260941 840540472 /nfs/dbraw/zinc/54/04/72/840540472.db2.gz KUNPEKDZMFUIKJ-UHFFFAOYSA-N 0 1 256.321 0.693 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COC(C)(C)C)C2)C1 ZINC001147369286 840571118 /nfs/dbraw/zinc/57/11/18/840571118.db2.gz ZETMWYAUXMLXBS-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCCC(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001147427971 840581076 /nfs/dbraw/zinc/58/10/76/840581076.db2.gz FNDNTWDBZMCDAF-LBPRGKRZSA-N 0 1 277.368 0.198 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC2(C1)CCN(CCF)C2 ZINC001147445837 840600355 /nfs/dbraw/zinc/60/03/55/840600355.db2.gz LRJJKWOVZQHVLI-ZDUSSCGKSA-N 0 1 270.348 0.817 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC2(C1)CCN(CC#C)C2 ZINC001147530145 840615131 /nfs/dbraw/zinc/61/51/31/840615131.db2.gz GZOVNDQXCWXXOL-CYBMUJFWSA-N 0 1 260.337 0.192 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(C(=O)c2[nH]nnc2C)C1 ZINC001147573056 840621257 /nfs/dbraw/zinc/62/12/57/840621257.db2.gz LDKVCFXOPJDOQS-SNVBAGLBSA-N 0 1 293.327 0.630 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1Nc1cc(O[C@@H]2CCOC2)ccn1 ZINC001171369325 840969248 /nfs/dbraw/zinc/96/92/48/840969248.db2.gz SSZVNBSJZHFQLM-NDMJEZRESA-N 0 1 293.323 0.897 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCC(F)F)[C@@H](O)C1 ZINC001099918993 841069595 /nfs/dbraw/zinc/06/95/95/841069595.db2.gz VELFKWNVKORRIJ-ZJUUUORDSA-N 0 1 262.300 0.769 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)[C@H]2C[C@H]2C(N)=O)[C@H](C)C1 ZINC001092970854 841094353 /nfs/dbraw/zinc/09/43/53/841094353.db2.gz JGMDFEQMOMNDOC-HKWIRBFKSA-N 0 1 299.802 0.544 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CSCC#N)[C@@H]2C1 ZINC001186940702 844617551 /nfs/dbraw/zinc/61/75/51/844617551.db2.gz TVCQQHRRQJYOFX-QWHCGFSZSA-N 0 1 277.393 0.799 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H]1CN(CC=C)CCCO1 ZINC001149722039 841205195 /nfs/dbraw/zinc/20/51/95/841205195.db2.gz VVPADTWGUTVRLL-CQSZACIVSA-N 0 1 280.368 0.419 20 30 CCEDMN CN1CC(C(=O)N2CC[C@@H]3[C@H](CCN3CC#N)C2)=NC1=O ZINC001036810254 841241812 /nfs/dbraw/zinc/24/18/12/841241812.db2.gz YKVHKTKGYVERSQ-ZYHUDNBSSA-N 0 1 289.339 0.186 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNc1ncnc2[nH]cnc21 ZINC001093572620 841343564 /nfs/dbraw/zinc/34/35/64/841343564.db2.gz UTJMCZDDRRQBJP-ZDUSSCGKSA-N 0 1 290.327 0.160 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](CNCc2ncccn2)[C@H](C)C1 ZINC001093679088 841426328 /nfs/dbraw/zinc/42/63/28/841426328.db2.gz QNXWRQSLFNXCQW-YNEHKIRRSA-N 0 1 287.367 0.820 20 30 CCEDMN Cc1noc(CNC[C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)n1 ZINC001093678616 841426555 /nfs/dbraw/zinc/42/65/55/841426555.db2.gz LZZODDDGUUJPBE-CKYFFXLPSA-N 0 1 291.355 0.722 20 30 CCEDMN Cc1nsc(NCCNC(=O)Cc2cnc[nH]2)c1C#N ZINC001094150407 841550238 /nfs/dbraw/zinc/55/02/38/841550238.db2.gz DPMYEMPYTKKLLG-UHFFFAOYSA-N 0 1 290.352 0.817 20 30 CCEDMN C=C(C)CCN1CC(n2cc(CNC(C)=O)nn2)C1 ZINC001094266444 841574985 /nfs/dbraw/zinc/57/49/85/841574985.db2.gz VJHSQINDYBKEKD-UHFFFAOYSA-N 0 1 263.345 0.737 20 30 CCEDMN Cc1cc(CC(=O)NCCCNc2cnc(C#N)cn2)[nH]n1 ZINC001094365417 841622034 /nfs/dbraw/zinc/62/20/34/841622034.db2.gz ZEDKVZCBWTUDNZ-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(C(C)(C)O)CC1 ZINC000716335114 841647407 /nfs/dbraw/zinc/64/74/07/841647407.db2.gz XGJXMNFZLYWCKR-NSHDSACASA-N 0 1 252.358 0.607 20 30 CCEDMN N#Cc1cncc(NCCCNC(=O)c2[nH]ncc2F)n1 ZINC001094765721 841713936 /nfs/dbraw/zinc/71/39/36/841713936.db2.gz XWNMNQUPNBARJT-UHFFFAOYSA-N 0 1 289.274 0.442 20 30 CCEDMN CN1CCC(C#N)(NC2C[C@@H]3COC[C@H](C2)N3C)CC1 ZINC001172133133 841838925 /nfs/dbraw/zinc/83/89/25/841838925.db2.gz AKRQREUYNDKJPL-AGUYFDCRSA-N 0 1 278.400 0.426 20 30 CCEDMN COC(=O)[C@H]1CN([C@H](C)CCCC#N)CCN1C ZINC001172498724 841935480 /nfs/dbraw/zinc/93/54/80/841935480.db2.gz QJYSDIBJMIZDPZ-VXGBXAGGSA-N 0 1 253.346 0.858 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)N[C@H](C#N)CC(C)C ZINC001176385850 842361743 /nfs/dbraw/zinc/36/17/43/842361743.db2.gz YFGASYUQXRJQIE-WCQYABFASA-N 0 1 291.355 0.511 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1ncnn1C ZINC001177269569 842541380 /nfs/dbraw/zinc/54/13/80/842541380.db2.gz RFQUBSBFTLUMIJ-LLVKDONJSA-N 0 1 281.360 0.002 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1nnc(C)[nH]1 ZINC001177273485 842542693 /nfs/dbraw/zinc/54/26/93/842542693.db2.gz QOVXXCUCTRNPKD-SNVBAGLBSA-N 0 1 281.360 0.300 20 30 CCEDMN CN(C)c1cc(NC(=O)C#Cc2ccccn2)n[nH]1 ZINC001177798805 842679743 /nfs/dbraw/zinc/67/97/43/842679743.db2.gz NYZYUAMFKMEXOY-UHFFFAOYSA-N 0 1 255.281 0.861 20 30 CCEDMN CCOC(=O)c1c[nH]c(NC(=O)C#Cc2ccccn2)n1 ZINC001177798299 842679451 /nfs/dbraw/zinc/67/94/51/842679451.db2.gz AOENWBAXOLQNLJ-UHFFFAOYSA-N 0 1 284.275 0.972 20 30 CCEDMN O=C(C#Cc1ccccn1)N1CCN2CCC1CC2 ZINC001177801960 842681218 /nfs/dbraw/zinc/68/12/18/842681218.db2.gz PUTOGUVIGPIQIJ-UHFFFAOYSA-N 0 1 255.321 0.740 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC1CC(CO)(CO)C1 ZINC001177914714 842706819 /nfs/dbraw/zinc/70/68/19/842706819.db2.gz CIAHHXJQYASTBJ-JTQLQIEISA-N 0 1 294.376 0.680 20 30 CCEDMN C#CC[C@H](NC(=O)OC(C)(C)C)C(=O)N1CC(N(C)C)C1 ZINC001179581762 843028957 /nfs/dbraw/zinc/02/89/57/843028957.db2.gz CZVVVXOUHOTDOT-LBPRGKRZSA-N 0 1 295.383 0.675 20 30 CCEDMN CCOC(=O)[C@H]1c2n[nH]cc2CCN1C(=O)C(C)C#N ZINC001179894903 843070241 /nfs/dbraw/zinc/07/02/41/843070241.db2.gz FXIHSDDYJBJJSW-GZMMTYOYSA-N 0 1 276.296 0.558 20 30 CCEDMN N=C(c1nonc1N)N(O)C(=O)[C@H](F)c1ccccc1 ZINC001180141512 843105946 /nfs/dbraw/zinc/10/59/46/843105946.db2.gz YDAWQRRKQOZYJC-SSDOTTSWSA-N 0 1 279.231 0.906 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)Cn1nc2ccccc2n1 ZINC001180291015 843143707 /nfs/dbraw/zinc/14/37/07/843143707.db2.gz IQAUSSVROKEPLL-UHFFFAOYSA-N 0 1 267.252 0.665 20 30 CCEDMN CC(C)N1CCN(CC(=O)Nc2cc(C#N)ccn2)CC1 ZINC001180342342 843147723 /nfs/dbraw/zinc/14/77/23/843147723.db2.gz QERDOXPJJIRUON-UHFFFAOYSA-N 0 1 287.367 0.918 20 30 CCEDMN CC(C)(C)OC(=O)N[C@@H](CC#N)C(=O)NCc1c[nH]nn1 ZINC001180783278 843303223 /nfs/dbraw/zinc/30/32/23/843303223.db2.gz RGPPYOSPYQPHFG-VIFPVBQESA-N 0 1 294.315 0.228 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N1CCNC[C@H]1C#N ZINC001181998844 843668491 /nfs/dbraw/zinc/66/84/91/843668491.db2.gz ZIWIWKHRDFOSLC-NEPJUHHUSA-N 0 1 250.346 0.185 20 30 CCEDMN N#Cc1cccc(CCC(=O)NCc2c[nH]nn2)c1 ZINC001182341047 843775364 /nfs/dbraw/zinc/77/53/64/843775364.db2.gz DTJJPQCQJPKDGW-UHFFFAOYSA-N 0 1 255.281 0.925 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CSCC#N)C2 ZINC001110300910 843825314 /nfs/dbraw/zinc/82/53/14/843825314.db2.gz RUVPYOZYTBFKGR-UPJWGTAASA-N 0 1 277.393 0.988 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1CC[C@@H](NCC#N)C1 ZINC001182974244 843952034 /nfs/dbraw/zinc/95/20/34/843952034.db2.gz YUYROMGWQLDNRK-NEPJUHHUSA-N 0 1 270.352 0.380 20 30 CCEDMN O=C(/C=C/NC(=O)C(CO)C(F)(F)F)C(F)(F)F ZINC001183287697 844004616 /nfs/dbraw/zinc/00/46/16/844004616.db2.gz JMMHHTPAULYBAQ-QPHDTYRISA-N 0 1 279.136 0.919 20 30 CCEDMN CCCOCC(=O)N1CC[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001187175619 844669922 /nfs/dbraw/zinc/66/99/22/844669922.db2.gz YGMOJOGEFVVLQH-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)NC(C)=O)C1 ZINC001188766756 844972563 /nfs/dbraw/zinc/97/25/63/844972563.db2.gz ZDPUJOHISRLKOA-YPMHNXCESA-N 0 1 265.357 0.067 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)NC(C)=O)C1 ZINC001188766753 844973327 /nfs/dbraw/zinc/97/33/27/844973327.db2.gz ZDPUJOHISRLKOA-AAEUAGOBSA-N 0 1 265.357 0.067 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)CCC)C1 ZINC001189169118 845078299 /nfs/dbraw/zinc/07/82/99/845078299.db2.gz GYEAPKUQDBPWEN-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2ccnn2C)C1 ZINC001189875154 845244870 /nfs/dbraw/zinc/24/48/70/845244870.db2.gz VHZZGTONQYXMAG-CQSZACIVSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@](C)(O)C=C)C1 ZINC001189916848 845268952 /nfs/dbraw/zinc/26/89/52/845268952.db2.gz APFAFNUTNPPXBK-TZMCWYRMSA-N 0 1 250.342 0.479 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](N(C)[C@H]2CCNC2=O)C1 ZINC001190046163 845315229 /nfs/dbraw/zinc/31/52/29/845315229.db2.gz GKZSLBOJZCYRRG-STQMWFEESA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C2(C)CC2)C1 ZINC001190277975 845380059 /nfs/dbraw/zinc/38/00/59/845380059.db2.gz DAYIOOKILPMBRJ-QWHCGFSZSA-N 0 1 291.395 0.457 20 30 CCEDMN N#Cc1ccccc1OCCNS(=O)(=O)c1ncc[nH]1 ZINC001190755700 845472048 /nfs/dbraw/zinc/47/20/48/845472048.db2.gz YBJCZPXLIBANHD-UHFFFAOYSA-N 0 1 292.320 0.639 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H]([NH+](C)CC#CC)C1 ZINC001190723707 845477218 /nfs/dbraw/zinc/47/72/18/845477218.db2.gz JCCPSUYCIHRMIA-CVEARBPZSA-N 0 1 287.407 0.640 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cn[nH]c(=O)c2)C1 ZINC001190802033 845506983 /nfs/dbraw/zinc/50/69/83/845506983.db2.gz UINMLUWCVMHULZ-GFCCVEGCSA-N 0 1 274.324 0.352 20 30 CCEDMN CC/C=C/CCN1C[C@@H](O)[C@H](NC(=O)CSCC#N)C1 ZINC001191161867 845598292 /nfs/dbraw/zinc/59/82/92/845598292.db2.gz MDUYRNLURPDWNL-CDJBXZAQSA-N 0 1 297.424 0.761 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)SC)C1 ZINC001191246088 845619162 /nfs/dbraw/zinc/61/91/62/845619162.db2.gz JTFTZPNSERIGNY-GMTAPVOTSA-N 0 1 258.387 0.475 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)/C=C(\C)C2CC2)C1 ZINC001191415753 845647871 /nfs/dbraw/zinc/64/78/71/845647871.db2.gz JLAZQSLHPBQJKY-PRYPJVTBSA-N 0 1 294.395 0.707 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001191534082 845675840 /nfs/dbraw/zinc/67/58/40/845675840.db2.gz YFUFQPOTAXIKPP-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnn3cccnc23)C1 ZINC001191666752 845711551 /nfs/dbraw/zinc/71/15/51/845711551.db2.gz OFNCSPKQVXSCTJ-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN CC/C=C/CCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001191863097 845743506 /nfs/dbraw/zinc/74/35/06/845743506.db2.gz CJZFWUDBCUPMAD-GDNMSKEOSA-N 0 1 265.357 0.664 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)/C=C\c2ccc[nH]2)C1 ZINC001192453755 845854165 /nfs/dbraw/zinc/85/41/65/845854165.db2.gz QLCSTMJLBUNXAN-NTQVKLLNSA-N 0 1 261.325 0.375 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOC2CCC2)C[C@H]1O ZINC001192511071 845862122 /nfs/dbraw/zinc/86/21/22/845862122.db2.gz WHDPMIWAHAQAOP-HUUCEWRRSA-N 0 1 294.395 0.520 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)Cc2cnc[nH]2)C1 ZINC001192549840 845865840 /nfs/dbraw/zinc/86/58/40/845865840.db2.gz ACGOSFXOCWJAKJ-AWEZNQCLSA-N 0 1 290.367 0.135 20 30 CCEDMN COCCCCCN1C[C@@H](O)[C@H](NC(=O)C#CC(C)C)C1 ZINC001192762263 845902513 /nfs/dbraw/zinc/90/25/13/845902513.db2.gz TXNDNMBZEAUWSD-HUUCEWRRSA-N 0 1 296.411 0.624 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(COC)on2)C1 ZINC001192723203 845903281 /nfs/dbraw/zinc/90/32/81/845903281.db2.gz CNSJQWBJDFYSAZ-LBPRGKRZSA-N 0 1 291.351 0.991 20 30 CCEDMN CCc1nc2ccc(NS(=O)(=O)CC#N)cn2n1 ZINC001192951161 845932989 /nfs/dbraw/zinc/93/29/89/845932989.db2.gz IRLRMRCPXCZXKJ-UHFFFAOYSA-N 0 1 265.298 0.557 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCc2ncccn2)C1 ZINC001192960939 845935377 /nfs/dbraw/zinc/93/53/77/845935377.db2.gz VQFUSZRCQZBRIQ-CQSZACIVSA-N 0 1 286.379 0.965 20 30 CCEDMN CN1CCc2c(NS(=O)(=O)CC#N)cccc2C1=O ZINC001192985550 845944735 /nfs/dbraw/zinc/94/47/35/845944735.db2.gz GKXQEYJSSYRDIM-UHFFFAOYSA-N 0 1 279.321 0.580 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccnn1Br ZINC001193112404 845980971 /nfs/dbraw/zinc/98/09/71/845980971.db2.gz SSRHCINHAFKZQK-YFKPBYRVSA-N 0 1 279.119 0.695 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001193117539 845986053 /nfs/dbraw/zinc/98/60/53/845986053.db2.gz CDHFRZCDUWWMEA-IONNQARKSA-N 0 1 272.351 0.502 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1cccc(C(N)=O)c1F ZINC001193162223 846009770 /nfs/dbraw/zinc/00/97/70/846009770.db2.gz WOHOGAWAIHYYKE-LURJTMIESA-N 0 1 271.273 0.578 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001193247682 846033801 /nfs/dbraw/zinc/03/38/01/846033801.db2.gz KVGBWULAAMUCAL-GHMZBOCLSA-N 0 1 290.791 0.573 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1O ZINC001193369882 846064917 /nfs/dbraw/zinc/06/49/17/846064917.db2.gz HQNMISRLVJRVHI-YNEHKIRRSA-N 0 1 270.373 0.539 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN(CCF)CC2)ccc1O ZINC001193505479 846101940 /nfs/dbraw/zinc/10/19/40/846101940.db2.gz ZCQSEBHNRZKRDY-UHFFFAOYSA-N 0 1 277.299 0.991 20 30 CCEDMN COc1ccnc(C(=O)Nc2cn(C)nc2C#N)c1O ZINC001193524814 846105612 /nfs/dbraw/zinc/10/56/12/846105612.db2.gz MAHZTHKMCZUJCK-UHFFFAOYSA-N 0 1 273.252 0.653 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCC(=O)NCCC)C1 ZINC001193551475 846117211 /nfs/dbraw/zinc/11/72/11/846117211.db2.gz OZSCLSVZPINDNA-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCNCC(F)(F)C2)c1O ZINC001193642777 846135701 /nfs/dbraw/zinc/13/57/01/846135701.db2.gz PJWOYMNWIHCQKE-UHFFFAOYSA-N 0 1 281.262 0.945 20 30 CCEDMN N#Cc1cccc(C(=O)NC2CC(CO)(CO)C2)c1O ZINC001193657873 846140290 /nfs/dbraw/zinc/14/02/90/846140290.db2.gz JTRRTHJOZSYCSH-UHFFFAOYSA-N 0 1 276.292 0.127 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2ccc(C(N)=O)cc2)C1 ZINC001193961265 846188138 /nfs/dbraw/zinc/18/81/38/846188138.db2.gz MUEAMJCOEJYPEV-OAHLLOKOSA-N 0 1 299.374 0.955 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C(N)=O)cc2)C1 ZINC001193961265 846188142 /nfs/dbraw/zinc/18/81/42/846188142.db2.gz MUEAMJCOEJYPEV-OAHLLOKOSA-N 0 1 299.374 0.955 20 30 CCEDMN N#Cc1cncc(C(=O)N[C@@H](CO)Cc2cnc[nH]2)c1 ZINC001194112008 846215521 /nfs/dbraw/zinc/21/55/21/846215521.db2.gz FGRDVLPIYMTOKW-GFCCVEGCSA-N 0 1 271.280 0.010 20 30 CCEDMN N#Cc1cncc(C(=O)N2CCc3nc[nH]c3C2)c1 ZINC001194105608 846224959 /nfs/dbraw/zinc/22/49/59/846224959.db2.gz VIOHBWWHJUSDNJ-UHFFFAOYSA-N 0 1 253.265 0.875 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](NC(C)=O)[C@@H](C)CC)C1 ZINC001194174396 846230815 /nfs/dbraw/zinc/23/08/15/846230815.db2.gz SLHBSPBXLBMMMB-CFVMTHIKSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCC(=O)N(CC)CC)C1 ZINC001194183930 846233362 /nfs/dbraw/zinc/23/33/62/846233362.db2.gz WOIBMITVDHPMOA-AWEZNQCLSA-N 0 1 293.411 0.801 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001194523481 846315337 /nfs/dbraw/zinc/31/53/37/846315337.db2.gz WIWRDPGYBGWIHB-QWHCGFSZSA-N 0 1 279.384 0.300 20 30 CCEDMN COCC#CCN1CCCN(C(=O)c2ccn[nH]2)CC1 ZINC001194889039 846420179 /nfs/dbraw/zinc/42/01/79/846420179.db2.gz FCMUFBDJTQNOCS-UHFFFAOYSA-N 0 1 276.340 0.207 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)CNC(=O)CCC)CC1 ZINC001195101984 846461173 /nfs/dbraw/zinc/46/11/73/846461173.db2.gz VQLAEWQCUAYCLC-UHFFFAOYSA-N 0 1 279.384 0.460 20 30 CCEDMN C=CCCC(=O)N1CCCN(CCn2cncn2)CC1 ZINC001195233579 846477037 /nfs/dbraw/zinc/47/70/37/846477037.db2.gz WTTAYTFXNQCUIC-UHFFFAOYSA-N 0 1 277.372 0.779 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1C[N@@H+](C[C@@H](CC)OC)C[C@H]1O ZINC001195473770 846546584 /nfs/dbraw/zinc/54/65/84/846546584.db2.gz QTKSZNXRROJPIA-RBSFLKMASA-N 0 1 296.411 0.766 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)C2CC2)C1 ZINC001195524287 846566304 /nfs/dbraw/zinc/56/63/04/846566304.db2.gz FKGLYCAHAAAKKR-ZIAGYGMSSA-N 0 1 296.411 0.787 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCCN(CCOCC)CC1 ZINC001195691255 846600038 /nfs/dbraw/zinc/60/00/38/846600038.db2.gz HBKIWYKDJNDRJA-HNNXBMFYSA-N 0 1 284.400 0.884 20 30 CCEDMN C=CCNC(=O)[C@@H]1CC12CCN([C@@H](CC)C(N)=O)CC2 ZINC001273703936 846601005 /nfs/dbraw/zinc/60/10/05/846601005.db2.gz LWONCIXQRCGBRK-RYUDHWBXSA-N 0 1 279.384 0.655 20 30 CCEDMN Cc1noc(NC(=O)c2ccc(C#N)cc2O)c1C(N)=O ZINC001195733164 846603736 /nfs/dbraw/zinc/60/37/36/846603736.db2.gz BUCMLXDSBHYQHV-UHFFFAOYSA-N 0 1 286.247 0.912 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCCNC(=O)C2)c(O)c1 ZINC001195741781 846619307 /nfs/dbraw/zinc/61/93/07/846619307.db2.gz ZCRGPVAWRJGHJB-UHFFFAOYSA-N 0 1 259.265 0.226 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCS(=O)(=O)CC2)c(O)c1 ZINC001195741744 846619542 /nfs/dbraw/zinc/61/95/42/846619542.db2.gz YBEPYWPXQGVMIE-UHFFFAOYSA-N 0 1 280.305 0.134 20 30 CCEDMN C[N@@H+]1C[C@H]2CN(C(=O)c3ccc(C#N)cc3[O-])C[C@H]2C1 ZINC001195742097 846620155 /nfs/dbraw/zinc/62/01/55/846620155.db2.gz WSCBPCGKHXPDOG-TXEJJXNPSA-N 0 1 271.320 0.897 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1cc(Cl)ncc1O ZINC001196401218 846743122 /nfs/dbraw/zinc/74/31/22/846743122.db2.gz WEUIOKGRGPESKJ-ZETCQYMHSA-N 0 1 283.671 0.626 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)CC(N)=O)CC1 ZINC001196752041 846798182 /nfs/dbraw/zinc/79/81/82/846798182.db2.gz BQNCEZWPUROGDO-GFCCVEGCSA-N 0 1 267.373 0.608 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2nccs2)C1 ZINC001197123909 846848242 /nfs/dbraw/zinc/84/82/42/846848242.db2.gz FKPAWJQVOFUPJD-GHMZBOCLSA-N 0 1 281.381 0.884 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CC(C)C)C1 ZINC001197243815 846887420 /nfs/dbraw/zinc/88/74/20/846887420.db2.gz FJWUEEIYHHOANH-BFHYXJOUSA-N 0 1 266.385 0.853 20 30 CCEDMN C[C@@H](O)[C@H](C)N1CCN(c2ccc(C#N)nc2)CC1 ZINC001197553364 846931763 /nfs/dbraw/zinc/93/17/63/846931763.db2.gz PUTSXJLGDXERSJ-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COCCOCC ZINC001152565376 847239960 /nfs/dbraw/zinc/23/99/60/847239960.db2.gz ZETUEIVMAPSZGQ-UHFFFAOYSA-N 0 1 264.753 0.498 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CCOC[C@H]1C#N ZINC001199351232 847294323 /nfs/dbraw/zinc/29/43/23/847294323.db2.gz CEOLJGTUCFQAGJ-SNVBAGLBSA-N 0 1 260.297 0.512 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CN(CCC)C[C@H]1O ZINC001199331812 847304141 /nfs/dbraw/zinc/30/41/41/847304141.db2.gz SWTMDHCNRFDQAV-IJLUTSLNSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CCCC(=O)N1CCC(NCc2nnnn2C)CC1 ZINC001199681429 847398521 /nfs/dbraw/zinc/39/85/21/847398521.db2.gz CDPOEXYMLUAWKY-UHFFFAOYSA-N 0 1 278.360 0.257 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2cn[nH]c2)CCCO1 ZINC001199921936 847481093 /nfs/dbraw/zinc/48/10/93/847481093.db2.gz ACYVSTJGZFFXBP-LBPRGKRZSA-N 0 1 298.774 0.983 20 30 CCEDMN N#C[C@@H]1CN(C(=S)NC[C@H]2CCCO2)CCC1=O ZINC001200017800 847506043 /nfs/dbraw/zinc/50/60/43/847506043.db2.gz FWRAPKYXMJTNGU-NXEZZACHSA-N 0 1 267.354 0.454 20 30 CCEDMN CC(C)C#CC(=O)N1CCC(NCc2cnn(C)n2)CC1 ZINC001200448882 847629797 /nfs/dbraw/zinc/62/97/97/847629797.db2.gz RUFWMNOSXZAFIR-UHFFFAOYSA-N 0 1 289.383 0.555 20 30 CCEDMN C=CCN1C[C@]2(CCN(C(C)(C)C(=O)NC)C2)OCC1=O ZINC001273839559 847649301 /nfs/dbraw/zinc/64/93/01/847649301.db2.gz RPHZTTWBZSWJGC-HNNXBMFYSA-N 0 1 295.383 0.000 20 30 CCEDMN C=C[C@@H](O)CN1CCC[C@@]12CCN(CC(F)F)C2=O ZINC001273883654 847731442 /nfs/dbraw/zinc/73/14/42/847731442.db2.gz AJNBIVBKPJXCEU-MFKMUULPSA-N 0 1 274.311 0.865 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)NC(=O)CC)C2 ZINC001110587515 847908971 /nfs/dbraw/zinc/90/89/71/847908971.db2.gz QUMLOARNTUTLRD-LPWJVIDDSA-N 0 1 279.384 0.809 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(C)c1N)C2 ZINC001095341877 848252681 /nfs/dbraw/zinc/25/26/81/848252681.db2.gz XYZDZYGRDYMLQN-USWWRNFRSA-N 0 1 275.356 0.523 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cncnc1)C2 ZINC001095364202 848267217 /nfs/dbraw/zinc/26/72/17/848267217.db2.gz JYCHAFUTRMBCIA-RDBSUJKOSA-N 0 1 272.352 0.927 20 30 CCEDMN Cc1nc(CNCC=CCNC(=O)C#CC(C)C)n[nH]1 ZINC001274010764 848299327 /nfs/dbraw/zinc/29/93/27/848299327.db2.gz RBWRCSMYPYIEAZ-SNAWJCMRSA-N 0 1 275.356 0.535 20 30 CCEDMN CCOC(=O)[C@@H](C)N1CC[C@@]2(CCN(CCC#N)C2)C1=O ZINC001274030212 848309705 /nfs/dbraw/zinc/30/97/05/848309705.db2.gz GSPQXMWEEZWXTG-IUODEOHRSA-N 0 1 293.367 0.776 20 30 CCEDMN CNC(=O)C(C)(C)N1CC[C@]2(CCN(CCC#N)C2)C1=O ZINC001274032389 848313088 /nfs/dbraw/zinc/31/30/88/848313088.db2.gz NNQVJUGSRNKHLE-HNNXBMFYSA-N 0 1 292.383 0.349 20 30 CCEDMN CC#CC[C@@H](CO)NCc1ccc(C(=O)OC)o1 ZINC000717786654 848391338 /nfs/dbraw/zinc/39/13/38/848391338.db2.gz MAMSNHLVHPNDFQ-JTQLQIEISA-N 0 1 251.282 0.930 20 30 CCEDMN CC#CC[C@@H](CO)NCc1cc(C(=O)OC)co1 ZINC000717789103 848392476 /nfs/dbraw/zinc/39/24/76/848392476.db2.gz XXWFGAUFMRDALK-NSHDSACASA-N 0 1 251.282 0.930 20 30 CCEDMN C=CCCCN1CC2(CCN2CC(=O)N(C)C)C1 ZINC001274962151 848601488 /nfs/dbraw/zinc/60/14/88/848601488.db2.gz HOPGRSCFIHXRRJ-UHFFFAOYSA-N 0 1 251.374 0.801 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CCNC(=O)CC1 ZINC001275128561 848645219 /nfs/dbraw/zinc/64/52/19/848645219.db2.gz IQNUJAMIVBJISS-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCCN(C(N)=O)C1 ZINC001275599360 848772441 /nfs/dbraw/zinc/77/24/41/848772441.db2.gz FWYGPDWJLKFCFR-CHWSQXEVSA-N 0 1 294.399 0.237 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)N(C)[C@@H](CC)C(N)=O ZINC001275782156 848822047 /nfs/dbraw/zinc/82/20/47/848822047.db2.gz OESDBAFYPJSBOL-MNOVXSKESA-N 0 1 255.362 0.653 20 30 CCEDMN CCO[C@@H](C)C(=O)NC[C@H](C)N(C)CC#CCOC ZINC001275773817 848817452 /nfs/dbraw/zinc/81/74/52/848817452.db2.gz QKBIXWGEIHWCNB-STQMWFEESA-N 0 1 270.373 0.498 20 30 CCEDMN N#CCNC[C@H]1CCCC[C@H]1NC(=O)CN1CCCC1 ZINC001275817814 848833772 /nfs/dbraw/zinc/83/37/72/848833772.db2.gz SHSDYHDFRMVOOA-ZIAGYGMSSA-N 0 1 278.400 0.870 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)N(C)CCN1CCNC1=O ZINC001275817870 848835547 /nfs/dbraw/zinc/83/55/47/848835547.db2.gz FHGOGURVKKXMAS-CYBMUJFWSA-N 0 1 294.399 0.252 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H]1CC(=O)N(CCC)C1 ZINC001275946864 848871256 /nfs/dbraw/zinc/87/12/56/848871256.db2.gz SYBOLFCQKNHSGU-KGLIPLIRSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCCCC(N)=O ZINC001275971227 848877431 /nfs/dbraw/zinc/87/74/31/848877431.db2.gz ZZANIQDQLANULH-LBPRGKRZSA-N 0 1 267.373 0.492 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@@H]3C[C@@]32C(N)=O)ccc1O ZINC001276156609 848942423 /nfs/dbraw/zinc/94/24/23/848942423.db2.gz VVLLQGSWMCDKLB-YGRLFVJLSA-N 0 1 271.276 0.354 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCNC1=O)C2 ZINC001095572096 848990498 /nfs/dbraw/zinc/99/04/98/848990498.db2.gz PKOUTCDVUMHEGC-MQYQWHSLSA-N 0 1 289.379 0.257 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnc[nH]c1=O)C2 ZINC001095593026 848993870 /nfs/dbraw/zinc/99/38/70/848993870.db2.gz AWGZJJNERMDKDE-USWWRNFRSA-N 0 1 272.308 0.151 20 30 CCEDMN Cc1ccc(C#N)c(N(C)CCCNC(=O)c2ncn[nH]2)n1 ZINC001095608518 848996648 /nfs/dbraw/zinc/99/66/48/848996648.db2.gz GAUGCHIPDIPIQO-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN Cc1ccc(C#N)c(N(C)CCCNC(=O)c2nc[nH]n2)n1 ZINC001095608518 848996653 /nfs/dbraw/zinc/99/66/53/848996653.db2.gz GAUGCHIPDIPIQO-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)COC[C@H]1CCOC1)C2 ZINC001111090667 849067974 /nfs/dbraw/zinc/06/79/74/849067974.db2.gz XKZUPFJDYGTPLO-YJNKXOJESA-N 0 1 294.395 0.947 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C(=O)NCC1CC1)C2 ZINC001111196220 849094143 /nfs/dbraw/zinc/09/41/43/849094143.db2.gz SHVCLFFJWOKPMR-MCIONIFRSA-N 0 1 289.379 0.257 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)COC ZINC001114569075 849247912 /nfs/dbraw/zinc/24/79/12/849247912.db2.gz WVZVNWKKRRHJRZ-WDNDVIMCSA-N 0 1 294.395 0.355 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1[C@H]2CN([C@H](CC)C(N)=O)C[C@H]21 ZINC001114681068 849361076 /nfs/dbraw/zinc/36/10/76/849361076.db2.gz PVRVABMJYZHUNK-XQHKEYJVSA-N 0 1 293.411 0.899 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@H]1C ZINC001114683836 849362210 /nfs/dbraw/zinc/36/22/10/849362210.db2.gz VTEORNRVAXNSRK-QSLWVIQJSA-N 0 1 262.353 0.339 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@]3(CCN(CC#N)C3)C2)n[nH]1 ZINC001041112753 849762156 /nfs/dbraw/zinc/76/21/56/849762156.db2.gz WCVLLLNDCCHPDL-CQSZACIVSA-N 0 1 273.340 0.780 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038161144 849802373 /nfs/dbraw/zinc/80/23/73/849802373.db2.gz LDGNWHUXEDCEMI-JTQLQIEISA-N 0 1 264.329 0.804 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038161144 849802383 /nfs/dbraw/zinc/80/23/83/849802383.db2.gz LDGNWHUXEDCEMI-JTQLQIEISA-N 0 1 264.329 0.804 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C1CCC(O)CC1 ZINC001038207142 849816906 /nfs/dbraw/zinc/81/69/06/849816906.db2.gz FRIVIERQYWBINW-MOKVOYLWSA-N 0 1 264.369 0.751 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001038260908 849831800 /nfs/dbraw/zinc/83/18/00/849831800.db2.gz VHDGOKJCHOJTCW-JHJVBQTASA-N 0 1 279.384 0.668 20 30 CCEDMN N#Cc1cccc(CN2CC[C@@H]2CNC(=O)c2cnn[nH]2)c1 ZINC001038434374 849896129 /nfs/dbraw/zinc/89/61/29/849896129.db2.gz JNTJVQVFKMDIJA-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN O=C(NC[C@@H]1CCN1CC#Cc1ccccc1)c1cnn[nH]1 ZINC001038456238 849909231 /nfs/dbraw/zinc/90/92/31/849909231.db2.gz KBROQXSZDPJDIR-AWEZNQCLSA-N 0 1 295.346 0.661 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1c(C)c(C)n[nH]c1=O ZINC001038586718 849952821 /nfs/dbraw/zinc/95/28/21/849952821.db2.gz WIBSQZVTBFAMKV-LBPRGKRZSA-N 0 1 288.351 0.626 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1c[nH]c(=O)cc1OC ZINC001038713228 849991062 /nfs/dbraw/zinc/99/10/62/849991062.db2.gz REVMEPQWOWOQCY-NSHDSACASA-N 0 1 289.335 0.623 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001038724064 849996406 /nfs/dbraw/zinc/99/64/06/849996406.db2.gz JSUCAAIXBMWMSS-DGAVXFQQSA-N 0 1 262.353 0.768 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001038857933 850052963 /nfs/dbraw/zinc/05/29/63/850052963.db2.gz AIQHYNQECJAIRJ-VXGBXAGGSA-N 0 1 286.379 0.972 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001038893609 850069054 /nfs/dbraw/zinc/06/90/54/850069054.db2.gz MSBKQLMSCGVBHT-GHMZBOCLSA-N 0 1 273.340 0.229 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnn(CCOC)c1 ZINC001038970687 850104878 /nfs/dbraw/zinc/10/48/78/850104878.db2.gz KRGYFJYQBRYNEN-CQSZACIVSA-N 0 1 290.367 0.357 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1ccc(=O)[nH]n1 ZINC001039328290 850173860 /nfs/dbraw/zinc/17/38/60/850173860.db2.gz YXKDDPNNQYWWFQ-NEPJUHHUSA-N 0 1 286.335 0.494 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(=O)N1 ZINC001039346348 850176735 /nfs/dbraw/zinc/17/67/35/850176735.db2.gz FPRNWFUZCDKTPI-HZSPNIEDSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1COC(=O)C1 ZINC001039357798 850179096 /nfs/dbraw/zinc/17/90/96/850179096.db2.gz OAZUZQAQHKQMJU-MCIONIFRSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C(N)=O ZINC001039389499 850184095 /nfs/dbraw/zinc/18/40/95/850184095.db2.gz WPWKMSIMGLCQNP-NEPJUHHUSA-N 0 1 277.368 0.196 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCN(C)C1=O ZINC001039420832 850187918 /nfs/dbraw/zinc/18/79/18/850187918.db2.gz HXBLXWFICWMOCH-HZSPNIEDSA-N 0 1 289.379 0.163 20 30 CCEDMN C=C1CCC(CNS(=O)(=O)C[C@H]2CNCCO2)CC1 ZINC000724464187 850200827 /nfs/dbraw/zinc/20/08/27/850200827.db2.gz HTUVIBDIAGSTBT-CYBMUJFWSA-N 0 1 288.413 0.641 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(=O)[nH]n3)C[C@@H]21 ZINC001041919728 850527483 /nfs/dbraw/zinc/52/74/83/850527483.db2.gz KHXZOHBRLRHDBX-AAEUAGOBSA-N 0 1 286.335 0.352 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H]3CCN(CC#N)[C@H]3C2)n[nH]1 ZINC001041962885 850542141 /nfs/dbraw/zinc/54/21/41/850542141.db2.gz ZQKJCLMZYAOAFE-AAEUAGOBSA-N 0 1 273.340 0.778 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3ncc[nH]3)C[C@H]21 ZINC001042038022 850563578 /nfs/dbraw/zinc/56/35/78/850563578.db2.gz CHMURSPXPCCQTF-QWHCGFSZSA-N 0 1 272.352 0.969 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3nccc(C)n3)C[C@@H]21 ZINC001042038597 850564149 /nfs/dbraw/zinc/56/41/49/850564149.db2.gz PDVVKXVTZMUIFQ-KGLIPLIRSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cn4c(n3)CCC4)C[C@H]21 ZINC001042065377 850572856 /nfs/dbraw/zinc/57/28/56/850572856.db2.gz RYGYRQYKLWHOEZ-DZGCQCFKSA-N 0 1 298.390 0.999 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3n[nH]nc3C)C[C@@H]21 ZINC001042184249 850589872 /nfs/dbraw/zinc/58/98/72/850589872.db2.gz VBJFMQMBCIQCPP-STQMWFEESA-N 0 1 287.367 0.673 20 30 CCEDMN CN1CC(C(=O)N2CC[C@H]3CCN(CC#N)[C@@H]3C2)=NC1=O ZINC001042212618 850597031 /nfs/dbraw/zinc/59/70/31/850597031.db2.gz AFAKKMXXOAFVPU-ZYHUDNBSSA-N 0 1 289.339 0.186 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccnnc3)C[C@H]21 ZINC001042243579 850602122 /nfs/dbraw/zinc/60/21/22/850602122.db2.gz FRKKUUBZURYYHP-TZMCWYRMSA-N 0 1 270.336 0.646 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3nc(C)c[nH]3)C[C@@H]21 ZINC001042262410 850604986 /nfs/dbraw/zinc/60/49/86/850604986.db2.gz KMBMAMSYDSGIAB-STQMWFEESA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cn(CC=C)nn3)C[C@@H]21 ZINC001042262926 850605441 /nfs/dbraw/zinc/60/54/41/850605441.db2.gz QMBLPRRVWKIEID-ZFWWWQNUSA-N 0 1 299.378 0.634 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnon3)C[C@H]21 ZINC001042278410 850607836 /nfs/dbraw/zinc/60/78/36/850607836.db2.gz UNAQDOZEXBIALS-CMPLNLGQSA-N 0 1 260.297 0.239 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cn(CC)nn3)C[C@H]21 ZINC001042291045 850610064 /nfs/dbraw/zinc/61/00/64/850610064.db2.gz AVCDFQZYPBUPDO-GXTWGEPZSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCCN1CC(N(C)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001042725140 850745806 /nfs/dbraw/zinc/74/58/06/850745806.db2.gz MBPPIKAMCWPIFS-AWEZNQCLSA-N 0 1 286.379 0.996 20 30 CCEDMN CN(C(=O)[C@H]1CCCc2[nH]ncc21)C1CN(CC#N)C1 ZINC001042725445 850746471 /nfs/dbraw/zinc/74/64/71/850746471.db2.gz SMEQIBZBZXLJFR-NSHDSACASA-N 0 1 273.340 0.496 20 30 CCEDMN C[C@@H]1C[C@@H](NCc2cnon2)CCN1C(=O)C#CC1CC1 ZINC001044593055 851129125 /nfs/dbraw/zinc/12/91/25/851129125.db2.gz MODWAVZNDVXDOJ-YPMHNXCESA-N 0 1 288.351 0.952 20 30 CCEDMN C[C@@H]1C[C@H](NCC#N)CCN1C(=O)CCc1c[nH]nn1 ZINC001044751585 851152703 /nfs/dbraw/zinc/15/27/03/851152703.db2.gz WFUICMCVZOAEHQ-GHMZBOCLSA-N 0 1 276.344 0.230 20 30 CCEDMN C[C@@H]1C[C@H](NCC#N)CCN1C(=O)CCc1cnn[nH]1 ZINC001044751585 851152707 /nfs/dbraw/zinc/15/27/07/851152707.db2.gz WFUICMCVZOAEHQ-GHMZBOCLSA-N 0 1 276.344 0.230 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H]1CNCc1ccn(C)n1 ZINC001044962089 851194290 /nfs/dbraw/zinc/19/42/90/851194290.db2.gz CZODWIZMXZWGKD-YPMHNXCESA-N 0 1 275.356 0.660 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1C[C@H]1C(=O)N(C)C)C2 ZINC001096018459 851231001 /nfs/dbraw/zinc/23/10/01/851231001.db2.gz QLNRSWRVJIARFU-BJJPWKGXSA-N 0 1 291.395 0.618 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cn(C)nn2)CC1 ZINC001045422794 851254099 /nfs/dbraw/zinc/25/40/99/851254099.db2.gz NZZUOHZKEMDKHT-UHFFFAOYSA-N 0 1 261.329 0.033 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cncn2C)CC1 ZINC001045495019 851267629 /nfs/dbraw/zinc/26/76/29/851267629.db2.gz MMGYKOWOYLGNMM-UHFFFAOYSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccnc(OC)n2)CC1 ZINC001045500783 851269418 /nfs/dbraw/zinc/26/94/18/851269418.db2.gz FVAWMYGLXDBLNR-UHFFFAOYSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCN(C)C2=O)CC1 ZINC001045524220 851271462 /nfs/dbraw/zinc/27/14/62/851271462.db2.gz FJVAMXLBZGWULH-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC001045624475 851290908 /nfs/dbraw/zinc/29/09/08/851290908.db2.gz RPAQFSJUCUEYLI-AWEZNQCLSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@](C)(NC(=O)C3CC3)C2)C1=O ZINC001046092417 851369165 /nfs/dbraw/zinc/36/91/65/851369165.db2.gz IUSMLXOJZSMOGZ-BBRMVZONSA-N 0 1 291.395 0.764 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc(=O)n(C)o2)C1 ZINC001046270238 851447256 /nfs/dbraw/zinc/44/72/56/851447256.db2.gz OMEQCIXMVBFAKI-CQSZACIVSA-N 0 1 277.324 0.196 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001046604059 851562113 /nfs/dbraw/zinc/56/21/13/851562113.db2.gz GOKVVLHURAPONS-SWLSCSKDSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001046779927 851611573 /nfs/dbraw/zinc/61/15/73/851611573.db2.gz XZWWYBPHDHTVIL-GXFFZTMASA-N 0 1 251.330 0.032 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc3nnn(C)c3c2)C1 ZINC001046807902 851617877 /nfs/dbraw/zinc/61/78/77/851617877.db2.gz MRGOOOOLGNHHID-INIZCTEOSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCCN1CC[C@](C)(NC(=O)c2nonc2C)C1 ZINC001046878213 851637850 /nfs/dbraw/zinc/63/78/50/851637850.db2.gz YVWGBRZGEJKCDG-ZDUSSCGKSA-N 0 1 262.313 0.596 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cccc3nnn(C)c32)C1 ZINC001046879112 851638498 /nfs/dbraw/zinc/63/84/98/851638498.db2.gz BXKJHEDLXOAPJG-INIZCTEOSA-N 0 1 297.362 0.796 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)c3cnn[nH]3)C2)nc1 ZINC001047087465 851668367 /nfs/dbraw/zinc/66/83/67/851668367.db2.gz ZYGFHMUBKJPXFI-XYPYZODXSA-N 0 1 297.322 0.692 20 30 CCEDMN CN(C(=O)c1cc(C#N)c[nH]1)[C@H]1CN(CCCF)C[C@@H]1O ZINC001047337935 851724851 /nfs/dbraw/zinc/72/48/51/851724851.db2.gz NZQORDSNWDWPFG-STQMWFEESA-N 0 1 294.330 0.363 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H](C)C2CC2)C1 ZINC001047337066 851725324 /nfs/dbraw/zinc/72/53/24/851725324.db2.gz COJCXUOENHIZIT-XBFCOCLRSA-N 0 1 264.369 0.559 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccncn1)C2 ZINC001096202967 851800651 /nfs/dbraw/zinc/80/06/51/851800651.db2.gz GRWKOWMZKLDYMV-XBFCOCLRSA-N 0 1 270.336 0.835 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CC23CCC3)C1 ZINC001047548840 851812415 /nfs/dbraw/zinc/81/24/15/851812415.db2.gz KYEWGQHERZXKKA-RDBSUJKOSA-N 0 1 276.380 0.703 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)[C@H]1CN(CC)C[C@@H]1O ZINC001047561400 851816901 /nfs/dbraw/zinc/81/69/01/851816901.db2.gz IHHJWPXIFFSMIP-XUXIUFHCSA-N 0 1 282.384 0.491 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@H]2C[N@@H+](CC)C[C@@H]2O)cn1 ZINC001047599054 851829721 /nfs/dbraw/zinc/82/97/21/851829721.db2.gz FIJSYPUATOPWRD-KBPBESRZSA-N 0 1 273.336 0.200 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@H]2CN(CC)C[C@@H]2O)cn1 ZINC001047599054 851829726 /nfs/dbraw/zinc/82/97/26/851829726.db2.gz FIJSYPUATOPWRD-KBPBESRZSA-N 0 1 273.336 0.200 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2[nH]cnc2CC)C1 ZINC001047612739 851834622 /nfs/dbraw/zinc/83/46/22/851834622.db2.gz NXCVRUCOZUSZRF-STQMWFEESA-N 0 1 292.383 0.665 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CN(CC(=O)NC)C[C@H]2C1 ZINC001048974503 852125707 /nfs/dbraw/zinc/12/57/07/852125707.db2.gz AUFXGTUQQJEQIJ-BETUJISGSA-N 0 1 293.411 0.725 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1C[C@H]2CN(CC#N)C[C@H]2C1 ZINC001049107049 852165711 /nfs/dbraw/zinc/16/57/11/852165711.db2.gz SILFORPRSYZYMM-UPJWGTAASA-N 0 1 287.367 0.502 20 30 CCEDMN C#CC[N@H+]1C[C@H]2CN(C(=O)c3cncnc3CC)C[C@H]2C1 ZINC001049218010 852195634 /nfs/dbraw/zinc/19/56/34/852195634.db2.gz FGIKLUPEVADQQP-BETUJISGSA-N 0 1 284.363 0.676 20 30 CCEDMN C=CCN1C[C@@H]2CN(C(=O)[C@@H]3CCCS3(=O)=O)C[C@@H]2C1 ZINC001049224045 852199091 /nfs/dbraw/zinc/19/90/91/852199091.db2.gz ZOSMUFQTXPXVRN-XQQFMLRXSA-N 0 1 298.408 0.140 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccon1 ZINC001049353461 852239684 /nfs/dbraw/zinc/23/96/84/852239684.db2.gz LCHSDWPPGGZNJL-STQMWFEESA-N 0 1 259.309 0.987 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@@H]1CCCO1 ZINC001049431638 852271489 /nfs/dbraw/zinc/27/14/89/852271489.db2.gz QDQZYAGWVNUUTO-RDBSUJKOSA-N 0 1 262.353 0.864 20 30 CCEDMN N#CCN1CC[C@H]2[C@H]1CCCN2C(=O)CCc1cnc[nH]1 ZINC001049516572 852299736 /nfs/dbraw/zinc/29/97/36/852299736.db2.gz DFIOFYZAUATLQC-KGLIPLIRSA-N 0 1 287.367 0.931 20 30 CCEDMN CCOC(=O)C[C@H](O)CNC(=N)c1ccc(Cl)cn1 ZINC001253808232 852300216 /nfs/dbraw/zinc/30/02/16/852300216.db2.gz SXIMRNKKSUUQGS-VIFPVBQESA-N 0 1 285.731 0.754 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cn(C)c(=O)[nH]1 ZINC001049688891 852351781 /nfs/dbraw/zinc/35/17/81/852351781.db2.gz GGURYCIHXAGUCA-OLZOCXBDSA-N 0 1 288.351 0.438 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cccc(=O)n1C ZINC001049707369 852355572 /nfs/dbraw/zinc/35/55/72/852355572.db2.gz CVPAXUJWEWAAQJ-UONOGXRCSA-N 0 1 299.374 0.697 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049787758 852373730 /nfs/dbraw/zinc/37/37/30/852373730.db2.gz KUWXHIOPXHQIII-UONOGXRCSA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCOC1 ZINC001049820842 852381254 /nfs/dbraw/zinc/38/12/54/852381254.db2.gz OLQCLVLGJBLJGV-RDBSUJKOSA-N 0 1 262.353 0.721 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1COCCO1)C2 ZINC001096825434 852454073 /nfs/dbraw/zinc/45/40/73/852454073.db2.gz CNPDIZJQRBGUOK-MQYQWHSLSA-N 0 1 280.368 0.699 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC1OCCCO1)C2 ZINC001097002580 852483865 /nfs/dbraw/zinc/48/38/65/852483865.db2.gz OKBDQNCWTLWOQW-MCIONIFRSA-N 0 1 292.379 0.884 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H](C)Nc2nccnc2C#N)c1C ZINC001097759608 852599793 /nfs/dbraw/zinc/59/97/93/852599793.db2.gz GZRZRGWIBXQTMX-QMMMGPOBSA-N 0 1 299.338 0.919 20 30 CCEDMN Cc1nc(CC(=O)NC[C@H](C)Nc2ccc(C#N)cn2)n[nH]1 ZINC001097806646 852622370 /nfs/dbraw/zinc/62/23/70/852622370.db2.gz QGEKQLWRUCEJKF-VIFPVBQESA-N 0 1 299.338 0.539 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(C)CCNC1=O)C2 ZINC001097837048 852631561 /nfs/dbraw/zinc/63/15/61/852631561.db2.gz FVAPUHBGFRVAOQ-FOCJUVANSA-N 0 1 289.379 0.257 20 30 CCEDMN C=CCCN1CC2(C1)CN(C(=O)Cc1ccn[nH]1)CCO2 ZINC001053200444 852708115 /nfs/dbraw/zinc/70/81/15/852708115.db2.gz FLCAYXRLFKJFPZ-UHFFFAOYSA-N 0 1 290.367 0.442 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@]2(CCN(CC#N)C2)C1 ZINC001054173620 852889853 /nfs/dbraw/zinc/88/98/53/852889853.db2.gz DCEHNCOLIMRUDZ-CQSZACIVSA-N 0 1 288.355 0.565 20 30 CCEDMN C[C@H]1CN(C(=O)C#CC2CC2)C[C@@H]1NCc1nccn1C ZINC001054640506 852986353 /nfs/dbraw/zinc/98/63/53/852986353.db2.gz ZCFXINNECZWGNO-JSGCOSHPSA-N 0 1 286.379 0.770 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cn(C)nn2)C[C@@H]1C ZINC001054638695 852986967 /nfs/dbraw/zinc/98/69/67/852986967.db2.gz XDPZUSZIYKRMEZ-WCBMZHEXSA-N 0 1 283.763 0.618 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)C(C)(C)C(N)=O)C[C@@H]1C ZINC001054766105 853010318 /nfs/dbraw/zinc/01/03/18/853010318.db2.gz SLOLNYYDBSLNJC-WPRPVWTQSA-N 0 1 287.791 0.687 20 30 CCEDMN C[C@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)cn1 ZINC001097995305 853082492 /nfs/dbraw/zinc/08/24/92/853082492.db2.gz NURHMIQHVHUMGR-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C[C@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)cn1 ZINC001097995305 853082496 /nfs/dbraw/zinc/08/24/96/853082496.db2.gz NURHMIQHVHUMGR-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN CCC(=O)NC1CCN(CCNC(=O)C#CC2CC2)CC1 ZINC001055574893 853089539 /nfs/dbraw/zinc/08/95/39/853089539.db2.gz CGROMTDCSVQYSF-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN CCCC(=O)NC1CCN(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001055629556 853090615 /nfs/dbraw/zinc/09/06/15/853090615.db2.gz DCOYAZSNXSXODP-LBPRGKRZSA-N 0 1 294.399 0.643 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cnn(C)c3N)[C@@H]2C1 ZINC001050029928 853296625 /nfs/dbraw/zinc/29/66/25/853296625.db2.gz NCLHSKOHIYOSKF-WCQYABFASA-N 0 1 287.367 0.172 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H]3CC(=O)N(C)C3)[C@@H]2C1 ZINC001050061289 853305090 /nfs/dbraw/zinc/30/50/90/853305090.db2.gz LQUFRGDAGTUHKO-BFHYXJOUSA-N 0 1 289.379 0.021 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cncn3C)[C@@H]2C1 ZINC001050088085 853311859 /nfs/dbraw/zinc/31/18/59/853311859.db2.gz SNWDWJVFWOFPNY-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3n[nH]cc3F)[C@@H]2C1 ZINC001050130368 853320383 /nfs/dbraw/zinc/32/03/83/853320383.db2.gz VSZWEMHBKUDIDO-CMPLNLGQSA-N 0 1 276.315 0.718 20 30 CCEDMN N#Cc1cnccc1NC1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001057277603 853326442 /nfs/dbraw/zinc/32/64/42/853326442.db2.gz AXWYEPFFDRNIJJ-UHFFFAOYSA-N 0 1 296.334 0.815 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccn(C)n3)[C@@H]2C1 ZINC001050202703 853334947 /nfs/dbraw/zinc/33/49/47/853334947.db2.gz QPOACCMBFHNILW-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC2(C1)CCN(CC(=O)NC)CC2 ZINC001050617354 853404446 /nfs/dbraw/zinc/40/44/46/853404446.db2.gz IXKUTIJEKUYBMP-UHFFFAOYSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCN1CCOC[C@@H]1CNC(=O)c1[nH]ncc1F ZINC001051098798 853523702 /nfs/dbraw/zinc/52/37/02/853523702.db2.gz PRYPZQQKFOIROE-VIFPVBQESA-N 0 1 268.292 0.165 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCO[C@H](CNCc2ccns2)C1 ZINC001051493856 853596468 /nfs/dbraw/zinc/59/64/68/853596468.db2.gz AZAOYHAAKSXGAY-WDEREUQCSA-N 0 1 294.380 0.620 20 30 CCEDMN C=C(C)CN1CCN([C@H]2CCN(C(C)=O)C2)CC1 ZINC001051959125 853663921 /nfs/dbraw/zinc/66/39/21/853663921.db2.gz FEXLSZJAAITHLH-AWEZNQCLSA-N 0 1 251.374 0.801 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)[C@H](C)OC)C2)CC1 ZINC001051992499 853671381 /nfs/dbraw/zinc/67/13/81/853671381.db2.gz YTFZWNJVUWSKLL-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN N#Cc1cnccc1N1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001058268704 853808184 /nfs/dbraw/zinc/80/81/84/853808184.db2.gz TVLMNDDDBVWCHA-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cnccc1N1CC[C@@H](NC(=O)CN2CCCC2)C1 ZINC001058372227 853833603 /nfs/dbraw/zinc/83/36/03/853833603.db2.gz VACMKYAAHCIHFK-CQSZACIVSA-N 0 1 299.378 0.744 20 30 CCEDMN C[C@H](CNC(=O)Cc1nnc[nH]1)Nc1ccc(C#N)cn1 ZINC001098056603 853879092 /nfs/dbraw/zinc/87/90/92/853879092.db2.gz SYROKJWXVABQNA-SECBINFHSA-N 0 1 285.311 0.231 20 30 CCEDMN N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)cn1 ZINC001068510818 853903582 /nfs/dbraw/zinc/90/35/82/853903582.db2.gz CJQGBSLJWIBTHG-OTCDBFHCSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)cn1 ZINC001068510818 853903586 /nfs/dbraw/zinc/90/35/86/853903586.db2.gz CJQGBSLJWIBTHG-OTCDBFHCSA-N 0 1 297.322 0.692 20 30 CCEDMN C[C@@H]1[C@H](Nc2nccnc2C#N)CCN1C(=O)c1ccn[nH]1 ZINC001068750721 853923263 /nfs/dbraw/zinc/92/32/63/853923263.db2.gz WANNLAVOEYYAEH-NXEZZACHSA-N 0 1 297.322 0.786 20 30 CCEDMN COC(=O)n1ncc(C#N)c1N[C@H]1CCCN(C)C1 ZINC001255471960 854022229 /nfs/dbraw/zinc/02/22/29/854022229.db2.gz KVBPVXZIRVBAIK-JTQLQIEISA-N 0 1 263.301 0.875 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C(C)=C\C)C[C@@H]1n1ccnn1 ZINC001070169720 854032454 /nfs/dbraw/zinc/03/24/54/854032454.db2.gz GPJGKEOWGSQGOM-JUPNIWIKSA-N 0 1 273.340 0.219 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001070460365 854061691 /nfs/dbraw/zinc/06/16/91/854061691.db2.gz JQRINHQRRGPGTG-LLVKDONJSA-N 0 1 292.339 0.333 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2CCC2)C[C@H]1c1cn(C)cn1 ZINC001070456250 854061761 /nfs/dbraw/zinc/06/17/61/854061761.db2.gz HJBPMCSCTVZBKK-DZGCQCFKSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H]2C[C@@H]2C)C[C@H]1c1cn(C)cn1 ZINC001070523905 854071299 /nfs/dbraw/zinc/07/12/99/854071299.db2.gz MCUHXHNMSFSRAT-PWNZVWSESA-N 0 1 286.379 0.593 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@@H](NC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001070554968 854075222 /nfs/dbraw/zinc/07/52/22/854075222.db2.gz HTYGHPHTEXXABH-GXSJLCMTSA-N 0 1 289.339 0.599 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)c1cnon1)C[C@H](C)O2 ZINC001071221487 854139132 /nfs/dbraw/zinc/13/91/32/854139132.db2.gz QNOIOBXTOJGYGI-FZMZJTMJSA-N 0 1 292.339 0.561 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cnn(C)c2N)CC[C@@H]1C ZINC001071447253 854201012 /nfs/dbraw/zinc/20/10/12/854201012.db2.gz KLCFACYNWRDOME-RYUDHWBXSA-N 0 1 289.383 0.608 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2CN(C(C)=O)C2)CC[C@H]1C ZINC001071459426 854205463 /nfs/dbraw/zinc/20/54/63/854205463.db2.gz DAYWQIQGLIRNHL-RISCZKNCSA-N 0 1 277.368 0.067 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnnn2C)CC[C@@H]1C ZINC001071481729 854215580 /nfs/dbraw/zinc/21/55/80/854215580.db2.gz NNLSYKSKZIBJNN-RYUDHWBXSA-N 0 1 277.372 0.974 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cnnn2C)CC[C@@H]1C ZINC001071481750 854215874 /nfs/dbraw/zinc/21/58/74/854215874.db2.gz NQDQGRRUJHKERD-WDEREUQCSA-N 0 1 263.345 0.584 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001071777243 854287051 /nfs/dbraw/zinc/28/70/51/854287051.db2.gz FGIIASDWBZUDCD-GXSJLCMTSA-N 0 1 277.328 0.348 20 30 CCEDMN C[C@@H]1CC[C@@H](NC(=O)c2[nH]ncc2F)CN1CC#N ZINC001071799666 854294914 /nfs/dbraw/zinc/29/49/14/854294914.db2.gz LLHLDCBZOLJLDU-RKDXNWHRSA-N 0 1 265.292 0.655 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2ccc(C)n2)CC[C@H]1C ZINC001071831354 854302126 /nfs/dbraw/zinc/30/21/26/854302126.db2.gz FEWABJWLIYMQHM-ZIAGYGMSSA-N 0 1 274.368 0.794 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H](NC(=O)c2ncn[nH]2)[C@@H](C)C1 ZINC001072039217 854340506 /nfs/dbraw/zinc/34/05/06/854340506.db2.gz OUDJSAVNCMDTIV-QWRGUYRKSA-N 0 1 289.339 0.041 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H](NC(=O)c2nc[nH]n2)[C@@H](C)C1 ZINC001072039217 854340511 /nfs/dbraw/zinc/34/05/11/854340511.db2.gz OUDJSAVNCMDTIV-QWRGUYRKSA-N 0 1 289.339 0.041 20 30 CCEDMN C[C@@H]1CC[C@H](NC(=O)CN2CCCC2)CN1CC#N ZINC001072082348 854345944 /nfs/dbraw/zinc/34/59/44/854345944.db2.gz YOXPUMAJHVDNTL-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001072226323 854359766 /nfs/dbraw/zinc/35/97/66/854359766.db2.gz NHUFFGLBCBSTQA-PWSUYJOCSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](CC)OC)C2)C1 ZINC001072533432 854409622 /nfs/dbraw/zinc/40/96/22/854409622.db2.gz OAJHITQLAUBLHG-GFCCVEGCSA-N 0 1 250.342 0.579 20 30 CCEDMN CC#CC[N@H+]1CCC2(CN(C(=O)CCn3ccnn3)C2)C1 ZINC001072667062 854440428 /nfs/dbraw/zinc/44/04/28/854440428.db2.gz VBHPOPYVKOSSTG-UHFFFAOYSA-N 0 1 287.367 0.226 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cnn(C)c3C)C2)C1 ZINC001072803502 854465589 /nfs/dbraw/zinc/46/55/89/854465589.db2.gz VPHMDVPHNHQGBB-UHFFFAOYSA-N 0 1 286.379 0.439 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnc4n3CCC4)C2)C1 ZINC001072821560 854472407 /nfs/dbraw/zinc/47/24/07/854472407.db2.gz XFEJAGFIQDXQFP-UHFFFAOYSA-N 0 1 284.363 0.610 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C2CCOCC2)C1 ZINC001073511037 854573438 /nfs/dbraw/zinc/57/34/38/854573438.db2.gz RGRMUUVMKKEFQX-AWEZNQCLSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccc(=O)[nH]n2)C1 ZINC001073526810 854577883 /nfs/dbraw/zinc/57/78/83/854577883.db2.gz LURICHZKLFNQRX-NSHDSACASA-N 0 1 292.339 0.189 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnsn2)C1 ZINC001073539679 854583699 /nfs/dbraw/zinc/58/36/99/854583699.db2.gz QHPGQSYPDZVERP-JTQLQIEISA-N 0 1 282.369 0.545 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H]2CCCOC2)C1 ZINC001073548433 854587864 /nfs/dbraw/zinc/58/78/64/854587864.db2.gz LASGXPFMEQIJAR-KGLIPLIRSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnc(C)[nH]2)C1 ZINC001073552048 854590876 /nfs/dbraw/zinc/59/08/76/854590876.db2.gz GXQSECJUIJMAQP-GFCCVEGCSA-N 0 1 278.356 0.725 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H]2CN(CC#N)CCCO2)c1C ZINC001073558711 854595711 /nfs/dbraw/zinc/59/57/11/854595711.db2.gz PZWIAXCWQJNWMX-LBPRGKRZSA-N 0 1 291.355 0.371 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C2=CCOCC2)C1 ZINC001073580837 854601316 /nfs/dbraw/zinc/60/13/16/854601316.db2.gz MDEHZZXMTBPZNU-HNNXBMFYSA-N 0 1 292.379 0.564 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2cc[nH]c2)C1 ZINC001073618012 854615810 /nfs/dbraw/zinc/61/58/10/854615810.db2.gz RJFVKSYXEKPQQX-CQSZACIVSA-N 0 1 275.352 0.859 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2c[nH]nc2C)C1 ZINC001073719548 854635042 /nfs/dbraw/zinc/63/50/42/854635042.db2.gz KGXLUSQWDIADMB-GFCCVEGCSA-N 0 1 278.356 0.725 20 30 CCEDMN C=CCCN1CCO[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001074181688 854690150 /nfs/dbraw/zinc/69/01/50/854690150.db2.gz YKMGVBHNCOZAEI-ZIAGYGMSSA-N 0 1 290.367 0.901 20 30 CCEDMN C=C(C)CCN1CCO[C@H]2CCN(C(=O)CC(N)=O)C[C@@H]21 ZINC001074200366 854695608 /nfs/dbraw/zinc/69/56/08/854695608.db2.gz RUCZNFBLBDYAPK-STQMWFEESA-N 0 1 295.383 0.130 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@H]2CCNC2=O)[C@H]1C ZINC001074682604 854765674 /nfs/dbraw/zinc/76/56/74/854765674.db2.gz AITOFCRKDHYYRZ-TUAOUCFPSA-N 0 1 299.802 0.844 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccn(C)n1)C2 ZINC001098400491 854862771 /nfs/dbraw/zinc/86/27/71/854862771.db2.gz DDBWAMZJAJJBEX-RTXFEEFZSA-N 0 1 260.341 0.941 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2nccnc2N)C1 ZINC001098762365 854883755 /nfs/dbraw/zinc/88/37/55/854883755.db2.gz DSQZCMBNYWVMCS-LRDDRELGSA-N 0 1 299.378 0.666 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)Cn2ccc(C)n2)C1 ZINC001099035761 854907727 /nfs/dbraw/zinc/90/77/27/854907727.db2.gz CJQJMYPDZFCPPZ-HOCLYGCPSA-N 0 1 286.379 0.795 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@]23CCC[C@H]2CN(CC#N)C3)C1 ZINC001099029682 854908529 /nfs/dbraw/zinc/90/85/29/854908529.db2.gz IKKZDGDORBKGFX-YCPHGPKFSA-N 0 1 290.411 0.822 20 30 CCEDMN C[C@H](CCNC(=O)Cc1nnc[nH]1)Nc1ccc(C#N)nc1 ZINC001099482962 854933950 /nfs/dbraw/zinc/93/39/50/854933950.db2.gz DYWSGAUKNKAPKA-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCC)[C@@H](O)C1 ZINC001099687406 854968150 /nfs/dbraw/zinc/96/81/50/854968150.db2.gz VEPMRZRPGMSSDE-OLZOCXBDSA-N 0 1 252.358 0.751 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCCCOC)[C@H](O)C1 ZINC001099735525 854981551 /nfs/dbraw/zinc/98/15/51/854981551.db2.gz HJSDNKYLMNTJBC-ZIAGYGMSSA-N 0 1 282.384 0.378 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C/C2CC2)[C@H](O)C1 ZINC001099769215 854989950 /nfs/dbraw/zinc/98/99/50/854989950.db2.gz OWDQCRFMXWPRSI-HYLRALAJSA-N 0 1 262.353 0.527 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CCN(CC=C)C[C@H]1O ZINC001099787649 854996866 /nfs/dbraw/zinc/99/68/66/854996866.db2.gz YQWQBNWJTKKFJK-ZIAGYGMSSA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)/C=C/c2ccc[nH]2)[C@@H](O)C1 ZINC001099799178 854996919 /nfs/dbraw/zinc/99/69/19/854996919.db2.gz JGHQIXRUZDMAGT-BEVHLOIGSA-N 0 1 275.352 0.765 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C(/C)CC)[C@@H](O)C1 ZINC001099817731 855000854 /nfs/dbraw/zinc/00/08/54/855000854.db2.gz DPKSOZWCBCPHMU-ZFRJQFIBSA-N 0 1 264.369 0.917 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@H]1CCN(CCO)C[C@@H]1O ZINC001099849906 855010480 /nfs/dbraw/zinc/01/04/80/855010480.db2.gz KPOBVBNFHSJATC-QWRGUYRKSA-N 0 1 290.791 0.309 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)C(F)F)[C@H](O)C1 ZINC001100000931 855066054 /nfs/dbraw/zinc/06/60/54/855066054.db2.gz MVMISDCHDWLADW-GHMZBOCLSA-N 0 1 288.338 0.852 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)C(F)F)[C@@H](O)C1 ZINC001100000932 855067436 /nfs/dbraw/zinc/06/74/36/855067436.db2.gz MVMISDCHDWLADW-MNOVXSKESA-N 0 1 288.338 0.852 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cncs2)[C@@H](O)C1 ZINC001100013694 855069939 /nfs/dbraw/zinc/06/99/39/855069939.db2.gz QXKAIQODBWPNOS-OLZOCXBDSA-N 0 1 295.408 0.813 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(CF)CCC2)[C@@H](O)C1 ZINC001100015241 855072671 /nfs/dbraw/zinc/07/26/71/855072671.db2.gz HSLWLRNDFFCJMJ-OLZOCXBDSA-N 0 1 282.359 0.701 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cn[nH]c2)[C@@H](O)C1 ZINC001100031641 855077286 /nfs/dbraw/zinc/07/72/86/855077286.db2.gz IDHHFXMXFBFAET-OLZOCXBDSA-N 0 1 278.356 0.080 20 30 CCEDMN CN(CCNC(=O)Cc1cnc[nH]1)c1ncccc1C#N ZINC001100354267 855154565 /nfs/dbraw/zinc/15/45/65/855154565.db2.gz SSUDJGNJGVTRDO-UHFFFAOYSA-N 0 1 284.323 0.471 20 30 CCEDMN CN(CCNC(=O)c1cnn[nH]1)c1cccc(F)c1C#N ZINC001100400459 855160932 /nfs/dbraw/zinc/16/09/32/855160932.db2.gz FAYNFDKIWULHAF-UHFFFAOYSA-N 0 1 288.286 0.682 20 30 CCEDMN CC1(C)CCN(CC(=O)NCC2CC(NCC#N)C2)C1 ZINC001100680824 855208304 /nfs/dbraw/zinc/20/83/04/855208304.db2.gz HCXCVOKKFPUTFY-UHFFFAOYSA-N 0 1 278.400 0.726 20 30 CCEDMN CCCN(CCNC(=O)c1ncn[nH]1)c1ccc(C#N)cn1 ZINC001101169890 855271066 /nfs/dbraw/zinc/27/10/66/855271066.db2.gz CKMMBEAYCSQKOU-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN CCCN(CCNC(=O)c1nc[nH]n1)c1ccc(C#N)cn1 ZINC001101169890 855271070 /nfs/dbraw/zinc/27/10/70/855271070.db2.gz CKMMBEAYCSQKOU-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN N#Cc1ccc(N(CCNC(=O)c2ncn[nH]2)C2CC2)cn1 ZINC001101372007 855286162 /nfs/dbraw/zinc/28/61/62/855286162.db2.gz JLKLJHOIFWOWSW-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1ccc(N(CCNC(=O)c2nc[nH]n2)C2CC2)cn1 ZINC001101372007 855286173 /nfs/dbraw/zinc/28/61/73/855286173.db2.gz JLKLJHOIFWOWSW-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCNc2nc(C)cc(C#N)n2)[nH]1 ZINC001101532124 855309176 /nfs/dbraw/zinc/30/91/76/855309176.db2.gz MOCLVLRILIGRCH-UHFFFAOYSA-N 0 1 299.338 0.872 20 30 CCEDMN CCCC(=O)NCC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001102636928 855455206 /nfs/dbraw/zinc/45/52/06/855455206.db2.gz DMZFQRKPHQLXGT-CHWSQXEVSA-N 0 1 294.399 0.500 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@@H](C)[C@H](CCNCC#N)C2)[nH]1 ZINC001103996385 855559817 /nfs/dbraw/zinc/55/98/17/855559817.db2.gz IIIAYYIUSVMXLX-ZYHUDNBSSA-N 0 1 275.356 0.930 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3cnn[nH]3)CCC2)nc1 ZINC001111926625 855606149 /nfs/dbraw/zinc/60/61/49/855606149.db2.gz ZQHLIIYGHCDUFQ-UHFFFAOYSA-N 0 1 297.322 0.836 20 30 CCEDMN C[C@@H](CCCNc1ccncc1C#N)NC(=O)c1ncn[nH]1 ZINC001114981626 855632446 /nfs/dbraw/zinc/63/24/46/855632446.db2.gz XTTJICRPSKWPGC-JTQLQIEISA-N 0 1 299.338 0.504 20 30 CCEDMN C[C@@H](CCCNc1ccncc1C#N)NC(=O)c1nc[nH]n1 ZINC001114981626 855632448 /nfs/dbraw/zinc/63/24/48/855632448.db2.gz XTTJICRPSKWPGC-JTQLQIEISA-N 0 1 299.338 0.504 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ncccn1 ZINC001115005261 855634423 /nfs/dbraw/zinc/63/44/23/855634423.db2.gz ILVUQMZCAZDPEU-JYAVWHMHSA-N 0 1 270.336 0.089 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCc1ccccc1 ZINC001115229974 855653816 /nfs/dbraw/zinc/65/38/16/855653816.db2.gz RXORCTGYKSNUJE-QLPKVWCKSA-N 0 1 284.359 0.883 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCOC2CCOCC2)CC1 ZINC001116456669 855770750 /nfs/dbraw/zinc/77/07/50/855770750.db2.gz QFFJULJUPPQXSJ-UHFFFAOYSA-N 0 1 295.383 0.676 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C[C@@H]2CCCOC2)CC1 ZINC001116881746 855857270 /nfs/dbraw/zinc/85/72/70/855857270.db2.gz ZTWSKYAIBQFSLL-LBPRGKRZSA-N 0 1 265.357 0.907 20 30 CCEDMN C=C[C@H](COC)NC(=O)NC[C@H]1CCCC[N@H+]1CCO ZINC001117863240 856093900 /nfs/dbraw/zinc/09/39/00/856093900.db2.gz KVMHFDXVLRWIEX-CHWSQXEVSA-N 0 1 285.388 0.333 20 30 CCEDMN CN(C[C@H]1CCC[N@H+]1C)C(=O)C(=O)N1CC[C@](F)(C#N)C1 ZINC001118063863 856151101 /nfs/dbraw/zinc/15/11/01/856151101.db2.gz RTCHVPMPQNLFJR-RISCZKNCSA-N 0 1 296.346 0.003 20 30 CCEDMN CCC[C@H](NC(=O)[C@@H]([NH3+])CCCC#N)c1nn[n-]n1 ZINC001118123510 856168895 /nfs/dbraw/zinc/16/88/95/856168895.db2.gz OYDLWVPCWQDNJJ-IUCAKERBSA-N 0 1 265.321 0.178 20 30 CCEDMN C=CC[C@H](NC(=O)[C@H]1CCCN1C)C(=O)OCC ZINC001119529658 856720652 /nfs/dbraw/zinc/72/06/52/856720652.db2.gz XWKNOLHZHPWQAC-WDEREUQCSA-N 0 1 254.330 0.705 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)[C@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC001119646005 856806670 /nfs/dbraw/zinc/80/66/70/856806670.db2.gz XNRNQDJDKQWYSS-IUCAKERBSA-N 0 1 260.721 0.650 20 30 CCEDMN CC(C)c1nc(CNC2(CNC(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001392889281 912238003 /nfs/dbraw/zinc/23/80/03/912238003.db2.gz JZUJJNYIACUJJS-SNVBAGLBSA-N 0 1 290.371 0.826 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)Cc1ncccc1F ZINC001323288323 912246033 /nfs/dbraw/zinc/24/60/33/912246033.db2.gz ZPGCKKKQRXRWFN-GFCCVEGCSA-N 0 1 275.327 0.977 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cc3n(n2)CCO3)CC1 ZINC001392910406 912259090 /nfs/dbraw/zinc/25/90/90/912259090.db2.gz CEQBWUZOYVFNKL-UHFFFAOYSA-N 0 1 296.758 0.880 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H](CC(C)C)NC(C)=O ZINC001323339165 912281425 /nfs/dbraw/zinc/28/14/25/912281425.db2.gz DXXRBPOOPIEKAP-GJZGRUSLSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H](NC(N)=O)C(C)(C)C ZINC001323337507 912283879 /nfs/dbraw/zinc/28/38/79/912283879.db2.gz WXRJNNAKUNWYBH-NEPJUHHUSA-N 0 1 294.399 0.283 20 30 CCEDMN C[C@H]1C[C@H](C(=O)NC2(C#N)CCN(C)CC2)CCO1 ZINC001323477606 912364464 /nfs/dbraw/zinc/36/44/64/912364464.db2.gz WVEICORVUQJFGD-NWDGAFQWSA-N 0 1 265.357 0.906 20 30 CCEDMN C=C1CCC(C(=O)NCC2(N[C@H](C)C(N)=O)CC2)CC1 ZINC001323529607 912393989 /nfs/dbraw/zinc/39/39/89/912393989.db2.gz BYBTZWXPPSFBQJ-LLVKDONJSA-N 0 1 279.384 0.845 20 30 CCEDMN C=C1CCC(C(=O)NCC2(N[C@@H](C)C(N)=O)CC2)CC1 ZINC001323529608 912395728 /nfs/dbraw/zinc/39/57/28/912395728.db2.gz BYBTZWXPPSFBQJ-NSHDSACASA-N 0 1 279.384 0.845 20 30 CCEDMN C=CCO[C@H]1CCN(CC(=O)NCCCOC)C1 ZINC001323729663 912497677 /nfs/dbraw/zinc/49/76/77/912497677.db2.gz QDYBEGVYFWPLPH-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CN(C)C(C)=O)C[C@@H]1C ZINC001393731841 912726668 /nfs/dbraw/zinc/72/66/68/912726668.db2.gz WZBVKNREJGPOAT-CABZTGNLSA-N 0 1 287.791 0.654 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC(C)(NC(=O)C#CC2CC2)CC1 ZINC001324309687 912772188 /nfs/dbraw/zinc/77/21/88/912772188.db2.gz PFYFZONRVKVGJF-CYBMUJFWSA-N 0 1 291.395 0.634 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1cc(=O)n(C)cn1 ZINC001393943700 912869170 /nfs/dbraw/zinc/86/91/70/912869170.db2.gz WDPJSERIVPLELG-ZJUUUORDSA-N 0 1 298.774 0.629 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(=O)n(C)cn1 ZINC001393943699 912869901 /nfs/dbraw/zinc/86/99/01/912869901.db2.gz WDPJSERIVPLELG-VHSXEESVSA-N 0 1 298.774 0.629 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C#CC(C)C)C1 ZINC001325082678 913198785 /nfs/dbraw/zinc/19/87/85/913198785.db2.gz PBXSNLCPVLFTFF-OAHLLOKOSA-N 0 1 262.353 0.222 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C(C)(C)COC)C1 ZINC001325109454 913219566 /nfs/dbraw/zinc/21/95/66/913219566.db2.gz DCWLHEDUBFNQAU-HNNXBMFYSA-N 0 1 282.384 0.235 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H](OC)C2CCCC2)C1 ZINC001325153447 913244956 /nfs/dbraw/zinc/24/49/56/913244956.db2.gz JUYRCDWLVHQWCX-ZBFHGGJFSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC001325152867 913247707 /nfs/dbraw/zinc/24/77/07/913247707.db2.gz DMMYZMFMQSXQLS-IIAWOOMASA-N 0 1 278.396 0.999 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(C2CCN([C@@H](C)C(N)=O)CC2)CC1 ZINC001394863018 913468295 /nfs/dbraw/zinc/46/82/95/913468295.db2.gz KCSQDSKDKKOZCR-MNOVXSKESA-N 0 1 292.383 0.381 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)NCc2cn[nH]c2C)CC1 ZINC001325653187 913509978 /nfs/dbraw/zinc/50/99/78/913509978.db2.gz WFXVBLGFVLCINA-UHFFFAOYSA-N 0 1 276.340 0.759 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C[C@@H](C)SC ZINC001480856870 891392797 /nfs/dbraw/zinc/39/27/97/891392797.db2.gz JLEJHWLBHXENGH-GFCCVEGCSA-N 0 1 272.414 0.826 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H]1CCCOCC1 ZINC001480859398 891400773 /nfs/dbraw/zinc/40/07/73/891400773.db2.gz HPGVIVIJEOSFQE-CQSZACIVSA-N 0 1 282.384 0.501 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001282724335 891477656 /nfs/dbraw/zinc/47/76/56/891477656.db2.gz GBRMDRRYXKFFGD-STQMWFEESA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCC[N@@H+](C)CCNC(=O)[C@@H]1CCCCS1(=O)=O ZINC001480872549 891482701 /nfs/dbraw/zinc/48/27/01/891482701.db2.gz BZOIGMGEDARIAJ-LBPRGKRZSA-N 0 1 288.413 0.578 20 30 CCEDMN C=CCCN(C)CCNC(=O)[C@@H]1CCCCS1(=O)=O ZINC001480872549 891482708 /nfs/dbraw/zinc/48/27/08/891482708.db2.gz BZOIGMGEDARIAJ-LBPRGKRZSA-N 0 1 288.413 0.578 20 30 CCEDMN C=CC1CCN(C(=O)[C@@H]2CN3CCN2C[C@@H]3C)CC1 ZINC001346426606 891490758 /nfs/dbraw/zinc/49/07/58/891490758.db2.gz NFTJWUMZPKFTCR-JSGCOSHPSA-N 0 1 263.385 0.799 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@](CO)(NC(=O)C2CC(OC)C2)C1 ZINC001325852625 913606351 /nfs/dbraw/zinc/60/63/51/913606351.db2.gz QLTARKIAPMEZTH-SSDMNJCBSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)C2CC(OC)C2)C1 ZINC001325852625 913606367 /nfs/dbraw/zinc/60/63/67/913606367.db2.gz QLTARKIAPMEZTH-SSDMNJCBSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@]1(C)CCC(=O)N1)c1ccccc1 ZINC001267369615 891891791 /nfs/dbraw/zinc/89/17/91/891891791.db2.gz FERXUUXMZTYULV-PBHICJAKSA-N 0 1 299.374 0.735 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cccc(-n2ccnn2)c1 ZINC001480955591 891953693 /nfs/dbraw/zinc/95/36/93/891953693.db2.gz OQAOEPVYUXSYEY-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CCN(CCNC(=O)[C@@H](C)C#N)Cc1n[nH]c(C)n1 ZINC001480968438 891995436 /nfs/dbraw/zinc/99/54/36/891995436.db2.gz YBYIUUCIASNVLV-VIFPVBQESA-N 0 1 264.333 0.211 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CO[C@@H](C)C1 ZINC001480984206 892013907 /nfs/dbraw/zinc/01/39/07/892013907.db2.gz DHWROJFXKVEDJE-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H]1CCO[C@H](C)C1 ZINC001481007478 892046192 /nfs/dbraw/zinc/04/61/92/892046192.db2.gz CURVZYIHUPWAEK-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN(CCNC(=O)c1cc(C)n[nH]1)C1CC1 ZINC001481161234 892256599 /nfs/dbraw/zinc/25/65/99/892256599.db2.gz DLVCDMNTPHFNDQ-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NCCN(CC#N)C1CC1 ZINC001481189589 892282548 /nfs/dbraw/zinc/28/25/48/892282548.db2.gz RGKSDLPQDITBNQ-NSHDSACASA-N 0 1 275.356 0.692 20 30 CCEDMN C=C(Br)CNCCN(C)C(=O)c1cn[nH]c1 ZINC001481209510 892338382 /nfs/dbraw/zinc/33/83/82/892338382.db2.gz FEYWWAPUALQPGH-UHFFFAOYSA-N 0 1 287.161 0.980 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@@H](C)O ZINC001481380383 892606193 /nfs/dbraw/zinc/60/61/93/892606193.db2.gz VOMJHFRIFVLQOO-SYQHCUMBSA-N 0 1 280.368 0.081 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H]2CCNC2=O)C1 ZINC001481404798 892617115 /nfs/dbraw/zinc/61/71/15/892617115.db2.gz SQRFECFOAYTEHG-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)COCC(F)F)C1 ZINC001481735362 893106227 /nfs/dbraw/zinc/10/62/27/893106227.db2.gz LNURSVDRSULDBX-SNVBAGLBSA-N 0 1 262.300 0.987 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1COCCO1 ZINC001481789413 893158526 /nfs/dbraw/zinc/15/85/26/893158526.db2.gz BALWACSRFAJFRA-GHMZBOCLSA-N 0 1 276.764 0.639 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H](C)C#N)NCc1cc2n(n1)CCC2 ZINC001481798332 893160333 /nfs/dbraw/zinc/16/03/33/893160333.db2.gz NQOLABKHUOJWRR-RYUDHWBXSA-N 0 1 289.383 0.973 20 30 CCEDMN C[C@H](CNCC#N)CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001482135202 893421400 /nfs/dbraw/zinc/42/14/00/893421400.db2.gz FWYIISSRCRICCH-NXEZZACHSA-N 0 1 276.344 0.090 20 30 CCEDMN CCCCCCCN1CCO[C@@H](CNC(=O)C(N)=O)C1 ZINC001482199806 893522217 /nfs/dbraw/zinc/52/22/17/893522217.db2.gz SJERYXXGQDZFRS-LBPRGKRZSA-N 0 1 285.388 0.259 20 30 CCEDMN C=CCN1CCO[C@H](CNC(=O)[C@@H](C)Cc2cnc[nH]2)C1 ZINC001482200402 893525175 /nfs/dbraw/zinc/52/51/75/893525175.db2.gz LJRSNZOPXVTWFC-GXTWGEPZSA-N 0 1 292.383 0.591 20 30 CCEDMN C[C@@H](CNCc1ccccc1C#N)N(C)C(=O)CC(N)=O ZINC001482266262 893710431 /nfs/dbraw/zinc/71/04/31/893710431.db2.gz PMBNQAFAFSIBFG-NSHDSACASA-N 0 1 288.351 0.370 20 30 CCEDMN COc1nccc(CNC[C@H](C)N(C)C(=O)[C@@H](C)C#N)n1 ZINC001482267057 893712232 /nfs/dbraw/zinc/71/22/32/893712232.db2.gz QMQWNWLWOOEHNR-QWRGUYRKSA-N 0 1 291.355 0.581 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cc(CN(C)C)on1 ZINC001482608682 894399040 /nfs/dbraw/zinc/39/90/40/894399040.db2.gz VLHDPKUAHKKLAI-UHFFFAOYSA-N 0 1 292.383 0.763 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)COCc1nnc(C)s1 ZINC001482611585 894406735 /nfs/dbraw/zinc/40/67/35/894406735.db2.gz VSNFTTZCEBFUMR-UHFFFAOYSA-N 0 1 296.396 0.387 20 30 CCEDMN CC#CC[N@@H+](C)CCN(C)C(=O)[C@H](C)[C@@H]1CCC(=O)N1 ZINC001482629944 894429449 /nfs/dbraw/zinc/42/94/49/894429449.db2.gz WKKLOSSYWDMJKO-OLZOCXBDSA-N 0 1 279.384 0.315 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H](C)[C@@H]1CCC(=O)N1 ZINC001482629944 894429456 /nfs/dbraw/zinc/42/94/56/894429456.db2.gz WKKLOSSYWDMJKO-OLZOCXBDSA-N 0 1 279.384 0.315 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ocnc1C ZINC001482717413 894515794 /nfs/dbraw/zinc/51/57/94/894515794.db2.gz BWXVHWQMVNDXLS-VIFPVBQESA-N 0 1 273.720 0.416 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCNCc1ncccn1 ZINC001482818486 894635564 /nfs/dbraw/zinc/63/55/64/894635564.db2.gz PIQYECTUGPBKRV-QWRGUYRKSA-N 0 1 261.329 0.621 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCNCc1ncccn1 ZINC001482818484 894636672 /nfs/dbraw/zinc/63/66/72/894636672.db2.gz PIQYECTUGPBKRV-GHMZBOCLSA-N 0 1 261.329 0.621 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)NC(N)=O ZINC001483001317 894790252 /nfs/dbraw/zinc/79/02/52/894790252.db2.gz BRIRFJDSEVERON-NWALNABHSA-N 0 1 274.752 0.058 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)[C@H](C)C(C)C ZINC001483068194 894873033 /nfs/dbraw/zinc/87/30/33/894873033.db2.gz DWPNWDYKTCGSBL-FMFIFOJESA-N 0 1 279.384 0.290 20 30 CCEDMN C#CC1CCN(C(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)CC1 ZINC001326397752 913932926 /nfs/dbraw/zinc/93/29/26/913932926.db2.gz PIFFRKALFFQPCN-CYBMUJFWSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CN(C)CC#CCOC ZINC001483330541 895465138 /nfs/dbraw/zinc/46/51/38/895465138.db2.gz FKPYWHSBZBCCGT-AWEZNQCLSA-N 0 1 264.369 0.876 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)CN(C)CCN1CCNC1=O ZINC001483342305 895483494 /nfs/dbraw/zinc/48/34/94/895483494.db2.gz KNBMEQUSKVCVHC-CYBMUJFWSA-N 0 1 296.415 0.804 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)[C@@]1(F)CCOC1 ZINC001483375768 895519296 /nfs/dbraw/zinc/51/92/96/895519296.db2.gz AGEWUMKJLCMLRK-GXTWGEPZSA-N 0 1 286.347 0.201 20 30 CCEDMN N#CCC[C@H](C#N)CN[C@H](CO)[C@@H]1CCCOC1 ZINC001326519501 913999891 /nfs/dbraw/zinc/99/98/91/913999891.db2.gz PXJASKLJEJNLQL-JHJVBQTASA-N 0 1 251.330 0.807 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)NC[C@@H]1CCN1CC#N ZINC001483653049 895800765 /nfs/dbraw/zinc/80/07/65/895800765.db2.gz LIUZUBASACXVPY-RYUDHWBXSA-N 0 1 270.352 0.380 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)c2occc2C)CC1 ZINC001483714728 895901728 /nfs/dbraw/zinc/90/17/28/895901728.db2.gz DSFIBLOIUMMZIQ-UHFFFAOYSA-N 0 1 289.335 0.189 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)/C(C)=C/CC)CC1 ZINC001483719301 895911544 /nfs/dbraw/zinc/91/15/44/895911544.db2.gz MZLLHAOMCFHKTL-WHGQRRHOSA-N 0 1 291.395 0.719 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C2=CCCC2)CC1 ZINC001483725079 895919812 /nfs/dbraw/zinc/91/98/12/895919812.db2.gz BYAUMMMJTHYGHM-UHFFFAOYSA-N 0 1 277.368 0.637 20 30 CCEDMN C=CCOCC(=O)N[C@]1(C)CCN([C@@H](CC)C(N)=O)C1 ZINC001484038915 896163571 /nfs/dbraw/zinc/16/35/71/896163571.db2.gz XZAOTCCYVQJWFZ-SMDDNHRTSA-N 0 1 283.372 0.034 20 30 CCEDMN CCCCCC(=O)NC[C@]1(O)CC[N@@H+](CC#CCOC)C1 ZINC001484204530 896231985 /nfs/dbraw/zinc/23/19/85/896231985.db2.gz YRPVPTRBDQOKPS-MRXNPFEDSA-N 0 1 296.411 0.770 20 30 CCEDMN CCCCCC(=O)NC[C@]1(O)CCN(CC#CCOC)C1 ZINC001484204530 896231995 /nfs/dbraw/zinc/23/19/95/896231995.db2.gz YRPVPTRBDQOKPS-MRXNPFEDSA-N 0 1 296.411 0.770 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C[C@H]2C=CCC2)C1 ZINC001484201203 896244639 /nfs/dbraw/zinc/24/46/39/896244639.db2.gz HMUFXAUTERGUAQ-HOCLYGCPSA-N 0 1 276.380 0.919 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2c[nH]c3ncccc23)C1 ZINC001484252214 896288537 /nfs/dbraw/zinc/28/85/37/896288537.db2.gz UBGYXYSMYSVJAS-MRXNPFEDSA-N 0 1 298.346 0.363 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@H](CNCc2ncnn2C)C1 ZINC001484363589 896360472 /nfs/dbraw/zinc/36/04/72/896360472.db2.gz LAUFBXABZSTSRE-QWHCGFSZSA-N 0 1 291.399 0.965 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CN([C@H](C)C(N)=O)C[C@H]2C1 ZINC001484405564 896373457 /nfs/dbraw/zinc/37/34/57/896373457.db2.gz VQIZWZMFHJIYSW-UTUOFQBUSA-N 0 1 265.357 0.217 20 30 CCEDMN CC(C)OCCN1CCC[C@](CO)(NC(=O)[C@H](C)C#N)C1 ZINC001484429794 896401251 /nfs/dbraw/zinc/40/12/51/896401251.db2.gz FLUZOVVWABWWMG-HIFRSBDPSA-N 0 1 297.399 0.514 20 30 CCEDMN N#CCNC1(CNC(=O)[C@@H]2CCCc3[nH]ncc32)CCC1 ZINC001484634309 896509359 /nfs/dbraw/zinc/50/93/59/896509359.db2.gz KJNMSFBUOGBYCO-LLVKDONJSA-N 0 1 287.367 0.982 20 30 CCEDMN C#CCNC(=O)C[N@H+](C)CCCN(C)C(=O)[C@@H](C)SC ZINC001484733792 896576381 /nfs/dbraw/zinc/57/63/81/896576381.db2.gz PMRUTCPIGRFBFB-GFCCVEGCSA-N 0 1 299.440 0.268 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)[C@@H](C)SC ZINC001484733792 896576387 /nfs/dbraw/zinc/57/63/87/896576387.db2.gz PMRUTCPIGRFBFB-GFCCVEGCSA-N 0 1 299.440 0.268 20 30 CCEDMN CCCNC(=O)[C@@H](C)N(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001484735826 896578967 /nfs/dbraw/zinc/57/89/67/896578967.db2.gz DHFXJRNOWTWBLH-QWHCGFSZSA-N 0 1 296.415 0.841 20 30 CCEDMN C=CCOCC(=O)N1C[C@H](NCc2ccn(C)n2)[C@@H](C)C1 ZINC001484882549 896652642 /nfs/dbraw/zinc/65/26/42/896652642.db2.gz NUXKMFDRKAOCJJ-JSGCOSHPSA-N 0 1 292.383 0.559 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H]2CC2(F)F)CC1 ZINC001485017220 896719519 /nfs/dbraw/zinc/71/95/19/896719519.db2.gz CTUQYKCHDSMXAD-SNVBAGLBSA-N 0 1 274.311 0.771 20 30 CCEDMN C=CCn1cc(CNC(=O)N[C@H](C)c2n[nH]c(C)n2)nn1 ZINC001326679475 914094826 /nfs/dbraw/zinc/09/48/26/914094826.db2.gz LAMRSEFJBAEXRQ-MRVPVSSYSA-N 0 1 290.331 0.451 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CCCC(=O)N1 ZINC001485063863 896752052 /nfs/dbraw/zinc/75/20/52/896752052.db2.gz UNIICQGZGNAHPU-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)CCc1cncn1C ZINC001485074896 896756563 /nfs/dbraw/zinc/75/65/63/896756563.db2.gz INJKFLLAKDFRDO-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN C[C@@H](NC(=O)c1[nH]nc2c1CCC2)[C@H](C)NCC#N ZINC001485169975 896822597 /nfs/dbraw/zinc/82/25/97/896822597.db2.gz QXBNOQQTMOKQRC-DTWKUNHWSA-N 0 1 261.329 0.518 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)C(=O)NCC1CC1 ZINC001485208588 896866965 /nfs/dbraw/zinc/86/69/65/896866965.db2.gz GSXDSUKVVRPUBY-UWVGGRQHSA-N 0 1 287.791 0.748 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)[N@@H+](C)[C@H]1CCN(C)C1=O ZINC001485296519 896925960 /nfs/dbraw/zinc/92/59/60/896925960.db2.gz BXMLTOVSJXFUEV-OLZOCXBDSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)N(C)[C@H]1CCN(C)C1=O ZINC001485296519 896925972 /nfs/dbraw/zinc/92/59/72/896925972.db2.gz BXMLTOVSJXFUEV-OLZOCXBDSA-N 0 1 279.384 0.457 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)CC2OCCCO2)C1 ZINC001485506518 897096071 /nfs/dbraw/zinc/09/60/71/897096071.db2.gz WKFINMVUBLMWTR-LLVKDONJSA-N 0 1 268.357 0.762 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)Cc2[nH]cnc2C)C1 ZINC001485533808 897112049 /nfs/dbraw/zinc/11/20/49/897112049.db2.gz TWFZRPLUKOZDLB-JTQLQIEISA-N 0 1 262.357 0.883 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)COCC2CC2)C(C)(C)C1 ZINC001485562083 897123437 /nfs/dbraw/zinc/12/34/37/897123437.db2.gz VGMRVXVHHLKJGI-CYBMUJFWSA-N 0 1 264.369 0.873 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001326754702 914138286 /nfs/dbraw/zinc/13/82/86/914138286.db2.gz HSRXHJOBNJBWFQ-JOYOIKCWSA-N 0 1 250.302 0.633 20 30 CCEDMN C#CCNC(=O)C[N@H+](C)C1CCN(C(=O)[C@@H](F)CC)CC1 ZINC001485761574 897230206 /nfs/dbraw/zinc/23/02/06/897230206.db2.gz URKHQLOAODTAET-ZDUSSCGKSA-N 0 1 297.374 0.407 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1ncc[nH]1 ZINC001032379039 897369188 /nfs/dbraw/zinc/36/91/88/897369188.db2.gz FXALSAARTQVNBN-STQMWFEESA-N 0 1 272.352 0.651 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@]1(C)CN(CCC=C)CCO1 ZINC001107939376 897527857 /nfs/dbraw/zinc/52/78/57/897527857.db2.gz AJVVHSZMYLCMSA-GOEBONIOSA-N 0 1 294.395 0.808 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CNc2ncnc3[nH]cnc32)C1 ZINC001060824676 897534636 /nfs/dbraw/zinc/53/46/36/897534636.db2.gz HOXLEFAYXYMJFK-UWVGGRQHSA-N 0 1 299.338 0.725 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)Cn1cc(C2CC2)nn1 ZINC001032443405 897572790 /nfs/dbraw/zinc/57/27/90/897572790.db2.gz VCUKDLBCCXWIIZ-KBPBESRZSA-N 0 1 299.378 0.464 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cn1cc(C2CC2)nn1 ZINC001032443405 897572804 /nfs/dbraw/zinc/57/28/04/897572804.db2.gz VCUKDLBCCXWIIZ-KBPBESRZSA-N 0 1 299.378 0.464 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCNC1=O ZINC001032442229 897574156 /nfs/dbraw/zinc/57/41/56/897574156.db2.gz OOVOINNSBRLWEE-RDBSUJKOSA-N 0 1 291.395 0.764 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(F)CCOC1 ZINC001032589076 897784225 /nfs/dbraw/zinc/78/42/25/897784225.db2.gz WMHOONQQXJJOFD-SGMGOOAPSA-N 0 1 268.332 0.976 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(F)CCOC1 ZINC001032589076 897784234 /nfs/dbraw/zinc/78/42/34/897784234.db2.gz WMHOONQQXJJOFD-SGMGOOAPSA-N 0 1 268.332 0.976 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCc2nn[nH]c21 ZINC001032592528 897791163 /nfs/dbraw/zinc/79/11/63/897791163.db2.gz OTNTUGOMJUITEN-AVGNSLFASA-N 0 1 299.378 0.533 20 30 CCEDMN C=CC[N@@H+](CC(=O)[O-])C[C@H](O)COC[C@H]1CCCO1 ZINC001326818355 914201818 /nfs/dbraw/zinc/20/18/18/914201818.db2.gz KNQMCRZLFZICJO-NWDGAFQWSA-N 0 1 273.329 0.116 20 30 CCEDMN CCC(=O)N1CC[C@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC001116331675 897893723 /nfs/dbraw/zinc/89/37/23/897893723.db2.gz UFCWFHJUKRBZOS-LBPRGKRZSA-N 0 1 292.383 0.349 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cnccn1 ZINC001032715884 897961052 /nfs/dbraw/zinc/96/10/52/897961052.db2.gz IPCFGMZBQWTPTJ-GJZGRUSLSA-N 0 1 284.363 0.718 20 30 CCEDMN CN(CC(=O)NC[C@@]1(C)CN(CC#N)CCO1)C1CCC1 ZINC001107997960 898036032 /nfs/dbraw/zinc/03/60/32/898036032.db2.gz GCEUEQIDPJCHGA-HNNXBMFYSA-N 0 1 294.399 0.201 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3C[C@@H](C)OC)cn1 ZINC001032739387 898051684 /nfs/dbraw/zinc/05/16/84/898051684.db2.gz BHCXAMZFQSWMHV-KCXAZCMYSA-N 0 1 299.374 0.997 20 30 CCEDMN COC(CN1CCC[C@@]12CCN(CCCC#N)C2=O)OC ZINC001272860401 898089012 /nfs/dbraw/zinc/08/90/12/898089012.db2.gz CIIXKVWMAUIING-HNNXBMFYSA-N 0 1 295.383 0.976 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C(N)=O)CC2(CCOCC2)C1 ZINC001089955798 898108438 /nfs/dbraw/zinc/10/84/38/898108438.db2.gz GAJLRENEZWRKID-LBPRGKRZSA-N 0 1 295.383 0.035 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cn(C)nc2Cl)C1 ZINC001077988532 898157312 /nfs/dbraw/zinc/15/73/12/898157312.db2.gz YCTCEVAXIGMYRY-GHMZBOCLSA-N 0 1 298.774 0.425 20 30 CCEDMN C#CCN1CCO[C@@](C)(CNC(=O)[C@H]2CCCCN2C)C1 ZINC001108030819 898246174 /nfs/dbraw/zinc/24/61/74/898246174.db2.gz POCUVWBXWTVBBO-ZBFHGGJFSA-N 0 1 293.411 0.311 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@@H]2CCCOC2)C1 ZINC001078191398 898286444 /nfs/dbraw/zinc/28/64/44/898286444.db2.gz SZYKSYFORJIALE-BFHYXJOUSA-N 0 1 282.384 0.541 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C2CCOCC2)C1 ZINC001078290863 898336627 /nfs/dbraw/zinc/33/66/27/898336627.db2.gz WRYPFYIZWPHCTB-NWANDNLSSA-N 0 1 296.411 0.787 20 30 CCEDMN Cc1ncccc1CN[C@H](CO)CNC(=O)[C@@H](C)C#N ZINC001485981651 898567168 /nfs/dbraw/zinc/56/71/68/898567168.db2.gz FCRBNMQMBFNLQN-GWCFXTLKSA-N 0 1 276.340 0.116 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1C[C@H]1c1sccc1C ZINC001485996630 898584424 /nfs/dbraw/zinc/58/44/24/898584424.db2.gz HWPWZGAMMLUVIG-JHJVBQTASA-N 0 1 292.404 0.860 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@@H](CO)NCc1n[nH]c(C)n1 ZINC001485999756 898586270 /nfs/dbraw/zinc/58/62/70/898586270.db2.gz DVBRBOSYAJCLNX-NSHDSACASA-N 0 1 295.387 0.282 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@](C)(F)CCCC ZINC001486010676 898605551 /nfs/dbraw/zinc/60/55/51/898605551.db2.gz LYZZBNKYYIOOBR-YPMHNXCESA-N 0 1 258.337 0.605 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CC[C@@H](C)CC ZINC001486046705 898610758 /nfs/dbraw/zinc/61/07/58/898610758.db2.gz WOFZESGQQJFXHN-QWHCGFSZSA-N 0 1 254.374 0.903 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H]1COc2ccccc21 ZINC001486065059 898633164 /nfs/dbraw/zinc/63/31/64/898633164.db2.gz FAKIJDJTEHFIHA-OCCSQVGLSA-N 0 1 288.347 0.253 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc2c(s1)CCC2 ZINC001486058132 898645123 /nfs/dbraw/zinc/64/51/23/898645123.db2.gz CEIJKVVVTXNJCV-GFCCVEGCSA-N 0 1 292.404 0.940 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)Cc1ccc(C)o1 ZINC001486330194 898793138 /nfs/dbraw/zinc/79/31/38/898793138.db2.gz KBWYSAVEIHXTPY-AWEZNQCLSA-N 0 1 292.379 0.905 20 30 CCEDMN CC#CCN(C)CCN(C(=O)[C@@H]1CCC(=O)N1)C(C)C ZINC001486379976 898845096 /nfs/dbraw/zinc/84/50/96/898845096.db2.gz VCPNPNMRJLTYKG-ZDUSSCGKSA-N 0 1 279.384 0.457 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H](C)N(C)C(=O)[C@H](C)C#N)c1C ZINC001486829098 899005643 /nfs/dbraw/zinc/00/56/43/899005643.db2.gz WUDOTFZFDKVARJ-BDAKNGLRSA-N 0 1 291.355 0.763 20 30 CCEDMN COc1cc(CNC[C@@H](C)N(C)C(=O)[C@@H](C)C#N)on1 ZINC001438642190 899706610 /nfs/dbraw/zinc/70/66/10/899706610.db2.gz ZJBLETRFKDKUHG-VHSXEESVSA-N 0 1 280.328 0.779 20 30 CCEDMN C=CCCCC(=O)N(C)C[C@H](C)NC(=O)c1ncn[nH]1 ZINC001487886005 900143493 /nfs/dbraw/zinc/14/34/93/900143493.db2.gz PFLFQWBWWOWZNZ-JTQLQIEISA-N 0 1 279.344 0.738 20 30 CCEDMN C=CCCCC(=O)N(C)C[C@H](C)NC(=O)c1nc[nH]n1 ZINC001487886005 900143497 /nfs/dbraw/zinc/14/34/97/900143497.db2.gz PFLFQWBWWOWZNZ-JTQLQIEISA-N 0 1 279.344 0.738 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)COC[C@H]1CCCO1 ZINC001488701268 900364651 /nfs/dbraw/zinc/36/46/51/900364651.db2.gz AQUISPKRVUVDMH-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]1CN(C)C(=O)Cc1c[nH]cn1 ZINC001489791168 900522490 /nfs/dbraw/zinc/52/24/90/900522490.db2.gz CPJFZYQYJFKEII-CYBMUJFWSA-N 0 1 290.367 0.978 20 30 CCEDMN CCN(C)C(=O)CN(C)CCCNC(=O)C#CC1CC1 ZINC001490193064 900565080 /nfs/dbraw/zinc/56/50/80/900565080.db2.gz LFHJNKZOWVKWBZ-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C(C)=C1CCC1 ZINC001490193042 900565221 /nfs/dbraw/zinc/56/52/21/900565221.db2.gz KIJCMEDODBIYMO-UHFFFAOYSA-N 0 1 291.395 0.674 20 30 CCEDMN C#CCN(CC)CCCNC(=O)c1cc(=O)c(OC)co1 ZINC001490338329 900610652 /nfs/dbraw/zinc/61/06/52/900610652.db2.gz WVMZGFWPWYVANU-UHFFFAOYSA-N 0 1 292.335 0.723 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN1CCN([C@H](C)COC)CC1 ZINC001490498713 900639067 /nfs/dbraw/zinc/63/90/67/900639067.db2.gz FFSMNKXJEADAMO-CQSZACIVSA-N 0 1 297.443 0.967 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@H]2C[C@@H](C)CO2)CC1 ZINC001490499037 900641103 /nfs/dbraw/zinc/64/11/03/900641103.db2.gz BMHUUZPPLRTFKH-HUUCEWRRSA-N 0 1 295.427 0.721 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@@H]2C[C@@H](C)CO2)CC1 ZINC001490499035 900641485 /nfs/dbraw/zinc/64/14/85/900641485.db2.gz BMHUUZPPLRTFKH-CABCVRRESA-N 0 1 295.427 0.721 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H](C)NC(N)=O ZINC001490793347 900726133 /nfs/dbraw/zinc/72/61/33/900726133.db2.gz UIEZZMDCYRIWOO-UPJWGTAASA-N 0 1 292.383 0.132 20 30 CCEDMN C=CCCOCC(=O)N1CCC[C@H](CN(C)CC(N)=O)C1 ZINC001490784455 900726694 /nfs/dbraw/zinc/72/66/94/900726694.db2.gz SWYXJZSUSHYMCP-CYBMUJFWSA-N 0 1 297.399 0.235 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1ncc[nH]1 ZINC001275108236 900993855 /nfs/dbraw/zinc/99/38/55/900993855.db2.gz JMAHSEJOTOYDSJ-LBPRGKRZSA-N 0 1 260.341 0.969 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1ncc[nH]1 ZINC001275108234 900994416 /nfs/dbraw/zinc/99/44/16/900994416.db2.gz JMAHSEJOTOYDSJ-GFCCVEGCSA-N 0 1 260.341 0.969 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)C(=O)N1CCC(CC#N)CC1 ZINC001327356780 914498749 /nfs/dbraw/zinc/49/87/49/914498749.db2.gz QMFOAMFWUMIOQN-UHFFFAOYSA-N 0 1 289.339 0.520 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2n[nH]c(CO)n2)c(F)c1 ZINC001412376260 901671447 /nfs/dbraw/zinc/67/14/47/901671447.db2.gz VEFKDVVJCKSDAV-UHFFFAOYSA-N 0 1 275.243 0.238 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2nnc(CO)[nH]2)c(F)c1 ZINC001412376260 901671452 /nfs/dbraw/zinc/67/14/52/901671452.db2.gz VEFKDVVJCKSDAV-UHFFFAOYSA-N 0 1 275.243 0.238 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@@H](C)NC(=O)[C@@H](C)C#N)[nH]1 ZINC001412451636 901735673 /nfs/dbraw/zinc/73/56/73/901735673.db2.gz AFVVFPZPEPIZAQ-DTWKUNHWSA-N 0 1 277.328 0.455 20 30 CCEDMN C#CCCN1Cc2ccccc2C[C@@H]1CNC(=O)CC(N)=O ZINC001327508840 914577238 /nfs/dbraw/zinc/57/72/38/914577238.db2.gz SYOAZZYTZWBSLV-OAHLLOKOSA-N 0 1 299.374 0.428 20 30 CCEDMN CN(CC(=O)NCc1ccnc(C#N)c1)[C@@H]1CCC[C@H]1O ZINC001412913224 902354277 /nfs/dbraw/zinc/35/42/77/902354277.db2.gz AHHNUYBRDQPQLE-ZIAGYGMSSA-N 0 1 288.351 0.415 20 30 CCEDMN Cc1cccc(C#N)c1S(=O)(=O)N(C)Cc1nnc[nH]1 ZINC001413363782 902904048 /nfs/dbraw/zinc/90/40/48/902904048.db2.gz WUTBVHBZCGIMBJ-UHFFFAOYSA-N 0 1 291.336 0.806 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)C1(C#N)CCC1 ZINC001413669662 903157976 /nfs/dbraw/zinc/15/79/76/903157976.db2.gz CTZLQBOETGWGQZ-SNVBAGLBSA-N 0 1 276.296 0.304 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCCN([C@H]2CCNC2=O)C1 ZINC001491103385 903362910 /nfs/dbraw/zinc/36/29/10/903362910.db2.gz SYTDMQBCYDGTCB-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)Cc1cc[nH]n1 ZINC001491199558 903438551 /nfs/dbraw/zinc/43/85/51/903438551.db2.gz SNVVGSDULYGVFD-AWEZNQCLSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H](C)Cc2cnc[nH]2)[C@H](OC)C1 ZINC001213559889 903838953 /nfs/dbraw/zinc/83/89/53/903838953.db2.gz ZKLWOHTXZAQKDA-MRVWCRGKSA-N 0 1 290.367 0.037 20 30 CCEDMN N#CCc1cccc(NC(=O)C[C@H]2COCCN2)n1 ZINC001330968029 903939190 /nfs/dbraw/zinc/93/91/90/903939190.db2.gz BFMMBZBOBZEDTD-NSHDSACASA-N 0 1 260.297 0.465 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC001299565834 904053579 /nfs/dbraw/zinc/05/35/79/904053579.db2.gz WNXVHEOLIOCZFO-OLZOCXBDSA-N 0 1 298.383 0.409 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@](C)(NC(=O)c2cnn[nH]2)C1 ZINC001299559805 904054207 /nfs/dbraw/zinc/05/42/07/904054207.db2.gz RBPBIZZGPBHPHT-AWEZNQCLSA-N 0 1 291.355 0.882 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](NC(=O)CCCF)CC1 ZINC001280755363 904146945 /nfs/dbraw/zinc/14/69/45/904146945.db2.gz DIPXQYLZHMKAMV-ZDUSSCGKSA-N 0 1 297.374 0.456 20 30 CCEDMN CCCNC(=O)CN(C)CCCN(C)C(=O)C#CC1CC1 ZINC001280813911 904159793 /nfs/dbraw/zinc/15/97/93/904159793.db2.gz ITCUEWSDMVZVDF-UHFFFAOYSA-N 0 1 293.411 0.706 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CN(C)C(=O)Cc1ccn[nH]1 ZINC001280988688 904194305 /nfs/dbraw/zinc/19/43/05/904194305.db2.gz DQDVIYOOSSUZPN-LBPRGKRZSA-N 0 1 290.367 0.575 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H](C)NC(=O)[C@H]1CCCN1C ZINC001280996752 904196730 /nfs/dbraw/zinc/19/67/30/904196730.db2.gz UWLTYQOWRMWQQY-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)COCCOC)C(C)(C)C1 ZINC001281541121 904303641 /nfs/dbraw/zinc/30/36/41/904303641.db2.gz IUNNUZXKHLHJPL-ZDUSSCGKSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)CC(C)(C)C)CC1 ZINC001281798560 904350603 /nfs/dbraw/zinc/35/06/03/904350603.db2.gz GATVPWQEDCRDID-UHFFFAOYSA-N 0 1 266.385 0.999 20 30 CCEDMN C=CC[NH2+][C@H](C)[C@@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001282213180 904434693 /nfs/dbraw/zinc/43/46/93/904434693.db2.gz CKKCPEIAGAMJHB-HTQZYQBOSA-N 0 1 296.327 0.179 20 30 CCEDMN C[C@H](CNC(=O)[C@@H]1CCCCN1C)N(C)CC#N ZINC001282452819 904483436 /nfs/dbraw/zinc/48/34/36/904483436.db2.gz XSIPZBUROHPLMX-NEPJUHHUSA-N 0 1 252.362 0.431 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001282724315 904530330 /nfs/dbraw/zinc/53/03/30/904530330.db2.gz GBRMDRRYXKFFGD-CHWSQXEVSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCN2CCOCC2)C1 ZINC001282738491 904533825 /nfs/dbraw/zinc/53/38/25/904533825.db2.gz GRUSEMNWMLTRES-AWEZNQCLSA-N 0 1 293.411 0.169 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)CCN2CCCC2=O)C1 ZINC001282743913 904536133 /nfs/dbraw/zinc/53/61/33/904536133.db2.gz GDJWLKVNQFLFFX-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](NC(=O)CCc2cn[nH]n2)C(C)(C)C1 ZINC001282820721 904547811 /nfs/dbraw/zinc/54/78/11/904547811.db2.gz MCKGDHZFTQRBSU-CYBMUJFWSA-N 0 1 289.383 0.587 20 30 CCEDMN CC#CC[N@@H+]1C[C@@H](NC(=O)CCc2c[nH]nn2)C(C)(C)C1 ZINC001282820721 904547816 /nfs/dbraw/zinc/54/78/16/904547816.db2.gz MCKGDHZFTQRBSU-CYBMUJFWSA-N 0 1 289.383 0.587 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H](C)NC(C)=O)C2)C1 ZINC001282953167 904631635 /nfs/dbraw/zinc/63/16/35/904631635.db2.gz GNTIADGDFIXSPN-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCCNC(=O)c1cnn[nH]1 ZINC001283294992 904794410 /nfs/dbraw/zinc/79/44/10/904794410.db2.gz JUSGOPDMITYWBZ-NXEZZACHSA-N 0 1 279.344 0.499 20 30 CCEDMN O=C(C#CC1CC1)NC1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001283412608 904838482 /nfs/dbraw/zinc/83/84/82/904838482.db2.gz BLDQCFBATDCXCZ-UHFFFAOYSA-N 0 1 286.335 0.544 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)Cc1c[nH]cn1 ZINC001283407212 904840984 /nfs/dbraw/zinc/84/09/84/904840984.db2.gz JAJQRTUQRALCFH-UHFFFAOYSA-N 0 1 278.356 0.040 20 30 CCEDMN C#CCCCC(=O)NC[C@H](CO)NCc1ncccc1C ZINC001283746738 904991377 /nfs/dbraw/zinc/99/13/77/904991377.db2.gz ZIPYXWRBDTUATK-CQSZACIVSA-N 0 1 289.379 0.760 20 30 CCEDMN CC(C)(C)C#CC(=O)NC[C@H](CO)NCc1cncs1 ZINC001283755779 904993050 /nfs/dbraw/zinc/99/30/50/904993050.db2.gz JWVBMFAYLOQDLT-LLVKDONJSA-N 0 1 295.408 0.759 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc2c([nH]1)CCC2 ZINC001283815771 905032560 /nfs/dbraw/zinc/03/25/60/905032560.db2.gz FRVYKEBMHYPBBG-GFCCVEGCSA-N 0 1 275.352 0.207 20 30 CCEDMN C=CCN(C(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1)C1CC1 ZINC001327932439 914870849 /nfs/dbraw/zinc/87/08/49/914870849.db2.gz LFJLAQJLNMCVOU-GHMZBOCLSA-N 0 1 269.320 0.061 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001284426822 905288452 /nfs/dbraw/zinc/28/84/52/905288452.db2.gz UJYCKZMDDDTDIT-SNVBAGLBSA-N 0 1 277.328 0.089 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001284426822 905288470 /nfs/dbraw/zinc/28/84/70/905288470.db2.gz UJYCKZMDDDTDIT-SNVBAGLBSA-N 0 1 277.328 0.089 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CSCCC ZINC001284481679 905315956 /nfs/dbraw/zinc/31/59/56/905315956.db2.gz HASLVPBKOSZHEP-LBPRGKRZSA-N 0 1 272.414 0.514 20 30 CCEDMN C#CC[N@H+](C)C[C@@H](O)CN(C)C(=O)C(C)(C)CC ZINC001284508230 905320111 /nfs/dbraw/zinc/32/01/11/905320111.db2.gz CIEPCJOMJPRIJB-GFCCVEGCSA-N 0 1 254.374 0.807 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C(C)(C)CC ZINC001284508230 905320132 /nfs/dbraw/zinc/32/01/32/905320132.db2.gz CIEPCJOMJPRIJB-GFCCVEGCSA-N 0 1 254.374 0.807 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H](C)C#N)NCC(=O)Nc1ccon1 ZINC001378239448 905527457 /nfs/dbraw/zinc/52/74/57/905527457.db2.gz BYABWZHUWKSPLT-VHSXEESVSA-N 0 1 293.327 0.257 20 30 CCEDMN C=CCOCC(=O)N[C@H](CC)CNC(=O)c1[nH]ncc1F ZINC001285093508 905546151 /nfs/dbraw/zinc/54/61/51/905546151.db2.gz FMPMNPTUXOMJPN-SECBINFHSA-N 0 1 298.318 0.376 20 30 CCEDMN C=C(C)CCC(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC001337029508 921160286 /nfs/dbraw/zinc/16/02/86/921160286.db2.gz HGMNRLUPOOOWJI-UHFFFAOYSA-N 0 1 267.373 0.575 20 30 CCEDMN Cc1oncc1CN1C[C@@H]2[C@@H](CNC(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001378685392 905759944 /nfs/dbraw/zinc/75/99/44/905759944.db2.gz JQEOBBOJFNTPLB-JXJLXUTGSA-N 0 1 288.351 0.937 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)[C@@H]1C ZINC001287883549 905985487 /nfs/dbraw/zinc/98/54/87/905985487.db2.gz XGGPUCIZWOOPER-QWRGUYRKSA-N 0 1 291.355 0.880 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CC[C@H](CNC(=O)c2ncn[nH]2)C1 ZINC001288029770 906001853 /nfs/dbraw/zinc/00/18/53/906001853.db2.gz QDWVNUJWPQAWGB-WDEREUQCSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CC[C@H](CNC(=O)c2nc[nH]n2)C1 ZINC001288029770 906001871 /nfs/dbraw/zinc/00/18/71/906001871.db2.gz QDWVNUJWPQAWGB-WDEREUQCSA-N 0 1 291.355 0.786 20 30 CCEDMN N#Cc1ccc(CN[C@H](CO)CNC(=O)C2CCC2)cc1 ZINC001379336894 906157949 /nfs/dbraw/zinc/15/79/49/906157949.db2.gz UUYKVIJDLNVHOU-HNNXBMFYSA-N 0 1 287.363 0.925 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)CCc1nn[n-]n1 ZINC001294324249 906580226 /nfs/dbraw/zinc/58/02/26/906580226.db2.gz DTYOACFXYZFELA-UHFFFAOYSA-N 0 1 256.269 0.951 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)CCc1nn[nH]n1 ZINC001294324249 906580235 /nfs/dbraw/zinc/58/02/35/906580235.db2.gz DTYOACFXYZFELA-UHFFFAOYSA-N 0 1 256.269 0.951 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cccc(=O)n2C)CC1 ZINC001380142435 906653774 /nfs/dbraw/zinc/65/37/74/906653774.db2.gz GNFFVESPFCURFN-UHFFFAOYSA-N 0 1 295.770 0.990 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H]([C@H](C)O)C2)CC1 ZINC001337466848 921255584 /nfs/dbraw/zinc/25/55/84/921255584.db2.gz MTZOSPUMULJCKE-JSGCOSHPSA-N 0 1 264.369 0.561 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2ccc(S(C)(=O)=O)cc2N)=NO1 ZINC001295950623 906829592 /nfs/dbraw/zinc/82/95/92/906829592.db2.gz KMKRTVKHSALBBW-SSDOTTSWSA-N 0 1 297.336 0.524 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)C(=O)Nc2cccc(C#N)c2)CCN1C ZINC001337587200 921273540 /nfs/dbraw/zinc/27/35/40/921273540.db2.gz PDPHGRMBWZRXJD-ZWNOBZJWSA-N 0 1 286.335 0.706 20 30 CCEDMN CN(CCN(C)C(=O)Cc1ccn[nH]1)C(=O)C#CC1CC1 ZINC001298054986 907150284 /nfs/dbraw/zinc/15/02/84/907150284.db2.gz GIUSQLNGAAKBSL-UHFFFAOYSA-N 0 1 288.351 0.282 20 30 CCEDMN Cc1c(C(=O)OCC(=O)NCCC#N)ccc2cncn21 ZINC001337872976 921300394 /nfs/dbraw/zinc/30/03/94/921300394.db2.gz CDASSQQWIMYEMD-UHFFFAOYSA-N 0 1 286.291 0.829 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001298747106 907317280 /nfs/dbraw/zinc/31/72/80/907317280.db2.gz ASMSLLUVDLQZQP-UWVGGRQHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001298747106 907317289 /nfs/dbraw/zinc/31/72/89/907317289.db2.gz ASMSLLUVDLQZQP-UWVGGRQHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]1CNC(=O)Cc1cnc[nH]1 ZINC001299106656 907389597 /nfs/dbraw/zinc/38/95/97/907389597.db2.gz LZIQZPWHYQLYDH-GFCCVEGCSA-N 0 1 276.340 0.636 20 30 CCEDMN C=CCN1CC[C@@H](N(C)c2nccnc2CN)C1=O ZINC001337974663 921328531 /nfs/dbraw/zinc/32/85/31/921328531.db2.gz SXEQVOHUDWMUPI-LLVKDONJSA-N 0 1 261.329 0.158 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)CCOCCOC)C1 ZINC001491967124 907651349 /nfs/dbraw/zinc/65/13/49/907651349.db2.gz MMHUCCXEOWBRHH-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCCCC(=O)NCC[C@@H](C)NCc1nncn1C ZINC001492012864 907675569 /nfs/dbraw/zinc/67/55/69/907675569.db2.gz FCSIISKFAJCROT-GFCCVEGCSA-N 0 1 277.372 0.603 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC[C@H](C)NCc1ncccn1 ZINC001492022750 907693461 /nfs/dbraw/zinc/69/34/61/907693461.db2.gz YHANZFOGISWTPU-STQMWFEESA-N 0 1 290.367 0.499 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](OC)[C@@H]1CCOC1 ZINC001492359185 907872617 /nfs/dbraw/zinc/87/26/17/907872617.db2.gz IKYRSFDYSYRUOF-CHWSQXEVSA-N 0 1 268.357 0.109 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H](O)c2cnc[nH]2)cn1 ZINC001303583585 908095980 /nfs/dbraw/zinc/09/59/80/908095980.db2.gz IUVQLIUFMDRURZ-LLVKDONJSA-N 0 1 257.253 0.140 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC[C@H](CNCC#N)C1 ZINC001317330710 908133828 /nfs/dbraw/zinc/13/38/28/908133828.db2.gz NCYDYZLAUSGYHD-OLZOCXBDSA-N 0 1 264.373 0.432 20 30 CCEDMN C=CCC(F)(F)C(=O)N[C@H](Cc1cnc[nH]1)C(=O)OC ZINC001304090092 908142070 /nfs/dbraw/zinc/14/20/70/908142070.db2.gz XVUXCYSXQYVDOX-SECBINFHSA-N 0 1 287.266 0.821 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(=O)[C@H]2C[C@@H]2C)C1=O ZINC001316841252 908186506 /nfs/dbraw/zinc/18/65/06/908186506.db2.gz LEMNAWRPXJYUQV-MELADBBJSA-N 0 1 293.411 0.867 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H](C)NCc1ncccn1 ZINC001317386045 908192379 /nfs/dbraw/zinc/19/23/79/908192379.db2.gz DSNDCJYQANAFEO-MNOVXSKESA-N 0 1 261.329 0.621 20 30 CCEDMN C#CCNC(=O)C[N@@H+](C)CCCNC(=O)[C@H]1CC[C@@H](F)C1 ZINC001316845668 908205858 /nfs/dbraw/zinc/20/58/58/908205858.db2.gz SDOIQKVRFRKCAU-QWHCGFSZSA-N 0 1 297.374 0.312 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H]1CC[C@@H](F)C1 ZINC001316845668 908205873 /nfs/dbraw/zinc/20/58/73/908205873.db2.gz SDOIQKVRFRKCAU-QWHCGFSZSA-N 0 1 297.374 0.312 20 30 CCEDMN C[C@@H]1C[C@H](CO)CN(CC(=O)NC2(C#N)CCC2)C1 ZINC001307856939 908360753 /nfs/dbraw/zinc/36/07/53/908360753.db2.gz LWZHKXCWNNMRAH-NEPJUHHUSA-N 0 1 265.357 0.499 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1cc(C)no1 ZINC001317473582 908378909 /nfs/dbraw/zinc/37/89/09/908378909.db2.gz UEXQCWGZHQUTLX-UHFFFAOYSA-N 0 1 279.340 0.685 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(Cc2ccnn2C)CC1 ZINC001308161792 908391825 /nfs/dbraw/zinc/39/18/25/908391825.db2.gz UEWPMDSQXWLJEE-HNNXBMFYSA-N 0 1 278.400 0.865 20 30 CCEDMN Cn1cncc1CNCCNC(=O)C1N=CC=CC1=O ZINC001308262754 908403899 /nfs/dbraw/zinc/40/38/99/908403899.db2.gz SXHZLIOIKSLGQY-SEYXRHQNSA-N 0 1 275.312 0.036 20 30 CCEDMN C#CCN(CC)CCNC(=O)C[C@H]1COC(=O)C1 ZINC001317475308 908442060 /nfs/dbraw/zinc/44/20/60/908442060.db2.gz MHOTXOHSJUQHMW-LLVKDONJSA-N 0 1 252.314 0.011 20 30 CCEDMN N#Cc1cccnc1-n1cc(NC(=O)Nc2c[nH]nn2)cn1 ZINC001310521356 908500964 /nfs/dbraw/zinc/50/09/64/908500964.db2.gz SEFPZXKGTMSFNY-UHFFFAOYSA-N 0 1 295.266 0.901 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H]1CCCN1CC#CC ZINC001317499646 908544965 /nfs/dbraw/zinc/54/49/65/908544965.db2.gz PJEAKGVABRVBCX-ZFWWWQNUSA-N 0 1 264.369 0.917 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H]1CCCS1 ZINC001312296006 908593255 /nfs/dbraw/zinc/59/32/55/908593255.db2.gz VBTSYHCSFSKKDV-NSHDSACASA-N 0 1 255.387 0.746 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H]1CCO[C@]2(CCOC2)C1 ZINC001312396044 908599593 /nfs/dbraw/zinc/59/95/93/908599593.db2.gz DYLVWAOEWWBOOF-DZGCQCFKSA-N 0 1 295.383 0.189 20 30 CCEDMN CCc1nc(CNC(=O)NCC(C)(C)C#N)n[nH]1 ZINC001312478197 908601843 /nfs/dbraw/zinc/60/18/43/908601843.db2.gz GKLJGWFGXICGEM-UHFFFAOYSA-N 0 1 250.306 0.716 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H]2C[C@H]2C)CC1 ZINC001328510333 908690219 /nfs/dbraw/zinc/69/02/19/908690219.db2.gz UTTOQXJJJQEJCC-NEPJUHHUSA-N 0 1 250.342 0.219 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](CNC(=O)[C@H](F)CC)C1 ZINC001317140623 908751724 /nfs/dbraw/zinc/75/17/24/908751724.db2.gz UYXSYSISZKYFFF-QWHCGFSZSA-N 0 1 299.390 0.865 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)C(C)=CCC)n1 ZINC001316717060 908760893 /nfs/dbraw/zinc/76/08/93/908760893.db2.gz YMBMXIBHIHMSJU-IZZDOVSWSA-N 0 1 288.351 0.878 20 30 CCEDMN C/C=C(\C)C(=O)NCCCN(C)CC(=O)NCC#N ZINC001316819710 908786759 /nfs/dbraw/zinc/78/67/59/908786759.db2.gz XDDPIWDWZPTFIC-NYYWCZLTSA-N 0 1 266.345 0.030 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H](C)n2cccc2)CC1 ZINC001316963526 908871442 /nfs/dbraw/zinc/87/14/42/908871442.db2.gz JPOZTXVKANMHBO-OAHLLOKOSA-N 0 1 290.411 0.969 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cnns2)CC1 ZINC001316961996 908873051 /nfs/dbraw/zinc/87/30/51/908873051.db2.gz HLAHKOMJWQJRNG-UHFFFAOYSA-N 0 1 281.385 0.072 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCN(CCOCC)C2)nn1 ZINC001316988963 908899225 /nfs/dbraw/zinc/89/92/25/908899225.db2.gz NAFNHQANWLRJOV-GFCCVEGCSA-N 0 1 293.371 0.305 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@@H](NCc2cn(C)nn2)C1 ZINC001317109292 908985370 /nfs/dbraw/zinc/98/53/70/908985370.db2.gz CFVDINFYXKEGCN-TXEJJXNPSA-N 0 1 275.356 0.355 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnc(OC)nc1 ZINC001492481786 909009874 /nfs/dbraw/zinc/00/98/74/909009874.db2.gz KBBRGJMRTOIANZ-UHFFFAOYSA-N 0 1 262.313 0.170 20 30 CCEDMN N#CCNC[C@@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001317163040 909023731 /nfs/dbraw/zinc/02/37/31/909023731.db2.gz LMTAYRJNWPYTEW-NSHDSACASA-N 0 1 298.350 0.923 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](C)NCc1cc(C)ncn1 ZINC001317235685 909071700 /nfs/dbraw/zinc/07/17/00/909071700.db2.gz OCLUYCYQDPLAHZ-SWLSCSKDSA-N 0 1 292.383 0.706 20 30 CCEDMN C[C@@H](CCNC(=O)c1ccn(-c2ccncc2)n1)NCC#N ZINC001317398793 909176987 /nfs/dbraw/zinc/17/69/87/909176987.db2.gz HEQXGMSRBPJEAS-LBPRGKRZSA-N 0 1 298.350 0.889 20 30 CCEDMN C=C(C)CC[N@@H+](CC)CCNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001317493335 909261738 /nfs/dbraw/zinc/26/17/38/909261738.db2.gz WETLRPUDDCEXPA-UHFFFAOYSA-N 0 1 297.359 0.094 20 30 CCEDMN CN(CCNCc1ccccc1C#N)C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001317620956 909414806 /nfs/dbraw/zinc/41/48/06/909414806.db2.gz BEDONOKTDSIMDF-ZSHCYNCHSA-N 0 1 299.374 0.999 20 30 CCEDMN C=C(C)CN1CCN(CCN(C)C(=O)c2cn[nH]c2)CC1 ZINC001317813722 909510881 /nfs/dbraw/zinc/51/08/81/909510881.db2.gz LZAKUWHAHXEJIV-UHFFFAOYSA-N 0 1 291.399 0.675 20 30 CCEDMN C=C(C)CN1CC(NC(=O)CCCCc2cn[nH]n2)C1 ZINC001318046928 909596189 /nfs/dbraw/zinc/59/61/89/909596189.db2.gz GDRJPBNJSVXUHR-UHFFFAOYSA-N 0 1 277.372 0.894 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N[C@@H]1CCN(CC#N)C1 ZINC001318338029 909709481 /nfs/dbraw/zinc/70/94/81/909709481.db2.gz NSGSUKBKKNRFOO-CYBMUJFWSA-N 0 1 294.399 0.059 20 30 CCEDMN C#CCCCCC(=O)N(C)[C@H]1CCN([C@H](CC)C(N)=O)C1 ZINC001318400370 909741572 /nfs/dbraw/zinc/74/15/72/909741572.db2.gz PCBATJUQYOUUEN-UONOGXRCSA-N 0 1 293.411 0.977 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1COCCO1)C1CC1 ZINC001389597550 909993145 /nfs/dbraw/zinc/99/31/45/909993145.db2.gz YVLXWGBZQLIFFZ-NWDGAFQWSA-N 0 1 288.775 0.639 20 30 CCEDMN C[C@@H](CNCc1csnn1)CNC(=O)CSCC#N ZINC001319155067 910008143 /nfs/dbraw/zinc/00/81/43/910008143.db2.gz DVMRUHYWCCGHHI-VIFPVBQESA-N 0 1 299.425 0.637 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](C)CNCc1nonc1C ZINC001319180184 910022995 /nfs/dbraw/zinc/02/29/95/910022995.db2.gz HMYSCWZTFLFHSI-QMTHXVAHSA-N 0 1 296.371 0.547 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)CNCc1cnn(C)n1 ZINC001319182927 910023737 /nfs/dbraw/zinc/02/37/37/910023737.db2.gz YWGZBPKDUQEQGP-LBPRGKRZSA-N 0 1 277.372 0.461 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CCc1cnccn1 ZINC001492484626 910134917 /nfs/dbraw/zinc/13/49/17/910134917.db2.gz VTFQQWREAHLYAG-UHFFFAOYSA-N 0 1 290.367 0.107 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](C)CC(N)=O)CC2 ZINC001319405755 910135915 /nfs/dbraw/zinc/13/59/15/910135915.db2.gz ZFWUXBYSOIUHRP-GFCCVEGCSA-N 0 1 277.368 0.056 20 30 CCEDMN C=CCO[C@@H]1CCN([C@@H](C)C(=O)NC(=O)NC(C)C)C1 ZINC001320037825 910426619 /nfs/dbraw/zinc/42/66/19/910426619.db2.gz JFLONHVGGXMNJA-NWDGAFQWSA-N 0 1 283.372 0.886 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](CC)NC(C)=O)C1 ZINC001390564031 910522554 /nfs/dbraw/zinc/52/25/54/910522554.db2.gz LPLUOXZMDMETJC-RYUDHWBXSA-N 0 1 287.791 0.844 20 30 CCEDMN N#CC1(NC(=O)CCCCc2cn[nH]n2)CCOCC1 ZINC001320218974 910531504 /nfs/dbraw/zinc/53/15/04/910531504.db2.gz JGQJVXGSNNTMME-UHFFFAOYSA-N 0 1 277.328 0.706 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H]1CC1(C)C ZINC001320218888 910533159 /nfs/dbraw/zinc/53/31/59/910533159.db2.gz YPCFFHAUJSSPDE-ZJUUUORDSA-N 0 1 260.765 0.852 20 30 CCEDMN Cc1nnc(CNCC[C@@H](C)NC(=O)[C@@H](C)C#N)s1 ZINC001320374170 910642783 /nfs/dbraw/zinc/64/27/83/910642783.db2.gz ZXFCBSDPPRQXFC-DTWKUNHWSA-N 0 1 281.385 0.991 20 30 CCEDMN CCCc1cc(C(=O)N(CC)CCNCC#N)n[nH]1 ZINC001320809769 910880057 /nfs/dbraw/zinc/88/00/57/910880057.db2.gz ZVPQUZYXXHTSBZ-UHFFFAOYSA-N 0 1 263.345 0.937 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H]1COCCO1 ZINC001320980178 910978554 /nfs/dbraw/zinc/97/85/54/910978554.db2.gz UTVJDDIOWAJBMI-KXMPLOMGSA-N 0 1 274.748 0.416 20 30 CCEDMN CO[C@H](C)CN1CCN(CCN(C)C(=O)[C@@H](C)C#N)CC1 ZINC001391336509 911050645 /nfs/dbraw/zinc/05/06/45/911050645.db2.gz KBMOUEPXAQBFQV-UONOGXRCSA-N 0 1 296.415 0.257 20 30 CCEDMN C=C(CO)C(=O)N1CCc2nc[nH]c2[C@H]1c1cccnc1 ZINC001339049424 921635138 /nfs/dbraw/zinc/63/51/38/921635138.db2.gz HDHCVXMBILYSSP-CQSZACIVSA-N 0 1 284.319 0.827 20 30 CCEDMN Cc1noc(CCCN2CC(CNC(=O)[C@@H](C)C#N)C2)n1 ZINC001391473228 911162033 /nfs/dbraw/zinc/16/20/33/911162033.db2.gz KIZKWERGWKSEFX-JTQLQIEISA-N 0 1 291.355 0.518 20 30 CCEDMN COc1cc(CNC[C@@H](C)NC(=O)C#CC(C)C)on1 ZINC001321511021 911337643 /nfs/dbraw/zinc/33/76/43/911337643.db2.gz KUJUWFBIDFIHHZ-LLVKDONJSA-N 0 1 279.340 0.937 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)NCc1cn[nH]c1C ZINC001321829410 911513621 /nfs/dbraw/zinc/51/36/21/911513621.db2.gz OQKILFIWIILLOB-GFCCVEGCSA-N 0 1 276.340 0.901 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CO[C@@H]1CCOC1 ZINC001322158608 911690120 /nfs/dbraw/zinc/69/01/20/911690120.db2.gz VSPGCWLRULVFOD-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)COc1cc(C)on1 ZINC001322177616 911699962 /nfs/dbraw/zinc/69/99/62/911699962.db2.gz UHRAFGVCDWJOAG-LLVKDONJSA-N 0 1 279.340 0.822 20 30 CCEDMN N#CCNC[C@H]1CCCC[C@H]1NC(=O)CCc1nc[nH]n1 ZINC001322329212 911764306 /nfs/dbraw/zinc/76/43/06/911764306.db2.gz GAUHASBSLLDVBW-VXGBXAGGSA-N 0 1 290.371 0.525 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccns1 ZINC001392373727 911769482 /nfs/dbraw/zinc/76/94/82/911769482.db2.gz ITCSLLOPBLDXPF-MRVPVSSYSA-N 0 1 275.761 0.576 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1CCCN(CC)CC1 ZINC001322907745 912003656 /nfs/dbraw/zinc/00/36/56/912003656.db2.gz RYLUQNFBBMVIBI-UHFFFAOYSA-N 0 1 279.384 0.460 20 30 CCEDMN N#CCNC[C@@H](NC(=O)[C@H]1CCCc2n[nH]nc21)C1CC1 ZINC001323067712 912098059 /nfs/dbraw/zinc/09/80/59/912098059.db2.gz SNMAZTXWXFRWJO-CMPLNLGQSA-N 0 1 288.355 0.233 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)Cn1cc(C)cn1 ZINC001323196315 912173277 /nfs/dbraw/zinc/17/32/77/912173277.db2.gz XMJGCKPTOZJMSH-CYBMUJFWSA-N 0 1 260.341 0.405 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](C)n1cncn1 ZINC001398901041 914936683 /nfs/dbraw/zinc/93/66/83/914936683.db2.gz DFYVJXRAIUVQCO-VHSXEESVSA-N 0 1 271.752 0.686 20 30 CCEDMN CO[C@H](C(=O)NC1(C#N)CCN(C)CC1)[C@H]1CCOC1 ZINC001328222825 915036107 /nfs/dbraw/zinc/03/61/07/915036107.db2.gz SSXDYGIOQXVMHN-RYUDHWBXSA-N 0 1 281.356 0.142 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CNC(=O)C(C)(C)C ZINC001328783970 915431387 /nfs/dbraw/zinc/43/13/87/915431387.db2.gz AJYNDSFRIRUNGM-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)N1CC[C@@](F)(C#N)C1 ZINC001329037354 915611140 /nfs/dbraw/zinc/61/11/40/915611140.db2.gz SYJGIQHKEQLSKQ-BXUZGUMPSA-N 0 1 296.346 0.051 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C(=O)NCc2cn[nH]c2C)C1 ZINC001329181370 915730853 /nfs/dbraw/zinc/73/08/53/915730853.db2.gz ZCALGTDKGGOVSE-NSHDSACASA-N 0 1 274.324 0.206 20 30 CCEDMN C#C[C@H](C)N(C)c1nnc(C2=NO[C@@H](CO)C2)n1CC=C ZINC001339672313 921795316 /nfs/dbraw/zinc/79/53/16/921795316.db2.gz MPJSFFRUKSAHAA-WDEREUQCSA-N 0 1 289.339 0.407 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1c(=O)oc2ccccc21 ZINC001339702725 921803668 /nfs/dbraw/zinc/80/36/68/921803668.db2.gz PSGMTDDUFRGIDJ-UHFFFAOYSA-N 0 1 287.319 0.276 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCCCO1 ZINC001329414916 915893394 /nfs/dbraw/zinc/89/33/94/915893394.db2.gz MNKCQTPUFZNBGM-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cn[nH]c(=O)c1 ZINC001329472254 915946066 /nfs/dbraw/zinc/94/60/66/915946066.db2.gz NHUOOAMDFPJBKD-JTQLQIEISA-N 0 1 262.313 0.256 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CN1CCOCC1 ZINC001400814363 915947392 /nfs/dbraw/zinc/94/73/92/915947392.db2.gz OGTPQHCWKJLDRI-GFCCVEGCSA-N 0 1 289.807 0.556 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCc2nccn2C1 ZINC001329474742 915948594 /nfs/dbraw/zinc/94/85/94/915948594.db2.gz NJFRYJKDYIASAP-STQMWFEESA-N 0 1 274.368 0.515 20 30 CCEDMN N#CCC1(O)CN(C(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001329476784 915949031 /nfs/dbraw/zinc/94/90/31/915949031.db2.gz SPNKBTFQCUPMBG-VIFPVBQESA-N 0 1 260.297 0.002 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccnc(OC)c1 ZINC001329486243 915958466 /nfs/dbraw/zinc/95/84/66/915958466.db2.gz KMHIGEUDHZTMGQ-LLVKDONJSA-N 0 1 261.325 0.774 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cccc(=O)n1C ZINC001329543019 915997774 /nfs/dbraw/zinc/99/77/74/915997774.db2.gz VMLXIRPMUAOGBJ-LBPRGKRZSA-N 0 1 275.352 0.459 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cnc[nH]c1=O ZINC001401232652 916138984 /nfs/dbraw/zinc/13/89/84/916138984.db2.gz RBKPJRKITPUOKY-SECBINFHSA-N 0 1 284.747 0.985 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cn1nccc1C ZINC001401576560 916340486 /nfs/dbraw/zinc/34/04/86/916340486.db2.gz OMOYCKNKYLWODI-LLVKDONJSA-N 0 1 286.763 0.011 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCc2cnccn2)C1 ZINC001330068932 916398962 /nfs/dbraw/zinc/39/89/62/916398962.db2.gz CMFGSTBPYBMHQQ-ZDUSSCGKSA-N 0 1 286.379 0.869 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H]1COC(=O)C1 ZINC001401672634 916455651 /nfs/dbraw/zinc/45/56/51/916455651.db2.gz CGPMRAUFCMQHFO-VHSXEESVSA-N 0 1 274.748 0.786 20 30 CCEDMN CN[C@H](C(=O)N1CC[C@@](C)(C#N)C1)c1cnn(C)c1 ZINC001330595416 916730287 /nfs/dbraw/zinc/73/02/87/916730287.db2.gz VYKWMMVRLMZYBM-AAEUAGOBSA-N 0 1 261.329 0.443 20 30 CCEDMN CS(=O)(=O)CCOCCNCc1cccc(C#N)n1 ZINC001330607616 916735859 /nfs/dbraw/zinc/73/58/59/916735859.db2.gz DLXWHBGNISVVQJ-UHFFFAOYSA-N 0 1 283.353 0.104 20 30 CCEDMN C[C@@H](CCCNCc1ncnn1C)NC(=O)C#CC1CC1 ZINC001330811057 916887397 /nfs/dbraw/zinc/88/73/97/916887397.db2.gz HVPQKZYRIPLYMP-LBPRGKRZSA-N 0 1 289.383 0.603 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CC(=O)N(C)C1)C1CC1 ZINC001402306677 916947085 /nfs/dbraw/zinc/94/70/85/916947085.db2.gz ZADCQZMDAYEVQC-NWDGAFQWSA-N 0 1 299.802 0.702 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ocnc1C(F)F ZINC001331040656 917042183 /nfs/dbraw/zinc/04/21/83/917042183.db2.gz FZMLUCIGIVPKQW-NTSWFWBYSA-N 0 1 256.212 0.454 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](NC(=O)CCS(C)(=O)=O)C1 ZINC001402599495 917116259 /nfs/dbraw/zinc/11/62/59/917116259.db2.gz ZGGPDYSUKMDHGL-AOOOYVTPSA-N 0 1 294.804 0.410 20 30 CCEDMN CCN(C)C(=O)CN1CCC[C@H](NC(=O)[C@@H](C)C#N)CC1 ZINC001402610334 917124352 /nfs/dbraw/zinc/12/43/52/917124352.db2.gz VCTOLNAHOLXSGJ-STQMWFEESA-N 0 1 294.399 0.595 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC001331486998 917364407 /nfs/dbraw/zinc/36/44/07/917364407.db2.gz FWDFXKQGIQWPNI-CYBMUJFWSA-N 0 1 297.399 0.152 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1c[nH]c(=O)n1C ZINC001402988892 917404199 /nfs/dbraw/zinc/40/41/99/917404199.db2.gz BTPIPWNJWNPAQJ-IUCAKERBSA-N 0 1 286.763 0.975 20 30 CCEDMN C=CCCC(=O)NC[C@@H](CO)N[C@H](C)C(=O)NC(C)(C)C ZINC001331686009 917513401 /nfs/dbraw/zinc/51/34/01/917513401.db2.gz VDSYSOPXJAOFDO-NEPJUHHUSA-N 0 1 299.415 0.323 20 30 CCEDMN CC(C)(C)C#CC(=O)NC[C@H](CO)NCc1cccnc1 ZINC001331710485 917535683 /nfs/dbraw/zinc/53/56/83/917535683.db2.gz BQPCOFITTWXCFV-CQSZACIVSA-N 0 1 289.379 0.698 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc(OC(C)C)n1 ZINC001331803129 917619084 /nfs/dbraw/zinc/61/90/84/917619084.db2.gz BGVBYYBRKNSBNB-GFCCVEGCSA-N 0 1 291.351 0.182 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001332124431 917878735 /nfs/dbraw/zinc/87/87/35/917878735.db2.gz OTNFJGNMIIECGU-UHFFFAOYSA-N 0 1 287.270 0.221 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cncs1 ZINC001403822685 918042108 /nfs/dbraw/zinc/04/21/08/918042108.db2.gz GVXHGGIZFAJBTC-SECBINFHSA-N 0 1 289.788 0.918 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1(CCOC)CC1 ZINC001332634489 918332605 /nfs/dbraw/zinc/33/26/05/918332605.db2.gz XDHYDIYKMCSSQB-ZDUSSCGKSA-N 0 1 282.384 0.187 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H]1CCO[C@H]1CC ZINC001332646227 918347071 /nfs/dbraw/zinc/34/70/71/918347071.db2.gz HTVZKVPVOTZIKE-KFWWJZLASA-N 0 1 296.411 0.576 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc(C2CC2)no1 ZINC001332665224 918363303 /nfs/dbraw/zinc/36/33/03/918363303.db2.gz QXFGWCYIDVJTPY-GFCCVEGCSA-N 0 1 291.351 0.550 20 30 CCEDMN CC[C@@H](C(N)=O)N1CC=C(CNC(=O)[C@@H](C)C#N)CC1 ZINC001333310910 918793078 /nfs/dbraw/zinc/79/30/78/918793078.db2.gz LWAGRRFCQDRLFR-JQWIXIFHSA-N 0 1 278.356 0.158 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@@H]1C[C@H](C)[N@H+](C2CC2)C1)C(=O)[O-] ZINC001333318017 918799396 /nfs/dbraw/zinc/79/93/96/918799396.db2.gz OBSFSWHXAZJDOZ-UMNHJUIQSA-N 0 1 281.356 0.940 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001333389390 918858845 /nfs/dbraw/zinc/85/88/45/918858845.db2.gz ORTZWRVXEFKNCC-SNVBAGLBSA-N 0 1 277.328 0.296 20 30 CCEDMN C=C(CO)C(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC001333642348 919065395 /nfs/dbraw/zinc/06/53/95/919065395.db2.gz BIMFYOGAZIRFAG-GHMZBOCLSA-N 0 1 256.371 0.231 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1cscn1 ZINC001406297431 919159603 /nfs/dbraw/zinc/15/96/03/919159603.db2.gz XKPOVKKNVOXXGE-JTQLQIEISA-N 0 1 289.788 0.505 20 30 CCEDMN C#CCN1CCC(Nc2ccc3nnnn3n2)CC1 ZINC001333796017 919170224 /nfs/dbraw/zinc/17/02/24/919170224.db2.gz LFABVYAJLZXNNA-UHFFFAOYSA-N 0 1 257.301 0.029 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C[C@H](C)CC(=O)[O-])CC1 ZINC001334217399 919435773 /nfs/dbraw/zinc/43/57/73/919435773.db2.gz FDUWETNEHUZNTB-LBPRGKRZSA-N 0 1 266.341 0.655 20 30 CCEDMN CC[C@@H](C)CN1CC(O)(CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC001407397805 919743466 /nfs/dbraw/zinc/74/34/66/919743466.db2.gz RDAOVKRLWMJQQK-LLVKDONJSA-N 0 1 290.367 0.709 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(Cc2n[nH]c([C@@H]3CCCO3)n2)C1=O ZINC001335244972 920056234 /nfs/dbraw/zinc/05/62/34/920056234.db2.gz FZEHQRFCICXXTM-IUCAKERBSA-N 0 1 291.311 0.653 20 30 CCEDMN N#CC1(CNC[C@H](O)C[C@@]2(O)CCOC2)CCCC1 ZINC001335448163 920201243 /nfs/dbraw/zinc/20/12/43/920201243.db2.gz MOLQKMZJUFKXRL-OCCSQVGLSA-N 0 1 268.357 0.562 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)c[nH]1 ZINC001335585782 920299291 /nfs/dbraw/zinc/29/92/91/920299291.db2.gz ULNQRLANKCNNSY-MRVPVSSYSA-N 0 1 256.269 0.634 20 30 CCEDMN CCS(=O)(=O)CCNCC#C[C@@H]1CCCCO1 ZINC001336062341 920590612 /nfs/dbraw/zinc/59/06/12/920590612.db2.gz XEJHBGHPPPNXSN-LBPRGKRZSA-N 0 1 259.371 0.583 20 30 CCEDMN C=CCOCCNC(=O)N1CCNC[C@@H]1CCOC ZINC001336074850 920595744 /nfs/dbraw/zinc/59/57/44/920595744.db2.gz HRTHIBCUTGLCQB-LBPRGKRZSA-N 0 1 271.361 0.209 20 30 CCEDMN C[C@H](CCNC(=O)[C@@H](C)C#N)NC(=O)c1[nH]ncc1F ZINC001415423780 920702201 /nfs/dbraw/zinc/70/22/01/920702201.db2.gz PVJOBAKLSYEESB-JGVFFNPUSA-N 0 1 281.291 0.333 20 30 CCEDMN CCc1cc(C(=O)N(C)CCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001415596485 920779080 /nfs/dbraw/zinc/77/90/80/920779080.db2.gz CJBBLMYCACMDAC-SECBINFHSA-N 0 1 277.328 0.320 20 30 CCEDMN CCN(CCNC(=O)CCc1cnc[nH]1)C(=O)[C@@H](C)C#N ZINC001415684778 920805598 /nfs/dbraw/zinc/80/55/98/920805598.db2.gz MSAKRTGLPRWSKL-NSHDSACASA-N 0 1 291.355 0.467 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H]2CN3CCC2CC3)nn1 ZINC001336618885 920881767 /nfs/dbraw/zinc/88/17/67/920881767.db2.gz WZGWQZUZHBUJBX-LBPRGKRZSA-N 0 1 275.356 0.678 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N2CC[C@H]3C[N@H+](C)C[C@H]32)cc1 ZINC001336789057 921013682 /nfs/dbraw/zinc/01/36/82/921013682.db2.gz PYMVEFGJLVFWIZ-DZGCQCFKSA-N 0 1 297.358 0.769 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](OCC(F)(F)F)C1 ZINC001339838175 921845176 /nfs/dbraw/zinc/84/51/76/921845176.db2.gz MGJSHXIWXUMPEQ-JTQLQIEISA-N 0 1 278.274 0.779 20 30 CCEDMN C#CCNCC(=O)N1CCC(OC[C@H]2CCCCO2)CC1 ZINC001339990130 921908084 /nfs/dbraw/zinc/90/80/84/921908084.db2.gz DMQPNXMULULFRN-OAHLLOKOSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(-n2ccnc2C)nc1 ZINC001340032214 921923438 /nfs/dbraw/zinc/92/34/38/921923438.db2.gz BWVNMJJUTYVCBJ-UHFFFAOYSA-N 0 1 283.335 0.415 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2cccs2)CC1 ZINC001340105928 921961045 /nfs/dbraw/zinc/96/10/45/921961045.db2.gz PNYGHTIZWFFBQP-UHFFFAOYSA-N 0 1 263.366 0.620 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1c(C)nc[nH]c1=O ZINC001418264190 921972985 /nfs/dbraw/zinc/97/29/85/921972985.db2.gz JUYHJCTZGPLKEK-MRVPVSSYSA-N 0 1 284.747 0.951 20 30 CCEDMN C#CCNCC(=O)NCc1ccccc1N1CCOCC1 ZINC001340171131 921992947 /nfs/dbraw/zinc/99/29/47/921992947.db2.gz BRROOEBNEOHMCR-UHFFFAOYSA-N 0 1 287.363 0.362 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@@H](Cc2ccccc2)C1 ZINC001340217045 922011020 /nfs/dbraw/zinc/01/10/20/922011020.db2.gz UCJSCAQBTWCTBU-HNNXBMFYSA-N 0 1 272.348 0.679 20 30 CCEDMN C=CCn1c(-c2[nH]cnc2C)nnc1N1CCO[C@H](C#N)C1 ZINC001340258360 922026620 /nfs/dbraw/zinc/02/66/20/922026620.db2.gz ICCGXOUYSNFAEL-LLVKDONJSA-N 0 1 299.338 0.891 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnn(C2CCC2)c1 ZINC001340267342 922033129 /nfs/dbraw/zinc/03/31/29/922033129.db2.gz RXCVHDGJNUEIMA-CABZTGNLSA-N 0 1 259.313 0.449 20 30 CCEDMN C#CCNCC(=O)NCCNc1ccc([N+](=O)[O-])cc1 ZINC001341263548 922401621 /nfs/dbraw/zinc/40/16/21/922401621.db2.gz UJVRHDONTKMFRH-UHFFFAOYSA-N 0 1 276.296 0.346 20 30 CCEDMN Cc1nc([C@@H](C)N(CCNC(=O)[C@H](C)C#N)C2CC2)n[nH]1 ZINC001418748984 922407088 /nfs/dbraw/zinc/40/70/88/922407088.db2.gz AQYWMYWGCWGZRH-NXEZZACHSA-N 0 1 290.371 0.914 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@H]1c1ccc(OC)cc1 ZINC001341540618 922541765 /nfs/dbraw/zinc/54/17/65/922541765.db2.gz GUPUOLNIJWHUBT-HNNXBMFYSA-N 0 1 288.347 0.818 20 30 CCEDMN C#CCNCC(=O)NCCCCC1(C(=O)OC)CCC1 ZINC001341621443 922586007 /nfs/dbraw/zinc/58/60/07/922586007.db2.gz HLAPNOOYKVAMPN-UHFFFAOYSA-N 0 1 280.368 0.839 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)Cn1cncn1 ZINC001419280974 922745339 /nfs/dbraw/zinc/74/53/39/922745339.db2.gz RZIFLICJOYIKMD-SNVBAGLBSA-N 0 1 271.752 0.515 20 30 CCEDMN C#CCNCC(=O)NCCc1cn2c(cccc2C)n1 ZINC001342015982 922763344 /nfs/dbraw/zinc/76/33/44/922763344.db2.gz QXXMDNIUYPMXBV-UHFFFAOYSA-N 0 1 270.336 0.524 20 30 CCEDMN CO[C@@H](C(=O)OC(C)(C)C)C(=O)C(C#N)c1nccn1C ZINC001342164339 922843249 /nfs/dbraw/zinc/84/32/49/922843249.db2.gz HFMDNAKEABIDNV-MWLCHTKSSA-N 0 1 293.323 0.953 20 30 CCEDMN C=CCOCCNC(=O)c1ccc2c(n1)CNCC2 ZINC001342249851 922874329 /nfs/dbraw/zinc/87/43/29/922874329.db2.gz ADWPVUQKSQENHZ-UHFFFAOYSA-N 0 1 261.325 0.660 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CN1CCOCC1 ZINC001419727513 923044262 /nfs/dbraw/zinc/04/42/62/923044262.db2.gz JYUILJIJDSECHP-NSHDSACASA-N 0 1 275.780 0.165 20 30 CCEDMN CN(CCN(C)C(=O)c1cn[nH]n1)Cc1cccc(C#N)c1 ZINC001419979954 923211883 /nfs/dbraw/zinc/21/18/83/923211883.db2.gz ICTYTJBMNLEZLP-UHFFFAOYSA-N 0 1 298.350 0.880 20 30 CCEDMN C#CCNCC(=O)NC[C@H](O)c1cccc(F)c1 ZINC001343205961 923235834 /nfs/dbraw/zinc/23/58/34/923235834.db2.gz VAFPMWXUCYQDBN-LBPRGKRZSA-N 0 1 250.273 0.198 20 30 CCEDMN C=CCC[C@H]1CCCN1C(=O)[C@@H](N)CCS(C)(=O)=O ZINC001343233759 923243533 /nfs/dbraw/zinc/24/35/33/923243533.db2.gz OIFRAXSEMCRNSV-RYUDHWBXSA-N 0 1 288.413 0.706 20 30 CCEDMN Cn1cc(C(=O)[C@H](C#N)C(=O)NC2CCCC2)n(C)c1=O ZINC001343410728 923306667 /nfs/dbraw/zinc/30/66/67/923306667.db2.gz GMZZQDOXTWAZMG-JTQLQIEISA-N 0 1 290.323 0.105 20 30 CCEDMN CC(C)n1ccc(CNC[C@@H](O)CNC(=O)[C@H](C)C#N)n1 ZINC001420111159 923314242 /nfs/dbraw/zinc/31/42/42/923314242.db2.gz NRIYTXJIDBQTPZ-DGCLKSJQSA-N 0 1 293.371 0.190 20 30 CCEDMN C#CCNCC(=O)N1CCN([C@H](C)c2nccs2)CC1 ZINC001343591943 923385320 /nfs/dbraw/zinc/38/53/20/923385320.db2.gz JPVXFDJZPMRBDL-GFCCVEGCSA-N 0 1 292.408 0.571 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CCc1cn[nH]c1 ZINC001420193572 923397696 /nfs/dbraw/zinc/39/76/96/923397696.db2.gz UFVVVXCYVCEIKQ-NSHDSACASA-N 0 1 286.763 0.162 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@]12CCCOC2 ZINC001343704217 923433386 /nfs/dbraw/zinc/43/33/86/923433386.db2.gz INGDVBMJUKPCTL-CQSZACIVSA-N 0 1 250.342 0.771 20 30 CCEDMN C#CCN1CCC(OC(=O)CN2CCCCC2=O)CC1 ZINC001344373827 923586404 /nfs/dbraw/zinc/58/64/04/923586404.db2.gz WCOJCLQWBNFSOO-UHFFFAOYSA-N 0 1 278.352 0.640 20 30 CCEDMN CCc1nc(CNCc2cnc3c(C#N)cnn3c2)n[nH]1 ZINC001346042970 924051854 /nfs/dbraw/zinc/05/18/54/924051854.db2.gz GEFGPVWZDDOBIT-UHFFFAOYSA-N 0 1 282.311 0.571 20 30 CCEDMN CC(C)c1nnsc1C(=O)NCC#CCN(C)C ZINC001347260341 924409507 /nfs/dbraw/zinc/40/95/07/924409507.db2.gz XLEIRYYEDVXKMY-UHFFFAOYSA-N 0 1 266.370 0.956 20 30 CCEDMN CCC[C@H](C#N)NC(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001347553726 924478195 /nfs/dbraw/zinc/47/81/95/924478195.db2.gz IBBJQAQQMHAVEU-TUAOUCFPSA-N 0 1 250.346 0.183 20 30 CCEDMN C#CCC1(NC(=O)N[C@@H](C)[C@@H]2CN(C)CCN2C)CCC1 ZINC001348265767 924632769 /nfs/dbraw/zinc/63/27/69/924632769.db2.gz ZJPVZGASSZPCPT-KBPBESRZSA-N 0 1 292.427 0.866 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@@H]1CCC[N@H+]1C1CC1)C(=O)[O-] ZINC001348490370 924704218 /nfs/dbraw/zinc/70/42/18/924704218.db2.gz QYBLWQOPRCKBTF-NEPJUHHUSA-N 0 1 282.340 0.385 20 30 CCEDMN C=CC[C@H](NC(=O)[C@@H]1CCc2c[nH+]c(C)n2C1)C(=O)[O-] ZINC001348489822 924704861 /nfs/dbraw/zinc/70/48/61/924704861.db2.gz DMZKJSHDLPYZED-PWSUYJOCSA-N 0 1 277.324 0.899 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001348853460 924828049 /nfs/dbraw/zinc/82/80/49/924828049.db2.gz NCLTWFOSBGBKPZ-MCIONIFRSA-N 0 1 280.416 0.722 20 30 CCEDMN C#Cc1ccc(NC(=O)[C@H]2CN3CCN2C[C@@H]3C)cc1 ZINC001349586957 924996032 /nfs/dbraw/zinc/99/60/32/924996032.db2.gz AYLSAIOJRRNWHY-SWLSCSKDSA-N 0 1 269.348 0.995 20 30 CCEDMN C=CCC1(O)CN(C(=O)CN2CCC(C)CC2)C1 ZINC001350584328 925231270 /nfs/dbraw/zinc/23/12/70/925231270.db2.gz TYMPBRXFARUEJW-UHFFFAOYSA-N 0 1 252.358 0.868 20 30 CCEDMN C=CCCOCCNC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001351093180 925360346 /nfs/dbraw/zinc/36/03/46/925360346.db2.gz ZORQQLJNGQQAGI-ZIAGYGMSSA-N 0 1 298.431 0.513 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CC[C@H](c3nc[nH]n3)C2)c1 ZINC001351856098 925487865 /nfs/dbraw/zinc/48/78/65/925487865.db2.gz YIGRVINCNUJPJK-VIFPVBQESA-N 0 1 256.269 0.634 20 30 CCEDMN CC(=O)NCC(=O)NC[C@H](C)NCc1ccccc1C#N ZINC001480417632 925652720 /nfs/dbraw/zinc/65/27/20/925652720.db2.gz YJUBHVVZFVRYOZ-NSHDSACASA-N 0 1 288.351 0.289 20 30 CCEDMN C#CCC1(NC(=O)[C@H]2CN3CCN2C[C@H]3C)CCOCC1 ZINC001352899052 925668114 /nfs/dbraw/zinc/66/81/14/925668114.db2.gz BMDFUJMJMZMHFV-ZIAGYGMSSA-N 0 1 291.395 0.063 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(N2CCOC2=O)c1 ZINC001353042444 925712687 /nfs/dbraw/zinc/71/26/87/925712687.db2.gz JNPQKRVDYSNUCK-UHFFFAOYSA-N 0 1 287.319 0.482 20 30 CCEDMN C#CCNCC(=O)N1CCC(C(=O)N[C@@H](C)C(C)C)CC1 ZINC001353259140 925775164 /nfs/dbraw/zinc/77/51/64/925775164.db2.gz DJTVCZCGXNOTCH-ZDUSSCGKSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H](C)NCc1cnc(C)o1 ZINC001480459549 925777407 /nfs/dbraw/zinc/77/74/07/925777407.db2.gz NEHXMOKDBROXRU-LLVKDONJSA-N 0 1 279.340 0.617 20 30 CCEDMN COc1ccc(CNC[C@@H](O)CC(C)(C)C#N)nn1 ZINC001353351490 925806842 /nfs/dbraw/zinc/80/68/42/925806842.db2.gz LCBFQHYFLOOPNJ-NSHDSACASA-N 0 1 264.329 0.876 20 30 CCEDMN C#CCNCC(=O)NCc1cnc(C(F)(F)F)nc1 ZINC001354232581 926049972 /nfs/dbraw/zinc/04/99/72/926049972.db2.gz DXYZCFZMYJHMDB-UHFFFAOYSA-N 0 1 272.230 0.334 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N[C@@H](C)c1n[n-]c(C(=O)OCC)n1 ZINC001300603811 926105340 /nfs/dbraw/zinc/10/53/40/926105340.db2.gz UHOSMXGGAFTXMN-VIFPVBQESA-N 0 1 295.343 0.276 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N[C@@H](C)c1nc(C(=O)OCC)n[n-]1 ZINC001300603811 926105345 /nfs/dbraw/zinc/10/53/45/926105345.db2.gz UHOSMXGGAFTXMN-VIFPVBQESA-N 0 1 295.343 0.276 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CNC(=O)[C@@H]1CCCCN1C ZINC001354531490 926125064 /nfs/dbraw/zinc/12/50/64/926125064.db2.gz PAJUPWZEOKQFDP-KGLIPLIRSA-N 0 1 293.411 0.751 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)NCCN(C)C(=O)C#CC1CC1 ZINC001355665635 926299643 /nfs/dbraw/zinc/29/96/43/926299643.db2.gz ORSGSMVCENKRJK-UHFFFAOYSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001356147009 926348371 /nfs/dbraw/zinc/34/83/71/926348371.db2.gz OKYYVRULGBQNOX-FRRDWIJNSA-N 0 1 278.352 0.529 20 30 CCEDMN N#CC1(C(=O)OCc2nnc[nH]2)CCSCC1 ZINC001356201385 926354638 /nfs/dbraw/zinc/35/46/38/926354638.db2.gz BUOWIYXNURKLHZ-UHFFFAOYSA-N 0 1 252.299 0.885 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001357021558 926475968 /nfs/dbraw/zinc/47/59/68/926475968.db2.gz WAADNJBUJIDHRB-LBPRGKRZSA-N 0 1 290.367 0.480 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](O)c1ccc(OC(C)C)cc1 ZINC001357275741 926506336 /nfs/dbraw/zinc/50/63/36/926506336.db2.gz CXANGHNGDAOBOG-OAHLLOKOSA-N 0 1 290.363 0.846 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H](C)C#N)NCc1cnc(C)cn1 ZINC001421377251 926710254 /nfs/dbraw/zinc/71/02/54/926710254.db2.gz NWVUXHSVFQSPEO-PWSUYJOCSA-N 0 1 275.356 0.929 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)CNC(=O)CN1CCCC1 ZINC001358501710 926715087 /nfs/dbraw/zinc/71/50/87/926715087.db2.gz CGDHTRHGGWWAJW-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(C(=O)CN2CCCC2)C[C@H]1C ZINC001414020448 926855818 /nfs/dbraw/zinc/85/58/18/926855818.db2.gz QDECCISKVTUTDP-YNEHKIRRSA-N 0 1 292.383 0.205 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cn(CC)cn1 ZINC001422402559 927264282 /nfs/dbraw/zinc/26/42/82/927264282.db2.gz RNXBJNFYHGQCKA-JTQLQIEISA-N 0 1 286.763 0.336 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccn2nnnc12 ZINC001422653105 927437732 /nfs/dbraw/zinc/43/77/32/927437732.db2.gz IPPNDWXKORJICG-VIFPVBQESA-N 0 1 294.746 0.585 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ncc(OC)cn1 ZINC001422672834 927445201 /nfs/dbraw/zinc/44/52/01/927445201.db2.gz BVXKOLNMWPXUAE-VIFPVBQESA-N 0 1 284.747 0.946 20 30 CCEDMN N#CCCN(Cc1cccnc1)C(=O)CCCc1nn[n-]n1 ZINC001361928162 927455228 /nfs/dbraw/zinc/45/52/28/927455228.db2.gz RRKBKGVJDACBIH-UHFFFAOYSA-N 0 1 299.338 0.860 20 30 CCEDMN N#CCCN(Cc1cccnc1)C(=O)CCCc1nn[nH]n1 ZINC001361928162 927455238 /nfs/dbraw/zinc/45/52/38/927455238.db2.gz RRKBKGVJDACBIH-UHFFFAOYSA-N 0 1 299.338 0.860 20 30 CCEDMN CSc1n[nH]c(NC(=O)CNc2ccc(C#N)cn2)n1 ZINC001361956576 927475433 /nfs/dbraw/zinc/47/54/33/927475433.db2.gz FUESINCRQRUOPR-UHFFFAOYSA-N 0 1 289.324 0.844 20 30 CCEDMN Cc1cc(C#N)cc(C)c1CNC[C@@H]1CC(C(N)=O)=NO1 ZINC001422797503 927519137 /nfs/dbraw/zinc/51/91/37/927519137.db2.gz XCKPODYRBFGEDF-LBPRGKRZSA-N 0 1 286.335 0.895 20 30 CCEDMN Cc1cc(C#N)cc(C)c1CNC[C@H]1CC(C(N)=O)=NO1 ZINC001422797498 927519480 /nfs/dbraw/zinc/51/94/80/927519480.db2.gz XCKPODYRBFGEDF-GFCCVEGCSA-N 0 1 286.335 0.895 20 30 CCEDMN Cc1ccc(CNc2n[nH]c(N3CCOCC3)c2C#N)nn1 ZINC001422916337 927587954 /nfs/dbraw/zinc/58/79/54/927587954.db2.gz NNPOWFTZQIUASE-UHFFFAOYSA-N 0 1 299.338 0.829 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC001423225699 927752411 /nfs/dbraw/zinc/75/24/11/927752411.db2.gz GMZVQUOYHDNSQM-JTQLQIEISA-N 0 1 285.775 0.456 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1csc(C#N)c1 ZINC001362260840 927794215 /nfs/dbraw/zinc/79/42/15/927794215.db2.gz BNMQTFAUSBLWEB-LLVKDONJSA-N 0 1 292.408 0.985 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1ocnc1C ZINC001423428440 927855327 /nfs/dbraw/zinc/85/53/27/927855327.db2.gz CLFJNDVONXOUMZ-SNVBAGLBSA-N 0 1 287.747 0.758 20 30 CCEDMN N#Cc1ccc(CNC(=O)CCCc2nn[nH]n2)nc1 ZINC001362515252 928121172 /nfs/dbraw/zinc/12/11/72/928121172.db2.gz LPWPSHVNYRMCIG-UHFFFAOYSA-N 0 1 271.284 0.105 20 30 CCEDMN Cn1c(CNC(=O)C(C)(C)c2ccc(C#N)cc2)n[nH]c1=O ZINC001362611867 928249833 /nfs/dbraw/zinc/24/98/33/928249833.db2.gz PENOYIZLEODZTQ-UHFFFAOYSA-N 0 1 299.334 0.986 20 30 CCEDMN C[N@H+]1CCCC[C@H]1CNS(=O)(=O)CC1(C#N)CC1 ZINC001424845198 928315768 /nfs/dbraw/zinc/31/57/68/928315768.db2.gz LWIVGENRDZNVLQ-NSHDSACASA-N 0 1 271.386 0.694 20 30 CCEDMN CN1CCCC[C@H]1CNS(=O)(=O)CC1(C#N)CC1 ZINC001424845198 928315781 /nfs/dbraw/zinc/31/57/81/928315781.db2.gz LWIVGENRDZNVLQ-NSHDSACASA-N 0 1 271.386 0.694 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CN1CCOCC1 ZINC001425566865 928596599 /nfs/dbraw/zinc/59/65/99/928596599.db2.gz NWNRHFMJQDUVNM-LBPRGKRZSA-N 0 1 289.807 0.508 20 30 CCEDMN COCc1nc(CNC(=O)c2cccc(C#N)c2)n[nH]1 ZINC001362921708 928655523 /nfs/dbraw/zinc/65/55/23/928655523.db2.gz KQNQHJRIMRONBA-UHFFFAOYSA-N 0 1 271.280 0.753 20 30 CCEDMN COCc1nnc(CNC(=O)c2cccc(C#N)c2)[nH]1 ZINC001362921708 928655529 /nfs/dbraw/zinc/65/55/29/928655529.db2.gz KQNQHJRIMRONBA-UHFFFAOYSA-N 0 1 271.280 0.753 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H]1CCOC1 ZINC001425981453 928723004 /nfs/dbraw/zinc/72/30/04/928723004.db2.gz LGQZBWLZIKFGNY-WDEREUQCSA-N 0 1 276.764 0.184 20 30 CCEDMN N#Cc1cc(NC(=O)NCCN2CC[C@H](O)C2)ccn1 ZINC001426076752 928752552 /nfs/dbraw/zinc/75/25/52/928752552.db2.gz URVGPNDBHKOZNS-LBPRGKRZSA-N 0 1 275.312 0.141 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](CNC(=O)[C@H]2CCCN2C)C1 ZINC001426848319 928930647 /nfs/dbraw/zinc/93/06/47/928930647.db2.gz VFJLYUSVSNBXGF-WUHRBBMRSA-N 0 1 292.383 0.251 20 30 CCEDMN N#Cc1ccccc1OCC[N@@H+]1CC[C@@H](c2nnn[n-]2)C1 ZINC001363292818 929087679 /nfs/dbraw/zinc/08/76/79/929087679.db2.gz UBYORDCHJXKFNB-GFCCVEGCSA-N 0 1 284.323 0.940 20 30 CCEDMN N#Cc1ccccc1OCC[N@H+]1CC[C@@H](c2nnn[n-]2)C1 ZINC001363292818 929087694 /nfs/dbraw/zinc/08/76/94/929087694.db2.gz UBYORDCHJXKFNB-GFCCVEGCSA-N 0 1 284.323 0.940 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CC(NC(=O)CN2CCCC2)C1 ZINC001428488266 929252093 /nfs/dbraw/zinc/25/20/93/929252093.db2.gz WCWRZEONURYTAJ-HIFPTAJRSA-N 0 1 292.383 0.347 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)NCc1n[nH]c(CO)n1 ZINC001363481051 929284741 /nfs/dbraw/zinc/28/47/41/929284741.db2.gz DAKYVASVPITVSZ-UHFFFAOYSA-N 0 1 271.280 0.407 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)NCc1nnc(CO)[nH]1 ZINC001363481051 929284749 /nfs/dbraw/zinc/28/47/49/929284749.db2.gz DAKYVASVPITVSZ-UHFFFAOYSA-N 0 1 271.280 0.407 20 30 CCEDMN CC(C)c1ncc(CNC(=O)[C@H](C)n2cnc(C#N)n2)[nH]1 ZINC001363702863 929499790 /nfs/dbraw/zinc/49/97/90/929499790.db2.gz CHHQZQYYBVLOFT-VIFPVBQESA-N 0 1 287.327 0.874 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1CN(C(C)=O)C1 ZINC001443906459 929738537 /nfs/dbraw/zinc/73/85/37/929738537.db2.gz JXXAIMMPMLLRFL-LBPRGKRZSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cnc(C)n1C ZINC001445231824 930071980 /nfs/dbraw/zinc/07/19/80/930071980.db2.gz LKYHURCVZLPIDI-JTQLQIEISA-N 0 1 286.763 0.161 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](C)NC(C)=O ZINC001373726685 930315970 /nfs/dbraw/zinc/31/59/70/930315970.db2.gz KYWWMDAJSOHRDZ-GXSJLCMTSA-N 0 1 275.780 0.748 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)C1CN(C(C)=O)C1 ZINC001446430454 930408058 /nfs/dbraw/zinc/40/80/58/930408058.db2.gz PJDTWBAGGLEDBT-ZDUSSCGKSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@@H](C)NC(C)=O ZINC001374194930 930448000 /nfs/dbraw/zinc/44/80/00/930448000.db2.gz LERLVRCTGCBRCP-NXEZZACHSA-N 0 1 275.780 0.700 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](C)S(C)(=O)=O ZINC001374247103 930466561 /nfs/dbraw/zinc/46/65/61/930466561.db2.gz SKMBAIVZFUFWGB-ZJUUUORDSA-N 0 1 296.820 0.609 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](NC(=O)CN2CCCC2)C1 ZINC001447526352 930653022 /nfs/dbraw/zinc/65/30/22/930653022.db2.gz NOQDOSDGKWMABU-SDDRHHMPSA-N 0 1 278.356 0.005 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(C(N)=O)ccn1 ZINC001374855937 930690577 /nfs/dbraw/zinc/69/05/77/930690577.db2.gz VJUXRENODTXJGZ-SECBINFHSA-N 0 1 296.758 0.641 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C[C@H]2CCC(=O)N2)CC1 ZINC001375506154 930901267 /nfs/dbraw/zinc/90/12/67/930901267.db2.gz NSUGOFIYHTYJEA-SNVBAGLBSA-N 0 1 285.775 0.646 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001376781771 931269896 /nfs/dbraw/zinc/26/98/96/931269896.db2.gz URJGRDZPBQFYPV-SECBINFHSA-N 0 1 299.758 0.844 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@@H](C)NC(=O)[C@H](C)C#N)n[nH]1 ZINC001450952778 931362583 /nfs/dbraw/zinc/36/25/83/931362583.db2.gz CYWFDZBOYCPTFG-PSASIEDQSA-N 0 1 277.328 0.455 20 30 CCEDMN Cc1csc(=O)n1CCN(C)CCNC(=O)[C@@H](C)C#N ZINC001377134651 931399326 /nfs/dbraw/zinc/39/93/26/931399326.db2.gz XKPHULWBAOCQMW-JTQLQIEISA-N 0 1 296.396 0.426 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@H](C)NC(=O)CN1CCCC1 ZINC001451223555 931402099 /nfs/dbraw/zinc/40/20/99/931402099.db2.gz ZKKBVZWXSIZTCJ-RYUDHWBXSA-N 0 1 280.372 0.205 20 30 CCEDMN C[N@H+]1CCC[C@@H](CCNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC001610950815 970802874 /nfs/dbraw/zinc/80/28/74/970802874.db2.gz VTXOESMTCYTEJO-SMDDNHRTSA-N 0 1 281.356 0.839 20 30 CCEDMN C[N@H+]1CCC[C@H](CCNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC001610950810 970803268 /nfs/dbraw/zinc/80/32/68/970803268.db2.gz VTXOESMTCYTEJO-BXUZGUMPSA-N 0 1 281.356 0.839 20 30 CCEDMN N#CC[C@@H](O)C[N@@H+](CCC(=O)[O-])C1CCOCC1 ZINC001601075078 970927064 /nfs/dbraw/zinc/92/70/64/970927064.db2.gz DZKFHDHHERKHIE-LLVKDONJSA-N 0 1 256.302 0.217 20 30 CCEDMN N#CC1(CC(=O)OC[C@@H]2CCC[N@@H+]2CC(=O)[O-])CC1 ZINC001611291288 970977538 /nfs/dbraw/zinc/97/75/38/970977538.db2.gz MUQOVBDIOJYKMX-JTQLQIEISA-N 0 1 266.297 0.772 20 30 CCEDMN C=C(C)[C@H](CO)n1cc([N+](=O)[O-])c(-c2nn[nH]n2)n1 ZINC001573300677 947117870 /nfs/dbraw/zinc/11/78/70/947117870.db2.gz SBOTZBHRGZZBDO-ZETCQYMHSA-N 0 1 265.233 0.081 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+](CC(=O)[O-])C1CCC1 ZINC001589730442 950499560 /nfs/dbraw/zinc/49/95/60/950499560.db2.gz YRHVUJQSHCYRQV-UHFFFAOYSA-N 0 1 267.329 0.688 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](CC(=O)[O-])C1CCC1 ZINC001589730442 950499567 /nfs/dbraw/zinc/49/95/67/950499567.db2.gz YRHVUJQSHCYRQV-UHFFFAOYSA-N 0 1 267.329 0.688 20 30 CCEDMN C[C@H]1[C@@H](Nc2cnc(C#N)c(-c3nnn[n-]3)n2)CC[N@H+]1C ZINC001575927740 950754843 /nfs/dbraw/zinc/75/48/43/950754843.db2.gz SZSQNGCHSIJMAW-YUMQZZPRSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@H]1[C@@H](Nc2cnc(C#N)c(-c3nn[n-]n3)n2)CC[N@@H+]1C ZINC001575927740 950754868 /nfs/dbraw/zinc/75/48/68/950754868.db2.gz SZSQNGCHSIJMAW-YUMQZZPRSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@H]1[C@@H](Nc2cnc(C#N)c(-c3nn[n-]n3)n2)CC[N@H+]1C ZINC001575927740 950754876 /nfs/dbraw/zinc/75/48/76/950754876.db2.gz SZSQNGCHSIJMAW-YUMQZZPRSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CC[C@@H]1C(=O)[O-] ZINC001589396464 954383143 /nfs/dbraw/zinc/38/31/43/954383143.db2.gz YYGSSKZKVCXWKV-QWRGUYRKSA-N 0 1 279.340 0.591 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)OC[C@@H]1CCC[N@H+]1CC(=O)[O-] ZINC001594517846 955430420 /nfs/dbraw/zinc/43/04/20/955430420.db2.gz OMKQFEVMPUUWLS-NSHDSACASA-N 0 1 291.307 0.603 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+](CC(=O)[O-])[C@@H](C)CC ZINC000037599315 957316271 /nfs/dbraw/zinc/31/62/71/957316271.db2.gz ODXLFGSJRKDHOS-VIFPVBQESA-N 0 1 271.317 0.183 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+](CC(=O)[O-])[C@@H](C)CC ZINC000037599315 957316282 /nfs/dbraw/zinc/31/62/82/957316282.db2.gz ODXLFGSJRKDHOS-VIFPVBQESA-N 0 1 271.317 0.183 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H](c1ccccc1)[NH+](C)C ZINC001588397351 958056455 /nfs/dbraw/zinc/05/64/55/958056455.db2.gz FVRHNHKAJKJOKS-AWEZNQCLSA-N 0 1 274.320 0.836 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@@H]1CC[N@@H+](CC2CC2)C1 ZINC001588410393 958139138 /nfs/dbraw/zinc/13/91/38/958139138.db2.gz UODQRQKTSPARBW-GFCCVEGCSA-N 0 1 279.340 0.200 20 30 CCEDMN C#CCN(CC(=O)[O-])c1nnc(C[NH+]2CCCC2)n1CC ZINC001588427326 958244396 /nfs/dbraw/zinc/24/43/96/958244396.db2.gz UHAXYGAQVBPHDN-UHFFFAOYSA-N 0 1 291.355 0.418 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC001588431378 958268249 /nfs/dbraw/zinc/26/82/49/958268249.db2.gz XBTSTSRPBAQYSW-AGIUHOORSA-N 0 1 278.352 0.653 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC000104196440 958363185 /nfs/dbraw/zinc/36/31/85/958363185.db2.gz GYCDUFZEHYDEGT-SNVBAGLBSA-N 0 1 280.246 0.628 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@@](C(=O)[O-])(C(F)(F)F)C1 ZINC000104196440 958363198 /nfs/dbraw/zinc/36/31/98/958363198.db2.gz GYCDUFZEHYDEGT-SNVBAGLBSA-N 0 1 280.246 0.628 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1cncc(C#N)c1)[C@H](C)C(=O)[O-] ZINC001588514982 958790343 /nfs/dbraw/zinc/79/03/43/958790343.db2.gz QTHQYXQNXSCQLA-SNVBAGLBSA-N 0 1 290.323 0.364 20 30 CCEDMN C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CNC(=O)[O-])C[C@H]1C ZINC001573338456 962875169 /nfs/dbraw/zinc/87/51/69/962875169.db2.gz BKMQENPIZVBUDQ-NXEZZACHSA-N 0 1 289.763 0.976 20 30 CCEDMN C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CNC(=O)[O-])C[C@H]1C ZINC001573338456 962875178 /nfs/dbraw/zinc/87/51/78/962875178.db2.gz BKMQENPIZVBUDQ-NXEZZACHSA-N 0 1 289.763 0.976 20 30 CCEDMN C=C(Cl)C[N@H+]1CCC[C@H](CNC(=O)CNC(=O)[O-])C1 ZINC001573339344 962889372 /nfs/dbraw/zinc/88/93/72/962889372.db2.gz QSGHAZBRYJSNIO-SNVBAGLBSA-N 0 1 289.763 0.835 20 30 CCEDMN C=C(Cl)C[N@@H+]1CCC[C@H](CNC(=O)CNC(=O)[O-])C1 ZINC001573339344 962889384 /nfs/dbraw/zinc/88/93/84/962889384.db2.gz QSGHAZBRYJSNIO-SNVBAGLBSA-N 0 1 289.763 0.835 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](CS(C)(=O)=O)C1 ZINC000310104723 963381914 /nfs/dbraw/zinc/38/19/14/963381914.db2.gz COQWPRYLFGSEMF-UWVGGRQHSA-N 0 1 261.343 0.382 20 30 CCEDMN C#CCCC[N@H+]1C[C@H](C(=O)OC)CC[C@@H]1C(=O)[O-] ZINC001588446370 964072761 /nfs/dbraw/zinc/07/27/61/964072761.db2.gz IUGDRMNLJBCKOH-GHMZBOCLSA-N 0 1 253.298 0.738 20 30 CCEDMN C[C@@H]1CC[C@H](C(=O)[O-])[N@H+]1C[C@@H](O)CC1(C#N)CCOCC1 ZINC001605011420 972615832 /nfs/dbraw/zinc/61/58/32/972615832.db2.gz OAYOLVIWZMPKKU-FRRDWIJNSA-N 0 1 296.367 0.995 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])[C@@H](C)C(=O)N(C)CCC#N ZINC001603666699 974037807 /nfs/dbraw/zinc/03/78/07/974037807.db2.gz OUBLOCBXNDTFHS-JTQLQIEISA-N 0 1 255.318 0.544 20 30 CCEDMN CCC[N@@H+](CC(=O)[O-])[C@@H](C)C(=O)N(C)CCC#N ZINC001603666699 974037813 /nfs/dbraw/zinc/03/78/13/974037813.db2.gz OUBLOCBXNDTFHS-JTQLQIEISA-N 0 1 255.318 0.544 20 30 CCEDMN C[C@H](CC#N)[NH2+][C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001592221917 977402834 /nfs/dbraw/zinc/40/28/34/977402834.db2.gz OMOLNPXAWOBBCT-ZJUUUORDSA-N 0 1 253.302 0.344 20 30 CCEDMN CC[C@H](C)[N@H+](CC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001594978540 982760999 /nfs/dbraw/zinc/76/09/99/982760999.db2.gz RWKMSRSVIAUXAF-JTQLQIEISA-N 0 1 267.329 0.734 20 30 CCEDMN CC[C@H](C)[N@@H+](CC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001594978540 982761000 /nfs/dbraw/zinc/76/10/00/982761000.db2.gz RWKMSRSVIAUXAF-JTQLQIEISA-N 0 1 267.329 0.734 20 30 CCEDMN C#CC(C)(C)[N@H+](C)CC(=O)N[C@@H](C(=O)[O-])[C@@H](C)CC ZINC001588367496 983299576 /nfs/dbraw/zinc/29/95/76/983299576.db2.gz WGSFZEIQFSNHLZ-CMPLNLGQSA-N 0 1 268.357 0.946 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N1CC[N@@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588369890 983317964 /nfs/dbraw/zinc/31/79/64/983317964.db2.gz ZTWAKGBOQOBEES-NEPJUHHUSA-N 0 1 281.356 0.446 20 30 CCEDMN C#C[C@H]1CCCC[N@@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001588382857 983375465 /nfs/dbraw/zinc/37/54/65/983375465.db2.gz UYGJODDRPRWKNF-ZDUSSCGKSA-N 0 1 278.352 0.797 20 30 CCEDMN C#CC[N@H+](CCC(=O)[O-])C1CCN(CCC(=O)OC)CC1 ZINC001588469509 983502226 /nfs/dbraw/zinc/50/22/26/983502226.db2.gz JEZPWZHBOQTCTB-UHFFFAOYSA-N 0 1 296.367 0.424 20 30 CCEDMN C#CCOCC[N@H+]1Cc2cc(O)ccc2C[C@@H]1C(=O)[O-] ZINC001588491335 983535870 /nfs/dbraw/zinc/53/58/70/983535870.db2.gz KCFJICXLQVPJBN-CQSZACIVSA-N 0 1 275.304 0.853 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[N@H+](C)C[C@H](C(=O)[O-])C2)nc1 ZINC001588510635 983556244 /nfs/dbraw/zinc/55/62/44/983556244.db2.gz GESBACJNRXAHPJ-LBPRGKRZSA-N 0 1 287.319 0.151 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001588536636 983594937 /nfs/dbraw/zinc/59/49/37/983594937.db2.gz ZUMISDGQIJTELP-JQWIXIFHSA-N 0 1 254.286 0.229 20 30 CCEDMN C=C(C)C[N@H+]1CCC2(CN(C(=O)[C@H]3C[C@H]3C(=O)[O-])C2)C1 ZINC001588585433 983663544 /nfs/dbraw/zinc/66/35/44/983663544.db2.gz RGQKGSOWAIETPF-NWDGAFQWSA-N 0 1 278.352 0.818 20 30 CCEDMN CC[N@H+](CCC(=O)[O-])Cn1ccc(C)c(C#N)c1=O ZINC001596316151 983748533 /nfs/dbraw/zinc/74/85/33/983748533.db2.gz WGXPYJFPRCINNU-UHFFFAOYSA-N 0 1 263.297 0.783 20 30 CCEDMN CC[N@@H+](CCC(=O)[O-])Cn1ccc(C)c(C#N)c1=O ZINC001596316151 983748534 /nfs/dbraw/zinc/74/85/34/983748534.db2.gz WGXPYJFPRCINNU-UHFFFAOYSA-N 0 1 263.297 0.783 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)C(=O)c1cc(C(=O)[O-])on1 ZINC001588822870 984292463 /nfs/dbraw/zinc/29/24/63/984292463.db2.gz VEAIRURKQDIPGM-UHFFFAOYSA-N 0 1 281.312 0.953 20 30 CCEDMN C=CCN1CC[C@H]([N@H+](C)Cc2c[nH]c(C(=O)[O-])c2)C1=O ZINC001588838647 984340085 /nfs/dbraw/zinc/34/00/85/984340085.db2.gz HVFHXEYQCPYFIY-LBPRGKRZSA-N 0 1 277.324 0.932 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+](C)Cc2c[nH]c(C(=O)[O-])c2)C1=O ZINC001588838647 984340093 /nfs/dbraw/zinc/34/00/93/984340093.db2.gz HVFHXEYQCPYFIY-LBPRGKRZSA-N 0 1 277.324 0.932 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@@H](C(=O)[O-])[C@@H]2C)C1=O ZINC001588839017 984343392 /nfs/dbraw/zinc/34/33/92/984343392.db2.gz NFSVGOOERVKASZ-AXFHLTTASA-N 0 1 252.314 0.568 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2C[C@@H]3CCC[C@H]3[C@H]2C(=O)[O-])C1=O ZINC001588839109 984344229 /nfs/dbraw/zinc/34/42/29/984344229.db2.gz OJYXFSAKUVEDPC-RNJOBUHISA-N 0 1 278.352 0.958 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)NCCC#N ZINC001594515143 985865665 /nfs/dbraw/zinc/86/56/65/985865665.db2.gz FFCSSTBTAPVOIG-SNVBAGLBSA-N 0 1 268.317 0.090 20 30 CCEDMN CN(C)C(=O)OCC[NH+]1CCC(C#N)(C(=O)[O-])CC1 ZINC001598144019 990606891 /nfs/dbraw/zinc/60/68/91/990606891.db2.gz DSTMWXSUSBWGHH-UHFFFAOYSA-N 0 1 269.301 0.375 20 30 CCEDMN CN(CC(=O)[O-])C(=O)CC[N@@H+]1CCC[C@H](C#N)C1 ZINC001598404669 991713032 /nfs/dbraw/zinc/71/30/32/991713032.db2.gz JUESVFMNZVYBNQ-SNVBAGLBSA-N 0 1 253.302 0.155 20 30 CCEDMN CN(CC(=O)[O-])C(=O)CC[N@H+]1CCC[C@H](C#N)C1 ZINC001598404669 991713041 /nfs/dbraw/zinc/71/30/41/991713041.db2.gz JUESVFMNZVYBNQ-SNVBAGLBSA-N 0 1 253.302 0.155 20 30 CCEDMN C[N@@H+](CCNC(=O)c1ccccc1CC#N)CC(=O)[O-] ZINC001598493237 992332253 /nfs/dbraw/zinc/33/22/53/992332253.db2.gz SUEAOGWHOSKPJX-UHFFFAOYSA-N 0 1 275.308 0.499 20 30 CCEDMN C[N@H+]1CCC[C@@H](NC(=O)N2CCC(C#N)(C(=O)[O-])CC2)C1 ZINC001598596409 993511917 /nfs/dbraw/zinc/51/19/17/993511917.db2.gz LYQAXPGWFJZPAV-LLVKDONJSA-N 0 1 294.355 0.481 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2csc(C#N)c2)C[C@H](C(=O)[O-])C1 ZINC001598613143 993822321 /nfs/dbraw/zinc/82/23/21/993822321.db2.gz KOYVOOQOKBEPAO-SNVBAGLBSA-N 0 1 293.348 0.708 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](CC2(CC#N)CC2)C[C@@H]1C(=O)[O-] ZINC001598818696 996299714 /nfs/dbraw/zinc/29/97/14/996299714.db2.gz BUCJITPWRZWYII-UWVGGRQHSA-N 0 1 266.297 0.486 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](Cc2cncc(C#N)c2)C[C@H]1C(=O)[O-] ZINC001598824548 996368087 /nfs/dbraw/zinc/36/80/87/996368087.db2.gz HLOQAEDQCXLFEP-NEPJUHHUSA-N 0 1 289.291 0.259 20 30 CCEDMN CO[C@@H]1CC[N@@H+](CCO[C@@H](C)C#N)[C@@H](C(=O)[O-])C1 ZINC001599124981 997824137 /nfs/dbraw/zinc/82/41/37/997824137.db2.gz XOTYFUONOWSANC-HBNTYKKESA-N 0 1 256.302 0.479 20 30 CCEDMN C[C@@H]1CN(C(=O)CCCC#N)CC[N@H+]1CCC(=O)[O-] ZINC001594467177 999693955 /nfs/dbraw/zinc/69/39/55/999693955.db2.gz ZWMSXFVCJBGVSR-LLVKDONJSA-N 0 1 267.329 0.688 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](C(=O)OC)[C@@H]2CCC[C@H]21 ZINC000599653411 361773540 /nfs/dbraw/zinc/77/35/40/361773540.db2.gz NTELLOFHRDKERB-RWMBFGLXSA-N 0 1 280.368 0.952 20 30 CCEDMN N#Cc1ccc(NC(=O)NCCN2CC[C@H](O)C2)cc1 ZINC000599700133 361792487 /nfs/dbraw/zinc/79/24/87/361792487.db2.gz WEQJNIAFIPAUHJ-ZDUSSCGKSA-N 0 1 274.324 0.746 20 30 CCEDMN N#Cc1ccc(CNC(=O)NC[C@@H]2COCCN2)c(F)c1 ZINC000599911963 361827922 /nfs/dbraw/zinc/82/79/22/361827922.db2.gz IDPDTPBGWVWHGD-GFCCVEGCSA-N 0 1 292.314 0.485 20 30 CCEDMN N#CC1(CNC(=O)NC[C@H]2COCCN2)CCCCC1 ZINC000599921689 361830041 /nfs/dbraw/zinc/83/00/41/361830041.db2.gz BEHXAIGHVJUBLK-LBPRGKRZSA-N 0 1 280.372 0.748 20 30 CCEDMN CCC(C#N)(CC)C(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000600084503 361864422 /nfs/dbraw/zinc/86/44/22/361864422.db2.gz VSISZAWOOVOYNS-UHFFFAOYSA-N 0 1 290.371 0.779 20 30 CCEDMN CCC(C#N)C(=O)N1C[C@@H](C(=O)OC)CC[C@H]1C(=O)OC ZINC000600340887 361932011 /nfs/dbraw/zinc/93/20/11/361932011.db2.gz PHHYNPXUSLJPCO-VWYCJHECSA-N 0 1 296.323 0.489 20 30 CCEDMN CCn1cnnc1NC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC000329133109 529598399 /nfs/dbraw/zinc/59/83/99/529598399.db2.gz PLLYMLHFWMNZFH-ZETCQYMHSA-N 0 1 261.289 0.809 20 30 CCEDMN CCn1cnnc1NC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC000329133109 529598404 /nfs/dbraw/zinc/59/84/04/529598404.db2.gz PLLYMLHFWMNZFH-ZETCQYMHSA-N 0 1 261.289 0.809 20 30 CCEDMN N#CC1(C(=O)NCCN2CC[C@@H](O)C2)CCCCC1 ZINC000600536700 362006628 /nfs/dbraw/zinc/00/66/28/362006628.db2.gz VRRCYCFXPBJFDE-GFCCVEGCSA-N 0 1 265.357 0.643 20 30 CCEDMN CN1CCN(Cc2cn([C@@H]3CC[C@H](C#N)C3)nn2)CC1 ZINC000600812855 362067767 /nfs/dbraw/zinc/06/77/67/362067767.db2.gz GXBGTBAYDBFIEF-GXTWGEPZSA-N 0 1 274.372 0.890 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)C1(C#N)CC2(CC2)C1 ZINC000601045081 362140802 /nfs/dbraw/zinc/14/08/02/362140802.db2.gz URMKSCAYWPAJRP-CYBMUJFWSA-N 0 1 290.411 0.822 20 30 CCEDMN Cc1nc(NCc2nnc([C@@H]3CCOC3)[nH]2)ccc1C#N ZINC000601198160 362174054 /nfs/dbraw/zinc/17/40/54/362174054.db2.gz PBEZCMVABYPDED-LLVKDONJSA-N 0 1 284.323 0.918 20 30 CCEDMN Cc1nc(NCc2n[nH]c([C@@H]3CCOC3)n2)ccc1C#N ZINC000601198160 362174061 /nfs/dbraw/zinc/17/40/61/362174061.db2.gz PBEZCMVABYPDED-LLVKDONJSA-N 0 1 284.323 0.918 20 30 CCEDMN CN1CC[C@@H](N2CCC(NC(=O)[C@@H]3CCCO3)CC2)C1=O ZINC000329195030 529794735 /nfs/dbraw/zinc/79/47/35/529794735.db2.gz TYXYZHVPDOMIFI-OLZOCXBDSA-N 0 1 295.383 0.817 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N(CC(C)(C)O)C2CC2)C1 ZINC000330277526 530070616 /nfs/dbraw/zinc/07/06/16/530070616.db2.gz OJJVMYSTGJWYFW-CYBMUJFWSA-N 0 1 298.431 0.382 20 30 CCEDMN COCCN(CCC#N)C(=O)CN(C)[C@H]1CCSC1 ZINC000119110684 349919377 /nfs/dbraw/zinc/91/93/77/349919377.db2.gz HSHYKPHIUNITOR-LBPRGKRZSA-N 0 1 285.413 0.812 20 30 CCEDMN N#Cc1cccc2c1CCN2C(=O)CCCc1nn[nH]n1 ZINC000602166796 362538425 /nfs/dbraw/zinc/53/84/25/362538425.db2.gz JVZBQXMXOIXNSC-UHFFFAOYSA-N 0 1 282.307 0.983 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CCN(CCC#N)CC1 ZINC000602200995 362554499 /nfs/dbraw/zinc/55/44/99/362554499.db2.gz WCVVNUIGMSOTDI-GFCCVEGCSA-N 0 1 275.356 0.646 20 30 CCEDMN N#CCCCCNC(=O)NCCN1CC[C@H](O)C1 ZINC000602245941 362566782 /nfs/dbraw/zinc/56/67/82/362566782.db2.gz BLPIRPHBHMKFCU-NSHDSACASA-N 0 1 254.334 0.046 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)Cc1ccc(C#N)nc1 ZINC000602529332 362659666 /nfs/dbraw/zinc/65/96/66/362659666.db2.gz VRXICQNJIPAQLL-CQSZACIVSA-N 0 1 272.352 0.952 20 30 CCEDMN C=CCOCC[NH+]1CCC(c2n[n-]c(=O)o2)CC1 ZINC000295136935 199365655 /nfs/dbraw/zinc/36/56/55/199365655.db2.gz DEBZOMNNRRUREV-UHFFFAOYSA-N 0 1 253.302 0.745 20 30 CCEDMN CCNC(=O)N1CC[C@H](NCc2cccc(C#N)n2)C1 ZINC000602569668 362684975 /nfs/dbraw/zinc/68/49/75/362684975.db2.gz RBYCNLYKNRLKBJ-ZDUSSCGKSA-N 0 1 273.340 0.847 20 30 CCEDMN C[C@H]1CN(Cc2cccc(C#N)n2)CCN1CCO ZINC000602625523 362719652 /nfs/dbraw/zinc/71/96/52/362719652.db2.gz QVOYBIUQJSMWCZ-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)Nc1cccc(C#N)c1 ZINC000339228364 215027807 /nfs/dbraw/zinc/02/78/07/215027807.db2.gz MVKZHGSEYMEDQP-CQSZACIVSA-N 0 1 297.318 0.967 20 30 CCEDMN CN(C)CCN(Cc1ccc(C#N)cc1)S(C)(=O)=O ZINC000060756469 136771054 /nfs/dbraw/zinc/77/10/54/136771054.db2.gz LZSUOIACAZLDNM-UHFFFAOYSA-N 0 1 281.381 0.881 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CC2(O)CCC2)C[C@@H](C)N1CC#N ZINC000602849273 362835934 /nfs/dbraw/zinc/83/59/34/362835934.db2.gz KRVPEHBPJLVRAK-MCIONIFRSA-N 0 1 281.400 0.571 20 30 CCEDMN C[C@H]1CN(CCN2CCOCC2)C[C@H](C)N1CC#N ZINC000602856476 362844263 /nfs/dbraw/zinc/84/42/63/362844263.db2.gz MJJUYROIFLAMFV-KBPBESRZSA-N 0 1 266.389 0.237 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)c1cccc(C#N)c1 ZINC000168808140 136848169 /nfs/dbraw/zinc/84/81/69/136848169.db2.gz DYDLUZHYHMANFO-SNVBAGLBSA-N 0 1 267.354 0.787 20 30 CCEDMN CN(CCN1CCCC1)S(=O)(=O)c1cncc(C#N)c1 ZINC000312307177 137077135 /nfs/dbraw/zinc/07/71/35/137077135.db2.gz ATFMIEFIQAYTDB-UHFFFAOYSA-N 0 1 294.380 0.670 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@H](C(F)F)CC2)[nH]1 ZINC000602881968 362861290 /nfs/dbraw/zinc/86/12/90/362861290.db2.gz MTBCJWFZEMVJGO-VIFPVBQESA-N 0 1 299.281 0.602 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCO[C@H]2CC2(F)F)[nH]1 ZINC000602884410 362862519 /nfs/dbraw/zinc/86/25/19/362862519.db2.gz BNUOCVYDPGOKCM-QMMMGPOBSA-N 0 1 285.254 0.259 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@H](CCO)CC2)[nH]1 ZINC000602886688 362866455 /nfs/dbraw/zinc/86/64/55/362866455.db2.gz MKGGPAFLHQHAMI-NSHDSACASA-N 0 1 291.355 0.730 20 30 CCEDMN N#Cc1ccc(C(=O)NC2(c3nn[nH]n3)CCCC2)cn1 ZINC000346320260 137278905 /nfs/dbraw/zinc/27/89/05/137278905.db2.gz FXBJWYGIRTULOQ-UHFFFAOYSA-N 0 1 283.295 0.666 20 30 CCEDMN CCN(CCC#N)C(=O)CSc1nc(N)cc(N)n1 ZINC000048599748 348418270 /nfs/dbraw/zinc/41/82/70/348418270.db2.gz CGWUNJPCWJLTSZ-UHFFFAOYSA-N 0 1 280.357 0.495 20 30 CCEDMN Cc1ccc(C#N)cc1S(=O)(=O)N(C)Cc1nnc[nH]1 ZINC000080527759 349215885 /nfs/dbraw/zinc/21/58/85/349215885.db2.gz ZVXGAEGYCJKKNM-UHFFFAOYSA-N 0 1 291.336 0.806 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@@H+](C)CCN1CCCC1=O ZINC000131587040 350280276 /nfs/dbraw/zinc/28/02/76/350280276.db2.gz DBKXOQAJLKZHKU-UHFFFAOYSA-N 0 1 280.372 0.303 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2nc[nH]n2)C[C@@H](C)N1CC#N ZINC000603102660 362963635 /nfs/dbraw/zinc/96/36/35/362963635.db2.gz XSWWGSQKIIKLRQ-GHMZBOCLSA-N 0 1 276.344 0.182 20 30 CCEDMN CCN(CCC#N)C(=O)Cn1cc([C@@H](C)NC)nn1 ZINC000603209131 363000424 /nfs/dbraw/zinc/00/04/24/363000424.db2.gz OPSUOYXIQTXRKI-SNVBAGLBSA-N 0 1 264.333 0.321 20 30 CCEDMN Cc1oc(NC(=O)CNC(C)(C)CC(N)=O)c(C#N)c1C ZINC000186228441 351486294 /nfs/dbraw/zinc/48/62/94/351486294.db2.gz SCCTUBUVOSNWQL-UHFFFAOYSA-N 0 1 292.339 0.950 20 30 CCEDMN COc1ccc(C#N)cc1CN1CCC[C@](O)(C(N)=O)C1 ZINC000225481612 352006311 /nfs/dbraw/zinc/00/63/11/352006311.db2.gz WNTGVHLBTTXENF-OAHLLOKOSA-N 0 1 289.335 0.379 20 30 CCEDMN CCOC[C@@H](O)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000265049132 352616376 /nfs/dbraw/zinc/61/63/76/352616376.db2.gz WCEMCYCGRVJOPC-AWEZNQCLSA-N 0 1 290.367 0.473 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000269648157 352858729 /nfs/dbraw/zinc/85/87/29/352858729.db2.gz CSTAZWXHNGNJTE-CQSZACIVSA-N 0 1 286.379 0.842 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](CC)C[C@@H]1CCCO1 ZINC000270735672 352975071 /nfs/dbraw/zinc/97/50/71/352975071.db2.gz OZJWYCVVPSKVPT-NEPJUHHUSA-N 0 1 283.372 0.888 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(CC)C[C@@H]1CCCO1 ZINC000270735672 352975072 /nfs/dbraw/zinc/97/50/72/352975072.db2.gz OZJWYCVVPSKVPT-NEPJUHHUSA-N 0 1 283.372 0.888 20 30 CCEDMN CCN1CCN(Cc2cnc3c(C#N)cnn3c2)CC1 ZINC000555553312 290974815 /nfs/dbraw/zinc/97/48/15/290974815.db2.gz GOUXWQVVWJUBOJ-UHFFFAOYSA-N 0 1 270.340 0.738 20 30 CCEDMN Cc1nnc(SCc2nc(=O)[nH][nH]2)c(C#N)c1C ZINC000272686012 353085377 /nfs/dbraw/zinc/08/53/77/353085377.db2.gz BPHWVUMZQHHGPS-UHFFFAOYSA-N 0 1 262.298 0.669 20 30 CCEDMN CCc1nc(Cn2nc(C)c(C)c(C#N)c2=O)n[nH]1 ZINC000274718365 353156195 /nfs/dbraw/zinc/15/61/95/353156195.db2.gz DEBZMPAZDCBUDC-UHFFFAOYSA-N 0 1 258.285 0.461 20 30 CCEDMN COC(=O)CNC(=O)[C@@H](C)N[C@@H](C)c1ccc(C#N)cc1 ZINC000271673500 191271335 /nfs/dbraw/zinc/27/13/35/191271335.db2.gz OCXWXVLCUVEOCG-WDEREUQCSA-N 0 1 289.335 0.887 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(Cn2ccnn2)CC1 ZINC000155673095 188220199 /nfs/dbraw/zinc/22/01/99/188220199.db2.gz WGFWOQZPXMVZCC-GFCCVEGCSA-N 0 1 277.372 0.681 20 30 CCEDMN CC#CC(=O)NC[C@@H](O)CN1CCc2ccccc2C1 ZINC000278302700 353292868 /nfs/dbraw/zinc/29/28/68/353292868.db2.gz HNXTYKVUKPIIPF-OAHLLOKOSA-N 0 1 272.348 0.545 20 30 CCEDMN C#CCN[C@H](C)c1cccc(S(=O)(=O)NC)c1 ZINC000157192103 188302760 /nfs/dbraw/zinc/30/27/60/188302760.db2.gz ZCOCDTCHEMZOIN-SNVBAGLBSA-N 0 1 252.339 0.879 20 30 CCEDMN N#Cc1cc(C(=O)NC2(c3nnc[nH]3)CCC2)ccn1 ZINC000280744167 353379568 /nfs/dbraw/zinc/37/95/68/353379568.db2.gz GNNMERIANFGRQR-UHFFFAOYSA-N 0 1 268.280 0.881 20 30 CCEDMN CCN(CC(=O)NCc1ccc(C#N)cc1)[C@@H](C)CO ZINC000293474061 353814450 /nfs/dbraw/zinc/81/44/50/353814450.db2.gz RGPPTQNKTNFXEJ-LBPRGKRZSA-N 0 1 275.352 0.877 20 30 CCEDMN COC[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@H]1CCCO1 ZINC000618994219 366140256 /nfs/dbraw/zinc/14/02/56/366140256.db2.gz MPUXCTCAUFBASH-GHMZBOCLSA-N 0 1 293.327 0.029 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)N1CC(F)C1)CC2 ZINC000329306193 354254881 /nfs/dbraw/zinc/25/48/81/354254881.db2.gz BBPWIPQRYLKWFH-VIFPVBQESA-N 0 1 253.281 0.469 20 30 CCEDMN Cc1c(CN(C)CCC(=O)N(C)CCC#N)cnn1C ZINC000619183097 366215054 /nfs/dbraw/zinc/21/50/54/366215054.db2.gz NYSDEQSVGNKWMM-UHFFFAOYSA-N 0 1 277.372 0.923 20 30 CCEDMN C[C@@H](O)CCN1CCN(c2ncccc2C#N)CC1 ZINC000336805107 355181703 /nfs/dbraw/zinc/18/17/03/355181703.db2.gz RZRDFUMEQNSGCJ-GFCCVEGCSA-N 0 1 260.341 0.846 20 30 CCEDMN COc1cc(CN(C)[C@@H]2COC[C@@H]2O)ccc1C#N ZINC000348639353 355958455 /nfs/dbraw/zinc/95/84/55/355958455.db2.gz DIXCNCIOBKYLHG-OLZOCXBDSA-N 0 1 262.309 0.758 20 30 CCEDMN CC(C)(CNCc1nc(C#N)cs1)OCCO ZINC000352862616 356098497 /nfs/dbraw/zinc/09/84/97/356098497.db2.gz PAFZTAKUGTVAHL-UHFFFAOYSA-N 0 1 255.343 0.892 20 30 CCEDMN CC(C)CN1CCN(C(=O)c2cnn(C)c2C#N)CC1 ZINC000353793955 356296306 /nfs/dbraw/zinc/29/63/06/356296306.db2.gz RPVMVPKSCKDVSX-UHFFFAOYSA-N 0 1 275.356 0.706 20 30 CCEDMN CCc1ccnc(CNC(=O)N=c2[nH]n(C)cc2C#N)c1 ZINC000619556396 366280812 /nfs/dbraw/zinc/28/08/12/366280812.db2.gz YUTFAPQOCUPCDI-UHFFFAOYSA-N 0 1 284.323 0.993 20 30 CCEDMN C[C@@H](C(=O)N[C@@H]1CCCc2cn[nH]c21)n1cnc(C#N)n1 ZINC000619614476 366300248 /nfs/dbraw/zinc/30/02/48/366300248.db2.gz HOBONVOBBJNHIY-WCBMZHEXSA-N 0 1 285.311 0.628 20 30 CCEDMN C[C@H](C(=O)NCCN1CC=CCC1)n1cnc(C#N)n1 ZINC000619617454 366301669 /nfs/dbraw/zinc/30/16/69/366301669.db2.gz UYXIIQPXSMTPQC-LLVKDONJSA-N 0 1 274.328 0.089 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCCCC#N)[C@H](C)C1 ZINC000355087138 356573294 /nfs/dbraw/zinc/57/32/94/356573294.db2.gz SBXJDKSLLBSWNP-VXGBXAGGSA-N 0 1 268.361 0.387 20 30 CCEDMN CNC(=O)CCN1CCN(c2cccc(C#N)n2)CC1 ZINC000355106719 356579360 /nfs/dbraw/zinc/57/93/60/356579360.db2.gz PPIGRTJTUXJQRP-UHFFFAOYSA-N 0 1 273.340 0.211 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C2CCC2)CC1 ZINC000609600376 363508601 /nfs/dbraw/zinc/50/86/01/363508601.db2.gz YALGEBRFDGRRNY-UHFFFAOYSA-N 0 1 280.372 0.168 20 30 CCEDMN C[N@H+]1CCC[C@@H](NC(=O)Nc2ccn(CCC#N)n2)C1 ZINC000610326165 363552077 /nfs/dbraw/zinc/55/20/77/363552077.db2.gz IZSMDXJOGKWSPW-LLVKDONJSA-N 0 1 276.344 0.434 20 30 CCEDMN CO[C@H]1CCCC[C@@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000619662414 366315856 /nfs/dbraw/zinc/31/58/56/366315856.db2.gz WBVHREPVIGHBMI-QWRGUYRKSA-N 0 1 277.328 0.793 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@@H]1CCCN(C)C1 ZINC000619708178 366329995 /nfs/dbraw/zinc/32/99/95/366329995.db2.gz CLYBTFDGUXJMDK-LLVKDONJSA-N 0 1 274.390 0.151 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCN(C[C@H]2CCCO2)CC1 ZINC000619715878 366332898 /nfs/dbraw/zinc/33/28/98/366332898.db2.gz XQGDQGUOADTHMW-OLZOCXBDSA-N 0 1 268.357 0.511 20 30 CCEDMN CCSCCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000619727564 366343868 /nfs/dbraw/zinc/34/38/68/366343868.db2.gz HZKFTCRPAWSFSF-UHFFFAOYSA-N 0 1 267.358 0.978 20 30 CCEDMN CC#CCCNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000361424015 357047008 /nfs/dbraw/zinc/04/70/08/357047008.db2.gz XYJIMRYBKAVXAD-ZDUSSCGKSA-N 0 1 266.389 0.335 20 30 CCEDMN CC1(C)[C@@H](O)CCN1CC(=O)NC1(C#N)CCC1 ZINC000361403416 357047361 /nfs/dbraw/zinc/04/73/61/357047361.db2.gz NUTXUXYVLIRXOC-JTQLQIEISA-N 0 1 251.330 0.394 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)CCc2nc[nH]n2)CC1 ZINC000610560038 363575554 /nfs/dbraw/zinc/57/55/54/363575554.db2.gz RESYIXGNAKZCKH-GFCCVEGCSA-N 0 1 290.371 0.430 20 30 CCEDMN C[C@H](O)C[C@H](C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610562326 363575996 /nfs/dbraw/zinc/57/59/96/363575996.db2.gz OTMZISGIYHRRLH-IUCAKERBSA-N 0 1 265.317 0.242 20 30 CCEDMN Cc1nn(C)c(C)c1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610564118 363578655 /nfs/dbraw/zinc/57/86/55/363578655.db2.gz LUGNIYCZLORVKP-UHFFFAOYSA-N 0 1 287.327 0.386 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CCOC[C@H](C2CCC2)C1 ZINC000367833039 357282672 /nfs/dbraw/zinc/28/26/72/357282672.db2.gz QOHJZLCSGMITDB-CYBMUJFWSA-N 0 1 295.383 0.747 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCOC[C@H](C2CCC2)C1 ZINC000367833039 357282675 /nfs/dbraw/zinc/28/26/75/357282675.db2.gz QOHJZLCSGMITDB-CYBMUJFWSA-N 0 1 295.383 0.747 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN([C@H]3CCC[C@H]3O)CC2)c[nH]1 ZINC000376161307 357439202 /nfs/dbraw/zinc/43/92/02/357439202.db2.gz HLZPWLIAQNWPKG-UONOGXRCSA-N 0 1 288.351 0.558 20 30 CCEDMN N#Cc1cccc(NC(=O)CN2CC[C@@H](CC(N)=O)C2)c1 ZINC000377603434 357594186 /nfs/dbraw/zinc/59/41/86/357594186.db2.gz FXYZIBVMZFMJET-LBPRGKRZSA-N 0 1 286.335 0.694 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000405240515 357823804 /nfs/dbraw/zinc/82/38/04/357823804.db2.gz HJBAZHRIDKXGCC-DMDPSCGWSA-N 0 1 251.330 0.204 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1C(=O)NCC1(CC#N)CC1 ZINC000419525735 358172330 /nfs/dbraw/zinc/17/23/30/358172330.db2.gz UFEHRSORFGNXNB-QWHCGFSZSA-N 0 1 294.399 0.777 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)C[C@@H](O)C1CC1 ZINC000610739430 363606014 /nfs/dbraw/zinc/60/60/14/363606014.db2.gz QYEZQBSUKWICLJ-DGCLKSJQSA-N 0 1 267.373 0.697 20 30 CCEDMN COc1ncccc1CNC[C@@H](O)CN(C)CCC#N ZINC000562984819 358555691 /nfs/dbraw/zinc/55/56/91/358555691.db2.gz JSXDHBSCFQOOKN-CYBMUJFWSA-N 0 1 278.356 0.386 20 30 CCEDMN Cc1noc(CNS(=O)(=O)c2ccc(C#N)cc2F)n1 ZINC000562501796 291156166 /nfs/dbraw/zinc/15/61/66/291156166.db2.gz GFMULMHWBKJAGW-UHFFFAOYSA-N 0 1 296.283 0.867 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)N[C@@H]2CCN(CC3CC3)C2)o1 ZINC000588115147 359314313 /nfs/dbraw/zinc/31/43/13/359314313.db2.gz JXLIACVICCPLED-LLVKDONJSA-N 0 1 295.364 0.914 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NC[C@H](C)C#N)[C@@H](C)C1 ZINC000588650828 359328795 /nfs/dbraw/zinc/32/87/95/359328795.db2.gz UZCYNRUVNTZBBE-WOPDTQHZSA-N 0 1 268.361 0.243 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)C(=O)c1cccc(C#N)c1 ZINC000588849415 359341159 /nfs/dbraw/zinc/34/11/59/359341159.db2.gz MYTUPHMBDKEQMF-UHFFFAOYSA-N 0 1 258.237 0.919 20 30 CCEDMN CN1C[C@H]2[C@@H](CCN2S(=O)(=O)c2cccc(C#N)c2)C1 ZINC000589930033 359410907 /nfs/dbraw/zinc/41/09/07/359410907.db2.gz RKFWAMLVQUAZDM-JSGCOSHPSA-N 0 1 291.376 0.883 20 30 CCEDMN CN1C[C@@H]2CCN(S(=O)(=O)c3cccnc3C#N)[C@@H]2C1 ZINC000589928225 359410932 /nfs/dbraw/zinc/41/09/32/359410932.db2.gz DYFVKJMNJRBRTR-CMPLNLGQSA-N 0 1 292.364 0.278 20 30 CCEDMN CC(C)(C#N)CNC[C@@H](O)Cn1cc([N+](=O)[O-])cn1 ZINC000591759389 359655061 /nfs/dbraw/zinc/65/50/61/359655061.db2.gz LCAPGRUILPBGIW-SNVBAGLBSA-N 0 1 267.289 0.292 20 30 CCEDMN Cc1nc(CN2CCN(Cc3ccncc3C#N)CC2)n[nH]1 ZINC000592084925 359751996 /nfs/dbraw/zinc/75/19/96/359751996.db2.gz WVRHWNIOIXMKMV-UHFFFAOYSA-N 0 1 297.366 0.698 20 30 CCEDMN C=CCOCC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000592405211 359833591 /nfs/dbraw/zinc/83/35/91/359833591.db2.gz DFRJSXLNBANRNF-QWHCGFSZSA-N 0 1 268.357 0.247 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC[C@@H](CO)C3)cnc12 ZINC000592497138 359860974 /nfs/dbraw/zinc/86/09/74/359860974.db2.gz WHKLWEMPGXOSDM-LLVKDONJSA-N 0 1 271.324 0.805 20 30 CCEDMN C=CCOCCCC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297685110 200261337 /nfs/dbraw/zinc/26/13/37/200261337.db2.gz IDQAWUGGDCKYAT-UHFFFAOYSA-N 0 1 293.327 0.618 20 30 CCEDMN CC[C@@H]1CC[C@@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)O1 ZINC000592655075 359910709 /nfs/dbraw/zinc/91/07/09/359910709.db2.gz VLFLMJDVFCVOMO-KOLCDFICSA-N 0 1 262.313 0.918 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000592853042 359957334 /nfs/dbraw/zinc/95/73/34/359957334.db2.gz OYUNZLZHAQDAFW-OAHLLOKOSA-N 0 1 297.318 0.962 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000592853041 359957468 /nfs/dbraw/zinc/95/74/68/359957468.db2.gz OYUNZLZHAQDAFW-HNNXBMFYSA-N 0 1 297.318 0.962 20 30 CCEDMN CN1C[C@@H]2CCN(S(=O)(=O)c3ccsc3C#N)[C@@H]2C1 ZINC000593597806 360119343 /nfs/dbraw/zinc/11/93/43/360119343.db2.gz KOZOCOCVFQXEOE-VHSXEESVSA-N 0 1 297.405 0.944 20 30 CCEDMN CN1CC[C@@H]2CN(S(=O)(=O)c3ccsc3C#N)C[C@@H]21 ZINC000593600534 360119498 /nfs/dbraw/zinc/11/94/98/360119498.db2.gz GIYYNPLOOXKFAA-ZJUUUORDSA-N 0 1 297.405 0.944 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000593636903 360125521 /nfs/dbraw/zinc/12/55/21/360125521.db2.gz ZBMPJLZPAZEVAR-NWDGAFQWSA-N 0 1 267.373 0.840 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)N1CCNC[C@@H]1C ZINC000593904271 360202409 /nfs/dbraw/zinc/20/24/09/360202409.db2.gz QQNMGMIAQHAOLG-NSHDSACASA-N 0 1 289.335 0.766 20 30 CCEDMN N#CCC(=O)N1CCN(CC[C@@H]2CCCO2)CC1 ZINC000594003502 360233561 /nfs/dbraw/zinc/23/35/61/360233561.db2.gz NWTKCYNEQVEQAZ-LBPRGKRZSA-N 0 1 251.330 0.613 20 30 CCEDMN CN(C[C@H](O)C(F)(F)F)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611388905 363712344 /nfs/dbraw/zinc/71/23/44/363712344.db2.gz ROFCXMCXKWVIRX-ZETCQYMHSA-N 0 1 291.233 0.101 20 30 CCEDMN Cn1ccc(-c2cc(C(=O)N3CC[C@@](O)(CC#N)C3)n[nH]2)c1 ZINC000594373852 360286573 /nfs/dbraw/zinc/28/65/73/360286573.db2.gz IVDJPRRBCNDSLA-HNNXBMFYSA-N 0 1 299.334 0.906 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)s1 ZINC000594393873 360290687 /nfs/dbraw/zinc/29/06/87/360290687.db2.gz SDQLIQGYYZVNAY-VHSXEESVSA-N 0 1 277.349 0.511 20 30 CCEDMN Cc1cc(C#N)nc(NCc2n[nH]c([C@@H]3CCCO3)n2)n1 ZINC000594589796 360336971 /nfs/dbraw/zinc/33/69/71/360336971.db2.gz OVIHQIBHSUTNLM-JTQLQIEISA-N 0 1 285.311 0.660 20 30 CCEDMN N#Cc1ccc2c(c1)CN(C(=O)C[C@@H]1COCCN1)C2 ZINC000594451802 360316195 /nfs/dbraw/zinc/31/61/95/360316195.db2.gz GCDUQTGQUXDPJI-CQSZACIVSA-N 0 1 271.320 0.779 20 30 CCEDMN CC[C@H](CC#N)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594768101 360379605 /nfs/dbraw/zinc/37/96/05/360379605.db2.gz JFDZAGNBTVNRBK-MRVPVSSYSA-N 0 1 284.297 0.960 20 30 CCEDMN C[C@@H]([N-]S(=O)(=O)c1ccc(C#N)o1)[C@H]1CCC[NH2+]C1 ZINC000595106428 360436933 /nfs/dbraw/zinc/43/69/33/360436933.db2.gz WZBCFEFRKSTSQM-ZJUUUORDSA-N 0 1 283.353 0.818 20 30 CCEDMN COC[C@H](CN1CCN(c2ccc(C#N)cn2)CC1)OC ZINC000595295418 360485111 /nfs/dbraw/zinc/48/51/11/360485111.db2.gz MCIZOHNBKMRSHW-AWEZNQCLSA-N 0 1 290.367 0.737 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N(CC)[C@@H](C)C#N ZINC000595357315 360506906 /nfs/dbraw/zinc/50/69/06/360506906.db2.gz MZGSCEUSDJTKEH-NSHDSACASA-N 0 1 261.391 0.892 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N1CC[C@@](C)(C#N)C1 ZINC000595552255 360577339 /nfs/dbraw/zinc/57/73/39/360577339.db2.gz RKQOFMJMFPDCTN-HIFRSBDPSA-N 0 1 293.415 0.567 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@@H]1CCO[C@@H]1C(=O)OC ZINC000595666916 360613812 /nfs/dbraw/zinc/61/38/12/360613812.db2.gz MPWNYTKBHCVJGY-YPMHNXCESA-N 0 1 284.356 0.331 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H]1[C@H](C)O ZINC000595766905 360645382 /nfs/dbraw/zinc/64/53/82/360645382.db2.gz SJHUHYGFAYDSAQ-FRRDWIJNSA-N 0 1 267.373 0.840 20 30 CCEDMN N#Cc1cc(CNC2(C(N)=O)CCOCC2)ccc1F ZINC000595728388 360631173 /nfs/dbraw/zinc/63/11/73/360631173.db2.gz NBPRCXWRKINGTK-UHFFFAOYSA-N 0 1 277.299 0.821 20 30 CCEDMN C[C@H]1CN(CC(=O)NC2(C#N)CCC2)C[C@H](C)C1O ZINC000595732847 360633051 /nfs/dbraw/zinc/63/30/51/360633051.db2.gz PIQQUODJIUSSSY-QWRGUYRKSA-N 0 1 265.357 0.498 20 30 CCEDMN N#Cc1ccc(CC[NH+]2CCN(C(=O)C(=O)[O-])CC2)cc1 ZINC000595876456 360688312 /nfs/dbraw/zinc/68/83/12/360688312.db2.gz CIZGKEJHVQJJIF-UHFFFAOYSA-N 0 1 287.319 0.330 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1C[C@@H](C)[C@](C)(CO)C1 ZINC000595876311 360688428 /nfs/dbraw/zinc/68/84/28/360688428.db2.gz RPMSTCXOYCEOFV-VHDGCEQUSA-N 0 1 281.400 0.991 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@H]2COC[C@H]2C1 ZINC000595833905 360673661 /nfs/dbraw/zinc/67/36/61/360673661.db2.gz SUHYGHOPEWZDPS-FRRDWIJNSA-N 0 1 277.368 0.906 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)C(=O)N2CC[C@@](C)(C#N)C2)CCN1 ZINC000595983504 360759099 /nfs/dbraw/zinc/75/90/99/360759099.db2.gz POJXTSKZNCWVJO-DOMZBBRYSA-N 0 1 292.383 0.205 20 30 CCEDMN Cn1ncc(C#N)c1N1CC[C@H](CN2CCOCC2)C1 ZINC000596139691 360808930 /nfs/dbraw/zinc/80/89/30/360808930.db2.gz FBGZWYMYCGVYFV-GFCCVEGCSA-N 0 1 275.356 0.450 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)nn2)[C@@H](C)CN1CCO ZINC000596275331 360863713 /nfs/dbraw/zinc/86/37/13/360863713.db2.gz QCTSYLXRNOGDAD-MNOVXSKESA-N 0 1 261.329 0.240 20 30 CCEDMN C=CCN1CCC(NC(=O)C(=O)c2cnn(CC)c2)CC1 ZINC000179344155 189187655 /nfs/dbraw/zinc/18/76/55/189187655.db2.gz CETHKAGVSBYFSE-UHFFFAOYSA-N 0 1 290.367 0.852 20 30 CCEDMN CCC[C@H](C#N)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597040177 360999787 /nfs/dbraw/zinc/99/97/87/360999787.db2.gz SOBGCCLEAXYMKG-MRVPVSSYSA-N 0 1 297.336 0.462 20 30 CCEDMN C[C@H](CC#N)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000597233885 361038242 /nfs/dbraw/zinc/03/82/42/361038242.db2.gz BYRXPLCCAMAPEN-NEPJUHHUSA-N 0 1 252.362 0.288 20 30 CCEDMN Cc1nc(CC(=O)Nc2cc(CC#N)ccn2)n[nH]1 ZINC000597389291 361082602 /nfs/dbraw/zinc/08/26/02/361082602.db2.gz NBZSAQXWYHUGEI-UHFFFAOYSA-N 0 1 256.269 0.755 20 30 CCEDMN COCc1nc(CNC(=O)c2cccc(CC#N)c2)n[nH]1 ZINC000598053519 361325252 /nfs/dbraw/zinc/32/52/52/361325252.db2.gz DPYUJFQDSDYVFV-UHFFFAOYSA-N 0 1 285.307 0.947 20 30 CCEDMN COCc1nnc(CNC(=O)c2cccc(CC#N)c2)[nH]1 ZINC000598053519 361325257 /nfs/dbraw/zinc/32/52/57/361325257.db2.gz DPYUJFQDSDYVFV-UHFFFAOYSA-N 0 1 285.307 0.947 20 30 CCEDMN CN(CC(=O)N1CCCC1)C[C@@H](O)CC(C)(C)C#N ZINC000598585179 361448297 /nfs/dbraw/zinc/44/82/97/361448297.db2.gz WECWAWHGLPPZGG-LBPRGKRZSA-N 0 1 267.373 0.841 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)C[N@H+]1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000598617448 361459983 /nfs/dbraw/zinc/45/99/83/361459983.db2.gz RNLRWUQVCVJEGU-WDEREUQCSA-N 0 1 293.371 0.990 20 30 CCEDMN CNC(=O)[C@]1(COC)CCCN1C[C@@H](O)CC(C)(C)C#N ZINC000598623818 361464340 /nfs/dbraw/zinc/46/43/40/361464340.db2.gz CNLXHUDSJVTJPA-SWLSCSKDSA-N 0 1 297.399 0.514 20 30 CCEDMN C[C@H](CC#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599221024 361614575 /nfs/dbraw/zinc/61/45/75/361614575.db2.gz JYHMBSDEOCABHS-MRVPVSSYSA-N 0 1 282.325 0.485 20 30 CCEDMN CC(C)NC(=O)CN(C)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000599260585 361623323 /nfs/dbraw/zinc/62/33/23/361623323.db2.gz BXOQGDABKHFFSN-ZDUSSCGKSA-N 0 1 297.399 0.514 20 30 CCEDMN Cc1nnc([C@H](C)NC(=O)N=c2[nH]n(C)cc2C#N)s1 ZINC000612752047 364008121 /nfs/dbraw/zinc/00/81/21/364008121.db2.gz OPHPLUAVDJCBOA-LURJTMIESA-N 0 1 291.340 0.756 20 30 CCEDMN C[C@H](CO)CN1CCN(c2cccc(C#N)n2)CC1 ZINC000612830656 364019569 /nfs/dbraw/zinc/01/95/69/364019569.db2.gz MTFONXIRXBWWFM-LBPRGKRZSA-N 0 1 260.341 0.704 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCN(C2CCOCC2)C[C@@H]1C ZINC000614130311 364510594 /nfs/dbraw/zinc/51/05/94/364510594.db2.gz NDOCPQLYMPCMAO-WCQYABFASA-N 0 1 268.357 0.245 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000614387301 364591111 /nfs/dbraw/zinc/59/11/11/364591111.db2.gz AFUWJCQTDIANCQ-HTQZYQBOSA-N 0 1 253.258 0.028 20 30 CCEDMN N#Cc1ccc(C2(C(=O)NCc3nn[nH]n3)CC2)cc1 ZINC000614832081 364738546 /nfs/dbraw/zinc/73/85/46/364738546.db2.gz RUQOJIQYLMKPGW-UHFFFAOYSA-N 0 1 268.280 0.419 20 30 CCEDMN CC1(C)CN(Cc2ccnc(C#N)c2)CCN1CCO ZINC000614920930 364782315 /nfs/dbraw/zinc/78/23/15/364782315.db2.gz ZHDVHJOVZDJWOY-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN CCN1CCN(CC(=O)NC2(C#N)CCC2)C[C@H]1C ZINC000615226923 364890037 /nfs/dbraw/zinc/89/00/37/364890037.db2.gz BVXUITSOWWUKFS-GFCCVEGCSA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(C)CCNC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000615826866 365099682 /nfs/dbraw/zinc/09/96/82/365099682.db2.gz QSAHGOYEYMBGPY-UHFFFAOYSA-N 0 1 284.337 0.736 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000615851074 365110076 /nfs/dbraw/zinc/11/00/76/365110076.db2.gz PNPBZQIDBFDIEO-NGZCFLSTSA-N 0 1 262.313 0.684 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)c1ccc(C#N)[nH]1 ZINC000275385325 193016934 /nfs/dbraw/zinc/01/69/34/193016934.db2.gz BYUVOESEXLNQNL-NSHDSACASA-N 0 1 290.367 0.804 20 30 CCEDMN CC(C)N(Cc1cnc2c(C#N)cnn2c1)C[C@@H](O)CO ZINC000566241704 291382281 /nfs/dbraw/zinc/38/22/81/291382281.db2.gz IBHQJEWVQYRKFX-CYBMUJFWSA-N 0 1 289.339 0.165 20 30 CCEDMN C[C@@H]1CN(C(=O)CNc2ccc(C#N)cn2)[C@H](C)CN1C ZINC000330617364 203045760 /nfs/dbraw/zinc/04/57/60/203045760.db2.gz WYVUVCRQXHWORV-VXGBXAGGSA-N 0 1 287.367 0.338 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C[C@H](C)O)[C@@H](C)CO ZINC000276218444 193246867 /nfs/dbraw/zinc/24/68/67/193246867.db2.gz QFGPKNQSFIMILV-STQMWFEESA-N 0 1 270.373 0.251 20 30 CCEDMN C=CCCn1cc(CNCC[C@@H](O)C(F)F)nn1 ZINC000631215169 370424816 /nfs/dbraw/zinc/42/48/16/370424816.db2.gz LWEVAGQMBBNQQF-SNVBAGLBSA-N 0 1 260.288 0.960 20 30 CCEDMN C=CCCNS(=O)(=O)CCCN1CCN(C)CC1 ZINC000632549415 371134019 /nfs/dbraw/zinc/13/40/19/371134019.db2.gz SBTLWQNZKIGQHU-UHFFFAOYSA-N 0 1 275.418 0.119 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)C(C)(C)CN1CCOCC1 ZINC000636112165 373372353 /nfs/dbraw/zinc/37/23/53/373372353.db2.gz PGNOGZPMGGBNNC-CYBMUJFWSA-N 0 1 282.384 0.235 20 30 CCEDMN C=CCN1CC[C@@H]([NH+]2CCC(c3n[n-]c(=N)o3)CC2)C1=O ZINC000639724190 375954149 /nfs/dbraw/zinc/95/41/49/375954149.db2.gz KAXSOFQMUILKSC-LLVKDONJSA-N 0 1 291.355 0.448 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CCC[C@@H](c3n[n-]c(=N)o3)C2)C1=O ZINC000639822790 376030944 /nfs/dbraw/zinc/03/09/44/376030944.db2.gz SSQDRFSCTUZJSU-MNOVXSKESA-N 0 1 291.355 0.448 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H](O)C[C@]1(C)CO ZINC000639857814 376087418 /nfs/dbraw/zinc/08/74/18/376087418.db2.gz VPTWEQDPMNPEHH-GXTWGEPZSA-N 0 1 268.357 0.005 20 30 CCEDMN N#Cc1cc(CN(CCO)CCOCCO)ccc1F ZINC000459791343 249062792 /nfs/dbraw/zinc/06/27/92/249062792.db2.gz NBAOLXUSCUKBQZ-UHFFFAOYSA-N 0 1 282.315 0.501 20 30 CCEDMN C=CCCCNC(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC000642359058 377569953 /nfs/dbraw/zinc/56/99/53/377569953.db2.gz SKLFZIPNLBIAGS-GFCCVEGCSA-N 0 1 267.373 0.525 20 30 CCEDMN N#Cc1csc(CNC[C@@H](CO)[C@H]2CCOC2)n1 ZINC000570011736 291726780 /nfs/dbraw/zinc/72/67/80/291726780.db2.gz LSVJRHARYYRYBM-UWVGGRQHSA-N 0 1 267.354 0.749 20 30 CCEDMN C=CCCn1cc(CNC[C@@]2(O)CCSC2)nn1 ZINC000657382262 412978406 /nfs/dbraw/zinc/97/84/06/412978406.db2.gz BZAYQWWYSZOACO-LBPRGKRZSA-N 0 1 268.386 0.812 20 30 CCEDMN C[C@@H](NC(=O)N[C@@H](C)c1nnc[nH]1)[C@@H]1CCOC1 ZINC000329260782 533105747 /nfs/dbraw/zinc/10/57/47/533105747.db2.gz CXNAHRGDMOUGOC-HRDYMLBCSA-N 0 1 253.306 0.794 20 30 CCEDMN CC[C@]1(C)NC(=O)N(NC(=O)c2cc(C#N)oc2C)C1=O ZINC000281178320 195137048 /nfs/dbraw/zinc/13/70/48/195137048.db2.gz DVPHNXRWNBBJCF-ZDUSSCGKSA-N 0 1 290.279 0.825 20 30 CCEDMN C[C@@H]1CCCN(CC(=O)N[C@](C)(C#N)C2CC2)[C@@H]1CO ZINC000305151737 296387928 /nfs/dbraw/zinc/38/79/28/296387928.db2.gz AIBTVMWLCZGKFW-UXIGCNINSA-N 0 1 279.384 0.888 20 30 CCEDMN C=CCCCNC(=O)C(=O)NCC[N@@H+]1CCC[C@H](C)C1 ZINC000283882502 388770755 /nfs/dbraw/zinc/77/07/55/388770755.db2.gz DYLABDOOWGXMQI-ZDUSSCGKSA-N 0 1 281.400 0.917 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1CCC[C@@](O)(C(N)=O)C1 ZINC000286232989 388838313 /nfs/dbraw/zinc/83/83/13/388838313.db2.gz PQYHDRFYSNYUCY-DOMZBBRYSA-N 0 1 297.399 0.112 20 30 CCEDMN CSc1nn(CC(=O)NC2(C#N)CCCCC2)c(=O)[nH]1 ZINC000188174202 388917314 /nfs/dbraw/zinc/91/73/14/388917314.db2.gz AWOWIYUYUADJJO-UHFFFAOYSA-N 0 1 295.368 0.636 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@@H]1CCO ZINC000049801330 388924343 /nfs/dbraw/zinc/92/43/43/388924343.db2.gz SPYWNCURFXMJES-LLVKDONJSA-N 0 1 269.345 0.235 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@H](n2cccn2)C1 ZINC000291710493 388934105 /nfs/dbraw/zinc/93/41/05/388934105.db2.gz IOKMRCVFXHQLQS-NEPJUHHUSA-N 0 1 291.355 0.530 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](n2cccn2)C1 ZINC000291710494 388935172 /nfs/dbraw/zinc/93/51/72/388935172.db2.gz IOKMRCVFXHQLQS-NWDGAFQWSA-N 0 1 291.355 0.530 20 30 CCEDMN CCN(C)CCNS(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000292850559 388963199 /nfs/dbraw/zinc/96/31/99/388963199.db2.gz CMXLZSHLAQQABQ-UHFFFAOYSA-N 0 1 285.344 0.927 20 30 CCEDMN C#CCNC(=O)CCN1CCN(C2=NC[C@@H](C)S2)CC1 ZINC000293681906 388986519 /nfs/dbraw/zinc/98/65/19/388986519.db2.gz BBBVCCBNZUFPCL-GFCCVEGCSA-N 0 1 294.424 0.235 20 30 CCEDMN C=CCOCCN(CCC)[C@H]1CC(=O)N(C)C1=O ZINC000294182218 388991851 /nfs/dbraw/zinc/99/18/51/388991851.db2.gz QNTQYGZBEJKDRV-NSHDSACASA-N 0 1 254.330 0.658 20 30 CCEDMN CCN(C)CCNS(=O)(=O)N(CC)C[C@H](C)C#N ZINC000336911339 389031027 /nfs/dbraw/zinc/03/10/27/389031027.db2.gz IKPIPSDYFWYLJU-LLVKDONJSA-N 0 1 276.406 0.254 20 30 CCEDMN COc1ccc(CN[C@H]2CCS(=O)(=O)C2)cc1C#N ZINC000087822251 389011995 /nfs/dbraw/zinc/01/19/95/389011995.db2.gz RZQBJUIHXCPNLJ-LBPRGKRZSA-N 0 1 280.349 0.844 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCCSCC1 ZINC000089984113 389017955 /nfs/dbraw/zinc/01/79/55/389017955.db2.gz GSBCRZAOEYYGLS-JTQLQIEISA-N 0 1 271.386 0.826 20 30 CCEDMN CCNS(=O)(=O)[C@@H]1CCN(CCCCC#N)C1 ZINC000092841758 389032613 /nfs/dbraw/zinc/03/26/13/389032613.db2.gz RCINUAPSOZPXTE-LLVKDONJSA-N 0 1 259.375 0.694 20 30 CCEDMN C=CCN1CC[C@H](N2CCC([C@H](C)O)CC2)C1=O ZINC000337131937 389046805 /nfs/dbraw/zinc/04/68/05/389046805.db2.gz AGIIHUMYRPWUFG-AAEUAGOBSA-N 0 1 252.358 0.866 20 30 CCEDMN C=CCN1CC[C@@H](N[C@H](C(=O)NC)C(C)C)C1=O ZINC000337156142 389048017 /nfs/dbraw/zinc/04/80/17/389048017.db2.gz AAAQVPIVVSUEIN-MNOVXSKESA-N 0 1 253.346 0.134 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](O)CSC)C1=O ZINC000337199735 389052987 /nfs/dbraw/zinc/05/29/87/389052987.db2.gz BEXHALFZWWDIOM-GHMZBOCLSA-N 0 1 258.387 0.429 20 30 CCEDMN CC1(C)CN(c2ccc(C#N)cn2)CCN1CCO ZINC000302540073 389072755 /nfs/dbraw/zinc/07/27/55/389072755.db2.gz NMRLHCXUHNUTRE-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCCC[C@@H]1[C@H](C)O ZINC000303994127 389080856 /nfs/dbraw/zinc/08/08/56/389080856.db2.gz OHDZNPAPAGWEQG-QWHCGFSZSA-N 0 1 267.373 0.984 20 30 CCEDMN C=CCC[C@@H](O)CN(C)CC(=O)N1CCOCC1 ZINC000305075676 389084092 /nfs/dbraw/zinc/08/40/92/389084092.db2.gz KXVSUAHNBAMHIW-GFCCVEGCSA-N 0 1 256.346 0.104 20 30 CCEDMN C[C@H]1CCCN(CC(=O)N(CCC#N)CCC#N)[C@H]1CO ZINC000305445473 389085766 /nfs/dbraw/zinc/08/57/66/389085766.db2.gz PDTPFRZMOWDWIJ-KBPBESRZSA-N 0 1 292.383 0.735 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H]([C@@H](C)O)C1 ZINC000305868631 389089838 /nfs/dbraw/zinc/08/98/38/389089838.db2.gz NQOBUOVSTOGZBD-NEPJUHHUSA-N 0 1 253.346 0.451 20 30 CCEDMN CCNS(=O)(=O)[C@H]1CCN(CCCSCC#N)C1 ZINC000092843979 389034019 /nfs/dbraw/zinc/03/40/19/389034019.db2.gz ZQBZGGYTQSFEAV-NSHDSACASA-N 0 1 291.442 0.647 20 30 CCEDMN CCN(CCC#N)C[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000093697235 389036451 /nfs/dbraw/zinc/03/64/51/389036451.db2.gz HEZQATXAAMKYCG-LBPRGKRZSA-N 0 1 273.402 0.894 20 30 CCEDMN O=C(CN1CCOC[C@H]1c1nnc[nH]1)NC1CC1 ZINC000328930380 389145427 /nfs/dbraw/zinc/14/54/27/389145427.db2.gz ZAUVRBNFSSWRIX-VIFPVBQESA-N 0 1 251.290 0.297 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000358291902 389147255 /nfs/dbraw/zinc/14/72/55/389147255.db2.gz USXAYMYWUXXUIX-UWVGGRQHSA-N 0 1 264.329 0.503 20 30 CCEDMN CCN(CCC#N)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000338309735 389107564 /nfs/dbraw/zinc/10/75/64/389107564.db2.gz VYRTUHDCDMWNGG-NSHDSACASA-N 0 1 259.375 0.503 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2cc(C#N)cn2C)n1 ZINC000355684358 389111203 /nfs/dbraw/zinc/11/12/03/389111203.db2.gz PLUBSWJIUDLIIH-UHFFFAOYSA-N 0 1 258.285 0.296 20 30 CCEDMN N#Cc1cccc(CN2CCn3c(=O)[nH]nc3C2)c1 ZINC000355726616 389115215 /nfs/dbraw/zinc/11/52/15/389115215.db2.gz ANLXYHCZMQYPSK-UHFFFAOYSA-N 0 1 255.281 0.871 20 30 CCEDMN Cc1nnc(N2CCCN(CCO)CC2)c(C#N)c1C ZINC000112799271 389127249 /nfs/dbraw/zinc/12/72/49/389127249.db2.gz WYMDPMPPRWWIMO-UHFFFAOYSA-N 0 1 275.356 0.470 20 30 CCEDMN CC[N@H+]1CCCC[C@@H]1CNC(=O)N1CC(NC(=O)NC)C1 ZINC000328684804 389131623 /nfs/dbraw/zinc/13/16/23/389131623.db2.gz FGSJNSNNZKGXAE-GFCCVEGCSA-N 0 1 297.403 0.592 20 30 CCEDMN O=C(NCCN1CCC1)N[C@@H]1CCOC2(CCOCC2)C1 ZINC000328720777 389133716 /nfs/dbraw/zinc/13/37/16/389133716.db2.gz QUPUGIKCQVQGKZ-CYBMUJFWSA-N 0 1 297.399 0.924 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC([O-])=[NH+][C@H]1CC[C@H](O)CC1)CC2 ZINC000328733610 389134735 /nfs/dbraw/zinc/13/47/35/389134735.db2.gz JQJIVODEIOVLKC-SRVKXCTJSA-N 0 1 293.371 0.708 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)N[C@H]1CC[C@H](O)CC1)CC2 ZINC000328733610 389134739 /nfs/dbraw/zinc/13/47/39/389134739.db2.gz JQJIVODEIOVLKC-SRVKXCTJSA-N 0 1 293.371 0.708 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@@H](C)N(C)[C@@H](C)C2)C1=O ZINC000339626441 389136369 /nfs/dbraw/zinc/13/63/69/389136369.db2.gz BMSARFHBCJEIQW-FRRDWIJNSA-N 0 1 251.374 0.798 20 30 CCEDMN CCN(CC(=O)N[C@@](C)(C#N)C(C)C)[C@@H](C)CO ZINC000339627829 389136753 /nfs/dbraw/zinc/13/67/53/389136753.db2.gz LICXRDROUBSQJY-AAEUAGOBSA-N 0 1 255.362 0.744 20 30 CCEDMN C[C@@H](NC(=O)NCc1ncnn1C(C)(C)C)c1nnc[nH]1 ZINC000328810373 389139311 /nfs/dbraw/zinc/13/93/11/389139311.db2.gz OIGQXAYLHJQMFR-MRVPVSSYSA-N 0 1 292.347 0.916 20 30 CCEDMN O=C(NCCn1cccn1)N[C@@H]1CCO[C@H]1C1CC1 ZINC000328841379 389140762 /nfs/dbraw/zinc/14/07/62/389140762.db2.gz PIMSGNFMHOCZTJ-NEPJUHHUSA-N 0 1 264.329 0.954 20 30 CCEDMN [O-]C(N[C@@H]1CCO[C@H]1C1CC1)=[NH+]CCn1cccn1 ZINC000328841379 389140764 /nfs/dbraw/zinc/14/07/64/389140764.db2.gz PIMSGNFMHOCZTJ-NEPJUHHUSA-N 0 1 264.329 0.954 20 30 CCEDMN N#C[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3[nH]cnc3C2)CCO1 ZINC000329745775 389195954 /nfs/dbraw/zinc/19/59/54/389195954.db2.gz RLBBBSMLOSQSKJ-NXEZZACHSA-N 0 1 275.312 0.405 20 30 CCEDMN C=C[C@@H](CO)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000360489650 389198457 /nfs/dbraw/zinc/19/84/57/389198457.db2.gz HKPXBLZVOCOWLU-IUCAKERBSA-N 0 1 250.302 0.113 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)CSc1nnc(C)[nH]1 ZINC000342216829 389200557 /nfs/dbraw/zinc/20/05/57/389200557.db2.gz VNXYKFFOTLCADB-SECBINFHSA-N 0 1 270.358 0.506 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)CSc1nc(C)n[nH]1 ZINC000342216829 389200561 /nfs/dbraw/zinc/20/05/61/389200561.db2.gz VNXYKFFOTLCADB-SECBINFHSA-N 0 1 270.358 0.506 20 30 CCEDMN C[C@H](C#N)CN(C)C[C@H](O)CN1C[C@H](C)O[C@@H](C)C1 ZINC000358538108 389154155 /nfs/dbraw/zinc/15/41/55/389154155.db2.gz BZBRJRDGQRBOIR-RFGFWPKPSA-N 0 1 269.389 0.548 20 30 CCEDMN CC(C)(C#N)CNC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000358617402 389155622 /nfs/dbraw/zinc/15/56/22/389155622.db2.gz KPLKQFMQXBZHSD-CYBMUJFWSA-N 0 1 294.399 0.900 20 30 CCEDMN COCC[N@H+]1CC[C@H](NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC000329338408 389158249 /nfs/dbraw/zinc/15/82/49/389158249.db2.gz YRKBOTCKBWISTR-QWRGUYRKSA-N 0 1 269.345 0.142 20 30 CCEDMN COCC[N@H+]1CC[C@H](NC(=O)[C@H]2CCC(=O)N2)C1 ZINC000329338759 389158495 /nfs/dbraw/zinc/15/84/95/389158495.db2.gz YTQUDGDLKXVOEC-VHSXEESVSA-N 0 1 255.318 0.783 20 30 CCEDMN COCCN1CC[C@H](NC(=O)[C@H]2CCC(=O)N2)C1 ZINC000329338759 389158497 /nfs/dbraw/zinc/15/84/97/389158497.db2.gz YTQUDGDLKXVOEC-VHSXEESVSA-N 0 1 255.318 0.783 20 30 CCEDMN CCN1CCOC[C@@H]1C(=O)N[C@H](C)c1nnc[nH]1 ZINC000329387929 389161358 /nfs/dbraw/zinc/16/13/58/389161358.db2.gz QJFVBUFYJNLKKS-RKDXNWHRSA-N 0 1 253.306 0.543 20 30 CCEDMN Cc1nnc2n1C[C@H](CNC(=O)NC1(CO)CCC1)CC2 ZINC000329820433 389204399 /nfs/dbraw/zinc/20/43/99/389204399.db2.gz GVQDZBKTBKYRCH-NSHDSACASA-N 0 1 293.371 0.568 20 30 CCEDMN Cc1nnc2n1C[C@H](C[NH+]=C([O-])NC1(CO)CCC1)CC2 ZINC000329820433 389204404 /nfs/dbraw/zinc/20/44/04/389204404.db2.gz GVQDZBKTBKYRCH-NSHDSACASA-N 0 1 293.371 0.568 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCCOC2CCCC2)C1 ZINC000329432273 389163344 /nfs/dbraw/zinc/16/33/44/389163344.db2.gz IAVIBKJCFHOBOV-ZDUSSCGKSA-N 0 1 298.431 0.695 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H]2[C@H](CCC(=O)N2C2CC2)C1 ZINC000125348584 389165313 /nfs/dbraw/zinc/16/53/13/389165313.db2.gz ZUVPYGYMCDRZTP-TZMCWYRMSA-N 0 1 291.395 0.764 20 30 CCEDMN CN(C)c1n[nH]c(NC(=O)c2ccc(C#N)c(O)c2)n1 ZINC000340975650 389173110 /nfs/dbraw/zinc/17/31/10/389173110.db2.gz GOTXZTPHGMSPFZ-UHFFFAOYSA-N 0 1 272.268 0.700 20 30 CCEDMN C[C@@H]1CCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)[C@@H]1CO ZINC000329603051 389174745 /nfs/dbraw/zinc/17/47/45/389174745.db2.gz GENPCZRTODHJBG-GIPNMCIBSA-N 0 1 278.356 0.884 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)NC[C@H](C)S(N)(=O)=O ZINC000330583579 389243038 /nfs/dbraw/zinc/24/30/38/389243038.db2.gz KOLJRKRZNSIGDW-ZETCQYMHSA-N 0 1 288.373 0.823 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)C(=O)NCCCCC#N)CCCN1C ZINC000343307029 389263195 /nfs/dbraw/zinc/26/31/95/389263195.db2.gz XOXXUFVXGXNSNK-NEPJUHHUSA-N 0 1 280.372 0.395 20 30 CCEDMN O=C(N[C@@H]1CCc2c[nH]nc2C1)N1CCn2ccnc2C1 ZINC000329867892 389207960 /nfs/dbraw/zinc/20/79/60/389207960.db2.gz WRXCRELAKOYRBC-LLVKDONJSA-N 0 1 286.339 0.893 20 30 CCEDMN C[C@H]1CN(C2CC2)C[C@@H]1NC(=O)C(=O)NCCCCC#N ZINC000343331102 389265230 /nfs/dbraw/zinc/26/52/30/389265230.db2.gz FGTJGNLMMRCFGF-AAEUAGOBSA-N 0 1 292.383 0.395 20 30 CCEDMN C[C@]1(O)CCCN(C(=O)N[C@@H]2CCc3ncnn3C2)CC1 ZINC000329919861 389211589 /nfs/dbraw/zinc/21/15/89/389211589.db2.gz RWNJQWZUYLTUMO-RISCZKNCSA-N 0 1 293.371 0.744 20 30 CCEDMN CO[C@@H]1CCCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000246091955 389223375 /nfs/dbraw/zinc/22/33/75/389223375.db2.gz HRFKFLCAXWCTRW-VXGBXAGGSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N(C)C[C@H]1CCCO1 ZINC000246153389 389224622 /nfs/dbraw/zinc/22/46/22/389224622.db2.gz UBRBIASYLRBQLZ-VXGBXAGGSA-N 0 1 253.346 0.858 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@](C)(C(=O)OC)C1 ZINC000246381236 389227851 /nfs/dbraw/zinc/22/78/51/389227851.db2.gz HMTSVNCIZSIESK-GWCFXTLKSA-N 0 1 252.314 0.009 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)C[C@H]1CCCO1 ZINC000330511622 389237133 /nfs/dbraw/zinc/23/71/33/389237133.db2.gz BLWGPDNNHUSEPX-MRVPVSSYSA-N 0 1 273.314 0.122 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(N2CCO[C@@H](C)C2)CC1 ZINC000345755700 389313740 /nfs/dbraw/zinc/31/37/40/389313740.db2.gz GDDUBHDVWXWNFX-NEPJUHHUSA-N 0 1 265.357 0.858 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCSCC[C@H]1C ZINC000331865164 389314712 /nfs/dbraw/zinc/31/47/12/389314712.db2.gz ASCSZSJBTOKQGV-SNVBAGLBSA-N 0 1 271.386 0.826 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC000345813427 389315534 /nfs/dbraw/zinc/31/55/34/389315534.db2.gz JJPGWCKYHFQCHD-QJPTWQEYSA-N 0 1 253.346 0.762 20 30 CCEDMN C[C@@H](C#N)C(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC000345813449 389315939 /nfs/dbraw/zinc/31/59/39/389315939.db2.gz JLSHAMSBSGKSLG-NSHDSACASA-N 0 1 273.340 0.932 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCC[C@@H](CO)C1 ZINC000345816482 389316072 /nfs/dbraw/zinc/31/60/72/389316072.db2.gz VZEQPMXZIGQPOQ-VXGBXAGGSA-N 0 1 265.357 0.642 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+](CC)CCOCC ZINC000157564640 389332889 /nfs/dbraw/zinc/33/28/89/389332889.db2.gz ATBZHRDWKNQTCE-NSHDSACASA-N 0 1 271.361 0.745 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(CC)CCOCC ZINC000157564640 389332891 /nfs/dbraw/zinc/33/28/91/389332891.db2.gz ATBZHRDWKNQTCE-NSHDSACASA-N 0 1 271.361 0.745 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](C(=O)OC)[C@H]1C ZINC000346657906 389333341 /nfs/dbraw/zinc/33/33/41/389333341.db2.gz HGVWKMWFGQVLBO-NEPJUHHUSA-N 0 1 281.356 0.632 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)NCCC#N ZINC000408365858 389341167 /nfs/dbraw/zinc/34/11/67/389341167.db2.gz LLPULCKTTXNHKO-NSHDSACASA-N 0 1 268.361 0.387 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CCSC ZINC000152336593 389288778 /nfs/dbraw/zinc/28/87/78/389288778.db2.gz WSGNIRSWMWLORU-VIFPVBQESA-N 0 1 259.375 0.682 20 30 CCEDMN C[C@H](O)[C@@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000346961895 389342217 /nfs/dbraw/zinc/34/22/17/389342217.db2.gz LKHVEXACUQJQCO-WDEREUQCSA-N 0 1 251.330 0.252 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCNC(=O)[C@H]2C(C)C)CC1 ZINC000264095185 389305964 /nfs/dbraw/zinc/30/59/64/389305964.db2.gz FFWOHQWATIMNJL-CQSZACIVSA-N 0 1 291.395 0.315 20 30 CCEDMN C[C@H](CO)CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000159728898 389372688 /nfs/dbraw/zinc/37/26/88/389372688.db2.gz OPVJNECPLYCFLH-ZETCQYMHSA-N 0 1 290.291 0.743 20 30 CCEDMN N#CC1(C(=O)NC2(c3nn[n-]n3)CC2)CCCCC1 ZINC000348295693 389373543 /nfs/dbraw/zinc/37/35/43/389373543.db2.gz YSBXAYZCVDTBLA-UHFFFAOYSA-N 0 1 260.301 0.779 20 30 CCEDMN N#CC1(C(=O)NC2(c3nn[nH]n3)CC2)CCCCC1 ZINC000348295693 389373546 /nfs/dbraw/zinc/37/35/46/389373546.db2.gz YSBXAYZCVDTBLA-UHFFFAOYSA-N 0 1 260.301 0.779 20 30 CCEDMN COC(=O)CN(C)C(=O)CCN(CCC#N)CC1CC1 ZINC000348553163 389382150 /nfs/dbraw/zinc/38/21/50/389382150.db2.gz NVTRLPFEXYQYFM-UHFFFAOYSA-N 0 1 281.356 0.634 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cccc2n[nH]cc21 ZINC000348797762 389391760 /nfs/dbraw/zinc/39/17/60/389391760.db2.gz AEDVYVVRMGECMP-UHFFFAOYSA-N 0 1 272.264 0.702 20 30 CCEDMN C=CCn1c(S[C@@H](C)C(=O)NC(=O)NCC)n[nH]c1=O ZINC000348143257 389365806 /nfs/dbraw/zinc/36/58/06/389365806.db2.gz LOBZHTVZCOZLMR-ZETCQYMHSA-N 0 1 299.356 0.496 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@@H](O)C[C@H]1COC ZINC000411919515 389462737 /nfs/dbraw/zinc/46/27/37/389462737.db2.gz GZCQRLLQXBKSRK-IHRRRGAJSA-N 0 1 284.400 0.881 20 30 CCEDMN C[C@@H]1[C@H](CO)CCCN1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000412072590 389474368 /nfs/dbraw/zinc/47/43/68/389474368.db2.gz HJEBAFJVFYMRNJ-XUJVJEKNSA-N 0 1 279.384 0.888 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@](C)(C(=O)OC)C1 ZINC000412103819 389475568 /nfs/dbraw/zinc/47/55/68/389475568.db2.gz LVWGNJBRLHHJRA-CYBMUJFWSA-N 0 1 254.330 0.564 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@](C)(C(=O)OC)C1 ZINC000412105379 389475824 /nfs/dbraw/zinc/47/58/24/389475824.db2.gz OYVYUBSSNBBHMQ-CQSZACIVSA-N 0 1 297.355 0.273 20 30 CCEDMN C=C(C)CN(CC)C(=O)NC[C@@H]1C[N@@H+](C)CCN1C ZINC000350049308 389481368 /nfs/dbraw/zinc/48/13/68/389481368.db2.gz KOHRLOJDGATOTL-CYBMUJFWSA-N 0 1 268.405 0.840 20 30 CCEDMN C=C(C)CN(CC)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000350049308 389481373 /nfs/dbraw/zinc/48/13/73/389481373.db2.gz KOHRLOJDGATOTL-CYBMUJFWSA-N 0 1 268.405 0.840 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(c2cccc(C#N)n2)C1 ZINC000413506401 389525623 /nfs/dbraw/zinc/52/56/23/389525623.db2.gz VSICYLPZWBAZOK-NSHDSACASA-N 0 1 274.324 0.494 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000335045047 389533612 /nfs/dbraw/zinc/53/36/12/389533612.db2.gz WKVVXIGDCVAPBY-NWDGAFQWSA-N 0 1 265.357 0.374 20 30 CCEDMN O=C1NC(=O)[C@@H]2C[N@@H+](CC#Cc3ccccc3)C[C@H]12 ZINC000334621762 389499877 /nfs/dbraw/zinc/49/98/77/389499877.db2.gz HXIMIJYLCGBTLN-BETUJISGSA-N 0 1 254.289 0.243 20 30 CCEDMN O=C1NC(=O)[C@@H]2CN(CC#Cc3ccccc3)C[C@H]12 ZINC000334621762 389499879 /nfs/dbraw/zinc/49/98/79/389499879.db2.gz HXIMIJYLCGBTLN-BETUJISGSA-N 0 1 254.289 0.243 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000351615042 389635037 /nfs/dbraw/zinc/63/50/37/389635037.db2.gz KSBYZSHXNDHOEF-NSHDSACASA-N 0 1 290.367 0.966 20 30 CCEDMN N#Cc1cccc(NC(=O)CN2CCc3n[nH]nc3C2)c1 ZINC000351630846 389640179 /nfs/dbraw/zinc/64/01/79/389640179.db2.gz CUNODKDUYIGGMK-UHFFFAOYSA-N 0 1 282.307 0.673 20 30 CCEDMN C=CCCCNC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000279482178 389581593 /nfs/dbraw/zinc/58/15/93/389581593.db2.gz GYAOZYLWJKOMIX-LBPRGKRZSA-N 0 1 282.388 0.592 20 30 CCEDMN N#CCC1(O)CN(C(=O)CC[N@H+]2CCc3ccccc3C2)C1 ZINC000416209789 389719119 /nfs/dbraw/zinc/71/91/19/389719119.db2.gz MNTLRQSLKUZPEK-UHFFFAOYSA-N 0 1 299.374 0.922 20 30 CCEDMN C#C[C@@H](NC(=O)CSc1nnc(C)[nH]1)C(C)C ZINC000282174484 389657710 /nfs/dbraw/zinc/65/77/10/389657710.db2.gz RMSKXVCIAZARCV-SECBINFHSA-N 0 1 252.343 0.979 20 30 CCEDMN C#C[C@@H](NC(=O)CSc1nc(C)n[nH]1)C(C)C ZINC000282174484 389657714 /nfs/dbraw/zinc/65/77/14/389657714.db2.gz RMSKXVCIAZARCV-SECBINFHSA-N 0 1 252.343 0.979 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(CNC(C)=O)CC2)C1=O ZINC000282134136 389658163 /nfs/dbraw/zinc/65/81/63/389658163.db2.gz YYESFCDSWVTZQL-CQSZACIVSA-N 0 1 279.384 0.621 20 30 CCEDMN Cc1ccc(C#N)cc1NC(=O)C(=O)N1CCNC[C@@H]1C ZINC000415350044 389676779 /nfs/dbraw/zinc/67/67/79/389676779.db2.gz YIJAIGSAYQSJLE-NSHDSACASA-N 0 1 286.335 0.626 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000426851458 389678807 /nfs/dbraw/zinc/67/88/07/389678807.db2.gz QGZNVTSJIFLBBX-ZDUSSCGKSA-N 0 1 290.367 0.110 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)[C@H]1CCCN1C1CC1 ZINC000427086717 389679922 /nfs/dbraw/zinc/67/99/22/389679922.db2.gz VHFACDXLECUKPU-DGCLKSJQSA-N 0 1 252.358 0.914 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(F)c(C#N)c2)CCN1 ZINC000415426877 389682850 /nfs/dbraw/zinc/68/28/50/389682850.db2.gz FDWQTCBIISOWFK-SECBINFHSA-N 0 1 290.298 0.456 20 30 CCEDMN C=CCCCS(=O)(=O)Nc1nc2nc(C)cc(=O)n2[nH]1 ZINC000427775651 389683083 /nfs/dbraw/zinc/68/30/83/389683083.db2.gz GRRSXGPNMPPCLA-UHFFFAOYSA-N 0 1 297.340 0.434 20 30 CCEDMN C=CCC[C@@H](O)CN1CC[C@H](CS(C)(=O)=O)C1 ZINC000429388673 389754016 /nfs/dbraw/zinc/75/40/16/389754016.db2.gz WCLJHEZKQJIFPU-NWDGAFQWSA-N 0 1 261.387 0.680 20 30 CCEDMN CCN(CC(=O)NCc1ccc(C#N)cc1)C[C@@H](C)O ZINC000352221701 389774236 /nfs/dbraw/zinc/77/42/36/389774236.db2.gz NQTRLGCYPOZALX-GFCCVEGCSA-N 0 1 275.352 0.877 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCc2n[nH]nc2C1 ZINC000429319932 389751068 /nfs/dbraw/zinc/75/10/68/389751068.db2.gz SXNNVPIUPIPXSB-SECBINFHSA-N 0 1 274.328 0.114 20 30 CCEDMN CCC[C@H](NC(=O)c1c[nH]c(C#N)c1)c1nn[nH]n1 ZINC000352443047 389811889 /nfs/dbraw/zinc/81/18/89/389811889.db2.gz CHUCNARNGARYDM-VIFPVBQESA-N 0 1 259.273 0.671 20 30 CCEDMN N#Cc1cccc(CNC(=O)CN(CCO)CC2CC2)c1 ZINC000352448936 389812613 /nfs/dbraw/zinc/81/26/13/389812613.db2.gz JWJMDYZLKSJZTN-UHFFFAOYSA-N 0 1 287.363 0.879 20 30 CCEDMN COC[C@H](C)OC[C@@H](O)CN1CCC(F)(C#N)CC1 ZINC000352484420 389818248 /nfs/dbraw/zinc/81/82/48/389818248.db2.gz OXLUJTIZQWOGGY-RYUDHWBXSA-N 0 1 274.336 0.726 20 30 CCEDMN C=CCC[C@H](O)CN1C[C@H]2CCS(=O)(=O)[C@H]2C1 ZINC000352989596 389843546 /nfs/dbraw/zinc/84/35/46/389843546.db2.gz PWOROFPJOYPSOD-WOPDTQHZSA-N 0 1 259.371 0.432 20 30 CCEDMN N#Cc1ccc(C(=O)NC2(c3nn[nH]n3)CCCC2)[nH]1 ZINC000443746164 389884182 /nfs/dbraw/zinc/88/41/82/389884182.db2.gz IJJJJMCZCHIELH-UHFFFAOYSA-N 0 1 271.284 0.599 20 30 CCEDMN C=CCCC[C@@H](C)NC(=O)C(=O)N1CCNC[C@@H]1C ZINC000419150307 389887790 /nfs/dbraw/zinc/88/77/90/389887790.db2.gz LAMVQORCUJSUBV-NEPJUHHUSA-N 0 1 267.373 0.668 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)Cc1nnc(NC)s1 ZINC000437526633 389993931 /nfs/dbraw/zinc/99/39/31/389993931.db2.gz SABRUCSRMUXDQA-CABZTGNLSA-N 0 1 296.396 0.642 20 30 CCEDMN CO[C@@H]1COC[C@@H]1NCC(=O)N[C@](C)(C#N)C(C)C ZINC000459821875 390076273 /nfs/dbraw/zinc/07/62/73/390076273.db2.gz GIGLFLRWKUMFNR-DMDPSCGWSA-N 0 1 269.345 0.044 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H](C)n1cnc(C#N)n1 ZINC000490996886 390109228 /nfs/dbraw/zinc/10/92/28/390109228.db2.gz QBJCHDVYHRMDSM-NEPJUHHUSA-N 0 1 287.323 0.352 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C)C[C@H](C(=O)OC)C1)C(C)C ZINC000491746906 390116466 /nfs/dbraw/zinc/11/64/66/390116466.db2.gz RGJCAYLOKCUVNC-STQMWFEESA-N 0 1 295.383 0.390 20 30 CCEDMN COCCN1C(=O)C[C@@H](N2CCC(CC#N)CC2)C1=O ZINC000540977240 390233492 /nfs/dbraw/zinc/23/34/92/390233492.db2.gz IVTNEQDFIWHULV-GFCCVEGCSA-N 0 1 279.340 0.386 20 30 CCEDMN C=CCOCCN1CCN(C[C@H]2CCCO2)CC1 ZINC000645074709 390367854 /nfs/dbraw/zinc/36/78/54/390367854.db2.gz RHSXJHCGJZTMIS-CQSZACIVSA-N 0 1 254.374 0.986 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCCN1CCCOCC1 ZINC000584512606 390351400 /nfs/dbraw/zinc/35/14/00/390351400.db2.gz LMSZPZPKZVKJKI-OLZOCXBDSA-N 0 1 283.372 0.351 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](c2nccn2C)C1 ZINC000562442885 390489962 /nfs/dbraw/zinc/48/99/62/390489962.db2.gz AGXYZNYHPUDSSA-CYBMUJFWSA-N 0 1 289.383 0.972 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)[C@H]1CCCN1C1CC1 ZINC000649182191 390511953 /nfs/dbraw/zinc/51/19/53/390511953.db2.gz QIPQNPMRIJBXGI-WCQYABFASA-N 0 1 250.342 0.504 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)CSc1nnc(C)[nH]1 ZINC000649185603 390514686 /nfs/dbraw/zinc/51/46/86/390514686.db2.gz HSJIJPBPSZSYSW-VIFPVBQESA-N 0 1 268.342 0.096 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)CSc1nc(C)n[nH]1 ZINC000649185603 390514690 /nfs/dbraw/zinc/51/46/90/390514690.db2.gz HSJIJPBPSZSYSW-VIFPVBQESA-N 0 1 268.342 0.096 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000649186460 390515494 /nfs/dbraw/zinc/51/54/94/390515494.db2.gz ZNUUQAJYVKWETQ-WDEREUQCSA-N 0 1 261.325 0.720 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCN(C)C(C)(C)C1 ZINC000556084425 390472704 /nfs/dbraw/zinc/47/27/04/390472704.db2.gz JSPOXCYXCXHXBL-GFCCVEGCSA-N 0 1 266.389 0.773 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)CN1CCC(C)(C)C1 ZINC000520606986 390585291 /nfs/dbraw/zinc/58/52/91/390585291.db2.gz XPHGOVFTAXZPCG-UHFFFAOYSA-N 0 1 267.329 0.243 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCN(C)C(C)(C)C1 ZINC000565606928 390528560 /nfs/dbraw/zinc/52/85/60/390528560.db2.gz KVLWSRRCQLGIJS-LLVKDONJSA-N 0 1 282.388 0.413 20 30 CCEDMN CC#CCN(C)C(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000645940974 390540294 /nfs/dbraw/zinc/54/02/94/390540294.db2.gz QQQKNORTKZWAQQ-UHFFFAOYSA-N 0 1 297.318 0.688 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)c(N)n1)N1CCN(C)CC1 ZINC000566477852 390619424 /nfs/dbraw/zinc/61/94/24/390619424.db2.gz IWWLVODXBOYNNK-NSHDSACASA-N 0 1 274.372 0.005 20 30 CCEDMN CCCc1ncc(CNC[C@@H](O)CN(C)CCC#N)o1 ZINC000567696256 390710629 /nfs/dbraw/zinc/71/06/29/390710629.db2.gz WWWDJQPRPJLDBB-GFCCVEGCSA-N 0 1 280.372 0.923 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@@H]2CCC(=O)N(C)C2)C1=O ZINC000568178354 390712457 /nfs/dbraw/zinc/71/24/57/390712457.db2.gz NBMHILVABIPXKP-NEPJUHHUSA-N 0 1 265.357 0.326 20 30 CCEDMN COCCN(CC#N)C(=O)[C@H](C)Cc1cnc[nH]1 ZINC000568226707 390713420 /nfs/dbraw/zinc/71/34/20/390713420.db2.gz HSTBCXSMKVIIDS-SNVBAGLBSA-N 0 1 250.302 0.587 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(C[C@@H](O)CO)C(C)C ZINC000569955399 390716815 /nfs/dbraw/zinc/71/68/15/390716815.db2.gz CATVFOFNOGCONK-CYBMUJFWSA-N 0 1 272.389 0.475 20 30 CCEDMN COC(=O)[C@@H](C)CN(C)Cc1cnc2c(C#N)cnn2c1 ZINC000572037813 390765292 /nfs/dbraw/zinc/76/52/92/390765292.db2.gz KBRVBRONAWOCSZ-JTQLQIEISA-N 0 1 287.323 0.842 20 30 CCEDMN Cc1cc(C#N)cc(NC(=O)NC[C@H]2COCCN2)c1 ZINC000528695139 390769426 /nfs/dbraw/zinc/76/94/26/390769426.db2.gz IEQXTDDECMNXPJ-ZDUSSCGKSA-N 0 1 274.324 0.977 20 30 CCEDMN N#CCc1cccc(NC(=O)NC[C@H]2COCCN2)c1 ZINC000528695221 390769613 /nfs/dbraw/zinc/76/96/13/390769613.db2.gz IMUTZPZOXHHEAC-ZDUSSCGKSA-N 0 1 274.324 0.863 20 30 CCEDMN COC[C@@H]1CN(C[C@H](O)CC2(C#N)CC2)C[C@H](C)O1 ZINC000528473380 390748004 /nfs/dbraw/zinc/74/80/04/390748004.db2.gz OCPUJBUOTQCCTF-XQQFMLRXSA-N 0 1 268.357 0.777 20 30 CCEDMN COC[C@@H]1CN(C[C@@H](O)CC2(C#N)CC2)C[C@H](C)O1 ZINC000528473375 390748022 /nfs/dbraw/zinc/74/80/22/390748022.db2.gz OCPUJBUOTQCCTF-AVGNSLFASA-N 0 1 268.357 0.777 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NCCN1CCC1 ZINC000578299574 390875603 /nfs/dbraw/zinc/87/56/03/390875603.db2.gz IHUOMGWRXRNSSZ-NEPJUHHUSA-N 0 1 253.346 0.725 20 30 CCEDMN CN1CCN(CCNC(=O)Cc2cccc(C#N)c2)CC1 ZINC000531387561 390878493 /nfs/dbraw/zinc/87/84/93/390878493.db2.gz WXFUOLCIIHKSLR-UHFFFAOYSA-N 0 1 286.379 0.464 20 30 CCEDMN CN(CCN1CCOCC1)Cc1cc(C#N)n(C)c1 ZINC000191310339 130221052 /nfs/dbraw/zinc/22/10/52/130221052.db2.gz HSXJXLADLOGROS-UHFFFAOYSA-N 0 1 262.357 0.661 20 30 CCEDMN C=CCN(CC(F)(F)F)C(=O)C[C@@H]1COCCN1 ZINC000262283380 131208467 /nfs/dbraw/zinc/20/84/67/131208467.db2.gz XNDUCECXHMNDFJ-SECBINFHSA-N 0 1 266.263 0.942 20 30 CCEDMN CC(C)NC(=O)CN(C)CCC(=O)N(C)CCC#N ZINC000042607477 397397406 /nfs/dbraw/zinc/39/74/06/397397406.db2.gz MGOUGLMTMINIPN-UHFFFAOYSA-N 0 1 268.361 0.205 20 30 CCEDMN C[C@H]1[C@H](NC(=O)c2cnn(C)c2C#N)CCCN1C ZINC000356741320 144028809 /nfs/dbraw/zinc/02/88/09/144028809.db2.gz RDFKIMUNEJMATO-GXSJLCMTSA-N 0 1 261.329 0.504 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)NC[C@@H]2C[C@H]2C)[nH]1 ZINC000359064422 144192988 /nfs/dbraw/zinc/19/29/88/144192988.db2.gz VHJFZKRGGPPVJU-ZJUUUORDSA-N 0 1 289.339 0.468 20 30 CCEDMN C[C@@H]1CC(=O)NCCN1C[C@@H](O)c1ccc(C#N)cc1 ZINC000372818192 144382505 /nfs/dbraw/zinc/38/25/05/144382505.db2.gz BTAQIJQFAPBRQK-BXUZGUMPSA-N 0 1 273.336 0.802 20 30 CCEDMN N#Cc1ccncc1N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000373179206 144395304 /nfs/dbraw/zinc/39/53/04/144395304.db2.gz YBGXVBYLOCXYRP-HIFRSBDPSA-N 0 1 272.352 0.989 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CCC[C@@H](c2n[n-]c(=O)o2)C1 ZINC000649537640 397630772 /nfs/dbraw/zinc/63/07/72/397630772.db2.gz FKKOZRUJWVGZOK-NXEZZACHSA-N 0 1 253.302 0.892 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2ccncc2C#N)CC1 ZINC000548154322 286011459 /nfs/dbraw/zinc/01/14/59/286011459.db2.gz UTTDOBQWWYEDAU-UHFFFAOYSA-N 0 1 273.340 0.163 20 30 CCEDMN CS(=O)(=O)NCCNCc1ccc(C#N)cc1 ZINC000020203249 171067546 /nfs/dbraw/zinc/06/75/46/171067546.db2.gz MHCZEPATIFXCPQ-UHFFFAOYSA-N 0 1 253.327 0.197 20 30 CCEDMN C=CCOCCNC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000654522103 412409203 /nfs/dbraw/zinc/40/92/03/412409203.db2.gz BBFVVPDSTDWFNI-SNVBAGLBSA-N 0 1 295.343 0.658 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1ccc(F)cc1 ZINC000662167013 414695114 /nfs/dbraw/zinc/69/51/14/414695114.db2.gz NQWQOQDKZJTMIR-GFCCVEGCSA-N 0 1 252.289 0.578 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)NCc1ccc(F)cc1 ZINC000662166182 414695220 /nfs/dbraw/zinc/69/52/20/414695220.db2.gz UXERXXPRSAJKMN-ZWNOBZJWSA-N 0 1 266.316 0.967 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCOc1ccc(F)cc1 ZINC000662167073 414695287 /nfs/dbraw/zinc/69/52/87/414695287.db2.gz RGALRSKYIPTGEQ-GFCCVEGCSA-N 0 1 282.315 0.457 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000071248381 176094810 /nfs/dbraw/zinc/09/48/10/176094810.db2.gz LMXLFUGJANTXIS-UHFFFAOYSA-N 0 1 271.317 0.537 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)N(C)[C@H](C)CC#N ZINC000344878284 225189599 /nfs/dbraw/zinc/18/95/99/225189599.db2.gz AYLLTXPLVNETPU-NXEZZACHSA-N 0 1 262.379 0.005 20 30 CCEDMN Cn1cc(C(=O)N[C@H]2CCc3nc[nH]c3C2)ccc1=O ZINC000329138742 227321446 /nfs/dbraw/zinc/32/14/46/227321446.db2.gz ILEWSHQXOHAYAP-JTQLQIEISA-N 0 1 272.308 0.971 20 30 CCEDMN COCCN1CC[C@H](NC(=O)[C@@H]2COCCO2)C1 ZINC000329270733 227396633 /nfs/dbraw/zinc/39/66/33/227396633.db2.gz HFIHFVCQRKWBIS-QWRGUYRKSA-N 0 1 258.318 0.079 20 30 CCEDMN O=C(NCCN1CCCCC1)N[C@H]1CC[S@@](=O)C1 ZINC000329986208 228007397 /nfs/dbraw/zinc/00/73/97/228007397.db2.gz DYMMQRCSSYQGKL-VOJFVSQTSA-N 0 1 273.402 0.497 20 30 CCEDMN CN(C)C[C@@H]1CCCN1S(=O)(=O)CC1(C#N)CC1 ZINC000331569832 228111756 /nfs/dbraw/zinc/11/17/56/228111756.db2.gz YLTFJYLZMNHZCG-NSHDSACASA-N 0 1 271.386 0.646 20 30 CCEDMN N#CCCn1ccc(NC(=O)NCc2c[nH]nn2)n1 ZINC000610663692 420494997 /nfs/dbraw/zinc/49/49/97/420494997.db2.gz LPFHUKUVBMTHKA-UHFFFAOYSA-N 0 1 260.261 0.237 20 30 CCEDMN C=CCN1CC[C@H](N2CCN([C@@H]3CCC[C@H]3O)CC2)C1=O ZINC000374163834 260189035 /nfs/dbraw/zinc/18/90/35/260189035.db2.gz VAURDWMSHBSHCA-QLFBSQMISA-N 0 1 293.411 0.304 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](O)[C@@H](C)C1 ZINC000249575697 261255242 /nfs/dbraw/zinc/25/52/42/261255242.db2.gz PMWYJMAGVSVPDD-NWDGAFQWSA-N 0 1 253.346 0.451 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000491220392 261374806 /nfs/dbraw/zinc/37/48/06/261374806.db2.gz MYSFCXBKUXJAPA-LBPRGKRZSA-N 0 1 277.372 0.790 20 30 CCEDMN CCN1CCCN(S(=O)(=O)CCCC#N)CC1 ZINC000111340511 262259948 /nfs/dbraw/zinc/25/99/48/262259948.db2.gz RWTGEAWHULXKAI-UHFFFAOYSA-N 0 1 259.375 0.648 20 30 CCEDMN CCNC(=O)[C@@H](NCC(=O)N[C@](C)(C#N)C1CC1)C(C)C ZINC000339327008 262628691 /nfs/dbraw/zinc/62/86/91/262628691.db2.gz BUENXMGVUGGKFL-DZGCQCFKSA-N 0 1 294.399 0.545 20 30 CCEDMN CC[C@@H](C#N)NS(=O)(=O)c1scnc1C(=O)OC ZINC000275021543 263032088 /nfs/dbraw/zinc/03/20/88/263032088.db2.gz MPCIZXXVACFFOB-LURJTMIESA-N 0 1 289.338 0.510 20 30 CCEDMN CCOC(=O)CON=C(C(=O)NCC1CC1)c1ccn[nH]1 ZINC000276784551 263038106 /nfs/dbraw/zinc/03/81/06/263038106.db2.gz ZSMBDBXBPJHCKF-SFQUDFHCSA-N 0 1 294.311 0.220 20 30 CCEDMN N#Cc1ccc(CC(C#N)C(=O)N2CCO[C@@H](C#N)C2)cc1 ZINC000280837580 263064666 /nfs/dbraw/zinc/06/46/66/263064666.db2.gz JFGCCIWTVIHWMC-GJZGRUSLSA-N 0 1 294.314 0.992 20 30 CCEDMN C#CCC(CC#C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000287249786 263101435 /nfs/dbraw/zinc/10/14/35/263101435.db2.gz AHOIYURACSNFCO-AWEZNQCLSA-N 0 1 261.369 0.011 20 30 CCEDMN C=CCCS(=O)(=O)Nc1ccn(CCOC)n1 ZINC000120155557 263281180 /nfs/dbraw/zinc/28/11/80/263281180.db2.gz NYAZVWBQKNHYMJ-UHFFFAOYSA-N 0 1 259.331 0.847 20 30 CCEDMN [O-]C(N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2)=[NH+]CCn1cncn1 ZINC000328675930 263837721 /nfs/dbraw/zinc/83/77/21/263837721.db2.gz LBMRANPRNHOOCY-TUAOUCFPSA-N 0 1 291.355 0.739 20 30 CCEDMN O=C(NCCn1cncn1)N[C@@H]1[C@@H]2CCO[C@@H]2C12CCC2 ZINC000328675930 263837722 /nfs/dbraw/zinc/83/77/22/263837722.db2.gz LBMRANPRNHOOCY-TUAOUCFPSA-N 0 1 291.355 0.739 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC000328691744 263844506 /nfs/dbraw/zinc/84/45/06/263844506.db2.gz RKHZNFOFALASLX-CYBMUJFWSA-N 0 1 299.415 0.774 20 30 CCEDMN COC(=O)C1(CNC(=O)c2cnn[nH]2)CCOCC1 ZINC000330815955 264045652 /nfs/dbraw/zinc/04/56/52/264045652.db2.gz NMCJFYTVWVGKMH-UHFFFAOYSA-N 0 1 268.273 0.079 20 30 CCEDMN CC(C)CNC(=O)NC(=O)CN[C@@H](C)c1cnn(C)c1 ZINC000330857356 264054966 /nfs/dbraw/zinc/05/49/66/264054966.db2.gz NZYSSCXXEWAURA-JTQLQIEISA-N 0 1 281.360 0.757 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@H](C)O)[C@@H](CC)C1 ZINC000330889995 264064005 /nfs/dbraw/zinc/06/40/05/264064005.db2.gz BOKLRQCXGGJVIR-YDHLFZDLSA-N 0 1 284.400 0.617 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(C[C@@H](O)CC(F)(F)F)C1 ZINC000330967376 264091218 /nfs/dbraw/zinc/09/12/18/264091218.db2.gz QQWBUOODJAUIIM-SFYZADRCSA-N 0 1 269.267 0.507 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N(C)CC[C@H](C)O ZINC000331161513 264143231 /nfs/dbraw/zinc/14/32/31/264143231.db2.gz RIUAOODOLWKWTB-OLZOCXBDSA-N 0 1 286.420 0.239 20 30 CCEDMN C[C@@H]1CN(CCCNC(=O)NCCC#N)C[C@H](C)O1 ZINC000331174818 264147336 /nfs/dbraw/zinc/14/73/36/264147336.db2.gz WRKMLYSGKCOTGL-TXEJJXNPSA-N 0 1 268.361 0.903 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCNC(=O)C(C)C)CC1 ZINC000129193216 264320158 /nfs/dbraw/zinc/32/01/58/264320158.db2.gz HTODWQXZKSWLOY-UHFFFAOYSA-N 0 1 293.411 0.562 20 30 CCEDMN C=C[C@H](CO)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000356860501 266195367 /nfs/dbraw/zinc/19/53/67/266195367.db2.gz LQUMDFVILMJJNU-LLVKDONJSA-N 0 1 258.281 0.748 20 30 CCEDMN C=CCOc1cccnc1C(=O)NC1(c2nn[nH]n2)CC1 ZINC000357094149 266246883 /nfs/dbraw/zinc/24/68/83/266246883.db2.gz HGYVRBNDBRDJFA-UHFFFAOYSA-N 0 1 286.295 0.579 20 30 CCEDMN C=CCCS(=O)(=O)NCCN(C)CCCOC ZINC000360204868 266692164 /nfs/dbraw/zinc/69/21/64/266692164.db2.gz YZRADLSWHFNRHG-UHFFFAOYSA-N 0 1 264.391 0.450 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCCC[C@@H]1CCO ZINC000304020680 267758889 /nfs/dbraw/zinc/75/88/89/267758889.db2.gz XXPYONKRCCROSW-CYBMUJFWSA-N 0 1 267.373 0.985 20 30 CCEDMN N#Cc1ccc(CN2C[C@@H](CO)[C@H](CO)C2)cc1F ZINC000528598218 268223887 /nfs/dbraw/zinc/22/38/87/268223887.db2.gz HYNNQJISLVAYTM-STQMWFEESA-N 0 1 264.300 0.730 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(c2ccc(C#N)nc2)C1 ZINC000413499770 277362911 /nfs/dbraw/zinc/36/29/11/277362911.db2.gz NXPADOZGBBIJLF-LLVKDONJSA-N 0 1 274.324 0.494 20 30 CCEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCNC(=O)C1 ZINC000329732918 295389077 /nfs/dbraw/zinc/38/90/77/295389077.db2.gz JFHPOGIGHHKBBY-MRVPVSSYSA-N 0 1 263.301 0.453 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H](CO)[C@@H]1C ZINC000412083299 328103187 /nfs/dbraw/zinc/10/31/87/328103187.db2.gz PTGNHWUQCSMPMG-QWRGUYRKSA-N 0 1 269.345 0.091 20 30 CCEDMN C[C@H]1[C@H](CO)CCCN1CC(=O)NC1(C#N)CCC1 ZINC000412061891 328103449 /nfs/dbraw/zinc/10/34/49/328103449.db2.gz ALNJTFDTJVTPDY-RYUDHWBXSA-N 0 1 265.357 0.642 20 30 CCEDMN CCN1CCN(CC(=O)NC2(C#N)CCSCC2)CC1 ZINC000534457216 328114529 /nfs/dbraw/zinc/11/45/29/328114529.db2.gz JANOYUDWAIBIMN-UHFFFAOYSA-N 0 1 296.440 0.529 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H]2CCCN(CC#N)C2)c1C ZINC000267156013 328173505 /nfs/dbraw/zinc/17/35/05/328173505.db2.gz JJGNYGBAVNHCTB-GFCCVEGCSA-N 0 1 275.356 0.992 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N2CCN(CC#N)CC2)cn1 ZINC000275507887 328215079 /nfs/dbraw/zinc/21/50/79/328215079.db2.gz MCEUUBXBCURYCP-UHFFFAOYSA-N 0 1 299.338 0.092 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2cnn(C)c2)CC1 ZINC000132808845 328495502 /nfs/dbraw/zinc/49/55/02/328495502.db2.gz MJPNHFABGXFCSQ-CQSZACIVSA-N 0 1 264.373 0.869 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCN[C@H]2C[C@@H](O)C2)cc1 ZINC000584172796 327057293 /nfs/dbraw/zinc/05/72/93/327057293.db2.gz FPZVSVIGSOZATF-TXEJJXNPSA-N 0 1 280.349 0.445 20 30 CCEDMN CC[C@H](CO)N(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000345827352 327257805 /nfs/dbraw/zinc/25/78/05/327257805.db2.gz HIKPYDCEWQPZBS-YPMHNXCESA-N 0 1 253.346 0.498 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNc2ncncc2C#N)C1 ZINC000583437397 327362555 /nfs/dbraw/zinc/36/25/55/327362555.db2.gz KUZPUWHLUXDRKO-ZDUSSCGKSA-N 0 1 275.356 0.539 20 30 CCEDMN CNC(=O)NC(=O)[C@@H](C)N1CCC(CCC#N)CC1 ZINC000399278448 328685879 /nfs/dbraw/zinc/68/58/79/328685879.db2.gz AORARNSGNBUMEI-SNVBAGLBSA-N 0 1 266.345 0.846 20 30 CCEDMN CCc1cc(C(=O)N2CCN(C3CC3)[C@H](C#N)C2)n[nH]1 ZINC000377673711 329023951 /nfs/dbraw/zinc/02/39/51/329023951.db2.gz NLTGNNNCUADBNG-GFCCVEGCSA-N 0 1 273.340 0.784 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@H](COC)C2)CC1 ZINC000172620777 329137739 /nfs/dbraw/zinc/13/77/39/329137739.db2.gz SMVXXDCNCYNAGM-CQSZACIVSA-N 0 1 280.368 0.205 20 30 CCEDMN CNC(=O)[C@@H]1CN(CC(C)(C)CCC#N)CCO1 ZINC000182439112 329239498 /nfs/dbraw/zinc/23/94/98/329239498.db2.gz OXLFKHIZPJKKBP-NSHDSACASA-N 0 1 253.346 0.763 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC(n2ccnc2)CC1 ZINC000109792791 329538782 /nfs/dbraw/zinc/53/87/82/329538782.db2.gz VZVBUZWKXFOGFH-UHFFFAOYSA-N 0 1 291.355 0.532 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(=O)C2CC2)CC1 ZINC000042156863 329570919 /nfs/dbraw/zinc/57/09/19/329570919.db2.gz CSSDDZZRAUPYBN-NSHDSACASA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000423477755 330205971 /nfs/dbraw/zinc/20/59/71/330205971.db2.gz UCZPNRKUQLCFMW-TXEJJXNPSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@H](O)[C@H]1CCCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000527135646 330225602 /nfs/dbraw/zinc/22/56/02/330225602.db2.gz COWLDRPFLMQTTK-RYUDHWBXSA-N 0 1 265.357 0.642 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](OC)C(C)(C)C1 ZINC000451556916 331034364 /nfs/dbraw/zinc/03/43/64/331034364.db2.gz WCBGAKAWIQJNCN-NSHDSACASA-N 0 1 283.372 0.745 20 30 CCEDMN CCO[C@@H]1COC[C@H]1N[C@H](C)C(=O)N(C)CCC#N ZINC000451944164 331047932 /nfs/dbraw/zinc/04/79/32/331047932.db2.gz NAKSHMMETAPTAR-IJLUTSLNSA-N 0 1 269.345 0.140 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)N(C)C)C1 ZINC000452024806 331050831 /nfs/dbraw/zinc/05/08/31/331050831.db2.gz WNZOLUUSGFCPBG-GFCCVEGCSA-N 0 1 255.362 0.925 20 30 CCEDMN CC#CCN(C)C(=O)NCCCCN1CCOCC1 ZINC000457756711 331233445 /nfs/dbraw/zinc/23/34/45/331233445.db2.gz YARYGJMXYDXNLA-UHFFFAOYSA-N 0 1 267.373 0.764 20 30 CCEDMN C=CCOCC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000458023364 331241083 /nfs/dbraw/zinc/24/10/83/331241083.db2.gz NCOIBSHNZGDUBY-UHFFFAOYSA-N 0 1 252.318 0.921 20 30 CCEDMN C#CCN1CCN(C(=O)CSc2n[nH]c(CC)n2)CC1 ZINC000490741509 332111696 /nfs/dbraw/zinc/11/16/96/332111696.db2.gz SEHQULYECHXINO-UHFFFAOYSA-N 0 1 293.396 0.237 20 30 CCEDMN C#CCN1CCN(C(=O)CSc2nc(CC)n[nH]2)CC1 ZINC000490741509 332111698 /nfs/dbraw/zinc/11/16/98/332111698.db2.gz SEHQULYECHXINO-UHFFFAOYSA-N 0 1 293.396 0.237 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)COCc1nc(C)no1 ZINC000490871288 332122731 /nfs/dbraw/zinc/12/27/31/332122731.db2.gz AYEOJGUDZDHGPI-GFCCVEGCSA-N 0 1 293.323 0.535 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC000490872454 332122788 /nfs/dbraw/zinc/12/27/88/332122788.db2.gz FOAOOTDYZZJEBT-CHWSQXEVSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC000490877116 332124541 /nfs/dbraw/zinc/12/45/41/332124541.db2.gz WMUVAJDJUVWRAR-ZIAGYGMSSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)C[C@H]1COC(=O)C1 ZINC000490893883 332126440 /nfs/dbraw/zinc/12/64/40/332126440.db2.gz XUAOUGRXCBZZRS-RYUDHWBXSA-N 0 1 265.309 0.580 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cnoc2C)CC1 ZINC000491066725 332144335 /nfs/dbraw/zinc/14/43/35/332144335.db2.gz DXKZQNWIKNWURN-UHFFFAOYSA-N 0 1 261.325 0.944 20 30 CCEDMN C#CCN(CC#C)S(=O)(=O)CCN(CC)CC ZINC000491073477 332147320 /nfs/dbraw/zinc/14/73/20/332147320.db2.gz ZPDUFAZOFNAQII-UHFFFAOYSA-N 0 1 256.371 0.226 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1ccc(CCOC)cc1 ZINC000491381649 332201216 /nfs/dbraw/zinc/20/12/16/332201216.db2.gz GJKWAQBRWLZKJR-CABCVRRESA-N 0 1 293.363 0.199 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@H]2C#C)CC1 ZINC000491406160 332206122 /nfs/dbraw/zinc/20/61/22/332206122.db2.gz MDWNBBKLTJUSPU-CQSZACIVSA-N 0 1 260.337 0.192 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CC[C@@H](c3nc[nH]n3)C2)nc1 ZINC000491453537 332214502 /nfs/dbraw/zinc/21/45/02/332214502.db2.gz SETZWXZARYEXFN-GFCCVEGCSA-N 0 1 296.334 0.557 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(C(=O)C3CC3)C2)CC1 ZINC000491486797 332221251 /nfs/dbraw/zinc/22/12/51/332221251.db2.gz YFUIBZDPWIHDAO-UHFFFAOYSA-N 0 1 289.379 0.370 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(C(=O)CC)C2)CC1 ZINC000491617124 332244071 /nfs/dbraw/zinc/24/40/71/332244071.db2.gz JVCVIBUTBFUFKO-UHFFFAOYSA-N 0 1 277.368 0.370 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000491627199 332250713 /nfs/dbraw/zinc/25/07/13/332250713.db2.gz JXJRBOQCJKNWRU-ZIAGYGMSSA-N 0 1 280.416 0.723 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)CSc1nnc(C)[nH]1 ZINC000491627051 332250736 /nfs/dbraw/zinc/25/07/36/332250736.db2.gz JNJSAOKDFIHKRY-VIFPVBQESA-N 0 1 266.326 0.066 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)CSc1nc(C)n[nH]1 ZINC000491627051 332250737 /nfs/dbraw/zinc/25/07/37/332250737.db2.gz JNJSAOKDFIHKRY-VIFPVBQESA-N 0 1 266.326 0.066 20 30 CCEDMN C#CCNC(=O)CCNCc1ccc(OC)nc1OC ZINC000491658610 332269574 /nfs/dbraw/zinc/26/95/74/332269574.db2.gz MAYQQSYDZYUNQL-UHFFFAOYSA-N 0 1 277.324 0.328 20 30 CCEDMN C#C[C@H](NC(=O)N=c1ccn(CCN(C)C)[nH]1)C(C)C ZINC000491675942 332281602 /nfs/dbraw/zinc/28/16/02/332281602.db2.gz VLSNQAVSKWJOHW-LBPRGKRZSA-N 0 1 277.372 0.646 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000491701780 332296337 /nfs/dbraw/zinc/29/63/37/332296337.db2.gz GKTIWHVJOMBVLB-NEPJUHHUSA-N 0 1 253.346 0.370 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)c1n[nH]c(CC)c1[N+](=O)[O-] ZINC000491761155 332331616 /nfs/dbraw/zinc/33/16/16/332331616.db2.gz UZHUTWAWRYFBLX-ZETCQYMHSA-N 0 1 250.258 0.974 20 30 CCEDMN C#CCN(C)C(=O)Cc1c(C)nc(-c2ncccn2)[nH]c1=O ZINC000491820222 332369931 /nfs/dbraw/zinc/36/99/31/332369931.db2.gz QOLNPVNTZJMUOG-UHFFFAOYSA-N 0 1 297.318 0.582 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CC[C@H](C(=O)OC)O1 ZINC000491820322 332369954 /nfs/dbraw/zinc/36/99/54/332369954.db2.gz QXDFBOVHEGZGKP-XQQFMLRXSA-N 0 1 295.335 0.348 20 30 CCEDMN C[C@H](C#N)CN(C)CN1C[C@]2(CN3CCC2CC3)OC1=O ZINC000496820067 332561293 /nfs/dbraw/zinc/56/12/93/332561293.db2.gz NDHDDTZCFAKXLQ-DOMZBBRYSA-N 0 1 292.383 0.952 20 30 CCEDMN CCC[C@@H](C#N)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000523280217 333313801 /nfs/dbraw/zinc/31/38/01/333313801.db2.gz LVTONUSHSNMSLI-VIFPVBQESA-N 0 1 287.327 0.598 20 30 CCEDMN C=CC1CCN(C(=O)NC[C@H]2COCCN2)CC1 ZINC000529372583 333483829 /nfs/dbraw/zinc/48/38/29/333483829.db2.gz BQSDYSLEZZXFKH-LBPRGKRZSA-N 0 1 253.346 0.582 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCN([C@@H]2CC[C@@H](C#N)C2)C1 ZINC000549915257 334208341 /nfs/dbraw/zinc/20/83/41/334208341.db2.gz CPYQGVIALUEEKA-GMTAPVOTSA-N 0 1 257.359 0.302 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000569323265 334866691 /nfs/dbraw/zinc/86/66/91/334866691.db2.gz AUBDBNULKLXKEC-XQHKEYJVSA-N 0 1 265.357 0.866 20 30 CCEDMN C[C@@H]([NH3+])C[C@@H](C)[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000576836717 335254124 /nfs/dbraw/zinc/25/41/24/335254124.db2.gz AHAQBENIOOMKEN-HTQZYQBOSA-N 0 1 257.315 0.555 20 30 CCEDMN CC[C@@H]1[C@@H](CO)CCN1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000385712930 336154742 /nfs/dbraw/zinc/15/47/42/336154742.db2.gz RDIGEAYFJLJHNY-UXIGCNINSA-N 0 1 279.384 0.888 20 30 CCEDMN C=CCn1nnnc1SCCN1C[C@H]2CC[C@@H](C1)O2 ZINC000371542851 517342670 /nfs/dbraw/zinc/34/26/70/517342670.db2.gz AKJAZGLUCZDMOJ-PHIMTYICSA-N 0 1 281.385 0.814 20 30 CCEDMN O=C(NC[C@]1(O)CCOC1)[C@@H]1CCCN1C1CC1 ZINC000329084853 534755403 /nfs/dbraw/zinc/75/54/03/534755403.db2.gz UDAMRRALTJCWIL-WCQYABFASA-N 0 1 254.330 0.721 20 30 CCEDMN N#Cc1csc(CN[C@H]2CCS(=O)(=O)C2)c1 ZINC000086500157 526783856 /nfs/dbraw/zinc/78/38/56/526783856.db2.gz OQHFBXMKAYLSGL-VIFPVBQESA-N 0 1 256.352 0.896 20 30 CCEDMN C=C[C@@H](CO)NS(=O)(=O)c1c(F)cccc1F ZINC000349798510 527440695 /nfs/dbraw/zinc/44/06/95/527440695.db2.gz IOCSCJGDCPEBRN-ZETCQYMHSA-N 0 1 263.265 0.790 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@H]1CCC[C@@H]1O ZINC000352669478 528354064 /nfs/dbraw/zinc/35/40/64/528354064.db2.gz AEKQFRQYJXPDKB-RYUDHWBXSA-N 0 1 253.346 0.594 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCO[C@H]2CCN(C)C[C@@H]21 ZINC000332675591 528361414 /nfs/dbraw/zinc/36/14/14/528361414.db2.gz CXQCXEVYPQBGCL-KBPBESRZSA-N 0 1 294.399 0.153 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)C[C@@H](C)C(=O)OC ZINC000114063433 528689253 /nfs/dbraw/zinc/68/92/53/528689253.db2.gz IIODURLNLHCHHZ-VXGBXAGGSA-N 0 1 283.372 0.735 20 30 CCEDMN CCC[C@@H](O)CN1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000450820930 529025409 /nfs/dbraw/zinc/02/54/09/529025409.db2.gz KWDDBNYQZRZSSY-CYBMUJFWSA-N 0 1 291.399 0.883 20 30 CCEDMN C=CCCOCC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000682026163 545470389 /nfs/dbraw/zinc/47/03/89/545470389.db2.gz GNKIOSGHGBDOOG-ZDUSSCGKSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCCN(C(=O)C2CC2)CC1 ZINC000682030623 545470692 /nfs/dbraw/zinc/47/06/92/545470692.db2.gz CAMXFNSIPLYTSB-CYBMUJFWSA-N 0 1 252.358 0.868 20 30 CCEDMN C=CCN(CCOC)C(=O)N[C@H]1CCCN(C)C1 ZINC000682849251 545491344 /nfs/dbraw/zinc/49/13/44/545491344.db2.gz MYBIBDYLOQDBOO-LBPRGKRZSA-N 0 1 255.362 0.925 20 30 CCEDMN C[C@@H]1OC(=O)N[C@@H]1C(=O)Nc1cc(C#N)ccc1O ZINC000788662821 581120900 /nfs/dbraw/zinc/12/09/00/581120900.db2.gz ZRNDNLZGOAPAPK-WKEGUHRASA-N 0 1 261.237 0.699 20 30 CCEDMN CN(C(=O)[O-])C1CC[NH+](CC(=O)NCCC#N)CC1 ZINC000737938634 581181240 /nfs/dbraw/zinc/18/12/40/581181240.db2.gz FJMFKZVAKOIBTC-UHFFFAOYSA-N 0 1 268.317 0.090 20 30 CCEDMN C#CCn1cc(CNC(=O)CN2CCC(C)CC2)cn1 ZINC000791259963 581211594 /nfs/dbraw/zinc/21/15/94/581211594.db2.gz QYZUZVWIRQHVFZ-UHFFFAOYSA-N 0 1 274.368 0.864 20 30 CCEDMN C#CCCN1CCN(c2cc(COC)ncn2)CC1 ZINC000782135904 581289922 /nfs/dbraw/zinc/28/99/22/581289922.db2.gz OPGMTBMFSNHWLQ-UHFFFAOYSA-N 0 1 260.341 0.768 20 30 CCEDMN COC(=O)CCCN1CCC(=NN2CCOCC2)CC1 ZINC000727058244 581300011 /nfs/dbraw/zinc/30/00/11/581300011.db2.gz OSXKFZVMZYBKNG-UHFFFAOYSA-N 0 1 283.372 0.724 20 30 CCEDMN N#C[C@@H]1CN(C(=O)CCc2cnc[nH]2)CCN1C1CC1 ZINC000793488233 581300784 /nfs/dbraw/zinc/30/07/84/581300784.db2.gz JWMNAMFUSNSOCX-CYBMUJFWSA-N 0 1 273.340 0.541 20 30 CCEDMN Cn1ccc(CC(=O)C(C#N)C(=O)NC2CCCC2)n1 ZINC000782583337 581309369 /nfs/dbraw/zinc/30/93/69/581309369.db2.gz ROFXMFYPCPYBMJ-LBPRGKRZSA-N 0 1 274.324 0.730 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H](NC(=O)[O-])[C@@H](C)CC)CC1 ZINC000738456934 581330905 /nfs/dbraw/zinc/33/09/05/581330905.db2.gz GOWUGBLPBOZMCU-STQMWFEESA-N 0 1 295.383 0.836 20 30 CCEDMN C=CCNC(=O)C[N@H+](CCC)[C@@H]1CCN(C(=O)[O-])C1 ZINC000738496003 581362607 /nfs/dbraw/zinc/36/26/07/581362607.db2.gz TVLIIEPTSZNBBV-LLVKDONJSA-N 0 1 269.345 0.753 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000785411114 581408493 /nfs/dbraw/zinc/40/84/93/581408493.db2.gz IIGFATOLBUMORP-CABZTGNLSA-N 0 1 265.317 0.702 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000796264210 581435439 /nfs/dbraw/zinc/43/54/39/581435439.db2.gz JQFNMOZQOVVXRT-KWQFWETISA-N 0 1 264.289 0.039 20 30 CCEDMN C#CCCN1CCN(C[C@@H](O)CC(F)(F)F)CC1 ZINC000787270777 581463555 /nfs/dbraw/zinc/46/35/55/581463555.db2.gz HPPJANANXAVPLA-NSHDSACASA-N 0 1 264.291 0.941 20 30 CCEDMN N#CC(C(=O)COC[C@H]1CCCO1)C(=O)NC1CC1 ZINC000733678980 581518088 /nfs/dbraw/zinc/51/80/88/581518088.db2.gz QNNPDIBYORCFGT-MNOVXSKESA-N 0 1 266.297 0.169 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC3(C2)OCCO3)CC1 ZINC000729251005 581566527 /nfs/dbraw/zinc/56/65/27/581566527.db2.gz JXMDAMORSLVNFT-UHFFFAOYSA-N 0 1 278.352 0.307 20 30 CCEDMN C[C@H]1CCN(CC(=O)NCCC#N)C[C@H]1n1ccnc1 ZINC000767433498 581613505 /nfs/dbraw/zinc/61/35/05/581613505.db2.gz JCNDXRGZIKYKIO-QWHCGFSZSA-N 0 1 275.356 0.796 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(CCOC)C2)nc1 ZINC000729979194 581626547 /nfs/dbraw/zinc/62/65/47/581626547.db2.gz BCACNRXVAVGXOP-CQSZACIVSA-N 0 1 287.363 0.904 20 30 CCEDMN Cc1[nH]nc(C(=O)N2CCO[C@@H](C#N)C2)c1Br ZINC000729979777 581626917 /nfs/dbraw/zinc/62/69/17/581626917.db2.gz RMXFBPKFZKEVMY-ZETCQYMHSA-N 0 1 299.128 0.845 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(CCOC)C2)cn1 ZINC000729981454 581627423 /nfs/dbraw/zinc/62/74/23/581627423.db2.gz XMAHVZMVSXYFCX-HNNXBMFYSA-N 0 1 287.363 0.904 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(CCC2OCCO2)CC1 ZINC000767810163 581631538 /nfs/dbraw/zinc/63/15/38/581631538.db2.gz FDXHQEZGKLDGKB-CYBMUJFWSA-N 0 1 267.373 0.915 20 30 CCEDMN N#Cc1ccc([C@H]([NH2+][C@@H]2CCN(C(=O)[O-])C2)C(N)=O)cc1 ZINC000740312305 581664693 /nfs/dbraw/zinc/66/46/93/581664693.db2.gz DLLMZXHYYRZYCJ-NEPJUHHUSA-N 0 1 288.307 0.427 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](C)C(=O)NC2CC2)CC1 ZINC000735939431 581673471 /nfs/dbraw/zinc/67/34/71/581673471.db2.gz FSHORVXPESXYSQ-LLVKDONJSA-N 0 1 278.352 0.542 20 30 CCEDMN C=CCNC(=O)[C@H](C)OC(=O)Cc1cc(C)[nH]n1 ZINC000756705307 581676251 /nfs/dbraw/zinc/67/62/51/581676251.db2.gz GVSPWYPSLQVOSG-VIFPVBQESA-N 0 1 251.286 0.495 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](C(=O)[O-])C1 ZINC000736078670 581686157 /nfs/dbraw/zinc/68/61/57/581686157.db2.gz DTDNSDFAGWIZFL-MNOVXSKESA-N 0 1 250.298 0.017 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC1CCN(C(=O)[O-])CC1 ZINC000736078646 581686215 /nfs/dbraw/zinc/68/62/15/581686215.db2.gz CWVRDANJXIZJBV-LBPRGKRZSA-N 0 1 279.340 0.343 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC1CCN(C(=O)[O-])CC1 ZINC000736078646 581686217 /nfs/dbraw/zinc/68/62/17/581686217.db2.gz CWVRDANJXIZJBV-LBPRGKRZSA-N 0 1 279.340 0.343 20 30 CCEDMN CC[C@@H]1CC[C@@H](C(=O)C(C#N)C(=O)NCCCOC)O1 ZINC000757304686 581700541 /nfs/dbraw/zinc/70/05/41/581700541.db2.gz MATDCIKQNANZDW-WOPDTQHZSA-N 0 1 282.340 0.806 20 30 CCEDMN CCn1nccc1S(=O)(=O)Nc1cnn(CC#N)c1 ZINC000769865125 581711628 /nfs/dbraw/zinc/71/16/28/581711628.db2.gz LDVZDZROVJMFBO-UHFFFAOYSA-N 0 1 280.313 0.424 20 30 CCEDMN C#CCNC(=O)CN(C)[C@@H]1C[C@H](OCC)C1(C)C ZINC000740886513 581722674 /nfs/dbraw/zinc/72/26/74/581722674.db2.gz KWIJHVOBDVDOBA-NEPJUHHUSA-N 0 1 252.358 0.871 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)c1 ZINC000731854138 581783089 /nfs/dbraw/zinc/78/30/89/581783089.db2.gz SRFJNDFPRDFFGE-NSHDSACASA-N 0 1 284.279 0.285 20 30 CCEDMN C[C@@H](C[N@@H+](C)[C@@H](C(N)=O)c1ccc(C#N)cc1)C(=O)[O-] ZINC000736576143 581796909 /nfs/dbraw/zinc/79/69/09/581796909.db2.gz VSWMVUFFSDOGJU-JOYOIKCWSA-N 0 1 275.308 0.737 20 30 CCEDMN C[C@@H](C[N@@H+](CC(=O)NCC#N)Cc1ccccc1)C(=O)[O-] ZINC000736580115 581797744 /nfs/dbraw/zinc/79/77/44/581797744.db2.gz YHBRHLFYLXSPBB-LBPRGKRZSA-N 0 1 289.335 0.849 20 30 CCEDMN C[C@H]1CN(Cc2ccccn2)CCC1=NOCC(N)=O ZINC000771571486 581809450 /nfs/dbraw/zinc/80/94/50/581809450.db2.gz BAYPBLCURKOFIW-NSHDSACASA-N 0 1 276.340 0.781 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2CCCN(C(N)=O)C2)c1 ZINC000759714368 581817242 /nfs/dbraw/zinc/81/72/42/581817242.db2.gz YGQRMNHAPWZKKJ-SNVBAGLBSA-N 0 1 288.307 0.993 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H]2CCCNC2=O)CC1 ZINC000772134343 581844861 /nfs/dbraw/zinc/84/48/61/581844861.db2.gz SEYUWRUCHZLMKX-LBPRGKRZSA-N 0 1 264.325 0.153 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](CNC(=O)[O-])C1 ZINC000738761635 581856048 /nfs/dbraw/zinc/85/60/48/581856048.db2.gz AHXFSCMSDHJQCK-RISCZKNCSA-N 0 1 296.371 0.630 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](CNC(=O)[O-])C1 ZINC000738761635 581856052 /nfs/dbraw/zinc/85/60/52/581856052.db2.gz AHXFSCMSDHJQCK-RISCZKNCSA-N 0 1 296.371 0.630 20 30 CCEDMN CCN(CC)S(=O)(=O)CC(=O)C(C#N)c1ccncn1 ZINC000760333650 581856149 /nfs/dbraw/zinc/85/61/49/581856149.db2.gz MDJDRJROTCWZDM-SNVBAGLBSA-N 0 1 296.352 0.324 20 30 CCEDMN CCN(CC)S(=O)(=O)CC(=O)C(C#N)c1ccncn1 ZINC000760333649 581856232 /nfs/dbraw/zinc/85/62/32/581856232.db2.gz MDJDRJROTCWZDM-JTQLQIEISA-N 0 1 296.352 0.324 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCN(C)[C@H](C)C1 ZINC000761074076 581885510 /nfs/dbraw/zinc/88/55/10/581885510.db2.gz AKEROZLTAHKBOE-TZMCWYRMSA-N 0 1 266.389 0.677 20 30 CCEDMN C#CCNC(=O)CCNCc1ccc(C#N)cc1F ZINC000772919353 581899583 /nfs/dbraw/zinc/89/95/83/581899583.db2.gz TWLVZHNIXFSNCX-UHFFFAOYSA-N 0 1 259.284 0.926 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2ncc(C3OCCO3)s2)N1 ZINC000773077813 581907447 /nfs/dbraw/zinc/90/74/47/581907447.db2.gz CPOOYDJCEBKXKZ-ZETCQYMHSA-N 0 1 281.341 0.460 20 30 CCEDMN C[C@@H]1CC(=NN=C2NS(=O)(=O)c3ccccc32)CN1C ZINC000761805464 581920915 /nfs/dbraw/zinc/92/09/15/581920915.db2.gz DJUGRFQXULCPRN-SECBINFHSA-N 0 1 292.364 0.805 20 30 CCEDMN CCOc1ccc([N+](=O)[O-])cc1C=NNC(=N)N ZINC000748579552 581981011 /nfs/dbraw/zinc/98/10/11/581981011.db2.gz KPBIDSWOQMRGFT-UHFFFAOYSA-N 0 1 251.246 0.810 20 30 CCEDMN C[C@@H](C#N)NC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000749588201 582013873 /nfs/dbraw/zinc/01/38/73/582013873.db2.gz IGTJZLYWMYFYGK-ZETCQYMHSA-N 0 1 288.332 0.864 20 30 CCEDMN N#Cc1cnccc1N1CCN(CCCCO)CC1 ZINC000775482947 582026425 /nfs/dbraw/zinc/02/64/25/582026425.db2.gz ILDXRRDZZYZPAF-UHFFFAOYSA-N 0 1 260.341 0.848 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)NCCCOCC)[C@@H]1CCCO1 ZINC000775795051 582038724 /nfs/dbraw/zinc/03/87/24/582038724.db2.gz LGBYQQIVYXHAKP-IHRRRGAJSA-N 0 1 282.384 0.688 20 30 CCEDMN CCc1cc(CNS(=O)(=O)c2ccc(C#N)nc2)n[nH]1 ZINC000738383171 582042145 /nfs/dbraw/zinc/04/21/45/582042145.db2.gz LIDCXUVFZUIPTA-UHFFFAOYSA-N 0 1 291.336 0.717 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738494882 582056157 /nfs/dbraw/zinc/05/61/57/582056157.db2.gz GFTIPEDOOGLGDF-JTQLQIEISA-N 0 1 255.318 0.220 20 30 CCEDMN COCC(COC)NN=C1CN(C(C)C)C[C@H]1C ZINC000863195949 616735931 /nfs/dbraw/zinc/73/59/31/616735931.db2.gz SOPMGPIXIOMZNM-LLVKDONJSA-N 0 1 257.378 0.954 20 30 CCEDMN C[C@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])[N@@H+](C)C1CC1 ZINC000820095291 597643170 /nfs/dbraw/zinc/64/31/70/597643170.db2.gz CMOYVAUHZZTVKF-RNCFNFMXSA-N 0 1 267.329 0.590 20 30 CCEDMN C[C@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])C[NH+]1CCOCC1 ZINC000820095964 597648686 /nfs/dbraw/zinc/64/86/86/597648686.db2.gz XRVFQYGFTMRSHY-BXUZGUMPSA-N 0 1 297.355 0.075 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N1CC[N@@H+]2CCCC[C@@H]2C1 ZINC000819821025 598225406 /nfs/dbraw/zinc/22/54/06/598225406.db2.gz CBKUHCVQOPLGON-RISCZKNCSA-N 0 1 279.340 0.688 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N1CC[N@H+]2CCCC[C@@H]2C1 ZINC000819821025 598225407 /nfs/dbraw/zinc/22/54/07/598225407.db2.gz CBKUHCVQOPLGON-RISCZKNCSA-N 0 1 279.340 0.688 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N1CC[N@@H+]2CCCC[C@@H]2C1 ZINC000819821020 598225422 /nfs/dbraw/zinc/22/54/22/598225422.db2.gz CBKUHCVQOPLGON-BXUZGUMPSA-N 0 1 279.340 0.688 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N1CC[N@H+]2CCCC[C@@H]2C1 ZINC000819821020 598225423 /nfs/dbraw/zinc/22/54/23/598225423.db2.gz CBKUHCVQOPLGON-BXUZGUMPSA-N 0 1 279.340 0.688 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H]1C[N@@H+](CC(C)C)CCO1 ZINC000822237900 612996426 /nfs/dbraw/zinc/99/64/26/612996426.db2.gz LWMZDIVSRXNQTI-UONOGXRCSA-N 0 1 282.384 0.498 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000822237900 612996428 /nfs/dbraw/zinc/99/64/28/612996428.db2.gz LWMZDIVSRXNQTI-UONOGXRCSA-N 0 1 282.384 0.498 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000837928417 613002256 /nfs/dbraw/zinc/00/22/56/613002256.db2.gz VLWXYQKWBPQOFW-SECBINFHSA-N 0 1 264.329 0.757 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C2(C(=O)[O-])CCCC2)CC1 ZINC000911252439 613008940 /nfs/dbraw/zinc/00/89/40/613008940.db2.gz NQZWTEGLDGEVFF-UHFFFAOYSA-N 0 1 278.352 0.799 20 30 CCEDMN C#CCSCC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000897671605 613009524 /nfs/dbraw/zinc/00/95/24/613009524.db2.gz HIORMPXUDNXWKF-AWEZNQCLSA-N 0 1 296.436 0.924 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(C(=O)NCC(C)C)CC1 ZINC000891017014 612947592 /nfs/dbraw/zinc/94/75/92/612947592.db2.gz XYSJGSVHWQTARC-CYBMUJFWSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCSCC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000896530357 613013692 /nfs/dbraw/zinc/01/36/92/613013692.db2.gz SPKBLYCQNIGSIV-NEPJUHHUSA-N 0 1 269.414 0.103 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000828412762 601502299 /nfs/dbraw/zinc/50/22/99/601502299.db2.gz OGBPNCFXBPRVKY-GMTAPVOTSA-N 0 1 267.329 0.399 20 30 CCEDMN C[C@@H]1C[N@@H+](CC(=O)N(CCC#N)CCC#N)C[C@H]1C(=O)[O-] ZINC000235354824 601513454 /nfs/dbraw/zinc/51/34/54/601513454.db2.gz LUECGAQPYXOWBQ-VXGBXAGGSA-N 0 1 292.339 0.295 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000043594145 601547244 /nfs/dbraw/zinc/54/72/44/601547244.db2.gz LYHFSLWGBZOMJJ-CYBMUJFWSA-N 0 1 273.292 0.310 20 30 CCEDMN N#CCNC(=O)C[N@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC000043594145 601547246 /nfs/dbraw/zinc/54/72/46/601547246.db2.gz LYHFSLWGBZOMJJ-CYBMUJFWSA-N 0 1 273.292 0.310 20 30 CCEDMN COc1cc(C=NNC(=N)N)c([N+](=O)[O-])cc1OC ZINC000915050728 617179325 /nfs/dbraw/zinc/17/93/25/617179325.db2.gz IJGVJNBOKZSMIJ-UHFFFAOYSA-N 0 1 267.245 0.429 20 30 CCEDMN COc1cc(C=NN(C)[C@@H](C)CO)cc([N+](=O)[O-])c1O ZINC000842544152 617179374 /nfs/dbraw/zinc/17/93/74/617179374.db2.gz FVNJARQPLRDOIH-QMMMGPOBSA-N 0 1 283.284 0.956 20 30 CCEDMN COc1cc(C=NNCCO)cc([N+](=O)[O-])c1O ZINC000814942648 617179190 /nfs/dbraw/zinc/17/91/90/617179190.db2.gz ODKAGQJNWRDCOW-UHFFFAOYSA-N 0 1 255.230 0.225 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](C(C)(C)C(=O)[O-])C1 ZINC000135034826 612949884 /nfs/dbraw/zinc/94/98/84/612949884.db2.gz CRYIHPVVLWRJRW-RYUDHWBXSA-N 0 1 280.368 0.947 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2CN(CC#N)CC[C@H]2C)c1C ZINC000965627166 649935350 /nfs/dbraw/zinc/93/53/50/649935350.db2.gz RVZNKBQVWAFLJJ-SKDRFNHKSA-N 0 1 275.356 0.990 20 30 CCEDMN C#CC[C@@H](CO)NCCS(=O)(=O)c1ccccc1 ZINC000843076022 613029935 /nfs/dbraw/zinc/02/99/35/613029935.db2.gz CJAZYJBMESIQHC-LBPRGKRZSA-N 0 1 267.350 0.434 20 30 CCEDMN C[C@H]1CCC[N@H+](CC(=O)NCCC#N)[C@@H]1CNC(=O)[O-] ZINC000825923909 603729505 /nfs/dbraw/zinc/72/95/05/603729505.db2.gz AGXFCPSFMRYJDZ-WDEREUQCSA-N 0 1 282.344 0.384 20 30 CCEDMN C[C@H]1CCC[N@@H+](CC(=O)NCCC#N)[C@@H]1CNC(=O)[O-] ZINC000825923909 603729507 /nfs/dbraw/zinc/72/95/07/603729507.db2.gz AGXFCPSFMRYJDZ-WDEREUQCSA-N 0 1 282.344 0.384 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N[C@H](C)c1nnc[nH]1 ZINC000875925946 613036369 /nfs/dbraw/zinc/03/63/69/613036369.db2.gz ZVUVZHURPDUTHW-BDAKNGLRSA-N 0 1 251.290 0.203 20 30 CCEDMN C#CCNC(=S)N1CCN(CCOC)CC1(C)C ZINC000844591946 612953126 /nfs/dbraw/zinc/95/31/26/612953126.db2.gz AQJHLJGRENZRGE-UHFFFAOYSA-N 0 1 269.414 0.537 20 30 CCEDMN C#CCCS(=O)(=O)NCCN1CCC(OC)CC1 ZINC000808300600 613054165 /nfs/dbraw/zinc/05/41/65/613054165.db2.gz SKFLODUWNBGIFZ-UHFFFAOYSA-N 0 1 274.386 0.040 20 30 CCEDMN COCC(N=Nc1oc(C)nc1-c1nn[nH]n1)C1CC1 ZINC000821679938 606637014 /nfs/dbraw/zinc/63/70/14/606637014.db2.gz SFMQVSLANJFTNJ-UHFFFAOYSA-N 0 1 277.288 0.987 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)NC[C@H]1CCC[N@@H+]1CCOC ZINC000798408920 613061252 /nfs/dbraw/zinc/06/12/52/613061252.db2.gz FEAXLPLFCNNUKL-KGLIPLIRSA-N 0 1 297.399 0.171 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1cnccc1N(C)C ZINC000799075815 613063969 /nfs/dbraw/zinc/06/39/69/613063969.db2.gz ZGQOUBZDWJHFCF-NSHDSACASA-N 0 1 261.325 0.652 20 30 CCEDMN C#CCN(C(=O)N[C@H](C)C[S@@](C)=O)C1CCN(C)CC1 ZINC000921925847 613067731 /nfs/dbraw/zinc/06/77/31/613067731.db2.gz UEKMWDMSXYJHSE-MPBGBICISA-N 0 1 299.440 0.492 20 30 CCEDMN C#CCN(C)CCCNC(=O)N1CCC(=O)[C@H](C)C1 ZINC000922627948 613073872 /nfs/dbraw/zinc/07/38/72/613073872.db2.gz PNEWEOPDHBOFRJ-GFCCVEGCSA-N 0 1 265.357 0.562 20 30 CCEDMN C#CC[N@@H+](C)CCCNc1cc(=O)[n-]c(COC)n1 ZINC000893991043 613079528 /nfs/dbraw/zinc/07/95/28/613079528.db2.gz PVVGRGMCGYZUAA-UHFFFAOYSA-N 0 1 264.329 0.696 20 30 CCEDMN C#CC[N@H+](C)CCCNc1cc(=O)[n-]c(COC)n1 ZINC000893991043 613079530 /nfs/dbraw/zinc/07/95/30/613079530.db2.gz PVVGRGMCGYZUAA-UHFFFAOYSA-N 0 1 264.329 0.696 20 30 CCEDMN N#CCc1ccc(Cn2cnc(-c3nn[nH]n3)n2)cc1 ZINC000826382828 609486881 /nfs/dbraw/zinc/48/68/81/609486881.db2.gz XAGXVKMBXLHOTH-UHFFFAOYSA-N 0 1 266.268 0.573 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N1CCS(=O)(=O)C(C)(C)C1 ZINC000876686646 613389602 /nfs/dbraw/zinc/38/96/02/613389602.db2.gz DSMWMILJAUUMRY-VIFPVBQESA-N 0 1 294.804 0.492 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN(C[C@H](C)O)CC1 ZINC000878048582 613411187 /nfs/dbraw/zinc/41/11/87/613411187.db2.gz RPFWJRIPUURPFU-NWDGAFQWSA-N 0 1 256.346 0.103 20 30 CCEDMN C#CCC1(O)CCN(C(=O)NC[C@@H]2CCN2CC)CC1 ZINC000890055835 612972017 /nfs/dbraw/zinc/97/20/17/612972017.db2.gz HWOVISVOTOUAIL-ZDUSSCGKSA-N 0 1 279.384 0.640 20 30 CCEDMN C#CCC1(O)CCN(C[C@@H]2[C@H](C(=O)OC)C2(F)F)CC1 ZINC000895670465 612973636 /nfs/dbraw/zinc/97/36/36/612973636.db2.gz REHGYHXEWXHKNY-GHMZBOCLSA-N 0 1 287.306 0.891 20 30 CCEDMN C#CCOCCC(=O)NCCN1CC[N@H+](C)C(C)(C)C1 ZINC000799740764 612979341 /nfs/dbraw/zinc/97/93/41/612979341.db2.gz BIRYIIJSEBFZRQ-UHFFFAOYSA-N 0 1 281.400 0.169 20 30 CCEDMN CC(C)c1nn(C)cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834499074 614331786 /nfs/dbraw/zinc/33/17/86/614331786.db2.gz FANTUUHQKGUVRS-ONGXEEELSA-N 0 1 261.329 0.385 20 30 CCEDMN COc1ccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c(C)n1 ZINC000841146796 617270169 /nfs/dbraw/zinc/27/01/69/617270169.db2.gz SIQVPJYXIMDXMS-ONGXEEELSA-N 0 1 275.312 0.632 20 30 CCEDMN COc1cccc(-c2n[nH]cc2C=NNC(=N)N)c1 ZINC000814796825 617288048 /nfs/dbraw/zinc/28/80/48/617288048.db2.gz RGBMTKHMOUWKDF-UHFFFAOYSA-N 0 1 258.285 0.902 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC000938670357 617293280 /nfs/dbraw/zinc/29/32/80/617293280.db2.gz OJKGXOAFYGUPDN-YUMQZZPRSA-N 0 1 279.275 0.039 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2cc(C3CC3)[nH]n2)CC1 ZINC000899112567 612951581 /nfs/dbraw/zinc/95/15/81/612951581.db2.gz KEFYHIYVQBAXHN-UHFFFAOYSA-N 0 1 259.309 0.887 20 30 CCEDMN C#CC1(O)CCN(Cc2cc(=O)n3cc(C)ccc3n2)CC1 ZINC000931146103 612952622 /nfs/dbraw/zinc/95/26/22/612952622.db2.gz UBRUCMZLXFNXAW-UHFFFAOYSA-N 0 1 297.358 0.963 20 30 CCEDMN C#CC1CCN(C(=O)CN2CCN(CC)CC2)CC1 ZINC000844045913 612962903 /nfs/dbraw/zinc/96/29/03/612962903.db2.gz VEDBCEZTQTXGOM-UHFFFAOYSA-N 0 1 263.385 0.496 20 30 CCEDMN C#CCC1(NC(=O)CN2CCN(CC)CC2)CCOCC1 ZINC000925427350 612968631 /nfs/dbraw/zinc/96/86/31/612968631.db2.gz FYWFCERRXRYNFG-UHFFFAOYSA-N 0 1 293.411 0.313 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)c1cn(C)nn1 ZINC000960265028 612971515 /nfs/dbraw/zinc/97/15/15/612971515.db2.gz OJKWEUSVELDDEC-UKRRQHHQSA-N 0 1 295.346 0.434 20 30 CCEDMN C#CCCCC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000836867298 612974966 /nfs/dbraw/zinc/97/49/66/612974966.db2.gz KAXVOKKHQZUVPO-BETUJISGSA-N 0 1 252.358 0.703 20 30 CCEDMN C#CCCCCC(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000913494735 612975361 /nfs/dbraw/zinc/97/53/61/612975361.db2.gz CMVUCLPGWDDFMW-JTQLQIEISA-N 0 1 263.301 0.293 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H]1CC[N@H+](C(C)(C)C)C1 ZINC000828391458 612980827 /nfs/dbraw/zinc/98/08/27/612980827.db2.gz QDCJPKRWNIXTAS-LBPRGKRZSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCOCCC(=O)Nc1nc(-c2ccccn2)n[nH]1 ZINC000122095858 612981467 /nfs/dbraw/zinc/98/14/67/612981467.db2.gz WMSWNGHJAJVBCW-UHFFFAOYSA-N 0 1 271.280 0.845 20 30 CCEDMN C#CCOCCN(C)[C@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000851836735 612981680 /nfs/dbraw/zinc/98/16/80/612981680.db2.gz XTLAIWQXNRANPC-GFCCVEGCSA-N 0 1 296.392 0.977 20 30 CCEDMN C#CCOCCNC(=O)N1CCN([C@H](C)CC)CC1 ZINC000838547920 612986266 /nfs/dbraw/zinc/98/62/66/612986266.db2.gz IRKPTNWQOHQFFN-CYBMUJFWSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCCN1CC(N(CC)C(=O)c2cnc(C)[nH]2)C1 ZINC000949784064 612992982 /nfs/dbraw/zinc/99/29/82/612992982.db2.gz DYMJGCRVDIGDRW-UHFFFAOYSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(C2CCOCC2)C[C@@H]1C ZINC000830690399 612994852 /nfs/dbraw/zinc/99/48/52/612994852.db2.gz JYXRIUUKCIDWKM-KBPBESRZSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCCN1CC([C@@H](C)NC(=O)c2cn(C)ccc2=O)C1 ZINC000970124821 612995957 /nfs/dbraw/zinc/99/59/57/612995957.db2.gz NSRUVRXEIGXGDO-GFCCVEGCSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)[C@@H]1CN2CCC1CC2 ZINC000823256852 612998983 /nfs/dbraw/zinc/99/89/83/612998983.db2.gz RGDVYCUZYSTNMC-DGCLKSJQSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCCN1CCC(NC(=O)c2cc(C=O)n(C)n2)CC1 ZINC000933355468 612999168 /nfs/dbraw/zinc/99/91/68/612999168.db2.gz RRROFIRUSSEKMS-UHFFFAOYSA-N 0 1 288.351 0.450 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(C)C[C@H]1C(C)C ZINC000825981014 612999624 /nfs/dbraw/zinc/99/96/24/612999624.db2.gz NYQUNJZTJNGSAJ-OLZOCXBDSA-N 0 1 252.358 0.823 20 30 CCEDMN C#CCOc1ccc(CN2CC[C@](O)(C(=O)OC)C2)cc1 ZINC000895708922 613005754 /nfs/dbraw/zinc/00/57/54/613005754.db2.gz XOTZQVLOZUMSLH-MRXNPFEDSA-N 0 1 289.331 0.808 20 30 CCEDMN C#CCOc1ccc(CNCC(=O)N(C)OC)cc1 ZINC000895040786 613006644 /nfs/dbraw/zinc/00/66/44/613006644.db2.gz IYMMAOWFTOVQJP-UHFFFAOYSA-N 0 1 262.309 0.808 20 30 CCEDMN C#CCCN1CCN(C(=O)[C@@H]2CCc3nc[nH]c3C2)CC1 ZINC000825077591 613009631 /nfs/dbraw/zinc/00/96/31/613009631.db2.gz BPQBHWPNMRUMDX-CYBMUJFWSA-N 0 1 286.379 0.682 20 30 CCEDMN C#CCCN1CCN(c2cc(C)nc3nc(C)nn32)CC1 ZINC000881858058 613011855 /nfs/dbraw/zinc/01/18/55/613011855.db2.gz FSRFEVNMZIFHHW-UHFFFAOYSA-N 0 1 284.367 0.886 20 30 CCEDMN C#CCSCCNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000828459909 613017606 /nfs/dbraw/zinc/01/76/06/613017606.db2.gz UCBHPOJUWLMLDR-SNVBAGLBSA-N 0 1 263.366 0.997 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000820059538 613028977 /nfs/dbraw/zinc/02/89/77/613028977.db2.gz IHGSBMZDUUGEAJ-LBPRGKRZSA-N 0 1 270.292 0.586 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCc1cc(CC)[nH]n1 ZINC000858238300 613035540 /nfs/dbraw/zinc/03/55/40/613035540.db2.gz SDVGWICFCDXUAV-NSHDSACASA-N 0 1 264.329 0.810 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000875925522 613035787 /nfs/dbraw/zinc/03/57/87/613035787.db2.gz SEXKGPJCFUUBTN-UWVGGRQHSA-N 0 1 279.344 0.766 20 30 CCEDMN C#CC[C@@H](NC(=O)CCCn1cc[nH+]c1C)C(=O)[O-] ZINC000910050983 613039822 /nfs/dbraw/zinc/03/98/22/613039822.db2.gz COXBBPNRYPCVAG-LLVKDONJSA-N 0 1 263.297 0.564 20 30 CCEDMN C#CC[C@@H]1C(=O)N(c2nccc(N3CCOCC3)n2)N=C1C ZINC000899351419 613043278 /nfs/dbraw/zinc/04/32/78/613043278.db2.gz JQFQRSMLIISIGA-LBPRGKRZSA-N 0 1 299.334 0.675 20 30 CCEDMN C#CC[C@@H]1CCN(CCCS(=O)(=O)CCOC)C1 ZINC000886357463 613047052 /nfs/dbraw/zinc/04/70/52/613047052.db2.gz HMVOSSCBSBEFTJ-CYBMUJFWSA-N 0 1 273.398 0.783 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NCc1cn[nH]c1C ZINC000875095009 613051164 /nfs/dbraw/zinc/05/11/64/613051164.db2.gz CLKYJRHVFHPJKS-GFCCVEGCSA-N 0 1 264.329 0.946 20 30 CCEDMN C#CCCS(=O)(=O)N(CCN(C)C)Cc1ccccn1 ZINC000808289456 613051545 /nfs/dbraw/zinc/05/15/45/613051545.db2.gz ILUDPTRDXGCAJB-UHFFFAOYSA-N 0 1 295.408 0.798 20 30 CCEDMN C#CC[C@H](CCOC)NCc1ccc(S(N)(=O)=O)o1 ZINC000877659950 613052595 /nfs/dbraw/zinc/05/25/95/613052595.db2.gz BINMYHHRGAWMAO-SNVBAGLBSA-N 0 1 286.353 0.445 20 30 CCEDMN C#CC[C@H](CO)NC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000913988123 613054175 /nfs/dbraw/zinc/05/41/75/613054175.db2.gz GEQYGYXRRIZLTJ-MELADBBJSA-N 0 1 297.399 0.169 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1cccc2nc(CO)[nH]c21 ZINC000908316008 613057867 /nfs/dbraw/zinc/05/78/67/613057867.db2.gz RXEKSDIPDDZAPW-SECBINFHSA-N 0 1 273.292 0.169 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000847158973 613058223 /nfs/dbraw/zinc/05/82/23/613058223.db2.gz GAVHWTJBAHDJOT-GHMZBOCLSA-N 0 1 261.325 0.405 20 30 CCEDMN C#CC[C@H](CO)NS(=O)(=O)c1cc2cn[nH]c2cc1C ZINC000882340378 613059165 /nfs/dbraw/zinc/05/91/65/613059165.db2.gz OEDYDCBKNATGFR-LLVKDONJSA-N 0 1 293.348 0.534 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000875922062 613061866 /nfs/dbraw/zinc/06/18/66/613061866.db2.gz APPYWMDNGMCIFC-CYBMUJFWSA-N 0 1 297.399 0.123 20 30 CCEDMN C#CC[C@H](NC(=O)C(F)(F)C(F)F)C(=O)OC ZINC000837945319 613067499 /nfs/dbraw/zinc/06/74/99/613067499.db2.gz ZVTDGSNJZUTYEM-YFKPBYRVSA-N 0 1 255.167 0.568 20 30 CCEDMN C#CCN(C(=O)N[C@H](C)C[S@](C)=O)C1CCN(C)CC1 ZINC000921925848 613067860 /nfs/dbraw/zinc/06/78/60/613067860.db2.gz UEKMWDMSXYJHSE-ODXCJYRJSA-N 0 1 299.440 0.492 20 30 CCEDMN C#CCN(C(=O)c1ccc(NS(C)(=O)=O)nc1)C1CC1 ZINC000860839904 613070044 /nfs/dbraw/zinc/07/00/44/613070044.db2.gz HWOIKBVMLZRSMK-UHFFFAOYSA-N 0 1 293.348 0.691 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(CCC)[C@H](C)C(=O)[O-] ZINC000909037713 613073974 /nfs/dbraw/zinc/07/39/74/613073974.db2.gz LLPBFQSVLQRFPL-GFCCVEGCSA-N 0 1 283.372 0.836 20 30 CCEDMN C#CC[C@H]1NC(=O)N(C2CCN([C@H]3CCOC3)CC2)C1=O ZINC000853086278 613074967 /nfs/dbraw/zinc/07/49/67/613074967.db2.gz JRKQJDKWSIBHRJ-QWHCGFSZSA-N 0 1 291.351 0.183 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC000980265194 613081567 /nfs/dbraw/zinc/08/15/67/613081567.db2.gz SLFSWCVNAGTYQG-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C#C[C@@H](C)NS(=O)(=O)c1occc1C(=O)OC ZINC000867198106 613082602 /nfs/dbraw/zinc/08/26/02/613082602.db2.gz FFXIBIWUPSWCIE-SSDOTTSWSA-N 0 1 257.267 0.366 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(F)CCOC2)C1 ZINC000972167619 613083516 /nfs/dbraw/zinc/08/35/16/613083516.db2.gz HRHHMJDCMGXWRL-DGCLKSJQSA-N 0 1 254.305 0.281 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)c2cnn(C)c2)C1 ZINC000980573982 613083520 /nfs/dbraw/zinc/08/35/20/613083520.db2.gz PGQHYZQUFHTZED-TZMCWYRMSA-N 0 1 274.368 0.690 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC000971633839 613083812 /nfs/dbraw/zinc/08/38/12/613083812.db2.gz QTSIKPNYXNWVGN-UONOGXRCSA-N 0 1 291.395 0.409 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC000972061097 613085105 /nfs/dbraw/zinc/08/51/05/613085105.db2.gz JFPPVBDQLHHWFG-GFCCVEGCSA-N 0 1 288.351 0.579 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnc3[nH]cnc32)C1 ZINC000971615726 613086829 /nfs/dbraw/zinc/08/68/29/613086829.db2.gz HJSKWODPWSFNCR-LLVKDONJSA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC000972718905 613086993 /nfs/dbraw/zinc/08/69/93/613086993.db2.gz QQJZQFDTUACOHM-LLVKDONJSA-N 0 1 299.334 0.214 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cn2ccc(C)cc2=O)C1 ZINC000972452122 613090908 /nfs/dbraw/zinc/09/09/08/613090908.db2.gz DCQSCDJSDUHBFB-AWEZNQCLSA-N 0 1 287.363 0.323 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cnn(C)c2C)C1 ZINC000971655890 613090931 /nfs/dbraw/zinc/09/09/31/613090931.db2.gz OGDHYEHQLPUAOY-AWEZNQCLSA-N 0 1 274.368 0.437 20 30 CCEDMN C#C[C@@H](NC(=O)CCc1cnc[nH]1)C1CCOCC1 ZINC000856102242 613092011 /nfs/dbraw/zinc/09/20/11/613092011.db2.gz AFQYHLHZUCBNRL-CYBMUJFWSA-N 0 1 261.325 0.887 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC000972704143 613092108 /nfs/dbraw/zinc/09/21/08/613092108.db2.gz NXGPFWRCIQKWEQ-STQMWFEESA-N 0 1 292.383 0.086 20 30 CCEDMN C#C[C@@H](NC(=O)CN1CCN(CC)CC1)C1CCOCC1 ZINC000856108956 613092224 /nfs/dbraw/zinc/09/22/24/613092224.db2.gz HMGVBLDJAXXHEH-OAHLLOKOSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC000972453439 613092719 /nfs/dbraw/zinc/09/27/19/613092719.db2.gz LPOAGSTXRUQJCI-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#C[C@@H](NC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1)[C@@H]1CCCO1 ZINC000836411099 613094788 /nfs/dbraw/zinc/09/47/88/613094788.db2.gz ZPMGIQMKQDRVNV-RQJABVFESA-N 0 1 279.384 0.901 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cccc3nnn(C)c32)C1 ZINC000980572824 613095211 /nfs/dbraw/zinc/09/52/11/613095211.db2.gz RFWYZUYKLCAZOS-LBPRGKRZSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc3c(c2)nnn3C)C1 ZINC000971955454 613096351 /nfs/dbraw/zinc/09/63/51/613096351.db2.gz UNMIHVHWFBBRFW-LBPRGKRZSA-N 0 1 298.350 0.143 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1n[nH]c(CC)n1)[C@@H]1CCCO1 ZINC000838560586 613096867 /nfs/dbraw/zinc/09/68/67/613096867.db2.gz XUPLJXJGWFALLN-ZJUUUORDSA-N 0 1 277.328 0.347 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)CCCF ZINC000922024444 613098137 /nfs/dbraw/zinc/09/81/37/613098137.db2.gz QVHXHSOWQFZTFK-UHFFFAOYSA-N 0 1 276.377 0.705 20 30 CCEDMN C#CCN(CC)C(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC000875989593 613105605 /nfs/dbraw/zinc/10/56/05/613105605.db2.gz HZDJSLNAFLOZMV-UHFFFAOYSA-N 0 1 291.355 0.863 20 30 CCEDMN C#C[C@@H]1CCCN(CCn2nc3ccccn3c2=O)C1 ZINC000847026441 613106097 /nfs/dbraw/zinc/10/60/97/613106097.db2.gz ZFIIMWJFWCPDTR-CYBMUJFWSA-N 0 1 270.336 0.841 20 30 CCEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)NC(=O)NCC)C1 ZINC000847030201 613106799 /nfs/dbraw/zinc/10/67/99/613106799.db2.gz PCZWPOLLFPBMQZ-WDEREUQCSA-N 0 1 251.330 0.566 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC(F)(C3CC3)CC2)C1=O ZINC000899244814 613109480 /nfs/dbraw/zinc/10/94/80/613109480.db2.gz IFVHPHVYOHSUSY-GFCCVEGCSA-N 0 1 278.327 0.961 20 30 CCEDMN COc1ccccc1OCCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000843462672 617316846 /nfs/dbraw/zinc/31/68/46/617316846.db2.gz RWVBKHKVAPBQSY-NWDGAFQWSA-N 0 1 289.335 0.692 20 30 CCEDMN C#C[C@H](C)NC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000875718848 613115266 /nfs/dbraw/zinc/11/52/66/613115266.db2.gz DWCBADQIBIDDRV-ZDUSSCGKSA-N 0 1 279.384 0.762 20 30 CCEDMN C#C[C@H](C)NC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000847401844 613117812 /nfs/dbraw/zinc/11/78/12/613117812.db2.gz LFEUMZNRPCIICG-IUCAKERBSA-N 0 1 263.301 0.477 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000854077329 613120031 /nfs/dbraw/zinc/12/00/31/613120031.db2.gz PNIKZLFVMVLLLL-JHJVBQTASA-N 0 1 267.373 0.495 20 30 CCEDMN C#CCN1CC([C@H](C)NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC000970338152 613123835 /nfs/dbraw/zinc/12/38/35/613123835.db2.gz JCPWMCPLZNJCSZ-JQWIXIFHSA-N 0 1 287.367 0.294 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@](C)(COC)C2)CC1 ZINC000863830365 613129105 /nfs/dbraw/zinc/12/91/05/613129105.db2.gz WLVLWHOIDXRVGN-INIZCTEOSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](O)C(F)(F)C2)CC1 ZINC000855448126 613129356 /nfs/dbraw/zinc/12/93/56/613129356.db2.gz LXTROJLEVJPTNS-GFCCVEGCSA-N 0 1 286.322 0.560 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@@H]2C[C@H]3COC[C@H]3O2)CC1 ZINC000909008331 613132034 /nfs/dbraw/zinc/13/20/34/613132034.db2.gz RPMLXMAFFDKCOZ-SOUVJXGZSA-N 0 1 293.363 0.679 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(C(=O)NC3CC3)CC2)CC1 ZINC000928658424 613132481 /nfs/dbraw/zinc/13/24/81/613132481.db2.gz RRESQVSLTOWUIG-UHFFFAOYSA-N 0 1 289.379 0.259 20 30 CCEDMN C#CCN1CCC(NC(=O)C(CC)(CC)CO)CC1 ZINC000928649837 613132492 /nfs/dbraw/zinc/13/24/92/613132492.db2.gz SOGRSWFVQQEBFF-UHFFFAOYSA-N 0 1 266.385 0.999 20 30 CCEDMN C#CCN1CCC(Nc2ccnc(C(N)=O)c2)CC1 ZINC000895809485 613132528 /nfs/dbraw/zinc/13/25/28/613132528.db2.gz XBUXPGLUHVIRLA-UHFFFAOYSA-N 0 1 258.325 0.112 20 30 CCEDMN C#CCN1CCC(Nc2ccnc(C(=O)OC)n2)CC1 ZINC000895812876 613132566 /nfs/dbraw/zinc/13/25/66/613132566.db2.gz WSHVYJUTLSVGHA-UHFFFAOYSA-N 0 1 274.324 0.195 20 30 CCEDMN C#CCN1CCC(NC(=O)CCC2(O)CCC2)CC1 ZINC000928651070 613132954 /nfs/dbraw/zinc/13/29/54/613132954.db2.gz LRXBHBWQTQQMQJ-UHFFFAOYSA-N 0 1 264.369 0.895 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCC(=O)OC)CC1 ZINC000928653776 613132980 /nfs/dbraw/zinc/13/29/80/613132980.db2.gz AKZNEBGRMBDFPR-UHFFFAOYSA-N 0 1 266.341 0.544 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2COCC[C@H]2OC)CC1 ZINC000898077801 613134927 /nfs/dbraw/zinc/13/49/27/613134927.db2.gz KPLIBXLQMHNNSW-ZIAGYGMSSA-N 0 1 295.383 0.187 20 30 CCEDMN C#CCN1CCC(NC(=O)O[C@@H](C)COC)CC1 ZINC000921437089 613135894 /nfs/dbraw/zinc/13/58/94/613135894.db2.gz MJICNHJIFNKWLP-NSHDSACASA-N 0 1 254.330 0.845 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](NC(C)=O)[C@@H](C)CC)CC1 ZINC000928653314 613135938 /nfs/dbraw/zinc/13/59/38/613135938.db2.gz HYVGEETWOIDBBX-WFASDCNBSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)[C@H]2CCC(=O)N2)CC1 ZINC000928649852 613136028 /nfs/dbraw/zinc/13/60/28/613136028.db2.gz TZRCCTBJKIQJKB-WCQYABFASA-N 0 1 277.368 0.115 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@H]1C(C)C)[C@@H]1CCCO1 ZINC000861618771 613136417 /nfs/dbraw/zinc/13/64/17/613136417.db2.gz UJGLBYZKPLSNBJ-IHRRRGAJSA-N 0 1 279.384 0.807 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](O)c2ccccn2)CC1 ZINC000928652883 613136580 /nfs/dbraw/zinc/13/65/80/613136580.db2.gz QBOZGOOYEOEVLR-CQSZACIVSA-N 0 1 273.336 0.329 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(NC)nc2)CC1 ZINC000928652777 613137180 /nfs/dbraw/zinc/13/71/80/613137180.db2.gz MCRJHAZRJLLAGS-UHFFFAOYSA-N 0 1 272.352 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccn3nccc3n2)CC1 ZINC000928661236 613137471 /nfs/dbraw/zinc/13/74/71/613137471.db2.gz UHFREWNLIUXELF-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nc3ncc(C)cn3n2)CC1 ZINC000928648806 613138361 /nfs/dbraw/zinc/13/83/61/613138361.db2.gz RUHJCKKILVZMKM-UHFFFAOYSA-N 0 1 298.350 0.260 20 30 CCEDMN C#CCN1CCC(Nc2ncnc3nccnc32)CC1 ZINC000905015463 613139029 /nfs/dbraw/zinc/13/90/29/613139029.db2.gz VVNJWEMXLQWWHC-UHFFFAOYSA-N 0 1 268.324 0.929 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CC(C)(C)O)C2)C1 ZINC000981740726 613140796 /nfs/dbraw/zinc/14/07/96/613140796.db2.gz ZUTBKJKBVGNESX-UHFFFAOYSA-N 0 1 264.369 0.705 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3cnn(C)c3)C2)C1 ZINC000981656465 613141253 /nfs/dbraw/zinc/14/12/53/613141253.db2.gz PCZWFDDGIKQAJY-UHFFFAOYSA-N 0 1 286.379 0.520 20 30 CCEDMN C#C[C@H](NC(=O)NCc1n[nH]c(C2CC2)n1)[C@H]1CCCO1 ZINC000838557815 613141904 /nfs/dbraw/zinc/14/19/04/613141904.db2.gz CDXJTXDCWOXMPS-WDEREUQCSA-N 0 1 289.339 0.662 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3C[C@]34CCOC4)C2)C1 ZINC000982107104 613142503 /nfs/dbraw/zinc/14/25/03/613142503.db2.gz FGFLXYLQBPSEDF-YOEHRIQHSA-N 0 1 288.391 0.971 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1COC(=O)N1 ZINC000968847032 613146081 /nfs/dbraw/zinc/14/60/81/613146081.db2.gz SLVPDXGJQKBCAO-VXGBXAGGSA-N 0 1 279.340 0.089 20 30 CCEDMN C#C[C@H](NC(=O)[C@@]1(C)C[C@@H](O)CN1C)c1ccccc1 ZINC000911500444 613146706 /nfs/dbraw/zinc/14/67/06/613146706.db2.gz KFSIZSSOBBVLGS-IJEWVQPXSA-N 0 1 272.348 0.932 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cn(CC)nn1 ZINC000969092976 613147609 /nfs/dbraw/zinc/14/76/09/613147609.db2.gz BFWDANOZQYURJU-CYBMUJFWSA-N 0 1 289.383 0.906 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cnnn1CC ZINC000968849778 613149818 /nfs/dbraw/zinc/14/98/18/613149818.db2.gz GJPYNCLPANEKNN-ZDUSSCGKSA-N 0 1 289.383 0.906 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)NCCN1CCCOCC1 ZINC000895046999 613154794 /nfs/dbraw/zinc/15/47/94/613154794.db2.gz PGRUEVZGDLTESW-AWEZNQCLSA-N 0 1 279.384 0.906 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1cc([C@H]2CCCN2C)n[nH]1 ZINC000897963130 613162070 /nfs/dbraw/zinc/16/20/70/613162070.db2.gz UGRARNWPAIAXEG-SMDDNHRTSA-N 0 1 288.351 0.651 20 30 CCEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)N[C@H]1CCS(=O)(=O)C1 ZINC000852098755 613164862 /nfs/dbraw/zinc/16/48/62/613164862.db2.gz VBZXYNQKPKMWGS-NTZNESFSSA-N 0 1 286.397 0.070 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C/c2ccncn2)C1 ZINC000923564511 613165003 /nfs/dbraw/zinc/16/50/03/613165003.db2.gz ZKNPPQDPJVDWLL-VBROQKIQSA-N 0 1 270.336 0.704 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)cc1 ZINC000900626512 613171200 /nfs/dbraw/zinc/17/12/00/613171200.db2.gz SCKZRAPCXPJWRL-LBPRGKRZSA-N 0 1 283.291 0.395 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000923564648 613174876 /nfs/dbraw/zinc/17/48/76/613174876.db2.gz ATXMOTSEBQZHHB-SNVBAGLBSA-N 0 1 262.313 0.251 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000923564648 613174878 /nfs/dbraw/zinc/17/48/78/613174878.db2.gz ATXMOTSEBQZHHB-SNVBAGLBSA-N 0 1 262.313 0.251 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2ncnc(-n3cccn3)c2N)C1 ZINC000884612354 613176434 /nfs/dbraw/zinc/17/64/34/613176434.db2.gz JVEKZUNYXJUOQI-GFCCVEGCSA-N 0 1 297.366 0.754 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C\[C@@H]2CCOC2)C1 ZINC000923556028 613180672 /nfs/dbraw/zinc/18/06/72/613180672.db2.gz BGPREOZALNZABR-QJTIAFMVSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N[C@H](C)c2nc[nH]n2)C1 ZINC000890366556 613187636 /nfs/dbraw/zinc/18/76/36/613187636.db2.gz AZCPJBNZCZSWET-MNOVXSKESA-N 0 1 276.344 0.263 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC000923559949 613190230 /nfs/dbraw/zinc/19/02/30/613190230.db2.gz WDEHAVVOWFEQCF-RYUDHWBXSA-N 0 1 287.367 0.438 20 30 CCEDMN C#Cc1ccc(CN2CC(N3CC[C@@H](O)C3)C2)cc1 ZINC000846068344 613193115 /nfs/dbraw/zinc/19/31/15/613193115.db2.gz RQJABLZYBKZPJB-MRXNPFEDSA-N 0 1 256.349 0.919 20 30 CCEDMN C#Cc1ccc(CNCCS(=O)(=O)NCC)cc1 ZINC000809614179 613194306 /nfs/dbraw/zinc/19/43/06/613194306.db2.gz HHBJPPVSBBNRIP-UHFFFAOYSA-N 0 1 266.366 0.697 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H](CCC)C(=O)[O-] ZINC000833364008 613200909 /nfs/dbraw/zinc/20/09/09/613200909.db2.gz COKHARZRGMGJPP-QWRGUYRKSA-N 0 1 252.314 0.454 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](CCC)C(=O)[O-] ZINC000833364008 613200910 /nfs/dbraw/zinc/20/09/10/613200910.db2.gz COKHARZRGMGJPP-QWRGUYRKSA-N 0 1 252.314 0.454 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CCCN1C(C)=O ZINC000870194143 613203305 /nfs/dbraw/zinc/20/33/05/613203305.db2.gz JTQKRZSBMUOUNH-UONOGXRCSA-N 0 1 278.352 0.638 20 30 CCEDMN C#Cc1cnc(N2CCN(CC(C)(C)O)CC2)nc1 ZINC000827538552 613209771 /nfs/dbraw/zinc/20/97/71/613209771.db2.gz XFTOZBQVTBRXGI-UHFFFAOYSA-N 0 1 260.341 0.351 20 30 CCEDMN C#Cc1cnc(N2C[C@@H](C)N(CCO)[C@@H](C)C2)nc1 ZINC000829019513 613210382 /nfs/dbraw/zinc/21/03/82/613210382.db2.gz JAWGYRRJHZGFOT-TXEJJXNPSA-N 0 1 260.341 0.349 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966046243 613218664 /nfs/dbraw/zinc/21/86/64/613218664.db2.gz KDHKMBFOCQJWPH-TZMCWYRMSA-N 0 1 274.368 0.422 20 30 CCEDMN c1cnn(-c2ccc(N=NCc3cnc[nH]3)nn2)c1 ZINC000755658489 613224045 /nfs/dbraw/zinc/22/40/45/613224045.db2.gz VYFXSHFLZWPKOJ-UHFFFAOYSA-N 0 1 254.257 0.831 20 30 CCEDMN C1CC[C@H]2NC(N=NC3COCCOC3)=N[C@@H]2C1 ZINC000814886223 613225652 /nfs/dbraw/zinc/22/56/52/613225652.db2.gz VJHUXIJITPHYPX-GHMZBOCLSA-N 0 1 252.318 0.249 20 30 CCEDMN C=C(Br)CNC[C@H](O)C[C@]1(O)CCOC1 ZINC000905065605 613238320 /nfs/dbraw/zinc/23/83/20/613238320.db2.gz QEDYXKDFGJEBID-NXEZZACHSA-N 0 1 280.162 0.387 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nccn3ccnc23)[C@H](C)C1 ZINC000942858763 613243847 /nfs/dbraw/zinc/24/38/47/613243847.db2.gz KVIQMQDQQMLSTM-CHWSQXEVSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nn(CC)nc2C)[C@H](C)C1 ZINC000946182504 613244866 /nfs/dbraw/zinc/24/48/66/613244866.db2.gz YDXSSENEDHYNHW-DGCLKSJQSA-N 0 1 289.383 0.680 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)c2c[nH]c(=O)cn2)C1 ZINC000951560480 613246431 /nfs/dbraw/zinc/24/64/31/613246431.db2.gz FJHWBNVICJJYBT-UHFFFAOYSA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC000968591746 613251223 /nfs/dbraw/zinc/25/12/23/613251223.db2.gz FDKXBBFXFLBOJD-SMDDNHRTSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968230926 613255111 /nfs/dbraw/zinc/25/51/11/613255111.db2.gz HKMSVJQWSUBLKH-WDEREUQCSA-N 0 1 276.340 0.199 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC000949035373 613258955 /nfs/dbraw/zinc/25/89/55/613258955.db2.gz JGKGSELDVKBANK-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@]2(C)CNC(=O)C2)CC1 ZINC000949439115 613261001 /nfs/dbraw/zinc/26/10/01/613261001.db2.gz OAEFYORHVQKAIK-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(=O)n(C)o2)C(C)(C)C1 ZINC000940711530 613265064 /nfs/dbraw/zinc/26/50/64/613265064.db2.gz CYFOFNTUADFYFD-LBPRGKRZSA-N 0 1 291.351 0.442 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnns2)C[C@H]1C ZINC000947095148 613275666 /nfs/dbraw/zinc/27/56/66/613275666.db2.gz QGRMUSKYUQOGLT-ZJUUUORDSA-N 0 1 264.354 0.754 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnon2)C(C)(C)C1 ZINC000941113162 613276685 /nfs/dbraw/zinc/27/66/85/613276685.db2.gz LTEORMHIAIDCED-NSHDSACASA-N 0 1 262.313 0.533 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncc(OC)cn2)[C@@H](C)C1 ZINC000942433073 613278118 /nfs/dbraw/zinc/27/81/18/613278118.db2.gz UBGTVQGKVYFTEV-AAEUAGOBSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)C(C)(C)C1 ZINC000974685582 613284477 /nfs/dbraw/zinc/28/44/77/613284477.db2.gz IESQGZRZZVLZHH-LLVKDONJSA-N 0 1 274.324 0.256 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)cc(C)n2)C1 ZINC000958199281 613286364 /nfs/dbraw/zinc/28/63/64/613286364.db2.gz GPQSYKRYQAELKC-DZGCQCFKSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)[nH]c2C)[C@H](O)C1 ZINC000958438708 613288474 /nfs/dbraw/zinc/28/84/74/613288474.db2.gz LWMJFOUTTWSRTJ-TZMCWYRMSA-N 0 1 275.352 0.287 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2nc(CC)oc2C)[C@H](O)C1 ZINC000958467376 613290680 /nfs/dbraw/zinc/29/06/80/613290680.db2.gz HQETYQZCLHQCLM-VXGBXAGGSA-N 0 1 291.351 0.201 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3cnccn3)C[C@]2(C)C1 ZINC000982194995 613293563 /nfs/dbraw/zinc/29/35/63/613293563.db2.gz KFMFAVVRDQPQKD-IYBDPMFKSA-N 0 1 284.363 0.894 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(F)c(C)c2)C1 ZINC000958345572 613294922 /nfs/dbraw/zinc/29/49/22/613294922.db2.gz OXFIERVEWNQUEH-ZFWWWQNUSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2csc(Cl)n2)C1 ZINC000958565847 613296034 /nfs/dbraw/zinc/29/60/34/613296034.db2.gz ATRNBBMNOSXXBJ-WPRPVWTQSA-N 0 1 299.783 0.452 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cnoc2C2CC2)C1 ZINC000958555948 613296283 /nfs/dbraw/zinc/29/62/83/613296283.db2.gz OXRRNCBYDSOUNZ-AAEUAGOBSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C(C)(C)C1 ZINC000974840396 613297288 /nfs/dbraw/zinc/29/72/88/613297288.db2.gz ZJRBKKWUFBUDIC-ZDUSSCGKSA-N 0 1 287.363 0.790 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974933042 613297572 /nfs/dbraw/zinc/29/75/72/613297572.db2.gz ZJAHFKVEZKCTNT-RYUDHWBXSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cncnc2)C(C)(C)C1 ZINC000974524642 613297894 /nfs/dbraw/zinc/29/78/94/613297894.db2.gz MAVXQFFECCXISY-ZDUSSCGKSA-N 0 1 272.352 0.479 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c(C)oc(C)c2C)C1 ZINC000958239247 613302498 /nfs/dbraw/zinc/30/24/98/613302498.db2.gz MARNCXKGAUMVQS-KGLIPLIRSA-N 0 1 290.363 0.861 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(F)cc2C)C1 ZINC000958610327 613302884 /nfs/dbraw/zinc/30/28/84/613302884.db2.gz RDIGMYPLVCOCGH-DOMZBBRYSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc(OC)c2)C1 ZINC000958614773 613303496 /nfs/dbraw/zinc/30/34/96/613303496.db2.gz XXHDZFZQTKADSU-HIFRSBDPSA-N 0 1 288.347 0.351 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(F)CCCC1 ZINC000960683979 613313147 /nfs/dbraw/zinc/31/31/47/613313147.db2.gz QCZHCYKFNZKBKS-GDNZZTSVSA-N 0 1 250.317 0.948 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1c(C)cc(C)[nH]c1=O ZINC000961572275 613314416 /nfs/dbraw/zinc/31/44/16/613314416.db2.gz GGQCRGUMDBBRTM-FOLVSLTJSA-N 0 1 299.374 0.626 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1sc(C)nc1C ZINC000961279961 613314820 /nfs/dbraw/zinc/31/48/20/613314820.db2.gz JPRFTBHQMBHWSO-JYAVWHMHSA-N 0 1 289.404 0.982 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c2c(nn1C)CCCC2 ZINC000960126626 613317380 /nfs/dbraw/zinc/31/73/80/613317380.db2.gz PAVJZUXAWHWDOZ-NHAGDIPZSA-N 0 1 298.390 0.592 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)[nH]c1C ZINC000961911814 613317923 /nfs/dbraw/zinc/31/79/23/613317923.db2.gz LBOVAMIAPODHIZ-WDNDVIMCSA-N 0 1 257.337 0.925 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)ncn1 ZINC000958589761 613317928 /nfs/dbraw/zinc/31/79/28/613317928.db2.gz FZGRYKDBEIYKLE-PJXYFTJBSA-N 0 1 256.309 0.078 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2n(n1)CCC2 ZINC000960196900 613318395 /nfs/dbraw/zinc/31/83/95/613318395.db2.gz FRLZNNACDVVLFI-IMRBUKKESA-N 0 1 270.336 0.123 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(OC)ccn1 ZINC000961470464 613318799 /nfs/dbraw/zinc/31/87/99/613318799.db2.gz SVJLBCZAMZRRET-IMRBUKKESA-N 0 1 271.320 0.383 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C(N)=O)s1 ZINC000962030755 613319230 /nfs/dbraw/zinc/31/92/30/613319230.db2.gz WPZQEXHOHNOCHK-GDGBQDQQSA-N 0 1 289.360 0.140 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(CC)n1 ZINC000961949764 613320341 /nfs/dbraw/zinc/32/03/41/613320341.db2.gz WHEYQLDYPHEZJS-PJXYFTJBSA-N 0 1 258.325 0.196 20 30 CCEDMN C#CCNC(=O)CN(C)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000852153144 613327498 /nfs/dbraw/zinc/32/74/98/613327498.db2.gz HKSSCRGLOUPLAU-CQSZACIVSA-N 0 1 283.335 0.575 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C(=O)OC)[C@@H]2CCC[C@@H]21 ZINC000859949426 613329967 /nfs/dbraw/zinc/32/99/67/613329967.db2.gz PUDWWTYYMSHPRH-AVGNSLFASA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCNC(=O)CN[C@@H](C)c1ccc2[nH]c(=O)oc2c1 ZINC000879376648 613330868 /nfs/dbraw/zinc/33/08/68/613330868.db2.gz HSMHEQSYZXIDQG-VIFPVBQESA-N 0 1 273.292 0.933 20 30 CCEDMN C=C(C)CONC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000845596001 613352876 /nfs/dbraw/zinc/35/28/76/613352876.db2.gz MRQRHRDQYYUIMU-OLZOCXBDSA-N 0 1 283.372 0.735 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[C@@H]2[C@@H]1CCC[N@H+]2CCO ZINC000908008337 613353992 /nfs/dbraw/zinc/35/39/92/613353992.db2.gz ZSTRPZWLGXLAJZ-OLZOCXBDSA-N 0 1 288.413 0.423 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[C@@H]2[C@@H]1CCCN2CCO ZINC000908008337 613353993 /nfs/dbraw/zinc/35/39/93/613353993.db2.gz ZSTRPZWLGXLAJZ-OLZOCXBDSA-N 0 1 288.413 0.423 20 30 CCEDMN C=C(C)C[C@@H](CO)NCc1cn(C[C@@H]2CCCO2)nn1 ZINC000883399941 613355531 /nfs/dbraw/zinc/35/55/31/613355531.db2.gz ZJYLTVAQXRJYNH-JSGCOSHPSA-N 0 1 280.372 0.874 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)C[N@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC000910293550 613355690 /nfs/dbraw/zinc/35/56/90/613355690.db2.gz JZYHDZCBUGAHID-GHMZBOCLSA-N 0 1 286.397 0.959 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1CC[N@H+]2CC[C@H]1C2 ZINC000615575868 613356257 /nfs/dbraw/zinc/35/62/57/613356257.db2.gz XUGLBFGSVYAZMF-QWHCGFSZSA-N 0 1 265.357 0.374 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCN2CC[C@H]1C2 ZINC000615575868 613356258 /nfs/dbraw/zinc/35/62/58/613356258.db2.gz XUGLBFGSVYAZMF-QWHCGFSZSA-N 0 1 265.357 0.374 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NC12CCN(CC1)C2 ZINC000913635830 613356307 /nfs/dbraw/zinc/35/63/07/613356307.db2.gz OXORTQMTUZFCGY-GFCCVEGCSA-N 0 1 265.357 0.422 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)OCc1n[nH]c(CC)n1 ZINC000849961853 613356807 /nfs/dbraw/zinc/35/68/07/613356807.db2.gz NBWCZBODWWAYQX-SNVBAGLBSA-N 0 1 280.328 0.881 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000883702082 613357822 /nfs/dbraw/zinc/35/78/22/613357822.db2.gz DKASDYMLDMQSDH-GHMZBOCLSA-N 0 1 278.356 0.893 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCN1CC[C@H](O)C1 ZINC000913351982 613361365 /nfs/dbraw/zinc/36/13/65/613361365.db2.gz OMOZKWSZIQYZSJ-AVGNSLFASA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC000847026924 613363150 /nfs/dbraw/zinc/36/31/50/613363150.db2.gz PEMMNOHWLMNLFT-GXSJLCMTSA-N 0 1 265.313 0.837 20 30 CCEDMN C=C(Cl)CN1CC[C@H]([C@H]2CCCN(C(=O)C(N)=O)C2)C1 ZINC000961239771 613379658 /nfs/dbraw/zinc/37/96/58/613379658.db2.gz XHBWYFSIQZASFF-RYUDHWBXSA-N 0 1 299.802 0.785 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC000969638292 613385084 /nfs/dbraw/zinc/38/50/84/613385084.db2.gz YIXWWBVLUUAEQJ-GHMZBOCLSA-N 0 1 285.775 0.408 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC000969743278 613385538 /nfs/dbraw/zinc/38/55/38/613385538.db2.gz CBVZTQDLPIXRIB-SECBINFHSA-N 0 1 282.731 0.327 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(C(N)=O)o2)C1 ZINC000969271677 613385556 /nfs/dbraw/zinc/38/55/56/613385556.db2.gz XEIANWSMSUJLGR-SECBINFHSA-N 0 1 297.742 0.935 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccc(=O)n(C)n2)C1 ZINC000970647736 613385608 /nfs/dbraw/zinc/38/56/08/613385608.db2.gz CGXRYPWZLWQXLZ-SNVBAGLBSA-N 0 1 296.758 0.337 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn(C)c(=O)n2C)C1 ZINC000970818678 613385829 /nfs/dbraw/zinc/38/58/29/613385829.db2.gz GEVHMWUCCFZYLF-SNVBAGLBSA-N 0 1 298.774 0.280 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC000967328105 613385940 /nfs/dbraw/zinc/38/59/40/613385940.db2.gz VJPJRIXJCPJULB-SNVBAGLBSA-N 0 1 254.721 0.966 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnn(C)c2N)C1 ZINC000968472631 613386153 /nfs/dbraw/zinc/38/61/53/613386153.db2.gz IFGGJCIWXFDRMI-SECBINFHSA-N 0 1 283.763 0.559 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)N[C@@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC000908872478 613388630 /nfs/dbraw/zinc/38/86/30/613388630.db2.gz ZIIAKPGFTLZQRT-NXEZZACHSA-N 0 1 289.763 0.976 20 30 CCEDMN C=C1CCC(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)CC1 ZINC000900625999 613405558 /nfs/dbraw/zinc/40/55/58/613405558.db2.gz PDGXOOKJCOBMRR-LLVKDONJSA-N 0 1 277.328 0.846 20 30 CCEDMN C=C1CCC(C(=O)NCCN2CC[C@@H](O)C2)CC1 ZINC000899146411 613407817 /nfs/dbraw/zinc/40/78/17/613407817.db2.gz ZMKJDYYOXKMZTE-CYBMUJFWSA-N 0 1 252.358 0.916 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000878679693 613413055 /nfs/dbraw/zinc/41/30/55/613413055.db2.gz KSVHNLQKUKDGKW-FRRDWIJNSA-N 0 1 270.373 0.491 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(C2CN(CCCO)C2)CC1 ZINC000941515885 613432817 /nfs/dbraw/zinc/43/28/17/613432817.db2.gz HDUWSRXVHRNUNQ-UHFFFAOYSA-N 0 1 295.427 0.409 20 30 CCEDMN C=CCn1cc(CN[C@H](COC)[C@H]2CCCOC2)nn1 ZINC000883231064 613436041 /nfs/dbraw/zinc/43/60/41/613436041.db2.gz YZIZIZFPPOYQLO-GXTWGEPZSA-N 0 1 280.372 0.995 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC000972082465 613439450 /nfs/dbraw/zinc/43/94/50/613439450.db2.gz OCWQRSXOZNWOGT-JTQLQIEISA-N 0 1 293.371 0.755 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC000972082465 613439451 /nfs/dbraw/zinc/43/94/51/613439451.db2.gz OCWQRSXOZNWOGT-JTQLQIEISA-N 0 1 293.371 0.755 20 30 CCEDMN C=CC(C)(C)CCCNC(=O)C(=O)N1CCNCC1 ZINC000885098627 613446506 /nfs/dbraw/zinc/44/65/06/613446506.db2.gz SAIBMJJTYYBVJZ-UHFFFAOYSA-N 0 1 267.373 0.527 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000815519642 613454764 /nfs/dbraw/zinc/45/47/64/613454764.db2.gz DJMMDGDHKYGDDD-ZETCQYMHSA-N 0 1 273.243 0.311 20 30 CCEDMN C=CCC1(C(=O)[N-]OCC[NH+](C)C)CCOCC1 ZINC000819545786 613456390 /nfs/dbraw/zinc/45/63/90/613456390.db2.gz MDMFHOZOWTVILI-UHFFFAOYSA-N 0 1 256.346 0.969 20 30 CCEDMN C=CCC1(O)CCN(C(=O)NCCCc2nc[nH]n2)CC1 ZINC000923127554 613458295 /nfs/dbraw/zinc/45/82/95/613458295.db2.gz OZLFCBKJFXOQCX-UHFFFAOYSA-N 0 1 293.371 0.850 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC000854827152 613461348 /nfs/dbraw/zinc/46/13/48/613461348.db2.gz DZYZBHFJXUHTNZ-SCZZXKLOSA-N 0 1 251.286 0.589 20 30 CCEDMN C=CCCC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833373601 613462456 /nfs/dbraw/zinc/46/24/56/613462456.db2.gz UFCHGHPBFZKGKX-NSHDSACASA-N 0 1 254.330 0.960 20 30 CCEDMN C=CCCCN1CCN(C(=O)C(OC)OC)CC1 ZINC000930957436 613467363 /nfs/dbraw/zinc/46/73/63/613467363.db2.gz UBTYRSQCCLNRRH-UHFFFAOYSA-N 0 1 256.346 0.716 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1[NH2+]CCC[N-]C(=O)C(F)(F)F ZINC000831682776 613472037 /nfs/dbraw/zinc/47/20/37/613472037.db2.gz BPMRTFYPSOJSPU-BDAKNGLRSA-N 0 1 266.263 0.988 20 30 CCEDMN C=CCCC[C@H](NC(=O)Cc1n[nH]c(C)n1)C(=O)OC ZINC000928324327 613473426 /nfs/dbraw/zinc/47/34/26/613473426.db2.gz XCBBOMCIXYLPHQ-JTQLQIEISA-N 0 1 280.328 0.670 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@H](C)N(C)C)C(=O)OC ZINC000928315249 613473752 /nfs/dbraw/zinc/47/37/52/613473752.db2.gz BEFQFXHSWQKHHF-QWRGUYRKSA-N 0 1 256.346 0.951 20 30 CCEDMN C=C[C@H](C)ONC(=O)NCCN1CCC(O)CC1 ZINC000895578757 613486605 /nfs/dbraw/zinc/48/66/05/613486605.db2.gz SUMNOUIUJRSTOT-JTQLQIEISA-N 0 1 257.334 0.248 20 30 CCEDMN C=C[C@H](O)C(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000928085060 613496986 /nfs/dbraw/zinc/49/69/86/613496986.db2.gz YLRUTXKJGIYUGX-VWYCJHECSA-N 0 1 256.371 0.229 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC000907944008 613501689 /nfs/dbraw/zinc/50/16/89/613501689.db2.gz PVIIBFUAJUDCEC-GFCCVEGCSA-N 0 1 279.344 0.612 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC000950622854 613507723 /nfs/dbraw/zinc/50/77/23/613507723.db2.gz DZIMNCWTGJIEJG-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000969252397 613533151 /nfs/dbraw/zinc/53/31/51/613533151.db2.gz RQEUCZHTZNOMID-SNVBAGLBSA-N 0 1 274.324 0.256 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000970040184 613538382 /nfs/dbraw/zinc/53/83/82/613538382.db2.gz DASOFGXSFCOEAS-LBPRGKRZSA-N 0 1 287.363 0.459 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(C#N)[nH]2)C1 ZINC000969506074 613538514 /nfs/dbraw/zinc/53/85/14/613538514.db2.gz LXMPCINOHJULRY-NSHDSACASA-N 0 1 270.336 0.960 20 30 CCEDMN C=CCCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCS1(=O)=O ZINC000962649189 613564878 /nfs/dbraw/zinc/56/48/78/613564878.db2.gz ABYHERYJCVTLID-XQHKEYJVSA-N 0 1 298.408 0.186 20 30 CCEDMN C=CCCOCC(=O)NC[C@H]1CCO[C@H]1c1n[nH]c(C)n1 ZINC000926657790 613572220 /nfs/dbraw/zinc/57/22/20/613572220.db2.gz BJGKZVYYFBMPRP-DGCLKSJQSA-N 0 1 294.355 0.900 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)C2=COCCO2)C1 ZINC000964877391 613574060 /nfs/dbraw/zinc/57/40/60/613574060.db2.gz SZARRFFDVVLOCY-CHWSQXEVSA-N 0 1 278.352 0.725 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cncnc2)C1 ZINC000965970124 613574775 /nfs/dbraw/zinc/57/47/75/613574775.db2.gz XWEARQNNHQHFLQ-UKRRQHHQSA-N 0 1 286.379 0.869 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)NC[C@@H]1CCN1CC ZINC000890059685 613583065 /nfs/dbraw/zinc/58/30/65/613583065.db2.gz KZYLZDUYLXBPAQ-RYUDHWBXSA-N 0 1 255.362 0.707 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964900934 613594304 /nfs/dbraw/zinc/59/43/04/613594304.db2.gz FTYCDKPEPLXRGC-WCQYABFASA-N 0 1 288.351 0.646 20 30 CCEDMN C=CCCn1cc(COC(=O)[C@@H]2CC2[N+](=O)[O-])nn1 ZINC000848766455 613600698 /nfs/dbraw/zinc/60/06/98/613600698.db2.gz RWDVGZCDZXEORG-NXEZZACHSA-N 0 1 266.257 0.563 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CC(=O)N(C)C2)C(C)(C)C1 ZINC000974635264 613609853 /nfs/dbraw/zinc/60/98/53/613609853.db2.gz AVXKOSAWNZZMFZ-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc(CC)on2)[C@H](O)C1 ZINC000958602270 613614970 /nfs/dbraw/zinc/61/49/70/613614970.db2.gz DRVZECYBAZASIS-BXUZGUMPSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2conc2C)[C@H](O)C1 ZINC000958368727 613615415 /nfs/dbraw/zinc/61/54/15/613615415.db2.gz CTGVUXPXQRVWMN-DGCLKSJQSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)C2CC=CC2)C1 ZINC000957930099 613625163 /nfs/dbraw/zinc/62/51/63/613625163.db2.gz FCFGFTGZBRMYGI-KGLIPLIRSA-N 0 1 262.353 0.385 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C2CC2)n(C)n1 ZINC000960191947 613639306 /nfs/dbraw/zinc/63/93/06/613639306.db2.gz RRBAMRUAOLMFEA-VIKVFOODSA-N 0 1 298.390 0.981 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(OC)n(C)n1 ZINC000960982854 613639395 /nfs/dbraw/zinc/63/93/95/613639395.db2.gz ZXXJFZBDTFHBSQ-YABSGUDNSA-N 0 1 288.351 0.112 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(F)nc1 ZINC000958418323 613640482 /nfs/dbraw/zinc/64/04/82/613640482.db2.gz CJRNJGAKAGZRHF-IMRBUKKESA-N 0 1 273.311 0.904 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C(C)C)nn1 ZINC000962178242 613641317 /nfs/dbraw/zinc/64/13/17/613641317.db2.gz WVCUYNZWDSEDNC-IMRBUKKESA-N 0 1 287.367 0.542 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1conc1COC ZINC000962267088 613642042 /nfs/dbraw/zinc/64/20/42/613642042.db2.gz FHXFYEKJGDSOIQ-YABSGUDNSA-N 0 1 289.335 0.504 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncnc1CC ZINC000962606073 613642151 /nfs/dbraw/zinc/64/21/51/613642151.db2.gz MKWTYSHRIYQOFS-NHAGDIPZSA-N 0 1 284.363 0.722 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C)c1C1CC1 ZINC000963031229 613642315 /nfs/dbraw/zinc/64/23/15/613642315.db2.gz GUJJKKSDPKOXCV-FICVDOATSA-N 0 1 298.390 0.981 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@@H]1C(=O)NCC1(C)C ZINC000931664162 613648486 /nfs/dbraw/zinc/64/84/86/613648486.db2.gz PZCXAPFGFVSJCI-NWDGAFQWSA-N 0 1 279.384 0.690 20 30 CCEDMN C=CCN(CCC#N)C(=O)[C@@]1(C)C[C@H](O)CN1C ZINC000908343574 613649096 /nfs/dbraw/zinc/64/90/96/613649096.db2.gz QFFLMFJGBVVEMH-WCQYABFASA-N 0 1 251.330 0.370 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C2CCN(C(N)=O)CC2)C1 ZINC000970859184 613662367 /nfs/dbraw/zinc/66/23/67/613662367.db2.gz ACLREFHNDUDZIX-LLVKDONJSA-N 0 1 294.399 0.400 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC000969770661 613662623 /nfs/dbraw/zinc/66/26/23/613662623.db2.gz IPJMYTCGJWASDW-OLZOCXBDSA-N 0 1 293.411 0.866 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC000970498626 613662654 /nfs/dbraw/zinc/66/26/54/613662654.db2.gz ZZDNNVRNQIIYLA-OLZOCXBDSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cncc(OC)n2)C1 ZINC000970537333 613667003 /nfs/dbraw/zinc/66/70/03/613667003.db2.gz XVGYIGGUFKINPU-SNVBAGLBSA-N 0 1 276.340 0.721 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC000970998655 613670507 /nfs/dbraw/zinc/67/05/07/613670507.db2.gz IGPXNHLBQROCBN-JTQLQIEISA-N 0 1 288.351 0.417 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000970275474 613673394 /nfs/dbraw/zinc/67/33/94/613673394.db2.gz SQKWFTKGNJTWIB-VIFPVBQESA-N 0 1 264.329 0.362 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC000981364947 613695819 /nfs/dbraw/zinc/69/58/19/613695819.db2.gz CNPVGCQCTKFPQP-STQMWFEESA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCN1CCN(CN2C[C@@H]3COC[C@@H]3C2)C1=O ZINC000872786254 613722033 /nfs/dbraw/zinc/72/20/33/613722033.db2.gz WNALAJOTIBSECQ-TXEJJXNPSA-N 0 1 251.330 0.446 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cnn(C)c2N)C1 ZINC000965924320 613731402 /nfs/dbraw/zinc/73/14/02/613731402.db2.gz BCTOHUQXCVLBLV-PWSUYJOCSA-N 0 1 277.372 0.629 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964900154 613734199 /nfs/dbraw/zinc/73/41/99/613734199.db2.gz DOAORYDUVRPVQO-ZYHUDNBSSA-N 0 1 276.340 0.808 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966884948 613736470 /nfs/dbraw/zinc/73/64/70/613736470.db2.gz UUVYOBGNCIBPSN-ZYHUDNBSSA-N 0 1 276.340 0.808 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2ncn(C)n2)C1 ZINC000965996137 613736929 /nfs/dbraw/zinc/73/69/29/613736929.db2.gz OQKJWXOIPDWEMF-GHMZBOCLSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cnnn2C)C1 ZINC000965979353 613775813 /nfs/dbraw/zinc/77/58/13/613775813.db2.gz DTZPBVYGYPAUPL-WDEREUQCSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@H](N2CCC3=C(CCC(=O)N3)C2)C1=O ZINC000852295617 613777638 /nfs/dbraw/zinc/77/76/38/613777638.db2.gz GLQRTNUBXWRCES-ZDUSSCGKSA-N 0 1 275.352 0.643 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)CN2C(=O)CCC2=O)C(C)(C)C1 ZINC000977568999 613806149 /nfs/dbraw/zinc/80/61/49/613806149.db2.gz UINOFGMAMVYLMW-LLVKDONJSA-N 0 1 293.367 0.148 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCC[C@@H]([C@]2(C)COC(=O)N2)C1 ZINC000932028821 613845148 /nfs/dbraw/zinc/84/51/48/613845148.db2.gz HZSSMWZDKNJJGB-RISCZKNCSA-N 0 1 281.356 0.499 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H]([C@]2(C)COC(=O)N2)C1 ZINC000932028821 613845149 /nfs/dbraw/zinc/84/51/49/613845149.db2.gz HZSSMWZDKNJJGB-RISCZKNCSA-N 0 1 281.356 0.499 20 30 CCEDMN C=CCNC(=O)CN[C@@H](C)c1ccc(-c2nn[nH]n2)cc1 ZINC000823919743 613847159 /nfs/dbraw/zinc/84/71/59/613847159.db2.gz OZTHEHFOVYQXBT-JTQLQIEISA-N 0 1 286.339 0.820 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@H]1CC[C@@H]1OC ZINC000934132926 613849624 /nfs/dbraw/zinc/84/96/24/613849624.db2.gz UBWRLAJWSRERDQ-UWVGGRQHSA-N 0 1 255.318 0.107 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC000825687595 613851040 /nfs/dbraw/zinc/85/10/40/613851040.db2.gz QOMSMVXKQVXKKN-NOZJJQNGSA-N 0 1 283.328 0.183 20 30 CCEDMN C=CCOC(=O)[C@@H](N)CC(=O)N1CCN(C)C[C@@H]1C(C)C ZINC000884313544 613877647 /nfs/dbraw/zinc/87/76/47/613877647.db2.gz IQLHOEDHEMHGFZ-QWHCGFSZSA-N 0 1 297.399 0.232 20 30 CCEDMN C=CCOCCCNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000898781778 613879987 /nfs/dbraw/zinc/87/99/87/613879987.db2.gz DTETYOHDLFAKOZ-CYBMUJFWSA-N 0 1 268.357 0.416 20 30 CCEDMN C=CCOCCCNC(=O)NCCN1CCC(O)CC1 ZINC000895785417 613880161 /nfs/dbraw/zinc/88/01/61/613880161.db2.gz QIEJXWJWMWOBNC-UHFFFAOYSA-N 0 1 285.388 0.335 20 30 CCEDMN C=CCOCCNC(=O)N1CCc2sc(CN)nc2C1 ZINC000891765347 613880823 /nfs/dbraw/zinc/88/08/23/613880823.db2.gz OWTYJDKNENCKPS-UHFFFAOYSA-N 0 1 296.396 0.872 20 30 CCEDMN C=CCOC[C@H](N[C@@H]1CC[N@@H+]2CCC[C@H]2C1)C(=O)[O-] ZINC000901810201 613882803 /nfs/dbraw/zinc/88/28/03/613882803.db2.gz KBTQUOFGZKGBPS-AGIUHOORSA-N 0 1 268.357 0.859 20 30 CCEDMN C=CCOC[C@H](N[C@@H]1CC[N@H+]2CCC[C@H]2C1)C(=O)[O-] ZINC000901810201 613882804 /nfs/dbraw/zinc/88/28/04/613882804.db2.gz KBTQUOFGZKGBPS-AGIUHOORSA-N 0 1 268.357 0.859 20 30 CCEDMN C=CCONC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000838712106 613883525 /nfs/dbraw/zinc/88/35/25/613883525.db2.gz PAIIVOAIGDWMTA-LBPRGKRZSA-N 0 1 269.345 0.468 20 30 CCEDMN CC(C)(C)OC(=O)N1CC[C@H](NCCC#N)[C@@H](O)C1 ZINC000872225307 613979531 /nfs/dbraw/zinc/97/95/31/613979531.db2.gz HEJFQHLSVYAMAI-QWRGUYRKSA-N 0 1 269.345 0.860 20 30 CCEDMN CC(C)(C)OC(=O)[C@@H]1CCN(C[C@H](O)CC#N)C1 ZINC000929795373 614000025 /nfs/dbraw/zinc/00/00/25/614000025.db2.gz MCTPYPQLIFBPDM-GHMZBOCLSA-N 0 1 254.330 0.925 20 30 CCEDMN CC(=O)Nc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)ccc1F ZINC000834499966 614198215 /nfs/dbraw/zinc/19/82/15/614198215.db2.gz PFIHSTBHOLLEFW-GXFFZTMASA-N 0 1 290.298 0.625 20 30 CCEDMN CC(C)Cc1nnc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000841008762 614233987 /nfs/dbraw/zinc/23/39/87/614233987.db2.gz CPCJCEULZRPFAX-DTWKUNHWSA-N 0 1 294.384 0.970 20 30 CCEDMN CC(C)Cn1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)cn1 ZINC000834499549 614238132 /nfs/dbraw/zinc/23/81/32/614238132.db2.gz JPQHLTCPCSLPIP-JQWIXIFHSA-N 0 1 261.329 0.380 20 30 CCEDMN CC(C)Cn1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000884250221 614242035 /nfs/dbraw/zinc/24/20/35/614242035.db2.gz ZSWXIWFEUYONDV-PWSUYJOCSA-N 0 1 261.329 0.380 20 30 CCEDMN CC(C)[N@H+](CC(=O)[O-])CC(=O)N[C@](C)(C#N)C1CC1 ZINC000820009398 614288215 /nfs/dbraw/zinc/28/82/15/614288215.db2.gz AFPMVQYFWPKIRU-CYBMUJFWSA-N 0 1 267.329 0.590 20 30 CCEDMN CC(C)[N@@H+](CC(=O)[O-])CC(=O)N[C@](C)(C#N)C1CC1 ZINC000820009398 614288216 /nfs/dbraw/zinc/28/82/16/614288216.db2.gz AFPMVQYFWPKIRU-CYBMUJFWSA-N 0 1 267.329 0.590 20 30 CCEDMN CC(C)N1CC(=Nn2ccc(=O)[nH]c2=O)[C@@H](C)C1 ZINC000863184743 614315447 /nfs/dbraw/zinc/31/54/47/614315447.db2.gz IVLBXPIRJWHRGG-VIFPVBQESA-N 0 1 250.302 0.513 20 30 CCEDMN CC(C)c1nc(CN[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC000967943966 614318338 /nfs/dbraw/zinc/31/83/38/614318338.db2.gz NEFVAUHUJIOWSU-WDEREUQCSA-N 0 1 290.371 0.778 20 30 CCEDMN CCC[C@]1(CO)CCN(CC(=O)NCCC#N)C1 ZINC000808796517 614455931 /nfs/dbraw/zinc/45/59/31/614455931.db2.gz OAVPOPIIPRHHMV-ZDUSSCGKSA-N 0 1 253.346 0.501 20 30 CCEDMN CCC(C#N)(CC)C(=O)NC[C@H]1C[C@H](O)CN1C ZINC000924995047 614470557 /nfs/dbraw/zinc/47/05/57/614470557.db2.gz CKXAMHJMEJXTMW-MNOVXSKESA-N 0 1 253.346 0.498 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N1CCN(C(=O)[O-])C[C@@H]1C#N ZINC000826893649 614514538 /nfs/dbraw/zinc/51/45/38/614514538.db2.gz XKPIBSNZKKZIRJ-JTQLQIEISA-N 0 1 268.317 0.043 20 30 CCEDMN CCC(=O)N1CCCC[C@@H]1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834488846 614535374 /nfs/dbraw/zinc/53/53/74/614535374.db2.gz HCEHARRURINAIH-QJPTWQEYSA-N 0 1 278.356 0.005 20 30 CCEDMN CC1(C)CN(CC#N)C[C@@H]1NC(=O)Cc1cnc[nH]1 ZINC000975099001 614606051 /nfs/dbraw/zinc/60/60/51/614606051.db2.gz UAMZLZSPPBQEOF-NSHDSACASA-N 0 1 261.329 0.302 20 30 CCEDMN CCN(C(=O)c1cccc2nn[nH]c21)C1CN(CC#N)C1 ZINC000950406366 614738136 /nfs/dbraw/zinc/73/81/36/614738136.db2.gz OMUCUPTUJYYHIL-UHFFFAOYSA-N 0 1 284.323 0.628 20 30 CCEDMN CCN(C(=O)c1c[nH]cc2ncnc1-2)C1CN(CC#N)C1 ZINC000950384991 614768972 /nfs/dbraw/zinc/76/89/72/614768972.db2.gz DNUIZQOWIXZCMB-UHFFFAOYSA-N 0 1 284.323 0.628 20 30 CCEDMN CC1(C)OCC(NCc2cnc3c(C#N)cnn3c2)CO1 ZINC000843402887 614815503 /nfs/dbraw/zinc/81/55/03/614815503.db2.gz QPRUTWDYAOUJDO-UHFFFAOYSA-N 0 1 287.323 0.842 20 30 CCEDMN CCCCCCCNC(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC000861786192 614901246 /nfs/dbraw/zinc/90/12/46/614901246.db2.gz SEWXCVYANYSCPV-VXGBXAGGSA-N 0 1 287.379 0.971 20 30 CCEDMN CCC[C@@H]1CN(C(=O)NCC#CCO)CCN1CCOC ZINC000923776287 615012607 /nfs/dbraw/zinc/01/26/07/615012607.db2.gz FJUJIZWJXZUMTR-CQSZACIVSA-N 0 1 297.399 0.124 20 30 CCEDMN CCN(CCC(=O)NC)CC(=O)N(CC)C[C@H](C)C#N ZINC000933868288 615030555 /nfs/dbraw/zinc/03/05/55/615030555.db2.gz ULFUXQGGBSHFCI-GFCCVEGCSA-N 0 1 282.388 0.453 20 30 CCEDMN CCN(CCC(=O)NC)CC(=O)Nc1cccc(C#N)c1 ZINC000933868510 615030976 /nfs/dbraw/zinc/03/09/76/615030976.db2.gz YGAPZPKHKVSBQM-UHFFFAOYSA-N 0 1 288.351 0.955 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000827020506 615064450 /nfs/dbraw/zinc/06/44/50/615064450.db2.gz VYCSTEIZSLHJPD-NEPJUHHUSA-N 0 1 296.371 0.679 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000827020506 615064452 /nfs/dbraw/zinc/06/44/52/615064452.db2.gz VYCSTEIZSLHJPD-NEPJUHHUSA-N 0 1 296.371 0.679 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@@]1(C)C[C@H](O)CN1C ZINC000900239086 615064813 /nfs/dbraw/zinc/06/48/13/615064813.db2.gz AEKRSUCVCUDKQV-NTZNESFSSA-N 0 1 253.346 0.450 20 30 CCEDMN CCNCc1cn(C[C@H](O)c2ccc(C#N)cc2)nn1 ZINC000881409013 615103028 /nfs/dbraw/zinc/10/30/28/615103028.db2.gz ZHZCZEZPKYNDFZ-AWEZNQCLSA-N 0 1 271.324 0.993 20 30 CCEDMN CCNc1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000834480000 615141287 /nfs/dbraw/zinc/14/12/87/615141287.db2.gz KHIJPBFUOPKNMF-HTQZYQBOSA-N 0 1 265.342 0.416 20 30 CCEDMN CCOC(=O)C(C)(C)CC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834498791 615150308 /nfs/dbraw/zinc/15/03/08/615150308.db2.gz BPKPLVBXSWJFEO-UWVGGRQHSA-N 0 1 267.329 0.194 20 30 CCEDMN CCN1CCO[C@@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC000972298000 615178259 /nfs/dbraw/zinc/17/82/59/615178259.db2.gz PBAHIYPTGQRCDQ-YPMHNXCESA-N 0 1 251.330 0.469 20 30 CCEDMN CCOC(=O)c1ccc(CN=Nc2cnnn2C)[nH]1 ZINC000872378886 615181025 /nfs/dbraw/zinc/18/10/25/615181025.db2.gz JRQPZJDBSNKJNQ-UHFFFAOYSA-N 0 1 262.273 0.766 20 30 CCEDMN CCOC(=O)N(C)CCCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000884249657 615223646 /nfs/dbraw/zinc/22/36/46/615223646.db2.gz PFEANPRONQQIHO-MNOVXSKESA-N 0 1 282.344 0.083 20 30 CCEDMN CCOCCC[N@H+]1CCC(=NNCC(=O)[O-])[C@H](C)C1 ZINC000814959735 615241338 /nfs/dbraw/zinc/24/13/38/615241338.db2.gz BAIPTSAEURKMGH-LLVKDONJSA-N 0 1 271.361 0.785 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1CCO[C@](C)(C#N)C1 ZINC000896462165 615246990 /nfs/dbraw/zinc/24/69/90/615246990.db2.gz VHXSZCNXOZGXSB-DGCLKSJQSA-N 0 1 266.345 0.405 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)NCc1ccc(C#N)cn1 ZINC000883635675 615251873 /nfs/dbraw/zinc/25/18/73/615251873.db2.gz XNJAVAVKKMFCHZ-CYBMUJFWSA-N 0 1 273.340 0.847 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)N1CCO[C@H](C#N)C1 ZINC000890071523 615315274 /nfs/dbraw/zinc/31/52/74/615315274.db2.gz ZBUOSCKLKDDOHF-WDEREUQCSA-N 0 1 252.318 0.015 20 30 CCEDMN CCO[C@H](C(=O)N[C@H]1CNC[C@H]1C#N)c1ccccc1 ZINC000834493178 615474104 /nfs/dbraw/zinc/47/41/04/615474104.db2.gz BKGCBWAEKQFRBS-RDBSUJKOSA-N 0 1 273.336 0.992 20 30 CCEDMN CC[C@@H]1OCC[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000300627877 615582664 /nfs/dbraw/zinc/58/26/64/615582664.db2.gz NUDBQKOFLXBQMR-NEPJUHHUSA-N 0 1 265.357 0.906 20 30 CCEDMN CN(C)CC#CCNC(=O)CCc1ccccc1C(N)=O ZINC000913521461 615857913 /nfs/dbraw/zinc/85/79/13/615857913.db2.gz AGKOBFGXUYTZKE-UHFFFAOYSA-N 0 1 287.363 0.399 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cnc2c(c1)COCC2 ZINC000930745581 615858879 /nfs/dbraw/zinc/85/88/79/615858879.db2.gz CQZGMHWKFZPURD-UHFFFAOYSA-N 0 1 288.351 0.841 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCN1C(=O)OC(C)(C)C ZINC000913523179 615859518 /nfs/dbraw/zinc/85/95/18/615859518.db2.gz OYNDYDWTXSLLNS-LBPRGKRZSA-N 0 1 295.383 0.677 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c[nH]nc1[C@H]1CCCO1 ZINC000913525264 615860279 /nfs/dbraw/zinc/86/02/79/615860279.db2.gz PSJCTMYAYCQQOU-GFCCVEGCSA-N 0 1 276.340 0.556 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc([N+](=O)[O-])n1C ZINC000913515778 615860618 /nfs/dbraw/zinc/86/06/18/615860618.db2.gz MSOJEJNZQPEJDX-UHFFFAOYSA-N 0 1 264.285 0.228 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc2c[nH]ccc-2n1 ZINC000827971773 615860832 /nfs/dbraw/zinc/86/08/32/615860832.db2.gz HALCNFBUOVPHRT-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2c1OCC2 ZINC000827971638 615861149 /nfs/dbraw/zinc/86/11/49/615861149.db2.gz CJFKWZWMZWLDHV-UHFFFAOYSA-N 0 1 258.321 0.916 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccn(CC(F)F)n1 ZINC000913521512 615861170 /nfs/dbraw/zinc/86/11/70/615861170.db2.gz BZUUBQOMXOOYIA-UHFFFAOYSA-N 0 1 270.283 0.443 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccn(C2CCOCC2)n1 ZINC000913524193 615861298 /nfs/dbraw/zinc/86/12/98/615861298.db2.gz CUXKXPLZRFMGSV-UHFFFAOYSA-N 0 1 290.367 0.529 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncn(-c2ccccc2)n1 ZINC000905151290 615861642 /nfs/dbraw/zinc/86/16/42/615861642.db2.gz KAFQSMAANLQQSM-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn2c(n1)CCCC2 ZINC000913521618 615861916 /nfs/dbraw/zinc/86/19/16/615861916.db2.gz GYCDCWVQYZKXSK-UHFFFAOYSA-N 0 1 260.341 0.514 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C)nc1-c1ccncc1 ZINC000913517878 615862081 /nfs/dbraw/zinc/86/20/81/615862081.db2.gz TWRYRJTYYNXKLE-UHFFFAOYSA-N 0 1 297.362 0.777 20 30 CCEDMN CN(C)CC#CCNc1nccn(C(F)F)c1=O ZINC000894876338 615862649 /nfs/dbraw/zinc/86/26/49/615862649.db2.gz NABPHVSMHIPDIM-UHFFFAOYSA-N 0 1 256.256 0.615 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)N[C@@H]1CCCN(O)C1=O ZINC000848135587 615957635 /nfs/dbraw/zinc/95/76/35/615957635.db2.gz FUKYDFOVJJURKR-SNVBAGLBSA-N 0 1 297.380 0.920 20 30 CCEDMN CN(C)c1ccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000841002263 616018155 /nfs/dbraw/zinc/01/81/55/616018155.db2.gz XSTYSEVFZBEIRA-GXFFZTMASA-N 0 1 273.340 0.986 20 30 CCEDMN CN(CCCS(N)(=O)=O)C[C@@H](O)c1cccc(C#N)c1 ZINC000844369281 616076298 /nfs/dbraw/zinc/07/62/98/616076298.db2.gz JYZDIDGHYRNNQT-CYBMUJFWSA-N 0 1 297.380 0.202 20 30 CCEDMN CN(CCNS(=O)(=O)CC(C)(C)C#N)C1CC1 ZINC000913967283 616096861 /nfs/dbraw/zinc/09/68/61/616096861.db2.gz INAMNCSOAXNBGJ-UHFFFAOYSA-N 0 1 259.375 0.550 20 30 CCEDMN C[N@@H+](CCNc1ccc(C#N)nc1)CCOCCO ZINC000882951671 616097563 /nfs/dbraw/zinc/09/75/63/616097563.db2.gz PYEARJYPQTXJRC-UHFFFAOYSA-N 0 1 264.329 0.306 20 30 CCEDMN CN(CC[N@H+](C)CCO)C(=O)c1cc([O-])cc(C#N)c1 ZINC000912605010 616100925 /nfs/dbraw/zinc/10/09/25/616100925.db2.gz ABBUIESOXNCNPV-UHFFFAOYSA-N 0 1 277.324 0.260 20 30 CCEDMN CN(CC[N@@H+](C)CCO)C(=O)c1cc([O-])cc(C#N)c1 ZINC000912605010 616100927 /nfs/dbraw/zinc/10/09/27/616100927.db2.gz ABBUIESOXNCNPV-UHFFFAOYSA-N 0 1 277.324 0.260 20 30 CCEDMN CN(CCc1cccc(C#N)c1)CC(=O)N1CCOCC1 ZINC000879434638 616124776 /nfs/dbraw/zinc/12/47/76/616124776.db2.gz MCGURADOSWRGQQ-UHFFFAOYSA-N 0 1 287.363 0.891 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCO[C@H]2C2CC2)CC1 ZINC000906602313 616165963 /nfs/dbraw/zinc/16/59/63/616165963.db2.gz BEXUZBRLHIMPHD-OLZOCXBDSA-N 0 1 277.368 0.906 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@@H]1CCN1C(=O)C#CC1CC1 ZINC000949140331 616193217 /nfs/dbraw/zinc/19/32/17/616193217.db2.gz ZONLGACTYZNYOG-UONOGXRCSA-N 0 1 289.379 0.211 20 30 CCEDMN CN1CCC[C@@H]1c1cc(C(=O)N2CCO[C@H](C#N)C2)[nH]n1 ZINC000896209298 616197311 /nfs/dbraw/zinc/19/73/11/616197311.db2.gz XLRBEPUKBHAVTL-ZWNOBZJWSA-N 0 1 289.339 0.541 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CCCN(CC#N)CC1 ZINC000980834538 616209365 /nfs/dbraw/zinc/20/93/65/616209365.db2.gz SWUVXLFKFKVYHB-LBPRGKRZSA-N 0 1 250.346 0.138 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2ccsc2C#N)C1 ZINC000906641156 616238484 /nfs/dbraw/zinc/23/84/84/616238484.db2.gz GFRPDRRPDNBUEM-SNVBAGLBSA-N 0 1 278.381 0.595 20 30 CCEDMN CN1CCO[C@@H](C(=O)Nc2nc3ccc(C#N)cc3[nH]2)C1 ZINC000871071716 616253633 /nfs/dbraw/zinc/25/36/33/616253633.db2.gz DPGMMCLJKOXILO-GFCCVEGCSA-N 0 1 285.307 0.704 20 30 CCEDMN COC(=O)[C@@H]1CCC[C@H](C(=O)N[C@H]2CNC[C@@H]2C#N)C1 ZINC000834488801 616296689 /nfs/dbraw/zinc/29/66/89/616296689.db2.gz GOCJSJRWRXCFSC-USZNOCQGSA-N 0 1 279.340 0.194 20 30 CCEDMN CN1CC[C@@H]1CNc1ccc(S(N)(=O)=O)cc1C#N ZINC000895771919 616305459 /nfs/dbraw/zinc/30/54/59/616305459.db2.gz UHCVLUNCWMWAIK-SNVBAGLBSA-N 0 1 280.353 0.322 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCC1(CC#N)CC1 ZINC000907935559 616343224 /nfs/dbraw/zinc/34/32/24/616343224.db2.gz LEDXUEYOHZJOJP-CMPLNLGQSA-N 0 1 251.330 0.252 20 30 CCEDMN COC(=O)C1(C#N)CCN(C[C@H](O)C(C)C)CC1 ZINC000852136013 616345720 /nfs/dbraw/zinc/34/57/20/616345720.db2.gz FSCVKRRAAHHCRC-NSHDSACASA-N 0 1 254.330 0.782 20 30 CCEDMN CN([C@H]1CCN(C(=O)C#CC2CC2)C1)[C@H]1CCN(C)C1=O ZINC000971511368 616405034 /nfs/dbraw/zinc/40/50/34/616405034.db2.gz LDBCFQHWXDYVOH-KBPBESRZSA-N 0 1 289.379 0.163 20 30 CCEDMN CN1C[C@H](O)C[C@@H]1CNC(=O)c1ccc(F)c(C#N)c1 ZINC000924981962 616462287 /nfs/dbraw/zinc/46/22/87/616462287.db2.gz MVZPYJVOGVKRQZ-VXGBXAGGSA-N 0 1 277.299 0.492 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCCc1ccc(C#N)cc1 ZINC000912292275 616474355 /nfs/dbraw/zinc/47/43/55/616474355.db2.gz KOLLDZDCGIYTGA-ZBFHGGJFSA-N 0 1 287.363 0.672 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N[C@H]1Cc2ccc(C#N)cc2C1 ZINC000913771165 616479377 /nfs/dbraw/zinc/47/93/77/616479377.db2.gz IMGAOKPDWCCNPM-UXLLHSPISA-N 0 1 299.374 0.597 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)C[C@H](C)C1CC1 ZINC000920789762 616491869 /nfs/dbraw/zinc/49/18/69/616491869.db2.gz CGDWERSNWYGPFG-ONGXEEELSA-N 0 1 252.314 0.894 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N1CC[C@@](C)(C#N)C1 ZINC000912706930 616496168 /nfs/dbraw/zinc/49/61/68/616496168.db2.gz DQUXCTXUBWTJEA-KGYLQXTDSA-N 0 1 251.330 0.204 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N1Cc2ccc(C#N)cc2C1 ZINC000912058211 616497033 /nfs/dbraw/zinc/49/70/33/616497033.db2.gz HPEZVCYLEUBVIJ-GDBMZVCRSA-N 0 1 285.347 0.856 20 30 CCEDMN COC(=O)[C@@H]1[C@H](CN[C@@H]2CCCN(O)C2=O)C1(F)F ZINC000895158993 616515856 /nfs/dbraw/zinc/51/58/56/616515856.db2.gz BDPGQNBDOZXBKT-RNJXMRFFSA-N 0 1 278.255 0.011 20 30 CCEDMN CNC(=O)C1(NCc2cccc(C#N)c2)CCOCC1 ZINC000887083234 616550277 /nfs/dbraw/zinc/55/02/77/616550277.db2.gz UWKOKTMUWSHKSG-UHFFFAOYSA-N 0 1 273.336 0.943 20 30 CCEDMN CNC(=O)C1(NCc2cnc3c(C#N)cnn3c2)CCCC1 ZINC000843403148 616550363 /nfs/dbraw/zinc/55/03/63/616550363.db2.gz SDLOLEJEJUWXSM-UHFFFAOYSA-N 0 1 298.350 0.749 20 30 CCEDMN COCCOc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834495789 616595514 /nfs/dbraw/zinc/59/55/14/616595514.db2.gz ZSJJZVWJFYIKNV-OCCSQVGLSA-N 0 1 289.335 0.553 20 30 CCEDMN COC(=O)COc1ccccc1C=NNC1=NC[C@H](C)N1 ZINC000901217303 616618405 /nfs/dbraw/zinc/61/84/05/616618405.db2.gz WCXITALRFGNZAR-JTQLQIEISA-N 0 1 290.323 0.510 20 30 CCEDMN COC(=O)[C@H]1CNCCCN1C(=O)C#CC(C)(C)C ZINC000876848053 616691262 /nfs/dbraw/zinc/69/12/62/616691262.db2.gz NQQXRLVIVUSAHO-LLVKDONJSA-N 0 1 266.341 0.399 20 30 CCEDMN CNC(=O)NC(=O)CCN(C)Cc1cc(C#N)cs1 ZINC000933776690 616694591 /nfs/dbraw/zinc/69/45/91/616694591.db2.gz KFLLXBIIVPVIKQ-UHFFFAOYSA-N 0 1 280.353 0.897 20 30 CCEDMN CNC(=O)[C@@H](C)C[N@@H+](C)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000830975636 616780780 /nfs/dbraw/zinc/78/07/80/616780780.db2.gz PAEFVGSQURNIHS-GWCFXTLKSA-N 0 1 289.335 0.998 20 30 CCEDMN COC[C@H]1CNCCN1C(=O)Nc1ccn(CCC#N)n1 ZINC000904346583 616789342 /nfs/dbraw/zinc/78/93/42/616789342.db2.gz UQIFKWKAQNJTHN-LLVKDONJSA-N 0 1 292.343 0.249 20 30 CCEDMN COC(=O)c1cc(C(=O)NCC#CCN(C)C)c(C)o1 ZINC000913524046 616822793 /nfs/dbraw/zinc/82/27/93/616822793.db2.gz XNDDOAPASOCPDF-UHFFFAOYSA-N 0 1 278.308 0.669 20 30 CCEDMN COCC1CN(C[C@@H](O)COc2ccccc2C#N)C1 ZINC000905973172 616824137 /nfs/dbraw/zinc/82/41/37/616824137.db2.gz TTXABSSWEOHZGX-CQSZACIVSA-N 0 1 276.336 0.876 20 30 CCEDMN COC[C@@H](C)OC[C@H](O)CNCc1ccc(C#N)cn1 ZINC000819310519 616837011 /nfs/dbraw/zinc/83/70/11/616837011.db2.gz BSVTVASMFJMPNA-BXUZGUMPSA-N 0 1 279.340 0.455 20 30 CCEDMN COC[C@@H](O)CNCc1cc(C#N)cnc1Cl ZINC000877504857 616922591 /nfs/dbraw/zinc/92/25/91/616922591.db2.gz MDIADGYHLMUNJL-JTQLQIEISA-N 0 1 255.705 0.704 20 30 CCEDMN COC(=O)[C@@H](C)Oc1ccc(C=NNC2=NCCN2)cc1 ZINC000841661991 616950808 /nfs/dbraw/zinc/95/08/08/616950808.db2.gz UWEKIOGYOJQJBJ-SNVBAGLBSA-N 0 1 290.323 0.510 20 30 CCEDMN COCC[N@H+](CCC#N)C[C@H]1CCN(C(=O)[O-])C1 ZINC000828980085 616993137 /nfs/dbraw/zinc/99/31/37/616993137.db2.gz SBQPVNNMKVTVIN-LLVKDONJSA-N 0 1 255.318 0.848 20 30 CCEDMN COCC[N@@H+](CCC#N)C[C@H]1CCN(C(=O)[O-])C1 ZINC000828980085 616993142 /nfs/dbraw/zinc/99/31/42/616993142.db2.gz SBQPVNNMKVTVIN-LLVKDONJSA-N 0 1 255.318 0.848 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)[C@H]1CCCN1C(C)C ZINC000934714578 617010893 /nfs/dbraw/zinc/01/08/93/617010893.db2.gz RVFINUBQLPKASW-DGCLKSJQSA-N 0 1 253.346 0.904 20 30 CCEDMN COC[C@@]1(C(=O)NCC2(C#N)CCCCC2)CNCCO1 ZINC000876971359 617033413 /nfs/dbraw/zinc/03/34/13/617033413.db2.gz OKBWSPXGUZDYNG-OAHLLOKOSA-N 0 1 295.383 0.582 20 30 CCEDMN CNS(=O)(=O)c1cccc([C@@H](C)NC[C@H](O)CC#N)c1 ZINC000809578422 617040460 /nfs/dbraw/zinc/04/04/60/617040460.db2.gz MKOSYRBSKOBCNW-ZYHUDNBSSA-N 0 1 297.380 0.520 20 30 CCEDMN CO[C@H]1c2ccccc2C[C@H]1NCC(=O)NCCC#N ZINC000839627882 617124627 /nfs/dbraw/zinc/12/46/27/617124627.db2.gz IIZAKNSPTCQYEH-HIFRSBDPSA-N 0 1 273.336 0.918 20 30 CCEDMN CO[C@@H](C(=O)N[C@H]1CNC[C@@H]1C#N)c1ccccc1 ZINC000834500656 617127548 /nfs/dbraw/zinc/12/75/48/617127548.db2.gz VONABGNAJSIRFD-RWMBFGLXSA-N 0 1 259.309 0.602 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@]1(C)CCO[C@H]1C ZINC001025922441 625304510 /nfs/dbraw/zinc/30/45/10/625304510.db2.gz SLXRBNGQVVADBY-RLPMIEJPSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@]1(C)CCO[C@@H]1C ZINC001025924268 625304712 /nfs/dbraw/zinc/30/47/12/625304712.db2.gz OUTVCOZFVPCOTB-NJURLYQPSA-N 0 1 276.380 0.871 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)Cc3ccn[nH]3)C[C@@H]21 ZINC001026224488 625362985 /nfs/dbraw/zinc/36/29/85/625362985.db2.gz ARRKTEONZLHHDV-WCFLWFBJSA-N 0 1 273.340 0.302 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)C(N)=O)CCN1CC#Cc1ccccc1 ZINC000947671148 625388700 /nfs/dbraw/zinc/38/87/00/625388700.db2.gz KWXKFAHGVMIWCB-HIFRSBDPSA-N 0 1 299.374 0.493 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@H]2CC[C@H](NCC#N)[C@@H]2C1 ZINC001026328668 625399190 /nfs/dbraw/zinc/39/91/90/625399190.db2.gz PZJKEHDRWWIGFZ-AXFHLTTASA-N 0 1 274.328 0.077 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001006622892 625408634 /nfs/dbraw/zinc/40/86/34/625408634.db2.gz DRXPGJANABDZTI-SNVBAGLBSA-N 0 1 262.313 0.562 20 30 CCEDMN Cc1cnn([C@H]2CCN(C(=O)NCc3cnnn3C)C2)c1 ZINC000329706694 625471865 /nfs/dbraw/zinc/47/18/65/625471865.db2.gz KCMRAIAUACPZGH-NSHDSACASA-N 0 1 289.343 0.681 20 30 CCEDMN Cc1nnc(CNC[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)o1 ZINC001026732815 625578591 /nfs/dbraw/zinc/57/85/91/625578591.db2.gz NXSVMHDXLUZTSX-KOLCDFICSA-N 0 1 277.328 0.476 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CNCc2nncs2)C1 ZINC001026732745 625578937 /nfs/dbraw/zinc/57/89/37/625578937.db2.gz MZSOVRIMVHDXAR-NXEZZACHSA-N 0 1 279.369 0.636 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC[C@H]1CCCN(CCF)C1 ZINC001023423998 623026264 /nfs/dbraw/zinc/02/62/64/623026264.db2.gz LIVBGYLDBLDJRL-CABCVRRESA-N 0 1 295.402 0.882 20 30 CCEDMN Cn1ncc(CNC[C@H]2CCN(C(=O)C#CC3CC3)C2)n1 ZINC001026835368 625635588 /nfs/dbraw/zinc/63/55/88/625635588.db2.gz WAZKRRNWYGXMLN-CYBMUJFWSA-N 0 1 287.367 0.167 20 30 CCEDMN Cn1cnnc1CNC[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001026834528 625633084 /nfs/dbraw/zinc/63/30/84/625633084.db2.gz GKKAHVSHIFQTDJ-CYBMUJFWSA-N 0 1 287.367 0.167 20 30 CCEDMN C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024391056 623308323 /nfs/dbraw/zinc/30/83/23/623308323.db2.gz NYXRSXOXEJVVMU-KBPBESRZSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024520835 623349576 /nfs/dbraw/zinc/34/95/76/623349576.db2.gz OCRCKEJIQHICBX-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024520835 623349580 /nfs/dbraw/zinc/34/95/80/623349580.db2.gz OCRCKEJIQHICBX-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001024615251 623399361 /nfs/dbraw/zinc/39/93/61/623399361.db2.gz PZQVLWKQHOCBHA-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001024615251 623399370 /nfs/dbraw/zinc/39/93/70/623399370.db2.gz PZQVLWKQHOCBHA-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1ccc(=O)n(C)n1 ZINC001024790909 623442001 /nfs/dbraw/zinc/44/20/01/623442001.db2.gz RHZPESHJBINFGG-GFCCVEGCSA-N 0 1 290.367 0.551 20 30 CCEDMN CCn1nncc1CN[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001018631550 623699396 /nfs/dbraw/zinc/69/93/96/623699396.db2.gz GNSPRGFNSVMCNF-MNOVXSKESA-N 0 1 276.344 0.148 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@H]1C(C)C ZINC001025809834 623885739 /nfs/dbraw/zinc/88/57/39/623885739.db2.gz BXDDXDTZMDMCDF-MRLBHPIUSA-N 0 1 276.380 0.727 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2ccn(C)n2)C1 ZINC001019772751 623905936 /nfs/dbraw/zinc/90/59/36/623905936.db2.gz QCVPSRBPHBAKFK-JTQLQIEISA-N 0 1 268.748 0.977 20 30 CCEDMN C[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C1CN(CC#N)C1 ZINC000969875555 624550558 /nfs/dbraw/zinc/55/05/58/624550558.db2.gz CSIUFVQILGRORC-YUSALJHKSA-N 0 1 273.340 0.473 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2n[nH]cc2Br)C1 ZINC000970285899 624664878 /nfs/dbraw/zinc/66/48/78/624664878.db2.gz SCABLOAXGRLVPQ-SSDOTTSWSA-N 0 1 298.144 0.500 20 30 CCEDMN C#C[C@H]1CCCN([C@@H](C)C(=O)NCCCOC)C1 ZINC000847024856 624846765 /nfs/dbraw/zinc/84/67/65/624846765.db2.gz UAWLFJZRSDREQN-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2COCCN2C(C)=O)C1 ZINC001009576755 625848153 /nfs/dbraw/zinc/84/81/53/625848153.db2.gz IEEHFWAUHRPOLY-UONOGXRCSA-N 0 1 295.383 0.000 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027407881 625871219 /nfs/dbraw/zinc/87/12/19/625871219.db2.gz DCSHTXPNUCSYAW-UONOGXRCSA-N 0 1 291.395 0.587 20 30 CCEDMN N#Cc1ccc(CNC[C@H](O)C[C@@]2(O)CCOC2)c(F)c1 ZINC000905097165 634802507 /nfs/dbraw/zinc/80/25/07/634802507.db2.gz YSLKHQIURRLKMP-HIFRSBDPSA-N 0 1 294.326 0.689 20 30 CCEDMN C[C@](O)(CNCC(=O)NCC#N)C(F)(F)C(F)(F)F ZINC000905258579 634828788 /nfs/dbraw/zinc/82/87/88/634828788.db2.gz YVGILMBCQXEBFV-ZETCQYMHSA-N 0 1 289.204 0.164 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCN(CCn2cccn2)CC1 ZINC000980839582 631065142 /nfs/dbraw/zinc/06/51/42/631065142.db2.gz JZJCTHJXBOWFFU-CYBMUJFWSA-N 0 1 275.356 0.577 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N2CCO[C@](C)(C#N)C2)n[nH]1 ZINC000893746988 631450152 /nfs/dbraw/zinc/45/01/52/631450152.db2.gz UZMPKVJBCDCEQD-IINYFYTJSA-N 0 1 291.355 0.973 20 30 CCEDMN COc1ncccc1CN[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000967945892 650006009 /nfs/dbraw/zinc/00/60/09/650006009.db2.gz YGVLQURMWRWZIJ-WCQYABFASA-N 0 1 288.351 0.940 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000968633666 650024529 /nfs/dbraw/zinc/02/45/29/650024529.db2.gz ZOIHFARPBADOFE-YGRLFVJLSA-N 0 1 298.350 0.922 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000968633664 650024556 /nfs/dbraw/zinc/02/45/56/650024556.db2.gz ZOIHFARPBADOFE-IINYFYTJSA-N 0 1 298.350 0.922 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC000968883896 650033262 /nfs/dbraw/zinc/03/32/62/650033262.db2.gz BYXJCIUWRWMZFT-SSDOTTSWSA-N 0 1 287.245 0.756 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1cccc2c1CCO2 ZINC000841223439 631857187 /nfs/dbraw/zinc/85/71/87/631857187.db2.gz KPFTZGXHXOWUEE-JOYOIKCWSA-N 0 1 272.308 0.855 20 30 CCEDMN C#C[C@H](C)NC(=O)c1ccc2c(c1)[nH]c(=S)[nH]c2=O ZINC000186326020 631966051 /nfs/dbraw/zinc/96/60/51/631966051.db2.gz GGOVMGYQJOHACO-ZETCQYMHSA-N 0 1 273.317 0.963 20 30 CCEDMN C[C@H](NC(=O)CC1(C#N)CC1)[C@H]1CN(C)CCN1C ZINC000896539496 632272237 /nfs/dbraw/zinc/27/22/37/632272237.db2.gz PORYZNZZYWLWCU-NWDGAFQWSA-N 0 1 264.373 0.431 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000896541333 632274798 /nfs/dbraw/zinc/27/47/98/632274798.db2.gz JGFPXBZRBAFBSP-YGRLFVJLSA-N 0 1 290.367 0.824 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001007114576 650064962 /nfs/dbraw/zinc/06/49/62/650064962.db2.gz UHZNVVIAFCXLHU-STQMWFEESA-N 0 1 278.352 0.544 20 30 CCEDMN Cc1ncc(C(=O)N2CCC(N(C)CC#N)CC2)[nH]1 ZINC000985334076 632437602 /nfs/dbraw/zinc/43/76/02/632437602.db2.gz NFBAOJRJUBBKEX-UHFFFAOYSA-N 0 1 261.329 0.778 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001007490514 650080485 /nfs/dbraw/zinc/08/04/85/650080485.db2.gz CZVHNXZPUQXMQN-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001007504745 650081179 /nfs/dbraw/zinc/08/11/79/650081179.db2.gz MPAGUBMHRTVDCS-LLVKDONJSA-N 0 1 286.339 0.733 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001007531292 650081938 /nfs/dbraw/zinc/08/19/38/650081938.db2.gz YZDNQUQLVGSOSY-QJPTWQEYSA-N 0 1 273.340 0.617 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2CN(C(C)=O)C2)[C@@H]1C ZINC000986210118 632729582 /nfs/dbraw/zinc/72/95/82/632729582.db2.gz UGOSHZMVMFHHNP-ZWNOBZJWSA-N 0 1 299.802 0.796 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(=O)n(C)o2)[C@@H]1C ZINC000986214561 632730160 /nfs/dbraw/zinc/73/01/60/632730160.db2.gz FQXOMUFIRDLMHQ-NXEZZACHSA-N 0 1 299.758 0.923 20 30 CCEDMN C[C@H]1[C@H](NCc2ccn(C)n2)CCN1C(=O)C#CC1CC1 ZINC000986275635 632738014 /nfs/dbraw/zinc/73/80/14/632738014.db2.gz GWYJLOKLDKGOOX-SWLSCSKDSA-N 0 1 286.379 0.913 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc3nnnn3c2)C1 ZINC001007917888 650097282 /nfs/dbraw/zinc/09/72/82/650097282.db2.gz GDRVHHGFQZQGCU-ZDUSSCGKSA-N 0 1 298.350 0.342 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC001008037408 650100128 /nfs/dbraw/zinc/10/01/28/650100128.db2.gz UVYRPCKNDLICCZ-SWLSCSKDSA-N 0 1 279.384 0.669 20 30 CCEDMN N#CC1(CC(=O)OCCN2CCC2)CCOCC1 ZINC000861390752 632811563 /nfs/dbraw/zinc/81/15/63/632811563.db2.gz UANVMRUQPHLHOK-UHFFFAOYSA-N 0 1 252.314 0.946 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](NCC#N)[C@@H]2C)CC1 ZINC000987573867 632881829 /nfs/dbraw/zinc/88/18/29/632881829.db2.gz CZORQDYOCZAPII-DZGCQCFKSA-N 0 1 288.395 0.434 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CCn2cncn2)C1 ZINC000988147710 632953462 /nfs/dbraw/zinc/95/34/62/632953462.db2.gz RTLOPSAOTDHFSE-RYUDHWBXSA-N 0 1 297.790 1.000 20 30 CCEDMN N#Cc1ccc(CNC(=O)C2CN([C@@H]3CCOC3)C2)nc1 ZINC000897496510 633042869 /nfs/dbraw/zinc/04/28/69/633042869.db2.gz NHGONLVVTMUXQP-CQSZACIVSA-N 0 1 286.335 0.290 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cnn(C)c2N)C1 ZINC000988675731 633080087 /nfs/dbraw/zinc/08/00/87/633080087.db2.gz ZDDPDVURFABHNB-VHSXEESVSA-N 0 1 297.790 0.947 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)Cc2nnc(C)[nH]2)C1 ZINC000988824501 633117304 /nfs/dbraw/zinc/11/73/04/633117304.db2.gz HIXUNEYWAXABCU-ONGXEEELSA-N 0 1 297.790 0.987 20 30 CCEDMN Cc1cnc(NC(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000841011309 633135333 /nfs/dbraw/zinc/13/53/33/633135333.db2.gz HSCMFIPKRNRTAR-YUMQZZPRSA-N 0 1 251.315 0.685 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)C3=COCCO3)CCC[C@H]12 ZINC000989927910 633386526 /nfs/dbraw/zinc/38/65/26/633386526.db2.gz DYOUQKSELNJJMK-DZGCQCFKSA-N 0 1 276.336 0.621 20 30 CCEDMN Cc1nnc(CNC2CCN(C(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC000990316103 633507270 /nfs/dbraw/zinc/50/72/70/633507270.db2.gz ZAXMBOJXMMKZIR-VIFPVBQESA-N 0 1 276.344 0.353 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC(NC(=O)[C@H]3CCCN3C)C2)C1 ZINC000990516691 633548748 /nfs/dbraw/zinc/54/87/48/633548748.db2.gz OEXHOFGKNPHTEY-CYBMUJFWSA-N 0 1 291.395 0.764 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC[C@H]1c1nnc[nH]1 ZINC000899106920 633734270 /nfs/dbraw/zinc/73/42/70/633734270.db2.gz VLCYESLZDHVZHL-JOYOIKCWSA-N 0 1 250.302 0.795 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CCCN(C[C@@H](C)O)C2)c1 ZINC001008471104 650115747 /nfs/dbraw/zinc/11/57/47/650115747.db2.gz YXBWPSQAJNRSTF-IUODEOHRSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cn(CC=C)nn2)C1 ZINC001008793362 650127614 /nfs/dbraw/zinc/12/76/14/650127614.db2.gz IWCKWQZNQPVXIZ-ZDUSSCGKSA-N 0 1 287.367 0.682 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001008905183 650131613 /nfs/dbraw/zinc/13/16/13/650131613.db2.gz LGEPTRGKFFDFCC-LBPRGKRZSA-N 0 1 290.367 0.643 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ccncn2)C1 ZINC001008923344 650132416 /nfs/dbraw/zinc/13/24/16/650132416.db2.gz JLUQHNDXUYWUPQ-LBPRGKRZSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001009145414 650138602 /nfs/dbraw/zinc/13/86/02/650138602.db2.gz KRHVKDQQHWQYNK-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN COC(=O)[C@@H]1CCC[C@@H](C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC000246557046 635720081 /nfs/dbraw/zinc/72/00/81/635720081.db2.gz RGSLFPFSJAZBFY-FOGDFJRCSA-N 0 1 292.335 0.953 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010643379 650245905 /nfs/dbraw/zinc/24/59/05/650245905.db2.gz UXGHAJFMKCRNAM-SNVBAGLBSA-N 0 1 262.313 0.251 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010643379 650245913 /nfs/dbraw/zinc/24/59/13/650245913.db2.gz UXGHAJFMKCRNAM-SNVBAGLBSA-N 0 1 262.313 0.251 20 30 CCEDMN N#Cc1ccncc1C(=O)N1CC(N2CCCC2)C1 ZINC000912323317 636552640 /nfs/dbraw/zinc/55/26/40/636552640.db2.gz YTKHZPBRYPBDQS-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN Cc1ncc([N+](=O)[O-])cc1C(=O)NCC#CCN(C)C ZINC000913515557 636833281 /nfs/dbraw/zinc/83/32/81/636833281.db2.gz BVJMRKOQQRZHFM-UHFFFAOYSA-N 0 1 276.296 0.593 20 30 CCEDMN Cc1csc2nc(CC(=O)NCC#CCN(C)C)cn12 ZINC000913525003 636833597 /nfs/dbraw/zinc/83/35/97/636833597.db2.gz GUIYGPCUHQSXQU-UHFFFAOYSA-N 0 1 290.392 0.928 20 30 CCEDMN N#CC1(CS(=O)(=O)NC[C@@H]2CN3CCC[C@@H]3CO2)CC1 ZINC000164970895 636891619 /nfs/dbraw/zinc/89/16/19/636891619.db2.gz ZBGGKSWFZJTCDK-VXGBXAGGSA-N 0 1 299.396 0.073 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)CN3CCCC3)CCC[C@@H]12 ZINC000992484865 637448428 /nfs/dbraw/zinc/44/84/28/637448428.db2.gz HTMKYTOGICRNOE-UKRRQHHQSA-N 0 1 276.384 0.719 20 30 CCEDMN CN1CC[C@H]1CNC(=O)NCCNc1ncccc1C#N ZINC000914374443 637607370 /nfs/dbraw/zinc/60/73/70/637607370.db2.gz DBKJRHLUNVPUNE-LBPRGKRZSA-N 0 1 288.355 0.368 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCCN(CC#N)[C@H]2C)[nH]n1 ZINC000993207033 637740826 /nfs/dbraw/zinc/74/08/26/637740826.db2.gz UQRFZVQDABSGMO-WCQYABFASA-N 0 1 275.356 0.753 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C2CN(C(C)=O)C2)[C@@H]1C ZINC000993243945 637755520 /nfs/dbraw/zinc/75/55/20/637755520.db2.gz FFQVZZBTXQKHMA-SMDDNHRTSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cn(C)nn2)[C@@H]1C ZINC000993261858 637759344 /nfs/dbraw/zinc/75/93/44/637759344.db2.gz SJMQHZZQDGAEEF-QWRGUYRKSA-N 0 1 263.345 0.584 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@@H]1C ZINC000993279609 637763333 /nfs/dbraw/zinc/76/33/33/637763333.db2.gz LGAIZAUECPGWGC-QWRGUYRKSA-N 0 1 277.324 0.194 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2nnc(C)o2)[C@@H]1C ZINC000993337954 637791781 /nfs/dbraw/zinc/79/17/81/637791781.db2.gz XMPOKGPRUYSIAI-CMPLNLGQSA-N 0 1 276.340 0.523 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cnc[nH]c2=O)[C@@H]1C ZINC000993462018 637811077 /nfs/dbraw/zinc/81/10/77/637811077.db2.gz AENQCWPTWGZEPS-JQWIXIFHSA-N 0 1 274.324 0.398 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2ccc(=O)n(C)c2)[C@@H]1C ZINC000993542986 637816237 /nfs/dbraw/zinc/81/62/37/637816237.db2.gz POTHPNMBSGIIEZ-GXTWGEPZSA-N 0 1 287.363 0.601 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](NC(=O)c2cnn[n-]2)[C@H]1C ZINC000993542363 637816722 /nfs/dbraw/zinc/81/67/22/637816722.db2.gz OJPCVXKFCFEUTH-GHMZBOCLSA-N 0 1 261.329 0.411 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000993551266 637818088 /nfs/dbraw/zinc/81/80/88/637818088.db2.gz QVYDUGSXLZQTNF-DTWKUNHWSA-N 0 1 293.327 0.052 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2ccnc3n[nH]nc32)[C@@H]1C ZINC000993569503 637818707 /nfs/dbraw/zinc/81/87/07/637818707.db2.gz GSYBGOGUYAMUOX-JQWIXIFHSA-N 0 1 298.350 0.569 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2c[nH]c(=O)n2C)[C@H]1C ZINC000993702581 637839088 /nfs/dbraw/zinc/83/90/88/637839088.db2.gz PSEZOZXQMXWSOT-NEPJUHHUSA-N 0 1 290.367 0.732 20 30 CCEDMN Cc1cc(O)cc2c1C(=O)C(=NN1CCN(C)CC1)O2 ZINC000915933808 637881126 /nfs/dbraw/zinc/88/11/26/637881126.db2.gz JWBRALFJJCXYBU-UHFFFAOYSA-N 0 1 275.308 0.837 20 30 CCEDMN Cc1cc(OCC(=O)C(C#N)C(=O)NC(C)C)no1 ZINC000916947059 637935703 /nfs/dbraw/zinc/93/57/03/637935703.db2.gz SMMCBZWOEMXSDB-SECBINFHSA-N 0 1 265.269 0.595 20 30 CCEDMN C#CCOc1ccccc1CNCCS(=O)(=O)NCC ZINC000068981306 638283873 /nfs/dbraw/zinc/28/38/73/638283873.db2.gz HFKUVJDAUUDOIT-UHFFFAOYSA-N 0 1 296.392 0.728 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2NC(=O)c3ccccc32)C1 ZINC001015882979 638730750 /nfs/dbraw/zinc/73/07/50/638730750.db2.gz AQFKBRXOZQDBCO-WFASDCNBSA-N 0 1 297.358 0.685 20 30 CCEDMN CC1(C)CN(C(=O)Cc2ccn[nH]2)C[C@@H]1NCC#N ZINC000995579818 638779315 /nfs/dbraw/zinc/77/93/15/638779315.db2.gz LLFFYUXJTMVMHJ-NSHDSACASA-N 0 1 261.329 0.302 20 30 CCEDMN CN1CC(C(=O)N2C[C@H](NCC#N)C(C)(C)C2)=NC1=O ZINC000996229496 638812255 /nfs/dbraw/zinc/81/22/55/638812255.db2.gz TXDORXGMJGNXBM-JTQLQIEISA-N 0 1 277.328 0.089 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C(N)=O)CC2)CC1(C)C ZINC000996235368 638813230 /nfs/dbraw/zinc/81/32/30/638813230.db2.gz FOLMYNYCBKBGTM-SNVBAGLBSA-N 0 1 299.802 0.831 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1C[C@H](NCC#N)C(C)(C)C1 ZINC000996399223 638817497 /nfs/dbraw/zinc/81/74/97/638817497.db2.gz QXDFJJBUKOWMHW-AAEUAGOBSA-N 0 1 289.383 0.938 20 30 CCEDMN N#Cc1ccc(F)c(NC(=O)NCCN2CC[C@H](O)C2)c1 ZINC000888375193 638888325 /nfs/dbraw/zinc/88/83/25/638888325.db2.gz OZPZAVSWQVTFDR-NSHDSACASA-N 0 1 292.314 0.885 20 30 CCEDMN C#CC[NH2+]C1CC(N(C)C(=O)c2ncccc2[O-])C1 ZINC000998671950 638933786 /nfs/dbraw/zinc/93/37/86/638933786.db2.gz DQNXOGNYDLOLPT-UHFFFAOYSA-N 0 1 259.309 0.613 20 30 CCEDMN CN(C(=O)[C@@H]1CCCCN1C)C1CC(NCC#N)C1 ZINC000998993689 638953880 /nfs/dbraw/zinc/95/38/80/638953880.db2.gz HLARMXGXYPSFIR-BPCQOVAHSA-N 0 1 264.373 0.573 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000999166927 638963884 /nfs/dbraw/zinc/96/38/84/638963884.db2.gz YEHCQFLUYAQQMJ-NWDGAFQWSA-N 0 1 287.367 0.934 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC000999947169 639024279 /nfs/dbraw/zinc/02/42/79/639024279.db2.gz HZNFHLWZEHATTH-RYUDHWBXSA-N 0 1 299.802 0.798 20 30 CCEDMN N#CCN1CC=C(CNC(=O)c2[nH]nc3c2CCC3)CC1 ZINC001000891474 639184511 /nfs/dbraw/zinc/18/45/11/639184511.db2.gz QFPLOIPFYBDUNR-UHFFFAOYSA-N 0 1 285.351 0.784 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)C2(F)CCOCC2)C1 ZINC001014658265 650475317 /nfs/dbraw/zinc/47/53/17/650475317.db2.gz DCEJPQVVDUNRHQ-LBPRGKRZSA-N 0 1 268.332 0.719 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccnc(OC)n2)CC1 ZINC001000940757 639191583 /nfs/dbraw/zinc/19/15/83/639191583.db2.gz UELIYPHKOBLBAA-UHFFFAOYSA-N 0 1 286.335 0.480 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@H]2CC[C@@H]2C(N)=O)CC1 ZINC001001036949 639220033 /nfs/dbraw/zinc/22/00/33/639220033.db2.gz OBLMIXMZYQPJCZ-STQMWFEESA-N 0 1 277.368 0.432 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccnnc2)CC1 ZINC001001198013 639252722 /nfs/dbraw/zinc/25/27/22/639252722.db2.gz QSOWPDWAUZVEGR-UHFFFAOYSA-N 0 1 256.309 0.472 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)c2ncccn2)CC1 ZINC001001285634 639275768 /nfs/dbraw/zinc/27/57/68/639275768.db2.gz OGVFKYMIXIBNPB-UHFFFAOYSA-N 0 1 270.336 0.862 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2ncccn2)CC1 ZINC001001285634 639275772 /nfs/dbraw/zinc/27/57/72/639275772.db2.gz OGVFKYMIXIBNPB-UHFFFAOYSA-N 0 1 270.336 0.862 20 30 CCEDMN C#CC[N@@H+]1CC=C(CNC(=O)c2cc3ncccn3n2)CC1 ZINC001001287294 639276054 /nfs/dbraw/zinc/27/60/54/639276054.db2.gz YNZGMSVHMPYIAR-UHFFFAOYSA-N 0 1 295.346 0.724 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc3ncccn3n2)CC1 ZINC001001287294 639276060 /nfs/dbraw/zinc/27/60/60/639276060.db2.gz YNZGMSVHMPYIAR-UHFFFAOYSA-N 0 1 295.346 0.724 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccn(C)n2)CC1 ZINC001001446263 639304778 /nfs/dbraw/zinc/30/47/78/639304778.db2.gz LXPIGTXJWUGLMU-UHFFFAOYSA-N 0 1 258.325 0.415 20 30 CCEDMN C#Cc1ccc(C(=O)NCC2CCN(CC(N)=O)CC2)cc1 ZINC001001703533 639328539 /nfs/dbraw/zinc/32/85/39/639328539.db2.gz WVOVPIGNCBXSIS-UHFFFAOYSA-N 0 1 299.374 0.595 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2COCCN2CC)CC1 ZINC001003206912 639515899 /nfs/dbraw/zinc/51/58/99/639515899.db2.gz VPQZLKQYGINVGJ-OAHLLOKOSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCNC(=O)CC2)CC1 ZINC001003380909 639536109 /nfs/dbraw/zinc/53/61/09/639536109.db2.gz OGOXBHLPQUJWNP-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc3c(c2)ncn3C)CC1 ZINC001003421898 639542526 /nfs/dbraw/zinc/54/25/26/639542526.db2.gz JHWHGBRUBJAOTD-UHFFFAOYSA-N 0 1 297.362 0.796 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CC[C@H]2C(N)=O)CC1 ZINC001003430916 639544066 /nfs/dbraw/zinc/54/40/66/639544066.db2.gz ZXZDXXDVCFVIEB-OLZOCXBDSA-N 0 1 277.368 0.102 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC001003640285 639581976 /nfs/dbraw/zinc/58/19/76/639581976.db2.gz MHDBOTNETILKAU-UHFFFAOYSA-N 0 1 288.351 0.331 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001014975324 650510561 /nfs/dbraw/zinc/51/05/61/650510561.db2.gz LRZQPBCCJDLILW-GFCCVEGCSA-N 0 1 261.325 0.375 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001014982572 650511626 /nfs/dbraw/zinc/51/16/26/650511626.db2.gz FVGYCKJMZVUTSH-QWHCGFSZSA-N 0 1 274.368 0.822 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnn(C)c2OC)CC1 ZINC001004053694 639670186 /nfs/dbraw/zinc/67/01/86/639670186.db2.gz PCKZJKUPQKZHJL-UHFFFAOYSA-N 0 1 290.367 0.646 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCCN2C(N)=O)CC1 ZINC001004271790 639743661 /nfs/dbraw/zinc/74/36/61/639743661.db2.gz SBDJNJRWRFLXFD-ZDUSSCGKSA-N 0 1 292.383 0.133 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](C)N2CCOCC2)CC1 ZINC001004288557 639762080 /nfs/dbraw/zinc/76/20/80/639762080.db2.gz IRNOCCPCPFKAJX-AWEZNQCLSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C2=COCCO2)CC1 ZINC001005073891 639871413 /nfs/dbraw/zinc/87/14/13/639871413.db2.gz BPUIEXBIZYIGFU-UHFFFAOYSA-N 0 1 264.325 0.431 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001015202189 650538415 /nfs/dbraw/zinc/53/84/15/650538415.db2.gz SROYFWHRXDRYFG-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN C[C@@H](C[N@@H+](C)C[C@H](O)COc1ccc(C#N)cc1)C(=O)[O-] ZINC000923250471 640061275 /nfs/dbraw/zinc/06/12/75/640061275.db2.gz ZGJLYYFEHYBPGX-AAEUAGOBSA-N 0 1 292.335 0.950 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001015353809 650557095 /nfs/dbraw/zinc/55/70/95/650557095.db2.gz DGLPBRBGVBUHFZ-ZDUSSCGKSA-N 0 1 274.368 0.349 20 30 CCEDMN Cc1ccc(S(N)(=O)=O)cc1C(=O)NC1=NO[C@H](C)C1 ZINC000765377938 640382193 /nfs/dbraw/zinc/38/21/93/640382193.db2.gz VUDSQLQPTOAORT-MRVPVSSYSA-N 0 1 297.336 0.495 20 30 CCEDMN N#CC(C(=O)COCc1cccnc1)C(=O)NC1CC1 ZINC000805855034 640437220 /nfs/dbraw/zinc/43/72/20/640437220.db2.gz BTNCKKVEBVBFLM-LBPRGKRZSA-N 0 1 273.292 0.586 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001015591549 650586570 /nfs/dbraw/zinc/58/65/70/650586570.db2.gz HMGGISYQDBSKER-BXUZGUMPSA-N 0 1 265.357 0.279 20 30 CCEDMN O=C1C=C(NNCCN2CCCCC2)[C@H]2CCCN12 ZINC000799477264 640575227 /nfs/dbraw/zinc/57/52/27/640575227.db2.gz NFTLOGKFLMLVTO-CYBMUJFWSA-N 0 1 264.373 0.813 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCc3cnn(C)c3C2)C1 ZINC001015613240 650592204 /nfs/dbraw/zinc/59/22/04/650592204.db2.gz CMYNQOLZCYYMKQ-JSGCOSHPSA-N 0 1 288.395 0.902 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCc3cnn(C)c3C2)C1 ZINC001015613243 650592439 /nfs/dbraw/zinc/59/24/39/650592439.db2.gz CMYNQOLZCYYMKQ-TZMCWYRMSA-N 0 1 288.395 0.902 20 30 CCEDMN C[C@H](C#N)OCCN1CCN(C[C@H]2CCCO2)CC1 ZINC000801910711 640673310 /nfs/dbraw/zinc/67/33/10/640673310.db2.gz VUNNBNLEXUCJHQ-ZIAGYGMSSA-N 0 1 267.373 0.712 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2n[nH]cc2F)C1 ZINC001015664738 650604641 /nfs/dbraw/zinc/60/46/41/650604641.db2.gz CBHCZFNWQOSPBO-VIFPVBQESA-N 0 1 252.293 0.929 20 30 CCEDMN N#Cc1ccnc(N2CCN(C[C@H](O)C3CC3)CC2)c1 ZINC000804460972 640768810 /nfs/dbraw/zinc/76/88/10/640768810.db2.gz YJZYIUVGEMYFRC-AWEZNQCLSA-N 0 1 272.352 0.846 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCc3nnnn3CC2)C1 ZINC001015731098 650618129 /nfs/dbraw/zinc/61/81/29/650618129.db2.gz JJOSVUXFTXORHG-NEPJUHHUSA-N 0 1 290.371 0.002 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)nn(C)c2OC)C1 ZINC001015784006 650629542 /nfs/dbraw/zinc/62/95/42/650629542.db2.gz YAMMXLPOKOKDEA-NSHDSACASA-N 0 1 278.356 0.727 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001015870170 650642825 /nfs/dbraw/zinc/64/28/25/650642825.db2.gz YMJYWAZFBOXZOU-LLVKDONJSA-N 0 1 276.340 0.253 20 30 CCEDMN N#Cc1ccc(CNC[C@H](O)Cn2cccn2)nc1 ZINC000819312772 641399506 /nfs/dbraw/zinc/39/95/06/641399506.db2.gz VXDLGFZNNJFPAW-ZDUSSCGKSA-N 0 1 257.297 0.300 20 30 CCEDMN O=C(N[C@H]1CCN(O)C1=O)c1cc2[nH]cnc2cc1F ZINC000820136341 641467089 /nfs/dbraw/zinc/46/70/89/641467089.db2.gz AIKDXBRKSCZMQD-QMMMGPOBSA-N 0 1 278.243 0.422 20 30 CCEDMN O=C(N[C@H]1CCN(O)C1=O)c1ccc2cncn2c1 ZINC000820143088 641468958 /nfs/dbraw/zinc/46/89/58/641468958.db2.gz CTAZWPPYEKTNLX-JTQLQIEISA-N 0 1 260.253 0.054 20 30 CCEDMN Cc1nn(CC(F)F)cc1C(=O)NCC#CCN(C)C ZINC000827971745 642055411 /nfs/dbraw/zinc/05/54/11/642055411.db2.gz GICMCCYYIYMGJU-UHFFFAOYSA-N 0 1 284.310 0.751 20 30 CCEDMN C[S@](=O)CCN1CC[C@@H](Oc2ccc(C#N)cn2)C1 ZINC000828567278 642105168 /nfs/dbraw/zinc/10/51/68/642105168.db2.gz ZTGGSSUEIVLASL-BLVKFPJESA-N 0 1 279.365 0.785 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001016364643 650722082 /nfs/dbraw/zinc/72/20/82/650722082.db2.gz QQJPPQQRJNVOTH-NSHDSACASA-N 0 1 286.335 0.008 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000829736582 642187286 /nfs/dbraw/zinc/18/72/86/642187286.db2.gz LWBZDFWXLVUZDL-CHWSQXEVSA-N 0 1 282.384 0.328 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc3nnn(C)c32)C1 ZINC001016433702 650731068 /nfs/dbraw/zinc/73/10/68/650731068.db2.gz MSEAMJZTWLYMND-NSHDSACASA-N 0 1 285.351 0.958 20 30 CCEDMN N#CC[C@]1(O)CC[N@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000829991048 642214955 /nfs/dbraw/zinc/21/49/55/642214955.db2.gz CBLZQJUBEPMBFS-HNNXBMFYSA-N 0 1 289.335 0.585 20 30 CCEDMN N#CC[C@]1(O)CC[N@@H+](CC(=O)[N-]OCc2ccccc2)C1 ZINC000829991048 642214966 /nfs/dbraw/zinc/21/49/66/642214966.db2.gz CBLZQJUBEPMBFS-HNNXBMFYSA-N 0 1 289.335 0.585 20 30 CCEDMN C[C@H](CC(=O)N[C@@H]1CNC[C@H]1C#N)c1ccncc1 ZINC000834479265 642571124 /nfs/dbraw/zinc/57/11/24/642571124.db2.gz BUIBTLRIRHJURZ-RAIGVLPGSA-N 0 1 258.325 0.803 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccncc1Cl ZINC000834481042 642574774 /nfs/dbraw/zinc/57/47/74/642574774.db2.gz ZLPFQGTZUCYDAW-XVKPBYJWSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1COc2ccccc21 ZINC000834489564 642579792 /nfs/dbraw/zinc/57/97/92/642579792.db2.gz NYZNTHAEQQNPLZ-JLLWLGSASA-N 0 1 257.293 0.390 20 30 CCEDMN Cc1ncc(Cl)c(C(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000834489507 642579931 /nfs/dbraw/zinc/57/99/31/642579931.db2.gz NBALDRUCCBODNS-VXNVDRBHSA-N 0 1 265.704 0.280 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1Br ZINC000834490728 642581669 /nfs/dbraw/zinc/58/16/69/642581669.db2.gz WUEVGYKCYFTETH-RNFRBKRXSA-N 0 1 298.144 0.322 20 30 CCEDMN Cc1ccc(C(=O)C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834493814 642583581 /nfs/dbraw/zinc/58/35/81/642583581.db2.gz HRZSTBHBIHCEAT-NWDGAFQWSA-N 0 1 257.293 0.406 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(=O)c2ccccc2o1 ZINC000834495330 642584691 /nfs/dbraw/zinc/58/46/91/642584691.db2.gz UDSHBNYJLRJSSQ-MWLCHTKSSA-N 0 1 283.287 0.634 20 30 CCEDMN COc1cccc(OCC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834494984 642585513 /nfs/dbraw/zinc/58/55/13/642585513.db2.gz RLGWTZWSWBJVOJ-GWCFXTLKSA-N 0 1 275.308 0.302 20 30 CCEDMN Cc1nn(C)c(C)c1[C@H](C)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834494907 642585815 /nfs/dbraw/zinc/58/58/15/642585815.db2.gz QTQRWNYHLDFLMY-AXTRIDKLSA-N 0 1 275.356 0.368 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc2nccnc21 ZINC000834500570 642588924 /nfs/dbraw/zinc/58/89/24/642588924.db2.gz UTCPKILFEDWSNO-JOYOIKCWSA-N 0 1 267.292 0.471 20 30 CCEDMN COc1cccc2c1OCC(C(=O)N[C@H]1CNC[C@@H]1C#N)=C2 ZINC000834500474 642589282 /nfs/dbraw/zinc/58/92/82/642589282.db2.gz UBXBRNKEPCQBBT-STQMWFEESA-N 0 1 299.330 0.699 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COc1ccccc1Cl ZINC000834504903 642592436 /nfs/dbraw/zinc/59/24/36/642592436.db2.gz GLVPXKHJQBZADE-MWLCHTKSSA-N 0 1 279.727 0.947 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(F)cc(F)c1 ZINC000834504803 642592520 /nfs/dbraw/zinc/59/25/20/642592520.db2.gz FJSJFRRNAIXRTJ-KWQFWETISA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COc1ccccc1[N+](=O)[O-] ZINC000834506519 642593911 /nfs/dbraw/zinc/59/39/11/642593911.db2.gz TWNLUHXOWANXMK-ZJUUUORDSA-N 0 1 290.279 0.201 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cncc(Br)c1 ZINC000834510690 642594667 /nfs/dbraw/zinc/59/46/67/642594667.db2.gz DXBMIPYOYCEUTO-WCBMZHEXSA-N 0 1 295.140 0.685 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1Cc2ccccc2O1 ZINC000834510464 642594927 /nfs/dbraw/zinc/59/49/27/642594927.db2.gz AXWPPEUAFVVWNE-NQBHXWOUSA-N 0 1 257.293 0.218 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)OCCO2 ZINC000834510525 642595292 /nfs/dbraw/zinc/59/52/92/642595292.db2.gz BYJLUDMLNSEGCF-QWRGUYRKSA-N 0 1 273.292 0.299 20 30 CCEDMN Cn1cc(Cl)cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834511177 642596153 /nfs/dbraw/zinc/59/61/53/642596153.db2.gz JCVSVJXSZDDYDZ-IONNQARKSA-N 0 1 252.705 0.520 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(Cl)nc1 ZINC000834512181 642596827 /nfs/dbraw/zinc/59/68/27/642596827.db2.gz SPTRURYSSLKFJG-BDAKNGLRSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(-c2ccccc2)n[nH]1 ZINC000834511920 642597158 /nfs/dbraw/zinc/59/71/58/642597158.db2.gz QACCBLXQLDXHTE-BXUZGUMPSA-N 0 1 281.319 0.918 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cncn1-c1ccccc1 ZINC000834512524 642597379 /nfs/dbraw/zinc/59/73/79/642597379.db2.gz YIKQBPRJQFUEIF-WCQYABFASA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(Br)o1 ZINC000834512326 642597451 /nfs/dbraw/zinc/59/74/51/642597451.db2.gz UWRJNQYKVBOMDX-RQJHMYQMSA-N 0 1 284.113 0.883 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCc1ccccc1O ZINC000834512603 642598389 /nfs/dbraw/zinc/59/83/89/642598389.db2.gz ZEVQGAKPZUQCOW-NEPJUHHUSA-N 0 1 259.309 0.553 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1n[nH]c2c1CCCC2 ZINC000834516166 642598660 /nfs/dbraw/zinc/59/86/60/642598660.db2.gz FUAATMWWUALAPD-LDYMZIIASA-N 0 1 259.313 0.130 20 30 CCEDMN C[C@H](Oc1ccccc1F)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834517677 642599897 /nfs/dbraw/zinc/59/98/97/642599897.db2.gz YDZOZXZAMLHWLJ-HOSYDEDBSA-N 0 1 277.299 0.821 20 30 CCEDMN Cc1noc(C(C)C)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834516873 642600668 /nfs/dbraw/zinc/60/06/68/642600668.db2.gz MZMZKCFGLITJDV-UWVGGRQHSA-N 0 1 262.313 0.948 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(-c2cccnc2)on1 ZINC000834520990 642602380 /nfs/dbraw/zinc/60/23/80/642602380.db2.gz AYOKIQVGUJSDQM-JQWIXIFHSA-N 0 1 283.291 0.578 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(-n2ccnc2)nc1 ZINC000834517717 642602445 /nfs/dbraw/zinc/60/24/45/642602445.db2.gz YMMMYWKOVKYBAE-VXGBXAGGSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1cccc(-n2ccnn2)c1 ZINC000841148873 642804091 /nfs/dbraw/zinc/80/40/91/642804091.db2.gz DOBDEDOQULQFEX-ZWNOBZJWSA-N 0 1 297.322 0.500 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1cccc2c1OCO2 ZINC000841185221 642806517 /nfs/dbraw/zinc/80/65/17/642806517.db2.gz URVUCXDMIJPIDI-SCZZXKLOSA-N 0 1 274.280 0.648 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1COC(=O)C1 ZINC001017477830 650804886 /nfs/dbraw/zinc/80/48/86/650804886.db2.gz JIFZHQVARXGIGQ-MCIONIFRSA-N 0 1 290.363 0.638 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2n[nH]cc2c1 ZINC000843459643 643063224 /nfs/dbraw/zinc/06/32/24/643063224.db2.gz FWSDNKAXNYXYCZ-CMPLNLGQSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2n[nH]cc2c1 ZINC000843459645 643063842 /nfs/dbraw/zinc/06/38/42/643063842.db2.gz FWSDNKAXNYXYCZ-PWSUYJOCSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc2n[nH]cc21 ZINC000843460594 643064252 /nfs/dbraw/zinc/06/42/52/643064252.db2.gz HWTVPYVUIHYSAK-UFBFGSQYSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H](O)C1CCCCC1 ZINC000843460007 643065277 /nfs/dbraw/zinc/06/52/77/643065277.db2.gz KOTMZADZDUEUIN-IJLUTSLNSA-N 0 1 251.330 0.155 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C(=O)Nc1ccc(Cl)cc1 ZINC000843461584 643065919 /nfs/dbraw/zinc/06/59/19/643065919.db2.gz LWXYQIJESXCEJI-KWQFWETISA-N 0 1 292.726 0.506 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1noc2ccccc12 ZINC000843462274 643066787 /nfs/dbraw/zinc/06/67/87/643066787.db2.gz PDQVBBASNUZYHW-BXKDBHETSA-N 0 1 270.292 0.598 20 30 CCEDMN Cc1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(C(C)C)n1 ZINC000843462104 643066849 /nfs/dbraw/zinc/06/68/49/643066849.db2.gz ZUKVGTCLIMEXPP-ZYHUDNBSSA-N 0 1 273.340 0.750 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000843461471 643066886 /nfs/dbraw/zinc/06/68/86/643066886.db2.gz LNSGEBXJJFSGAR-BQBZGAKWSA-N 0 1 250.214 0.029 20 30 CCEDMN Cc1cc(C)n([C@H](C)CC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000844195678 643179337 /nfs/dbraw/zinc/17/93/37/643179337.db2.gz XZLFIWNSLAMQOS-AGIUHOORSA-N 0 1 275.356 0.679 20 30 CCEDMN O=C(C#CC1CC1)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000847089160 643487596 /nfs/dbraw/zinc/48/75/96/643487596.db2.gz DVSQZCDNYNQQKT-SNVBAGLBSA-N 0 1 261.281 0.895 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@@H](N(C)C(=O)N(C)C)C1 ZINC000852652921 643985237 /nfs/dbraw/zinc/98/52/37/643985237.db2.gz HRPUPJWQLGNBCI-VXGBXAGGSA-N 0 1 268.361 0.603 20 30 CCEDMN Cc1cc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)on1 ZINC001018632383 650884060 /nfs/dbraw/zinc/88/40/60/650884060.db2.gz NNBAKSOTOZIYNU-ONGXEEELSA-N 0 1 262.313 0.833 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnn2cccc(Cl)c12 ZINC000852828358 644013539 /nfs/dbraw/zinc/01/35/39/644013539.db2.gz WSVMJHGIZJLCEZ-KCJUWKMLSA-N 0 1 289.726 0.829 20 30 CCEDMN Cn1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1Br ZINC000852875581 644037204 /nfs/dbraw/zinc/03/72/04/644037204.db2.gz KHGHWGBPUBCDEB-POYBYMJQSA-N 0 1 298.144 0.024 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C2CN(C(C)=O)C2)C1 ZINC001018769919 650905792 /nfs/dbraw/zinc/90/57/92/650905792.db2.gz ZVUKGFZRJWXQDS-LBPRGKRZSA-N 0 1 285.775 0.408 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)N1CC[C@H](NCC#N)C1)C2 ZINC001019062350 650934464 /nfs/dbraw/zinc/93/44/64/650934464.db2.gz QDOPGXYTIUGRLS-NEPJUHHUSA-N 0 1 287.367 0.537 20 30 CCEDMN Cn1ncnc1CN[C@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001020057739 651033398 /nfs/dbraw/zinc/03/33/98/651033398.db2.gz HZNJWGQHDRSXSE-XYPYZODXSA-N 0 1 299.338 0.065 20 30 CCEDMN N#Cc1cccc(ONC(=O)[C@@H]2CCNC(=O)C2)c1 ZINC000870691202 646017938 /nfs/dbraw/zinc/01/79/38/646017938.db2.gz HCTROWODHSNQKQ-SNVBAGLBSA-N 0 1 259.265 0.494 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCCOC1CCOCC1 ZINC000870941771 646056016 /nfs/dbraw/zinc/05/60/16/646056016.db2.gz ZLLCAUPSGWXNQQ-DGCLKSJQSA-N 0 1 281.356 0.190 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CCN1C1CC1 ZINC000874460821 646467489 /nfs/dbraw/zinc/46/74/89/646467489.db2.gz MIJMMINRNCONGT-JHJVBQTASA-N 0 1 285.351 0.712 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C(F)(F)C1CCOCC1 ZINC000876801431 646669021 /nfs/dbraw/zinc/66/90/21/646669021.db2.gz CEKFHYAGTPVNLX-WCBMZHEXSA-N 0 1 273.283 0.276 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnn(CC2CCCC2)c1 ZINC000876803845 646671438 /nfs/dbraw/zinc/67/14/38/646671438.db2.gz YCTDUFAVFLTMHN-OCCSQVGLSA-N 0 1 287.367 0.915 20 30 CCEDMN N#Cc1cnc(Cl)c(CN2CCn3c(=O)[nH]nc3C2)c1 ZINC000877349963 646801339 /nfs/dbraw/zinc/80/13/39/646801339.db2.gz DVGFYQLWTMYSJC-UHFFFAOYSA-N 0 1 290.714 0.920 20 30 CCEDMN CSCc1n[nH]c(CNc2c(C#N)cnn2C)n1 ZINC000884694828 647793423 /nfs/dbraw/zinc/79/34/23/647793423.db2.gz YPRZHOQGDFCQHV-UHFFFAOYSA-N 0 1 263.330 0.885 20 30 CCEDMN CSCc1nnc(CNc2c(C#N)cnn2C)[nH]1 ZINC000884694828 647793428 /nfs/dbraw/zinc/79/34/28/647793428.db2.gz YPRZHOQGDFCQHV-UHFFFAOYSA-N 0 1 263.330 0.885 20 30 CCEDMN C[C@@H]1C[N@H+](C[C@@H](O)COc2ccccc2C#N)CCN1C ZINC000216557256 647832432 /nfs/dbraw/zinc/83/24/32/647832432.db2.gz IJLDDDJLBIUYJD-UKRRQHHQSA-N 0 1 289.379 0.934 20 30 CCEDMN N#CC[C@@H](NC(=O)NCCN1CC[C@H](O)C1)C(F)(F)F ZINC000932893764 649098622 /nfs/dbraw/zinc/09/86/22/649098622.db2.gz CWHMDCAVPOEHPZ-DTWKUNHWSA-N 0 1 294.277 0.197 20 30 CCEDMN N#CCN1CCN(C(=O)c2cccc(F)c2O)CC1 ZINC000933236174 649114713 /nfs/dbraw/zinc/11/47/13/649114713.db2.gz GATOTQPWRQQOLR-UHFFFAOYSA-N 0 1 263.272 0.813 20 30 CCEDMN C[C@@H](NCC(=O)NCC#N)c1ccc2c(c1)CCO2 ZINC000933495351 649123963 /nfs/dbraw/zinc/12/39/63/649123963.db2.gz WYJQCPXXZZNLRL-SNVBAGLBSA-N 0 1 259.309 0.912 20 30 CCEDMN C#C[C@@H]1CCCCN1CCc1cn(CC(=O)OC)nn1 ZINC000934515555 649177564 /nfs/dbraw/zinc/17/75/64/649177564.db2.gz VUKAFDICPVMVEG-CYBMUJFWSA-N 0 1 276.340 0.481 20 30 CCEDMN C[C@H]1C[C@@H](NC(=O)CN2CCCC2)CCN1CC#N ZINC000948052535 649361035 /nfs/dbraw/zinc/36/10/35/649361035.db2.gz FVNOCLYSXZLQQZ-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC(N(C)C(=O)[C@@H]2CCCCN2C)C1 ZINC000954710153 649676046 /nfs/dbraw/zinc/67/60/46/649676046.db2.gz OTDDSYIPXWZUTO-YPMHNXCESA-N 0 1 292.383 0.300 20 30 CCEDMN Cc1ccnc(N2C[C@H]3OCCN(C)[C@H]3C2)c1C#N ZINC000377973375 719375327 /nfs/dbraw/zinc/37/53/27/719375327.db2.gz BBHZOHLAIBRAQG-QWHCGFSZSA-N 0 1 258.325 0.781 20 30 CCEDMN Cc1nc(N2C[C@H]3CN(CC4CC4)C[C@@H](C2)O3)cnc1C#N ZINC001164967462 722074618 /nfs/dbraw/zinc/07/46/18/722074618.db2.gz QXZPEQOQSIAEMX-OKILXGFUSA-N 0 1 299.378 0.956 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCCN(C)C(C)=O)CC1 ZINC001230150601 805584200 /nfs/dbraw/zinc/58/42/00/805584200.db2.gz CGWVUTZKPKRHKP-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@@]2(CCN(C(C)=O)C2)C1 ZINC001277450591 805587721 /nfs/dbraw/zinc/58/77/21/805587721.db2.gz YYUAMCKZGQMMSC-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C(C)(C)F)CC1 ZINC001266254337 790333498 /nfs/dbraw/zinc/33/34/98/790333498.db2.gz GVHZYJAASODLKC-UHFFFAOYSA-N 0 1 269.364 0.492 20 30 CCEDMN C=CCOCC[N@@H+](C)CCNC(=O)c1cnc(C)[nH]1 ZINC001266262861 790351344 /nfs/dbraw/zinc/35/13/44/790351344.db2.gz VQBRDZUUUWPKLQ-UHFFFAOYSA-N 0 1 266.345 0.582 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H]2C[C@@]23CCOC3)CC1 ZINC001266294906 790444496 /nfs/dbraw/zinc/44/44/96/790444496.db2.gz OUEJIBFEPZOTRS-GOEBONIOSA-N 0 1 293.411 0.333 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2ncccc2F)CC1 ZINC001266295254 790444557 /nfs/dbraw/zinc/44/45/57/790444557.db2.gz YWOCRKLEUQRAHW-UHFFFAOYSA-N 0 1 292.358 0.754 20 30 CCEDMN C#CCN(C)CCNC(=O)C1CCC(OC)CC1 ZINC001266312984 790489576 /nfs/dbraw/zinc/48/95/76/790489576.db2.gz CTIFCNKLJJYTTI-UHFFFAOYSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc2cccnn21 ZINC001266335283 790529836 /nfs/dbraw/zinc/52/98/36/790529836.db2.gz PRTGSRSTMCMQEC-UHFFFAOYSA-N 0 1 256.309 0.629 20 30 CCEDMN COC[C@@H](C)N1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038174471 790588300 /nfs/dbraw/zinc/58/83/00/790588300.db2.gz UCZKFWGPEYITQR-ZYHUDNBSSA-N 0 1 276.340 0.725 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1ccnn1CC ZINC001266386207 790619789 /nfs/dbraw/zinc/61/97/89/790619789.db2.gz VYWHKALDDXFUOH-UHFFFAOYSA-N 0 1 292.383 0.605 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H]1C[C@@H]1C(F)F ZINC001266388165 790620836 /nfs/dbraw/zinc/62/08/36/790620836.db2.gz UMZFHCVFCBMGPP-RYUDHWBXSA-N 0 1 288.338 0.976 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1CCCCN1C(=O)CC ZINC001266392055 790623930 /nfs/dbraw/zinc/62/39/30/790623930.db2.gz AMMAQDOUDNQXQH-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)CCn1ccnc1 ZINC001266405945 790647872 /nfs/dbraw/zinc/64/78/72/790647872.db2.gz NAYKONUEDYVCRM-UHFFFAOYSA-N 0 1 292.383 0.361 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccc(-n2ccnn2)cc1 ZINC001266429096 790688811 /nfs/dbraw/zinc/68/88/11/790688811.db2.gz XHUZNTXMJXMUGN-UHFFFAOYSA-N 0 1 297.362 0.952 20 30 CCEDMN Cc1nc(CN2CCC[C@H]2CNC(=O)C#CC(C)C)n[nH]1 ZINC001266460952 790754833 /nfs/dbraw/zinc/75/48/33/790754833.db2.gz GSSVMQYTWONDAT-ZDUSSCGKSA-N 0 1 289.383 0.853 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCCCc1c[nH]nn1 ZINC001266474442 790770971 /nfs/dbraw/zinc/77/09/71/790770971.db2.gz NTJWPHJLDDNLDE-AWEZNQCLSA-N 0 1 289.383 0.731 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCc1cncn1C ZINC001266492638 790784569 /nfs/dbraw/zinc/78/45/69/790784569.db2.gz TXGBDHTUYYJGIB-AWEZNQCLSA-N 0 1 274.368 0.567 20 30 CCEDMN Cc1nnsc1CNCCNC(=O)c1cc(C#N)c[nH]1 ZINC001125360908 790799557 /nfs/dbraw/zinc/79/95/57/790799557.db2.gz NNGBQNFRSBAJQM-UHFFFAOYSA-N 0 1 290.352 0.566 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnc[nH]2)C[C@H]1CCNCC#N ZINC001104272925 790839058 /nfs/dbraw/zinc/83/90/58/790839058.db2.gz IAOYIVOWAQVMKT-CHWSQXEVSA-N 0 1 289.383 0.940 20 30 CCEDMN C#CCN(CCNC(=O)c1snnc1C)C1CC1 ZINC001266583997 790981864 /nfs/dbraw/zinc/98/18/64/790981864.db2.gz QNQBUPRUKAXRSY-UHFFFAOYSA-N 0 1 264.354 0.674 20 30 CCEDMN Cc1ncoc1CNCCN(C)C(=O)[C@@H](C)C#N ZINC001266660863 791093800 /nfs/dbraw/zinc/09/38/00/791093800.db2.gz VFGKIZPHDRLNIX-VIFPVBQESA-N 0 1 250.302 0.691 20 30 CCEDMN COC[C@H](C)N1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230818764 805672199 /nfs/dbraw/zinc/67/21/99/805672199.db2.gz DPHPMSFLURXQCE-NWDGAFQWSA-N 0 1 285.413 0.811 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)c1ccnn1C ZINC001231070476 805721760 /nfs/dbraw/zinc/72/17/60/805721760.db2.gz NKIFWKDNDYTTEX-ZDUSSCGKSA-N 0 1 292.383 0.769 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)COCC=C ZINC001231239890 805758456 /nfs/dbraw/zinc/75/84/56/805758456.db2.gz GBANMWXJFQRQMJ-CYBMUJFWSA-N 0 1 250.342 0.745 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)Cc1cc[nH]n1 ZINC001231408282 805795007 /nfs/dbraw/zinc/79/50/07/805795007.db2.gz ZOBSFINNSDFJTB-AWEZNQCLSA-N 0 1 292.383 0.688 20 30 CCEDMN C[C@@]1(CO)C[N@@H+](Cc2cc(C#N)ccc2[O-])CC[C@H]1O ZINC001232673114 805968204 /nfs/dbraw/zinc/96/82/04/805968204.db2.gz AHRNCNKYGNTMKM-CABCVRRESA-N 0 1 276.336 0.829 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CCNC1=O ZINC001039434608 791287261 /nfs/dbraw/zinc/28/72/61/791287261.db2.gz JOWIOVSSPIWVIU-WWGRRREGSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H](C)N[C@H](C)c1cnccn1 ZINC001266937208 791372550 /nfs/dbraw/zinc/37/25/50/791372550.db2.gz DSOCYQANDVLYDG-CHWSQXEVSA-N 0 1 290.367 0.672 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)CCCn1cncn1 ZINC001266958985 791395883 /nfs/dbraw/zinc/39/58/83/791395883.db2.gz NBSCNEMDZADOGK-UHFFFAOYSA-N 0 1 285.779 0.859 20 30 CCEDMN C[C@H](CNC(=O)C1(C(N)=O)CC1)NCC#Cc1ccccc1 ZINC001266967699 791407385 /nfs/dbraw/zinc/40/73/85/791407385.db2.gz ONAMDYVCYDUHRF-CYBMUJFWSA-N 0 1 299.374 0.398 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cn3cc(C)cn3)C[C@H]21 ZINC001041963709 791534060 /nfs/dbraw/zinc/53/40/60/791534060.db2.gz GGGKVYPODFSBBZ-LSDHHAIUSA-N 0 1 286.379 0.748 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001267100215 791536448 /nfs/dbraw/zinc/53/64/48/791536448.db2.gz HSSCWDFCEJYECO-RYUDHWBXSA-N 0 1 267.373 0.749 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccc2nncn2c1 ZINC001267221736 791696886 /nfs/dbraw/zinc/69/68/86/791696886.db2.gz VKJRXMWNLUDVCO-UHFFFAOYSA-N 0 1 271.324 0.414 20 30 CCEDMN Cc1occc1C(=O)NCCCN(C)CC(=O)NCC#N ZINC001233732675 806111117 /nfs/dbraw/zinc/11/11/17/806111117.db2.gz CNKNYQDTJFMPEY-UHFFFAOYSA-N 0 1 292.339 0.280 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CC(=O)NCC ZINC001233820146 806127093 /nfs/dbraw/zinc/12/70/93/806127093.db2.gz VVKWYWLIRICDGI-NSHDSACASA-N 0 1 253.346 0.231 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@@](C)(O)C=C ZINC001233978075 806161497 /nfs/dbraw/zinc/16/14/97/806161497.db2.gz QUXVKGZETXGYKL-ZFWWWQNUSA-N 0 1 264.369 0.870 20 30 CCEDMN COC(=O)c1n[nH]c(C(=O)OC)c1OC1CC(C#N)C1 ZINC001234191290 806200605 /nfs/dbraw/zinc/20/06/05/806200605.db2.gz YKBVFWWGZHAIND-UHFFFAOYSA-N 0 1 279.252 0.664 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CCN1CCOCC=C ZINC001234267023 806216413 /nfs/dbraw/zinc/21/64/13/806216413.db2.gz VGHFIEVZGPQPKL-CABCVRRESA-N 0 1 294.395 0.760 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1COCCN1CC ZINC001267245870 793235736 /nfs/dbraw/zinc/23/57/36/793235736.db2.gz VFMPADQTFVIZSD-AWEZNQCLSA-N 0 1 281.400 0.169 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H]1CCC[C@@H]1OC ZINC001267246065 793235799 /nfs/dbraw/zinc/23/57/99/793235799.db2.gz PJOLOOCDYXHIGX-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1cc(C)n[nH]1 ZINC001234550111 806270201 /nfs/dbraw/zinc/27/02/01/806270201.db2.gz QBKDOSZETUAMSL-UHFFFAOYSA-N 0 1 293.371 0.072 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CNC(=O)NC ZINC001235024480 806347603 /nfs/dbraw/zinc/34/76/03/806347603.db2.gz OPGCNQSYCDWWHK-LLVKDONJSA-N 0 1 268.361 0.024 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)Cc1cnn(C)c1C ZINC001235169754 806379837 /nfs/dbraw/zinc/37/98/37/806379837.db2.gz CFOVPVAZHHZEHT-OAHLLOKOSA-N 0 1 288.395 0.827 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCCn1ccnn1 ZINC001235243397 806393105 /nfs/dbraw/zinc/39/31/05/806393105.db2.gz HPGOWAMEAPUDRW-CQSZACIVSA-N 0 1 289.383 0.614 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCC(=O)NC1 ZINC001235247810 806394739 /nfs/dbraw/zinc/39/47/39/806394739.db2.gz ZMGPWVLILDIAFX-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C[C@H]1CCNC1=O ZINC001235280476 806399687 /nfs/dbraw/zinc/39/96/87/806399687.db2.gz KJMIQFKNXCTLSX-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN CN(C)C(=O)CN1CCO[C@H]2CN(CCCCC#N)C[C@H]21 ZINC001277948342 806431367 /nfs/dbraw/zinc/43/13/67/806431367.db2.gz HMMIBHSVWNXMQD-KGLIPLIRSA-N 0 1 294.399 0.153 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)[C@H](C)C(=O)NCCC ZINC001235698188 806493639 /nfs/dbraw/zinc/49/36/39/806493639.db2.gz JWMHRVUSFVSAGC-CYBMUJFWSA-N 0 1 299.415 0.542 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)[C@H](C)C(=O)NCCC ZINC001235698188 806493643 /nfs/dbraw/zinc/49/36/43/806493643.db2.gz JWMHRVUSFVSAGC-CYBMUJFWSA-N 0 1 299.415 0.542 20 30 CCEDMN C=CCOCC(=O)NCCC[N@H+](C)CC(=O)NC(C)(C)C ZINC001235698096 806494022 /nfs/dbraw/zinc/49/40/22/806494022.db2.gz HFXRHWVIKJDJFN-UHFFFAOYSA-N 0 1 299.415 0.542 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)CC(=O)NC(C)(C)C ZINC001235698096 806494027 /nfs/dbraw/zinc/49/40/27/806494027.db2.gz HFXRHWVIKJDJFN-UHFFFAOYSA-N 0 1 299.415 0.542 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1ccc2cccnc2c1 ZINC001278099434 806669042 /nfs/dbraw/zinc/66/90/42/806669042.db2.gz KQLKZRVCMPDHCM-OAHLLOKOSA-N 0 1 297.358 0.477 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)[C@H]1C ZINC001088574466 814932481 /nfs/dbraw/zinc/93/24/81/814932481.db2.gz XLWBSMUDJTWHRF-FVCCEPFGSA-N 0 1 277.368 0.113 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccccc1COC ZINC001278105816 806675832 /nfs/dbraw/zinc/67/58/32/806675832.db2.gz PMRBBZLEFYKMIX-CYBMUJFWSA-N 0 1 276.336 0.147 20 30 CCEDMN C#CCN(C(C)=O)C1CCN([C@@H]2CCCNC2=O)CC1 ZINC001278107123 806676484 /nfs/dbraw/zinc/67/64/84/806676484.db2.gz DTMYMUPHNRAHHD-CQSZACIVSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001278120765 806700560 /nfs/dbraw/zinc/70/05/60/806700560.db2.gz XWGCOQZICSWNNW-CRWXNKLISA-N 0 1 264.369 0.513 20 30 CCEDMN C#CCN1CCC(c2nnc(N3CCOCC3)n2C)CC1 ZINC001121422469 799045267 /nfs/dbraw/zinc/04/52/67/799045267.db2.gz KRNLRMDJLJFCRA-UHFFFAOYSA-N 0 1 289.383 0.464 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCCN(c2ccccc2)C1 ZINC001121923558 799095332 /nfs/dbraw/zinc/09/53/32/799095332.db2.gz NIWGZESYFHZJKS-AWEZNQCLSA-N 0 1 271.364 0.994 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1c2ccccc2C(=O)N1C ZINC001122090103 799141070 /nfs/dbraw/zinc/14/10/70/799141070.db2.gz RPFIBEJDQFIUOV-CYBMUJFWSA-N 0 1 271.320 0.152 20 30 CCEDMN C#CCN(C)c1nnc(-c2c[nH]nn2)n1C[C@@H]1CCCO1 ZINC001122865608 799304550 /nfs/dbraw/zinc/30/45/50/799304550.db2.gz XGDPINCJSCKHLE-JTQLQIEISA-N 0 1 287.327 0.312 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cn(C3CC3)nn2)CC1 ZINC001123246943 799378305 /nfs/dbraw/zinc/37/83/05/799378305.db2.gz DQBCMBRAJFTIMO-UHFFFAOYSA-N 0 1 274.324 0.867 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1cccc(COC)c1 ZINC001278178520 806741992 /nfs/dbraw/zinc/74/19/92/806741992.db2.gz HYXNLNMZUURKER-HNNXBMFYSA-N 0 1 290.363 0.075 20 30 CCEDMN C=C(CC)CNC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001123656417 799449791 /nfs/dbraw/zinc/44/97/91/799449791.db2.gz DWZXZIVXFQNIIZ-CHWSQXEVSA-N 0 1 268.405 0.886 20 30 CCEDMN CC(C)[C@@H](C#N)NC(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001123790584 799475254 /nfs/dbraw/zinc/47/52/54/799475254.db2.gz URHZKRUCRZTKTE-UTUOFQBUSA-N 0 1 250.346 0.039 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCc1ccc(F)cn1 ZINC001124890476 799644864 /nfs/dbraw/zinc/64/48/64/799644864.db2.gz XZONWMXKKPMLLL-VIFPVBQESA-N 0 1 250.277 0.586 20 30 CCEDMN C=CCOCCCC(=O)NCCNCc1cnc(C)nc1 ZINC001125252442 799669962 /nfs/dbraw/zinc/66/99/62/799669962.db2.gz DBWYUSCMDSADBP-UHFFFAOYSA-N 0 1 292.383 0.974 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@]1(C)CC=C(C)CC1 ZINC001278201639 806770322 /nfs/dbraw/zinc/77/03/22/806770322.db2.gz IEIGRQSORJSIHS-UKRRQHHQSA-N 0 1 264.369 0.823 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1CCCCC1(C)C ZINC001278202856 806771509 /nfs/dbraw/zinc/77/15/09/806771509.db2.gz VKCKBVNHERMMTN-OLZOCXBDSA-N 0 1 266.385 0.903 20 30 CCEDMN C=CCn1cc(CN2CC[C@H](N3CCOCC3)C2)cn1 ZINC001139770325 799840280 /nfs/dbraw/zinc/84/02/80/799840280.db2.gz MJIYRULWNRBUCA-HNNXBMFYSA-N 0 1 276.384 0.976 20 30 CCEDMN COc1cc(CN2CC[C@@H](CO)[C@H](O)C2)ccc1C#N ZINC001238409871 806781430 /nfs/dbraw/zinc/78/14/30/806781430.db2.gz KHYVVSMMHIYBNU-UONOGXRCSA-N 0 1 276.336 0.742 20 30 CCEDMN N#Cc1ccc(CN2CCC3(CNC(=O)N3)CC2)cn1 ZINC001140546608 799922340 /nfs/dbraw/zinc/92/23/40/799922340.db2.gz NNXBVCKBVFVWQK-UHFFFAOYSA-N 0 1 271.324 0.601 20 30 CCEDMN C=CCN1CCN(C(=O)[C@@H]2CC3CCN2CC3)CC1 ZINC001142630290 800141561 /nfs/dbraw/zinc/14/15/61/800141561.db2.gz YCGBOVJFCZCIDL-AWEZNQCLSA-N 0 1 263.385 0.801 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@@H]1CC2CCN1CC2 ZINC001142634785 800143076 /nfs/dbraw/zinc/14/30/76/800143076.db2.gz BKHIUCWFRPADQA-LBPRGKRZSA-N 0 1 266.341 0.706 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)Cc2cncnc2)C1 ZINC001149666797 800288961 /nfs/dbraw/zinc/28/89/61/800288961.db2.gz MSGSNFJRPGWNMO-CQSZACIVSA-N 0 1 290.367 0.412 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2cncnc2)C1 ZINC001149666797 800288965 /nfs/dbraw/zinc/28/89/65/800288965.db2.gz MSGSNFJRPGWNMO-CQSZACIVSA-N 0 1 290.367 0.412 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)Cc2ncc[nH]2)C1 ZINC001150507855 800341713 /nfs/dbraw/zinc/34/17/13/800341713.db2.gz LTEUGKJCKBQQER-CYBMUJFWSA-N 0 1 290.367 0.183 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)[C@H](C)OC)CC1 ZINC001150985468 800383110 /nfs/dbraw/zinc/38/31/10/800383110.db2.gz JCXWEWYSVPRQRW-ZDUSSCGKSA-N 0 1 282.384 0.642 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](NC(C)=O)[C@@H](C)CC ZINC001151877775 800467362 /nfs/dbraw/zinc/46/73/62/800467362.db2.gz YENZDVNPCUCIDC-CABZTGNLSA-N 0 1 289.807 0.996 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1[nH]nc2ccncc21 ZINC001152103124 800491602 /nfs/dbraw/zinc/49/16/02/800491602.db2.gz CUNAFSQOXWERSR-QMMMGPOBSA-N 0 1 280.309 0.159 20 30 CCEDMN N=C(c1nonc1N)N([O-])C(=O)c1ccc2c[nH+]cn2c1 ZINC001152187317 800498809 /nfs/dbraw/zinc/49/88/09/800498809.db2.gz HCLUIFCUWKXOSP-UHFFFAOYSA-N 0 1 287.239 0.156 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1c[nH]c2ncncc12 ZINC001152896172 800566494 /nfs/dbraw/zinc/56/64/94/800566494.db2.gz JGYHALQRJUMWAG-UHFFFAOYSA-N 0 1 253.225 0.757 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1cc(OC)ccn1 ZINC001153134520 800600924 /nfs/dbraw/zinc/60/09/24/800600924.db2.gz DRQPPUDLGIXXSK-LBPRGKRZSA-N 0 1 293.367 0.887 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](CCCC)NC(N)=O ZINC001153331974 800622084 /nfs/dbraw/zinc/62/20/84/800622084.db2.gz XIIDOBFRMZPVIT-JTQLQIEISA-N 0 1 290.795 0.672 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1cc(C#N)nc(C)n1 ZINC001155083128 800905543 /nfs/dbraw/zinc/90/55/43/800905543.db2.gz COIDBEXLNXNIRX-UHFFFAOYSA-N 0 1 286.295 0.478 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2ncc(F)cc2C#N)CC1 ZINC001155154861 800919938 /nfs/dbraw/zinc/91/99/38/800919938.db2.gz RIGLHQLPXUNAIF-LBPRGKRZSA-N 0 1 292.314 0.809 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2ncccc2C#N)CC1 ZINC001155155495 800921420 /nfs/dbraw/zinc/92/14/20/800921420.db2.gz YYKWEAREAHBOKL-LBPRGKRZSA-N 0 1 274.324 0.670 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1ccnnc1 ZINC001155430553 800974544 /nfs/dbraw/zinc/97/45/44/800974544.db2.gz YMIOEODDQHROEB-CYBMUJFWSA-N 0 1 290.367 0.567 20 30 CCEDMN N#Cc1cnc(NCc2noc3c2CNCC3)cn1 ZINC001155494609 800990459 /nfs/dbraw/zinc/99/04/59/800990459.db2.gz DUGLMNBFDXWFME-UHFFFAOYSA-N 0 1 256.269 0.594 20 30 CCEDMN CC(C)c1nnc(CNCCCNC(=O)[C@@H](C)C#N)n1C ZINC001155829680 801059994 /nfs/dbraw/zinc/05/99/94/801059994.db2.gz YDVUQBIXCAWXKM-NSHDSACASA-N 0 1 292.387 0.694 20 30 CCEDMN CCn1nc(C)c(CNCCCNC(=O)[C@H](C)C#N)n1 ZINC001155847735 801065308 /nfs/dbraw/zinc/06/53/08/801065308.db2.gz CDGMPAFSFFVQGD-SNVBAGLBSA-N 0 1 278.360 0.362 20 30 CCEDMN CCn1cc(CNCCCNC(=O)[C@@H](C)C#N)nn1 ZINC001155849420 801066439 /nfs/dbraw/zinc/06/64/39/801066439.db2.gz XZAKKJWRQCDSCY-JTQLQIEISA-N 0 1 264.333 0.054 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1ccncn1 ZINC001155940582 801081857 /nfs/dbraw/zinc/08/18/57/801081857.db2.gz CAEHQJLIGALRNZ-CYBMUJFWSA-N 0 1 290.367 0.567 20 30 CCEDMN Cc1nc(C#N)cc(NCCNC(=O)C(F)(F)F)n1 ZINC001156205177 801129034 /nfs/dbraw/zinc/12/90/34/801129034.db2.gz NMFHWAAHNKZAEN-UHFFFAOYSA-N 0 1 273.218 0.747 20 30 CCEDMN CN(C)C(=O)c1cncc(NC(=NC#N)c2ccncc2)n1 ZINC001156325865 801150708 /nfs/dbraw/zinc/15/07/08/801150708.db2.gz LMEIOVVMDRYYTE-UHFFFAOYSA-N 0 1 295.306 0.722 20 30 CCEDMN Cc1nonc1CNCCCNC(=O)c1ccc(C#N)[nH]1 ZINC001156703521 801215473 /nfs/dbraw/zinc/21/54/73/801215473.db2.gz SHWQEXUGABLLGG-UHFFFAOYSA-N 0 1 288.311 0.488 20 30 CCEDMN COC(=O)[C@H](CS)Nc1ncnc2c1CCNC2 ZINC001156764834 801232869 /nfs/dbraw/zinc/23/28/69/801232869.db2.gz TVSAOYJFKVGBLS-VIFPVBQESA-N 0 1 268.342 0.006 20 30 CCEDMN C#CCCCCC(=O)NCCCNCc1cn(C)nn1 ZINC001156811602 801240149 /nfs/dbraw/zinc/24/01/49/801240149.db2.gz GOQMQOZSVBEKQS-UHFFFAOYSA-N 0 1 277.372 0.605 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@H](C)CN1CCOCC1 ZINC001156900672 801259254 /nfs/dbraw/zinc/25/92/54/801259254.db2.gz DKOJXTLIHJGDPO-ZIAGYGMSSA-N 0 1 296.415 0.305 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1coc(C)n1 ZINC001157202586 801324147 /nfs/dbraw/zinc/32/41/47/801324147.db2.gz UWQKDGWSAHQEPZ-AWEZNQCLSA-N 0 1 281.356 0.906 20 30 CCEDMN N#Cc1nc(-c2ccc(C(N)=O)nc2)cc2[nH]cnc21 ZINC001239544836 806909307 /nfs/dbraw/zinc/90/93/07/806909307.db2.gz OZJJUUAPZYWTIK-UHFFFAOYSA-N 0 1 264.248 0.990 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCC(=O)NCC ZINC001157696059 801457328 /nfs/dbraw/zinc/45/73/28/801457328.db2.gz MEGNBFDYCHQDHJ-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1cc(C)on1 ZINC001157853048 801502276 /nfs/dbraw/zinc/50/22/76/801502276.db2.gz QTMGANQCRMGTKH-UHFFFAOYSA-N 0 1 279.340 0.619 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1cc(C)on1 ZINC001157863337 801506659 /nfs/dbraw/zinc/50/66/59/801506659.db2.gz DSKRZRUUPIGBFO-GFCCVEGCSA-N 0 1 279.340 0.617 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)Cn1ncnn1 ZINC001157973778 801544192 /nfs/dbraw/zinc/54/41/92/801544192.db2.gz OMFNVOKABMJSJC-CYBMUJFWSA-N 0 1 290.371 0.009 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1-n1c(C)cnc1CN ZINC001158170162 801582822 /nfs/dbraw/zinc/58/28/22/801582822.db2.gz WPIQNBFJCNYXGR-UHFFFAOYSA-N 0 1 267.296 0.735 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCCN[C@H](C)c1nnnn1C ZINC001158887462 801720593 /nfs/dbraw/zinc/72/05/93/801720593.db2.gz ZFQHUZQOQXYKNX-LLVKDONJSA-N 0 1 294.403 0.969 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001158887464 801720669 /nfs/dbraw/zinc/72/06/69/801720669.db2.gz ZFQHUZQOQXYKNX-NSHDSACASA-N 0 1 294.403 0.969 20 30 CCEDMN Cn1c(=O)cc(Nc2nccc3[nH]cc(C#N)c32)[nH]c1=O ZINC001159006851 801737949 /nfs/dbraw/zinc/73/79/49/801737949.db2.gz LQNQWAJKAQTSBX-UHFFFAOYSA-N 0 1 282.263 0.977 20 30 CCEDMN C=C(C)CCC(=O)NCCCNCc1cnn(C)n1 ZINC001159014595 801739975 /nfs/dbraw/zinc/73/99/75/801739975.db2.gz DPYKJMJIBXVKLO-UHFFFAOYSA-N 0 1 265.361 0.767 20 30 CCEDMN CCOC(=O)[C@@H](C#N)Nc1nc(Cl)nc2c1CNCC2 ZINC001159323574 801791356 /nfs/dbraw/zinc/79/13/56/801791356.db2.gz LJENDVFJFPTSER-SECBINFHSA-N 0 1 295.730 0.643 20 30 CCEDMN C=CCCC(=O)NCCC1=CCN([C@@H](C)C(N)=O)CC1 ZINC001159538933 801831196 /nfs/dbraw/zinc/83/11/96/801831196.db2.gz SJCOSPSMDNZMKZ-LBPRGKRZSA-N 0 1 279.384 0.965 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC2(C[C@H]2C(=O)N(C)C)CC1 ZINC001159864056 801882420 /nfs/dbraw/zinc/88/24/20/801882420.db2.gz ZXPQBIMACMRFMV-FZMZJTMJSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H](C)n2cncn2)CC1 ZINC001159867143 801884567 /nfs/dbraw/zinc/88/45/67/801884567.db2.gz OVXWXHGCVICUBC-ZDUSSCGKSA-N 0 1 287.367 0.611 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@@H]2NCCc3cc(F)ccc32)CCN1 ZINC001160652768 801990616 /nfs/dbraw/zinc/99/06/16/801990616.db2.gz LAIUGIOIFJIVRP-TZMCWYRMSA-N 0 1 288.326 0.336 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(c2nc3ccccc3[nH]2)CC1 ZINC001160894525 802043524 /nfs/dbraw/zinc/04/35/24/802043524.db2.gz WMQFUYTUALBDRW-INIZCTEOSA-N 0 1 297.362 0.562 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2ncccn2)CC1 ZINC001161524384 802169715 /nfs/dbraw/zinc/16/97/15/802169715.db2.gz MARYMJSZDCQGGW-UHFFFAOYSA-N 0 1 270.336 0.862 20 30 CCEDMN N#Cc1ccnc(NCc2nnc3n2CCCNC3)n1 ZINC001163010936 802455179 /nfs/dbraw/zinc/45/51/79/802455179.db2.gz IAXKZPXLYXDXJP-UHFFFAOYSA-N 0 1 270.300 0.045 20 30 CCEDMN N#Cc1cnnc(NCc2noc3c2CNCC3)c1 ZINC001163129283 802489082 /nfs/dbraw/zinc/48/90/82/802489082.db2.gz IMAFKXUXYJBPOC-UHFFFAOYSA-N 0 1 256.269 0.594 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2ncccn2)[C@H]1C ZINC001264723875 809674989 /nfs/dbraw/zinc/67/49/89/809674989.db2.gz ZVTKDFQNWOVZHF-OLZOCXBDSA-N 0 1 272.352 0.621 20 30 CCEDMN COCCCN1CCN(c2cc(C#N)nc(C)n2)CC1 ZINC001165098110 802781860 /nfs/dbraw/zinc/78/18/60/802781860.db2.gz RSAWMOMPILTASF-UHFFFAOYSA-N 0 1 275.356 0.815 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)C1CN(C(C)=O)C1 ZINC001267367045 811223119 /nfs/dbraw/zinc/22/31/19/811223119.db2.gz OTLROAWTKTZCCF-UHFFFAOYSA-N 0 1 273.764 0.265 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1ccc2[nH]c(C#N)cc2n1 ZINC001165442964 802845276 /nfs/dbraw/zinc/84/52/76/802845276.db2.gz REHYIOMHFFDYLZ-LBPRGKRZSA-N 0 1 285.307 0.386 20 30 CCEDMN COCc1nn(-c2ncnc3[nH]cc(C#N)c32)c2c1CNC2 ZINC001165634834 802858743 /nfs/dbraw/zinc/85/87/43/802858743.db2.gz SCLDRWQCWGKGHZ-UHFFFAOYSA-N 0 1 295.306 0.765 20 30 CCEDMN N#CCNCCCNC(=O)[C@@H]1CCCc2[nH]cnc21 ZINC001166401108 802905241 /nfs/dbraw/zinc/90/52/41/802905241.db2.gz CAHLJEKKBSOLSU-SNVBAGLBSA-N 0 1 261.329 0.449 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CN(CC2CCOCC2)C1 ZINC001268259958 812426215 /nfs/dbraw/zinc/42/62/15/812426215.db2.gz RLLITAWTRBEROY-SNVBAGLBSA-N 0 1 251.330 0.373 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H](COC)OC)C1 ZINC001276431457 803055496 /nfs/dbraw/zinc/05/54/96/803055496.db2.gz DNJUKUKVUBWRIX-WCQYABFASA-N 0 1 268.357 0.108 20 30 CCEDMN C#CCNC(=O)CC(=O)N[C@@H]1CN(C/C=C\Cl)C[C@H]1C ZINC001206892806 803301074 /nfs/dbraw/zinc/30/10/74/803301074.db2.gz IZYJXINARFLEFB-XLMCQVRKSA-N 0 1 297.786 0.315 20 30 CCEDMN CN(C1CN(Cc2cc(C#N)n(C)c2)C1)[C@H]1CCOC1 ZINC001207106920 803354248 /nfs/dbraw/zinc/35/42/48/803354248.db2.gz YCKWVNUSRAQIER-ZDUSSCGKSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCc2ccnn2C)C1 ZINC001207240391 803370191 /nfs/dbraw/zinc/37/01/91/803370191.db2.gz VZHQBCCLJGCABC-UKRRQHHQSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2cncnc2)C1 ZINC001208002806 803478175 /nfs/dbraw/zinc/47/81/75/803478175.db2.gz BCNBGNWCPIAQDL-TZMCWYRMSA-N 0 1 272.352 0.479 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)CN(C)C(C)=O)C(C)(C)C1 ZINC001276554976 803489218 /nfs/dbraw/zinc/48/92/18/803489218.db2.gz IOJJCJJANJOJQO-GFCCVEGCSA-N 0 1 267.373 0.477 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN([C@H]2CCCNC2=O)C[C@H]1C ZINC001208309707 803503719 /nfs/dbraw/zinc/50/37/19/803503719.db2.gz UANCVUMLLGJMLH-UPJWGTAASA-N 0 1 293.411 0.914 20 30 CCEDMN C=CCN(CCN1CC[C@@H](O)[C@@H](CO)C1)C(=O)OCC ZINC001209015917 803563731 /nfs/dbraw/zinc/56/37/31/803563731.db2.gz BVSXYVKAKSTPGO-CHWSQXEVSA-N 0 1 286.372 0.306 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CNC(=O)CCC(C)C)C1 ZINC001209548434 803610521 /nfs/dbraw/zinc/61/05/21/803610521.db2.gz ZKCMJKNFXPDEQJ-ZIAGYGMSSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2[C@@H](CNC(=O)CC(C)(C)O)[C@@H]2C1 ZINC001276669017 803628709 /nfs/dbraw/zinc/62/87/09/803628709.db2.gz AQSYPVDJZRUJIK-CNDDSTCGSA-N 0 1 250.342 0.075 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCCCC(=O)NC)C1 ZINC001211418395 803681168 /nfs/dbraw/zinc/68/11/68/803681168.db2.gz ATINUWJFQSRFSJ-ZIAGYGMSSA-N 0 1 293.411 0.753 20 30 CCEDMN CN(CCN(C)c1ccncc1C#N)C(=O)Cc1ncn[nH]1 ZINC001105470038 814964705 /nfs/dbraw/zinc/96/47/05/814964705.db2.gz ICJKYTDYKZGDBH-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOC)C[C@H]1OC ZINC001211997002 814970970 /nfs/dbraw/zinc/97/09/70/814970970.db2.gz BUOBCCDFKCAZOD-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CCCOC)[C@H]2C1 ZINC001217374641 803888597 /nfs/dbraw/zinc/88/85/97/803888597.db2.gz BUTINKKVCLUACO-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)NC2)[C@H]1C ZINC001088616721 815003349 /nfs/dbraw/zinc/00/33/49/815003349.db2.gz IZJLVYQMDDEANH-UPJWGTAASA-N 0 1 277.368 0.115 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1CN(CCC)C[C@@H]1O ZINC001219312540 804264945 /nfs/dbraw/zinc/26/49/45/804264945.db2.gz KRWZNYQYKMQEKQ-XQQFMLRXSA-N 0 1 270.373 0.539 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCn2cccn2)C[C@@H]1O ZINC001219325992 804273357 /nfs/dbraw/zinc/27/33/57/804273357.db2.gz HVFZDZZCTINQPO-OLZOCXBDSA-N 0 1 278.356 0.011 20 30 CCEDMN C#Cc1ccc(NC(=O)[C@H](N)CCCNC(N)=O)cc1F ZINC001219384777 804294553 /nfs/dbraw/zinc/29/45/53/804294553.db2.gz WKBBCJFMYSDEEO-GFCCVEGCSA-N 0 1 292.314 0.521 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2ocnc2C)[C@@H](O)C1 ZINC001219417930 804304833 /nfs/dbraw/zinc/30/48/33/804304833.db2.gz JBBFFGRKVPFHMW-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@@H]1O ZINC001219482024 804327063 /nfs/dbraw/zinc/32/70/63/804327063.db2.gz ICHAKNCNUVEQMO-MCIONIFRSA-N 0 1 282.384 0.376 20 30 CCEDMN CC(=O)OC[C@H](N)C(=O)N[C@@H](C#N)c1ccccc1 ZINC001219557764 804346935 /nfs/dbraw/zinc/34/69/35/804346935.db2.gz CTUNPIHIUMGRGV-RYUDHWBXSA-N 0 1 261.281 0.258 20 30 CCEDMN N#C[C@H](NC(=O)[C@@H]1CCCN1C(=O)CN)c1ccccc1 ZINC001219557973 804347272 /nfs/dbraw/zinc/34/72/72/804347272.db2.gz HRBILKPJGWMEOW-STQMWFEESA-N 0 1 286.335 0.317 20 30 CCEDMN Cc1ccnc(CNC(=O)[C@@H](N)Cc2ccccc2C#N)n1 ZINC001219600067 804367782 /nfs/dbraw/zinc/36/77/82/804367782.db2.gz HEHUZCYXWRCOHH-AWEZNQCLSA-N 0 1 295.346 0.843 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@@H]1O ZINC001219706737 804411310 /nfs/dbraw/zinc/41/13/10/804411310.db2.gz MNTJFJIKCGQZHB-XQQFMLRXSA-N 0 1 284.400 0.785 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N[C@@H]1CN(CC)C[C@@H]1O)OCC ZINC001219732022 804414501 /nfs/dbraw/zinc/41/45/01/804414501.db2.gz MXJVSNOWPNRPAM-FRRDWIJNSA-N 0 1 270.373 0.539 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@H](C)Oc1ccc(OC)cc1 ZINC001219945898 804455111 /nfs/dbraw/zinc/45/51/11/804455111.db2.gz WZIIGDQHGWFBEY-NHYWBVRUSA-N 0 1 276.336 0.929 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2ccccn2)[C@@H](O)C1 ZINC001220122104 804504909 /nfs/dbraw/zinc/50/49/09/804504909.db2.gz IWGUBKRSAXQMBK-CABCVRRESA-N 0 1 289.379 0.752 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@@H]1Cc2ccccc2CN1 ZINC001220124870 804505697 /nfs/dbraw/zinc/50/56/97/804505697.db2.gz NVLBXEBXNMQDHV-AWEZNQCLSA-N 0 1 288.347 0.936 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@H](CC)OC)C[C@@H]1O ZINC001220146642 804514519 /nfs/dbraw/zinc/51/45/19/804514519.db2.gz LGCBARMQHSMBPS-XQQFMLRXSA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN([C@@H](C)COC)C[C@@H]2O)CC1 ZINC001220292251 804562919 /nfs/dbraw/zinc/56/29/19/804562919.db2.gz RPZXVMXPMVRPQZ-MJBXVCDLSA-N 0 1 296.411 0.929 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCC[C@@H](C)O)C[C@@H]2O)C1 ZINC001220314947 804571183 /nfs/dbraw/zinc/57/11/83/804571183.db2.gz NABQDFDRPCJOQY-MCIONIFRSA-N 0 1 296.411 0.665 20 30 CCEDMN O=C(C[C@@H]1COCCN1)N/C=C/C(=O)C(F)(F)F ZINC001220344999 804579557 /nfs/dbraw/zinc/57/95/57/804579557.db2.gz DBVYVDQKCOFVLJ-QOHHWTFISA-N 0 1 266.219 0.126 20 30 CCEDMN N[C@H](C(=O)N/C=C/C(=O)C(F)(F)F)C(F)(F)F ZINC001220343717 804579816 /nfs/dbraw/zinc/57/98/16/804579816.db2.gz ZNYYYAIIXBXZGW-ROFOPDMZSA-N 0 1 264.125 0.637 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)[C@@H]1Cc2ccccc2CN1 ZINC001220498861 804615733 /nfs/dbraw/zinc/61/57/33/804615733.db2.gz MJRBJGBGRKQPIX-QWHCGFSZSA-N 0 1 287.319 0.272 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@H](CC(=O)OC)c1cccnc1 ZINC001220530407 804620002 /nfs/dbraw/zinc/62/00/02/804620002.db2.gz PUTBGANJQXLJJS-RISCZKNCSA-N 0 1 275.308 0.153 20 30 CCEDMN C[C@@H]1COCC[C@@H]1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001220564880 804625833 /nfs/dbraw/zinc/62/58/33/804625833.db2.gz GWVVUEKDHCEEKF-UGFHNGPFSA-N 0 1 287.363 0.969 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2ncccn2)[C@@H](O)C1 ZINC001220592038 804633727 /nfs/dbraw/zinc/63/37/27/804633727.db2.gz ROVBGAAFSOKHNQ-OLZOCXBDSA-N 0 1 290.367 0.147 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@]1(C)CCN(C(C)=O)C1 ZINC001272146309 815027778 /nfs/dbraw/zinc/02/77/78/815027778.db2.gz VDPKRBHFSHFELJ-HNNXBMFYSA-N 0 1 279.384 0.268 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@](C)(Cc1ccccc1)C(=O)OC ZINC001220627713 804638825 /nfs/dbraw/zinc/63/88/25/804638825.db2.gz HQHBOQMINACFMI-HOTGVXAUSA-N 0 1 288.347 0.628 20 30 CCEDMN C=CC[N@H+]1CCC[C@H]1CNC(=O)[C@@H](N)COC(C)(C)C ZINC001220868272 804683805 /nfs/dbraw/zinc/68/38/05/804683805.db2.gz FVLILYXNVNWDMR-STQMWFEESA-N 0 1 283.416 0.895 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCCC(=O)NC)[C@@H]2C1 ZINC001221494378 804824474 /nfs/dbraw/zinc/82/44/74/804824474.db2.gz YKMLCBYFXIQQNG-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cc(C(N)=O)cs1 ZINC001272178752 815037537 /nfs/dbraw/zinc/03/75/37/815037537.db2.gz PUWIVOJKBYUUOT-UHFFFAOYSA-N 0 1 293.392 0.874 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001221718288 804839338 /nfs/dbraw/zinc/83/93/38/804839338.db2.gz JBXJJECZBXAWEV-IIAWOOMASA-N 0 1 296.411 0.740 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCc3nccn32)[C@H]1C ZINC001088622425 815038548 /nfs/dbraw/zinc/03/85/48/815038548.db2.gz WBNSPACCFFWFMB-HZSPNIEDSA-N 0 1 286.379 0.973 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)COC(C)C)C[C@@H]21 ZINC001222363002 804895584 /nfs/dbraw/zinc/89/55/84/804895584.db2.gz SMNIJJARJPMPPY-KGLIPLIRSA-N 0 1 264.369 0.967 20 30 CCEDMN CCO[C@@H](C)C(=O)NCC1CCN(CC#CCOC)CC1 ZINC001222465118 804903185 /nfs/dbraw/zinc/90/31/85/804903185.db2.gz XAMMGDIAHDNBRK-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CCN(Cc2ccnn2C)CC1 ZINC001222637041 804921533 /nfs/dbraw/zinc/92/15/33/804921533.db2.gz XIINRVUVCDETIL-GFCCVEGCSA-N 0 1 289.383 0.908 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@H]1COC(=O)C1 ZINC001276835610 804931196 /nfs/dbraw/zinc/93/11/96/804931196.db2.gz SBULYASYICYABU-VXGBXAGGSA-N 0 1 266.341 0.706 20 30 CCEDMN C#CCCCC(=O)NCC1CCN([C@@H](C)C(N)=O)CC1 ZINC001222993312 804944412 /nfs/dbraw/zinc/94/44/12/804944412.db2.gz GSRVVNLPMJXNFN-LBPRGKRZSA-N 0 1 279.384 0.492 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CCN(C(=O)C3(C(=O)NC)CC3)C[C@@H]21 ZINC001223674517 804985157 /nfs/dbraw/zinc/98/51/57/804985157.db2.gz IOANOASNOCXWGW-OLZOCXBDSA-N 0 1 291.395 0.621 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](CNCc2nonc2C)C1 ZINC001276945749 805008438 /nfs/dbraw/zinc/00/84/38/805008438.db2.gz BELWVDVVLGDXFT-HAQNSBGRSA-N 0 1 294.355 0.565 20 30 CCEDMN C=CCC(C)(C)C(=O)NCC1CCN(CC(N)=O)CC1 ZINC001224683151 805069000 /nfs/dbraw/zinc/06/90/00/805069000.db2.gz SSRRWJPNUAJFAF-UHFFFAOYSA-N 0 1 281.400 0.902 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)CCC2CCC2)CC1 ZINC001277028405 805117459 /nfs/dbraw/zinc/11/74/59/805117459.db2.gz SAHYUSTVFDHUMJ-UHFFFAOYSA-N 0 1 292.383 0.445 20 30 CCEDMN C#CCCCCC(=O)NCC1(N[C@@H](C)C(=O)N(C)C)CC1 ZINC001277044056 805128341 /nfs/dbraw/zinc/12/83/41/805128341.db2.gz WGEXEGRAVOIYFW-ZDUSSCGKSA-N 0 1 293.411 0.895 20 30 CCEDMN COC(=O)c1cc(=O)[nH]c(O[C@@H]2C=CC(=O)C2)n1 ZINC001225729868 805155009 /nfs/dbraw/zinc/15/50/09/805155009.db2.gz DQPNTWOZJXWNDM-SSDOTTSWSA-N 0 1 250.210 0.245 20 30 CCEDMN Cc1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)c(F)c(=O)[n-]1 ZINC001226423887 805228837 /nfs/dbraw/zinc/22/88/37/805228837.db2.gz MHDVMPYAZLLFPA-ZTEPNEHBSA-N 0 1 281.287 0.621 20 30 CCEDMN Cc1nc(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)c(F)c(=O)[n-]1 ZINC001226423887 805228843 /nfs/dbraw/zinc/22/88/43/805228843.db2.gz MHDVMPYAZLLFPA-ZTEPNEHBSA-N 0 1 281.287 0.621 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1[nH]c(=O)nc2cnncc21 ZINC001226692340 805266458 /nfs/dbraw/zinc/26/64/58/805266458.db2.gz NLXCEZDSNFFOSE-QMMMGPOBSA-N 0 1 288.263 0.459 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1nc2[nH]cnc2c(=S)[nH]1 ZINC001226786407 805281763 /nfs/dbraw/zinc/28/17/63/805281763.db2.gz QLMWRDQEMNPLKN-ZETCQYMHSA-N 0 1 292.320 0.975 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCc1n[nH]c(C2CC2)n1 ZINC001226866478 805289605 /nfs/dbraw/zinc/28/96/05/805289605.db2.gz JHHFPFAJPUDWPI-SECBINFHSA-N 0 1 265.317 0.682 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cncnc2)CC1 ZINC001226986223 805302775 /nfs/dbraw/zinc/30/27/75/805302775.db2.gz QHZHSYSKJFHFEG-UHFFFAOYSA-N 0 1 288.351 0.321 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1CCN([C@H](C)C(=O)NC)CC1 ZINC001227124294 805324735 /nfs/dbraw/zinc/32/47/35/805324735.db2.gz PYHDZSDUMTZVJI-IAQYHMDHSA-N 0 1 297.399 0.029 20 30 CCEDMN C#CCN1CCC(NC(=O)CCNC(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001227662243 805375922 /nfs/dbraw/zinc/37/59/22/805375922.db2.gz ZILZLTKXGDBUNV-GXTWGEPZSA-N 0 1 291.395 0.363 20 30 CCEDMN C[C@H]1OCc2c1[nH]c(=O)nc2OC1CN(CCC#N)C1 ZINC001227716665 805383994 /nfs/dbraw/zinc/38/39/94/805383994.db2.gz UOWWEIOWUJQBIX-MRVPVSSYSA-N 0 1 276.296 0.750 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1c[nH]c(C(=O)OC)cc1=O ZINC001227787194 805389077 /nfs/dbraw/zinc/38/90/77/805389077.db2.gz ZDRHYVKBKPXITP-VIFPVBQESA-N 0 1 293.275 0.495 20 30 CCEDMN N#CCN1CC[C@@H](Oc2[nH]c(=O)nc3cc[nH]c32)C1 ZINC001227810077 805392738 /nfs/dbraw/zinc/39/27/38/805392738.db2.gz SLGHDXQFPCNKHM-MRVPVSSYSA-N 0 1 259.269 0.640 20 30 CCEDMN C#C[C@@H](Oc1[nH]c(=O)ncc1Cl)C(=O)OCC ZINC001228481560 805451319 /nfs/dbraw/zinc/45/13/19/805451319.db2.gz CIBZYHUTFUVSRE-SSDOTTSWSA-N 0 1 256.645 0.779 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](C)CC(N)=O)CC1 ZINC001228613625 805459774 /nfs/dbraw/zinc/45/97/74/805459774.db2.gz IJJRXBJJJUOHBR-NSHDSACASA-N 0 1 265.357 0.102 20 30 CCEDMN C=C[C@@H]1O[C@H](Oc2cnnc(=S)[nH]2)[C@H]2OC(C)(C)O[C@@H]12 ZINC001228636741 805461881 /nfs/dbraw/zinc/46/18/81/805461881.db2.gz QRDHWOOWHJHOBX-MIBSWOBISA-N 0 1 297.336 0.970 20 30 CCEDMN CC[C@@H](F)C(=O)NC1CCN(CC#CCOC)CC1 ZINC001228651289 805462707 /nfs/dbraw/zinc/46/27/07/805462707.db2.gz CAOGHHWIDYSRIA-CYBMUJFWSA-N 0 1 270.348 0.965 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H](OC)C(C)C)CC1 ZINC001229024522 805498491 /nfs/dbraw/zinc/49/84/91/805498491.db2.gz LFLGEQVVMUJMMZ-OAHLLOKOSA-N 0 1 296.411 0.888 20 30 CCEDMN C=C1O[C@H](CC)C(=O)C1Oc1nc(OC)nc(OC)n1 ZINC001229427829 805531210 /nfs/dbraw/zinc/53/12/10/805531210.db2.gz CLCOSRYICXNZLZ-SSDOTTSWSA-N 0 1 281.268 0.877 20 30 CCEDMN COC[C@H](NC(=O)NCC#CCN(C)C)c1ccco1 ZINC001229431178 805532849 /nfs/dbraw/zinc/53/28/49/805532849.db2.gz FTYHEGZGDQEWAH-LBPRGKRZSA-N 0 1 279.340 0.831 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2nocc2C)CC1 ZINC001229896002 805565729 /nfs/dbraw/zinc/56/57/29/805565729.db2.gz RWOQQISLVRAIGK-UHFFFAOYSA-N 0 1 291.351 0.827 20 30 CCEDMN C=CC[N@H+]1CC[C@@]2(NC(=O)c3ncn(C)n3)CCC[C@@H]12 ZINC000990547815 815125202 /nfs/dbraw/zinc/12/52/02/815125202.db2.gz VWMJMBKKNZDNGS-RISCZKNCSA-N 0 1 275.356 0.728 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3nnnn3c2)[C@H]1C ZINC001088744149 815123617 /nfs/dbraw/zinc/12/36/17/815123617.db2.gz IDJHTUHVJFTVDS-PWSUYJOCSA-N 0 1 286.339 0.503 20 30 CCEDMN COc1cccc(NS(=O)(=O)N(C)C)c1C#N ZINC001251094252 807663976 /nfs/dbraw/zinc/66/39/76/807663976.db2.gz LGACAQYABREQSL-UHFFFAOYSA-N 0 1 255.299 0.785 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC#CCN(C)C)C[C@@]1(C)CO ZINC001251708680 807696688 /nfs/dbraw/zinc/69/66/88/807696688.db2.gz WRLVFXXMGWEVAW-OCCSQVGLSA-N 0 1 267.373 0.211 20 30 CCEDMN CC(=O)N(C)C1CCN(C(=O)NCC#CCN(C)C)CC1 ZINC001251708233 807697017 /nfs/dbraw/zinc/69/70/17/807697017.db2.gz OCRBODVGYDVWQC-UHFFFAOYSA-N 0 1 294.399 0.204 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cn2ccccc2n1 ZINC001251880476 807731147 /nfs/dbraw/zinc/73/11/47/807731147.db2.gz AHJWZUCMSSQJPL-CYBMUJFWSA-N 0 1 259.309 0.435 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cn2ccccc2n1 ZINC001251880477 807731701 /nfs/dbraw/zinc/73/17/01/807731701.db2.gz AHJWZUCMSSQJPL-ZDUSSCGKSA-N 0 1 259.309 0.435 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ccc(Cl)nn1 ZINC001251891463 807737215 /nfs/dbraw/zinc/73/72/15/807737215.db2.gz SZUBKYDSHOTUDH-JTQLQIEISA-N 0 1 255.705 0.230 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cn(C(C)C)cn1 ZINC001251900461 807742647 /nfs/dbraw/zinc/74/26/47/807742647.db2.gz RHGHBFBSNCSSLF-CYBMUJFWSA-N 0 1 251.330 0.564 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2csnn2)[C@H]1C ZINC001088777820 815152919 /nfs/dbraw/zinc/15/29/19/815152919.db2.gz UOIXSSMVYZRYKN-BDAKNGLRSA-N 0 1 252.343 0.917 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(CC)ccn2)[C@@H](O)C1 ZINC001083484461 815154656 /nfs/dbraw/zinc/15/46/56/815154656.db2.gz KINBKNYVFOCPQQ-CABCVRRESA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCC[C@]1(C)C(=O)OC ZINC001252461941 807875918 /nfs/dbraw/zinc/87/59/18/807875918.db2.gz XDYLGXDWGHREBI-WCQYABFASA-N 0 1 257.330 0.577 20 30 CCEDMN C=CCOC[C@H](O)CN1CCCC2(C1)OCCO2 ZINC001252471430 807881892 /nfs/dbraw/zinc/88/18/92/807881892.db2.gz XNQKOBCYCOWEQE-GFCCVEGCSA-N 0 1 257.330 0.389 20 30 CCEDMN C=CCC[C@@H](O)CN1Cc2ccnn2CC[C@H]1CO ZINC001252602301 807917825 /nfs/dbraw/zinc/91/78/25/807917825.db2.gz OPJSOLNYAMCDIH-UONOGXRCSA-N 0 1 265.357 0.777 20 30 CCEDMN C=CCC[C@@H](O)CN1CC(N(C)[C@H]2CCOC2)C1 ZINC001252603000 807918922 /nfs/dbraw/zinc/91/89/22/807918922.db2.gz JGPHWFCRKOKFAO-GXTWGEPZSA-N 0 1 254.374 0.718 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccnn2CCC)[C@@H](O)C1 ZINC001083494531 815161629 /nfs/dbraw/zinc/16/16/29/815161629.db2.gz GPQIWGWARPKSPM-OCCSQVGLSA-N 0 1 290.367 0.091 20 30 CCEDMN C=C[C@H](O)CN1CCn2cc(CN3CCCC3)nc2C1 ZINC001253577927 808085240 /nfs/dbraw/zinc/08/52/40/808085240.db2.gz RJBQJWGEWLFGKS-AWEZNQCLSA-N 0 1 276.384 0.841 20 30 CCEDMN C=C[C@@H](O)CN1CCO[C@@H]2C[C@@H](C(=O)NC(C)C)C[C@H]21 ZINC001253580823 808088509 /nfs/dbraw/zinc/08/85/09/808088509.db2.gz FKSFFNVWLJANDQ-REWJHTLYSA-N 0 1 282.384 0.537 20 30 CCEDMN C=C[C@@H](O)CN1C[C@@H](OC)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001253586003 808089298 /nfs/dbraw/zinc/08/92/98/808089298.db2.gz FVNKOCHTTPZNPI-GRYCIOLGSA-N 0 1 286.372 0.757 20 30 CCEDMN Cc1cc(CNC[C@H](O)CN(C)C(=O)C#CC2CC2)on1 ZINC001278755700 808168429 /nfs/dbraw/zinc/16/84/29/808168429.db2.gz HVSQZDQJWMHEKG-ZDUSSCGKSA-N 0 1 291.351 0.305 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)[C@H]2CCC(=O)N2C)[C@H]1C ZINC001088812075 815187324 /nfs/dbraw/zinc/18/73/24/815187324.db2.gz LAJNUDINPMKBDL-FRRDWIJNSA-N 0 1 279.384 0.762 20 30 CCEDMN C=C[C@@H]1C[C@@]1(NC(=O)C1CN(C)C1)C(=O)OCC ZINC001142600943 815190506 /nfs/dbraw/zinc/19/05/06/815190506.db2.gz RBIGJMUISMNHMK-MFKMUULPSA-N 0 1 252.314 0.172 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H]2CC23CCCC3)C1 ZINC001278815599 808280832 /nfs/dbraw/zinc/28/08/32/808280832.db2.gz HFGUBAQQKLXDFP-BBRMVZONSA-N 0 1 276.380 0.753 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC001278816104 808282944 /nfs/dbraw/zinc/28/29/44/808282944.db2.gz NIWPMMNQJUPYSQ-MRXNPFEDSA-N 0 1 287.363 0.303 20 30 CCEDMN CC[C@H](CC#N)NCCNC(=O)N1CCOCC1 ZINC001255156830 808323529 /nfs/dbraw/zinc/32/35/29/808323529.db2.gz SDVOBHLGRUBSLS-LLVKDONJSA-N 0 1 254.334 0.310 20 30 CCEDMN CC[C@H](CC#N)NCCCN1CCS(=O)(=O)CC1 ZINC001255158252 808323686 /nfs/dbraw/zinc/32/36/86/808323686.db2.gz GHBYGOIHJBPCEU-GFCCVEGCSA-N 0 1 273.402 0.389 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccc(OC)cn2)[C@H]1C ZINC001088815827 815197507 /nfs/dbraw/zinc/19/75/07/815197507.db2.gz HEHVCYBGOKDGIV-DOMZBBRYSA-N 0 1 287.363 0.845 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C[C@H](C=C)CC ZINC001278869833 808395838 /nfs/dbraw/zinc/39/58/38/808395838.db2.gz YKEAUEWSKURRJW-KGLIPLIRSA-N 0 1 266.385 0.973 20 30 CCEDMN C#CCN(C)CCN(C(=O)Cc1ncc[nH]1)C(C)C ZINC001278926238 808488979 /nfs/dbraw/zinc/48/89/79/808488979.db2.gz DSPRQODYHABWDH-UHFFFAOYSA-N 0 1 262.357 0.754 20 30 CCEDMN CN(C)CC#CCNC(=O)N1C[C@H]2CSC[C@@]2(C)C1 ZINC001256585966 808537226 /nfs/dbraw/zinc/53/72/26/808537226.db2.gz YYXOVBXNBKENMO-GXTWGEPZSA-N 0 1 281.425 0.946 20 30 CCEDMN C[C@H](C[C@@H](O)c1ccco1)NC(=O)NCC#CCN(C)C ZINC001256585016 808537438 /nfs/dbraw/zinc/53/74/38/808537438.db2.gz MSZROEJZAJERMB-CHWSQXEVSA-N 0 1 293.367 0.956 20 30 CCEDMN Cc1nc([C@@H](C)NS(=O)(=O)CCCC#N)n[nH]1 ZINC001257431441 808615970 /nfs/dbraw/zinc/61/59/70/808615970.db2.gz DVNDBWRNRLXOCR-SSDOTTSWSA-N 0 1 257.319 0.397 20 30 CCEDMN C=CCN(CCNC(=O)c1ccn(C)c(=O)c1)CCOC ZINC001279043862 808635575 /nfs/dbraw/zinc/63/55/75/808635575.db2.gz BIYJWIPHYCTZRI-UHFFFAOYSA-N 0 1 293.367 0.250 20 30 CCEDMN C=CCN(CCNC(=O)c1c(C)cnn1C)CCOC ZINC001279050068 808637144 /nfs/dbraw/zinc/63/71/44/808637144.db2.gz QGQHSKCQJKHSTP-UHFFFAOYSA-N 0 1 280.372 0.593 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)[C@@H]2C1 ZINC001076139588 815249511 /nfs/dbraw/zinc/24/95/11/815249511.db2.gz KOXCXJGPCIORNO-ODXJTPSBSA-N 0 1 260.337 0.045 20 30 CCEDMN CCn1cnnc1CNC[C@H](O)CC(C)(C)C#N ZINC001261772534 809082930 /nfs/dbraw/zinc/08/29/30/809082930.db2.gz AIKUDZSIMIJONZ-SNVBAGLBSA-N 0 1 251.334 0.688 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)NCCCCS(C)(=O)=O ZINC001262008757 809153081 /nfs/dbraw/zinc/15/30/81/809153081.db2.gz XFFUBYNIHTYPCZ-VIFPVBQESA-N 0 1 282.793 0.397 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CC[C@@H](C)O3)[C@@H]2C1 ZINC001076266876 815270077 /nfs/dbraw/zinc/27/00/77/815270077.db2.gz SJIKXNDDJFKCAV-XJFOESAGSA-N 0 1 262.353 0.720 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)NCc2n[nH]c(C)n2)C1 ZINC001262378045 809296649 /nfs/dbraw/zinc/29/66/49/809296649.db2.gz PRNPWBWUJMFPBO-JTQLQIEISA-N 0 1 265.317 0.600 20 30 CCEDMN C=C[C@H](O)c1nnc(N2CCN(CC)CC2)n1CC ZINC001263022437 809427594 /nfs/dbraw/zinc/42/75/94/809427594.db2.gz AAAPTBZKGINNMQ-NSHDSACASA-N 0 1 265.361 0.659 20 30 CCEDMN C#CCNCC(=O)N[C@]1(C(=O)OC)C[C@H](OCC)C1(C)C ZINC001263126021 809452834 /nfs/dbraw/zinc/45/28/34/809452834.db2.gz ZCIFWVQKYJVXEC-NHYWBVRUSA-N 0 1 296.367 0.072 20 30 CCEDMN C=C(C)Cn1c(CO)nnc1N1CCN(CC)CC1 ZINC001263308869 809506926 /nfs/dbraw/zinc/50/69/26/809506926.db2.gz RGIZKERFYASBOY-UHFFFAOYSA-N 0 1 265.361 0.488 20 30 CCEDMN N#CC(C(=O)c1ccc(C(N)=O)nc1)c1ccncn1 ZINC001263380408 809515424 /nfs/dbraw/zinc/51/54/24/809515424.db2.gz PTNQHZUASDGVLL-VIFPVBQESA-N 0 1 267.248 0.461 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCNC(=O)Cc1n[nH]c(C)n1 ZINC001076435228 815297686 /nfs/dbraw/zinc/29/76/86/815297686.db2.gz IMVKJJWFTAMERJ-JTQLQIEISA-N 0 1 293.371 0.633 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCc1nc(C)no1 ZINC001263805505 809573362 /nfs/dbraw/zinc/57/33/62/809573362.db2.gz QEAKVNDYYPHDNJ-CYBMUJFWSA-N 0 1 290.367 0.867 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001263818505 809577961 /nfs/dbraw/zinc/57/79/61/809577961.db2.gz ZJTSANCECBAZMY-KBXIAJHMSA-N 0 1 293.411 0.472 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001263909934 809604494 /nfs/dbraw/zinc/60/44/94/809604494.db2.gz KKNGVNBIXMXZMD-KOLCDFICSA-N 0 1 253.302 0.541 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)[C@@H]1CCCCO1 ZINC001264105110 809630839 /nfs/dbraw/zinc/63/08/39/809630839.db2.gz XFPVPKVQRSLXGZ-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN CCOCCC(=O)N[C@H]1CCN(CC#CCOC)[C@@H]1C ZINC001264134470 809633270 /nfs/dbraw/zinc/63/32/70/809633270.db2.gz NGDVQUHFRCAKMU-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCC(=O)N(C)C)[C@H]1CC ZINC001264623773 809667277 /nfs/dbraw/zinc/66/72/77/809667277.db2.gz OCHZKSRJYCVAOH-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2cocn2)C1 ZINC001264627811 809667285 /nfs/dbraw/zinc/66/72/85/809667285.db2.gz AJGHNLLYBSOVGO-LBPRGKRZSA-N 0 1 277.324 0.207 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H]1CC12CCC2 ZINC001265041021 809699049 /nfs/dbraw/zinc/69/90/49/809699049.db2.gz KIHMWPCWKODJAQ-CYBMUJFWSA-N 0 1 293.411 0.917 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H]1CCO[C@H]1C ZINC001265052082 809700103 /nfs/dbraw/zinc/70/01/03/809700103.db2.gz GMOYYNSUIQZSBV-STQMWFEESA-N 0 1 297.399 0.152 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H]1C[C@@H]1C(C)C ZINC001265054439 809701512 /nfs/dbraw/zinc/70/15/12/809701512.db2.gz MHMKXGVFNCILIR-KGLIPLIRSA-N 0 1 293.411 0.466 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccc4n[nH]nc4c3)[C@@H]2C1 ZINC001076556655 815310221 /nfs/dbraw/zinc/31/02/21/815310221.db2.gz VLDQOQCJJWJWFG-SWLSCSKDSA-N 0 1 295.346 0.737 20 30 CCEDMN CCN(CCCNC(=O)C=C1CCC1)CC(=O)NCC#N ZINC001265107306 809709628 /nfs/dbraw/zinc/70/96/28/809709628.db2.gz GXPULLLKXBLFRD-UHFFFAOYSA-N 0 1 292.383 0.565 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H](OC)[C@@H]2CCOC2)CC1 ZINC001265194777 809721935 /nfs/dbraw/zinc/72/19/35/809721935.db2.gz MYGPJSOHKVMWCN-UKRRQHHQSA-N 0 1 294.395 0.594 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@H](CC)NC(C)=O)C1 ZINC001265303651 809751480 /nfs/dbraw/zinc/75/14/80/809751480.db2.gz LZCSPUUOTCCMHJ-KGLIPLIRSA-N 0 1 297.399 0.294 20 30 CCEDMN CN(C)C(=O)CN1CCC[C@@H](CNC(=O)C#CC2CC2)C1 ZINC001265582149 809809056 /nfs/dbraw/zinc/80/90/56/809809056.db2.gz MMLVDQVPIFPVSH-AWEZNQCLSA-N 0 1 291.395 0.316 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)NCc1cnc(C)o1 ZINC001265758762 809843310 /nfs/dbraw/zinc/84/33/10/809843310.db2.gz JTMBNKVOHHJPNZ-SNVBAGLBSA-N 0 1 267.329 0.780 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H](C)NCc1ccns1 ZINC001265775643 809850918 /nfs/dbraw/zinc/85/09/18/809850918.db2.gz UTMKVVYHUQAXCQ-LLVKDONJSA-N 0 1 281.381 0.777 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H]1CCNC1=O)NCC#Cc1ccccc1 ZINC001265808467 809857955 /nfs/dbraw/zinc/85/79/55/809857955.db2.gz FCEYERVYJOKFER-DZGCQCFKSA-N 0 1 299.374 0.269 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCN(C)[C@@H](C)C(=O)NC)C1 ZINC001265921343 809894607 /nfs/dbraw/zinc/89/46/07/809894607.db2.gz MSIOFBZFTVQXRU-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCNC(=O)CN(CC)CCCNC(=O)[C@H]1CC1(C)C ZINC001266035244 809923489 /nfs/dbraw/zinc/92/34/89/809923489.db2.gz BJUBTHVWOFQVSN-CYBMUJFWSA-N 0 1 293.411 0.610 20 30 CCEDMN C#CCCCC(=O)NCC[C@@H](C)NCc1nnc(C)[nH]1 ZINC001266141110 809947192 /nfs/dbraw/zinc/94/71/92/809947192.db2.gz XQAWLKLGIJXVKP-LLVKDONJSA-N 0 1 277.372 0.901 20 30 CCEDMN CN1CC(C(=O)NCCC[C@@H]2CCCN2CC#N)=NC1=O ZINC001266232549 809968710 /nfs/dbraw/zinc/96/87/10/809968710.db2.gz ZQESHDVKOPOFTO-LLVKDONJSA-N 0 1 291.355 0.624 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)Cc2ccc[nH]2)C1 ZINC001279529148 809985863 /nfs/dbraw/zinc/98/58/63/809985863.db2.gz KHLKEZSRTTVHCU-HNNXBMFYSA-N 0 1 277.368 0.686 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ncccc2C)C1 ZINC001076859308 815393598 /nfs/dbraw/zinc/39/35/98/815393598.db2.gz GKWMFFPQCZYYSU-CHWSQXEVSA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCCN1[C@H](C)C(=O)NC ZINC001267264079 811065661 /nfs/dbraw/zinc/06/56/61/811065661.db2.gz PQPREWYWAKDZGK-NEPJUHHUSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@H](CNC(=O)CC)C1 ZINC001267284098 811095192 /nfs/dbraw/zinc/09/51/92/811095192.db2.gz WYZZNOMUUSHJAF-VXGBXAGGSA-N 0 1 267.373 0.525 20 30 CCEDMN C=C(C)CN1CC[C@H](CNC(=O)CS(C)(=O)=O)C1 ZINC001267289735 811102950 /nfs/dbraw/zinc/10/29/50/811102950.db2.gz TZBCUVSGYZJASL-LLVKDONJSA-N 0 1 274.386 0.045 20 30 CCEDMN C#CC[N@@H+](CCC)CCNC(=O)[C@H](CC)NC(C)=O ZINC001267317680 811146297 /nfs/dbraw/zinc/14/62/97/811146297.db2.gz IHTNSTMEGYPSCI-ZDUSSCGKSA-N 0 1 267.373 0.363 20 30 CCEDMN CCO[C@H](C)C(=O)NCCN(CC#CCOC)C1CC1 ZINC001267322360 811153212 /nfs/dbraw/zinc/15/32/12/811153212.db2.gz XTZKDHBRBVCHPU-CYBMUJFWSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C(C)(C)C(N)=O)C[C@@H]21 ZINC001042102461 811209220 /nfs/dbraw/zinc/20/92/20/811209220.db2.gz NEGDVEXNCXFYJP-NEPJUHHUSA-N 0 1 277.368 0.054 20 30 CCEDMN Cc1oncc1CNCCNC(=O)c1ccc(C#N)[nH]1 ZINC001125776513 811320982 /nfs/dbraw/zinc/32/09/82/811320982.db2.gz QIACYNBMMYLMPC-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN N#Cc1cnc(N2CC[C@@H](NC(=O)Cc3cnc[nH]3)C2)cn1 ZINC001058562591 811322470 /nfs/dbraw/zinc/32/24/70/811322470.db2.gz NSEWCWGATWKDHR-SNVBAGLBSA-N 0 1 297.322 0.009 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@H]1CCCN1C(C)=O ZINC001267474750 811357539 /nfs/dbraw/zinc/35/75/39/811357539.db2.gz IDHDXTDCZPJHKP-GFCCVEGCSA-N 0 1 287.791 0.798 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3c(C)cnn3C)C[C@H]21 ZINC001042190483 811364059 /nfs/dbraw/zinc/36/40/59/811364059.db2.gz NCLPRTPILJIQRF-UONOGXRCSA-N 0 1 286.379 0.898 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001038311345 811399216 /nfs/dbraw/zinc/39/92/16/811399216.db2.gz OUMFKYUDESAKKF-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@@H](O)COC ZINC001267527831 811409748 /nfs/dbraw/zinc/40/97/48/811409748.db2.gz LRCQTNCMWXEOMT-HZSPNIEDSA-N 0 1 282.384 0.635 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](N(CCC)C(=O)c2cnn[n-]2)C1 ZINC001267543776 811424666 /nfs/dbraw/zinc/42/46/66/811424666.db2.gz KIPXZSMRGXJNPS-LBPRGKRZSA-N 0 1 275.356 0.755 20 30 CCEDMN CC#CCN1CC[C@H](N(CCC)C(=O)c2cn[nH]n2)C1 ZINC001267543776 811424671 /nfs/dbraw/zinc/42/46/71/811424671.db2.gz KIPXZSMRGXJNPS-LBPRGKRZSA-N 0 1 275.356 0.755 20 30 CCEDMN O=C(C#CC1CC1)N(CCO)CCNCc1ccccn1 ZINC001279711786 811464519 /nfs/dbraw/zinc/46/45/19/811464519.db2.gz RWBQTWSREIPFCM-UHFFFAOYSA-N 0 1 287.363 0.406 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)[C@@H](C)C#N)CC1 ZINC001267606122 811545593 /nfs/dbraw/zinc/54/55/93/811545593.db2.gz OCGSUELLLRIOEP-AWEZNQCLSA-N 0 1 276.384 0.245 20 30 CCEDMN C=CCN1CC(CNC(=O)CCc2cnccn2)C1 ZINC001267695781 811660192 /nfs/dbraw/zinc/66/01/92/811660192.db2.gz HWKPPDDLSADRLR-UHFFFAOYSA-N 0 1 260.341 0.643 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)C(=O)NCC(F)F ZINC001267708357 811671285 /nfs/dbraw/zinc/67/12/85/811671285.db2.gz IABIBINQRVVZEA-UWVGGRQHSA-N 0 1 287.310 0.229 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001267743010 811727303 /nfs/dbraw/zinc/72/73/03/811727303.db2.gz IBEQAWGZFXHJJW-CYBMUJFWSA-N 0 1 260.341 0.176 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCOCCOC)C1 ZINC001267778890 811780041 /nfs/dbraw/zinc/78/00/41/811780041.db2.gz JEFWHWHVKOREFY-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN CC[C@@H](CNC(=O)C(N)=O)NCC#Cc1ccccc1 ZINC001267817085 811813394 /nfs/dbraw/zinc/81/33/94/811813394.db2.gz JCULTIUWSNLXIC-ZDUSSCGKSA-N 0 1 273.336 0.008 20 30 CCEDMN C[C@@H](C(=O)NCCNCC#Cc1ccccc1)n1cncn1 ZINC001125926125 811869392 /nfs/dbraw/zinc/86/93/92/811869392.db2.gz YVFHQJXLWWZIST-AWEZNQCLSA-N 0 1 297.362 0.597 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CCC[N@H+](C[C@@H](O)COC)C1 ZINC001267962948 811898625 /nfs/dbraw/zinc/89/86/25/811898625.db2.gz ZTBMCIWAIYIFIK-HUUCEWRRSA-N 0 1 296.411 0.768 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(COC)o2)C1 ZINC001077349181 815484860 /nfs/dbraw/zinc/48/48/60/815484860.db2.gz UMQNSOMRFUOQJB-CHWSQXEVSA-N 0 1 294.351 0.777 20 30 CCEDMN COc1ccnc(CNCCNC(=O)c2c[nH]c(C#N)c2)c1 ZINC001125988262 811968859 /nfs/dbraw/zinc/96/88/59/811968859.db2.gz SCIKXAAFTUZOQP-UHFFFAOYSA-N 0 1 299.334 0.810 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](n2cc(CNCC)nn2)C1 ZINC001098669569 815496121 /nfs/dbraw/zinc/49/61/21/815496121.db2.gz URCDNKUZPJHVPZ-CQSZACIVSA-N 0 1 289.383 0.965 20 30 CCEDMN Cc1cc(C(=O)N(C)CCN(C)c2ccc(C#N)nn2)n[nH]1 ZINC001105340308 812051510 /nfs/dbraw/zinc/05/15/10/812051510.db2.gz SJZVCRDYSWLXCM-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)c1ccc2nn[n-]c2n1 ZINC001027921094 812142174 /nfs/dbraw/zinc/14/21/74/812142174.db2.gz NSQHEKCKLHVNGI-NSHDSACASA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001027921094 812142188 /nfs/dbraw/zinc/14/21/88/812142188.db2.gz NSQHEKCKLHVNGI-NSHDSACASA-N 0 1 298.350 0.570 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)[C@@H](C)CNCc1cnnn1C ZINC001268059169 812164216 /nfs/dbraw/zinc/16/42/16/812164216.db2.gz LJKLDOFMXUINBQ-FZMZJTMJSA-N 0 1 295.387 0.079 20 30 CCEDMN C[C@@H](CNCC#N)N(C)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC001268064618 812177945 /nfs/dbraw/zinc/17/79/45/812177945.db2.gz VYNYXSAQCXWVMW-QWRGUYRKSA-N 0 1 275.356 0.790 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001028029274 812225185 /nfs/dbraw/zinc/22/51/85/812225185.db2.gz UMVIHXDHOQWDHW-LLVKDONJSA-N 0 1 291.351 0.764 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001028035755 812228614 /nfs/dbraw/zinc/22/86/14/812228614.db2.gz IJNBAWDCAZWDNG-DEPYFDJDSA-N 0 1 274.364 0.624 20 30 CCEDMN C#CC[NH2+]C[C@@H](CC(C)C)NC(=O)c1[n-]nnc1C ZINC001268108985 812267359 /nfs/dbraw/zinc/26/73/59/812267359.db2.gz BFRBIFRSASKCSA-LLVKDONJSA-N 0 1 263.345 0.480 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c(C)nc[nH]c1=O ZINC001028090759 812269928 /nfs/dbraw/zinc/26/99/28/812269928.db2.gz DUIMGBROLADRSF-NSHDSACASA-N 0 1 274.324 0.318 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001028130746 812300597 /nfs/dbraw/zinc/30/05/97/812300597.db2.gz UXYDGLZZMUYZGX-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2C[C@H](C)OC)nc1 ZINC001038932820 812365818 /nfs/dbraw/zinc/36/58/18/812365818.db2.gz SRDLJPIRALRUTJ-GXTWGEPZSA-N 0 1 287.363 0.902 20 30 CCEDMN C=CCCC(=O)N(C)CCN(C)CC#CCOC ZINC001268232013 812395466 /nfs/dbraw/zinc/39/54/66/812395466.db2.gz HEUCFUADKCSEJX-UHFFFAOYSA-N 0 1 252.358 0.993 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001268234971 812398650 /nfs/dbraw/zinc/39/86/50/812398650.db2.gz UQRVANOPLOZVCL-UHFFFAOYSA-N 0 1 258.325 0.913 20 30 CCEDMN Cc1ncoc1CNC[C@H](O)CNC(=O)C#CC(C)(C)C ZINC001268305939 812469962 /nfs/dbraw/zinc/46/99/62/812469962.db2.gz UCDJRGUSVOEKMQ-LBPRGKRZSA-N 0 1 293.367 0.599 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)C(C)(C)C(N)=O)C1 ZINC001268327571 812490813 /nfs/dbraw/zinc/49/08/13/812490813.db2.gz LGYCXNWSDXHFLX-JTQLQIEISA-N 0 1 267.373 0.653 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCCN1C(N)=O ZINC001028254440 812510799 /nfs/dbraw/zinc/51/07/99/812510799.db2.gz VGWBBKCTCYMCMI-CHWSQXEVSA-N 0 1 292.383 0.133 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)[C@H]1CCc2[nH]nnc2C1 ZINC001028261408 812526516 /nfs/dbraw/zinc/52/65/16/812526516.db2.gz XWXSFWMKCKQMEZ-QWRGUYRKSA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)[C@H]1CCc2nn[nH]c2C1 ZINC001028261408 812526520 /nfs/dbraw/zinc/52/65/20/812526520.db2.gz XWXSFWMKCKQMEZ-QWRGUYRKSA-N 0 1 288.355 0.014 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001268477455 812634201 /nfs/dbraw/zinc/63/42/01/812634201.db2.gz PATXGRVYOYCPTK-ZJUUUORDSA-N 0 1 273.764 0.298 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2cncc3[nH]cnc32)C1 ZINC001028556051 812835831 /nfs/dbraw/zinc/83/58/31/812835831.db2.gz OEQQSPYGFNUGPS-NSHDSACASA-N 0 1 283.335 0.643 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](CC)SC)[C@H](OC)C1 ZINC001212134663 815561206 /nfs/dbraw/zinc/56/12/06/815561206.db2.gz XIYGGRGTBUTWPD-UPJWGTAASA-N 0 1 284.425 0.967 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cccc(=O)[nH]2)[C@@H](O)C1 ZINC001083388520 812929952 /nfs/dbraw/zinc/92/99/52/812929952.db2.gz YPTLROZOHIJHBZ-NEPJUHHUSA-N 0 1 277.324 0.138 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CN(C)[C@@H]1CCCN(C)C1=O ZINC001268729500 813044179 /nfs/dbraw/zinc/04/41/79/813044179.db2.gz GNEXAVZGEHRSAK-UONOGXRCSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cn(-c2ccccc2)nn1 ZINC001268734853 813050212 /nfs/dbraw/zinc/05/02/12/813050212.db2.gz BGNUJGSZGQNAFI-CYBMUJFWSA-N 0 1 297.362 0.951 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)C1CC(OC)C1 ZINC001268734924 813050512 /nfs/dbraw/zinc/05/05/12/813050512.db2.gz HMVGXGYMOQOXPM-IYXRBSQSSA-N 0 1 282.384 0.498 20 30 CCEDMN C#CC(=O)N1Cc2n[nH]c(C(=O)N3CCCC34CC4)c2C1 ZINC001268857942 813117196 /nfs/dbraw/zinc/11/71/96/813117196.db2.gz XNOHOOOVKKJSAO-UHFFFAOYSA-N 0 1 284.319 0.654 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H]1CCN(Cc2n[nH]c(C)n2)C1 ZINC001269019433 813180136 /nfs/dbraw/zinc/18/01/36/813180136.db2.gz YNIPUNVFPPYYNM-FZMZJTMJSA-N 0 1 293.371 0.131 20 30 CCEDMN C=CCNC(=O)[C@@H]1CC12CCN(C(=O)CN(C)CC)CC2 ZINC001269093391 813212803 /nfs/dbraw/zinc/21/28/03/813212803.db2.gz VYEPUUJBXFVKMC-ZDUSSCGKSA-N 0 1 293.411 0.869 20 30 CCEDMN N#Cc1ccc(N[C@H]2CCN(C(=O)Cc3c[nH]cn3)C2)cn1 ZINC001059079222 813240013 /nfs/dbraw/zinc/24/00/13/813240013.db2.gz DQIMQMHJOFNEHA-ZDUSSCGKSA-N 0 1 296.334 0.932 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001269194500 813256474 /nfs/dbraw/zinc/25/64/74/813256474.db2.gz ICCXOPIMTIBRTK-NSHDSACASA-N 0 1 292.339 0.189 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN1C[C@H](O)COC ZINC001269206526 813265757 /nfs/dbraw/zinc/26/57/57/813265757.db2.gz YNCRQQJPQWJWLC-RYUDHWBXSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H](C)NC(=O)CC ZINC001269241593 813285700 /nfs/dbraw/zinc/28/57/00/813285700.db2.gz WNBIHODUOQLPCH-NEPJUHHUSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H](OC)c2cnn(C)c2)C1 ZINC001269263580 813294429 /nfs/dbraw/zinc/29/44/29/813294429.db2.gz RFEAGISZIMCRRA-KGLIPLIRSA-N 0 1 292.383 0.826 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)C(C)(C)CNC(C)=O)C1 ZINC001269279511 813304739 /nfs/dbraw/zinc/30/47/39/813304739.db2.gz ADCNLXNDYPKPKY-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C(C)(C)CNC(C)=O)C1 ZINC001269279511 813304747 /nfs/dbraw/zinc/30/47/47/813304747.db2.gz ADCNLXNDYPKPKY-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)Cc1cncnc1 ZINC001126408175 813313436 /nfs/dbraw/zinc/31/34/36/813313436.db2.gz VCAQNJDHACTGHK-UHFFFAOYSA-N 0 1 295.346 0.797 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)Cc1cscn1 ZINC001269320977 813322739 /nfs/dbraw/zinc/32/27/39/813322739.db2.gz MNIAQMRQEXCRRF-LBPRGKRZSA-N 0 1 263.366 0.899 20 30 CCEDMN CC[C@@H](SC)C(=O)NCC1(NCC(=O)NCC#N)CC1 ZINC001269398635 813357919 /nfs/dbraw/zinc/35/79/19/813357919.db2.gz OIRKYMLQUSNVRN-SNVBAGLBSA-N 0 1 298.412 0.006 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)Cc1c[nH]cn1 ZINC001269569309 813426329 /nfs/dbraw/zinc/42/63/29/813426329.db2.gz PDALLUFMRHTVDZ-CHWSQXEVSA-N 0 1 286.335 0.177 20 30 CCEDMN CC(C)N1CCO[C@H](C(=O)NC[C@H]2CCN(CC#N)C2)C1 ZINC001028757809 813447277 /nfs/dbraw/zinc/44/72/77/813447277.db2.gz JVVIVROIERKEEN-KGLIPLIRSA-N 0 1 294.399 0.057 20 30 CCEDMN C#CCNC(=O)CN1CCC2(CCN(C(C)=O)C2)CC1 ZINC001269700140 813474240 /nfs/dbraw/zinc/47/42/40/813474240.db2.gz QAPWMHTZQCMRGT-UHFFFAOYSA-N 0 1 277.368 0.070 20 30 CCEDMN C=C1CCC(C(=O)NC[C@@H]2CCN(CC(N)=O)C2)CC1 ZINC001028781526 813495517 /nfs/dbraw/zinc/49/55/17/813495517.db2.gz YZWQCNVSWHKVKB-LBPRGKRZSA-N 0 1 279.384 0.656 20 30 CCEDMN C=CCNC(=O)[C@@H]1CC12CCN(C(=O)[C@H](C)N(C)C)CC2 ZINC001269797370 813514325 /nfs/dbraw/zinc/51/43/25/813514325.db2.gz WBPDFMORIFEICR-STQMWFEESA-N 0 1 293.411 0.867 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001075718723 813575077 /nfs/dbraw/zinc/57/50/77/813575077.db2.gz BMGNZSMINWKNCY-QWHCGFSZSA-N 0 1 285.347 0.957 20 30 CCEDMN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)NCCC#N)c1 ZINC000427606671 813608942 /nfs/dbraw/zinc/60/89/42/813608942.db2.gz MQSKWLUAXHZDRL-UHFFFAOYSA-N 0 1 297.336 0.286 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001028917024 813653648 /nfs/dbraw/zinc/65/36/48/813653648.db2.gz DOBZUQKWLDJFMU-NWDGAFQWSA-N 0 1 287.367 0.476 20 30 CCEDMN C#CCN(C(C)=O)C1CCN([C@H]2CCCN(C)C2=O)CC1 ZINC001270279418 813706059 /nfs/dbraw/zinc/70/60/59/813706059.db2.gz KMZSCPZVLOMVIL-HNNXBMFYSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cc2cnn(CC)c2)C1 ZINC001270650559 813870695 /nfs/dbraw/zinc/87/06/95/813870695.db2.gz WCESNKKLQQEZIJ-OAHLLOKOSA-N 0 1 274.368 0.659 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cncs2)CC1 ZINC001226284865 813946469 /nfs/dbraw/zinc/94/64/69/813946469.db2.gz DUQHFCYZZUVWHY-UHFFFAOYSA-N 0 1 293.392 0.987 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCn2nccc21 ZINC001038432973 813978414 /nfs/dbraw/zinc/97/84/14/813978414.db2.gz SRDJWNHWIGBDOJ-ZIAGYGMSSA-N 0 1 286.379 0.974 20 30 CCEDMN Cc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CC#N)n[nH]1 ZINC001029226810 814000933 /nfs/dbraw/zinc/00/09/33/814000933.db2.gz AOVAQAQKKFIWOD-PHIMTYICSA-N 0 1 259.313 0.531 20 30 CCEDMN C[C@H](F)CCN1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@@H](O)C1 ZINC001083413244 814029599 /nfs/dbraw/zinc/02/95/99/814029599.db2.gz FNNRORJHSRDJSU-BIMULSAOSA-N 0 1 294.330 0.409 20 30 CCEDMN CC#CC(=O)N1Cc2n[nH]c(C(=O)N3CC(CC#N)C3)c2C1 ZINC001271095380 814149427 /nfs/dbraw/zinc/14/94/27/814149427.db2.gz STGJIZBXBPSLIG-UHFFFAOYSA-N 0 1 297.318 0.261 20 30 CCEDMN CC#CC(=O)NC12CC(C(=O)NCc3cnc[nH]3)(C1)C2 ZINC001271095728 814150363 /nfs/dbraw/zinc/15/03/63/814150363.db2.gz FEMSNQHROOPKOK-UHFFFAOYSA-N 0 1 272.308 0.088 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnn(CC)n2)[C@H]1CC ZINC001087562580 814163711 /nfs/dbraw/zinc/16/37/11/814163711.db2.gz GQMDFHLGCZPVSO-GXTWGEPZSA-N 0 1 289.383 0.904 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCN(C)C2=O)[C@H]1CC ZINC001087612722 814175481 /nfs/dbraw/zinc/17/54/81/814175481.db2.gz FBDZPQUNBITBCU-MELADBBJSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCn2cccn2)[C@H](OC)C1 ZINC001211878559 814179079 /nfs/dbraw/zinc/17/90/79/814179079.db2.gz WWPRDVXKXSHRHS-ZIAGYGMSSA-N 0 1 290.367 0.112 20 30 CCEDMN CCC[C@H](C)C(=O)NC[C@]1(O)CCN(CC#CCOC)C1 ZINC001271133381 814191325 /nfs/dbraw/zinc/19/13/25/814191325.db2.gz ZPYUAGLPKXNBNH-GOEBONIOSA-N 0 1 296.411 0.625 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc3cccnn32)[C@H]1CC ZINC001087753253 814202894 /nfs/dbraw/zinc/20/28/94/814202894.db2.gz YXRWJNILWFLJDL-QWHCGFSZSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CC)nn2)[C@H]1CC ZINC001087778044 814210093 /nfs/dbraw/zinc/21/00/93/814210093.db2.gz CLTFFALPVJSZOA-WCQYABFASA-N 0 1 275.356 0.514 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2CN(C(=O)Cc3ccn[nH]3)C[C@@H]2C1 ZINC001087917430 814272098 /nfs/dbraw/zinc/27/20/98/814272098.db2.gz VGMPPPDCCPCKRF-OLZOCXBDSA-N 0 1 272.352 0.366 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)[C@@H]2CCCO2)C1 ZINC001271235382 814345312 /nfs/dbraw/zinc/34/53/12/814345312.db2.gz DANQTOQRKNNQGZ-STQMWFEESA-N 0 1 282.384 0.683 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)CSCC#N)C1 ZINC001271244851 814349011 /nfs/dbraw/zinc/34/90/11/814349011.db2.gz QMPZEGYAUMFQGM-GFCCVEGCSA-N 0 1 297.424 0.761 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)C2CC(OC)C2)C1 ZINC001271303993 814372799 /nfs/dbraw/zinc/37/27/99/814372799.db2.gz QOVOKALJCGLGOQ-HSBZDZAISA-N 0 1 296.411 0.929 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CN(C)Cc2ccn(C)n2)C1 ZINC001029677094 814535434 /nfs/dbraw/zinc/53/54/34/814535434.db2.gz IVEMXZCLACPMOX-OLZOCXBDSA-N 0 1 289.383 0.860 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CN(C)Cc2ccnn2C)C1 ZINC001029679256 814537201 /nfs/dbraw/zinc/53/72/01/814537201.db2.gz YNZIRAIYJAZLNP-QWHCGFSZSA-N 0 1 289.383 0.860 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)[C@H](C)COC)C1 ZINC001271950736 814646732 /nfs/dbraw/zinc/64/67/32/814646732.db2.gz QGNNLTVBLGTOBU-TZMCWYRMSA-N 0 1 270.373 0.398 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)[C@@H](C)COC)C1 ZINC001271950731 814647114 /nfs/dbraw/zinc/64/71/14/814647114.db2.gz QGNNLTVBLGTOBU-GXTWGEPZSA-N 0 1 270.373 0.398 20 30 CCEDMN C#CC[NH2+][C@H]1CCCCCN(C(=O)Cc2nnc[n-]2)C1 ZINC001088410610 814677263 /nfs/dbraw/zinc/67/72/63/814677263.db2.gz LZBKHSHSKLXVGX-LBPRGKRZSA-N 0 1 275.356 0.341 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C2=COCCO2)[C@H]1C ZINC001088454680 814706637 /nfs/dbraw/zinc/70/66/37/814706637.db2.gz CDLSPUXIECWMJC-MNOVXSKESA-N 0 1 250.298 0.087 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1C ZINC001088456615 814708770 /nfs/dbraw/zinc/70/87/70/814708770.db2.gz ARHAUTDJMXFVIG-MNOVXSKESA-N 0 1 274.324 0.398 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)C[C@H]2CCCO2)[C@H]1C ZINC001088478678 814728471 /nfs/dbraw/zinc/72/84/71/814728471.db2.gz MCUBIUYRHCTAAX-UPJWGTAASA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCCO2)[C@H]1C ZINC001088478678 814728474 /nfs/dbraw/zinc/72/84/74/814728474.db2.gz MCUBIUYRHCTAAX-UPJWGTAASA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)Cc1ccncc1C ZINC001272019879 814733849 /nfs/dbraw/zinc/73/38/49/814733849.db2.gz CXJCLMMJJQPYOB-UHFFFAOYSA-N 0 1 259.353 0.956 20 30 CCEDMN CCc1n[nH]cc1C(=O)N(C)CCN(C)CC#CCOC ZINC001272055616 814889013 /nfs/dbraw/zinc/88/90/13/814889013.db2.gz SRNIYVYGOGWIRI-UHFFFAOYSA-N 0 1 292.383 0.626 20 30 CCEDMN N#Cc1cnc(N[C@H]2C[C@@H](NC(=O)Cc3cnc[nH]3)C2)cn1 ZINC001059847182 814894956 /nfs/dbraw/zinc/89/49/56/814894956.db2.gz BNWBGSHTSHVPDT-AOOOYVTPSA-N 0 1 297.322 0.373 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2ccc3cncn3c2)[C@@H](O)C1 ZINC001083644814 815591773 /nfs/dbraw/zinc/59/17/73/815591773.db2.gz XHKVEOCTENWWQB-CABCVRRESA-N 0 1 298.346 0.133 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](C)SC)[C@H](OC)C1 ZINC001212143137 815652637 /nfs/dbraw/zinc/65/26/37/815652637.db2.gz APALJKMVJARXLN-YNEHKIRRSA-N 0 1 284.425 0.967 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2cncc(C)c2)C1 ZINC001077452773 815771424 /nfs/dbraw/zinc/77/14/24/815771424.db2.gz AMYCJQAQHNQKPH-HUUCEWRRSA-N 0 1 289.379 0.670 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC1CN(Cc2ccccc2C#N)C1 ZINC001030153712 815842522 /nfs/dbraw/zinc/84/25/22/815842522.db2.gz CRSROSHTTGHSRU-MRXNPFEDSA-N 0 1 298.390 0.953 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)Cc2cc(C)n[nH]2)C1 ZINC001030208667 815893922 /nfs/dbraw/zinc/89/39/22/815893922.db2.gz NDERCOUEFGYOGQ-UHFFFAOYSA-N 0 1 268.748 0.814 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NC1CN(C[C@@H]2CCOC2)C1 ZINC001030332612 815979885 /nfs/dbraw/zinc/97/98/85/815979885.db2.gz NTMSZACWTOSEJY-CFVMTHIKSA-N 0 1 294.395 0.805 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H](CNCc2cnon2)[C@H](C)C1 ZINC001105942075 816018664 /nfs/dbraw/zinc/01/86/64/816018664.db2.gz XGLOMSHLDSAUDZ-VXGBXAGGSA-N 0 1 294.355 0.456 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC1CN(C[C@@H](C)CC)C1 ZINC001030463591 816049103 /nfs/dbraw/zinc/04/91/03/816049103.db2.gz QZOPOFQLJVBKRT-ZFWWWQNUSA-N 0 1 277.412 0.931 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)COCCOC ZINC001098982133 816050512 /nfs/dbraw/zinc/05/05/12/816050512.db2.gz IUKBWUBYNVHPEC-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@H]2CCCc3[nH]cnc32)CCN1CC#N ZINC001088849508 816068847 /nfs/dbraw/zinc/06/88/47/816068847.db2.gz ULLHPPVWOLJDCI-WOPDTQHZSA-N 0 1 287.367 0.932 20 30 CCEDMN Cc1c(C(=O)NC2CN(CC#N)C2)ccc2cncn21 ZINC001030585963 816127549 /nfs/dbraw/zinc/12/75/49/816127549.db2.gz AVBVKEOFQQMZIQ-UHFFFAOYSA-N 0 1 269.308 0.580 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2c[nH]cn2)[C@H]1C ZINC001088884967 816159273 /nfs/dbraw/zinc/15/92/73/816159273.db2.gz VLQPHRFNOJKXMG-YPMHNXCESA-N 0 1 260.341 0.555 20 30 CCEDMN COc1ccnc(C(=N)Nc2cccc(CN(C)C)n2)n1 ZINC001168422442 816195521 /nfs/dbraw/zinc/19/55/21/816195521.db2.gz NWHSHQRJRKAFMJ-UHFFFAOYSA-N 0 1 286.339 0.979 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2cnn3ccc(C)nc23)[C@H]1C ZINC001088986660 816204903 /nfs/dbraw/zinc/20/49/03/816204903.db2.gz KSJPFRSSZXNLGG-OCCSQVGLSA-N 0 1 297.362 0.864 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3ccc(C)nc23)[C@H]1C ZINC001088986660 816204908 /nfs/dbraw/zinc/20/49/08/816204908.db2.gz KSJPFRSSZXNLGG-OCCSQVGLSA-N 0 1 297.362 0.864 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1C[N@H+](CCCC)C[C@H]1OC ZINC001212193417 816205715 /nfs/dbraw/zinc/20/57/15/816205715.db2.gz FBVCFDVCOHBOCZ-NFAWXSAZSA-N 0 1 284.400 0.929 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CC[N@H+](C[C@@H](C)O)[C@@H]2C)nc1 ZINC001088990724 816206408 /nfs/dbraw/zinc/20/64/08/816206408.db2.gz MSERTFCTUAISNJ-BZPMIXESSA-N 0 1 287.363 0.636 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]cnc2C)[C@H]1C ZINC001089082779 816238607 /nfs/dbraw/zinc/23/86/07/816238607.db2.gz IOOVNCFRHJXKJS-NEPJUHHUSA-N 0 1 260.341 0.934 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)N2CCOCC2)[C@H]1C ZINC001089084422 816240040 /nfs/dbraw/zinc/24/00/40/816240040.db2.gz KIRFEYRNCYCXKA-ILXRZTDVSA-N 0 1 293.411 0.309 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@](C)(NC(=O)C3CC3)C2)C1=O ZINC001046092419 816346207 /nfs/dbraw/zinc/34/62/07/816346207.db2.gz IUSMLXOJZSMOGZ-CZUORRHYSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](O)COc1c(C)cccc1C ZINC001121858145 816464054 /nfs/dbraw/zinc/46/40/54/816464054.db2.gz OSXRILBUUWARQI-CQSZACIVSA-N 0 1 290.363 0.382 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CCC(C)(C)C)C2 ZINC001272492951 816497872 /nfs/dbraw/zinc/49/78/72/816497872.db2.gz WSZPFQAGOVYTEG-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](O)CNCc1nccn1C ZINC001272496114 816504009 /nfs/dbraw/zinc/50/40/09/816504009.db2.gz LNMILNYLSVUXGJ-NSHDSACASA-N 0 1 280.372 0.199 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@]2(C)C=CCC2)[C@@H](O)C1 ZINC001083707362 816522757 /nfs/dbraw/zinc/52/27/57/816522757.db2.gz FAMHHMMRLMZZCV-VNHYZAJKSA-N 0 1 262.353 0.527 20 30 CCEDMN N#CCc1cc(NCC2([NH+]3CCCC3)COC2)cc[nH+]1 ZINC001168447152 816539617 /nfs/dbraw/zinc/53/96/17/816539617.db2.gz APMFEMUNAJZPMY-UHFFFAOYSA-N 0 1 272.352 0.846 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)CCCCN2Cc1c[nH]nn1 ZINC001272571030 816591343 /nfs/dbraw/zinc/59/13/43/816591343.db2.gz XLTZSOXRSSSVQX-AWEZNQCLSA-N 0 1 273.340 0.395 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001031094825 816641543 /nfs/dbraw/zinc/64/15/43/816641543.db2.gz WXLXZZYNHLVVKO-NSHDSACASA-N 0 1 265.357 0.422 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCNc1ncnc2[nH]cnc21 ZINC001106268128 816702965 /nfs/dbraw/zinc/70/29/65/816702965.db2.gz CQDNCQJZZNEMPQ-IUCAKERBSA-N 0 1 287.327 0.771 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001031216229 816825278 /nfs/dbraw/zinc/82/52/78/816825278.db2.gz OWGKIUFMAFTEOG-NSHDSACASA-N 0 1 274.368 0.891 20 30 CCEDMN C[C@H](CCNc1cncc(C#N)n1)NC(=O)c1cnn[nH]1 ZINC001106637450 816830383 /nfs/dbraw/zinc/83/03/83/816830383.db2.gz HJTOSPUDTAIZKP-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001031222855 816841279 /nfs/dbraw/zinc/84/12/79/816841279.db2.gz UUPLNNHGYLTIGJ-LLVKDONJSA-N 0 1 272.352 0.338 20 30 CCEDMN C[C@H]1COC[C@@H]1NCCS(=O)(=O)c1cccc(C#N)c1 ZINC000699813027 816903776 /nfs/dbraw/zinc/90/37/76/816903776.db2.gz OHEQXWWMMKXJBL-FZMZJTMJSA-N 0 1 294.376 0.956 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)c2cccn(C)c2=O)C1 ZINC001031275198 816920321 /nfs/dbraw/zinc/92/03/21/816920321.db2.gz HWPKAINOYKZWDR-UHFFFAOYSA-N 0 1 275.352 0.766 20 30 CCEDMN CC1(C)C[C@@H](NC(=O)c2cnn[nH]2)CCN(CC#N)C1 ZINC001089427060 817071004 /nfs/dbraw/zinc/07/10/04/817071004.db2.gz LJCNSLZVWVXHKA-JTQLQIEISA-N 0 1 276.344 0.549 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn(CC)c2)C1 ZINC001031586997 817166893 /nfs/dbraw/zinc/16/68/93/817166893.db2.gz AIFHCPLBUDAMLE-UHFFFAOYSA-N 0 1 260.341 0.588 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(Cl)[nH]2)[C@@H](O)C1 ZINC001083759334 817168063 /nfs/dbraw/zinc/16/80/63/817168063.db2.gz RZSXTXHAGZDSQF-MNOVXSKESA-N 0 1 281.743 0.466 20 30 CCEDMN COCCCN1C[C@@H](NC(=O)C#CC2CC2)[C@H](OC)C1 ZINC001212246151 817204495 /nfs/dbraw/zinc/20/44/95/817204495.db2.gz LTQWKFUECSUFEN-ZIAGYGMSSA-N 0 1 280.368 0.252 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(C)nnc2C)C1 ZINC001031697120 817252108 /nfs/dbraw/zinc/25/21/08/817252108.db2.gz CSGHTRQEQFFBCV-UHFFFAOYSA-N 0 1 260.341 0.941 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CN(CC=C(C)C)C[C@H]1OC ZINC001212258743 817262157 /nfs/dbraw/zinc/26/21/57/817262157.db2.gz ZGVOTOOGUBXAIH-RRFJBIMHSA-N 0 1 294.395 0.806 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CCCO2)C1 ZINC001047291438 817336550 /nfs/dbraw/zinc/33/65/50/817336550.db2.gz LEHWZJZBKBNHST-RWMBFGLXSA-N 0 1 268.357 0.245 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccnc(OC)n2)C1 ZINC001031838220 817407040 /nfs/dbraw/zinc/40/70/40/817407040.db2.gz QHAUGKINIZNMKW-UHFFFAOYSA-N 0 1 276.340 0.723 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CC(=O)N[C@H](CC)C2)C1 ZINC001031871314 817434513 /nfs/dbraw/zinc/43/45/13/817434513.db2.gz WAVPNHVOOAWSDX-CHWSQXEVSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnc3c2ncn3C)C1 ZINC001031969255 817506388 /nfs/dbraw/zinc/50/63/88/817506388.db2.gz DKQHGTJONUDRDG-UHFFFAOYSA-N 0 1 285.351 0.816 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cc(OC)ccn1 ZINC001124765465 817567502 /nfs/dbraw/zinc/56/75/02/817567502.db2.gz ZESCIOBSCHAIHN-UHFFFAOYSA-N 0 1 279.340 0.499 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cn(CCC)nn2)C1 ZINC001032079682 817611310 /nfs/dbraw/zinc/61/13/10/817611310.db2.gz NTKLCJYETHNKLG-UHFFFAOYSA-N 0 1 277.372 0.926 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@@H](C)C#N ZINC001124890549 817618887 /nfs/dbraw/zinc/61/88/87/817618887.db2.gz ZJUAUZJCJVONFT-ZETCQYMHSA-N 0 1 260.135 0.760 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2nccn3cc(C)nc23)C1 ZINC001032112494 817640098 /nfs/dbraw/zinc/64/00/98/817640098.db2.gz YFTLLEIUBZJBIS-UHFFFAOYSA-N 0 1 297.362 0.723 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnc(COC)s2)C1 ZINC001032229517 817734260 /nfs/dbraw/zinc/73/42/60/817734260.db2.gz VPIUWHJTAXBLEG-UHFFFAOYSA-N 0 1 293.392 0.974 20 30 CCEDMN CC(C)C#CC(=O)NC[C@]12CCC[C@H]1N(CC(N)=O)CC2 ZINC001107393119 817848063 /nfs/dbraw/zinc/84/80/63/817848063.db2.gz HFKZOZQHLFYRBN-CZUORRHYSA-N 0 1 291.395 0.492 20 30 CCEDMN N#Cc1cnccc1NC/C=C/CNC(=O)Cc1cnc[nH]1 ZINC001107539043 817875986 /nfs/dbraw/zinc/87/59/86/817875986.db2.gz DANDVFKCBYSYNX-OWOJBTEDSA-N 0 1 296.334 0.425 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccnc2OC)C1 ZINC001077616958 817876920 /nfs/dbraw/zinc/87/69/20/817876920.db2.gz WGUBWSONIHOHEO-CHWSQXEVSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cccnc2OC)C1 ZINC001077616958 817876930 /nfs/dbraw/zinc/87/69/30/817876930.db2.gz WGUBWSONIHOHEO-CHWSQXEVSA-N 0 1 291.351 0.441 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@H]1CCC[N@H+]1C ZINC001107644901 817912484 /nfs/dbraw/zinc/91/24/84/817912484.db2.gz KVORPKQRTHHTKM-BXUZGUMPSA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@H]1CCCN1C ZINC001107644901 817912490 /nfs/dbraw/zinc/91/24/90/817912490.db2.gz KVORPKQRTHHTKM-BXUZGUMPSA-N 0 1 287.367 0.964 20 30 CCEDMN CC(C)N1CCO[C@](C)(CNC(=O)CSCC#N)C1 ZINC001107754232 817985198 /nfs/dbraw/zinc/98/51/98/817985198.db2.gz SPPCCLGXUTUCGR-CYBMUJFWSA-N 0 1 285.413 0.859 20 30 CCEDMN C=CCOCC(=O)NC[C@]1(C)CN(C(C)C)CCO1 ZINC001107812744 818027411 /nfs/dbraw/zinc/02/74/11/818027411.db2.gz DAXFMNFFRGPKSU-CQSZACIVSA-N 0 1 270.373 0.805 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)CN2CCCC2)C12CCC2 ZINC001078813260 818055347 /nfs/dbraw/zinc/05/53/47/818055347.db2.gz YBOVLBFNHNWWKW-STQMWFEESA-N 0 1 276.384 0.623 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1coc(C(N)=O)c1 ZINC001038550130 818130428 /nfs/dbraw/zinc/13/04/28/818130428.db2.gz AXDXBVDRTYROSB-LLVKDONJSA-N 0 1 277.324 0.759 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)[nH]c2C)[C@@H](O)C1 ZINC001083817137 818214621 /nfs/dbraw/zinc/21/46/21/818214621.db2.gz NAHXTRPWYILTJG-KGLIPLIRSA-N 0 1 275.352 0.430 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2CC2)[C@H](O)C1 ZINC001090000930 818254272 /nfs/dbraw/zinc/25/42/72/818254272.db2.gz JODIJIQFZWCAJH-QWHCGFSZSA-N 0 1 250.342 0.361 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccccn2)[C@H](O)C1 ZINC001090007181 818262972 /nfs/dbraw/zinc/26/29/72/818262972.db2.gz PSKBUBHCGRWKCD-DGCLKSJQSA-N 0 1 261.325 0.433 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccccc2)[C@H](O)C1 ZINC001090023117 818281360 /nfs/dbraw/zinc/28/13/60/818281360.db2.gz OBCTVFKDYOTFAW-HUUCEWRRSA-N 0 1 272.348 0.875 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnccn2)[C@H](O)C1 ZINC001090031516 818295392 /nfs/dbraw/zinc/29/53/92/818295392.db2.gz OJCYEDIGJOWHPL-WCQYABFASA-N 0 1 276.340 0.218 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@H]2COC(=O)C2)C1 ZINC001033009299 818423187 /nfs/dbraw/zinc/42/31/87/818423187.db2.gz GYRMBORNPSDFQZ-VXGBXAGGSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033125499 818553354 /nfs/dbraw/zinc/55/33/54/818553354.db2.gz ZMQKOJOSKVXUJQ-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cnc3n2CCC3)C1 ZINC001033153578 818580097 /nfs/dbraw/zinc/58/00/97/818580097.db2.gz INSNQHJEDPOPHI-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cc(=O)n(C)cn2)C1 ZINC001033161598 818587394 /nfs/dbraw/zinc/58/73/94/818587394.db2.gz NQLNPURQTMGIDV-LLVKDONJSA-N 0 1 276.340 0.113 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CC1(C)CCOCC1)C2 ZINC001273092578 818593797 /nfs/dbraw/zinc/59/37/97/818593797.db2.gz DXLCTPFBRAGFOM-UHFFFAOYSA-N 0 1 292.379 0.350 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cn3c(n2)COCC3)C1 ZINC001033196866 818636181 /nfs/dbraw/zinc/63/61/81/818636181.db2.gz WEUWIOSTSOBDBL-LBPRGKRZSA-N 0 1 290.367 0.746 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033194262 818638454 /nfs/dbraw/zinc/63/84/54/818638454.db2.gz YSMGENVHLUNGTI-IUODEOHRSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033194262 818638456 /nfs/dbraw/zinc/63/84/56/818638456.db2.gz YSMGENVHLUNGTI-IUODEOHRSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCc3nccn3C2)C1 ZINC001033208785 818654188 /nfs/dbraw/zinc/65/41/88/818654188.db2.gz WDPTVLMSRMDFEL-KBPBESRZSA-N 0 1 286.379 0.611 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cc2cn(CC)nn2)C1 ZINC001033293110 818695280 /nfs/dbraw/zinc/69/52/80/818695280.db2.gz WWLYWXBTQOHQBP-CYBMUJFWSA-N 0 1 277.372 0.559 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001033352364 818731266 /nfs/dbraw/zinc/73/12/66/818731266.db2.gz UIYWKBLLDHVZRO-MQYQWHSLSA-N 0 1 262.353 0.435 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001033366649 818736002 /nfs/dbraw/zinc/73/60/02/818736002.db2.gz VOGCRYSGBXJXLU-NWDGAFQWSA-N 0 1 289.383 0.943 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cn2ccc(C)n2)C1 ZINC001033433284 818768943 /nfs/dbraw/zinc/76/89/43/818768943.db2.gz WQYCMIJJXZWBFE-AWEZNQCLSA-N 0 1 274.368 0.748 20 30 CCEDMN C#CC[NH+]1CC[C@@H](N(C)C(=O)C[N@@H+]2CCC(C)(C)C2)C1 ZINC001033426012 818773688 /nfs/dbraw/zinc/77/36/88/818773688.db2.gz MUNZICWHFKLBLK-CQSZACIVSA-N 0 1 277.412 0.884 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033428101 818774508 /nfs/dbraw/zinc/77/45/08/818774508.db2.gz OJIWMAFKZJPXLE-AGIUHOORSA-N 0 1 277.368 0.054 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2nc3ncccn3n2)C1 ZINC001033521169 818818680 /nfs/dbraw/zinc/81/86/80/818818680.db2.gz IDFKIAQUWGFCBY-LLVKDONJSA-N 0 1 286.339 0.457 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cnn3cc[nH]c23)C1 ZINC001033615566 818853438 /nfs/dbraw/zinc/85/34/38/818853438.db2.gz ACBYULFRASEXCD-GFCCVEGCSA-N 0 1 285.351 0.832 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cn2c(C)csc2=O)C1 ZINC001033650707 818872170 /nfs/dbraw/zinc/87/21/70/818872170.db2.gz UMCIYJUEYHUOMH-LBPRGKRZSA-N 0 1 293.392 0.384 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCCOC2)C1 ZINC001033666268 818874773 /nfs/dbraw/zinc/87/47/73/818874773.db2.gz ATUZXIZCQLOZRD-KBPBESRZSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001033688778 818889785 /nfs/dbraw/zinc/88/97/85/818889785.db2.gz QEBNVGLGHDNAPM-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2nn(CC)nc2C)C1 ZINC001033709498 818893308 /nfs/dbraw/zinc/89/33/08/818893308.db2.gz HTQCEYRWHPKELS-CYBMUJFWSA-N 0 1 289.383 0.776 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cn[nH]c(=O)c2)C1 ZINC001033864766 818968633 /nfs/dbraw/zinc/96/86/33/818968633.db2.gz VGKSPSABXXEIHM-LBPRGKRZSA-N 0 1 274.324 0.352 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CC=CC2)[C@H](O)C1 ZINC001090149678 818983288 /nfs/dbraw/zinc/98/32/88/818983288.db2.gz JEQDTDSYDKAGBS-QWHCGFSZSA-N 0 1 250.342 0.690 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033923371 818999298 /nfs/dbraw/zinc/99/92/98/818999298.db2.gz QWVLUDQTQNSEOJ-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001033923422 818999343 /nfs/dbraw/zinc/99/93/43/818999343.db2.gz TZHUSNYDZLVUSG-NWDGAFQWSA-N 0 1 280.372 0.119 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CC[C@@H](C(N)=O)O2)C1 ZINC001033952237 819011298 /nfs/dbraw/zinc/01/12/98/819011298.db2.gz QZPXAUXNYIIQQM-FRRDWIJNSA-N 0 1 295.383 0.128 20 30 CCEDMN C#Cc1cncc(C(=O)N(CC)[C@H]2CCN(CCO)C2)c1 ZINC001033957186 819011712 /nfs/dbraw/zinc/01/17/12/819011712.db2.gz ZHLBVKHBXJERFX-HNNXBMFYSA-N 0 1 287.363 0.592 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc[nH]c2C)[C@H](O)C1 ZINC001090173878 819020688 /nfs/dbraw/zinc/02/06/88/819020688.db2.gz GOKCJGZKFVVUDH-CHWSQXEVSA-N 0 1 263.341 0.674 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001034031205 819035363 /nfs/dbraw/zinc/03/53/63/819035363.db2.gz FOKBXIOFVUXIIR-UONOGXRCSA-N 0 1 291.395 0.553 20 30 CCEDMN C=CC[NH+]1CC[C@@H](N(CC)C(=O)[C@@H]2C[N@H+](CC)CCO2)C1 ZINC001034048255 819044285 /nfs/dbraw/zinc/04/42/85/819044285.db2.gz NRBMTHCJRKZLQX-CABCVRRESA-N 0 1 295.427 0.816 20 30 CCEDMN C=CC[NH+]1CC[C@@H](N(CC)C(=O)[C@H]2C[N@H+](CC)CCO2)C1 ZINC001034048257 819044562 /nfs/dbraw/zinc/04/45/62/819044562.db2.gz NRBMTHCJRKZLQX-HUUCEWRRSA-N 0 1 295.427 0.816 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C=C2CCC2)[C@H](O)C1 ZINC001090188092 819066309 /nfs/dbraw/zinc/06/63/09/819066309.db2.gz AOGMUMAHOCJDBG-UONOGXRCSA-N 0 1 262.353 0.671 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)c2cc(C)n[nH]2)C1 ZINC001034118850 819083005 /nfs/dbraw/zinc/08/30/05/819083005.db2.gz YCKJVDZUJWOWGZ-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cc(C)n[nH]2)C1 ZINC001034118850 819083008 /nfs/dbraw/zinc/08/30/08/819083008.db2.gz YCKJVDZUJWOWGZ-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2nn(C)cc2C)C1 ZINC001034202281 819119548 /nfs/dbraw/zinc/11/95/48/819119548.db2.gz SIEXAUZZXNLTKL-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001034249546 819135132 /nfs/dbraw/zinc/13/51/32/819135132.db2.gz LCFHLUHZYSNUJY-KKUMJFAQSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2c(C)ncn2C)C1 ZINC001034257932 819140796 /nfs/dbraw/zinc/14/07/96/819140796.db2.gz RRTMLIVYYZBKAB-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](O)C1 ZINC001090201964 819145313 /nfs/dbraw/zinc/14/53/13/819145313.db2.gz VAGFMGSEGWCKRQ-QWRGUYRKSA-N 0 1 294.355 0.165 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001034276819 819152099 /nfs/dbraw/zinc/15/20/99/819152099.db2.gz SBYGWBNVIQWXML-CQSZACIVSA-N 0 1 287.363 0.603 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001034354197 819174738 /nfs/dbraw/zinc/17/47/38/819174738.db2.gz KTEVEJVLMMHTPU-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001034422438 819197426 /nfs/dbraw/zinc/19/74/26/819197426.db2.gz XGVCWPDBRXJTCU-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN N#CCN1CCCC[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001034420194 819201215 /nfs/dbraw/zinc/20/12/15/819201215.db2.gz PPYHSTRZSYUUJB-LLVKDONJSA-N 0 1 261.329 0.446 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnn(C)c2OC)C1 ZINC001034505639 819224152 /nfs/dbraw/zinc/22/41/52/819224152.db2.gz KNBVHMNNMWWTOE-LBPRGKRZSA-N 0 1 290.367 0.646 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]ccc2C)[C@H](O)C1 ZINC001090239635 819292231 /nfs/dbraw/zinc/29/22/31/819292231.db2.gz DVKYFZJNDWIPLC-QWHCGFSZSA-N 0 1 275.352 0.511 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2n[nH]cc2C)[C@H](O)C1 ZINC001090252535 819315338 /nfs/dbraw/zinc/31/53/38/819315338.db2.gz UDDFXPAIVVFJDH-WDEREUQCSA-N 0 1 264.329 0.069 20 30 CCEDMN N#CCN1CCC2(C1)CCN(C(=O)c1ccn[nH]1)CC2 ZINC001035162138 819407819 /nfs/dbraw/zinc/40/78/19/819407819.db2.gz BJPLKLZPDBOQOW-UHFFFAOYSA-N 0 1 273.340 0.861 20 30 CCEDMN C=C(C)CC[N@H+]1CCO[C@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001035314780 819464482 /nfs/dbraw/zinc/46/44/82/819464482.db2.gz GMNNMOJRNQKFHC-UONOGXRCSA-N 0 1 282.384 0.806 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)[C@H]2CCOC2)C1 ZINC001035314780 819464489 /nfs/dbraw/zinc/46/44/89/819464489.db2.gz GMNNMOJRNQKFHC-UONOGXRCSA-N 0 1 282.384 0.806 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2cnnn2C)C1 ZINC001035355698 819497032 /nfs/dbraw/zinc/49/70/32/819497032.db2.gz ZJNBNIXDRIZPGX-LBPRGKRZSA-N 0 1 293.371 0.212 20 30 CCEDMN C=C(C)CN1CCO[C@H](CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001035414593 819517114 /nfs/dbraw/zinc/51/71/14/819517114.db2.gz RXQPOKKDGCSXLU-CABCVRRESA-N 0 1 295.427 0.864 20 30 CCEDMN N#CCN1CCO[C@H](CNC(=O)c2ccc3cncn3c2)C1 ZINC001035446260 819525954 /nfs/dbraw/zinc/52/59/54/819525954.db2.gz ZHPFOVLULWKJQM-CQSZACIVSA-N 0 1 299.334 0.288 20 30 CCEDMN C#CCN1CCO[C@@H](CNC(=O)[C@@H]2CCCCN2CC)C1 ZINC001035615140 819591783 /nfs/dbraw/zinc/59/17/83/819591783.db2.gz TXZGMEBONSWJEY-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1ccc(=O)[nH]n1)CC2 ZINC001035641787 819599253 /nfs/dbraw/zinc/59/92/53/819599253.db2.gz BZHPQQDGDSYGSF-UHFFFAOYSA-N 0 1 288.351 0.906 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)C1=COCCO1)CC2 ZINC001035645758 819599297 /nfs/dbraw/zinc/59/92/97/819599297.db2.gz VWKOSIFARLGJGN-UHFFFAOYSA-N 0 1 290.363 0.822 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1cnn(C)c1)CC2 ZINC001035669711 819606407 /nfs/dbraw/zinc/60/64/07/819606407.db2.gz QTWPPFWHPACXSG-UHFFFAOYSA-N 0 1 286.379 0.520 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CC1(O)CCC1)CC2 ZINC001035704175 819611627 /nfs/dbraw/zinc/61/16/27/819611627.db2.gz YOWGUOKOSFVDAP-UHFFFAOYSA-N 0 1 276.380 0.849 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC2(CN(CC#N)C2)CC1 ZINC001035762953 819619752 /nfs/dbraw/zinc/61/97/52/819619752.db2.gz XIKBWNNGYYVHDN-UHFFFAOYSA-N 0 1 274.328 0.175 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC(=O)N1C)CC2 ZINC001035764689 819621262 /nfs/dbraw/zinc/62/12/62/819621262.db2.gz KCGRZJKSHFDZRF-ZDUSSCGKSA-N 0 1 289.379 0.165 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1c[nH]c(=O)cn1)CC2 ZINC001035779172 819627927 /nfs/dbraw/zinc/62/79/27/819627927.db2.gz UDSUDPMJLNXOBV-UHFFFAOYSA-N 0 1 288.351 0.494 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCN(C)C1=O)CC2 ZINC001035772992 819627940 /nfs/dbraw/zinc/62/79/40/819627940.db2.gz NROJADFMKBWKQR-CYBMUJFWSA-N 0 1 291.395 0.575 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1nc(C)c[nH]1)CC2 ZINC001035813755 819631989 /nfs/dbraw/zinc/63/19/89/819631989.db2.gz HGSPUOMQFJXSET-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnc[nH]2)CC[C@@H]1NCC#N ZINC001036047039 819677580 /nfs/dbraw/zinc/67/75/80/819677580.db2.gz MFSTVNLCWNGBSO-AAEUAGOBSA-N 0 1 275.356 0.692 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCCC2)CC[C@@H]1NCC#N ZINC001036294260 819721685 /nfs/dbraw/zinc/72/16/85/819721685.db2.gz PKPSZCYFBRVCBF-OLZOCXBDSA-N 0 1 264.373 0.432 20 30 CCEDMN C#CC1(O)CCN(C[C@H](O)CC(F)(F)F)CC1 ZINC000708165698 819761476 /nfs/dbraw/zinc/76/14/76/819761476.db2.gz OCBZJJBOCDBUQD-SECBINFHSA-N 0 1 251.248 0.760 20 30 CCEDMN C#CCCCS(=O)(=O)N1CCC[C@H]1CN(C)C ZINC000710286231 819824164 /nfs/dbraw/zinc/82/41/64/819824164.db2.gz VAMUFSQAGARBQP-LBPRGKRZSA-N 0 1 258.387 0.756 20 30 CCEDMN C#CCCCS(=O)(=O)N(C)[C@@H]1CCCN(C)C1 ZINC000710285887 819824959 /nfs/dbraw/zinc/82/49/59/819824959.db2.gz RMHLZZBYSPBVPF-GFCCVEGCSA-N 0 1 258.387 0.756 20 30 CCEDMN N#Cc1ccc(N2C[C@@H]3C[C@H]2CN3C(=O)c2ccn[nH]2)nc1 ZINC001062450284 819954251 /nfs/dbraw/zinc/95/42/51/819954251.db2.gz CTZUHMKLNSKPJM-RYUDHWBXSA-N 0 1 294.318 0.780 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nn(C)cc2C)[C@@H](O)C1 ZINC001090263914 819988408 /nfs/dbraw/zinc/98/84/08/819988408.db2.gz YZBHKKMCVZDDSB-RYUDHWBXSA-N 0 1 278.356 0.080 20 30 CCEDMN N#Cc1cnn2cc(CN3CC[C@H](CO)C3)cnc12 ZINC001118200363 820091522 /nfs/dbraw/zinc/09/15/22/820091522.db2.gz AWOCUVZNUABSDD-JTQLQIEISA-N 0 1 257.297 0.415 20 30 CCEDMN N#Cc1ccc(NC[C@H]2CCCN2C(=O)c2ccn[nH]2)nn1 ZINC001063428194 820148659 /nfs/dbraw/zinc/14/86/59/820148659.db2.gz NGHZNAGQETXYFC-LLVKDONJSA-N 0 1 297.322 0.788 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nn(C)cc2Cl)[C@@H](O)C1 ZINC001090291895 820216829 /nfs/dbraw/zinc/21/68/29/820216829.db2.gz LCPSHBPHCDQDJO-QWRGUYRKSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(F)cncc2F)[C@@H](O)C1 ZINC001090295250 820220805 /nfs/dbraw/zinc/22/08/05/820220805.db2.gz MEFOLKYUIHCVIK-NEPJUHHUSA-N 0 1 297.305 0.711 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(CNC(=O)Cc2cnc[nH]2)CCC1 ZINC001064703000 820221711 /nfs/dbraw/zinc/22/17/11/820221711.db2.gz VRAHDJUIJAVHGJ-JTQLQIEISA-N 0 1 289.339 0.267 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C2=COCCO2)C1 ZINC001079385001 820373457 /nfs/dbraw/zinc/37/34/57/820373457.db2.gz HXLZCYVUUYHZBF-VXGBXAGGSA-N 0 1 264.325 0.334 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H](C)n2cccn2)C1 ZINC001079446113 820399020 /nfs/dbraw/zinc/39/90/20/820399020.db2.gz OASYALRLHSBOBM-HZSPNIEDSA-N 0 1 274.368 0.904 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)n2cccn2)C1 ZINC001079446113 820399032 /nfs/dbraw/zinc/39/90/32/820399032.db2.gz OASYALRLHSBOBM-HZSPNIEDSA-N 0 1 274.368 0.904 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCOC2)C1 ZINC001079484135 820401599 /nfs/dbraw/zinc/40/15/99/820401599.db2.gz BCZJMILXBVQMKE-HZSPNIEDSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCCO2)C1 ZINC001079581618 820428901 /nfs/dbraw/zinc/42/89/01/820428901.db2.gz WMMPVLIXAVNBQS-JHJVBQTASA-N 0 1 250.342 0.625 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)n2cncn2)C1 ZINC001079598772 820435489 /nfs/dbraw/zinc/43/54/89/820435489.db2.gz NLXNVLWTSCJONI-FRRDWIJNSA-N 0 1 275.356 0.299 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC001079733363 820460054 /nfs/dbraw/zinc/46/00/54/820460054.db2.gz FPIKTANVDNHMBD-UKRRQHHQSA-N 0 1 288.395 0.905 20 30 CCEDMN C#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001080476288 820582701 /nfs/dbraw/zinc/58/27/01/820582701.db2.gz AFXMJRGLFLNDEK-FDYHWXHSSA-N 0 1 250.342 0.624 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001080476288 820582706 /nfs/dbraw/zinc/58/27/06/820582706.db2.gz AFXMJRGLFLNDEK-FDYHWXHSSA-N 0 1 250.342 0.624 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001080605766 820599446 /nfs/dbraw/zinc/59/94/46/820599446.db2.gz MPQNQIGWFDYRIV-FRRDWIJNSA-N 0 1 277.368 0.115 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001080624367 820600901 /nfs/dbraw/zinc/60/09/01/820600901.db2.gz YFHYRZZKMJZAJD-ZIAGYGMSSA-N 0 1 295.427 0.720 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001080927620 820654704 /nfs/dbraw/zinc/65/47/04/820654704.db2.gz ITVKJVNCSMIMNI-BXUZGUMPSA-N 0 1 285.347 0.469 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3c[nH]nc3C2)C1 ZINC001080978061 820661198 /nfs/dbraw/zinc/66/11/98/820661198.db2.gz LHGBUBWWJFUPPR-TYNCELHUSA-N 0 1 286.379 0.584 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)C2CCOCC2)[C@H](OC)C1 ZINC001081263717 820710931 /nfs/dbraw/zinc/71/09/31/820710931.db2.gz ZVWZJZCOMKOZHA-ZIAGYGMSSA-N 0 1 282.384 0.805 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2CCOCC2)[C@H](OC)C1 ZINC001081263717 820710938 /nfs/dbraw/zinc/71/09/38/820710938.db2.gz ZVWZJZCOMKOZHA-ZIAGYGMSSA-N 0 1 282.384 0.805 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccon2)[C@H](OC)C1 ZINC001081454037 820764583 /nfs/dbraw/zinc/76/45/83/820764583.db2.gz GOHCPQMTHPPGEM-CHWSQXEVSA-N 0 1 279.340 0.609 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(CCCO)C[C@H]2OC)CC1 ZINC001082102080 820897708 /nfs/dbraw/zinc/89/77/08/820897708.db2.gz FFHNZKOZISKEEX-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(C)C[NH+]1C[C@@H](NC(=O)C[N@@H+]2CCC[C@@H]2C)[C@H](OC)C1 ZINC001082133397 820905732 /nfs/dbraw/zinc/90/57/32/820905732.db2.gz ACMSPCSOILWFOY-RRFJBIMHSA-N 0 1 295.427 0.862 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2C2CC2)[C@H](OC)C1 ZINC001082220979 820918874 /nfs/dbraw/zinc/91/88/74/820918874.db2.gz GJAGXGLWISKLJI-GBJTYRQASA-N 0 1 276.380 0.871 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(CCF)c2)[C@H](O)C1 ZINC001090381692 821035031 /nfs/dbraw/zinc/03/50/31/821035031.db2.gz LUZYJFLEDFVFOW-QWHCGFSZSA-N 0 1 296.346 0.204 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]nc2CCC)[C@H](O)C1 ZINC001090380702 821035293 /nfs/dbraw/zinc/03/52/93/821035293.db2.gz GCXVQPUIZMHYDF-UONOGXRCSA-N 0 1 292.383 0.713 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccc(C)nc2)[C@@H](O)C1 ZINC001084060806 821190131 /nfs/dbraw/zinc/19/01/31/821190131.db2.gz GULHYONWKZQYOV-CABCVRRESA-N 0 1 289.379 0.670 20 30 CCEDMN COCCN1CC[C@@H]2CN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001084232663 821247199 /nfs/dbraw/zinc/24/71/99/821247199.db2.gz DKKSPTCKFZAHEY-QJPTWQEYSA-N 0 1 251.330 0.325 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001084284112 821261478 /nfs/dbraw/zinc/26/14/78/821261478.db2.gz XHYAFLBLRNIXES-VXGBXAGGSA-N 0 1 288.351 0.593 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001084284112 821261482 /nfs/dbraw/zinc/26/14/82/821261482.db2.gz XHYAFLBLRNIXES-VXGBXAGGSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3nccc(C)n3)[C@@H]2C1 ZINC001084314944 821268489 /nfs/dbraw/zinc/26/84/89/821268489.db2.gz HEVVSPAHDHUPRZ-ZIAGYGMSSA-N 0 1 284.363 0.955 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3ncc[nH]3)[C@@H]2C1 ZINC001084740921 821370266 /nfs/dbraw/zinc/37/02/66/821370266.db2.gz GBXMPMZZUURJIL-CHWSQXEVSA-N 0 1 272.352 0.508 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](O)C(C)C)C[C@@H]21 ZINC001084934130 821436035 /nfs/dbraw/zinc/43/60/35/821436035.db2.gz ZNQLTLRRDSMGJI-HZSPNIEDSA-N 0 1 264.369 0.559 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CNC(=O)OC ZINC001098932072 821447099 /nfs/dbraw/zinc/44/70/99/821447099.db2.gz AUCNGRHTCYTIDQ-GFCCVEGCSA-N 0 1 295.383 0.582 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2ccn3cncc3c2)[C@H](O)C1 ZINC001090399972 821450242 /nfs/dbraw/zinc/45/02/42/821450242.db2.gz QNHRDQOTKLSFIF-UONOGXRCSA-N 0 1 299.334 0.023 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@]3(C)CNC(=O)C3)C[C@@H]21 ZINC001084995891 821454835 /nfs/dbraw/zinc/45/48/35/821454835.db2.gz HCTKHOXKXDGNNI-WWGRRREGSA-N 0 1 289.379 0.069 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1n[nH]c(=O)c2ncccc12 ZINC001154611783 821625922 /nfs/dbraw/zinc/62/59/22/821625922.db2.gz MVWDCZMSNNSCDG-UHFFFAOYSA-N 0 1 281.235 0.577 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)Nc1nccnc1C#N ZINC001098306993 821668541 /nfs/dbraw/zinc/66/85/41/821668541.db2.gz RIPSFAGYFBKHND-SECBINFHSA-N 0 1 285.311 0.231 20 30 CCEDMN CC#CCN1CC[C@@H]1CN(C)C(=O)c1cnc(C)[nH]1 ZINC001085516522 821762001 /nfs/dbraw/zinc/76/20/01/821762001.db2.gz WSUVAMULPBHNQF-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCOC1 ZINC001085515549 821762533 /nfs/dbraw/zinc/76/25/33/821762533.db2.gz ZWFRJTZKHLKIPZ-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnnn1CC ZINC001085584534 821822830 /nfs/dbraw/zinc/82/28/30/821822830.db2.gz HXCBQWKTBPDSOL-GFCCVEGCSA-N 0 1 275.356 0.468 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(OC)ncn1 ZINC001085599021 821843389 /nfs/dbraw/zinc/84/33/89/821843389.db2.gz GJJJPJIQBARHBZ-LBPRGKRZSA-N 0 1 288.351 0.655 20 30 CCEDMN C[C@H](CNc1ccc(C#N)cn1)NC(=O)c1cnn[nH]1 ZINC001108204366 821903598 /nfs/dbraw/zinc/90/35/98/821903598.db2.gz BWAPZIQPZFVEDZ-MRVPVSSYSA-N 0 1 271.284 0.302 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](NC(C)=O)[C@@H](C)CC ZINC001115276451 821988690 /nfs/dbraw/zinc/98/86/90/821988690.db2.gz OQULSJHTUFSMSV-XKNKYKOPSA-N 0 1 291.395 0.217 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001085914966 822015791 /nfs/dbraw/zinc/01/57/91/822015791.db2.gz OKVKSHYEMSXKNS-STQMWFEESA-N 0 1 286.379 0.681 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](NC(=O)CCOC)CC1 ZINC001273383521 822062064 /nfs/dbraw/zinc/06/20/64/822062064.db2.gz IQVIXCGYIUDJER-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN Cc1cc(CC(=O)NCCN(C)c2nccnc2C#N)[nH]n1 ZINC001100023817 822085889 /nfs/dbraw/zinc/08/58/89/822085889.db2.gz YSLTWAUGHGIPEV-UHFFFAOYSA-N 0 1 299.338 0.175 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nn1)NC(=O)[C@@H]1CCCN1C ZINC001108311572 822354073 /nfs/dbraw/zinc/35/40/73/822354073.db2.gz HVTZMHJLVJSDQX-JQWIXIFHSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@@H](CNc1cnc(C#N)cn1)NC(=O)[C@@H]1CCCN1C ZINC001108311555 822354527 /nfs/dbraw/zinc/35/45/27/822354527.db2.gz HEVUHURWZBUMDA-JQWIXIFHSA-N 0 1 288.355 0.359 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCC=C ZINC001114024987 837404463 /nfs/dbraw/zinc/40/44/63/837404463.db2.gz HLJBJARCXIJJNO-CXTNEJHOSA-N 0 1 289.379 0.137 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1[C@H]2CN(Cc3cnoc3C)C[C@H]21 ZINC001114047708 837416060 /nfs/dbraw/zinc/41/60/60/837416060.db2.gz LMLFTGXLCIBHRO-NHAGDIPZSA-N 0 1 291.351 0.732 20 30 CCEDMN CC(C)N(C)C(=O)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C#N ZINC001114067679 837422811 /nfs/dbraw/zinc/42/28/11/837422811.db2.gz VIADUOSJFFXWFE-NMKXLXIOSA-N 0 1 292.383 0.059 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@H]1CCOC1 ZINC001114135264 837449805 /nfs/dbraw/zinc/44/98/05/837449805.db2.gz VDFKWGNUJVBJOR-TTZDDIAXSA-N 0 1 276.380 0.873 20 30 CCEDMN CNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C#CC(C)(C)C ZINC001114256413 837490290 /nfs/dbraw/zinc/49/02/90/837490290.db2.gz ZYNJYIKYWOHETP-NMKXLXIOSA-N 0 1 291.395 0.217 20 30 CCEDMN C#CCOCCC(=O)NCCCN[C@H](C)c1nnc(C)[nH]1 ZINC001157846711 837496573 /nfs/dbraw/zinc/49/65/73/837496573.db2.gz ZJQLRWHAYOSMFC-LLVKDONJSA-N 0 1 293.371 0.310 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C(C)(F)F)C[C@@H]1n1ccnn1 ZINC001129521489 837524739 /nfs/dbraw/zinc/52/47/39/837524739.db2.gz JBBVNBYQUFWTHW-MNOVXSKESA-N 0 1 297.309 0.298 20 30 CCEDMN N#Cc1ncn(CCNC(=O)Cc2n[nH]c3c2CCCC3)n1 ZINC000804621331 837549123 /nfs/dbraw/zinc/54/91/23/837549123.db2.gz QYAKJRLNYWMFQV-UHFFFAOYSA-N 0 1 299.338 0.111 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCCN1C(=O)c1cnnn1C ZINC001157892656 837596202 /nfs/dbraw/zinc/59/62/02/837596202.db2.gz JEMZQLQQNAFBHN-GFCCVEGCSA-N 0 1 275.356 0.375 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cnnn1C ZINC001157892656 837596212 /nfs/dbraw/zinc/59/62/12/837596212.db2.gz JEMZQLQQNAFBHN-GFCCVEGCSA-N 0 1 275.356 0.375 20 30 CCEDMN N#CC(Cc1ccc(O)cc1)C(=O)N1CCO[C@H](C#N)C1 ZINC001183610344 844044362 /nfs/dbraw/zinc/04/43/62/844044362.db2.gz UWSTZNMITHHWJE-TZMCWYRMSA-N 0 1 285.303 0.825 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCN1CCCC1=O ZINC001151346654 844058191 /nfs/dbraw/zinc/05/81/91/844058191.db2.gz SRPBVRWLXBCONK-UHFFFAOYSA-N 0 1 273.764 0.457 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nn1)NC(=O)CN1CCCC1 ZINC001108384948 835997243 /nfs/dbraw/zinc/99/72/43/835997243.db2.gz LFRWRTRVIRXKEG-NSHDSACASA-N 0 1 288.355 0.361 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nc1)NC(=O)CN1CCCC1 ZINC001108385191 835997573 /nfs/dbraw/zinc/99/75/73/835997573.db2.gz YHRWPICWFWKQSX-LBPRGKRZSA-N 0 1 287.367 0.966 20 30 CCEDMN CCOC(=O)[C@@H]1CC[C@@H](NC2(C#N)CCN(C)CC2)CO1 ZINC001169375925 836249524 /nfs/dbraw/zinc/24/95/24/836249524.db2.gz RDUXUPNURVBYIV-OLZOCXBDSA-N 0 1 295.383 0.675 20 30 CCEDMN CCCCN1CC(N2C[C@H](NC(=O)[C@H](C)C#N)CC2=O)C1 ZINC001108527641 836422389 /nfs/dbraw/zinc/42/23/89/836422389.db2.gz MIPOPIQKAOPFIO-VXGBXAGGSA-N 0 1 292.383 0.347 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCn2cc(C)cn2)[C@H](O)C1 ZINC001100126244 836526937 /nfs/dbraw/zinc/52/69/37/836526937.db2.gz DQKDCULUUDUHTG-UONOGXRCSA-N 0 1 292.383 0.319 20 30 CCEDMN C[C@@H](CN(C)c1cnc(C#N)cn1)NC(=O)Cc1cnc[nH]1 ZINC001109255089 836648576 /nfs/dbraw/zinc/64/85/76/836648576.db2.gz LXRLWFGTMUPAPT-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN CN(CCCNc1cnc(C#N)cn1)C(=O)c1ccn[nH]1 ZINC001109371120 836664365 /nfs/dbraw/zinc/66/43/65/836664365.db2.gz QCOCDHSXPMWWHY-UHFFFAOYSA-N 0 1 285.311 0.646 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCCNc2ccnc(C#N)n2)[nH]1 ZINC001109395182 836670155 /nfs/dbraw/zinc/67/01/55/836670155.db2.gz ZSZHEVDISHSNGL-UHFFFAOYSA-N 0 1 299.338 0.376 20 30 CCEDMN CN(CCCNc1cncc(C#N)n1)C(=O)Cc1ccn[nH]1 ZINC001109398629 836672213 /nfs/dbraw/zinc/67/22/13/836672213.db2.gz BPDBVFAQSAQMOY-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN CCCC#CC(=O)N[C@H]1CC[C@H](NC(=O)CN(C)C)CC1 ZINC001184274434 844190008 /nfs/dbraw/zinc/19/00/08/844190008.db2.gz PGGZPLYJJYQIGK-HDJSIYSDSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1[N@H+]2CCO ZINC001109566912 836697999 /nfs/dbraw/zinc/69/79/99/836697999.db2.gz XNRFZTFQKZMIGQ-ORIJERBGSA-N 0 1 296.411 0.929 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001109566912 836698001 /nfs/dbraw/zinc/69/80/01/836698001.db2.gz XNRFZTFQKZMIGQ-ORIJERBGSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)OCCOC)C2 ZINC001109790777 836731534 /nfs/dbraw/zinc/73/15/34/836731534.db2.gz FDFYSNNTLJKRJD-CRWXNKLISA-N 0 1 282.384 0.945 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001109824765 836734359 /nfs/dbraw/zinc/73/43/59/836734359.db2.gz KLLXTMVANOVTKR-ZZVYKPCYSA-N 0 1 287.367 0.833 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C(=O)NC)CC1)C2 ZINC001109836880 836737371 /nfs/dbraw/zinc/73/73/71/836737371.db2.gz GPLPBSMIKOFCJD-WOPDTQHZSA-N 0 1 277.368 0.420 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C(=O)NC)CC1)C2 ZINC001109836880 836737376 /nfs/dbraw/zinc/73/73/76/836737376.db2.gz GPLPBSMIKOFCJD-WOPDTQHZSA-N 0 1 277.368 0.420 20 30 CCEDMN COC(=O)c1ccc(NC[C@H]2COCCN2)c(C#N)n1 ZINC001170044906 836781365 /nfs/dbraw/zinc/78/13/65/836781365.db2.gz ORGDFGVYGQGDCX-VIFPVBQESA-N 0 1 276.296 0.140 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1nnc(C)[nH]1 ZINC001157170834 836846243 /nfs/dbraw/zinc/84/62/43/836846243.db2.gz QRSIXQODWBLMIA-UHFFFAOYSA-N 0 1 263.345 0.513 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CNC(=O)OC)CC1 ZINC001112655432 836847755 /nfs/dbraw/zinc/84/77/55/836847755.db2.gz RAJKOHBJYBGLER-UHFFFAOYSA-N 0 1 269.345 0.453 20 30 CCEDMN CC(C)C[C@H](NC(=O)[C@@H](N)COC(C)(C)C)C(=O)NO ZINC001157304161 836922503 /nfs/dbraw/zinc/92/25/03/836922503.db2.gz TULNWDSVPCMSKB-UWVGGRQHSA-N 0 1 289.376 0.165 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2COC(=O)N2)CC1 ZINC001112815305 836925860 /nfs/dbraw/zinc/92/58/60/836925860.db2.gz LRZLRVINXNBUGL-NWDGAFQWSA-N 0 1 281.356 0.594 20 30 CCEDMN C=CCCN1CCN(C(=O)CCNC(=O)NC)CC1 ZINC001112826404 836932916 /nfs/dbraw/zinc/93/29/16/836932916.db2.gz HBWUJKZYNAUERD-UHFFFAOYSA-N 0 1 268.361 0.026 20 30 CCEDMN C[C@@H](NC(=O)Cc1nnc[nH]1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113151106 837039069 /nfs/dbraw/zinc/03/90/69/837039069.db2.gz VGMHWBBDSKBYAT-VHSXEESVSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)c2nnn(C)n2)CC1 ZINC001113207108 837054080 /nfs/dbraw/zinc/05/40/80/837054080.db2.gz BVVLGBVAKZUXBU-NSHDSACASA-N 0 1 278.360 0.323 20 30 CCEDMN C=CCCN1CCN(C(=O)C(C)(C)NC(=O)NCC)CC1 ZINC001113400435 837110847 /nfs/dbraw/zinc/11/08/47/837110847.db2.gz OBAKPEOXFHAZNA-UHFFFAOYSA-N 0 1 296.415 0.804 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cnn(C)n2)CC1 ZINC001113428261 837114743 /nfs/dbraw/zinc/11/47/43/837114743.db2.gz NFRBTCLRNNXUPX-GFCCVEGCSA-N 0 1 277.372 0.928 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2nc[nH]n2)CC1 ZINC001113442688 837115605 /nfs/dbraw/zinc/11/56/05/837115605.db2.gz BQMDMJGFFOZRBG-LLVKDONJSA-N 0 1 263.345 0.917 20 30 CCEDMN C=CCCN1CCN(C(=O)CCCn2cncn2)CC1 ZINC001113504279 837140261 /nfs/dbraw/zinc/14/02/61/837140261.db2.gz HDUBEDPSRHXQHW-UHFFFAOYSA-N 0 1 277.372 0.779 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(C)=O ZINC001113753358 837217088 /nfs/dbraw/zinc/21/70/88/837217088.db2.gz YXLKDSJRAKOHRV-NHAGDIPZSA-N 0 1 277.368 0.253 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1CC1 ZINC001113775594 837230498 /nfs/dbraw/zinc/23/04/98/837230498.db2.gz WIVJFVVHLDCTCV-MUYACECFSA-N 0 1 291.395 0.524 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C3CC3)on2)[C@H](O)C1 ZINC001090467396 837296511 /nfs/dbraw/zinc/29/65/11/837296511.db2.gz QVQFTFPAWUUGBI-WCQYABFASA-N 0 1 291.351 0.903 20 30 CCEDMN CCOC(=O)C(C#N)=NC1=CNC2=NCCCC2C1 ZINC001170370043 837316697 /nfs/dbraw/zinc/31/66/97/837316697.db2.gz AHUMKMPCPUWLCI-NSHDSACASA-N 0 1 260.297 0.595 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCCO1 ZINC001113913805 837365143 /nfs/dbraw/zinc/36/51/43/837365143.db2.gz RYIGKTWXBAQCGG-NYTXWWLZSA-N 0 1 292.379 0.252 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCCF)C[C@H]1c1cn(C)cn1 ZINC001130652340 837880470 /nfs/dbraw/zinc/88/04/70/837880470.db2.gz QAOXXXQCJQZIGM-GXTWGEPZSA-N 0 1 292.358 0.687 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCCN(C(N)=O)C1 ZINC001131008561 837966817 /nfs/dbraw/zinc/96/68/17/837966817.db2.gz UMWICYRSIUMDIU-JTQLQIEISA-N 0 1 288.779 0.235 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1nc(C)cc1C ZINC001131064683 837985588 /nfs/dbraw/zinc/98/55/88/837985588.db2.gz ROXHGKHUAUGMHA-UHFFFAOYSA-N 0 1 270.764 0.958 20 30 CCEDMN CCC(=O)NCc1n[nH]c([C@H]2C[C@H](F)CN2CC#N)n1 ZINC001131140569 838005248 /nfs/dbraw/zinc/00/52/48/838005248.db2.gz QVXPMGGBCVCOGY-DTWKUNHWSA-N 0 1 280.307 0.439 20 30 CCEDMN CCC(=O)NCc1nnc([C@H]2C[C@H](F)CN2CC#N)[nH]1 ZINC001131140569 838005259 /nfs/dbraw/zinc/00/52/59/838005259.db2.gz QVXPMGGBCVCOGY-DTWKUNHWSA-N 0 1 280.307 0.439 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)cnn2C)[C@H](O)C1 ZINC001090498383 838016417 /nfs/dbraw/zinc/01/64/17/838016417.db2.gz BLVTVYBJEGZFBC-VXGBXAGGSA-N 0 1 278.356 0.080 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc2c(c1)nnn2C ZINC001131458628 838102355 /nfs/dbraw/zinc/10/23/55/838102355.db2.gz CYBGWMMSIWNSOY-UHFFFAOYSA-N 0 1 294.746 0.435 20 30 CCEDMN C[C@@H]1CC[C@@H](NC(=O)CCc2nc[nH]n2)CN1CC#N ZINC001131954417 838276952 /nfs/dbraw/zinc/27/69/52/838276952.db2.gz CKMVFVZPBOSAGX-GHMZBOCLSA-N 0 1 276.344 0.230 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2ncc[nH]2)CC[C@@H]1C ZINC001132024480 838294872 /nfs/dbraw/zinc/29/48/72/838294872.db2.gz GUHJQKRUMBKCIA-STQMWFEESA-N 0 1 274.368 0.945 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CC(=O)NC)CC[C@H]1C ZINC001132010593 838297738 /nfs/dbraw/zinc/29/77/38/838297738.db2.gz UOVGLYZBSPLXRV-NEPJUHHUSA-N 0 1 265.357 0.115 20 30 CCEDMN C[C@H]1CC[C@@H](NC(=O)CN(C)C2CCC2)CN1CC#N ZINC001132105805 838319849 /nfs/dbraw/zinc/31/98/49/838319849.db2.gz NBJXYZHGMJUKLB-QWHCGFSZSA-N 0 1 278.400 0.963 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCCNC(N)=O)CC[C@H]1C ZINC001132165488 838332369 /nfs/dbraw/zinc/33/23/69/838332369.db2.gz VWOABILGTRUFPN-CHWSQXEVSA-N 0 1 294.399 0.427 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c(C)c(C)n[nH]c1=O ZINC001132189230 838334138 /nfs/dbraw/zinc/33/41/38/838334138.db2.gz NXBBWPRFTAXJEN-UHFFFAOYSA-N 0 1 284.747 0.871 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](NC(=O)C2(C(N)=O)CC2)CC[C@H]1C ZINC001132253149 838344229 /nfs/dbraw/zinc/34/42/29/838344229.db2.gz OUYPWNXUBIRGEW-MNOVXSKESA-N 0 1 265.357 0.407 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C2(C(N)=O)CC2)CC[C@H]1C ZINC001132253149 838344231 /nfs/dbraw/zinc/34/42/31/838344231.db2.gz OUYPWNXUBIRGEW-MNOVXSKESA-N 0 1 265.357 0.407 20 30 CCEDMN NC(=O)[C@H]1CC[C@H]1C(=O)NCCNCC#Cc1ccccc1 ZINC001132337331 838362628 /nfs/dbraw/zinc/36/26/28/838362628.db2.gz JCELRZAXPVMOFU-LSDHHAIUSA-N 0 1 299.374 0.255 20 30 CCEDMN NC(=O)[C@@H]1CC[C@@H]1C(=O)NCCNCC#Cc1ccccc1 ZINC001132337328 838363279 /nfs/dbraw/zinc/36/32/79/838363279.db2.gz JCELRZAXPVMOFU-CABCVRRESA-N 0 1 299.374 0.255 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCC(=O)NCC)CC[C@@H]1C ZINC001132432551 838394846 /nfs/dbraw/zinc/39/48/46/838394846.db2.gz DBSISUDKKHQSPT-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCCS(C)(=O)=O ZINC001132568610 838437651 /nfs/dbraw/zinc/43/76/51/838437651.db2.gz AWBARPZECRYVFQ-GHMZBOCLSA-N 0 1 276.402 0.195 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001132653368 838459391 /nfs/dbraw/zinc/45/93/91/838459391.db2.gz KKVIBWLLKUBONQ-JTQLQIEISA-N 0 1 265.361 0.921 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCNCc1n[nH]c(C)n1 ZINC001132659601 838464528 /nfs/dbraw/zinc/46/45/28/838464528.db2.gz BIEMCULJHAYZFE-NSHDSACASA-N 0 1 265.361 0.921 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](C)[C@@H](CNCC#N)C2)n[nH]1 ZINC001132679125 838469118 /nfs/dbraw/zinc/46/91/18/838469118.db2.gz ZOEJMOBGRKLHQG-PWSUYJOCSA-N 0 1 275.356 0.930 20 30 CCEDMN N#Cc1nccc(NC2(CNC(=O)Cc3cnc[nH]3)CC2)n1 ZINC001110356626 844338138 /nfs/dbraw/zinc/33/81/38/844338138.db2.gz FWZRRCAYNRMKJZ-UHFFFAOYSA-N 0 1 297.322 0.375 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCNCC(=O)Nc1ccon1 ZINC001133382982 838614508 /nfs/dbraw/zinc/61/45/08/838614508.db2.gz FCIANDCWAYUOFM-AWEZNQCLSA-N 0 1 294.355 0.921 20 30 CCEDMN C[C@H](C[C@H](C)NCc1cnon1)NC(=O)CSCC#N ZINC001133737097 838705226 /nfs/dbraw/zinc/70/52/26/838705226.db2.gz WCAAMQZHLLNSDP-VHSXEESVSA-N 0 1 297.384 0.699 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1nc(SC)ncc1O ZINC001185188143 844358478 /nfs/dbraw/zinc/35/84/78/844358478.db2.gz TWWGIWAWILMFLF-LURJTMIESA-N 0 1 296.308 0.089 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@@H](C)NCc1nncn1C ZINC001133909794 838773279 /nfs/dbraw/zinc/77/32/79/838773279.db2.gz RBZOOJMAENGCBM-NEPJUHHUSA-N 0 1 295.387 0.391 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)C#CC1CC1)NCc1cnon1 ZINC001134322673 838947078 /nfs/dbraw/zinc/94/70/78/838947078.db2.gz VFRMELMBHNUAIQ-MNOVXSKESA-N 0 1 276.340 0.856 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)C#CC1CC1)NCc1nncn1C ZINC001134325220 838949413 /nfs/dbraw/zinc/94/94/13/838949413.db2.gz APWTYIDLRSPCHS-RYUDHWBXSA-N 0 1 289.383 0.601 20 30 CCEDMN C=CCC(C)(C)C(=O)NCCNCCS(C)(=O)=O ZINC001134410452 838971669 /nfs/dbraw/zinc/97/16/69/838971669.db2.gz QUQWQPIWNDJAMV-UHFFFAOYSA-N 0 1 276.402 0.339 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(C(N)=O)s1 ZINC001135276524 839194123 /nfs/dbraw/zinc/19/41/23/839194123.db2.gz CDLUQNKUDQRHEY-UHFFFAOYSA-N 0 1 287.772 0.919 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@H]1CC[C@@H](NCC#N)C1 ZINC001086734855 839256339 /nfs/dbraw/zinc/25/63/39/839256339.db2.gz VGLCWPXANYTAGS-MJBXVCDLSA-N 0 1 278.400 0.869 20 30 CCEDMN CC[C@](N)(CO)Nc1ccc(C(=O)OC)nc1C#N ZINC001170865259 839460596 /nfs/dbraw/zinc/46/05/96/839460596.db2.gz ZUFIQVQWKANYLZ-GFCCVEGCSA-N 0 1 264.285 0.209 20 30 CCEDMN CC#CC[NH2+][C@H](C)C[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001135895690 839461906 /nfs/dbraw/zinc/46/19/06/839461906.db2.gz MEVKYVARIBEYJC-MNOVXSKESA-N 0 1 263.345 0.243 20 30 CCEDMN CC[C@](N)(CO)Nc1cnc(C#N)c([N+](=O)[O-])c1 ZINC001170866068 839463860 /nfs/dbraw/zinc/46/38/60/839463860.db2.gz UIYYHFVLKIQKNJ-SNVBAGLBSA-N 0 1 251.246 0.331 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2=CCOCC2)[C@@H](O)C1 ZINC001090542870 839629162 /nfs/dbraw/zinc/62/91/62/839629162.db2.gz IMSJFUQVAPKSCJ-KBPBESRZSA-N 0 1 280.368 0.461 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cccnc2C)[C@@H](O)C1 ZINC001090572299 839651091 /nfs/dbraw/zinc/65/10/91/839651091.db2.gz FRMDVTLSSCJVPN-GJZGRUSLSA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccn(C(C)C)n2)[C@@H](O)C1 ZINC001090617424 839681492 /nfs/dbraw/zinc/68/14/92/839681492.db2.gz UUSHBXCFFLDOBJ-OCCSQVGLSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccn(CC)n2)[C@@H](O)C1 ZINC001090620577 839684668 /nfs/dbraw/zinc/68/46/68/839684668.db2.gz LXWISPORHBUDRA-YPMHNXCESA-N 0 1 278.356 0.254 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nccs2)[C@@H](O)C1 ZINC001090667439 839714362 /nfs/dbraw/zinc/71/43/62/839714362.db2.gz KFMUYIJZAFWMCX-ZJUUUORDSA-N 0 1 267.354 0.494 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(CCC)nn2)[C@H](O)C1 ZINC001090683496 839727465 /nfs/dbraw/zinc/72/74/65/839727465.db2.gz QWWKRUQLPVLHAA-DGCLKSJQSA-N 0 1 293.371 0.039 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2oncc2C)[C@H](O)C1 ZINC001090689345 839739492 /nfs/dbraw/zinc/73/94/92/839739492.db2.gz HUBLTSRBNMHKOX-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(OC)n2)[C@H](O)C1 ZINC001090692940 839741146 /nfs/dbraw/zinc/74/11/46/839741146.db2.gz PXBMAUQKXZJDGO-DGCLKSJQSA-N 0 1 291.351 0.441 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C2CC2)C2CC2)[C@H](O)C1 ZINC001090712051 839750961 /nfs/dbraw/zinc/75/09/61/839750961.db2.gz CVVIRQABIVDMOK-HUUCEWRRSA-N 0 1 290.407 0.997 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(CC)on2)[C@@H](O)C1 ZINC001090730462 839759833 /nfs/dbraw/zinc/75/98/33/839759833.db2.gz LSUTUGNNTOJEHO-JSGCOSHPSA-N 0 1 293.367 0.978 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c[nH]cc2C)[C@@H](O)C1 ZINC001090808864 839827725 /nfs/dbraw/zinc/82/77/25/839827725.db2.gz PDJFXQSYYOZYMN-KBPBESRZSA-N 0 1 275.352 0.511 20 30 CCEDMN CC(C)(O)CCN1CCN(C(=O)CCS)CC1 ZINC001143986571 839905336 /nfs/dbraw/zinc/90/53/36/839905336.db2.gz RVGFXRHKERTCLR-UHFFFAOYSA-N 0 1 260.403 0.612 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N[C@H](C)C[C@H](C)NCC#N ZINC001146590768 840329783 /nfs/dbraw/zinc/32/97/83/840329783.db2.gz AEIYCWPHRVFTFW-NWDGAFQWSA-N 0 1 254.378 0.723 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@H]3CCCO3)C2)C1 ZINC001146933334 840417276 /nfs/dbraw/zinc/41/72/76/840417276.db2.gz FSICGASQQSZGMN-CQSZACIVSA-N 0 1 292.379 0.350 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(C[C@H]1C)CCN(CC(N)=O)CC2 ZINC001086909992 840938501 /nfs/dbraw/zinc/93/85/01/840938501.db2.gz JRPYHNRBINLJRL-NWDGAFQWSA-N 0 1 292.383 0.334 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1C[C@H]2CN(CC#N)C[C@@]2(C)C1 ZINC001091787822 840964760 /nfs/dbraw/zinc/96/47/60/840964760.db2.gz MVONGSNGCWJSGZ-FMKPAKJESA-N 0 1 290.411 0.775 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)Cn3c[nH+]cc3C)C[C@]2(C)C1 ZINC001091857316 840969236 /nfs/dbraw/zinc/96/92/36/840969236.db2.gz DWCMQTKJEPMQMO-ZBFHGGJFSA-N 0 1 286.379 0.605 20 30 CCEDMN C#CC[N@H+]1C[C@@H](CNC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC001092561061 841039765 /nfs/dbraw/zinc/03/97/65/841039765.db2.gz TZGQXYJSVVMFFC-VXGBXAGGSA-N 0 1 273.336 0.718 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)c1[nH]ncc1F ZINC001092938947 841088308 /nfs/dbraw/zinc/08/83/08/841088308.db2.gz FXGFOGHKOZQFOJ-RKDXNWHRSA-N 0 1 265.292 0.370 20 30 CCEDMN Cc1cc(CC(=O)NCCNc2cc(C)ncc2C#N)[nH]n1 ZINC001093551723 841336939 /nfs/dbraw/zinc/33/69/39/841336939.db2.gz OJSOWMVHLFWIIU-UHFFFAOYSA-N 0 1 298.350 0.486 20 30 CCEDMN N#Cc1cnc(NCCNC(=O)c2ncn[nH]2)c(F)c1 ZINC001093793186 841460209 /nfs/dbraw/zinc/46/02/09/841460209.db2.gz GDWBOEPUQQXOIU-UHFFFAOYSA-N 0 1 275.247 0.052 20 30 CCEDMN N#Cc1cnc(NCCNC(=O)c2nc[nH]n2)c(F)c1 ZINC001093793186 841460210 /nfs/dbraw/zinc/46/02/10/841460210.db2.gz GDWBOEPUQQXOIU-UHFFFAOYSA-N 0 1 275.247 0.052 20 30 CCEDMN CN(CC(=O)NCCNc1cnc(C#N)cn1)C1CCC1 ZINC001094221629 841559066 /nfs/dbraw/zinc/55/90/66/841559066.db2.gz JWTGXQAMAZUXSH-UHFFFAOYSA-N 0 1 288.355 0.361 20 30 CCEDMN CN(CC(=O)NCCNc1cncc(C#N)n1)C1CCC1 ZINC001094221951 841561244 /nfs/dbraw/zinc/56/12/44/841561244.db2.gz XBZFTRQDOIXJTP-UHFFFAOYSA-N 0 1 288.355 0.361 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCCNc1ccc(C#N)nc1 ZINC001094248583 841569820 /nfs/dbraw/zinc/56/98/20/841569820.db2.gz IJUKUDNHKWWTFY-CQSZACIVSA-N 0 1 287.367 0.966 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)CN3CCCC3)CC[C@H]21 ZINC001036896861 841663988 /nfs/dbraw/zinc/66/39/88/841663988.db2.gz VRYYHIMGLQWMDC-UONOGXRCSA-N 0 1 276.384 0.529 20 30 CCEDMN C=C(C)CCN1CC(N2C[C@@H](NC(C)=O)CC2=O)C1 ZINC001094688135 841703579 /nfs/dbraw/zinc/70/35/79/841703579.db2.gz XLBZJEJMMIWYHU-LBPRGKRZSA-N 0 1 265.357 0.374 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)CCc2c[nH]nn2)nc1 ZINC001094792788 841722412 /nfs/dbraw/zinc/72/24/12/841722412.db2.gz RVDIAWHRFFEFCP-UHFFFAOYSA-N 0 1 299.338 0.622 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)CCc2cnn[nH]2)nc1 ZINC001094792788 841722413 /nfs/dbraw/zinc/72/24/13/841722413.db2.gz RVDIAWHRFFEFCP-UHFFFAOYSA-N 0 1 299.338 0.622 20 30 CCEDMN CN1CCC(C#N)(N[C@@H]2CCN3C(=O)CC[C@@H]3C2)CC1 ZINC001172177809 841888105 /nfs/dbraw/zinc/88/81/05/841888105.db2.gz ZEWDAVGCODFFPY-CHWSQXEVSA-N 0 1 276.384 0.717 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCCOCC)C2 ZINC001110165940 841935472 /nfs/dbraw/zinc/93/54/72/841935472.db2.gz ZVCKAIQYZCKUKH-ILXRZTDVSA-N 0 1 294.395 0.784 20 30 CCEDMN N#CCN[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCc1c[nH]nn1)C2 ZINC001095005119 841995398 /nfs/dbraw/zinc/99/53/98/841995398.db2.gz OYHMBQWXLSCHCT-UHTWSYAYSA-N 0 1 288.355 0.230 20 30 CCEDMN N#CCN[C@@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCc1cnn[nH]1)C2 ZINC001095005119 841995404 /nfs/dbraw/zinc/99/54/04/841995404.db2.gz OYHMBQWXLSCHCT-UHTWSYAYSA-N 0 1 288.355 0.230 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCOCCOC)C2 ZINC001110181272 842231550 /nfs/dbraw/zinc/23/15/50/842231550.db2.gz AEDOEIFJOFRGDJ-RDBSUJKOSA-N 0 1 280.368 0.394 20 30 CCEDMN C=CCOC(=O)c1c(N)nn(C2=CCCN(C)C2)c1N ZINC001175575487 842263629 /nfs/dbraw/zinc/26/36/29/842263629.db2.gz XRWVLLVZRLXFIE-UHFFFAOYSA-N 0 1 277.328 0.567 20 30 CCEDMN Cc1[nH]nc2ccc(N=C(NO)c3nonc3N)cc12 ZINC001175692841 842273543 /nfs/dbraw/zinc/27/35/43/842273543.db2.gz MLLXSZRSCQHVEB-UHFFFAOYSA-N 0 1 273.256 0.894 20 30 CCEDMN N#CCc1cccc(NC(=O)CCc2nc[nH]n2)n1 ZINC001175838350 842284589 /nfs/dbraw/zinc/28/45/89/842284589.db2.gz PDSRHSGUCCSBJR-UHFFFAOYSA-N 0 1 256.269 0.837 20 30 CCEDMN CNC(=O)N[C@@H]1CCCN(CCO[C@H](C)C#N)C1 ZINC001176122267 842331276 /nfs/dbraw/zinc/33/12/76/842331276.db2.gz ULHXLHVOEVCCJP-GHMZBOCLSA-N 0 1 254.334 0.308 20 30 CCEDMN CC[C@H](CC#N)NC(=O)[C@@H](Cc1cnc[nH]1)NC(C)=O ZINC001176385545 842359558 /nfs/dbraw/zinc/35/95/58/842359558.db2.gz SVYZCFPOFKSDCV-ZYHUDNBSSA-N 0 1 277.328 0.265 20 30 CCEDMN C=CCCCNC(=O)[C@@H](Cc1cnc[nH]1)NC(C)=O ZINC001176385790 842360530 /nfs/dbraw/zinc/36/05/30/842360530.db2.gz WDHQLWZMGFNQDM-GFCCVEGCSA-N 0 1 264.329 0.539 20 30 CCEDMN C=CCCCN1CCO[C@@H]2CCN(C(=O)CC(N)=O)C[C@@H]21 ZINC001176948696 842466196 /nfs/dbraw/zinc/46/61/96/842466196.db2.gz CSWHCOBNZCTGLM-QWHCGFSZSA-N 0 1 295.383 0.130 20 30 CCEDMN C=CCCCN1CCO[C@H]2CCN(C(=O)CC(N)=O)C[C@@H]21 ZINC001176948697 842466962 /nfs/dbraw/zinc/46/69/62/842466962.db2.gz CSWHCOBNZCTGLM-STQMWFEESA-N 0 1 295.383 0.130 20 30 CCEDMN CCOC(=O)CON=C(C(=O)N[C@H](C)CC)c1ccn[nH]1 ZINC001177263974 842539853 /nfs/dbraw/zinc/53/98/53/842539853.db2.gz MEWRHYKPLUZOQY-VGGUHSJCSA-N 0 1 296.327 0.608 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCN[C@H](C)c1nncn1C ZINC001177273673 842543453 /nfs/dbraw/zinc/54/34/53/842543453.db2.gz VQCNGVBUPCHDDF-VXGBXAGGSA-N 0 1 295.387 0.563 20 30 CCEDMN CO/N=C(\C(=O)N1CCN2CC[C@@H]2C1)c1ccco1 ZINC001177352094 842563456 /nfs/dbraw/zinc/56/34/56/842563456.db2.gz GTXMUKHNWBGJGZ-XUXSRRMHSA-N 0 1 263.297 0.547 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CCN(C(N)=O)CC1 ZINC001177908921 842706168 /nfs/dbraw/zinc/70/61/68/842706168.db2.gz GIQJWXOVEBUBOU-SNVBAGLBSA-N 0 1 292.364 0.653 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1COCC[C@@H]1O ZINC001177914907 842707561 /nfs/dbraw/zinc/70/75/61/842707561.db2.gz HHICGXICDYSRMU-USWWRNFRSA-N 0 1 280.349 0.696 20 30 CCEDMN N#C[C@@H](Cc1cccs1)C(=O)NCc1c[nH]nn1 ZINC001177914806 842707637 /nfs/dbraw/zinc/70/76/37/842707637.db2.gz DVXMMLPGQZJRLI-MRVPVSSYSA-N 0 1 261.310 0.865 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@H]1CNC(=O)C1 ZINC001177914883 842707769 /nfs/dbraw/zinc/70/77/69/842707769.db2.gz GTRFUJKOTPVCNC-ZJUUUORDSA-N 0 1 277.349 0.683 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)CCCCc1cn[nH]n1 ZINC001179239148 842979150 /nfs/dbraw/zinc/97/91/50/842979150.db2.gz TXNPXWZBPXUGCV-AAEUAGOBSA-N 0 1 290.371 0.620 20 30 CCEDMN COC(=O)C[C@H](NC(=O)[C@H](C)C#N)C(=O)OC(C)(C)C ZINC001179900349 843072204 /nfs/dbraw/zinc/07/22/04/843072204.db2.gz HXJSWVKHPIFSTA-BDAKNGLRSA-N 0 1 284.312 0.536 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)CCNC(=O)OC(C)(C)C ZINC001179901433 843073569 /nfs/dbraw/zinc/07/35/69/843073569.db2.gz MKCWAKOHMJBMOJ-NSHDSACASA-N 0 1 298.387 0.719 20 30 CCEDMN C#Cc1cccc(NC(=O)CN[C@@H]2CCN(C(C)=O)C2)c1 ZINC001180557153 843207665 /nfs/dbraw/zinc/20/76/65/843207665.db2.gz FEQDPLKGZGLDQT-OAHLLOKOSA-N 0 1 285.347 0.817 20 30 CCEDMN CN(C)C1CN(C(=O)[C@H](CC#N)NC(=O)OC(C)(C)C)C1 ZINC001180778452 843301622 /nfs/dbraw/zinc/30/16/22/843301622.db2.gz IXKIWDXRZBSYIK-NSHDSACASA-N 0 1 296.371 0.566 20 30 CCEDMN CCOC(=O)C(C#N)NC(=O)C(C)(C)C(=O)OCC ZINC001181056652 843384461 /nfs/dbraw/zinc/38/44/61/843384461.db2.gz NGTKZKFASOGBEK-QMMMGPOBSA-N 0 1 270.285 0.147 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2C(=O)CSCC#N)n[nH]1 ZINC001181156078 843418068 /nfs/dbraw/zinc/41/80/68/843418068.db2.gz NYOCFKAPDUDRTC-BDAKNGLRSA-N 0 1 295.368 0.258 20 30 CCEDMN N#Cc1cc(CC(=O)NCc2nn[nH]n2)ccc1F ZINC001181196201 843421589 /nfs/dbraw/zinc/42/15/89/843421589.db2.gz ZKEIAFYTMSZDMO-UHFFFAOYSA-N 0 1 260.232 0.069 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@H](NCc2conc2C)C1 ZINC001182504507 843847414 /nfs/dbraw/zinc/84/74/14/843847414.db2.gz DWDLTVDRBDNYCV-TZMCWYRMSA-N 0 1 291.351 0.712 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@H](NCc2cnc(C)o2)C1 ZINC001182514519 843848786 /nfs/dbraw/zinc/84/87/86/843848786.db2.gz SCXFZSIDQPOYAC-CYBMUJFWSA-N 0 1 291.351 0.713 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C(C)(C)F)C1 ZINC001188617677 844921568 /nfs/dbraw/zinc/92/15/68/844921568.db2.gz IGZNQHOSRVHJOU-NEPJUHHUSA-N 0 1 299.390 0.958 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C2CC2)C1 ZINC001188573306 844923421 /nfs/dbraw/zinc/92/34/21/844923421.db2.gz SQTWTJPQIMIKGC-YPMHNXCESA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C2CCC2)C1 ZINC001188580021 844924855 /nfs/dbraw/zinc/92/48/55/844924855.db2.gz MDIRGQUHRRVXHI-GXTWGEPZSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnc(C)cn2)C1 ZINC001188710377 844956949 /nfs/dbraw/zinc/95/69/49/844956949.db2.gz RECNQCYMYSXEGP-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCn1cncn1 ZINC001110402176 844971295 /nfs/dbraw/zinc/97/12/95/844971295.db2.gz ZGKFOMUKHRFKDP-LOWDOPEQSA-N 0 1 288.355 0.159 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)CSC)C1 ZINC001188776410 844974966 /nfs/dbraw/zinc/97/49/66/844974966.db2.gz KZNUKGYVCGKMNJ-RYUDHWBXSA-N 0 1 299.440 0.573 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cnns2)C1 ZINC001189068417 845033643 /nfs/dbraw/zinc/03/36/43/845033643.db2.gz SAUYYALXMRDIGU-NSHDSACASA-N 0 1 294.380 0.334 20 30 CCEDMN COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2cc(C)[nH]n2)C1 ZINC001189105230 845054077 /nfs/dbraw/zinc/05/40/77/845054077.db2.gz PNVZILGDLCVITE-CYBMUJFWSA-N 0 1 290.367 0.514 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)n[nH]2)C1 ZINC001189105230 845054083 /nfs/dbraw/zinc/05/40/83/845054083.db2.gz PNVZILGDLCVITE-CYBMUJFWSA-N 0 1 290.367 0.514 20 30 CCEDMN C=CCCN(C)[C@H]1CCN(C(=O)CS(C)(=O)=O)C1 ZINC001189150914 845066153 /nfs/dbraw/zinc/06/61/53/845066153.db2.gz IAULLXFCUJSMTO-NSHDSACASA-N 0 1 274.386 0.140 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001189310727 845106040 /nfs/dbraw/zinc/10/60/40/845106040.db2.gz QNADNAZGVHVRSV-MNOVXSKESA-N 0 1 253.346 0.359 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCC(=O)NCC)C1 ZINC001189344395 845115938 /nfs/dbraw/zinc/11/59/38/845115938.db2.gz ATBRTAKXFWBAMR-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCOC2)C1 ZINC001189490631 845146368 /nfs/dbraw/zinc/14/63/68/845146368.db2.gz XAIBMBMNQFTDAP-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN Cc1n[nH]c(C(=O)N(O)C(=N)c2ccccc2N)n1 ZINC001189865639 845231195 /nfs/dbraw/zinc/23/11/95/845231195.db2.gz LTOGMGBRSVLCNO-UHFFFAOYSA-N 0 1 260.257 0.552 20 30 CCEDMN C#Cc1cnc(NS(=O)(=O)CCCF)c(C#C)n1 ZINC001189869981 845236998 /nfs/dbraw/zinc/23/69/98/845236998.db2.gz KVAXIFMADGTHHK-UHFFFAOYSA-N 0 1 267.285 0.541 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCn2ccnn2)C1 ZINC001189846384 845253959 /nfs/dbraw/zinc/25/39/59/845253959.db2.gz CNGVAFWIFOTPTE-CYBMUJFWSA-N 0 1 275.356 0.224 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001190053498 845317238 /nfs/dbraw/zinc/31/72/38/845317238.db2.gz JJGFISOESIZOGV-NWDGAFQWSA-N 0 1 265.357 0.052 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)NC(=O)CC)C1 ZINC001190307798 845383653 /nfs/dbraw/zinc/38/36/53/845383653.db2.gz MBOBBNDPPBBSOT-NWDGAFQWSA-N 0 1 265.357 0.067 20 30 CCEDMN C#CCC1(C(=O)N2CC[C@H](N(C)[C@@H](C)C(N)=O)C2)CCC1 ZINC001190603244 845443854 /nfs/dbraw/zinc/44/38/54/845443854.db2.gz ANOXYSNZLAEZNH-STQMWFEESA-N 0 1 291.395 0.587 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCc2ccco2)C1 ZINC001191019041 845567168 /nfs/dbraw/zinc/56/71/68/845567168.db2.gz JKSCSBJYZNEPRG-ZIAGYGMSSA-N 0 1 276.336 0.397 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001191092146 845595175 /nfs/dbraw/zinc/59/51/75/845595175.db2.gz RWPDSAUXKKOSTJ-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@@H](F)CCN1C[C@@H](O)[C@H](NC(=O)CSCC#N)C1 ZINC001191162462 845598801 /nfs/dbraw/zinc/59/88/01/845598801.db2.gz VLNXIQYTVUMUDZ-GMTAPVOTSA-N 0 1 289.376 0.153 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCOC(C)C)C1 ZINC001191177706 845610968 /nfs/dbraw/zinc/61/09/68/845610968.db2.gz GFESGROTUKVROD-CHWSQXEVSA-N 0 1 270.373 0.539 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2ncoc2C)C1 ZINC001191416079 845647539 /nfs/dbraw/zinc/64/75/39/845647539.db2.gz LQLYKYITVYVHBT-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COCC(F)F)C1 ZINC001191507843 845668735 /nfs/dbraw/zinc/66/87/35/845668735.db2.gz OWMMLHGGTMRGLK-JTQLQIEISA-N 0 1 260.284 0.434 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)COCC=C)C1 ZINC001191778118 845725643 /nfs/dbraw/zinc/72/56/43/845725643.db2.gz SDDMTSZZQSHRQR-CHWSQXEVSA-N 0 1 268.357 0.317 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)/C=C\C(C)(C)C)C1 ZINC001191832603 845746438 /nfs/dbraw/zinc/74/64/38/845746438.db2.gz IYQJCDYBEYVLGA-UXFRKABBSA-N 0 1 252.358 0.936 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001192054035 845783057 /nfs/dbraw/zinc/78/30/57/845783057.db2.gz HWEPUPINCXCCSW-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)N1CC(N(C)C)C1 ZINC001192236794 845808160 /nfs/dbraw/zinc/80/81/60/845808160.db2.gz ZUFOIPQVGKWHSP-UHFFFAOYSA-N 0 1 259.309 0.953 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)COC(C)(C)C)C1 ZINC001192340091 845822623 /nfs/dbraw/zinc/82/26/23/845822623.db2.gz WJBZUQSQNGBLCP-VXGBXAGGSA-N 0 1 270.373 0.539 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cc(C(N)=O)co2)C1 ZINC001192303226 845825567 /nfs/dbraw/zinc/82/55/67/845825567.db2.gz CAPVENVVMJHXSD-LBPRGKRZSA-N 0 1 289.335 0.548 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2nccn3ccnc23)C1 ZINC001192406722 845848933 /nfs/dbraw/zinc/84/89/33/845848933.db2.gz NCDYWNBZKLWWSB-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC)NC(C)=O)C1 ZINC001192472970 845851643 /nfs/dbraw/zinc/85/16/43/845851643.db2.gz SBLFBKUODNOUIH-KGLIPLIRSA-N 0 1 279.384 0.457 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2CC(C)(C)C2)C1 ZINC001192599198 845880833 /nfs/dbraw/zinc/88/08/33/845880833.db2.gz FFIMEFIEHMWWQS-ZIAGYGMSSA-N 0 1 296.411 0.787 20 30 CCEDMN COC[C@@H](C)N1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192812897 845915244 /nfs/dbraw/zinc/91/52/44/845915244.db2.gz AGIYUYGGAOAXFT-JHJVBQTASA-N 0 1 282.384 0.232 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC2(COC)CC2)C1 ZINC001192835969 845919955 /nfs/dbraw/zinc/91/99/55/845919955.db2.gz UDDUCBCJLMPFAN-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN Cc1cc2ncc(C)c(NS(=O)(=O)CC#N)n2n1 ZINC001192934744 845940462 /nfs/dbraw/zinc/94/04/62/845940462.db2.gz DRSNQOJDWCZKEE-UHFFFAOYSA-N 0 1 265.298 0.611 20 30 CCEDMN CNC(=O)c1ccc(F)c(NS(=O)(=O)CC#N)c1 ZINC001192980125 845962464 /nfs/dbraw/zinc/96/24/64/845962464.db2.gz BYYWMCVGORUPAI-UHFFFAOYSA-N 0 1 271.273 0.451 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N1CCC2(CN(C)C2)CC1 ZINC001193137091 846001251 /nfs/dbraw/zinc/00/12/51/846001251.db2.gz QCHWJRGXNDPZEA-JTQLQIEISA-N 0 1 257.359 0.256 20 30 CCEDMN CNC(=O)c1ccc(F)c(NS(=O)(=O)[C@H](C)C#N)c1 ZINC001193151795 846005906 /nfs/dbraw/zinc/00/59/06/846005906.db2.gz UFKMEPYOLZMWBN-SSDOTTSWSA-N 0 1 285.300 0.839 20 30 CCEDMN CCOC(=O)C1=C(NS(=O)(=O)[C@H](C)C#N)[C@H](C)OC1 ZINC001193168999 846011503 /nfs/dbraw/zinc/01/15/03/846011503.db2.gz PSPNNRYIIFPWHH-SFYZADRCSA-N 0 1 288.325 0.054 20 30 CCEDMN COC(=O)[C@H](NS(=O)(=O)[C@H](C)C#N)c1ccccn1 ZINC001193168860 846011804 /nfs/dbraw/zinc/01/18/04/846011804.db2.gz MWSXCLZQEHCYAK-PSASIEDQSA-N 0 1 283.309 0.127 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCCn2cncn2)C1 ZINC001193319350 846050370 /nfs/dbraw/zinc/05/03/70/846050370.db2.gz JFODMHQQBKCCII-AWEZNQCLSA-N 0 1 289.383 0.614 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2ccnn2C)C1 ZINC001193407036 846063489 /nfs/dbraw/zinc/06/34/89/846063489.db2.gz BDMGJJQIBFSBLZ-ZIAGYGMSSA-N 0 1 292.383 0.090 20 30 CCEDMN CO[C@@H]1COCC[C@H]1NC(=O)c1ccc(O)c(C#N)c1 ZINC001193515764 846103246 /nfs/dbraw/zinc/10/32/46/846103246.db2.gz RPWHVLPJBALAIU-DGCLKSJQSA-N 0 1 276.292 0.798 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC(C)C)NC(C)=O)C1 ZINC001193594251 846115382 /nfs/dbraw/zinc/11/53/82/846115382.db2.gz VBSYCVWSKYSJMS-HUUCEWRRSA-N 0 1 293.411 0.703 20 30 CCEDMN COC(=O)[C@]1(F)CCN(C(=O)c2cccc(C#N)c2O)C1 ZINC001193642694 846135113 /nfs/dbraw/zinc/13/51/13/846135113.db2.gz NJHKXWSYDVYCID-AWEZNQCLSA-N 0 1 292.266 0.991 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2C[N@@H+](CC)C[C@H]2O)CCC1 ZINC001193691077 846143299 /nfs/dbraw/zinc/14/32/99/846143299.db2.gz NJKNGIYOORFQIM-VXGBXAGGSA-N 0 1 250.342 0.361 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(CC)C[C@H]2O)CCC1 ZINC001193691077 846143308 /nfs/dbraw/zinc/14/33/08/846143308.db2.gz NJKNGIYOORFQIM-VXGBXAGGSA-N 0 1 250.342 0.361 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CC[C@]2(C)CCC(=O)N2)C1 ZINC001194565847 846326131 /nfs/dbraw/zinc/32/61/31/846326131.db2.gz AWWGWOWGRPXLCV-CJNGLKHVSA-N 0 1 291.395 0.601 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2cnc(C(F)(F)F)[nH]2)CCO1 ZINC001194779125 846382324 /nfs/dbraw/zinc/38/23/24/846382324.db2.gz PPXNWIALGYQQIM-LURJTMIESA-N 0 1 274.202 0.793 20 30 CCEDMN C#CCCN1CCCN(C(=O)CSCC#N)CC1 ZINC001194918796 846417279 /nfs/dbraw/zinc/41/72/79/846417279.db2.gz AUOSEBAVFFNSLW-UHFFFAOYSA-N 0 1 265.382 0.801 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N[C@@H]1CN(CC)C[C@H]1O ZINC001195021520 846441862 /nfs/dbraw/zinc/44/18/62/846441862.db2.gz WLYCVROCBFYBQR-YRGRVCCFSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCCN(CCO)CC1 ZINC001195126528 846466914 /nfs/dbraw/zinc/46/69/14/846466914.db2.gz XAAAGQQIISDLHD-ZDUSSCGKSA-N 0 1 270.373 0.494 20 30 CCEDMN C=CCOCC(=O)N1CCCN(CCOCC)CC1 ZINC001195284208 846495874 /nfs/dbraw/zinc/49/58/74/846495874.db2.gz QWSDVVULNJMLHC-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1O ZINC001195312419 846501985 /nfs/dbraw/zinc/50/19/85/846501985.db2.gz OXAUUXHKJUCOSN-QJPTWQEYSA-N 0 1 270.373 0.395 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001195483981 846549832 /nfs/dbraw/zinc/54/98/32/846549832.db2.gz NUTXINLRBVEALX-ZIAGYGMSSA-N 0 1 282.384 0.378 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N[C@@H]1CN(CCCO)C[C@H]1O ZINC001195554478 846558222 /nfs/dbraw/zinc/55/82/22/846558222.db2.gz JLOACIBACVXYCL-YNEHKIRRSA-N 0 1 270.373 0.132 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CC(=C)C)C1 ZINC001195532535 846569673 /nfs/dbraw/zinc/56/96/73/846569673.db2.gz PJMSNNKEHMJCSV-MGPQQGTHSA-N 0 1 264.369 0.773 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCCN(CCOC(C)C)CC1 ZINC001195806655 846632169 /nfs/dbraw/zinc/63/21/69/846632169.db2.gz PQGBXXHXFPOSAR-OAHLLOKOSA-N 0 1 296.411 0.984 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CC)C[C@H]2O)CCC1 ZINC001195939982 846643785 /nfs/dbraw/zinc/64/37/85/846643785.db2.gz MBGJMTQNVVTMNH-VXGBXAGGSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(CCOCC)C[C@H]2O)CC1 ZINC001195932339 846652216 /nfs/dbraw/zinc/65/22/16/846652216.db2.gz NDZATSZJIIGLLI-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(CC)CC2)C1 ZINC001195963826 846654959 /nfs/dbraw/zinc/65/49/59/846654959.db2.gz LJDZZVKZXLXQQH-VXGBXAGGSA-N 0 1 250.342 0.361 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001195948678 846660320 /nfs/dbraw/zinc/66/03/20/846660320.db2.gz MZOLGNJPPBJDBP-GHMZBOCLSA-N 0 1 282.319 0.290 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2n[nH]cc2F)C1 ZINC001195948678 846660326 /nfs/dbraw/zinc/66/03/26/846660326.db2.gz MZOLGNJPPBJDBP-GHMZBOCLSA-N 0 1 282.319 0.290 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C(C)(C)NC(=O)NC ZINC001152276218 846766890 /nfs/dbraw/zinc/76/68/90/846766890.db2.gz ZFKOEUBCDVQRMU-UHFFFAOYSA-N 0 1 276.768 0.152 20 30 CCEDMN CC#CCN1CCCN(C(=O)CC(=O)N(C)C)CC1 ZINC001197388914 846898137 /nfs/dbraw/zinc/89/81/37/846898137.db2.gz KBFXPONXWRUTBX-UHFFFAOYSA-N 0 1 265.357 0.022 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC[C@@H]2CCCOC2)C1 ZINC001197341166 846898411 /nfs/dbraw/zinc/89/84/11/846898411.db2.gz DYJAFZIOQSKRJC-RRFJBIMHSA-N 0 1 294.395 0.378 20 30 CCEDMN Cc1nc(C#N)cnc1NS(=O)(=O)Cc1cccnc1 ZINC001197423489 846909021 /nfs/dbraw/zinc/90/90/21/846909021.db2.gz ZJYPZVXSJWVDPP-UHFFFAOYSA-N 0 1 289.320 0.994 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1cc(C)n(-c2ccncc2)n1 ZINC001197544952 846932385 /nfs/dbraw/zinc/93/23/85/846932385.db2.gz DUMMFXCYPNNFNS-NSHDSACASA-N 0 1 272.308 0.852 20 30 CCEDMN C#CCOC[C@@H](O)CNc1cc(=O)[nH]c(Cl)n1 ZINC001251825657 847151968 /nfs/dbraw/zinc/15/19/68/847151968.db2.gz ACSXMYZDMWKKBU-ZETCQYMHSA-N 0 1 257.677 0.258 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](O)C(C)C)C2 ZINC001110524343 847197643 /nfs/dbraw/zinc/19/76/43/847197643.db2.gz SGOMFWKRLUXOAR-APIJFGDWSA-N 0 1 296.411 0.927 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cc2ccccn2n1 ZINC001251903639 847415009 /nfs/dbraw/zinc/41/50/09/847415009.db2.gz MQGAWSQQULAJCE-CQSZACIVSA-N 0 1 259.309 0.435 20 30 CCEDMN C=CCNC(=S)NC1(C(=O)OCC)CCN(C)CC1 ZINC001200296948 847601291 /nfs/dbraw/zinc/60/12/91/847601291.db2.gz YFTFBTORFAIZSO-UHFFFAOYSA-N 0 1 285.413 0.664 20 30 CCEDMN C[C@H](C#N)C(=O)NC/C=C/CNCc1cnn(C)c1 ZINC001273910378 847819841 /nfs/dbraw/zinc/81/98/41/847819841.db2.gz PKWAXVORJCJZDR-PGLGOXFNSA-N 0 1 261.329 0.342 20 30 CCEDMN Cc1nc([C@H](C)NCC=CCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001273911495 847824440 /nfs/dbraw/zinc/82/44/40/847824440.db2.gz UBBBIVWXNMGVLQ-AWAZDUCLSA-N 0 1 276.344 0.596 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ccc(Cl)nn1 ZINC001252488830 847986909 /nfs/dbraw/zinc/98/69/09/847986909.db2.gz UIIJWRMUEIVEQO-SNVBAGLBSA-N 0 1 257.721 0.783 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccnn1C ZINC001114358051 848025931 /nfs/dbraw/zinc/02/59/31/848025931.db2.gz OMHULRSALOTQFC-FOLVSLTJSA-N 0 1 286.379 0.422 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nc(C)c1[O-])C2 ZINC001095321490 848038273 /nfs/dbraw/zinc/03/82/73/848038273.db2.gz GPVJURXOWRSNOM-VWYCJHECSA-N 0 1 276.340 0.945 20 30 CCEDMN C[C@H](NC(=O)C#Cc1ccc2c(c1)OCO2)c1nnc[nH]1 ZINC000121795972 848048108 /nfs/dbraw/zinc/04/81/08/848048108.db2.gz IKTUKUFGUMHJQG-VIFPVBQESA-N 0 1 284.275 0.762 20 30 CCEDMN C=CCNC(=O)NCC1CCN(C2COC2)CC1 ZINC001202577938 848182493 /nfs/dbraw/zinc/18/24/93/848182493.db2.gz HHLQGZKPJFFEKJ-UHFFFAOYSA-N 0 1 253.346 0.582 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cncnc1)C2 ZINC001095364209 848266448 /nfs/dbraw/zinc/26/64/48/848266448.db2.gz KMZBZJFQSROBQZ-RDBSUJKOSA-N 0 1 270.336 0.374 20 30 CCEDMN CC(C)(C)C#CC(=O)NC/C=C\CNCC(=O)N1CCC1 ZINC001274014653 848302571 /nfs/dbraw/zinc/30/25/71/848302571.db2.gz BHVIRVLYCFAIJL-PLNGDYQASA-N 0 1 291.395 0.530 20 30 CCEDMN C=CCN1CCC2(CCN(CC(=O)NC)CC2)C1=O ZINC001274071198 848341381 /nfs/dbraw/zinc/34/13/81/848341381.db2.gz FTJKQEXXMSBUTI-UHFFFAOYSA-N 0 1 265.357 0.233 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@H]1CCNC1=O ZINC001274090002 848346990 /nfs/dbraw/zinc/34/69/90/848346990.db2.gz UFRTYDXLSSXHFI-OTDNITJGSA-N 0 1 285.775 0.527 20 30 CCEDMN C#CC[C@@H](CO)NCCS(=O)(=O)c1cccc(C#N)c1 ZINC000717839620 848422206 /nfs/dbraw/zinc/42/22/06/848422206.db2.gz CUZOAFNFDDCMCR-ZDUSSCGKSA-N 0 1 292.360 0.306 20 30 CCEDMN C[C@H]1CN(C(=O)CN2CCCC2)C[C@H]1CNCC#N ZINC001279192485 848536965 /nfs/dbraw/zinc/53/69/65/848536965.db2.gz DYMHBAOMVTVYEU-QWHCGFSZSA-N 0 1 264.373 0.290 20 30 CCEDMN CCCC#CC(=O)N1CCc2c(n[nH]c2C(=O)N(C)C)C1 ZINC001274702079 848546224 /nfs/dbraw/zinc/54/62/24/848546224.db2.gz FXXRXNXCYIZXJV-UHFFFAOYSA-N 0 1 288.351 0.800 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)C2CC(C)C2)CC1 ZINC001274903872 848588360 /nfs/dbraw/zinc/58/83/60/848588360.db2.gz PJDFNRDVSAQXPQ-UHFFFAOYSA-N 0 1 278.396 0.999 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CCC(=O)N1C ZINC001275135054 848646702 /nfs/dbraw/zinc/64/67/02/848646702.db2.gz FOURGIXKRPWFGB-STQMWFEESA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnn(-c2cccnc2)c1 ZINC001275573973 848765856 /nfs/dbraw/zinc/76/58/56/848765856.db2.gz LFJXSLURNGIGOJ-CYBMUJFWSA-N 0 1 297.362 0.951 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cncc(OC)n1 ZINC001275665979 848786758 /nfs/dbraw/zinc/78/67/58/848786758.db2.gz QNKOTJJGTHEFMA-LLVKDONJSA-N 0 1 276.340 0.559 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnn(-c2ccccn2)c1 ZINC001275666015 848786933 /nfs/dbraw/zinc/78/69/33/848786933.db2.gz QRAUJWFKBDMSGQ-CYBMUJFWSA-N 0 1 297.362 0.951 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCc1cnccn1 ZINC001275690428 848792986 /nfs/dbraw/zinc/79/29/86/848792986.db2.gz UJGZLSYWPZANRQ-CYBMUJFWSA-N 0 1 274.368 0.869 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)N(C)[C@H]1CCN(CC)C1=O ZINC001275784529 848821767 /nfs/dbraw/zinc/82/17/67/848821767.db2.gz YCDVWGDREBGECY-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@H]1COC(=O)C1 ZINC001275809177 848830953 /nfs/dbraw/zinc/83/09/53/848830953.db2.gz BZTZHMSOOGYNEK-NWDGAFQWSA-N 0 1 266.341 0.399 20 30 CCEDMN C[C@H](CNC(=O)c1cncc2nc[nH]c21)N(C)CC#N ZINC001275835889 848839967 /nfs/dbraw/zinc/83/99/67/848839967.db2.gz OTIYTPIXPXPYNS-SECBINFHSA-N 0 1 272.312 0.532 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnc2n[nH]cc2c1 ZINC001275858013 848848658 /nfs/dbraw/zinc/84/86/58/848848658.db2.gz GGAGESGQUOYINT-JTQLQIEISA-N 0 1 271.324 0.641 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cn(C)c(=O)cn1)C2 ZINC001095782049 849140818 /nfs/dbraw/zinc/14/08/18/849140818.db2.gz KCWKFECWVRHWCS-MDZLAQPJSA-N 0 1 288.351 0.301 20 30 CCEDMN CC(=O)NCCN1CCC(Nc2cncc(C#N)n2)CC1 ZINC001111309097 849161435 /nfs/dbraw/zinc/16/14/35/849161435.db2.gz DCRLCMUUMRIFRN-UHFFFAOYSA-N 0 1 288.355 0.361 20 30 CCEDMN CC(=O)NCCN1CCC(Nc2ccc(C#N)nn2)CC1 ZINC001111309227 849161455 /nfs/dbraw/zinc/16/14/55/849161455.db2.gz GXBOMPLKGGTETL-UHFFFAOYSA-N 0 1 288.355 0.361 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000718142700 849231640 /nfs/dbraw/zinc/23/16/40/849231640.db2.gz FGSYZPFIMZSAHA-CMPLNLGQSA-N 0 1 250.254 0.212 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OC)C(C)C ZINC001114781881 849394203 /nfs/dbraw/zinc/39/42/03/849394203.db2.gz YPAUYPLIOQLTAA-CBBWQLFWSA-N 0 1 294.395 0.354 20 30 CCEDMN C=CCOCCN1CC([C@@H](C)NC(=O)c2ccon2)C1 ZINC001276341416 849431724 /nfs/dbraw/zinc/43/17/24/849431724.db2.gz CBJXKZGKCGCXAF-LLVKDONJSA-N 0 1 279.340 0.927 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cncs1 ZINC001114851588 849439879 /nfs/dbraw/zinc/43/98/79/849439879.db2.gz JAIOHSBQOUHKBQ-IMRBUKKESA-N 0 1 275.377 0.755 20 30 CCEDMN N#Cc1nccc(N[C@H]2CCCN3CCSC[C@@H]23)n1 ZINC000720406789 849454563 /nfs/dbraw/zinc/45/45/63/849454563.db2.gz XNXICYQEEJAYEY-QWRGUYRKSA-N 0 1 275.381 0.762 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001038659005 849468366 /nfs/dbraw/zinc/46/83/66/849468366.db2.gz XJXPKZHPRLNCLD-SECBINFHSA-N 0 1 284.747 0.682 20 30 CCEDMN C=C[C@@H](O)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC001253578495 849531551 /nfs/dbraw/zinc/53/15/51/849531551.db2.gz CIUVQBPQPCUYMS-CYBMUJFWSA-N 0 1 258.325 0.622 20 30 CCEDMN C=C[C@H](O)CN1C[C@@H](O)[C@@H](NC(=O)OC(C)(C)C)C1 ZINC001253584936 849564142 /nfs/dbraw/zinc/56/41/42/849564142.db2.gz SQAMLJZTVXAWAX-GARJFASQSA-N 0 1 272.345 0.103 20 30 CCEDMN C=C[C@@H](O)CNCc1ccccc1S(C)(=O)=O ZINC001253608263 849656631 /nfs/dbraw/zinc/65/66/31/849656631.db2.gz KWPGHEWINCYJDI-LLVKDONJSA-N 0 1 255.339 0.727 20 30 CCEDMN C=C[C@@H](O)CNCc1ccnc(OC2CCOCC2)n1 ZINC001253610963 849659085 /nfs/dbraw/zinc/65/90/85/849659085.db2.gz ZREZTHULXAWNFI-GFCCVEGCSA-N 0 1 279.340 0.671 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@@H](CC1CC1)C(=O)[O-] ZINC000380867819 849694934 /nfs/dbraw/zinc/69/49/34/849694934.db2.gz XQVAQCUELTXTJM-LBPRGKRZSA-N 0 1 281.356 0.494 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)CC2(O)CCC2)C1 ZINC001276376452 849794070 /nfs/dbraw/zinc/79/40/70/849794070.db2.gz MXGPCJIULDZKAZ-NSHDSACASA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cccc2n[nH]nc21 ZINC001038292548 849841539 /nfs/dbraw/zinc/84/15/39/849841539.db2.gz HZERUGKDLXGBEN-LLVKDONJSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccnc2ccnn21 ZINC001038329770 849854531 /nfs/dbraw/zinc/85/45/31/849854531.db2.gz CSJGBESLAMYTGL-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1nc(C)c(C)[nH]c1=O ZINC001038404384 849879666 /nfs/dbraw/zinc/87/96/66/849879666.db2.gz DRCXTWSZQSMUFK-GFCCVEGCSA-N 0 1 288.351 0.626 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001038419243 849887329 /nfs/dbraw/zinc/88/73/29/849887329.db2.gz ROXYWZISVOIFDA-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)[C@H]1CCCc2[nH]cnc21 ZINC001038682944 849980911 /nfs/dbraw/zinc/98/09/11/849980911.db2.gz GDWKCAZROZLFRM-MNOVXSKESA-N 0 1 273.340 0.544 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)[C@H]1CN(C(C)=O)CCO1 ZINC001038974360 850107116 /nfs/dbraw/zinc/10/71/16/850107116.db2.gz XTMWRNBACRWMCS-ZIAGYGMSSA-N 0 1 295.383 0.000 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001039056506 850142952 /nfs/dbraw/zinc/14/29/52/850142952.db2.gz HTBBVAKKFJSCBL-UONOGXRCSA-N 0 1 279.384 0.764 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC001039058091 850143319 /nfs/dbraw/zinc/14/33/19/850143319.db2.gz XIYCKBGMBRVITG-GFCCVEGCSA-N 0 1 296.334 0.799 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cncn1C ZINC001039460553 850195101 /nfs/dbraw/zinc/19/51/01/850195101.db2.gz VDHFLVKIZPHSKJ-KGLIPLIRSA-N 0 1 286.379 0.661 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cn1cncn1 ZINC001039467061 850195514 /nfs/dbraw/zinc/19/55/14/850195514.db2.gz UFVZNWNEJIBBSB-KGLIPLIRSA-N 0 1 287.367 0.367 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CCC2(C1)CCN(CC#N)CC2 ZINC001040638036 850310366 /nfs/dbraw/zinc/31/03/66/850310366.db2.gz GYKWMUNXVHEOCW-AWEZNQCLSA-N 0 1 290.411 0.919 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)[C@]3(C)CNC(=O)C3)C2)C1 ZINC001041499611 850454043 /nfs/dbraw/zinc/45/40/43/850454043.db2.gz XNFUQZWWYYYMPG-JKSUJKDBSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc(C)nn3C)C[C@H]21 ZINC001041964702 850543004 /nfs/dbraw/zinc/54/30/04/850543004.db2.gz RCOADQCNSHKKHB-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cn(C)cn3)C[C@H]21 ZINC001042020319 850558293 /nfs/dbraw/zinc/55/82/93/850558293.db2.gz VQVJDYDSQMZQEP-DZGCQCFKSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ncc[nH]3)C[C@H]21 ZINC001042047770 850569049 /nfs/dbraw/zinc/56/90/49/850569049.db2.gz XVWWARHKGWSTPY-NWDGAFQWSA-N 0 1 258.325 0.579 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccc(C)nn3)C[C@@H]21 ZINC001042057751 850571935 /nfs/dbraw/zinc/57/19/35/850571935.db2.gz MIVLVRMFQNAMKN-ZFWWWQNUSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3csnn3)C[C@@H]21 ZINC001042167916 850587614 /nfs/dbraw/zinc/58/76/14/850587614.db2.gz PESYBXACHHCECR-JQWIXIFHSA-N 0 1 276.365 0.708 20 30 CCEDMN CN1CC(C(=O)N2CC[C@@H]3CCN(CC#N)[C@H]3C2)=NC1=O ZINC001042212615 850598285 /nfs/dbraw/zinc/59/82/85/850598285.db2.gz AFAKKMXXOAFVPU-JQWIXIFHSA-N 0 1 289.339 0.186 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccncn3)C[C@H]21 ZINC001042274838 850606849 /nfs/dbraw/zinc/60/68/49/850606849.db2.gz NGIRLHCNIQTWGR-GXTWGEPZSA-N 0 1 270.336 0.646 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2COCCN2C)C1 ZINC001042635908 850718314 /nfs/dbraw/zinc/71/83/14/850718314.db2.gz GIGJBQWCUMIMKC-CQSZACIVSA-N 0 1 281.400 0.426 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cc(Br)[nH]n2)C1 ZINC001042831857 850766916 /nfs/dbraw/zinc/76/69/16/850766916.db2.gz ZJFVYPSXTMPERS-UHFFFAOYSA-N 0 1 297.156 0.562 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001042876864 850772683 /nfs/dbraw/zinc/77/26/83/850772683.db2.gz HUWBHVIZZWDBRZ-UHFFFAOYSA-N 0 1 295.346 0.861 20 30 CCEDMN CN(C(=O)c1cccc2n[nH]cc21)C1CN(CC#N)C1 ZINC001043503267 850885740 /nfs/dbraw/zinc/88/57/40/850885740.db2.gz RFWVGSORCMPDIL-UHFFFAOYSA-N 0 1 269.308 0.843 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)C2CN(C[C@H]3CCOC3)C2)c1 ZINC001043824421 850944281 /nfs/dbraw/zinc/94/42/81/850944281.db2.gz NPSFYMXHFLWGNA-CQSZACIVSA-N 0 1 299.374 0.856 20 30 CCEDMN CN(C(=O)c1cc(C(F)F)[nH]n1)C1CN(CC#N)C1 ZINC001043839511 850948011 /nfs/dbraw/zinc/94/80/11/850948011.db2.gz PIHDDECHIXEDGK-UHFFFAOYSA-N 0 1 269.255 0.627 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001043900622 850961076 /nfs/dbraw/zinc/96/10/76/850961076.db2.gz JESVVMQTYVLLHH-KGLIPLIRSA-N 0 1 293.411 0.820 20 30 CCEDMN C=CCN1CC(N(C)C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001044180676 851034031 /nfs/dbraw/zinc/03/40/31/851034031.db2.gz KTZHXZNBQFYCOP-JTQLQIEISA-N 0 1 275.356 0.238 20 30 CCEDMN C=CCN1CC(N(C)C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001044180676 851034040 /nfs/dbraw/zinc/03/40/40/851034040.db2.gz KTZHXZNBQFYCOP-JTQLQIEISA-N 0 1 275.356 0.238 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001044339621 851079361 /nfs/dbraw/zinc/07/93/61/851079361.db2.gz RWKIDTZIZXQVEB-CYBMUJFWSA-N 0 1 293.411 0.964 20 30 CCEDMN C[C@@H]1C[C@H](NCC#N)CCN1C(=O)C1=NC(=O)N(C)C1 ZINC001044732218 851149636 /nfs/dbraw/zinc/14/96/36/851149636.db2.gz ASBJSIHQXNHEAS-NXEZZACHSA-N 0 1 277.328 0.232 20 30 CCEDMN CC#CCN1CCC(C)(NC(=O)c2cnnn2C)CC1 ZINC001045426586 851255980 /nfs/dbraw/zinc/25/59/80/851255980.db2.gz DIJRLNDYDUMRIK-UHFFFAOYSA-N 0 1 275.356 0.423 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cc3n(n2)CCO3)CC1 ZINC001045463545 851263145 /nfs/dbraw/zinc/26/31/45/851263145.db2.gz ZECRGZAWTNLFEO-UHFFFAOYSA-N 0 1 288.351 0.493 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccnc3n[nH]nc32)CC1 ZINC001045499447 851268552 /nfs/dbraw/zinc/26/85/52/851268552.db2.gz ZPJQEURVAJBXAR-UHFFFAOYSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cc(OC)n(C)n2)CC1 ZINC001045499011 851269155 /nfs/dbraw/zinc/26/91/55/851269155.db2.gz HWEHSZWAYBYRIN-UHFFFAOYSA-N 0 1 290.367 0.646 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC(=O)N(C)C ZINC001045733099 851303156 /nfs/dbraw/zinc/30/31/56/851303156.db2.gz BBKXGBILMLKMPQ-UPJWGTAASA-N 0 1 292.383 0.300 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)C2=COCCO2)C1 ZINC001046118313 851382243 /nfs/dbraw/zinc/38/22/43/851382243.db2.gz FFLUQGJVKGUPQX-AWEZNQCLSA-N 0 1 264.325 0.479 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cccc(=O)[nH]2)C1 ZINC001046228195 851429942 /nfs/dbraw/zinc/42/99/42/851429942.db2.gz DCGYPSZKGVTEBR-AWEZNQCLSA-N 0 1 259.309 0.615 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cc(OC)ncn2)C1 ZINC001046320238 851464653 /nfs/dbraw/zinc/46/46/53/851464653.db2.gz QVJCWVVMKYRPAF-AWEZNQCLSA-N 0 1 276.340 0.865 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2c(C)ncn2C)C1 ZINC001046393220 851486117 /nfs/dbraw/zinc/48/61/17/851486117.db2.gz MJOCMCZELQOFCJ-HNNXBMFYSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001046427011 851497548 /nfs/dbraw/zinc/49/75/48/851497548.db2.gz SVVXVKKXLCPJSZ-MRXNPFEDSA-N 0 1 287.363 0.603 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001046432129 851500109 /nfs/dbraw/zinc/50/01/09/851500109.db2.gz VJYVFYOVAYCPBV-JGZJWPJOSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001046432129 851500115 /nfs/dbraw/zinc/50/01/15/851500115.db2.gz VJYVFYOVAYCPBV-JGZJWPJOSA-N 0 1 297.322 0.692 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnn3cccnc23)C1 ZINC001046532686 851533738 /nfs/dbraw/zinc/53/37/38/851533738.db2.gz ZWFDHEGKJDAPKU-INIZCTEOSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001046614897 851564112 /nfs/dbraw/zinc/56/41/12/851564112.db2.gz VCOGCHBRLCKSRY-BBRMVZONSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cccc(=O)n2C)C1 ZINC001046610320 851564568 /nfs/dbraw/zinc/56/45/68/851564568.db2.gz MFIMFJGDUIUXNN-INIZCTEOSA-N 0 1 287.363 0.603 20 30 CCEDMN C=C(Cl)CN1CC[C@](C)(NC(=O)c2ncn[nH]2)C1 ZINC001046715216 851592381 /nfs/dbraw/zinc/59/23/81/851592381.db2.gz WJGANELOBLZMOJ-NSHDSACASA-N 0 1 269.736 0.751 20 30 CCEDMN C=C(Cl)CN1CC[C@](C)(NC(=O)c2nc[nH]n2)C1 ZINC001046715216 851592385 /nfs/dbraw/zinc/59/23/85/851592385.db2.gz WJGANELOBLZMOJ-NSHDSACASA-N 0 1 269.736 0.751 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cccn(C)c2=O)C1 ZINC001046781849 851612053 /nfs/dbraw/zinc/61/20/53/851612053.db2.gz QZOTXKZIYPVXPW-OAHLLOKOSA-N 0 1 273.336 0.213 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001046806034 851618976 /nfs/dbraw/zinc/61/89/76/851618976.db2.gz NXPVMVVXDGEIHZ-GOEBONIOSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2cnnn2C)C1 ZINC001046934945 851648077 /nfs/dbraw/zinc/64/80/77/851648077.db2.gz MOBOJLKZRLZLOU-SNVBAGLBSA-N 0 1 299.325 0.688 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(Cl)c[nH]2)C1 ZINC001047301663 851702819 /nfs/dbraw/zinc/70/28/19/851702819.db2.gz YFNZENZAGXPINP-STQMWFEESA-N 0 1 295.770 0.809 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccccc2)C1 ZINC001047432452 851762414 /nfs/dbraw/zinc/76/24/14/851762414.db2.gz RBCOQMDORCETCM-GJZGRUSLSA-N 0 1 274.364 0.919 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2C[C@@H]2C)C1 ZINC001047489732 851784598 /nfs/dbraw/zinc/78/45/98/851784598.db2.gz ZNQIJUROGPKSTQ-CYDGBPFRSA-N 0 1 252.358 0.722 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@@]2(C)CCOC2)C1 ZINC001047578340 851822729 /nfs/dbraw/zinc/82/27/29/851822729.db2.gz XEOWZGLZEAFZJS-YDHLFZDLSA-N 0 1 282.384 0.493 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(=O)[nH]c2)C1 ZINC001047614676 851836521 /nfs/dbraw/zinc/83/65/21/851836521.db2.gz WAPLCNLEHIKPMB-STQMWFEESA-N 0 1 291.351 0.480 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1C[C@H]2CN(CC#N)C[C@H]2C1 ZINC001048896988 852102062 /nfs/dbraw/zinc/10/20/62/852102062.db2.gz MRWNCDWTMMAMKL-HZSPNIEDSA-N 0 1 276.384 0.384 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2CN(C(=O)c3ccn(C)n3)C[C@H]2C1 ZINC001049215241 852195441 /nfs/dbraw/zinc/19/54/41/852195441.db2.gz PNGJROAQFINJMH-BETUJISGSA-N 0 1 272.352 0.447 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cn(C)cn1 ZINC001049418429 852264969 /nfs/dbraw/zinc/26/49/69/852264969.db2.gz IYORBHYUQKQWNX-KBPBESRZSA-N 0 1 272.352 0.732 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCCNC1=O ZINC001049534550 852305283 /nfs/dbraw/zinc/30/52/83/852305283.db2.gz WABUJWMPRSIDDO-MGPQQGTHSA-N 0 1 289.379 0.211 20 30 CCEDMN CN1CC(C(=O)N2CCC[C@@H]3[C@H]2CCN3CC#N)=NC1=O ZINC001049689097 852351930 /nfs/dbraw/zinc/35/19/30/852351930.db2.gz IBRUYBLTYQWVQJ-VXGBXAGGSA-N 0 1 289.339 0.328 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1n[nH]cc1F ZINC001049703339 852355870 /nfs/dbraw/zinc/35/58/70/852355870.db2.gz JYBAALMBQXNMEB-NEPJUHHUSA-N 0 1 276.315 0.861 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cn(CC=C)nn1 ZINC001049773763 852369866 /nfs/dbraw/zinc/36/98/66/852369866.db2.gz RVRNPFKBFNHMFC-CABCVRRESA-N 0 1 299.378 0.776 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccn(C)n1 ZINC001049894726 852392710 /nfs/dbraw/zinc/39/27/10/852392710.db2.gz HNCKTNHJFJSPIR-KBPBESRZSA-N 0 1 272.352 0.732 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CCCN(C(=O)c3ccnn3C)[C@@H]2C1 ZINC001049994206 852417571 /nfs/dbraw/zinc/41/75/71/852417571.db2.gz ABIHOFJSLPMGLG-DZGCQCFKSA-N 0 1 286.379 0.980 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3ccnn3C)[C@@H]2C1 ZINC001049994206 852417575 /nfs/dbraw/zinc/41/75/75/852417575.db2.gz ABIHOFJSLPMGLG-DZGCQCFKSA-N 0 1 286.379 0.980 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCNC(=O)C1)C2 ZINC001096947827 852478002 /nfs/dbraw/zinc/47/80/02/852478002.db2.gz KNHIPHVRFBQOIN-SYQHCUMBSA-N 0 1 289.379 0.257 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1COC(=O)N1)C2 ZINC001097024352 852491073 /nfs/dbraw/zinc/49/10/73/852491073.db2.gz GPVHEODUCWQGKS-ZNSHCXBVSA-N 0 1 265.313 0.002 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1cccc(C)c1=O)C2 ZINC001097067745 852494167 /nfs/dbraw/zinc/49/41/67/852494167.db2.gz OJBBHZVJZOMNAV-KFWWJZLASA-N 0 1 299.374 0.511 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccc(=O)n(C)c1)C2 ZINC001097420466 852537378 /nfs/dbraw/zinc/53/73/78/852537378.db2.gz FMMXMXYICJUZFP-KFWWJZLASA-N 0 1 299.374 0.744 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCN(C)C1=O)C2 ZINC001097674202 852569602 /nfs/dbraw/zinc/56/96/02/852569602.db2.gz IFOULWSGCOCTGW-RQJABVFESA-N 0 1 289.379 0.210 20 30 CCEDMN Cc1cc(CC(=O)NC[C@H](C)Nc2cncc(C#N)n2)[nH]n1 ZINC001097739814 852597682 /nfs/dbraw/zinc/59/76/82/852597682.db2.gz XQRKFTPOQRJDDK-JTQLQIEISA-N 0 1 299.338 0.539 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CCC(=O)N1)C2 ZINC001097795445 852616397 /nfs/dbraw/zinc/61/63/97/852616397.db2.gz IFLHHUGLLUBVIN-FOCJUVANSA-N 0 1 291.395 0.953 20 30 CCEDMN C[C@H](CNC(=O)CN1CCCC1)Nc1cnc(C#N)cn1 ZINC001097862596 852633246 /nfs/dbraw/zinc/63/32/46/852633246.db2.gz CVQVTVGTRADMPJ-LLVKDONJSA-N 0 1 288.355 0.361 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2CCCN(CC(N)=O)CC2)C1 ZINC001052687811 852640681 /nfs/dbraw/zinc/64/06/81/852640681.db2.gz ANGPGVPVGFQAOV-LBPRGKRZSA-N 0 1 279.384 0.799 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2c[nH]c(C)cc2=O)CC1 ZINC001052839554 852661717 /nfs/dbraw/zinc/66/17/17/852661717.db2.gz YCDJMJYUGQCMBX-CYBMUJFWSA-N 0 1 287.363 0.901 20 30 CCEDMN C=CCN1CC2(C1)CC[C@@H](CNC(=O)[C@H]1CCCN1C)O2 ZINC001053582438 852765023 /nfs/dbraw/zinc/76/50/23/852765023.db2.gz FLXQFNXUUATBMC-UONOGXRCSA-N 0 1 293.411 0.616 20 30 CCEDMN CNC(=O)CN1CC[C@]2(C1)CCCN(C(=O)[C@H](C)C#N)C2 ZINC001054101956 852884010 /nfs/dbraw/zinc/88/40/10/852884010.db2.gz IQTGLESHTWJOHJ-DOMZBBRYSA-N 0 1 292.383 0.207 20 30 CCEDMN C#CC[NH2+][C@H]1CN(C(=O)c2ncccc2[O-])C[C@H]1C ZINC001054588474 852974301 /nfs/dbraw/zinc/97/43/01/852974301.db2.gz IXKBTBXTMNOHLB-MNOVXSKESA-N 0 1 259.309 0.471 20 30 CCEDMN C=CC(C)(C)C(=O)NC1CCN(CCNC(C)=O)CC1 ZINC001055486305 853080738 /nfs/dbraw/zinc/08/07/38/853080738.db2.gz CYTOUSVQNWBUAM-UHFFFAOYSA-N 0 1 281.400 0.915 20 30 CCEDMN CC(=O)NC1CCN(CCNC(=O)C#CC(C)(C)C)CC1 ZINC001055575309 853088444 /nfs/dbraw/zinc/08/84/44/853088444.db2.gz RMCCFGRWGOZNSS-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](Nc3ccc(C#N)nn3)C2)n[nH]1 ZINC001056587542 853175869 /nfs/dbraw/zinc/17/58/69/853175869.db2.gz IGDZZMNKMDAAHB-LLVKDONJSA-N 0 1 297.322 0.706 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H](OC)C3CC3)[C@@H]2C1 ZINC001050038039 853300129 /nfs/dbraw/zinc/30/01/29/853300129.db2.gz HDZITCRGPFDUON-ZNMIVQPWSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3c(C)ncn3C)[C@@H]2C1 ZINC001050070146 853307199 /nfs/dbraw/zinc/30/71/99/853307199.db2.gz ZATLZAQUPPBGRQ-UONOGXRCSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCc3ncccn3)[C@@H]2C1 ZINC001050168017 853329084 /nfs/dbraw/zinc/32/90/84/853329084.db2.gz CLGVOEKYCYHZLQ-LSDHHAIUSA-N 0 1 298.390 0.965 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccnc(C)n3)[C@@H]2C1 ZINC001050205993 853336223 /nfs/dbraw/zinc/33/62/23/853336223.db2.gz YTPYPTDFVVFFDH-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn(C)n1)C2 ZINC001098034664 853494133 /nfs/dbraw/zinc/49/41/33/853494133.db2.gz XBLOVIAZBAOIKV-FOGDFJRCSA-N 0 1 261.329 0.336 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CCN1c1ncccc1C#N ZINC001051227250 853550544 /nfs/dbraw/zinc/55/05/44/853550544.db2.gz SAOCRUUQKNBZLE-KOLCDFICSA-N 0 1 297.322 0.469 20 30 CCEDMN C=CCN1CCOC[C@@H]1CNC(=O)[C@@H]1CCCCN1CC ZINC001051256539 853555329 /nfs/dbraw/zinc/55/53/29/853555329.db2.gz XDBXYZVFBZTZIL-GJZGRUSLSA-N 0 1 295.427 0.864 20 30 CCEDMN N#Cc1cncc(NC2CC(CNC(=O)c3ncn[nH]3)C2)n1 ZINC001051983239 853669086 /nfs/dbraw/zinc/66/90/86/853669086.db2.gz SIXPAWXVERGRRR-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cncc(NC2CC(CNC(=O)c3nc[nH]n3)C2)n1 ZINC001051983239 853669091 /nfs/dbraw/zinc/66/90/91/853669091.db2.gz SIXPAWXVERGRRR-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H](NC(=O)c3cnn[nH]3)C2)nc1 ZINC001058268400 853808181 /nfs/dbraw/zinc/80/81/81/853808181.db2.gz JDWGWVFOBPSBMB-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN Cc1ccc(C#N)c(N2CC[C@@H](NC(=O)c3ncn[nH]3)C2)n1 ZINC001058422588 853844231 /nfs/dbraw/zinc/84/42/31/853844231.db2.gz INGIVHLOICPNMC-LLVKDONJSA-N 0 1 297.322 0.389 20 30 CCEDMN Cc1ccc(C#N)c(N2CC[C@@H](NC(=O)c3nc[nH]n3)C2)n1 ZINC001058422588 853844232 /nfs/dbraw/zinc/84/42/32/853844232.db2.gz INGIVHLOICPNMC-LLVKDONJSA-N 0 1 297.322 0.389 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CCC2)[C@@H](n2ccnn2)C1 ZINC001069887122 853993254 /nfs/dbraw/zinc/99/32/54/853993254.db2.gz JNAHRXVOVQHQHN-KGLIPLIRSA-N 0 1 287.367 0.443 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ccco2)C[C@@H]1n1ccnn1 ZINC001070126667 854028023 /nfs/dbraw/zinc/02/80/23/854028023.db2.gz JAVGLQVGSHRHFQ-NEPJUHHUSA-N 0 1 285.307 0.160 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C(CC)CC)C[C@@H]1n1ccnn1 ZINC001070143917 854029306 /nfs/dbraw/zinc/02/93/06/854029306.db2.gz ZZEQFBKPCBGLMV-KGLIPLIRSA-N 0 1 289.383 0.689 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H]2CC23CC3)C[C@@H]1n1ccnn1 ZINC001070283205 854038933 /nfs/dbraw/zinc/03/89/33/854038933.db2.gz BLAXTOSVQSBJEU-XQQFMLRXSA-N 0 1 285.351 0.053 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H](F)C(C)C)C[C@@H]1n1ccnn1 ZINC001070255317 854039419 /nfs/dbraw/zinc/03/94/19/854039419.db2.gz VYLOMCKQCBSGKA-AGIUHOORSA-N 0 1 293.346 0.247 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2(C)CC2)C[C@H]1c1cn(C)cn1 ZINC001070495390 854068715 /nfs/dbraw/zinc/06/87/15/854068715.db2.gz ARPWHDYRPWEEDP-GXTWGEPZSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(CC(=O)[O-])[C@@H](C)CC ZINC000392844154 854095547 /nfs/dbraw/zinc/09/55/47/854095547.db2.gz FDACDIRGPFMUOZ-LBPRGKRZSA-N 0 1 283.372 0.836 20 30 CCEDMN C=CCCN1CCNC(=O)CCN(C(=O)C(C)C)CC1 ZINC001070940632 854106693 /nfs/dbraw/zinc/10/66/93/854106693.db2.gz PADHLQLYEVCRIT-UHFFFAOYSA-N 0 1 281.400 0.869 20 30 CCEDMN CC#CCN1CC[C@]2(C1)CN(C(=O)COC)C[C@H](C)O2 ZINC001071113345 854122627 /nfs/dbraw/zinc/12/26/27/854122627.db2.gz JWQDTONDJHQFLV-ZFWWWQNUSA-N 0 1 280.368 0.348 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@]2(CCN(CC#N)C2)O1 ZINC001071139442 854128932 /nfs/dbraw/zinc/12/89/32/854128932.db2.gz UYWCNDYOGWAQQW-RISCZKNCSA-N 0 1 289.339 0.239 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)CC(C)(C)O)C[C@@H](C)O2 ZINC001071161295 854131934 /nfs/dbraw/zinc/13/19/34/854131934.db2.gz HXQJIKNGLHFOPB-CJNGLKHVSA-N 0 1 294.395 0.472 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2cc[nH]n2)CC[C@H]1C ZINC001071400711 854177283 /nfs/dbraw/zinc/17/72/83/854177283.db2.gz AIKRVNFKDMXHPK-TZMCWYRMSA-N 0 1 274.368 0.945 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CN2CCOCC2)CC[C@H]1C ZINC001071439589 854197005 /nfs/dbraw/zinc/19/70/05/854197005.db2.gz FQQIFQXHHSRKQG-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2cnnn2C)CC[C@H]1C ZINC001071481730 854215982 /nfs/dbraw/zinc/21/59/82/854215982.db2.gz NNLSYKSKZIBJNN-VXGBXAGGSA-N 0 1 277.372 0.974 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@@H]1C ZINC001071501091 854221460 /nfs/dbraw/zinc/22/14/60/854221460.db2.gz IFLDYIDFMFGFSN-AAEUAGOBSA-N 0 1 289.383 0.648 20 30 CCEDMN CC#CC[N@H+]1C[C@H](NC(=O)c2cnn[n-]2)CC[C@H]1C ZINC001071631755 854251309 /nfs/dbraw/zinc/25/13/09/854251309.db2.gz RARRDSWVOOMIOS-GHMZBOCLSA-N 0 1 261.329 0.411 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@H]1C ZINC001071738520 854277489 /nfs/dbraw/zinc/27/74/89/854277489.db2.gz DKKYKTWZFWCJTN-MNOVXSKESA-N 0 1 276.340 0.342 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@H]1C ZINC001072076993 854344067 /nfs/dbraw/zinc/34/40/67/854344067.db2.gz YVICMQTYKBJDQG-PWSUYJOCSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@H]3COC(=O)C3)C2)C1 ZINC001072621344 854431498 /nfs/dbraw/zinc/43/14/98/854431498.db2.gz XARJNMHOFDXKAS-GFCCVEGCSA-N 0 1 276.336 0.107 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(OC)no3)C2)C1 ZINC001072648090 854437730 /nfs/dbraw/zinc/43/77/30/854437730.db2.gz OZOPRNMOOIQGNM-UHFFFAOYSA-N 0 1 275.308 0.464 20 30 CCEDMN CC#CC[N@H+]1CCC2(CN(C(=O)c3cc(=O)n(C)o3)C2)C1 ZINC001072663974 854440709 /nfs/dbraw/zinc/44/07/09/854440709.db2.gz WCWYUQVHQLUGHZ-UHFFFAOYSA-N 0 1 289.335 0.150 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)CCc3cnc[nH]3)C2)C1 ZINC001072803784 854466266 /nfs/dbraw/zinc/46/62/66/854466266.db2.gz JRTLJGBITYZXGV-UHFFFAOYSA-N 0 1 273.340 0.400 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3OCC[C@H]3CC)C2)C1 ZINC001072824762 854473597 /nfs/dbraw/zinc/47/35/97/854473597.db2.gz BVLJQNJLTVPIKB-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCc4cncn4C3)C2)C1 ZINC001072870223 854484561 /nfs/dbraw/zinc/48/45/61/854484561.db2.gz BTMKOJQHRYNYPZ-CQSZACIVSA-N 0 1 298.390 0.613 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)[C@@H]3CCCO3)C2)C1 ZINC001072961184 854501767 /nfs/dbraw/zinc/50/17/67/854501767.db2.gz GSFZUSHAEFCMCT-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCO[C@@H]3C)C2)C1 ZINC001073080712 854527788 /nfs/dbraw/zinc/52/77/88/854527788.db2.gz MUTYIWYYXLGPOS-OLZOCXBDSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@@H]3CCCOC3)C2)C1 ZINC001073437491 854560545 /nfs/dbraw/zinc/56/05/45/854560545.db2.gz XVRJKQAXLYGCQP-AWEZNQCLSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CC34CCOCC4)C2)C1 ZINC001073495959 854565065 /nfs/dbraw/zinc/56/50/65/854565065.db2.gz YPRAJPAWYFKZOC-AWEZNQCLSA-N 0 1 288.391 0.971 20 30 CCEDMN C=CC[N@@H+]1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073582653 854603936 /nfs/dbraw/zinc/60/39/36/854603936.db2.gz PKXAIEVLSLKWEM-LBPRGKRZSA-N 0 1 291.351 0.794 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001073582653 854603940 /nfs/dbraw/zinc/60/39/40/854603940.db2.gz PKXAIEVLSLKWEM-LBPRGKRZSA-N 0 1 291.351 0.794 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001073605094 854610725 /nfs/dbraw/zinc/61/07/25/854610725.db2.gz AIXNRVRAAOEICI-YDHLFZDLSA-N 0 1 280.368 0.416 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2c[nH]nc2C)C1 ZINC001073722385 854634026 /nfs/dbraw/zinc/63/40/26/854634026.db2.gz JKFDXDDWLFEBOI-CYBMUJFWSA-N 0 1 290.367 0.562 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2[nH]nnc2C)C1 ZINC001073722596 854634969 /nfs/dbraw/zinc/63/49/69/854634969.db2.gz MKJJHTXBNFLWRZ-LLVKDONJSA-N 0 1 279.344 0.120 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnc(C)n2C)C1 ZINC001073746133 854640137 /nfs/dbraw/zinc/64/01/37/854640137.db2.gz PPKGOOHRYMEBLS-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCc3[nH]cnc3C1)C2 ZINC001098172700 854851417 /nfs/dbraw/zinc/85/14/17/854851417.db2.gz ZRTBHVWJSOAKPY-FKGLVLAHSA-N 0 1 299.378 0.760 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1cncn1)C2 ZINC001098338023 854858887 /nfs/dbraw/zinc/85/88/87/854858887.db2.gz HTXDBDUTEBBZRT-UTUOFQBUSA-N 0 1 261.329 0.186 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2ccco2)[C@H](O)C1 ZINC001099669875 854962075 /nfs/dbraw/zinc/96/20/75/854962075.db2.gz YNBXSYRMYLXUFX-HUUCEWRRSA-N 0 1 290.363 0.787 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CC[N@H+](CCOCC)C[C@H]1O ZINC001099807020 854998512 /nfs/dbraw/zinc/99/85/12/854998512.db2.gz JQCDDUSINYYOQY-UONOGXRCSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CC[N@H+](CC(=C)C)C[C@@H]1O ZINC001099804552 854999677 /nfs/dbraw/zinc/99/96/77/854999677.db2.gz OTCAXRZVMWCCLH-KBPBESRZSA-N 0 1 264.369 0.917 20 30 CCEDMN CC(C)OCCN1CC[C@@H](NC(=O)C#CC2CC2)[C@H](O)C1 ZINC001099826478 855003052 /nfs/dbraw/zinc/00/30/52/855003052.db2.gz LITOOPVACMRLAW-HUUCEWRRSA-N 0 1 294.395 0.376 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(COCC)CC2)[C@@H](O)C1 ZINC001099898578 855023259 /nfs/dbraw/zinc/02/32/59/855023259.db2.gz DQJFSYGYKPUBTG-KGLIPLIRSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cccnc2)[C@@H](O)C1 ZINC001099909496 855028294 /nfs/dbraw/zinc/02/82/94/855028294.db2.gz UULRCIVSJLCZNG-CABCVRRESA-N 0 1 289.379 0.752 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(COC)CCC2)[C@@H](O)C1 ZINC001099925727 855035190 /nfs/dbraw/zinc/03/51/90/855035190.db2.gz JENCYEVNYCQHIQ-KGLIPLIRSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2(C)CC2)[C@@H](O)C1 ZINC001099927716 855035633 /nfs/dbraw/zinc/03/56/33/855035633.db2.gz DYIUWIXRWOJTQF-RYUDHWBXSA-N 0 1 252.358 0.914 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCF)[C@H](O)C1 ZINC001099947752 855044271 /nfs/dbraw/zinc/04/42/71/855044271.db2.gz ZSSZYAVPOIMYSY-NWDGAFQWSA-N 0 1 256.321 0.311 20 30 CCEDMN Cc1nc(CC(=O)NCCN(C)c2ccc(C#N)nc2)n[nH]1 ZINC001100063097 855087831 /nfs/dbraw/zinc/08/78/31/855087831.db2.gz PLNVANASMCVOOI-UHFFFAOYSA-N 0 1 299.338 0.175 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2nccs2)[C@@H](O)C1 ZINC001100117817 855102178 /nfs/dbraw/zinc/10/21/78/855102178.db2.gz PIERPIHZCXGBNY-RYUDHWBXSA-N 0 1 295.408 0.813 20 30 CCEDMN C=CCOCC(=O)NCC1CC(NCc2nccn2C)C1 ZINC001100186967 855112985 /nfs/dbraw/zinc/11/29/85/855112985.db2.gz SNSLFSQZABCKGN-UHFFFAOYSA-N 0 1 292.383 0.607 20 30 CCEDMN C=CCOCC(=O)NCC1CC(NCc2cnn(C)n2)C1 ZINC001100186835 855113283 /nfs/dbraw/zinc/11/32/83/855113283.db2.gz NDLGQLJBJGVXAT-UHFFFAOYSA-N 0 1 293.371 0.002 20 30 CCEDMN CN(CCNC(=O)c1[nH]ncc1F)c1ccncc1C#N ZINC001100310717 855145184 /nfs/dbraw/zinc/14/51/84/855145184.db2.gz GPQPRUWGCFCCFW-UHFFFAOYSA-N 0 1 288.286 0.682 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001101336614 855283193 /nfs/dbraw/zinc/28/31/93/855283193.db2.gz KFUIKPSDQMJSSN-ZENOOKHLSA-N 0 1 293.411 0.854 20 30 CCEDMN CN(CCNc1ccc(C#N)cn1)C(=O)Cc1ccn[nH]1 ZINC001101534856 855309420 /nfs/dbraw/zinc/30/94/20/855309420.db2.gz BVMJXWSXBPNJJC-UHFFFAOYSA-N 0 1 284.323 0.789 20 30 CCEDMN Cc1nc(NCCN(C)C(=O)Cc2ncn[nH]2)ccc1C#N ZINC001101771939 855363274 /nfs/dbraw/zinc/36/32/74/855363274.db2.gz LNTCEHDZLKUMKI-UHFFFAOYSA-N 0 1 299.338 0.493 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCNc1cc(C)ncc1C#N ZINC001101885698 855386036 /nfs/dbraw/zinc/38/60/36/855386036.db2.gz LXECHCXNCGTRME-UHFFFAOYSA-N 0 1 299.338 0.294 20 30 CCEDMN CN(CCNc1ccc(C#N)nn1)C(=O)Cc1c[nH]cn1 ZINC001101975673 855402398 /nfs/dbraw/zinc/40/23/98/855402398.db2.gz MYCWATOQWUJJNY-UHFFFAOYSA-N 0 1 285.311 0.184 20 30 CCEDMN CC[C@H](CNC(=O)Cc1nnc[nH]1)Nc1ccncc1C#N ZINC001103186034 855503088 /nfs/dbraw/zinc/50/30/88/855503088.db2.gz BHLKIYMMRNADSE-LLVKDONJSA-N 0 1 299.338 0.043 20 30 CCEDMN Cc1cc(NC[C@H](C)CNC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001104059320 855564884 /nfs/dbraw/zinc/56/48/84/855564884.db2.gz HDWNODJJANJMGS-VIFPVBQESA-N 0 1 299.338 0.280 20 30 CCEDMN Cc1cc(NC[C@H](C)CNC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001104059320 855564889 /nfs/dbraw/zinc/56/48/89/855564889.db2.gz HDWNODJJANJMGS-VIFPVBQESA-N 0 1 299.338 0.280 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1C[C@@H]2CCC[C@]2(CNCC#N)C1 ZINC001112285215 855624530 /nfs/dbraw/zinc/62/45/30/855624530.db2.gz POUSTFYBOWOHRF-LZWOXQAQSA-N 0 1 290.411 0.822 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCNC(=O)CC(C)C ZINC001115350472 855665043 /nfs/dbraw/zinc/66/50/43/855665043.db2.gz SLYZMDRCVBMXIJ-VIKVFOODSA-N 0 1 291.395 0.218 20 30 CCEDMN C[C@H](CN(C)C(=O)c1ccn[nH]1)Nc1nccnc1C#N ZINC001115656984 855686189 /nfs/dbraw/zinc/68/61/89/855686189.db2.gz WYIVHMPYWKLYJP-SECBINFHSA-N 0 1 285.311 0.644 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@@H](C)Nc2cnc(C#N)cn2)[nH]1 ZINC001115657026 855686376 /nfs/dbraw/zinc/68/63/76/855686376.db2.gz XUNBHOJHBKYPDN-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1ccn[nH]1)Nc1ccncc1C#N ZINC001115668024 855688625 /nfs/dbraw/zinc/68/86/25/855688625.db2.gz UGHIXTUPEVPFGB-NSHDSACASA-N 0 1 298.350 0.600 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCn3cncc3C2)CC1 ZINC001116355871 855752260 /nfs/dbraw/zinc/75/22/60/855752260.db2.gz YNADMOXELABCAB-LBPRGKRZSA-N 0 1 287.367 0.550 20 30 CCEDMN N#Cc1ncn(CCNCc2cc(C(N)=O)cs2)n1 ZINC001117628575 856029100 /nfs/dbraw/zinc/02/91/00/856029100.db2.gz ILJFQUJKLSFSPY-UHFFFAOYSA-N 0 1 276.325 0.100 20 30 CCEDMN CCCN1CCCC[C@H]1C(=O)N[C@H]1CCCN(O)C1=O ZINC001118317718 856256803 /nfs/dbraw/zinc/25/68/03/856256803.db2.gz YVUHOGQBUODCPQ-RYUDHWBXSA-N 0 1 283.372 0.747 20 30 CCEDMN CN1CC(C(=O)N[C@]2(C#N)CCSC2)=NC1=O ZINC001118571276 856349257 /nfs/dbraw/zinc/34/92/57/856349257.db2.gz ADNOLZZYGVGILR-JTQLQIEISA-N 0 1 252.299 0.255 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(C2CCN([C@H]3CCOC3)CC2)C1=O ZINC001118578525 856353723 /nfs/dbraw/zinc/35/37/23/856353723.db2.gz HFEDCPREZIXNDA-STQMWFEESA-N 0 1 293.367 0.736 20 30 CCEDMN CCN(C(=O)C(=O)NCC1(CC#N)CC1)[C@H]1CCN(C)C1 ZINC001118648828 856379837 /nfs/dbraw/zinc/37/98/37/856379837.db2.gz CMANTQYEEKIQGY-LBPRGKRZSA-N 0 1 292.383 0.349 20 30 CCEDMN C[C@H](NC(=O)[C@@H]1CNCCO1)c1cccc(C#N)c1 ZINC001119321555 856630751 /nfs/dbraw/zinc/63/07/51/856630751.db2.gz IBFVOHYVZKGFSW-GWCFXTLKSA-N 0 1 259.309 0.724 20 30 CCEDMN C=C(C)C[C@H](NC(=O)c1cc(C[NH+](C)C)on1)C(=O)[O-] ZINC001119644741 856805470 /nfs/dbraw/zinc/80/54/70/856805470.db2.gz HFVGJQSKDHOMPE-NSHDSACASA-N 0 1 281.312 0.885 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCN(CCO)C(C)(C)C1 ZINC001119648535 856811564 /nfs/dbraw/zinc/81/15/64/856811564.db2.gz XWZXUSRKVPNLQC-UHFFFAOYSA-N 0 1 280.376 0.142 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(CCN2CCCOCC2)C1=O ZINC001119699426 856844604 /nfs/dbraw/zinc/84/46/04/856844604.db2.gz PXYYQJZKSBSHEX-NSHDSACASA-N 0 1 267.329 0.205 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C[C@@H](C)Nc1ccc(C#N)nc1 ZINC001120398572 857055548 /nfs/dbraw/zinc/05/55/48/857055548.db2.gz FUNSQWSIOMERKW-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C[C@H](C)Nc1ccc(C#N)cn1 ZINC001120398321 857056033 /nfs/dbraw/zinc/05/60/33/857056033.db2.gz DYYHOQKFVFSJSD-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN C=CCn1cc(CNC(=O)CCc2cnc[nH]2)nn1 ZINC001327996615 914911057 /nfs/dbraw/zinc/91/10/57/914911057.db2.gz IZUQLUSMJYXOAN-UHFFFAOYSA-N 0 1 260.301 0.436 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H](NC(N)=O)C(C)(C)C ZINC001323337508 912281809 /nfs/dbraw/zinc/28/18/09/912281809.db2.gz WXRJNNAKUNWYBH-NWDGAFQWSA-N 0 1 294.399 0.283 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H](NC(N)=O)C(C)(C)C ZINC001323338440 912284405 /nfs/dbraw/zinc/28/44/05/912284405.db2.gz ZKUCQDHRKWWOQF-RYUDHWBXSA-N 0 1 296.415 0.836 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCC1(N[C@H]2CCNC2=O)CC1 ZINC001323395746 912316367 /nfs/dbraw/zinc/31/63/67/912316367.db2.gz AONNHCFYGXZQCG-NEPJUHHUSA-N 0 1 295.383 0.095 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)C2(F)CCCC2)CC1 ZINC001323479135 912361633 /nfs/dbraw/zinc/36/16/33/912361633.db2.gz GFPGSDHUFCFVPY-UHFFFAOYSA-N 0 1 296.346 0.147 20 30 CCEDMN C=CCO[C@@H]1CCN(CC(=O)NCCCOC)C1 ZINC001323729662 912496989 /nfs/dbraw/zinc/49/69/89/912496989.db2.gz QDYBEGVYFWPLPH-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN C=C(Cl)CN[C@]1(CO)CCCN(C(=O)[C@H](C)C#N)C1 ZINC001393686699 912715358 /nfs/dbraw/zinc/71/53/58/912715358.db2.gz APLXBHWIBVOBRY-ZWNOBZJWSA-N 0 1 285.775 0.842 20 30 CCEDMN C#CCN(C(=O)[C@@H]1CO[C@H](C)C1)C1CCN(CC#C)CC1 ZINC001324397119 912823682 /nfs/dbraw/zinc/82/36/82/912823682.db2.gz VIIMGBFDIRAMPH-CABCVRRESA-N 0 1 288.391 0.971 20 30 CCEDMN C#CCN(C(=O)[C@@H]1C[C@H](C)CO1)C1CCN(CC#C)CC1 ZINC001324408008 912834680 /nfs/dbraw/zinc/83/46/80/912834680.db2.gz PDCIUDVGBHZILZ-HOCLYGCPSA-N 0 1 288.391 0.971 20 30 CCEDMN C=CCOCCN1CC[C@](C)(NC(=O)c2nonc2C)C1 ZINC001324680993 912978404 /nfs/dbraw/zinc/97/84/04/912978404.db2.gz SAIISPVONPRJIJ-AWEZNQCLSA-N 0 1 294.355 0.775 20 30 CCEDMN C#CCCCC(=O)NCC1(C)CCN([C@H](C)C(N)=O)CC1 ZINC001324854409 913065870 /nfs/dbraw/zinc/06/58/70/913065870.db2.gz IONSJAXKRHNHLC-CYBMUJFWSA-N 0 1 293.411 0.882 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H]2CCC(F)(F)C2)C1 ZINC001325100753 913213709 /nfs/dbraw/zinc/21/37/09/913213709.db2.gz CJZHKXGJDLZHQN-YPMHNXCESA-N 0 1 286.322 0.608 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001325121912 913227735 /nfs/dbraw/zinc/22/77/35/913227735.db2.gz ARBKUBUMARVPGC-SJLPKXTDSA-N 0 1 298.386 0.903 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](CO)NCc1ccc(C#N)s1 ZINC001394579428 913285053 /nfs/dbraw/zinc/28/50/53/913285053.db2.gz OAIBBTAOQRTTFQ-VHSXEESVSA-N 0 1 292.364 0.346 20 30 CCEDMN C[C@@H]1C[C@H]1C(=O)NC[C@@H](CO)NCc1ccc(C#N)s1 ZINC001394617606 913315396 /nfs/dbraw/zinc/31/53/96/913315396.db2.gz QCVNTLURDAOVAJ-GBIKHYSHSA-N 0 1 293.392 0.842 20 30 CCEDMN C=CCCOCC(=O)NCC1(O)CN(CC2CCCC2)C1 ZINC001325318855 913344054 /nfs/dbraw/zinc/34/40/54/913344054.db2.gz QHTJJNZMIZEKAM-UHFFFAOYSA-N 0 1 296.411 0.932 20 30 CCEDMN C#CCCCNC(=O)C(=O)N1CCNC[C@H]1CCC ZINC001325400616 913386827 /nfs/dbraw/zinc/38/68/27/913386827.db2.gz WUVDNLSRMJJUTO-GFCCVEGCSA-N 0 1 265.357 0.117 20 30 CCEDMN CC#CCN(CCNC(=O)[C@H]1CCN(C)C1=O)C1CC1 ZINC001493403178 891436988 /nfs/dbraw/zinc/43/69/88/891436988.db2.gz XCHHFTRJHBYCNL-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCCCC(=O)NC1(CNC(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001299159835 891743868 /nfs/dbraw/zinc/74/38/68/891743868.db2.gz DFQGQEFGMNBPEQ-GHMZBOCLSA-N 0 1 293.323 0.220 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@@H](C)C#N ZINC001283363620 891757184 /nfs/dbraw/zinc/75/71/84/891757184.db2.gz OMDNBMPUAPAHAV-LBPRGKRZSA-N 0 1 251.330 0.234 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CN(C)CC(=O)N(C)C)C1 ZINC001418921031 891836496 /nfs/dbraw/zinc/83/64/96/891836496.db2.gz GEMIDUYRCGWTKN-VXGBXAGGSA-N 0 1 280.372 0.015 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cn(CCC)nc1C ZINC001480927656 891849199 /nfs/dbraw/zinc/84/91/99/891849199.db2.gz OBWZMUPDKLUVLZ-UHFFFAOYSA-N 0 1 262.357 0.896 20 30 CCEDMN C=C(C)CCN(CC)CCNC(=O)[C@@H](C)S(C)(=O)=O ZINC001480984133 892016608 /nfs/dbraw/zinc/01/66/08/892016608.db2.gz URYUZFCEPLJHBD-GFCCVEGCSA-N 0 1 290.429 0.824 20 30 CCEDMN COc1cccc(CN[C@@H](C)CNC(=O)[C@@H](C)C#N)n1 ZINC001419720305 892062157 /nfs/dbraw/zinc/06/21/57/892062157.db2.gz NCAPWRQPGABYQN-QWRGUYRKSA-N 0 1 276.340 0.844 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@@H]1CCO[C@H]1C)C1CC1 ZINC001481191231 892287982 /nfs/dbraw/zinc/28/79/82/892287982.db2.gz AGVOGIRPQMCDJH-DZGCQCFKSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]([NH2+]Cc2nc(=O)n(C)[n-]2)[C@H]1C ZINC001283863778 892484918 /nfs/dbraw/zinc/48/49/18/892484918.db2.gz CWHHCXUIYIJZRE-MNOVXSKESA-N 0 1 293.371 0.154 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@@](COC)(C(=O)[O-])C1 ZINC001354123957 892561940 /nfs/dbraw/zinc/56/19/40/892561940.db2.gz OSQXYHCEJHXZCR-WCQYABFASA-N 0 1 257.330 0.737 20 30 CCEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)CC(N)=O)C1 ZINC001481394539 892611123 /nfs/dbraw/zinc/61/11/23/892611123.db2.gz KYKPVDVWQQOERQ-GFCCVEGCSA-N 0 1 265.357 0.198 20 30 CCEDMN C#CCCCC(=O)NCC[C@H]1CCN(CC(N)=O)C1 ZINC001481429884 892650659 /nfs/dbraw/zinc/65/06/59/892650659.db2.gz VEFXHBMBGPSNGK-LBPRGKRZSA-N 0 1 265.357 0.103 20 30 CCEDMN C#CCCCC(=O)NC1CN(Cc2n[nH]c(C)n2)C1 ZINC001481555616 892822853 /nfs/dbraw/zinc/82/28/53/892822853.db2.gz LVSOOXIGMIGFTN-UHFFFAOYSA-N 0 1 261.329 0.217 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)CN(C)C(=O)COC)C1 ZINC001481562778 892837093 /nfs/dbraw/zinc/83/70/93/892837093.db2.gz WHSDEJMDMMNHKB-LBPRGKRZSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCCn1cncn1 ZINC001481681208 892990105 /nfs/dbraw/zinc/99/01/05/892990105.db2.gz AUZHETYOLFIBOY-KBPBESRZSA-N 0 1 287.367 0.367 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CCN(CCOCC)C2)c1 ZINC001481692651 893021365 /nfs/dbraw/zinc/02/13/65/893021365.db2.gz FTSIYSREMCXSDP-HNNXBMFYSA-N 0 1 287.363 0.904 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CCN(CCOCCO)C1 ZINC001481706642 893053772 /nfs/dbraw/zinc/05/37/72/893053772.db2.gz COAZWHRXLZGAHQ-CYBMUJFWSA-N 0 1 284.400 0.788 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CO[C@H]2CCOC2)C1 ZINC001481767109 893131909 /nfs/dbraw/zinc/13/19/09/893131909.db2.gz VZISQKGZXYFVOW-KGLIPLIRSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)Cn2ccnc2)C1 ZINC001481780927 893148750 /nfs/dbraw/zinc/14/87/50/893148750.db2.gz QIQWRKOYEOVRSO-CYBMUJFWSA-N 0 1 260.341 0.439 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CC[C@H](NCc2nncn2C)CC1 ZINC001482012402 893311189 /nfs/dbraw/zinc/31/11/89/893311189.db2.gz IMFPKSWQPXVOFV-SRVKXCTJSA-N 0 1 290.371 0.492 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CC[C@@H](CNCc2cnon2)C1 ZINC001284173737 893327227 /nfs/dbraw/zinc/32/72/27/893327227.db2.gz KQOWEDGFFVQXIQ-CHWSQXEVSA-N 0 1 288.351 0.858 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C1CN(CC[C@H]2CCOC2)C1 ZINC001269787749 893644697 /nfs/dbraw/zinc/64/46/97/893644697.db2.gz SFUVOQKGJKAZNH-KGLIPLIRSA-N 0 1 294.395 0.594 20 30 CCEDMN Cc1cc(C[C@@H](C)NS(=O)(=O)N(C)CCC#N)n[nH]1 ZINC001414267626 893715489 /nfs/dbraw/zinc/71/54/89/893715489.db2.gz BZFHRAYPWCOQSM-SNVBAGLBSA-N 0 1 285.373 0.329 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2coc(C)n2)[C@@H](O)C1 ZINC001083424813 894182223 /nfs/dbraw/zinc/18/22/23/894182223.db2.gz KXDAYZGDCADFES-YPMHNXCESA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001042617454 894307608 /nfs/dbraw/zinc/30/76/08/894307608.db2.gz JASWNCZQXVBXJG-NSHDSACASA-N 0 1 266.341 0.658 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H](C)[C@H]1CCCO1 ZINC001482627881 894424137 /nfs/dbraw/zinc/42/41/37/894424137.db2.gz VRPRKFQYYFPYLJ-QWHCGFSZSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnc2n1CCCC2 ZINC001482622948 894424191 /nfs/dbraw/zinc/42/41/91/894424191.db2.gz CQTPHWZGJCIJON-UHFFFAOYSA-N 0 1 274.368 0.856 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](C)Cc1c[nH]cn1 ZINC001482633328 894433703 /nfs/dbraw/zinc/43/37/03/894433703.db2.gz DPLOHSNDZJIASS-GFCCVEGCSA-N 0 1 262.357 0.612 20 30 CCEDMN C=CC[N@H+](C)CCN(C)C(=O)Cc1nc2ncccn2n1 ZINC001482649036 894448605 /nfs/dbraw/zinc/44/86/05/894448605.db2.gz GVILPLBIOGPACD-UHFFFAOYSA-N 0 1 288.355 0.243 20 30 CCEDMN COc1nscc1CNC[C@@H](C)NC(=O)[C@H](C)C#N ZINC001483117222 894940094 /nfs/dbraw/zinc/94/00/94/894940094.db2.gz XKHGFLHWHZOWOE-RKDXNWHRSA-N 0 1 282.369 0.906 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CN(C)C(=O)C1CC1 ZINC001483345155 895480877 /nfs/dbraw/zinc/48/08/77/895480877.db2.gz KKDXEYVDWJTZEB-LBPRGKRZSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cc(=O)[nH]c(C2CC2)n1 ZINC001483350581 895490073 /nfs/dbraw/zinc/49/00/73/895490073.db2.gz FTGHMAQNMVAHEE-SNVBAGLBSA-N 0 1 288.351 0.743 20 30 CCEDMN C#CC[N@H+](C)C[C@@H](C)NC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001483409401 895548353 /nfs/dbraw/zinc/54/83/53/895548353.db2.gz SPTTVAJEIFTSBM-VXGBXAGGSA-N 0 1 274.368 0.584 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001483409401 895548356 /nfs/dbraw/zinc/54/83/56/895548356.db2.gz SPTTVAJEIFTSBM-VXGBXAGGSA-N 0 1 274.368 0.584 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)c1ncn(C)n1)C1CC1 ZINC001483558525 895649496 /nfs/dbraw/zinc/64/94/96/895649496.db2.gz QLICEHLERDIIHJ-SNVBAGLBSA-N 0 1 283.763 0.666 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)/C(C)=C\CC)CC1 ZINC001483719299 895909242 /nfs/dbraw/zinc/90/92/42/895909242.db2.gz MZLLHAOMCFHKTL-FFXRNRBCSA-N 0 1 291.395 0.719 20 30 CCEDMN C=CCCC(=O)NCC1(N[C@H]2CCN(CC=C)C2=O)CC1 ZINC001483720915 895914021 /nfs/dbraw/zinc/91/40/21/895914021.db2.gz INGCYCDCEKNTNU-ZDUSSCGKSA-N 0 1 291.395 0.978 20 30 CCEDMN CC#CCNC1(CNC(=O)[C@@]2(C)CCCS2(=O)=O)CC1 ZINC001483774980 896044279 /nfs/dbraw/zinc/04/42/79/896044279.db2.gz FMBHTRYMHXKLNB-CYBMUJFWSA-N 0 1 298.408 0.215 20 30 CCEDMN CC#CCNC1(CNC(=O)[C@]2(C)CCCS2(=O)=O)CC1 ZINC001483774984 896045573 /nfs/dbraw/zinc/04/55/73/896045573.db2.gz FMBHTRYMHXKLNB-ZDUSSCGKSA-N 0 1 298.408 0.215 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C1(C(=O)NC)CC1 ZINC001483810825 896065695 /nfs/dbraw/zinc/06/56/95/896065695.db2.gz OOAIKSTWWXPLJL-OLZOCXBDSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@](C)(C=C)CCOC)C1 ZINC001484231896 896262621 /nfs/dbraw/zinc/26/26/21/896262621.db2.gz SKFHJHYNNCIOMU-JKSUJKDBSA-N 0 1 294.395 0.401 20 30 CCEDMN C#CC[N@@H+]1CC[C@](O)(CNC(=O)CC(C)=C(C)C)C1 ZINC001484229543 896264383 /nfs/dbraw/zinc/26/43/83/896264383.db2.gz BVAZPJJTPKIKKA-HNNXBMFYSA-N 0 1 264.369 0.919 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CC(C)=C(C)C)C1 ZINC001484229543 896264398 /nfs/dbraw/zinc/26/43/98/896264398.db2.gz BVAZPJJTPKIKKA-HNNXBMFYSA-N 0 1 264.369 0.919 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)[C@H](C)SC)C1 ZINC001484428222 896399582 /nfs/dbraw/zinc/39/95/82/896399582.db2.gz MWKFUQIEGJXZHF-GXTWGEPZSA-N 0 1 284.425 0.704 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@H](CCNCc2cnon2)C1 ZINC001484519438 896457420 /nfs/dbraw/zinc/45/74/20/896457420.db2.gz BOMHURMZAYXOKX-ZDUSSCGKSA-N 0 1 288.351 0.811 20 30 CCEDMN C[C@@H](NCC#N)c1ccc(CNC(=O)Cc2nnc[nH]2)cc1 ZINC001484563954 896469538 /nfs/dbraw/zinc/46/95/38/896469538.db2.gz YHODRAHYYOELBW-LLVKDONJSA-N 0 1 298.350 0.838 20 30 CCEDMN N#CCNC1(CNC(=O)[C@H]2CCCc3[nH]ncc32)CCC1 ZINC001484634310 896508121 /nfs/dbraw/zinc/50/81/21/896508121.db2.gz KJNMSFBUOGBYCO-NSHDSACASA-N 0 1 287.367 0.982 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2nccs2)CC1 ZINC001485025683 896724845 /nfs/dbraw/zinc/72/48/45/896724845.db2.gz JNJAXYQVEGKBOM-UHFFFAOYSA-N 0 1 281.381 0.886 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)C2C=CC=CC=C2)CC1 ZINC001485020608 896725010 /nfs/dbraw/zinc/72/50/10/896725010.db2.gz GKUGPWYPQLVNHL-UHFFFAOYSA-N 0 1 286.375 0.861 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CCN(C)C(=O)C1 ZINC001485063422 896753085 /nfs/dbraw/zinc/75/30/85/896753085.db2.gz OCPFQLPAMQDYLO-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1nccc(C)n1 ZINC001485298028 896932137 /nfs/dbraw/zinc/93/21/37/896932137.db2.gz PYZZTJGADOMEPN-LBPRGKRZSA-N 0 1 260.341 0.858 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccn(CC(F)F)n1 ZINC001485312769 896933302 /nfs/dbraw/zinc/93/33/02/896933302.db2.gz JYQCLGFGDLHMFM-SNVBAGLBSA-N 0 1 284.310 0.832 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1nc(OC)cc(OC)n1 ZINC001485319235 896947406 /nfs/dbraw/zinc/94/74/06/896947406.db2.gz LTHRLSFASKBQCO-JTQLQIEISA-N 0 1 292.339 0.177 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001397249928 914113903 /nfs/dbraw/zinc/11/39/03/914113903.db2.gz BTFSRJJHBCXOIU-NNYUYHANSA-N 0 1 278.356 0.004 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1csc(=O)[nH]1 ZINC001485341886 896971591 /nfs/dbraw/zinc/97/15/91/896971591.db2.gz NUASEUWLBXLMEF-VIFPVBQESA-N 0 1 267.354 0.922 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1ccc(=O)[nH]c1 ZINC001485380293 897010240 /nfs/dbraw/zinc/01/02/40/897010240.db2.gz DLZZZARPBTWNFK-GFCCVEGCSA-N 0 1 291.351 0.487 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H](C)n2cncn2)C1 ZINC001485501221 897091360 /nfs/dbraw/zinc/09/13/60/897091360.db2.gz WCOKMVUSYQTAFB-NWDGAFQWSA-N 0 1 275.356 0.299 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)Cn2nc(C)cc2C)C1 ZINC001485509783 897094962 /nfs/dbraw/zinc/09/49/62/897094962.db2.gz BGAJGXTULJURPJ-CQSZACIVSA-N 0 1 288.395 0.960 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)[C@@H](CC)[NH+](C)C)C(C)(C)C1 ZINC001485581625 897127200 /nfs/dbraw/zinc/12/72/00/897127200.db2.gz HDHPQCLSVGZPGV-CHWSQXEVSA-N 0 1 265.401 0.786 20 30 CCEDMN C=CCN1CCO[C@@](C)(CNC(=O)CCc2nc[nH]n2)C1 ZINC001107855101 897369478 /nfs/dbraw/zinc/36/94/78/897369478.db2.gz QNOJWBIBOFGWBQ-AWEZNQCLSA-N 0 1 293.371 0.130 20 30 CCEDMN Cc1nc(NC[C@@H](C)NC(=O)c2ncn[nH]2)ccc1C#N ZINC001107894570 897452488 /nfs/dbraw/zinc/45/24/88/897452488.db2.gz POSPTHQJPFKRMS-MRVPVSSYSA-N 0 1 285.311 0.610 20 30 CCEDMN Cc1nc(NC[C@@H](C)NC(=O)c2nc[nH]n2)ccc1C#N ZINC001107894570 897452505 /nfs/dbraw/zinc/45/25/05/897452505.db2.gz POSPTHQJPFKRMS-MRVPVSSYSA-N 0 1 285.311 0.610 20 30 CCEDMN C#CCCNCc1nnc2n1CC[C@H](NC(C)=O)CC2 ZINC001127909739 897453231 /nfs/dbraw/zinc/45/32/31/897453231.db2.gz CKXSJOUNCJDZRL-GFCCVEGCSA-N 0 1 275.356 0.232 20 30 CCEDMN C#CCOCCC(=O)NC[C@@]1(C)CN(C(C)C)CCO1 ZINC001107940885 897531388 /nfs/dbraw/zinc/53/13/88/897531388.db2.gz YFTBDCPPFSUSGX-HNNXBMFYSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccnc2[nH]cnc21 ZINC001032428185 897551240 /nfs/dbraw/zinc/55/12/40/897551240.db2.gz WWVRNBVVDTUSJL-RYUDHWBXSA-N 0 1 295.346 0.880 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cn[nH]c(=O)c1 ZINC001032462675 897598057 /nfs/dbraw/zinc/59/80/57/897598057.db2.gz UBNVRAMRWCGUIY-RYUDHWBXSA-N 0 1 272.308 0.104 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](C)n1cncn1 ZINC001401610057 897698698 /nfs/dbraw/zinc/69/86/98/897698698.db2.gz AAUWYLIPEYAZTM-ZJUUUORDSA-N 0 1 271.752 0.686 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[C@H]1CN2C[C@@H](C)O ZINC001032561764 897744094 /nfs/dbraw/zinc/74/40/94/897744094.db2.gz AGMGNEKAFPOTJM-WOPDTQHZSA-N 0 1 252.358 0.865 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cnc[nH]1 ZINC001032652191 897896563 /nfs/dbraw/zinc/89/65/63/897896563.db2.gz NHVVQQBDAGGYAB-STQMWFEESA-N 0 1 258.325 0.261 20 30 CCEDMN CN(CC(=O)NC[C@]1(C)CN(CC#N)CCO1)C1CCC1 ZINC001107997961 898034675 /nfs/dbraw/zinc/03/46/75/898034675.db2.gz GCEUEQIDPJCHGA-OAHLLOKOSA-N 0 1 294.399 0.201 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnn(C)c1OC ZINC001032755374 898101256 /nfs/dbraw/zinc/10/12/56/898101256.db2.gz UGWKMZMIVOHBLQ-RYUDHWBXSA-N 0 1 290.367 0.904 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(CC)on2)C1 ZINC001078024686 898186225 /nfs/dbraw/zinc/18/62/25/898186225.db2.gz CKSBEJKZCFTQNR-CHWSQXEVSA-N 0 1 279.340 0.588 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccccc2C)C1 ZINC001078156073 898270716 /nfs/dbraw/zinc/27/07/16/898270716.db2.gz QWRBVRKUESDHFO-HZPDHXFCSA-N 0 1 286.375 0.722 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2c[nH]nc2C1 ZINC001032794759 898309806 /nfs/dbraw/zinc/30/98/06/898309806.db2.gz QGIQSMBSJIAYFK-QEJZJMRPSA-N 0 1 298.390 0.823 20 30 CCEDMN CC1(C(=O)NC[C@@H](CO)NCc2cccc(C#N)c2)CC1 ZINC001485996979 898584542 /nfs/dbraw/zinc/58/45/42/898584542.db2.gz SWBGHQJDFLOSCW-AWEZNQCLSA-N 0 1 287.363 0.925 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1occ2c1CCC2 ZINC001486021291 898593929 /nfs/dbraw/zinc/59/39/29/898593929.db2.gz VEYJGLXXPMHOFB-GFCCVEGCSA-N 0 1 276.336 0.472 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)Cc1ccccc1 ZINC001486009590 898606400 /nfs/dbraw/zinc/60/64/00/898606400.db2.gz UUDHVOAHWVGMNA-AWEZNQCLSA-N 0 1 260.337 0.319 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(-c2cn[nH]c2)cc1 ZINC001486045653 898610737 /nfs/dbraw/zinc/61/07/37/898610737.db2.gz XJAOYYUCYJOHST-OAHLLOKOSA-N 0 1 298.346 0.390 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)C[C@@H](C)C1CC1 ZINC001486066563 898633462 /nfs/dbraw/zinc/63/34/62/898633462.db2.gz QJAWYKUSFPTFPK-OCCSQVGLSA-N 0 1 282.384 0.139 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1c[nH]c2ccc(C)cc12 ZINC001486066156 898633649 /nfs/dbraw/zinc/63/36/49/898633649.db2.gz MXFKVVKYVGYLPI-LBPRGKRZSA-N 0 1 285.347 0.790 20 30 CCEDMN Cc1nc2cccc(C(=O)NC[C@H](CO)NCC#N)c2[nH]1 ZINC001486051696 898637909 /nfs/dbraw/zinc/63/79/09/898637909.db2.gz SHKYZYMNDMJFIN-SNVBAGLBSA-N 0 1 287.323 0.075 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@H](NC(=O)COC)[C@H]1C ZINC001486166643 898691940 /nfs/dbraw/zinc/69/19/40/898691940.db2.gz MIBFRXBTELGIPD-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CC[N@H+](C)C[C@H](O)CN(C)C(=O)c1ccc(OC)nc1 ZINC001486339709 898802830 /nfs/dbraw/zinc/80/28/30/898802830.db2.gz ZFOLUSLAZWHPPX-ZDUSSCGKSA-N 0 1 291.351 0.088 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccc(OC)nc1 ZINC001486339709 898802841 /nfs/dbraw/zinc/80/28/41/898802841.db2.gz ZFOLUSLAZWHPPX-ZDUSSCGKSA-N 0 1 291.351 0.088 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CCc1cc(C)on1 ZINC001486348479 898828770 /nfs/dbraw/zinc/82/87/70/898828770.db2.gz CRXFSBISGXENQM-CQSZACIVSA-N 0 1 293.367 0.300 20 30 CCEDMN CC(C)C#CC(=O)NC1(C2CCN(CC(N)=O)CC2)CC1 ZINC001486488740 898898266 /nfs/dbraw/zinc/89/82/66/898898266.db2.gz MPAVZUPYMXGURF-UHFFFAOYSA-N 0 1 291.395 0.492 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@]2(C)CCC(=O)N2)CC1 ZINC001486514725 898915152 /nfs/dbraw/zinc/91/51/52/898915152.db2.gz GFCWQLUOPTXNOA-INIZCTEOSA-N 0 1 289.379 0.427 20 30 CCEDMN C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001486698761 898963197 /nfs/dbraw/zinc/96/31/97/898963197.db2.gz PFDJXJIFSDCDPT-NXEZZACHSA-N 0 1 291.355 0.477 20 30 CCEDMN C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001486698761 898963206 /nfs/dbraw/zinc/96/32/06/898963206.db2.gz PFDJXJIFSDCDPT-NXEZZACHSA-N 0 1 291.355 0.477 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@H]1CCN(C2CC2)C1 ZINC000710185409 899050349 /nfs/dbraw/zinc/05/03/49/899050349.db2.gz OIFKKNNUMHAAOG-NSHDSACASA-N 0 1 256.371 0.556 20 30 CCEDMN CN(CCCNc1ncnc2[nH]cnc21)C(=O)C#CC1CC1 ZINC001109433731 899786551 /nfs/dbraw/zinc/78/65/51/899786551.db2.gz CPJBBXHYIAAWMK-UHFFFAOYSA-N 0 1 298.350 0.978 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)N1CCCN(CCOCCO)CC1 ZINC001196830591 900056240 /nfs/dbraw/zinc/05/62/40/900056240.db2.gz LMNRLKHNSLLKIE-LSDHHAIUSA-N 0 1 298.427 0.988 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCC(=O)N1 ZINC001488672564 900339518 /nfs/dbraw/zinc/33/95/18/900339518.db2.gz PAFPUFHGSXNFPV-VXGBXAGGSA-N 0 1 265.357 0.374 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)C[C@@H]2CCN2C[C@H](O)COC)C1 ZINC001488656983 900348082 /nfs/dbraw/zinc/34/80/82/900348082.db2.gz HDQQKFWYHWQMMG-KBPBESRZSA-N 0 1 296.411 0.883 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H](C)CC(N)=O)[C@H]1CC ZINC001489124846 900434593 /nfs/dbraw/zinc/43/45/93/900434593.db2.gz CUGFBSGBVJBXQP-RWMBFGLXSA-N 0 1 279.384 0.490 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C[C@H](C)OC)C1 ZINC001489156374 900440705 /nfs/dbraw/zinc/44/07/05/900440705.db2.gz SLDZRLUNLHZGSD-KBPBESRZSA-N 0 1 282.384 0.330 20 30 CCEDMN CC1(C)C[C@@H](NC(=O)C#CC2CC2)CCN(CC(N)=O)C1 ZINC001489342014 900470355 /nfs/dbraw/zinc/47/03/55/900470355.db2.gz LRYGYZIVRJBBMN-ZDUSSCGKSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCCn1ccnc1 ZINC001493162314 900572985 /nfs/dbraw/zinc/57/29/85/900572985.db2.gz PMBYKIKIKWZPSF-AWEZNQCLSA-N 0 1 274.368 0.877 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@H]1CCCN(CCO)C1 ZINC001490450738 900624915 /nfs/dbraw/zinc/62/49/15/900624915.db2.gz YJDFSEDQXFDKTR-UONOGXRCSA-N 0 1 297.399 0.030 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCN1CCN(C[C@H](C)O)CC1 ZINC001490499520 900639129 /nfs/dbraw/zinc/63/91/29/900639129.db2.gz AROMMLUJEXCGGW-LSDHHAIUSA-N 0 1 297.443 0.703 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CCCNC1=O)C1CC1 ZINC001490678424 900685920 /nfs/dbraw/zinc/68/59/20/900685920.db2.gz QGIVVUKCLOHHBK-RYUDHWBXSA-N 0 1 299.802 0.750 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N(C)Cc2cnc[nH]2)CC1 ZINC001327309223 914474258 /nfs/dbraw/zinc/47/42/58/914474258.db2.gz WINIUKOUHJVAGE-UHFFFAOYSA-N 0 1 276.340 0.793 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)NC(=O)c1[nH]ncc1F ZINC001295473237 900906686 /nfs/dbraw/zinc/90/66/86/900906686.db2.gz XJXOVMWCIZJCFT-VIFPVBQESA-N 0 1 280.303 0.587 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCNC(N)=O)C1 ZINC001448998316 901444061 /nfs/dbraw/zinc/44/40/61/901444061.db2.gz AUVWZZGDHRNJEE-VHSXEESVSA-N 0 1 288.779 0.376 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)c2cnncc2O)CC1 ZINC001412112024 901472722 /nfs/dbraw/zinc/47/27/22/901472722.db2.gz GRGUBOLKFLBKQV-UHFFFAOYSA-N 0 1 290.279 0.101 20 30 CCEDMN Cc1[nH]ncc1CN(C)C(=O)[C@H](C)n1cnc(C#N)n1 ZINC001412267849 901610054 /nfs/dbraw/zinc/61/00/54/901610054.db2.gz GJICCJRUEKFFMK-VIFPVBQESA-N 0 1 273.300 0.401 20 30 CCEDMN N#Cc1cc(C(=O)NCc2n[nH]c(CO)n2)ccc1F ZINC001412374255 901668137 /nfs/dbraw/zinc/66/81/37/901668137.db2.gz PHTYJXFESPLARK-UHFFFAOYSA-N 0 1 275.243 0.238 20 30 CCEDMN N#Cc1cc(C(=O)NCc2nnc(CO)[nH]2)ccc1F ZINC001412374255 901668145 /nfs/dbraw/zinc/66/81/45/901668145.db2.gz PHTYJXFESPLARK-UHFFFAOYSA-N 0 1 275.243 0.238 20 30 CCEDMN N#CCOc1cccc(NC(=O)CCc2nn[nH]n2)c1 ZINC001412429403 901714941 /nfs/dbraw/zinc/71/49/41/901714941.db2.gz LMEITEHLLONVOE-UHFFFAOYSA-N 0 1 272.268 0.673 20 30 CCEDMN N#CC1(C(=O)N2CCC[C@H](c3nc[nH]n3)C2)CCOCC1 ZINC001412540051 901806413 /nfs/dbraw/zinc/80/64/13/901806413.db2.gz BUWPPGPQCGHOHX-NSHDSACASA-N 0 1 289.339 0.831 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCN(C)C(=O)C2CC2)C1=O ZINC001280781029 901817632 /nfs/dbraw/zinc/81/76/32/901817632.db2.gz BNFSHULKTJBOLU-AWEZNQCLSA-N 0 1 293.411 0.964 20 30 CCEDMN CC1CC(C#N)(C(=O)NCc2nnc([C@@H]3CCOC3)[nH]2)C1 ZINC001412860872 902310385 /nfs/dbraw/zinc/31/03/85/902310385.db2.gz IFLIEEDNEXOIMO-OPASDULOSA-N 0 1 289.339 0.865 20 30 CCEDMN CC1CC(C#N)(C(=O)NCc2n[nH]c([C@@H]3CCOC3)n2)C1 ZINC001412860872 902310402 /nfs/dbraw/zinc/31/04/02/902310402.db2.gz IFLIEEDNEXOIMO-OPASDULOSA-N 0 1 289.339 0.865 20 30 CCEDMN CC#CCCCC(=O)N[C@H]1C[C@H](NCc2ncnn2C)C1 ZINC001490917684 903233064 /nfs/dbraw/zinc/23/30/64/903233064.db2.gz ADOZKOUAUCBJHN-JOCQHMNTSA-N 0 1 289.383 0.746 20 30 CCEDMN CCN(C)C(=O)CN1CCC[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001491104049 903362824 /nfs/dbraw/zinc/36/28/24/903362824.db2.gz YIBIGDQQAHLCBL-OLZOCXBDSA-N 0 1 294.399 0.453 20 30 CCEDMN O=C(C#CC1CC1)NC[C@H]1CCCN([C@@H]2CCNC2=O)C1 ZINC001491105804 903366643 /nfs/dbraw/zinc/36/66/43/903366643.db2.gz XINNTNZEOYVHDV-ZIAGYGMSSA-N 0 1 289.379 0.117 20 30 CCEDMN O=C(C#CC1CC1)NCCNC(=O)c1cncc2nc[nH]c21 ZINC001491277781 903479832 /nfs/dbraw/zinc/47/98/32/903479832.db2.gz DOFVZKWESLDLJM-UHFFFAOYSA-N 0 1 297.318 0.217 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](C)NC(C)=O ZINC001491389999 903529164 /nfs/dbraw/zinc/52/91/64/903529164.db2.gz WAVITPHCLJQKSS-RKDXNWHRSA-N 0 1 261.753 0.358 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)C1CN(C(C)=O)C1 ZINC001491435754 903585124 /nfs/dbraw/zinc/58/51/24/903585124.db2.gz QXCISDOUMBQASA-VIFPVBQESA-N 0 1 273.764 0.312 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](C)NCc1ccn(CC)n1 ZINC001491441216 903595698 /nfs/dbraw/zinc/59/56/98/903595698.db2.gz KDZRUMWPKVJGIX-WFASDCNBSA-N 0 1 294.399 0.824 20 30 CCEDMN C#CCCN1CCC[C@@H](Nc2ncnc3c2CCNC3)C1=O ZINC001160898654 903768898 /nfs/dbraw/zinc/76/88/98/903768898.db2.gz PVOMZPJBILQDAD-CYBMUJFWSA-N 0 1 299.378 0.549 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C(=O)N1CCC[C@H](C)C1 ZINC001329570232 903809309 /nfs/dbraw/zinc/80/93/09/903809309.db2.gz DAWNXOXOCHLUMT-STQMWFEESA-N 0 1 279.384 0.315 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)Cn2nccc2C)C1 ZINC001330052667 903879404 /nfs/dbraw/zinc/87/94/04/903879404.db2.gz BAZYEYGAGHQPQN-GFCCVEGCSA-N 0 1 262.357 0.814 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C[C@H]2COC(=O)C2)CC1 ZINC001281799967 903980695 /nfs/dbraw/zinc/98/06/95/903980695.db2.gz RUBZAVNAFOEXHG-GFCCVEGCSA-N 0 1 296.367 0.069 20 30 CCEDMN C=CCN(CCNC(=O)c1cc(C)n[nH]1)CCOC ZINC001279041223 904042486 /nfs/dbraw/zinc/04/24/86/904042486.db2.gz UUNKNEJJDAYLIR-UHFFFAOYSA-N 0 1 266.345 0.582 20 30 CCEDMN C#CCCCCNC(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001332206495 904077163 /nfs/dbraw/zinc/07/71/63/904077163.db2.gz BWWPIYFTNNSHCP-LBPRGKRZSA-N 0 1 266.341 0.703 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](NC(=O)C(C)C)CC1 ZINC001280713673 904141991 /nfs/dbraw/zinc/14/19/91/904141991.db2.gz NEXHKNQGCYHEAK-ZDUSSCGKSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](OC)C1CC1 ZINC001281933769 904373055 /nfs/dbraw/zinc/37/30/55/904373055.db2.gz UZBSTLRWTDCUIJ-UONOGXRCSA-N 0 1 264.369 0.967 20 30 CCEDMN C[C@@H](NCc1cnnn1C)[C@H](C)NC(=O)C#CC1CC1 ZINC001282127549 904418083 /nfs/dbraw/zinc/41/80/83/904418083.db2.gz BOJXHMSBZXNISD-MNOVXSKESA-N 0 1 275.356 0.211 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@@H](C)CNC(=O)C2CC2)C1=O ZINC001282346793 904453445 /nfs/dbraw/zinc/45/34/45/904453445.db2.gz VYXGZUZNPYFFEB-AAEUAGOBSA-N 0 1 279.384 0.620 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCn2cncc2C1 ZINC001282412351 904469886 /nfs/dbraw/zinc/46/98/86/904469886.db2.gz NTYBSZMSLTUXLO-KBPBESRZSA-N 0 1 288.395 0.905 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CN1CCCCC1=O ZINC001282422911 904473479 /nfs/dbraw/zinc/47/34/79/904473479.db2.gz HREVNVGZEGNZOJ-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1csc(NC(C)=O)n1 ZINC001282424441 904475088 /nfs/dbraw/zinc/47/50/88/904475088.db2.gz NQIXMMZNYDTVNK-VIFPVBQESA-N 0 1 294.380 0.785 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)Cn1ncc2cc(C)cnc21 ZINC001282437265 904478804 /nfs/dbraw/zinc/47/88/04/904478804.db2.gz JBELTVGBSMAHGK-CYBMUJFWSA-N 0 1 299.378 0.809 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H](CC)NC(C)=O ZINC001282462775 904483911 /nfs/dbraw/zinc/48/39/11/904483911.db2.gz ZCFSOXDEEGQNQO-AAEUAGOBSA-N 0 1 267.373 0.361 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1(CCO)CN(CC2CCC2)C1 ZINC001282511509 904498441 /nfs/dbraw/zinc/49/84/41/904498441.db2.gz USVWLTBUMCSVAD-OAHLLOKOSA-N 0 1 296.411 0.667 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001282744484 904537508 /nfs/dbraw/zinc/53/75/08/904537508.db2.gz OIYOEHRNZZKTFE-BXUZGUMPSA-N 0 1 279.384 0.361 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H](C)NC(=O)CC)C(C)(C)C1 ZINC001282812405 904547504 /nfs/dbraw/zinc/54/75/04/904547504.db2.gz VVUBYKBOWQTZDQ-STQMWFEESA-N 0 1 293.411 0.751 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCCOCCCNCC#N ZINC001283472087 904853968 /nfs/dbraw/zinc/85/39/68/904853968.db2.gz TZKRMBBULFVFHU-ZDUSSCGKSA-N 0 1 282.388 0.107 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@H](C)C(=O)NC1CC1 ZINC001377480574 904929592 /nfs/dbraw/zinc/92/95/92/904929592.db2.gz ZMPJEEBRRPNIDR-NWDGAFQWSA-N 0 1 294.399 0.641 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1C(C)(C)C1(C)C ZINC001283742129 904983868 /nfs/dbraw/zinc/98/38/68/904983868.db2.gz FZNNAELPUJCCGY-NSHDSACASA-N 0 1 266.385 0.759 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1c[nH]c2ccccc12 ZINC001283824138 905042057 /nfs/dbraw/zinc/04/20/57/905042057.db2.gz KFCLLFLJMUCXCC-ZDUSSCGKSA-N 0 1 285.347 0.410 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H]1CCN(Cc2nccn2C)C1 ZINC001377666565 905083167 /nfs/dbraw/zinc/08/31/67/905083167.db2.gz AWLFKVYUVLWEAL-OLZOCXBDSA-N 0 1 289.383 0.908 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)NC(=O)[C@@H]1CCCCN1C ZINC001284227622 905208921 /nfs/dbraw/zinc/20/89/21/905208921.db2.gz MWEGAFXPVGLWKA-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)NC(=O)C1=NC(=O)N(C)C1 ZINC001284257818 905225310 /nfs/dbraw/zinc/22/53/10/905225310.db2.gz UXFLWNSRVIYUQQ-JTQLQIEISA-N 0 1 294.355 0.717 20 30 CCEDMN CC#CC[NH2+][C@H]1CN(C(=O)Cc2nnc[n-]2)CC1(C)C ZINC001284337104 905251274 /nfs/dbraw/zinc/25/12/74/905251274.db2.gz XOTHSLCAOGHCAW-NSHDSACASA-N 0 1 275.356 0.197 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ccc(C)cc1 ZINC001284515251 905347581 /nfs/dbraw/zinc/34/75/81/905347581.db2.gz BLSIZVVZOQDIFS-HNNXBMFYSA-N 0 1 274.364 0.993 20 30 CCEDMN CC#CCN(C)CCN(C(=O)CCNC(=O)NC)C(C)C ZINC001284534213 905360933 /nfs/dbraw/zinc/36/09/33/905360933.db2.gz ZRWXGIAFBWSNFD-UHFFFAOYSA-N 0 1 296.415 0.498 20 30 CCEDMN C#CCN(C)CCN(C(=O)c1cnns1)C(C)C ZINC001284528791 905363485 /nfs/dbraw/zinc/36/34/85/905363485.db2.gz AOLPCRBPRWUYGD-UHFFFAOYSA-N 0 1 266.370 0.954 20 30 CCEDMN CC(C)C#CC(=O)NC1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001285192180 905566951 /nfs/dbraw/zinc/56/69/51/905566951.db2.gz NVOGCBPHYUHYNY-UHFFFAOYSA-N 0 1 289.339 0.089 20 30 CCEDMN CC(C)C#CC(=O)NC1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001285192180 905566960 /nfs/dbraw/zinc/56/69/60/905566960.db2.gz NVOGCBPHYUHYNY-UHFFFAOYSA-N 0 1 289.339 0.089 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001285399579 905613098 /nfs/dbraw/zinc/61/30/98/905613098.db2.gz LHRIVLPGIUTBEO-MNOVXSKESA-N 0 1 291.355 0.786 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)N(C)C(=O)c1ccn[nH]1 ZINC001285656279 905707196 /nfs/dbraw/zinc/70/71/96/905707196.db2.gz XHUUVFLPOSPLDL-NSHDSACASA-N 0 1 276.340 0.790 20 30 CCEDMN C[C@@H](CNC(=O)c1[nH]ncc1F)NC(=O)C#CC(C)(C)C ZINC001285728321 905744586 /nfs/dbraw/zinc/74/45/86/905744586.db2.gz JOGHAMASRYSIQI-VIFPVBQESA-N 0 1 294.330 0.833 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H]1CN(C(=O)COCC)CCO1 ZINC001378756215 905800232 /nfs/dbraw/zinc/80/02/32/905800232.db2.gz GJELFOLGWBITHQ-NWDGAFQWSA-N 0 1 290.791 0.981 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H](C)NC(C)=O ZINC001379058504 905954090 /nfs/dbraw/zinc/95/40/90/905954090.db2.gz PYMYDDVCEBQDQO-UWVGGRQHSA-N 0 1 275.780 0.700 20 30 CCEDMN C=C(C)CCC(=O)N[C@H]1CC[N@@H+](CCN2CCOCC2)C1 ZINC001337143350 921199600 /nfs/dbraw/zinc/19/96/00/921199600.db2.gz SNBYRTXLWSPRNK-HNNXBMFYSA-N 0 1 295.427 0.865 20 30 CCEDMN C=C(C)CCC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC001337143350 921199611 /nfs/dbraw/zinc/19/96/11/921199611.db2.gz SNBYRTXLWSPRNK-HNNXBMFYSA-N 0 1 295.427 0.865 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001288515626 906039619 /nfs/dbraw/zinc/03/96/19/906039619.db2.gz RGOSCHGZNIRXCE-VHSXEESVSA-N 0 1 295.343 0.020 20 30 CCEDMN N#Cc1cccc(CN[C@H](CO)CNC(=O)C2CCC2)c1 ZINC001379334864 906154093 /nfs/dbraw/zinc/15/40/93/906154093.db2.gz OJZGINSBIYPLFW-HNNXBMFYSA-N 0 1 287.363 0.925 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001293533647 906484169 /nfs/dbraw/zinc/48/41/69/906484169.db2.gz KFVUPTYVYLKDIB-GHMZBOCLSA-N 0 1 292.339 0.332 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN(C(=O)c2cnc(C)[nH]2)C1 ZINC001293547577 906486560 /nfs/dbraw/zinc/48/65/60/906486560.db2.gz DFCVVEMJZZHTIU-LLVKDONJSA-N 0 1 292.339 0.251 20 30 CCEDMN C#CCN(CC#C)C(=O)c1ccc(CN(C)C)nc1 ZINC001293837145 906518919 /nfs/dbraw/zinc/51/89/19/906518919.db2.gz JHQDWFFFFJZFEW-UHFFFAOYSA-N 0 1 255.321 0.852 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@H]1CCC(=O)N1)C1CC1 ZINC001379907511 906531196 /nfs/dbraw/zinc/53/11/96/906531196.db2.gz JPXAEPNJTOYEJJ-VXGBXAGGSA-N 0 1 299.802 0.892 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H]([C@@H](C)O)C2)CC1 ZINC001337466850 921255628 /nfs/dbraw/zinc/25/56/28/921255628.db2.gz MTZOSPUMULJCKE-TZMCWYRMSA-N 0 1 264.369 0.561 20 30 CCEDMN CCNC(=O)CN1CC[C@@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001380204836 906671740 /nfs/dbraw/zinc/67/17/40/906671740.db2.gz JLMIKJJZPNVKQJ-SWLSCSKDSA-N 0 1 292.383 0.207 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001295614961 906771980 /nfs/dbraw/zinc/77/19/80/906771980.db2.gz HPDTZYUOBISBLJ-UWVGGRQHSA-N 0 1 279.344 0.642 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001295614961 906771993 /nfs/dbraw/zinc/77/19/93/906771993.db2.gz HPDTZYUOBISBLJ-UWVGGRQHSA-N 0 1 279.344 0.642 20 30 CCEDMN CC#CCCNC(=O)C(=O)NC[C@H]1Cc2ccccc2CN1 ZINC001337540759 921268193 /nfs/dbraw/zinc/26/81/93/921268193.db2.gz XKTVXXGDSSBQNG-OAHLLOKOSA-N 0 1 299.374 0.347 20 30 CCEDMN CCN(CCNC(=O)CN1CCCC1)C(=O)C#CC(C)C ZINC001296305511 906898150 /nfs/dbraw/zinc/89/81/50/906898150.db2.gz XSTOLSPFFIXAAV-UHFFFAOYSA-N 0 1 293.411 0.706 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)[C@H]1CCC(=O)N1 ZINC001380827783 906948798 /nfs/dbraw/zinc/94/87/98/906948798.db2.gz GXXSXAVDLFODTK-VXGBXAGGSA-N 0 1 299.802 0.988 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CC(=O)N(C)C2)CCC1 ZINC001380856678 906966383 /nfs/dbraw/zinc/96/63/83/906966383.db2.gz GPXPPYHJBZKOKS-LLVKDONJSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1ncn(C)n1 ZINC001381356640 907171231 /nfs/dbraw/zinc/17/12/31/907171231.db2.gz AESRTJBAFCBRDN-BDAKNGLRSA-N 0 1 271.752 0.664 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001298551091 907269127 /nfs/dbraw/zinc/26/91/27/907269127.db2.gz SCPFHIJROYTTNZ-ZJUUUORDSA-N 0 1 295.343 0.020 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)[C@@H]1C ZINC001337941425 921321428 /nfs/dbraw/zinc/32/14/28/921321428.db2.gz YADXOMXNNXUUIR-WDEREUQCSA-N 0 1 289.339 0.183 20 30 CCEDMN CCc1cc(C(=O)N(C)C[C@@H](C)NC(=O)[C@@H](C)C#N)n[nH]1 ZINC001381877752 907440476 /nfs/dbraw/zinc/44/04/76/907440476.db2.gz WEZBTYFMPHAHPC-VHSXEESVSA-N 0 1 291.355 0.708 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCNC(=O)Cc2nnc[nH]2)C1 ZINC001491772787 907558912 /nfs/dbraw/zinc/55/89/12/907558912.db2.gz IEBCGDKHDLQMOS-UHFFFAOYSA-N 0 1 291.355 0.326 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCNC(N)=O ZINC001382156834 907577016 /nfs/dbraw/zinc/57/70/16/907577016.db2.gz FSQHAQOYIJGHDY-VIFPVBQESA-N 0 1 276.768 0.234 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@H]1CCCN(C(=O)C(C)C)C1 ZINC001491908912 907630005 /nfs/dbraw/zinc/63/00/05/907630005.db2.gz JTNCFQSYAAIGAZ-KBPBESRZSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1CCCNC(=O)c1[n-]nnc1C ZINC001492163314 907720523 /nfs/dbraw/zinc/72/05/23/907720523.db2.gz HCXKSONAQPRCGB-GFCCVEGCSA-N 0 1 275.356 0.721 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1CCCNC(=O)c1[n-]nnc1C ZINC001492163314 907720539 /nfs/dbraw/zinc/72/05/39/907720539.db2.gz HCXKSONAQPRCGB-GFCCVEGCSA-N 0 1 275.356 0.721 20 30 CCEDMN CCNC(=O)CN1CCC([C@@H](C)NC(=O)[C@@H](C)C#N)CC1 ZINC001382555196 907788199 /nfs/dbraw/zinc/78/81/99/907788199.db2.gz AZDPZOKSTMKCTL-NWDGAFQWSA-N 0 1 294.399 0.499 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H](C)CNC(=O)CN1CCCC1 ZINC001382986399 907913263 /nfs/dbraw/zinc/91/32/63/907913263.db2.gz WTUUQEYQJHOSMH-RYUDHWBXSA-N 0 1 280.372 0.205 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCCNC(=O)c1ncn[nH]1 ZINC001492476688 907941706 /nfs/dbraw/zinc/94/17/06/907941706.db2.gz PYGFDTFLNIICQG-GHMZBOCLSA-N 0 1 293.371 0.841 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)N(C)CCCNC(=O)c1nc[nH]n1 ZINC001492476688 907941714 /nfs/dbraw/zinc/94/17/14/907941714.db2.gz PYGFDTFLNIICQG-GHMZBOCLSA-N 0 1 293.371 0.841 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)C[C@H]3CC(=O)NC3=O)[nH]c2c1 ZINC001301792844 907990042 /nfs/dbraw/zinc/99/00/42/907990042.db2.gz NRFPJTOFCZLUTL-MRVPVSSYSA-N 0 1 297.274 0.426 20 30 CCEDMN C#CCN(CC)CCNC(=O)C(=O)N1CCC[C@H](C)C1 ZINC001317489584 908495397 /nfs/dbraw/zinc/49/53/97/908495397.db2.gz LUFWNWCDSVNIRC-ZDUSSCGKSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H](F)C(C)C)C1 ZINC001316743588 908520910 /nfs/dbraw/zinc/52/09/10/908520910.db2.gz HAUYBCRDEAVTEO-KBPBESRZSA-N 0 1 284.375 0.899 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2cncs2)C1 ZINC001316744052 908566528 /nfs/dbraw/zinc/56/65/28/908566528.db2.gz MBULZDHVNOFUKE-GFCCVEGCSA-N 0 1 293.392 0.675 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2cccnc2)C1 ZINC001317514858 908568760 /nfs/dbraw/zinc/56/87/60/908568760.db2.gz YGFACROHFIGACK-AWEZNQCLSA-N 0 1 287.363 0.783 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCC(=O)OC(C)(C)C ZINC001312375534 908597748 /nfs/dbraw/zinc/59/77/48/908597748.db2.gz HYKAFRFPPKSNAR-UHFFFAOYSA-N 0 1 283.372 0.582 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](CNC(=O)C(C)(C)C)C1 ZINC001317532800 908602805 /nfs/dbraw/zinc/60/28/05/908602805.db2.gz OKQSQZKVLKGBLS-CHWSQXEVSA-N 0 1 293.411 0.609 20 30 CCEDMN CCCCN(CCCO)C(=O)NCC#CCN(C)C ZINC001313439618 908666233 /nfs/dbraw/zinc/66/62/33/908666233.db2.gz OIMOWYZEPCPXKW-UHFFFAOYSA-N 0 1 269.389 0.746 20 30 CCEDMN CC(C)[C@](C)(O)CNC(=O)NCC#CCN(C)C ZINC001313438898 908667042 /nfs/dbraw/zinc/66/70/42/908667042.db2.gz QNASCVQKZIPTOP-CYBMUJFWSA-N 0 1 255.362 0.258 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H]1CCN(CC#N)[C@@H]1C ZINC001316796262 908775317 /nfs/dbraw/zinc/77/53/17/908775317.db2.gz ZDPZELDOYXVXIP-LOWVWBTDSA-N 0 1 275.356 0.691 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H]1CC[C@@H](F)C1 ZINC001316845666 908803277 /nfs/dbraw/zinc/80/32/77/908803277.db2.gz SDOIQKVRFRKCAU-CHWSQXEVSA-N 0 1 297.374 0.312 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2ccnn2C)C1 ZINC001316972386 908885115 /nfs/dbraw/zinc/88/51/15/908885115.db2.gz SWTMPVPVMUQICL-LBPRGKRZSA-N 0 1 278.356 0.427 20 30 CCEDMN C[C@@H](NC(=O)CCc1c[nH]nn1)[C@H]1CCCN(CC#N)C1 ZINC001317210130 909049044 /nfs/dbraw/zinc/04/90/44/909049044.db2.gz SEJMKRURCYJXRV-NEPJUHHUSA-N 0 1 290.371 0.478 20 30 CCEDMN C[C@@H](NC(=O)CCc1cnn[nH]1)[C@H]1CCCN(CC#N)C1 ZINC001317210130 909049061 /nfs/dbraw/zinc/04/90/61/909049061.db2.gz SEJMKRURCYJXRV-NEPJUHHUSA-N 0 1 290.371 0.478 20 30 CCEDMN C[C@@H](CNC(=O)C(N)=O)NCC#Cc1cccc(Cl)c1 ZINC001317264734 909101861 /nfs/dbraw/zinc/10/18/61/909101861.db2.gz JVXSOJTUBONHBR-JTQLQIEISA-N 0 1 293.754 0.271 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1coc(OC)n1 ZINC001317482623 909253302 /nfs/dbraw/zinc/25/33/02/909253302.db2.gz XMFOFBLPTABICT-UHFFFAOYSA-N 0 1 295.339 0.385 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)CCCF)C1 ZINC001317527000 909298016 /nfs/dbraw/zinc/29/80/16/909298016.db2.gz KOKMWPYTGQEHIF-GFCCVEGCSA-N 0 1 285.363 0.476 20 30 CCEDMN C#CCN(CCNC(=O)[C@H](C)n1cccn1)C1CC1 ZINC001317548611 909317989 /nfs/dbraw/zinc/31/79/89/909317989.db2.gz ZQIDVQWDMWRKFQ-LBPRGKRZSA-N 0 1 260.341 0.658 20 30 CCEDMN C#CCN(CCNC(=O)c1ccc(=O)n(CC)n1)C1CC1 ZINC001317558620 909328363 /nfs/dbraw/zinc/32/83/63/909328363.db2.gz XRUCUYQZGXSVJB-UHFFFAOYSA-N 0 1 288.351 0.091 20 30 CCEDMN C#CCN(CCNC(=O)Cc1ccc(F)cn1)C1CC1 ZINC001317554729 909333567 /nfs/dbraw/zinc/33/35/67/909333567.db2.gz ZDLABNDNHDAWDJ-UHFFFAOYSA-N 0 1 275.327 0.977 20 30 CCEDMN CN(CCNCC#N)C(=O)c1c[nH]cc2ncnc1-2 ZINC001317590658 909391561 /nfs/dbraw/zinc/39/15/61/909391561.db2.gz RQKDYMUEYXRLBZ-UHFFFAOYSA-N 0 1 258.285 0.143 20 30 CCEDMN N#CCN[C@@H](CNC(=O)CCc1c[nH]nn1)c1ccccc1 ZINC001317725706 909476647 /nfs/dbraw/zinc/47/66/47/909476647.db2.gz WWEQDEWKJHNDJP-AWEZNQCLSA-N 0 1 298.350 0.708 20 30 CCEDMN N#CCN[C@@H](CNC(=O)CCc1cnn[nH]1)c1ccccc1 ZINC001317725706 909476660 /nfs/dbraw/zinc/47/66/60/909476660.db2.gz WWEQDEWKJHNDJP-AWEZNQCLSA-N 0 1 298.350 0.708 20 30 CCEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CCCCC(N)=O)C1 ZINC001317769670 909483724 /nfs/dbraw/zinc/48/37/24/909483724.db2.gz IEXUFBIRGPERLI-CQSZACIVSA-N 0 1 293.411 0.978 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@@H]2CCCCO2)CC1 ZINC001317816589 909509444 /nfs/dbraw/zinc/50/94/44/909509444.db2.gz JNFQIEBCYUCOEL-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C(=O)c1ccn[nH]1)C1CC1 ZINC001388679578 909548849 /nfs/dbraw/zinc/54/88/49/909548849.db2.gz ZWOHJXXCQXZSOS-VIFPVBQESA-N 0 1 275.312 0.290 20 30 CCEDMN C=CCOCC(=O)NC1CN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC001318010540 909574728 /nfs/dbraw/zinc/57/47/28/909574728.db2.gz RFBQZXLOKIUUCT-VIFPVBQESA-N 0 1 279.344 0.177 20 30 CCEDMN C=CCOCC(=O)NC1CN(C[C@H]2C[C@]23CCOC3)C1 ZINC001318010650 909575386 /nfs/dbraw/zinc/57/53/86/909575386.db2.gz SKMOBOBVWPDOJR-DOMZBBRYSA-N 0 1 280.368 0.416 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)CCNC(=O)NC)C1 ZINC001318020589 909582123 /nfs/dbraw/zinc/58/21/23/909582123.db2.gz VGAXARLDLJVFAB-UHFFFAOYSA-N 0 1 268.361 0.072 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001318138960 909640175 /nfs/dbraw/zinc/64/01/75/909640175.db2.gz SYVFQFVGYSKTID-CQSZACIVSA-N 0 1 292.383 0.732 20 30 CCEDMN C#CCOCCC(=O)N1C[C@@H]2C[C@H]1CN2CCOCC ZINC001318197830 909661898 /nfs/dbraw/zinc/66/18/98/909661898.db2.gz LUZXYYJTHLPNMJ-KBPBESRZSA-N 0 1 280.368 0.348 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@]2(CC(C)C)CCNC2=O)C1 ZINC001318338557 909712395 /nfs/dbraw/zinc/71/23/95/909712395.db2.gz VDAVOQFJGQZUSP-CJNGLKHVSA-N 0 1 293.411 0.915 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CCN(CCOCC)C1 ZINC001318331369 909712698 /nfs/dbraw/zinc/71/26/98/909712698.db2.gz PTWOVTLFVRZYHY-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCCCCC(=O)N(C)[C@@H]1CCN([C@@H](CC)C(N)=O)C1 ZINC001318400369 909743618 /nfs/dbraw/zinc/74/36/18/909743618.db2.gz PCBATJUQYOUUEN-KGLIPLIRSA-N 0 1 293.411 0.977 20 30 CCEDMN Cn1nncc1CNCCNc1ncccc1C#N ZINC001319033596 909961017 /nfs/dbraw/zinc/96/10/17/909961017.db2.gz VKIZXWBTBTUVOC-UHFFFAOYSA-N 0 1 257.301 0.283 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC(OCC(N)=O)CC1 ZINC001319044596 909967056 /nfs/dbraw/zinc/96/70/56/909967056.db2.gz RJYGNHDCBHUYHN-UHFFFAOYSA-N 0 1 297.399 0.377 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H](C)NC(C)=O)C1CC1 ZINC001389555412 909967626 /nfs/dbraw/zinc/96/76/26/909967626.db2.gz QJYWNDIIOFSSBT-JOYOIKCWSA-N 0 1 287.791 0.748 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@@H]([C@H](C)NCC#N)C1 ZINC001319820056 910311155 /nfs/dbraw/zinc/31/11/55/910311155.db2.gz VLUFLFNLUPVCMO-GXSJLCMTSA-N 0 1 276.344 0.467 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCc1ccc(=O)[nH]c1 ZINC001320048655 910434255 /nfs/dbraw/zinc/43/42/55/910434255.db2.gz UCYLPYBOEOTESU-UHFFFAOYSA-N 0 1 275.352 0.743 20 30 CCEDMN C=CCN(C(=O)c1cnc[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC001338806159 921580903 /nfs/dbraw/zinc/58/09/03/921580903.db2.gz KBYNOGNDXYVKBZ-VIFPVBQESA-N 0 1 269.326 0.225 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](O)CNCc1ocnc1C ZINC001320227270 910540386 /nfs/dbraw/zinc/54/03/86/910540386.db2.gz NMWBAVPXXHSPJM-LBPRGKRZSA-N 0 1 281.356 0.906 20 30 CCEDMN C=CCO[C@@H]1CCN([C@@H](C)C(=O)NC(=O)NC)C1 ZINC001320322744 910610310 /nfs/dbraw/zinc/61/03/10/910610310.db2.gz YKBRKBWOESAMSG-VHSXEESVSA-N 0 1 255.318 0.107 20 30 CCEDMN CCC(CC)(NC(=O)c1ccc(C#N)n1C)c1nn[nH]n1 ZINC001417774644 921605945 /nfs/dbraw/zinc/60/59/45/921605945.db2.gz OIJSHNFUCFJKCE-UHFFFAOYSA-N 0 1 287.327 0.855 20 30 CCEDMN C=CCO[C@H]1CCN(CC(=O)N2CCO[C@@H](C)C2)C1 ZINC001320899955 910926339 /nfs/dbraw/zinc/92/63/39/910926339.db2.gz YWKOXVRHBFUWSS-STQMWFEESA-N 0 1 268.357 0.511 20 30 CCEDMN Cc1cc(CNC/C=C/CNC(=O)[C@H](C)C#N)ncn1 ZINC001321000519 911001540 /nfs/dbraw/zinc/00/15/40/911001540.db2.gz ZHEGQPKODMZGOW-PGLGOXFNSA-N 0 1 273.340 0.707 20 30 CCEDMN C=C(C)CCC(=O)NC/C=C\CNCC(=O)NC ZINC001321078634 911067114 /nfs/dbraw/zinc/06/71/14/911067114.db2.gz ZDAREKWWKMLPQY-PLNGDYQASA-N 0 1 253.346 0.351 20 30 CCEDMN C#Cc1ccc(C(=O)NC/C=C/CNCCF)nc1 ZINC001321369152 911263931 /nfs/dbraw/zinc/26/39/31/911263931.db2.gz QAUWDRDBBUURRM-ONEGZZNKSA-N 0 1 261.300 0.908 20 30 CCEDMN NC(=O)c1cc2c([nH]c1=O)CCCC2=NNc1cccnn1 ZINC001321599575 911392838 /nfs/dbraw/zinc/39/28/38/911392838.db2.gz YLDLTXFBLXZWQH-UHFFFAOYSA-N 0 1 298.306 0.829 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1CCc2cncn2C1 ZINC001322191560 911704480 /nfs/dbraw/zinc/70/44/80/911704480.db2.gz BZRAHUGTNLWBEI-STQMWFEESA-N 0 1 274.368 0.515 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cc(C)c(C(N)=O)cn1 ZINC001322247668 911725127 /nfs/dbraw/zinc/72/51/27/911725127.db2.gz GUHCZOLXFVZZNB-NSHDSACASA-N 0 1 288.351 0.172 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnnn1-c1ccccc1 ZINC001322258483 911734317 /nfs/dbraw/zinc/73/43/17/911734317.db2.gz NMOXSFMAKGTJOP-CYBMUJFWSA-N 0 1 297.362 0.951 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)C(C)(C)NC(C)=O ZINC001322269097 911737343 /nfs/dbraw/zinc/73/73/43/911737343.db2.gz JRSDWGUWLCMJJA-NSHDSACASA-N 0 1 267.373 0.361 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1[nH]cnc1CC ZINC001392420877 911795205 /nfs/dbraw/zinc/79/52/05/911795205.db2.gz KLYDCLGMSPLPDK-VIFPVBQESA-N 0 1 286.763 0.405 20 30 CCEDMN C#CCCN1CCN(c2cc(C)nc(CO)n2)CC1 ZINC001322678075 911913233 /nfs/dbraw/zinc/91/32/33/911913233.db2.gz ODFMTSUZDHPFAM-UHFFFAOYSA-N 0 1 260.341 0.423 20 30 CCEDMN N#CC1(NC(=O)CN2C[C@H]3CCC[C@@H](C2)C3O)CCC1 ZINC001322684435 911917650 /nfs/dbraw/zinc/91/76/50/911917650.db2.gz SNBJJZIGRDXBII-ONXXMXGDSA-N 0 1 277.368 0.642 20 30 CCEDMN C#CCN(CC#CC)C(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC001322778072 911948958 /nfs/dbraw/zinc/94/89/58/911948958.db2.gz FKAHESINRUOCNR-ZDUSSCGKSA-N 0 1 298.346 0.388 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cccn(C)c1=O ZINC001392609062 911987821 /nfs/dbraw/zinc/98/78/21/911987821.db2.gz REJKNQHPPVCAQS-JTQLQIEISA-N 0 1 283.759 0.846 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCc3c[nH]nc3C2)CC1 ZINC001323203418 912179431 /nfs/dbraw/zinc/17/94/31/912179431.db2.gz BVVBMAGDEHFYKV-NSHDSACASA-N 0 1 287.367 0.619 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H](OC)C1CC1 ZINC001323232869 912207878 /nfs/dbraw/zinc/20/78/78/912207878.db2.gz SFVKTUVOGCOORJ-OLZOCXBDSA-N 0 1 250.342 0.625 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)Cc1nnc(C)o1 ZINC001323231587 912209319 /nfs/dbraw/zinc/20/93/19/912209319.db2.gz LALFGHLTSCHURH-NSHDSACASA-N 0 1 264.329 0.687 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](C)n1cncn1 ZINC001398901039 914938319 /nfs/dbraw/zinc/93/83/19/914938319.db2.gz DFYVJXRAIUVQCO-UWVGGRQHSA-N 0 1 271.752 0.686 20 30 CCEDMN C#CCCN(CCOC)C(=O)NCc1n[nH]c(COC)n1 ZINC001328107116 914973758 /nfs/dbraw/zinc/97/37/58/914973758.db2.gz KNBBRWLPCFQEJL-UHFFFAOYSA-N 0 1 295.343 0.132 20 30 CCEDMN C#CCCN(CCOC)C(=O)NCc1nnc(COC)[nH]1 ZINC001328107116 914973767 /nfs/dbraw/zinc/97/37/67/914973767.db2.gz KNBBRWLPCFQEJL-UHFFFAOYSA-N 0 1 295.343 0.132 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)C[C@@H]1CCCN1C(C)=O ZINC001328702755 915362577 /nfs/dbraw/zinc/36/25/77/915362577.db2.gz JVZNMKWDNUEJQZ-YPMHNXCESA-N 0 1 267.373 0.620 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@]1(CC)CCNC1=O ZINC001328733351 915399668 /nfs/dbraw/zinc/39/96/68/915399668.db2.gz OQXGBPWRVDQTIU-CJNGLKHVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#C[C@@H](NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-])C(C)C ZINC001328750135 915409656 /nfs/dbraw/zinc/40/96/56/915409656.db2.gz XKJPSNQJIIPFMW-MRVPVSSYSA-N 0 1 286.313 0.264 20 30 CCEDMN C[C@H](NC[C@H](O)CC(C)(C)C#N)c1ncnn1C ZINC001328989876 915573201 /nfs/dbraw/zinc/57/32/01/915573201.db2.gz PHWLFAFMQBMLQT-VHSXEESVSA-N 0 1 251.334 0.766 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H]1CCN(Cc2ccn(C)n2)C1 ZINC001400144031 915583810 /nfs/dbraw/zinc/58/38/10/915583810.db2.gz IHVPADMCCHQNMU-OLZOCXBDSA-N 0 1 289.383 0.908 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1ncoc1C ZINC001329394718 915879443 /nfs/dbraw/zinc/87/94/43/915879443.db2.gz XKYXBZHTKIBJBS-NSHDSACASA-N 0 1 279.340 0.683 20 30 CCEDMN Cc1nnc(CNC[C@](C)(NC(=O)[C@H](C)C#N)C2CC2)[nH]1 ZINC001400996130 916005881 /nfs/dbraw/zinc/00/58/81/916005881.db2.gz JVGRCFSPIDDJNU-OTYXRUKQSA-N 0 1 290.371 0.647 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1c(C)nn(C)c1OC ZINC001329561703 916014696 /nfs/dbraw/zinc/01/46/96/916014696.db2.gz SPDVVSAVGLWVPL-SNVBAGLBSA-N 0 1 278.356 0.420 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CCc3[nH]nnc3C2)cn1 ZINC001329920271 916279578 /nfs/dbraw/zinc/27/95/78/916279578.db2.gz OCAOELQJGZBMED-UHFFFAOYSA-N 0 1 268.280 0.199 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1CN(C)CCO1 ZINC001401621005 916400437 /nfs/dbraw/zinc/40/04/37/916400437.db2.gz WOVGOYNLSQWZDJ-GHMZBOCLSA-N 0 1 275.780 0.164 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCc2cnn(C)c2)C1 ZINC001330083804 916401660 /nfs/dbraw/zinc/40/16/60/916401660.db2.gz BXKBAHMCMZKSEG-ZDUSSCGKSA-N 0 1 288.395 0.813 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@]2(CC)CCNC2=O)C(C)(C)C1 ZINC001330209580 916480790 /nfs/dbraw/zinc/48/07/90/916480790.db2.gz KAJLMQUYGJDIRM-MLGOLLRUSA-N 0 1 293.411 0.915 20 30 CCEDMN C[C@H](C(=O)N(C)Cc1cnc[nH]1)n1cnc(C#N)n1 ZINC001331222385 917195387 /nfs/dbraw/zinc/19/53/87/917195387.db2.gz MYKBIDBLIONDTG-MRVPVSSYSA-N 0 1 259.273 0.092 20 30 CCEDMN COCc1nc(CNC(=O)c2ccc(C#N)o2)n[nH]1 ZINC001331244231 917214949 /nfs/dbraw/zinc/21/49/49/917214949.db2.gz BCTKAZBWKMWGGA-UHFFFAOYSA-N 0 1 261.241 0.346 20 30 CCEDMN COCc1nnc(CNC(=O)c2ccc(C#N)o2)[nH]1 ZINC001331244231 917214963 /nfs/dbraw/zinc/21/49/63/917214963.db2.gz BCTKAZBWKMWGGA-UHFFFAOYSA-N 0 1 261.241 0.346 20 30 CCEDMN C#CCC(CC#C)C(=O)NCc1n[nH]c(COC)n1 ZINC001331413158 917315650 /nfs/dbraw/zinc/31/56/50/917315650.db2.gz ICPAJQYLYVDDAS-UHFFFAOYSA-N 0 1 260.297 0.230 20 30 CCEDMN C#CCC(CC#C)C(=O)NCc1nnc(COC)[nH]1 ZINC001331413158 917315658 /nfs/dbraw/zinc/31/56/58/917315658.db2.gz ICPAJQYLYVDDAS-UHFFFAOYSA-N 0 1 260.297 0.230 20 30 CCEDMN C[C@@H](CN(C)C(=O)C(N)=O)NCc1ccc(C#N)s1 ZINC001331545488 917407981 /nfs/dbraw/zinc/40/79/81/917407981.db2.gz TVFREPHPFRCIPN-QMMMGPOBSA-N 0 1 280.353 0.042 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cnc(C(C)(C)C)nc1 ZINC001331843993 917656323 /nfs/dbraw/zinc/65/63/23/917656323.db2.gz ZRTYCVTUGNGQLR-GFCCVEGCSA-N 0 1 290.367 0.088 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)CCN1 ZINC001331948152 917746305 /nfs/dbraw/zinc/74/63/05/917746305.db2.gz DTJNRFMKRBTMFT-YNEHKIRRSA-N 0 1 292.383 0.251 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)N(C)Cc2nnc[nH]2)C1 ZINC001332031897 917813399 /nfs/dbraw/zinc/81/33/99/917813399.db2.gz GQBZKMNRBWYZII-LLVKDONJSA-N 0 1 276.344 0.044 20 30 CCEDMN C=CCCC(=O)N(C)C[C@H](O)CNCc1cc(C)no1 ZINC001332489382 918210569 /nfs/dbraw/zinc/21/05/69/918210569.db2.gz IOXDHHKVJMXFAG-GFCCVEGCSA-N 0 1 281.356 0.858 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1c(C)ccn1C ZINC001332630825 918327912 /nfs/dbraw/zinc/32/79/12/918327912.db2.gz BCIYKWUEIIUVEK-AWEZNQCLSA-N 0 1 291.395 0.722 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)NC[C@@H]2CCN2C)C1 ZINC001332865878 918495828 /nfs/dbraw/zinc/49/58/28/918495828.db2.gz NFFCSRGSXFESCU-RYUDHWBXSA-N 0 1 253.346 0.677 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H](C)C#N)NCc1cc(C)n(C)n1 ZINC001405184805 918639230 /nfs/dbraw/zinc/63/92/30/918639230.db2.gz DFDKQPWGIHUURB-JQWIXIFHSA-N 0 1 277.372 0.873 20 30 CCEDMN C=C(C)[C@H](CC(=O)N1CC(N2CCN(C)CC2)C1)OCC ZINC001333282134 918771059 /nfs/dbraw/zinc/77/10/59/918771059.db2.gz LSAQYOPNXXLIJR-HNNXBMFYSA-N 0 1 295.427 0.816 20 30 CCEDMN CN(C)C1CN(C(=O)N[C@@H](CC#N)C(F)(F)F)C1 ZINC001333306043 918789836 /nfs/dbraw/zinc/78/98/36/918789836.db2.gz MHEHBDUGASOJJH-QMMMGPOBSA-N 0 1 264.251 0.786 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H]1CCO[C@H]1CC ZINC001406262110 919145282 /nfs/dbraw/zinc/14/52/82/919145282.db2.gz ZRDFMHYTKZDOMZ-UTUOFQBUSA-N 0 1 290.791 0.621 20 30 CCEDMN C#CC[C@H]1CCCN(CN2C[C@H]3CCO[C@H]3C2=O)C1 ZINC001333790771 919164307 /nfs/dbraw/zinc/16/43/07/919164307.db2.gz RZSWKTTUQOZGJE-BFHYXJOUSA-N 0 1 262.353 0.927 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](O)[C@H](F)C2)CC1 ZINC001333921629 919248007 /nfs/dbraw/zinc/24/80/07/919248007.db2.gz QJBBYPKDYNHWOT-CHWSQXEVSA-N 0 1 268.332 0.263 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H]1CN(C)C(=O)Cc1ccn[nH]1 ZINC001406457817 919282737 /nfs/dbraw/zinc/28/27/37/919282737.db2.gz BZHIGCHZHVOJBU-CMPLNLGQSA-N 0 1 289.339 0.171 20 30 CCEDMN N#CC[C@@H](O)CN1CCOCC2(CCOCC2)C1 ZINC001334049115 919344588 /nfs/dbraw/zinc/34/45/88/919344588.db2.gz ODIGIGWJIUMPSE-GFCCVEGCSA-N 0 1 254.330 0.390 20 30 CCEDMN N#C[C@@H]1CCCN(C(=O)C(=O)N[C@H]2CCN(C3CC3)C2)C1 ZINC001334602368 919675279 /nfs/dbraw/zinc/67/52/79/919675279.db2.gz GWMVVQCLVKLDBV-RYUDHWBXSA-N 0 1 290.367 0.101 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(C)CCN([C@@H](C)C(N)=O)CC1 ZINC001407265811 919682620 /nfs/dbraw/zinc/68/26/20/919682620.db2.gz UJBLEMPCDVRLOG-MNOVXSKESA-N 0 1 280.372 0.238 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCc1n[nH]c(C(C)C)n1 ZINC001334918210 919836053 /nfs/dbraw/zinc/83/60/53/919836053.db2.gz KWEKDUSULLDEMI-NSHDSACASA-N 0 1 275.356 0.642 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC001407904469 919951096 /nfs/dbraw/zinc/95/10/96/919951096.db2.gz AZMXUMZTWFIJGX-PRJMDXOYSA-N 0 1 279.275 0.085 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCN(C(C)(C)COC)CC1 ZINC001335341617 920128496 /nfs/dbraw/zinc/12/84/96/920128496.db2.gz RDTRTLZJDMZSFS-UHFFFAOYSA-N 0 1 294.403 0.796 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1ccccc1[N+](=O)[O-] ZINC001335344718 920131882 /nfs/dbraw/zinc/13/18/82/920131882.db2.gz DZCHFCRXEGITPZ-CYBMUJFWSA-N 0 1 292.339 0.891 20 30 CCEDMN COCC[C@@H]1CNCCN1C(=O)NCCCC#N ZINC001335511266 920247817 /nfs/dbraw/zinc/24/78/17/920247817.db2.gz XOKJENPWFKQSEC-LLVKDONJSA-N 0 1 254.334 0.310 20 30 CCEDMN N#Cc1cc(CS(=O)(=O)NCc2cnc[nH]2)cs1 ZINC001414308526 920282072 /nfs/dbraw/zinc/28/20/72/920282072.db2.gz RHRYWNVLKSYDIN-UHFFFAOYSA-N 0 1 282.350 0.962 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)C(C)(C)c1c[nH]cn1 ZINC001335819883 920456905 /nfs/dbraw/zinc/45/69/05/920456905.db2.gz WNDPFDFOBRGHBN-UHFFFAOYSA-N 0 1 278.312 0.603 20 30 CCEDMN CCCN(CCNC(=O)Cc1cnc[nH]1)C(=O)[C@@H](C)C#N ZINC001415823415 920837662 /nfs/dbraw/zinc/83/76/62/920837662.db2.gz AXPYGYHCEGGHMD-NSHDSACASA-N 0 1 291.355 0.467 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1ccns1 ZINC001336710976 920944130 /nfs/dbraw/zinc/94/41/30/920944130.db2.gz UJHQCVVZSFZUBO-JTQLQIEISA-N 0 1 254.359 0.439 20 30 CCEDMN C#C[C@H](C)NCCS(=O)(=O)CC(=O)OC(C)(C)C ZINC001336766334 920991739 /nfs/dbraw/zinc/99/17/39/920991739.db2.gz VDOPEOLABKYJHX-JTQLQIEISA-N 0 1 275.370 0.354 20 30 CCEDMN C=CCn1c(-c2c[nH]cn2)nnc1N1CCN(CC)CC1 ZINC001339828952 921845037 /nfs/dbraw/zinc/84/50/37/921845037.db2.gz GZZFYBBEXCUQHD-UHFFFAOYSA-N 0 1 287.371 0.996 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@@H]1CCC(=O)N1 ZINC001418171850 921869436 /nfs/dbraw/zinc/86/94/36/921869436.db2.gz GXXSXAVDLFODTK-RYUDHWBXSA-N 0 1 299.802 0.988 20 30 CCEDMN C#CCC1(NC(=O)Cc2n[nH]c(C)n2)CCOCC1 ZINC001340049397 921935804 /nfs/dbraw/zinc/93/58/04/921935804.db2.gz OBUVRHSMZOHTCQ-UHFFFAOYSA-N 0 1 262.313 0.344 20 30 CCEDMN C=CCn1c(C[C@H](C)O)nnc1N1CCN(CC)[C@@H](C)C1 ZINC001340317202 922052479 /nfs/dbraw/zinc/05/24/79/922052479.db2.gz MOHGTFYBHQLKNJ-STQMWFEESA-N 0 1 293.415 0.918 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1CCOCC1 ZINC001340493638 922098167 /nfs/dbraw/zinc/09/81/67/922098167.db2.gz XDCQYOWXWDTSLT-UHFFFAOYSA-N 0 1 261.289 0.086 20 30 CCEDMN C#CCNCC(=O)NC[C@](C)(O)c1ccsc1 ZINC001340541200 922115831 /nfs/dbraw/zinc/11/58/31/922115831.db2.gz QKZPCDIFMQAHJX-LBPRGKRZSA-N 0 1 252.339 0.295 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC001340906384 922267385 /nfs/dbraw/zinc/26/73/85/922267385.db2.gz BKIBTPPEKVGERJ-XQHKEYJVSA-N 0 1 256.309 0.413 20 30 CCEDMN C#CCNCC(=O)NCc1ccc2c(c1)C[C@H](C)O2 ZINC001341052262 922328967 /nfs/dbraw/zinc/32/89/67/922328967.db2.gz WXTVZMUQRSXRAP-NSHDSACASA-N 0 1 258.321 0.849 20 30 CCEDMN C=C[C@@H](O)c1nnc(N2CCN(C(C)C)CC2)n1C ZINC001341343741 922435010 /nfs/dbraw/zinc/43/50/10/922435010.db2.gz KFBUJDFBRHNZMY-LLVKDONJSA-N 0 1 265.361 0.565 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1snnc1C1CC1 ZINC001341643361 922600120 /nfs/dbraw/zinc/60/01/20/922600120.db2.gz MTEMBAQFYXTRGA-SFYZADRCSA-N 0 1 263.326 0.257 20 30 CCEDMN CCc1c[nH]c(=O)c(C(O)=C(C#N)C(=O)NCCOC)c1 ZINC001341950611 922736126 /nfs/dbraw/zinc/73/61/26/922736126.db2.gz PFZAEXFYVKKHBA-LLVKDONJSA-N 0 1 291.307 0.435 20 30 CCEDMN C=C(C)Cn1c(CO)nnc1N1CCN(CC2CC2)CC1 ZINC001341960608 922739644 /nfs/dbraw/zinc/73/96/44/922739644.db2.gz CIZMSVWHTVBHML-UHFFFAOYSA-N 0 1 291.399 0.879 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001342047961 922778788 /nfs/dbraw/zinc/77/87/88/922778788.db2.gz FNEOAVKJGKIKME-MVVXRAEJSA-N 0 1 278.308 0.025 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)[C@H](N)c2ccccc2)CCO1 ZINC001342111450 922808156 /nfs/dbraw/zinc/80/81/56/922808156.db2.gz LARKRZLJZKSBKW-TZMCWYRMSA-N 0 1 259.309 0.827 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1C[C@H]2[C@@H](C1)C2(F)F ZINC001342194495 922858040 /nfs/dbraw/zinc/85/80/40/922858040.db2.gz XDEVKGFAFLGBGZ-OCAPTIKFSA-N 0 1 293.281 0.951 20 30 CCEDMN C#CCNCC(=O)NC[C@H](n1cccn1)C(F)(F)F ZINC001342298784 922899650 /nfs/dbraw/zinc/89/96/50/922899650.db2.gz NWHSKIKUWBXCSL-VIFPVBQESA-N 0 1 274.246 0.326 20 30 CCEDMN C[C@H](C(=O)C(C#N)C(=O)NC1CC1)[C@@H]1CCCO1 ZINC001342723352 923071459 /nfs/dbraw/zinc/07/14/59/923071459.db2.gz XJKLDEZFULAWFK-GDPRMGEGSA-N 0 1 250.298 0.789 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccn2nnnc2c1 ZINC001419841637 923130008 /nfs/dbraw/zinc/13/00/08/923130008.db2.gz QCJNGBCFJQFUCY-VIFPVBQESA-N 0 1 294.746 0.585 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)CN1CCSC1=O ZINC001342925095 923135077 /nfs/dbraw/zinc/13/50/77/923135077.db2.gz RTCONJHRUUIRAT-MRVPVSSYSA-N 0 1 264.310 0.765 20 30 CCEDMN C=CCCn1cc(C(=O)N2CCNC[C@H]2CCOC)nn1 ZINC001343107058 923203592 /nfs/dbraw/zinc/20/35/92/923203592.db2.gz ZLIXGMUQWRZPJE-GFCCVEGCSA-N 0 1 293.371 0.305 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001343252759 923247767 /nfs/dbraw/zinc/24/77/67/923247767.db2.gz AUGOCEHFEJYZSL-DOMZBBRYSA-N 0 1 278.352 0.544 20 30 CCEDMN N#C[C@@H]1C[NH2+]C[C@H]1NC(=O)c1ccc(Cl)cc1[O-] ZINC001343469311 923331060 /nfs/dbraw/zinc/33/10/60/923331060.db2.gz WWPNQRTYOXZVOZ-GMSGAONNSA-N 0 1 265.700 0.887 20 30 CCEDMN CCOC1CC2(C[C@H]2C(=O)C(C#N)C(=O)NC)C1 ZINC001343500013 923351574 /nfs/dbraw/zinc/35/15/74/923351574.db2.gz HZLKFUXITKCNIO-XWDDTNKTSA-N 0 1 250.298 0.646 20 30 CCEDMN N#CC(C(=O)COC(F)(F)F)C(=O)NC1CC1 ZINC001343590328 923382547 /nfs/dbraw/zinc/38/25/47/923382547.db2.gz NXVWTCZVMFTVMA-ZCFIWIBFSA-N 0 1 250.176 0.510 20 30 CCEDMN C#CCNCC(=O)NC[C@H](OC)c1cccc(OC)c1 ZINC001344115210 923554280 /nfs/dbraw/zinc/55/42/80/923554280.db2.gz LACXTICWCHENOU-AWEZNQCLSA-N 0 1 276.336 0.722 20 30 CCEDMN C#CCNCC(=O)NC[C@@]1(C(F)(F)F)CCCN1 ZINC001344930303 923737516 /nfs/dbraw/zinc/73/75/16/923737516.db2.gz GJRDNSJSMNBUBN-SNVBAGLBSA-N 0 1 263.263 0.010 20 30 CCEDMN C#CCN(CC1CC1)[C@H](C)C(=O)NCCCOC ZINC001345028965 923767444 /nfs/dbraw/zinc/76/74/44/923767444.db2.gz CUXYCXRXMPTZQA-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)c1nnn(C)n1)C1CC1 ZINC001420762448 923925733 /nfs/dbraw/zinc/92/57/33/923925733.db2.gz YKUYREQDJOMJKB-SECBINFHSA-N 0 1 284.751 0.061 20 30 CCEDMN COCCO[C@@H]1COCC[C@H]1NC[C@H](C#N)CCC#N ZINC001345634565 923933320 /nfs/dbraw/zinc/93/33/20/923933320.db2.gz JAUVPAYXUAPLJX-BFHYXJOUSA-N 0 1 281.356 0.840 20 30 CCEDMN C=CCN(C)c1nnc(C2=NO[C@H](CO)C2)n1CC1CC1 ZINC001346005189 924040570 /nfs/dbraw/zinc/04/05/70/924040570.db2.gz SCIGLJCKTUKYBT-NSHDSACASA-N 0 1 291.355 0.796 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CN1CCCC1=O ZINC001421107306 924157511 /nfs/dbraw/zinc/15/75/11/924157511.db2.gz UBRZRRQLKJUNBE-LBPRGKRZSA-N 0 1 299.802 0.942 20 30 CCEDMN C=CC(C)(C)NC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001346767198 924306328 /nfs/dbraw/zinc/30/63/28/924306328.db2.gz ODAINAVGIKOHQR-NWDGAFQWSA-N 0 1 268.405 0.885 20 30 CCEDMN C#CC1CCN(C(=O)[C@H]2CN3CCN2C[C@@H]3C)CC1 ZINC001347193840 924395326 /nfs/dbraw/zinc/39/53/26/924395326.db2.gz GLTOURLVOGZRLZ-GXTWGEPZSA-N 0 1 261.369 0.247 20 30 CCEDMN C#CC1CCN(C(=O)[C@@H]2CN3CCN2C[C@@H]3C)CC1 ZINC001347193841 924396896 /nfs/dbraw/zinc/39/68/96/924396896.db2.gz GLTOURLVOGZRLZ-JSGCOSHPSA-N 0 1 261.369 0.247 20 30 CCEDMN N#CC1(NC(=O)[C@H]2CCCc3n[nH]nc32)CCOCC1 ZINC001347488828 924460574 /nfs/dbraw/zinc/46/05/74/924460574.db2.gz BDVPIIHPZPBZSM-VIFPVBQESA-N 0 1 275.312 0.414 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N1CCC(C#N)CC1 ZINC001347528340 924471969 /nfs/dbraw/zinc/47/19/69/924471969.db2.gz MOPXVILOIFOQQW-DGCLKSJQSA-N 0 1 262.357 0.137 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CCC[N@H+](CCC)C1)C(=O)[O-] ZINC001347549279 924477440 /nfs/dbraw/zinc/47/74/40/924477440.db2.gz YXJIGAXBSOGPMX-STQMWFEESA-N 0 1 298.383 0.880 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)[C@H]2CN3CCN2C[C@@H]3C)C1 ZINC001348888436 924837107 /nfs/dbraw/zinc/83/71/07/924837107.db2.gz VBMURWWOYYBARL-BFHYXJOUSA-N 0 1 261.369 0.247 20 30 CCEDMN C=CC[C@@H](C)NC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001349878706 925082928 /nfs/dbraw/zinc/08/29/28/925082928.db2.gz FQFKCRFKAPKGLI-CHWSQXEVSA-N 0 1 268.405 0.886 20 30 CCEDMN C#CCOc1ccc(C(=O)N2CC[C@H](c3nn[nH]n3)C2)cc1 ZINC001350233730 925152275 /nfs/dbraw/zinc/15/22/75/925152275.db2.gz GXFOQKZHSMIXML-LBPRGKRZSA-N 0 1 297.318 0.841 20 30 CCEDMN C#CC[C@H](NCc1ccnn1-c1ccncc1)C(=O)OC ZINC001350620026 925241179 /nfs/dbraw/zinc/24/11/79/925241179.db2.gz OYZAMJGNGGFCKI-AWEZNQCLSA-N 0 1 284.319 0.922 20 30 CCEDMN N#Cc1ccc(CC(=O)NCCCc2nc[nH]n2)cn1 ZINC001351534544 925431067 /nfs/dbraw/zinc/43/10/67/925431067.db2.gz WXXDOXDTJKSSHE-UHFFFAOYSA-N 0 1 270.296 0.363 20 30 CCEDMN C=CCn1c(C[C@@H](C)O)nnc1N1CCN(CC)[C@@H](C)C1 ZINC001351704657 925459333 /nfs/dbraw/zinc/45/93/33/925459333.db2.gz MOHGTFYBHQLKNJ-QWHCGFSZSA-N 0 1 293.415 0.918 20 30 CCEDMN Cc1cc(C(=O)N(C)CCCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001351874628 925491599 /nfs/dbraw/zinc/49/15/99/925491599.db2.gz YAOXLDOQVRJXIO-VIFPVBQESA-N 0 1 277.328 0.456 20 30 CCEDMN O=C(c1ccn[nH]1)N1CCC2(CC1)CC(=O)C=CO2 ZINC001352130542 925528092 /nfs/dbraw/zinc/52/80/92/925528092.db2.gz QOLUYSRAMZGGBD-UHFFFAOYSA-N 0 1 261.281 0.888 20 30 CCEDMN COc1nccc(CN[C@H]2C[C@@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001480196611 925559056 /nfs/dbraw/zinc/55/90/56/925559056.db2.gz KPQDNCMGZWMCTM-JLLWLGSASA-N 0 1 289.339 0.382 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCc1n[nH]c(C)c1C ZINC001352737547 925630285 /nfs/dbraw/zinc/63/02/85/925630285.db2.gz GXQNUPDKBASOFR-ZDUSSCGKSA-N 0 1 260.341 0.740 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001352773181 925637860 /nfs/dbraw/zinc/63/78/60/925637860.db2.gz GIYDGPHEAVMJGW-ZIAGYGMSSA-N 0 1 291.395 0.457 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)N2C[C@H]3CCN(C)[C@H]3C2)cc1 ZINC001352883795 925666004 /nfs/dbraw/zinc/66/60/04/925666004.db2.gz ZGMKTMYIOAOVAY-HIFRSBDPSA-N 0 1 290.388 0.993 20 30 CCEDMN N#CCC(=O)NC[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC001352911108 925669518 /nfs/dbraw/zinc/66/95/18/925669518.db2.gz ZMDHIMGLZZMXPH-STQMWFEESA-N 0 1 265.357 0.907 20 30 CCEDMN Cn1nc2c(c1C=NNC1=NCCN1)CSCC2 ZINC001353067082 925722268 /nfs/dbraw/zinc/72/22/68/925722268.db2.gz CCYJACDBDLBSCJ-UHFFFAOYSA-N 0 1 264.358 0.092 20 30 CCEDMN C#CCN(C(=O)C(=O)N(C)Cc1cnc[nH]1)C(C)C ZINC001353075517 925723903 /nfs/dbraw/zinc/72/39/03/925723903.db2.gz SNVYLLGBVMBWSS-UHFFFAOYSA-N 0 1 262.313 0.238 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001353097242 925730101 /nfs/dbraw/zinc/73/01/01/925730101.db2.gz DJJOWLCKHWJAMG-NSHDSACASA-N 0 1 289.339 0.089 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001353097242 925730105 /nfs/dbraw/zinc/73/01/05/925730105.db2.gz DJJOWLCKHWJAMG-NSHDSACASA-N 0 1 289.339 0.089 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)NC(=O)[C@H]1CCCCN1C ZINC001354378992 926097475 /nfs/dbraw/zinc/09/74/75/926097475.db2.gz COABLLUQARACTM-TZMCWYRMSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001354429070 926107429 /nfs/dbraw/zinc/10/74/29/926107429.db2.gz ZWRRZEDRWJJVGE-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN C=CCCCC(=O)N1CC[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001354929092 926184286 /nfs/dbraw/zinc/18/42/86/926184286.db2.gz YILFWRXLRABKOP-LLVKDONJSA-N 0 1 291.355 0.739 20 30 CCEDMN C=CCCCC(=O)N(C)CCNC(=O)c1ncn[nH]1 ZINC001355823105 926317601 /nfs/dbraw/zinc/31/76/01/926317601.db2.gz CQIAZYWSXZAVRZ-UHFFFAOYSA-N 0 1 265.317 0.349 20 30 CCEDMN C=CCCCC(=O)N(C)CCNC(=O)c1nc[nH]n1 ZINC001355823105 926317609 /nfs/dbraw/zinc/31/76/09/926317609.db2.gz CQIAZYWSXZAVRZ-UHFFFAOYSA-N 0 1 265.317 0.349 20 30 CCEDMN CC(C)C#CC(=O)NC1CN(C(=O)c2ccn[nH]2)C1 ZINC001356758030 926432442 /nfs/dbraw/zinc/43/24/42/926432442.db2.gz YUIJCJFHPPCCND-UHFFFAOYSA-N 0 1 260.297 0.010 20 30 CCEDMN C#CCNC(=O)CCNCc1nnc2ccc(Cl)cn21 ZINC001357260449 926504781 /nfs/dbraw/zinc/50/47/81/926504781.db2.gz OTFHJWQAROXBLR-UHFFFAOYSA-N 0 1 291.742 0.612 20 30 CCEDMN C=CCOCCCNC(=O)N1CCNC[C@H]1COC ZINC001357519198 926554704 /nfs/dbraw/zinc/55/47/04/926554704.db2.gz RCSJAAUPNNTUMS-LBPRGKRZSA-N 0 1 271.361 0.209 20 30 CCEDMN C#CCN(C)c1nnc(C2CC2)n1CCc1nc(C)n[nH]1 ZINC001358946733 926870201 /nfs/dbraw/zinc/87/02/01/926870201.db2.gz GZHBMLCWUUMNBH-UHFFFAOYSA-N 0 1 285.355 0.894 20 30 CCEDMN C#CCN(C)c1nnc(C2CC2)n1CCc1nnc(C)[nH]1 ZINC001358946733 926870215 /nfs/dbraw/zinc/87/02/15/926870215.db2.gz GZHBMLCWUUMNBH-UHFFFAOYSA-N 0 1 285.355 0.894 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@]12C[C@H]1CCC2 ZINC001422329092 927226646 /nfs/dbraw/zinc/22/66/46/927226646.db2.gz KLKUVPSNLTXJOJ-NTZNESFSSA-N 0 1 272.776 0.996 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc(F)c[nH]1 ZINC001422339760 927234080 /nfs/dbraw/zinc/23/40/80/927234080.db2.gz ZBLVGJJYBBAIGQ-VIFPVBQESA-N 0 1 275.711 0.587 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)Cn3ccnc3)[C@@H]2C1 ZINC001422866845 927561247 /nfs/dbraw/zinc/56/12/47/927561247.db2.gz RYYPEIWKMRTKBF-XYYAHUGASA-N 0 1 294.786 0.930 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1csc(C#N)c1 ZINC001362260842 927792554 /nfs/dbraw/zinc/79/25/54/927792554.db2.gz BNMQTFAUSBLWEB-NSHDSACASA-N 0 1 292.408 0.985 20 30 CCEDMN CNC(=O)CN1CCC[C@](C)(CNC(=O)[C@H](C)C#N)C1 ZINC001423688349 927957046 /nfs/dbraw/zinc/95/70/46/927957046.db2.gz VERRZGJWVLXRFA-BXUZGUMPSA-N 0 1 280.372 0.110 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCc1ccnc(C#N)c1 ZINC001362421191 927995779 /nfs/dbraw/zinc/99/57/79/927995779.db2.gz RVBUPGVFXKURJD-OCCSQVGLSA-N 0 1 274.324 0.025 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@H](C)CNC(=O)[C@H](C)C#N)[nH]1 ZINC001424291862 928151314 /nfs/dbraw/zinc/15/13/14/928151314.db2.gz NMHPFVDYTVKQTP-RKDXNWHRSA-N 0 1 277.328 0.455 20 30 CCEDMN N#Cc1csc(C(=O)N2C[C@@H]3CCCN3C[C@@H]2CO)c1 ZINC001362833635 928548058 /nfs/dbraw/zinc/54/80/58/928548058.db2.gz ZLYCSOFGQPVGNB-NWDGAFQWSA-N 0 1 291.376 0.901 20 30 CCEDMN CCn1nnc(C)c1CN[C@@H](C)CN(C)C(=O)[C@H](C)C#N ZINC001425549547 928587489 /nfs/dbraw/zinc/58/74/89/928587489.db2.gz WEKWBGCZTCUFBH-MNOVXSKESA-N 0 1 292.387 0.703 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)NCCn3cnc(C#N)n3)c2C1 ZINC001362869084 928595095 /nfs/dbraw/zinc/59/50/95/928595095.db2.gz OHTYXILPGDLGMR-VIFPVBQESA-N 0 1 299.338 0.428 20 30 CCEDMN Cc1ccncc1CN[C@@H](CO)CNC(=O)[C@@H](C)C#N ZINC001425709322 928633015 /nfs/dbraw/zinc/63/30/15/928633015.db2.gz GOXRJLCDSWAREE-WCQYABFASA-N 0 1 276.340 0.116 20 30 CCEDMN Cc1csc(CNC[C@@H](O)CN(C)C(=O)[C@@H](C)C#N)n1 ZINC001425978129 928723286 /nfs/dbraw/zinc/72/32/86/928723286.db2.gz CMLJAEFILWVKDT-GXSJLCMTSA-N 0 1 296.396 0.520 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001363120228 928895077 /nfs/dbraw/zinc/89/50/77/928895077.db2.gz HVHDBSBPQXTBON-NXEZZACHSA-N 0 1 275.312 0.475 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CC[C@H](c2nn[n-]n2)C1 ZINC001363215965 928984370 /nfs/dbraw/zinc/98/43/70/928984370.db2.gz FJFVJSCKHOAKPQ-QMMMGPOBSA-N 0 1 272.268 0.603 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001363215965 928984379 /nfs/dbraw/zinc/98/43/79/928984379.db2.gz FJFVJSCKHOAKPQ-QMMMGPOBSA-N 0 1 272.268 0.603 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)CNc1ccc(C#N)cn1 ZINC001363237636 929011650 /nfs/dbraw/zinc/01/16/50/929011650.db2.gz LSXRZYFHPCNOEE-UHFFFAOYSA-N 0 1 270.296 0.747 20 30 CCEDMN N#Cc1ccnc(C(=O)NCCN2CCC(O)CC2)c1 ZINC001363263549 929045106 /nfs/dbraw/zinc/04/51/06/929045106.db2.gz KYBBNKKUHRWMEC-UHFFFAOYSA-N 0 1 274.324 0.140 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@H](Cc3nn[nH]n3)C2)[nH]1 ZINC001363432956 929223684 /nfs/dbraw/zinc/22/36/84/929223684.db2.gz JIDADSQSDGUGRF-SECBINFHSA-N 0 1 285.311 0.494 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC001363457018 929259052 /nfs/dbraw/zinc/25/90/52/929259052.db2.gz ZFGHZSITXKUTQR-NSHDSACASA-N 0 1 290.323 0.063 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](C)NC(=O)CCc1cnc[nH]1 ZINC001428786828 929317976 /nfs/dbraw/zinc/31/79/76/929317976.db2.gz LKZNFRNMIMNKRC-UWVGGRQHSA-N 0 1 277.328 0.123 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC(c3nn[nH]n3)CC2)[nH]1 ZINC001363518252 929320250 /nfs/dbraw/zinc/32/02/50/929320250.db2.gz DUVFBFZPHMFGGS-UHFFFAOYSA-N 0 1 271.284 0.419 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](NC(=O)[C@H]2CCCCN2C)C1 ZINC001430239285 929597098 /nfs/dbraw/zinc/59/70/98/929597098.db2.gz NYQPNTACRWFGNS-FVCCEPFGSA-N 0 1 292.383 0.394 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)Cc1cccc(C(N)=O)c1 ZINC001371924786 929671135 /nfs/dbraw/zinc/67/11/35/929671135.db2.gz BSLJFMUEOCPCLC-NSHDSACASA-N 0 1 288.351 0.493 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H](C)C#N)NCc1cc(C)ncn1 ZINC001443898358 929734574 /nfs/dbraw/zinc/73/45/74/929734574.db2.gz MHNXSHWIVPNMMY-PWSUYJOCSA-N 0 1 275.356 0.929 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001372159303 929747683 /nfs/dbraw/zinc/74/76/83/929747683.db2.gz ZUWITZNJHGEPAB-SNVBAGLBSA-N 0 1 289.339 0.538 20 30 CCEDMN C[C@@H](CNCc1cnon1)CNC(=O)c1ccc(C#N)[nH]1 ZINC001444299928 929835187 /nfs/dbraw/zinc/83/51/87/929835187.db2.gz RYAFQIWMUWNXFV-VIFPVBQESA-N 0 1 288.311 0.425 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CCN(CC(=O)N(C)C2CC2)C1 ZINC001372594819 929889677 /nfs/dbraw/zinc/88/96/77/929889677.db2.gz MWWMGMXDMOCBHG-VXGBXAGGSA-N 0 1 292.383 0.205 20 30 CCEDMN CCCNC(=O)CN(C)C[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001373284037 930128019 /nfs/dbraw/zinc/12/80/19/930128019.db2.gz YJOQPEHDFTVHMX-CHWSQXEVSA-N 0 1 294.399 0.453 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H]1CCC(=O)NC1)C1CC1 ZINC001445955896 930294847 /nfs/dbraw/zinc/29/48/47/930294847.db2.gz LFYPHCCDYMLLLK-RYUDHWBXSA-N 0 1 299.802 0.750 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C[C@@H]2CCC(=O)N2)CC1 ZINC001375506152 930900949 /nfs/dbraw/zinc/90/09/49/930900949.db2.gz NSUGOFIYHTYJEA-JTQLQIEISA-N 0 1 285.775 0.646 20 30 CCEDMN N#CC1(NC(=O)C[N@@H+]2CCCC[C@@H]2CC(=O)[O-])CCC1 ZINC001601056875 970924554 /nfs/dbraw/zinc/92/45/54/970924554.db2.gz COUZNMUNKQCURI-LLVKDONJSA-N 0 1 279.340 0.878 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCC(CC(=O)[O-])CC1 ZINC000328132554 971448559 /nfs/dbraw/zinc/44/85/59/971448559.db2.gz WWZHIVXKWVILBQ-UHFFFAOYSA-N 0 1 295.383 0.838 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001602789677 971547887 /nfs/dbraw/zinc/54/78/87/971547887.db2.gz ZCYFLNRBDLFKRA-GHMZBOCLSA-N 0 1 279.340 0.734 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC000398771354 951607977 /nfs/dbraw/zinc/60/79/77/951607977.db2.gz KRCZYLYPEQPDTH-OLZOCXBDSA-N 0 1 295.383 0.694 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC000398941065 951623472 /nfs/dbraw/zinc/62/34/72/951623472.db2.gz VYWPGEJBWDVMCR-ZJUUUORDSA-N 0 1 283.328 0.041 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@H+](C)CC(=O)N(CC)CCC#N ZINC001589441066 954716643 /nfs/dbraw/zinc/71/66/43/954716643.db2.gz QJSHCAKQBOBNGJ-SNVBAGLBSA-N 0 1 255.318 0.544 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@@H+](C)CC(=O)N(CC)CCC#N ZINC001589441066 954716652 /nfs/dbraw/zinc/71/66/52/954716652.db2.gz QJSHCAKQBOBNGJ-SNVBAGLBSA-N 0 1 255.318 0.544 20 30 CCEDMN N#CC[C@]1(O)CC[N@@H+](CCC2(C(=O)[O-])CCC2)C1 ZINC001594605833 956173474 /nfs/dbraw/zinc/17/34/74/956173474.db2.gz JGQAOVRXEIGNNB-ZDUSSCGKSA-N 0 1 252.314 0.982 20 30 CCEDMN N#CCCNC(=O)C[N@H+]1CCCCC[C@@H]1C(=O)[O-] ZINC000080992581 957776613 /nfs/dbraw/zinc/77/66/13/957776613.db2.gz HROOUHDSTVCCMB-SNVBAGLBSA-N 0 1 253.302 0.345 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1CCCCC[C@@H]1C(=O)[O-] ZINC000080992581 957776620 /nfs/dbraw/zinc/77/66/20/957776620.db2.gz HROOUHDSTVCCMB-SNVBAGLBSA-N 0 1 253.302 0.345 20 30 CCEDMN C#CCC1(O)CC[NH+](Cc2cc(C(=O)[O-])nn2C)CC1 ZINC001588391453 958024353 /nfs/dbraw/zinc/02/43/53/958024353.db2.gz HJNMEZLYGCYYET-UHFFFAOYSA-N 0 1 277.324 0.469 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H](C(=O)[O-])[C@H]1C ZINC000092924276 958192285 /nfs/dbraw/zinc/19/22/85/958192285.db2.gz DRAFIJRGAKYRDE-VXGBXAGGSA-N 0 1 266.341 0.982 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H](O)c1ccc(F)cc1 ZINC001588424734 958218743 /nfs/dbraw/zinc/21/87/43/958218743.db2.gz XBTXXWAJZUEDML-LBPRGKRZSA-N 0 1 251.257 0.879 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H](O)c1ccc(F)cc1 ZINC001588424734 958218756 /nfs/dbraw/zinc/21/87/56/958218756.db2.gz XBTXXWAJZUEDML-LBPRGKRZSA-N 0 1 251.257 0.879 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@@H](C(=O)[O-])C(C)C)CC1 ZINC001588431259 958266463 /nfs/dbraw/zinc/26/64/63/958266463.db2.gz VKZHLJHXNGPQBL-LBPRGKRZSA-N 0 1 266.341 0.511 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@@H](C(=O)[O-])C(C)C)CC1 ZINC001588431259 958266476 /nfs/dbraw/zinc/26/64/76/958266476.db2.gz VKZHLJHXNGPQBL-LBPRGKRZSA-N 0 1 266.341 0.511 20 30 CCEDMN C=CCCn1cc(C[N@@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)nn1 ZINC001588460528 958475983 /nfs/dbraw/zinc/47/59/83/958475983.db2.gz NNZNVJKHVVHGCH-BXUZGUMPSA-N 0 1 292.339 0.387 20 30 CCEDMN C=CCO[C@@H]1CC[N@@H+](CN2C[C@H](C(=O)[O-])CC2=O)C1 ZINC001588465159 958498499 /nfs/dbraw/zinc/49/84/99/958498499.db2.gz NQHDXLIFHFGZLG-GHMZBOCLSA-N 0 1 268.313 0.154 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](CN2C[C@H](C(=O)[O-])CC2=O)C1 ZINC001588465159 958498504 /nfs/dbraw/zinc/49/85/04/958498504.db2.gz NQHDXLIFHFGZLG-GHMZBOCLSA-N 0 1 268.313 0.154 20 30 CCEDMN Cc1ccc(NCCNC(=O)[C@@](C)(C#N)CC(=O)[O-])[nH+]c1 ZINC001594373438 958564932 /nfs/dbraw/zinc/56/49/32/958564932.db2.gz AULWWAOQXNSBDX-CQSZACIVSA-N 0 1 290.323 0.923 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)CCCC(=O)[O-] ZINC001573875456 961249038 /nfs/dbraw/zinc/24/90/38/961249038.db2.gz RTIHQWSKFAYUJC-LLVKDONJSA-N 0 1 269.345 0.791 20 30 CCEDMN N#Cc1ccnc(C[N@@H+]2C[C@H]3COC[C@@]3(C(=O)[O-])C2)c1 ZINC001574494338 961726454 /nfs/dbraw/zinc/72/64/54/961726454.db2.gz YEKMSHDFYDIQDY-FZMZJTMJSA-N 0 1 273.292 0.486 20 30 CCEDMN C=C(C)CC[NH+]1CC(NC(=O)[C@@H]2C[C@@H]2C(=O)[O-])C1 ZINC001573324558 962786696 /nfs/dbraw/zinc/78/66/96/962786696.db2.gz UXEONNGMJSTKEJ-MNOVXSKESA-N 0 1 252.314 0.474 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@H]2CC[C@H]2C(=O)[O-])CC1 ZINC001573327131 962807630 /nfs/dbraw/zinc/80/76/30/962807630.db2.gz FIBBHQWAQHTCHZ-NWDGAFQWSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@H]2CC[C@H]2C(=O)[O-])CC1 ZINC001573327131 962807644 /nfs/dbraw/zinc/80/76/44/962807644.db2.gz FIBBHQWAQHTCHZ-NWDGAFQWSA-N 0 1 264.325 0.265 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NCCC[NH+]1CCOCC1 ZINC001573332294 962829552 /nfs/dbraw/zinc/82/95/52/962829552.db2.gz GTRNGOXISBPUEO-UHFFFAOYSA-N 0 1 270.329 0.246 20 30 CCEDMN C#CCCC[N@H+]1C[C@@H](C(=O)OC)CC[C@H]1C(=O)[O-] ZINC001588446374 964072853 /nfs/dbraw/zinc/07/28/53/964072853.db2.gz IUGDRMNLJBCKOH-QWRGUYRKSA-N 0 1 253.298 0.738 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@@H](C)C[C@H](C(=O)[O-])C1 ZINC001603736754 974176614 /nfs/dbraw/zinc/17/66/14/974176614.db2.gz QZUIBZIQYNOBRK-RYUDHWBXSA-N 0 1 281.356 0.791 20 30 CCEDMN C[C@@H](CCC#N)[N@H+]1CC[C@@]2(CC(C(=O)[O-])=NO2)C1 ZINC001592470900 978226639 /nfs/dbraw/zinc/22/66/39/978226639.db2.gz MTLJAQNNXYFZGK-JOYOIKCWSA-N 0 1 251.286 0.984 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CC[N@@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588369585 983315345 /nfs/dbraw/zinc/31/53/45/983315345.db2.gz QFXLWDWGUIICTE-WDEREUQCSA-N 0 1 267.329 0.056 20 30 CCEDMN C#CCNC(=O)C1CC[NH+](Cc2cc(C(=O)[O-])no2)CC1 ZINC001588479453 983519566 /nfs/dbraw/zinc/51/95/66/983519566.db2.gz ORTIELCJGQIDFZ-UHFFFAOYSA-N 0 1 291.307 0.334 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N(C)C(C)(C)C[NH+]1CCOCC1 ZINC001588607067 983699795 /nfs/dbraw/zinc/69/97/95/983699795.db2.gz RGWSFTLHMAQHKR-UHFFFAOYSA-N 0 1 298.383 0.977 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[N@H+](C[C@@H](C)O)C[C@H]1C ZINC001588615380 983711870 /nfs/dbraw/zinc/71/18/70/983711870.db2.gz RWURYXXMMUKLFQ-VXGBXAGGSA-N 0 1 284.356 0.321 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCSC[C@H](C(=O)[O-])C1 ZINC001596299484 983724227 /nfs/dbraw/zinc/72/42/27/983724227.db2.gz KDQYPFRCRYZROJ-LLVKDONJSA-N 0 1 299.396 0.498 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC001588664347 983799315 /nfs/dbraw/zinc/79/93/15/983799315.db2.gz UMXYXRMUDABPHX-MWLCHTKSSA-N 0 1 294.311 0.341 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[N@@H+](CCC(=O)[O-])[C@@H](C)C1 ZINC001588684396 983858545 /nfs/dbraw/zinc/85/85/45/983858545.db2.gz PWAUMBRLWBRMEZ-SMDDNHRTSA-N 0 1 284.356 0.321 20 30 CCEDMN C=C[C@@H]([NH2+]CC(=O)NCCc1ccccc1)C(=O)[O-] ZINC001588694305 983895983 /nfs/dbraw/zinc/89/59/83/983895983.db2.gz AGYDYTSAHMNROJ-GFCCVEGCSA-N 0 1 262.309 0.574 20 30 CCEDMN C=C[C@@H]([NH2+][C@@H]1Cc2ccccc2N(C)C1=O)C(=O)[O-] ZINC001588696406 983903044 /nfs/dbraw/zinc/90/30/44/983903044.db2.gz NOYKNAGUOBGVIU-GHMZBOCLSA-N 0 1 260.293 0.803 20 30 CCEDMN C=C[C@H]([NH2+]Cc1ccnc(N2CCN(C)CC2)c1)C(=O)[O-] ZINC001588701531 983914423 /nfs/dbraw/zinc/91/44/23/983914423.db2.gz RWCCMKOAVMTWFL-ZDUSSCGKSA-N 0 1 290.367 0.562 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC001588729552 983991558 /nfs/dbraw/zinc/99/15/58/983991558.db2.gz KWFDKYZJAALMIL-ONGXEEELSA-N 0 1 294.311 0.341 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@@H+](C)CCCc1[nH]nc(N)c1C#N ZINC001588729665 983991897 /nfs/dbraw/zinc/99/18/97/983991897.db2.gz SOWCQOFZTNCDEI-NSHDSACASA-N 0 1 277.328 0.757 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1C[C@H](O)CC2(CCOCC2)C1 ZINC001588729941 983994860 /nfs/dbraw/zinc/99/48/60/983994860.db2.gz WPIXNMOKFZZOHT-NEPJUHHUSA-N 0 1 269.341 0.879 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1C[C@H](O)CC2(CCOCC2)C1 ZINC001588729947 983995143 /nfs/dbraw/zinc/99/51/43/983995143.db2.gz WPIXNMOKFZZOHT-VXGBXAGGSA-N 0 1 269.341 0.879 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCCN(C(=O)CC)CC1 ZINC001588730015 983995633 /nfs/dbraw/zinc/99/56/33/983995633.db2.gz OTRGUNUCXNFMPK-LLVKDONJSA-N 0 1 254.330 0.960 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CCC[C@@H](N2CCOCC2)C1 ZINC001588730099 983995681 /nfs/dbraw/zinc/99/56/81/983995681.db2.gz PJJKICVXUKVSHO-OLZOCXBDSA-N 0 1 268.357 0.812 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1C[C@@]2(CO)CCCC[C@@]2(CO)C1 ZINC001588732260 984017169 /nfs/dbraw/zinc/01/71/69/984017169.db2.gz XVXGPGCILPQKDU-YUELXQCFSA-N 0 1 283.368 0.863 20 30 CCEDMN C=CC[N@H+](CCO)C1CCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588828750 984309159 /nfs/dbraw/zinc/30/91/59/984309159.db2.gz MIUFVXSUYDOUDB-OLZOCXBDSA-N 0 1 296.367 0.178 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CCC[C@@](C)(C(=O)[O-])C2)C1=O ZINC001588838691 984340044 /nfs/dbraw/zinc/34/00/44/984340044.db2.gz IGNKDMRNTGDXFE-SMDDNHRTSA-N 0 1 266.341 0.960 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@@H](C(=O)[O-])[C@H]2C)C1=O ZINC001588839019 984343449 /nfs/dbraw/zinc/34/34/49/984343449.db2.gz NFSVGOOERVKASZ-MXWKQRLJSA-N 0 1 252.314 0.568 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2C[C@@H]3CCC[C@H]3[C@@H]2C(=O)[O-])C1=O ZINC001588839105 984344438 /nfs/dbraw/zinc/34/44/38/984344438.db2.gz OJYXFSAKUVEDPC-QNWHQSFQSA-N 0 1 278.352 0.958 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588869156 984438311 /nfs/dbraw/zinc/43/83/11/984438311.db2.gz VOXPXAZMHPZZKU-JTQLQIEISA-N 0 1 266.301 0.426 20 30 CCEDMN CCO[C@H]1C[C@@]([NH2+]CC(=O)NCCC#N)(C(=O)[O-])C1(C)C ZINC001596833733 985471024 /nfs/dbraw/zinc/47/10/24/985471024.db2.gz PXHBCGNEQOFEKZ-IINYFYTJSA-N 0 1 297.355 0.264 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1c1ccnc(C#N)c1 ZINC001594529448 986110251 /nfs/dbraw/zinc/11/02/51/986110251.db2.gz YQCZGFDOVZYVEY-LLVKDONJSA-N 0 1 274.324 0.938 20 30 CCEDMN CCC#C[C@H](C)[N@@H+]1C[C@H](C(=O)OC)[C@H](C(=O)[O-])C1 ZINC001594690828 987083965 /nfs/dbraw/zinc/08/39/65/987083965.db2.gz CWJHYHOPMHPISP-AXFHLTTASA-N 0 1 253.298 0.594 20 30 CCEDMN C[C@@H]1C[N@@H+](C[C@H](O)CC2(C#N)CCOCC2)C[C@H]1C(=O)[O-] ZINC001599865695 989249838 /nfs/dbraw/zinc/24/98/38/989249838.db2.gz JTENXZVOXBGMNJ-JHJVBQTASA-N 0 1 296.367 0.710 20 30 CCEDMN CN(C)c1ncc(C[NH+]2CCC(C#N)(C(=O)[O-])CC2)n1C ZINC001598353947 991461802 /nfs/dbraw/zinc/46/18/02/991461802.db2.gz OZYPEAZKGFHJRT-UHFFFAOYSA-N 0 1 291.355 0.676 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)c2coc(C#N)c2)C[C@]1(C)C(=O)[O-] ZINC001598572006 993211733 /nfs/dbraw/zinc/21/17/33/993211733.db2.gz CHNPRWROJWFMPM-ZWNOBZJWSA-N 0 1 278.264 0.855 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2ccc(C#N)s2)C[C@@H](C(=O)[O-])C1 ZINC001598610165 993753814 /nfs/dbraw/zinc/75/38/14/993753814.db2.gz IXWQFDWLSODTKW-VIFPVBQESA-N 0 1 293.348 0.708 20 30 CCEDMN COC(=O)[C@@H]1C[N@H+]([C@@H]2CC[C@H](C#N)C2)C[C@H]1C(=O)[O-] ZINC001598818476 996297513 /nfs/dbraw/zinc/29/75/13/996297513.db2.gz KFEWKLYXTLIDKH-LNFKQOIKSA-N 0 1 266.297 0.484 20 30 CCEDMN COCC#CC[N@@H+](CCC(=O)[O-])C1CCOCC1 ZINC001599139071 998095217 /nfs/dbraw/zinc/09/52/17/998095217.db2.gz RZFJHLRMMSSCFY-UHFFFAOYSA-N 0 1 255.314 0.592 20 30 CCEDMN CC(C)Cc1cc(=NC(=O)N=c2[nH]n(C)cc2C#N)[nH][nH]1 ZINC000617277125 365588533 /nfs/dbraw/zinc/58/85/33/365588533.db2.gz OOVCMQMGMLBQHY-UHFFFAOYSA-N 0 1 287.327 0.701 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)NC1CCN(CC#N)CC1 ZINC000599907270 361826678 /nfs/dbraw/zinc/82/66/78/361826678.db2.gz DWVCDXGLANYEHH-CQSZACIVSA-N 0 1 293.415 0.614 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CC[C@H](N3CC[C@H](O)C3)C2)C1 ZINC000599938063 361835088 /nfs/dbraw/zinc/83/50/88/361835088.db2.gz LOMXCWYZPQVCPY-FMPXUHTOSA-N 0 1 277.368 0.594 20 30 CCEDMN C=CCCSCCNC(=O)NC[C@H]1COCCN1 ZINC000599921969 361829963 /nfs/dbraw/zinc/82/99/63/361829963.db2.gz HMAUQQWUDTUDJQ-NSHDSACASA-N 0 1 273.402 0.583 20 30 CCEDMN CCC(C#N)(CC)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000600234389 361899608 /nfs/dbraw/zinc/89/96/08/361899608.db2.gz GGOQAJVIKIIERH-LBPRGKRZSA-N 0 1 294.399 0.772 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CCN(C3CC3)C2)nc1 ZINC000104225890 349712687 /nfs/dbraw/zinc/71/26/87/349712687.db2.gz SLRPIPRHELWUTH-LLVKDONJSA-N 0 1 256.309 0.920 20 30 CCEDMN N#Cc1cc(F)c(C(=O)NCCN2CC[C@@H](O)C2)c(F)c1 ZINC000600532449 362005504 /nfs/dbraw/zinc/00/55/04/362005504.db2.gz ILDJSVVDXUFRII-SNVBAGLBSA-N 0 1 295.289 0.633 20 30 CCEDMN CC[C@@H]1CN(c2cnc(C#N)cn2)CCN1C[C@@H](C)O ZINC000133326652 136390445 /nfs/dbraw/zinc/39/04/45/136390445.db2.gz ARCMBLBOHTYSLF-DGCLKSJQSA-N 0 1 275.356 0.630 20 30 CCEDMN CN(C)C1(CNS(=O)(=O)CC2(C#N)CCC2)CC1 ZINC000601450185 362276571 /nfs/dbraw/zinc/27/65/71/362276571.db2.gz LVYRCMLBZMGOSM-UHFFFAOYSA-N 0 1 271.386 0.694 20 30 CCEDMN N#Cc1cccc2c1CCN2C(=O)CCc1nn[nH]n1 ZINC000601640032 362335692 /nfs/dbraw/zinc/33/56/92/362335692.db2.gz LQCWIZGCHKDEHH-UHFFFAOYSA-N 0 1 268.280 0.593 20 30 CCEDMN COCC(COC)n1[nH]c(C)c(CCC#N)c1=O ZINC000601956078 362437967 /nfs/dbraw/zinc/43/79/67/362437967.db2.gz XRIZCXFSRIYVFE-LLVKDONJSA-N 0 1 253.302 0.786 20 30 CCEDMN CC[C@H](C#N)NC(=O)C(C)(C)CN1CCOCC1 ZINC000602088274 362479537 /nfs/dbraw/zinc/47/95/37/362479537.db2.gz KEWLRNGADACPCO-LLVKDONJSA-N 0 1 253.346 0.763 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)Nc1nc(SCCO)n[nH]1 ZINC000288568794 263104766 /nfs/dbraw/zinc/10/47/66/263104766.db2.gz XIVOFOFFHJYFQI-JTQLQIEISA-N 0 1 269.330 0.767 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2C[C@H](C)O[C@H]2C)[nH]n1C ZINC000602347560 362593704 /nfs/dbraw/zinc/59/37/04/362593704.db2.gz HZGIDGCMVGCDFI-UJNFCWOMSA-N 0 1 262.313 0.774 20 30 CCEDMN C[C@@H](CCC#N)CN1CCN(C(C)(C)C(N)=O)CC1 ZINC000602475021 362636895 /nfs/dbraw/zinc/63/68/95/362636895.db2.gz HHUGPCJACBYUEP-LBPRGKRZSA-N 0 1 266.389 0.808 20 30 CCEDMN C[C@H](O)C(=O)N1CCN(C[C@@H](C)CCC#N)CC1 ZINC000602484067 362640666 /nfs/dbraw/zinc/64/06/66/362640666.db2.gz LBPHDCZYMPJJBV-RYUDHWBXSA-N 0 1 253.346 0.451 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NCc1cc(C(=O)OC)no1 ZINC000602685630 362748849 /nfs/dbraw/zinc/74/88/49/362748849.db2.gz QGVXXYLMTJVOIY-KOLCDFICSA-N 0 1 252.270 0.894 20 30 CCEDMN CC[C@H]1CN(C(=O)c2ccc(C#N)[nH]2)CCN1C[C@H](C)O ZINC000269760043 137069282 /nfs/dbraw/zinc/06/92/82/137069282.db2.gz GUTWBMCJYLUTOR-AAEUAGOBSA-N 0 1 290.367 0.804 20 30 CCEDMN N#Cc1ccc(CN[C@@H]2CCS(=O)(=O)C2)cc1 ZINC000019900029 348074111 /nfs/dbraw/zinc/07/41/11/348074111.db2.gz FHVMVHBRMFEPAX-GFCCVEGCSA-N 0 1 250.323 0.835 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(C(=O)N2CCCC2)CC1 ZINC000029809715 348115167 /nfs/dbraw/zinc/11/51/67/348115167.db2.gz UHYGEOLXFJPQBT-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H](C)C1O ZINC000602884538 362862547 /nfs/dbraw/zinc/86/25/47/362862547.db2.gz BWOFLECMCLMBKD-RKDXNWHRSA-N 0 1 277.328 0.194 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC[N@H+]2CCCC2(C)C)[n-]1 ZINC000602883708 362863347 /nfs/dbraw/zinc/86/33/47/362863347.db2.gz XATDBYPMFGQTAU-UHFFFAOYSA-N 0 1 290.371 0.710 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@]23C[C@H]2COC3(C)C)[nH]1 ZINC000602885710 362863928 /nfs/dbraw/zinc/86/39/28/362863928.db2.gz ZDSVISRCKAJMKP-TVQRCGJNSA-N 0 1 275.312 0.403 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@@](C)(C(F)F)C2)[nH]1 ZINC000602887776 362867117 /nfs/dbraw/zinc/86/71/17/362867117.db2.gz SJMNRCQTFUKJGD-GFCCVEGCSA-N 0 1 299.281 0.602 20 30 CCEDMN CN(CCC(=O)N1CCN(C)CC1)[C@@H]1CCC[C@@H]1C#N ZINC000602979304 362920228 /nfs/dbraw/zinc/92/02/28/362920228.db2.gz BUIXSDQMWCPUPG-ZIAGYGMSSA-N 0 1 278.400 0.775 20 30 CCEDMN C=CCN(CC=C)CCN1C(=O)NC(C)(C)C1=O ZINC000051767009 348493942 /nfs/dbraw/zinc/49/39/42/348493942.db2.gz AQQXCFSWUANJAS-UHFFFAOYSA-N 0 1 251.330 0.991 20 30 CCEDMN N#Cc1ccccc1NC(=O)CCN1CCNC(=O)CC1 ZINC000062100436 348663392 /nfs/dbraw/zinc/66/33/92/348663392.db2.gz XQVKKXPUGSENNN-UHFFFAOYSA-N 0 1 286.335 0.709 20 30 CCEDMN C#CCCN1CCN(c2nc(C)nc3c2cnn3C)CC1 ZINC000068320556 348806625 /nfs/dbraw/zinc/80/66/25/348806625.db2.gz BGYIMEBOSSOQJK-UHFFFAOYSA-N 0 1 284.367 0.817 20 30 CCEDMN Cc1nc(C(=O)NCCCc2nc[nH]n2)ccc1C#N ZINC000080567936 349217978 /nfs/dbraw/zinc/21/79/78/349217978.db2.gz UNUZZNHBUHLYHJ-UHFFFAOYSA-N 0 1 270.296 0.742 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC(c2nnc[nH]2)CC1 ZINC000083443541 349305383 /nfs/dbraw/zinc/30/53/83/349305383.db2.gz HPHOEYZZDJXJEQ-LLVKDONJSA-N 0 1 290.371 0.745 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CCOCC1CC1 ZINC000088601476 349369349 /nfs/dbraw/zinc/36/93/49/349369349.db2.gz LCGJAFJOVYZUDB-NSHDSACASA-N 0 1 283.372 0.745 20 30 CCEDMN C=CCCCCCN(C)CC(=O)N1CCNC(=O)C1 ZINC000150887004 350485218 /nfs/dbraw/zinc/48/52/18/350485218.db2.gz YYYDJYOREHLSGE-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN CCN(CC)C(=O)CN(C)CCCc1[nH]nc(N)c1C#N ZINC000153256368 350555603 /nfs/dbraw/zinc/55/56/03/350555603.db2.gz NZJNBGODRVRSGY-UHFFFAOYSA-N 0 1 292.387 0.596 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1ccccc1C#N ZINC000111138332 186357330 /nfs/dbraw/zinc/35/73/30/186357330.db2.gz KOZCDJPIVMWMHA-UHFFFAOYSA-N 0 1 267.354 0.740 20 30 CCEDMN CNC(=O)CCN(C)[C@@H](C)C(=O)Nc1ccccc1C#N ZINC000170792080 350862367 /nfs/dbraw/zinc/86/23/67/350862367.db2.gz RVGSCXSIEDYVHX-NSHDSACASA-N 0 1 288.351 0.953 20 30 CCEDMN C[C@H](C#N)CNC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000171805149 350935056 /nfs/dbraw/zinc/93/50/56/350935056.db2.gz BDZOBZNDNPMFPL-SNVBAGLBSA-N 0 1 299.334 0.959 20 30 CCEDMN CN[C@H](C)c1cn(CC(=O)N[C@](C)(C#N)C2CC2)nn1 ZINC000603183691 362988930 /nfs/dbraw/zinc/98/89/30/362988930.db2.gz SLSJPOGCYFXMMP-NOZJJQNGSA-N 0 1 276.344 0.367 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)C#Cc1ccccc1 ZINC000175134675 351120122 /nfs/dbraw/zinc/12/01/22/351120122.db2.gz SBBNPYGSMQHCRJ-HNNXBMFYSA-N 0 1 285.391 0.790 20 30 CCEDMN COc1ccc(CN2C[C@@H](O)C[C@H]2CO)cc1C#N ZINC000186506151 351499732 /nfs/dbraw/zinc/49/97/32/351499732.db2.gz WAFFUBHYEFRCIT-STQMWFEESA-N 0 1 262.309 0.494 20 30 CCEDMN Cn1cc(CN2CC[C@H](N3CCOCC3)C2)cc1C#N ZINC000191255569 351752527 /nfs/dbraw/zinc/75/25/27/351752527.db2.gz SYKQFFKKWKWHGT-AWEZNQCLSA-N 0 1 274.368 0.803 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC[C@@H](CCC(N)=O)C1 ZINC000245397484 352319297 /nfs/dbraw/zinc/31/92/97/352319297.db2.gz PLGUVMCKLSOTJY-OLZOCXBDSA-N 0 1 294.399 0.724 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CCN(C3CC3)CC2)nc1 ZINC000264844606 352602118 /nfs/dbraw/zinc/60/21/18/352602118.db2.gz HMOWODAXMIFPBR-UHFFFAOYSA-N 0 1 284.363 0.729 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCN(C)C2=O)CC1 ZINC000265675154 352655500 /nfs/dbraw/zinc/65/55/00/352655500.db2.gz SMXPJZGWGCECPT-CYBMUJFWSA-N 0 1 277.368 0.021 20 30 CCEDMN CS(=O)(=O)N1CCC[C@@H](NCc2ccc(C#N)o2)C1 ZINC000266214463 352690425 /nfs/dbraw/zinc/69/04/25/352690425.db2.gz PFLBWXOQBJBGTP-SNVBAGLBSA-N 0 1 283.353 0.665 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1cnc(N(C)C)s1 ZINC000272845099 353100278 /nfs/dbraw/zinc/10/02/78/353100278.db2.gz NTYSNZWECWFSRV-UHFFFAOYSA-N 0 1 289.426 0.900 20 30 CCEDMN Cc1cc(C#N)nc(N2C[C@H](C)N(CCO)C[C@H]2C)n1 ZINC000419009638 234286692 /nfs/dbraw/zinc/28/66/92/234286692.db2.gz XNDPXPMRFGLLPA-NWDGAFQWSA-N 0 1 275.356 0.548 20 30 CCEDMN C#CCC(CC#C)C(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000277349923 353238912 /nfs/dbraw/zinc/23/89/12/353238912.db2.gz FEAOSBZSBVMUMT-OAHLLOKOSA-N 0 1 288.391 0.830 20 30 CCEDMN C#CCCCCNC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000156443354 188262377 /nfs/dbraw/zinc/26/23/77/188262377.db2.gz RDHFDUNWRQEQGU-UHFFFAOYSA-N 0 1 286.339 0.709 20 30 CCEDMN CN(C[C@@H](O)c1ccc(C#N)cc1)[C@@H]1COC[C@H]1O ZINC000286176195 353566441 /nfs/dbraw/zinc/56/64/41/353566441.db2.gz WXXSIMYEKFIUCF-MGPQQGTHSA-N 0 1 262.309 0.283 20 30 CCEDMN Cc1nc([C@H](C)NS(=O)(=O)c2ccc(C#N)o2)n[nH]1 ZINC000286850674 353615293 /nfs/dbraw/zinc/61/52/93/353615293.db2.gz XJCWDNQGOGZGDC-LURJTMIESA-N 0 1 281.297 0.617 20 30 CCEDMN C#CCCN1CCN(c2cc(NC[C@@H](C)O)ncn2)CC1 ZINC000294448551 353889351 /nfs/dbraw/zinc/88/93/51/353889351.db2.gz PTVJHHWNVZRASW-CYBMUJFWSA-N 0 1 289.383 0.415 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](CC(C)(C)C(N)=O)C1 ZINC000295721837 353914629 /nfs/dbraw/zinc/91/46/29/353914629.db2.gz VIXUVTCRUAAXTB-STQMWFEESA-N 0 1 293.411 0.738 20 30 CCEDMN CCc1nc(CNS(=O)(=O)c2cccnc2C#N)n[nH]1 ZINC000296555424 353951147 /nfs/dbraw/zinc/95/11/47/353951147.db2.gz CBVOYODKTJDXMB-UHFFFAOYSA-N 0 1 292.324 0.112 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)N2CCS(=O)(=O)CC2)CCN1C ZINC000329775494 354260454 /nfs/dbraw/zinc/26/04/54/354260454.db2.gz NDDBATCFHMUVQH-GHMZBOCLSA-N 0 1 289.401 0.114 20 30 CCEDMN N#Cc1cccc(OCCN2CCC(O)(CO)CC2)c1 ZINC000313687857 354219431 /nfs/dbraw/zinc/21/94/31/354219431.db2.gz FYPZXYYKVIKYFQ-UHFFFAOYSA-N 0 1 276.336 0.756 20 30 CCEDMN CO[C@@H]1CN(C(=O)N[C@@H]2CCn3ccnc3C2)C[C@@H]1OC ZINC000330178562 354303775 /nfs/dbraw/zinc/30/37/75/354303775.db2.gz LRPKUUHFKUSZLJ-UTUOFQBUSA-N 0 1 294.355 0.458 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N1CCCOCC1 ZINC000330285326 354317227 /nfs/dbraw/zinc/31/72/27/354317227.db2.gz YSOZZEUBJANVJG-LLVKDONJSA-N 0 1 264.329 0.834 20 30 CCEDMN CCOC(=O)[C@@H](C)[C@@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000619203981 366216374 /nfs/dbraw/zinc/21/63/74/366216374.db2.gz MSEUQIGLPUXKSG-DTWKUNHWSA-N 0 1 293.327 0.423 20 30 CCEDMN N#Cc1ccnc(C(=O)NC[C@@H]2CCCCN2CCO)c1 ZINC000331349434 354546117 /nfs/dbraw/zinc/54/61/17/354546117.db2.gz XTYRTGGUMCFMMR-ZDUSSCGKSA-N 0 1 288.351 0.530 20 30 CCEDMN C[C@H](C(=O)N1[C@H](C)CN(C)C[C@@H]1C)n1cnc(C#N)n1 ZINC000336990842 355241546 /nfs/dbraw/zinc/24/15/46/355241546.db2.gz LBCJSQYAECGGSN-OUAUKWLOSA-N 0 1 276.344 0.262 20 30 CCEDMN C[C@@H]1C[C@@H](CO)CCN1CC(=O)N(CCC#N)CCC#N ZINC000344147462 355786411 /nfs/dbraw/zinc/78/64/11/355786411.db2.gz DFQPGZZFFOXTIY-KGLIPLIRSA-N 0 1 292.383 0.735 20 30 CCEDMN CN([C@H](C(N)=O)c1ccc(C#N)cc1)[C@H]1CCC[C@H]1O ZINC000352659317 356043472 /nfs/dbraw/zinc/04/34/72/356043472.db2.gz MPGYRBFSIMGKTK-MJBXVCDLSA-N 0 1 273.336 0.930 20 30 CCEDMN COc1ccc(C(=O)N=c2[nH]n(C)cc2C#N)nc1 ZINC000361920406 138038500 /nfs/dbraw/zinc/03/85/00/138038500.db2.gz XNTDUCHJPXPWAA-UHFFFAOYSA-N 0 1 257.253 0.370 20 30 CCEDMN CN1CC[C@H]2OCCN(C(=O)C(C)(C)C#N)[C@@H]2C1 ZINC000332680751 283153354 /nfs/dbraw/zinc/15/33/54/283153354.db2.gz MGKCKTNPHIGNPX-GHMZBOCLSA-N 0 1 251.330 0.468 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2ccc(C#N)cc2)C[C@@H]1CO ZINC000362091160 138046319 /nfs/dbraw/zinc/04/63/19/138046319.db2.gz RUYOGMBAJFFDOQ-IUODEOHRSA-N 0 1 287.363 0.735 20 30 CCEDMN CN(CCNS(=O)(=O)CCCCC#N)C1CC1 ZINC000354454431 356417620 /nfs/dbraw/zinc/41/76/20/356417620.db2.gz BCXMMRIFPCPNII-UHFFFAOYSA-N 0 1 259.375 0.694 20 30 CCEDMN C[C@H]1OCC[C@H]1N(CCO)Cc1ccnc(C#N)c1 ZINC000619516830 366274324 /nfs/dbraw/zinc/27/43/24/366274324.db2.gz ACTYHOBOUNVZMS-BXUZGUMPSA-N 0 1 261.325 0.925 20 30 CCEDMN C[C@H]1OCC[C@@H]1N(CCO)Cc1ccnc(C#N)c1 ZINC000619516832 366274376 /nfs/dbraw/zinc/27/43/76/366274376.db2.gz ACTYHOBOUNVZMS-RISCZKNCSA-N 0 1 261.325 0.925 20 30 CCEDMN C[C@@H]1OCC[C@@H]1N(CCO)Cc1ccnc(C#N)c1 ZINC000619516831 366274450 /nfs/dbraw/zinc/27/44/50/366274450.db2.gz ACTYHOBOUNVZMS-FZMZJTMJSA-N 0 1 261.325 0.925 20 30 CCEDMN CC(C)n1ccc(=NC(=O)N=c2[nH]n(C)cc2C#N)[nH]1 ZINC000619536898 366277626 /nfs/dbraw/zinc/27/76/26/366277626.db2.gz BNGHHFZEPGBFFB-UHFFFAOYSA-N 0 1 273.300 0.557 20 30 CCEDMN N#CCN1CCC(N[C@@H](C(N)=O)c2ccccc2)CC1 ZINC000609323466 363489160 /nfs/dbraw/zinc/48/91/60/363489160.db2.gz MXSLYYUNOWFTPI-CQSZACIVSA-N 0 1 272.352 0.791 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@](C)(CO)c1ccccc1 ZINC000609483898 363497898 /nfs/dbraw/zinc/49/78/98/363497898.db2.gz PDDPLTWOEIALOR-OAHLLOKOSA-N 0 1 291.351 0.496 20 30 CCEDMN C[C@H](N[C@@H](C)c1cccc(C#N)c1)C(=O)NC(N)=O ZINC000610343824 363553347 /nfs/dbraw/zinc/55/33/47/363553347.db2.gz SVZLCYFONRZSMM-IUCAKERBSA-N 0 1 260.297 0.792 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCN(CC#N)CC1 ZINC000610397002 363554653 /nfs/dbraw/zinc/55/46/53/363554653.db2.gz AJSDDZXCHOLCIG-GFCCVEGCSA-N 0 1 250.346 0.138 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N[C@H]1CCCN(C)C1 ZINC000619708217 366329791 /nfs/dbraw/zinc/32/97/91/366329791.db2.gz JVRUJCQMFALDOS-MNOVXSKESA-N 0 1 274.390 0.006 20 30 CCEDMN N#Cc1ccc(C(=O)CNC(=O)c2n[nH]cc2[N+](=O)[O-])cc1 ZINC000356233369 356728454 /nfs/dbraw/zinc/72/84/54/356728454.db2.gz XLWHEAXDIFFBTE-UHFFFAOYSA-N 0 1 299.246 0.802 20 30 CCEDMN CC[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H](C)O1 ZINC000610562702 363577811 /nfs/dbraw/zinc/57/78/11/363577811.db2.gz CPEGYVTZLNBKDQ-GXSJLCMTSA-N 0 1 277.328 0.745 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H](O)C2CCCCC2)[nH]1 ZINC000610562349 363576490 /nfs/dbraw/zinc/57/64/90/363576490.db2.gz PIRQXXUHJSLTLQ-LBPRGKRZSA-N 0 1 291.355 0.776 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CC(C)(C)O1 ZINC000610564203 363579336 /nfs/dbraw/zinc/57/93/36/363579336.db2.gz GCRUCSQTTLTXQO-SECBINFHSA-N 0 1 277.328 0.745 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC(=O)Nc2ccccc2)[nH]1 ZINC000610562755 363578272 /nfs/dbraw/zinc/57/82/72/363578272.db2.gz FLVRTCNSXNNROY-UHFFFAOYSA-N 0 1 298.306 0.474 20 30 CCEDMN CN(Cc1nccs1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610563892 363578321 /nfs/dbraw/zinc/57/83/21/363578321.db2.gz BHQWCPZSCMSDJJ-UHFFFAOYSA-N 0 1 276.325 0.834 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCCCn2ccnc2)[nH]1 ZINC000610564147 363578961 /nfs/dbraw/zinc/57/89/61/363578961.db2.gz DWRNOUICZOCAAY-UHFFFAOYSA-N 0 1 287.327 0.512 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H]2CCC[C@H](O)C2)[nH]1 ZINC000610564303 363579791 /nfs/dbraw/zinc/57/97/91/363579791.db2.gz HEGWPQDNLSPYHJ-KOLCDFICSA-N 0 1 277.328 0.386 20 30 CCEDMN CCN1CCCN(S(=O)(=O)N(C)[C@@H](C)CC#N)CC1 ZINC000374542578 357399480 /nfs/dbraw/zinc/39/94/80/357399480.db2.gz GRYHWEUANOVDOA-LBPRGKRZSA-N 0 1 288.417 0.493 20 30 CCEDMN N#CC1(C(=O)NC[C@@H]2CN3CCN2CCC3)CCC1 ZINC000394813163 357758893 /nfs/dbraw/zinc/75/88/93/357758893.db2.gz UECWHGZSWFSSHP-GFCCVEGCSA-N 0 1 262.357 0.186 20 30 CCEDMN CC1CC(C#N)(C(=O)NC[C@@H]2CN3CCN2CCC3)C1 ZINC000394825784 357759533 /nfs/dbraw/zinc/75/95/33/357759533.db2.gz ZKPRYHUPRBZGAI-JVWICGRDSA-N 0 1 276.384 0.432 20 30 CCEDMN CN(C)S(=O)(=O)CCN1CCC(CC#N)CC1 ZINC000397181774 357770785 /nfs/dbraw/zinc/77/07/85/357770785.db2.gz JNSYHDXVUPUKTQ-UHFFFAOYSA-N 0 1 259.375 0.503 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC(CCO)CC1 ZINC000401050833 357796747 /nfs/dbraw/zinc/79/67/47/357796747.db2.gz YDYLBMCSWYBFGY-UHFFFAOYSA-N 0 1 269.345 0.093 20 30 CCEDMN COCC1(CC(=O)NC2(C#N)CCN(C)CC2)CC1 ZINC000401344930 357798716 /nfs/dbraw/zinc/79/87/16/357798716.db2.gz UIDQDHGIQDNVBI-UHFFFAOYSA-N 0 1 265.357 0.907 20 30 CCEDMN CCOC(=O)[C@H](C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610634395 363589516 /nfs/dbraw/zinc/58/95/16/363589516.db2.gz JZMAPZLQTOGJCF-MRVPVSSYSA-N 0 1 279.300 0.034 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@](C)(O)C2)CC1 ZINC000491805001 358297634 /nfs/dbraw/zinc/29/76/34/358297634.db2.gz NQJRZNSOAYGJRA-AWEZNQCLSA-N 0 1 250.342 0.315 20 30 CCEDMN CC[C@@H](COC)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000569475643 358767520 /nfs/dbraw/zinc/76/75/20/358767520.db2.gz LCTISMUTJSFXRW-LBPRGKRZSA-N 0 1 297.380 0.742 20 30 CCEDMN C[C@@H]1CCN(CCNS(=O)(=O)c2cncc(C#N)c2)C1 ZINC000573253187 358952874 /nfs/dbraw/zinc/95/28/74/358952874.db2.gz GJANBRCGULLCFG-LLVKDONJSA-N 0 1 294.380 0.573 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000610992491 363643955 /nfs/dbraw/zinc/64/39/55/363643955.db2.gz JYJFUWXEKHBMAE-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN C[NH+](C)CCOCCNC(=O)c1cc([O-])cc(C#N)c1 ZINC000589196516 359368064 /nfs/dbraw/zinc/36/80/64/359368064.db2.gz LOVWGGGUCGKMBV-UHFFFAOYSA-N 0 1 277.324 0.572 20 30 CCEDMN CN(C)CC(=O)N1CCN(C(=O)CCCCCC#N)CC1 ZINC000588277048 359320985 /nfs/dbraw/zinc/32/09/85/359320985.db2.gz OYXYZORLEVIWIL-UHFFFAOYSA-N 0 1 294.399 0.693 20 30 CCEDMN CCN1CCN(CC(=O)Nc2n[nH]c(C)c2C#N)CC1 ZINC000588718089 359333529 /nfs/dbraw/zinc/33/35/29/359333529.db2.gz STLTZGVSHKKIJY-UHFFFAOYSA-N 0 1 276.344 0.166 20 30 CCEDMN N#Cc1csc(C(=O)N2CC[C@@H](N3CC[C@H](O)C3)C2)c1 ZINC000588861877 359343769 /nfs/dbraw/zinc/34/37/69/359343769.db2.gz VVVYQJJHQJDLJC-NEPJUHHUSA-N 0 1 291.376 0.901 20 30 CCEDMN CCN1CCN(CC(=O)N(CCC#N)CCC#N)C[C@@H]1C ZINC000611092551 363659390 /nfs/dbraw/zinc/65/93/90/363659390.db2.gz ZCQMKIGFJZDCSD-AWEZNQCLSA-N 0 1 291.399 0.668 20 30 CCEDMN C[C@H]1CN(C(=O)CC2(C#N)CC2)CCN1CC(C)(C)O ZINC000590335633 359442001 /nfs/dbraw/zinc/44/20/01/359442001.db2.gz WKPFFRQQRKGVPN-LBPRGKRZSA-N 0 1 279.384 0.984 20 30 CCEDMN N#Cc1ccsc1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000590355274 359442982 /nfs/dbraw/zinc/44/29/82/359442982.db2.gz OSKUYCUJTUSLMF-LBPRGKRZSA-N 0 1 290.392 0.739 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC(C)(C)[C@@H]3COC[C@@H]32)[nH]1 ZINC000590822377 359527007 /nfs/dbraw/zinc/52/70/07/359527007.db2.gz SXVYARBCPVMQDI-MNOVXSKESA-N 0 1 289.339 0.602 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@]2(O)CCCC2(C)C)[nH]1 ZINC000590819749 359527526 /nfs/dbraw/zinc/52/75/26/359527526.db2.gz UHRFXRCXZNWEQA-CQSZACIVSA-N 0 1 291.355 0.776 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)C(=O)NCC(C)(C)CC#N)CCN1 ZINC000591368748 359585310 /nfs/dbraw/zinc/58/53/10/359585310.db2.gz LGTCPBCLDBHBSO-GFCCVEGCSA-N 0 1 294.399 0.499 20 30 CCEDMN C=CCOCCNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000591759167 359654982 /nfs/dbraw/zinc/65/49/82/359654982.db2.gz XPUZHHJSXHUPAL-UHFFFAOYSA-N 0 1 295.364 0.519 20 30 CCEDMN CCc1ncc(CNC[C@@H](O)CN(C)CCC#N)o1 ZINC000591769883 359656044 /nfs/dbraw/zinc/65/60/44/359656044.db2.gz NXMDIAGAQGPZQV-LLVKDONJSA-N 0 1 266.345 0.533 20 30 CCEDMN N#Cc1cncc(N2CCN([C@@H]3CCC[C@@H]3O)CC2)n1 ZINC000591907718 359688149 /nfs/dbraw/zinc/68/81/49/359688149.db2.gz HQMPAXWYHHMXFL-OLZOCXBDSA-N 0 1 273.340 0.384 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2ccncc2C#N)[C@H](C)C1 ZINC000592107643 359757623 /nfs/dbraw/zinc/75/76/23/359757623.db2.gz MKWQJJLXHUOMFO-CHWSQXEVSA-N 0 1 274.368 0.840 20 30 CCEDMN C=CCC(CC=C)C(=O)Nc1nn[nH]c1C(=O)NC ZINC000297616065 200242167 /nfs/dbraw/zinc/24/21/67/200242167.db2.gz AURHDYJFFZYNPS-UHFFFAOYSA-N 0 1 263.301 0.871 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)cn1 ZINC000611215213 363684215 /nfs/dbraw/zinc/68/42/15/363684215.db2.gz YJQIJBPVMOLZJB-SNVBAGLBSA-N 0 1 283.295 0.486 20 30 CCEDMN N#Cc1ccc(N2CCN([C@H]3CCC[C@H]3O)CC2)nn1 ZINC000611240792 363692896 /nfs/dbraw/zinc/69/28/96/363692896.db2.gz VIFXXDNMFIZQCD-QWHCGFSZSA-N 0 1 273.340 0.384 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2CCO[C@@H](C)C2)[nH]n1C ZINC000592655006 359910341 /nfs/dbraw/zinc/91/03/41/359910341.db2.gz UUZMXJXJFHOONI-WPRPVWTQSA-N 0 1 262.313 0.776 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCCNC[C@@H]1C(=O)OC ZINC000592554391 359885206 /nfs/dbraw/zinc/88/52/06/359885206.db2.gz MALUYJMFRJZJMC-VXGBXAGGSA-N 0 1 284.356 0.331 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)[C@@H](C)CCO ZINC000593107661 360041290 /nfs/dbraw/zinc/04/12/90/360041290.db2.gz MGISKQWJSBPPLV-RYUDHWBXSA-N 0 1 255.362 0.697 20 30 CCEDMN CC[C@H](C#N)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000593458700 360093489 /nfs/dbraw/zinc/09/34/89/360093489.db2.gz RLLHIWUVHOIJJG-NWDGAFQWSA-N 0 1 252.362 0.288 20 30 CCEDMN COC(=O)CC[C@H](NC(=O)C(C)C#N)C(=O)OC(C)(C)C ZINC000593750675 360147441 /nfs/dbraw/zinc/14/74/41/360147441.db2.gz IUTUEVAOHNUPFR-UWVGGRQHSA-N 0 1 298.339 0.926 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2ccnc(C#N)n2)CC1 ZINC000594554786 360330308 /nfs/dbraw/zinc/33/03/08/360330308.db2.gz YHWCJWNSEFLDDV-NSHDSACASA-N 0 1 261.329 0.241 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@H]2CCC[C@H]([NH3+])C2)o1 ZINC000595108653 360438460 /nfs/dbraw/zinc/43/84/60/360438460.db2.gz NRJROARRNHTBED-IUCAKERBSA-N 0 1 269.326 0.699 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(CCc2c[nH]nn2)CC1 ZINC000595398483 360518467 /nfs/dbraw/zinc/51/84/67/360518467.db2.gz QJIDKQQRHUADGK-CYBMUJFWSA-N 0 1 262.361 0.513 20 30 CCEDMN COC[C@H](CN1CCN([C@@H](C#N)C(C)C)CC1)OC ZINC000595399427 360519201 /nfs/dbraw/zinc/51/92/01/360519201.db2.gz WQUKYHQMWUFCAU-KBPBESRZSA-N 0 1 269.389 0.814 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)Cc1n[nH]c(=O)o1 ZINC000595552261 360577303 /nfs/dbraw/zinc/57/73/03/360577303.db2.gz RMSBGHNQTWLJQV-LBPRGKRZSA-N 0 1 279.300 0.015 20 30 CCEDMN C=CC[C@H]1CCCN(CC(=O)NCC(=O)OC)C1 ZINC000595659089 360608036 /nfs/dbraw/zinc/60/80/36/360608036.db2.gz JTCIQMGGDFBYDK-NSHDSACASA-N 0 1 254.330 0.564 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@@H]([C@H]2CCCCO2)C1 ZINC000595791444 360656209 /nfs/dbraw/zinc/65/62/09/360656209.db2.gz UODRCDZGPWLDAM-CHWSQXEVSA-N 0 1 265.357 0.907 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H]2COC[C@@]2(C)C1 ZINC000595757579 360643344 /nfs/dbraw/zinc/64/33/44/360643344.db2.gz KRXHNCCUSHBGSV-VNHYZAJKSA-N 0 1 279.384 0.963 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@@]2(O)C[C@H]2C1 ZINC000595764437 360645839 /nfs/dbraw/zinc/64/58/39/360645839.db2.gz ISNLNBDCWCAIKA-NTZNESFSSA-N 0 1 251.330 0.204 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC(=O)NCc1cccs1 ZINC000595864033 360682875 /nfs/dbraw/zinc/68/28/75/360682875.db2.gz NJBVTXUPCZPOSR-SECBINFHSA-N 0 1 283.353 0.210 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1ccc(C#N)cc1 ZINC000595863374 360683456 /nfs/dbraw/zinc/68/34/56/360683456.db2.gz DSVGKUPAJLUPSH-CYBMUJFWSA-N 0 1 259.309 0.311 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)N1CCC[C@H](C(=O)OCC)C1 ZINC000595863408 360683707 /nfs/dbraw/zinc/68/37/07/360683707.db2.gz GANYWKBLOAZCBQ-FRRDWIJNSA-N 0 1 298.383 0.313 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](C)[C@](C)(CO)C1 ZINC000595879813 360688132 /nfs/dbraw/zinc/68/81/32/360688132.db2.gz XKPWSDASUKWFDV-NFAWXSAZSA-N 0 1 281.400 0.945 20 30 CCEDMN C[C@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@@]1(C)CO ZINC000595876221 360688520 /nfs/dbraw/zinc/68/85/20/360688520.db2.gz QZNPGBISMQXTDW-ZFWWWQNUSA-N 0 1 292.383 0.593 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CC[C@H]2COC[C@@H]2C1 ZINC000595836700 360674911 /nfs/dbraw/zinc/67/49/11/360674911.db2.gz YIDKFAPBYUZCST-KBPBESRZSA-N 0 1 290.367 0.611 20 30 CCEDMN COC(=O)C[C@H](N)C(=O)NCCc1ccc(C#N)cc1 ZINC000595939345 360715690 /nfs/dbraw/zinc/71/56/90/360715690.db2.gz VVBOOAQAWSAAAR-LBPRGKRZSA-N 0 1 275.308 0.107 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCN[C@H]2C[C@H](O)C2)cc1 ZINC000596066525 360785939 /nfs/dbraw/zinc/78/59/39/360785939.db2.gz FPZVSVIGSOZATF-HAQNSBGRSA-N 0 1 280.349 0.445 20 30 CCEDMN C[C@H](NC[C@@H](O)CN(C)CCC#N)c1nccn1C ZINC000596069130 360787116 /nfs/dbraw/zinc/78/71/16/360787116.db2.gz KIWZGDYRIBWOAP-NWDGAFQWSA-N 0 1 265.361 0.277 20 30 CCEDMN CN(C)C1(C(=O)N2CCN(c3cc(C#N)ccn3)CC2)CC1 ZINC000596705956 360943252 /nfs/dbraw/zinc/94/32/52/360943252.db2.gz QCEHPBIMDSGYIH-UHFFFAOYSA-N 0 1 299.378 0.696 20 30 CCEDMN Cc1cc(C(=O)NC[C@H]2CN3CCN2CCC3)ncc1C#N ZINC000597625178 361166934 /nfs/dbraw/zinc/16/69/34/361166934.db2.gz HKORCHDOPCLVGM-AWEZNQCLSA-N 0 1 299.378 0.381 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@H](OC(F)F)C2)[nH]1 ZINC000611596540 363748043 /nfs/dbraw/zinc/74/80/43/363748043.db2.gz WKEHXHRXTDZBAK-VIFPVBQESA-N 0 1 299.281 0.949 20 30 CCEDMN CN(CC(=O)N1CCCC1)C[C@H](O)CC(C)(C)C#N ZINC000598585178 361449215 /nfs/dbraw/zinc/44/92/15/361449215.db2.gz WECWAWHGLPPZGG-GFCCVEGCSA-N 0 1 267.373 0.841 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@@H](C)[C@@H](CO)C1 ZINC000598646778 361470729 /nfs/dbraw/zinc/47/07/29/361470729.db2.gz ZEMBCULYMBECEO-UMVBOHGHSA-N 0 1 281.400 0.991 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](C)[C@@H](CO)C1 ZINC000598644955 361471806 /nfs/dbraw/zinc/47/18/06/361471806.db2.gz BOWAXGFRXMXNOC-SDDRHHMPSA-N 0 1 283.372 0.337 20 30 CCEDMN COC(=O)[C@H]1CC[N@@H+](C[C@@H](O)CC2(C#N)CC2)C1 ZINC000599265253 361626155 /nfs/dbraw/zinc/62/61/55/361626155.db2.gz PDCLPUOMYMFUTB-QWRGUYRKSA-N 0 1 252.314 0.536 20 30 CCEDMN C=CC[C@@H](C)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599234258 361617893 /nfs/dbraw/zinc/61/78/93/361617893.db2.gz GTZPEKBPTLFPCC-SSDOTTSWSA-N 0 1 274.298 0.704 20 30 CCEDMN COC(=O)[C@@H]1CC[N@@H+](C[C@@H](O)CC2(C#N)CCOCC2)C1 ZINC000599264807 361625860 /nfs/dbraw/zinc/62/58/60/361625860.db2.gz OBTJZZKBHNLPRO-OLZOCXBDSA-N 0 1 296.367 0.553 20 30 CCEDMN C=C(C)CCNS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599316383 361649675 /nfs/dbraw/zinc/64/96/75/361649675.db2.gz GSPUNEQJADYBKL-UHFFFAOYSA-N 0 1 274.302 0.573 20 30 CCEDMN N#CCSCCCN1CCN(c2nccnn2)CC1 ZINC000599509879 361723597 /nfs/dbraw/zinc/72/35/97/361723597.db2.gz QWZLHFIOSOECOY-UHFFFAOYSA-N 0 1 278.385 0.640 20 30 CCEDMN N#Cc1cnc(C(=O)NCc2nn[nH]n2)c(Cl)c1 ZINC000613150411 364131516 /nfs/dbraw/zinc/13/15/16/364131516.db2.gz HORDJJZPTDACKV-UHFFFAOYSA-N 0 1 263.648 0.050 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCN2CCC1CC2 ZINC000613860095 364415246 /nfs/dbraw/zinc/41/52/46/364415246.db2.gz BTAXLZOCHLKXNY-CQSZACIVSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000614503003 364631520 /nfs/dbraw/zinc/63/15/20/364631520.db2.gz JVLMMCXNVNXRDV-GFCCVEGCSA-N 0 1 251.374 0.705 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H]2CCC(C)(C)O2)[nH]1 ZINC000614688615 364698313 /nfs/dbraw/zinc/69/83/13/364698313.db2.gz UYHFZDSJJMUNBI-JTQLQIEISA-N 0 1 277.328 0.793 20 30 CCEDMN CO[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CC[C@@H]1C ZINC000615253052 364896495 /nfs/dbraw/zinc/89/64/95/364896495.db2.gz GOMORELRLOOLDZ-ONGXEEELSA-N 0 1 277.328 0.602 20 30 CCEDMN CN1CCC[C@@H](NC(=O)N2CC[C@](F)(C#N)C2)C1 ZINC000615543075 364999283 /nfs/dbraw/zinc/99/92/83/364999283.db2.gz OYGZRMTZKZLEMN-PWSUYJOCSA-N 0 1 254.309 0.728 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CC[C@](F)(C#N)C1 ZINC000615574781 365011290 /nfs/dbraw/zinc/01/12/90/365011290.db2.gz GSXQHZFKAZPHDO-NSHDSACASA-N 0 1 251.265 0.865 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000616099869 365177838 /nfs/dbraw/zinc/17/78/38/365177838.db2.gz DVVZSSZIFJQLEO-GHMZBOCLSA-N 0 1 263.341 0.958 20 30 CCEDMN CC(C)OCC[C@H](O)CN1CCN(CCC#N)CC1 ZINC000615973335 365147230 /nfs/dbraw/zinc/14/72/30/365147230.db2.gz BLZYJTFPLLYIKS-AWEZNQCLSA-N 0 1 269.389 0.694 20 30 CCEDMN C=C[C@](C)(O)C(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC000616221574 365221700 /nfs/dbraw/zinc/22/17/00/365221700.db2.gz IFDCNRBFINLLNL-LBPRGKRZSA-N 0 1 252.318 0.655 20 30 CCEDMN C=CCN(C)S(=O)(=O)CCCN1CCN(C)CC1 ZINC000632239652 370934721 /nfs/dbraw/zinc/93/47/21/370934721.db2.gz VUPUAOMLLJTWJI-UHFFFAOYSA-N 0 1 275.418 0.072 20 30 CCEDMN C[C@H]1CN(C)CCN1CC(=O)NCc1cccc(C#N)c1 ZINC000330668438 203097551 /nfs/dbraw/zinc/09/75/51/203097551.db2.gz JUHLDILKFQFIGH-ZDUSSCGKSA-N 0 1 286.379 0.810 20 30 CCEDMN C=CCCNC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000637267204 374060427 /nfs/dbraw/zinc/06/04/27/374060427.db2.gz XKAVRTRBKNDQIK-NSHDSACASA-N 0 1 268.361 0.202 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@H](N3CCCC3=O)C2)C1=O ZINC000685001254 545781805 /nfs/dbraw/zinc/78/18/05/545781805.db2.gz VSLDXJYUBSIFMN-KBPBESRZSA-N 0 1 291.395 0.860 20 30 CCEDMN C=CCCn1cc(Cn2cc(CNC3CC3)nn2)nn1 ZINC000641182463 376853832 /nfs/dbraw/zinc/85/38/32/376853832.db2.gz PLGFVHQNSXSAGY-UHFFFAOYSA-N 0 1 273.344 0.746 20 30 CCEDMN C[C@H]1CN(c2ccnc(C#N)n2)[C@@H](C)CN1CCO ZINC000516663300 249175638 /nfs/dbraw/zinc/17/56/38/249175638.db2.gz KPYRUUXRJYBZJW-QWRGUYRKSA-N 0 1 261.329 0.240 20 30 CCEDMN CO[C@@H](C)CS(=O)(=O)Nc1cccc(C#N)n1 ZINC000570569700 291765923 /nfs/dbraw/zinc/76/59/23/291765923.db2.gz ABEHCQNYTBMVCP-QMMMGPOBSA-N 0 1 255.299 0.730 20 30 CCEDMN CO[C@H](C)CS(=O)(=O)Nc1cccc(C#N)n1 ZINC000570569698 291765927 /nfs/dbraw/zinc/76/59/27/291765927.db2.gz ABEHCQNYTBMVCP-MRVPVSSYSA-N 0 1 255.299 0.730 20 30 CCEDMN C#CCOCCC(=O)N1C[C@@H](C)N(CC)C[C@@H]1C ZINC000765938894 581540146 /nfs/dbraw/zinc/54/01/46/581540146.db2.gz DYYCAPTZNSDTSC-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN C[C@@H](NC(=O)c1cc2n(n1)CCCO2)c1nnc[nH]1 ZINC000330302084 533104974 /nfs/dbraw/zinc/10/49/74/533104974.db2.gz OCDXAJLHJBPWBC-SSDOTTSWSA-N 0 1 262.273 0.850 20 30 CCEDMN C=CCCn1cc(CNC[C@]2(O)CCO[C@@H]2C)nn1 ZINC000657455494 412995291 /nfs/dbraw/zinc/99/52/91/412995291.db2.gz RUXMSGVDKNRFKI-DGCLKSJQSA-N 0 1 266.345 0.484 20 30 CCEDMN COC[C@H]1CCCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347055530 533133616 /nfs/dbraw/zinc/13/36/16/533133616.db2.gz NHPIHJVOYMTARD-LBPRGKRZSA-N 0 1 265.357 0.907 20 30 CCEDMN CC(C)CN1N=C(C(=O)NC2=NO[C@@H](C)C2)CCC1=O ZINC000364150868 262157859 /nfs/dbraw/zinc/15/78/59/262157859.db2.gz PECVULKTHNQWHQ-VIFPVBQESA-N 0 1 280.328 0.859 20 30 CCEDMN CCN1CCCC[C@@H]1CNS(=O)(=O)N(C)CCC#N ZINC000111352733 262259875 /nfs/dbraw/zinc/25/98/75/262259875.db2.gz NROWAPAMSPRCJV-GFCCVEGCSA-N 0 1 288.417 0.541 20 30 CCEDMN C=CCC[C@@H](O)CN1CCCC[C@@H]1c1nc(=O)[nH][nH]1 ZINC000289041070 388867638 /nfs/dbraw/zinc/86/76/38/388867638.db2.gz BOFPLKVRGVAYCL-GHMZBOCLSA-N 0 1 266.345 0.952 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@@](C)(C(N)=O)C(C)C ZINC000289307598 388869047 /nfs/dbraw/zinc/86/90/47/388869047.db2.gz IYJCNDDIOQZKME-CQSZACIVSA-N 0 1 269.389 0.901 20 30 CCEDMN C#CCNC(=O)NCc1ccnc(N2CCN(C)CC2)c1 ZINC000061331634 388935888 /nfs/dbraw/zinc/93/58/88/388935888.db2.gz WADYESQCORYKGK-UHFFFAOYSA-N 0 1 287.367 0.266 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@H](Cc1ccccc1)N(C)C ZINC000290679691 388910435 /nfs/dbraw/zinc/91/04/35/388910435.db2.gz UAGIIRCXWBEFSV-KBPBESRZSA-N 0 1 262.353 0.822 20 30 CCEDMN C=C[C@H](CO)NC(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000291097280 388917326 /nfs/dbraw/zinc/91/73/26/388917326.db2.gz SZIVQLFGPYGCAJ-NXEZZACHSA-N 0 1 250.302 0.633 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CC)C[C@@H]1CCCO1 ZINC000051555931 388926218 /nfs/dbraw/zinc/92/62/18/388926218.db2.gz JWGVJWXPUDAAIT-NSHDSACASA-N 0 1 269.345 0.499 20 30 CCEDMN Cc1nc(N2CCN(C(=O)CN(C)C)CC2)ccc1C#N ZINC000291565367 388928018 /nfs/dbraw/zinc/92/80/18/388928018.db2.gz DAGKQJMEQBQOHV-UHFFFAOYSA-N 0 1 287.367 0.472 20 30 CCEDMN CCN(CCC(=O)Nc1ccccc1C#N)CC(N)=O ZINC000188461803 388928656 /nfs/dbraw/zinc/92/86/56/388928656.db2.gz XLDVIIMYGCQJFJ-UHFFFAOYSA-N 0 1 274.324 0.694 20 30 CCEDMN C#Cc1ccccc1CC(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000291751009 388934752 /nfs/dbraw/zinc/93/47/52/388934752.db2.gz ULDSFGWHDLAFJR-LLVKDONJSA-N 0 1 283.335 0.986 20 30 CCEDMN C#CCn1ccc(CN(CC)Cc2n[nH]c(C)n2)n1 ZINC000292685176 388954058 /nfs/dbraw/zinc/95/40/58/388954058.db2.gz DBFKXKNIMDKARH-UHFFFAOYSA-N 0 1 258.329 0.965 20 30 CCEDMN C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C1(CO)CCOCC1 ZINC000190975582 389002466 /nfs/dbraw/zinc/00/24/66/389002466.db2.gz KGJBFQUUQDWHNX-CQSZACIVSA-N 0 1 295.383 0.268 20 30 CCEDMN C#CCNC(=O)CCN1CCN(Cc2ccccn2)CC1 ZINC000293472923 388983502 /nfs/dbraw/zinc/98/35/02/388983502.db2.gz LWGQOZLGLDXAQO-UHFFFAOYSA-N 0 1 286.379 0.339 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CC(=O)N[C@H]2CCCC[C@@H]21 ZINC000092800957 389032001 /nfs/dbraw/zinc/03/20/01/389032001.db2.gz NJGUERWJORBAQL-STQMWFEESA-N 0 1 292.383 0.492 20 30 CCEDMN COc1ccc(CN[C@@H]2CCS(=O)(=O)C2)cc1C#N ZINC000087822249 389012394 /nfs/dbraw/zinc/01/23/94/389012394.db2.gz RZQBJUIHXCPNLJ-GFCCVEGCSA-N 0 1 280.349 0.844 20 30 CCEDMN CN(CCC(=O)N(C)CCC#N)Cc1cnccn1 ZINC000092799720 389032718 /nfs/dbraw/zinc/03/27/18/389032718.db2.gz DSQGDEXOXAURIV-UHFFFAOYSA-N 0 1 261.329 0.671 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@@H](C)CN2CCOCC2)C1=O ZINC000337225444 389056803 /nfs/dbraw/zinc/05/68/03/389056803.db2.gz FIIICMJJSKRSTE-KBPBESRZSA-N 0 1 281.400 0.426 20 30 CCEDMN CCN1CCC(NS(=O)(=O)N(C)[C@H](C)CC#N)CC1 ZINC000337796885 389069085 /nfs/dbraw/zinc/06/90/85/389069085.db2.gz DQOLEVAOCUBRAW-LLVKDONJSA-N 0 1 288.417 0.539 20 30 CCEDMN C[C@H](O)C1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000304018452 389081274 /nfs/dbraw/zinc/08/12/74/389081274.db2.gz CYRVOTJHPMSFES-RYUDHWBXSA-N 0 1 267.373 0.840 20 30 CCEDMN CCN(C[C@@H](O)CN1C[C@@H](C)O[C@@H](C)C1)C[C@H](C)C#N ZINC000304019004 389081835 /nfs/dbraw/zinc/08/18/35/389081835.db2.gz XMFDHJGDOSNILJ-APIJFGDWSA-N 0 1 283.416 0.938 20 30 CCEDMN CC(C)[C@H]1CN(C)CCN1C(=O)[C@H](C)n1cnc(C#N)n1 ZINC000337009253 389037883 /nfs/dbraw/zinc/03/78/83/389037883.db2.gz DPQCEFOQBFCICB-NWDGAFQWSA-N 0 1 290.371 0.509 20 30 CCEDMN C[C@H](CC#N)N(C)C[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000093697258 389038107 /nfs/dbraw/zinc/03/81/07/389038107.db2.gz CSJVFECTUKTZNW-NEPJUHHUSA-N 0 1 273.402 0.892 20 30 CCEDMN C=CC[C@H](CO)NC(=O)CN(C)[C@H]1CCSC1 ZINC000337040840 389039108 /nfs/dbraw/zinc/03/91/08/389039108.db2.gz MEJPULYVQUWEFL-MNOVXSKESA-N 0 1 258.387 0.477 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1ccc2cncn2c1 ZINC000339951320 389144577 /nfs/dbraw/zinc/14/45/77/389144577.db2.gz AJCHEWYMEGXQNA-UHFFFAOYSA-N 0 1 272.264 0.473 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N(C)[C@H](C)CC#N ZINC000340042303 389146409 /nfs/dbraw/zinc/14/64/09/389146409.db2.gz BPEBBHRLKUPDNO-LLVKDONJSA-N 0 1 261.391 0.892 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)[C@H]2COCCN2C)n[nH]1 ZINC000328939494 389146492 /nfs/dbraw/zinc/14/64/92/389146492.db2.gz DPXBDUPKIVCMSG-JOYOIKCWSA-N 0 1 266.345 0.936 20 30 CCEDMN C=CC1CCN(CC(=O)NC(=O)NC2CC2)CC1 ZINC000111258283 389103754 /nfs/dbraw/zinc/10/37/54/389103754.db2.gz JBJDGISCADHLJA-UHFFFAOYSA-N 0 1 251.330 0.873 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC(C=C)CC1 ZINC000111258363 389104196 /nfs/dbraw/zinc/10/41/96/389104196.db2.gz KAWSXIXEULFKOD-UHFFFAOYSA-N 0 1 251.330 0.896 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@]1(CO)Cc1ccccc1 ZINC000355659303 389108021 /nfs/dbraw/zinc/10/80/21/389108021.db2.gz MMZDPOAUKFQBPP-MRXNPFEDSA-N 0 1 287.363 0.696 20 30 CCEDMN Cc1ncc(S(=O)(=O)N2C[C@H](C)NC[C@H]2C)cc1C#N ZINC000313111439 389111285 /nfs/dbraw/zinc/11/12/85/389111285.db2.gz OHDWSEVTFSRJQB-VHSXEESVSA-N 0 1 294.380 0.633 20 30 CCEDMN N#CCCOCCN1CCC[C@H]1C(=O)NC1CC1 ZINC000338997029 389120758 /nfs/dbraw/zinc/12/07/58/389120758.db2.gz ZQVFTLSXIAULED-LBPRGKRZSA-N 0 1 251.330 0.660 20 30 CCEDMN COCCn1nnc2c1CCN(CCCCC#N)C2 ZINC000339303946 389129801 /nfs/dbraw/zinc/12/98/01/389129801.db2.gz WQSMFSKANFHCDQ-UHFFFAOYSA-N 0 1 263.345 0.976 20 30 CCEDMN Cn1nc2c(cc1=O)CN([C@@H]1CC[C@@H](C#N)C1)CC2 ZINC000328780925 389138170 /nfs/dbraw/zinc/13/81/70/389138170.db2.gz UPPJMBZIXNBLKV-ZYHUDNBSSA-N 0 1 258.325 0.831 20 30 CCEDMN [O-]C(N[C@@H]1CCO[C@@H]1C1CC1)=[NH+][C@@H]1CCc2ncnn2C1 ZINC000328832810 389140185 /nfs/dbraw/zinc/14/01/85/389140185.db2.gz KWDXOVOFUORSBW-NQBHXWOUSA-N 0 1 291.355 0.664 20 30 CCEDMN O=C(N[C@@H]1CCO[C@@H]1C1CC1)N[C@@H]1CCc2ncnn2C1 ZINC000328832810 389140187 /nfs/dbraw/zinc/14/01/87/389140187.db2.gz KWDXOVOFUORSBW-NQBHXWOUSA-N 0 1 291.355 0.664 20 30 CCEDMN C[C@H](Cn1cccn1)NC([O-])=[NH+]C[C@H]1COCCO1 ZINC000328859460 389141369 /nfs/dbraw/zinc/14/13/69/389141369.db2.gz LDUCWLZUFROQBE-MNOVXSKESA-N 0 1 268.317 0.191 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)NC[C@H]1COCCO1 ZINC000328859460 389141371 /nfs/dbraw/zinc/14/13/71/389141371.db2.gz LDUCWLZUFROQBE-MNOVXSKESA-N 0 1 268.317 0.191 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCOC)[C@H](CCC)C1 ZINC000121743586 389149955 /nfs/dbraw/zinc/14/99/55/389149955.db2.gz BDRKQXQHYGVTBN-CQSZACIVSA-N 0 1 283.416 0.721 20 30 CCEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCC[C@H](O)C1 ZINC000329632567 389179783 /nfs/dbraw/zinc/17/97/83/389179783.db2.gz RWMKDFNTKWNNAV-ZJUUUORDSA-N 0 1 264.329 0.638 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2nc[nH]c2C1)N1CCC[C@H](O)C1 ZINC000329632567 389179786 /nfs/dbraw/zinc/17/97/86/389179786.db2.gz RWMKDFNTKWNNAV-ZJUUUORDSA-N 0 1 264.329 0.638 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2[nH]cnc2C1)N1CCC[C@H](O)C1 ZINC000329632567 389179788 /nfs/dbraw/zinc/17/97/88/389179788.db2.gz RWMKDFNTKWNNAV-ZJUUUORDSA-N 0 1 264.329 0.638 20 30 CCEDMN N#CCCCC(=O)NCCCCN1CCOCC1 ZINC000360003731 389188098 /nfs/dbraw/zinc/18/80/98/389188098.db2.gz FILKVLHUEJEKRK-UHFFFAOYSA-N 0 1 253.346 0.909 20 30 CCEDMN C[C@@H]1CN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CCO1 ZINC000329747763 389195969 /nfs/dbraw/zinc/19/59/69/389195969.db2.gz ZPHVQOWQKKKQPV-NXEZZACHSA-N 0 1 264.329 0.902 20 30 CCEDMN C[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3nc[nH]c3C2)CCO1 ZINC000329747763 389195970 /nfs/dbraw/zinc/19/59/70/389195970.db2.gz ZPHVQOWQKKKQPV-NXEZZACHSA-N 0 1 264.329 0.902 20 30 CCEDMN C[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3[nH]cnc3C2)CCO1 ZINC000329747763 389195971 /nfs/dbraw/zinc/19/59/71/389195971.db2.gz ZPHVQOWQKKKQPV-NXEZZACHSA-N 0 1 264.329 0.902 20 30 CCEDMN N#C[C@@H]1CN(C([O-])=[NH+][C@H]2CCc3[nH]cnc3C2)CCO1 ZINC000329745778 389196061 /nfs/dbraw/zinc/19/60/61/389196061.db2.gz RLBBBSMLOSQSKJ-VHSXEESVSA-N 0 1 275.312 0.405 20 30 CCEDMN Cn1cc2c(n1)CCC[C@H]2C(=O)N=c1[nH]n(C)cc1C#N ZINC000329761771 389197474 /nfs/dbraw/zinc/19/74/74/389197474.db2.gz RWMVIVAPVBQQHE-SNVBAGLBSA-N 0 1 284.323 0.506 20 30 CCEDMN N#C[C@]1(NC(=O)c2ccc3[nH]nnc3c2)CCOC1 ZINC000358452356 389151517 /nfs/dbraw/zinc/15/15/17/389151517.db2.gz XHTCFPGPWSVVBT-GFCCVEGCSA-N 0 1 257.253 0.370 20 30 CCEDMN C#CCN1CCN(C(=O)C[N@H+]2CCC3(CCC3)C2)CC1 ZINC000123044495 389154107 /nfs/dbraw/zinc/15/41/07/389154107.db2.gz UOQMFNRFMCYHSW-UHFFFAOYSA-N 0 1 275.396 0.640 20 30 CCEDMN Cc1cnn(CCC(=O)NC[C@@H]2CN(C)CCN2C)c1 ZINC000329346443 389158398 /nfs/dbraw/zinc/15/83/98/389158398.db2.gz CZTRHGXVMLGLKN-CYBMUJFWSA-N 0 1 279.388 0.784 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCN1CCCS1(=O)=O ZINC000329527840 389168745 /nfs/dbraw/zinc/16/87/45/389168745.db2.gz HZCYRIPHCICRRU-SNVBAGLBSA-N 0 1 275.374 0.073 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](C(N)=O)CC[C@@H]1C ZINC000247584055 389241318 /nfs/dbraw/zinc/24/13/18/389241318.db2.gz LWHJTQNKXYPEBF-AGIUHOORSA-N 0 1 294.399 0.580 20 30 CCEDMN C=CC[C@H](CO)NC(=O)N[C@H]1C[C@H](C)[N@H+](C2CC2)C1 ZINC000248591700 389247635 /nfs/dbraw/zinc/24/76/35/389247635.db2.gz RMPBQGVAZBBIGE-TUAOUCFPSA-N 0 1 267.373 0.848 20 30 CCEDMN CC#CCNC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000135003307 389251744 /nfs/dbraw/zinc/25/17/44/389251744.db2.gz CGHJZVVRKYVHNY-STQMWFEESA-N 0 1 267.373 0.808 20 30 CCEDMN CCN(CCCO)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000135419114 389257398 /nfs/dbraw/zinc/25/73/98/389257398.db2.gz JNOPZTOPIMXZNJ-ZDUSSCGKSA-N 0 1 253.346 0.499 20 30 CCEDMN N#CC1(F)CCN(c2cc(-n3cccn3)nc(N)n2)CC1 ZINC000343241542 389261034 /nfs/dbraw/zinc/26/10/34/389261034.db2.gz YYNWLWBAKZEPMS-UHFFFAOYSA-N 0 1 287.302 0.907 20 30 CCEDMN C=CCCN(C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000342450945 389218253 /nfs/dbraw/zinc/21/82/53/389218253.db2.gz CBWSGDIUKLVLLM-LBPRGKRZSA-N 0 1 254.378 0.450 20 30 CCEDMN C=CCCN1CCN(C(=O)CN2CCOCC2)CC1 ZINC000132437235 389224687 /nfs/dbraw/zinc/22/46/87/389224687.db2.gz TXJRUKBQVSVEFV-UHFFFAOYSA-N 0 1 267.373 0.039 20 30 CCEDMN CCNC([O-])=[NH+][C@@H]1CCc2nc(COC)nn2C1 ZINC000330413101 389226732 /nfs/dbraw/zinc/22/67/32/389226732.db2.gz MGOQGNUTVJJBIA-MRVPVSSYSA-N 0 1 253.306 0.263 20 30 CCEDMN CCNC(=O)N[C@@H]1CCc2nc(COC)nn2C1 ZINC000330413101 389226735 /nfs/dbraw/zinc/22/67/35/389226735.db2.gz MGOQGNUTVJJBIA-MRVPVSSYSA-N 0 1 253.306 0.263 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000330452686 389230804 /nfs/dbraw/zinc/23/08/04/389230804.db2.gz LDAUMBVHZHFWCQ-DOMZBBRYSA-N 0 1 284.400 0.617 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000366949735 389313505 /nfs/dbraw/zinc/31/35/05/389313505.db2.gz ALJUAKBHIJFSDQ-NEPJUHHUSA-N 0 1 281.356 0.642 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCC(CO)CC1 ZINC000345795517 389314931 /nfs/dbraw/zinc/31/49/31/389314931.db2.gz UWOYMZYBIHIHQG-NSHDSACASA-N 0 1 265.357 0.642 20 30 CCEDMN CC(C)N1CCN([C@@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000345866070 389316465 /nfs/dbraw/zinc/31/64/65/389316465.db2.gz SPQUYNZRLYIQBF-ZDUSSCGKSA-N 0 1 278.400 0.963 20 30 CCEDMN C=CCCOCCNC(=O)CSc1nnc(C)[nH]1 ZINC000155274111 389318366 /nfs/dbraw/zinc/31/83/66/389318366.db2.gz NVQNDABAPSGIJV-UHFFFAOYSA-N 0 1 270.358 0.914 20 30 CCEDMN C=CCCOCCNC(=O)CSc1nc(C)n[nH]1 ZINC000155274111 389318371 /nfs/dbraw/zinc/31/83/71/389318371.db2.gz NVQNDABAPSGIJV-UHFFFAOYSA-N 0 1 270.358 0.914 20 30 CCEDMN N#CCNC(=O)CN(Cc1ccccc1)[C@H](CO)C1CC1 ZINC000155939732 389322553 /nfs/dbraw/zinc/32/25/53/389322553.db2.gz PXYMSODDJUTLPV-OAHLLOKOSA-N 0 1 287.363 0.899 20 30 CCEDMN C=CCNC(=O)CNc1cc(C(C)C)nc(N(C)C)n1 ZINC000346205875 389323749 /nfs/dbraw/zinc/32/37/49/389323749.db2.gz OEJJGEDIVFLGHC-UHFFFAOYSA-N 0 1 277.372 0.802 20 30 CCEDMN CS(=O)(=O)N[C@@H]1CCN([C@@H]2CC[C@@H](C#N)C2)C1 ZINC000408380622 389343619 /nfs/dbraw/zinc/34/36/19/389343619.db2.gz BEBCVZQCLHYKPB-GMTAPVOTSA-N 0 1 257.359 0.302 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N(C)Cc1nnc[nH]1 ZINC000330994693 389276033 /nfs/dbraw/zinc/27/60/33/389276033.db2.gz UDXQMWZWHZGTJC-GXFFZTMASA-N 0 1 278.360 0.679 20 30 CCEDMN CC(C)(O)[C@H]1CCCN1CC(=O)NC1(C#N)CCC1 ZINC000346982812 389342738 /nfs/dbraw/zinc/34/27/38/389342738.db2.gz MZCTZMPQENGTCH-LLVKDONJSA-N 0 1 265.357 0.784 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@](C)(C(=O)OC)C1 ZINC000268313246 389377536 /nfs/dbraw/zinc/37/75/36/389377536.db2.gz CIDHHPLAUCHEIB-IINYFYTJSA-N 0 1 297.355 0.272 20 30 CCEDMN N#Cc1cccnc1-n1cc(NC(=O)[C@@H]2C[C@H]2[N+](=O)[O-])cn1 ZINC000373422258 389481225 /nfs/dbraw/zinc/48/12/25/389481225.db2.gz MEJNFKQHEHRWHK-GHMZBOCLSA-N 0 1 298.262 0.743 20 30 CCEDMN C=CCNC(=O)CN1CC[C@]2(C1)C(=O)Nc1ccccc12 ZINC000373532954 389492493 /nfs/dbraw/zinc/49/24/93/389492493.db2.gz XWPPRTHBMYOHMJ-MRXNPFEDSA-N 0 1 285.347 0.884 20 30 CCEDMN C#CCOCCC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000372302046 389447523 /nfs/dbraw/zinc/44/75/23/389447523.db2.gz NBRFFHXQJFSNGS-KBPBESRZSA-N 0 1 280.368 0.084 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC000271992396 389454975 /nfs/dbraw/zinc/45/49/75/389454975.db2.gz MEJVGONPNDZGPS-NSHDSACASA-N 0 1 262.313 0.337 20 30 CCEDMN CC#CC(=O)NCc1ccc(N2CCN(C)CC2)nc1 ZINC000277327761 389556090 /nfs/dbraw/zinc/55/60/90/389556090.db2.gz GGHKYAMBUILQKJ-UHFFFAOYSA-N 0 1 272.352 0.473 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H](CO)CN2CCCCC2)cn1 ZINC000413795382 389558276 /nfs/dbraw/zinc/55/82/76/389558276.db2.gz BOXGPCUNJADNSU-AWEZNQCLSA-N 0 1 288.351 0.530 20 30 CCEDMN C=CCC[C@@H](O)CN(C)C[C@H]1CCS(=O)(=O)C1 ZINC000351271557 389571591 /nfs/dbraw/zinc/57/15/91/389571591.db2.gz QTLWYHPLPKHSJQ-VXGBXAGGSA-N 0 1 261.387 0.680 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000351592092 389625478 /nfs/dbraw/zinc/62/54/78/389625478.db2.gz BRTQIKUDLPHXQS-JTQLQIEISA-N 0 1 278.356 0.848 20 30 CCEDMN N#Cc1ccsc1NC(=O)CN1CCc2n[nH]nc2C1 ZINC000351634954 389643271 /nfs/dbraw/zinc/64/32/71/389643271.db2.gz TVIWXHDYIRHYTJ-UHFFFAOYSA-N 0 1 288.336 0.735 20 30 CCEDMN Cc1cc(OC[C@H](O)CN2CCN(C)CC2)ccc1C#N ZINC000414124159 389601849 /nfs/dbraw/zinc/60/18/49/389601849.db2.gz KFBGHHHQDKRQLM-OAHLLOKOSA-N 0 1 289.379 0.854 20 30 CCEDMN C=CCN1CCC(S(=O)(=O)C(F)(F)F)C1=O ZINC000281004443 389607098 /nfs/dbraw/zinc/60/70/98/389607098.db2.gz NWANCBSNWKYDOW-ZCFIWIBFSA-N 0 1 257.233 0.708 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000375815482 389716351 /nfs/dbraw/zinc/71/63/51/389716351.db2.gz PJGALTGGNWNUFE-IHRRRGAJSA-N 0 1 281.400 0.208 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](OCCOC)C1 ZINC000179357421 389653530 /nfs/dbraw/zinc/65/35/30/389653530.db2.gz ZIFAAPCJGCVLBT-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](OCCOC)C1 ZINC000179357421 389653532 /nfs/dbraw/zinc/65/35/32/389653532.db2.gz ZIFAAPCJGCVLBT-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN C=CCNC(=O)CNC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000180834099 389675920 /nfs/dbraw/zinc/67/59/20/389675920.db2.gz IGCTYSROCAAOOP-VIFPVBQESA-N 0 1 279.344 0.251 20 30 CCEDMN C#CCSCCNC(=O)N[C@H](C)c1nnc[nH]1 ZINC000183047121 389723296 /nfs/dbraw/zinc/72/32/96/389723296.db2.gz HPFDJNQRHOEMBV-MRVPVSSYSA-N 0 1 253.331 0.531 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(CC(=O)N(C)C)CC1 ZINC000182213364 389703126 /nfs/dbraw/zinc/70/31/26/389703126.db2.gz DGIWRGZPEHQSSG-LBPRGKRZSA-N 0 1 281.400 0.867 20 30 CCEDMN CC(C)N1CCO[C@H](C(=O)NCC2(C#N)CCC2)C1 ZINC000416344375 389735587 /nfs/dbraw/zinc/73/55/87/389735587.db2.gz CBQKHQBCGVXKKX-LBPRGKRZSA-N 0 1 265.357 0.906 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NC1CCN(C2CC2)CC1 ZINC000352412265 389807106 /nfs/dbraw/zinc/80/71/06/389807106.db2.gz DFJFQSRNFNLKIH-SECBINFHSA-N 0 1 257.359 0.445 20 30 CCEDMN N#CC1(F)CCN(C[C@@H](O)Cn2cccn2)CC1 ZINC000352467786 389815488 /nfs/dbraw/zinc/81/54/88/389815488.db2.gz NVEKKXSIQPNOPU-LLVKDONJSA-N 0 1 252.293 0.572 20 30 CCEDMN COC[C@@H](C)OC[C@H](O)CN1CCC(F)(C#N)CC1 ZINC000352484421 389818332 /nfs/dbraw/zinc/81/83/32/389818332.db2.gz OXLUJTIZQWOGGY-VXGBXAGGSA-N 0 1 274.336 0.726 20 30 CCEDMN COC(=O)[C@H](NC(=O)C(C)C#N)c1ccc(OC)c(O)c1 ZINC000354104048 389859866 /nfs/dbraw/zinc/85/98/66/389859866.db2.gz KXWXUNCNJBPLNA-PRHODGIISA-N 0 1 292.291 0.891 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC2(CC#N)CC2)C[C@H](C)N1 ZINC000418820417 389884970 /nfs/dbraw/zinc/88/49/70/389884970.db2.gz FNMGBMZHGHXHEQ-PHIMTYICSA-N 0 1 278.356 0.005 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@@H](O)C(C)(C)C1 ZINC000446067365 389929924 /nfs/dbraw/zinc/92/99/24/389929924.db2.gz OSFPEWDEWGAYJO-NWDGAFQWSA-N 0 1 267.373 0.840 20 30 CCEDMN CN(CCCO)CC(=O)NCc1cccc(C#N)c1 ZINC000440943721 390047078 /nfs/dbraw/zinc/04/70/78/390047078.db2.gz ZLOLUGRVWOMITJ-UHFFFAOYSA-N 0 1 261.325 0.489 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(C)(COC)C2)CC1 ZINC000491295600 390111430 /nfs/dbraw/zinc/11/14/30/390111430.db2.gz IASWATGEOWRYHG-UHFFFAOYSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)NC(C)(C)C)CC1 ZINC000491303557 390111640 /nfs/dbraw/zinc/11/16/40/390111640.db2.gz BJXUNZRVEMESSE-UHFFFAOYSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCNC(=O)CCN1CCO[C@@]2(CCSC2)C1 ZINC000491496654 390114210 /nfs/dbraw/zinc/11/42/10/390114210.db2.gz RVRZVOJCTSFXRK-ZDUSSCGKSA-N 0 1 268.382 0.334 20 30 CCEDMN C#CCNC(=O)C1CCN(c2ccncc2C(N)=O)CC1 ZINC000491513449 390114240 /nfs/dbraw/zinc/11/42/40/390114240.db2.gz BWUOJKNDUDOTLU-UHFFFAOYSA-N 0 1 286.335 0.146 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)NCCN1CCCOCC1 ZINC000644959243 390355402 /nfs/dbraw/zinc/35/54/02/390355402.db2.gz JZPMJTYMMIUUQQ-KBPBESRZSA-N 0 1 297.399 0.599 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H](CCC)c2nn[nH]n2)nn1 ZINC000648815505 390415444 /nfs/dbraw/zinc/41/54/44/390415444.db2.gz TYEIFTQLUYYUBM-MRVPVSSYSA-N 0 1 276.304 0.248 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2CCC(=O)c3cccn32)[nH]n1C ZINC000648434307 390372533 /nfs/dbraw/zinc/37/25/33/390372533.db2.gz FYDDEWCEFPFGDF-GFCCVEGCSA-N 0 1 297.318 0.980 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](c2nccn2C)C1 ZINC000562442889 390489714 /nfs/dbraw/zinc/48/97/14/390489714.db2.gz AGXYZNYHPUDSSA-ZDUSSCGKSA-N 0 1 289.383 0.972 20 30 CCEDMN OCC#CCNC[C@H](O)COc1ccccc1Cl ZINC000564570930 390495589 /nfs/dbraw/zinc/49/55/89/390495589.db2.gz IFFZCZJAVRLTDB-NSHDSACASA-N 0 1 269.728 0.665 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000514769500 390468916 /nfs/dbraw/zinc/46/89/16/390468916.db2.gz AGUYRSBAQBLECO-IJLUTSLNSA-N 0 1 291.355 0.887 20 30 CCEDMN C[C@@H](CNc1ncc2c(c1C#N)CCC2)N1CCN(C)CC1 ZINC000519639150 390521067 /nfs/dbraw/zinc/52/10/67/390521067.db2.gz JCIIVJBOAKFOOP-ZDUSSCGKSA-N 0 1 299.422 0.912 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)NCC(=O)N1CCNCC1 ZINC000569086066 390715820 /nfs/dbraw/zinc/71/58/20/390715820.db2.gz GFZYVCBVBVGLHB-LBPRGKRZSA-N 0 1 280.372 0.168 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000532880963 390885559 /nfs/dbraw/zinc/88/55/59/390885559.db2.gz GMKWUQYVPAMYEX-MNOVXSKESA-N 0 1 297.355 0.573 20 30 CCEDMN CN(Cc1cc(C#N)n(C)c1)C[C@@H](O)CN1CCOCC1 ZINC000191387560 130229856 /nfs/dbraw/zinc/22/98/56/130229856.db2.gz LLTVFLBFTQCUMA-OAHLLOKOSA-N 0 1 292.383 0.022 20 30 CCEDMN CC1(C)CN(c2ncccc2C#N)CCN1CCO ZINC000302514139 397446241 /nfs/dbraw/zinc/44/62/41/397446241.db2.gz GWZKPXDEOVSZGI-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN Cc1nc([C@@H](C)NS(=O)(=O)N(C)C[C@H](C)C#N)n[nH]1 ZINC000352538712 397466445 /nfs/dbraw/zinc/46/64/45/397466445.db2.gz NUENDBCCNPDHQI-HTQZYQBOSA-N 0 1 286.361 0.100 20 30 CCEDMN N#Cc1ccc(CC(=O)NC2(c3nn[nH]n3)CC2)cc1 ZINC000357046248 144053224 /nfs/dbraw/zinc/05/32/24/144053224.db2.gz JTCGUDMJQPUPCZ-UHFFFAOYSA-N 0 1 268.280 0.419 20 30 CCEDMN C=CCN(C(=O)C[C@H]1COCCN1)[C@H](C)COC ZINC000659338825 413435790 /nfs/dbraw/zinc/43/57/90/413435790.db2.gz BESXAMLZQVRSCL-NEPJUHHUSA-N 0 1 256.346 0.414 20 30 CCEDMN N#Cc1cccc(CN2CCC(O)(C(N)=O)CC2)c1 ZINC000284232679 196009915 /nfs/dbraw/zinc/00/99/15/196009915.db2.gz KJLQSNIBOAZJCG-UHFFFAOYSA-N 0 1 259.309 0.370 20 30 CCEDMN C=CCCn1cc(CN2CCN(CC(C)(C)O)CC2)nn1 ZINC000653540283 412312650 /nfs/dbraw/zinc/31/26/50/412312650.db2.gz AVNIRHDQYTYGDB-UHFFFAOYSA-N 0 1 293.415 0.743 20 30 CCEDMN C=CCCn1cc(CN2CCn3c(=O)[nH]nc3C2)nn1 ZINC000653617607 412324083 /nfs/dbraw/zinc/32/40/83/412324083.db2.gz QWAOVPGKXDCICL-UHFFFAOYSA-N 0 1 275.316 0.167 20 30 CCEDMN C=CC[C@@H]1CCCN(CC(=O)N2CCNC(=O)C2)C1 ZINC000661378588 414608137 /nfs/dbraw/zinc/60/81/37/414608137.db2.gz JNNYUEADCUCQDP-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@@H]2NC(=O)CC[C@@H]2C1 ZINC000661601677 414648976 /nfs/dbraw/zinc/64/89/76/414648976.db2.gz DKNHVCZEVHXNAM-KGLIPLIRSA-N 0 1 291.395 0.788 20 30 CCEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)N[C@H](C)C(=O)N(C)C ZINC000662081696 414690229 /nfs/dbraw/zinc/69/02/29/414690229.db2.gz XLDAUVXKVIHBKU-UPJWGTAASA-N 0 1 279.384 0.762 20 30 CCEDMN C=C[C@H](CO)N[C@H]1CCCN(c2ccn(C)n2)C1=O ZINC000662166913 414695108 /nfs/dbraw/zinc/69/51/08/414695108.db2.gz LBAVSPBXQMLZPB-MNOVXSKESA-N 0 1 264.329 0.052 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC[C@H]1COc2ccccc2O1 ZINC000662167449 414695352 /nfs/dbraw/zinc/69/53/52/414695352.db2.gz FVVBIAFIDRGBER-NEPJUHHUSA-N 0 1 292.335 0.079 20 30 CCEDMN C=CC[C@H]1CCCC[C@@H]1NC(=O)CNC(=O)CN ZINC000662600245 414731476 /nfs/dbraw/zinc/73/14/76/414731476.db2.gz FHAAZRCJSBXDAX-QWRGUYRKSA-N 0 1 253.346 0.312 20 30 CCEDMN C=CCN(C(=O)NCCN1CCCOCC1)[C@H](C)COC ZINC000664959400 415497256 /nfs/dbraw/zinc/49/72/56/415497256.db2.gz YFMRWXFXGQWEDQ-CQSZACIVSA-N 0 1 299.415 0.941 20 30 CCEDMN C[C@@H]1[C@@H](CO)CCN1CC(=O)NC1(C#N)CCC1 ZINC000347120046 226032160 /nfs/dbraw/zinc/03/21/60/226032160.db2.gz JRHFRSNLNQSHIM-GHMZBOCLSA-N 0 1 251.330 0.252 20 30 CCEDMN COCc1ncc(C(=O)N[C@@H]2CCN(C3CC3)C2)c(N)n1 ZINC000329970810 228003277 /nfs/dbraw/zinc/00/32/77/228003277.db2.gz VFSYRHHFQMBXBW-SECBINFHSA-N 0 1 291.355 0.577 20 30 CCEDMN C[C@H](CN1CCCCC1)NC(=O)N[C@@H]1CC[S@@](=O)C1 ZINC000329989338 228008417 /nfs/dbraw/zinc/00/84/17/228008417.db2.gz HYYJCENLTOMSSL-HNYWDRBLSA-N 0 1 287.429 0.885 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@@H]1CCOC[C@H]1C ZINC000330318442 228140088 /nfs/dbraw/zinc/14/00/88/228140088.db2.gz CKINJZVIONIFKF-MGPQQGTHSA-N 0 1 298.431 0.551 20 30 CCEDMN COC[C@H]1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000352235805 228203992 /nfs/dbraw/zinc/20/39/92/228203992.db2.gz ZJCWSVVLDOTBIN-FZMZJTMJSA-N 0 1 265.357 0.763 20 30 CCEDMN COC[C@@H]1CCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000352235804 228204098 /nfs/dbraw/zinc/20/40/98/228204098.db2.gz ZJCWSVVLDOTBIN-BXUZGUMPSA-N 0 1 265.357 0.763 20 30 CCEDMN CO[C@H]1C[C@@H](C(=O)N[C@@H]2C=C[C@H](CO)C2)N(C)C1 ZINC000328958836 545020596 /nfs/dbraw/zinc/02/05/96/545020596.db2.gz JWZMZJTYPBGBLA-USZNOCQGSA-N 0 1 254.330 0.599 20 30 CCEDMN C[C@H](OC[C@@H]1CCCO1)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000329424820 545022691 /nfs/dbraw/zinc/02/26/91/545022691.db2.gz GCWUNFYBYSLDAG-MJBXVCDLSA-N 0 1 299.415 0.773 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N1CC[C@@H](n2cccn2)C1 ZINC000329840120 545027241 /nfs/dbraw/zinc/02/72/41/545027241.db2.gz WGFZGFNIAMFUNO-WYUUTHIRSA-N 0 1 262.313 0.689 20 30 CCEDMN CCNC(=O)C1CN(C(=O)CCc2cnc[nH]2)C1 ZINC000329941125 545027783 /nfs/dbraw/zinc/02/77/83/545027783.db2.gz UWUUJKAMUAUZHS-UHFFFAOYSA-N 0 1 250.302 0.777 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N(C)[C@@H](C)CC#N)n1 ZINC000081029826 260329006 /nfs/dbraw/zinc/32/90/06/260329006.db2.gz ZODCWCXEBQSWJZ-ZETCQYMHSA-N 0 1 253.331 0.966 20 30 CCEDMN Cc1nnc(SCC(=O)N(C)[C@@H](C)CC#N)[nH]1 ZINC000081029826 260329004 /nfs/dbraw/zinc/32/90/04/260329004.db2.gz ZODCWCXEBQSWJZ-ZETCQYMHSA-N 0 1 253.331 0.966 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cc2cn3ccccc3n2)CC1 ZINC000518026741 260336364 /nfs/dbraw/zinc/33/63/64/260336364.db2.gz AZFQJPZKHPOOSA-UHFFFAOYSA-N 0 1 297.362 0.981 20 30 CCEDMN C=CCN1CC[C@@H](NC(C)(C)C(=O)N(C)C)C1=O ZINC000525695881 260919600 /nfs/dbraw/zinc/91/96/00/260919600.db2.gz IQQSEMKDBXYAFV-SNVBAGLBSA-N 0 1 253.346 0.230 20 30 CCEDMN C#CCNC(=O)N1CCN(CCOC)[C@H](CCC)C1 ZINC000490871715 261232524 /nfs/dbraw/zinc/23/25/24/261232524.db2.gz CCOBVUGDFLBFIV-CYBMUJFWSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)[C@H]1CCCN(C(N)=O)C1 ZINC000490875656 261235564 /nfs/dbraw/zinc/23/55/64/261235564.db2.gz QTLBVLFHGNIKQA-STQMWFEESA-N 0 1 293.367 0.418 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CCCN(C(N)=O)C1 ZINC000490875656 261235566 /nfs/dbraw/zinc/23/55/66/261235566.db2.gz QTLBVLFHGNIKQA-STQMWFEESA-N 0 1 293.367 0.418 20 30 CCEDMN CC[C@](C)(NCC(=O)N[C@](C)(C#N)C1CC1)C(N)=O ZINC000177137680 261334726 /nfs/dbraw/zinc/33/47/26/261334726.db2.gz DEBWSOVYKWQRFN-QWHCGFSZSA-N 0 1 266.345 0.038 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](COC(F)F)C1 ZINC000491178648 261359956 /nfs/dbraw/zinc/35/99/56/261359956.db2.gz AXKLMJFPZDGOQI-ZJUUUORDSA-N 0 1 260.284 0.685 20 30 CCEDMN C[C@@H](CNC(=O)N1CCO[C@H](C#N)C1)[N@@H+](C)C1CC1 ZINC000106339301 261369985 /nfs/dbraw/zinc/36/99/85/261369985.db2.gz KAKKLDVEKDKBNV-CMPLNLGQSA-N 0 1 266.345 0.403 20 30 CCEDMN N#Cc1ccc(C2(NCC(N)=O)CCOCC2)cc1 ZINC000528781068 269850270 /nfs/dbraw/zinc/85/02/70/269850270.db2.gz DQMFCDHLMSWPND-UHFFFAOYSA-N 0 1 259.309 0.639 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](OC)C(C)(C)C1 ZINC000491788382 262054694 /nfs/dbraw/zinc/05/46/94/262054694.db2.gz XDBKTYNEFHMTJR-NWDGAFQWSA-N 0 1 252.358 0.871 20 30 CCEDMN C=C(C)COCCNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000354489426 262268201 /nfs/dbraw/zinc/26/82/01/262268201.db2.gz BQZMSHRZIFFLEX-AWEZNQCLSA-N 0 1 298.431 0.514 20 30 CCEDMN CN(C)C1CN(C(=O)Nc2ccn(CCC#N)n2)C1 ZINC000273462165 263017276 /nfs/dbraw/zinc/01/72/76/263017276.db2.gz CWIUXRLOGUAGMT-UHFFFAOYSA-N 0 1 262.317 0.574 20 30 CCEDMN C[C@@H](NCC(=O)N[C@@](C)(C#N)C1CC1)c1cn[nH]c1 ZINC000273955439 263020703 /nfs/dbraw/zinc/02/07/03/263020703.db2.gz FFQJYKWAQRAOAY-RNCFNFMXSA-N 0 1 261.329 0.869 20 30 CCEDMN Cc1ncc(CO)c(/C=N/CCN2CCCOCC2)c1O ZINC000275699671 263033898 /nfs/dbraw/zinc/03/38/98/263033898.db2.gz QKWCVIHXSYMVPI-MHWRWJLKSA-N 0 1 293.367 0.729 20 30 CCEDMN COC(=O)[C@H](C)NC(=O)C(C#N)Cc1ccc(C#N)cc1 ZINC000279587330 263056263 /nfs/dbraw/zinc/05/62/63/263056263.db2.gz DCORGYUECLSUBP-GXFFZTMASA-N 0 1 285.303 0.918 20 30 CCEDMN C#C[C@H](C)NCc1c(C)nn(C)c1N1CCOCC1 ZINC000289105635 263107572 /nfs/dbraw/zinc/10/75/72/263107572.db2.gz CLCWGDRHPGSKFU-NSHDSACASA-N 0 1 262.357 0.676 20 30 CCEDMN COC(=O)C1(NC(=O)C(C)C#N)CCSCC1 ZINC000340408273 263136598 /nfs/dbraw/zinc/13/65/98/263136598.db2.gz INUZPRVROVLEJF-QMMMGPOBSA-N 0 1 256.327 0.701 20 30 CCEDMN CC1CCN(CCNS(=O)(=O)N(C)CCC#N)CC1 ZINC000045745043 263302598 /nfs/dbraw/zinc/30/25/98/263302598.db2.gz LAPIKAVEWYBSOC-UHFFFAOYSA-N 0 1 288.417 0.398 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@H](O)[C@@H](CC)C1 ZINC000341056506 263319651 /nfs/dbraw/zinc/31/96/51/263319651.db2.gz ZCRZZSNVWDKGBG-DCAQKATOSA-N 0 1 269.345 0.089 20 30 CCEDMN CC#CCN(C)C(=O)c1cnc(CCOCCOC)[nH]1 ZINC000341078111 263323979 /nfs/dbraw/zinc/32/39/79/263323979.db2.gz HRVBLJAXYKRDEG-UHFFFAOYSA-N 0 1 279.340 0.711 20 30 CCEDMN COCC1(C#N)CCN(CCN2CCO[C@H](C)C2)CC1 ZINC000341989427 263381016 /nfs/dbraw/zinc/38/10/16/263381016.db2.gz JZTVODUPGXFTPH-CQSZACIVSA-N 0 1 281.400 0.959 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC(C(N)=O)CC1 ZINC000048544782 263818179 /nfs/dbraw/zinc/81/81/79/263818179.db2.gz JAYITVQVUOBOBQ-LLVKDONJSA-N 0 1 280.372 0.192 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)CN2C(=O)CN(C)C2=O)n[nH]1 ZINC000328954242 263897645 /nfs/dbraw/zinc/89/76/45/263897645.db2.gz YIGWXARTWCIFSQ-ZETCQYMHSA-N 0 1 294.315 0.279 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)NCCN1CCCCC1 ZINC000330370463 263915527 /nfs/dbraw/zinc/91/55/27/263915527.db2.gz FKISVNFGJCGRRP-LBPRGKRZSA-N 0 1 288.417 0.398 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)N[C@@H]1[C@H]3CN(CC#N)C[C@H]31)C2 ZINC000960647899 649856999 /nfs/dbraw/zinc/85/69/99/649856999.db2.gz MRLQVYVQLZRTLH-FJJYHAOUSA-N 0 1 299.378 0.393 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC[C@H](c2nc[nH]n2)C1 ZINC000330869998 264058694 /nfs/dbraw/zinc/05/86/94/264058694.db2.gz QHCGWMGORDPDJX-GWCFXTLKSA-N 0 1 264.329 0.838 20 30 CCEDMN N#CCCCC(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000330883619 264062257 /nfs/dbraw/zinc/06/22/57/264062257.db2.gz DJPXADGMTUNCRF-ZDUSSCGKSA-N 0 1 265.357 0.861 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCc2ccn(C)n2)C[C@H]1C ZINC000330851248 264068143 /nfs/dbraw/zinc/06/81/43/264068143.db2.gz NOMMPAATPQPVIU-NEPJUHHUSA-N 0 1 295.387 0.221 20 30 CCEDMN COC[C@H](O)CNC([O-])=[NH+][C@@H]1CCCC[C@H]1n1cccn1 ZINC000330951434 264086675 /nfs/dbraw/zinc/08/66/75/264086675.db2.gz DSCOMTAWCCIASU-JHJVBQTASA-N 0 1 296.371 0.878 20 30 CCEDMN COC[C@H](O)C[NH+]=C([O-])N[C@@H]1CCCC[C@H]1n1cccn1 ZINC000330951434 264086678 /nfs/dbraw/zinc/08/66/78/264086678.db2.gz DSCOMTAWCCIASU-JHJVBQTASA-N 0 1 296.371 0.878 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CC[C@H](CCO)C1 ZINC000330959500 264087903 /nfs/dbraw/zinc/08/79/03/264087903.db2.gz ABJXVPHFBPTIQE-SNVBAGLBSA-N 0 1 252.318 0.836 20 30 CCEDMN COCc1nc2n(n1)C[C@H]([NH+]=C([O-])N[C@H](C)CCO)CC2 ZINC000330966494 264090789 /nfs/dbraw/zinc/09/07/89/264090789.db2.gz DCOBPOKWDNAFKS-NXEZZACHSA-N 0 1 297.359 0.014 20 30 CCEDMN COCc1nc2n(n1)C[C@H](NC(=O)N[C@H](C)CCO)CC2 ZINC000330966494 264090790 /nfs/dbraw/zinc/09/07/90/264090790.db2.gz DCOBPOKWDNAFKS-NXEZZACHSA-N 0 1 297.359 0.014 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)N1C[C@@H](C)O[C@H](C(N)=O)C1 ZINC000331010963 264107106 /nfs/dbraw/zinc/10/71/06/264107106.db2.gz ZLHKFQMELKFPAY-MXWKQRLJSA-N 0 1 295.343 0.810 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)C[C@@H]1CCCO1 ZINC000076297941 264336352 /nfs/dbraw/zinc/33/63/52/264336352.db2.gz IHNSUDLDMSWBJL-QWRGUYRKSA-N 0 1 269.345 0.498 20 30 CCEDMN N#CCCn1ccc(=NC(=O)c2ccc([N+](=O)[O-])[nH]2)[nH]1 ZINC000344435632 265061643 /nfs/dbraw/zinc/06/16/43/265061643.db2.gz NVMOQHCHYPRNIJ-UHFFFAOYSA-N 0 1 274.240 0.707 20 30 CCEDMN CN1CCN(c2cccc(C(=O)NCC#N)n2)CC1 ZINC000347715719 265134648 /nfs/dbraw/zinc/13/46/48/265134648.db2.gz JPTUFDYFZCFXMM-UHFFFAOYSA-N 0 1 259.313 0.087 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)Cn2cnc(C#N)n2)[C@@H](C)C1 ZINC000518998220 265289666 /nfs/dbraw/zinc/28/96/66/265289666.db2.gz IQKANBUDNIVBEB-QWRGUYRKSA-N 0 1 276.344 0.091 20 30 CCEDMN C=CCOC[C@H](NCc1[nH]ncc1C)C(=O)OC ZINC000356317845 266094700 /nfs/dbraw/zinc/09/47/00/266094700.db2.gz LJGGPZJQTHXHKL-NSHDSACASA-N 0 1 253.302 0.552 20 30 CCEDMN C=CCN(C(=O)NC[C@@H]1CN(C)CCN1C)C1CC1 ZINC000357584482 266330695 /nfs/dbraw/zinc/33/06/95/266330695.db2.gz UUCDEQQGTGJYIK-CYBMUJFWSA-N 0 1 266.389 0.592 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@H]1CN2CCC[C@H]2CO1 ZINC000368564829 267185964 /nfs/dbraw/zinc/18/59/64/267185964.db2.gz WICMCCOUHYKKIE-RYUDHWBXSA-N 0 1 274.386 0.345 20 30 CCEDMN N#CCCCCNC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000368987706 267216186 /nfs/dbraw/zinc/21/61/86/267216186.db2.gz SXDSUHLLLBROJD-KBPBESRZSA-N 0 1 294.399 0.921 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CC[C@@](O)(C2CC2)C1)C1CC1 ZINC000370085398 267290252 /nfs/dbraw/zinc/29/02/52/267290252.db2.gz VKYVWGLFOUCOJB-GJZGRUSLSA-N 0 1 277.368 0.642 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(CC(C)(C)O)CC2)n1 ZINC000231902245 267315247 /nfs/dbraw/zinc/31/52/47/267315247.db2.gz RWXYJEPACVLHLZ-UHFFFAOYSA-N 0 1 275.356 0.550 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2cccnc2C#N)[C@@H](C)CN1 ZINC000235371383 288244355 /nfs/dbraw/zinc/24/43/55/288244355.db2.gz GLEXSDVFJPXZEG-ZJUUUORDSA-N 0 1 280.353 0.324 20 30 CCEDMN CN1CC[C@H]2OCCN(C(=O)C(C)(C)C#N)[C@H]2C1 ZINC000332680754 290234366 /nfs/dbraw/zinc/23/43/66/290234366.db2.gz MGKCKTNPHIGNPX-WDEREUQCSA-N 0 1 251.330 0.468 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@](CO)(OC)C1 ZINC000401812726 545090773 /nfs/dbraw/zinc/09/07/73/545090773.db2.gz VHUKFVQXIHKMOW-WCQYABFASA-N 0 1 256.346 0.150 20 30 CCEDMN COCC1(C)CCN(CC(=O)NCCC#N)CC1 ZINC000773718940 581939256 /nfs/dbraw/zinc/93/92/56/581939256.db2.gz CRGADKFNYOFECD-UHFFFAOYSA-N 0 1 253.346 0.765 20 30 CCEDMN CCNC(=O)[C@H](NCC(=O)N[C@](C)(C#N)C(C)C)C(C)C ZINC000339325176 328006303 /nfs/dbraw/zinc/00/63/03/328006303.db2.gz IDRDEKDTVTWEPX-UKRRQHHQSA-N 0 1 296.415 0.791 20 30 CCEDMN CCN(CC)C(=O)CCC(=O)NC1(C#N)CCN(C)CC1 ZINC000531508024 328014017 /nfs/dbraw/zinc/01/40/17/328014017.db2.gz HPMHNBPTOQOTHW-UHFFFAOYSA-N 0 1 294.399 0.739 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)CC1(O)CCCC1 ZINC000152223310 328081621 /nfs/dbraw/zinc/08/16/21/328081621.db2.gz GIWIJHPPGBPEHD-UHFFFAOYSA-N 0 1 267.373 0.985 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCC[C@]1(C)CO)C1CC1 ZINC000546103267 328186574 /nfs/dbraw/zinc/18/65/74/328186574.db2.gz KRSRTOFOAJJVIB-ZIAGYGMSSA-N 0 1 265.357 0.642 20 30 CCEDMN C=CCOc1cccc(NC(=O)C(=O)N2CCNCC2)c1 ZINC000415280832 328427005 /nfs/dbraw/zinc/42/70/05/328427005.db2.gz HGVFQQLAZYNOEP-UHFFFAOYSA-N 0 1 289.335 0.622 20 30 CCEDMN N#CC1(CNC[C@@H](O)Cn2cc([N+](=O)[O-])cn2)CC1 ZINC000564865227 327035308 /nfs/dbraw/zinc/03/53/08/327035308.db2.gz XBRCSDPDXCGTCP-SNVBAGLBSA-N 0 1 265.273 0.046 20 30 CCEDMN CC[C@H](O)CN1CCN(c2cccnc2C#N)CC1 ZINC000584145125 327048892 /nfs/dbraw/zinc/04/88/92/327048892.db2.gz HMOLVIYEMAPKQK-LBPRGKRZSA-N 0 1 260.341 0.846 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(OC[C@H]2CCCO2)CC1 ZINC000177454993 329184398 /nfs/dbraw/zinc/18/43/98/329184398.db2.gz QOJXIGOODXBPRC-UKRRQHHQSA-N 0 1 294.395 0.784 20 30 CCEDMN C=C(C)CN(C)CC(=O)NC(=O)N[C@@H](C)COC ZINC000181307848 329230761 /nfs/dbraw/zinc/23/07/61/329230761.db2.gz YCHQTESHOZALKW-JTQLQIEISA-N 0 1 257.334 0.355 20 30 CCEDMN C=CC[C@H](CC)NC(=O)NCC(=O)N1CCNCC1 ZINC000583133654 329319263 /nfs/dbraw/zinc/31/92/63/329319263.db2.gz LTCGRVHOZCKMND-NSHDSACASA-N 0 1 268.361 0.072 20 30 CCEDMN CNC(=O)CCCN1CCN(c2ncccc2C#N)CC1 ZINC000583241775 329393112 /nfs/dbraw/zinc/39/31/12/329393112.db2.gz YOGYVRYSOUSDAP-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)NCC2(CC#N)CC2)C[C@H](C)N1 ZINC000418820418 329574139 /nfs/dbraw/zinc/57/41/39/329574139.db2.gz FNMGBMZHGHXHEQ-QWRGUYRKSA-N 0 1 278.356 0.005 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N1CCNC[C@H]1CCC ZINC000418998404 329705079 /nfs/dbraw/zinc/70/50/79/329705079.db2.gz SUEGFMJIFQIRGM-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCN1CCC(NC(=O)N[C@H]2CC[S@](=O)C2)CC1 ZINC000332946041 330119944 /nfs/dbraw/zinc/11/99/44/330119944.db2.gz OVBGLMOHNLLYIK-BUXKBTBVSA-N 0 1 285.413 0.457 20 30 CCEDMN C=CCN(Cc1ccccc1)C(=O)C(=O)N1CCNCC1 ZINC000423128293 330171654 /nfs/dbraw/zinc/17/16/54/330171654.db2.gz VNYUTCKFFFRUNH-UHFFFAOYSA-N 0 1 287.363 0.633 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1 ZINC000423566087 330212036 /nfs/dbraw/zinc/21/20/36/330212036.db2.gz PXNUWHLSLOWGHR-RWMBFGLXSA-N 0 1 279.384 0.762 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N(C)CC(C)(C)C#N)C[C@@H](C)N1 ZINC000423674042 330213723 /nfs/dbraw/zinc/21/37/23/330213723.db2.gz GNHJEKLUFZAVIE-GHMZBOCLSA-N 0 1 280.372 0.203 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@H]1CCSC1 ZINC000089939202 330389070 /nfs/dbraw/zinc/38/90/70/330389070.db2.gz LIOKJMBPKAPLRW-VIFPVBQESA-N 0 1 257.359 0.436 20 30 CCEDMN C[C@@H](NCC(=O)NCC#N)[C@H]1OCCc2sccc21 ZINC000429166016 330539488 /nfs/dbraw/zinc/53/94/88/330539488.db2.gz GXJXLPHENCRWSQ-NOZJJQNGSA-N 0 1 279.365 0.980 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@]2(CCOC2)C1 ZINC000451902225 331046762 /nfs/dbraw/zinc/04/67/62/331046762.db2.gz OAKOBUPYOOTWNE-DOMZBBRYSA-N 0 1 295.383 0.889 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@@H](O)[C@H](CO)C1 ZINC000459709612 331295293 /nfs/dbraw/zinc/29/52/93/331295293.db2.gz RZYDDBPGIXCVGD-RWMBFGLXSA-N 0 1 270.373 0.085 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000488846981 332029219 /nfs/dbraw/zinc/02/92/19/332029219.db2.gz WOPGRZPTNMSWHK-NWDGAFQWSA-N 0 1 251.330 0.327 20 30 CCEDMN C=C[C@@H]1CCCCN1CC(=O)NCCC(=O)OC ZINC000489503291 332057199 /nfs/dbraw/zinc/05/71/99/332057199.db2.gz XSOKJHHGYCCJKM-LLVKDONJSA-N 0 1 254.330 0.706 20 30 CCEDMN C#CC[NH+]1CCC(C(=O)[N-]O[C@H]2CCCCO2)CC1 ZINC000490594974 332094244 /nfs/dbraw/zinc/09/42/44/332094244.db2.gz XGTRAPBZHGLOFC-ZDUSSCGKSA-N 0 1 266.341 0.906 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(OCCOC)CC1 ZINC000490657334 332100812 /nfs/dbraw/zinc/10/08/12/332100812.db2.gz URMBGNLENKGZGZ-GFCCVEGCSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](C(=O)OC)[C@H]1C ZINC000490715888 332107954 /nfs/dbraw/zinc/10/79/54/332107954.db2.gz ZXYGDRBMEDRKQE-VWYCJHECSA-N 0 1 252.314 0.008 20 30 CCEDMN C#CCN(CC#CC)S(=O)(=O)CCN1CCCC1 ZINC000490742927 332112380 /nfs/dbraw/zinc/11/23/80/332112380.db2.gz ZNHZKNXAGLNRAU-UHFFFAOYSA-N 0 1 268.382 0.371 20 30 CCEDMN C#CCN(CCN1CCCS1(=O)=O)C1CCCC1 ZINC000490840493 332119754 /nfs/dbraw/zinc/11/97/54/332119754.db2.gz OLGNLAPYOAAQHY-UHFFFAOYSA-N 0 1 270.398 0.900 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC000490877115 332124370 /nfs/dbraw/zinc/12/43/70/332124370.db2.gz WMUVAJDJUVWRAR-UONOGXRCSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@@H]2C[C@@H](C)O)CC1 ZINC000490951072 332132650 /nfs/dbraw/zinc/13/26/50/332132650.db2.gz VYNFDKAEUXDSBY-HIFRSBDPSA-N 0 1 294.395 0.330 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](C(C)(C)C)[C@@H](O)C1 ZINC000490951338 332132707 /nfs/dbraw/zinc/13/27/07/332132707.db2.gz WWFALGPMXNNCAL-XQQFMLRXSA-N 0 1 266.385 0.853 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCCC3(CC3)C2)CC1 ZINC000491015358 332139161 /nfs/dbraw/zinc/13/91/61/332139161.db2.gz XYZAOCDUVUCPRP-UHFFFAOYSA-N 0 1 275.396 0.640 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCSCC(=O)OC ZINC000491071344 332146322 /nfs/dbraw/zinc/14/63/22/332146322.db2.gz KAQWGODXMRVGMJ-GFCCVEGCSA-N 0 1 299.392 0.924 20 30 CCEDMN C#CCNC(=O)CCNCc1cn2ccccc2n1 ZINC000491072201 332146788 /nfs/dbraw/zinc/14/67/88/332146788.db2.gz QPXCTNMWGLPLMI-UHFFFAOYSA-N 0 1 256.309 0.563 20 30 CCEDMN C#CCNC(=O)CCN1CCN(CC)[C@@H](c2ncc[nH]2)C1 ZINC000491164311 332158934 /nfs/dbraw/zinc/15/89/34/332158934.db2.gz AKWLVBYVYFGVQW-CYBMUJFWSA-N 0 1 289.383 0.228 20 30 CCEDMN C#CCNC(=O)CCN1CCNC(=O)[C@@]1(C)CCC ZINC000491201849 332164781 /nfs/dbraw/zinc/16/47/81/332164781.db2.gz KHKOVTGJPBDXSZ-CQSZACIVSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2CCO)CC1 ZINC000491305946 332186513 /nfs/dbraw/zinc/18/65/13/332186513.db2.gz KZJYTWLJGLPTEG-AWEZNQCLSA-N 0 1 264.369 0.705 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1ccc(CCOC)cc1 ZINC000491381654 332201197 /nfs/dbraw/zinc/20/11/97/332201197.db2.gz GJKWAQBRWLZKJR-LSDHHAIUSA-N 0 1 293.363 0.199 20 30 CCEDMN C#CCN(C)C(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000491545545 332235123 /nfs/dbraw/zinc/23/51/23/332235123.db2.gz QXAZUKVDCYQLDV-JTQLQIEISA-N 0 1 284.319 0.773 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)Cc1cncnc1 ZINC000491635837 332256895 /nfs/dbraw/zinc/25/68/95/332256895.db2.gz SEJRDIWGTXPJPU-CYBMUJFWSA-N 0 1 259.309 0.660 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)C[C@@H]1CCC(=O)NC1 ZINC000491658515 332269481 /nfs/dbraw/zinc/26/94/81/332269481.db2.gz BVUPLNSHEAQDLK-STQMWFEESA-N 0 1 278.352 0.544 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C)C(C)C ZINC000491661882 332271621 /nfs/dbraw/zinc/27/16/21/332271621.db2.gz BGEYHNLIYFDSDQ-IHRRRGAJSA-N 0 1 281.400 0.741 20 30 CCEDMN C#CCNC(=O)NC(C)(C)CN1CCN(CC)CC1 ZINC000491718906 332307588 /nfs/dbraw/zinc/30/75/88/332307588.db2.gz WZJLAFLTZZEGQM-UHFFFAOYSA-N 0 1 266.389 0.335 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NCCN1CCCOCC1 ZINC000491742729 332321265 /nfs/dbraw/zinc/32/12/65/332321265.db2.gz QQWDIPBEQBURGN-CYBMUJFWSA-N 0 1 267.373 0.810 20 30 CCEDMN C#CCN(C(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1)C1CCCC1 ZINC000491773043 332339345 /nfs/dbraw/zinc/33/93/45/332339345.db2.gz BDLSHECXRRPNPH-STQMWFEESA-N 0 1 291.395 0.600 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1ccc(F)cc1 ZINC000491773592 332339540 /nfs/dbraw/zinc/33/95/40/332339540.db2.gz VJJQJBCHZITNMW-VXGBXAGGSA-N 0 1 253.273 0.149 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC2(COC(=O)N2)CC1 ZINC000496907752 332564123 /nfs/dbraw/zinc/56/41/23/332564123.db2.gz KRMTUFLJNAVYSD-NSHDSACASA-N 0 1 294.355 0.321 20 30 CCEDMN C[C@H](C#N)CNC[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC000497009283 332567190 /nfs/dbraw/zinc/56/71/90/332567190.db2.gz RYUDBRPPPVPNBN-MNOVXSKESA-N 0 1 271.361 0.963 20 30 CCEDMN COC(=O)CNC(=O)CCN(CCC#N)CC1CC1 ZINC000174694884 333005924 /nfs/dbraw/zinc/00/59/24/333005924.db2.gz RFPMSKJCNFYDJZ-UHFFFAOYSA-N 0 1 267.329 0.291 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC[NH+](C(C)(C)C(=O)[O-])CC1 ZINC000530140496 333525429 /nfs/dbraw/zinc/52/54/29/333525429.db2.gz FYLWLZUANFLSQD-UHFFFAOYSA-N 0 1 290.385 0.373 20 30 CCEDMN Cc1nnsc1CN(C)CCCc1[nH]nc(N)c1C#N ZINC000576163392 335163138 /nfs/dbraw/zinc/16/31/38/335163138.db2.gz NDQJOHAJHXWWPQ-UHFFFAOYSA-N 0 1 291.384 0.918 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CNC(C)(C)C(=O)N(C)C ZINC000074286245 334001563 /nfs/dbraw/zinc/00/15/63/334001563.db2.gz IPKZSVYPIQWFOJ-CQSZACIVSA-N 0 1 282.388 0.497 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@]1(C)CO ZINC000546810531 334051004 /nfs/dbraw/zinc/05/10/04/334051004.db2.gz FXTXCCQPRLCKOU-OCCSQVGLSA-N 0 1 267.373 0.841 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)[C@@H](CO)C(C)(C)C ZINC000134400693 334089783 /nfs/dbraw/zinc/08/97/83/334089783.db2.gz OPNKRNSDTSTVHA-ZDUSSCGKSA-N 0 1 294.399 0.981 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)C[C@@H]1CCC[C@H]1O ZINC000246517318 334261957 /nfs/dbraw/zinc/26/19/57/334261957.db2.gz KQROTQYTBQMMJQ-UONOGXRCSA-N 0 1 292.383 0.735 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@@H](O)[C@H](C)C1 ZINC000249560057 334336884 /nfs/dbraw/zinc/33/68/84/334336884.db2.gz LHJZKLIAIHJGMB-IJLUTSLNSA-N 0 1 253.346 0.450 20 30 CCEDMN N#CCC[C@H](C#N)CNCCn1cc([N+](=O)[O-])cn1 ZINC000567101035 334680998 /nfs/dbraw/zinc/68/09/98/334680998.db2.gz LCTOWNHIVUPLRA-SNVBAGLBSA-N 0 1 262.273 0.824 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CCCO[C@@H]2CCCCO2)c1=O ZINC000573247685 334921336 /nfs/dbraw/zinc/92/13/36/334921336.db2.gz FPGKTRJVIXKTLL-LLVKDONJSA-N 0 1 279.296 0.342 20 30 CCEDMN C=CCCn1cc(C(=O)NC(C)(C)c2nn[nH]n2)nn1 ZINC000576862460 335256735 /nfs/dbraw/zinc/25/67/35/335256735.db2.gz BXXDOBRRJNOAKE-UHFFFAOYSA-N 0 1 276.304 0.032 20 30 CCEDMN CCNC(=O)CCN1CCN(c2cnccc2C#N)CC1 ZINC000578585804 335651187 /nfs/dbraw/zinc/65/11/87/335651187.db2.gz PACMUPMJKXFCDM-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000182840754 335846207 /nfs/dbraw/zinc/84/62/07/335846207.db2.gz TZGXXSPTMKOJNW-ZIAGYGMSSA-N 0 1 294.399 0.900 20 30 CCEDMN Cn1cccc(N/C([O-])=N/[C@H]2CCn3cc[nH+]c3C2)c1=O ZINC000330236208 534779436 /nfs/dbraw/zinc/77/94/36/534779436.db2.gz HFYRWQFAAWTNRS-JTQLQIEISA-N 0 1 287.323 0.923 20 30 CCEDMN CC#CCCN1CCC[C@H](S(=O)(=O)N(C)C)C1 ZINC000367132948 526308685 /nfs/dbraw/zinc/30/86/85/526308685.db2.gz HZGDJZYKCNJMHS-LBPRGKRZSA-N 0 1 258.387 0.756 20 30 CCEDMN C=CCCNC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000665940708 545110176 /nfs/dbraw/zinc/11/01/76/545110176.db2.gz JZIMZOYUVLQENY-RYUDHWBXSA-N 0 1 255.362 0.659 20 30 CCEDMN C=C(C)CN(C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000352135476 526488742 /nfs/dbraw/zinc/48/87/42/526488742.db2.gz QCLYZFWJEMQPCD-LBPRGKRZSA-N 0 1 254.378 0.450 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(CC)C[C@@H]1C ZINC000352667272 526592248 /nfs/dbraw/zinc/59/22/48/526592248.db2.gz JPSKELVQHOYPGV-NSHDSACASA-N 0 1 268.361 0.024 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)CCc1nc[nH]n1 ZINC000332366012 526769148 /nfs/dbraw/zinc/76/91/48/526769148.db2.gz ZIQVGTUUBPLLED-MFKMUULPSA-N 0 1 264.329 0.835 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)CCc1nc[nH]n1 ZINC000332366015 526829691 /nfs/dbraw/zinc/82/96/91/526829691.db2.gz ZIQVGTUUBPLLED-ZWNOBZJWSA-N 0 1 264.329 0.835 20 30 CCEDMN C=CCOCCCNC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000666515460 545124839 /nfs/dbraw/zinc/12/48/39/545124839.db2.gz CWJFPPLRKDCIRP-KBPBESRZSA-N 0 1 299.415 0.676 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000352570053 527490304 /nfs/dbraw/zinc/49/03/04/527490304.db2.gz BFKVAMIBIUYXIG-QWHCGFSZSA-N 0 1 290.429 0.669 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@H]1CCCN(C)C1 ZINC000668330264 545173694 /nfs/dbraw/zinc/17/36/94/545173694.db2.gz OGHWTUOLFGYDFI-QWHCGFSZSA-N 0 1 267.373 0.668 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)NC[C@H]1CN(C)CCN1C ZINC000336606034 527599727 /nfs/dbraw/zinc/59/97/27/527599727.db2.gz HNLIWJIPPQSBQU-KBPBESRZSA-N 0 1 280.416 0.982 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)[C@H]1CCC[C@H]1O ZINC000352694375 527926037 /nfs/dbraw/zinc/92/60/37/527926037.db2.gz HSHWGGJHSQUILZ-SCRDCRAPSA-N 0 1 267.373 0.886 20 30 CCEDMN CCOC(=O)COCCN1CCC(C#N)(COC)CC1 ZINC000352093335 528823022 /nfs/dbraw/zinc/82/30/22/528823022.db2.gz OTEAOUQQWDKJKV-UHFFFAOYSA-N 0 1 284.356 0.818 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000673011025 545278019 /nfs/dbraw/zinc/27/80/19/545278019.db2.gz SLPHGFXFBKJCQF-CHWSQXEVSA-N 0 1 285.388 0.286 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(CC(=O)NCCCC)CC1 ZINC000675486933 545326983 /nfs/dbraw/zinc/32/69/83/545326983.db2.gz YJTHSGCPECDWBD-HNNXBMFYSA-N 0 1 297.443 0.847 20 30 CCEDMN COCC#CCN1CCC(N2CCCNC2=O)CC1 ZINC000677819619 545373471 /nfs/dbraw/zinc/37/34/71/545373471.db2.gz FXMPXPKOPPQNIF-UHFFFAOYSA-N 0 1 265.357 0.516 20 30 CCEDMN CN(C)C(=O)CCN1CCN(c2ccc(C#N)nc2)CC1 ZINC000268401186 536075772 /nfs/dbraw/zinc/07/57/72/536075772.db2.gz PVDJZCYNZFYHEX-UHFFFAOYSA-N 0 1 287.367 0.554 20 30 CCEDMN C[C@@H](NC(=O)[O-])[C@@H]1CCCC[N@@H+]1CC(=O)NCC#N ZINC000739008202 581123652 /nfs/dbraw/zinc/12/36/52/581123652.db2.gz IJZGEYDNYYQWPI-ZJUUUORDSA-N 0 1 268.317 0.137 20 30 CCEDMN C[C@@H](NC(=O)[O-])[C@@H]1CCCC[N@H+]1CC(=O)NCC#N ZINC000739008202 581123654 /nfs/dbraw/zinc/12/36/54/581123654.db2.gz IJZGEYDNYYQWPI-ZJUUUORDSA-N 0 1 268.317 0.137 20 30 CCEDMN N#Cc1csc(CNC[C@@H]2CC(C(N)=O)=NO2)c1 ZINC000789562635 581151828 /nfs/dbraw/zinc/15/18/28/581151828.db2.gz YIYLKEABPRELMU-QMMMGPOBSA-N 0 1 264.310 0.340 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2cc([N+](=O)[O-])ccc2[O-])N1 ZINC000779803048 581114203 /nfs/dbraw/zinc/11/42/03/581114203.db2.gz MVUNLATVKLRKMF-SSDOTTSWSA-N 0 1 263.257 0.572 20 30 CCEDMN N=C(N)NN=Cc1cnn(Cc2ccc(F)cc2)c1 ZINC000780210015 581222645 /nfs/dbraw/zinc/22/26/45/581222645.db2.gz PEHKFUNIQMZXHA-UHFFFAOYSA-N 0 1 260.276 0.887 20 30 CCEDMN C=CCNC(=O)COC(=O)c1ccc2cncn2c1 ZINC000792548793 581265922 /nfs/dbraw/zinc/26/59/22/581265922.db2.gz DZOLTLWQHQLZJE-UHFFFAOYSA-N 0 1 259.265 0.793 20 30 CCEDMN COc1nccnc1CN=Nc1ccc(-n2ccnc2)nn1 ZINC000793051162 581282379 /nfs/dbraw/zinc/28/23/79/581282379.db2.gz IBCRKFGWGLQIJE-UHFFFAOYSA-N 0 1 296.294 0.907 20 30 CCEDMN Cn1ncc(C(=O)C(C#N)C(=O)Nc2ccccc2)n1 ZINC000793123310 581284661 /nfs/dbraw/zinc/28/46/61/581284661.db2.gz GZAJSDVPPFKLPT-SNVBAGLBSA-N 0 1 269.264 0.776 20 30 CCEDMN N#CCNC(=O)COC(=O)c1cccc2[nH]ncc21 ZINC000785588059 581413164 /nfs/dbraw/zinc/41/31/64/581413164.db2.gz AZIAQJDIYZHJDL-UHFFFAOYSA-N 0 1 258.237 0.359 20 30 CCEDMN CCN(CCC#N)C(=O)CNC(C)(C)C(=O)N1CCCC1 ZINC000796581901 581444939 /nfs/dbraw/zinc/44/49/39/581444939.db2.gz KMJLZTVWISOMSZ-UHFFFAOYSA-N 0 1 294.399 0.739 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)OC)nc1 ZINC000765242461 581507516 /nfs/dbraw/zinc/50/75/16/581507516.db2.gz PNTGQBURUNNBLB-ZDUSSCGKSA-N 0 1 298.302 0.300 20 30 CCEDMN N#Cc1csc(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)c1 ZINC000752625834 581511097 /nfs/dbraw/zinc/51/10/97/581511097.db2.gz IFWCGIFZGGRHCZ-MRVPVSSYSA-N 0 1 290.308 0.347 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](CN(C)C(=O)[O-])C1 ZINC000739416055 581549520 /nfs/dbraw/zinc/54/95/20/581549520.db2.gz WQJNDXDCWLTDBH-GFCCVEGCSA-N 0 1 296.371 0.680 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@@H+]1CC[C@@H](CNC(=O)[O-])C1)C1CC1 ZINC000738595907 581576004 /nfs/dbraw/zinc/57/60/04/581576004.db2.gz BZVNNSNBHCJGQI-IINYFYTJSA-N 0 1 294.355 0.384 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+]1CC[C@@H](CNC(=O)[O-])C1)C1CC1 ZINC000738595907 581576007 /nfs/dbraw/zinc/57/60/07/581576007.db2.gz BZVNNSNBHCJGQI-IINYFYTJSA-N 0 1 294.355 0.384 20 30 CCEDMN CCC[C@H]1CN(CC(=O)NCCC#N)CCN1CCOC ZINC000766975029 581591132 /nfs/dbraw/zinc/59/11/32/581591132.db2.gz ZFIODHVYNZRKHR-AWEZNQCLSA-N 0 1 296.415 0.449 20 30 CCEDMN C=C(C)CN1CCC(NC(=O)NCC[S@](C)=O)CC1 ZINC000735099768 581620593 /nfs/dbraw/zinc/62/05/93/581620593.db2.gz LPURVNLSPWHJST-IBGZPJMESA-N 0 1 287.429 0.705 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](n2ccnc2)C1 ZINC000729920673 581620803 /nfs/dbraw/zinc/62/08/03/581620803.db2.gz QTLSMFQOWIJPKO-QWHCGFSZSA-N 0 1 260.341 0.658 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](CCC)c2nn[nH]n2)cn1 ZINC000735389359 581642412 /nfs/dbraw/zinc/64/24/12/581642412.db2.gz ARPWKEQGVKMGIA-NSHDSACASA-N 0 1 270.296 0.847 20 30 CCEDMN Cc1nc[nH]c1C=NNC(=S)NC[C@H]1CCCO1 ZINC000755520303 581643528 /nfs/dbraw/zinc/64/35/28/581643528.db2.gz BELFJVAACHSMPF-SECBINFHSA-N 0 1 267.358 0.695 20 30 CCEDMN C[C@@H]1CC(=NNc2nc(=O)c3cnn(C)c3[n-]2)CC[N@@H+]1C ZINC000769819133 581709029 /nfs/dbraw/zinc/70/90/29/581709029.db2.gz ZNHBICUPCKRWLG-MRVPVSSYSA-N 0 1 289.343 0.951 20 30 CCEDMN C[C@@H]1CC(=NNc2nc(=O)c3cnn(C)c3[n-]2)CC[N@H+]1C ZINC000769819133 581709031 /nfs/dbraw/zinc/70/90/31/581709031.db2.gz ZNHBICUPCKRWLG-MRVPVSSYSA-N 0 1 289.343 0.951 20 30 CCEDMN CNC(=O)[C@H](C#N)C(=O)c1cn(C)c(=O)c2ccccc12 ZINC000759433697 581798085 /nfs/dbraw/zinc/79/80/85/581798085.db2.gz CBKFWBNMKKYUFU-LLVKDONJSA-N 0 1 283.287 0.607 20 30 CCEDMN CN(CCC#N)c1ccc(C=NOCC(N)=O)cc1 ZINC000771577857 581809996 /nfs/dbraw/zinc/80/99/96/581809996.db2.gz IBNCAGXXWJMWBK-UHFFFAOYSA-N 0 1 260.297 0.872 20 30 CCEDMN COCCn1cc(C=NNc2ncnc3nc[nH]c32)cn1 ZINC000743439163 581811889 /nfs/dbraw/zinc/81/18/89/581811889.db2.gz SMJKPWWDTRDIAL-UHFFFAOYSA-N 0 1 286.299 0.642 20 30 CCEDMN C[C@H](C(=O)Nc1cc(C#N)ccc1O)N1C(=O)CCC1=O ZINC000759720355 581818094 /nfs/dbraw/zinc/81/80/94/581818094.db2.gz AOUUUFZJNCXSRR-MRVPVSSYSA-N 0 1 287.275 0.740 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCc1cc(CC)[nH]n1 ZINC000732793510 581848506 /nfs/dbraw/zinc/84/85/06/581848506.db2.gz BKCPZIMAEJKXCJ-ZDUSSCGKSA-N 0 1 260.341 0.686 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC(=O)c2ncn[nH]2)c1 ZINC000772714418 581885359 /nfs/dbraw/zinc/88/53/59/581885359.db2.gz XNYKPGHFXFYAHN-UHFFFAOYSA-N 0 1 269.264 0.155 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC(=O)c2nc[nH]n2)c1 ZINC000772714418 581885360 /nfs/dbraw/zinc/88/53/60/581885360.db2.gz XNYKPGHFXFYAHN-UHFFFAOYSA-N 0 1 269.264 0.155 20 30 CCEDMN CC(C)(C)N1CCC(=NNCCS(C)(=O)=O)CC1 ZINC000733205065 581890747 /nfs/dbraw/zinc/89/07/47/581890747.db2.gz JJWHXSUIHUYMJH-UHFFFAOYSA-N 0 1 275.418 0.871 20 30 CCEDMN O=C(NCCNCc1ccoc1)C1N=CC=CC1=O ZINC000733332820 581901218 /nfs/dbraw/zinc/90/12/18/581901218.db2.gz CGQNLQUJWSJCCD-OUKQBFOZSA-N 0 1 261.281 0.896 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(OCCOC)c1 ZINC000746514405 581917738 /nfs/dbraw/zinc/91/77/38/581917738.db2.gz ZQSYXALRVQMDIS-UHFFFAOYSA-N 0 1 276.336 0.551 20 30 CCEDMN Cc1cc(C)n(CCn2c(N)nc(-c3nn[nH]n3)c2C#N)n1 ZINC000737257739 581927655 /nfs/dbraw/zinc/92/76/55/581927655.db2.gz ZEFBODJXVQXLEB-UHFFFAOYSA-N 0 1 298.314 0.031 20 30 CCEDMN N#Cc1cccnc1NCCNc1ccncc1C(N)=O ZINC000762790828 581961387 /nfs/dbraw/zinc/96/13/87/581961387.db2.gz JDRMVKFQIRWTOE-UHFFFAOYSA-N 0 1 282.307 0.393 20 30 CCEDMN CCS(=O)(=O)c1c(Cl)cccc1C=NNC(=N)N ZINC000774364911 581971852 /nfs/dbraw/zinc/97/18/52/581971852.db2.gz WJKDXGRXPGSSGJ-UHFFFAOYSA-N 0 1 288.760 0.951 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCCn2cccn2)CC1 ZINC000748838320 581990228 /nfs/dbraw/zinc/99/02/28/581990228.db2.gz QFFXAOPROKYGHS-UHFFFAOYSA-N 0 1 275.356 0.767 20 30 CCEDMN N#CCCNC(=O)CN[C@H](CCO)c1ccccc1 ZINC000774851475 581999310 /nfs/dbraw/zinc/99/93/10/581999310.db2.gz NTEXMUPUZKFZQI-CYBMUJFWSA-N 0 1 261.325 0.730 20 30 CCEDMN C#C[C@@H](NCCn1c(C)csc1=O)[C@@H]1CCCO1 ZINC000775789008 582038150 /nfs/dbraw/zinc/03/81/50/582038150.db2.gz AFBYKGGYGOKGGN-NEPJUHHUSA-N 0 1 266.366 0.989 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC(=O)NCC=C)[C@H]1CCCO1 ZINC000775797609 582039333 /nfs/dbraw/zinc/03/93/33/582039333.db2.gz FACDIHRHLDWPSI-GRYCIOLGSA-N 0 1 279.340 0.157 20 30 CCEDMN C#C[C@@H](NCCCS(=O)(=O)CCOC)[C@H]1CCCO1 ZINC000775797576 582039649 /nfs/dbraw/zinc/03/96/49/582039649.db2.gz DTTCQHPKQQBBME-CHWSQXEVSA-N 0 1 289.397 0.208 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1cn[nH]c1C)[C@H]1CCCO1 ZINC000776117001 582052300 /nfs/dbraw/zinc/05/23/00/582052300.db2.gz JLWOJYPWICHCRH-VXGBXAGGSA-N 0 1 262.313 0.698 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H]2CCN(C(=O)[O-])C2)CC1 ZINC000738456904 582052525 /nfs/dbraw/zinc/05/25/25/582052525.db2.gz FFJGHGXMYZYWEL-LBPRGKRZSA-N 0 1 279.340 0.154 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@H]1CCCN1C(=O)[O-] ZINC000738461473 582053065 /nfs/dbraw/zinc/05/30/65/582053065.db2.gz SORVNBUBLBEUCK-RYUDHWBXSA-N 0 1 279.340 0.343 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@H]1CCCN1C(=O)[O-] ZINC000738461473 582053068 /nfs/dbraw/zinc/05/30/68/582053068.db2.gz SORVNBUBLBEUCK-RYUDHWBXSA-N 0 1 279.340 0.343 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)c1nnc[nH]1)[C@@H]1CCCO1 ZINC000776224645 582061297 /nfs/dbraw/zinc/06/12/97/582061297.db2.gz MKBQKMKBZVKOTH-UTLUCORTSA-N 0 1 263.301 0.346 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN2CCC(OC)CC2)nc1 ZINC000750861720 582061913 /nfs/dbraw/zinc/06/19/13/582061913.db2.gz YVJITXNKUYPAIA-UHFFFAOYSA-N 0 1 287.363 0.904 20 30 CCEDMN CN(C)c1nc(=NN=Cc2cccnc2N)cc[nH]1 ZINC000764690475 582062504 /nfs/dbraw/zinc/06/25/04/582062504.db2.gz RFAJNJWBDNOLCE-UHFFFAOYSA-N 0 1 257.301 0.966 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@@H](Cn2ccnn2)C1 ZINC000752063092 582100021 /nfs/dbraw/zinc/10/00/21/582100021.db2.gz JOALXALFUOOQCA-GFCCVEGCSA-N 0 1 276.344 0.020 20 30 CCEDMN CCC[C@@H](OC)C(=O)C(C#N)C(=O)NCCCOC ZINC000835057876 615007905 /nfs/dbraw/zinc/00/79/05/615007905.db2.gz VDACAGLYDKAKFV-GHMZBOCLSA-N 0 1 270.329 0.663 20 30 CCEDMN COCc1cc(C(=O)NCC#CCN(C)C)no1 ZINC000913518932 616880120 /nfs/dbraw/zinc/88/01/20/616880120.db2.gz HBMFIHJIXGRONY-UHFFFAOYSA-N 0 1 251.286 0.116 20 30 CCEDMN C#CCOCCN1C[C@@H]2CCC[C@H]2[C@@H]1C(=O)OC ZINC000851973723 612985036 /nfs/dbraw/zinc/98/50/36/612985036.db2.gz ILYXNROUIHYEDA-YNEHKIRRSA-N 0 1 251.326 0.910 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H](C(=O)[O-])C1 ZINC000062134592 597528874 /nfs/dbraw/zinc/52/88/74/597528874.db2.gz BRDSPCYVKJIPQA-NSHDSACASA-N 0 1 252.314 0.594 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000062134467 597531691 /nfs/dbraw/zinc/53/16/91/597531691.db2.gz YTHDJPGTTLYDBW-LLVKDONJSA-N 0 1 254.330 0.818 20 30 CCEDMN N#Cc1cccc(NC(=O)C[N@@H+]2CC[C@H](C(=O)[O-])C2)c1 ZINC000062134676 597535734 /nfs/dbraw/zinc/53/57/34/597535734.db2.gz KOITWXOUUMXALO-NSHDSACASA-N 0 1 273.292 0.903 20 30 CCEDMN Cc1[nH+]ccn1CCCNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000821197174 597636869 /nfs/dbraw/zinc/63/68/69/597636869.db2.gz ZQOKODOLRDGBEE-ZDUSSCGKSA-N 0 1 278.312 0.702 20 30 CCEDMN C[C@@H]1C[C@H](N(C)C(=O)[C@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC000820228693 598228410 /nfs/dbraw/zinc/22/84/10/598228410.db2.gz RRIKBXLXBXPNAC-GYSYKLTISA-N 0 1 281.356 0.932 20 30 CCEDMN C#CCNC(=O)N1CCNC[C@@H]1c1ccc(OC)cc1 ZINC000887276911 612946363 /nfs/dbraw/zinc/94/63/63/612946363.db2.gz DMDULFJLGDWUPH-CQSZACIVSA-N 0 1 273.336 0.984 20 30 CCEDMN C#CCSCC(=O)N1CC[C@@H]2[C@@H]1CCCN2CCO ZINC000889743885 613010800 /nfs/dbraw/zinc/01/08/00/613010800.db2.gz RCIKBLVCGZOSEC-OLZOCXBDSA-N 0 1 282.409 0.410 20 30 CCEDMN C#CCC[NH+]1CCN(C[C@H]2CCN(C(=O)[O-])C2)CC1 ZINC000833787308 613011452 /nfs/dbraw/zinc/01/14/52/613011452.db2.gz LCOHDQMEWQIYAN-CYBMUJFWSA-N 0 1 265.357 0.627 20 30 CCEDMN C#CC1(F)CN(C(=O)NCc2n[nH]c(CC)n2)C1 ZINC000883715332 612947596 /nfs/dbraw/zinc/94/75/96/612947596.db2.gz HVANQWGGHOIRNG-UHFFFAOYSA-N 0 1 251.265 0.234 20 30 CCEDMN C#CCSCC(=O)N[C@H]1CCCN(CCOC)C1 ZINC000896209899 613013971 /nfs/dbraw/zinc/01/39/71/613013971.db2.gz FVVGOXDSCQZFMF-LBPRGKRZSA-N 0 1 270.398 0.580 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CN(CC#N)CC[C@H]2C)[nH]n1 ZINC000965890823 649939846 /nfs/dbraw/zinc/93/98/46/649939846.db2.gz MRRBZVQMEWAQRI-ZWNOBZJWSA-N 0 1 275.356 0.611 20 30 CCEDMN C#CC1(O)CCN([C@@H](C)C(=O)N(C)C(C)C)CC1 ZINC000931146517 612952819 /nfs/dbraw/zinc/95/28/19/612952819.db2.gz ZPEYGPSXTBPDDV-LBPRGKRZSA-N 0 1 252.358 0.702 20 30 CCEDMN N#Cc1ccc([C@@H](C(=O)[O-])[N@H+]2CC[C@@H](CO)C2)cc1 ZINC000833071012 604768028 /nfs/dbraw/zinc/76/80/28/604768028.db2.gz CETSZJDBGYDAGD-YPMHNXCESA-N 0 1 260.293 0.998 20 30 CCEDMN C#CC[C@H](CO)NC(=O)Nc1cc(CN(C)C)ccn1 ZINC000880602319 613056045 /nfs/dbraw/zinc/05/60/45/613056045.db2.gz RUOUBEMWHGLIIO-GFCCVEGCSA-N 0 1 276.340 0.649 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2ccc(-c3nn[nH]n3)cc2)=NO1 ZINC000824893801 608108917 /nfs/dbraw/zinc/10/89/17/608108917.db2.gz VBRFTCFTZGIWFL-SSDOTTSWSA-N 0 1 272.268 0.719 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1ccc2c(c1)OCCO2 ZINC000105964727 612956390 /nfs/dbraw/zinc/95/63/90/612956390.db2.gz ACRMTGCDXWPZMA-UHFFFAOYSA-N 0 1 274.320 0.639 20 30 CCEDMN C#CCNCC(=O)N1CCN(C(=O)c2ccccc2)CC1 ZINC000105964711 612956388 /nfs/dbraw/zinc/95/63/88/612956388.db2.gz GZNDNNAPBLQHIQ-UHFFFAOYSA-N 0 1 285.347 0.194 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc2c(c1)OCCO2 ZINC000105965027 612956414 /nfs/dbraw/zinc/95/64/14/612956414.db2.gz FLQGCVUIVGSOPE-UHFFFAOYSA-N 0 1 274.320 0.339 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2ccn(C)n2)C1 ZINC000971622406 613090009 /nfs/dbraw/zinc/09/00/09/613090009.db2.gz SXGYINKMBHFJFD-AWEZNQCLSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1ccns1 ZINC000922027091 613098142 /nfs/dbraw/zinc/09/81/42/613098142.db2.gz ZEIJKZUJQRTCBL-UHFFFAOYSA-N 0 1 299.421 0.861 20 30 CCEDMN CS(C)(=O)=NS(=O)(=O)NCC1(C#N)CCC1 ZINC000867416165 617197544 /nfs/dbraw/zinc/19/75/44/617197544.db2.gz PHGCESOTUPLIEX-UHFFFAOYSA-N 0 1 265.360 0.242 20 30 CCEDMN C#CCN(C[C@@H]1CCC2(COC2)O1)C1CSC1 ZINC000930959855 613108338 /nfs/dbraw/zinc/10/83/38/613108338.db2.gz DSLBWPXFQATMEU-LBPRGKRZSA-N 0 1 253.367 0.985 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)NCCCS(=O)(=O)CC ZINC000877226156 613389967 /nfs/dbraw/zinc/38/99/67/613389967.db2.gz ZAWCCNYGGUIUFM-VIFPVBQESA-N 0 1 282.793 0.397 20 30 CCEDMN COc1cc(=O)[nH]cc1C(=O)NCC#CCN(C)C ZINC000827971881 617209139 /nfs/dbraw/zinc/20/91/39/617209139.db2.gz KQQBUXITMLPIGP-UHFFFAOYSA-N 0 1 263.297 0.091 20 30 CCEDMN C#CCC1(NCc2ccc(S(N)(=O)=O)o2)CCOCC1 ZINC000886379246 612970724 /nfs/dbraw/zinc/97/07/24/612970724.db2.gz TVZDHEOJDGWJAU-UHFFFAOYSA-N 0 1 298.364 0.589 20 30 CCEDMN CC(C)(CNS(C)(=O)=O)NCC#Cc1ccccc1 ZINC000896724913 614114188 /nfs/dbraw/zinc/11/41/88/614114188.db2.gz WUVCXYMDLGJVHN-UHFFFAOYSA-N 0 1 280.393 0.956 20 30 CCEDMN C#CCOCCC(=O)N1[C@H](C)CN(C)[C@H](C)[C@H]1C ZINC000844641655 612979017 /nfs/dbraw/zinc/97/90/17/612979017.db2.gz DWHPVWXMAAWVAB-JHJVBQTASA-N 0 1 252.358 0.966 20 30 CCEDMN CC(C)C#CC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000829730697 614325457 /nfs/dbraw/zinc/32/54/57/614325457.db2.gz QVLAXRUJBVNCCH-AWEZNQCLSA-N 0 1 264.369 0.827 20 30 CCEDMN CC(C)C#CC(=O)N1CCN([C@@H]2CCNC(=O)CC2)CC1 ZINC000854883410 614325584 /nfs/dbraw/zinc/32/55/84/614325584.db2.gz BNSKPDQYSNUNHU-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](CCSC)c1nn[nH]n1 ZINC000912861560 612980268 /nfs/dbraw/zinc/98/02/68/612980268.db2.gz PXGCMSZIUKTHRQ-VIFPVBQESA-N 0 1 283.357 0.150 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(F)c1 ZINC000834510474 617234806 /nfs/dbraw/zinc/23/48/06/617234806.db2.gz BKCXTPHSEYCQDM-UFBFGSQYSA-N 0 1 263.272 0.676 20 30 CCEDMN COc1ccc(O)c(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834499384 617272498 /nfs/dbraw/zinc/27/24/98/617272498.db2.gz HYSDNUBTFWHYOC-KCJUWKMLSA-N 0 1 261.281 0.242 20 30 CCEDMN COc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834494601 617282170 /nfs/dbraw/zinc/28/21/70/617282170.db2.gz ONBKMRRVDLFNMI-KWQFWETISA-N 0 1 290.279 0.445 20 30 CCEDMN COc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1C ZINC000852827566 617293018 /nfs/dbraw/zinc/29/30/18/617293018.db2.gz LGLPBKHFAFZOIZ-CMPLNLGQSA-N 0 1 259.309 0.845 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823653604 617300502 /nfs/dbraw/zinc/30/05/02/617300502.db2.gz CGYGZYZIYWBSSN-QWRGUYRKSA-N 0 1 282.344 0.431 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823653604 617300504 /nfs/dbraw/zinc/30/05/04/617300504.db2.gz CGYGZYZIYWBSSN-QWRGUYRKSA-N 0 1 282.344 0.431 20 30 CCEDMN C[C@@H](C(=O)N1CC(N2CCCC2)C1)n1cnc(C#N)n1 ZINC000898603782 617302073 /nfs/dbraw/zinc/30/20/73/617302073.db2.gz DRTFRSVTCQIIOR-JTQLQIEISA-N 0 1 274.328 0.017 20 30 CCEDMN C#CCNC(=S)N1CCC(CCN2CCOCC2)CC1 ZINC000840818451 612952873 /nfs/dbraw/zinc/95/28/73/612952873.db2.gz XZRBXESRLNSMEZ-UHFFFAOYSA-N 0 1 295.452 0.928 20 30 CCEDMN C#CC1(O)CCN([C@H]2CCCN(C(C)C)C2=O)CC1 ZINC000931144440 612953056 /nfs/dbraw/zinc/95/30/56/612953056.db2.gz YFMUEGPDPZOTTA-ZDUSSCGKSA-N 0 1 264.369 0.846 20 30 CCEDMN C#CCC1(NCc2cn(CC(=O)OC)nn2)CCC1 ZINC000922997893 612970933 /nfs/dbraw/zinc/97/09/33/612970933.db2.gz DBQQAHHJYGSJPB-UHFFFAOYSA-N 0 1 262.313 0.487 20 30 CCEDMN C#CCC1(O)CCN(C(=O)N[C@@H]2CCCN(C)C2)CC1 ZINC000883177530 612972099 /nfs/dbraw/zinc/97/20/99/612972099.db2.gz BYSFHILQGHGETR-CYBMUJFWSA-N 0 1 279.384 0.640 20 30 CCEDMN C#CCC1(O)CCN([C@H](C)C(=O)NC(=O)NC(C)C)CC1 ZINC000880478721 612974259 /nfs/dbraw/zinc/97/42/59/612974259.db2.gz JOCPRNXKCCQUGA-GFCCVEGCSA-N 0 1 295.383 0.459 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000896547451 612975871 /nfs/dbraw/zinc/97/58/71/612975871.db2.gz BPOQTZTTWYMDHH-ZIAGYGMSSA-N 0 1 265.401 0.931 20 30 CCEDMN C#CCCCCCCN1CCN(CC(N)=O)CC1 ZINC000876555447 612976485 /nfs/dbraw/zinc/97/64/85/612976485.db2.gz YWFKWWCVDVJFJS-UHFFFAOYSA-N 0 1 251.374 0.673 20 30 CCEDMN C#CCOCCC(=O)NCc1nc(CSC)n[nH]1 ZINC000894607155 612980166 /nfs/dbraw/zinc/98/01/66/612980166.db2.gz PQWDIVZWIZGWQD-UHFFFAOYSA-N 0 1 268.342 0.324 20 30 CCEDMN C#CCOCCC(=O)NCc1nnc(CSC)[nH]1 ZINC000894607155 612980168 /nfs/dbraw/zinc/98/01/68/612980168.db2.gz PQWDIVZWIZGWQD-UHFFFAOYSA-N 0 1 268.342 0.324 20 30 CCEDMN C#CCOCCNC(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000807104612 612987756 /nfs/dbraw/zinc/98/77/56/612987756.db2.gz ITTVZAGOFZPNPN-QMMMGPOBSA-N 0 1 251.290 0.123 20 30 CCEDMN C#CCCN(CCOC)C(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000854080828 612988106 /nfs/dbraw/zinc/98/81/06/612988106.db2.gz WSSFGUQRJCBQBN-LBPRGKRZSA-N 0 1 290.367 0.948 20 30 CCEDMN C#CCCN1CC(N(CC)C(=O)c2[nH]nnc2C)C1 ZINC000951112140 612991347 /nfs/dbraw/zinc/99/13/47/612991347.db2.gz XTNGPPSHAOBALW-UHFFFAOYSA-N 0 1 261.329 0.283 20 30 CCEDMN C#CCCN1CCC(NC(=O)[C@H]2CCC(=O)C2)CC1 ZINC000843803479 612999029 /nfs/dbraw/zinc/99/90/29/612999029.db2.gz OLTFLVLRNGRIEN-LBPRGKRZSA-N 0 1 262.353 0.960 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000854568913 612999239 /nfs/dbraw/zinc/99/92/39/612999239.db2.gz GXMINQNWLGGSQI-ZIAGYGMSSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000913437827 613002512 /nfs/dbraw/zinc/00/25/12/613002512.db2.gz OMVHBGAAKUFUHY-PWSUYJOCSA-N 0 1 285.307 0.444 20 30 CCEDMN C#CCO[C@H](C)C(=O)OC[C@@H]1CN(CC(C)C)CCO1 ZINC000835159989 613004131 /nfs/dbraw/zinc/00/41/31/613004131.db2.gz WKAWEDDSSDCAJW-KGLIPLIRSA-N 0 1 283.368 0.925 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2nccnc2N)CC1 ZINC000980866076 613006839 /nfs/dbraw/zinc/00/68/39/613006839.db2.gz BOHRVMBZPFRQAM-UHFFFAOYSA-N 0 1 273.340 0.230 20 30 CCEDMN C#CCCN1CCN(C[C@@H](O)C(F)(F)F)CC1 ZINC000121843304 613011323 /nfs/dbraw/zinc/01/13/23/613011323.db2.gz VFFUTXYFSOLOTJ-SNVBAGLBSA-N 0 1 250.264 0.551 20 30 CCEDMN C#CCCN1CCN(c2nc(N)nc3c2cnn3C)CC1 ZINC000848662014 613012387 /nfs/dbraw/zinc/01/23/87/613012387.db2.gz FFXLYHWDSVVABW-UHFFFAOYSA-N 0 1 285.355 0.091 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965915682 613014828 /nfs/dbraw/zinc/01/48/28/613014828.db2.gz DQJLSKVXHXCPOD-PWSUYJOCSA-N 0 1 290.367 0.887 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NCc1n[nH]c(C)n1 ZINC000896062258 613021219 /nfs/dbraw/zinc/02/12/19/613021219.db2.gz UZOCVJIRLCLTBO-JTQLQIEISA-N 0 1 265.317 0.341 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000816673242 613029728 /nfs/dbraw/zinc/02/97/28/613029728.db2.gz UYJMKIMNZQXBJZ-JTQLQIEISA-N 0 1 285.303 0.758 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnn(C)n2)C(C)(C)C1 ZINC000977336917 613032810 /nfs/dbraw/zinc/03/28/10/613032810.db2.gz PEBJYZBJJPQRIM-GFCCVEGCSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N1CCN(C)C[C@@H]1CC ZINC000875926638 613033838 /nfs/dbraw/zinc/03/38/38/613033838.db2.gz HVZKXDMTMKNGBA-STQMWFEESA-N 0 1 267.373 0.760 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1CCN1C1CCCC1)C(=O)OC ZINC000823519718 613040684 /nfs/dbraw/zinc/04/06/84/613040684.db2.gz QOIJBCHFKRMNMB-OLZOCXBDSA-N 0 1 278.352 0.684 20 30 CCEDMN C#CCCOC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000859210427 613050502 /nfs/dbraw/zinc/05/05/02/613050502.db2.gz JUGLXPUSSWEXJW-VIFPVBQESA-N 0 1 264.285 0.906 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000858468389 613051640 /nfs/dbraw/zinc/05/16/40/613051640.db2.gz GAAIVGRGAXDBEW-VXGBXAGGSA-N 0 1 290.367 0.995 20 30 CCEDMN C#CC[C@H](CO)NC(=O)NCCCc1cn[nH]c1C ZINC000913998315 613054178 /nfs/dbraw/zinc/05/41/78/613054178.db2.gz BFNJIQYILONCFX-GFCCVEGCSA-N 0 1 264.329 0.334 20 30 CCEDMN C#CC[C@H](CO)NC(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000921523473 613055930 /nfs/dbraw/zinc/05/59/30/613055930.db2.gz YFCOLKPKQRQHSH-MNOVXSKESA-N 0 1 262.313 0.471 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1nnc2ccccc2c1O ZINC000816671075 613058313 /nfs/dbraw/zinc/05/83/13/613058313.db2.gz MVVAUEQGIAFPJJ-SECBINFHSA-N 0 1 271.276 0.449 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@H]1CCCN1C1CC1 ZINC000799082798 613058392 /nfs/dbraw/zinc/05/83/92/613058392.db2.gz NBAPWLPVCRKEJS-CHWSQXEVSA-N 0 1 250.342 0.504 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1cnccc1N(C)C ZINC000799075814 613059072 /nfs/dbraw/zinc/05/90/72/613059072.db2.gz ZGQOUBZDWJHFCF-LLVKDONJSA-N 0 1 261.325 0.652 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1n[nH]nc1-c1ccccc1 ZINC000799084904 613063285 /nfs/dbraw/zinc/06/32/85/613063285.db2.gz HHPHNYXWUMZBHN-LBPRGKRZSA-N 0 1 284.319 0.976 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CC[C@@H]2CCCC[N@H+]21)C(=O)[O-] ZINC000910051430 613068739 /nfs/dbraw/zinc/06/87/39/613068739.db2.gz VCWYXQQIGSOQJN-SRVKXCTJSA-N 0 1 264.325 0.596 20 30 CCEDMN C#CCN(C(=O)[C@@H]1CCC(=O)C1)C1CCN(C)CC1 ZINC000886574586 613068838 /nfs/dbraw/zinc/06/88/38/613068838.db2.gz LQIXREIZQRUYHN-GFCCVEGCSA-N 0 1 262.353 0.912 20 30 CCEDMN C#CC[C@H](NS(=O)(=O)C[C@@]1(C)CC1(F)F)C(=O)OC ZINC000882251129 613071110 /nfs/dbraw/zinc/07/11/10/613071110.db2.gz GGHKYHNMQCCZJN-WCBMZHEXSA-N 0 1 295.307 0.516 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2cn[nH]c2)C1 ZINC000972507802 613080655 /nfs/dbraw/zinc/08/06/55/613080655.db2.gz DDILCRGPINCZNU-CYBMUJFWSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cn2ccc(C)cc2=O)C1 ZINC000972452125 613081995 /nfs/dbraw/zinc/08/19/95/613081995.db2.gz DCQSCDJSDUHBFB-CQSZACIVSA-N 0 1 287.363 0.323 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC000972453442 613082149 /nfs/dbraw/zinc/08/21/49/613082149.db2.gz LPOAGSTXRUQJCI-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)N2CCCCC2=O)C1 ZINC000980468164 613082457 /nfs/dbraw/zinc/08/24/57/613082457.db2.gz VDIQETTUJDYTBW-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C#C[C@@H](C)OC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000860560386 613082709 /nfs/dbraw/zinc/08/27/09/613082709.db2.gz HKVHTBBECHLUTN-MRVPVSSYSA-N 0 1 268.294 0.632 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC000972386559 613082788 /nfs/dbraw/zinc/08/27/88/613082788.db2.gz PVXISCVJTCJQRM-QLFBSQMISA-N 0 1 286.379 0.690 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(C)CCOC2)C1 ZINC000972627450 613083424 /nfs/dbraw/zinc/08/34/24/613083424.db2.gz XKLAZODNRSGOBC-OCCSQVGLSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(CCC)nn2)C1 ZINC000972634208 613087235 /nfs/dbraw/zinc/08/72/35/613087235.db2.gz FIPDQFOLYPSIPT-GFCCVEGCSA-N 0 1 275.356 0.468 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2n[nH]cc2F)C1 ZINC000972265486 613088047 /nfs/dbraw/zinc/08/80/47/613088047.db2.gz LMGVOWRXYYVQMT-SECBINFHSA-N 0 1 250.277 0.328 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(=O)N2CCC[C@@H]2CC)C1 ZINC000972690397 613088901 /nfs/dbraw/zinc/08/89/01/613088901.db2.gz JXCYYNRZKPMVRM-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2cc(OC)no2)C1 ZINC000971616265 613089202 /nfs/dbraw/zinc/08/92/02/613089202.db2.gz NVWZBTUVBBXUAY-LBPRGKRZSA-N 0 1 291.351 0.782 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@]2(C)CCN(C(C)=O)C2)C1 ZINC000972690914 613092347 /nfs/dbraw/zinc/09/23/47/613092347.db2.gz MWAVBHZTAFLGLE-HOCLYGCPSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC000972382225 613092759 /nfs/dbraw/zinc/09/27/59/613092759.db2.gz HRXQGMLQMDKTHP-FRRDWIJNSA-N 0 1 250.342 0.720 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@]2(F)CCOC2)C1 ZINC000972167618 613093419 /nfs/dbraw/zinc/09/34/19/613093419.db2.gz HRHHMJDCMGXWRL-AAEUAGOBSA-N 0 1 254.305 0.281 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(OC)nn2)C1 ZINC000972670173 613095004 /nfs/dbraw/zinc/09/50/04/613095004.db2.gz JKFLPBNAIJAIIB-NSHDSACASA-N 0 1 274.324 0.265 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc3c(c2)NC(=O)C3)C1 ZINC000971592699 613095010 /nfs/dbraw/zinc/09/50/10/613095010.db2.gz PDPKDJQWISDONV-AWEZNQCLSA-N 0 1 297.358 0.961 20 30 CCEDMN C#C[C@@H](NC(=O)NCCCc1nc[nH]n1)[C@@H]1CCCO1 ZINC000854003027 613095712 /nfs/dbraw/zinc/09/57/12/613095712.db2.gz FLCUEAVWEYRCHX-MNOVXSKESA-N 0 1 277.328 0.217 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnnc2C)C1 ZINC000971869191 613096009 /nfs/dbraw/zinc/09/60/09/613096009.db2.gz JFUHNHRTULZICM-LBPRGKRZSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC000971776024 613096785 /nfs/dbraw/zinc/09/67/85/613096785.db2.gz NBIBKMWLIWQNAM-LBPRGKRZSA-N 0 1 288.351 0.166 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2coc(OCC)n2)C1 ZINC000971868311 613096824 /nfs/dbraw/zinc/09/68/24/613096824.db2.gz YJZSCDABXIGZFK-NSHDSACASA-N 0 1 277.324 0.853 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)C1CCC1 ZINC000922022325 613097957 /nfs/dbraw/zinc/09/79/57/613097957.db2.gz KPTKXGJJEHFNNE-UHFFFAOYSA-N 0 1 270.398 0.898 20 30 CCEDMN C#C[C@@H]1CCCCN1C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000934516379 613102973 /nfs/dbraw/zinc/10/29/73/613102973.db2.gz GPTWXCIGBHFGBB-CHWSQXEVSA-N 0 1 270.398 0.756 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)CCCc2nn[nH]n2)CC1 ZINC000874859219 613107372 /nfs/dbraw/zinc/10/73/72/613107372.db2.gz ILTMFLIZUCTQRE-XYPYZODXSA-N 0 1 261.329 0.831 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC(C)(F)CC2)C1=O ZINC000864350651 613109522 /nfs/dbraw/zinc/10/95/22/613109522.db2.gz HSXZWOHEQXZNJS-SNVBAGLBSA-N 0 1 252.289 0.571 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000854916831 613110823 /nfs/dbraw/zinc/11/08/23/613110823.db2.gz JCDAKAOITLXQJH-GHMZBOCLSA-N 0 1 259.309 0.375 20 30 CCEDMN COc1ccccc1OCC(=O)NCC#CCN(C)C ZINC000913514535 617316573 /nfs/dbraw/zinc/31/65/73/617316573.db2.gz TWEKUJMRMTVSNT-UHFFFAOYSA-N 0 1 276.336 0.755 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1n[nH]c(C)c1Br ZINC000838270587 613111340 /nfs/dbraw/zinc/11/13/40/613111340.db2.gz KWDASFRHMDTXFB-MRVPVSSYSA-N 0 1 298.140 0.955 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NCc1nc(CSC)n[nH]1 ZINC000891091151 613113756 /nfs/dbraw/zinc/11/37/56/613113756.db2.gz PNDSIPWUGWJHPN-QMMMGPOBSA-N 0 1 267.358 0.831 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NCc1nnc(CSC)[nH]1 ZINC000891091151 613113757 /nfs/dbraw/zinc/11/37/57/613113757.db2.gz PNDSIPWUGWJHPN-QMMMGPOBSA-N 0 1 267.358 0.831 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000820661841 613122937 /nfs/dbraw/zinc/12/29/37/613122937.db2.gz XIUOWHAGMUKJMP-BFHYXJOUSA-N 0 1 281.400 0.885 20 30 CCEDMN C#CCN1CC([C@H](C)NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC000970709946 613123803 /nfs/dbraw/zinc/12/38/03/613123803.db2.gz FLDGHCCGXJABMJ-RYUDHWBXSA-N 0 1 286.379 0.584 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@](CO)(OC)C2)CC1 ZINC000855441633 613129191 /nfs/dbraw/zinc/12/91/91/613129191.db2.gz LQXVMQFZQMBIQD-MRXNPFEDSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H]3COC[C@@H]3C2)CC1 ZINC000856151834 613129453 /nfs/dbraw/zinc/12/94/53/613129453.db2.gz VMGRLGQIINWXIQ-GJZGRUSLSA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C\c2cn(C)cn2)CC1 ZINC000830163426 613132391 /nfs/dbraw/zinc/13/23/91/613132391.db2.gz XXEISBJKSVDWHT-PLNGDYQASA-N 0 1 272.352 0.647 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(OCC)CCOCC2)CC1 ZINC000928649281 613132489 /nfs/dbraw/zinc/13/24/89/613132489.db2.gz XUGBSEVZRRJAJL-UHFFFAOYSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2cc([N+](=O)[O-])cn2)CC1 ZINC000928656786 613133592 /nfs/dbraw/zinc/13/35/92/613133592.db2.gz GRBBHBVDBHNJFF-UHFFFAOYSA-N 0 1 291.311 0.005 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2COC[C@H]2OCC)CC1 ZINC000922776149 613135076 /nfs/dbraw/zinc/13/50/76/613135076.db2.gz OAHBXSVXLYOOOI-ZIAGYGMSSA-N 0 1 295.383 0.187 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@](C)(O)CCC)CC1 ZINC000928654492 613136277 /nfs/dbraw/zinc/13/62/77/613136277.db2.gz DUEMAZFWRPEFHJ-AWEZNQCLSA-N 0 1 252.358 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCCN(C)C2=O)CC1 ZINC000921313810 613135444 /nfs/dbraw/zinc/13/54/44/613135444.db2.gz QOHUDLYSHUQNKP-ZDUSSCGKSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)NC(=O)CC)CC1 ZINC000928657227 613136164 /nfs/dbraw/zinc/13/61/64/613136164.db2.gz SMCJNSPUEFJUTJ-NSHDSACASA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@](C)(O)C2CC2)CC1 ZINC000928653719 613136176 /nfs/dbraw/zinc/13/61/76/613136176.db2.gz YCMORNOMLXXHOB-AWEZNQCLSA-N 0 1 250.342 0.361 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)[C@H]2CCC(=O)N2)CC1 ZINC000928649851 613136430 /nfs/dbraw/zinc/13/64/30/613136430.db2.gz TZRCCTBJKIQJKB-DGCLKSJQSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2C[C@@H]2OCC)CC1 ZINC000928657415 613136543 /nfs/dbraw/zinc/13/65/43/613136543.db2.gz HXZTVHPKANPLJU-STQMWFEESA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](OC)[C@@H]2CCOC2)CC1 ZINC000928648895 613136549 /nfs/dbraw/zinc/13/65/49/613136549.db2.gz UNKQXZZTERWUBW-TZMCWYRMSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCCN2C(C)=O)CC1 ZINC000928660752 613136920 /nfs/dbraw/zinc/13/69/20/613136920.db2.gz PHRAKMZFRCDKCC-CQSZACIVSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(OC)ncn2)CC1 ZINC000830168807 613137304 /nfs/dbraw/zinc/13/73/04/613137304.db2.gz OTGOVTGHIUROBV-UHFFFAOYSA-N 0 1 274.324 0.313 20 30 CCEDMN C#CCN1CCC(NC(=O)c2snnc2C)CC1 ZINC000928658380 613138220 /nfs/dbraw/zinc/13/82/20/613138220.db2.gz IJDGGAGWQOSBFU-UHFFFAOYSA-N 0 1 264.354 0.674 20 30 CCEDMN C#CCN1CCC(Nc2ncnc3c2ncn3C)CC1 ZINC000895807619 613138944 /nfs/dbraw/zinc/13/89/44/613138944.db2.gz QMQYFEHFYKSSNV-UHFFFAOYSA-N 0 1 270.340 0.873 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)c1cocn1)CCO2 ZINC000949048633 613139897 /nfs/dbraw/zinc/13/98/97/613139897.db2.gz YVJNXPOGURIXBN-UHFFFAOYSA-N 0 1 289.335 0.615 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CO[C@@H]3CCOC3)C2)C1 ZINC000981767475 613140351 /nfs/dbraw/zinc/14/03/51/613140351.db2.gz PLEORURTLDDBCW-CQSZACIVSA-N 0 1 292.379 0.350 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cn3cccn3)C2)C1 ZINC000981620779 613141482 /nfs/dbraw/zinc/14/14/82/613141482.db2.gz OPAFEBSYGPONIA-UHFFFAOYSA-N 0 1 272.352 0.441 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3cnsn3)C2)C1 ZINC000981645932 613144773 /nfs/dbraw/zinc/14/47/73/613144773.db2.gz LTFRRURWIPBATR-UHFFFAOYSA-N 0 1 276.365 0.709 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1COC(=O)N1 ZINC000968847029 613145996 /nfs/dbraw/zinc/14/59/96/613145996.db2.gz SLVPDXGJQKBCAO-NEPJUHHUSA-N 0 1 279.340 0.089 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1nnn(C)c1C ZINC000968797233 613147270 /nfs/dbraw/zinc/14/72/70/613147270.db2.gz ULOPETBZVTVHHR-CYBMUJFWSA-N 0 1 289.383 0.731 20 30 CCEDMN C#C[C@H](NS(=O)(=O)c1cc(O)cc(F)c1)[C@@H]1CCCO1 ZINC000867125820 613152302 /nfs/dbraw/zinc/15/23/02/613152302.db2.gz ZHZPLJHPOXMQAR-STQMWFEESA-N 0 1 299.323 0.990 20 30 CCEDMN C#C[C@H]1CCCN(CCN2CCCS2(=O)=O)C1 ZINC000847021354 613158803 /nfs/dbraw/zinc/15/88/03/613158803.db2.gz JXOXYRKPXHWMBL-LBPRGKRZSA-N 0 1 256.371 0.367 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC3(CN(C)C3)C2)nc1 ZINC000834526435 613168112 /nfs/dbraw/zinc/16/81/12/613168112.db2.gz OZXNSNFRTGWATF-UHFFFAOYSA-N 0 1 255.321 0.841 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C2/SCC(=O)N2C)C1 ZINC000923556418 613180635 /nfs/dbraw/zinc/18/06/35/613180635.db2.gz GSBWQHVSLBXCGV-KYJZABPNSA-N 0 1 293.392 0.247 20 30 CCEDMN COc1ccnc(CN[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)c1 ZINC000967943899 617325587 /nfs/dbraw/zinc/32/55/87/617325587.db2.gz MNNYMLVTCYDFPF-NWDGAFQWSA-N 0 1 288.351 0.940 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C/[C@@H]2CCCO2)C1 ZINC000923554863 613180906 /nfs/dbraw/zinc/18/09/06/613180906.db2.gz LYEXDIHSEKAZSP-SWICKSTGSA-N 0 1 262.353 0.935 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C/c2cnn(C)c2)C1 ZINC000923590883 613181266 /nfs/dbraw/zinc/18/12/66/613181266.db2.gz HAHHNTQWIXYOAZ-UZYOAWRESA-N 0 1 272.352 0.647 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)C2CN(CCO)C2)cc1 ZINC000970259252 613183154 /nfs/dbraw/zinc/18/31/54/613183154.db2.gz HUMUDZLUBYEWFR-LBPRGKRZSA-N 0 1 272.348 0.710 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823451089 613197210 /nfs/dbraw/zinc/19/72/10/613197210.db2.gz NAYWHCHBEUECOA-RYUDHWBXSA-N 0 1 279.340 0.295 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)NC[C@H](C)N(C)C)cc1 ZINC000907231480 613197986 /nfs/dbraw/zinc/19/79/86/613197986.db2.gz CZTDXIPWVCMSCD-NSHDSACASA-N 0 1 266.366 0.896 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CCC)C(=O)[O-] ZINC000833364007 613202098 /nfs/dbraw/zinc/20/20/98/613202098.db2.gz COKHARZRGMGJPP-MNOVXSKESA-N 0 1 252.314 0.454 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CCC)C(=O)[O-] ZINC000833364007 613202101 /nfs/dbraw/zinc/20/21/01/613202101.db2.gz COKHARZRGMGJPP-MNOVXSKESA-N 0 1 252.314 0.454 20 30 CCEDMN C#CCN1CCN(C(=O)[C@@H](C)Cc2cnc[nH]2)CC1 ZINC000847490031 613206498 /nfs/dbraw/zinc/20/64/98/613206498.db2.gz XKGPHVHVGNRXBH-LBPRGKRZSA-N 0 1 260.341 0.366 20 30 CCEDMN C#Cc1cnc(N2CCN(Cc3n[nH]c(C)n3)CC2)nc1 ZINC000853772574 613209798 /nfs/dbraw/zinc/20/97/98/613209798.db2.gz SXBNPDATAYXQNY-UHFFFAOYSA-N 0 1 283.339 0.207 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000967940318 613214678 /nfs/dbraw/zinc/21/46/78/613214678.db2.gz ADPABXCBDKCORC-PWSUYJOCSA-N 0 1 288.351 0.564 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H](C)CN2CCN(C)CC2)c1 ZINC000798169139 613221022 /nfs/dbraw/zinc/22/10/22/613221022.db2.gz ZMRMNSLJNQIDFC-CYBMUJFWSA-N 0 1 286.379 0.429 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc3n(n2)CCC3)[C@H](C)C1 ZINC000942107773 613234266 /nfs/dbraw/zinc/23/42/66/613234266.db2.gz BEAKKOTWCFBOLT-TZMCWYRMSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(OC)nn2)C[C@@H]1C ZINC000947894925 613236444 /nfs/dbraw/zinc/23/64/44/613236444.db2.gz DMXVGRDTYRQDTO-NWDGAFQWSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C(C)(C)C1 ZINC000941003136 613239802 /nfs/dbraw/zinc/23/98/02/613239802.db2.gz SOJPYXPMUBMMKT-GFCCVEGCSA-N 0 1 290.367 0.589 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3cccnc23)C[C@H]1C ZINC000947608221 613241817 /nfs/dbraw/zinc/24/18/17/613241817.db2.gz WGFVBVIWGSYMHL-CHWSQXEVSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3c2CCC3)[C@@H](C)C1 ZINC000942106045 613241882 /nfs/dbraw/zinc/24/18/82/613241882.db2.gz LJVUONFXGMGVGV-GXTWGEPZSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2)C[C@@H]1C ZINC000947042209 613241909 /nfs/dbraw/zinc/24/19/09/613241909.db2.gz QWBMAPXYQBBWSY-WCQYABFASA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnsn2)[C@H](C)C1 ZINC000941838515 613242937 /nfs/dbraw/zinc/24/29/37/613242937.db2.gz XJAIBUQSXDUTAK-NXEZZACHSA-N 0 1 264.354 0.612 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2n[nH]cc2C)[C@H](C)C1 ZINC000942029164 613243680 /nfs/dbraw/zinc/24/36/80/613243680.db2.gz JXIPYEMLLUBMFD-VXGBXAGGSA-N 0 1 260.341 0.792 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncc[nH]2)C(C)(C)C1 ZINC000940732491 613244225 /nfs/dbraw/zinc/24/42/25/613244225.db2.gz JMMFULXNXXZLFJ-LLVKDONJSA-N 0 1 260.341 0.873 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2cnn(C)c2C)C1 ZINC000966557296 613252383 /nfs/dbraw/zinc/25/23/83/613252383.db2.gz CJYULUVUOQJLBN-SWLSCSKDSA-N 0 1 288.395 0.731 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)O2)CC1 ZINC000950479069 613260563 /nfs/dbraw/zinc/26/05/63/613260563.db2.gz YZFAYOCFDOGGKY-QWHCGFSZSA-N 0 1 295.383 0.130 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCOC2)C(C)(C)C1 ZINC000940611038 613263684 /nfs/dbraw/zinc/26/36/84/613263684.db2.gz VKPHURWRDOCTRC-STQMWFEESA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]nc2C)C[C@H]1C ZINC000947592721 613264983 /nfs/dbraw/zinc/26/49/83/613264983.db2.gz CXPDDWJQELTYKO-PWSUYJOCSA-N 0 1 260.341 0.934 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccn3nnnc23)[C@@H](C)C1 ZINC000942084491 613271734 /nfs/dbraw/zinc/27/17/34/613271734.db2.gz UHCPQBBJBZMNHJ-AAEUAGOBSA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CCC)nn2)C[C@@H]1C ZINC000947871640 613273599 /nfs/dbraw/zinc/27/35/99/613273599.db2.gz AGMGANVEJAREFV-STQMWFEESA-N 0 1 289.383 0.904 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CC=C)nn2)[C@H](C)C1 ZINC000943483579 613273917 /nfs/dbraw/zinc/27/39/17/613273917.db2.gz YEKBWSYSRSHPER-OLZOCXBDSA-N 0 1 287.367 0.538 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn3c(n2)CCC3)[C@H](C)C1 ZINC000942059811 613274667 /nfs/dbraw/zinc/27/46/67/613274667.db2.gz BLIJAZYHWQGCDX-OLZOCXBDSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnns2)[C@@H](C)C1 ZINC000941853630 613275981 /nfs/dbraw/zinc/27/59/81/613275981.db2.gz ICUVAHAPPTYTKE-UWVGGRQHSA-N 0 1 264.354 0.612 20 30 CCEDMN C#CC[N@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](C)C1 ZINC000941960346 613277389 /nfs/dbraw/zinc/27/73/89/613277389.db2.gz VUTFAGJGWGGWMJ-ONGXEEELSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CC[N@H+]1CCc2ccccc2[C@@H]1CNC(=O)c1cnn[n-]1 ZINC000948936402 613280015 /nfs/dbraw/zinc/28/00/15/613280015.db2.gz PSQNZVIDKOTQQS-HNNXBMFYSA-N 0 1 295.346 0.767 20 30 CCEDMN C#CCN1CCc2ccccc2[C@@H]1CNC(=O)c1cn[nH]n1 ZINC000948936402 613280017 /nfs/dbraw/zinc/28/00/17/613280017.db2.gz PSQNZVIDKOTQQS-HNNXBMFYSA-N 0 1 295.346 0.767 20 30 CCEDMN C#CCN1CCc2ccccc2[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000948936402 613280018 /nfs/dbraw/zinc/28/00/18/613280018.db2.gz PSQNZVIDKOTQQS-HNNXBMFYSA-N 0 1 295.346 0.767 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN2CCCCC2=O)C(C)(C)C1 ZINC000974796674 613280806 /nfs/dbraw/zinc/28/08/06/613280806.db2.gz YUBSTQCADKCBTA-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cnc(C)o2)C(C)(C)C1 ZINC000974668399 613281259 /nfs/dbraw/zinc/28/12/59/613281259.db2.gz YMUWSBUUFJZVBL-CYBMUJFWSA-N 0 1 275.352 0.985 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cnc[nH]c2=O)C(C)(C)C1 ZINC000974715185 613284672 /nfs/dbraw/zinc/28/46/72/613284672.db2.gz HPABXFYENVCKDH-LLVKDONJSA-N 0 1 274.324 0.256 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(C)noc2CC)C1 ZINC000958662273 613285485 /nfs/dbraw/zinc/28/54/85/613285485.db2.gz QGANINIWUHIBFP-NWDGAFQWSA-N 0 1 291.351 0.201 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(OC)cc2)C1 ZINC000958613695 613286926 /nfs/dbraw/zinc/28/69/26/613286926.db2.gz PFJOQKJGZVOZCN-DZGCQCFKSA-N 0 1 288.347 0.351 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2scnc2C)C1 ZINC000957881767 613287915 /nfs/dbraw/zinc/28/79/15/613287915.db2.gz FJPMGGZUIAWBRD-WDEREUQCSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2=CCCCCC2)[C@H](O)C1 ZINC000958005044 613288304 /nfs/dbraw/zinc/28/83/04/613288304.db2.gz JNGAUFPHDFQOTR-HUUCEWRRSA-N 0 1 276.380 0.919 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc3ccccn3c2)[C@H](O)C1 ZINC000958448248 613289080 /nfs/dbraw/zinc/28/90/80/613289080.db2.gz NBAKHLGUTJGKSJ-GDBMZVCRSA-N 0 1 297.358 0.595 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C(F)F)o2)C1 ZINC000958635213 613294602 /nfs/dbraw/zinc/29/46/02/613294602.db2.gz FYFDVNKQHAFLMX-UWVGGRQHSA-N 0 1 298.289 0.873 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(CC)o2)C1 ZINC000958187419 613294883 /nfs/dbraw/zinc/29/48/83/613294883.db2.gz QCCWZSZJRJLETK-AAEUAGOBSA-N 0 1 276.336 0.498 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c(C)nsc2C)C1 ZINC000958736991 613302506 /nfs/dbraw/zinc/30/25/06/613302506.db2.gz WHYWTXNMVWKROV-NEPJUHHUSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cnccc2C)C1 ZINC000957953926 613303842 /nfs/dbraw/zinc/30/38/42/613303842.db2.gz UXBLVBQJTRZXIK-OCCSQVGLSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2sccc2CC)C1 ZINC000958723656 613304412 /nfs/dbraw/zinc/30/44/12/613304412.db2.gz ILLBSQJNTGXUHX-OLZOCXBDSA-N 0 1 292.404 0.966 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CC(=O)N(CC)C2)CC1 ZINC000981276279 613310990 /nfs/dbraw/zinc/31/09/90/613310990.db2.gz KQPGUAVPGXUONF-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCN1C[C@@H]2[C@@H](CNC(=O)c3cn(C)c(C)cc3=O)[C@@H]2C1 ZINC000978323635 613311933 /nfs/dbraw/zinc/31/19/33/613311933.db2.gz PCRNABTUPUYSIG-ZSOGYDGISA-N 0 1 299.374 0.235 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)n1cnc(C)c1 ZINC000960543630 613312952 /nfs/dbraw/zinc/31/29/52/613312952.db2.gz ZPPVMHOTPKTASM-WDNDVIMCSA-N 0 1 286.379 0.606 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCc2cncn2C1 ZINC000960656796 613316559 /nfs/dbraw/zinc/31/65/59/613316559.db2.gz JJUXAUNLTDBONZ-SPWCGHHHSA-N 0 1 284.363 0.125 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(OC)cc[nH]c1=O ZINC000962308461 613317340 /nfs/dbraw/zinc/31/73/40/613317340.db2.gz UKGWLXFZZPKERE-IWIIMEHWSA-N 0 1 287.319 0.089 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(CCC)on1 ZINC000962181773 613318048 /nfs/dbraw/zinc/31/80/48/613318048.db2.gz TVXLRBABUQIYMY-IMRBUKKESA-N 0 1 273.336 0.920 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(NC(C)=O)c1 ZINC000962545304 613319747 /nfs/dbraw/zinc/31/97/47/613319747.db2.gz LBQIOXWBBWGANY-ZSHCYNCHSA-N 0 1 297.358 0.938 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C2CCC2)c1 ZINC000959869645 613321271 /nfs/dbraw/zinc/32/12/71/613321271.db2.gz HSRQNEMJBFBXRF-FICVDOATSA-N 0 1 284.363 0.901 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncn(C(C)(C)C)n1 ZINC000961761030 613321823 /nfs/dbraw/zinc/32/18/23/613321823.db2.gz ARMNKEGWXOEEGD-GDNZZTSVSA-N 0 1 287.367 0.326 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1NC(C)=O ZINC000960221447 613322198 /nfs/dbraw/zinc/32/21/98/613322198.db2.gz ZPBHPMXGGPJKJI-IMRBUKKESA-N 0 1 298.346 0.333 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1onc(C)c1C ZINC000960474738 613322401 /nfs/dbraw/zinc/32/24/01/613322401.db2.gz QQLGHVFSLOLMMT-GDNZZTSVSA-N 0 1 259.309 0.585 20 30 CCEDMN C#CCNC(=O)C1CCN(C(=O)C2(N(C)C)CC2)CC1 ZINC000824796062 613322934 /nfs/dbraw/zinc/32/29/34/613322934.db2.gz AWSGHNTUZXXKGO-UHFFFAOYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCNC(=O)CCN1CCOC[C@@H]1C[C@@H]1CCCO1 ZINC000933686429 613324822 /nfs/dbraw/zinc/32/48/22/613324822.db2.gz ZCZJXDZBJLSAEM-KBPBESRZSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCNC(=O)CCNCC(=O)Nc1ccc(F)c(F)c1 ZINC000818950525 613326492 /nfs/dbraw/zinc/32/64/92/613326492.db2.gz UPXVSAWTGFMLLH-UHFFFAOYSA-N 0 1 295.289 0.632 20 30 CCEDMN C#CCNC(=O)CN1CCN(C)[C@H](C(C)(C)C)C1 ZINC000878842684 613329723 /nfs/dbraw/zinc/32/97/23/613329723.db2.gz VTVCIMRFBRRXDL-LBPRGKRZSA-N 0 1 251.374 0.398 20 30 CCEDMN C#CCNC(=O)CN1CCN[C@H](c2ccc(OC)cc2)C1 ZINC000879518637 613329888 /nfs/dbraw/zinc/32/98/88/613329888.db2.gz KWOZHNKYHYCINM-HNNXBMFYSA-N 0 1 287.363 0.391 20 30 CCEDMN C#CCNC(=O)CN[C@@H](Cn1ccnc1)c1ccccc1 ZINC000880568469 613330725 /nfs/dbraw/zinc/33/07/25/613330725.db2.gz ZXUBMVIQAZZGCB-HNNXBMFYSA-N 0 1 282.347 0.963 20 30 CCEDMN C#CCNC(=O)CN[C@@H](c1ccc(OC)nc1)C1CC1 ZINC000860203900 613331017 /nfs/dbraw/zinc/33/10/17/613331017.db2.gz GCYVQRXYCJZOJQ-OAHLLOKOSA-N 0 1 273.336 0.880 20 30 CCEDMN C#CCNC(=O)COC(=O)[C@@]1(F)CCN(C(C)(C)C)C1 ZINC000811446159 613332258 /nfs/dbraw/zinc/33/22/58/613332258.db2.gz CAAIGVKAWIOASZ-CQSZACIVSA-N 0 1 284.331 0.492 20 30 CCEDMN C#CCNC(=O)COC(=O)[C@]1(F)CCN(C(C)(C)C)C1 ZINC000811446158 613332491 /nfs/dbraw/zinc/33/24/91/613332491.db2.gz CAAIGVKAWIOASZ-AWEZNQCLSA-N 0 1 284.331 0.492 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2ncn(C)n2)C(C)(C)C1 ZINC000974555247 613342503 /nfs/dbraw/zinc/34/25/03/613342503.db2.gz ZLSSONLGFONPJF-NSHDSACASA-N 0 1 277.372 0.831 20 30 CCEDMN C=C(C)COCCNC(=O)[C@@]1(C)C[C@@H](O)CN1C ZINC000901082806 613352128 /nfs/dbraw/zinc/35/21/28/613352128.db2.gz YZGUNKZGZXWLOJ-DGCLKSJQSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C(C)C[C@@H](CO)NS(=O)(=O)N=[S@](C)(=O)CC ZINC000882846085 613355613 /nfs/dbraw/zinc/35/56/13/613355613.db2.gz DXXCCVTUJGAFBK-XXFAHNHDSA-N 0 1 284.403 0.266 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CCc1c[nH]nn1)C(=O)OCC ZINC000881755026 613355728 /nfs/dbraw/zinc/35/57/28/613355728.db2.gz CJYQQVIDRDSBAP-LLVKDONJSA-N 0 1 280.328 0.751 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CCc1cnn[nH]1)C(=O)OCC ZINC000881755026 613355729 /nfs/dbraw/zinc/35/57/29/613355729.db2.gz CJYQQVIDRDSBAP-LLVKDONJSA-N 0 1 280.328 0.751 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@@H]1CC[N@@H+](C)[C@@H]1C)C(=O)[O-] ZINC000910291580 613356001 /nfs/dbraw/zinc/35/60/01/613356001.db2.gz JWGGTPQFIZWYNO-GMTAPVOTSA-N 0 1 254.330 0.862 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000922737933 613358174 /nfs/dbraw/zinc/35/81/74/613358174.db2.gz VUNMERVHGLPXMX-ZJUUUORDSA-N 0 1 264.329 0.668 20 30 CCEDMN C=C(C)C[C@H]1NC(=O)N(CC[N@H+](C)CCOC)C1=O ZINC000865301325 613359941 /nfs/dbraw/zinc/35/99/41/613359941.db2.gz JOMIQYARJNRTHR-LLVKDONJSA-N 0 1 269.345 0.451 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCc1nc(C)n[nH]1 ZINC000906605391 613361377 /nfs/dbraw/zinc/36/13/77/613361377.db2.gz UPLOCMYISLANKP-JQWIXIFHSA-N 0 1 264.329 0.753 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@H](C)C1CN(CCO)C1 ZINC000970559766 613361645 /nfs/dbraw/zinc/36/16/45/613361645.db2.gz FEBDBNOKCYYUNT-XBFCOCLRSA-N 0 1 282.384 0.396 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897639921 613361837 /nfs/dbraw/zinc/36/18/37/613361837.db2.gz JZTHPUMCVFEWTD-YUELXQCFSA-N 0 1 294.395 0.805 20 30 CCEDMN C=C(CC)C[N@@H+](CCN1CCOCC1)CC(=O)[O-] ZINC000833370990 613363258 /nfs/dbraw/zinc/36/32/58/613363258.db2.gz UDMMXYDUVNUKNF-UHFFFAOYSA-N 0 1 256.346 0.671 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N(C)C[C@@H](C)c1nn[n-]n1 ZINC000907349342 613363419 /nfs/dbraw/zinc/36/34/19/613363419.db2.gz FEDWIXFZZDXTHW-SNVBAGLBSA-N 0 1 266.349 0.270 20 30 CCEDMN C=C(Cl)CN1CCC(O)(CN2CCOCC2)CC1 ZINC000839534348 613372685 /nfs/dbraw/zinc/37/26/85/613372685.db2.gz BNMGVPXDFMKGOF-UHFFFAOYSA-N 0 1 274.792 0.898 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)[C@H]3[C@@H]4COC[C@@H]43)[C@@H]2C1 ZINC000978621401 613381549 /nfs/dbraw/zinc/38/15/49/613381549.db2.gz ZLFSJOSNKQMOBE-DBTFEGCXSA-N 0 1 296.798 0.925 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn(C)cn2)C1 ZINC000968410856 613385817 /nfs/dbraw/zinc/38/58/17/613385817.db2.gz RCGMGCDXALLUHN-SNVBAGLBSA-N 0 1 268.748 0.977 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2nc[nH]n2)C[C@H]1C ZINC000939337980 613386551 /nfs/dbraw/zinc/38/65/51/613386551.db2.gz MDEXNHOUCXUJRX-VXNVDRBHSA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(Cl)C[C@@H]1NC(=O)N(Cc2n[nH]c(C)n2)C1=O ZINC000900148905 613388908 /nfs/dbraw/zinc/38/89/08/613388908.db2.gz KNDREOCLEVOUFW-ZETCQYMHSA-N 0 1 269.692 0.676 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(CC)[C@H](C)CS(C)(=O)=O ZINC000876662537 613389027 /nfs/dbraw/zinc/38/90/27/613389027.db2.gz NKBLCNVMUZUYSQ-ZJUUUORDSA-N 0 1 296.820 0.738 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)NCC[N@H+](C)C1CC1)C(=O)[O-] ZINC000908889223 613390716 /nfs/dbraw/zinc/39/07/16/613390716.db2.gz ZCLOFMIKQOJQRK-JTQLQIEISA-N 0 1 289.763 0.976 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H](NC(=O)c3ncn[nH]3)C2)C1 ZINC000942156304 613393806 /nfs/dbraw/zinc/39/38/06/613393806.db2.gz GWAWLAOALZULMD-SNVBAGLBSA-N 0 1 289.339 0.492 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H](NC(=O)c3nc[nH]n3)C2)C1 ZINC000942156304 613393807 /nfs/dbraw/zinc/39/38/07/613393807.db2.gz GWAWLAOALZULMD-SNVBAGLBSA-N 0 1 289.339 0.492 20 30 CCEDMN C=CCSCCNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000895958329 613395160 /nfs/dbraw/zinc/39/51/60/613395160.db2.gz NWGRHIYXZLNNKD-LBPRGKRZSA-N 0 1 270.398 0.743 20 30 CCEDMN C=CCSCCNC(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC000803208940 613395332 /nfs/dbraw/zinc/39/53/32/613395332.db2.gz HQSDQIXLWFEBPT-UHFFFAOYSA-N 0 1 296.396 0.624 20 30 CCEDMN C=CC[C@@H](C)N1CCN2C(=O)N(CC)C(=O)[C@@H]2C1 ZINC000878175434 613400185 /nfs/dbraw/zinc/40/01/85/613400185.db2.gz RRLTYHDXHMDHIW-MNOVXSKESA-N 0 1 251.330 0.919 20 30 CCEDMN C=C1CCC(C(=O)NCc2n[nH]c(=O)n2C)CC1 ZINC000898525623 613409469 /nfs/dbraw/zinc/40/94/69/613409469.db2.gz MJJSYVMLFBNUOC-UHFFFAOYSA-N 0 1 250.302 0.883 20 30 CCEDMN C=CC[C@H](CO)NS(=O)(=O)N=S1(=O)CCCC1 ZINC000867100057 613418677 /nfs/dbraw/zinc/41/86/77/613418677.db2.gz GCHGQOBLMKVNOT-SECBINFHSA-N 0 1 282.387 0.020 20 30 CCEDMN C=CCn1cc(CNC[C@H](O)CC2(CO)CCCC2)nn1 ZINC000905290354 613435400 /nfs/dbraw/zinc/43/54/00/613435400.db2.gz LKFAQBHGPNQPBR-CQSZACIVSA-N 0 1 294.399 0.857 20 30 CCEDMN C=CC(C)(C)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000908352238 613448295 /nfs/dbraw/zinc/44/82/95/613448295.db2.gz SPAPDGKDCFBYRC-SNVBAGLBSA-N 0 1 254.330 0.864 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCC(=O)N1CCNCC1 ZINC000911702935 613448667 /nfs/dbraw/zinc/44/86/67/613448667.db2.gz SFFRGEMBCGUNJB-NSHDSACASA-N 0 1 253.346 0.137 20 30 CCEDMN C=CCC(F)(F)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000815597903 613455000 /nfs/dbraw/zinc/45/50/00/613455000.db2.gz IKJLGTSMRQYVIN-NSHDSACASA-N 0 1 275.343 0.950 20 30 CCEDMN C=CCC1(NC(=O)c2[nH]nc3c2CNCC3)CCOCC1 ZINC000852908405 613457522 /nfs/dbraw/zinc/45/75/22/613457522.db2.gz WZNAAYZGJIQDFL-UHFFFAOYSA-N 0 1 290.367 0.911 20 30 CCEDMN C=CCCCC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000846525528 613464454 /nfs/dbraw/zinc/46/44/54/613464454.db2.gz OQAKQPOXMOKQSM-UHFFFAOYSA-N 0 1 263.345 0.805 20 30 CCEDMN C=CCCC[C@H](NC(=O)Cc1nnc[nH]1)C(=O)OC ZINC000928322137 613473220 /nfs/dbraw/zinc/47/32/20/613473220.db2.gz BACJLQQZMMUXMU-VIFPVBQESA-N 0 1 266.301 0.361 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)CSC)CC2)C1 ZINC000941300822 613482180 /nfs/dbraw/zinc/48/21/80/613482180.db2.gz CGWSMUXMNCRRHH-UHFFFAOYSA-N 0 1 283.441 0.754 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)[C@@H](C)OC)CC2)C1 ZINC000941330710 613482297 /nfs/dbraw/zinc/48/22/97/613482297.db2.gz SQLDEKDFWIPGCH-CYBMUJFWSA-N 0 1 281.400 0.426 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000845623259 613485196 /nfs/dbraw/zinc/48/51/96/613485196.db2.gz OKRMDLMTWFAWKV-NEPJUHHUSA-N 0 1 285.388 0.979 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCN(C(C)=O)C2)CC1 ZINC000957491117 613505789 /nfs/dbraw/zinc/50/57/89/613505789.db2.gz RCTJVZWOIFTYHW-CQSZACIVSA-N 0 1 279.384 0.575 20 30 CCEDMN CC#CCCNC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935280148 613521442 /nfs/dbraw/zinc/52/14/42/613521442.db2.gz NCALEKIMUQRTGU-UHFFFAOYSA-N 0 1 263.341 0.905 20 30 CCEDMN CC#CCN(C)Cc1ccc(S(N)(=O)=O)cc1 ZINC000810117149 613524567 /nfs/dbraw/zinc/52/45/67/613524567.db2.gz ZOTOCNLESAHMNU-UHFFFAOYSA-N 0 1 252.339 0.789 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cc(=O)n(C)o2)C1 ZINC000969551311 613532442 /nfs/dbraw/zinc/53/24/42/613532442.db2.gz LLYMQCSJTDYAGJ-SNVBAGLBSA-N 0 1 277.324 0.052 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CCCO2)C1 ZINC000969261880 613536499 /nfs/dbraw/zinc/53/64/99/613536499.db2.gz XGJZKHSXEZNXIN-AAEUAGOBSA-N 0 1 250.342 0.625 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3CCOC3)C2)C1 ZINC000981661204 613542992 /nfs/dbraw/zinc/54/29/92/613542992.db2.gz WBHCDUHPHMNYPF-AWEZNQCLSA-N 0 1 276.380 0.971 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC000968076653 613572696 /nfs/dbraw/zinc/57/26/96/613572696.db2.gz DOOOYVXTYCQGAQ-YPMHNXCESA-N 0 1 288.351 0.233 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2cnn[n-]2)C1 ZINC000967154222 613573689 /nfs/dbraw/zinc/57/36/89/613573689.db2.gz IHACGYJOMWRBEM-PWSUYJOCSA-N 0 1 261.329 0.268 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@@H]2[C@@H]1CCCN2CCO ZINC000908006019 613580552 /nfs/dbraw/zinc/58/05/52/613580552.db2.gz DPSKSZVEEGPHHP-OLZOCXBDSA-N 0 1 288.413 0.423 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)NC[C@@H]1CCN1CC ZINC000890059682 613588719 /nfs/dbraw/zinc/58/87/19/613588719.db2.gz KZYLZDUYLXBPAQ-NEPJUHHUSA-N 0 1 255.362 0.707 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965959237 613593627 /nfs/dbraw/zinc/59/36/27/613593627.db2.gz SIXBLAOWZXOPTJ-NWDGAFQWSA-N 0 1 291.351 0.442 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)oc2C)C1 ZINC000957843836 613612943 /nfs/dbraw/zinc/61/29/43/613612943.db2.gz WHQDVDHZFCSMQI-DZGCQCFKSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2[nH]cnc2CC)[C@H](O)C1 ZINC000958631443 613614549 /nfs/dbraw/zinc/61/45/49/613614549.db2.gz QYHXNQMKYRAFRT-DGCLKSJQSA-N 0 1 290.367 0.018 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2scnc2C)C1 ZINC000957897574 613614668 /nfs/dbraw/zinc/61/46/68/613614668.db2.gz ILBJKUTUAGVMRF-NWDGAFQWSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2oc(C)nc2C)[C@H](O)C1 ZINC000957954220 613616342 /nfs/dbraw/zinc/61/63/42/613616342.db2.gz ZTMWXAHHOLIUDW-CHWSQXEVSA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2nc(C)oc2C)C1 ZINC000958522560 613619150 /nfs/dbraw/zinc/61/91/50/613619150.db2.gz RBPZTKLRGQQWSH-STQMWFEESA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2conc2CC)C1 ZINC000958389965 613619370 /nfs/dbraw/zinc/61/93/70/613619370.db2.gz MVCJTCHINRXLEF-FZMZJTMJSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2nonc2C)C(C)(C)C1 ZINC000977406102 613621062 /nfs/dbraw/zinc/62/10/62/613621062.db2.gz HRTFXBWDBUMKDX-ZDUSSCGKSA-N 0 1 290.367 0.770 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2COCCN2C)C(C)(C)C1 ZINC000974484943 613622556 /nfs/dbraw/zinc/62/25/56/613622556.db2.gz ORCQIXTXYMIZIL-KGLIPLIRSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C2CC2)nn1C ZINC000960127735 613639564 /nfs/dbraw/zinc/63/95/64/613639564.db2.gz YIKZTDQTHOWBRE-VIKVFOODSA-N 0 1 298.390 0.981 20 30 CCEDMN C=CC[N@H+](C)[C@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC000971362406 613640068 /nfs/dbraw/zinc/64/00/68/613640068.db2.gz XSAUHWMFQNDXCF-JTQLQIEISA-N 0 1 264.329 0.756 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccns1 ZINC000960139377 613641242 /nfs/dbraw/zinc/64/12/42/613641242.db2.gz RNCWFIGRZOFMTM-IAZYJMLFSA-N 0 1 261.350 0.826 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C)n1C ZINC000961602612 613641371 /nfs/dbraw/zinc/64/13/71/613641371.db2.gz NHPVGIXOFGOANF-IMRBUKKESA-N 0 1 272.352 0.412 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NC[C@@H](C)C[NH+]1CCOCC1 ZINC000908913285 613642486 /nfs/dbraw/zinc/64/24/86/613642486.db2.gz HAEZKBDNSVHZLD-GFCCVEGCSA-N 0 1 299.371 0.237 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1onc(C)c1C ZINC000960461286 613643257 /nfs/dbraw/zinc/64/32/57/613643257.db2.gz FUFWHXIJUNOYHS-ITGUQSILSA-N 0 1 273.336 0.975 20 30 CCEDMN C=CCN(CCOC)C(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC000805851798 613649648 /nfs/dbraw/zinc/64/96/48/613649648.db2.gz VOJFUAZFAISTDJ-UHFFFAOYSA-N 0 1 294.355 0.249 20 30 CCEDMN CC(=NNC1=[NH+]CCN1)c1cc([N+](=O)[O-])ccc1[O-] ZINC000841661438 613653895 /nfs/dbraw/zinc/65/38/95/613653895.db2.gz PFQFWXWTRUKPPB-UHFFFAOYSA-N 0 1 263.257 0.573 20 30 CCEDMN CC(=NNC1=NC[C@H](C)N1)c1cn2c(n1)CCCC2 ZINC000807981374 613654487 /nfs/dbraw/zinc/65/44/87/613654487.db2.gz MJWMKUVKNMBZNJ-VIFPVBQESA-N 0 1 260.345 0.881 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC000970932962 613662412 /nfs/dbraw/zinc/66/24/12/613662412.db2.gz XPHYAOAHYWOCGZ-NEPJUHHUSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000970652694 613664100 /nfs/dbraw/zinc/66/41/00/613664100.db2.gz BXVNVBDAJVBAOM-SECBINFHSA-N 0 1 276.340 0.350 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3ncccn3n2)C1 ZINC000970617728 613664668 /nfs/dbraw/zinc/66/46/68/613664668.db2.gz CLPCWAAZQQKMMV-LLVKDONJSA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cn(C)c(=O)cn2)C1 ZINC000970185925 613672504 /nfs/dbraw/zinc/67/25/04/613672504.db2.gz YRUQVTJDOWZNFD-JTQLQIEISA-N 0 1 276.340 0.016 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cn(CCOC)nn2)C1 ZINC000969657797 613672588 /nfs/dbraw/zinc/67/25/88/613672588.db2.gz RBHAKNFUDZSQAQ-NSHDSACASA-N 0 1 293.371 0.161 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@](CC)(COC)C2=O)CC1 ZINC000842238872 613674372 /nfs/dbraw/zinc/67/43/72/613674372.db2.gz AKBYCOFGTSSJAT-OAHLLOKOSA-N 0 1 295.383 0.984 20 30 CCEDMN C=CCN1CCC(NC(=O)c2cn(C)ccc2=O)CC1 ZINC000932143735 613675395 /nfs/dbraw/zinc/67/53/95/613675395.db2.gz HNBCUOCWPRXRGO-UHFFFAOYSA-N 0 1 275.352 0.766 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC000981469554 613694473 /nfs/dbraw/zinc/69/44/73/613694473.db2.gz DMPMLNWXXUHURP-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCN1CCN(CN2CC[C@H](C(=O)N(C)C)C2)C1=O ZINC000840173263 613721095 /nfs/dbraw/zinc/72/10/95/613721095.db2.gz AOPVVAHDSNJPCM-LBPRGKRZSA-N 0 1 280.372 0.278 20 30 CCEDMN C=CCN1CCN(CN2CC[C@](C)(C(=O)NC)C2)C1=O ZINC000840061043 613721119 /nfs/dbraw/zinc/72/11/19/613721119.db2.gz CGSFPUQVOZPEPP-AWEZNQCLSA-N 0 1 280.372 0.326 20 30 CCEDMN C=CCN1CCO[C@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC000972311854 613725671 /nfs/dbraw/zinc/72/56/71/613725671.db2.gz PSTFRDDTJMYZOB-OAHLLOKOSA-N 0 1 290.367 0.442 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000968480743 613733755 /nfs/dbraw/zinc/73/37/55/613733755.db2.gz RBDOEDBFRPIUMA-ZWNOBZJWSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)CN2CCOCC2)C1 ZINC000965921760 613767037 /nfs/dbraw/zinc/76/70/37/613767037.db2.gz OHPCJHKJXDHXQK-KBPBESRZSA-N 0 1 281.400 0.331 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974761395 613808592 /nfs/dbraw/zinc/80/85/92/613808592.db2.gz CHHIUDPXXHNVKR-NWDGAFQWSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2C[C@H]2C(N)=O)C(C)(C)C1 ZINC000975051151 613808982 /nfs/dbraw/zinc/80/89/82/613808982.db2.gz VUFAPWSIERJARO-OUAUKWLOSA-N 0 1 265.357 0.120 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C(C)(C)C1 ZINC000975039440 613809428 /nfs/dbraw/zinc/80/94/28/613809428.db2.gz PQHROHJZYKFMGD-YNEHKIRRSA-N 0 1 293.411 0.723 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cnn(C)n2)C(C)(C)C1 ZINC000977335949 613811560 /nfs/dbraw/zinc/81/15/60/613811560.db2.gz OBFPJSCCAAHVHR-LLVKDONJSA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCNC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000864423740 613849290 /nfs/dbraw/zinc/84/92/90/613849290.db2.gz JQSOMQWLWBPNHU-UHFFFAOYSA-N 0 1 267.373 0.926 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@]2(CO)CCC[C@@H]12 ZINC000886620033 613849666 /nfs/dbraw/zinc/84/96/66/613849666.db2.gz AHQAOVJSJUYXHT-BXUZGUMPSA-N 0 1 281.356 0.235 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC([C@]2(C)COC(=O)N2)CC1 ZINC000932089109 613854980 /nfs/dbraw/zinc/85/49/80/613854980.db2.gz AOTNNAHFMFVNJN-NHYWBVRUSA-N 0 1 295.383 0.888 20 30 CCEDMN C=CCOC(=O)[C@@H](N)CC(=O)N1CCN(CC(C)C)CC1 ZINC000884178781 613877640 /nfs/dbraw/zinc/87/76/40/613877640.db2.gz UTMKHFOBIGVIPV-ZDUSSCGKSA-N 0 1 297.399 0.233 20 30 CCEDMN C=CCOCC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829273462 613879090 /nfs/dbraw/zinc/87/90/90/613879090.db2.gz UDEIKGHLYHBSGM-UHFFFAOYSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CCOC[C@H](NC(=O)Cn1cc[nH+]c1C(C)C)C(=O)[O-] ZINC000909771331 613882345 /nfs/dbraw/zinc/88/23/45/613882345.db2.gz NFERGZXXCDJXBR-NSHDSACASA-N 0 1 295.339 0.779 20 30 CCEDMN C=CCOC[C@H](NCc1n[nH]c(C)c1C)C(=O)OC ZINC000894642382 613882847 /nfs/dbraw/zinc/88/28/47/613882847.db2.gz MBAAHJNAEPHIMD-LBPRGKRZSA-N 0 1 267.329 0.860 20 30 CCEDMN C=CCO[N-]C(=O)[C@@]1(O)CC[N@@H+](Cc2ccccc2)C1 ZINC000837046007 613884470 /nfs/dbraw/zinc/88/44/70/613884470.db2.gz DBUORWWAROCBFC-OAHLLOKOSA-N 0 1 276.336 0.857 20 30 CCEDMN C=CCO[N-]C(=O)[C@H]1COCC[N@@H+]1C1CCCC1 ZINC000837048184 613884544 /nfs/dbraw/zinc/88/45/44/613884544.db2.gz MIMMZLRJDDMKHA-GFCCVEGCSA-N 0 1 254.330 0.864 20 30 CCEDMN C=CCO[N-]C(=O)[C@H]1COCC[N@H+]1C1CCCC1 ZINC000837048184 613884545 /nfs/dbraw/zinc/88/45/45/613884545.db2.gz MIMMZLRJDDMKHA-GFCCVEGCSA-N 0 1 254.330 0.864 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1cnn(C)c1C1CC1 ZINC000893506473 613885764 /nfs/dbraw/zinc/88/57/64/613885764.db2.gz WUMRDYFUXUBYJH-UHFFFAOYSA-N 0 1 283.397 0.988 20 30 CCEDMN CC(C)OCCN1CCN(CCO[C@H](C)C#N)CC1 ZINC000801924976 614013900 /nfs/dbraw/zinc/01/39/00/614013900.db2.gz DBVAVANPCBAXJR-CQSZACIVSA-N 0 1 269.389 0.958 20 30 CCEDMN CC(C)(C)n1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1=O ZINC000870941286 614063562 /nfs/dbraw/zinc/06/35/62/614063562.db2.gz UEYIDFURYQLTLF-JQWIXIFHSA-N 0 1 288.351 0.445 20 30 CCEDMN CC(C)(CCC#N)CN1CCN2[C@@H](CNS2(=O)=O)C1 ZINC000897283132 614080605 /nfs/dbraw/zinc/08/06/05/614080605.db2.gz DETYJCPSSMSDMV-NSHDSACASA-N 0 1 286.401 0.151 20 30 CCEDMN CC(C)(CO)N1CCN(c2ccc(C#N)cc2CO)CC1 ZINC000892542030 614121372 /nfs/dbraw/zinc/12/13/72/614121372.db2.gz JSXQEBKBBRYDTC-UHFFFAOYSA-N 0 1 289.379 0.943 20 30 CCEDMN CC(=O)Nc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)ccc1C ZINC000834521941 614198200 /nfs/dbraw/zinc/19/82/00/614198200.db2.gz ZKEBLDNUHUEWRB-TZMCWYRMSA-N 0 1 286.335 0.795 20 30 CCEDMN CC(=O)Nc1ccc(F)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834495624 614201231 /nfs/dbraw/zinc/20/12/31/614201231.db2.gz WVGBPBBJIYUDNZ-ZANVPECISA-N 0 1 290.298 0.625 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N[C@H](C)CC#N)cc1=O ZINC000931155840 614237128 /nfs/dbraw/zinc/23/71/28/614237128.db2.gz YYLGFCWPNDZUJA-SNVBAGLBSA-N 0 1 264.329 0.793 20 30 CCEDMN CC(=O)c1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834520940 614252659 /nfs/dbraw/zinc/25/26/59/614252659.db2.gz AAESWDBRLUKCMF-QWHCGFSZSA-N 0 1 257.293 0.731 20 30 CCEDMN CC(=O)c1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000848970775 614256023 /nfs/dbraw/zinc/25/60/23/614256023.db2.gz PTGHTXXZXUXVIJ-QWHCGFSZSA-N 0 1 257.293 0.731 20 30 CCEDMN CC(C)c1ncncc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000843462517 614329795 /nfs/dbraw/zinc/32/97/95/614329795.db2.gz QQIDJRCQKMHJIE-KOLCDFICSA-N 0 1 259.313 0.441 20 30 CCEDMN CC1CCN(CC(=O)N2CCO[C@@](C)(C#N)C2)CC1 ZINC000887678566 614432177 /nfs/dbraw/zinc/43/21/77/614432177.db2.gz FOKNXGDLQBXGPV-AWEZNQCLSA-N 0 1 265.357 0.859 20 30 CCEDMN CCCCS(=O)(=O)C=C(O)NC1=NO[C@H](C)C1 ZINC000935137543 614459993 /nfs/dbraw/zinc/45/99/93/614459993.db2.gz ZBCBYFFCCKNFQQ-MRVPVSSYSA-N 0 1 262.331 0.440 20 30 CCEDMN CCC(=O)Nc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834489389 614466177 /nfs/dbraw/zinc/46/61/77/614466177.db2.gz MBMHDQFXOPSVTC-YPMHNXCESA-N 0 1 286.335 0.876 20 30 CCEDMN CCCC(=O)N1CCCC[C@@H]1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834485562 614742594 /nfs/dbraw/zinc/74/25/94/614742594.db2.gz YNSIRZYSSXTXFQ-JHJVBQTASA-N 0 1 292.383 0.395 20 30 CCEDMN CC1=NO[C@@H](CNCc2cnc3c(C#N)cnn3c2)C1 ZINC000895311327 614989419 /nfs/dbraw/zinc/98/94/19/614989419.db2.gz OXFKDOXHSLCIFE-GFCCVEGCSA-N 0 1 270.296 0.855 20 30 CCEDMN CCN(CCC(=O)NC)CC(=O)NC1(C#N)CCCCC1 ZINC000933867369 615030538 /nfs/dbraw/zinc/03/05/38/615030538.db2.gz INBBUDIDLTWDSE-UHFFFAOYSA-N 0 1 294.399 0.787 20 30 CCEDMN CCN(CCC(N)=O)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000932482124 615040057 /nfs/dbraw/zinc/04/00/57/615040057.db2.gz OWJXOWUGPSEJIF-UHFFFAOYSA-N 0 1 294.399 0.869 20 30 CCEDMN CCNC(=O)CNCc1cc(C#N)ccc1N(C)C ZINC000865402791 615050104 /nfs/dbraw/zinc/05/01/04/615050104.db2.gz DWHMZSWRWTVECP-UHFFFAOYSA-N 0 1 260.341 0.850 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@@H](C)[C@H](C(=O)[O-])C1 ZINC000829642396 615064606 /nfs/dbraw/zinc/06/46/06/615064606.db2.gz LZGYHXQXVZODMF-IJLUTSLNSA-N 0 1 281.356 0.647 20 30 CCEDMN CCN1CCC(=NN[C@@H]2CCCS(=O)(=O)C2)[C@H](C)C1 ZINC000863130354 615098824 /nfs/dbraw/zinc/09/88/24/615098824.db2.gz MYVOSDLPPBBQDV-VXGBXAGGSA-N 0 1 287.429 0.871 20 30 CCEDMN CC[N@H+]1CCC[C@@H]1CN(C)C(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820348546 615131373 /nfs/dbraw/zinc/13/13/73/615131373.db2.gz YDYQQBTXSRHUNE-RISCZKNCSA-N 0 1 281.356 0.934 20 30 CCEDMN CC[N@H+]1CCC[C@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820348040 615141825 /nfs/dbraw/zinc/14/18/25/615141825.db2.gz HSSXNLVNVCAAOU-GXFFZTMASA-N 0 1 267.329 0.591 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1CCN(CCC#N)CC1 ZINC000883899943 615245973 /nfs/dbraw/zinc/24/59/73/615245973.db2.gz BBRPCUVSNSAEGL-CYBMUJFWSA-N 0 1 279.388 0.321 20 30 CCEDMN CCOC(=O)[C@@H](C(=O)NC1(C#N)CCN(C)CC1)C(C)C ZINC000874437473 615256842 /nfs/dbraw/zinc/25/68/42/615256842.db2.gz DGTNNISYSWKSFO-GFCCVEGCSA-N 0 1 295.383 0.926 20 30 CCEDMN CCOC(=O)[C@H]1CCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000932647971 615379838 /nfs/dbraw/zinc/37/98/38/615379838.db2.gz BLXSOKUVLJFXHE-NEPJUHHUSA-N 0 1 281.356 0.632 20 30 CCEDMN CCOC(=O)c1cn[nH]c1[C@@H]1CCN(C(=O)CC#N)C1 ZINC000912495588 615398949 /nfs/dbraw/zinc/39/89/49/615398949.db2.gz ZAOCHQNLUAPDLV-SECBINFHSA-N 0 1 276.296 0.816 20 30 CCEDMN CCO[C@H](C(=O)N[C@H]1CNC[C@H]1C#N)C1CCOCC1 ZINC000876802306 615474092 /nfs/dbraw/zinc/47/40/92/615474092.db2.gz NWKCQMQERZDHMH-AGIUHOORSA-N 0 1 281.356 0.046 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC(C)C)[C@@H]1CCOC1 ZINC000870142954 615476700 /nfs/dbraw/zinc/47/67/00/615476700.db2.gz OZTCDUDNECOEKO-MDZLAQPJSA-N 0 1 282.340 0.661 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC1CC1)C1CCOCC1 ZINC000870143637 615476720 /nfs/dbraw/zinc/47/67/20/615476720.db2.gz WINYMEBQRNPPTA-OCCSQVGLSA-N 0 1 294.351 0.806 20 30 CCEDMN CCO[C@H](CC(=O)N[C@@H]1CNC[C@@H]1C#N)C(C)C ZINC000834479549 615478342 /nfs/dbraw/zinc/47/83/42/615478342.db2.gz FUTCPPLDVJBEBN-QJPTWQEYSA-N 0 1 253.346 0.665 20 30 CCEDMN CCOc1ccccc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834512294 615517927 /nfs/dbraw/zinc/51/79/27/615517927.db2.gz UOJAXXIYXXTFSB-ZYHUDNBSSA-N 0 1 259.309 0.927 20 30 CCEDMN CCOc1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1Cl ZINC000834485445 615525345 /nfs/dbraw/zinc/52/53/45/615525345.db2.gz XCNAXNLABFAQPK-GXSJLCMTSA-N 0 1 294.742 0.975 20 30 CCEDMN CC[C@@H](N[NH+]=C1CCCc2nc(N)ncc21)C(=O)[O-] ZINC000814937817 615536160 /nfs/dbraw/zinc/53/61/60/615536160.db2.gz PSCCILZJWAXIOA-MRVPVSSYSA-N 0 1 263.301 0.552 20 30 CCEDMN CCn1nc(C)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1Cl ZINC000843459748 615648159 /nfs/dbraw/zinc/64/81/59/615648159.db2.gz HSZGKIUKCVYZKG-IUCAKERBSA-N 0 1 281.747 0.706 20 30 CCEDMN CCc1nc(COC(=O)[C@@H](C)n2cnc(C#N)n2)n[nH]1 ZINC000798740225 615748457 /nfs/dbraw/zinc/74/84/57/615748457.db2.gz NZMWBYBAZWQRNH-SSDOTTSWSA-N 0 1 275.272 0.135 20 30 CCEDMN CCc1nn(C)c(CC)c1CC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834500385 615792127 /nfs/dbraw/zinc/79/21/27/615792127.db2.gz SNDWUJAOTBHMRA-GXFFZTMASA-N 0 1 289.383 0.315 20 30 CCEDMN CCc1nn(C)c(CC)c1CC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834500383 615792273 /nfs/dbraw/zinc/79/22/73/615792273.db2.gz SNDWUJAOTBHMRA-GWCFXTLKSA-N 0 1 289.383 0.315 20 30 CCEDMN CN(C)CC#CCNC(=O)C(=O)Nc1ccc(Cl)cc1 ZINC000913516109 615857398 /nfs/dbraw/zinc/85/73/98/615857398.db2.gz BFPBFWRHJGGSAN-UHFFFAOYSA-N 0 1 293.754 0.960 20 30 CCEDMN CN(C)CC#CCNC(=O)C1(Br)CC1 ZINC000913522579 615857707 /nfs/dbraw/zinc/85/77/07/615857707.db2.gz POSZUIOMQQKWSH-UHFFFAOYSA-N 0 1 259.147 0.595 20 30 CCEDMN CN(C)CC#CCNC(=O)COCC1CCCC1 ZINC000913523031 615858422 /nfs/dbraw/zinc/85/84/22/615858422.db2.gz JSGRYGQAVURMOR-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2n[nH]cc21 ZINC000913516573 615861102 /nfs/dbraw/zinc/86/11/02/615861102.db2.gz WFINMQTURFAPBP-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc2n1CCCC2 ZINC000913519922 615861656 /nfs/dbraw/zinc/86/16/56/615861656.db2.gz UWJXKSKAEGXBMP-UHFFFAOYSA-N 0 1 260.341 0.514 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C)nc1C(F)F ZINC000913520788 615861945 /nfs/dbraw/zinc/86/19/45/615861945.db2.gz YNFIBFHDKJHCIX-UHFFFAOYSA-N 0 1 270.283 0.653 20 30 CCEDMN CCn1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(C2CC2)n1 ZINC000870940126 615955556 /nfs/dbraw/zinc/95/55/56/615955556.db2.gz GAMBEXWDBVGTSC-ZYHUDNBSSA-N 0 1 273.340 0.622 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000980368527 616040720 /nfs/dbraw/zinc/04/07/20/616040720.db2.gz ALQCGBOJSAORPR-LLVKDONJSA-N 0 1 284.323 0.628 20 30 CCEDMN CN(CC(=O)N[C@H]1CNC[C@H]1C#N)C(=O)c1cccs1 ZINC000834515649 616049156 /nfs/dbraw/zinc/04/91/56/616049156.db2.gz AMOGRITXONFIKM-ZJUUUORDSA-N 0 1 292.364 0.048 20 30 CCEDMN CN1C(=O)CN(N=C2CCCN3CCCC[C@H]23)C1=O ZINC000848406170 616136341 /nfs/dbraw/zinc/13/63/41/616136341.db2.gz AEOMSOXHTZFXFP-LLVKDONJSA-N 0 1 264.329 0.885 20 30 CCEDMN CN(Cc1cc(C#N)cs1)C(=O)CCc1nn[nH]n1 ZINC000887965578 616164247 /nfs/dbraw/zinc/16/42/47/616164247.db2.gz IXIGZZWXXNJHMO-UHFFFAOYSA-N 0 1 276.325 0.724 20 30 CCEDMN C[N@@H+]1CC=C(CNC(=O)[C@@](C)(C#N)CC(=O)[O-])CC1 ZINC000820615719 616165238 /nfs/dbraw/zinc/16/52/38/616165238.db2.gz WFBOCDBJROESDG-CYBMUJFWSA-N 0 1 265.313 0.369 20 30 CCEDMN CN1CCC(=NNCCS(C)(=O)=O)CC1(C)C ZINC000863094511 616165496 /nfs/dbraw/zinc/16/54/96/616165496.db2.gz LSGAUQSFVNMVOE-UHFFFAOYSA-N 0 1 261.391 0.481 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H](O)c2ccccc2)CC1 ZINC000219999988 616166157 /nfs/dbraw/zinc/16/61/57/616166157.db2.gz BQDCMGUBZZTKBY-ZDUSSCGKSA-N 0 1 273.336 0.824 20 30 CCEDMN CN1CCC[C@@H](NC(=O)[C@@]2(C#N)CCCOC2)C1 ZINC000865185590 616190363 /nfs/dbraw/zinc/19/03/63/616190363.db2.gz YNMRONIYCITNGW-DGCLKSJQSA-N 0 1 251.330 0.517 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N1CC2(C1)CCCN(CC#N)C2 ZINC000981665463 616191223 /nfs/dbraw/zinc/19/12/23/616191223.db2.gz CSNLLTSBUGZLSF-CYBMUJFWSA-N 0 1 276.384 0.529 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CC2(C1)CCCN(CC#N)C2 ZINC000981665463 616191224 /nfs/dbraw/zinc/19/12/24/616191224.db2.gz CSNLLTSBUGZLSF-CYBMUJFWSA-N 0 1 276.384 0.529 20 30 CCEDMN CN1CCC[C@H](NC(=O)c2cccc(C#CCO)c2)C1 ZINC000040716556 616208024 /nfs/dbraw/zinc/20/80/24/616208024.db2.gz ZODHBMWGZXEPJG-HNNXBMFYSA-N 0 1 272.348 0.854 20 30 CCEDMN CN1CCC[C@H]1CNS(=O)(=O)CC(C)(C)C#N ZINC000913970584 616214672 /nfs/dbraw/zinc/21/46/72/616214672.db2.gz FRIXXYSRLASHRC-JTQLQIEISA-N 0 1 259.375 0.550 20 30 CCEDMN CN1CCN(C)CCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000888989432 616236114 /nfs/dbraw/zinc/23/61/14/616236114.db2.gz KWVGKVWJDHQLAJ-UHFFFAOYSA-N 0 1 275.356 0.206 20 30 CCEDMN CN1CCN(CCNC(=O)c2cc(C#N)ccc2F)CC1 ZINC000820110461 616241852 /nfs/dbraw/zinc/24/18/52/616241852.db2.gz ZOVOGTCGLDFFJE-UHFFFAOYSA-N 0 1 290.342 0.675 20 30 CCEDMN COC(=O)[C@@H]1CNCCCN1C(=O)c1coc(C#N)c1 ZINC000876849744 616398998 /nfs/dbraw/zinc/39/89/98/616398998.db2.gz XUGOVFFWDKKTJL-NSHDSACASA-N 0 1 277.280 0.128 20 30 CCEDMN CN1CC[C@H]1CNC(=O)NCC#C[C@H]1CCCCO1 ZINC000896620334 616425612 /nfs/dbraw/zinc/42/56/12/616425612.db2.gz QYMBKYVKOJSTAQ-QWHCGFSZSA-N 0 1 265.357 0.562 20 30 CCEDMN COCCN1[C@H](C)CN(C(=O)NCCC#N)C[C@@H]1C ZINC000827013247 616476234 /nfs/dbraw/zinc/47/62/34/616476234.db2.gz PBKWQSBMCHJSCD-TXEJJXNPSA-N 0 1 268.361 0.651 20 30 CCEDMN COC(=O)[C@@H]1OCC[C@@H]1CNCc1ccc(C#N)o1 ZINC000877726567 616493885 /nfs/dbraw/zinc/49/38/85/616493885.db2.gz FHYOTYISWVOBIZ-BXKDBHETSA-N 0 1 264.281 0.819 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCC#C[C@@H]1CCCCO1 ZINC000891106682 616497138 /nfs/dbraw/zinc/49/71/38/616497138.db2.gz LMHYZZWWZSPSEI-VNHYZAJKSA-N 0 1 280.368 0.130 20 30 CCEDMN COCC#CCOC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000859924676 616529623 /nfs/dbraw/zinc/52/96/23/616529623.db2.gz WFLPOMPKNIGGFX-UHFFFAOYSA-N 0 1 298.320 0.260 20 30 CCEDMN COC(=O)[C@@]1(C)CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000839666735 616540224 /nfs/dbraw/zinc/54/02/24/616540224.db2.gz NEVPXNFJFDDWQZ-ZDUSSCGKSA-N 0 1 267.329 0.291 20 30 CCEDMN COC(=O)[C@@]1(C)CCCN(CC(=O)NCCC#N)C1 ZINC000839666735 616540226 /nfs/dbraw/zinc/54/02/26/616540226.db2.gz NEVPXNFJFDDWQZ-ZDUSSCGKSA-N 0 1 267.329 0.291 20 30 CCEDMN COC(=O)C[C@H]1CSCCN1CCO[C@@H](C)C#N ZINC000801929536 616711087 /nfs/dbraw/zinc/71/10/87/616711087.db2.gz VYCRPSNTLCERFX-QWRGUYRKSA-N 0 1 272.370 0.896 20 30 CCEDMN CNC(=O)NC[C@H]1CCCCN1C[C@H](O)CC#N ZINC000931477062 616760625 /nfs/dbraw/zinc/76/06/25/616760625.db2.gz RDKQZTIYVZBHJM-GHMZBOCLSA-N 0 1 254.334 0.044 20 30 CCEDMN CNC(=O)[C@@H](C)C[N@@H+](C)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000830975641 616780758 /nfs/dbraw/zinc/78/07/58/616780758.db2.gz PAEFVGSQURNIHS-GXFFZTMASA-N 0 1 289.335 0.998 20 30 CCEDMN CO[C@@H]1COCC[C@H]1CC(=O)NCC#CCN(C)C ZINC000913523085 616801611 /nfs/dbraw/zinc/80/16/11/616801611.db2.gz LOUQJPIVJLZYDS-QWHCGFSZSA-N 0 1 268.357 0.109 20 30 CCEDMN CNC(=O)[C@@H]1CCCN1C[C@@H](O)CC1(C#N)CCC1 ZINC000885965919 616817101 /nfs/dbraw/zinc/81/71/01/616817101.db2.gz YIZUDKRHYWZBCI-RYUDHWBXSA-N 0 1 265.357 0.642 20 30 CCEDMN COCc1ccccc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834517230 616906069 /nfs/dbraw/zinc/90/60/69/616906069.db2.gz SJUWVZJAYZAEDL-DGCLKSJQSA-N 0 1 259.309 0.674 20 30 CCEDMN CO[C@@]1(C(=O)NCC#CCN(C)C)CCSC1 ZINC000913525386 616914343 /nfs/dbraw/zinc/91/43/43/616914343.db2.gz VAESKPVLQJXPIW-LBPRGKRZSA-N 0 1 256.371 0.190 20 30 CCEDMN COC(=O)[C@@H](C)CC=Nn1c(=O)c(C)n[nH]c1=S ZINC000814213834 616936935 /nfs/dbraw/zinc/93/69/35/616936935.db2.gz VMQFBHRGIALISB-LURJTMIESA-N 0 1 270.314 0.269 20 30 CCEDMN COC(=O)c1cn([C@H]2CCN(CCO[C@@H](C)C#N)C2)nn1 ZINC000801941224 616964355 /nfs/dbraw/zinc/96/43/55/616964355.db2.gz KGESYMJADZNKEZ-QWRGUYRKSA-N 0 1 293.327 0.240 20 30 CCEDMN COCCN(C)c1ccc(C=NN(C)[C@H](C)CO)cn1 ZINC000842540221 616971645 /nfs/dbraw/zinc/97/16/45/616971645.db2.gz HZUNAGKFQKTWSY-GFCCVEGCSA-N 0 1 280.372 0.811 20 30 CCEDMN CO[C@H](C(=O)N[C@@H]1CNC[C@H]1C#N)c1ccc(F)cc1 ZINC000876803632 616985289 /nfs/dbraw/zinc/98/52/89/616985289.db2.gz XRVILLHKWDNMRD-RTXFEEFZSA-N 0 1 277.299 0.741 20 30 CCEDMN CO[C@H](C)C(C)N=Nc1oc(C)nc1-c1nn[nH]n1 ZINC000821673967 617049182 /nfs/dbraw/zinc/04/91/82/617049182.db2.gz PTAWIPDGTVFPFY-ZCFIWIBFSA-N 0 1 265.277 0.986 20 30 CCEDMN COC[C@H](C)N1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC000980838684 617060978 /nfs/dbraw/zinc/06/09/78/617060978.db2.gz VYODJTSCFNJVCC-RYUDHWBXSA-N 0 1 253.346 0.715 20 30 CCEDMN CON=CC(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000809643546 617103473 /nfs/dbraw/zinc/10/34/73/617103473.db2.gz ISZOEMCSJFGYQE-UHFFFAOYSA-N 0 1 283.372 0.580 20 30 CCEDMN CON=CC(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC000809739254 617106323 /nfs/dbraw/zinc/10/63/23/617106323.db2.gz CYMAHXWHKNNQMA-UHFFFAOYSA-N 0 1 261.241 0.233 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCOC[C@@H]1C ZINC001026024092 625322679 /nfs/dbraw/zinc/32/26/79/625322679.db2.gz OSQJMFHOANALPH-XPABHHOTSA-N 0 1 276.380 0.729 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCOC[C@@H]1C ZINC001026024090 625322897 /nfs/dbraw/zinc/32/28/97/625322897.db2.gz OSQJMFHOANALPH-BTFPBAQTSA-N 0 1 276.380 0.729 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)CCc3nc[nH]n3)C[C@@H]21 ZINC001026233068 625365756 /nfs/dbraw/zinc/36/57/56/625365756.db2.gz OMEXJJNAHURHTH-SDDRHHMPSA-N 0 1 288.355 0.087 20 30 CCEDMN CN(Cc1ccc(C#N)cc1)[C@@H]1CCN(C(=O)C(N)=O)C1 ZINC000972214343 622721037 /nfs/dbraw/zinc/72/10/37/622721037.db2.gz XLNVIMHAGKAMNY-CYBMUJFWSA-N 0 1 286.335 0.076 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2cnsn2)C1 ZINC001023240374 622964834 /nfs/dbraw/zinc/96/48/34/622964834.db2.gz JLVVTAMSSUPICZ-SNVBAGLBSA-N 0 1 264.354 0.613 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000246786656 622996083 /nfs/dbraw/zinc/99/60/83/622996083.db2.gz RZYNQPRDKVXVNE-HZSPNIEDSA-N 0 1 281.400 0.165 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2cn(C(C)C)nn2)C1 ZINC001023676946 623102629 /nfs/dbraw/zinc/10/26/29/623102629.db2.gz YECJFGYYUPVQTO-CYBMUJFWSA-N 0 1 289.383 0.934 20 30 CCEDMN O=C(C#CC1CC1)N1CCC[C@H](CNCc2cnon2)C1 ZINC001023981060 623187017 /nfs/dbraw/zinc/18/70/17/623187017.db2.gz IGJWTBIEGILMMZ-CYBMUJFWSA-N 0 1 288.351 0.811 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@H](CNCc2nncs2)C1 ZINC001026834592 625630978 /nfs/dbraw/zinc/63/09/78/625630978.db2.gz IGGLGSHURAGUSW-GFCCVEGCSA-N 0 1 290.392 0.890 20 30 CCEDMN Cc1nnc(CNC[C@H]2CCN(C(=O)C#CC3CC3)C2)o1 ZINC001026835527 625631747 /nfs/dbraw/zinc/63/17/47/625631747.db2.gz YYEFKANRPGIYBG-CYBMUJFWSA-N 0 1 288.351 0.730 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@@H](CNCc2nncs2)C1 ZINC001026834593 625632892 /nfs/dbraw/zinc/63/28/92/625632892.db2.gz IGGLGSHURAGUSW-LBPRGKRZSA-N 0 1 290.392 0.890 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)C1=COCCO1 ZINC001024307159 623278157 /nfs/dbraw/zinc/27/81/57/623278157.db2.gz OJXWGCXKYZJHIG-LBPRGKRZSA-N 0 1 264.325 0.479 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCOC1 ZINC001024351496 623295122 /nfs/dbraw/zinc/29/51/22/623295122.db2.gz WUJKDQPCUFJNPX-CHWSQXEVSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCCNC1=O ZINC001024493401 623337049 /nfs/dbraw/zinc/33/70/49/623337049.db2.gz XNKRUKRDLVMTSH-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024522076 623348595 /nfs/dbraw/zinc/34/85/95/623348595.db2.gz ZGWNJXNPALASJJ-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001024631153 623403143 /nfs/dbraw/zinc/40/31/43/623403143.db2.gz GKOWTZYORWEDNT-LLVKDONJSA-N 0 1 276.340 0.343 20 30 CCEDMN O=C(NCC#CCO)NC[C@H](c1ccco1)N1CCCC1 ZINC000923788331 623570446 /nfs/dbraw/zinc/57/04/46/623570446.db2.gz JQWCDQYELRNELV-CYBMUJFWSA-N 0 1 291.351 0.711 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@H]1CCCN(CC#N)C1 ZINC001025470416 623810673 /nfs/dbraw/zinc/81/06/73/623810673.db2.gz XJTVFRPOGHGSJU-ZJUUUORDSA-N 0 1 262.317 0.159 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@@H]1C(C)C ZINC001025809835 623885444 /nfs/dbraw/zinc/88/54/44/623885444.db2.gz BXDDXDTZMDMCDF-NIFZNCRKSA-N 0 1 276.380 0.727 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC[C@H](OC)C1 ZINC001025824563 623889600 /nfs/dbraw/zinc/88/96/00/623889600.db2.gz NZLHMGYQRJHPQE-BJJPWKGXSA-N 0 1 262.353 0.481 20 30 CCEDMN O=C(NCC#C[C@@H]1CCCCO1)C1CN([C@@H]2CCOC2)C1 ZINC000891112253 624727229 /nfs/dbraw/zinc/72/72/29/624727229.db2.gz GZSLVHXOGYVMJF-CABCVRRESA-N 0 1 292.379 0.396 20 30 CCEDMN N#CCN1CC[C@H]2[C@@H](CCCN2C(=O)Cc2ncn[nH]2)C1 ZINC001021708582 624813983 /nfs/dbraw/zinc/81/39/83/624813983.db2.gz FOPGCXQSUPSGRF-RYUDHWBXSA-N 0 1 288.355 0.184 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnn(C)n2)C1 ZINC001008848904 625730195 /nfs/dbraw/zinc/73/01/95/625730195.db2.gz MYUKFXNQNHPPHR-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnn(C)c2)C1 ZINC001006698444 625811257 /nfs/dbraw/zinc/81/12/57/625811257.db2.gz MLCIALFJJYWXFM-ZDUSSCGKSA-N 0 1 260.341 0.638 20 30 CCEDMN Cc1nc(CN(C)[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001027336709 625837024 /nfs/dbraw/zinc/83/70/24/625837024.db2.gz UGENKGJEJXUVKL-PWSUYJOCSA-N 0 1 290.371 0.696 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)CCn2ccnc2)C1 ZINC001027515218 625932709 /nfs/dbraw/zinc/93/27/09/625932709.db2.gz XDLYFYIXUHCDEK-CQSZACIVSA-N 0 1 274.368 0.829 20 30 CCEDMN COc1ncncc1/C=C1/C(=O)c2ncnn2C1C ZINC000905084380 634790449 /nfs/dbraw/zinc/79/04/49/634790449.db2.gz ISNSRBRAYCOVFX-WGJZWELTSA-N 0 1 257.253 0.918 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)c3ccn4cncc4c3)[C@@H]2C1 ZINC000978446447 630809618 /nfs/dbraw/zinc/80/96/18/630809618.db2.gz VOZBFXYZCHADLU-QKDCVEJESA-N 0 1 295.346 0.765 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001027730403 630879681 /nfs/dbraw/zinc/87/96/81/630879681.db2.gz PFQDTLQACOZADL-JTQLQIEISA-N 0 1 260.297 0.010 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnn(C)c1C ZINC001027756971 630897340 /nfs/dbraw/zinc/89/73/40/630897340.db2.gz AOYGXFQBMAPWAB-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@@H](NC(=O)Cc3cnc[nH]3)C[C@@H]2C1 ZINC000980191333 630999920 /nfs/dbraw/zinc/99/99/20/630999920.db2.gz GQCYVGLEDRNHLN-YNEHKIRRSA-N 0 1 287.367 0.692 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CC[C@@H](N(C)CC#N)C1 ZINC000980412448 631018261 /nfs/dbraw/zinc/01/82/61/631018261.db2.gz JYHYZXACWYOSKN-UONOGXRCSA-N 0 1 294.399 0.011 20 30 CCEDMN C[C@]12CN(CC#N)C[C@@]1(C)CN(C(=O)c1ccn[nH]1)C2 ZINC000982217194 631385546 /nfs/dbraw/zinc/38/55/46/631385546.db2.gz OHYMHJFHHPLCMY-OKILXGFUSA-N 0 1 273.340 0.717 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H]2CCN2C(=O)[C@H](C)C#N)c1C ZINC000949158064 631391656 /nfs/dbraw/zinc/39/16/56/631391656.db2.gz JPLCGNDRLBNAHF-KCJUWKMLSA-N 0 1 289.339 0.517 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)N2CCO[C@@](C)(C#N)C2)n[nH]1 ZINC000893747063 631450799 /nfs/dbraw/zinc/45/07/99/631450799.db2.gz UZMPKVJBCDCEQD-YGRLFVJLSA-N 0 1 291.355 0.973 20 30 CCEDMN Cc1n[nH]c(C2CN(c3cc(C#N)nc(C)n3)C2)n1 ZINC000895328125 632058372 /nfs/dbraw/zinc/05/83/72/632058372.db2.gz LDTZGGPJVAPDON-UHFFFAOYSA-N 0 1 255.285 0.687 20 30 CCEDMN Cc1nc(C2CN(c3cc(C#N)nc(C)n3)C2)n[nH]1 ZINC000895328125 632058382 /nfs/dbraw/zinc/05/83/82/632058382.db2.gz LDTZGGPJVAPDON-UHFFFAOYSA-N 0 1 255.285 0.687 20 30 CCEDMN C[C@@H](NC(=O)c1coc(C#N)c1)[C@H]1CN(C)CCN1C ZINC000896529399 632260575 /nfs/dbraw/zinc/26/05/75/632260575.db2.gz YWAQXYTZDOHWFA-ZWNOBZJWSA-N 0 1 276.340 0.515 20 30 CCEDMN C[C@@H](NC(=O)c1c[nH]c(C#N)c1)[C@@H]1CN(C)CCN1C ZINC000896547944 632277251 /nfs/dbraw/zinc/27/72/51/632277251.db2.gz GINLFQVFPOCYAT-MFKMUULPSA-N 0 1 275.356 0.250 20 30 CCEDMN C[C@H](NC(=O)c1cc(C#N)cs1)[C@H]1CN(C)CCN1C ZINC000896554448 632282340 /nfs/dbraw/zinc/28/23/40/632282340.db2.gz GCNRSHWNFTXJNF-CMPLNLGQSA-N 0 1 292.408 0.984 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001007114572 650066761 /nfs/dbraw/zinc/06/67/61/650066761.db2.gz UHZNVVIAFCXLHU-CHWSQXEVSA-N 0 1 278.352 0.544 20 30 CCEDMN N#Cc1nccnc1N1CC[C@H]2[C@H]1CCC[N@@H+]2CCO ZINC000897222519 632425989 /nfs/dbraw/zinc/42/59/89/632425989.db2.gz VKWPZGOJENMRBX-QWHCGFSZSA-N 0 1 273.340 0.384 20 30 CCEDMN N#Cc1nccnc1N1CC[C@H]2[C@H]1CCCN2CCO ZINC000897222519 632425994 /nfs/dbraw/zinc/42/59/94/632425994.db2.gz VKWPZGOJENMRBX-QWHCGFSZSA-N 0 1 273.340 0.384 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2COC(=O)N2)C1 ZINC001007224866 650070844 /nfs/dbraw/zinc/07/08/44/650070844.db2.gz DHZQOTOZKUJZFK-WDEREUQCSA-N 0 1 267.329 0.252 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC001007312437 650074666 /nfs/dbraw/zinc/07/46/66/650074666.db2.gz OYZADTBBTSCRLN-GFCCVEGCSA-N 0 1 275.356 0.260 20 30 CCEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@@H]2CCNC2=O)CC1 ZINC000985576656 632567032 /nfs/dbraw/zinc/56/70/32/632567032.db2.gz LICMLOJUXXWGGD-GFCCVEGCSA-N 0 1 299.802 0.798 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001007505698 650080890 /nfs/dbraw/zinc/08/08/90/650080890.db2.gz GIBRSGIVZAUICF-GFCCVEGCSA-N 0 1 288.351 0.493 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001007549611 650083483 /nfs/dbraw/zinc/08/34/83/650083483.db2.gz BEHAVIGSTSZYIN-NSHDSACASA-N 0 1 262.313 0.562 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CN2CCCCC2=O)C1 ZINC001007747519 650091127 /nfs/dbraw/zinc/09/11/27/650091127.db2.gz RFOJIPDQTGITBU-AWEZNQCLSA-N 0 1 291.395 0.603 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001007748380 650091630 /nfs/dbraw/zinc/09/16/30/650091630.db2.gz USQOZUFHDIPUQO-JTQLQIEISA-N 0 1 273.340 0.616 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001007904808 650096510 /nfs/dbraw/zinc/09/65/10/650096510.db2.gz WLODFQVDWBYHJA-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CN2CCCC2=O)C1 ZINC000987981616 632938180 /nfs/dbraw/zinc/93/81/80/632938180.db2.gz HYICXEJLQXZXDT-NEPJUHHUSA-N 0 1 299.802 0.940 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CN(C)C(=O)c2ccn[nH]2)C1 ZINC000988297607 632974294 /nfs/dbraw/zinc/97/42/94/632974294.db2.gz UGKFDORFSGVHRW-QWRGUYRKSA-N 0 1 289.339 0.490 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000179218265 633083913 /nfs/dbraw/zinc/08/39/13/633083913.db2.gz XQYLDDPMEXYPJT-CQSZACIVSA-N 0 1 282.384 0.499 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001008335692 650110304 /nfs/dbraw/zinc/11/03/04/650110304.db2.gz AOJXTWZGQPQMOM-MNOVXSKESA-N 0 1 288.355 0.329 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCC(=O)N2)CC1 ZINC000989516200 633322112 /nfs/dbraw/zinc/32/21/12/633322112.db2.gz MDEQCMCYLMZBRT-LBPRGKRZSA-N 0 1 265.357 0.375 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2nc[nH]n2)C1 ZINC000989569443 633328037 /nfs/dbraw/zinc/32/80/37/633328037.db2.gz SZWVZSVFJIDIMB-RKDXNWHRSA-N 0 1 269.736 0.750 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CCCN(CC#N)CC1 ZINC000989672867 633343049 /nfs/dbraw/zinc/34/30/49/633343049.db2.gz BSVKGAAHJWISQX-AWEZNQCLSA-N 0 1 294.399 0.013 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ccn[nH]3)CCC[C@@H]12 ZINC000989970334 633395828 /nfs/dbraw/zinc/39/58/28/633395828.db2.gz OMIBFPNCUDRPER-OCCSQVGLSA-N 0 1 258.325 0.770 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnn(C)c3N)CCC[C@@H]12 ZINC000990354496 633515752 /nfs/dbraw/zinc/51/57/52/633515752.db2.gz MNFLBKYDLAVGDY-DOMZBBRYSA-N 0 1 287.367 0.362 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnnn3C)CCC[C@@H]12 ZINC000990480132 633541426 /nfs/dbraw/zinc/54/14/26/633541426.db2.gz WQLNULCNURRXGI-TZMCWYRMSA-N 0 1 273.340 0.175 20 30 CCEDMN Cc1cc(C(=O)N2CC(NC(=O)c3cc(C#N)c[nH]3)C2)n[nH]1 ZINC000990696575 633588555 /nfs/dbraw/zinc/58/85/55/633588555.db2.gz UJLWPSWARGWXNH-UHFFFAOYSA-N 0 1 298.306 0.172 20 30 CCEDMN N#CC[C@@H]1CCCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000898580040 633626130 /nfs/dbraw/zinc/62/61/30/633626130.db2.gz AKKKZLMHIGWEAZ-GXTWGEPZSA-N 0 1 277.368 0.859 20 30 CCEDMN N#CC[C@H]1CCCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000898580042 633626610 /nfs/dbraw/zinc/62/66/10/633626610.db2.gz AKKKZLMHIGWEAZ-OCCSQVGLSA-N 0 1 277.368 0.859 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CCCN(CCO)C2)C1 ZINC001008464318 650115946 /nfs/dbraw/zinc/11/59/46/650115946.db2.gz MPQUUDYUPMBTAO-GFCCVEGCSA-N 0 1 252.358 0.916 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CCCN(CCO)C1 ZINC001008576512 650120985 /nfs/dbraw/zinc/12/09/85/650120985.db2.gz XNUPUJHUUPTSHB-UPJWGTAASA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(=O)n(CC)n2)C1 ZINC001008905182 650132027 /nfs/dbraw/zinc/13/20/27/650132027.db2.gz LGEPTRGKFFDFCC-GFCCVEGCSA-N 0 1 290.367 0.643 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001008995723 650135305 /nfs/dbraw/zinc/13/53/05/650135305.db2.gz SBLZJMRELBUSBG-NSHDSACASA-N 0 1 276.340 0.494 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001009145416 650138686 /nfs/dbraw/zinc/13/86/86/650138686.db2.gz KRHVKDQQHWQYNK-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2cc(C(=O)[O-])ccc2N(C)C)N1 ZINC000901216196 634209843 /nfs/dbraw/zinc/20/98/43/634209843.db2.gz PAEVHJNFCDAZPX-SECBINFHSA-N 0 1 289.339 0.722 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001009556508 650148466 /nfs/dbraw/zinc/14/84/66/650148466.db2.gz BFAYNZGUEFAFMN-CYBMUJFWSA-N 0 1 288.351 0.561 20 30 CCEDMN Cc1cc(CCN[C@H](C(N)=O)c2ccc(C#N)cc2)nn1C ZINC000901562907 634260458 /nfs/dbraw/zinc/26/04/58/634260458.db2.gz KFVJAKQGMCAWPM-HNNXBMFYSA-N 0 1 297.362 0.959 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001009645544 650153096 /nfs/dbraw/zinc/15/30/96/650153096.db2.gz XBUMXMJURSGSJJ-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN N#CC1(CNC[C@@H](O)C[C@@]2(O)CCOC2)CCC1 ZINC000905290960 634841246 /nfs/dbraw/zinc/84/12/46/634841246.db2.gz WJVYJBXVHQBNTC-AAEUAGOBSA-N 0 1 254.330 0.172 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1csc(Cl)n1 ZINC000906540778 635005836 /nfs/dbraw/zinc/00/58/36/635005836.db2.gz PYQRZTHGPVIOJI-NTSWFWBYSA-N 0 1 256.718 0.638 20 30 CCEDMN Cc1ccc(C(=O)/C=C/C(=O)N[C@H](CO)CN(C)C)cc1 ZINC000908200701 635313648 /nfs/dbraw/zinc/31/36/48/635313648.db2.gz MFIJGLXZEBQJRM-VFNNOXKTSA-N 0 1 290.363 0.773 20 30 CCEDMN Cc1nc(CN2CC[C@@H](NC(=O)c3cc(C#N)c[nH]3)C2)n[nH]1 ZINC001010478228 650232369 /nfs/dbraw/zinc/23/23/69/650232369.db2.gz LWLPHTUXWGFGRW-LLVKDONJSA-N 0 1 299.338 0.317 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001010570064 650242375 /nfs/dbraw/zinc/24/23/75/650242375.db2.gz HPPXQUKBVYXIER-QWRGUYRKSA-N 0 1 252.314 0.316 20 30 CCEDMN C[Si](C)(C)C#CCCNC(=O)Cc1nnc[nH]1 ZINC000912329899 636547697 /nfs/dbraw/zinc/54/76/97/636547697.db2.gz AAETWTBVAFJAEW-UHFFFAOYSA-N 0 1 250.378 0.734 20 30 CCEDMN Cc1onc(CC(=O)N2CC(N3CCCC3)C2)c1C#N ZINC000912324611 636554395 /nfs/dbraw/zinc/55/43/95/636554395.db2.gz FPBUDDHUOIXGBD-UHFFFAOYSA-N 0 1 274.324 0.704 20 30 CCEDMN C[C@@H]1CCn2cc(C(=O)NCC#CCN(C)C)nc2C1 ZINC000913524465 636833575 /nfs/dbraw/zinc/83/35/75/636833575.db2.gz NKGACNCUUPHWQQ-GFCCVEGCSA-N 0 1 274.368 0.760 20 30 CCEDMN C[C@@H](NC(=O)c1ccco1)C(=O)NCC#CCN(C)C ZINC000913514976 636833933 /nfs/dbraw/zinc/83/39/33/636833933.db2.gz CXUVSORKWLZARQ-LLVKDONJSA-N 0 1 277.324 0.079 20 30 CCEDMN Cc1sc(=O)n(CC(=O)NCC#CCN(C)C)c1C ZINC000913517970 636834997 /nfs/dbraw/zinc/83/49/97/636834997.db2.gz YAFOLSBRQUUYGU-UHFFFAOYSA-N 0 1 281.381 0.208 20 30 CCEDMN N#Cc1ccc(NC(=O)C[N@@H+]2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000062134503 637151508 /nfs/dbraw/zinc/15/15/08/637151508.db2.gz CNAVIVVMZDGGOW-LLVKDONJSA-N 0 1 273.292 0.903 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(CN(C)C)on2)C1 ZINC001015029047 637320031 /nfs/dbraw/zinc/32/00/31/637320031.db2.gz UKIKUYFPKJBEQT-LBPRGKRZSA-N 0 1 290.367 0.564 20 30 CCEDMN CN1CC(C(=O)N[C@@]23CCC[C@H]2N(CC#N)CC3)=NC1=O ZINC000992148829 637322111 /nfs/dbraw/zinc/32/21/11/637322111.db2.gz DRBGEWJRYHANHM-BXUZGUMPSA-N 0 1 289.339 0.376 20 30 CCEDMN Cc1onc(CC(=O)NC23CCN(CC2)C3)c1C#N ZINC000913632032 637385688 /nfs/dbraw/zinc/38/56/88/637385688.db2.gz MXLUQWOJEQULTJ-UHFFFAOYSA-N 0 1 260.297 0.362 20 30 CCEDMN CN(C)C[C@@H]1CCCN1S(=O)(=O)CC(C)(C)C#N ZINC000914255040 637573964 /nfs/dbraw/zinc/57/39/64/637573964.db2.gz VZASELGOWOXGCD-NSHDSACASA-N 0 1 273.402 0.892 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H]2CN(C(=O)Cc3ncn[nH]3)C[C@@H]21 ZINC000992860896 637628295 /nfs/dbraw/zinc/62/82/95/637628295.db2.gz NDJSWRSTTVKSJE-SDDRHHMPSA-N 0 1 288.355 0.087 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)CN3CCCC3)C[C@@H]21 ZINC000992909511 637635875 /nfs/dbraw/zinc/63/58/75/637635875.db2.gz RJVNIBGJDWCJIJ-QLFBSQMISA-N 0 1 290.411 0.822 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)[C@@H]1C ZINC000993008114 637662642 /nfs/dbraw/zinc/66/26/42/637662642.db2.gz AEBDMYAXZOZFDR-NWDGAFQWSA-N 0 1 288.351 0.788 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC000993247663 637758147 /nfs/dbraw/zinc/75/81/47/637758147.db2.gz LGBBOZARAQVDQK-BXUZGUMPSA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2nnc(C)[nH]2)[C@H]1C ZINC000993360703 637794711 /nfs/dbraw/zinc/79/47/11/637794711.db2.gz SELLHRMCWOZPKR-YPMHNXCESA-N 0 1 289.383 0.648 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2cnn(C)c2C)[C@H]1C ZINC000993401647 637802277 /nfs/dbraw/zinc/80/22/77/637802277.db2.gz SSLYKYFHHKRMFY-UKRRQHHQSA-N 0 1 288.395 0.873 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cnc[nH]c2=O)[C@H]1C ZINC000993483005 637812289 /nfs/dbraw/zinc/81/22/89/637812289.db2.gz UKRZRHYLQWUFIK-DGCLKSJQSA-N 0 1 288.351 0.788 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CN2CCCCC2=O)[C@H]1C ZINC000993512902 637816555 /nfs/dbraw/zinc/81/65/55/637816555.db2.gz AYOHYRDIVIKXLE-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2ccc(=O)[nH]c2)[C@H]1C ZINC000993609973 637820598 /nfs/dbraw/zinc/82/05/98/637820598.db2.gz ZGPWLFNSEUBETP-OCCSQVGLSA-N 0 1 287.363 0.932 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2cncn2C)[C@H]1C ZINC000994233096 637877720 /nfs/dbraw/zinc/87/77/20/637877720.db2.gz RIHJJHPKHMQJSA-UKRRQHHQSA-N 0 1 288.395 0.955 20 30 CCEDMN N#Cc1cccc(OCC(=O)C(C#N)C(=O)NC2CC2)c1 ZINC000916930742 637932851 /nfs/dbraw/zinc/93/28/51/637932851.db2.gz HVPNXTPFHDELIQ-ZDUSSCGKSA-N 0 1 283.287 0.925 20 30 CCEDMN N#CC(C(=O)COC1CCCC1)C(=O)NC1CC1 ZINC000916930947 637933027 /nfs/dbraw/zinc/93/30/27/637933027.db2.gz LTHJCVYCDJUIEY-LLVKDONJSA-N 0 1 250.298 0.933 20 30 CCEDMN Cc1[nH]ncc1CN(C)S(=O)(=O)N(C)C[C@H](C)C#N ZINC000918688929 638032045 /nfs/dbraw/zinc/03/20/45/638032045.db2.gz PDFWIFJXLDHVQQ-SECBINFHSA-N 0 1 285.373 0.486 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC000939395054 638358765 /nfs/dbraw/zinc/35/87/65/638358765.db2.gz CABJHZLIMBXDIT-UWVGGRQHSA-N 0 1 275.312 0.242 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@H]1NC(=O)c1[nH]ncc1F ZINC000942599984 638676844 /nfs/dbraw/zinc/67/68/44/638676844.db2.gz IOKBADPCFJDGKU-WCBMZHEXSA-N 0 1 265.292 0.513 20 30 CCEDMN C#C[C@@H](C)NC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000186001924 638794150 /nfs/dbraw/zinc/79/41/50/638794150.db2.gz MQJTUYNGBHTPLC-GFCCVEGCSA-N 0 1 272.352 0.585 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2coc(COC)n2)C1 ZINC001015918414 638802786 /nfs/dbraw/zinc/80/27/86/638802786.db2.gz YCHVEWHZADIKBG-NSHDSACASA-N 0 1 277.324 0.648 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1C[C@@H](NCC#N)C(C)(C)C1 ZINC000995976174 638806511 /nfs/dbraw/zinc/80/65/11/638806511.db2.gz KRIOKGFKQCTMJZ-CHWSQXEVSA-N 0 1 278.400 0.821 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(OC)ncn2)C1 ZINC001014442687 650452196 /nfs/dbraw/zinc/45/21/96/650452196.db2.gz XVDGDQGUOKWKNA-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cnn(CC)c2)C1 ZINC001015974751 638850443 /nfs/dbraw/zinc/85/04/43/638850443.db2.gz JTRKXZSURIGUMF-ZDUSSCGKSA-N 0 1 262.357 0.822 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001014405329 650448701 /nfs/dbraw/zinc/44/87/01/650448701.db2.gz YUSOTNPANNWUQR-ZDUSSCGKSA-N 0 1 275.352 0.533 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)c3ccn[nH]3)CC[C@@H]2C1 ZINC000946493413 638901075 /nfs/dbraw/zinc/90/10/75/638901075.db2.gz DFDPDWYOQLEHEB-TXEJJXNPSA-N 0 1 273.340 0.717 20 30 CCEDMN CN(C(=O)c1cccc2nn[nH]c21)C1CC(NCC#N)C1 ZINC000998817868 638943040 /nfs/dbraw/zinc/94/30/40/638943040.db2.gz QTIJUGFOWKPQMB-UHFFFAOYSA-N 0 1 284.323 0.674 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CCNC(=O)C2)C1 ZINC000998919288 638949601 /nfs/dbraw/zinc/94/96/01/638949601.db2.gz YJJBXIMVJGAZOR-RYUDHWBXSA-N 0 1 299.802 0.846 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCC[C@@H](NCC#N)C1 ZINC000999507851 638983552 /nfs/dbraw/zinc/98/35/52/638983552.db2.gz FLBKNLNWFWBVLT-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2nnn(C)n2)C1 ZINC000999924488 639019897 /nfs/dbraw/zinc/01/98/97/639019897.db2.gz XSSDUNPTBMRWGO-VIFPVBQESA-N 0 1 284.751 0.157 20 30 CCEDMN C#CCN1CC[C@@H](n2cc(CNC(=O)c3cc[nH]c3)nn2)C1 ZINC000999987541 639029034 /nfs/dbraw/zinc/02/90/34/639029034.db2.gz PNBPXSITBQOXTN-CQSZACIVSA-N 0 1 298.350 0.416 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@@H+]2C[C@@H](O)C[C@H]2CO)cc1 ZINC000186477049 639091133 /nfs/dbraw/zinc/09/11/33/639091133.db2.gz RXYUQCPUHSGDBB-MELADBBJSA-N 0 1 262.309 0.019 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2COCCO2)CC1 ZINC001000471136 639096827 /nfs/dbraw/zinc/09/68/27/639096827.db2.gz QMBNAENSEFLFAN-AWEZNQCLSA-N 0 1 278.352 0.173 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cnc[nH]c2=O)CC1 ZINC001000823668 639175668 /nfs/dbraw/zinc/17/56/68/639175668.db2.gz WVJQVHLCFKOFCO-UHFFFAOYSA-N 0 1 286.335 0.567 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCc3nccn3C2)CC1 ZINC001000881845 639182908 /nfs/dbraw/zinc/18/29/08/639182908.db2.gz ZULOMAININPVEB-HNNXBMFYSA-N 0 1 298.390 0.827 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCCC(=O)N2C)CC1 ZINC001001417541 639298428 /nfs/dbraw/zinc/29/84/28/639298428.db2.gz YWLAOLHNCVVICD-CQSZACIVSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC001002956341 639483159 /nfs/dbraw/zinc/48/31/59/639483159.db2.gz XFYIMCWQFVCLRM-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3c(c2)nnn3C)C1 ZINC001014947804 650506140 /nfs/dbraw/zinc/50/61/40/650506140.db2.gz PUBTXVIBKVYDNH-LBPRGKRZSA-N 0 1 285.351 0.958 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cccc(=O)n2C)CC1 ZINC001003588135 639571547 /nfs/dbraw/zinc/57/15/47/639571547.db2.gz ZJXSRDTYGZMGMK-UHFFFAOYSA-N 0 1 287.363 0.603 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@@H]2CCCc3[nH]cnc32)CC1 ZINC001003606076 639578402 /nfs/dbraw/zinc/57/84/02/639578402.db2.gz HCQJLWVJRDQVPB-GFCCVEGCSA-N 0 1 287.367 0.934 20 30 CCEDMN CC#CCN1CCC(NC(=O)C(=O)N2CC[C@H](C)C2)CC1 ZINC001003930348 639652578 /nfs/dbraw/zinc/65/25/78/639652578.db2.gz LDZVCDIXLCHRSM-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc3[nH]c(=O)[nH]c3c2)C1 ZINC001015016263 650515139 /nfs/dbraw/zinc/51/51/39/650515139.db2.gz WMWJBTMFQWOBDS-NSHDSACASA-N 0 1 299.334 0.491 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCCCN2C(N)=O)CC1 ZINC001003939728 639655871 /nfs/dbraw/zinc/65/58/71/639655871.db2.gz ADESTOSLJRQXGT-ZDUSSCGKSA-N 0 1 292.383 0.133 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncnc3[nH]ccc32)C1 ZINC001015109908 650528551 /nfs/dbraw/zinc/52/85/51/650528551.db2.gz XDUWOVJVYNCTTA-JTQLQIEISA-N 0 1 271.324 0.900 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc(OC)no2)CC1 ZINC001005288352 639896042 /nfs/dbraw/zinc/89/60/42/639896042.db2.gz JXBYITZVVHKABS-UHFFFAOYSA-N 0 1 277.324 0.853 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnn(CC)n2)CC1 ZINC001005355504 639905019 /nfs/dbraw/zinc/90/50/19/639905019.db2.gz IEEXHVHIYHSFQA-UHFFFAOYSA-N 0 1 275.356 0.468 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc(OC)n(C)n2)CC1 ZINC001005693403 639953433 /nfs/dbraw/zinc/95/34/33/639953433.db2.gz HSOYZXZGJVTCJN-UHFFFAOYSA-N 0 1 290.367 0.598 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OC)n(C)n2)C1 ZINC001015212625 650539342 /nfs/dbraw/zinc/53/93/42/650539342.db2.gz QJVNDTXZSUEQHW-JTQLQIEISA-N 0 1 264.329 0.419 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc3n(n2)CCCO3)C1 ZINC001015217691 650540656 /nfs/dbraw/zinc/54/06/56/650540656.db2.gz YZUOVJBXDLOBMD-LLVKDONJSA-N 0 1 276.340 0.656 20 30 CCEDMN C[C@@H](C[N@@H+](C)C[C@@H](O)COc1ccccc1C#N)C(=O)[O-] ZINC000923247501 640061293 /nfs/dbraw/zinc/06/12/93/640061293.db2.gz GNNDRCRRBQSGEH-WCQYABFASA-N 0 1 292.335 0.950 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@]2(C)CCOC2)CC1 ZINC001006194823 640200139 /nfs/dbraw/zinc/20/01/39/640200139.db2.gz BBHWZEVWWBNMEY-HNNXBMFYSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cn(CC)nn2)CC1 ZINC001006209826 640205837 /nfs/dbraw/zinc/20/58/37/640205837.db2.gz FJZGEWUPXHVTFG-UHFFFAOYSA-N 0 1 289.383 0.858 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2nonc2C)CC1 ZINC001006235216 640211079 /nfs/dbraw/zinc/21/10/79/640211079.db2.gz LSCLHGXUSCOYBO-UHFFFAOYSA-N 0 1 276.340 0.477 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2coc(OC)n2)C1 ZINC001015412988 650564819 /nfs/dbraw/zinc/56/48/19/650564819.db2.gz ROPGOJCATJCVOF-JTQLQIEISA-N 0 1 263.297 0.511 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3c(c2)ncn3C)C1 ZINC001015490960 650572533 /nfs/dbraw/zinc/57/25/33/650572533.db2.gz BPVGMFDYVSYBIW-LBPRGKRZSA-N 0 1 285.351 0.958 20 30 CCEDMN C=CCCOCCNS(=O)(=O)N=S(=O)(CC)CC ZINC000867017064 640353878 /nfs/dbraw/zinc/35/38/78/640353878.db2.gz CTBACDQCZZLROB-UHFFFAOYSA-N 0 1 298.430 0.921 20 30 CCEDMN COc1ccc(CNC(=O)[C@@H]2CC2[N+](=O)[O-])cc1C#N ZINC000839708859 640356494 /nfs/dbraw/zinc/35/64/94/640356494.db2.gz KBTRWPHNWOJAJA-GHMZBOCLSA-N 0 1 275.264 0.848 20 30 CCEDMN Cc1ccc(C(=O)NCCN2CCN(C)CC2)cc1C#N ZINC000799534421 640584957 /nfs/dbraw/zinc/58/49/57/640584957.db2.gz QQQNCHBALHYHNP-UHFFFAOYSA-N 0 1 286.379 0.844 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2cn(CC(=O)[O-])c3ccccc23)N1 ZINC000799884437 640598836 /nfs/dbraw/zinc/59/88/36/640598836.db2.gz NDZKVRFFXXNFHI-JTQLQIEISA-N 0 1 299.334 0.997 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccoc2CC(N)=O)C1 ZINC001015617568 650593770 /nfs/dbraw/zinc/59/37/70/650593770.db2.gz DEMKHQTXZXLNHM-LLVKDONJSA-N 0 1 289.335 0.135 20 30 CCEDMN C[C@H](CO)CN1CCN(c2ccc(C#N)nc2)CC1 ZINC000801554182 640658244 /nfs/dbraw/zinc/65/82/44/640658244.db2.gz VPUUBENYYNUUPK-LBPRGKRZSA-N 0 1 260.341 0.704 20 30 CCEDMN C[C@H](C#N)OCCN1CCCC[C@@H]1CNS(C)(=O)=O ZINC000801915242 640671494 /nfs/dbraw/zinc/67/14/94/640671494.db2.gz OQIHRXPWXCXUGM-VXGBXAGGSA-N 0 1 289.401 0.319 20 30 CCEDMN C[C@H](C#N)OCC[N@H+]1C[C@H](C)O[C@]2(CCOC2)C1 ZINC000801952764 640679720 /nfs/dbraw/zinc/67/97/20/640679720.db2.gz DKJJYBYRLSXCCF-FRRDWIJNSA-N 0 1 254.330 0.795 20 30 CCEDMN C[C@H](C#N)OCCN1C[C@H](C)O[C@]2(CCOC2)C1 ZINC000801952764 640679729 /nfs/dbraw/zinc/67/97/29/640679729.db2.gz DKJJYBYRLSXCCF-FRRDWIJNSA-N 0 1 254.330 0.795 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](NC(=O)C2=NC(=O)N(C)C2)C1 ZINC001015657285 650602350 /nfs/dbraw/zinc/60/23/50/650602350.db2.gz NXVIDBJIMQHKHU-SECBINFHSA-N 0 1 284.747 0.682 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001015661732 650603104 /nfs/dbraw/zinc/60/31/04/650603104.db2.gz GATZKFFKOGEUQT-MFKMUULPSA-N 0 1 251.330 0.032 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccnc3c2ncn3C)C1 ZINC001015680319 650608290 /nfs/dbraw/zinc/60/82/90/650608290.db2.gz ZZTLABNMCUTJDI-LBPRGKRZSA-N 0 1 297.362 0.796 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001015685580 650608822 /nfs/dbraw/zinc/60/88/22/650608822.db2.gz JCPHEXLSGNBTDQ-NWDGAFQWSA-N 0 1 265.357 0.422 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001015731068 650618173 /nfs/dbraw/zinc/61/81/73/650618173.db2.gz IIXVDTJZNOKTTR-LOWDOPEQSA-N 0 1 250.342 0.930 20 30 CCEDMN Cn1ccc(C=NNc2ccc(-n3ccnc3)nn2)n1 ZINC000814914788 641082154 /nfs/dbraw/zinc/08/21/54/641082154.db2.gz IKABITNATNSUDV-UHFFFAOYSA-N 0 1 268.284 0.842 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2c[n-]n3c2nccc3=O)C1 ZINC001015809378 650633591 /nfs/dbraw/zinc/63/35/91/650633591.db2.gz NGJIOMWEHBFJTA-SNVBAGLBSA-N 0 1 287.323 0.013 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cn2nnnc2C(C)C)C1 ZINC001015814596 650634727 /nfs/dbraw/zinc/63/47/27/650634727.db2.gz IKVCAVJJCGWJND-LLVKDONJSA-N 0 1 278.360 0.173 20 30 CCEDMN N#Cc1ccccc1CN1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001015872420 650644072 /nfs/dbraw/zinc/64/40/72/650644072.db2.gz GXNDUGFSSQEPRX-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN N#Cc1ccccc1CN1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001015872420 650644074 /nfs/dbraw/zinc/64/40/74/650644074.db2.gz GXNDUGFSSQEPRX-CYBMUJFWSA-N 0 1 296.334 0.681 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001015940420 650660146 /nfs/dbraw/zinc/66/01/46/650660146.db2.gz KEJIYKFPMJXTJL-NSHDSACASA-N 0 1 262.313 0.475 20 30 CCEDMN C=C[C@H](O)C(=O)Nc1ccccc1N1CCN(C)CC1 ZINC000820489898 641511208 /nfs/dbraw/zinc/51/12/08/641511208.db2.gz JTAGGTFLJOXDHN-AWEZNQCLSA-N 0 1 275.352 0.924 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001016140850 650689592 /nfs/dbraw/zinc/68/95/92/650689592.db2.gz INBWKXWOUWXPNL-NEPJUHHUSA-N 0 1 265.357 0.374 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@H]2CN(C(C)=O)CCO2)C1 ZINC001016143209 650689916 /nfs/dbraw/zinc/68/99/16/650689916.db2.gz AEFPMZRHYVVLIW-ZIAGYGMSSA-N 0 1 295.383 0.000 20 30 CCEDMN Cc1nc(CC(=O)NCc2ccc(OCC#N)cc2)n[nH]1 ZINC000825704139 641905057 /nfs/dbraw/zinc/90/50/57/641905057.db2.gz NEZVONHUASKSDI-UHFFFAOYSA-N 0 1 285.307 0.874 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2c(C)n[nH]c2C)C1 ZINC001016238399 650702199 /nfs/dbraw/zinc/70/21/99/650702199.db2.gz OPKQJLQTJKCWEY-LBPRGKRZSA-N 0 1 262.357 0.946 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CN(CC)CCO2)C1 ZINC001016304458 650713741 /nfs/dbraw/zinc/71/37/41/650713741.db2.gz HMLNHXQMOALUIC-QWHCGFSZSA-N 0 1 267.373 0.084 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)Cc2[nH]nc3ccccc32)C1 ZINC001016328790 650718791 /nfs/dbraw/zinc/71/87/91/650718791.db2.gz HXRGBTNVZRTGPQ-LLVKDONJSA-N 0 1 283.335 0.819 20 30 CCEDMN CN1CC(C(=O)NCC2CCN(CC#N)CC2)=NC1=O ZINC000828677836 642112512 /nfs/dbraw/zinc/11/25/12/642112512.db2.gz FRRNFNGAHZQYFV-UHFFFAOYSA-N 0 1 277.328 0.091 20 30 CCEDMN N#Cc1cnccc1COC(=O)CCc1nc[nH]n1 ZINC000828910247 642130741 /nfs/dbraw/zinc/13/07/41/642130741.db2.gz IHSUHMBUVXFHFO-UHFFFAOYSA-N 0 1 257.253 0.747 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000829622509 642179284 /nfs/dbraw/zinc/17/92/84/642179284.db2.gz QDHLAFBFEHSKIC-CHWSQXEVSA-N 0 1 282.384 0.328 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCc1cccc([N+](=O)[O-])c1 ZINC000834480492 642573504 /nfs/dbraw/zinc/57/35/04/642573504.db2.gz QSVPBGMPDYDWJE-YPMHNXCESA-N 0 1 288.307 0.755 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CNc1ccccc1Cl ZINC000834481000 642573609 /nfs/dbraw/zinc/57/36/09/642573609.db2.gz YXRBXXIHAHTTAS-BXKDBHETSA-N 0 1 278.743 0.980 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnc([C@H]2CCCO2)s1 ZINC000834484191 642574116 /nfs/dbraw/zinc/57/41/16/642574116.db2.gz FNLRKBJKVPKOBF-OPRDCNLKSA-N 0 1 292.364 0.836 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@@H]1OCCc2ccccc21 ZINC000834489176 642580296 /nfs/dbraw/zinc/58/02/96/642580296.db2.gz KGGNVLATFREMOM-SNPRPXQTSA-N 0 1 285.347 0.918 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(Cl)cn1 ZINC000834490862 642581477 /nfs/dbraw/zinc/58/14/77/642581477.db2.gz XPZAFNIGHINRJK-OIBJUYFYSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1csc(C2CC2)n1 ZINC000834493794 642583129 /nfs/dbraw/zinc/58/31/29/642583129.db2.gz HEPKJDXZGAHDQL-DTWKUNHWSA-N 0 1 262.338 0.862 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)nc(C(F)(F)F)n1 ZINC000834501163 642589970 /nfs/dbraw/zinc/58/99/70/642589970.db2.gz ZWVRJFXBMXRTEZ-APPZFPTMSA-N 0 1 299.256 0.645 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CN1C(=O)CCc2ccccc21 ZINC000834500916 642590528 /nfs/dbraw/zinc/59/05/28/642590528.db2.gz XYUUKRCHXBIUCJ-QWHCGFSZSA-N 0 1 298.346 0.194 20 30 CCEDMN COc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc(OC)c1C ZINC000834505742 642591780 /nfs/dbraw/zinc/59/17/80/642591780.db2.gz NLHHXRYMBLFOAM-NWDGAFQWSA-N 0 1 289.335 0.854 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COc1ccc(F)cc1 ZINC000834505851 642592057 /nfs/dbraw/zinc/59/20/57/642592057.db2.gz OSFFWDNHYAULPY-CABZTGNLSA-N 0 1 263.272 0.432 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnn(-c2ccc(F)cc2)c1 ZINC000834505180 642592536 /nfs/dbraw/zinc/59/25/36/642592536.db2.gz IXZSQJIDNJJJGY-IINYFYTJSA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnn(-c2ccccc2)n1 ZINC000834511165 642595194 /nfs/dbraw/zinc/59/51/94/642595194.db2.gz IYWKREKGSCJXAR-PWSUYJOCSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccc2c(c1)CCC2 ZINC000834510498 642595424 /nfs/dbraw/zinc/59/54/24/642595424.db2.gz BRIXYQTWGDGXKD-LSDHHAIUSA-N 0 1 269.348 0.946 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCc1ccccc1F ZINC000834511790 642597200 /nfs/dbraw/zinc/59/72/00/642597200.db2.gz NZKAEYUHXCPIFF-YPMHNXCESA-N 0 1 261.300 0.986 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cncn1-c1ccccc1 ZINC000834512523 642597261 /nfs/dbraw/zinc/59/72/61/642597261.db2.gz YIKQBPRJQFUEIF-DGCLKSJQSA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(Cl)nc1 ZINC000834512183 642597485 /nfs/dbraw/zinc/59/74/85/642597485.db2.gz SPTRURYSSLKFJG-IUCAKERBSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(Cl)ccn1 ZINC000834516917 642600479 /nfs/dbraw/zinc/60/04/79/642600479.db2.gz NQUJRCKDZBGLFZ-GMSGAONNSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COc1ccc(F)cc1F ZINC000834517524 642600793 /nfs/dbraw/zinc/60/07/93/642600793.db2.gz WPQHQMPWJJRSIU-LDYMZIIASA-N 0 1 281.262 0.571 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccn(-c2ccccc2)n1 ZINC000834521432 642601791 /nfs/dbraw/zinc/60/17/91/642601791.db2.gz IOEZDXVQCRQDDX-SMDDNHRTSA-N 0 1 281.319 0.714 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)N[C@@H]1CCCN(C)C1 ZINC000837785747 642770052 /nfs/dbraw/zinc/77/00/52/642770052.db2.gz ZSFKVKJIPPZOTJ-VXGBXAGGSA-N 0 1 251.330 0.124 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccc(Cl)cn1 ZINC000841195702 642806809 /nfs/dbraw/zinc/80/68/09/642806809.db2.gz CGLKGUMABIMLQR-APPZFPTMSA-N 0 1 265.704 0.968 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CC1OCCCO1 ZINC001017504071 650807061 /nfs/dbraw/zinc/80/70/61/650807061.db2.gz KSSSMJRTBJWQDH-OKILXGFUSA-N 0 1 292.379 0.838 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1nnc(C)o1 ZINC001017527967 650809512 /nfs/dbraw/zinc/80/95/12/650809512.db2.gz KOAVAGKYVXWQTI-BETUJISGSA-N 0 1 288.351 0.619 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(-c2ccco2)on1 ZINC000843459351 643062684 /nfs/dbraw/zinc/06/26/84/643062684.db2.gz DSRGBECHENAGFK-PSASIEDQSA-N 0 1 272.264 0.776 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccc2c(c1)CCCO2 ZINC000843461898 643066555 /nfs/dbraw/zinc/06/65/55/643066555.db2.gz XUHYVVPEHWBOFH-UONOGXRCSA-N 0 1 285.347 0.782 20 30 CCEDMN Cc1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(C(C)C)n1 ZINC000843462100 643066803 /nfs/dbraw/zinc/06/68/03/643066803.db2.gz ZUKVGTCLIMEXPP-JQWIXIFHSA-N 0 1 273.340 0.750 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C1(c2ccccc2)CCOCC1 ZINC000843463396 643067968 /nfs/dbraw/zinc/06/79/68/643067968.db2.gz WJUXHEGCAIAKAT-ZFWWWQNUSA-N 0 1 299.374 0.963 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccccc1Cn1cccn1 ZINC000844195077 643179552 /nfs/dbraw/zinc/17/95/52/643179552.db2.gz PWLQAESOAVPNFH-DZGCQCFKSA-N 0 1 295.346 0.773 20 30 CCEDMN N#CCc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000846676305 643441329 /nfs/dbraw/zinc/44/13/29/643441329.db2.gz CKIMQPSEARPENG-STQMWFEESA-N 0 1 254.293 0.594 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cnn(C)n1 ZINC001018060715 650841651 /nfs/dbraw/zinc/84/16/51/650841651.db2.gz FCVWBPZPALLMAX-TXEJJXNPSA-N 0 1 275.356 0.680 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)N1 ZINC001018193952 650847773 /nfs/dbraw/zinc/84/77/73/650847773.db2.gz ZZVOTFASUXVKTR-XQQFMLRXSA-N 0 1 277.368 0.516 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@H]1CCCN(O)C1=O ZINC000848133111 643602409 /nfs/dbraw/zinc/60/24/09/643602409.db2.gz ZRIKJTHBWJXTDD-SCZZXKLOSA-N 0 1 266.301 0.085 20 30 CCEDMN Cn1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)c1Br ZINC000852875582 644037402 /nfs/dbraw/zinc/03/74/02/644037402.db2.gz KHGHWGBPUBCDEB-SVRRBLITSA-N 0 1 298.144 0.024 20 30 CCEDMN Cn1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(-c2ccco2)n1 ZINC000852875733 644037571 /nfs/dbraw/zinc/03/75/71/644037571.db2.gz OOMUSOVDBKWZFD-GXSJLCMTSA-N 0 1 285.307 0.521 20 30 CCEDMN C[C@H]1CN=C(NN=C2CS(=O)(=O)Cc3ccccc32)N1 ZINC000853330781 644098705 /nfs/dbraw/zinc/09/87/05/644098705.db2.gz VJUGXDKOTHKXFU-VIFPVBQESA-N 0 1 292.364 0.256 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CN(C)CCO2)C1 ZINC001018663597 650894608 /nfs/dbraw/zinc/89/46/08/650894608.db2.gz IOHSHQHSDWNELR-NWDGAFQWSA-N 0 1 287.791 0.260 20 30 CCEDMN Cn1cnnc1CN[C@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001020057825 651033443 /nfs/dbraw/zinc/03/34/43/651033443.db2.gz LXXZHMGNAGRSQA-XYPYZODXSA-N 0 1 299.338 0.065 20 30 CCEDMN N#Cc1ccc(N2CCN(C(=O)Cc3ncn[nH]3)CC2)cc1 ZINC000870093977 645962267 /nfs/dbraw/zinc/96/22/67/645962267.db2.gz UGZQYRFEPKUAPX-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN C[C@H](CS(C)(=O)=O)C(=O)NOc1cccc(C#N)c1 ZINC000870693018 646017862 /nfs/dbraw/zinc/01/78/62/646017862.db2.gz VOTPFWCZIMSLBN-SECBINFHSA-N 0 1 282.321 0.649 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)N1C[C@@H]2COC[C@@H]2C1 ZINC000872314745 646245422 /nfs/dbraw/zinc/24/54/22/646245422.db2.gz MKEYKOAWUQCAQF-IJEWVQPXSA-N 0 1 297.358 0.850 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NCCn1cncn1 ZINC000876648294 646637519 /nfs/dbraw/zinc/63/75/19/646637519.db2.gz PYOGMXRHIZNJNM-AWEZNQCLSA-N 0 1 296.334 0.153 20 30 CCEDMN C[C@H](O)CCN(C)C(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000876669733 646641479 /nfs/dbraw/zinc/64/14/79/646641479.db2.gz WDNNXUTYBATEPE-XHDPSFHLSA-N 0 1 287.363 0.974 20 30 CCEDMN N#Cc1cccc(CN2CCN(CCCCO)CC2)n1 ZINC000876724736 646650220 /nfs/dbraw/zinc/65/02/20/646650220.db2.gz HBRQALGCXKCLTK-UHFFFAOYSA-N 0 1 274.368 0.843 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1csc(C2CC2)n1 ZINC000876801833 646668407 /nfs/dbraw/zinc/66/84/07/646668407.db2.gz JNHLELBHGISZJZ-GXSJLCMTSA-N 0 1 276.365 0.791 20 30 CCEDMN Cc1cn2ccc(C(=O)N[C@@H]3CNC[C@@H]3C#N)cc2n1 ZINC000876803563 646670372 /nfs/dbraw/zinc/67/03/72/646670372.db2.gz WYGNTLYKGYHRIS-NWDGAFQWSA-N 0 1 269.308 0.484 20 30 CCEDMN N#Cc1cnc(Cl)c(CNC[C@@H](O)Cn2ccnn2)c1 ZINC000877585771 646826690 /nfs/dbraw/zinc/82/66/90/646826690.db2.gz WJOOCWJRJLAREL-LLVKDONJSA-N 0 1 292.730 0.349 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnc[nH]2)C[C@H](C)N1CC#N ZINC000880455747 647203660 /nfs/dbraw/zinc/20/36/60/647203660.db2.gz BTLWETNMAZVQLX-RYUDHWBXSA-N 0 1 275.356 0.787 20 30 CCEDMN N#CCNC(=O)CN[C@H](Cn1ccnc1)c1ccccc1 ZINC000880569191 647232349 /nfs/dbraw/zinc/23/23/49/647232349.db2.gz JIGRIVYMYJAWAS-CQSZACIVSA-N 0 1 283.335 0.854 20 30 CCEDMN O=C(C#Cc1ccccc1)N1CCC[C@H](c2nn[nH]n2)C1 ZINC000129376968 647362690 /nfs/dbraw/zinc/36/26/90/647362690.db2.gz XMJBRDSXMGNYQI-ZDUSSCGKSA-N 0 1 281.319 0.957 20 30 CCEDMN Cc1ccc(N2CCN(Cc3nnc[nH]3)CC2)c(C#N)n1 ZINC000882528648 647415690 /nfs/dbraw/zinc/41/56/90/647415690.db2.gz AGVUGGJNOSAXNW-UHFFFAOYSA-N 0 1 283.339 0.702 20 30 CCEDMN Cc1nnc(SCC(=O)N2CCO[C@@](C)(C#N)C2)[nH]1 ZINC000887676648 648139037 /nfs/dbraw/zinc/13/90/37/648139037.db2.gz HWXFVQZNCKTWGQ-NSHDSACASA-N 0 1 281.341 0.346 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CCO[C@@](C)(C#N)C2)n1 ZINC000887676648 648139039 /nfs/dbraw/zinc/13/90/39/648139039.db2.gz HWXFVQZNCKTWGQ-NSHDSACASA-N 0 1 281.341 0.346 20 30 CCEDMN Cc1nnc(SCCC(=O)N2CCO[C@@](C)(C#N)C2)[nH]1 ZINC000887676514 648139137 /nfs/dbraw/zinc/13/91/37/648139137.db2.gz FPNJTGISAMFFCX-LBPRGKRZSA-N 0 1 295.368 0.736 20 30 CCEDMN C[C@@H](C(=O)NCc1cc(N(C)C)ccn1)n1cnc(C#N)n1 ZINC000927698583 648577681 /nfs/dbraw/zinc/57/76/81/648577681.db2.gz FRLCQEWCZGKDBE-JTQLQIEISA-N 0 1 299.338 0.488 20 30 CCEDMN C[C@H]1COCCN1C1CC[NH+](C[C@H](O)CC#N)CC1 ZINC000930527830 648872865 /nfs/dbraw/zinc/87/28/65/648872865.db2.gz FLFSNNZTCFJNPB-GXTWGEPZSA-N 0 1 267.373 0.446 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@H](C2OCCO2)C1 ZINC000932002522 649040836 /nfs/dbraw/zinc/04/08/36/649040836.db2.gz XJZLSPHBGJCCHW-NEPJUHHUSA-N 0 1 293.367 0.632 20 30 CCEDMN C[C@@]1([C@@H]2CCCN(CC(=O)NCCC#N)C2)COC(=O)N1 ZINC000932030224 649044454 /nfs/dbraw/zinc/04/44/54/649044454.db2.gz RCXSHFCJVRMSCH-RISCZKNCSA-N 0 1 294.355 0.227 20 30 CCEDMN C[C@H]1C[C@H](NC(=O)CN2CCCC2)CCN1CC#N ZINC000948052534 649360805 /nfs/dbraw/zinc/36/08/05/649360805.db2.gz FVNOCLYSXZLQQZ-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC000951872633 649568576 /nfs/dbraw/zinc/56/85/76/649568576.db2.gz NDPDBJBNZCGVGP-MNOVXSKESA-N 0 1 289.339 0.219 20 30 CCEDMN COC[C@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017409935 649843114 /nfs/dbraw/zinc/84/31/14/649843114.db2.gz SOYKLVLHSSIACK-ZDEQEGDKSA-N 0 1 265.357 0.856 20 30 CCEDMN Cc1cnc(N2C[C@@H]3[C@H](C2)OCCN3C)c(C#N)c1 ZINC001164667922 719374174 /nfs/dbraw/zinc/37/41/74/719374174.db2.gz LMESGHVLCDCDEM-OLZOCXBDSA-N 0 1 258.325 0.781 20 30 CCEDMN C=CCOc1cc(C)nc(N2C[C@@H]3OCCN(C)[C@H]3C2)n1 ZINC001164668034 719396278 /nfs/dbraw/zinc/39/62/78/719396278.db2.gz PMWZXADTXIRBLJ-STQMWFEESA-N 0 1 290.367 0.869 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H]1CCCCO1 ZINC001266267816 790363777 /nfs/dbraw/zinc/36/37/77/790363777.db2.gz PWCSJVVNUPJILD-ZDUSSCGKSA-N 0 1 268.357 0.253 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1nccc(C)n1 ZINC001266272451 790374179 /nfs/dbraw/zinc/37/41/79/790374179.db2.gz XCXOUBKYZOXXHU-UHFFFAOYSA-N 0 1 276.340 0.096 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCO[C@@H]3CN(CCC)C[C@@H]32)c1 ZINC001083188275 790416334 /nfs/dbraw/zinc/41/63/34/790416334.db2.gz MPDFZVNMAMXACZ-JKSUJKDBSA-N 0 1 299.374 0.998 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CC1(C)CC1 ZINC001266298468 790457164 /nfs/dbraw/zinc/45/71/64/790457164.db2.gz JFLAWSOOIJQXTI-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H]1CCOC1 ZINC001266379989 790616025 /nfs/dbraw/zinc/61/60/25/790616025.db2.gz FRRDFGZWYLTPIB-CYBMUJFWSA-N 0 1 268.357 0.111 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H](OC)c1cnn(C)c1 ZINC001266406152 790647606 /nfs/dbraw/zinc/64/76/06/790647606.db2.gz WAJDYPVZYKTYPE-CYBMUJFWSA-N 0 1 278.356 0.179 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H](C)[C@@H]1CCCO1 ZINC001266416844 790662567 /nfs/dbraw/zinc/66/25/67/790662567.db2.gz OIQRAUKKCDCYRX-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN CC[N@H+](CC#CCOC)CCNC(=O)c1nccs1 ZINC001266425245 790672368 /nfs/dbraw/zinc/67/23/68/790672368.db2.gz HENHYRRGBCBGGY-UHFFFAOYSA-N 0 1 281.381 0.845 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1nccs1 ZINC001266425245 790672373 /nfs/dbraw/zinc/67/23/73/790672373.db2.gz HENHYRRGBCBGGY-UHFFFAOYSA-N 0 1 281.381 0.845 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cn(C)nn2)C1 ZINC001206927962 790739290 /nfs/dbraw/zinc/73/92/90/790739290.db2.gz AAGJDVQJMHNBSM-VXGBXAGGSA-N 0 1 293.371 0.068 20 30 CCEDMN COCC#CCN(CCNC(=O)c1cc(F)c[nH]1)C1CC1 ZINC001266602582 791010321 /nfs/dbraw/zinc/01/03/21/791010321.db2.gz LDTZVHDWHBKJBO-UHFFFAOYSA-N 0 1 293.342 0.998 20 30 CCEDMN C#CCN(CCNC(=O)Cn1ccccc1=O)C1CC1 ZINC001266619333 791044747 /nfs/dbraw/zinc/04/47/47/791044747.db2.gz JCPWAXKTNRMKEP-UHFFFAOYSA-N 0 1 273.336 0.062 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@@H]2CN(CC(N)=O)CC[C@H]21 ZINC001266658782 791092304 /nfs/dbraw/zinc/09/23/04/791092304.db2.gz IKTLGZYBIITKTE-ZIAGYGMSSA-N 0 1 291.395 0.588 20 30 CCEDMN C#CCNC(=O)CN[C@@H]1CN(C(C)=O)C[C@H]1c1ccccc1 ZINC001211505704 791107978 /nfs/dbraw/zinc/10/79/78/791107978.db2.gz AMAFZFBNBDEXSB-JKSUJKDBSA-N 0 1 299.374 0.340 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCn1cccn1 ZINC001230806559 805670976 /nfs/dbraw/zinc/67/09/76/805670976.db2.gz OIOMOMMICSWWKK-CYBMUJFWSA-N 0 1 262.357 0.992 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)C(C)(F)F ZINC001231176578 805744852 /nfs/dbraw/zinc/74/48/52/805744852.db2.gz PILYSMMYHAWHHE-JTQLQIEISA-N 0 1 291.342 0.770 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@H]1CCC(=O)N1 ZINC001266856116 791294258 /nfs/dbraw/zinc/29/42/58/791294258.db2.gz JTHPEKJVDLLBAE-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN C[C@@H](CNC(=O)C1(C(N)=O)CC1)NCC#Cc1ccccc1 ZINC001266967700 791407295 /nfs/dbraw/zinc/40/72/95/791407295.db2.gz ONAMDYVCYDUHRF-ZDUSSCGKSA-N 0 1 299.374 0.398 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cccc2c[nH]nc21 ZINC001267210129 791677334 /nfs/dbraw/zinc/67/73/34/791677334.db2.gz APPDMXVJLJGGGK-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CCCn1cc(C(=O)NCCN(C)CC#CCOC)cn1 ZINC001267219129 791691039 /nfs/dbraw/zinc/69/10/39/791691039.db2.gz MXEQQQIIPHUJSQ-UHFFFAOYSA-N 0 1 292.383 0.605 20 30 CCEDMN C=CCCCN(C)CCNC(=O)C(C)(C)S(C)(=O)=O ZINC001267219953 791691670 /nfs/dbraw/zinc/69/16/70/791691670.db2.gz CSOZMECAFVAYSH-UHFFFAOYSA-N 0 1 290.429 0.824 20 30 CCEDMN C#C[C@H](Oc1n[nH]c(=O)cc1Cl)C(=O)OCC ZINC001233588038 806088654 /nfs/dbraw/zinc/08/86/54/806088654.db2.gz AHDUNSYOGGAEPO-ZETCQYMHSA-N 0 1 256.645 0.779 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)CSC ZINC001233665724 806103724 /nfs/dbraw/zinc/10/37/24/806103724.db2.gz LMNNJIUTOBJIQW-NSHDSACASA-N 0 1 287.429 0.478 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H]1CC[N@@H+]1C[C@@H](C)O ZINC001233947392 806154891 /nfs/dbraw/zinc/15/48/91/806154891.db2.gz LKERPTYZOKQJTJ-CHWSQXEVSA-N 0 1 252.358 0.703 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@H]1CCN1C[C@@H](C)O ZINC001233947392 806154896 /nfs/dbraw/zinc/15/48/96/806154896.db2.gz LKERPTYZOKQJTJ-CHWSQXEVSA-N 0 1 252.358 0.703 20 30 CCEDMN C#CCNC(=O)C[N@H+](C)CCCNC(=O)CCCC ZINC001234041414 806172335 /nfs/dbraw/zinc/17/23/35/806172335.db2.gz CARHUXQSAVYIBT-UHFFFAOYSA-N 0 1 267.373 0.364 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)CCCC ZINC001234041414 806172337 /nfs/dbraw/zinc/17/23/37/806172337.db2.gz CARHUXQSAVYIBT-UHFFFAOYSA-N 0 1 267.373 0.364 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCNC(=O)NC ZINC001234057979 806176359 /nfs/dbraw/zinc/17/63/59/806176359.db2.gz FWRRQFALLCQAJL-NSHDSACASA-N 0 1 268.361 0.024 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCNC(=O)NC ZINC001234057975 806176606 /nfs/dbraw/zinc/17/66/06/806176606.db2.gz FWRRQFALLCQAJL-LLVKDONJSA-N 0 1 268.361 0.024 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)CCCNC(=O)C(C)C ZINC001234074074 806177355 /nfs/dbraw/zinc/17/73/55/806177355.db2.gz CCKTZEKPAWYDPQ-UHFFFAOYSA-N 0 1 298.387 0.092 20 30 CCEDMN C=C(C)C(=O)NCCCNc1ncc2nc[nH]c2n1 ZINC001167665523 793228381 /nfs/dbraw/zinc/22/83/81/793228381.db2.gz LULOYZUQVMTBGZ-UHFFFAOYSA-N 0 1 260.301 0.799 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CCCC(N)=O ZINC001267257456 793254162 /nfs/dbraw/zinc/25/41/62/793254162.db2.gz WRCBXCKODHGBSN-UHFFFAOYSA-N 0 1 253.346 0.103 20 30 CCEDMN N#Cc1ccc2nc(=O)[nH]c(OC3CS(=O)(=O)C3)c2c1 ZINC001234530893 806267738 /nfs/dbraw/zinc/26/77/38/806267738.db2.gz ODQOUOMWNYXFFS-UHFFFAOYSA-N 0 1 291.288 0.383 20 30 CCEDMN C=CCOCC(=O)NC1(C)CCN([C@H](C)C(N)=O)CC1 ZINC001278039415 806625380 /nfs/dbraw/zinc/62/53/80/806625380.db2.gz PCXCRMCXZWPWKL-LLVKDONJSA-N 0 1 283.372 0.034 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1c(C)ccn1C ZINC001278072621 806651271 /nfs/dbraw/zinc/65/12/71/806651271.db2.gz UFFGFQDVRHUPHN-LBPRGKRZSA-N 0 1 263.341 0.037 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc2c(o1)CCCC2 ZINC001278094226 806660268 /nfs/dbraw/zinc/66/02/68/806660268.db2.gz UJMONIUEKVVEMP-LBPRGKRZSA-N 0 1 276.336 0.472 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCNC[C@H]1CCOC ZINC001120535364 798851914 /nfs/dbraw/zinc/85/19/14/798851914.db2.gz CQBWVKZCHSXQQO-UPJWGTAASA-N 0 1 283.372 0.350 20 30 CCEDMN C=C(C)COCCNC(=O)N1CCNC[C@@H]1COC ZINC001120610857 798887596 /nfs/dbraw/zinc/88/75/96/798887596.db2.gz RCPOECFUJAQPRO-GFCCVEGCSA-N 0 1 271.361 0.209 20 30 CCEDMN C[C@@H]1[C@H](NC(=O)C(=O)Nc2ccc(C#N)cn2)CCN1C ZINC001121054827 798988925 /nfs/dbraw/zinc/98/89/25/798988925.db2.gz DOSFXJOBNURKGZ-MWLCHTKSSA-N 0 1 287.323 0.101 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1C[C@H](OCC)C12CCC2 ZINC001121886993 799084001 /nfs/dbraw/zinc/08/40/01/799084001.db2.gz HKBVRKBBKRIOLX-NEPJUHHUSA-N 0 1 250.342 0.673 20 30 CCEDMN Cc1nnc(COCC(=O)C(C#N)C(=O)NC2CC2)s1 ZINC001122507448 799252662 /nfs/dbraw/zinc/25/26/62/799252662.db2.gz ZWVXXIUOMPJVBZ-VIFPVBQESA-N 0 1 294.336 0.351 20 30 CCEDMN Cn1cc(C(=O)C(C#N)C(=O)Nc2ccccn2)nn1 ZINC001122507325 799252718 /nfs/dbraw/zinc/25/27/18/799252718.db2.gz XMXCLQXROFSDSU-MRVPVSSYSA-N 0 1 270.252 0.171 20 30 CCEDMN Cn1nc(C2CC2)nc1CC(=O)C(C#N)C(=O)NC1CC1 ZINC001122514284 799254443 /nfs/dbraw/zinc/25/44/43/799254443.db2.gz XZSGYCFLTGMNOE-JTQLQIEISA-N 0 1 287.323 0.222 20 30 CCEDMN C#Cc1cccc(NC(=O)CNCCNC(=O)[C@H]2C[C@H]2C)c1 ZINC001122932819 799314735 /nfs/dbraw/zinc/31/47/35/799314735.db2.gz WTWDBOOWTTZEJZ-DOMZBBRYSA-N 0 1 299.374 0.968 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1CCC(C2CC2)CC1 ZINC001278186366 806747978 /nfs/dbraw/zinc/74/79/78/806747978.db2.gz DSKXIAFSJAEFAB-NRXISQOPSA-N 0 1 278.396 0.903 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001123694414 799455204 /nfs/dbraw/zinc/45/52/04/799455204.db2.gz LBZBKGPBIDNHKG-MGPQQGTHSA-N 0 1 298.431 0.247 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001123694269 799455329 /nfs/dbraw/zinc/45/53/29/799455329.db2.gz JBVRTRCCDSJXAG-RRFJBIMHSA-N 0 1 292.427 0.818 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc2c(c1)CCC2 ZINC001278196311 806760557 /nfs/dbraw/zinc/76/05/57/806760557.db2.gz YBQNXCKUOHKGML-HNNXBMFYSA-N 0 1 272.348 0.489 20 30 CCEDMN Cn1cc(CC(=O)NCCNCc2ccccc2C#N)cn1 ZINC001124613416 799618925 /nfs/dbraw/zinc/61/89/25/799618925.db2.gz NNLNNSPWMZZHJQ-UHFFFAOYSA-N 0 1 297.362 0.740 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cc(C)ns1 ZINC001124767400 799633981 /nfs/dbraw/zinc/63/39/81/799633981.db2.gz FIYXHWYZRHBZKM-UHFFFAOYSA-N 0 1 269.370 0.860 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@H]1CCC(=O)N1 ZINC001124804758 799637065 /nfs/dbraw/zinc/63/70/65/799637065.db2.gz JFHLFBBNTNTZDF-CQSZACIVSA-N 0 1 285.347 0.023 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1CCCCC1(C)C ZINC001278202858 806771915 /nfs/dbraw/zinc/77/19/15/806771915.db2.gz VKCKBVNHERMMTN-STQMWFEESA-N 0 1 266.385 0.903 20 30 CCEDMN COc1ccc(OC)c(NC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000841008572 800064162 /nfs/dbraw/zinc/06/41/62/800064162.db2.gz VGDHLZCWQGNVOZ-CABZTGNLSA-N 0 1 290.323 0.937 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccc2c(c1)CCO2 ZINC000841037236 800066743 /nfs/dbraw/zinc/06/67/43/800066743.db2.gz RIJZGVVSJSPHLW-ZYHUDNBSSA-N 0 1 272.308 0.855 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N1CCCN(CCOC)CC1 ZINC001142435812 800120738 /nfs/dbraw/zinc/12/07/38/800120738.db2.gz TTXXRYSKDMMTEX-UHFFFAOYSA-N 0 1 298.383 0.677 20 30 CCEDMN C=C(C)C(=O)N1Cc2n[nH]c(C(=O)N3CCOCC3)c2C1 ZINC001142509671 800126235 /nfs/dbraw/zinc/12/62/35/800126235.db2.gz HPHAAHYVUOZTTE-UHFFFAOYSA-N 0 1 290.323 0.300 20 30 CCEDMN CN(C(=O)c1cc(F)c(C#N)c(F)c1)c1nn[nH]n1 ZINC001149220087 800237068 /nfs/dbraw/zinc/23/70/68/800237068.db2.gz PVKAPHPGKCHXGC-UHFFFAOYSA-N 0 1 264.195 0.626 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CCCC(=O)NC)C1 ZINC001149573928 800278586 /nfs/dbraw/zinc/27/85/86/800278586.db2.gz SBYNFXBJFBIVIB-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@H](CNC(=O)CC(C)(C)O)C1 ZINC001149660323 800288619 /nfs/dbraw/zinc/28/86/19/800288619.db2.gz MXBXDHCFSOZHTH-CYBMUJFWSA-N 0 1 282.384 0.378 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H](C)CCOC)C1 ZINC001150738091 800364529 /nfs/dbraw/zinc/36/45/29/800364529.db2.gz NQWMLLIBUJCYBS-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1cnccn1 ZINC001151620001 800442850 /nfs/dbraw/zinc/44/28/50/800442850.db2.gz DEXNPWVNMXTIRZ-CYBMUJFWSA-N 0 1 290.367 0.567 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)c1[nH]nc2ccncc21 ZINC001152104643 800491360 /nfs/dbraw/zinc/49/13/60/800491360.db2.gz XSQSPUASKDFLDL-UHFFFAOYSA-N 0 1 287.323 0.770 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1ccnn1C ZINC001152308283 800509206 /nfs/dbraw/zinc/50/92/06/800509206.db2.gz MEFXRFKCVNRMNT-CYBMUJFWSA-N 0 1 292.383 0.510 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1cccnc1OC ZINC001153135358 800601000 /nfs/dbraw/zinc/60/10/00/800601000.db2.gz RLBZVGWUGZYJTD-GFCCVEGCSA-N 0 1 293.367 0.887 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCN[C@@H](C)c1cnccn1 ZINC001153148765 800601864 /nfs/dbraw/zinc/60/18/64/800601864.db2.gz LEECQMCBHHKZEF-NWDGAFQWSA-N 0 1 278.356 0.835 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001153897022 800688078 /nfs/dbraw/zinc/68/80/78/800688078.db2.gz FMLKIPDIHIPOTD-IJLUTSLNSA-N 0 1 275.356 0.863 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001153911064 800689979 /nfs/dbraw/zinc/68/99/79/800689979.db2.gz DLANGKCLOMCRRU-ZDUSSCGKSA-N 0 1 287.791 0.894 20 30 CCEDMN N#Cc1cccc(C(=O)NC[C@H](O)c2cnc[nH]2)n1 ZINC001155082593 800905605 /nfs/dbraw/zinc/90/56/05/800905605.db2.gz BALNSVBWWRYZRP-NSHDSACASA-N 0 1 257.253 0.140 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCNCc1nncn1C ZINC001155426802 800973735 /nfs/dbraw/zinc/97/37/35/800973735.db2.gz LKEMRTAWMQVDEE-GFCCVEGCSA-N 0 1 295.387 0.392 20 30 CCEDMN CC(C)Cn1ncnc1CNCCCNC(=O)[C@@H](C)C#N ZINC001155827862 801059577 /nfs/dbraw/zinc/05/95/77/801059577.db2.gz HSMBJTNCJUZMLE-LBPRGKRZSA-N 0 1 292.387 0.690 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1cn(CC2CC2)nn1 ZINC001155836324 801061788 /nfs/dbraw/zinc/06/17/88/801061788.db2.gz MAWJIJDIEIQQHZ-LLVKDONJSA-N 0 1 290.371 0.444 20 30 CCEDMN CCc1nnc([C@@H](C)NCCCNC(=O)[C@H](C)C#N)[nH]1 ZINC001155848768 801066460 /nfs/dbraw/zinc/06/64/60/801066460.db2.gz OYCBPNRLGBIDOP-NXEZZACHSA-N 0 1 278.360 0.684 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@@H]1CCc2[nH]nnc2C1 ZINC001156359041 801157491 /nfs/dbraw/zinc/15/74/91/801157491.db2.gz HPUBWSLKKCPUBB-GHMZBOCLSA-N 0 1 290.371 0.260 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@@H]1CCc2nn[nH]c2C1 ZINC001156359041 801157492 /nfs/dbraw/zinc/15/74/92/801157492.db2.gz HPUBWSLKKCPUBB-GHMZBOCLSA-N 0 1 290.371 0.260 20 30 CCEDMN C=C(C)C(=O)NCCCNc1ncc2c(n1)CNC2 ZINC001156820619 801242714 /nfs/dbraw/zinc/24/27/14/801242714.db2.gz QSCANBPKXUFHNF-UHFFFAOYSA-N 0 1 261.329 0.574 20 30 CCEDMN COc1nc(-n2c(C)ncc(CN)c2=N)c(F)cc1C#N ZINC001156830590 801245331 /nfs/dbraw/zinc/24/53/31/801245331.db2.gz ODKLQFHSGZXXEV-UHFFFAOYSA-N 0 1 288.286 0.533 20 30 CCEDMN Cc1nnc(CNCCCNC(=O)c2c[nH]c(C#N)c2)[nH]1 ZINC001156847699 801247792 /nfs/dbraw/zinc/24/77/92/801247792.db2.gz FUYWNXOQMZEUPH-UHFFFAOYSA-N 0 1 287.327 0.223 20 30 CCEDMN CON=CC(=O)Nc1cc(C(=O)OC(C)C)[nH]n1 ZINC001157105254 801303019 /nfs/dbraw/zinc/30/30/19/801303019.db2.gz BBSNKVSQUNRXIA-UHFFFAOYSA-N 0 1 254.246 0.546 20 30 CCEDMN CCn1ncc(CNCCCNC(=O)C#CC(C)C)n1 ZINC001157633359 801441893 /nfs/dbraw/zinc/44/18/93/801441893.db2.gz XHYDLIJHOOVDHX-UHFFFAOYSA-N 0 1 277.372 0.553 20 30 CCEDMN CCn1nc(C)c(CNCCCNC(=O)C#CC(C)C)n1 ZINC001157639033 801442846 /nfs/dbraw/zinc/44/28/46/801442846.db2.gz VRRBLMWPMWJIRS-UHFFFAOYSA-N 0 1 291.399 0.862 20 30 CCEDMN CC1(C#N)CN(C(=O)[C@@H](N)Cc2c[nH]c3ncccc23)C1 ZINC001157694746 801458217 /nfs/dbraw/zinc/45/82/17/801458217.db2.gz YFEYXWCKYXAJKX-LBPRGKRZSA-N 0 1 283.335 0.805 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1nc(C)c(C)o1 ZINC001157869413 801505995 /nfs/dbraw/zinc/50/59/95/801505995.db2.gz LNKJSSQAKNLESY-CYBMUJFWSA-N 0 1 293.367 0.926 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1ncc(CC)o1 ZINC001157869505 801506800 /nfs/dbraw/zinc/50/68/00/801506800.db2.gz NIOYZHACLZUAQB-GFCCVEGCSA-N 0 1 293.367 0.871 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1ncc(C)cn1 ZINC001157869696 801507775 /nfs/dbraw/zinc/50/77/75/801507775.db2.gz POPWFRAQAZRMDF-ZDUSSCGKSA-N 0 1 290.367 0.419 20 30 CCEDMN CN1CCN(C(=N)Nc2nc(Cl)cnc2C#N)CC1 ZINC001157915104 801519266 /nfs/dbraw/zinc/51/92/66/801519266.db2.gz PLIKGEBARGSFRC-UHFFFAOYSA-N 0 1 279.735 0.596 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H](C)NC(N)=O ZINC001157945950 801536186 /nfs/dbraw/zinc/53/61/86/801536186.db2.gz TWFIGOCTKMKFNN-CHWSQXEVSA-N 0 1 294.399 0.379 20 30 CCEDMN COC(=O)c1cc(C#N)cnc1-n1c(C)cnc1CN ZINC001158169806 801581427 /nfs/dbraw/zinc/58/14/27/801581427.db2.gz PLMWKXNBKIGVKH-UHFFFAOYSA-N 0 1 271.280 0.693 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)COCCCOC ZINC001158744301 801696601 /nfs/dbraw/zinc/69/66/01/801696601.db2.gz HAXWQESLRDYEKL-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN C=C[C@@H](CO)Nc1cc(CC)nc(N2CCOCC2)n1 ZINC001158814539 801709771 /nfs/dbraw/zinc/70/97/71/801709771.db2.gz JQHYJNHZNGANCG-LBPRGKRZSA-N 0 1 278.356 0.834 20 30 CCEDMN C=CC(C)(C)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001158858896 801715095 /nfs/dbraw/zinc/71/50/95/801715095.db2.gz LPEIIWQYDCRAQU-UHFFFAOYSA-N 0 1 284.337 0.734 20 30 CCEDMN CCOC(=O)[C@H](C#N)Nc1nc(Cl)nc2nc[nH]c21 ZINC001159322819 801791697 /nfs/dbraw/zinc/79/16/97/801791697.db2.gz FRJFMBXQUFYWHW-YFKPBYRVSA-N 0 1 280.675 0.873 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC001160292063 801927853 /nfs/dbraw/zinc/92/78/53/801927853.db2.gz LLQMDUYIEZVKMY-CQSZACIVSA-N 0 1 289.379 0.236 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC(c2ncccn2)CC1 ZINC001160588719 801977032 /nfs/dbraw/zinc/97/70/32/801977032.db2.gz FVCUSVIJZLJDCA-AWEZNQCLSA-N 0 1 258.325 0.533 20 30 CCEDMN N#C[C@H]1CN(C(=O)[C@@H](N)Cc2ccsc2)CCO1 ZINC001160880554 802040848 /nfs/dbraw/zinc/04/08/48/802040848.db2.gz ZCNAEMBMTYHOPW-QWRGUYRKSA-N 0 1 265.338 0.369 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC(c2ccc(C(=O)OC)cc2)C1 ZINC001161220627 802110808 /nfs/dbraw/zinc/11/08/08/802110808.db2.gz DYKFIYZYPDTQOY-INIZCTEOSA-N 0 1 286.331 0.750 20 30 CCEDMN CN1CCC(C#N)(Nc2cc3c(cn2)NC(=O)C3)CC1 ZINC001162225084 802293708 /nfs/dbraw/zinc/29/37/08/802293708.db2.gz DSKKXGUIIXVBOS-UHFFFAOYSA-N 0 1 271.324 0.976 20 30 CCEDMN N#CCc1cc(N[C@H]2CCS(=O)(=O)C2)ccn1 ZINC001162501179 802353820 /nfs/dbraw/zinc/35/38/20/802353820.db2.gz LQTMZEFGUNCNJT-NSHDSACASA-N 0 1 251.311 0.169 20 30 CCEDMN N#CCc1cc(N[C@@H]2CCS(=O)(=O)C2)ccn1 ZINC001162501176 802354079 /nfs/dbraw/zinc/35/40/79/802354079.db2.gz LQTMZEFGUNCNJT-LLVKDONJSA-N 0 1 251.311 0.169 20 30 CCEDMN C/C=C(/C)C(=O)N(C)C[C@H](O)CN(C)CC#CCOC ZINC001278850997 808332810 /nfs/dbraw/zinc/33/28/10/808332810.db2.gz RLVDXFPRBKQOFD-CNTYAEFGSA-N 0 1 282.384 0.354 20 30 CCEDMN CCCc1cc(C(=O)N(C)C[C@H](O)CN(C)CC#N)n[nH]1 ZINC001278868345 808381570 /nfs/dbraw/zinc/38/15/70/808381570.db2.gz AHDYFGQRRDOPLQ-GFCCVEGCSA-N 0 1 293.371 0.250 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)COCc2ccncc2)[C@H]1C ZINC001264724674 809675046 /nfs/dbraw/zinc/67/50/46/809675046.db2.gz DDHUMXXWHIMQKV-HIFRSBDPSA-N 0 1 287.363 0.810 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)Cc2ccon2)C1 ZINC001265278024 809742499 /nfs/dbraw/zinc/74/24/99/809742499.db2.gz YPPIKNPJJUEZPW-CYBMUJFWSA-N 0 1 279.340 0.610 20 30 CCEDMN CN(C1CN(c2ccc(C#N)cn2)C1)[C@@H]1CCOC1 ZINC001165204229 802795539 /nfs/dbraw/zinc/79/55/39/802795539.db2.gz XDUNRMPHJPDDBB-GFCCVEGCSA-N 0 1 258.325 0.863 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1ccc(C#N)c(C)n1 ZINC001165439690 802843161 /nfs/dbraw/zinc/84/31/61/802843161.db2.gz ULVNLBMLXHJVNO-NSHDSACASA-N 0 1 260.297 0.213 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1nc2c(cc1C#N)CCC2 ZINC001165441703 802844123 /nfs/dbraw/zinc/84/41/23/802844123.db2.gz HQEPLZWJCLYWFK-CYBMUJFWSA-N 0 1 286.335 0.393 20 30 CCEDMN COCc1nn(-c2ncc(Cl)nc2C#N)c2c1CNC2 ZINC001165631473 802857273 /nfs/dbraw/zinc/85/72/73/802857273.db2.gz AQHBUFKMJBYENG-UHFFFAOYSA-N 0 1 290.714 0.937 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCNCc1nncn1C ZINC001166982381 803007633 /nfs/dbraw/zinc/00/76/33/803007633.db2.gz NLZGDHKQKNAVDX-NEPJUHHUSA-N 0 1 293.371 0.002 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCCNCc1ncccn1 ZINC001166982737 803008325 /nfs/dbraw/zinc/00/83/25/803008325.db2.gz PKBSCJQLKLCZKD-OLZOCXBDSA-N 0 1 290.367 0.664 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](O)C(C)C)C1 ZINC001206931658 803311308 /nfs/dbraw/zinc/31/13/08/803311308.db2.gz WFXSNDJIQDUHDN-JHJVBQTASA-N 0 1 252.358 0.463 20 30 CCEDMN COC(=O)[C@H]1[C@@H]2CN(Cc3cc(C#N)n(C)c3)C[C@@H]21 ZINC001207109647 803355864 /nfs/dbraw/zinc/35/58/64/803355864.db2.gz QCPJGXDZYYBPOZ-ITGUQSILSA-N 0 1 259.309 0.748 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N[C@@H]1CN(CC#N)C[C@H]1C ZINC001207262258 803374694 /nfs/dbraw/zinc/37/46/94/803374694.db2.gz GLUYRUQVZWKFJB-JHJVBQTASA-N 0 1 284.379 0.626 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H](C)NC(N)=O)C1 ZINC001207902516 803465627 /nfs/dbraw/zinc/46/56/27/803465627.db2.gz NCQJWIMNMGURDP-VHSXEESVSA-N 0 1 288.779 0.376 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@H](NCc2cnnn2C)C1 ZINC001207944617 803469759 /nfs/dbraw/zinc/46/97/59/803469759.db2.gz PPTIIRUBVCMNCV-ZDUSSCGKSA-N 0 1 289.383 0.699 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2nccn2C)C1 ZINC001208061849 803484982 /nfs/dbraw/zinc/48/49/82/803484982.db2.gz QJGALKDYSKKWEY-CHWSQXEVSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CCC)OC)C1 ZINC001208289078 803502705 /nfs/dbraw/zinc/50/27/05/803502705.db2.gz LZCYRMMRYXFNCN-JHJVBQTASA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3c3c[nH]cn3)[C@@H]2C1 ZINC001075903595 814942659 /nfs/dbraw/zinc/94/26/59/814942659.db2.gz FNLVSJKDQGASQK-KYEXWDHISA-N 0 1 284.363 0.679 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001208912789 803553653 /nfs/dbraw/zinc/55/36/53/803553653.db2.gz GPYLRZRVZISCJS-TZMCWYRMSA-N 0 1 290.367 0.039 20 30 CCEDMN COC(=O)C1(C#N)CCN(CCCC2OCCO2)CC1 ZINC001209308893 803593334 /nfs/dbraw/zinc/59/33/34/803593334.db2.gz KUJDJRYXOWJSAZ-UHFFFAOYSA-N 0 1 282.340 0.918 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCn2cccc2)C1 ZINC001209713705 803623938 /nfs/dbraw/zinc/62/39/38/803623938.db2.gz QPSLSWGQTRMMPR-ZIAGYGMSSA-N 0 1 259.353 0.948 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H](C)COC)C1 ZINC001209896421 803633911 /nfs/dbraw/zinc/63/39/11/803633911.db2.gz LKYILRLUWFKERD-RRFJBIMHSA-N 0 1 296.411 0.745 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@](C)(NC(C)=O)C2CC2)C1 ZINC001210245023 803643328 /nfs/dbraw/zinc/64/33/28/803643328.db2.gz XKFOLRSIIKKTPH-DJSGYFEHSA-N 0 1 291.395 0.361 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](CCCC)NC(N)=O)C1 ZINC001211447828 803681710 /nfs/dbraw/zinc/68/17/10/803681710.db2.gz JNMFEUXJVBSRGQ-JHJVBQTASA-N 0 1 294.399 0.283 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H]2CC23CC3)[C@H](OC)C1 ZINC001213487321 803696289 /nfs/dbraw/zinc/69/62/89/803696289.db2.gz AXOGOWPIKNJFCC-MGPQQGTHSA-N 0 1 294.395 0.805 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)COCCOC)C[C@H]1C ZINC001214352214 803736126 /nfs/dbraw/zinc/73/61/26/803736126.db2.gz UYBCFBJDZJCRDU-ZYHUDNBSSA-N 0 1 290.791 0.838 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CNC(=O)CC)[C@H]2C1 ZINC001217554763 803895646 /nfs/dbraw/zinc/89/56/46/803895646.db2.gz GHLFLOCZPIJCNN-QWHCGFSZSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C[C@](C)(O)C=C)[C@H]2C1 ZINC001217763320 803912074 /nfs/dbraw/zinc/91/20/74/803912074.db2.gz INJXRPGYPIGRJY-SQWLQELKSA-N 0 1 292.379 0.248 20 30 CCEDMN CC#CCCCC(=O)N1CCO[C@@H]2CN(CCCO)C[C@@H]21 ZINC001218503041 803969443 /nfs/dbraw/zinc/96/94/43/803969443.db2.gz APIPOGZJQJGGDE-LSDHHAIUSA-N 0 1 294.395 0.474 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)[C@H](N)Cc1cccc(O)c1 ZINC001218656216 804066659 /nfs/dbraw/zinc/06/66/59/804066659.db2.gz QDIPHWKFVWYORX-SNVBAGLBSA-N 0 1 271.280 0.495 20 30 CCEDMN Cc1ncc(C#N)cc1NC(=O)[C@@H](N)CC[S@@](C)=O ZINC001218659978 804069493 /nfs/dbraw/zinc/06/94/93/804069493.db2.gz YXOUYZIJPXGCTD-APBUJDDRSA-N 0 1 280.353 0.296 20 30 CCEDMN Cc1coc(NC(=O)[C@@H]2CCCN2C(=O)CN)c1C#N ZINC001218765055 804115389 /nfs/dbraw/zinc/11/53/89/804115389.db2.gz RUFUAPGLBNQVKC-JTQLQIEISA-N 0 1 276.296 0.348 20 30 CCEDMN C[S@](=O)CC[C@H](N)C(=O)Nc1cccc(CC#N)c1 ZINC001218987478 804174304 /nfs/dbraw/zinc/17/43/04/804174304.db2.gz INGMJGCTEKCMAW-BUXKBTBVSA-N 0 1 279.365 0.787 20 30 CCEDMN N#CCc1cccc(NC(=O)[C@@H]2CCCN2C(=O)CN)c1 ZINC001218987423 804174359 /nfs/dbraw/zinc/17/43/59/804174359.db2.gz GUZVLNRXIITKQF-ZDUSSCGKSA-N 0 1 286.335 0.641 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H](C)OCC=C)[C@H]2C1 ZINC001219065451 804189166 /nfs/dbraw/zinc/18/91/66/804189166.db2.gz DSBRPBXYKVMJSG-SOUVJXGZSA-N 0 1 292.379 0.512 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)c2cccn2C)[C@@H](O)C1 ZINC001219186236 804229904 /nfs/dbraw/zinc/22/99/04/804229904.db2.gz XEPDUKRDDIWTII-OCCSQVGLSA-N 0 1 293.367 0.003 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CCCCCF)C[C@@H]1O ZINC001219381147 804291405 /nfs/dbraw/zinc/29/14/05/804291405.db2.gz JDCFISPCPIQTDD-UTUOFQBUSA-N 0 1 271.336 0.447 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CCc2cccs2)C[C@@H]1O ZINC001219381665 804292182 /nfs/dbraw/zinc/29/21/82/804292182.db2.gz ZETXFTWHABFKCB-RTXFEEFZSA-N 0 1 293.392 0.612 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COCCCC)[C@@H](O)C1 ZINC001219407943 804303617 /nfs/dbraw/zinc/30/36/17/804303617.db2.gz MLRXXZBFRQJPED-OLZOCXBDSA-N 0 1 270.373 0.541 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOCCCC)C[C@@H]1O ZINC001219523446 804335982 /nfs/dbraw/zinc/33/59/82/804335982.db2.gz HXTDIPSZXKEDNN-CABCVRRESA-N 0 1 296.411 0.768 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccc(C)nn2)[C@@H](O)C1 ZINC001083453781 815011880 /nfs/dbraw/zinc/01/18/80/815011880.db2.gz WTBBLVZXMLXJPB-OLZOCXBDSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CCCCNC(=O)[C@@H](N)Cc1c[nH]c2ncccc12 ZINC001219633916 804383861 /nfs/dbraw/zinc/38/38/61/804383861.db2.gz ISZGOISRKVSNEU-ZDUSSCGKSA-N 0 1 270.336 0.962 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCCc1ccc(OC)c(O)c1 ZINC001219909991 804445669 /nfs/dbraw/zinc/44/56/69/804445669.db2.gz JYOZQOQCHHDUBN-AWEZNQCLSA-N 0 1 262.309 0.410 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)[C@@H]1Cc2ccccc2CN1 ZINC001220035496 804477906 /nfs/dbraw/zinc/47/79/06/804477906.db2.gz DEHRKDYHBYHRMW-RYUDHWBXSA-N 0 1 294.376 0.289 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(CCCO)C[C@@H]1O ZINC001220198204 804534218 /nfs/dbraw/zinc/53/42/18/804534218.db2.gz HEJWLUGWOCJJFF-XQQFMLRXSA-N 0 1 270.373 0.132 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](C=C)CC)[C@@H](O)C1 ZINC001220206023 804537710 /nfs/dbraw/zinc/53/77/10/804537710.db2.gz VHGJNKKGNFMFGW-MJBXVCDLSA-N 0 1 264.369 0.773 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCCOC)C[C@@H]2O)C1 ZINC001220315011 804571839 /nfs/dbraw/zinc/57/18/39/804571839.db2.gz PQHMLIWPURKFKO-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2ccccc2)[C@@H](O)C1 ZINC001220843917 804679603 /nfs/dbraw/zinc/67/96/03/804679603.db2.gz OLEVGVVBKFVUON-CVEARBPZSA-N 0 1 286.375 0.804 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H](CCO)c1ccc(N)cc1 ZINC001220954986 804707358 /nfs/dbraw/zinc/70/73/58/804707358.db2.gz BVRLFLBNZNXKJW-JSGCOSHPSA-N 0 1 261.325 0.159 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)C(F)F)[C@@H]2C1 ZINC001221211398 804747888 /nfs/dbraw/zinc/74/78/88/804747888.db2.gz LYSGORRWZQFEEP-GHMZBOCLSA-N 0 1 274.311 0.987 20 30 CCEDMN CCC(=O)NCC1CCN(CC#CCOC)CC1 ZINC001222199461 804881589 /nfs/dbraw/zinc/88/15/89/804881589.db2.gz UDJCASMWYVQBPT-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](C)NC(C)=O)C[C@@H]21 ZINC001222229956 804884615 /nfs/dbraw/zinc/88/46/15/804884615.db2.gz QWEXGCZHUVUBMM-YUTCNCBUSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](C)OCC)C[C@@H]21 ZINC001222311047 804889675 /nfs/dbraw/zinc/88/96/75/804889675.db2.gz WCRFENNEKITSCI-MCIONIFRSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@H]2CCN(CC#CC)[C@H]2C1 ZINC001222675769 804925997 /nfs/dbraw/zinc/92/59/97/804925997.db2.gz DSXKHRUOTBLBJG-XHSDSOJGSA-N 0 1 288.391 0.971 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1CCN([C@H]2CCNC2=O)CC1 ZINC001224051032 805004524 /nfs/dbraw/zinc/00/45/24/805004524.db2.gz JFVDLZKOLPHWJX-ZDUSSCGKSA-N 0 1 293.411 0.915 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1OCCCN1CCOCC1 ZINC001224870712 805087571 /nfs/dbraw/zinc/08/75/71/805087571.db2.gz TZNVCIQHCNDQNH-SNVBAGLBSA-N 0 1 255.314 0.945 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)c2cc(C)no2)CC1 ZINC001277002456 805088119 /nfs/dbraw/zinc/08/81/19/805088119.db2.gz SOPMFTQLBPCIEH-UHFFFAOYSA-N 0 1 292.339 0.137 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCC[C@H]1NCc1cn(C)nn1 ZINC001225414173 805126170 /nfs/dbraw/zinc/12/61/70/805126170.db2.gz IHZYLZKFZDWGRF-RAIGVLPGSA-N 0 1 290.371 0.492 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)CCC(=C)C)CC1 ZINC001277072225 805162013 /nfs/dbraw/zinc/16/20/13/805162013.db2.gz RJKKVQOQADPZOZ-ZDUSSCGKSA-N 0 1 291.395 0.719 20 30 CCEDMN C[C@@H](C(N)=O)N1C[C@@H](CNC(=O)C#CC(C)(C)C)[C@H](C)C1 ZINC001101955110 815053642 /nfs/dbraw/zinc/05/36/42/815053642.db2.gz SXUCWDZUFWJDIO-FRRDWIJNSA-N 0 1 293.411 0.594 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001225884459 805172873 /nfs/dbraw/zinc/17/28/73/805172873.db2.gz OIMGTXPBCJBSAD-JSGCOSHPSA-N 0 1 264.369 0.873 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC(NC(=O)CSCC#N)CC1 ZINC001226152124 805197726 /nfs/dbraw/zinc/19/77/26/805197726.db2.gz CJIFRUYDYUVSIK-NSHDSACASA-N 0 1 298.412 0.088 20 30 CCEDMN CCO[C@@H]1C[C@@H]1C(=O)NC1CCN(CC#CCOC)CC1 ZINC001226230093 805207356 /nfs/dbraw/zinc/20/73/56/805207356.db2.gz ZSMRJDLUMIDFLL-LSDHHAIUSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)[C@H]2COCCN2CC)[C@H]1C ZINC001088684730 815057323 /nfs/dbraw/zinc/05/73/23/815057323.db2.gz MFQDEMVIAUBXFH-HZSPNIEDSA-N 0 1 281.400 0.472 20 30 CCEDMN C=CCOCC(=O)NC1CCN(CC#CC)CC1 ZINC001226570795 805249152 /nfs/dbraw/zinc/24/91/52/805249152.db2.gz IULAQECZYBNJQF-UHFFFAOYSA-N 0 1 250.342 0.793 20 30 CCEDMN C=CCOCC(=O)NC1CCN(CC#CCOC)CC1 ZINC001226589383 805251829 /nfs/dbraw/zinc/25/18/29/805251829.db2.gz OXERRAKRWJPJPY-UHFFFAOYSA-N 0 1 280.368 0.419 20 30 CCEDMN Cc1nc([C@@H](C)N2CCC(NC(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001226641376 805259802 /nfs/dbraw/zinc/25/98/02/805259802.db2.gz ZKTIJUOZTWWMDB-NXEZZACHSA-N 0 1 290.371 0.914 20 30 CCEDMN Cc1nc([C@H](C)N2CCC(NC(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001226641379 805259834 /nfs/dbraw/zinc/25/98/34/805259834.db2.gz ZKTIJUOZTWWMDB-ZJUUUORDSA-N 0 1 290.371 0.914 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCC1(NCC(=O)NCC#N)CC1 ZINC001277164367 805292753 /nfs/dbraw/zinc/29/27/53/805292753.db2.gz GSJBHHYMIVOPOV-AWEZNQCLSA-N 0 1 292.383 0.467 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)[C@H]1CO[C@H](C)C1 ZINC001272196994 815065016 /nfs/dbraw/zinc/06/50/16/815065016.db2.gz QDGMQRSZGJQBGI-VXGBXAGGSA-N 0 1 290.791 0.574 20 30 CCEDMN COc1nc(O[C@H]2CCN(CC#N)C2)cc(=O)[nH]1 ZINC001227000102 805307139 /nfs/dbraw/zinc/30/71/39/805307139.db2.gz DYGOGFOFICTVQR-QMMMGPOBSA-N 0 1 250.258 0.167 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2n[nH]cc2C)CC1 ZINC001227225984 805333695 /nfs/dbraw/zinc/33/36/95/805333695.db2.gz ZHDSNBFIRXTPBN-UHFFFAOYSA-N 0 1 290.367 0.562 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)C2CCCC2)CC1 ZINC001227488123 805359666 /nfs/dbraw/zinc/35/96/66/805359666.db2.gz SNIGRWGEWRMRTP-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCn2ccnn2)CC1 ZINC001227763056 805386938 /nfs/dbraw/zinc/38/69/38/805386938.db2.gz RIQRCKXXJFRGDU-UHFFFAOYSA-N 0 1 275.356 0.272 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@H]2CO[C@H](C)C2)CC1 ZINC001228064087 805410816 /nfs/dbraw/zinc/41/08/16/805410816.db2.gz GTIUFTXXQFEJBV-KGLIPLIRSA-N 0 1 294.395 0.642 20 30 CCEDMN C[C@@H](CCCNCC#N)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001277279877 805422383 /nfs/dbraw/zinc/42/23/83/805422383.db2.gz KCKUPDNCILILAR-QWRGUYRKSA-N 0 1 290.371 0.623 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H](COC)OC)CCC[C@H]12 ZINC001278460081 807346965 /nfs/dbraw/zinc/34/69/65/807346965.db2.gz PTORPJPJDQXPMQ-VNHYZAJKSA-N 0 1 280.368 0.394 20 30 CCEDMN CN(CCN1CCOCC1)Cc1cnn2ccc(C#N)cc12 ZINC001249082444 807540340 /nfs/dbraw/zinc/54/03/40/807540340.db2.gz SPFNGRMCAYZYLT-UHFFFAOYSA-N 0 1 299.378 0.970 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)c2cnon2)[C@@H]1C ZINC001278520902 807563088 /nfs/dbraw/zinc/56/30/88/807563088.db2.gz KBRIHVLRCBSSMK-RYUDHWBXSA-N 0 1 294.355 0.855 20 30 CCEDMN CNC(=O)CN1CCC(C)(CNC(=O)C#CC2CC2)CC1 ZINC001278607862 807620248 /nfs/dbraw/zinc/62/02/48/807620248.db2.gz RCDLAJHCOQHCFW-UHFFFAOYSA-N 0 1 291.395 0.364 20 30 CCEDMN C[C@@H](CCCCO)NC(=O)NCC#CCN(C)C ZINC001251708789 807696562 /nfs/dbraw/zinc/69/65/62/807696562.db2.gz XPHJRMVKRYYTCF-LBPRGKRZSA-N 0 1 255.362 0.402 20 30 CCEDMN CCOC(=O)C1(C#N)CCN(C[C@H](O)CC)CC1 ZINC001251780118 807704873 /nfs/dbraw/zinc/70/48/73/807704873.db2.gz FQLYEQJRWONEIN-LLVKDONJSA-N 0 1 254.330 0.926 20 30 CCEDMN C#CCOC[C@H](O)CN1CCC(=O)[C@H]2CCCC[C@@H]21 ZINC001251821270 807709286 /nfs/dbraw/zinc/70/92/86/807709286.db2.gz KYTJVQNJBHHZHS-RDBSUJKOSA-N 0 1 265.353 0.831 20 30 CCEDMN C#CCOC[C@H](O)CNc1ccnn1-c1ccncc1 ZINC001251832221 807713768 /nfs/dbraw/zinc/71/37/68/807713768.db2.gz FFETUEDCCCAZOT-CYBMUJFWSA-N 0 1 272.308 0.690 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCC[C@@H]1c1cnccn1 ZINC001251847834 807718766 /nfs/dbraw/zinc/71/87/66/807718766.db2.gz NRDGJMQDNVBYQF-GXTWGEPZSA-N 0 1 261.325 0.624 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(C)[C@@H](c2ccccc2)C1 ZINC001251847791 807719113 /nfs/dbraw/zinc/71/91/13/807719113.db2.gz MRMOSONNFUICMS-IAGOWNOFSA-N 0 1 288.391 0.986 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCCN(C(=O)CC(C)C)CC1 ZINC001251854671 807721094 /nfs/dbraw/zinc/72/10/94/807721094.db2.gz JIQLFJGBYHCCIY-HNNXBMFYSA-N 0 1 296.411 0.578 20 30 CCEDMN C#CCOC[C@@H](O)CNC(=N)c1ccc(Cl)cn1 ZINC001251887726 807735250 /nfs/dbraw/zinc/73/52/50/807735250.db2.gz HXTANXIBABPDOK-JTQLQIEISA-N 0 1 267.716 0.451 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cn(C2CCC2)cn1 ZINC001251899802 807740860 /nfs/dbraw/zinc/74/08/60/807740860.db2.gz BXEIUCJZMVNAJL-CQSZACIVSA-N 0 1 263.341 0.708 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)OC(C)C)CC1 ZINC001251959149 807752472 /nfs/dbraw/zinc/75/24/72/807752472.db2.gz DSWVAQAAXPPMLI-UHFFFAOYSA-N 0 1 267.325 0.826 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cn(CC)nn2)[C@H]1C ZINC001088764615 815144917 /nfs/dbraw/zinc/14/49/17/815144917.db2.gz KNVQUFYNHWKXGO-YPMHNXCESA-N 0 1 275.356 0.053 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)c2cn(C)cn2)C1 ZINC001278653101 807798952 /nfs/dbraw/zinc/79/89/52/807798952.db2.gz IUMXWFRIFZPGHX-HNNXBMFYSA-N 0 1 274.368 0.885 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCNC(=O)CC2)[C@H]1C ZINC001088772757 815150812 /nfs/dbraw/zinc/15/08/12/815150812.db2.gz JPPQHCLWCALYQD-MCIONIFRSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CCOC[C@H](O)CN1CCC(F)(C(=O)OCC)CC1 ZINC001252465484 807877676 /nfs/dbraw/zinc/87/76/76/807877676.db2.gz HNUHKVYOIVLANP-GFCCVEGCSA-N 0 1 289.347 0.917 20 30 CCEDMN C=CCOC[C@H](O)CN1Cc2ccnn2CC[C@@H]1CO ZINC001252474142 807885528 /nfs/dbraw/zinc/88/55/28/807885528.db2.gz VHFGHMOLCNHODO-ZIAGYGMSSA-N 0 1 281.356 0.013 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cn2ccccc2n1 ZINC001252482394 807887144 /nfs/dbraw/zinc/88/71/44/807887144.db2.gz LJBZOBOPMNTGSN-CYBMUJFWSA-N 0 1 261.325 0.987 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cncc(Cl)n1 ZINC001252488309 807890593 /nfs/dbraw/zinc/89/05/93/807890593.db2.gz KNBQUOPEBNZIAU-SNVBAGLBSA-N 0 1 257.721 0.783 20 30 CCEDMN N#C[C@]1(NC(=O)CCc2nc[nH]n2)CCSC1 ZINC001252628131 807923030 /nfs/dbraw/zinc/92/30/30/807923030.db2.gz DSHHCABUPODKBO-SNVBAGLBSA-N 0 1 251.315 0.253 20 30 CCEDMN N#CC1(CNC[C@@H](O)COc2ccc(CCO)cc2)CC1 ZINC001253170167 808015578 /nfs/dbraw/zinc/01/55/78/808015578.db2.gz YXPCUUPVMHDWRH-CQSZACIVSA-N 0 1 290.363 0.854 20 30 CCEDMN C=C[C@H](O)CNCc1cc(OC2CCOCC2)ncn1 ZINC001253606320 808095722 /nfs/dbraw/zinc/09/57/22/808095722.db2.gz FZGRNRMLCXYMNK-LBPRGKRZSA-N 0 1 279.340 0.671 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)CC[C@H]2CCCO2)C1 ZINC001278760257 808170665 /nfs/dbraw/zinc/17/06/65/808170665.db2.gz KAZXWLHQBXVJTH-ZBFHGGJFSA-N 0 1 294.395 0.522 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)/C=C/c2ccc[nH]2)C1 ZINC001278770832 808200361 /nfs/dbraw/zinc/20/03/61/808200361.db2.gz FXZKRLZODWKSHU-NFAHFFEMSA-N 0 1 273.336 0.214 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)C1 ZINC001254557345 808259515 /nfs/dbraw/zinc/25/95/15/808259515.db2.gz QFTBEEFMCHPLBI-KGLIPLIRSA-N 0 1 296.415 0.219 20 30 CCEDMN C=C[C@H]1C[C@@]1(NC(=O)C1CN(C)C1)C(=O)OCC ZINC001142600941 815190361 /nfs/dbraw/zinc/19/03/61/815190361.db2.gz RBIGJMUISMNHMK-GWCFXTLKSA-N 0 1 252.314 0.172 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cccc(OC)c2)C1 ZINC001278820637 808291528 /nfs/dbraw/zinc/29/15/28/808291528.db2.gz DLRLPKVFKRXEJV-INIZCTEOSA-N 0 1 288.347 0.495 20 30 CCEDMN O=C(C#CC1CC1)NCCNCc1ccc(F)cn1 ZINC001126899372 815203543 /nfs/dbraw/zinc/20/35/43/815203543.db2.gz OGESZZNOTFUDGG-UHFFFAOYSA-N 0 1 261.300 0.840 20 30 CCEDMN CC#CCN(C)CCN(C(=O)CO[C@@H]1CCOC1)C(C)C ZINC001278907620 808464579 /nfs/dbraw/zinc/46/45/79/808464579.db2.gz GSFKRABRBIQCER-OAHLLOKOSA-N 0 1 296.411 0.984 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)NCc1n[nH]c(C)n1 ZINC001256124340 808471355 /nfs/dbraw/zinc/47/13/55/808471355.db2.gz KPIJXDWRJGSTBZ-SECBINFHSA-N 0 1 253.306 0.239 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCCc3n[nH]nc32)CCN1CC#N ZINC001088824155 815213315 /nfs/dbraw/zinc/21/33/15/815213315.db2.gz RPRFEAWWYSXDOU-MXWKQRLJSA-N 0 1 288.355 0.327 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)NCC#CCN(C)C)C1 ZINC001256585253 808537927 /nfs/dbraw/zinc/53/79/27/808537927.db2.gz PFNXYEUSEIAHKE-AWEZNQCLSA-N 0 1 261.369 0.996 20 30 CCEDMN CCc1cc(CNC(=O)C(=O)NCCCCC#N)n[nH]1 ZINC001258149127 808658752 /nfs/dbraw/zinc/65/87/52/808658752.db2.gz HNNNREZHLDFMEE-UHFFFAOYSA-N 0 1 277.328 0.398 20 30 CCEDMN C=CCN1CC[C@H](N2CCC3(CN(C(=O)CC)C3)C2)C1=O ZINC001258425634 808683872 /nfs/dbraw/zinc/68/38/72/808683872.db2.gz LXJGXWYOEWIMCT-ZDUSSCGKSA-N 0 1 291.395 0.718 20 30 CCEDMN Cn1cc(S(=O)(=O)N2CCC3SC(=O)C=C3C2)cn1 ZINC001259822020 808777868 /nfs/dbraw/zinc/77/78/68/808777868.db2.gz CPZXJAAKJGCACJ-SNVBAGLBSA-N 0 1 299.377 0.383 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)CC(C)(C)C#N ZINC001261252755 808940886 /nfs/dbraw/zinc/94/08/86/808940886.db2.gz XKYMUPFHJBMBIL-UHFFFAOYSA-N 0 1 257.319 0.116 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnc4n3CCCC4)[C@@H]2C1 ZINC001076162702 815253540 /nfs/dbraw/zinc/25/35/40/815253540.db2.gz RYSSCEDENZKXEG-DZGCQCFKSA-N 0 1 298.390 0.999 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)C(=O)NCC1(CC#N)CC1 ZINC001261819467 809097846 /nfs/dbraw/zinc/09/78/46/809097846.db2.gz ZZAHHQIKXNVZNK-LBPRGKRZSA-N 0 1 292.383 0.253 20 30 CCEDMN C#CCCS(=O)(=O)NCc1nc(CSC)n[nH]1 ZINC001262075720 809183905 /nfs/dbraw/zinc/18/39/05/809183905.db2.gz BLSKPNISRTXXDF-UHFFFAOYSA-N 0 1 274.371 0.110 20 30 CCEDMN C#CCCS(=O)(=O)NCc1nnc(CSC)[nH]1 ZINC001262075720 809183908 /nfs/dbraw/zinc/18/39/08/809183908.db2.gz BLSKPNISRTXXDF-UHFFFAOYSA-N 0 1 274.371 0.110 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCc2cnn(C)c2)CC1 ZINC001262190082 809233119 /nfs/dbraw/zinc/23/31/19/809233119.db2.gz CHJIIRDRUYYAPT-UHFFFAOYSA-N 0 1 289.383 0.359 20 30 CCEDMN C=CCCC[C@@H](C)NC(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001262238796 809255573 /nfs/dbraw/zinc/25/55/73/809255573.db2.gz JNTUGVDTTSXQII-SECBINFHSA-N 0 1 279.344 0.590 20 30 CCEDMN C#C[C@H](NC[C@H](O)C[C@@]1(O)CCOC1)c1ccc(F)cc1 ZINC001262566805 809345942 /nfs/dbraw/zinc/34/59/42/809345942.db2.gz AMLIMOLGTDCRSD-PMPSAXMXSA-N 0 1 293.338 0.992 20 30 CCEDMN C=CC[C@H](CC)NC(=O)N1CCNC[C@H]1COC ZINC001262581497 809355351 /nfs/dbraw/zinc/35/53/51/809355351.db2.gz URDNLZOIKASYJS-RYUDHWBXSA-N 0 1 255.362 0.971 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)c1ccc2c(c1)CCC(=O)N2 ZINC001263065742 809430814 /nfs/dbraw/zinc/43/08/14/809430814.db2.gz OGWVMMJNPMDFOQ-NSHDSACASA-N 0 1 285.347 0.971 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C)Oc1cccc(F)c1 ZINC001263088092 809438201 /nfs/dbraw/zinc/43/82/01/809438201.db2.gz AHBCHZGPWKLGFC-LLVKDONJSA-N 0 1 264.300 0.932 20 30 CCEDMN C#CCNCC(=O)NCc1nnc(C2CC2)n1C1CC1 ZINC001263124448 809453310 /nfs/dbraw/zinc/45/33/10/809453310.db2.gz LAUPCCDUUNKBTI-UHFFFAOYSA-N 0 1 273.340 0.329 20 30 CCEDMN C#CCNCC(=O)NCc1ncccc1Br ZINC001263321555 809509713 /nfs/dbraw/zinc/50/97/13/809509713.db2.gz MTJCEYIRHQJJTL-UHFFFAOYSA-N 0 1 282.141 0.683 20 30 CCEDMN CN1CCN(C2CN(Cc3ccc(C#N)cc3)C2)CC1 ZINC000585559288 809517726 /nfs/dbraw/zinc/51/77/26/809517726.db2.gz QUSSFDQBAHNKBX-UHFFFAOYSA-N 0 1 270.380 0.990 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1C[C@@H](NCc2nnc(C)[nH]2)C1 ZINC001263831321 809582016 /nfs/dbraw/zinc/58/20/16/809582016.db2.gz LACBRDYKLVVTOX-BETUJISGSA-N 0 1 289.383 0.901 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCC(=O)N3C)[C@@H]2C1 ZINC001076514757 815306951 /nfs/dbraw/zinc/30/69/51/815306951.db2.gz KRZUPZBUEFCZGC-MELADBBJSA-N 0 1 289.379 0.163 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)CC(C)(C)O)CCN1CC#CCOC ZINC001264050727 809625511 /nfs/dbraw/zinc/62/55/11/809625511.db2.gz YVWYQQRWJYYKNH-UONOGXRCSA-N 0 1 296.411 0.766 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H]1CCN(CC#CC)[C@@H]1C ZINC001264139392 809633457 /nfs/dbraw/zinc/63/34/57/809633457.db2.gz MEDYHULMJFWIIB-VNHYZAJKSA-N 0 1 264.369 0.916 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)CC2CC2)C1 ZINC001264628090 809666911 /nfs/dbraw/zinc/66/69/11/809666911.db2.gz GTHDILCFMWYQLC-AWEZNQCLSA-N 0 1 264.369 0.705 20 30 CCEDMN COCC#CCN1CC[C@H](NC(=O)C[C@H]2CCOC2)[C@H]1C ZINC001264694687 809673901 /nfs/dbraw/zinc/67/39/01/809673901.db2.gz KBUFRFJAVITKHO-KFWWJZLASA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@@H](C)CC)CC1 ZINC001265238416 809729648 /nfs/dbraw/zinc/72/96/48/809729648.db2.gz DCDGYGREKWADCO-AWEZNQCLSA-N 0 1 265.401 0.790 20 30 CCEDMN CC#CCN1CCN(CCCNC(=O)[C@H]2CCCO2)CC1 ZINC001265238317 809729804 /nfs/dbraw/zinc/72/98/04/809729804.db2.gz AHIRYVOKZMZZIM-OAHLLOKOSA-N 0 1 293.411 0.313 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)c2ncc[nH]2)CC1 ZINC001265243238 809730902 /nfs/dbraw/zinc/73/09/02/809730902.db2.gz KFLOUAYVVPWSQR-UHFFFAOYSA-N 0 1 277.372 0.333 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@@]2(C)CCOC2)CC1 ZINC001265253487 809732233 /nfs/dbraw/zinc/73/22/33/809732233.db2.gz QOSQNRZFRQKUIL-INIZCTEOSA-N 0 1 293.411 0.170 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001265298830 809749724 /nfs/dbraw/zinc/74/97/24/809749724.db2.gz CMFQCCYYQLELSE-OCCSQVGLSA-N 0 1 286.347 0.508 20 30 CCEDMN C=CCNC(=O)CN1CCC2(C[C@@H]2NC(=O)CC)CC1 ZINC001265321401 809756627 /nfs/dbraw/zinc/75/66/27/809756627.db2.gz JLZCSLZCJQJEBP-LBPRGKRZSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H](C)NC(C)=O ZINC001265638270 809817438 /nfs/dbraw/zinc/81/74/38/809817438.db2.gz FROYVWKWURWFTM-DGCLKSJQSA-N 0 1 265.357 0.115 20 30 CCEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@@H]1COC(=O)N1 ZINC001266038518 809924063 /nfs/dbraw/zinc/92/40/63/809924063.db2.gz WIBBAADPWRFZJC-JTQLQIEISA-N 0 1 289.763 0.676 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(CCCNC(C)=O)C1CC1 ZINC001266117021 809938589 /nfs/dbraw/zinc/93/85/89/809938589.db2.gz PDNPAOPXIFWPJX-LLVKDONJSA-N 0 1 267.373 0.668 20 30 CCEDMN CCn1cc(CN[C@@H](C)CCNC(=O)C#CC2CC2)nn1 ZINC001266144417 809947159 /nfs/dbraw/zinc/94/71/59/809947159.db2.gz REFLDHBWLBAHPT-LBPRGKRZSA-N 0 1 289.383 0.696 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CCCN(CCOCCO)C1 ZINC001266233920 809968289 /nfs/dbraw/zinc/96/82/89/809968289.db2.gz UFWPOCFTMOMXCW-OAHLLOKOSA-N 0 1 296.411 0.770 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)Cc2ccoc2)C1 ZINC001279481936 809983143 /nfs/dbraw/zinc/98/31/43/809983143.db2.gz ZAPFXOOVMKOEJE-OAHLLOKOSA-N 0 1 278.352 0.951 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@]2(C)CCNC2=O)CCC1 ZINC001280505185 810027223 /nfs/dbraw/zinc/02/72/23/810027223.db2.gz GGXSFVRKNHLXNB-ZDUSSCGKSA-N 0 1 299.802 0.894 20 30 CCEDMN N#CCNC1(CNC(=O)CN2CCCC2)CCC1 ZINC001280546007 810030198 /nfs/dbraw/zinc/03/01/98/810030198.db2.gz UHXKMFLVDOMSKI-UHFFFAOYSA-N 0 1 250.346 0.234 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2ccncc2F)C1 ZINC001076831324 815387070 /nfs/dbraw/zinc/38/70/70/815387070.db2.gz WDRFVQVHTALYQM-CHWSQXEVSA-N 0 1 279.315 0.572 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccncc2F)C1 ZINC001076831324 815387077 /nfs/dbraw/zinc/38/70/77/815387077.db2.gz WDRFVQVHTALYQM-CHWSQXEVSA-N 0 1 279.315 0.572 20 30 CCEDMN CC(C)[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001076890410 815408322 /nfs/dbraw/zinc/40/83/22/815408322.db2.gz YLVYJVXYTLVVMX-VXGBXAGGSA-N 0 1 262.313 0.070 20 30 CCEDMN CC(C)N1C[C@@H](O)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001076890410 815408326 /nfs/dbraw/zinc/40/83/26/815408326.db2.gz YLVYJVXYTLVVMX-VXGBXAGGSA-N 0 1 262.313 0.070 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)CN2CCCC2)C1 ZINC001267306921 811127693 /nfs/dbraw/zinc/12/76/93/811127693.db2.gz ZBZBTCQMIGPABR-LBPRGKRZSA-N 0 1 250.346 0.044 20 30 CCEDMN Cc1nnsc1CNCCN(C)C(=O)CSCC#N ZINC001267348457 811191019 /nfs/dbraw/zinc/19/10/19/811191019.db2.gz FVTCDHKVNUOQSE-UHFFFAOYSA-N 0 1 299.425 0.651 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@H]1CCC(=O)N1)c1ccccc1 ZINC001267352050 811197340 /nfs/dbraw/zinc/19/73/40/811197340.db2.gz XXVKIHGDMLMSKC-ZIAGYGMSSA-N 0 1 285.347 0.345 20 30 CCEDMN C=CCCC(=O)N(C)CCNCc1c([N+](=O)[O-])ncn1C ZINC001267353919 811200277 /nfs/dbraw/zinc/20/02/77/811200277.db2.gz WUHDIEDFVWGSQQ-UHFFFAOYSA-N 0 1 295.343 0.843 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](CNCc2nncn2C)C1 ZINC001023893718 811279427 /nfs/dbraw/zinc/27/94/27/811279427.db2.gz WDRZOSOHXHNBQS-NEPJUHHUSA-N 0 1 290.371 0.303 20 30 CCEDMN C=CCCOCC(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@@H](C)O ZINC001267442755 811310008 /nfs/dbraw/zinc/31/00/08/811310008.db2.gz BDPWAMSPQCITKX-MCIONIFRSA-N 0 1 282.384 0.635 20 30 CCEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CNC(=O)OC)C1 ZINC001267449692 811315301 /nfs/dbraw/zinc/31/53/01/811315301.db2.gz DPYROUOUIJDMIE-GFCCVEGCSA-N 0 1 281.356 0.289 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001077069700 815441919 /nfs/dbraw/zinc/44/19/19/815441919.db2.gz WMSUVHPSRHNBEA-VHSXEESVSA-N 0 1 295.343 0.020 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@H]1CCCNC1=O)c1ccccc1 ZINC001267520916 811399349 /nfs/dbraw/zinc/39/93/49/811399349.db2.gz OOEDOODZJFKLQE-LSDHHAIUSA-N 0 1 299.374 0.593 20 30 CCEDMN C#CCCNCc1cn([C@H]2CCN(C(=O)C(F)F)C2)nn1 ZINC001098591556 811416969 /nfs/dbraw/zinc/41/69/69/811416969.db2.gz KQCROFHKYADODT-NSHDSACASA-N 0 1 297.309 0.430 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CCNC(=O)[C@@H]2C[C@H]2C)C1 ZINC001267560907 811448175 /nfs/dbraw/zinc/44/81/75/811448175.db2.gz CGQFRHBQMZOOLV-MGPQQGTHSA-N 0 1 291.395 0.220 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CCNC(=O)[C@@H]2C[C@H]2C)C1 ZINC001267560906 811448440 /nfs/dbraw/zinc/44/84/40/811448440.db2.gz CGQFRHBQMZOOLV-HZSPNIEDSA-N 0 1 291.395 0.220 20 30 CCEDMN C[C@@H]1C[C@H]1C(=O)NCC[C@@H]1CCN(CC(=O)NCC#N)C1 ZINC001267561406 811448722 /nfs/dbraw/zinc/44/87/22/811448722.db2.gz FYFAUNRXXFNKSX-JHJVBQTASA-N 0 1 292.383 0.110 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@@H](C)COC)CC1 ZINC001267573934 811466058 /nfs/dbraw/zinc/46/60/58/811466058.db2.gz POLXKVOYMKUUIW-AWEZNQCLSA-N 0 1 283.416 0.531 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@@H](NC(=O)CCOC)C1 ZINC001267609821 811561246 /nfs/dbraw/zinc/56/12/46/811561246.db2.gz SXKYTGMMWKVBNE-CYBMUJFWSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H]1CCCN(CCOCCO)C1 ZINC001267629483 811586350 /nfs/dbraw/zinc/58/63/50/811586350.db2.gz XIBAKODUQUGKCX-ZDUSSCGKSA-N 0 1 284.400 0.788 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001267649561 811616318 /nfs/dbraw/zinc/61/63/18/811616318.db2.gz ROXCGQHVUHMUMG-DGCLKSJQSA-N 0 1 279.384 0.810 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CN(CCOC[C@@H]2CCCO2)C1 ZINC001267678231 811643015 /nfs/dbraw/zinc/64/30/15/811643015.db2.gz CEUBTHCXCHNRMW-OCCSQVGLSA-N 0 1 295.383 0.390 20 30 CCEDMN C=CCCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cn[nH]n1 ZINC001267709981 811672116 /nfs/dbraw/zinc/67/21/16/811672116.db2.gz FPVWDXWTBLQQQD-QWRGUYRKSA-N 0 1 261.329 0.670 20 30 CCEDMN C=CCN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001038362726 811753747 /nfs/dbraw/zinc/75/37/47/811753747.db2.gz IYBPPBCEHUGSHJ-IJLUTSLNSA-N 0 1 260.341 0.890 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2c[nH]nc2C(C)C)C1 ZINC001077286051 815473569 /nfs/dbraw/zinc/47/35/69/815473569.db2.gz JLSDWIHCVDUAGF-CHWSQXEVSA-N 0 1 290.367 0.331 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCOC2CCOCC2)C1 ZINC001267775905 811775387 /nfs/dbraw/zinc/77/53/87/811775387.db2.gz ZOLIQRDRPODGPG-CQSZACIVSA-N 0 1 294.395 0.738 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CCCC(=O)NCC)C1 ZINC001267778245 811780119 /nfs/dbraw/zinc/78/01/19/811780119.db2.gz YKUFWYXGMZJFQY-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CCc2ccn(C)n2)C1 ZINC001267784785 811784067 /nfs/dbraw/zinc/78/40/67/811784067.db2.gz AGVDSFWHZYZIIJ-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN C[C@H](C(=O)NCCNCC#Cc1ccccc1)n1cncn1 ZINC001125926126 811869034 /nfs/dbraw/zinc/86/90/34/811869034.db2.gz YVFHQJXLWWZIST-CQSZACIVSA-N 0 1 297.362 0.597 20 30 CCEDMN CCn1cc(CNC[C@@H](C)CNC(=O)C#CC2CC2)nn1 ZINC001267986152 811926549 /nfs/dbraw/zinc/92/65/49/811926549.db2.gz PGKZUPLELRWZBL-GFCCVEGCSA-N 0 1 289.383 0.553 20 30 CCEDMN Cc1oncc1CNCCNC(=O)c1c[nH]c(C#N)c1 ZINC001125988210 811968052 /nfs/dbraw/zinc/96/80/52/811968052.db2.gz PONQBDVYYARFPD-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3CCCO3)[C@@H]2C1 ZINC001075565239 812000683 /nfs/dbraw/zinc/00/06/83/812000683.db2.gz CQPQPUTWVLPOIU-MELADBBJSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)C1CCC(O)CC1 ZINC001027853471 812096308 /nfs/dbraw/zinc/09/63/08/812096308.db2.gz GQTBADLMHREKFZ-MOKVOYLWSA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@]12C[C@H]1COC2 ZINC001027898242 812127648 /nfs/dbraw/zinc/12/76/48/812127648.db2.gz XDSCIDMIUSJKCY-YDHLFZDLSA-N 0 1 262.353 0.627 20 30 CCEDMN C[C@H](CNCC#N)N(C)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001268064616 812179077 /nfs/dbraw/zinc/17/90/77/812179077.db2.gz VYNYXSAQCXWVMW-GHMZBOCLSA-N 0 1 275.356 0.790 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001027973800 812180926 /nfs/dbraw/zinc/18/09/26/812180926.db2.gz AYFCTBYGNOIMKE-AWEZNQCLSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cccc(C(N)=O)c1 ZINC001027974978 812183674 /nfs/dbraw/zinc/18/36/74/812183674.db2.gz MTLSOZFSVYCTAB-AWEZNQCLSA-N 0 1 285.347 0.613 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccnc(OC)n1 ZINC001028019994 812219696 /nfs/dbraw/zinc/21/96/96/812219696.db2.gz KINAWLBOBPXHGK-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccnc(OC)n1 ZINC001028019994 812219702 /nfs/dbraw/zinc/21/97/02/812219702.db2.gz KINAWLBOBPXHGK-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cn3cc(C)cn3)[C@@H]2C1 ZINC001075595707 812221483 /nfs/dbraw/zinc/22/14/83/812221483.db2.gz JOKCDWNJZTYBFN-LSDHHAIUSA-N 0 1 286.379 0.748 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001028054997 812237681 /nfs/dbraw/zinc/23/76/81/812237681.db2.gz MPFUFQIFMPTMOL-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c(C)nn(C)c1C ZINC001028061603 812239099 /nfs/dbraw/zinc/23/90/99/812239099.db2.gz UPZGIZAZFFXPSZ-ZDUSSCGKSA-N 0 1 274.368 0.864 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c(C)c(C)n[nH]c1=O ZINC001028064542 812242797 /nfs/dbraw/zinc/24/27/97/812242797.db2.gz LIOACZOIMFGQDM-LBPRGKRZSA-N 0 1 288.351 0.626 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001028083903 812260405 /nfs/dbraw/zinc/26/04/05/812260405.db2.gz DCMHODWWUJWVGB-IGQOVBAYSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001038878947 812289205 /nfs/dbraw/zinc/28/92/05/812289205.db2.gz HINCCRGZSOBTJB-AWEZNQCLSA-N 0 1 295.346 0.909 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H]1CCC[N@H+]1C ZINC001268246330 812412038 /nfs/dbraw/zinc/41/20/38/812412038.db2.gz YZOYDFJDIYTXJX-CQSZACIVSA-N 0 1 281.400 0.121 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](O)CNCc1cccc(Cl)n1 ZINC001268295200 812452475 /nfs/dbraw/zinc/45/24/75/812452475.db2.gz CLBGPJGMHWPXIH-GXSJLCMTSA-N 0 1 296.758 0.461 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCCN(C)C1=O ZINC001028257606 812518111 /nfs/dbraw/zinc/51/81/11/812518111.db2.gz DSRUQVLCFUZOCQ-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCN1C(C)=O ZINC001028273396 812539712 /nfs/dbraw/zinc/53/97/12/812539712.db2.gz OQYXMCFTCNTGRZ-KGLIPLIRSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001028292170 812591106 /nfs/dbraw/zinc/59/11/06/812591106.db2.gz MARTYCKTGIPLBA-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@@H]2CC(=O)NC2=O)C1 ZINC001268495942 812706962 /nfs/dbraw/zinc/70/69/62/812706962.db2.gz JTJWXVWUBBRPCG-WDEREUQCSA-N 0 1 293.367 0.194 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)CCCC(N)=O)C1 ZINC001268496071 812707109 /nfs/dbraw/zinc/70/71/09/812707109.db2.gz NJOUOIPWCBOZEA-NSHDSACASA-N 0 1 267.373 0.797 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)C[C@H]2CC(=O)NC2=O)C1 ZINC001268495941 812707633 /nfs/dbraw/zinc/70/76/33/812707633.db2.gz JTJWXVWUBBRPCG-QWRGUYRKSA-N 0 1 293.367 0.194 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001028485094 812753634 /nfs/dbraw/zinc/75/36/34/812753634.db2.gz KERBPVPQMXQSRA-JTQLQIEISA-N 0 1 264.329 0.661 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2c(Cl)cnn2C)C1 ZINC001028539508 812831121 /nfs/dbraw/zinc/83/11/21/812831121.db2.gz YGJWDCZOVCPMLK-JTQLQIEISA-N 0 1 280.759 0.758 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](Nc2ccc(C#N)cn2)C1 ZINC001059024726 812849002 /nfs/dbraw/zinc/84/90/02/812849002.db2.gz QWOZSRNRWQWJNO-NSHDSACASA-N 0 1 297.322 0.706 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H](C)[C@H]1CCC(=O)N1 ZINC001268592617 812883169 /nfs/dbraw/zinc/88/31/69/812883169.db2.gz IKCJTKHMYJUPHQ-BLDJZWNYSA-N 0 1 299.802 0.916 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2cocn2)[C@@H](O)C1 ZINC001083369664 812914153 /nfs/dbraw/zinc/91/41/53/812914153.db2.gz IJNWXWXXIQZXHR-PWSUYJOCSA-N 0 1 265.313 0.416 20 30 CCEDMN CN(CCN(C)c1ccc(C#N)nc1)C(=O)Cc1ccn[nH]1 ZINC001105373713 812917246 /nfs/dbraw/zinc/91/72/46/812917246.db2.gz LYNQWYNNIWBGDN-UHFFFAOYSA-N 0 1 298.350 0.814 20 30 CCEDMN CC(C)N1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@@H](O)C1 ZINC001083390493 812931962 /nfs/dbraw/zinc/93/19/62/812931962.db2.gz TVRLJJQIDMYZCL-NEPJUHHUSA-N 0 1 262.313 0.070 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cccc(Cl)n1 ZINC001127026968 815564328 /nfs/dbraw/zinc/56/43/28/815564328.db2.gz CFFXQFSTNOGGDR-UHFFFAOYSA-N 0 1 295.770 0.981 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)CNCc1cnnn1C ZINC001268681749 813003250 /nfs/dbraw/zinc/00/32/50/813003250.db2.gz IWFHUXUWSJRIIK-LBPRGKRZSA-N 0 1 277.372 0.603 20 30 CCEDMN C#CCCN(C)C[C@@H](C)NC(=O)c1cc(=O)c(OC)co1 ZINC001268739550 813053140 /nfs/dbraw/zinc/05/31/40/813053140.db2.gz NOKPYQUHNJLTMN-LLVKDONJSA-N 0 1 292.335 0.722 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CNC(=O)CCC(C)C ZINC001268750563 813061915 /nfs/dbraw/zinc/06/19/15/813061915.db2.gz NPVAENSIGLZWNZ-CYBMUJFWSA-N 0 1 281.400 0.609 20 30 CCEDMN C=CCCOCC(=O)N[C@H](C)CN(C)[C@@H]1CCN(C)C1=O ZINC001268759903 813071664 /nfs/dbraw/zinc/07/16/64/813071664.db2.gz QCHKCANBDBTQHN-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCCNCc1nocc1C ZINC001268792353 813087145 /nfs/dbraw/zinc/08/71/45/813087145.db2.gz YJUDXXLDVHWCTQ-CYBMUJFWSA-N 0 1 293.367 0.959 20 30 CCEDMN C#CC(=O)N1CCc2n[nH]c(C(=O)N3CCCC34CC4)c2C1 ZINC001268857816 813117008 /nfs/dbraw/zinc/11/70/08/813117008.db2.gz SKZMYKLQMMDBBS-UHFFFAOYSA-N 0 1 298.346 0.696 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCC1CN(CCOCCO)C1 ZINC001268858094 813117568 /nfs/dbraw/zinc/11/75/68/813117568.db2.gz BWILCFMEXXCWDL-UHFFFAOYSA-N 0 1 284.400 0.646 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2n[nH]c3c2CCC3)C1 ZINC001028652303 813164418 /nfs/dbraw/zinc/16/44/18/813164418.db2.gz NEAZFCVCXLZRPO-GFCCVEGCSA-N 0 1 286.379 0.973 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cc(C)ncn1 ZINC001127045519 815599504 /nfs/dbraw/zinc/59/95/04/815599504.db2.gz CSLPAALKBLMNRC-GFCCVEGCSA-N 0 1 276.340 0.029 20 30 CCEDMN C=C(C)CN1C(=O)COCC12CN(C(=O)c1ccn[nH]1)C2 ZINC001269131959 813231515 /nfs/dbraw/zinc/23/15/15/813231515.db2.gz QAQDYIUGZMZLBH-UHFFFAOYSA-N 0 1 290.323 0.039 20 30 CCEDMN C=CCN1C[C@@]2(CCN(C(=O)c3ccn[nH]3)C2)OCC1=O ZINC001269132083 813231743 /nfs/dbraw/zinc/23/17/43/813231743.db2.gz UMJIPHHWNONMCG-CQSZACIVSA-N 0 1 290.323 0.039 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)CN1CCCC1=O ZINC001269196494 813258395 /nfs/dbraw/zinc/25/83/95/813258395.db2.gz WRQWLYRWVJRSTJ-LBPRGKRZSA-N 0 1 265.357 0.375 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2CCN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001075692371 813330178 /nfs/dbraw/zinc/33/01/78/813330178.db2.gz WAFXDIQFZGWKOL-NWDGAFQWSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CCC[N@H+]1C[C@@H]2CCN(C(=O)c3[nH]nc(C)c3[O-])[C@@H]2C1 ZINC001075692371 813330186 /nfs/dbraw/zinc/33/01/86/813330186.db2.gz WAFXDIQFZGWKOL-NWDGAFQWSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2CCN(C(=O)c3[nH]nc(C)c3[O-])[C@@H]2C1 ZINC001075692371 813330191 /nfs/dbraw/zinc/33/01/91/813330191.db2.gz WAFXDIQFZGWKOL-NWDGAFQWSA-N 0 1 288.351 0.593 20 30 CCEDMN CC(C)C#CC(=O)NCC1(NCC(=O)NC(C)C)CC1 ZINC001269406997 813360359 /nfs/dbraw/zinc/36/03/59/813360359.db2.gz BOFKUUSHSZTKNB-UHFFFAOYSA-N 0 1 279.384 0.409 20 30 CCEDMN N#CCC1CN(C(=O)[C@H]2CC23CN(C(=O)c2ccn[nH]2)C3)C1 ZINC001269604050 813442512 /nfs/dbraw/zinc/44/25/12/813442512.db2.gz SRPJQCZHSLNGJS-LLVKDONJSA-N 0 1 299.334 0.244 20 30 CCEDMN N#CCC1CN(C(=O)[C@@H]2CC23CN(C(=O)c2ccn[nH]2)C3)C1 ZINC001269604051 813442812 /nfs/dbraw/zinc/44/28/12/813442812.db2.gz SRPJQCZHSLNGJS-NSHDSACASA-N 0 1 299.334 0.244 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001269732335 813483368 /nfs/dbraw/zinc/48/33/68/813483368.db2.gz RHIVECMCPYKDPC-MRXNPFEDSA-N 0 1 293.411 0.998 20 30 CCEDMN CCc1cc(C(=O)N2CCC[C@@H]2CNCC#N)n[nH]1 ZINC001270006301 813580655 /nfs/dbraw/zinc/58/06/55/813580655.db2.gz HRPGVIWVSAVWRV-LLVKDONJSA-N 0 1 261.329 0.690 20 30 CCEDMN CNC(=O)[C@H](C)N1CCC(C)(NC(=O)C#CC2CC2)CC1 ZINC001270231963 813690608 /nfs/dbraw/zinc/69/06/08/813690608.db2.gz OPADMXIBDQVWKD-LBPRGKRZSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCCN1CC[C@@H](CNC(=O)[C@@H]2CCCS2(=O)=O)C1 ZINC001028996838 813738192 /nfs/dbraw/zinc/73/81/92/813738192.db2.gz KDVULBJBYONHMS-STQMWFEESA-N 0 1 298.408 0.025 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CC(n2cc(CNCC)nn2)C1 ZINC001105381093 813844359 /nfs/dbraw/zinc/84/43/59/813844359.db2.gz NHCNRHQYUDCVHP-LLVKDONJSA-N 0 1 293.371 0.362 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ncn(C)n3)[C@@H]2C1 ZINC001075760834 813848090 /nfs/dbraw/zinc/84/80/90/813848090.db2.gz GYYYXWASRLSWDB-WDEREUQCSA-N 0 1 261.329 0.147 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)[C@@H]1CCc2[nH]c(C)nc2C1 ZINC001038430691 813940381 /nfs/dbraw/zinc/94/03/81/813940381.db2.gz NIIBZGXOLLVLGD-OLZOCXBDSA-N 0 1 286.379 0.647 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ccc(C)nn3)[C@@H]2C1 ZINC001075779667 813984624 /nfs/dbraw/zinc/98/46/24/813984624.db2.gz VSONDFHHBVBQEQ-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN CCc1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CC#N)n[nH]1 ZINC001029219172 813993830 /nfs/dbraw/zinc/99/38/30/813993830.db2.gz PRGSMZNDWPJHIS-TXEJJXNPSA-N 0 1 273.340 0.784 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2COCCO2)[C@H]1CC ZINC001087505615 814012071 /nfs/dbraw/zinc/01/20/71/814012071.db2.gz QRTDOQCARQKLHG-YNEHKIRRSA-N 0 1 266.341 0.004 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](NC(=O)Cc3nnc[nH]3)C2)cn1 ZINC001059300235 814080757 /nfs/dbraw/zinc/08/07/57/814080757.db2.gz LSIHVMNRENJCTQ-HAQNSBGRSA-N 0 1 297.322 0.373 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)CN2CCCC2=O)C1 ZINC001270931302 814088962 /nfs/dbraw/zinc/08/89/62/814088962.db2.gz AKZQNBZEPSOZHT-INIZCTEOSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)N2C)[C@H]1CC ZINC001087683868 814185577 /nfs/dbraw/zinc/18/55/77/814185577.db2.gz VHSSSUQTHFQQHN-HZSPNIEDSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2ccccc2=O)[C@H]1CC ZINC001087824022 814224216 /nfs/dbraw/zinc/22/42/16/814224216.db2.gz FTHQYGBNLCOBNT-UONOGXRCSA-N 0 1 287.363 0.451 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H](F)C(C)C)C1 ZINC001271176419 814317166 /nfs/dbraw/zinc/31/71/66/814317166.db2.gz SNCQDCRJBMEKHJ-WCQYABFASA-N 0 1 256.321 0.167 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@]2(O)CCN(CC#CC)C2)nc1 ZINC001271210892 814334687 /nfs/dbraw/zinc/33/46/87/814334687.db2.gz UTMFUJRZEGLWQZ-KRWDZBQOSA-N 0 1 297.358 0.253 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H](CC)CCC)C1 ZINC001271211151 814335579 /nfs/dbraw/zinc/33/55/79/814335579.db2.gz VQBYRSXOFMSMLY-ZFWWWQNUSA-N 0 1 266.385 0.999 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2C[C@@]2(C(=O)NCc2cnc[nH]2)C1 ZINC001271220272 814339534 /nfs/dbraw/zinc/33/95/34/814339534.db2.gz GBUUKBNNGYIXJQ-GPCCPHFNSA-N 0 1 287.323 0.034 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)CSCC#N)C1 ZINC001271244853 814348623 /nfs/dbraw/zinc/34/86/23/814348623.db2.gz QMPZEGYAUMFQGM-LBPRGKRZSA-N 0 1 297.424 0.761 20 30 CCEDMN C#C[C@H](Oc1c[nH]c(C(=O)OC)cc1=O)C(=O)OCC ZINC001227786480 814509911 /nfs/dbraw/zinc/50/99/11/814509911.db2.gz SDQPFLQTIWXGLK-JTQLQIEISA-N 0 1 279.248 0.105 20 30 CCEDMN N#Cc1cccc(N2CCN(Cc3c[nH]nn3)CC2)c1 ZINC001203212509 814667520 /nfs/dbraw/zinc/66/75/20/814667520.db2.gz SWCMYNZFVTVWIJ-UHFFFAOYSA-N 0 1 268.324 0.999 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnnn2CC)[C@H]1C ZINC001088549755 814872421 /nfs/dbraw/zinc/87/24/21/814872421.db2.gz CLKBYDXEWCSBNJ-MNOVXSKESA-N 0 1 263.345 0.677 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cncc(F)c1 ZINC001127039681 815590107 /nfs/dbraw/zinc/59/01/07/815590107.db2.gz PBIYYXZWFLPYCH-LLVKDONJSA-N 0 1 279.315 0.465 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nn(C)cc2C)[C@H]1C ZINC001088561991 814906928 /nfs/dbraw/zinc/90/69/28/814906928.db2.gz VMNJVGJKURHXJP-OLZOCXBDSA-N 0 1 274.368 0.944 20 30 CCEDMN N#CCc1cc(NC2CC3(C2)CS(=O)(=O)C3)ccn1 ZINC001168207437 814907434 /nfs/dbraw/zinc/90/74/34/814907434.db2.gz GSUNMLHKVDHUNK-UHFFFAOYSA-N 0 1 277.349 0.559 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1conc1CC ZINC001127046619 815600523 /nfs/dbraw/zinc/60/05/23/815600523.db2.gz TVQYVRMBUXHZQD-LLVKDONJSA-N 0 1 279.340 0.481 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cn(C)nc2Cl)[C@H]1C ZINC001088856296 816081134 /nfs/dbraw/zinc/08/11/34/816081134.db2.gz GWUNGWYEOLPFHE-PWSUYJOCSA-N 0 1 294.786 0.828 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2[nH]cnc2C)[C@H]1C ZINC001089005665 816210309 /nfs/dbraw/zinc/21/03/09/816210309.db2.gz SCCDVDGLTYOFBE-NEPJUHHUSA-N 0 1 260.341 0.473 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)NC1CN(CC#N)C1 ZINC001030697126 816231503 /nfs/dbraw/zinc/23/15/03/816231503.db2.gz KOTLDLKDAVOIBT-NOZJJQNGSA-N 0 1 273.340 0.400 20 30 CCEDMN COCC#CCN1CCC2(CC1)CN(C(C)=O)C[C@@H](C)O2 ZINC001111532349 816248088 /nfs/dbraw/zinc/24/80/88/816248088.db2.gz WCKFUETXFYDMLS-CQSZACIVSA-N 0 1 294.395 0.738 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC1CN(CC2CCOCC2)C1 ZINC001030979612 816527754 /nfs/dbraw/zinc/52/77/54/816527754.db2.gz RIBKLEBRACLDKM-CABCVRRESA-N 0 1 294.395 0.805 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1(C#N)CCSCC1 ZINC001122011151 816531254 /nfs/dbraw/zinc/53/12/54/816531254.db2.gz JBMROSFSODSXGD-NXEZZACHSA-N 0 1 264.354 0.251 20 30 CCEDMN C=CCNC(=O)[C@@H]1CC12CCN(CC(=O)N(C)C)CC2 ZINC001272534455 816558632 /nfs/dbraw/zinc/55/86/32/816558632.db2.gz IYSXZZGNYCELJH-LBPRGKRZSA-N 0 1 279.384 0.479 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CC3(CCC3)C2)[C@@H](O)C1 ZINC001083709908 816593055 /nfs/dbraw/zinc/59/30/55/816593055.db2.gz LENCDZWTLVKTTF-KGLIPLIRSA-N 0 1 276.380 0.751 20 30 CCEDMN N#CCN1CC(NC(=O)c2cccc3nc[nH]c32)C1 ZINC001031134768 816688533 /nfs/dbraw/zinc/68/85/33/816688533.db2.gz OJHFBDRZRIUSOC-UHFFFAOYSA-N 0 1 255.281 0.500 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001046630251 816711328 /nfs/dbraw/zinc/71/13/28/816711328.db2.gz PFZRGXUNGYXBKW-YRGRVCCFSA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)C1(C(N)=O)CC1 ZINC001099214809 816724003 /nfs/dbraw/zinc/72/40/03/816724003.db2.gz MTQBQCHHKDBOCZ-GFCCVEGCSA-N 0 1 291.395 0.492 20 30 CCEDMN C[C@H](CCNc1cnc(C#N)cn1)NC(=O)c1ncn[nH]1 ZINC001106413268 816763493 /nfs/dbraw/zinc/76/34/93/816763493.db2.gz ZQHKNCSVZOEBOA-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CCNc1cnc(C#N)cn1)NC(=O)c1nc[nH]n1 ZINC001106413268 816763499 /nfs/dbraw/zinc/76/34/99/816763499.db2.gz ZQHKNCSVZOEBOA-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H]1CC[N@@H+](CC(=O)N2C[C@@H](CNCC#N)[C@H](C)C2)C1 ZINC001106666077 816843406 /nfs/dbraw/zinc/84/34/06/816843406.db2.gz HEWPELZEDWXWBT-BFHYXJOUSA-N 0 1 278.400 0.536 20 30 CCEDMN CCN(CCNc1nccnc1C#N)C(=O)c1ccn[nH]1 ZINC001106697856 816853204 /nfs/dbraw/zinc/85/32/04/816853204.db2.gz QAQHVJHMIKAUQT-UHFFFAOYSA-N 0 1 285.311 0.646 20 30 CCEDMN CCN(CCNc1ccncc1C#N)C(=O)Cc1ccn[nH]1 ZINC001106713685 816862882 /nfs/dbraw/zinc/86/28/82/816862882.db2.gz WRYFIMPZEKAMMH-UHFFFAOYSA-N 0 1 298.350 0.601 20 30 CCEDMN CCC[C@H](C)CC(=O)NCCCN(C)CC(=O)NCC#N ZINC001235365600 816867956 /nfs/dbraw/zinc/86/79/56/816867956.db2.gz UADWNEBDDZVIHQ-ZDUSSCGKSA-N 0 1 296.415 0.891 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(CO)o1 ZINC001272631319 816881197 /nfs/dbraw/zinc/88/11/97/816881197.db2.gz JCKVCQXUTXYFEE-HUUCEWRRSA-N 0 1 288.347 0.970 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2CCC(OC)CC2)[C@@H](O)C1 ZINC001083738254 816892552 /nfs/dbraw/zinc/89/25/52/816892552.db2.gz WEQZPDUYNZXESB-CVSAEHQPSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2cnn(C)n2)C1 ZINC001046981545 816995152 /nfs/dbraw/zinc/99/51/52/816995152.db2.gz DVAMYOMFBZEKBE-SNVBAGLBSA-N 0 1 299.325 0.688 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CCC(F)CC2)[C@@H](O)C1 ZINC001083753972 817002599 /nfs/dbraw/zinc/00/25/99/817002599.db2.gz SZTIDGALJTXQPB-PQAZSJQKSA-N 0 1 282.359 0.699 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2n[nH]c(C)c2C)C1 ZINC001031588922 817167769 /nfs/dbraw/zinc/16/77/69/817167769.db2.gz BCHLNZJICAEHKJ-UHFFFAOYSA-N 0 1 260.341 0.711 20 30 CCEDMN CO[C@@H]1C[N@@H+](CCOC(C)C)C[C@H]1NC(=O)C#CC1CC1 ZINC001212250664 817234234 /nfs/dbraw/zinc/23/42/34/817234234.db2.gz DVTAHMNETBVQDR-HUUCEWRRSA-N 0 1 294.395 0.640 20 30 CCEDMN CO[C@@H]1CN(CCOC(C)C)C[C@H]1NC(=O)C#CC1CC1 ZINC001212250664 817234239 /nfs/dbraw/zinc/23/42/39/817234239.db2.gz DVTAHMNETBVQDR-HUUCEWRRSA-N 0 1 294.395 0.640 20 30 CCEDMN C=C[C@](C)(O)C(=O)NC[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC001124021423 817254031 /nfs/dbraw/zinc/25/40/31/817254031.db2.gz WSBOMGTXSQZBJH-DZKIICNBSA-N 0 1 296.411 0.931 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2C[C@H](C)O)cc1 ZINC001038589514 817323102 /nfs/dbraw/zinc/32/31/02/817323102.db2.gz QGAAWHCJWSITJX-SWLSCSKDSA-N 0 1 272.348 0.853 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(C)c(C)cc2=O)C1 ZINC001031750747 817336690 /nfs/dbraw/zinc/33/66/90/817336690.db2.gz VJDCTJQLDKZLSU-UHFFFAOYSA-N 0 1 287.363 0.379 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cn3cccnc3n2)C1 ZINC001031812323 817389137 /nfs/dbraw/zinc/38/91/37/817389137.db2.gz XFMNOIWITVYQHX-UHFFFAOYSA-N 0 1 285.351 0.967 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnc(OC)n2)C1 ZINC001031839129 817407299 /nfs/dbraw/zinc/40/72/99/817407299.db2.gz JELKZEYSFBMFNX-UHFFFAOYSA-N 0 1 262.313 0.333 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(OCC)no2)C1 ZINC001031852877 817419079 /nfs/dbraw/zinc/41/90/79/817419079.db2.gz POESRDSWXUVRAL-UHFFFAOYSA-N 0 1 277.324 0.758 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)c2conc2C2CC2)[C@@H](O)C1 ZINC001083769160 817442415 /nfs/dbraw/zinc/44/24/15/817442415.db2.gz HNQBTYAVGGPEJM-OLZOCXBDSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2conc2C2CC2)[C@@H](O)C1 ZINC001083769160 817442419 /nfs/dbraw/zinc/44/24/19/817442419.db2.gz HNQBTYAVGGPEJM-OLZOCXBDSA-N 0 1 291.351 0.903 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CCCNC(=O)C1CC1 ZINC000130967492 817452983 /nfs/dbraw/zinc/45/29/83/817452983.db2.gz SOKWABLWBUQCDA-NSHDSACASA-N 0 1 279.340 0.526 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCNCc1n[nH]c(C)n1 ZINC001124487916 817486322 /nfs/dbraw/zinc/48/63/22/817486322.db2.gz IYYCCIFNHRYGPR-SNVBAGLBSA-N 0 1 281.360 0.300 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001031980669 817518431 /nfs/dbraw/zinc/51/84/31/817518431.db2.gz AKHJZBMVRUAIDY-OLZOCXBDSA-N 0 1 279.384 0.512 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001032014896 817547285 /nfs/dbraw/zinc/54/72/85/817547285.db2.gz MERMNARCBXZXHQ-CYBMUJFWSA-N 0 1 279.384 0.479 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn3cc(C)cnc23)C1 ZINC001032017030 817550745 /nfs/dbraw/zinc/55/07/45/817550745.db2.gz FEHDVFAVZAQAFM-UHFFFAOYSA-N 0 1 297.362 0.723 20 30 CCEDMN C=CCOCC(=O)NCCNCc1oc(CC)nc1C ZINC001124768081 817570252 /nfs/dbraw/zinc/57/02/52/817570252.db2.gz RNTDWQRCENWQOY-UHFFFAOYSA-N 0 1 281.356 0.954 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cnc(C)nc1 ZINC001124767939 817571418 /nfs/dbraw/zinc/57/14/18/817571418.db2.gz OVCRFMUVQYJMEJ-UHFFFAOYSA-N 0 1 264.329 0.193 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c(OC)cc[nH]c2=O)C1 ZINC001032132570 817659445 /nfs/dbraw/zinc/65/94/45/817659445.db2.gz CWQAYEAIMIOJLO-UHFFFAOYSA-N 0 1 277.324 0.643 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001032160107 817671565 /nfs/dbraw/zinc/67/15/65/817671565.db2.gz ITMHWUTYBCWENW-LBPRGKRZSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc[n+]([O-])cc1 ZINC001032264131 817764500 /nfs/dbraw/zinc/76/45/00/817764500.db2.gz TZGCLOZXZADSLJ-KBPBESRZSA-N 0 1 273.336 0.795 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)Cc2nnc[nH]2)cn1 ZINC001107333540 817835984 /nfs/dbraw/zinc/83/59/84/817835984.db2.gz MDDKEAGRDUHETJ-UPHRSURJSA-N 0 1 297.322 0.398 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2occc2C)C1 ZINC001077638589 817900452 /nfs/dbraw/zinc/90/04/52/817900452.db2.gz GXDMSGWQYJHEEH-CHWSQXEVSA-N 0 1 278.352 0.868 20 30 CCEDMN C[C@H](CNc1ncccc1C#N)NC(=O)[C@@H]1CCC[N@H+]1C ZINC001107645590 817913056 /nfs/dbraw/zinc/91/30/56/817913056.db2.gz XZMJEKAUASBKAT-YPMHNXCESA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@H](CNc1ncccc1C#N)NC(=O)[C@@H]1CCCN1C ZINC001107645590 817913063 /nfs/dbraw/zinc/91/30/63/817913063.db2.gz XZMJEKAUASBKAT-YPMHNXCESA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@H](CNc1cncc(C#N)n1)NC(=O)[C@@H]1CCC[N@H+]1C ZINC001107645652 817913698 /nfs/dbraw/zinc/91/36/98/817913698.db2.gz ZTKLULAQLHOKKO-PWSUYJOCSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@H](CNc1cncc(C#N)n1)NC(=O)[C@@H]1CCCN1C ZINC001107645652 817913701 /nfs/dbraw/zinc/91/37/01/817913701.db2.gz ZTKLULAQLHOKKO-PWSUYJOCSA-N 0 1 288.355 0.359 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2ocnc2CC)C1 ZINC001077648305 817914303 /nfs/dbraw/zinc/91/43/03/817914303.db2.gz CHWQBVAKNLXLAU-CHWSQXEVSA-N 0 1 293.367 0.978 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2CC3(CC3)C2)C1 ZINC001077653455 817937258 /nfs/dbraw/zinc/93/72/58/817937258.db2.gz ZYDOWEQBBGVOAU-CHWSQXEVSA-N 0 1 262.353 0.361 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)cc(F)c2)[C@@H](O)C1 ZINC001083802530 818059001 /nfs/dbraw/zinc/05/90/01/818059001.db2.gz BLVLAECYVFADPB-CABCVRRESA-N 0 1 290.338 0.932 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C3CC3)n[nH]2)[C@@H](O)C1 ZINC001083802001 818059102 /nfs/dbraw/zinc/05/91/02/818059102.db2.gz VPXLTJPSINPMFS-KGLIPLIRSA-N 0 1 288.351 0.085 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CC23CCC3)[C@@H](O)C1 ZINC001083818074 818240411 /nfs/dbraw/zinc/24/04/11/818240411.db2.gz PBWKNFUMBLWJCB-UPJWGTAASA-N 0 1 264.369 0.914 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cc(C)nn1C ZINC001038089424 818247629 /nfs/dbraw/zinc/24/76/29/818247629.db2.gz LSBPOGIXSRQWES-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccccn2)[C@H](O)C1 ZINC001090007182 818262869 /nfs/dbraw/zinc/26/28/69/818262869.db2.gz PSKBUBHCGRWKCD-WCQYABFASA-N 0 1 261.325 0.433 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2cc[nH]c(=O)c2)C1 ZINC001032990921 818406665 /nfs/dbraw/zinc/40/66/65/818406665.db2.gz ABKQFUGUPLCSAD-LBPRGKRZSA-N 0 1 259.309 0.567 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cc(C)n[nH]2)C1 ZINC001032998521 818413097 /nfs/dbraw/zinc/41/30/97/818413097.db2.gz DQSFQJISQIXJHG-CYBMUJFWSA-N 0 1 260.341 0.427 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2snnc2C)[C@H](O)C1 ZINC001090066093 818447290 /nfs/dbraw/zinc/44/72/90/818447290.db2.gz VDNUPRJRRCJFFO-GHMZBOCLSA-N 0 1 296.396 0.588 20 30 CCEDMN CC#CCN1CC(C)(C)CC[C@H]1CNC(=O)CNC(C)=O ZINC001098849789 818450380 /nfs/dbraw/zinc/45/03/80/818450380.db2.gz OYFHWPJPFRRMEM-AWEZNQCLSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccoc2)[C@@H](O)C1 ZINC001090068422 818452805 /nfs/dbraw/zinc/45/28/05/818452805.db2.gz LBKNTQRFSITLTH-RYUDHWBXSA-N 0 1 250.298 0.631 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(C)c2C)[C@H](O)C1 ZINC001090081051 818475799 /nfs/dbraw/zinc/47/57/99/818475799.db2.gz ZYPCXBIOWAKACF-QWHCGFSZSA-N 0 1 278.356 0.080 20 30 CCEDMN CN1CCN(C(=N)Nc2nccc(C#N)c2[N+](=O)[O-])CC1 ZINC001168736571 818548625 /nfs/dbraw/zinc/54/86/25/818548625.db2.gz OJSKHYBDCVZYTK-UHFFFAOYSA-N 0 1 289.299 0.456 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001033158099 818584997 /nfs/dbraw/zinc/58/49/97/818584997.db2.gz HHZJRNRTHKAPCJ-XQQFMLRXSA-N 0 1 268.357 0.509 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cn[nH]c(=O)c2)C1 ZINC001033178371 818601972 /nfs/dbraw/zinc/60/19/72/818601972.db2.gz SFXODLWJTWURKD-LBPRGKRZSA-N 0 1 274.324 0.352 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CCCNC2=O)CCC1 ZINC001273099886 818605819 /nfs/dbraw/zinc/60/58/19/818605819.db2.gz FQEPDQWPOYLMCU-LLVKDONJSA-N 0 1 299.802 0.894 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033193336 818638412 /nfs/dbraw/zinc/63/84/12/818638412.db2.gz SQFNZDPWEBYVMA-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001033193336 818638416 /nfs/dbraw/zinc/63/84/16/818638416.db2.gz SQFNZDPWEBYVMA-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN Cc1ncc(C#N)c(-n2c(CN)nc3cnccc32)n1 ZINC001162215380 818655227 /nfs/dbraw/zinc/65/52/27/818655227.db2.gz WWPBRUHBDOLCDV-UHFFFAOYSA-N 0 1 265.280 0.849 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C)nc2C)[C@H](O)C1 ZINC001090122737 818664989 /nfs/dbraw/zinc/66/49/89/818664989.db2.gz JJPOPTBFZHDWHE-CHWSQXEVSA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnn3c2OCCC3)C1 ZINC001033235772 818668322 /nfs/dbraw/zinc/66/83/22/818668322.db2.gz XQKZMZYATZDWLG-GFCCVEGCSA-N 0 1 290.367 0.998 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cc(C(N)=O)cn2C)C1 ZINC001033239526 818670242 /nfs/dbraw/zinc/67/02/42/818670242.db2.gz YEDVRTDCPVYKFL-LBPRGKRZSA-N 0 1 290.367 0.456 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)n(C)n2)[C@H](O)C1 ZINC001090128241 818679144 /nfs/dbraw/zinc/67/91/44/818679144.db2.gz KMFRQACUTLJBCP-WCQYABFASA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001033345214 818730201 /nfs/dbraw/zinc/73/02/01/818730201.db2.gz VTJPSSIAEGDBMU-SWLSCSKDSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001033365455 818732958 /nfs/dbraw/zinc/73/29/58/818732958.db2.gz HBLWISWPYLLAKL-VXGBXAGGSA-N 0 1 287.367 0.391 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2c[nH]c(=O)cc2C)C1 ZINC001033411040 818759871 /nfs/dbraw/zinc/75/98/71/818759871.db2.gz OZICNVMCGQQHBZ-GFCCVEGCSA-N 0 1 273.336 0.875 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033426148 818773185 /nfs/dbraw/zinc/77/31/85/818773185.db2.gz OJLJTDYZOYBONY-JTQLQIEISA-N 0 1 276.340 0.446 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cn2ccc(C)n2)C1 ZINC001033437122 818776755 /nfs/dbraw/zinc/77/67/55/818776755.db2.gz DPMMLIZPOIFWEK-CYBMUJFWSA-N 0 1 262.357 0.910 20 30 CCEDMN CN(C(=O)c1cc(C2CC2)[nH]n1)[C@H]1CCN(CC#N)C1 ZINC001033448760 818781585 /nfs/dbraw/zinc/78/15/85/818781585.db2.gz MXWAXISKYUJZMY-NSHDSACASA-N 0 1 273.340 0.957 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C(=O)N2CCC[C@@H](C)C2)C1 ZINC001033478385 818792705 /nfs/dbraw/zinc/79/27/05/818792705.db2.gz BPHURJUWPYPKHC-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001033577984 818836279 /nfs/dbraw/zinc/83/62/79/818836279.db2.gz SJZIDADRCPLKTE-NEPJUHHUSA-N 0 1 287.367 0.076 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CN(CC)CCO2)C1 ZINC001033670394 818878580 /nfs/dbraw/zinc/87/85/80/818878580.db2.gz BCKSBTUBKQPVFP-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cn2ccccc2=O)C1 ZINC001033658788 818878629 /nfs/dbraw/zinc/87/86/29/818878629.db2.gz WMVOIRDTQLOBBN-AWEZNQCLSA-N 0 1 287.363 0.404 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](N(C)C(=O)[C@@H]2C[NH+](CC)CCO2)C1 ZINC001033681118 818883598 /nfs/dbraw/zinc/88/35/98/818883598.db2.gz RUQSTHRNIJSEHD-KGLIPLIRSA-N 0 1 281.400 0.426 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001033677108 818884693 /nfs/dbraw/zinc/88/46/93/818884693.db2.gz ZJTGLOMALCICLJ-TZMCWYRMSA-N 0 1 286.379 0.681 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cc(C)on2)[C@H](O)C1 ZINC001090142456 818888747 /nfs/dbraw/zinc/88/87/47/818888747.db2.gz VLFZHAPTIPXPGP-ZIAGYGMSSA-N 0 1 291.351 0.100 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(C)C(=O)[C@H](C)N2CCCCC2=O)C1 ZINC001033689455 818890875 /nfs/dbraw/zinc/89/08/75/818890875.db2.gz ZDKVUXLNILFAOA-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H](C)N2CCCCC2=O)C1 ZINC001033689455 818890881 /nfs/dbraw/zinc/89/08/81/818890881.db2.gz ZDKVUXLNILFAOA-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cc[n+]([O-])cc2)C1 ZINC001033741166 818912479 /nfs/dbraw/zinc/91/24/79/818912479.db2.gz UXYLHTHAICDJMV-OAHLLOKOSA-N 0 1 287.363 0.880 20 30 CCEDMN CCN(C(=O)[C@H]1CCCN1C)[C@H]1CCN(CC#N)C1 ZINC001033778241 818938008 /nfs/dbraw/zinc/93/80/08/818938008.db2.gz YWZJXDHAEKNCLY-QWHCGFSZSA-N 0 1 264.373 0.527 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)C1(C#N)CC1 ZINC001143188235 818940890 /nfs/dbraw/zinc/94/08/90/818940890.db2.gz CGCRVTGYRCGBDX-UHFFFAOYSA-N 0 1 262.269 0.506 20 30 CCEDMN Cn1cc(/C=C\C(=O)NC2(C#N)CCN(C)CC2)cn1 ZINC000701324251 818942032 /nfs/dbraw/zinc/94/20/32/818942032.db2.gz JNFLLOAPMPVLKB-ARJAWSKDSA-N 0 1 273.340 0.537 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cnn[n-]2)C1 ZINC001033890212 818976608 /nfs/dbraw/zinc/97/66/08/818976608.db2.gz DFAXXTMPZZRLMP-LLVKDONJSA-N 0 1 261.329 0.364 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cn[nH]n2)C1 ZINC001033890212 818976615 /nfs/dbraw/zinc/97/66/15/818976615.db2.gz DFAXXTMPZZRLMP-LLVKDONJSA-N 0 1 261.329 0.364 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033930186 818999321 /nfs/dbraw/zinc/99/93/21/818999321.db2.gz USDBGRCVWAXYFW-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc[nH]c(=O)c2)[C@H](O)C1 ZINC001090175131 819021493 /nfs/dbraw/zinc/02/14/93/819021493.db2.gz QHJRMOJSXBDHNQ-NWDGAFQWSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001033999086 819029016 /nfs/dbraw/zinc/02/90/16/819029016.db2.gz QQNKVECAUIYMSK-GFCCVEGCSA-N 0 1 290.367 0.836 20 30 CCEDMN C=CCC[N@H+]1CCO[C@@](C)(CNC(=O)c2[nH]nnc2C)C1 ZINC001108112620 819047160 /nfs/dbraw/zinc/04/71/60/819047160.db2.gz WBNYXRIKEDYRCB-AWEZNQCLSA-N 0 1 293.371 0.510 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2[nH]nnc2C)C1 ZINC001108112620 819047166 /nfs/dbraw/zinc/04/71/66/819047166.db2.gz WBNYXRIKEDYRCB-AWEZNQCLSA-N 0 1 293.371 0.510 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001034142591 819085678 /nfs/dbraw/zinc/08/56/78/819085678.db2.gz PXTHZZFBLLMLBO-OAHLLOKOSA-N 0 1 288.395 0.957 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001034142264 819086667 /nfs/dbraw/zinc/08/66/67/819086667.db2.gz KLZZKLYFQXDBQP-CYBMUJFWSA-N 0 1 260.341 0.556 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C1(COC)CCOCC1 ZINC001128854355 819086860 /nfs/dbraw/zinc/08/68/60/819086860.db2.gz BGGHGWUSBRSTCI-UHFFFAOYSA-N 0 1 290.791 0.888 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2ccon2)C1 ZINC001034131629 819090503 /nfs/dbraw/zinc/09/05/03/819090503.db2.gz NUCZXTBCRMMIEH-CYBMUJFWSA-N 0 1 261.325 0.821 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2ccnc3ccnn32)C1 ZINC001034244300 819137973 /nfs/dbraw/zinc/13/79/73/819137973.db2.gz PCWBNYIVGKWVFE-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccc(C)o2)[C@@H](O)C1 ZINC001090204337 819156919 /nfs/dbraw/zinc/15/69/19/819156919.db2.gz PSIMHIVNPNBGOE-GJZGRUSLSA-N 0 1 290.363 0.705 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001034326989 819166940 /nfs/dbraw/zinc/16/69/40/819166940.db2.gz OKTCZWUQMJEXOS-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001034361688 819186088 /nfs/dbraw/zinc/18/60/88/819186088.db2.gz CFSZVAXHJQHCNE-XJKSGUPXSA-N 0 1 291.395 0.507 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2CCCCN(CC#N)C2)=NC1=O ZINC001034375965 819186212 /nfs/dbraw/zinc/18/62/12/819186212.db2.gz HNDCMIZVJJHJME-SNVBAGLBSA-N 0 1 277.328 0.234 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2nc(C)c[nH]2)C1 ZINC001034437311 819204358 /nfs/dbraw/zinc/20/43/58/819204358.db2.gz ULNBQSIGECFQJR-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]ccc2C)[C@@H](O)C1 ZINC001090239638 819292149 /nfs/dbraw/zinc/29/21/49/819292149.db2.gz DVKYFZJNDWIPLC-STQMWFEESA-N 0 1 275.352 0.511 20 30 CCEDMN CCCCCCCNS(=O)(=O)C[C@@H]1CNCCO1 ZINC000703718661 819317243 /nfs/dbraw/zinc/31/72/43/819317243.db2.gz WPDJZADALSTKEX-LBPRGKRZSA-N 0 1 278.418 0.865 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2n[nH]cc2C)[C@@H](O)C1 ZINC001090252522 819315824 /nfs/dbraw/zinc/31/58/24/819315824.db2.gz TYUWUKLMIDBSKZ-RYUDHWBXSA-N 0 1 278.356 0.459 20 30 CCEDMN C#CCCN1CCO[C@@H](CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001035415394 819517739 /nfs/dbraw/zinc/51/77/39/819517739.db2.gz BFZDBIKFQVWIRI-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCO[C@H](CNC(=O)[C@H]2CCCCN2CC)C1 ZINC001035615141 819591318 /nfs/dbraw/zinc/59/13/18/819591318.db2.gz TXZGMEBONSWJEY-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)Cn1cccn1)CC2 ZINC001035650467 819591964 /nfs/dbraw/zinc/59/19/64/819591964.db2.gz OIRNGDYIICCDIJ-UHFFFAOYSA-N 0 1 286.379 0.831 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1nccnc1N)CC2 ZINC001035675555 819600969 /nfs/dbraw/zinc/60/09/69/819600969.db2.gz SKVDCMUGEGABKR-UHFFFAOYSA-N 0 1 287.367 0.783 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CC(C)(C)O)CC2 ZINC001035695692 819610330 /nfs/dbraw/zinc/61/03/30/819610330.db2.gz WSSCDMJUXNUWAU-UHFFFAOYSA-N 0 1 264.369 0.705 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CC[C@@H]1C(N)=O)CC2 ZINC001035767946 819620539 /nfs/dbraw/zinc/62/05/39/819620539.db2.gz XYNNKBDFQGSJPG-QWHCGFSZSA-N 0 1 289.379 0.056 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CC[C@H]1C(N)=O)CC2 ZINC001035767944 819621529 /nfs/dbraw/zinc/62/15/29/819621529.db2.gz XYNNKBDFQGSJPG-CHWSQXEVSA-N 0 1 289.379 0.056 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@]1(C)CCC(=O)N1)CC2 ZINC001035783447 819624941 /nfs/dbraw/zinc/62/49/41/819624941.db2.gz AGPOBUQTYMMXOK-HNNXBMFYSA-N 0 1 289.379 0.213 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ncccn1)CC2 ZINC001035822374 819629229 /nfs/dbraw/zinc/62/92/29/819629229.db2.gz WLJRNJQQHNSACQ-UHFFFAOYSA-N 0 1 270.336 0.648 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cn1ccccc1=O)CC2 ZINC001035849041 819632806 /nfs/dbraw/zinc/63/28/06/819632806.db2.gz PIGCWJCJJIZECO-UHFFFAOYSA-N 0 1 299.374 0.406 20 30 CCEDMN C#CC1(O)CCN([C@H](C)C(=O)NCCC(C)C)CC1 ZINC000708090014 819757549 /nfs/dbraw/zinc/75/75/49/819757549.db2.gz UWOHYMNTPLDSJQ-CYBMUJFWSA-N 0 1 266.385 0.997 20 30 CCEDMN N#Cc1ccc(N2C[C@@H]3C[C@H]2CN3C(=O)c2ccn[nH]2)cn1 ZINC001062450572 819954725 /nfs/dbraw/zinc/95/47/25/819954725.db2.gz JNLBCXCRMKXUAY-STQMWFEESA-N 0 1 294.318 0.780 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]2CN(C(=O)CC(N)=O)CC[C@H]21 ZINC001036671967 819979670 /nfs/dbraw/zinc/97/96/70/819979670.db2.gz SAQBKPXEWOQYET-GHMZBOCLSA-N 0 1 285.775 0.537 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccncc2C)[C@H](O)C1 ZINC001090265095 819999843 /nfs/dbraw/zinc/99/98/43/819999843.db2.gz JOUXQENFZCVWKM-HUUCEWRRSA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn3c(n2)CCC3)[C@H](O)C1 ZINC001090275560 820052581 /nfs/dbraw/zinc/05/25/81/820052581.db2.gz ZVENEOIKLGYFDG-WCQYABFASA-N 0 1 290.367 0.180 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc[nH]c2)[C@H](O)C1 ZINC001090289386 820140617 /nfs/dbraw/zinc/14/06/17/820140617.db2.gz IJMOUWAXVRLWJJ-CHWSQXEVSA-N 0 1 261.325 0.203 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(CC)n2)[C@H](O)C1 ZINC001090327435 820265883 /nfs/dbraw/zinc/26/58/83/820265883.db2.gz ROCJYXUOROBJNZ-DZGCQCFKSA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)ncn2C)[C@@H](O)C1 ZINC001090340242 820279676 /nfs/dbraw/zinc/27/96/76/820279676.db2.gz XSUIHXSVDRZZQT-RYUDHWBXSA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(OCC)n[nH]2)[C@H](O)C1 ZINC001090355873 820295772 /nfs/dbraw/zinc/29/57/72/820295772.db2.gz SJWLDUIGARTZAO-CMPLNLGQSA-N 0 1 294.355 0.159 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncc(F)cc2F)[C@@H](O)C1 ZINC001090358714 820297805 /nfs/dbraw/zinc/29/78/05/820297805.db2.gz OMWUIGYPKVJDHB-NEPJUHHUSA-N 0 1 297.305 0.711 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(C)c2Cl)[C@@H](O)C1 ZINC001090364215 820303735 /nfs/dbraw/zinc/30/37/35/820303735.db2.gz UUYRHSFBDPRJET-MNOVXSKESA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCOc1cccnc1C(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001083935110 820307871 /nfs/dbraw/zinc/30/78/71/820307871.db2.gz MVCRADVDSWNHIP-NEPJUHHUSA-N 0 1 291.351 0.441 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cn2cc(C)cn2)C1 ZINC001079467631 820403666 /nfs/dbraw/zinc/40/36/66/820403666.db2.gz QOKRKPGNROHSDP-CHWSQXEVSA-N 0 1 260.341 0.261 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC001079519967 820419524 /nfs/dbraw/zinc/41/95/24/820419524.db2.gz KVKSHZNTIFMXHE-MWLCHTKSSA-N 0 1 263.345 0.360 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(C)nn2)C1 ZINC001079680119 820449463 /nfs/dbraw/zinc/44/94/63/820449463.db2.gz CBHZEGRSEQREDX-BXUZGUMPSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCCCC(=O)N(CC)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001079679336 820450462 /nfs/dbraw/zinc/45/04/62/820450462.db2.gz CIFGRQMJDOLNNC-UHFFFAOYSA-N 0 1 288.351 0.886 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)c2ccnn2C)C1 ZINC001079716222 820457263 /nfs/dbraw/zinc/45/72/63/820457263.db2.gz LGZCZDAXBFTZRB-HZSPNIEDSA-N 0 1 288.395 0.983 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001079884888 820485821 /nfs/dbraw/zinc/48/58/21/820485821.db2.gz BPWFBPQWIYAKNB-TZMCWYRMSA-N 0 1 288.395 0.974 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cncn2C)C1 ZINC001080057499 820509217 /nfs/dbraw/zinc/50/92/17/820509217.db2.gz JWAIIVWFJGRRLR-VXGBXAGGSA-N 0 1 260.341 0.494 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC001080319825 820551389 /nfs/dbraw/zinc/55/13/89/820551389.db2.gz ZDQIFIVHZDJKGR-MCIONIFRSA-N 0 1 291.395 0.456 20 30 CCEDMN CCN(C(=O)[C@@H](C)C#N)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001080411847 820570260 /nfs/dbraw/zinc/57/02/60/820570260.db2.gz VQRZCPAHTOQWHI-VIFPVBQESA-N 0 1 275.312 0.242 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2nonc2C)C1 ZINC001080696394 820622222 /nfs/dbraw/zinc/62/22/22/820622222.db2.gz TXZOASFTBPDRED-ZWNOBZJWSA-N 0 1 276.340 0.380 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCCOC2)C1 ZINC001080958063 820662338 /nfs/dbraw/zinc/66/23/38/820662338.db2.gz IEVUZYHTLJZFJG-HZSPNIEDSA-N 0 1 264.369 0.873 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc[n+]([O-])cc2)[C@H](OC)C1 ZINC001081311094 820736366 /nfs/dbraw/zinc/73/63/66/820736366.db2.gz VNXDDDXCFJGWKZ-ZIAGYGMSSA-N 0 1 291.351 0.325 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccn[nH]2)[C@H](OC)C1 ZINC001081385913 820750851 /nfs/dbraw/zinc/75/08/51/820750851.db2.gz ZIIPJEOJQOABJK-VXGBXAGGSA-N 0 1 264.329 0.415 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)C2CC2)[C@H](OC)C1 ZINC001081500063 820779561 /nfs/dbraw/zinc/77/95/61/820779561.db2.gz LEMQNAIGXVYQML-MRVWCRGKSA-N 0 1 264.369 0.871 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2CCCC(=O)N2)[C@H](OC)C1 ZINC001081828001 820836558 /nfs/dbraw/zinc/83/65/58/820836558.db2.gz DTBULYMSKMVNPT-JHJVBQTASA-N 0 1 295.383 0.047 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C)CCOCC2)[C@H](OC)C1 ZINC001082242288 820920841 /nfs/dbraw/zinc/92/08/41/820920841.db2.gz CLROLNZRLBDXJA-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(C(C)C)C[C@H]2OC)nc1 ZINC001082333321 820936676 /nfs/dbraw/zinc/93/66/76/820936676.db2.gz AZXVXWOFEJCPOU-HUUCEWRRSA-N 0 1 287.363 0.900 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CC)C[C@H]2OC)cn1 ZINC001082333945 820937528 /nfs/dbraw/zinc/93/75/28/820937528.db2.gz KCFNBULVPLSBEM-ZIAGYGMSSA-N 0 1 273.336 0.512 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2C[C@H]2C(N)=O)C[C@H]1C ZINC001082743916 821030697 /nfs/dbraw/zinc/03/06/97/821030697.db2.gz JRSRBBRPYOXPFM-CZULRBLNSA-N 0 1 285.775 0.297 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](NC(=O)/C=C\C2CC2)[C@H](O)C1 ZINC001099769521 821050506 /nfs/dbraw/zinc/05/05/06/821050506.db2.gz SZLVVGZUJCKDIS-LAYDCRAFSA-N 0 1 262.353 0.527 20 30 CCEDMN CO[C@@H](C)CN1C[C@H]2OCCN(C(=O)C#CC3CC3)[C@H]2C1 ZINC001083076797 821123602 /nfs/dbraw/zinc/12/36/02/821123602.db2.gz DBLWEPDXZPYRSG-AEGPPILISA-N 0 1 292.379 0.346 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)C3CC(OC)C3)[C@H]2C1 ZINC001083113949 821134722 /nfs/dbraw/zinc/13/47/22/821134722.db2.gz DOGPSCKAUBBZIV-PFSRBDOWSA-N 0 1 294.395 0.899 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnnc2C)[C@@H](O)C1 ZINC001090396946 821156375 /nfs/dbraw/zinc/15/63/75/821156375.db2.gz QHFDUTLGRIZZGH-OLZOCXBDSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc(OC)c2)[C@@H](O)C1 ZINC001083989698 821171823 /nfs/dbraw/zinc/17/18/23/821171823.db2.gz YXIGCZUAKQVUAU-CABCVRRESA-N 0 1 288.347 0.493 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)c2ccccc2)[C@@H](O)C1 ZINC001083971447 821176363 /nfs/dbraw/zinc/17/63/63/821176363.db2.gz HOOZINIFRCGXTR-BMFZPTHFSA-N 0 1 286.375 0.975 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccn2CC)[C@@H](O)C1 ZINC001084060706 821189147 /nfs/dbraw/zinc/18/91/47/821189147.db2.gz BXXZWGWNLGSHCV-OCCSQVGLSA-N 0 1 275.352 0.306 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C3=COCCO3)[C@@H]2C1 ZINC001084169697 821224092 /nfs/dbraw/zinc/22/40/92/821224092.db2.gz WQKZTNARUAGRMM-CHWSQXEVSA-N 0 1 278.352 0.983 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3nnn(C)c3C)[C@@H]2C1 ZINC001084250035 821238683 /nfs/dbraw/zinc/23/86/83/821238683.db2.gz VDXWZRPMGPLSBP-VXGBXAGGSA-N 0 1 275.356 0.456 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CO[C@@H]3CCOC3)[C@@H]2C1 ZINC001084339506 821275248 /nfs/dbraw/zinc/27/52/48/821275248.db2.gz CRTKGDYWRDXVQK-MGPQQGTHSA-N 0 1 280.368 0.511 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CC[C@@H](C(N)=O)C3)[C@@H]2C1 ZINC001084665830 821341933 /nfs/dbraw/zinc/34/19/33/821341933.db2.gz WTIOHEXCYFBDEK-XJFOESAGSA-N 0 1 291.395 0.607 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@H]3CCN(CCOC)C[C@H]32)c1 ZINC001084647725 821336938 /nfs/dbraw/zinc/33/69/38/821336938.db2.gz CMMUTUPKGWSKEY-GDBMZVCRSA-N 0 1 299.374 0.856 20 30 CCEDMN CCOCC[N@H+]1CC[C@@H](NC(=O)C#CC(C)(C)C)[C@H](O)C1 ZINC001099830679 821395327 /nfs/dbraw/zinc/39/53/27/821395327.db2.gz WDBODUOBDLPFRD-ZIAGYGMSSA-N 0 1 296.411 0.624 20 30 CCEDMN CCOCCN1CC[C@@H](NC(=O)C#CC(C)(C)C)[C@H](O)C1 ZINC001099830679 821395336 /nfs/dbraw/zinc/39/53/36/821395336.db2.gz WDBODUOBDLPFRD-ZIAGYGMSSA-N 0 1 296.411 0.624 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](C)OC)C[C@@H]21 ZINC001084899413 821425825 /nfs/dbraw/zinc/42/58/25/821425825.db2.gz BJNACEWOZSTZEJ-UPJWGTAASA-N 0 1 250.342 0.577 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)[C@@H]3CCOC3)C[C@@H]21 ZINC001084898465 821425989 /nfs/dbraw/zinc/42/59/89/821425989.db2.gz PCIFGPMVZRGNMB-MCIONIFRSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H]3CCOC3)C[C@@H]21 ZINC001084898465 821425991 /nfs/dbraw/zinc/42/59/91/821425991.db2.gz PCIFGPMVZRGNMB-MCIONIFRSA-N 0 1 262.353 0.579 20 30 CCEDMN C[C@H](NC(=O)C1(C#N)CCCC1)[C@@H]1CN(C)CCN1C ZINC000826684482 821670249 /nfs/dbraw/zinc/67/02/49/821670249.db2.gz KBCGEPHWWQYNMC-STQMWFEESA-N 0 1 278.400 0.821 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1=COCCO1 ZINC001085468945 821724869 /nfs/dbraw/zinc/72/48/69/821724869.db2.gz FFJBFTOTMJPNEU-GFCCVEGCSA-N 0 1 266.341 0.983 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1nnn(C)c1C ZINC001085531234 821771781 /nfs/dbraw/zinc/77/17/81/821771781.db2.gz OUGLTZDLTQXJQB-GFCCVEGCSA-N 0 1 275.356 0.293 20 30 CCEDMN CN(C[C@H]1CCN1CCO)C(=O)c1c[nH]c(C#N)c1 ZINC001085555832 821788314 /nfs/dbraw/zinc/78/83/14/821788314.db2.gz BKIXFFMDWNFDLC-GFCCVEGCSA-N 0 1 262.313 0.025 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1COC(=O)N1 ZINC001085571161 821808754 /nfs/dbraw/zinc/80/87/54/821808754.db2.gz YEOUTPJGYGUGPS-MNOVXSKESA-N 0 1 267.329 0.204 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001085597275 821841771 /nfs/dbraw/zinc/84/17/71/821841771.db2.gz ULDAQDYIWILFCK-ZIAGYGMSSA-N 0 1 286.379 0.996 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cn2c(n1)CCC2 ZINC001085603932 821848854 /nfs/dbraw/zinc/84/88/54/821848854.db2.gz ROZIUXCQCZZDCI-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)c1cccc2nn[nH]c21 ZINC001085613838 821851291 /nfs/dbraw/zinc/85/12/91/821851291.db2.gz ZMJUMTCELYEGFB-LLVKDONJSA-N 0 1 283.335 0.737 20 30 CCEDMN Cc1cc(NC[C@@H](C)NC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001108204521 821901930 /nfs/dbraw/zinc/90/19/30/821901930.db2.gz LZCUVNOYOWQYGP-SECBINFHSA-N 0 1 285.311 0.032 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001085817800 821970394 /nfs/dbraw/zinc/97/03/94/821970394.db2.gz JEFAWVJRISUFIE-TUAOUCFPSA-N 0 1 265.357 0.217 20 30 CCEDMN C#CCN1CCO[C@](C)(CNC(=O)CN2CC[C@H](C)C2)C1 ZINC001108215575 821985269 /nfs/dbraw/zinc/98/52/69/821985269.db2.gz GCIAOIJDHBJJGG-GOEBONIOSA-N 0 1 293.411 0.169 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NCC=C)C[C@H]21 ZINC001114002389 837400037 /nfs/dbraw/zinc/40/00/37/837400037.db2.gz LLVVGVWOQORGSS-CXTNEJHOSA-N 0 1 291.395 0.690 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)OC ZINC001114020127 837406079 /nfs/dbraw/zinc/40/60/79/837406079.db2.gz GCUYLJNZZHOBHU-RMRHIDDWSA-N 0 1 280.368 0.108 20 30 CCEDMN Cc1cc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)ncn1 ZINC001114067011 837420807 /nfs/dbraw/zinc/42/08/07/837420807.db2.gz LQDZCMMHVQRATN-QQUHWDOBSA-N 0 1 285.351 0.491 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCCO1 ZINC001114140633 837452100 /nfs/dbraw/zinc/45/21/00/837452100.db2.gz JRFMXDFMBDWIJW-BARDWOONSA-N 0 1 292.379 0.252 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@H]1C(F)F ZINC001114204587 837472202 /nfs/dbraw/zinc/47/22/02/837472202.db2.gz WDFXDZRGTQJTHH-JZRPKSSGSA-N 0 1 298.333 0.584 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@@H]2CCC[C@]2(NC(C)=O)C1 ZINC001111554654 837473355 /nfs/dbraw/zinc/47/33/55/837473355.db2.gz AMFQSRRIAKVAKX-WHOFXGATSA-N 0 1 277.368 0.115 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)N(C)C)C[C@H]21 ZINC001114248254 837485942 /nfs/dbraw/zinc/48/59/42/837485942.db2.gz VVRWGRVYDYEKOQ-RMRHIDDWSA-N 0 1 291.395 0.169 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(Cc3ccccn3)C[C@H]21 ZINC001114270278 837492937 /nfs/dbraw/zinc/49/29/37/837492937.db2.gz CJBKJJNVSXDSDV-ARLBYUKCSA-N 0 1 299.374 0.666 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnn2ccncc12 ZINC001129542093 837528322 /nfs/dbraw/zinc/52/83/22/837528322.db2.gz LMDXEINIZXEMFZ-UHFFFAOYSA-N 0 1 279.731 0.801 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C(C)=C/CC)C[C@@H]1n1ccnn1 ZINC001129647773 837541095 /nfs/dbraw/zinc/54/10/95/837541095.db2.gz VMTHYFGZFAIJKF-BDPFXEMMSA-N 0 1 287.367 0.609 20 30 CCEDMN CN1CC(C(=O)NCc2ccc(C#N)s2)=NC1=O ZINC001130052885 837672461 /nfs/dbraw/zinc/67/24/61/837672461.db2.gz GLWBVWMRAKGTTP-UHFFFAOYSA-N 0 1 262.294 0.989 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)CC)C1 ZINC001130329587 837767693 /nfs/dbraw/zinc/76/76/93/837767693.db2.gz VYKYONVBYDDROD-NSHDSACASA-N 0 1 260.341 0.858 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)CSC)C1 ZINC001130334475 837769896 /nfs/dbraw/zinc/76/98/96/837769896.db2.gz LRZGHHFOMJXCPW-NSHDSACASA-N 0 1 292.408 0.811 20 30 CCEDMN N#Cc1cnc2nc(Cl)ccc2c1-n1nnnc1CN ZINC001168918598 836047892 /nfs/dbraw/zinc/04/78/92/836047892.db2.gz GPMQZZXEHISPIV-UHFFFAOYSA-N 0 1 286.686 0.589 20 30 CCEDMN N#Cc1cc(C(F)(F)F)cnc1-n1nnnc1CN ZINC001168919053 836050093 /nfs/dbraw/zinc/05/00/93/836050093.db2.gz TVIJGFSDJYDACK-UHFFFAOYSA-N 0 1 269.190 0.406 20 30 CCEDMN N#Cc1ccc(-n2nnnc2CN)nc1C(F)(F)F ZINC001168919006 836051788 /nfs/dbraw/zinc/05/17/88/836051788.db2.gz QGUAQEPAPNPGMP-UHFFFAOYSA-N 0 1 269.190 0.406 20 30 CCEDMN N#Cc1cc(Br)cc(-n2nnnc2CN)n1 ZINC001168924486 836060346 /nfs/dbraw/zinc/06/03/46/836060346.db2.gz ZMXNSZGOBVIAOP-UHFFFAOYSA-N 0 1 280.089 0.150 20 30 CCEDMN C=C[C@@H](CO)Nc1cccc2nc([C@H]3CNCCO3)cn21 ZINC001169784856 836445732 /nfs/dbraw/zinc/44/57/32/836445732.db2.gz WPFUCIYBXHMILV-WCQYABFASA-N 0 1 288.351 0.954 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@](C)(O)C1CC1)C2 ZINC001109165331 836626169 /nfs/dbraw/zinc/62/61/69/836626169.db2.gz VEXLASAABMCICG-ORIJERBGSA-N 0 1 276.380 0.892 20 30 CCEDMN C[C@H](CN(C)c1cnc(C#N)cn1)NC(=O)Cc1cnc[nH]1 ZINC001109255090 836648390 /nfs/dbraw/zinc/64/83/90/836648390.db2.gz LXRLWFGTMUPAPT-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CO[C@H]1CCOC1)C2 ZINC001109283333 836652770 /nfs/dbraw/zinc/65/27/70/836652770.db2.gz QFCKJEUZIALXCE-QPSCCSFWSA-N 0 1 292.379 0.537 20 30 CCEDMN N#Cc1ccc(NC[C@@H](NC(=O)c2cnn[nH]2)C2CC2)nc1 ZINC001110012440 836763087 /nfs/dbraw/zinc/76/30/87/836763087.db2.gz HLKHOVSQMBLCRW-LLVKDONJSA-N 0 1 297.322 0.692 20 30 CCEDMN N#CCNC[C@]12CCC[C@H]1CN(C(=O)CN1CCCC1)C2 ZINC001112578850 836800296 /nfs/dbraw/zinc/80/02/96/836800296.db2.gz JPNLLODFKFKLNP-HOCLYGCPSA-N 0 1 290.411 0.824 20 30 CCEDMN CCCCCCCN1CCN(C(=O)Cn2cnnn2)CC1 ZINC001112605405 836818992 /nfs/dbraw/zinc/81/89/92/836818992.db2.gz BBDUZHKZSFKXNV-UHFFFAOYSA-N 0 1 294.403 0.788 20 30 CCEDMN N#CCSCC(=O)N1CCN(CC[C@H]2CCOC2)CC1 ZINC001112630253 836831123 /nfs/dbraw/zinc/83/11/23/836831123.db2.gz RRXIPFZHINAXNG-ZDUSSCGKSA-N 0 1 297.424 0.814 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1ccon1 ZINC001157188820 836854907 /nfs/dbraw/zinc/85/49/07/836854907.db2.gz BHHSYMHOZKYWHX-ZDUSSCGKSA-N 0 1 267.329 0.598 20 30 CCEDMN C=CCCCN1CCN(C(=O)CNC(=O)CC)CC1 ZINC001112721601 836886767 /nfs/dbraw/zinc/88/67/67/836886767.db2.gz HJWYMCWQDUWJKW-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CCC=C)CC1 ZINC001112846590 836937518 /nfs/dbraw/zinc/93/75/18/836937518.db2.gz SMZYLLULSSPEDQ-ZDUSSCGKSA-N 0 1 250.342 0.745 20 30 CCEDMN C=CCCCN1CCN(C(=O)CN(C)C(=O)C2CC2)CC1 ZINC001112919697 836968238 /nfs/dbraw/zinc/96/82/38/836968238.db2.gz KHYCHLWCXCIISP-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC001113022679 837001203 /nfs/dbraw/zinc/00/12/03/837001203.db2.gz NYUVRRSUHOOZGA-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C[C@@H](Nc1cnc(C#N)cn1)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001113115031 837029668 /nfs/dbraw/zinc/02/96/68/837029668.db2.gz UHCSOUNKGBFTHI-SFYZADRCSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](Nc1cnc(C#N)cn1)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001113115031 837029675 /nfs/dbraw/zinc/02/96/75/837029675.db2.gz UHCSOUNKGBFTHI-SFYZADRCSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2CN(C)C(=O)N2)CC1 ZINC001113190986 837050206 /nfs/dbraw/zinc/05/02/06/837050206.db2.gz YQIQAJFJSLUCIG-CHWSQXEVSA-N 0 1 294.399 0.509 20 30 CCEDMN Cc1cc(N[C@H](C)[C@@H](C)NC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001113356448 837096646 /nfs/dbraw/zinc/09/66/46/837096646.db2.gz HOYTVNBCFYXDPY-NXEZZACHSA-N 0 1 299.338 0.421 20 30 CCEDMN C=CCCN1CCN(C(=O)CCOCCOC)CC1 ZINC001113718898 837204322 /nfs/dbraw/zinc/20/43/22/837204322.db2.gz NVMUBNKMOWMZSL-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)N(C)c1ccnc(C#N)n1 ZINC001113898658 837358117 /nfs/dbraw/zinc/35/81/17/837358117.db2.gz ZFOFFEAOOFNDDZ-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CC(=O)N[C@@H](C)C1 ZINC001130669644 837886482 /nfs/dbraw/zinc/88/64/82/837886482.db2.gz FNNPYKKVRQFMSN-UWVGGRQHSA-N 0 1 273.764 0.359 20 30 CCEDMN CN(C(=O)[C@H](CC#N)c1ccccc1)c1nn[nH]n1 ZINC001131169109 838012089 /nfs/dbraw/zinc/01/20/89/838012089.db2.gz AKDPVUANAFBQDY-SNVBAGLBSA-N 0 1 256.269 0.860 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)CCOC)C[C@@H](C)O2 ZINC001131621599 838152632 /nfs/dbraw/zinc/15/26/32/838152632.db2.gz ZKJONCQZDNUZBL-HIFRSBDPSA-N 0 1 280.368 0.348 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)CC(=O)NC)C[C@H](C)O2 ZINC001131649006 838165066 /nfs/dbraw/zinc/16/50/66/838165066.db2.gz CYFOPSQDZREHAN-SWLSCSKDSA-N 0 1 295.383 0.000 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1ncc(C(F)(F)F)[nH]1 ZINC001188295344 844857146 /nfs/dbraw/zinc/85/71/46/844857146.db2.gz LYFHEVYJIANZHV-YFKPBYRVSA-N 0 1 290.201 0.614 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCC(=O)NCC)CC[C@H]1C ZINC001131904443 838262109 /nfs/dbraw/zinc/26/21/09/838262109.db2.gz QIGCJIODYFIEFM-CHWSQXEVSA-N 0 1 279.384 0.505 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C(=O)NCC(F)F)CC[C@H]1C ZINC001132145687 838328146 /nfs/dbraw/zinc/32/81/46/838328146.db2.gz RQRQQRBRIVEOCL-NXEZZACHSA-N 0 1 289.326 0.523 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C2(C(N)=O)CC2)CC[C@H]1C ZINC001132262617 838348051 /nfs/dbraw/zinc/34/80/51/838348051.db2.gz ZXNZYMSHZZRVGE-VXGBXAGGSA-N 0 1 277.368 0.244 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C2(C(=O)NC)CC2)CC[C@H]1C ZINC001132348813 838370178 /nfs/dbraw/zinc/37/01/78/838370178.db2.gz SRVQJAQBYUCPGT-VXGBXAGGSA-N 0 1 279.384 0.668 20 30 CCEDMN C=CC(C)(C)C(=O)NCCNCC(=O)Nc1ccon1 ZINC001132395762 838384103 /nfs/dbraw/zinc/38/41/03/838384103.db2.gz OFJRWCSKFGFLCT-UHFFFAOYSA-N 0 1 280.328 0.531 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCOCCOC)CC[C@@H]1C ZINC001132528553 838424250 /nfs/dbraw/zinc/42/42/50/838424250.db2.gz DCVBRZZLXOGBPQ-KBPBESRZSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCCC(=O)NC)CC[C@H]1C ZINC001132533324 838429164 /nfs/dbraw/zinc/42/91/64/838429164.db2.gz LSIVZQUGUHRESK-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C#Cc1cncc(C(=O)NCCNCc2n[nH]c(C)n2)c1 ZINC001133592945 838676844 /nfs/dbraw/zinc/67/68/44/838676844.db2.gz HIVAMJWUJWVUCQ-UHFFFAOYSA-N 0 1 284.323 0.009 20 30 CCEDMN C[C@H](C[C@H](C)NCC#N)NC(=O)CCc1nc[nH]n1 ZINC001134044381 838842266 /nfs/dbraw/zinc/84/22/66/838842266.db2.gz XXVHIPOQIBOWNI-VHSXEESVSA-N 0 1 264.333 0.134 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)Nc2cccc(C#N)n2)C1 ZINC001185244127 844372139 /nfs/dbraw/zinc/37/21/39/844372139.db2.gz LCMFDYWOMIMZEI-LLVKDONJSA-N 0 1 259.313 0.138 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)[C@H]1CCC(=O)N1 ZINC001134111667 838869291 /nfs/dbraw/zinc/86/92/91/838869291.db2.gz XTNXNNYSTOSDLW-VHSXEESVSA-N 0 1 273.764 0.359 20 30 CCEDMN CNc1ccc(C#N)cc1NC(=O)[C@@H]1CN(C)CCN1C ZINC001185255942 844377661 /nfs/dbraw/zinc/37/76/61/844377661.db2.gz SJMULDXUAJGQIQ-AWEZNQCLSA-N 0 1 287.367 0.784 20 30 CCEDMN CN1CC[N@@H+](C)C[C@H]1C(=O)Nc1cc(C#N)c(F)cc1[O-] ZINC001185257098 844382177 /nfs/dbraw/zinc/38/21/77/844382177.db2.gz XXOBIZCRUOSWRI-LBPRGKRZSA-N 0 1 292.314 0.587 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)N2CCCC[C@H]2C#N)C1 ZINC001185251294 844381013 /nfs/dbraw/zinc/38/10/13/844381013.db2.gz MSFOUPRFEICSAP-NWDGAFQWSA-N 0 1 250.346 0.137 20 30 CCEDMN N#Cc1nc(NCc2nnc(CO)[nH]2)ccc1Cl ZINC001187161393 844652373 /nfs/dbraw/zinc/65/23/73/844652373.db2.gz ASOXSEXFWDXXLY-UHFFFAOYSA-N 0 1 264.676 0.829 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)Cc1c[nH]cn1 ZINC001134407197 838962569 /nfs/dbraw/zinc/96/25/69/838962569.db2.gz VLJWSAPHNYKZQL-UHFFFAOYSA-N 0 1 283.335 0.730 20 30 CCEDMN CC(C)C[C@@H](C#N)NC(=O)[C@@H]1CN(C)CCN1C ZINC001185267105 844389213 /nfs/dbraw/zinc/38/92/13/844389213.db2.gz MVWIAKJHWRTLJK-RYUDHWBXSA-N 0 1 252.362 0.287 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)C[N@@H+](C)C1CCC1)NCC#N ZINC001134607437 839024433 /nfs/dbraw/zinc/02/44/33/839024433.db2.gz HHIKCPDIKPCDAB-NEPJUHHUSA-N 0 1 266.389 0.867 20 30 CCEDMN C=CCC[NH2+]CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001134896719 839105626 /nfs/dbraw/zinc/10/56/26/839105626.db2.gz ZVQOBGBUDXJKPU-UHFFFAOYSA-N 0 1 276.340 0.955 20 30 CCEDMN C#CCC[NH2+]CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001134890544 839109152 /nfs/dbraw/zinc/10/91/52/839109152.db2.gz RGIIWZKTAOYIDK-UHFFFAOYSA-N 0 1 274.324 0.402 20 30 CCEDMN CCOC(=O)[C@@H](C#N)Nc1nc(Cl)nc2c1CCNC2 ZINC001159320383 839126893 /nfs/dbraw/zinc/12/68/93/839126893.db2.gz UENMWIABRRGYQK-MRVPVSSYSA-N 0 1 295.730 0.643 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn(CCC)nn1 ZINC001135972579 839488259 /nfs/dbraw/zinc/48/82/59/839488259.db2.gz BXKZQZPWQLIXLB-UHFFFAOYSA-N 0 1 271.752 0.760 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)C[NH+]2CCC(C)(C)C2)[C@H](O)C1 ZINC001090574773 839653156 /nfs/dbraw/zinc/65/31/56/839653156.db2.gz WZCYGSCXHXSYOX-UONOGXRCSA-N 0 1 295.427 0.456 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)[nH]c2C)[C@@H](O)C1 ZINC001090592730 839663775 /nfs/dbraw/zinc/66/37/75/839663775.db2.gz HKHCTJXIUJIHQJ-KGLIPLIRSA-N 0 1 277.368 0.983 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn(C(C)C)n2)[C@@H](O)C1 ZINC001090617423 839681926 /nfs/dbraw/zinc/68/19/26/839681926.db2.gz UUSHBXCFFLDOBJ-JSGCOSHPSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(COC)on2)[C@H](O)C1 ZINC001090629301 839687606 /nfs/dbraw/zinc/68/76/06/839687606.db2.gz RTMAUZPXPLWMAM-WCQYABFASA-N 0 1 295.339 0.172 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(CCC)nn2)[C@H](O)C1 ZINC001090683498 839728133 /nfs/dbraw/zinc/72/81/33/839728133.db2.gz QWWKRUQLPVLHAA-WCQYABFASA-N 0 1 293.371 0.039 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncccc2OC)[C@@H](O)C1 ZINC001090761747 839789320 /nfs/dbraw/zinc/78/93/20/839789320.db2.gz VKVKAFNEWARSGV-RYUDHWBXSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]cc2C)[C@@H](O)C1 ZINC001090803922 839820728 /nfs/dbraw/zinc/82/07/28/839820728.db2.gz DBAKOWDPZZUWJO-STQMWFEESA-N 0 1 263.341 0.674 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2c(C)n[nH]c2C)[C@H](O)C1 ZINC001090802031 839823485 /nfs/dbraw/zinc/82/34/85/839823485.db2.gz ITIFTWNRPSPMDH-UONOGXRCSA-N 0 1 292.383 0.306 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccn2CC)[C@H](O)C1 ZINC001090812647 839830676 /nfs/dbraw/zinc/83/06/76/839830676.db2.gz HPKPXRNWGIIZIG-TZMCWYRMSA-N 0 1 277.368 0.859 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)n(C)n2)[C@H](O)C1 ZINC001090848638 839850737 /nfs/dbraw/zinc/85/07/37/839850737.db2.gz HBPSZCBLVHHAQE-GXTWGEPZSA-N 0 1 292.383 0.334 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CC)n(C)n2)[C@@H](O)C1 ZINC001090848640 839851347 /nfs/dbraw/zinc/85/13/47/839851347.db2.gz HBPSZCBLVHHAQE-OCCSQVGLSA-N 0 1 292.383 0.334 20 30 CCEDMN N#CCNC1CC(CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001091078910 840039348 /nfs/dbraw/zinc/03/93/48/840039348.db2.gz LFZZIWMXWNLDMD-KIDURHIOSA-N 0 1 273.340 0.521 20 30 CCEDMN CC(=O)N[C@@H](CS)C(=O)NCc1[nH]nc2ccccc21 ZINC001144417164 840041029 /nfs/dbraw/zinc/04/10/29/840041029.db2.gz ISRDNDBGUKOSQV-LBPRGKRZSA-N 0 1 292.364 0.614 20 30 CCEDMN N#CCNC1CC(CNC(=O)c2ccn3cncc3c2)C1 ZINC001091122599 840057813 /nfs/dbraw/zinc/05/78/13/840057813.db2.gz LAOPSATYIOIQQC-UHFFFAOYSA-N 0 1 283.335 0.956 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)c1ccc2[nH]nnc2c1)NCC#N ZINC001146250426 840274209 /nfs/dbraw/zinc/27/42/09/840274209.db2.gz LUGFHSVXTJWTNC-ZJUUUORDSA-N 0 1 286.339 0.968 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn([C@@H]2CCOC2)nn1 ZINC001147015088 840463976 /nfs/dbraw/zinc/46/39/76/840463976.db2.gz LRZGZKKBVMGUER-SNVBAGLBSA-N 0 1 299.762 0.311 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCCOC)C2)C1 ZINC001147104934 840500964 /nfs/dbraw/zinc/50/09/64/840500964.db2.gz BBEMACCDOQWJHR-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@@H](C)C(=O)N(C)C)C2 ZINC001147186702 840527136 /nfs/dbraw/zinc/52/71/36/840527136.db2.gz AVOBRIWLUZKOJI-ZDUSSCGKSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCOCC(F)F)C2)C1 ZINC001147572997 840621131 /nfs/dbraw/zinc/62/11/31/840621131.db2.gz SEXCZSUFIFCQDO-UHFFFAOYSA-N 0 1 286.322 0.826 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1CC2(C1)CCN(CC#N)C2 ZINC001147657929 840650514 /nfs/dbraw/zinc/65/05/14/840650514.db2.gz IELRMXWOOJMWAB-CYBMUJFWSA-N 0 1 296.390 0.724 20 30 CCEDMN CC(=O)Nc1cc(C(=O)Nc2nc[nH]c2C#N)ccn1 ZINC001147814336 840687570 /nfs/dbraw/zinc/68/75/70/840687570.db2.gz LEZYPXXSCZDXAM-UHFFFAOYSA-N 0 1 270.252 0.887 20 30 CCEDMN Cc1ncc(CO)c(C(=O)NC2=CC(=O)CCC2)c1O ZINC001147842785 840696725 /nfs/dbraw/zinc/69/67/25/840696725.db2.gz NCIUWLIMQOVTDL-UHFFFAOYSA-N 0 1 276.292 0.955 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C(C)(C)CNC(C)=O)C2)C1 ZINC001148430280 840798118 /nfs/dbraw/zinc/79/81/18/840798118.db2.gz KYTLPNCDTSGOPW-UHFFFAOYSA-N 0 1 291.395 0.316 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)[C@@H]1CCC(=O)N1 ZINC001148418356 840804418 /nfs/dbraw/zinc/80/44/18/840804418.db2.gz ZBBHWMIPXBRBKY-ZDUSSCGKSA-N 0 1 286.335 0.043 20 30 CCEDMN COCC#CCN1C[C@@H]2CCC[C@]2(NC(=O)CCOC)C1 ZINC001111562537 844577062 /nfs/dbraw/zinc/57/70/62/844577062.db2.gz IVFKQVHYWCEVJC-HOCLYGCPSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COCCCOC)C2)C1 ZINC001148562321 840838441 /nfs/dbraw/zinc/83/84/41/840838441.db2.gz HBZKRKWUGWHTGO-UHFFFAOYSA-N 0 1 280.368 0.207 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCCn3cccn3)C2)C1 ZINC001148797244 840892804 /nfs/dbraw/zinc/89/28/04/840892804.db2.gz IELKCFCONNQIMK-UHFFFAOYSA-N 0 1 286.379 0.831 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)c1[nH]nc2c1CCC2 ZINC001092766548 841066313 /nfs/dbraw/zinc/06/63/13/841066313.db2.gz GKIPCSUMTKXTPR-GHMZBOCLSA-N 0 1 287.367 0.720 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)COC)[C@@H](O)C1 ZINC001099919268 841074827 /nfs/dbraw/zinc/07/48/27/841074827.db2.gz YSFQGCWVTQDDCD-STQMWFEESA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCCNC(=S)NCCN1CCC[C@H](O)C1 ZINC001247677576 841179109 /nfs/dbraw/zinc/17/91/09/841179109.db2.gz FUSCXYIPDGLCGK-NSHDSACASA-N 0 1 257.403 0.483 20 30 CCEDMN Cc1cc(CC(=O)NCCNc2cnc(C#N)cn2)[nH]n1 ZINC001093551601 841337829 /nfs/dbraw/zinc/33/78/29/841337829.db2.gz LFIBFWYMWZBHNE-UHFFFAOYSA-N 0 1 285.311 0.151 20 30 CCEDMN N#Cc1cccnc1NCCNC(=O)CCc1nc[nH]n1 ZINC001093566329 841339250 /nfs/dbraw/zinc/33/92/50/841339250.db2.gz KYYHRCJFTLIQHQ-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C(C)(C)NC(=O)NC)C2 ZINC001110149654 841476206 /nfs/dbraw/zinc/47/62/06/841476206.db2.gz TXECGLZRQSIREG-WOPDTQHZSA-N 0 1 294.399 0.602 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCCNc1ccc(C#N)nc1 ZINC001094044842 841519025 /nfs/dbraw/zinc/51/90/25/841519025.db2.gz IJUKUDNHKWWTFY-AWEZNQCLSA-N 0 1 287.367 0.966 20 30 CCEDMN N#Cc1cnccc1NCCNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001094220030 841559468 /nfs/dbraw/zinc/55/94/68/841559468.db2.gz AWAHVSRMZUVFOU-VXGBXAGGSA-N 0 1 296.334 0.430 20 30 CCEDMN N#Cc1cncc(NCCNC(=O)c2[nH]nc3c2CCC3)n1 ZINC001094242471 841567755 /nfs/dbraw/zinc/56/77/55/841567755.db2.gz RMOWXNAUUIYICR-UHFFFAOYSA-N 0 1 297.322 0.402 20 30 CCEDMN Cc1ccc(C#N)c(NCCCNC(=O)Cc2nnc[nH]2)n1 ZINC001094572347 841670238 /nfs/dbraw/zinc/67/02/38/841670238.db2.gz NNJJBRVPRIEHGD-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN Cc1nc(NCCCNC(=O)Cc2nnc[nH]2)ccc1C#N ZINC001094572645 841670419 /nfs/dbraw/zinc/67/04/19/841670419.db2.gz YYGCUEUTXDIUHP-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN C#CC[C@H](COC)NC(=O)c1ccc2[nH]nnc2c1 ZINC000716878616 841690767 /nfs/dbraw/zinc/69/07/67/841690767.db2.gz MVKSIOHWXLWJKY-SNVBAGLBSA-N 0 1 258.281 0.726 20 30 CCEDMN N#Cc1cnc(NCCCNC(=O)Cc2cnc[nH]2)cn1 ZINC001094808699 841728626 /nfs/dbraw/zinc/72/86/26/841728626.db2.gz NVHVVIMYAZMXHV-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2ncccn2)nc1 ZINC001171585539 841733522 /nfs/dbraw/zinc/73/35/22/841733522.db2.gz ZBJZJKADNRUVHJ-UHFFFAOYSA-N 0 1 295.346 0.763 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)c2cnn[nH]2)nc1 ZINC001094881373 841865350 /nfs/dbraw/zinc/86/53/50/841865350.db2.gz HVHMMSWEZDBHAF-UHFFFAOYSA-N 0 1 271.284 0.303 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)Cc2c[nH]cn2)CC1 ZINC001176832708 842417564 /nfs/dbraw/zinc/41/75/64/842417564.db2.gz ZEULAMSLKZUQRF-UHFFFAOYSA-N 0 1 276.296 0.258 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCN[C@@H](C)c1nnc(C)[nH]1 ZINC001177273597 842542440 /nfs/dbraw/zinc/54/24/40/842542440.db2.gz TVDBPQFTSIKYCU-QWRGUYRKSA-N 0 1 295.387 0.861 20 30 CCEDMN CS(=O)(=O)CCNC(=O)C(C#N)Cc1cccs1 ZINC001177904272 842706127 /nfs/dbraw/zinc/70/61/27/842706127.db2.gz KTVKXDXSXIXIDM-VIFPVBQESA-N 0 1 286.378 0.591 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CCS(=O)(=O)CC1 ZINC001177909564 842706261 /nfs/dbraw/zinc/70/62/61/842706261.db2.gz WRNVOIVAXOFPTO-JTQLQIEISA-N 0 1 298.389 0.687 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@@H]1CNC(=O)C1 ZINC001177914882 842706956 /nfs/dbraw/zinc/70/69/56/842706956.db2.gz GTRFUJKOTPVCNC-VHSXEESVSA-N 0 1 277.349 0.683 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC[C@H]1CNC(=O)O1 ZINC001177917853 842710404 /nfs/dbraw/zinc/71/04/04/842710404.db2.gz MORGQEDPUBETTL-IUCAKERBSA-N 0 1 279.321 0.655 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCCCCNCc1cnon1 ZINC001178053376 842738059 /nfs/dbraw/zinc/73/80/59/842738059.db2.gz QHFDXVURFLDFPC-NSHDSACASA-N 0 1 279.344 0.995 20 30 CCEDMN CCN(CC)CC(=O)Nc1nc(C#N)c(C#N)nc1N ZINC001180385501 843158972 /nfs/dbraw/zinc/15/89/72/843158972.db2.gz MSKXWKWSEBHGRT-UHFFFAOYSA-N 0 1 273.300 0.082 20 30 CCEDMN Cc1nc(CNC(=O)Cc2ccc(F)c(C#N)c2)n[nH]1 ZINC001181205576 843424025 /nfs/dbraw/zinc/42/40/25/843424025.db2.gz SPNXYLPPWXBYGB-UHFFFAOYSA-N 0 1 273.271 0.983 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2C(=O)[C@H](C)C#N)n[nH]1 ZINC001181164825 843424274 /nfs/dbraw/zinc/42/42/74/843424274.db2.gz WVAACVYQSBUBJX-HLTSFMKQSA-N 0 1 263.301 0.161 20 30 CCEDMN N#CNC(=NC(=O)[C@H]1CN2CCC1CC2)c1ccncc1 ZINC001182017386 843694542 /nfs/dbraw/zinc/69/45/42/843694542.db2.gz KIUOZSSATZVJJX-ZDUSSCGKSA-N 0 1 283.335 0.767 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@H](NCc2ccn(C)n2)C1 ZINC001182504867 843847514 /nfs/dbraw/zinc/84/75/14/843847514.db2.gz MPZPQRFAACBLFN-TZMCWYRMSA-N 0 1 290.367 0.149 20 30 CCEDMN COC(=O)C(C)(C)CC(=O)Nc1nc[nH]c1C#N ZINC001182528295 843853979 /nfs/dbraw/zinc/85/39/79/843853979.db2.gz XBVWBRYCXSVNPN-UHFFFAOYSA-N 0 1 250.258 0.809 20 30 CCEDMN C=C(CN1CCCC1)C(=O)NC1CC2(C1)CS(=O)(=O)C2 ZINC001183079900 843960300 /nfs/dbraw/zinc/96/03/00/843960300.db2.gz GVTRNWUXOFQKOO-UHFFFAOYSA-N 0 1 298.408 0.332 20 30 CCEDMN C=C(C[NH+]1CCCC1)C(=O)Nc1[n-]c(=O)nc2nc[nH]c21 ZINC001183085737 843962011 /nfs/dbraw/zinc/96/20/11/843962011.db2.gz GHRSRMRDZBKYJI-UHFFFAOYSA-N 0 1 288.311 0.649 20 30 CCEDMN N#Cc1nc(NCc2n[nH]c(CO)n2)ccc1Cl ZINC001187161393 844652371 /nfs/dbraw/zinc/65/23/71/844652371.db2.gz ASOXSEXFWDXXLY-UHFFFAOYSA-N 0 1 264.676 0.829 20 30 CCEDMN CCOCCS(=O)(=O)Nc1cc(C#N)ccn1 ZINC001187237109 844674337 /nfs/dbraw/zinc/67/43/37/844674337.db2.gz DYTXNZBHFCNXKG-UHFFFAOYSA-N 0 1 255.299 0.731 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCC(=O)NCC)[C@@H]2C1 ZINC001187288805 844687209 /nfs/dbraw/zinc/68/72/09/844687209.db2.gz MGSZWJXNDMHMRK-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](C)COC)[C@@H]2C1 ZINC001187295524 844700417 /nfs/dbraw/zinc/70/04/17/844700417.db2.gz BRHSFXRVIVFNPM-FRRDWIJNSA-N 0 1 250.342 0.435 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@H]2CN(CC#CC)C[C@H]21 ZINC001187698067 844747234 /nfs/dbraw/zinc/74/72/34/844747234.db2.gz GPEOWNPVMJJTTC-SQWLQELKSA-N 0 1 276.380 0.870 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C2CCC2)C1 ZINC001188580024 844924468 /nfs/dbraw/zinc/92/44/68/844924468.db2.gz MDIRGQUHRRVXHI-TZMCWYRMSA-N 0 1 291.395 0.457 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C2CCC2)C1 ZINC001188579248 844925350 /nfs/dbraw/zinc/92/53/50/844925350.db2.gz BHPWVLWTZJQCKJ-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCO2)C1 ZINC001188739812 844965230 /nfs/dbraw/zinc/96/52/30/844965230.db2.gz ZWMUWKLSASGHMF-OLZOCXBDSA-N 0 1 250.342 0.721 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cnn(C)c2)C1 ZINC001188802576 844980616 /nfs/dbraw/zinc/98/06/16/844980616.db2.gz AHDRANBQDHZKDJ-CQSZACIVSA-N 0 1 290.367 0.216 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001188974575 845013009 /nfs/dbraw/zinc/01/30/09/845013009.db2.gz RCMYFLZQKPBLBY-MNOVXSKESA-N 0 1 298.412 0.040 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)SC)C1 ZINC001189014202 845025731 /nfs/dbraw/zinc/02/57/31/845025731.db2.gz VWQRRBAJJOFLEX-QWHCGFSZSA-N 0 1 284.425 0.920 20 30 CCEDMN COc1ccc(C#N)c(C(=O)NCc2nnc[nH]2)c1 ZINC001189097300 845050745 /nfs/dbraw/zinc/05/07/45/845050745.db2.gz SKRNPTBDBZMESF-UHFFFAOYSA-N 0 1 257.253 0.615 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCOC2)C1 ZINC001189198323 845074889 /nfs/dbraw/zinc/07/48/89/845074889.db2.gz VYPIUFXJAZEYPF-KBPBESRZSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)OCC2CC2)C1 ZINC001189231254 845082940 /nfs/dbraw/zinc/08/29/40/845082940.db2.gz BBZWJKXTRFTHGA-OCCSQVGLSA-N 0 1 264.369 0.967 20 30 CCEDMN COc1cc(OC)nc(C(=O)Nc2nc[nH]c2C#N)n1 ZINC001189219377 845091415 /nfs/dbraw/zinc/09/14/15/845091415.db2.gz YPKRMHVKXACPNF-UHFFFAOYSA-N 0 1 274.240 0.341 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C[C@H](C)OC)C1 ZINC001189290554 845094854 /nfs/dbraw/zinc/09/48/54/845094854.db2.gz QIQWPNPRSQIKPZ-UONOGXRCSA-N 0 1 282.384 0.594 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1cc(-c2ccncc2)[nH]n1 ZINC001189320967 845108240 /nfs/dbraw/zinc/10/82/40/845108240.db2.gz KQIHOSGGPBZCOA-NSHDSACASA-N 0 1 282.307 0.409 20 30 CCEDMN C=C[C@H]1C[C@]1(NC(=O)c1cnn[nH]1)C(=O)OCC ZINC001189355599 845125799 /nfs/dbraw/zinc/12/57/99/845125799.db2.gz PDLVZEHDAWLDIV-WRWORJQWSA-N 0 1 250.258 0.042 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COCCCC)C1 ZINC001189480711 845154358 /nfs/dbraw/zinc/15/43/58/845154358.db2.gz NSNAPTJPJWVOMP-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C=C(C)CN1C[C@@H]2CCN(C(=O)C(=O)NCC3CC3)[C@@H]2C1 ZINC001189569092 845170313 /nfs/dbraw/zinc/17/03/13/845170313.db2.gz OSJLPRXWGWMPLR-UONOGXRCSA-N 0 1 291.395 0.621 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)CC[C@@H](C)OC)C1 ZINC001189806915 845212342 /nfs/dbraw/zinc/21/23/42/845212342.db2.gz DOYCUTWJACNWKV-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@](C)(O)C=C)C1 ZINC001189916844 845268372 /nfs/dbraw/zinc/26/83/72/845268372.db2.gz APFAFNUTNPPXBK-GXTWGEPZSA-N 0 1 250.342 0.479 20 30 CCEDMN CNC(=O)[C@H](C)N(C)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001190037980 845315026 /nfs/dbraw/zinc/31/50/26/845315026.db2.gz VXIUHDLOPPZYNS-AAEUAGOBSA-N 0 1 277.368 0.067 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190083955 845326296 /nfs/dbraw/zinc/32/62/96/845326296.db2.gz IAUWMVSOOKBNGS-OLZOCXBDSA-N 0 1 293.411 0.833 20 30 CCEDMN O=S(=O)(NCCS)c1ncc(F)cc1F ZINC001190190121 845347683 /nfs/dbraw/zinc/34/76/83/845347683.db2.gz QTWKHMVVVURRIN-UHFFFAOYSA-N 0 1 254.283 0.568 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CNC(=O)[C@H](C)CC)[C@@H]2C1 ZINC001190212882 845367942 /nfs/dbraw/zinc/36/79/42/845367942.db2.gz MPUNIBCTGDOJPS-HZSPNIEDSA-N 0 1 291.395 0.315 20 30 CCEDMN Cc1nc(CNS(=O)(=O)c2cc(C#N)ccc2F)no1 ZINC001190610905 845446869 /nfs/dbraw/zinc/44/68/69/845446869.db2.gz FYCGKNRTBKKVOP-UHFFFAOYSA-N 0 1 296.283 0.867 20 30 CCEDMN O=C(/C=C/NS(=O)(=O)c1ncc[nH]1)C(F)(F)F ZINC001190747570 845488628 /nfs/dbraw/zinc/48/86/28/845488628.db2.gz BAESEYZNBSQGMU-OWOJBTEDSA-N 0 1 269.204 0.333 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2c(C)ncn2C)C1 ZINC001190789762 845500379 /nfs/dbraw/zinc/50/03/79/845500379.db2.gz STDXUGBKPWGCKR-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)NC(=O)C(C)(C)C)C1 ZINC001191649647 845707925 /nfs/dbraw/zinc/70/79/25/845707925.db2.gz CEARWMNQPQHHRA-OLZOCXBDSA-N 0 1 293.411 0.703 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)CCOCCO)C1 ZINC001191717734 845715460 /nfs/dbraw/zinc/71/54/60/845715460.db2.gz BBSIYSPXGITZQA-CYBMUJFWSA-N 0 1 284.400 0.740 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)CC(N)=O)C1 ZINC001191747382 845730159 /nfs/dbraw/zinc/73/01/59/845730159.db2.gz ZXWBWGUXIOPQSB-NEPJUHHUSA-N 0 1 265.357 0.054 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)Cc2cc(C)on2)C1 ZINC001191842027 845748154 /nfs/dbraw/zinc/74/81/54/845748154.db2.gz BVLUWCLWJRYUCT-ZIAGYGMSSA-N 0 1 293.367 0.653 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001192151710 845794615 /nfs/dbraw/zinc/79/46/15/845794615.db2.gz CFICVOUXYZRZMR-LLVKDONJSA-N 0 1 276.340 0.295 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1C[N@@H+]([C@@H](C)COC)C[C@H]1O ZINC001192328453 845819911 /nfs/dbraw/zinc/81/99/11/845819911.db2.gz GZNISVMOXXFDLX-BFHYXJOUSA-N 0 1 282.384 0.376 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1O ZINC001192328453 845819920 /nfs/dbraw/zinc/81/99/20/845819920.db2.gz GZNISVMOXXFDLX-BFHYXJOUSA-N 0 1 282.384 0.376 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2c(F)ccc(O)c2F)c1N ZINC001192525398 845871746 /nfs/dbraw/zinc/87/17/46/845871746.db2.gz SZLBOIVOMKNYFO-UHFFFAOYSA-N 0 1 279.206 0.591 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001192578266 845878464 /nfs/dbraw/zinc/87/84/64/845878464.db2.gz OBGXPVALQRYNEY-RYUDHWBXSA-N 0 1 281.400 0.995 20 30 CCEDMN N#Cc1ccncc1C(=O)Nc1n[nH]c2nccnc12 ZINC001192962848 845939582 /nfs/dbraw/zinc/93/95/82/845939582.db2.gz VCJMAFXNZRNOTM-UHFFFAOYSA-N 0 1 265.236 0.823 20 30 CCEDMN COc1cc(CO)cc(NS(=O)(=O)CC#N)c1 ZINC001192985975 845945277 /nfs/dbraw/zinc/94/52/77/845945277.db2.gz RHAOMBRQPLSFST-UHFFFAOYSA-N 0 1 256.283 0.453 20 30 CCEDMN COC(=O)[C@@H](NS(=O)(=O)CC#N)c1cccc(F)c1 ZINC001192993752 845948128 /nfs/dbraw/zinc/94/81/28/845948128.db2.gz FNOCUXQBLVGRKY-JTQLQIEISA-N 0 1 286.284 0.483 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccc(CO)c(F)c1 ZINC001193160902 846009957 /nfs/dbraw/zinc/00/99/57/846009957.db2.gz KJRBCLYZPNECGR-SSDOTTSWSA-N 0 1 258.274 0.972 20 30 CCEDMN C[C@H]1CN(C)C(=O)CN1C(=O)c1ccc(O)c(C#N)c1 ZINC001193503652 846099945 /nfs/dbraw/zinc/09/99/45/846099945.db2.gz BQCGCVSMPMZDEC-VIFPVBQESA-N 0 1 273.292 0.567 20 30 CCEDMN CN1CCO[C@@H](CNC(=O)c2ccc(O)c(C#N)c2)C1 ZINC001193512547 846103279 /nfs/dbraw/zinc/10/32/79/846103279.db2.gz OQGABEQCAZCSGG-LBPRGKRZSA-N 0 1 275.308 0.324 20 30 CCEDMN Cc1cnc(NC(=O)c2ccc(O)c(C#N)c2)c(=O)[nH]1 ZINC001193518870 846103491 /nfs/dbraw/zinc/10/34/91/846103491.db2.gz JFNDFOVXLONMAW-UHFFFAOYSA-N 0 1 270.248 0.908 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC(N3CC(F)C3)C2)c1O ZINC001193634926 846131837 /nfs/dbraw/zinc/13/18/37/846131837.db2.gz MKZCFMQPZKEMTF-UHFFFAOYSA-N 0 1 275.283 0.742 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCOC[C@@H]2C#N)c1O ZINC001193646382 846138911 /nfs/dbraw/zinc/13/89/11/846138911.db2.gz IPLMCCPPQURUGX-JTQLQIEISA-N 0 1 257.249 0.628 20 30 CCEDMN N#Cc1cccc(C(=O)NC[C@H]2CNC(=O)O2)c1O ZINC001193660038 846140210 /nfs/dbraw/zinc/14/02/10/846140210.db2.gz RYZOCYLGIGOIHP-QMMMGPOBSA-N 0 1 261.237 0.102 20 30 CCEDMN N#Cc1cccc(C(=O)NC2CN(C3COC3)C2)c1O ZINC001193659012 846140523 /nfs/dbraw/zinc/14/05/23/846140523.db2.gz IULJAHQFVMRFPH-UHFFFAOYSA-N 0 1 273.292 0.077 20 30 CCEDMN COc1ccc(C#N)c(S(=O)(=O)N[C@H](C)CN(C)C)c1 ZINC001193688498 846143128 /nfs/dbraw/zinc/14/31/28/846143128.db2.gz IPMOMWHBSVBCNA-SNVBAGLBSA-N 0 1 297.380 0.795 20 30 CCEDMN C#CCNC(=O)c1cncc(N2CCN(C)CC2)c1 ZINC001193947898 846193636 /nfs/dbraw/zinc/19/36/36/846193636.db2.gz RBQORCLFDAWHLR-UHFFFAOYSA-N 0 1 258.325 0.196 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)CCOC)C1 ZINC001194135119 846220527 /nfs/dbraw/zinc/22/05/27/846220527.db2.gz OVOICKOSAWCUPX-LSDHHAIUSA-N 0 1 296.411 0.842 20 30 CCEDMN CC#CC[N@H+](C)[C@H]1CCN(C(=O)c2nn(CC)nc2C)C1 ZINC001194511263 846313023 /nfs/dbraw/zinc/31/30/23/846313023.db2.gz LCCJJLOOZFVXMF-ZDUSSCGKSA-N 0 1 289.383 0.776 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2nn(CC)nc2C)C1 ZINC001194511263 846313029 /nfs/dbraw/zinc/31/30/29/846313029.db2.gz LCCJJLOOZFVXMF-ZDUSSCGKSA-N 0 1 289.383 0.776 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001195288193 846496167 /nfs/dbraw/zinc/49/61/67/846496167.db2.gz WPXNINRNEZEZNE-GHMZBOCLSA-N 0 1 258.337 0.720 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2C)C1 ZINC001195287337 846495820 /nfs/dbraw/zinc/49/58/20/846495820.db2.gz NPLTVQRRVZHHEN-FDYHWXHSSA-N 0 1 268.357 0.006 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](CCC)OCC)C1 ZINC001195509933 846544378 /nfs/dbraw/zinc/54/43/78/846544378.db2.gz FWLNBVYGZPJTBW-MGPQQGTHSA-N 0 1 282.384 0.376 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1O ZINC001195466562 846546041 /nfs/dbraw/zinc/54/60/41/846546041.db2.gz ALKZJXBHPMADCE-MGPQQGTHSA-N 0 1 282.384 0.376 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCNC[C@@H]2C#N)c(O)c1 ZINC001195740344 846619142 /nfs/dbraw/zinc/61/91/42/846619142.db2.gz DCONBBKEEYTIRC-JTQLQIEISA-N 0 1 256.265 0.201 20 30 CCEDMN C#CCOCCC(=O)N1CCC[N@H+]([C@@H](C)COC)CC1 ZINC001195798052 846627212 /nfs/dbraw/zinc/62/72/12/846627212.db2.gz AFDZRMBXJQZZSN-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(CCOCC)C[C@H]2O)CC1 ZINC001195877276 846642713 /nfs/dbraw/zinc/64/27/13/846642713.db2.gz YOMOQBIQVZGHRA-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)n2ccnc2)C1 ZINC001196500422 846766160 /nfs/dbraw/zinc/76/61/60/846766160.db2.gz UFBHVKGQXQJIRC-BFHYXJOUSA-N 0 1 290.367 0.019 20 30 CCEDMN N#CCN1CCCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC001196649487 846782416 /nfs/dbraw/zinc/78/24/16/846782416.db2.gz VFTOYVBKOSTEEI-UHFFFAOYSA-N 0 1 290.371 0.575 20 30 CCEDMN C=CCC[C@@H](C)[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cnon2)C1 ZINC001197090039 846836266 /nfs/dbraw/zinc/83/62/66/846836266.db2.gz ILQPLUWCOCIZHB-YUSALJHKSA-N 0 1 280.328 0.199 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)CC(=O)N(C)C)CC1 ZINC001197389044 846897896 /nfs/dbraw/zinc/89/78/96/846897896.db2.gz NFWKYKYRQXRJRJ-UHFFFAOYSA-N 0 1 297.399 0.202 20 30 CCEDMN Cc1cc(C(=O)N2CCO[C@@H](C#N)C2)nn1-c1ccncc1 ZINC001197532170 846927303 /nfs/dbraw/zinc/92/73/03/846927303.db2.gz ABIKVSJPZVQSNB-ZDUSSCGKSA-N 0 1 297.318 0.940 20 30 CCEDMN C=CCN1CCCN(C(=O)C2(C(=O)NC)CCC2)CC1 ZINC001197899236 846999175 /nfs/dbraw/zinc/99/91/75/846999175.db2.gz QWJKPNNWHQAQOY-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN CC[C@H]1CO[C@@H](C)CN1C(=O)NCC#CCN(C)C ZINC001251703780 847027720 /nfs/dbraw/zinc/02/77/20/847027720.db2.gz DPUFUYJZIQBWQU-STQMWFEESA-N 0 1 267.373 0.760 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)COc2ccccc2)C1 ZINC001198119097 847051765 /nfs/dbraw/zinc/05/17/65/847051765.db2.gz QGMYIXZZBSJWLW-HUUCEWRRSA-N 0 1 288.347 0.250 20 30 CCEDMN Cc1ccc(S(=O)(=O)Nc2ccnc(C#N)c2)cn1 ZINC001198273221 847084453 /nfs/dbraw/zinc/08/44/53/847084453.db2.gz VTBVSVXWPLANQA-UHFFFAOYSA-N 0 1 274.305 0.879 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)C2CC2)C1 ZINC001199171695 847240797 /nfs/dbraw/zinc/24/07/97/847240797.db2.gz CQNWWWPDVPSXGP-NWANDNLSSA-N 0 1 296.411 0.787 20 30 CCEDMN C#CCOC[C@@H](O)CNCC(=O)Cc1ccccc1 ZINC001251891349 847346159 /nfs/dbraw/zinc/34/61/59/847346159.db2.gz QUCRTRUHBYKGTE-HNNXBMFYSA-N 0 1 261.321 0.399 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)CCOC)CCCO1 ZINC001199652044 847383578 /nfs/dbraw/zinc/38/35/78/847383578.db2.gz CEMXYFAVMLHBQL-LBPRGKRZSA-N 0 1 290.791 0.983 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOCCO ZINC001110539118 847384070 /nfs/dbraw/zinc/38/40/70/847384070.db2.gz FWCIVWOXPADNBT-KFWWJZLASA-N 0 1 292.379 0.130 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@H](CO)c1ccccc1F ZINC001251900380 847410100 /nfs/dbraw/zinc/41/01/00/847410100.db2.gz POWFYIIMRHFGMT-SMDDNHRTSA-N 0 1 267.300 0.459 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)C1CCC1 ZINC001273798368 847490025 /nfs/dbraw/zinc/49/00/25/847490025.db2.gz IXJWLOATAZDDED-ARJAWSKDSA-N 0 1 265.357 0.351 20 30 CCEDMN C=CCNC(=S)N1CC([N@H+](C)[C@H]2CCOC2)C1 ZINC001200289455 847597378 /nfs/dbraw/zinc/59/73/78/847597378.db2.gz VSWWGKKHZUARCB-JTQLQIEISA-N 0 1 255.387 0.452 20 30 CCEDMN C=CCNC(=S)N1CC(N(C)[C@H]2CCOC2)C1 ZINC001200289455 847597385 /nfs/dbraw/zinc/59/73/85/847597385.db2.gz VSWWGKKHZUARCB-JTQLQIEISA-N 0 1 255.387 0.452 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2ncc[nH]2)CCCO1 ZINC001200429584 847636692 /nfs/dbraw/zinc/63/66/92/847636692.db2.gz UXJDJJYMHIFMBF-LLVKDONJSA-N 0 1 298.774 0.983 20 30 CCEDMN C=CCN1CC[C@@]2(CCCN2C(C)(C)C(=O)NC)C1=O ZINC001273840350 847646628 /nfs/dbraw/zinc/64/66/28/847646628.db2.gz YGCIKOANUBPQGJ-HNNXBMFYSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN(C)C(=O)C(C)C)C2 ZINC001110615366 847922199 /nfs/dbraw/zinc/92/21/99/847922199.db2.gz PVDPQONMKBUZRO-MCIONIFRSA-N 0 1 291.395 0.456 20 30 CCEDMN C=CCOC[C@H](O)C[NH2+]CCCC[P@](=O)([O-])O ZINC001252488215 847987238 /nfs/dbraw/zinc/98/72/38/847987238.db2.gz IEHJWYISAYGUAG-SNVBAGLBSA-N 0 1 267.262 0.097 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ccc(OC)c(OC)n1 ZINC001252495213 847990813 /nfs/dbraw/zinc/99/08/13/847990813.db2.gz KYHRNIDRDNWIND-GFCCVEGCSA-N 0 1 282.340 0.752 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN1CCOCC1)C2 ZINC001095327901 848210765 /nfs/dbraw/zinc/21/07/65/848210765.db2.gz LGNIIESFZITSIN-RDBSUJKOSA-N 0 1 279.384 0.226 20 30 CCEDMN C=CCC1(O)CCN(CCN2CCCS2(=O)=O)CC1 ZINC000717428710 848260266 /nfs/dbraw/zinc/26/02/66/848260266.db2.gz JNGJRRDLEQWUMN-UHFFFAOYSA-N 0 1 288.413 0.425 20 30 CCEDMN C#CCN1c2ccccc2[C@]2(CCN(CC(N)=O)C2)C1=O ZINC001274053930 848328617 /nfs/dbraw/zinc/32/86/17/848328617.db2.gz IAAVPUCMELHHQP-MRXNPFEDSA-N 0 1 283.331 0.095 20 30 CCEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1nnc[nH]1 ZINC001274355753 848458945 /nfs/dbraw/zinc/45/89/45/848458945.db2.gz KNYUVRQJVPSSMN-VXGBXAGGSA-N 0 1 275.356 0.946 20 30 CCEDMN CCOC(=O)CCCNC(=O)NCC#CCN(C)C ZINC000717891157 848534829 /nfs/dbraw/zinc/53/48/29/848534829.db2.gz JWNXUAQKFPFMFO-UHFFFAOYSA-N 0 1 269.345 0.194 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CNCc1snnc1C ZINC001274732619 848553243 /nfs/dbraw/zinc/55/32/43/848553243.db2.gz CSRGLKZNJXHRJR-RNCFNFMXSA-N 0 1 298.412 0.768 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)c2ccn(C)c2C)CC1 ZINC001274930060 848595303 /nfs/dbraw/zinc/59/53/03/848595303.db2.gz OUHJSSYUGKCMGZ-UHFFFAOYSA-N 0 1 289.379 0.523 20 30 CCEDMN Cc1cc(CNC[C@H](C)NC(=O)[C@@H](C)C#N)nn1C ZINC001275098741 848638456 /nfs/dbraw/zinc/63/84/56/848638456.db2.gz JSYRITPWNKPKTK-UWVGGRQHSA-N 0 1 263.345 0.483 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC[C@@H]1CN(C)CC#CC ZINC001275109231 848641257 /nfs/dbraw/zinc/64/12/57/848641257.db2.gz YCWMFCRQJPVNAR-HUUCEWRRSA-N 0 1 276.380 0.971 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)[C@H](C)NCc1nccn1C ZINC001275364177 848699954 /nfs/dbraw/zinc/69/99/54/848699954.db2.gz CUIFLUBUEQQKSN-RYUDHWBXSA-N 0 1 280.372 0.606 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)[C@H](C)NCc1cnns1 ZINC001275365451 848700394 /nfs/dbraw/zinc/70/03/94/848700394.db2.gz JNHQHELITFYAEV-UWVGGRQHSA-N 0 1 284.385 0.724 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)[C@@H](C)NCc1nccn1C ZINC001275364179 848700776 /nfs/dbraw/zinc/70/07/76/848700776.db2.gz CUIFLUBUEQQKSN-VXGBXAGGSA-N 0 1 280.372 0.606 20 30 CCEDMN C[C@H](CN(C)[C@H]1CCCNC1=O)NC(=O)C#CC1CC1 ZINC001275551176 848760649 /nfs/dbraw/zinc/76/06/49/848760649.db2.gz FQFXARDTPWEEGW-YPMHNXCESA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCc1nc(C)no1 ZINC001275613965 848775257 /nfs/dbraw/zinc/77/52/57/848775257.db2.gz HNNPWTUIZYFQKA-JTQLQIEISA-N 0 1 264.329 0.380 20 30 CCEDMN C[N@@H+]1C[C@@H]2COC[C@H](C1)N2C(=O)c1ccc([O-])c(C#N)c1 ZINC001275707857 848796651 /nfs/dbraw/zinc/79/66/51/848796651.db2.gz AZUUAKSIFUMFQM-BETUJISGSA-N 0 1 287.319 0.419 20 30 CCEDMN C[N@H+]1C[C@@H]2COC[C@H](C1)N2C(=O)c1ccc([O-])c(C#N)c1 ZINC001275707857 848796664 /nfs/dbraw/zinc/79/66/64/848796664.db2.gz AZUUAKSIFUMFQM-BETUJISGSA-N 0 1 287.319 0.419 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC001275720361 848800785 /nfs/dbraw/zinc/80/07/85/848800785.db2.gz DLSGGUVXIUWLIC-LLVKDONJSA-N 0 1 287.363 0.959 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCCOC1 ZINC001275780060 848820868 /nfs/dbraw/zinc/82/08/68/848820868.db2.gz BPTLVVRIKOFOGW-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CC1(O)CCC1 ZINC001275827468 848837041 /nfs/dbraw/zinc/83/70/41/848837041.db2.gz IVFKYLLRKYZISU-GFCCVEGCSA-N 0 1 252.358 0.751 20 30 CCEDMN C[C@@H](CNC(=O)c1cncc2nc[nH]c21)N(C)CC#N ZINC001275835890 848839951 /nfs/dbraw/zinc/83/99/51/848839951.db2.gz OTIYTPIXPXPYNS-VIFPVBQESA-N 0 1 272.312 0.532 20 30 CCEDMN CC#CC[N@@H+](C)[C@H](C)CNC(=O)[C@H]1CCc2nccn2C1 ZINC001275865359 848850880 /nfs/dbraw/zinc/85/08/80/848850880.db2.gz JATNOCQJWCWOKB-KGLIPLIRSA-N 0 1 288.395 0.905 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cncc3nc[nH]c31)C2 ZINC001095446792 848919472 /nfs/dbraw/zinc/91/94/72/848919472.db2.gz IWVCSQKNIYOYNF-CDMKHQONSA-N 0 1 296.334 0.817 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](CC)NC(C)=O)C2 ZINC001111054786 849056579 /nfs/dbraw/zinc/05/65/79/849056579.db2.gz PZKQXUJCJATPLO-BARDWOONSA-N 0 1 291.395 0.646 20 30 CCEDMN C#CCCCCCN1CCN(CC(=O)N(C)C)CC1 ZINC000686683163 849078294 /nfs/dbraw/zinc/07/82/94/849078294.db2.gz ZLRWGWXLVBVSSO-UHFFFAOYSA-N 0 1 265.401 0.886 20 30 CCEDMN CN1CCN(CCOc2cccc(C#N)c2)C[C@H]1CO ZINC000687627757 849130414 /nfs/dbraw/zinc/13/04/14/849130414.db2.gz OFXGSTRAWORQDT-AWEZNQCLSA-N 0 1 275.352 0.545 20 30 CCEDMN CN(CCCNC(=O)c1cnn[nH]1)c1ccncc1C#N ZINC001095778569 849139347 /nfs/dbraw/zinc/13/93/47/849139347.db2.gz GYJDQPBISGDOKR-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN Cc1nc(N(C)CCCNC(=O)c2cnn[nH]2)ccc1C#N ZINC001095778582 849139439 /nfs/dbraw/zinc/13/94/39/849139439.db2.gz HJEDXQVANYHMKG-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)c1cnn(C)c1 ZINC001114616703 849320061 /nfs/dbraw/zinc/32/00/61/849320061.db2.gz VNVSTIAEDKWJDP-UYHMYPTGSA-N 0 1 286.379 0.593 20 30 CCEDMN N#C[C@H]1CN(Cc2ccc(OCC(N)=O)cc2)CCC1=O ZINC001138870613 849364143 /nfs/dbraw/zinc/36/41/43/849364143.db2.gz SFTUERADMAPLQD-LBPRGKRZSA-N 0 1 287.319 0.465 20 30 CCEDMN CC[C@@H]1CN(C(=O)c2[nH]nnc2C)CC[C@H]1NCC#N ZINC001037890473 849601581 /nfs/dbraw/zinc/60/15/81/849601581.db2.gz JDPSNNUMUGRKJW-GHMZBOCLSA-N 0 1 276.344 0.467 20 30 CCEDMN CC[C@H]1CN(C(=O)CN2CCCC2)CC[C@H]1NCC#N ZINC001037978671 849616975 /nfs/dbraw/zinc/61/69/75/849616975.db2.gz MYMWCZZGVCIBGN-UONOGXRCSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NC[C@H](C(=O)[O-])C(C)C ZINC000380757763 849691748 /nfs/dbraw/zinc/69/17/48/849691748.db2.gz CUHKHGIKFNMURG-LBPRGKRZSA-N 0 1 283.372 0.598 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001038627697 849716990 /nfs/dbraw/zinc/71/69/90/849716990.db2.gz ZKMNYRLEHPCEAK-KGLIPLIRSA-N 0 1 291.395 0.600 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001038637786 849765264 /nfs/dbraw/zinc/76/52/64/849765264.db2.gz DSUNRIOACXFQFP-VXGBXAGGSA-N 0 1 289.383 0.991 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1c[nH]c(=O)cn1 ZINC001038638836 849782256 /nfs/dbraw/zinc/78/22/56/849782256.db2.gz LBUKWACKXGXXJT-SNVBAGLBSA-N 0 1 262.313 0.150 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001038641740 849791081 /nfs/dbraw/zinc/79/10/81/849791081.db2.gz ZONAHSFNGOKHEQ-RYUDHWBXSA-N 0 1 287.367 0.438 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccnn1CC(F)F ZINC001038253956 849831739 /nfs/dbraw/zinc/83/17/39/849831739.db2.gz PIXXLZSFURJPRO-NSHDSACASA-N 0 1 296.321 0.976 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001038377618 849871167 /nfs/dbraw/zinc/87/11/67/849871167.db2.gz LZNAFDYPXOHATO-NSHDSACASA-N 0 1 262.313 0.562 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCCN(C(C)=O)C1 ZINC001038474169 849914881 /nfs/dbraw/zinc/91/48/81/849914881.db2.gz DIOWYAXSJABOMD-GJZGRUSLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001038733959 849998639 /nfs/dbraw/zinc/99/86/39/849998639.db2.gz ZDZLDFQYVVIFSE-QLFBSQMISA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccnc(N(C)C)c1 ZINC001038765865 850009809 /nfs/dbraw/zinc/00/98/09/850009809.db2.gz DDPXJTFQKPDQCO-CQSZACIVSA-N 0 1 286.379 0.975 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cn(C)c(=O)n1C ZINC001039054714 850139397 /nfs/dbraw/zinc/13/93/97/850139397.db2.gz VQRQVYNHNAENEM-NSHDSACASA-N 0 1 278.356 0.104 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H]3CC[C@@H](C2)N3C(C)=O)C1=O ZINC001039314732 850170165 /nfs/dbraw/zinc/17/01/65/850170165.db2.gz IVZRNXFSDLUOEV-QLFBSQMISA-N 0 1 291.395 0.859 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CN(C)CCO1 ZINC001039350563 850176914 /nfs/dbraw/zinc/17/69/14/850176914.db2.gz JCTHCOWIYWYLBD-ILXRZTDVSA-N 0 1 293.411 0.568 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(=O)cn1 ZINC001039426105 850190229 /nfs/dbraw/zinc/19/02/29/850190229.db2.gz PKMBNBKPFMVBKU-NEPJUHHUSA-N 0 1 286.335 0.082 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCC(=O)N1 ZINC001039461217 850195289 /nfs/dbraw/zinc/19/52/89/850195289.db2.gz FPRNWFUZCDKTPI-RDBSUJKOSA-N 0 1 289.379 0.354 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)c3cc[nH]n3)C2)C1 ZINC001041088653 850365136 /nfs/dbraw/zinc/36/51/36/850365136.db2.gz BTHUXNYGZLHEPR-AWEZNQCLSA-N 0 1 258.325 0.581 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3ccnn3C)C[C@@H]21 ZINC001041968727 850545030 /nfs/dbraw/zinc/54/50/30/850545030.db2.gz IHESOWPLSZZZGJ-ZFWWWQNUSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnc4n3CCC4)C[C@H]21 ZINC001042099817 850576195 /nfs/dbraw/zinc/57/61/95/850576195.db2.gz IXCGTBOXXYDQNM-UKRRQHHQSA-N 0 1 298.390 0.999 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2COC(=O)N2)C1 ZINC001042675941 850730049 /nfs/dbraw/zinc/73/00/49/850730049.db2.gz DQBHRBQOKYTTHU-NSHDSACASA-N 0 1 267.329 0.204 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)Cc2nnc(C)[nH]2)C1 ZINC001042704231 850740839 /nfs/dbraw/zinc/74/08/39/850740839.db2.gz FPVMQNMSJJQUSR-UHFFFAOYSA-N 0 1 263.345 0.374 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001043022093 850799350 /nfs/dbraw/zinc/79/93/50/850799350.db2.gz OAQGPSPVHZYLDA-CYBMUJFWSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001043894568 850958963 /nfs/dbraw/zinc/95/89/63/850958963.db2.gz GLPQYQYCNVEWKD-OLZOCXBDSA-N 0 1 293.411 0.997 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)C(C)(C)c2c[nH]cn2)C1 ZINC001044190209 851038765 /nfs/dbraw/zinc/03/87/65/851038765.db2.gz ZDBLTZNEXNEVNV-UHFFFAOYSA-N 0 1 274.368 0.853 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001044240019 851051099 /nfs/dbraw/zinc/05/10/99/851051099.db2.gz IIKFNMJTCHUZHD-CQSZACIVSA-N 0 1 293.411 0.964 20 30 CCEDMN C[C@H]1C[C@@H](NCC#N)CCN1C(=O)CCc1c[nH]nn1 ZINC001044751587 851152800 /nfs/dbraw/zinc/15/28/00/851152800.db2.gz WFUICMCVZOAEHQ-QWRGUYRKSA-N 0 1 276.344 0.230 20 30 CCEDMN C[C@H]1C[C@@H](NCC#N)CCN1C(=O)CCc1cnn[nH]1 ZINC001044751587 851152805 /nfs/dbraw/zinc/15/28/05/851152805.db2.gz WFUICMCVZOAEHQ-QWRGUYRKSA-N 0 1 276.344 0.230 20 30 CCEDMN Cn1ccc(CNC[C@@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC001045030552 851205565 /nfs/dbraw/zinc/20/55/65/851205565.db2.gz KHJQKECCAYGYSH-HNNXBMFYSA-N 0 1 286.379 0.914 20 30 CCEDMN CC#CCN1CCC(C)(NC(=O)c2nnn(C)c2C)CC1 ZINC001045394767 851250223 /nfs/dbraw/zinc/25/02/23/851250223.db2.gz SNSMTXRAANAKIF-UHFFFAOYSA-N 0 1 289.383 0.731 20 30 CCEDMN CC1(NC(=O)[C@@H]2CC2[N+](=O)[O-])CCN(CC#N)CC1 ZINC001045436140 851258435 /nfs/dbraw/zinc/25/84/35/851258435.db2.gz JMAAPGVSTANNTB-NXEZZACHSA-N 0 1 266.301 0.146 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2[nH]cnc2C)CC1 ZINC001045652250 851292272 /nfs/dbraw/zinc/29/22/72/851292272.db2.gz DFDLSVRQZMERFB-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001046473766 851520197 /nfs/dbraw/zinc/52/01/97/851520197.db2.gz PDMHUAXERINDAP-OAHLLOKOSA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001046614902 851565632 /nfs/dbraw/zinc/56/56/32/851565632.db2.gz VCOGCHBRLCKSRY-XJKSGUPXSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@]2(C)CCN(CCO)C2)nn1 ZINC001046689329 851583246 /nfs/dbraw/zinc/58/32/46/851583246.db2.gz JJHJNKQBJLFUNH-AWEZNQCLSA-N 0 1 293.371 0.041 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnn3cc[nH]c23)C1 ZINC001046802441 851615426 /nfs/dbraw/zinc/61/54/26/851615426.db2.gz FZHDJXHBVQSFRH-OAHLLOKOSA-N 0 1 285.351 0.880 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2[nH]cnc2CC)C1 ZINC001046802485 851616677 /nfs/dbraw/zinc/61/66/77/851616677.db2.gz GRZBNGMJPVABEK-CQSZACIVSA-N 0 1 260.341 0.800 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001046808767 851618648 /nfs/dbraw/zinc/61/86/48/851618648.db2.gz AIWITPUFPQFVJG-BBRMVZONSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001046812444 851621650 /nfs/dbraw/zinc/62/16/50/851621650.db2.gz XIOZDULHUZQSPS-WFASDCNBSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001046844217 851628075 /nfs/dbraw/zinc/62/80/75/851628075.db2.gz MEYZELYTFDHSRK-HNNXBMFYSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CC[N@@H+]1CCC(F)(F)[C@@H](CNC(=O)[C@H]2CCC[NH+]2C)C1 ZINC001046926628 851646103 /nfs/dbraw/zinc/64/61/03/851646103.db2.gz UPEBJMVCFRGSJH-QWHCGFSZSA-N 0 1 299.365 0.787 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccco2)C1 ZINC001047285206 851696867 /nfs/dbraw/zinc/69/68/67/851696867.db2.gz TWEWCGSJIIWIBE-RYUDHWBXSA-N 0 1 262.309 0.420 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2CC(OCC)C2)C1 ZINC001047370433 851740272 /nfs/dbraw/zinc/74/02/72/851740272.db2.gz NPLLWLMBRMVBCY-WUCCLRPBSA-N 0 1 294.395 0.328 20 30 CCEDMN CC(C)[C@H](CNC(=O)CN1CCCC1)NCC#N ZINC001482570060 894254010 /nfs/dbraw/zinc/25/40/10/894254010.db2.gz JWMIOMAFWZRGFN-LBPRGKRZSA-N 0 1 252.362 0.336 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)[C@H]2CN(CC)C[C@@H]2O)C1 ZINC001047519188 851799456 /nfs/dbraw/zinc/79/94/56/851799456.db2.gz AAYRWFMBVWPJHO-RYUDHWBXSA-N 0 1 252.358 0.866 20 30 CCEDMN C[C@H](NCc1cnon1)[C@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001047837253 851868363 /nfs/dbraw/zinc/86/83/63/851868363.db2.gz VJCFIMLKIRCPBE-AAEUAGOBSA-N 0 1 288.351 0.810 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCN1C(C)=O)C2 ZINC001096386212 851976614 /nfs/dbraw/zinc/97/66/14/851976614.db2.gz KOJFAAVCTAXLNJ-QPSCCSFWSA-N 0 1 291.395 0.905 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@H]2CN(C(=O)COC)C[C@H]2C1 ZINC001048631200 851982900 /nfs/dbraw/zinc/98/29/00/851982900.db2.gz ZPWHLQSREIMICP-PHIMTYICSA-N 0 1 258.749 0.776 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)COC)C[C@@H]2C1 ZINC001048631200 851982903 /nfs/dbraw/zinc/98/29/03/851982903.db2.gz ZPWHLQSREIMICP-PHIMTYICSA-N 0 1 258.749 0.776 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)c3cccc4nn[nH]c43)C[C@@H]2C1 ZINC001048838136 852079981 /nfs/dbraw/zinc/07/99/81/852079981.db2.gz DQWGLORQRMXTHU-PHIMTYICSA-N 0 1 296.334 0.485 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)c3ccc4cncn4c3)C[C@@H]2C1 ZINC001048939598 852114740 /nfs/dbraw/zinc/11/47/40/852114740.db2.gz UHGCCSKSOJJTLJ-OKILXGFUSA-N 0 1 295.346 0.862 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CN(CC(=O)NC)C[C@H]2C1 ZINC001049025946 852143326 /nfs/dbraw/zinc/14/33/26/852143326.db2.gz HWAQNKGTXUCISH-ZENOOKHLSA-N 0 1 293.411 0.725 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cn[nH]c1 ZINC001049350441 852237682 /nfs/dbraw/zinc/23/76/82/852237682.db2.gz RROJJRAJCNUCCN-OLZOCXBDSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)C[C@@H]1COC(=O)C1 ZINC001049428757 852268905 /nfs/dbraw/zinc/26/89/05/852268905.db2.gz VUTHMOVHOUJPIS-MELADBBJSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cn(C)nn1 ZINC001049461953 852288837 /nfs/dbraw/zinc/28/88/37/852288837.db2.gz MDSVELSJWAUFRF-OLZOCXBDSA-N 0 1 273.340 0.127 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1ccn(C)n1 ZINC001049475987 852292504 /nfs/dbraw/zinc/29/25/04/852292504.db2.gz QAPHSKZDGWLCIT-HUUCEWRRSA-N 0 1 286.379 0.661 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCCNC1=O ZINC001049534547 852306263 /nfs/dbraw/zinc/30/62/63/852306263.db2.gz WABUJWMPRSIDDO-BFHYXJOUSA-N 0 1 289.379 0.211 20 30 CCEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1n[nH]nc1C ZINC001049629388 852325324 /nfs/dbraw/zinc/32/53/24/852325324.db2.gz FVZGQTRAEYRINO-OLZOCXBDSA-N 0 1 287.367 0.815 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3CCOC3)[C@@H]2C1 ZINC001049988020 852415862 /nfs/dbraw/zinc/41/58/62/852415862.db2.gz ARSJFDOXWMEQBM-MELADBBJSA-N 0 1 262.353 0.579 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CN(C)CCO1)C2 ZINC001096911900 852465151 /nfs/dbraw/zinc/46/51/51/852465151.db2.gz RASVBQOTPUPZLH-MQYQWHSLSA-N 0 1 279.384 0.225 20 30 CCEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(C)c1[O-])C2 ZINC001096960161 852471130 /nfs/dbraw/zinc/47/11/30/852471130.db2.gz DRNGSNHZTKHMLH-UTUOFQBUSA-N 0 1 288.351 0.782 20 30 CCEDMN CC#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(C)c1[O-])C2 ZINC001096960233 852471779 /nfs/dbraw/zinc/47/17/79/852471779.db2.gz HITFBSNVDMLUGN-UTUOFQBUSA-N 0 1 288.351 0.782 20 30 CCEDMN CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nc(C)c1[O-])C2 ZINC001096960233 852471781 /nfs/dbraw/zinc/47/17/81/852471781.db2.gz HITFBSNVDMLUGN-UTUOFQBUSA-N 0 1 288.351 0.782 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1COC(=O)C1)C2 ZINC001096955753 852478178 /nfs/dbraw/zinc/47/81/78/852478178.db2.gz OHPIDRFFRGLNGW-LPWJVIDDSA-N 0 1 276.336 0.294 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccn(CC)n1)C2 ZINC001097107439 852499880 /nfs/dbraw/zinc/49/98/80/852499880.db2.gz CBYIGCFOOSWELO-KFWWJZLASA-N 0 1 286.379 0.800 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CC(=O)N(CC)C1)C2 ZINC001097168837 852509377 /nfs/dbraw/zinc/50/93/77/852509377.db2.gz VWJSLZISYBCIEY-SYQHCUMBSA-N 0 1 291.395 0.762 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCCNC1=O)C2 ZINC001097267645 852518959 /nfs/dbraw/zinc/51/89/59/852518959.db2.gz PKOUTCDVUMHEGC-SYQHCUMBSA-N 0 1 289.379 0.257 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn(C)ccc1=O)C2 ZINC001097502835 852544038 /nfs/dbraw/zinc/54/40/38/852544038.db2.gz NDIUGTUCTYYEEV-YUELXQCFSA-N 0 1 299.374 0.744 20 30 CCEDMN C[C@@H](CNC(=O)CCc1nc[nH]n1)Nc1ccncc1C#N ZINC001097779840 852609569 /nfs/dbraw/zinc/60/95/69/852609569.db2.gz PCASKUAXDFZLCW-JTQLQIEISA-N 0 1 299.338 0.043 20 30 CCEDMN NC(=O)C(=O)N[C@@H]1CCCN(CC#Cc2ccccc2)CC1 ZINC001052671694 852636419 /nfs/dbraw/zinc/63/64/19/852636419.db2.gz GSZZSMRJTUMQHT-OAHLLOKOSA-N 0 1 299.374 0.494 20 30 CCEDMN C=CCN1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCCN1C)O2 ZINC001053582436 852765487 /nfs/dbraw/zinc/76/54/87/852765487.db2.gz FLXQFNXUUATBMC-KBPBESRZSA-N 0 1 293.411 0.616 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@H](CNC(=O)c1ncc[nH]1)O2 ZINC001053596383 852769380 /nfs/dbraw/zinc/76/93/80/852769380.db2.gz SNBJEIRASDSBAR-GFCCVEGCSA-N 0 1 290.367 0.949 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@H](CNC(=O)c1cnon1)O2 ZINC001053685029 852775715 /nfs/dbraw/zinc/77/57/15/852775715.db2.gz YCKAPINYLXFHGV-LLVKDONJSA-N 0 1 292.339 0.609 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1c[nH]cn1)C2 ZINC001097935655 852899308 /nfs/dbraw/zinc/89/93/08/852899308.db2.gz GKZXYPOGSSDKGJ-MCIONIFRSA-N 0 1 272.352 0.697 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cc2nnc(C)[nH]2)C[C@H]1C ZINC001054634675 852985602 /nfs/dbraw/zinc/98/56/02/852985602.db2.gz KHMBRVXBQVDTJP-KCJUWKMLSA-N 0 1 297.790 0.845 20 30 CCEDMN C[C@H]1CN(C(=O)C#CC2CC2)C[C@@H]1NCc1cnnn1C ZINC001054640357 852986510 /nfs/dbraw/zinc/98/65/10/852986510.db2.gz YJJMQBORQOCLDB-FZMZJTMJSA-N 0 1 287.367 0.165 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2n[nH]nc2C)C[C@H]1C ZINC001054960457 853044161 /nfs/dbraw/zinc/04/41/61/853044161.db2.gz BTUBKZZVBILICJ-XCBNKYQSSA-N 0 1 283.763 0.916 20 30 CCEDMN CCCc1cc(C(=O)N2C[C@H](C)[C@H](NCC#N)C2)n[nH]1 ZINC001054959172 853044875 /nfs/dbraw/zinc/04/48/75/853044875.db2.gz HUGVCSKFENNBQZ-GXFFZTMASA-N 0 1 275.356 0.936 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCN1CCC(NC(C)=O)CC1 ZINC001055592140 853088253 /nfs/dbraw/zinc/08/82/53/853088253.db2.gz CQLSPTQPKISEJS-GFCCVEGCSA-N 0 1 297.399 0.294 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](Nc3ccnc(C#N)n3)C2)n[nH]1 ZINC001056587813 853175479 /nfs/dbraw/zinc/17/54/79/853175479.db2.gz UJUONRPEUODPCV-SNVBAGLBSA-N 0 1 297.322 0.128 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](Nc3nccnc3C#N)C2)[nH]1 ZINC001056592241 853177102 /nfs/dbraw/zinc/17/71/02/853177102.db2.gz CQTCIYQAKHHEIM-SNVBAGLBSA-N 0 1 297.322 0.706 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CC3OCCCO3)[C@@H]2C1 ZINC001050027329 853297341 /nfs/dbraw/zinc/29/73/41/853297341.db2.gz FDIIQOAXKNJDPQ-UONOGXRCSA-N 0 1 292.379 0.696 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccc(C)nn3)[C@@H]2C1 ZINC001050044701 853301319 /nfs/dbraw/zinc/30/13/19/853301319.db2.gz MUHVJWIPHYFHMC-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cn4c(n3)CCC4)[C@@H]2C1 ZINC001050049310 853304623 /nfs/dbraw/zinc/30/46/23/853304623.db2.gz JMHHTGIPNPJTOY-DZGCQCFKSA-N 0 1 298.390 0.999 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)CCc3nc[nH]n3)C2)CC1 ZINC001050478915 853383369 /nfs/dbraw/zinc/38/33/69/853383369.db2.gz ZIVQOAUROJVTGI-UHFFFAOYSA-N 0 1 288.355 0.185 20 30 CCEDMN CN1CC(C(=O)N2CC3(C2)CCN(CC#N)CC3)=NC1=O ZINC001050645943 853406650 /nfs/dbraw/zinc/40/66/50/853406650.db2.gz BKAXLGJBJLCOLK-UHFFFAOYSA-N 0 1 289.339 0.187 20 30 CCEDMN CC#CCN1CCOC[C@H]1CNC(=O)[C@H]1CCCCN1C ZINC001050981520 853500550 /nfs/dbraw/zinc/50/05/50/853500550.db2.gz HKTHHERIKARENU-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCCN1CCOC[C@@H]1CNC(=O)[C@H]1CCCCN1C ZINC001050984145 853501041 /nfs/dbraw/zinc/50/10/41/853501041.db2.gz YVKUPXOLIQOFEK-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CCOC[C@H]1CNC(=O)[C@H]1CCCCN1CC ZINC001051256541 853555790 /nfs/dbraw/zinc/55/57/90/853555790.db2.gz XDBXYZVFBZTZIL-HUUCEWRRSA-N 0 1 295.427 0.864 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)C(F)F)C2)CC1 ZINC001051967490 853666213 /nfs/dbraw/zinc/66/62/13/853666213.db2.gz UGXCPWGHVVDROE-GFCCVEGCSA-N 0 1 285.338 0.493 20 30 CCEDMN N#Cc1cnccc1NC1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001051983427 853669887 /nfs/dbraw/zinc/66/98/87/853669887.db2.gz XJSXGUKWQSCRMX-UHFFFAOYSA-N 0 1 297.322 0.114 20 30 CCEDMN N#Cc1cnccc1NC1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001051983427 853669889 /nfs/dbraw/zinc/66/98/89/853669889.db2.gz XJSXGUKWQSCRMX-UHFFFAOYSA-N 0 1 297.322 0.114 20 30 CCEDMN C=C(C)CN1CCN([C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001051998514 853674299 /nfs/dbraw/zinc/67/42/99/853674299.db2.gz VDPUDFXJGLZUIT-LSDHHAIUSA-N 0 1 290.411 0.941 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N([C@H](C)C(=O)[O-])C1CC1 ZINC000383442697 853859297 /nfs/dbraw/zinc/85/92/97/853859297.db2.gz YQSZTCKOQBQPNL-LLVKDONJSA-N 0 1 281.356 0.589 20 30 CCEDMN C[C@H]1[C@H](Nc2ccc(C#N)nn2)CCN1C(=O)c1ccn[nH]1 ZINC001068750810 853922939 /nfs/dbraw/zinc/92/29/39/853922939.db2.gz XFKZYQVGEADPRC-GXSJLCMTSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2C[C@@H]2C)[C@@H](n2ccnn2)C1 ZINC001070002339 854014169 /nfs/dbraw/zinc/01/41/69/854014169.db2.gz YZHFMTXUDUYCDC-FQUUOJAGSA-N 0 1 287.367 0.299 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CC23CC3)[C@@H](n2ccnn2)C1 ZINC001070039579 854019057 /nfs/dbraw/zinc/01/90/57/854019057.db2.gz LULYUNLLDSLSHT-MJBXVCDLSA-N 0 1 299.378 0.443 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C(C)(C)F)C[C@H]1c1cn(C)cn1 ZINC001070456318 854061515 /nfs/dbraw/zinc/06/15/15/854061515.db2.gz KQWUSSVUBSGDHO-WCQYABFASA-N 0 1 292.358 0.685 20 30 CCEDMN C=CCCN1CCNC(=O)CCN(C(=O)[C@H]2C[C@@H]2C)CC1 ZINC001070934753 854105175 /nfs/dbraw/zinc/10/51/75/854105175.db2.gz OHBGZSLTMRMPMK-KBPBESRZSA-N 0 1 293.411 0.869 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@H]1C ZINC001071326306 854158288 /nfs/dbraw/zinc/15/82/88/854158288.db2.gz DURRGVXYJVGSFL-GHMZBOCLSA-N 0 1 274.324 0.398 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN2CCCC2=O)CC[C@@H]1C ZINC001071340903 854161686 /nfs/dbraw/zinc/16/16/86/854161686.db2.gz VPBSQTPGWHUHOC-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2cccn2)CC[C@H]1C ZINC001071354199 854165383 /nfs/dbraw/zinc/16/53/83/854165383.db2.gz IRKCQITYJJXAGH-CHWSQXEVSA-N 0 1 260.341 0.485 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cnn(C)c2N)CC[C@H]1C ZINC001071447504 854199767 /nfs/dbraw/zinc/19/97/67/854199767.db2.gz NYXFHMFQAPHROQ-MNOVXSKESA-N 0 1 277.372 0.771 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cnn(C)c2N)CC[C@@H]1C ZINC001071447506 854200821 /nfs/dbraw/zinc/20/08/21/854200821.db2.gz NYXFHMFQAPHROQ-WDEREUQCSA-N 0 1 277.372 0.771 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2ccnn2C)CC[C@@H]1C ZINC001071466801 854209640 /nfs/dbraw/zinc/20/96/40/854209640.db2.gz HMKJYJZLCIGUQU-UONOGXRCSA-N 0 1 288.395 0.955 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cn2nccn2)CC[C@H]1C ZINC001071537107 854232922 /nfs/dbraw/zinc/23/29/22/854232922.db2.gz TVYQIOJESDLDPC-NEPJUHHUSA-N 0 1 263.345 0.433 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN2CCCNC2=O)CC[C@@H]1C ZINC001071642738 854255764 /nfs/dbraw/zinc/25/57/64/854255764.db2.gz VXTMAYLGFVHOFH-STQMWFEESA-N 0 1 292.383 0.004 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C2CC(=O)NC(=O)C2)CC[C@H]1C ZINC001071894524 854314330 /nfs/dbraw/zinc/31/43/30/854314330.db2.gz ZRGGFKNSLBEECV-ZYHUDNBSSA-N 0 1 293.367 0.194 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnon2)CC[C@@H]1C ZINC001071914718 854319480 /nfs/dbraw/zinc/31/94/80/854319480.db2.gz RYMLCTRMWYASSR-QWRGUYRKSA-N 0 1 262.313 0.676 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cn2cncn2)CC[C@H]1C ZINC001072053695 854341737 /nfs/dbraw/zinc/34/17/37/854341737.db2.gz VASHZZPCGNQODQ-VXGBXAGGSA-N 0 1 263.345 0.433 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@@H]1C ZINC001072076500 854344664 /nfs/dbraw/zinc/34/46/64/854344664.db2.gz QARBYWZNWYNEDS-JQWIXIFHSA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cc[nH]n3)C2)C1 ZINC001072571084 854418308 /nfs/dbraw/zinc/41/83/08/854418308.db2.gz NBSWXMDVHYQUDP-UHFFFAOYSA-N 0 1 258.325 0.120 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCc3ccn(C)n3)C2)C1 ZINC001072788329 854462605 /nfs/dbraw/zinc/46/26/05/854462605.db2.gz HWKSBGPIOLWJBK-UHFFFAOYSA-N 0 1 286.379 0.520 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@@H]3CCC(=O)NC3)C2)C1 ZINC001072808612 854468691 /nfs/dbraw/zinc/46/86/91/854468691.db2.gz JQOWQYUWXVLZGJ-ZDUSSCGKSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccnc4ccnn43)C2)C1 ZINC001072808728 854468763 /nfs/dbraw/zinc/46/87/63/854468763.db2.gz OXORELHGUNXDBZ-UHFFFAOYSA-N 0 1 295.346 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCN(C)C(=O)C3)C2)C1 ZINC001072864404 854481603 /nfs/dbraw/zinc/48/16/03/854481603.db2.gz DROVSCQQCMLGRQ-CYBMUJFWSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCn4ccnc4C3)C2)C1 ZINC001072870792 854483814 /nfs/dbraw/zinc/48/38/14/854483814.db2.gz UWPNQYHBSFAJLM-AWEZNQCLSA-N 0 1 298.390 0.613 20 30 CCEDMN C=CC[N@@H+]1CCC2(CN(C(=O)c3cncn3C)C2)C1 ZINC001072899981 854490961 /nfs/dbraw/zinc/49/09/61/854490961.db2.gz XEPUKYWRKSBJLD-UHFFFAOYSA-N 0 1 260.341 0.754 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc(N(C)C)nn3)C2)C1 ZINC001073134540 854536587 /nfs/dbraw/zinc/53/65/87/854536587.db2.gz GOOLYTIVELGFAH-UHFFFAOYSA-N 0 1 299.378 0.324 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001073546121 854585789 /nfs/dbraw/zinc/58/57/89/854585789.db2.gz YRTMVGFAHXXWLB-CHWSQXEVSA-N 0 1 268.357 0.416 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H]2CC2(F)F)C1 ZINC001073595419 854608167 /nfs/dbraw/zinc/60/81/67/854608167.db2.gz MWPVTGKNEAKBAP-VXGBXAGGSA-N 0 1 286.322 0.872 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2[nH]cnc2C)C1 ZINC001073913871 854660776 /nfs/dbraw/zinc/66/07/76/854660776.db2.gz CZQSLJJAGCZKGI-ZDUSSCGKSA-N 0 1 290.367 0.562 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H](C)N2C(=O)CCC2=O)C1 ZINC001276389083 854666407 /nfs/dbraw/zinc/66/64/07/854666407.db2.gz OICNWULSBVRELN-GHMZBOCLSA-N 0 1 293.367 0.146 20 30 CCEDMN C=CCCN1CCO[C@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC001074181685 854690812 /nfs/dbraw/zinc/69/08/12/854690812.db2.gz YKMGVBHNCOZAEI-KBPBESRZSA-N 0 1 290.367 0.901 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)[C@H]1CCCc2c[nH]nc21 ZINC001074652818 854763240 /nfs/dbraw/zinc/76/32/40/854763240.db2.gz GVUKCNORPLPRSQ-DRZSPHRISA-N 0 1 287.367 0.932 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)[C@@H]1CCCCN1C ZINC001074811940 854787176 /nfs/dbraw/zinc/78/71/76/854787176.db2.gz OULTZLTWJIZTGI-AVGNSLFASA-N 0 1 264.373 0.573 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCN(C)C2=O)[C@H]1C ZINC001074814920 854789113 /nfs/dbraw/zinc/78/91/13/854789113.db2.gz MMGLAFNKCLTNGZ-TUAOUCFPSA-N 0 1 299.802 0.796 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cncn1C)C2 ZINC001098219864 854853277 /nfs/dbraw/zinc/85/32/77/854853277.db2.gz VUWJXWPQIGRCPC-BNOWGMLFSA-N 0 1 272.352 0.317 20 30 CCEDMN C[C@@H](CNC(=O)CCc1c[nH]nn1)Nc1ccc(C#N)cn1 ZINC001098294213 854855206 /nfs/dbraw/zinc/85/52/06/854855206.db2.gz LZKCZTPDQHTITC-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@@H](CNC(=O)CCc1cnn[nH]1)Nc1ccc(C#N)cn1 ZINC001098294213 854855210 /nfs/dbraw/zinc/85/52/10/854855210.db2.gz LZKCZTPDQHTITC-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1c(C)n[nH]c1C)C2 ZINC001098381213 854860224 /nfs/dbraw/zinc/86/02/24/854860224.db2.gz PJEODOCGVBLJLF-YUELXQCFSA-N 0 1 286.379 0.924 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001098981693 854902203 /nfs/dbraw/zinc/90/22/03/854902203.db2.gz MHPSPRKMQHOPJN-JAAXMTQOSA-N 0 1 274.364 0.483 20 30 CCEDMN C#CC[NH2+][C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C(F)(F)F ZINC001099216222 854922249 /nfs/dbraw/zinc/92/22/49/854922249.db2.gz AYJSAMRDMNHWRO-PSASIEDQSA-N 0 1 286.257 0.635 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cc[nH]n2)C[C@H]1C(F)(F)F ZINC001099216222 854922255 /nfs/dbraw/zinc/92/22/55/854922255.db2.gz AYJSAMRDMNHWRO-PSASIEDQSA-N 0 1 286.257 0.635 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1C(F)(F)F ZINC001099216222 854922258 /nfs/dbraw/zinc/92/22/58/854922258.db2.gz AYJSAMRDMNHWRO-PSASIEDQSA-N 0 1 286.257 0.635 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H]2CCOC2)C[C@H]1C(F)(F)F ZINC001099218789 854922285 /nfs/dbraw/zinc/92/22/85/854922285.db2.gz URBSZBQHRDTHLY-GMTAPVOTSA-N 0 1 290.285 0.635 20 30 CCEDMN C[C@H](CCNC(=O)c1ncn[nH]1)Nc1ccncc1C#N ZINC001099382108 854930004 /nfs/dbraw/zinc/93/00/04/854930004.db2.gz JCIRWVZKJGORPQ-SECBINFHSA-N 0 1 285.311 0.114 20 30 CCEDMN C[C@H](CCNC(=O)c1nc[nH]n1)Nc1ccncc1C#N ZINC001099382108 854930012 /nfs/dbraw/zinc/93/00/12/854930012.db2.gz JCIRWVZKJGORPQ-SECBINFHSA-N 0 1 285.311 0.114 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C=C(C)C)[C@H](O)C1 ZINC001099659237 854957184 /nfs/dbraw/zinc/95/71/84/854957184.db2.gz FZNVZBOZVQWJIO-QWHCGFSZSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(CC#CC)C[C@H]1O ZINC001099725747 854976923 /nfs/dbraw/zinc/97/69/23/854976923.db2.gz YIXYKMNSNLDRIC-QWHCGFSZSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCCC)[C@@H](O)C1 ZINC001099731805 854979248 /nfs/dbraw/zinc/97/92/48/854979248.db2.gz DFKJGHPTODICJN-RYUDHWBXSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2nc(C)no2)[C@@H](O)C1 ZINC001099940391 855039560 /nfs/dbraw/zinc/03/95/60/855039560.db2.gz UZCYXPFHQZYRJO-RYUDHWBXSA-N 0 1 294.355 0.048 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2nc(C)no2)[C@H](O)C1 ZINC001099940390 855039686 /nfs/dbraw/zinc/03/96/86/855039686.db2.gz UZCYXPFHQZYRJO-NWDGAFQWSA-N 0 1 294.355 0.048 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)C2(CC)CC2)[C@@H](O)C1 ZINC001099995691 855065330 /nfs/dbraw/zinc/06/53/30/855065330.db2.gz CVTWGWSHSFBVEK-STQMWFEESA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H]2CN(C(=O)C(C)C)C[C@]2(C)C1 ZINC001101128043 855266292 /nfs/dbraw/zinc/26/62/92/855266292.db2.gz WYDRQOIWAOVEGZ-CJNGLKHVSA-N 0 1 291.395 0.172 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)[C@H](COC)OC)C[C@]2(C)C1 ZINC001101357283 855284799 /nfs/dbraw/zinc/28/47/99/855284799.db2.gz GLAZTYIOHCSJNG-IPYPFGDCSA-N 0 1 280.368 0.061 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001101337957 855285558 /nfs/dbraw/zinc/28/55/58/855285558.db2.gz RPLUHVQROTXWLQ-ZENOOKHLSA-N 0 1 293.411 0.854 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCNc2ccncc2C#N)[nH]1 ZINC001101531765 855309473 /nfs/dbraw/zinc/30/94/73/855309473.db2.gz ARNABNMUUOCHCX-UHFFFAOYSA-N 0 1 284.323 0.591 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)CCCC)[C@H](C)C1 ZINC001101728510 855354760 /nfs/dbraw/zinc/35/47/60/855354760.db2.gz YXMQQRUBWIVJQL-ZIAGYGMSSA-N 0 1 293.411 0.610 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102226211 855421093 /nfs/dbraw/zinc/42/10/93/855421093.db2.gz GSOOKABMQSJRLT-GHMZBOCLSA-N 0 1 267.373 0.368 20 30 CCEDMN C[C@@H](CNC(=O)c1ncn[nH]1)CNc1ccc(C#N)cn1 ZINC001104059519 855564569 /nfs/dbraw/zinc/56/45/69/855564569.db2.gz NCIGJKLMEJIEML-SECBINFHSA-N 0 1 285.311 0.549 20 30 CCEDMN C[C@@H](CNC(=O)c1nc[nH]n1)CNc1ccc(C#N)cn1 ZINC001104059519 855564572 /nfs/dbraw/zinc/56/45/72/855564572.db2.gz NCIGJKLMEJIEML-SECBINFHSA-N 0 1 285.311 0.549 20 30 CCEDMN CCC(=O)N[C@H](C)C(=O)NC1(C#N)CCN(C)CC1 ZINC001116021254 855711333 /nfs/dbraw/zinc/71/13/33/855711333.db2.gz HWXBAQCIPGGFOP-SNVBAGLBSA-N 0 1 266.345 0.005 20 30 CCEDMN N#CCSCC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC001116744880 855824942 /nfs/dbraw/zinc/82/49/42/855824942.db2.gz WNAQWLKCWWTBBO-SSDOTTSWSA-N 0 1 284.370 0.073 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@](C)(CO)C2)C1=O ZINC001116916631 855866947 /nfs/dbraw/zinc/86/69/47/855866947.db2.gz CKFITTPNBSYSCV-JSGCOSHPSA-N 0 1 252.358 0.868 20 30 CCEDMN C#CC[C@H](NC(=O)C(=O)N1CCN[C@H](C)C1)c1ccccc1 ZINC001117971878 856124317 /nfs/dbraw/zinc/12/43/17/856124317.db2.gz ITJHFBXQMLOQAP-HIFRSBDPSA-N 0 1 299.374 0.688 20 30 CCEDMN C#CCN(CC1CC1)[C@H](C)C(=O)NC(=O)NCC=C ZINC001117992725 856130854 /nfs/dbraw/zinc/13/08/54/856130854.db2.gz AVVXWSFIRFRMFI-LLVKDONJSA-N 0 1 263.341 0.732 20 30 CCEDMN C#CCOCCN(C)C[C@@H]1CN(C2CC2)C(=O)O1 ZINC001118292096 856244795 /nfs/dbraw/zinc/24/47/95/856244795.db2.gz YXGUCGFHBOHQNR-GFCCVEGCSA-N 0 1 252.314 0.551 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)N2Cc3cccc(C#N)c3C2)CCN1 ZINC001118335557 856265551 /nfs/dbraw/zinc/26/55/51/856265551.db2.gz ZENAVKHBFDQBOR-NSHDSACASA-N 0 1 298.346 0.221 20 30 CCEDMN C=CCN(C(=O)C(=O)N(C)CC1CN(C)C1)[C@H](C)COC ZINC001118468876 856306676 /nfs/dbraw/zinc/30/66/76/856306676.db2.gz ZRLPJHKOOJZRCK-GFCCVEGCSA-N 0 1 297.399 0.056 20 30 CCEDMN C=CCOCCNC(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC001118562930 856343033 /nfs/dbraw/zinc/34/30/33/856343033.db2.gz LNNDDFINDFNOCP-NWDGAFQWSA-N 0 1 295.383 0.047 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC001118686666 856392723 /nfs/dbraw/zinc/39/27/23/856392723.db2.gz UAWFBFVLRUMWBB-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1n[nH]c(COC)n1 ZINC001118726983 856413890 /nfs/dbraw/zinc/41/38/90/856413890.db2.gz GJNUCFBSNRHCMI-SNVBAGLBSA-N 0 1 295.343 0.038 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1nnc(COC)[nH]1 ZINC001118726983 856413892 /nfs/dbraw/zinc/41/38/92/856413892.db2.gz GJNUCFBSNRHCMI-SNVBAGLBSA-N 0 1 295.343 0.038 20 30 CCEDMN C=CCn1nnnc1N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC001119642746 856801505 /nfs/dbraw/zinc/80/15/05/856801505.db2.gz DZHSYRIZAJELIJ-NSHDSACASA-N 0 1 280.376 0.141 20 30 CCEDMN COC(=O)[C@@H](F)CNCCC(=O)Nc1cccc(C#N)c1 ZINC001120276929 857026665 /nfs/dbraw/zinc/02/66/65/857026665.db2.gz CKNOZWFZLREBSH-LBPRGKRZSA-N 0 1 293.298 0.988 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)c2ccoc2)CC1 ZINC001323394521 912318286 /nfs/dbraw/zinc/31/82/86/912318286.db2.gz UNYKWBDSPSEVBY-UHFFFAOYSA-N 0 1 277.324 0.434 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)CC2CCC2)CC1 ZINC001323412452 912333806 /nfs/dbraw/zinc/33/38/06/912333806.db2.gz YAHXRFHGCBFTMH-LBPRGKRZSA-N 0 1 291.395 0.553 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1(C)CCN(CC(=O)N2CCC2)CC1 ZINC001393059170 912359851 /nfs/dbraw/zinc/35/98/51/912359851.db2.gz SDDRTLZOHNIGJL-LBPRGKRZSA-N 0 1 292.383 0.349 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)CCC2(C)CC2)CC1 ZINC001323582730 912428585 /nfs/dbraw/zinc/42/85/85/912428585.db2.gz NQZGCVNROKBCEK-UHFFFAOYSA-N 0 1 291.395 0.555 20 30 CCEDMN C#CCNC(=O)CN1CC[C@]2(CCN(C(=O)CCC)C2)C1 ZINC001323836755 912541669 /nfs/dbraw/zinc/54/16/69/912541669.db2.gz WONPQJKYLDTVRR-INIZCTEOSA-N 0 1 291.395 0.460 20 30 CCEDMN CC(C)C(=O)NC1CCN(C[C@H](O)CC#N)CC1 ZINC001323932426 912590976 /nfs/dbraw/zinc/59/09/76/912590976.db2.gz XUDSJQSZNYPDTB-GFCCVEGCSA-N 0 1 253.346 0.498 20 30 CCEDMN CCOCC(=O)NC1(C)CCN(CC#CCOC)CC1 ZINC001324291774 912761389 /nfs/dbraw/zinc/76/13/89/912761389.db2.gz MBVXRZDLRLRAJU-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1cc(C(N)=O)c[nH]1 ZINC001393984389 912901177 /nfs/dbraw/zinc/90/11/77/912901177.db2.gz LZCLGFMPIYEGRA-DTWKUNHWSA-N 0 1 298.774 0.963 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@@](C)(NC(C)=O)C1 ZINC001324572660 912915778 /nfs/dbraw/zinc/91/57/78/912915778.db2.gz SGZYJTABRVFXFA-OAHLLOKOSA-N 0 1 279.384 0.788 20 30 CCEDMN COCC#CCN1CC[C@](C)(NC(=O)C[C@H](C)OC)C1 ZINC001324589667 912924664 /nfs/dbraw/zinc/92/46/64/912924664.db2.gz QFWBLVLEAGDBHS-ZFWWWQNUSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCCCC(N)=O)C1 ZINC001324663241 912968581 /nfs/dbraw/zinc/96/85/81/912968581.db2.gz YZHJCLSXMPHDDG-AWEZNQCLSA-N 0 1 265.357 0.246 20 30 CCEDMN Cc1c(C#N)cccc1NC(=O)C(=O)NCCc1nc[nH]n1 ZINC001324872005 913072842 /nfs/dbraw/zinc/07/28/42/913072842.db2.gz FKYYHMNXHNREFK-UHFFFAOYSA-N 0 1 298.306 0.282 20 30 CCEDMN CN1CCN(CCNC(=O)CC2(C#N)CC2)CC1 ZINC001324924624 913099853 /nfs/dbraw/zinc/09/98/53/913099853.db2.gz BBVMHYPWZRHVMK-UHFFFAOYSA-N 0 1 250.346 0.044 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@H]2CCC(C)(C)C2)C1 ZINC001325128308 913234039 /nfs/dbraw/zinc/23/40/39/913234039.db2.gz YMVNOPPAXQUPDE-XJKSGUPXSA-N 0 1 278.396 0.999 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C2(C)CCOCC2)C1 ZINC001325143304 913244170 /nfs/dbraw/zinc/24/41/70/913244170.db2.gz YWLBHSSYVSONMO-MRXNPFEDSA-N 0 1 294.395 0.379 20 30 CCEDMN CN(CC#N)CCNC(=O)c1cn[nH]c1-c1ccccn1 ZINC001266280883 891416764 /nfs/dbraw/zinc/41/67/64/891416764.db2.gz XNUKJGSKZZTKCU-UHFFFAOYSA-N 0 1 284.323 0.657 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H]2CC3(CC3)C(=O)O2)C1 ZINC001345781292 891460061 /nfs/dbraw/zinc/46/00/61/891460061.db2.gz OYRZBYFSVDXQEA-MFKMUULPSA-N 0 1 250.298 0.433 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N1CCC(C#N)CC1 ZINC001347528344 891572692 /nfs/dbraw/zinc/57/26/92/891572692.db2.gz MOPXVILOIFOQQW-YPMHNXCESA-N 0 1 262.357 0.137 20 30 CCEDMN CN(CC#N)CCOCCNC(=O)[C@@H]1CCCCN1C ZINC001283404988 891803836 /nfs/dbraw/zinc/80/38/36/891803836.db2.gz AAOZQJGUZLTPPL-ZDUSSCGKSA-N 0 1 282.388 0.059 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1c(C)nn(C)c1OC ZINC001480918939 891804761 /nfs/dbraw/zinc/80/47/61/891804761.db2.gz GPRTYKIBHGKHMJ-UHFFFAOYSA-N 0 1 278.356 0.422 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CN(C)CC(=O)N(C)C)C1 ZINC001418921016 891837501 /nfs/dbraw/zinc/83/75/01/891837501.db2.gz GEMIDUYRCGWTKN-NEPJUHHUSA-N 0 1 280.372 0.015 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)CC[C@@H]1CCOC1 ZINC001480969531 891994758 /nfs/dbraw/zinc/99/47/58/891994758.db2.gz IONIIKGTRFEYCN-OAHLLOKOSA-N 0 1 296.411 0.891 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H](C)[C@@H]1CCCO1 ZINC001480999469 892039673 /nfs/dbraw/zinc/03/96/73/892039673.db2.gz TURLLDJTQMOJKJ-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN COCC#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H](C)OC ZINC001325872929 913620839 /nfs/dbraw/zinc/62/08/39/913620839.db2.gz DFZFQFREHYAUGW-QLFBSQMISA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001481000778 892045553 /nfs/dbraw/zinc/04/55/53/892045553.db2.gz FGNZHBXRMXRSPQ-CHWSQXEVSA-N 0 1 274.368 0.595 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCc1ccc(=O)[nH]c1 ZINC001481054742 892116150 /nfs/dbraw/zinc/11/61/50/892116150.db2.gz ROFUOZWLKJAIDB-CQSZACIVSA-N 0 1 287.363 0.934 20 30 CCEDMN CC#CCN(CCNC(=O)c1cccnn1)C1CC1 ZINC001481190944 892288964 /nfs/dbraw/zinc/28/89/64/892288964.db2.gz SXPSNRAFIWRUTE-UHFFFAOYSA-N 0 1 258.325 0.694 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N1CC[C@H](CN(C)CC#N)C1 ZINC001267707543 892343850 /nfs/dbraw/zinc/34/38/50/892343850.db2.gz AHEGLQIROUWTJB-CYBMUJFWSA-N 0 1 266.389 0.630 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)CN1CCOCC1 ZINC001481223226 892388867 /nfs/dbraw/zinc/38/88/67/892388867.db2.gz WYZALFYEPJWVCQ-UHFFFAOYSA-N 0 1 275.780 0.119 20 30 CCEDMN C#CCOCCC(=O)N(C)CCNCc1cnc(C)nc1 ZINC001481228404 892400476 /nfs/dbraw/zinc/40/04/76/892400476.db2.gz CGMXDACYSNJZTN-UHFFFAOYSA-N 0 1 290.367 0.373 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@]2(NC(=O)CC)CCC[C@H]12 ZINC001284029421 892577357 /nfs/dbraw/zinc/57/73/57/892577357.db2.gz LBHDWWOSRDXTSV-XEZPLFJOSA-N 0 1 291.395 0.648 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC001325991247 913682056 /nfs/dbraw/zinc/68/20/56/913682056.db2.gz USQFNGKAHZKUFO-MJBXVCDLSA-N 0 1 299.415 0.938 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cncnc2C)C1 ZINC001481614925 892906639 /nfs/dbraw/zinc/90/66/39/892906639.db2.gz ICQXYZJZVQOOMI-UHFFFAOYSA-N 0 1 290.367 0.649 20 30 CCEDMN C=CCN1CC(CNC(=O)CCc2cnn(C)c2)C1 ZINC001481660952 892976900 /nfs/dbraw/zinc/97/69/00/892976900.db2.gz QQUPEDJNACBFRM-UHFFFAOYSA-N 0 1 262.357 0.587 20 30 CCEDMN C=CCCC(=O)N(C)[C@H]1CCN([C@@H]2CCCNC2=O)C1 ZINC001481721442 893091196 /nfs/dbraw/zinc/09/11/96/893091196.db2.gz SDADSRFPNCBOIM-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)C#Cc1cccnc1)C2 ZINC001270343488 893758167 /nfs/dbraw/zinc/75/81/67/893758167.db2.gz QCTCHQIEGAZFMD-KGLIPLIRSA-N 0 1 255.321 0.596 20 30 CCEDMN C=CCNc1ncc(C(=O)NCc2n[nH]c(CO)n2)s1 ZINC001301193138 894251666 /nfs/dbraw/zinc/25/16/66/894251666.db2.gz YOTKWEIEMUZGQZ-UHFFFAOYSA-N 0 1 294.340 0.281 20 30 CCEDMN C=CCNc1ncc(C(=O)NCc2nnc(CO)[nH]2)s1 ZINC001301193138 894251683 /nfs/dbraw/zinc/25/16/83/894251683.db2.gz YOTKWEIEMUZGQZ-UHFFFAOYSA-N 0 1 294.340 0.281 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)Cc3nnc[nH]3)C2)nc1 ZINC001059716906 894305139 /nfs/dbraw/zinc/30/51/39/894305139.db2.gz DTGAFJLWTNTXRO-PHIMTYICSA-N 0 1 297.322 0.373 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H](OC)C1CC1 ZINC001482593479 894350619 /nfs/dbraw/zinc/35/06/19/894350619.db2.gz MVPNTGYIZTWDCJ-CQSZACIVSA-N 0 1 282.384 0.451 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCCCC(N)=O ZINC001482639981 894443763 /nfs/dbraw/zinc/44/37/63/894443763.db2.gz AHKUTMRHGCYCTQ-UHFFFAOYSA-N 0 1 253.346 0.056 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](C)OC[C@H]1CCCCO1 ZINC001482652710 894445776 /nfs/dbraw/zinc/44/57/76/894445776.db2.gz BAEVOHJGZYCREF-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN CC#CC[N@@H+](C)CCN(C)C(=O)CCN1CCCC1=O ZINC001482649180 894446446 /nfs/dbraw/zinc/44/64/46/894446446.db2.gz BDMBUYWNBXZSPB-UHFFFAOYSA-N 0 1 279.384 0.412 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CCN1CCCC1=O ZINC001482649180 894446452 /nfs/dbraw/zinc/44/64/52/894446452.db2.gz BDMBUYWNBXZSPB-UHFFFAOYSA-N 0 1 279.384 0.412 20 30 CCEDMN CCCC(=O)N1CC[C@@H]2[C@@H](CCN2CC(=O)NCC#N)C1 ZINC001482655783 894453100 /nfs/dbraw/zinc/45/31/00/894453100.db2.gz PPAOFVDUCGKQND-QWHCGFSZSA-N 0 1 292.383 0.349 20 30 CCEDMN N#Cc1ccccc1CNC[C@@H](O)CNC(=O)C1CC1 ZINC001482702884 894501634 /nfs/dbraw/zinc/50/16/34/894501634.db2.gz OPSVHNALJSMXLW-CQSZACIVSA-N 0 1 273.336 0.535 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](O)CNCc1cc(C)no1 ZINC001482733973 894536450 /nfs/dbraw/zinc/53/64/50/894536450.db2.gz OXXCEEDZGUXKPG-GFCCVEGCSA-N 0 1 281.356 0.906 20 30 CCEDMN Cc1nn(C)c(Cl)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC001326307349 913880543 /nfs/dbraw/zinc/88/05/43/913880543.db2.gz YLCFKGHKLXSRBO-JGVFFNPUSA-N 0 1 267.720 0.223 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccn(CC)n1 ZINC001482775174 894593813 /nfs/dbraw/zinc/59/38/13/894593813.db2.gz PBOBYZVATOVXOW-SNVBAGLBSA-N 0 1 286.763 0.336 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCNCc1ncccn1 ZINC001482818485 894637229 /nfs/dbraw/zinc/63/72/29/894637229.db2.gz PIQYECTUGPBKRV-MNOVXSKESA-N 0 1 261.329 0.621 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)C(=O)NCC1CC1 ZINC001482961093 894729375 /nfs/dbraw/zinc/72/93/75/894729375.db2.gz ZXRHRZONIMPEGL-UHFFFAOYSA-N 0 1 287.791 0.703 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC/C=C\CNC(C)=O)c1 ZINC001482973557 894740125 /nfs/dbraw/zinc/74/01/25/894740125.db2.gz LJWBEIGUXTUZIV-PLNGDYQASA-N 0 1 285.347 0.888 20 30 CCEDMN CNC(=O)CNC/C=C/CNC(=O)C#CC(C)(C)C ZINC001483007976 894798736 /nfs/dbraw/zinc/79/87/36/894798736.db2.gz PRQOYRXWEODRQE-AATRIKPKSA-N 0 1 265.357 0.044 20 30 CCEDMN N#CCNCC=CCNC(=O)c1nnc2ccccc2c1O ZINC001483066383 894871502 /nfs/dbraw/zinc/87/15/02/894871502.db2.gz VHXUIKOTWKUVOR-ARJAWSKDSA-N 0 1 297.318 0.735 20 30 CCEDMN C[C@H](CN(C)C(=O)[C@@H](C)C#N)NCc1ccn(C)n1 ZINC001507063927 895154009 /nfs/dbraw/zinc/15/40/09/895154009.db2.gz UEXGOHFZRGQXTK-WDEREUQCSA-N 0 1 263.345 0.516 20 30 CCEDMN C[C@H](CN(C)Cc1n[nH]c(C2CC2)n1)NC(=O)[C@@H](C)C#N ZINC001483320683 895447183 /nfs/dbraw/zinc/44/71/83/895447183.db2.gz XGCPIYFPRHVTSS-VHSXEESVSA-N 0 1 290.371 0.778 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)CC[C@H]1CCOC1 ZINC001483326674 895456345 /nfs/dbraw/zinc/45/63/45/895456345.db2.gz HKKDIOVNNMGSGU-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCOCC[N@H+](C)C[C@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001483325162 895457672 /nfs/dbraw/zinc/45/76/72/895457672.db2.gz FQTXZDNZLNKLNB-JTQLQIEISA-N 0 1 296.371 0.676 20 30 CCEDMN C=CCOC[C@@H](CS(=O)(=O)[O-])OC[C@@H]1CCC[N@@H+]1C ZINC001224817173 895487628 /nfs/dbraw/zinc/48/76/28/895487628.db2.gz IVMTZRXWUNRBEH-RYUDHWBXSA-N 0 1 293.385 0.556 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CNC(=O)C1CCCCC1 ZINC001483418391 895553473 /nfs/dbraw/zinc/55/34/73/895553473.db2.gz UMCIRCKDUAHWOB-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cnc(COC)s1 ZINC001483425732 895560393 /nfs/dbraw/zinc/56/03/93/895560393.db2.gz GSUUWSQTIHRIEA-SNVBAGLBSA-N 0 1 281.381 0.973 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)NC[C@@H]1CCN1CC#N ZINC001483653048 895802889 /nfs/dbraw/zinc/80/28/89/895802889.db2.gz LIUZUBASACXVPY-NWDGAFQWSA-N 0 1 270.352 0.380 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)CCCCc1cn[nH]n1 ZINC001483668750 895825222 /nfs/dbraw/zinc/82/52/22/895825222.db2.gz ZJAVNXOPPRCLJK-AWEZNQCLSA-N 0 1 289.383 0.731 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001483723699 895918820 /nfs/dbraw/zinc/91/88/20/895918820.db2.gz VLGHTNFSZLGBDQ-GHMZBOCLSA-N 0 1 278.356 0.075 20 30 CCEDMN CCc1nc([C@H](C)N2CC(N(C)C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001483857389 896080020 /nfs/dbraw/zinc/08/00/20/896080020.db2.gz VIRFYVMKJDQJJV-UWVGGRQHSA-N 0 1 290.371 0.730 20 30 CCEDMN CCCCCCCN1CC(N(C)C(=O)Cn2ncnn2)C1 ZINC001483864195 896086028 /nfs/dbraw/zinc/08/60/28/896086028.db2.gz IIZRZJCQTSDGII-UHFFFAOYSA-N 0 1 294.403 0.786 20 30 CCEDMN C#CCN(C(=O)CCC)C1CCN([C@@H](CC)C(N)=O)CC1 ZINC001483978220 896141532 /nfs/dbraw/zinc/14/15/32/896141532.db2.gz WAVHSHIMKXLEBD-AWEZNQCLSA-N 0 1 293.411 0.977 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)CC2(COC)CC2)C1 ZINC001484216117 896247678 /nfs/dbraw/zinc/24/76/78/896247678.db2.gz JHBPLKVZBIQIOD-INIZCTEOSA-N 0 1 294.395 0.379 20 30 CCEDMN C#CC[N@@H+]1CC[C@](O)(CNC(=O)[C@@H]2CCC2(F)F)C1 ZINC001484223146 896252871 /nfs/dbraw/zinc/25/28/71/896252871.db2.gz GKRQBLJSAGHBQW-JQWIXIFHSA-N 0 1 272.295 0.218 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H]2CCC2(F)F)C1 ZINC001484223146 896252886 /nfs/dbraw/zinc/25/28/86/896252886.db2.gz GKRQBLJSAGHBQW-JQWIXIFHSA-N 0 1 272.295 0.218 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N1CC[C@H]([C@@H](C)NCC#N)C1 ZINC001484359430 896353092 /nfs/dbraw/zinc/35/30/92/896353092.db2.gz WDUCHGLBHKZAMF-OLZOCXBDSA-N 0 1 266.389 0.677 20 30 CCEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cnn(C)n1 ZINC001484557790 896469758 /nfs/dbraw/zinc/46/97/58/896469758.db2.gz TUJQWBFUEARQGO-UHFFFAOYSA-N 0 1 297.362 0.810 20 30 CCEDMN C[C@@H](C#N)C(=O)NCc1ccc([C@H](C)NCC(N)=O)cc1 ZINC001484561114 896470397 /nfs/dbraw/zinc/47/03/97/896470397.db2.gz WFIHEZWIQRNQEG-QWRGUYRKSA-N 0 1 288.351 0.598 20 30 CCEDMN C#CC[N@H+](C)Cc1ccccc1CNC(=O)c1ncn[n-]1 ZINC001484557855 896470815 /nfs/dbraw/zinc/47/08/15/896470815.db2.gz UVYUQFADEGHIQY-UHFFFAOYSA-N 0 1 283.335 0.800 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](NC(=O)C2CC2)CC1 ZINC001484704931 896548030 /nfs/dbraw/zinc/54/80/30/896548030.db2.gz SEUPLLNBVBQNRL-ZDUSSCGKSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](NC(=O)CC)CC1 ZINC001484703071 896549251 /nfs/dbraw/zinc/54/92/51/896549251.db2.gz DBCRVQYLSUIDLI-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCN(CC(=O)N(C)C)CC1(C)C ZINC001484933281 896670758 /nfs/dbraw/zinc/67/07/58/896670758.db2.gz YXSBIILYAQPKFO-RYUDHWBXSA-N 0 1 294.399 0.451 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C(C)(C)F)CC1 ZINC001485011056 896715549 /nfs/dbraw/zinc/71/55/49/896715549.db2.gz AKMMJFZUUCRGIL-UHFFFAOYSA-N 0 1 258.337 0.864 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2nccs2)CC1 ZINC001485025738 896725823 /nfs/dbraw/zinc/72/58/23/896725823.db2.gz KYFZXWYAMBDCHJ-UHFFFAOYSA-N 0 1 293.392 0.723 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC001485056127 896731756 /nfs/dbraw/zinc/73/17/56/896731756.db2.gz PONDZNXBCSOWLK-KBPBESRZSA-N 0 1 291.395 0.587 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@@H](C)CNC(=O)COCC)C1=O ZINC001485271878 896906864 /nfs/dbraw/zinc/90/68/64/896906864.db2.gz ZRRNODAXKCXVEZ-STQMWFEESA-N 0 1 297.399 0.246 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1nc(OC)cc(OC)n1 ZINC001485319237 896944718 /nfs/dbraw/zinc/94/47/18/896944718.db2.gz LTHRLSFASKBQCO-SNVBAGLBSA-N 0 1 292.339 0.177 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)N(C)[C@@H](CC)C(N)=O ZINC001485339910 896967408 /nfs/dbraw/zinc/96/74/08/896967408.db2.gz MHJIPGLPKGSEAF-STQMWFEESA-N 0 1 281.400 0.880 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@@]1(F)CCOC1 ZINC001485341685 896968618 /nfs/dbraw/zinc/96/86/18/896968618.db2.gz KRMKXLJEJDFYDJ-GXTWGEPZSA-N 0 1 286.347 0.201 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCCN1C(C)=O ZINC001485391712 897016027 /nfs/dbraw/zinc/01/60/27/897016027.db2.gz YYSRGICSSBHGHD-WCQYABFASA-N 0 1 265.357 0.067 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001485395122 897017549 /nfs/dbraw/zinc/01/75/49/897017549.db2.gz XVVILXMRPQOLIV-IUODEOHRSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1csc(COC)n1 ZINC001485394173 897017993 /nfs/dbraw/zinc/01/79/93/897017993.db2.gz FTAAEBOMAWXCRY-SNVBAGLBSA-N 0 1 281.381 0.973 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001485395127 897020437 /nfs/dbraw/zinc/02/04/37/897020437.db2.gz XVVILXMRPQOLIV-SWLSCSKDSA-N 0 1 279.384 0.505 20 30 CCEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cnnn1C ZINC001032381396 897379870 /nfs/dbraw/zinc/37/98/70/897379870.db2.gz YHKZFYHAJGXCKF-RYUDHWBXSA-N 0 1 275.356 0.680 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc3cc[nH]c3c2)C1 ZINC001077741728 897388155 /nfs/dbraw/zinc/38/81/55/897388155.db2.gz VALITNWTXNDWBY-HZPDHXFCSA-N 0 1 297.358 0.966 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2cn(C)cn2)C1 ZINC001107872907 897407844 /nfs/dbraw/zinc/40/78/44/897407844.db2.gz VWWXQBCWWRFVLN-OAHLLOKOSA-N 0 1 292.383 0.817 20 30 CCEDMN C#CCCNCc1nnc2n1CC[C@@H](NC(C)=O)CC2 ZINC001127909740 897452318 /nfs/dbraw/zinc/45/23/18/897452318.db2.gz CKXSJOUNCJDZRL-LBPRGKRZSA-N 0 1 275.356 0.232 20 30 CCEDMN N#Cc1cnc(NC[C@H]2CCN(C(=O)c3ccn[nH]3)C2)cn1 ZINC001060820664 897530185 /nfs/dbraw/zinc/53/01/85/897530185.db2.gz LTQZZEVNVQGFRH-SNVBAGLBSA-N 0 1 297.322 0.646 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc2n[nH]nc2n1 ZINC001032435921 897559012 /nfs/dbraw/zinc/55/90/12/897559012.db2.gz JVBXLJXHPXFVNL-QWRGUYRKSA-N 0 1 298.350 0.828 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1c[nH]cn1 ZINC001032437897 897563727 /nfs/dbraw/zinc/56/37/27/897563727.db2.gz VZPJTCBXUQQKRB-KBPBESRZSA-N 0 1 272.352 0.651 20 30 CCEDMN C#CCC[N@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cnc[nH]1 ZINC001032437897 897563742 /nfs/dbraw/zinc/56/37/42/897563742.db2.gz VZPJTCBXUQQKRB-KBPBESRZSA-N 0 1 272.352 0.651 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(=O)n(C)c1 ZINC001032496328 897651013 /nfs/dbraw/zinc/65/10/13/897651013.db2.gz VBRKGOJKMQHACW-KBPBESRZSA-N 0 1 285.347 0.307 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H]1CC1(F)F ZINC001403832718 897698559 /nfs/dbraw/zinc/69/85/59/897698559.db2.gz AAWKOCZWIGZIJI-DTWKUNHWSA-N 0 1 282.718 0.803 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1CCC(=O)N1C ZINC001032559482 897746321 /nfs/dbraw/zinc/74/63/21/897746321.db2.gz IFOGNAHQYDUAAX-IHRRRGAJSA-N 0 1 291.395 0.859 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc(=O)n1C ZINC001032617933 897834315 /nfs/dbraw/zinc/83/43/15/897834315.db2.gz AWPUQMAMGFGNPG-STQMWFEESA-N 0 1 287.363 0.860 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001032631467 897849908 /nfs/dbraw/zinc/84/99/08/897849908.db2.gz UCSFSMNDQRPVBK-RFGFWPKPSA-N 0 1 289.379 0.196 20 30 CCEDMN CC(C)c1cc(C(=O)N2C[C@@H]3C[C@H]2CN3CC#N)n[nH]1 ZINC001032642221 897889136 /nfs/dbraw/zinc/88/91/36/897889136.db2.gz CTAZRQPACHCQHO-QWRGUYRKSA-N 0 1 273.340 0.955 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cn(C(C)C)nn2)C1 ZINC001077909066 898040939 /nfs/dbraw/zinc/04/09/39/898040939.db2.gz MMUYUAISCVLMIK-DGCLKSJQSA-N 0 1 293.371 0.210 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cn(C(C)C)nn2)C1 ZINC001077909066 898040954 /nfs/dbraw/zinc/04/09/54/898040954.db2.gz MMUYUAISCVLMIK-DGCLKSJQSA-N 0 1 293.371 0.210 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3[C@H](C)COC)nc1 ZINC001032738137 898046308 /nfs/dbraw/zinc/04/63/08/898046308.db2.gz RAMDRKFNVMSUIM-SNPRPXQTSA-N 0 1 299.374 0.997 20 30 CCEDMN C=CCCCN1CCOC[C@H]1CNC(=O)c1ncn[nH]1 ZINC001272894863 898139989 /nfs/dbraw/zinc/13/99/89/898139989.db2.gz UUIAQEDXBQNONY-LLVKDONJSA-N 0 1 279.344 0.202 20 30 CCEDMN C=CCCCN1CCOC[C@H]1CNC(=O)c1nc[nH]n1 ZINC001272894863 898139997 /nfs/dbraw/zinc/13/99/97/898139997.db2.gz UUIAQEDXBQNONY-LLVKDONJSA-N 0 1 279.344 0.202 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2cccnc2)C1 ZINC001078022905 898187862 /nfs/dbraw/zinc/18/78/62/898187862.db2.gz XIZZGGZWHGHGHF-NWANDNLSSA-N 0 1 287.363 0.370 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3ccc[nH]3)C2)OCC1=O ZINC001272926567 898356433 /nfs/dbraw/zinc/35/64/33/898356433.db2.gz SFIJISNQRBALCZ-HNNXBMFYSA-N 0 1 273.336 0.451 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C[C@@H]1C=CCC1 ZINC001485973036 898549250 /nfs/dbraw/zinc/54/92/50/898549250.db2.gz QVUZOBGWNRZOEP-OLZOCXBDSA-N 0 1 250.342 0.433 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCCC1CCOCC1 ZINC001486001749 898563654 /nfs/dbraw/zinc/56/36/54/898563654.db2.gz IXNAXGCJQIBAQV-CQSZACIVSA-N 0 1 282.384 0.283 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C[C@H](C)CC(C)C ZINC001486049106 898615246 /nfs/dbraw/zinc/61/52/46/898615246.db2.gz BXENJHQQJFDVDQ-CHWSQXEVSA-N 0 1 254.374 0.759 20 30 CCEDMN C#CCCCC(=O)NC[C@H](CO)NCc1cccnc1 ZINC001485991400 898580108 /nfs/dbraw/zinc/58/01/08/898580108.db2.gz QKQTVDPNYZCEPH-CQSZACIVSA-N 0 1 275.352 0.452 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccn(-c2ccccc2)n1 ZINC001486012381 898585474 /nfs/dbraw/zinc/58/54/74/898585474.db2.gz YPFATNDZJZHRAS-ZDUSSCGKSA-N 0 1 298.346 0.186 20 30 CCEDMN C[C@H](CNC(=O)c1cccc2nc[nH]c21)NC(=O)[C@@H](C)C#N ZINC001397557056 914267218 /nfs/dbraw/zinc/26/72/18/914267218.db2.gz YCWQAFSDUMNLRH-VHSXEESVSA-N 0 1 299.334 0.957 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(CC(C)C)c[nH]1 ZINC001486052047 898639075 /nfs/dbraw/zinc/63/90/75/898639075.db2.gz BFDHVJLPNGONKU-AWEZNQCLSA-N 0 1 291.395 0.917 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](NCc2cnnn2C)[C@@H]1C ZINC001486074313 898657299 /nfs/dbraw/zinc/65/72/99/898657299.db2.gz RKLZSYZHLBZRLM-WCQYABFASA-N 0 1 277.372 0.860 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)C1=COCCO1 ZINC001486261403 898743243 /nfs/dbraw/zinc/74/32/43/898743243.db2.gz XQXSZUPCJAXCCJ-SNVBAGLBSA-N 0 1 290.747 0.036 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@H](C)COC ZINC001486353528 898810406 /nfs/dbraw/zinc/81/04/06/898810406.db2.gz YVCAXHZHGZAJFC-QWHCGFSZSA-N 0 1 270.373 0.043 20 30 CCEDMN C#CC[N@H+](C)CCN(C(=O)c1n[nH]c(C)c1[O-])C(C)C ZINC001486366436 898832659 /nfs/dbraw/zinc/83/26/59/898832659.db2.gz QQEQGJKECYAEGM-UHFFFAOYSA-N 0 1 278.356 0.839 20 30 CCEDMN CC#CCN(C)CCN(C(=O)[C@@H]1COCCO1)C(C)C ZINC001486363005 898833901 /nfs/dbraw/zinc/83/39/01/898833901.db2.gz MYTFATQDTXWCFK-AWEZNQCLSA-N 0 1 282.384 0.594 20 30 CCEDMN C#CCN(C)CCN(C(=O)Cc1nnc[nH]1)C(C)C ZINC001486378563 898844107 /nfs/dbraw/zinc/84/41/07/898844107.db2.gz NNCNRHUTCWJANS-UHFFFAOYSA-N 0 1 263.345 0.149 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H](C)OC)CC1 ZINC001486500605 898912083 /nfs/dbraw/zinc/91/20/83/898912083.db2.gz BVJURXIJDKYRIU-GFCCVEGCSA-N 0 1 250.342 0.793 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)cn1)NC(=O)CCc1nc[nH]n1 ZINC001108327302 899774523 /nfs/dbraw/zinc/77/45/23/899774523.db2.gz RWAMXBFYALVKIS-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN CC(C)C#CC(=O)NCCCNCc1ncnn1CCF ZINC001157633112 899802004 /nfs/dbraw/zinc/80/20/04/899802004.db2.gz QGBUPRPBQWYXFW-UHFFFAOYSA-N 0 1 295.362 0.503 20 30 CCEDMN N#Cc1cnc(C(=O)N2CCCc3ccc(CN)nc32)cn1 ZINC001199666688 900070895 /nfs/dbraw/zinc/07/08/95/900070895.db2.gz BPDQAPPHHSGDDD-UHFFFAOYSA-N 0 1 294.318 0.795 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)CN(C)C(=O)c1ccn[nH]1 ZINC001487716117 900107379 /nfs/dbraw/zinc/10/73/79/900107379.db2.gz JIWMNJPLGDRPHO-LLVKDONJSA-N 0 1 292.339 0.026 20 30 CCEDMN C=C(C)C[N@H+](C)CCCN(C)C(=O)C1CS(=O)(=O)C1 ZINC001327213271 914419257 /nfs/dbraw/zinc/41/92/57/914419257.db2.gz PPAIQSMEQFQPMP-UHFFFAOYSA-N 0 1 288.413 0.387 20 30 CCEDMN C=C(C)CN(C)CCCN(C)C(=O)C1CS(=O)(=O)C1 ZINC001327213271 914419271 /nfs/dbraw/zinc/41/92/71/914419271.db2.gz PPAIQSMEQFQPMP-UHFFFAOYSA-N 0 1 288.413 0.387 20 30 CCEDMN CC#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H](C)OC)C1 ZINC001489149755 900442084 /nfs/dbraw/zinc/44/20/84/900442084.db2.gz LQAVJFNDLHAXBC-KGLIPLIRSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CNC(=O)N2CCCC2)[C@H]1C ZINC001489265723 900456951 /nfs/dbraw/zinc/45/69/51/900456951.db2.gz YJPLVYKMUHDFJB-OLZOCXBDSA-N 0 1 292.383 0.004 20 30 CCEDMN CC#CC[NH2+][C@H](C)[C@@H]1CCCCN1C(=O)Cc1nnc[n-]1 ZINC001490304031 900595639 /nfs/dbraw/zinc/59/56/39/900595639.db2.gz SVZGCEHLTUGQEF-OLZOCXBDSA-N 0 1 289.383 0.730 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H](C)OCC)CC1 ZINC001490397822 900615883 /nfs/dbraw/zinc/61/58/83/900615883.db2.gz AYTMZTJIXTWXTM-LBPRGKRZSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)COCc2nccn2C)C1 ZINC001490440048 900619571 /nfs/dbraw/zinc/61/95/71/900619571.db2.gz GXVMXIVEKHZEHF-CYBMUJFWSA-N 0 1 292.383 0.703 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C(C)(C)C(N)=O)C1 ZINC001490440524 900620466 /nfs/dbraw/zinc/62/04/66/900620466.db2.gz WMMMNLXHKNARPS-SNVBAGLBSA-N 0 1 253.346 0.265 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](C)NC(C)=O)C1 ZINC001490426225 900626080 /nfs/dbraw/zinc/62/60/80/900626080.db2.gz RSLRJCGEZAQWBV-CMPLNLGQSA-N 0 1 253.346 0.278 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC001490530729 900647722 /nfs/dbraw/zinc/64/77/22/900647722.db2.gz IVINUEARYSJTAH-GFCCVEGCSA-N 0 1 293.371 0.130 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@H]1CCCNC1=O)C1CC1 ZINC001490678423 900685898 /nfs/dbraw/zinc/68/58/98/900685898.db2.gz QGIVVUKCLOHHBK-NWDGAFQWSA-N 0 1 299.802 0.750 20 30 CCEDMN Cc1cc(C(=O)N(C)CCNC(=O)CSCC#N)n[nH]1 ZINC001296007882 900929362 /nfs/dbraw/zinc/92/93/62/900929362.db2.gz VRTWAZCVELGFBX-UHFFFAOYSA-N 0 1 295.368 0.163 20 30 CCEDMN C#CCOCCNC(=O)c1ccn(-c2ccncc2)n1 ZINC001320934737 900956463 /nfs/dbraw/zinc/95/64/63/900956463.db2.gz IJTOVSLGADOMQC-UHFFFAOYSA-N 0 1 270.292 0.647 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CC(=O)N(CC(C)C)C1 ZINC001275566201 901132426 /nfs/dbraw/zinc/13/24/26/901132426.db2.gz MUCUYMHZCDENEV-ZIAGYGMSSA-N 0 1 293.411 0.561 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001275606752 901147073 /nfs/dbraw/zinc/14/70/73/901147073.db2.gz GZLHDSXIQUARTF-IIEFLBBWSA-N 0 1 262.353 0.480 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)N(C)CC1CN(C)C1 ZINC001327392268 914515432 /nfs/dbraw/zinc/51/54/32/914515432.db2.gz NHHOBTBJKAXBCH-CYBMUJFWSA-N 0 1 279.384 0.574 20 30 CCEDMN N#Cc1cc(CNCCS(=O)(=O)NC2CC2)cs1 ZINC001308977204 901256290 /nfs/dbraw/zinc/25/62/90/901256290.db2.gz NXVZZQFVPNOAQX-UHFFFAOYSA-N 0 1 285.394 0.791 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@@H]2CNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001398216917 914576068 /nfs/dbraw/zinc/57/60/68/914576068.db2.gz SAKSLYLTKQKIJR-GXSJLCMTSA-N 0 1 289.339 0.599 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCC3(C[C@H]3C(N)=O)CC2)c1O ZINC001276215949 901974459 /nfs/dbraw/zinc/97/44/59/901974459.db2.gz SOQQSZWJHZWTGE-LBPRGKRZSA-N 0 1 299.330 0.991 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@H](NCc2ccn(C)n2)C1 ZINC001490819805 902018643 /nfs/dbraw/zinc/01/86/43/902018643.db2.gz RQRGLXLROIJCIP-ZFWWWQNUSA-N 0 1 292.383 0.438 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)/C(C)=C\C)C1 ZINC001493191438 902026913 /nfs/dbraw/zinc/02/69/13/902026913.db2.gz GHRCNVIJRNOPEW-QWGHSUKYSA-N 0 1 279.384 0.693 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@H](NCc2conc2C)C1 ZINC001490822617 902028133 /nfs/dbraw/zinc/02/81/33/902028133.db2.gz IPPWFBSTJUBSFR-AWEZNQCLSA-N 0 1 291.351 0.713 20 30 CCEDMN N#CC[C@]1(O)CCN(c2nc(Cl)nc3[nH]cnc32)C1 ZINC001412891731 902335182 /nfs/dbraw/zinc/33/51/82/902335182.db2.gz MZZXDIGUVBOBQC-NSHDSACASA-N 0 1 278.703 0.861 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(C[C@H](C)O)C2)nc1 ZINC001009271311 902599755 /nfs/dbraw/zinc/59/97/55/902599755.db2.gz VUTDLYVVGJZXED-JSGCOSHPSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CCCCCNC(=O)C(=O)NCc1cn[nH]c1C ZINC001327623161 914661701 /nfs/dbraw/zinc/66/17/01/914661701.db2.gz XMVNBIDCGMQWFT-UHFFFAOYSA-N 0 1 262.313 0.254 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@]1(C)CNC(=O)C1 ZINC001049608368 903030790 /nfs/dbraw/zinc/03/07/90/903030790.db2.gz OWVOBKWORSGIIX-WOSRLPQWSA-N 0 1 289.379 0.211 20 30 CCEDMN N#CC1(CC(=O)NC[C@@H](O)c2cnc[nH]2)CCOCC1 ZINC001413565870 903055305 /nfs/dbraw/zinc/05/53/05/903055305.db2.gz UQGUZVGMUOBVQD-LLVKDONJSA-N 0 1 278.312 0.270 20 30 CCEDMN C#CCCCCC(=O)N1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001490986746 903276631 /nfs/dbraw/zinc/27/66/31/903276631.db2.gz JUYZLJOFUVFCOC-UHFFFAOYSA-N 0 1 288.351 0.888 20 30 CCEDMN COCCOCCN1CCCC[C@@H]1CNC(=O)[C@H](C)C#N ZINC001491204843 903442877 /nfs/dbraw/zinc/44/28/77/903442877.db2.gz MPXGMJGXGBRPFF-ZIAGYGMSSA-N 0 1 297.399 0.780 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](C)NC(C)=O ZINC001491389994 903530364 /nfs/dbraw/zinc/53/03/64/903530364.db2.gz WAVITPHCLJQKSS-DTWKUNHWSA-N 0 1 261.753 0.358 20 30 CCEDMN CCN(C)C(=O)CN1CC[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001493184738 903554959 /nfs/dbraw/zinc/55/49/59/903554959.db2.gz QQZDKPSFZDCSJA-VXGBXAGGSA-N 0 1 280.372 0.062 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](C)NC(=O)CC ZINC001491460674 903610304 /nfs/dbraw/zinc/61/03/04/903610304.db2.gz JEBOYFNEBOTHNY-UWVGGRQHSA-N 0 1 275.780 0.748 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCC(=O)NC(C)C)C1 ZINC001210412855 903824935 /nfs/dbraw/zinc/82/49/35/903824935.db2.gz YXGYLRRVUGBJNS-ZIAGYGMSSA-N 0 1 293.411 0.751 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)c1cnc(C2CC2)[nH]1 ZINC001330669045 903904005 /nfs/dbraw/zinc/90/40/05/903904005.db2.gz PZIPRDSHHYMHEO-UHFFFAOYSA-N 0 1 276.296 0.816 20 30 CCEDMN COCC#CC(=O)Nc1c[nH+]ccc1N1CC[NH+](C)CC1 ZINC001327812580 914780462 /nfs/dbraw/zinc/78/04/62/914780462.db2.gz DPWLDRSRMMDVIH-UHFFFAOYSA-N 0 1 288.351 0.422 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](NC(=O)C(C)C)CC1 ZINC001280713672 904142647 /nfs/dbraw/zinc/14/26/47/904142647.db2.gz NEXHKNQGCYHEAK-CYBMUJFWSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCCCC(=O)N1CCN(C2CN(CCCO)C2)CC1 ZINC001281588970 904310001 /nfs/dbraw/zinc/31/00/01/904310001.db2.gz WJXAPJYPOZAJEH-UHFFFAOYSA-N 0 1 295.427 0.554 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@@H]1CN(C)[C@@H](CC)C(N)=O ZINC001281932081 904375665 /nfs/dbraw/zinc/37/56/65/904375665.db2.gz IFWPTTOBVDWBRP-KGLIPLIRSA-N 0 1 293.411 0.977 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cc[n+]([O-])cc1 ZINC001282343288 904448152 /nfs/dbraw/zinc/44/81/52/904448152.db2.gz CWAJXAZUGJYKEF-GFCCVEGCSA-N 0 1 261.325 0.393 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnn(C)c1CC ZINC001282421772 904472942 /nfs/dbraw/zinc/47/29/42/904472942.db2.gz DPRXSWKGPVOMLP-NSHDSACASA-N 0 1 262.357 0.666 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)COC[C@@H]2CCCO2)C1 ZINC001282742058 904536581 /nfs/dbraw/zinc/53/65/81/904536581.db2.gz XAGYCYMSJXVGCA-HIFRSBDPSA-N 0 1 294.395 0.642 20 30 CCEDMN CCn1ncc(CN[C@@H](C)CCNC(=O)[C@H](C)C#N)n1 ZINC001377414571 904859788 /nfs/dbraw/zinc/85/97/88/904859788.db2.gz AKEKPFZQSQMQFQ-MNOVXSKESA-N 0 1 278.360 0.442 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC001327914067 914856227 /nfs/dbraw/zinc/85/62/27/914856227.db2.gz LKEHPSSBAMDSGL-OLZOCXBDSA-N 0 1 291.395 0.906 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1C(C)(C)C1(C)C ZINC001283742128 904984594 /nfs/dbraw/zinc/98/45/94/904984594.db2.gz FZNNAELPUJCCGY-LLVKDONJSA-N 0 1 266.385 0.759 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(F)ccc1C ZINC001283782908 905015287 /nfs/dbraw/zinc/01/52/87/905015287.db2.gz DZUSNKKGRZFUMQ-GFCCVEGCSA-N 0 1 264.300 0.448 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1ccccc1F ZINC001283824213 905041750 /nfs/dbraw/zinc/04/17/50/905041750.db2.gz KWZWZQCXHCKSHE-GFCCVEGCSA-N 0 1 264.300 0.068 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(N(C)C)c(F)c1 ZINC001283830673 905046735 /nfs/dbraw/zinc/04/67/35/905046735.db2.gz DZGWFAAPXMUDTN-GFCCVEGCSA-N 0 1 293.342 0.205 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@]2(NC(=O)CC)CCC[C@H]12 ZINC001284029419 905123440 /nfs/dbraw/zinc/12/34/40/905123440.db2.gz LBHDWWOSRDXTSV-HEHGZKQESA-N 0 1 291.395 0.648 20 30 CCEDMN C#CC[N@H+](C)C[C@H](O)CN(C)C(=O)C(C)(C)CC ZINC001284508241 905318131 /nfs/dbraw/zinc/31/81/31/905318131.db2.gz CIEPCJOMJPRIJB-LBPRGKRZSA-N 0 1 254.374 0.807 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C(C)(C)CC ZINC001284508241 905318145 /nfs/dbraw/zinc/31/81/45/905318145.db2.gz CIEPCJOMJPRIJB-LBPRGKRZSA-N 0 1 254.374 0.807 20 30 CCEDMN Cc1cc(C(=O)N(C)CCNC(=O)C#CC(C)(C)C)n[nH]1 ZINC001284521098 905357543 /nfs/dbraw/zinc/35/75/43/905357543.db2.gz KKXMXMIAMVNWOI-UHFFFAOYSA-N 0 1 290.367 0.956 20 30 CCEDMN C#CCN(C)CCN(C(=O)c1cncnc1)C(C)C ZINC001284529943 905361155 /nfs/dbraw/zinc/36/11/55/905361155.db2.gz JDRRZEKNXYEQOJ-UHFFFAOYSA-N 0 1 260.341 0.892 20 30 CCEDMN C=CCCC(=O)N1CC(NC(=O)c2[nH]ncc2F)C1 ZINC001284940970 905500166 /nfs/dbraw/zinc/50/01/66/905500166.db2.gz LMWRFGMIFHXJFD-UHFFFAOYSA-N 0 1 266.276 0.456 20 30 CCEDMN C=CCCC(=O)NC[C@@H](CC)NC(=O)Cc1cnc[nH]1 ZINC001285039423 905530419 /nfs/dbraw/zinc/53/04/19/905530419.db2.gz PDZVVKSEOXBSMS-LLVKDONJSA-N 0 1 278.356 0.929 20 30 CCEDMN C=CCCCC(=O)N(C)CCN(C)C(=O)Cc1ncn[nH]1 ZINC001285384962 905613142 /nfs/dbraw/zinc/61/31/42/905613142.db2.gz ZBJRFYLIRSMIQU-UHFFFAOYSA-N 0 1 293.371 0.620 20 30 CCEDMN Cc1cnc(CNC[C@@H](C)CNC(=O)[C@@H](C)C#N)nc1 ZINC001378551296 905679604 /nfs/dbraw/zinc/67/96/04/905679604.db2.gz JIJIHOUQKTYQHN-PWSUYJOCSA-N 0 1 275.356 0.787 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H]1CNC(=O)[C@H]1CCCN1C ZINC001285840529 905780185 /nfs/dbraw/zinc/78/01/85/905780185.db2.gz HQZGCRUHEVAXCH-UONOGXRCSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H](CNCc1nccn1C)C1CC1 ZINC001378983373 905912220 /nfs/dbraw/zinc/91/22/20/905912220.db2.gz BIYAOOSHFHBBPO-DGCLKSJQSA-N 0 1 289.383 0.906 20 30 CCEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)Cn1cncn1 ZINC001379062741 905967294 /nfs/dbraw/zinc/96/72/94/905967294.db2.gz VSLNETJUBPAHCQ-GFCCVEGCSA-N 0 1 297.790 0.857 20 30 CCEDMN CC(C)C[C@H](C#N)NC(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001292531572 906262214 /nfs/dbraw/zinc/26/22/14/906262214.db2.gz HJMITUZWCSJUIZ-JHJVBQTASA-N 0 1 267.373 0.886 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@](C)(CNCc2nncn2C)C1 ZINC001380403340 906762809 /nfs/dbraw/zinc/76/28/09/906762809.db2.gz PMALMCXELRKUOH-FZMZJTMJSA-N 0 1 290.371 0.303 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)Nc2ccsc2)CC1 ZINC001337607229 921276030 /nfs/dbraw/zinc/27/60/30/921276030.db2.gz YJUBETCIRNYBEU-UHFFFAOYSA-N 0 1 291.376 0.900 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)[C@@H]2CCOC2)CCO1 ZINC001380902118 906985314 /nfs/dbraw/zinc/98/53/14/906985314.db2.gz JMKXSMFZUMZKDP-NEPJUHHUSA-N 0 1 288.775 0.592 20 30 CCEDMN C=CC(C)(C)CC(=O)NC1CN(C(=O)Cc2c[nH]cn2)C1 ZINC001297063121 907014444 /nfs/dbraw/zinc/01/44/44/907014444.db2.gz ZOKOYZORCPQEOD-UHFFFAOYSA-N 0 1 290.367 0.882 20 30 CCEDMN CC(C)c1nc(CNC(=O)CSCC#N)n[nH]1 ZINC001298415628 907238147 /nfs/dbraw/zinc/23/81/47/907238147.db2.gz JTVRGJRNTQQGJI-UHFFFAOYSA-N 0 1 253.331 0.801 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001298785929 907330220 /nfs/dbraw/zinc/33/02/20/907330220.db2.gz HBOMZFAQMSMDKZ-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN CC#CCCCC(=O)NCC[C@@H](C)NCc1ncnn1C ZINC001492071135 907690256 /nfs/dbraw/zinc/69/02/56/907690256.db2.gz GFAFRKIOGGVWAJ-CYBMUJFWSA-N 0 1 291.399 0.993 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cc(C)on1 ZINC001492190820 907743125 /nfs/dbraw/zinc/74/31/25/907743125.db2.gz XUKDLSHLCLPIGU-UHFFFAOYSA-N 0 1 265.313 0.294 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CCc1nccn1C ZINC001492352456 907867541 /nfs/dbraw/zinc/86/75/41/907867541.db2.gz ITLOAKLRVOPVMH-UHFFFAOYSA-N 0 1 292.383 0.051 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001492348879 907869700 /nfs/dbraw/zinc/86/97/00/907869700.db2.gz UKNMAJXVDWNJSS-BJJPWKGXSA-N 0 1 262.353 0.481 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CCc1nc(C)no1 ZINC001492363563 907873421 /nfs/dbraw/zinc/87/34/21/907873421.db2.gz PLFMXFGIVSWZQY-UHFFFAOYSA-N 0 1 294.355 0.008 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H](NCc2cnoc2C)C1 ZINC001317299527 908049753 /nfs/dbraw/zinc/04/97/53/908049753.db2.gz GTURWRGLXAZSGE-OCCSQVGLSA-N 0 1 291.351 0.712 20 30 CCEDMN C=C[C@@H](COC)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC001338152276 921381156 /nfs/dbraw/zinc/38/11/56/921381156.db2.gz UOTUUCRSMORGFR-VIFPVBQESA-N 0 1 290.327 0.106 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H](O)c2cnc[nH]2)cn1 ZINC001303583586 908096963 /nfs/dbraw/zinc/09/69/63/908096963.db2.gz IUVQLIUFMDRURZ-NSHDSACASA-N 0 1 257.253 0.140 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ncc(Cl)cn2)CC1 ZINC001303792951 908114417 /nfs/dbraw/zinc/11/44/17/908114417.db2.gz RNKATZLLOPOFBY-UHFFFAOYSA-N 0 1 278.743 0.957 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC[C@@H](CNCC#N)C1 ZINC001317330712 908132983 /nfs/dbraw/zinc/13/29/83/908132983.db2.gz NCYDYZLAUSGYHD-STQMWFEESA-N 0 1 264.373 0.432 20 30 CCEDMN N#Cc1csc(CNC(=O)CCc2c[nH]nn2)n1 ZINC001304187029 908154722 /nfs/dbraw/zinc/15/47/22/908154722.db2.gz JTIGDKQXEIBGCO-UHFFFAOYSA-N 0 1 262.298 0.382 20 30 CCEDMN N#Cc1csc(CNC(=O)CCc2cnn[nH]2)n1 ZINC001304187029 908154733 /nfs/dbraw/zinc/15/47/33/908154733.db2.gz JTIGDKQXEIBGCO-UHFFFAOYSA-N 0 1 262.298 0.382 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1ccc(C(N)=O)cc1 ZINC001317471677 908320577 /nfs/dbraw/zinc/32/05/77/908320577.db2.gz NQQDJFAADWXMEH-UHFFFAOYSA-N 0 1 273.336 0.009 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN(CC)CC#CC ZINC001317474532 908406513 /nfs/dbraw/zinc/40/65/13/908406513.db2.gz KZJQEFVLWTXQAJ-CQSZACIVSA-N 0 1 252.358 0.775 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN([C@H](C)C(=O)NC)C2)C1 ZINC001317529945 908597919 /nfs/dbraw/zinc/59/79/19/908597919.db2.gz DCBGFBGUDXGFJR-CHWSQXEVSA-N 0 1 293.411 0.915 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1CCO[C@]2(CCOC2)C1 ZINC001312396046 908599370 /nfs/dbraw/zinc/59/93/70/908599370.db2.gz DYLVWAOEWWBOOF-UKRRQHHQSA-N 0 1 295.383 0.189 20 30 CCEDMN CC(C)[C@@](C)(O)CNC(=O)NCC#CCN(C)C ZINC001313438899 908666458 /nfs/dbraw/zinc/66/64/58/908666458.db2.gz QNASCVQKZIPTOP-ZDUSSCGKSA-N 0 1 255.362 0.258 20 30 CCEDMN C=CC[N@H+](CCO)[C@@H]1CCCN(C(=O)c2cnccn2)C1 ZINC001316745169 908662424 /nfs/dbraw/zinc/66/24/24/908662424.db2.gz UQPXFUDMBHEKSX-CYBMUJFWSA-N 0 1 290.367 0.562 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001316991922 908714774 /nfs/dbraw/zinc/71/47/74/908714774.db2.gz UPLKCWVZUZTCTB-QWHCGFSZSA-N 0 1 267.373 0.524 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)CO[C@@H](C)CC)C1 ZINC001316751134 908763268 /nfs/dbraw/zinc/76/32/68/908763268.db2.gz YQFFSQKVLMZBFQ-LSDHHAIUSA-N 0 1 296.411 0.720 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCOCCN(C)CC#N)[nH]1 ZINC001316759817 908764372 /nfs/dbraw/zinc/76/43/72/908764372.db2.gz CFHFRGHPBWLFOO-UHFFFAOYSA-N 0 1 279.344 0.262 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCCN1C(=O)CCn1cncn1 ZINC001316802954 908777942 /nfs/dbraw/zinc/77/79/42/908777942.db2.gz FVRFHYMLXWOGBX-AWEZNQCLSA-N 0 1 289.383 0.614 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CCn1cncn1 ZINC001316802954 908777951 /nfs/dbraw/zinc/77/79/51/908777951.db2.gz FVRFHYMLXWOGBX-AWEZNQCLSA-N 0 1 289.383 0.614 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H](CCCC)NC(N)=O)C1 ZINC001316955215 908868869 /nfs/dbraw/zinc/86/88/69/908868869.db2.gz YVMPXKNVNYWCKU-STQMWFEESA-N 0 1 296.415 0.980 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2cc[nH]c2C)CC1 ZINC001316964275 908875301 /nfs/dbraw/zinc/87/53/01/908875301.db2.gz QEJGOTXITTYERL-UHFFFAOYSA-N 0 1 288.395 0.694 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)NCc1cnnn1C ZINC001317229309 909064640 /nfs/dbraw/zinc/06/46/40/909064640.db2.gz NGRVCGWOBQOLAN-SNVBAGLBSA-N 0 1 251.334 0.376 20 30 CCEDMN C[C@@H](CNC(=O)c1cnn(C)n1)NCC#Cc1ccccc1 ZINC001317274905 909107969 /nfs/dbraw/zinc/10/79/69/909107969.db2.gz HFNOUILKTGJJMR-ZDUSSCGKSA-N 0 1 297.362 0.575 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@@H]2CNC(=O)[C@H](C)C#N)[nH]1 ZINC001387394934 909124087 /nfs/dbraw/zinc/12/40/87/909124087.db2.gz ZVGDEUOBVFBAMQ-MWLCHTKSSA-N 0 1 289.339 0.599 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cc(C)nnc1C ZINC001317446466 909213249 /nfs/dbraw/zinc/21/32/49/909213249.db2.gz GEAZGJNGPOJXEQ-UHFFFAOYSA-N 0 1 290.367 0.405 20 30 CCEDMN C#CCN(C)CCNC(=O)COCc1nnc(C)s1 ZINC001317450896 909218539 /nfs/dbraw/zinc/21/85/39/909218539.db2.gz GLRZZBWGGYCSKD-UHFFFAOYSA-N 0 1 282.369 0.044 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cn(C)nc1OC ZINC001317484836 909254707 /nfs/dbraw/zinc/25/47/07/909254707.db2.gz UUEGPVZJPVQSCY-UHFFFAOYSA-N 0 1 278.356 0.504 20 30 CCEDMN C#CCN(CC)CCNC(=O)COc1cccnc1 ZINC001317488285 909262942 /nfs/dbraw/zinc/26/29/42/909262942.db2.gz NSBMRMGBJURVHC-UHFFFAOYSA-N 0 1 261.325 0.532 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H](OC)C1CC1)C1CC1 ZINC001317549745 909319451 /nfs/dbraw/zinc/31/94/51/909319451.db2.gz GLNCSSVBSJTQGA-ZDUSSCGKSA-N 0 1 250.342 0.625 20 30 CCEDMN C#C[C@H](NC[C@@H](O)C[C@]1(O)CCOC1)c1ccccc1 ZINC001338506109 921491195 /nfs/dbraw/zinc/49/11/95/921491195.db2.gz VKCZFZGQZMDHCO-HRCADAONSA-N 0 1 275.348 0.853 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2[C@@H]1CCN(C)C1=O ZINC001317732826 909477557 /nfs/dbraw/zinc/47/75/57/909477557.db2.gz GOYLMLYJROLNKH-HZSPNIEDSA-N 0 1 291.395 0.859 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCC[C@H]1CCN(CC#N)C1 ZINC001317786511 909493913 /nfs/dbraw/zinc/49/39/13/909493913.db2.gz ARRRLPRPPHQJGA-KBPBESRZSA-N 0 1 278.400 0.822 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@@]2(F)CCOC2)CC1 ZINC001317819600 909512528 /nfs/dbraw/zinc/51/25/28/909512528.db2.gz BYCVOOPTCJOFAZ-OAHLLOKOSA-N 0 1 299.390 0.377 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCC1(C#N)CCCC1 ZINC001338533702 921501716 /nfs/dbraw/zinc/50/17/16/921501716.db2.gz CHEGHDLCAZXOFY-ZDUSSCGKSA-N 0 1 264.373 0.866 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)CCCF)C1 ZINC001317848824 909528053 /nfs/dbraw/zinc/52/80/53/909528053.db2.gz PPDNTBUYGLEYBS-ZDUSSCGKSA-N 0 1 299.390 0.819 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC(CN(C)CC#N)CC1 ZINC001317875231 909531923 /nfs/dbraw/zinc/53/19/23/909531923.db2.gz DAUZPPKPAMCRSW-UHFFFAOYSA-N 0 1 276.344 0.421 20 30 CCEDMN C=CCOCCCC(=O)NC1CN(C[C@@H]2CCOC2)C1 ZINC001318011733 909574761 /nfs/dbraw/zinc/57/47/61/909574761.db2.gz NWNLZKFPKMBEAD-ZDUSSCGKSA-N 0 1 282.384 0.806 20 30 CCEDMN C#CCOCCC(=O)NC1CN(CC2CCC2)C1 ZINC001318024418 909586110 /nfs/dbraw/zinc/58/61/10/909586110.db2.gz JEBSZWQVVCQHKE-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@H]2CCOC2)C1 ZINC001318107808 909622141 /nfs/dbraw/zinc/62/21/41/909622141.db2.gz PQMKKSQLAUUFAS-ZDUSSCGKSA-N 0 1 268.357 0.274 20 30 CCEDMN C#CCN1CC(CNC(=O)[C@@H](C)Cc2cnc[nH]2)C1 ZINC001318162117 909647940 /nfs/dbraw/zinc/64/79/40/909647940.db2.gz XYCCZPAKNNXTPZ-NSHDSACASA-N 0 1 260.341 0.270 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1CCNC1=O ZINC001318553627 909812040 /nfs/dbraw/zinc/81/20/40/909812040.db2.gz BBFZCYSVLQNVMU-VHSXEESVSA-N 0 1 273.764 0.359 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C1(C(=O)NC)CC1 ZINC001318582832 909824509 /nfs/dbraw/zinc/82/45/09/909824509.db2.gz NRQXDFIVSVATRY-JTQLQIEISA-N 0 1 287.791 0.750 20 30 CCEDMN N#CCNC[C@H]1CCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001319069863 909978821 /nfs/dbraw/zinc/97/88/21/909978821.db2.gz OUBPURITDAVUEN-VXGBXAGGSA-N 0 1 287.367 0.934 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)CCn1cnnn1)C1CC1 ZINC001389690694 910029535 /nfs/dbraw/zinc/02/95/35/910029535.db2.gz SZGHRPBWLRYPQC-NSHDSACASA-N 0 1 298.778 0.300 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)CNCc1nncn1C ZINC001319230209 910049582 /nfs/dbraw/zinc/04/95/82/910049582.db2.gz SWGWHCGUNPTCCK-ZDUSSCGKSA-N 0 1 291.399 0.851 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H]1CN(CCCC)CCO1 ZINC001319306340 910089298 /nfs/dbraw/zinc/08/92/98/910089298.db2.gz TZEZROYLVLFYOS-HIFRSBDPSA-N 0 1 284.400 0.931 20 30 CCEDMN C[C@@H]1CCCN(C(=O)CCc2nc[nH]n2)[C@@H]1CNCC#N ZINC001319843246 910318661 /nfs/dbraw/zinc/31/86/61/910318661.db2.gz FLNPBCAAYGYTGB-VXGBXAGGSA-N 0 1 290.371 0.478 20 30 CCEDMN C#CCOCC[N@H+](C)CC(=O)[N-]OCc1ccccc1 ZINC001319878470 910332787 /nfs/dbraw/zinc/33/27/87/910332787.db2.gz DOPGXJGCPSAKRP-UHFFFAOYSA-N 0 1 276.336 0.816 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1cncc2nc[nH]c21)NCC#N ZINC001319914120 910350933 /nfs/dbraw/zinc/35/09/33/910350933.db2.gz BVZRVFDZBCZQJH-NSHDSACASA-N 0 1 286.339 0.825 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H](C)COC ZINC001319982096 910394738 /nfs/dbraw/zinc/39/47/38/910394738.db2.gz ZVNSGOCFXXQSSC-CYBMUJFWSA-N 0 1 270.373 0.309 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)Cc1ccn(CC)n1 ZINC001319999098 910405965 /nfs/dbraw/zinc/40/59/65/910405965.db2.gz LBMSOTOULQOFPN-UHFFFAOYSA-N 0 1 276.384 0.859 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H]2[C@H](CC[N@@H+]2CC(=O)NC)C1 ZINC001320100398 910460980 /nfs/dbraw/zinc/46/09/80/910460980.db2.gz YUUODTMGUOMHHT-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)c1ccc2cncn2c1C ZINC001320171642 910490764 /nfs/dbraw/zinc/49/07/64/910490764.db2.gz WWJWENKIJVAFOP-LLVKDONJSA-N 0 1 285.303 0.937 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1ccc2cncn2c1C ZINC001320175335 910495840 /nfs/dbraw/zinc/49/58/40/910495840.db2.gz REURLJAGFBRGFP-UHFFFAOYSA-N 0 1 286.291 0.782 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)c2nncs2)CC1 ZINC001320248981 910557120 /nfs/dbraw/zinc/55/71/20/910557120.db2.gz WKTFCFHXMGJKSN-UHFFFAOYSA-N 0 1 264.354 0.846 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCCCN1C[C@@H](C)O[C@H](C)C1 ZINC001320293898 910584541 /nfs/dbraw/zinc/58/45/41/910584541.db2.gz DJFQUHAJBACWMF-MGPQQGTHSA-N 0 1 299.415 0.986 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)Cc1nnc(C)[nH]1 ZINC001321053801 911049000 /nfs/dbraw/zinc/04/90/00/911049000.db2.gz ZZGUOEGYHHEUJX-ONEGZZNKSA-N 0 1 283.763 0.670 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)c1ccns1 ZINC001321082515 911071459 /nfs/dbraw/zinc/07/14/59/911071459.db2.gz ILRZNBNVDIJYBY-ARJAWSKDSA-N 0 1 294.380 0.321 20 30 CCEDMN C[C@@H](C#N)OCCN1CCN(CCCCO)CC1 ZINC001321298865 911213430 /nfs/dbraw/zinc/21/34/30/911213430.db2.gz NPOYOZBSRXJPRY-ZDUSSCGKSA-N 0 1 255.362 0.305 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H](C)NC(N)=O ZINC001391682152 911325979 /nfs/dbraw/zinc/32/59/79/911325979.db2.gz CJGULAOBENKHAQ-DTWKUNHWSA-N 0 1 276.768 0.280 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1ccn(C)c1 ZINC001322145380 911678638 /nfs/dbraw/zinc/67/86/38/911678638.db2.gz PZIUYGHKOAHVHL-CYBMUJFWSA-N 0 1 277.368 0.725 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CO[C@H]1CCOC1 ZINC001322158606 911688265 /nfs/dbraw/zinc/68/82/65/911688265.db2.gz VSPGCWLRULVFOD-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)COc1cc(C)on1 ZINC001322177625 911699939 /nfs/dbraw/zinc/69/99/39/911699939.db2.gz UHRAFGVCDWJOAG-NSHDSACASA-N 0 1 279.340 0.822 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cccc(=O)n1C ZINC001322245708 911726052 /nfs/dbraw/zinc/72/60/52/911726052.db2.gz ZRYRGHCYBUEROS-GFCCVEGCSA-N 0 1 275.352 0.459 20 30 CCEDMN C[C@H](CN(C)CC#N)NC(=O)CN1CCC(C)CC1 ZINC001322269180 911738851 /nfs/dbraw/zinc/73/88/51/911738851.db2.gz LSBOHBXOTJSFAX-CYBMUJFWSA-N 0 1 266.389 0.678 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)Cc1nonc1C ZINC001322274409 911743970 /nfs/dbraw/zinc/74/39/70/911743970.db2.gz NOEXJIKQBDPJCR-JTQLQIEISA-N 0 1 264.329 0.380 20 30 CCEDMN CC(C)n1ncnc1CNCCCN(C)C(=O)[C@H](C)C#N ZINC001322442405 911806407 /nfs/dbraw/zinc/80/64/07/911806407.db2.gz LOCUZDWVCNYXED-GFCCVEGCSA-N 0 1 292.387 0.957 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccn2nnnc12 ZINC001392479551 911852430 /nfs/dbraw/zinc/85/24/30/911852430.db2.gz IPPNDWXKORJICG-SECBINFHSA-N 0 1 294.746 0.585 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC[C@H](C)NCc1nonc1C ZINC001328331257 915117290 /nfs/dbraw/zinc/11/72/90/915117290.db2.gz GPNMCXXXPXGXIW-HZMBPMFUSA-N 0 1 296.371 0.690 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2ncc[nH]2)CC1 ZINC001328531056 915255660 /nfs/dbraw/zinc/25/56/60/915255660.db2.gz VAMVRPWRHPNCQV-UHFFFAOYSA-N 0 1 264.329 0.152 20 30 CCEDMN C#CCCCC(=O)NC1CC(CNCc2cnon2)C1 ZINC001328852958 915476378 /nfs/dbraw/zinc/47/63/78/915476378.db2.gz VRSPJUCDCZPDRN-UHFFFAOYSA-N 0 1 276.340 0.858 20 30 CCEDMN O=C(NCCNC[C@@H](O)C(F)(F)F)C1N=CC=CC1=O ZINC001329140062 915702485 /nfs/dbraw/zinc/70/24/85/915702485.db2.gz DMBBZFHWWZJDMF-KGSKPCQNSA-N 0 1 293.245 0.026 20 30 CCEDMN CCc1cc(C(=O)NCCN(C)CC#CCOC)nn1C ZINC001492894419 915806828 /nfs/dbraw/zinc/80/68/28/915806828.db2.gz LHNQMBVNNROCRN-UHFFFAOYSA-N 0 1 292.383 0.294 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CSCC#N ZINC001329387214 915868519 /nfs/dbraw/zinc/86/85/19/915868519.db2.gz WXEYRZCDQNLXOG-LLVKDONJSA-N 0 1 253.371 0.703 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cnn2c1CCC2 ZINC001329458806 915933316 /nfs/dbraw/zinc/93/33/16/915933316.db2.gz DGBJBYQZRGWIAW-LBPRGKRZSA-N 0 1 274.368 0.903 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cccc(-n2cccn2)n1 ZINC001329538259 915994239 /nfs/dbraw/zinc/99/42/39/915994239.db2.gz AUNCEKIKBIJKRM-ZDUSSCGKSA-N 0 1 297.362 0.951 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)COCc1nc(CC)no1 ZINC001329592801 916044826 /nfs/dbraw/zinc/04/48/26/916044826.db2.gz VDQGNEPSCGZUTD-LLVKDONJSA-N 0 1 294.355 0.218 20 30 CCEDMN Cc1[nH]ncc1CN(C)C(=O)C1(C#N)CCOCC1 ZINC001329808049 916200797 /nfs/dbraw/zinc/20/07/97/916200797.db2.gz XKNFZCHGYMUMST-UHFFFAOYSA-N 0 1 262.313 0.997 20 30 CCEDMN C#CCCNC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001329885379 916257117 /nfs/dbraw/zinc/25/71/17/916257117.db2.gz UTYXUNXCKHGOTB-VIFPVBQESA-N 0 1 263.301 0.479 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc[nH]c(=O)c1 ZINC001401526174 916306402 /nfs/dbraw/zinc/30/64/02/916306402.db2.gz YEURIBZBNMTBDV-SNVBAGLBSA-N 0 1 285.731 0.220 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1csc(=O)[nH]1 ZINC001401555520 916323329 /nfs/dbraw/zinc/32/33/29/916323329.db2.gz OUEGEGXYDZEZPD-SSDOTTSWSA-N 0 1 291.760 0.282 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cn1nccc1C ZINC001401576562 916339820 /nfs/dbraw/zinc/33/98/20/916339820.db2.gz OMOYCKNKYLWODI-NSHDSACASA-N 0 1 286.763 0.011 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCn2cccn2)C(C)(C)C1 ZINC001330171967 916454475 /nfs/dbraw/zinc/45/44/75/916454475.db2.gz YVERANCKPPFDFC-ZDUSSCGKSA-N 0 1 274.368 0.733 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H](C)NC(N)=O ZINC001401681435 916474399 /nfs/dbraw/zinc/47/43/99/916474399.db2.gz KTVHHIJBQVAZJQ-IUCAKERBSA-N 0 1 276.768 0.280 20 30 CCEDMN CCOCC(=O)N1CC2(C1)CCCN(CC#CCOC)C2 ZINC001330474153 916652682 /nfs/dbraw/zinc/65/26/82/916652682.db2.gz DAICXXPDQNBWHO-UHFFFAOYSA-N 0 1 294.395 0.597 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC001401973225 916738856 /nfs/dbraw/zinc/73/88/56/916738856.db2.gz YSLHDRMRMRUZLY-QWRGUYRKSA-N 0 1 299.802 0.892 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1CNC(=O)N1 ZINC001402062132 916785255 /nfs/dbraw/zinc/78/52/55/916785255.db2.gz TUFQWAMKEAEYHX-UWVGGRQHSA-N 0 1 286.763 0.001 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CCC[C@H](C(=O)[O-])C2)C1=O ZINC001330797526 916878502 /nfs/dbraw/zinc/87/85/02/916878502.db2.gz UOEXRTGBEWXDNI-QWRGUYRKSA-N 0 1 252.314 0.570 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CCNC(=O)C1)C1CC1 ZINC001402296868 916936097 /nfs/dbraw/zinc/93/60/97/916936097.db2.gz OPFVEQLVTMLGGC-VXGBXAGGSA-N 0 1 299.802 0.750 20 30 CCEDMN CNC(=O)CN(C)C[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001402366120 916981284 /nfs/dbraw/zinc/98/12/84/916981284.db2.gz CBPILXGDYDYSRA-VXGBXAGGSA-N 0 1 280.372 0.062 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cc(C)no1 ZINC001331094551 917082397 /nfs/dbraw/zinc/08/23/97/917082397.db2.gz SBSVPWVGHGOBAJ-UHFFFAOYSA-N 0 1 279.340 0.685 20 30 CCEDMN Cc1cnc(CN[C@@H](C)CNC(=O)c2ccc(C#N)[nH]2)cn1 ZINC001402859662 917298985 /nfs/dbraw/zinc/29/89/85/917298985.db2.gz FGSRTXLQSURWGF-NSHDSACASA-N 0 1 298.350 0.893 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cccc(C)n1 ZINC001331685653 917507578 /nfs/dbraw/zinc/50/75/78/917507578.db2.gz SLFLBFVOVDJRGF-GFCCVEGCSA-N 0 1 261.325 0.094 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(C)cc1OC ZINC001331824966 917641295 /nfs/dbraw/zinc/64/12/95/917641295.db2.gz KUTGDHIJWVVUJU-CYBMUJFWSA-N 0 1 290.363 0.707 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H](CO)NC[C@@H](F)CC)nc1 ZINC001331826569 917644653 /nfs/dbraw/zinc/64/46/53/917644653.db2.gz ULRICACTQWNJJM-QWHCGFSZSA-N 0 1 293.342 0.491 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CCc1c(C)n[nH]c1C ZINC001331834573 917651590 /nfs/dbraw/zinc/65/15/90/917651590.db2.gz IQQRBLZGNXKJFN-ZDUSSCGKSA-N 0 1 292.383 0.049 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@@H](C(=O)[O-])[C@H](C)C2)C1=O ZINC001331849799 917660075 /nfs/dbraw/zinc/66/00/75/917660075.db2.gz JZVZXYKUAAUUPA-UTUOFQBUSA-N 0 1 266.341 0.816 20 30 CCEDMN CCN(CCNC(=O)[C@@H](C)C#N)[C@@H]1CCN(C(C)C)C1=O ZINC001403828582 918045553 /nfs/dbraw/zinc/04/55/53/918045553.db2.gz UGWIDIIEBYJJLC-QWHCGFSZSA-N 0 1 294.399 0.593 20 30 CCEDMN CC(C)(C)N1CCN(C(=O)NCC#CCO)CC1 ZINC001332369388 918096300 /nfs/dbraw/zinc/09/63/00/918096300.db2.gz XXPYAOTYVBFHFP-UHFFFAOYSA-N 0 1 253.346 0.108 20 30 CCEDMN N#CC1CCN(C[C@@H](O)C[C@]2(O)CCOC2)CC1 ZINC001332614198 918311960 /nfs/dbraw/zinc/31/19/60/918311960.db2.gz TYNBOVHFBCDXOY-QWHCGFSZSA-N 0 1 254.330 0.124 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@H](CCC)OC ZINC001332647278 918340838 /nfs/dbraw/zinc/34/08/38/918340838.db2.gz JDFLCGSCGGARHI-STQMWFEESA-N 0 1 270.373 0.186 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC001332647109 918347722 /nfs/dbraw/zinc/34/77/22/918347722.db2.gz HGUBZZPQDNNCRS-ZOBORPQBSA-N 0 1 264.369 0.417 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)C[C@@H](O)CN(C)CC#CC ZINC001332646125 918349672 /nfs/dbraw/zinc/34/96/72/918349672.db2.gz COJYAJJMOAWQDF-ZDUSSCGKSA-N 0 1 266.385 0.973 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cn(CC)nc1C ZINC001332663138 918365686 /nfs/dbraw/zinc/36/56/86/918365686.db2.gz APBIFGUBNVTUEB-ZDUSSCGKSA-N 0 1 292.383 0.209 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1nccs1 ZINC001332665941 918369848 /nfs/dbraw/zinc/36/98/48/918369848.db2.gz ZFVTTZISRSYIPO-JTQLQIEISA-N 0 1 267.354 0.141 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1c(F)cccc1F ZINC001332678583 918375039 /nfs/dbraw/zinc/37/50/39/918375039.db2.gz PFYOZXYARZTHIV-NSHDSACASA-N 0 1 296.317 0.963 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1(CCOC)CCC1 ZINC001332679132 918377394 /nfs/dbraw/zinc/37/73/94/918377394.db2.gz VGVITYXYGVVDKX-AWEZNQCLSA-N 0 1 296.411 0.578 20 30 CCEDMN N#CCC1(CN2CCC(S(N)(=O)=O)CC2)CC1 ZINC001333021115 918602006 /nfs/dbraw/zinc/60/20/06/918602006.db2.gz BYGVSZDBTUZLKH-UHFFFAOYSA-N 0 1 257.359 0.433 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H](COC)OC)CC1 ZINC001333402174 918868180 /nfs/dbraw/zinc/86/81/80/918868180.db2.gz VBXBJEAOEKFHSF-CYBMUJFWSA-N 0 1 266.341 0.029 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cccc(C)n1 ZINC001406183412 919097941 /nfs/dbraw/zinc/09/79/41/919097941.db2.gz FUMMLLIHRAUIQV-LLVKDONJSA-N 0 1 283.759 0.823 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H](OC)C1CC1 ZINC001406221329 919120885 /nfs/dbraw/zinc/12/08/85/919120885.db2.gz UJSDXLJSCKLMQW-QWRGUYRKSA-N 0 1 276.764 0.231 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)N[C@@H]1CCN(CCOC)C1 ZINC001334199210 919417868 /nfs/dbraw/zinc/41/78/68/919417868.db2.gz WBAKOSFJVVOGLZ-ZIAGYGMSSA-N 0 1 279.384 0.904 20 30 CCEDMN Cc1cccc2nc(C(=O)NCC#CCN(C)C)cn21 ZINC001334249613 919457639 /nfs/dbraw/zinc/45/76/39/919457639.db2.gz BLSGTQBCRKLZKP-UHFFFAOYSA-N 0 1 270.336 0.938 20 30 CCEDMN Cn1nccc1[C@H](O)CNCc1cccc(C#N)n1 ZINC001334333074 919505445 /nfs/dbraw/zinc/50/54/45/919505445.db2.gz QWWRXMRVIHLEJW-CYBMUJFWSA-N 0 1 257.297 0.510 20 30 CCEDMN C=CCOCCNC(=O)N1CCNC[C@H]1CCOC ZINC001336074849 920596184 /nfs/dbraw/zinc/59/61/84/920596184.db2.gz HRTHIBCUTGLCQB-GFCCVEGCSA-N 0 1 271.361 0.209 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC001336659074 920907978 /nfs/dbraw/zinc/90/79/78/920907978.db2.gz REAVSZDROVLVRO-CYBMUJFWSA-N 0 1 281.400 0.821 20 30 CCEDMN C=C(C)COCCNC(=O)N1CCNC[C@@H]1CCOC ZINC001336850606 921058595 /nfs/dbraw/zinc/05/85/95/921058595.db2.gz YHTIBIXJOIVCMS-ZDUSSCGKSA-N 0 1 285.388 0.599 20 30 CCEDMN C=CCn1c(CCO)nnc1N1CCN(C2CCC2)CC1 ZINC001339880490 921864359 /nfs/dbraw/zinc/86/43/59/921864359.db2.gz RILOQNOFDOGUOL-UHFFFAOYSA-N 0 1 291.399 0.673 20 30 CCEDMN COCC(=O)NC[C@H](C)NCc1cc(C#N)ccc1OC ZINC001418189981 921883830 /nfs/dbraw/zinc/88/38/30/921883830.db2.gz DSMCSFAZAVCRJR-NSHDSACASA-N 0 1 291.351 0.808 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1Cc2cccnc2C1 ZINC001340132232 921971980 /nfs/dbraw/zinc/97/19/80/921971980.db2.gz GHLYNTDQBWCWOO-NQBHXWOUSA-N 0 1 256.309 0.024 20 30 CCEDMN CCCCCCCNC(=O)C1CNCCS1(=O)=O ZINC001340686774 922166482 /nfs/dbraw/zinc/16/64/82/922166482.db2.gz JUTJZDWBGANCEM-LLVKDONJSA-N 0 1 276.402 0.460 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@H](N)Cc1ccccn1)C(=O)OC ZINC001340762033 922201114 /nfs/dbraw/zinc/20/11/14/922201114.db2.gz CEHYUNBDRWSZKQ-CHWSQXEVSA-N 0 1 291.351 0.575 20 30 CCEDMN C#CCNCC(=O)NCc1cc(C(F)(F)F)nn1C ZINC001341049899 922327581 /nfs/dbraw/zinc/32/75/81/922327581.db2.gz BBFSRKIIHNCIPL-UHFFFAOYSA-N 0 1 274.246 0.278 20 30 CCEDMN CCn1ccnc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001341144176 922357717 /nfs/dbraw/zinc/35/77/17/922357717.db2.gz IRWLENZMSAHIPA-UHFFFAOYSA-N 0 1 264.314 0.334 20 30 CCEDMN C#CCNCC(=O)N[C@H](C[C@@H](C)CC)C(=O)OC ZINC001341166987 922361401 /nfs/dbraw/zinc/36/14/01/922361401.db2.gz SXAYIISOYWTXKM-WDEREUQCSA-N 0 1 254.330 0.303 20 30 CCEDMN C#CCNCC(=O)NCc1ccccc1OCCOC ZINC001341375937 922453633 /nfs/dbraw/zinc/45/36/33/922453633.db2.gz IRLVZAZIVRRYCL-UHFFFAOYSA-N 0 1 276.336 0.551 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@@H](NC(=O)c1cnncc1O)C2 ZINC001418996556 922555486 /nfs/dbraw/zinc/55/54/86/922555486.db2.gz CHKHNJWGGOLLOA-LBPRGKRZSA-N 0 1 280.287 0.951 20 30 CCEDMN C#CC1CCN(c2nnc(C3=NO[C@@H](CO)C3)n2C)CC1 ZINC001341578940 922563461 /nfs/dbraw/zinc/56/34/61/922563461.db2.gz DYRNNISGAUSGNM-LLVKDONJSA-N 0 1 289.339 0.150 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)NCC2 ZINC001341747361 922650727 /nfs/dbraw/zinc/65/07/27/922650727.db2.gz GHPJQGRQFQJVOV-YPMHNXCESA-N 0 1 256.309 0.496 20 30 CCEDMN C=CCN(C)c1nnc(C2=NO[C@H](CO)C2)n1CCOC ZINC001341984448 922752657 /nfs/dbraw/zinc/75/26/57/922752657.db2.gz OJSGHFAOSKESRC-JTQLQIEISA-N 0 1 295.343 0.032 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)[C@H](C)CN2CCOCC2)C1 ZINC001342278747 922890578 /nfs/dbraw/zinc/89/05/78/922890578.db2.gz KOYMOIWAFDIEHD-ZIAGYGMSSA-N 0 1 282.384 0.758 20 30 CCEDMN C#CCNCC(=O)NCc1cccc2c1CCOC2 ZINC001342374899 922937109 /nfs/dbraw/zinc/93/71/09/922937109.db2.gz PXODQUSEJKLDKG-UHFFFAOYSA-N 0 1 258.321 0.598 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1C[C@H]1C(=O)OC ZINC001343418804 923310104 /nfs/dbraw/zinc/31/01/04/923310104.db2.gz YDMTVMRDQZONOL-TUAOUCFPSA-N 0 1 265.309 0.436 20 30 CCEDMN N#CC(C(=O)COC(F)(F)F)C(=O)NC1CC1 ZINC001343590326 923384519 /nfs/dbraw/zinc/38/45/19/923384519.db2.gz NXVWTCZVMFTVMA-LURJTMIESA-N 0 1 250.176 0.510 20 30 CCEDMN COc1nn(C)cc1C(=O)C(C#N)c1nccn1C ZINC001343705467 923433355 /nfs/dbraw/zinc/43/33/55/923433355.db2.gz RLMQRKXXHQYLIW-QMMMGPOBSA-N 0 1 259.269 0.652 20 30 CCEDMN O=C([O-])c1cc(C=NNCCC[NH+]2CCOCC2)c[nH]1 ZINC001343883205 923489918 /nfs/dbraw/zinc/48/99/18/923489918.db2.gz XCRGFLNNRDUHKD-UHFFFAOYSA-N 0 1 280.328 0.359 20 30 CCEDMN N#C[C@H]1CC[C@@H](N2CCC(S(N)(=O)=O)CC2)C1 ZINC001343895826 923495749 /nfs/dbraw/zinc/49/57/49/923495749.db2.gz PCUQSLJYNJROTE-VHSXEESVSA-N 0 1 257.359 0.432 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1CC(=O)c2ccccc2O1 ZINC001344450110 923607013 /nfs/dbraw/zinc/60/70/13/923607013.db2.gz UZHGWRBHPNHWRX-LLVKDONJSA-N 0 1 272.304 0.359 20 30 CCEDMN Cc1cnc(CNC[C@H](NC(=O)[C@@H](C)C#N)C2CC2)cn1 ZINC001420715758 923894033 /nfs/dbraw/zinc/89/40/33/923894033.db2.gz GRLHQGBLLHJHQP-HZMBPMFUSA-N 0 1 287.367 0.929 20 30 CCEDMN Cn1nncc1C(=O)[C@H](C#N)c1ncc(Cl)n1C ZINC001345642859 923937152 /nfs/dbraw/zinc/93/71/52/923937152.db2.gz MPSYOLHLSAMTDD-LURJTMIESA-N 0 1 264.676 0.692 20 30 CCEDMN Cn1nncc1C(=O)C(C#N)c1ncc(Cl)n1C ZINC001345642859 923937159 /nfs/dbraw/zinc/93/71/59/923937159.db2.gz MPSYOLHLSAMTDD-LURJTMIESA-N 0 1 264.676 0.692 20 30 CCEDMN Cn1nncc1C(=O)[C@@H](C#N)c1ncc(Cl)n1C ZINC001345642860 923938285 /nfs/dbraw/zinc/93/82/85/923938285.db2.gz MPSYOLHLSAMTDD-ZCFIWIBFSA-N 0 1 264.676 0.692 20 30 CCEDMN Cn1nncc1C(=O)C(C#N)c1ncc(Cl)n1C ZINC001345642860 923938294 /nfs/dbraw/zinc/93/82/94/923938294.db2.gz MPSYOLHLSAMTDD-ZCFIWIBFSA-N 0 1 264.676 0.692 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)C(=O)N(C)CC1CN(C)C1 ZINC001345887485 924000804 /nfs/dbraw/zinc/00/08/04/924000804.db2.gz SQBHLRIXJRANLV-ZDUSSCGKSA-N 0 1 277.368 0.021 20 30 CCEDMN C=CC(C)(C)CNC(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001347147730 924384691 /nfs/dbraw/zinc/38/46/91/924384691.db2.gz DWZPZBCQDCGTLH-VXGBXAGGSA-N 0 1 251.374 0.703 20 30 CCEDMN CC#CCCNC(=O)C(=O)NC[C@@H]1CCCCN1C1CC1 ZINC001347275373 924412007 /nfs/dbraw/zinc/41/20/07/924412007.db2.gz CUXFZMLOZCOYFU-AWEZNQCLSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@]2(F)CCOC2)CC1 ZINC001347400576 924439279 /nfs/dbraw/zinc/43/92/79/924439279.db2.gz KZVUVOQKUOLKAR-CYBMUJFWSA-N 0 1 255.289 0.756 20 30 CCEDMN CC(C)[N@@H+](C)CCCNc1[n-]c(=O)n(C)c(=O)c1C#N ZINC001347906496 924559083 /nfs/dbraw/zinc/55/90/83/924559083.db2.gz OWTTXJTUHQEPIE-UHFFFAOYSA-N 0 1 279.344 0.087 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1CCNC[C@@H]1C(C)C ZINC001348319271 924650407 /nfs/dbraw/zinc/65/04/07/924650407.db2.gz FFFZLLDCRWKYQN-CYBMUJFWSA-N 0 1 279.384 0.363 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC001348706257 924781364 /nfs/dbraw/zinc/78/13/64/924781364.db2.gz WUZGQCRSLXCAAT-LLVKDONJSA-N 0 1 276.340 0.986 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOC2CCOCC2)C1 ZINC001479969431 924984171 /nfs/dbraw/zinc/98/41/71/924984171.db2.gz YNDLFYUSRSTGHA-ZDUSSCGKSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCCN(C)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001349879776 925083792 /nfs/dbraw/zinc/08/37/92/925083792.db2.gz JWHBSABMKZGVGY-ZDUSSCGKSA-N 0 1 268.405 0.840 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCCNC(=O)c1cnn[nH]1 ZINC001350266065 925160284 /nfs/dbraw/zinc/16/02/84/925160284.db2.gz JLXLEJBPPREQHY-JTQLQIEISA-N 0 1 279.344 0.643 20 30 CCEDMN C=CCC[C@@H](NC(=O)C[C@]1(O)CCC[N@H+](C)C1)C(=O)[O-] ZINC001350768906 925275448 /nfs/dbraw/zinc/27/54/48/925275448.db2.gz PARUCQNABADSOE-BXUZGUMPSA-N 0 1 284.356 0.369 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001352583946 925603225 /nfs/dbraw/zinc/60/32/25/925603225.db2.gz GRTNQHMRPZGYQD-DCAQKATOSA-N 0 1 277.328 0.497 20 30 CCEDMN N#CCCCC(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001352793897 925643443 /nfs/dbraw/zinc/64/34/43/925643443.db2.gz OLKMFZHZPIQARZ-JTQLQIEISA-N 0 1 262.317 0.675 20 30 CCEDMN N#CC1CCN(C[C@@H](O)CC2(O)CCOCC2)CC1 ZINC001352877885 925661847 /nfs/dbraw/zinc/66/18/47/925661847.db2.gz JRSOMOSYHGYZKO-ZDUSSCGKSA-N 0 1 268.357 0.514 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001352913337 925671783 /nfs/dbraw/zinc/67/17/83/925671783.db2.gz VSPXSDLPEQEIHG-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001352913337 925671788 /nfs/dbraw/zinc/67/17/88/925671788.db2.gz VSPXSDLPEQEIHG-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(CN2CCCC2=O)c1 ZINC001353114025 925733853 /nfs/dbraw/zinc/73/38/53/925733853.db2.gz FCHBTDHHEROKNY-UHFFFAOYSA-N 0 1 299.374 0.648 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C(=O)OC)c1ccc(C)cc1 ZINC001353313158 925790843 /nfs/dbraw/zinc/79/08/43/925790843.db2.gz NMHMAOXKRZUYFZ-CQSZACIVSA-N 0 1 288.347 0.591 20 30 CCEDMN COC(=O)[C@H]1C[C@@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC001353571474 925874005 /nfs/dbraw/zinc/87/40/05/925874005.db2.gz BAFTXVIYZXWZKZ-PHIMTYICSA-N 0 1 279.340 0.290 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001354054566 925998919 /nfs/dbraw/zinc/99/89/19/925998919.db2.gz CQAPYSNMLMVRHI-IWIIMEHWSA-N 0 1 274.324 0.563 20 30 CCEDMN CNC(=O)[C@H]1CN(CC2CCC(C#N)CC2)CCO1 ZINC001354583111 926133581 /nfs/dbraw/zinc/13/35/81/926133581.db2.gz CZRIXMUTARZNPI-WXRRBKDZSA-N 0 1 265.357 0.763 20 30 CCEDMN C#CCN(C)c1nnc(C2=NC(=O)SC2)n1CCOC ZINC001355271117 926233126 /nfs/dbraw/zinc/23/31/26/926233126.db2.gz YMQQHOJIJZCVNY-UHFFFAOYSA-N 0 1 293.352 0.823 20 30 CCEDMN C[C@]1(C(=O)NCc2nc(C#N)cs2)CNCCO1 ZINC001355390857 926250801 /nfs/dbraw/zinc/25/08/01/926250801.db2.gz TXTIMJZVMCXNCC-LLVKDONJSA-N 0 1 266.326 0.009 20 30 CCEDMN C#CCN(C)c1nnc(-c2ccc3nc(CO)[nH]c3c2)n1C ZINC001355440627 926261166 /nfs/dbraw/zinc/26/11/66/926261166.db2.gz UEYOIVNUUQYINO-UHFFFAOYSA-N 0 1 296.334 0.920 20 30 CCEDMN C=C(C)CN(C)c1nnc(C2=NO[C@@H](CO)C2)n1C ZINC001355582949 926288190 /nfs/dbraw/zinc/28/81/90/926288190.db2.gz JWYRYVIXHWLLNU-SECBINFHSA-N 0 1 265.317 0.313 20 30 CCEDMN C=C(C)CN(CC)c1nnc(C2=NO[C@H](CO)C2)n1C ZINC001355583276 926288515 /nfs/dbraw/zinc/28/85/15/926288515.db2.gz NVPZKDJWAGJUOB-JTQLQIEISA-N 0 1 279.344 0.703 20 30 CCEDMN C#C[C@H]1CC[C@H](n2nnnc2N(C)Cc2nnc[nH]2)CC1 ZINC001355964438 926332124 /nfs/dbraw/zinc/33/21/24/926332124.db2.gz AAXKUJRRRFBSDY-XYPYZODXSA-N 0 1 286.343 0.792 20 30 CCEDMN COc1ccc(CNCCNC(=O)C2N=CC=CC2=O)o1 ZINC001356037247 926338167 /nfs/dbraw/zinc/33/81/67/926338167.db2.gz FLJSOKLEIKKMLW-BUHFOSPRSA-N 0 1 291.307 0.904 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC1CN(C(=O)Cc2ccn[nH]2)C1 ZINC001356789798 926439226 /nfs/dbraw/zinc/43/92/26/926439226.db2.gz GFRNUJYJMZYPFM-OAHLLOKOSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CCCC(=O)NCC=CCNC(=O)c1ncn[nH]1 ZINC001357653965 926578567 /nfs/dbraw/zinc/57/85/67/926578567.db2.gz DWJBNNLCGOYDRV-SNAWJCMRSA-N 0 1 263.301 0.173 20 30 CCEDMN C=CCCC(=O)NCC=CCNC(=O)c1nc[nH]n1 ZINC001357653965 926578573 /nfs/dbraw/zinc/57/85/73/926578573.db2.gz DWJBNNLCGOYDRV-SNAWJCMRSA-N 0 1 263.301 0.173 20 30 CCEDMN CC(=O)N1CCC(C(=O)NC2(C#N)CCN(C)CC2)CC1 ZINC001358276353 926665306 /nfs/dbraw/zinc/66/53/06/926665306.db2.gz ZDDTYMVBXAXMEC-UHFFFAOYSA-N 0 1 292.383 0.349 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001358502444 926713982 /nfs/dbraw/zinc/71/39/82/926713982.db2.gz NSDHOZJKLBTKNV-NSHDSACASA-N 0 1 291.355 0.162 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC001361376664 927007025 /nfs/dbraw/zinc/00/70/25/927007025.db2.gz YTASXIMEAYKAAN-MGPQQGTHSA-N 0 1 277.368 0.717 20 30 CCEDMN COC(=O)c1nc[nH]c1NC(=O)c1ccc(C#N)nc1 ZINC001361440834 927061569 /nfs/dbraw/zinc/06/15/69/927061569.db2.gz MFCRQRYXIKXFHY-UHFFFAOYSA-N 0 1 271.236 0.715 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cnc(C)s1 ZINC001422296295 927204268 /nfs/dbraw/zinc/20/42/68/927204268.db2.gz ZXMSCDTWYYQRAP-VIFPVBQESA-N 0 1 289.788 0.884 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(C)CCN([C@@H]2CCCNC2=O)CC1 ZINC001423504433 927901144 /nfs/dbraw/zinc/90/11/44/927901144.db2.gz KDLXFUAFDDJKAP-VXGBXAGGSA-N 0 1 292.383 0.395 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@]1(C)CCN(CCNC(=O)C2CC2)C1 ZINC001423575599 927928350 /nfs/dbraw/zinc/92/83/50/927928350.db2.gz VZJXRPCVFZXACK-IAQYHMDHSA-N 0 1 292.383 0.253 20 30 CCEDMN N#CCC1(CS(=O)(=O)NC2(c3nnc[nH]3)CCC2)CC1 ZINC001423983183 928050407 /nfs/dbraw/zinc/05/04/07/928050407.db2.gz IOJIWBDYUIMHIO-UHFFFAOYSA-N 0 1 295.368 0.797 20 30 CCEDMN N#Cc1cc(F)ccc1S(=O)(=O)NCc1cnc[nH]1 ZINC001424427096 928183585 /nfs/dbraw/zinc/18/35/85/928183585.db2.gz XEJUUUUERDZJDU-UHFFFAOYSA-N 0 1 280.284 0.899 20 30 CCEDMN C=C(Br)CN[C@H](C)CN(C)C(=O)COC ZINC001425511569 928570256 /nfs/dbraw/zinc/57/02/56/928570256.db2.gz UBUXWQSNDQIOAB-SECBINFHSA-N 0 1 279.178 0.978 20 30 CCEDMN CC[C@@H](F)CN[C@@H](CO)CNC(=O)c1cc(C#N)c[nH]1 ZINC001425739405 928650089 /nfs/dbraw/zinc/65/00/89/928650089.db2.gz QYRJEMBOLIYAHT-GHMZBOCLSA-N 0 1 282.319 0.315 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)Cc1cnc[nH]1 ZINC001426030228 928736500 /nfs/dbraw/zinc/73/65/00/928736500.db2.gz GOAYXZJPOLWHIZ-LLVKDONJSA-N 0 1 286.763 0.114 20 30 CCEDMN COc1ccc(Cn2c(=O)[nH]cc(C#N)c2=O)cc1C ZINC001363184150 928954979 /nfs/dbraw/zinc/95/49/79/928954979.db2.gz IEONCUBLANZKEX-UHFFFAOYSA-N 0 1 271.276 0.774 20 30 CCEDMN COC[C@@H](NC(=O)c1cc(C#N)ccc1C)c1nn[nH]n1 ZINC001363408096 929192250 /nfs/dbraw/zinc/19/22/50/929192250.db2.gz BHNMJEUGXIMNCQ-LLVKDONJSA-N 0 1 286.295 0.497 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1ncn[nH]1 ZINC001428975228 929354760 /nfs/dbraw/zinc/35/47/60/929354760.db2.gz ZLMVCKJSSRZEKF-IVZWLZJFSA-N 0 1 290.327 0.122 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1nc[nH]n1 ZINC001428975228 929354766 /nfs/dbraw/zinc/35/47/66/929354766.db2.gz ZLMVCKJSSRZEKF-IVZWLZJFSA-N 0 1 290.327 0.122 20 30 CCEDMN CN(CCN1CC2(C1)CCOCC2)C(=O)[C@@H]1C[C@@H]1C#N ZINC001363623598 929424639 /nfs/dbraw/zinc/42/46/39/929424639.db2.gz DPGQJTIXVVNCBK-CHWSQXEVSA-N 0 1 277.368 0.717 20 30 CCEDMN C[C@H](NC(=O)[C@H](C)n1cnc(C#N)n1)C1=NN(C)CC1=O ZINC001363664282 929467082 /nfs/dbraw/zinc/46/70/82/929467082.db2.gz JWUQMSUSFSJLAM-YUMQZZPRSA-N 0 1 289.299 0.027 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001430169580 929578869 /nfs/dbraw/zinc/57/88/69/929578869.db2.gz AZMXUMZTWFIJGX-FXQIFTODSA-N 0 1 279.275 0.085 20 30 CCEDMN CCN(CCNC(=O)[C@@H](C)C#N)Cc1n[nH]c(C2CC2)n1 ZINC001372291174 929800164 /nfs/dbraw/zinc/80/01/64/929800164.db2.gz ZPPATGYULUHBFJ-JTQLQIEISA-N 0 1 290.371 0.780 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2C[C@@H](NC(=O)[C@H](C)C#N)C2)c1C ZINC001372596629 929890268 /nfs/dbraw/zinc/89/02/68/929890268.db2.gz INJGOFUUQDFEKM-ONOSFVFSSA-N 0 1 289.339 0.563 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1nccn1CC ZINC001445165374 930058976 /nfs/dbraw/zinc/05/89/76/930058976.db2.gz YRTDKOUBQFVUKF-JTQLQIEISA-N 0 1 286.763 0.336 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CCc1ncccn1 ZINC001445257644 930076806 /nfs/dbraw/zinc/07/68/06/930076806.db2.gz RLQNMZGPPIAYOH-NSHDSACASA-N 0 1 298.774 0.228 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)CNC(C)=O)C1 ZINC001373271430 930124730 /nfs/dbraw/zinc/12/47/30/930124730.db2.gz IBUSPNYUXJCKST-LBPRGKRZSA-N 0 1 287.791 0.655 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@@H](NC(=O)CN2CCCC2)C1 ZINC001445958598 930294259 /nfs/dbraw/zinc/29/42/59/930294259.db2.gz OUXFAKBMCQWFPS-UPJWGTAASA-N 0 1 292.383 0.253 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)C(=O)[C@@H]1CCCN1C ZINC001374166997 930440003 /nfs/dbraw/zinc/44/00/03/930440003.db2.gz JEPWGZRETDJTLA-OLZOCXBDSA-N 0 1 294.399 0.595 20 30 CCEDMN Cc1cnc(CNC[C@H](C)N(C)C(=O)[C@@H](C)C#N)cn1 ZINC001374206223 930455565 /nfs/dbraw/zinc/45/55/65/930455565.db2.gz MJBFRVOTIJSAKY-JQWIXIFHSA-N 0 1 275.356 0.881 20 30 CCEDMN Cc1cnc(CNC[C@H](C)N(C)C(=O)[C@H](C)C#N)cn1 ZINC001374206224 930457637 /nfs/dbraw/zinc/45/76/37/930457637.db2.gz MJBFRVOTIJSAKY-PWSUYJOCSA-N 0 1 275.356 0.881 20 30 CCEDMN CCOCC(=O)NC[C@H](O)CNCc1ccccc1C#N ZINC001374573951 930565555 /nfs/dbraw/zinc/56/55/55/930565555.db2.gz ASRDJZUSNYPKQE-CQSZACIVSA-N 0 1 291.351 0.161 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](O)CNCc1cc(C2CC2)no1 ZINC001374589633 930577801 /nfs/dbraw/zinc/57/78/01/930577801.db2.gz IQOPCADUFVRPAS-KOLCDFICSA-N 0 1 292.339 0.278 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CNC(=O)NC)CCC1 ZINC001447198069 930586441 /nfs/dbraw/zinc/58/64/41/930586441.db2.gz KNGLOJXURXHVOB-UHFFFAOYSA-N 0 1 288.779 0.296 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccc(C)[nH]c1=O ZINC001374649010 930606318 /nfs/dbraw/zinc/60/63/18/930606318.db2.gz GMDYWTVBKQWDNG-SNVBAGLBSA-N 0 1 299.758 0.528 20 30 CCEDMN COC(=O)[C@@H](NC(=O)C(C)C#N)c1ccc(O)cc1 ZINC001447432632 930632276 /nfs/dbraw/zinc/63/22/76/930632276.db2.gz GZNUGMDCJWSYIG-KCJUWKMLSA-N 0 1 262.265 0.882 20 30 CCEDMN CC[C@@H](CNC(=O)CCc1cnc[nH]1)NC(=O)[C@@H](C)C#N ZINC001375119257 930798882 /nfs/dbraw/zinc/79/88/82/930798882.db2.gz FBBZLGKSRHODFH-QWRGUYRKSA-N 0 1 291.355 0.513 20 30 CCEDMN Cc1cccnc1CN[C@H](CO)CNC(=O)[C@@H](C)C#N ZINC001448820750 930995981 /nfs/dbraw/zinc/99/59/81/930995981.db2.gz HBUNKKWRKGQITM-RYUDHWBXSA-N 0 1 276.340 0.116 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CN(CCO)CCC1(F)F ZINC001375995684 931015912 /nfs/dbraw/zinc/01/59/12/931015912.db2.gz XBQDHMAGBZENLC-UWVGGRQHSA-N 0 1 275.299 0.212 20 30 CCEDMN Cc1nc(CC(=O)NCc2ccnc(C#N)c2)n[nH]1 ZINC001448882338 931016908 /nfs/dbraw/zinc/01/69/08/931016908.db2.gz WNOKDFMEHNUSOQ-UHFFFAOYSA-N 0 1 256.269 0.239 20 30 CCEDMN CCCc1cc(C(=O)N(C)CCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001449199178 931098256 /nfs/dbraw/zinc/09/82/56/931098256.db2.gz YLKXQGQRHLRCSS-SNVBAGLBSA-N 0 1 291.355 0.710 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H]1CC[N@@H+](C)[C@@H]1CC ZINC001602691738 971298844 /nfs/dbraw/zinc/29/88/44/971298844.db2.gz WNCBZYAHGYNEPW-WDEREUQCSA-N 0 1 252.314 0.263 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NCc1ccc(C(=O)[O-])o1 ZINC000327990379 971440658 /nfs/dbraw/zinc/44/06/58/971440658.db2.gz LFBCDKFPPIYLLO-UHFFFAOYSA-N 0 1 293.323 0.732 20 30 CCEDMN COC[C@@](C)([NH2+]CC(=O)Nc1sccc1C#N)C(=O)[O-] ZINC000328574209 971509898 /nfs/dbraw/zinc/50/98/98/971509898.db2.gz WPLABKXTZSYFHY-GFCCVEGCSA-N 0 1 297.336 0.638 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H](C)[C@@H]1C(=O)[O-] ZINC001589729898 950488992 /nfs/dbraw/zinc/48/89/92/950488992.db2.gz GNVAHOAOJDDLAD-DGCLKSJQSA-N 0 1 281.356 0.934 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](C)[C@@H]1C(=O)[O-] ZINC001589729898 950488998 /nfs/dbraw/zinc/48/89/98/950488998.db2.gz GNVAHOAOJDDLAD-DGCLKSJQSA-N 0 1 281.356 0.934 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](C(=O)[O-])[C@@H](C)C1 ZINC000306035796 950590997 /nfs/dbraw/zinc/59/09/97/950590997.db2.gz MFNPMKAMPXCGRL-GARJFASQSA-N 0 1 254.330 0.720 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCC[C@@H](CC(=O)[O-])C1 ZINC000328273369 951125327 /nfs/dbraw/zinc/12/53/27/951125327.db2.gz OAJZEBWFJFJNJA-ZDUSSCGKSA-N 0 1 295.383 0.838 20 30 CCEDMN C[N@H+](CC(=O)[O-])C[C@@H](O)CC1(C#N)CCOCC1 ZINC001593721484 954256153 /nfs/dbraw/zinc/25/61/53/954256153.db2.gz VQJZADOVPFQUFP-JTQLQIEISA-N 0 1 256.302 0.074 20 30 CCEDMN N#CCCOCC[N@H+](CC(=O)[O-])C1CCOCC1 ZINC001594610465 956203980 /nfs/dbraw/zinc/20/39/80/956203980.db2.gz UPMDXBAVXZWDNQ-UHFFFAOYSA-N 0 1 256.302 0.482 20 30 CCEDMN C=CC[NH+](CC=C)CC(=O)N1CCC(C(=O)[O-])CC1 ZINC000054262991 957527299 /nfs/dbraw/zinc/52/72/99/957527299.db2.gz KCTZZGSZYLQLFB-UHFFFAOYSA-N 0 1 266.341 0.984 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@@H]1CCC[N@H+]2CCCC[C@@H]12 ZINC001588410720 958142501 /nfs/dbraw/zinc/14/25/01/958142501.db2.gz ZFUYPNAOGLJEIR-OLZOCXBDSA-N 0 1 293.367 0.733 20 30 CCEDMN C[C@H]1[C@H](C(=O)[O-])CC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000092940203 958207691 /nfs/dbraw/zinc/20/76/91/958207691.db2.gz WQIWQOJDZHUATI-NWDGAFQWSA-N 0 1 292.339 0.437 20 30 CCEDMN C[C@@H]1[C@@H](C(=O)[O-])CC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000092940205 958208072 /nfs/dbraw/zinc/20/80/72/958208072.db2.gz WQIWQOJDZHUATI-NEPJUHHUSA-N 0 1 292.339 0.437 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001588463427 958484553 /nfs/dbraw/zinc/48/45/53/958484553.db2.gz RBTDXHUZUHAAGV-GFCCVEGCSA-N 0 1 283.372 0.112 20 30 CCEDMN C=CCN(C)CC[N@@H+](CC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001588463427 958484569 /nfs/dbraw/zinc/48/45/69/958484569.db2.gz RBTDXHUZUHAAGV-GFCCVEGCSA-N 0 1 283.372 0.112 20 30 CCEDMN C=CCN(C)CC[N@H+](CC)[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001588463427 958484574 /nfs/dbraw/zinc/48/45/74/958484574.db2.gz RBTDXHUZUHAAGV-GFCCVEGCSA-N 0 1 283.372 0.112 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC000124546753 958534077 /nfs/dbraw/zinc/53/40/77/958534077.db2.gz BKLCHVKUSFAZHN-LLVKDONJSA-N 0 1 286.331 0.881 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCc2c(cccc2C(=O)[O-])C1 ZINC000124546753 958534081 /nfs/dbraw/zinc/53/40/81/958534081.db2.gz BKLCHVKUSFAZHN-LLVKDONJSA-N 0 1 286.331 0.881 20 30 CCEDMN C[C@@H]1CC[C@H](C(=O)[O-])[N@H+]1CCC(=O)N(C)CCC#N ZINC001574274040 960616872 /nfs/dbraw/zinc/61/68/72/960616872.db2.gz AZWWOWJKTNENAQ-GHMZBOCLSA-N 0 1 267.329 0.686 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1C[C@H](C)[N@H+](C)C[C@H]1C ZINC001573332140 962826454 /nfs/dbraw/zinc/82/64/54/962826454.db2.gz JGTPWVGVZMUNJU-WDEREUQCSA-N 0 1 254.330 0.958 20 30 CCEDMN C=C(Cl)C[N@H+](CCC)CCNC(=O)CNC(=O)[O-] ZINC001573339682 962892835 /nfs/dbraw/zinc/89/28/35/962892835.db2.gz VSBYSTUSLDPDLN-UHFFFAOYSA-N 0 1 277.752 0.835 20 30 CCEDMN C=C(Cl)C[N@@H+](CCC)CCNC(=O)CNC(=O)[O-] ZINC001573339682 962892843 /nfs/dbraw/zinc/89/28/43/962892843.db2.gz VSBYSTUSLDPDLN-UHFFFAOYSA-N 0 1 277.752 0.835 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@H]2CN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])C[C@H]2C1 ZINC001573344251 962914358 /nfs/dbraw/zinc/91/43/58/962914358.db2.gz QDBLNIRFUZUWHZ-USZNOCQGSA-N 0 1 298.770 0.850 20 30 CCEDMN C#CCCCCCC[N@H+]1CCNC(=O)[C@@H]1CC(=O)[O-] ZINC001588441590 964051826 /nfs/dbraw/zinc/05/18/26/964051826.db2.gz IZTRDROMUSTXSM-LBPRGKRZSA-N 0 1 266.341 0.845 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000087612287 967583514 /nfs/dbraw/zinc/58/35/14/967583514.db2.gz FHGQJFDKVVSRON-LBPRGKRZSA-N 0 1 273.292 0.310 20 30 CCEDMN N#CCNC(=O)C[N@H+]1Cc2ccccc2[C@@H](C(=O)[O-])C1 ZINC000087612287 967583523 /nfs/dbraw/zinc/58/35/23/967583523.db2.gz FHGQJFDKVVSRON-LBPRGKRZSA-N 0 1 273.292 0.310 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC001603498743 973462716 /nfs/dbraw/zinc/46/27/16/973462716.db2.gz XNJBLIPKFMEVJN-GWCFXTLKSA-N 0 1 269.345 0.836 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@@](C)(C#N)C(C)C ZINC001603498743 973462725 /nfs/dbraw/zinc/46/27/25/973462725.db2.gz XNJBLIPKFMEVJN-GWCFXTLKSA-N 0 1 269.345 0.836 20 30 CCEDMN C#CC[C@@H]1CC[N@H+](CCC(=O)N(C)CC(=O)[O-])C1 ZINC001588434637 983471254 /nfs/dbraw/zinc/47/12/54/983471254.db2.gz PGQXSXHABKAXIE-LLVKDONJSA-N 0 1 252.314 0.265 20 30 CCEDMN C#CCC[N@H+]1C[C@H]2CN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])C[C@H]2C1 ZINC001588453758 983477406 /nfs/dbraw/zinc/47/74/06/983477406.db2.gz RMYJNQVXHYKJNH-YVECIDJPSA-N 0 1 276.336 0.121 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588535501 983589313 /nfs/dbraw/zinc/58/93/13/983589313.db2.gz OYJGEYOPQHYADD-ZYHUDNBSSA-N 0 1 280.328 0.550 20 30 CCEDMN C=C(C)C[N@H+]1CCC2(CN(C(=O)[C@H]3C[C@@H]3C(=O)[O-])C2)C1 ZINC001588585434 983663416 /nfs/dbraw/zinc/66/34/16/983663416.db2.gz RGQKGSOWAIETPF-RYUDHWBXSA-N 0 1 278.352 0.818 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1C[C@H](C)[N@H+](CCO)C[C@@H]1C ZINC001588612299 983702598 /nfs/dbraw/zinc/70/25/98/983702598.db2.gz LSXSCNOMZBZRHP-RYUDHWBXSA-N 0 1 284.356 0.321 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CCC[N@H+](CCOC)CC1 ZINC001588614918 983709140 /nfs/dbraw/zinc/70/91/40/983709140.db2.gz DWMPZFLNBZAQBL-UHFFFAOYSA-N 0 1 284.356 0.588 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[N@H+](CC)C[C@@H]1C ZINC001588615014 983709285 /nfs/dbraw/zinc/70/92/85/983709285.db2.gz HJZDNLATFIZUJK-NSHDSACASA-N 0 1 254.330 0.960 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CC[C@](CO)(C(F)(F)F)C1 ZINC001588662460 983789401 /nfs/dbraw/zinc/78/94/01/983789401.db2.gz AATZGZOGJKWALU-CBAPKCEASA-N 0 1 253.220 0.872 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[NH+]1CCC(N2CCN(C)CC2)CC1 ZINC001588663070 983793037 /nfs/dbraw/zinc/79/30/37/983793037.db2.gz JGNBOWBKNSZXGF-ZDUSSCGKSA-N 0 1 267.373 0.337 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1C[C@H](C)O[C@]2(CCO[C@@H]2C)C1 ZINC001588663960 983797790 /nfs/dbraw/zinc/79/77/90/983797790.db2.gz MIHVHFUBTNGGOI-SBFPOUOMSA-N 0 1 255.314 0.894 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC001588664342 983799321 /nfs/dbraw/zinc/79/93/21/983799321.db2.gz UMXYXRMUDABPHX-GXSJLCMTSA-N 0 1 294.311 0.341 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](CN(C)S(C)(=O)=O)C1 ZINC001588664654 983802422 /nfs/dbraw/zinc/80/24/22/983802422.db2.gz YOCJGOOFWBXOOD-WDEREUQCSA-N 0 1 290.385 0.229 20 30 CCEDMN C=C[C@@H]([NH2+][C@@H]1CCN(c2cc(C)nn2C)C1=O)C(=O)[O-] ZINC001588696549 983902943 /nfs/dbraw/zinc/90/29/43/983902943.db2.gz OWNNVHAAQPRLNS-NXEZZACHSA-N 0 1 278.312 0.063 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](n2ccnn2)C1 ZINC001588728363 983982063 /nfs/dbraw/zinc/98/20/63/983982063.db2.gz CVIVTZDFWHZPKT-GHMZBOCLSA-N 0 1 250.302 0.944 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@H](N2CCN(C)CC2)[C@@H](C)C1 ZINC001588730207 983997295 /nfs/dbraw/zinc/99/72/95/983997295.db2.gz XIVDKNQMPPNGQE-SOUVJXGZSA-N 0 1 295.427 0.974 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1CC[C@H](N2CCN(C)CC2)[C@@H](C)C1 ZINC001588730207 983997301 /nfs/dbraw/zinc/99/73/01/983997301.db2.gz XIVDKNQMPPNGQE-SOUVJXGZSA-N 0 1 295.427 0.974 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+](CC(=O)[O-])[C@@H](C)CC)C1=O ZINC001588838474 984338056 /nfs/dbraw/zinc/33/80/56/984338056.db2.gz GAQMBXMORHDDBZ-WDEREUQCSA-N 0 1 254.330 0.958 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1[C@H](C)C[C@@H](C(=O)[O-])C[C@@H]1C ZINC001588838723 984339871 /nfs/dbraw/zinc/33/98/71/984339871.db2.gz IEGBVGPTVFFPJB-JGPRNRPPSA-N 0 1 254.330 0.862 20 30 CCEDMN CC#CCCCC(=O)O[C@H]1C[C@@H](C(=O)[O-])[N@H+](C)C1 ZINC001588926880 984594511 /nfs/dbraw/zinc/59/45/11/984594511.db2.gz BDQRVUYRRBZDMG-QWRGUYRKSA-N 0 1 253.298 0.881 20 30 CCEDMN CC#CCCCC(=O)O[C@H]1C[C@@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588926880 984594514 /nfs/dbraw/zinc/59/45/14/984594514.db2.gz BDQRVUYRRBZDMG-QWRGUYRKSA-N 0 1 253.298 0.881 20 30 CCEDMN CC#CCC[N@H+]1C[C@@H](C(=O)OC)CC[C@@H]1C(=O)[O-] ZINC001588926877 984594568 /nfs/dbraw/zinc/59/45/68/984594568.db2.gz BCYNLQQHFSCCIE-WDEREUQCSA-N 0 1 253.298 0.738 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1c1ccnc(C#N)c1 ZINC001594529478 986112188 /nfs/dbraw/zinc/11/21/88/986112188.db2.gz YQCZGFDOVZYVEY-NSHDSACASA-N 0 1 274.324 0.938 20 30 CCEDMN C[C@H](C#N)Oc1ccc(C[N@H+]2CC[C@@](O)(C(=O)[O-])C2)cc1 ZINC001589334204 986199009 /nfs/dbraw/zinc/19/90/09/986199009.db2.gz BRJDSJJWKRTKGO-ABAIWWIYSA-N 0 1 290.319 0.999 20 30 CCEDMN C[C@@H](C(=O)NCC(=O)[O-])[N@@H+]1CCC[C@H](CC#N)C1 ZINC001589396655 986524486 /nfs/dbraw/zinc/52/44/86/986524486.db2.gz IYGRSLFYEFUVIH-VHSXEESVSA-N 0 1 253.302 0.201 20 30 CCEDMN C[C@@H](C(=O)NCC(=O)[O-])[N@H+]1CCC[C@H](CC#N)C1 ZINC001589396655 986524493 /nfs/dbraw/zinc/52/44/93/986524493.db2.gz IYGRSLFYEFUVIH-VHSXEESVSA-N 0 1 253.302 0.201 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001590960607 991053221 /nfs/dbraw/zinc/05/32/21/991053221.db2.gz FWMXMYBQPYVUHY-IUCKATPISA-N 0 1 279.340 0.303 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2cc(C#N)cs2)C[C@H](C(=O)[O-])C1 ZINC001598610222 993755059 /nfs/dbraw/zinc/75/50/59/993755059.db2.gz BRHGWSDMWUDQFD-SNVBAGLBSA-N 0 1 293.348 0.708 20 30 CCEDMN C[N@H+]1CCN(Cc2ccc(C#N)cn2)C[C@@H](C(=O)[O-])C1 ZINC001598619611 993977238 /nfs/dbraw/zinc/97/72/38/993977238.db2.gz OIHMUMNQIQUSCX-LBPRGKRZSA-N 0 1 274.324 0.401 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](Cc2ccc(C#N)o2)C[C@H]1C(=O)[O-] ZINC001598827853 996403146 /nfs/dbraw/zinc/40/31/46/996403146.db2.gz MZYJNAXWTSIXRW-MNOVXSKESA-N 0 1 278.264 0.457 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001599138635 998088794 /nfs/dbraw/zinc/08/87/94/998088794.db2.gz NLHFFTYVZZDTBA-LLVKDONJSA-N 0 1 278.312 0.263 20 30 CCEDMN C[C@@H]1CN(C(=O)C(C)(C)C#N)CC[N@H+]1CCC(=O)[O-] ZINC001594457603 999662188 /nfs/dbraw/zinc/66/21/88/999662188.db2.gz AQNHQYXYEISBQS-SNVBAGLBSA-N 0 1 267.329 0.544 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)CC1(C)COC1 ZINC000599571566 361748167 /nfs/dbraw/zinc/74/81/67/361748167.db2.gz LSLDTUIBNRHZKY-LBPRGKRZSA-N 0 1 267.373 0.963 20 30 CCEDMN CC(C)(CCC#N)CNC(=O)NC[C@H]1COCCN1 ZINC000599925376 361831331 /nfs/dbraw/zinc/83/13/31/361831331.db2.gz YOAVZOFSZDQWHW-NSHDSACASA-N 0 1 268.361 0.604 20 30 CCEDMN CC[C@@H](CC#N)NS(=O)(=O)CCN(CC)CC ZINC000352192053 529448087 /nfs/dbraw/zinc/44/80/87/529448087.db2.gz UKHXODUTIWXGLG-NSHDSACASA-N 0 1 261.391 0.940 20 30 CCEDMN N#Cc1cccc(F)c1CC(=O)NCCN1CC[C@@H](O)C1 ZINC000600535210 362005152 /nfs/dbraw/zinc/00/51/52/362005152.db2.gz QMDRYCGEFYUJSS-GFCCVEGCSA-N 0 1 291.326 0.423 20 30 CCEDMN CN(CCOc1ccc(C#N)cc1)[C@H]1COC[C@@H]1O ZINC000352797463 529924814 /nfs/dbraw/zinc/92/48/14/529924814.db2.gz IKLUZYQRKMQLHL-KBPBESRZSA-N 0 1 262.309 0.629 20 30 CCEDMN CN(CCOc1ccccc1C#N)[C@H]1COC[C@@H]1O ZINC000352796765 529927375 /nfs/dbraw/zinc/92/73/75/529927375.db2.gz HPWCDKNIXJLDRJ-STQMWFEESA-N 0 1 262.309 0.629 20 30 CCEDMN N#CC1(C(=O)N2CC[C@H](N3CC[C@H](O)C3)C2)CC2(CC2)C1 ZINC000601588836 362320028 /nfs/dbraw/zinc/32/00/28/362320028.db2.gz QNVZFNVELZNULB-STQMWFEESA-N 0 1 289.379 0.738 20 30 CCEDMN C=CCC[C@H](NC(=O)CCc1c[nH]nn1)C(=O)OCC ZINC000601623670 362329782 /nfs/dbraw/zinc/32/97/82/362329782.db2.gz ZPWSNGXRNGMUOQ-NSHDSACASA-N 0 1 280.328 0.751 20 30 CCEDMN C=CCC[C@H](NC(=O)CCc1cnn[nH]1)C(=O)OCC ZINC000601623670 362329788 /nfs/dbraw/zinc/32/97/88/362329788.db2.gz ZPWSNGXRNGMUOQ-NSHDSACASA-N 0 1 280.328 0.751 20 30 CCEDMN COC(=O)[C@]1(C)C[C@@H](OC)CN1C(=O)C(C)C#N ZINC000601738425 362374293 /nfs/dbraw/zinc/37/42/93/362374293.db2.gz YYOUVVAGIIYMJC-LNLATYFQSA-N 0 1 254.286 0.325 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CN1CCN(CC)CC1)C(=O)OC ZINC000601783409 362391046 /nfs/dbraw/zinc/39/10/46/362391046.db2.gz WIBAQHWQDMPINX-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)[nH]1 ZINC000127249142 187291765 /nfs/dbraw/zinc/29/17/65/187291765.db2.gz JKUGBEULMFPYHC-NSHDSACASA-N 0 1 275.356 0.252 20 30 CCEDMN C[C@@H](CC#N)NC(=O)C(C)(C)CN1CCOCC1 ZINC000602085395 362478070 /nfs/dbraw/zinc/47/80/70/362478070.db2.gz LTQUBLZURZVASP-NSHDSACASA-N 0 1 253.346 0.763 20 30 CCEDMN Cc1ncc(S(=O)(=O)NC[C@H](C)N(C)C)cc1C#N ZINC000312075373 232016905 /nfs/dbraw/zinc/01/69/05/232016905.db2.gz PVUXGRAFLNOBLA-VIFPVBQESA-N 0 1 282.369 0.490 20 30 CCEDMN N#CCCN1CCN(C(=O)c2ccc3[nH]nnc3c2)CC1 ZINC000602210652 362560393 /nfs/dbraw/zinc/56/03/93/362560393.db2.gz SMBULGRHYYOMAS-UHFFFAOYSA-N 0 1 284.323 0.629 20 30 CCEDMN CC(C)(N)c1nocc1C(=O)N1CCN(CCC#N)CC1 ZINC000602605623 362710428 /nfs/dbraw/zinc/71/04/28/362710428.db2.gz YAAQBRFGKIVZOC-UHFFFAOYSA-N 0 1 291.355 0.540 20 30 CCEDMN CS(=O)(=O)N[C@H]1CCCN(CCCCC#N)C1 ZINC000120082986 349968209 /nfs/dbraw/zinc/96/82/09/349968209.db2.gz MSUVVNUUPRUHPV-NSHDSACASA-N 0 1 259.375 0.694 20 30 CCEDMN CN1CCN(Cc2cnc3ccc(C#N)cn23)[C@@H](CO)C1 ZINC000602717411 362768510 /nfs/dbraw/zinc/76/85/10/362768510.db2.gz YSLQYIXQYQGIOE-CQSZACIVSA-N 0 1 285.351 0.314 20 30 CCEDMN CC1(C)CN(c2cc(C#N)ncn2)CCN1CCO ZINC000617970137 365862182 /nfs/dbraw/zinc/86/21/82/365862182.db2.gz LXQJVJKOZIHDBD-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CC2(O)CCC2)C[C@H](C)N1CC#N ZINC000602849272 362835743 /nfs/dbraw/zinc/83/57/43/362835743.db2.gz KRVPEHBPJLVRAK-HZSPNIEDSA-N 0 1 281.400 0.571 20 30 CCEDMN C[C@H]1CN(S(=O)(=O)c2ccc(C#N)cc2)[C@@H](C)CN1 ZINC000086468962 136794998 /nfs/dbraw/zinc/79/49/98/136794998.db2.gz LEIDWIYQAXYTJE-QWRGUYRKSA-N 0 1 279.365 0.929 20 30 CCEDMN COc1cc(CNCC(=O)N2CCOCC2)ccc1C#N ZINC000313555922 137078278 /nfs/dbraw/zinc/07/82/78/137078278.db2.gz ZSFSLWWFNMFWDW-UHFFFAOYSA-N 0 1 289.335 0.515 20 30 CCEDMN Cc1nc(=NC(=O)c2cccc(F)c2C#N)[nH]n1C ZINC000344532399 137199342 /nfs/dbraw/zinc/19/93/42/137199342.db2.gz NMTGAPCSKSOOAI-UHFFFAOYSA-N 0 1 259.244 0.809 20 30 CCEDMN CN1CCN(C[C@@H](O)COc2ccc(C#N)cc2)CC1 ZINC000034651513 348151233 /nfs/dbraw/zinc/15/12/33/348151233.db2.gz DBWPQYUYYDOURR-CQSZACIVSA-N 0 1 275.352 0.545 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602882295 362861596 /nfs/dbraw/zinc/86/15/96/362861596.db2.gz UNMQAZWFISZDKP-ZJUUUORDSA-N 0 1 261.285 0.179 20 30 CCEDMN CN(C(=O)N=c1[nH]n(C)cc1C#N)[C@H]1CC[C@H](O)CC1 ZINC000602884154 362862409 /nfs/dbraw/zinc/86/24/09/362862409.db2.gz VISUQQUWEFMGNT-XYPYZODXSA-N 0 1 277.328 0.481 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@H](CC#N)C2)[nH]1 ZINC000602884738 362863194 /nfs/dbraw/zinc/86/31/94/362863194.db2.gz CLDRQPDUDUEXAI-SNVBAGLBSA-N 0 1 272.312 0.871 20 30 CCEDMN CC[C@]1(O)CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602885016 362863399 /nfs/dbraw/zinc/86/33/99/362863399.db2.gz YBRVTGZAHZSWOK-ZDUSSCGKSA-N 0 1 277.328 0.482 20 30 CCEDMN C[C@H]1CCC[C@H](CNC(=O)N=c2[nH]n(C)cc2C#N)O1 ZINC000602885942 362865060 /nfs/dbraw/zinc/86/50/60/362865060.db2.gz GSFWEVMOONVJRG-GXSJLCMTSA-N 0 1 277.328 0.793 20 30 CCEDMN C[C@H]1SCC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602889676 362867320 /nfs/dbraw/zinc/86/73/20/362867320.db2.gz ZDNUXNYHPSWCEJ-VXNVDRBHSA-N 0 1 265.342 0.729 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC2(CF)CCOCC2)[nH]1 ZINC000602879432 362859200 /nfs/dbraw/zinc/85/92/00/362859200.db2.gz DJWHCIGKCCKINB-UHFFFAOYSA-N 0 1 281.291 0.354 20 30 CCEDMN C[C@@H]1[C@H](O)CCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000602878754 362859364 /nfs/dbraw/zinc/85/93/64/362859364.db2.gz AAQZJMXQHBUMMH-PSASIEDQSA-N 0 1 263.301 0.091 20 30 CCEDMN COc1cc(C#N)ccc1CN1CCN(C)C[C@H]1CO ZINC000602903162 362876284 /nfs/dbraw/zinc/87/62/84/362876284.db2.gz CAKRNACNFANUHV-AWEZNQCLSA-N 0 1 275.352 0.675 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(CNC(C)=O)CC1 ZINC000047772745 348387653 /nfs/dbraw/zinc/38/76/53/348387653.db2.gz OGGUBYUCLAZEHN-LLVKDONJSA-N 0 1 267.373 0.525 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](OC)C[C@]1(C)CO ZINC000602963997 362911179 /nfs/dbraw/zinc/91/11/79/362911179.db2.gz LPQYBXXJXXDMQN-VNHYZAJKSA-N 0 1 297.399 0.466 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC[C@@](O)(CC)C1 ZINC000602982073 362920294 /nfs/dbraw/zinc/92/02/94/362920294.db2.gz MZJNCKAFUCVCKK-FZMZJTMJSA-N 0 1 283.372 0.624 20 30 CCEDMN COC(=O)CN(C)C(=O)CCN(C)[C@H]1CCC[C@@H]1C#N ZINC000602978535 362920880 /nfs/dbraw/zinc/92/08/80/362920880.db2.gz SDWLZICNVCZBDY-NEPJUHHUSA-N 0 1 281.356 0.632 20 30 CCEDMN N#Cc1ccccc1S(=O)(=O)NCCN1CCCC1 ZINC000048176476 348401786 /nfs/dbraw/zinc/40/17/86/348401786.db2.gz JOUJNSMUQUPYEW-UHFFFAOYSA-N 0 1 279.365 0.932 20 30 CCEDMN N#CCc1ccc(CN2CCN(CCO)CC2)cc1 ZINC000057661473 348613188 /nfs/dbraw/zinc/61/31/88/348613188.db2.gz ZSABDBYEBIUDFO-UHFFFAOYSA-N 0 1 259.353 0.863 20 30 CCEDMN C[C@](C#N)(NC(=O)CN(CCO)CC1CC1)C1CC1 ZINC000064516655 348701507 /nfs/dbraw/zinc/70/15/07/348701507.db2.gz RCFVTUZFHAOPOA-CQSZACIVSA-N 0 1 265.357 0.499 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(CO)CC1 ZINC000076992407 349096684 /nfs/dbraw/zinc/09/66/84/349096684.db2.gz PLHKGTSFYOIESG-UHFFFAOYSA-N 0 1 253.346 0.453 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)COc1ccc(C#N)cc1 ZINC000078007248 349127518 /nfs/dbraw/zinc/12/75/18/349127518.db2.gz CUAGGMPIZLPOCU-UHFFFAOYSA-N 0 1 271.280 0.714 20 30 CCEDMN Cc1ccc(C#N)cc1S(=O)(=O)NCCc1nc[nH]n1 ZINC000080623858 349222512 /nfs/dbraw/zinc/22/25/12/349222512.db2.gz CNHDYBXDGPJMBV-UHFFFAOYSA-N 0 1 291.336 0.506 20 30 CCEDMN CNC(=O)[C@@H](C)CN(C)CC(=O)Nc1cccc(C#N)c1 ZINC000081527478 349272429 /nfs/dbraw/zinc/27/24/29/349272429.db2.gz GTQBPOSEYKCRNW-NSHDSACASA-N 0 1 288.351 0.811 20 30 CCEDMN C[C@H](CNC(=O)c1cc(C#N)cs1)N1CCN(C)CC1 ZINC000084186895 349316989 /nfs/dbraw/zinc/31/69/89/349316989.db2.gz KGOTWNCBOXFKMD-LLVKDONJSA-N 0 1 292.408 0.985 20 30 CCEDMN COCCN(CCC#N)S(=O)(=O)c1ccccc1O ZINC000127930150 350181723 /nfs/dbraw/zinc/18/17/23/350181723.db2.gz QRNARVXWIQLQJI-UHFFFAOYSA-N 0 1 284.337 0.943 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)C[C@H](O)C1CC1 ZINC000130953618 350255252 /nfs/dbraw/zinc/25/52/52/350255252.db2.gz QYEZQBSUKWICLJ-YPMHNXCESA-N 0 1 267.373 0.697 20 30 CCEDMN CC(C)(O)CN1CCN(c2cnccc2C#N)CC1 ZINC000135609840 350404135 /nfs/dbraw/zinc/40/41/35/350404135.db2.gz JVAZVIULACZPQW-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN Cc1nnc(NCCN2CCN(C)CC2)c(C#N)c1C ZINC000106199161 186103531 /nfs/dbraw/zinc/10/35/31/186103531.db2.gz WZHIFIPBUBTBJX-UHFFFAOYSA-N 0 1 274.372 0.624 20 30 CCEDMN C#CCOc1ccc(CN2CC[C@H](NC(N)=O)C2)cc1 ZINC000271390878 191112637 /nfs/dbraw/zinc/11/26/37/191112637.db2.gz TWYGOMDBRKWJFL-ZDUSSCGKSA-N 0 1 273.336 0.941 20 30 CCEDMN C#CCN(CC(=O)NC(=O)NCC=C)C1CCCC1 ZINC000155726634 350621953 /nfs/dbraw/zinc/62/19/53/350621953.db2.gz NYCGDNAMFTWMKO-UHFFFAOYSA-N 0 1 263.341 0.876 20 30 CCEDMN N#Cc1ccc(NC(=O)C(=O)NCCN2CCCC2)cc1 ZINC000172304023 350974205 /nfs/dbraw/zinc/97/42/05/350974205.db2.gz ZWUVDLMFVBTEPK-UHFFFAOYSA-N 0 1 286.335 0.709 20 30 CCEDMN CC(C)C[N@H+]1CCO[C@@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC000175125676 351118947 /nfs/dbraw/zinc/11/89/47/351118947.db2.gz OWNOIZYGIGJFTG-ZDUSSCGKSA-N 0 1 290.367 0.973 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC000175125676 351118950 /nfs/dbraw/zinc/11/89/50/351118950.db2.gz OWNOIZYGIGJFTG-ZDUSSCGKSA-N 0 1 290.367 0.973 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)n1cc(CNC2CC2)nn1 ZINC000603238905 363018428 /nfs/dbraw/zinc/01/84/28/363018428.db2.gz AJMYPIYPIICWEJ-SNVBAGLBSA-N 0 1 288.355 0.654 20 30 CCEDMN N#Cc1cc2c(nc1NC[C@@H]1COCCN1)CCC2 ZINC000236235829 352193535 /nfs/dbraw/zinc/19/35/35/352193535.db2.gz JUXQFFNRQHJMRY-GFCCVEGCSA-N 0 1 258.325 0.842 20 30 CCEDMN Cn1cc(CNC[C@H]2CCCS2(=O)=O)cc1C#N ZINC000232315587 352143701 /nfs/dbraw/zinc/14/37/01/352143701.db2.gz ZKQHBZPHAJGUEE-GFCCVEGCSA-N 0 1 267.354 0.564 20 30 CCEDMN CN1CCC[C@H](NC(=O)C(=O)Nc2ccc(C#N)cc2)C1 ZINC000604227548 363182913 /nfs/dbraw/zinc/18/29/13/363182913.db2.gz PEQKPJABHXZLKW-ZDUSSCGKSA-N 0 1 286.335 0.707 20 30 CCEDMN CC[C@H]1CN(C(=O)c2cc(C#N)c[nH]2)CCN1C[C@H](C)O ZINC000246626052 352376857 /nfs/dbraw/zinc/37/68/57/352376857.db2.gz ARNBCARJGUDXLR-AAEUAGOBSA-N 0 1 290.367 0.804 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(c3ccc(C#N)cn3)CC2)[nH]1 ZINC000264862930 352603552 /nfs/dbraw/zinc/60/35/52/352603552.db2.gz PRCPEAMAKZZXPK-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN C=CC[C@@H](C)NC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000265328759 352631582 /nfs/dbraw/zinc/63/15/82/352631582.db2.gz VGABTFOAWWNCSS-VXGBXAGGSA-N 0 1 282.388 0.590 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(O)(CC)CC1 ZINC000266583702 352712183 /nfs/dbraw/zinc/71/21/83/352712183.db2.gz JEFIGMBUIVZHPB-LLVKDONJSA-N 0 1 283.372 0.624 20 30 CCEDMN CCOC[C@H](O)CN1CCN(c2ccc(C#N)nc2)CC1 ZINC000267343547 352761083 /nfs/dbraw/zinc/76/10/83/352761083.db2.gz DYILSYBMAKEIHP-OAHLLOKOSA-N 0 1 290.367 0.473 20 30 CCEDMN C#CCN(CC)C(=O)c1n[nH]c(CC)c1[N+](=O)[O-] ZINC000270831466 352992207 /nfs/dbraw/zinc/99/22/07/352992207.db2.gz HPPWEKYBBKTWCF-UHFFFAOYSA-N 0 1 250.258 0.976 20 30 CCEDMN C=CCCCCNC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000274611936 353145553 /nfs/dbraw/zinc/14/55/53/353145553.db2.gz UQNASPXGGBGTLU-CYBMUJFWSA-N 0 1 296.415 0.982 20 30 CCEDMN C[C@H](NCc1cc(=O)n(C)c(=O)n1C)c1ccc(C#N)cc1 ZINC000271671217 191268835 /nfs/dbraw/zinc/26/88/35/191268835.db2.gz NMTHJKQGLVGESU-NSHDSACASA-N 0 1 298.346 0.806 20 30 CCEDMN C[C@H](C(=O)Nc1ccccc1C#N)N(C)CCC(N)=O ZINC000286486753 353589783 /nfs/dbraw/zinc/58/97/83/353589783.db2.gz OSGGGWGXYMHYPT-SNVBAGLBSA-N 0 1 274.324 0.692 20 30 CCEDMN CN(C)C(=O)CCN1CCN(c2ccnc(C#N)c2)CC1 ZINC000287734616 353664564 /nfs/dbraw/zinc/66/45/64/353664564.db2.gz FZXJRDMRSBKHRZ-UHFFFAOYSA-N 0 1 287.367 0.554 20 30 CCEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCC[C@H]1CO ZINC000329738959 354259789 /nfs/dbraw/zinc/25/97/89/354259789.db2.gz NBQRRAMMTVFENR-ZJUUUORDSA-N 0 1 264.329 0.638 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2nc[nH]c2C1)N1CCC[C@H]1CO ZINC000329738959 354259793 /nfs/dbraw/zinc/25/97/93/354259793.db2.gz NBQRRAMMTVFENR-ZJUUUORDSA-N 0 1 264.329 0.638 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2[nH]cnc2C1)N1CCC[C@H]1CO ZINC000329738959 354259797 /nfs/dbraw/zinc/25/97/97/354259797.db2.gz NBQRRAMMTVFENR-ZJUUUORDSA-N 0 1 264.329 0.638 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@H](C(C)(C)C)C2)[nH]1 ZINC000619203987 366216304 /nfs/dbraw/zinc/21/63/04/366216304.db2.gz NILHZLYRBFNTDS-NSHDSACASA-N 0 1 291.355 0.992 20 30 CCEDMN C[C@@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)CCCC#N ZINC000331741292 354617655 /nfs/dbraw/zinc/61/76/55/354617655.db2.gz ATBWVJJUTNVXOD-PWSUYJOCSA-N 0 1 271.386 0.692 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2ncccc2O)[nH]1 ZINC000619320188 366235514 /nfs/dbraw/zinc/23/55/14/366235514.db2.gz RAJYPGVSSCHOFY-UHFFFAOYSA-N 0 1 272.268 0.136 20 30 CCEDMN C[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H](C2CC2)O1 ZINC000619320232 366235902 /nfs/dbraw/zinc/23/59/02/366235902.db2.gz VDYJNPLXLQKXSJ-CABZTGNLSA-N 0 1 289.339 0.745 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC([C@@H]3CCOC3)C2)[nH]1 ZINC000619320239 366235676 /nfs/dbraw/zinc/23/56/76/366235676.db2.gz XQGVWXRGRUFZEB-SECBINFHSA-N 0 1 275.312 0.214 20 30 CCEDMN COc1ccc(C#N)cc1CN(CCO)C[C@H](C)O ZINC000336810913 355185960 /nfs/dbraw/zinc/18/59/60/355185960.db2.gz KAJJYVVXDQOYLU-NSHDSACASA-N 0 1 264.325 0.742 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N[C@H]1CCN(C2CC2)C1 ZINC000337954763 355308022 /nfs/dbraw/zinc/30/80/22/355308022.db2.gz VPWDQWMTXMMTGT-MNOVXSKESA-N 0 1 286.401 0.291 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@H](OCCOC)C2)C1=O ZINC000337174345 355277811 /nfs/dbraw/zinc/27/78/11/355277811.db2.gz HADQHZVGSGEYMA-ZIAGYGMSSA-N 0 1 282.384 0.901 20 30 CCEDMN C[C@@H]1CN(CC[N@@H+](C)CCOCCC#N)C[C@H](C)O1 ZINC000339137200 355492419 /nfs/dbraw/zinc/49/24/19/355492419.db2.gz ORMVGIOHDWYOHP-OKILXGFUSA-N 0 1 269.389 0.958 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCCC[C@H]1CC(N)=O ZINC000339137963 355493713 /nfs/dbraw/zinc/49/37/13/355493713.db2.gz WIGZHGKEFJZLFN-STQMWFEESA-N 0 1 294.399 0.724 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCCC[C@@H]1CC(N)=O ZINC000339137957 355493883 /nfs/dbraw/zinc/49/38/83/355493883.db2.gz WIGZHGKEFJZLFN-CHWSQXEVSA-N 0 1 294.399 0.724 20 30 CCEDMN COCCOCCN(C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000344101522 355785299 /nfs/dbraw/zinc/78/52/99/355785299.db2.gz FFJRHHFUXVRZCD-GFCCVEGCSA-N 0 1 283.372 0.532 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1c[nH]c(C(=O)OC)c1 ZINC000272896389 191964350 /nfs/dbraw/zinc/96/43/50/191964350.db2.gz YVCZSRGLXPUHBB-UHFFFAOYSA-N 0 1 286.353 0.492 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)c1[nH]nc(C)c1C ZINC000273025140 192023232 /nfs/dbraw/zinc/02/32/32/192023232.db2.gz CRIIRTRPDQPHSB-UHFFFAOYSA-N 0 1 271.342 0.357 20 30 CCEDMN N#Cc1ccc(NCC(=O)NC2(c3nnc[nH]3)CCC2)nc1 ZINC000619543804 366277640 /nfs/dbraw/zinc/27/76/40/366277640.db2.gz OMFNNBUFBABCFM-UHFFFAOYSA-N 0 1 297.322 0.101 20 30 CCEDMN CCNC(=O)CCN1CCN([C@@H](C#N)C(C)C)CC1 ZINC000609538101 363503713 /nfs/dbraw/zinc/50/37/13/363503713.db2.gz LWHOOPGXQXOXDQ-ZDUSSCGKSA-N 0 1 266.389 0.678 20 30 CCEDMN Cc1cc(CNS(=O)(=O)CCCCC#N)n[nH]1 ZINC000610005488 363532352 /nfs/dbraw/zinc/53/23/52/363532352.db2.gz VGJDLTBAHJWTHO-UHFFFAOYSA-N 0 1 256.331 0.831 20 30 CCEDMN Cc1nnc(SCCC(=O)NC[C@@H](C)C#N)[nH]1 ZINC000610414701 363556163 /nfs/dbraw/zinc/55/61/63/363556163.db2.gz UXSCXZHMCNGHBW-ZETCQYMHSA-N 0 1 253.331 0.871 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N[C@@H]1CCCN(C)C1 ZINC000619708219 366330527 /nfs/dbraw/zinc/33/05/27/366330527.db2.gz JVRUJCQMFALDOS-WDEREUQCSA-N 0 1 274.390 0.006 20 30 CCEDMN Cc1cc(CNS(=O)(=O)N(C)C[C@H](C)C#N)n[nH]1 ZINC000619710341 366330803 /nfs/dbraw/zinc/33/08/03/366330803.db2.gz RCMWSPTWYJPGGV-MRVPVSSYSA-N 0 1 271.346 0.144 20 30 CCEDMN CC#CCCNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000361424014 357047649 /nfs/dbraw/zinc/04/76/49/357047649.db2.gz XYJIMRYBKAVXAD-CYBMUJFWSA-N 0 1 266.389 0.335 20 30 CCEDMN CC(C)C[C@@H](CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610528045 363572396 /nfs/dbraw/zinc/57/23/96/363572396.db2.gz IPZSICVWEGLDIO-JTQLQIEISA-N 0 1 265.317 0.242 20 30 CCEDMN CC(C)C[C@H](CO)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610528046 363572794 /nfs/dbraw/zinc/57/27/94/363572794.db2.gz IPZSICVWEGLDIO-SNVBAGLBSA-N 0 1 265.317 0.242 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2cccnc2)[nH]1 ZINC000610528196 363572917 /nfs/dbraw/zinc/57/29/17/363572917.db2.gz VKHHPZYBETXQKS-UHFFFAOYSA-N 0 1 256.269 0.430 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCNc2ccccn2)[nH]1 ZINC000610560825 363575197 /nfs/dbraw/zinc/57/51/97/363575197.db2.gz KZYVXMTVPQELQN-UHFFFAOYSA-N 0 1 285.311 0.342 20 30 CCEDMN N#CC1(C(=O)NC[C@H]2CN3CCN2CCC3)CCCCC1 ZINC000394732057 357757509 /nfs/dbraw/zinc/75/75/09/357757509.db2.gz BPYFTKYCVSMOSS-AWEZNQCLSA-N 0 1 290.411 0.967 20 30 CCEDMN C[C@H]1C[C@@H](O)CN(CC(=O)NCc2cccc(C#N)c2)C1 ZINC000452070274 236215667 /nfs/dbraw/zinc/21/56/67/236215667.db2.gz RDDRHYDIIGOQGY-SWLSCSKDSA-N 0 1 287.363 0.877 20 30 CCEDMN C#CCNC(=O)CCN1CCC(C(=O)C(F)(F)F)CC1 ZINC000294530884 199074848 /nfs/dbraw/zinc/07/48/48/199074848.db2.gz JRUSTTHGCBEDRT-UHFFFAOYSA-N 0 1 290.285 0.969 20 30 CCEDMN C#CCNC(=O)CCN1C[C@@H]2CCC[C@@H]2[C@H]1C(=O)OC ZINC000294585903 199096723 /nfs/dbraw/zinc/09/67/23/199096723.db2.gz MWLGJCQYGQGNLP-OBJOEFQTSA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCNC(=O)CCN1CCCN(CCC)C(=O)C1 ZINC000294608943 199105401 /nfs/dbraw/zinc/10/54/01/199105401.db2.gz OBBDRFGALRUUIM-UHFFFAOYSA-N 0 1 265.357 0.070 20 30 CCEDMN C[C@@H](C[C@@H]1CCOC1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610744168 363606928 /nfs/dbraw/zinc/60/69/28/363606928.db2.gz CUMSXKDMBFBJSM-UWVGGRQHSA-N 0 1 277.328 0.650 20 30 CCEDMN COCC(C)(C)N1CCN(c2cc(C#N)ncn2)CC1 ZINC000567719963 358576485 /nfs/dbraw/zinc/57/64/85/358576485.db2.gz BVMKZEPFLUZFDH-UHFFFAOYSA-N 0 1 275.356 0.895 20 30 CCEDMN N#Cc1ccc(CN2CC[C@@]3(CNC(=O)C3)C2)nc1 ZINC000569862995 358800978 /nfs/dbraw/zinc/80/09/78/358800978.db2.gz LOVDZICEWAJDGE-CQSZACIVSA-N 0 1 256.309 0.665 20 30 CCEDMN C[C@H]1C[C@@H](O)C[N@H+]1Cc1cnc2c(C#N)cnn2c1 ZINC000573120170 358940866 /nfs/dbraw/zinc/94/08/66/358940866.db2.gz GMEVPUREUSWRJD-JOYOIKCWSA-N 0 1 257.297 0.556 20 30 CCEDMN C[C@H]1C[C@@H](O)CN1Cc1cnc2c(C#N)cnn2c1 ZINC000573120170 358940867 /nfs/dbraw/zinc/94/08/67/358940867.db2.gz GMEVPUREUSWRJD-JOYOIKCWSA-N 0 1 257.297 0.556 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N2CCSC[C@@H]2C#N)C1 ZINC000573932232 359013132 /nfs/dbraw/zinc/01/31/32/359013132.db2.gz GPSDZDGVDJYPFU-MNOVXSKESA-N 0 1 253.371 0.796 20 30 CCEDMN CC1(C(N)=O)CN(C[C@@H](O)COc2ccc(C#N)cc2)C1 ZINC000580772029 359091868 /nfs/dbraw/zinc/09/18/68/359091868.db2.gz SBNUEHHDIMGBEX-GFCCVEGCSA-N 0 1 289.335 0.105 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2c3c(nn2C)CCCC3)[nH]1 ZINC000610893866 363628511 /nfs/dbraw/zinc/62/85/11/363628511.db2.gz NVJAVRZQNPUNGC-UHFFFAOYSA-N 0 1 284.323 0.578 20 30 CCEDMN N#Cc1ccc(CNC[C@H](O)CN2CCCC2=O)c(F)c1 ZINC000610946773 363636878 /nfs/dbraw/zinc/63/68/78/363636878.db2.gz XIPSTCWUDQCXAZ-ZDUSSCGKSA-N 0 1 291.326 0.770 20 30 CCEDMN COCCC1(C)CN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000589002817 359355272 /nfs/dbraw/zinc/35/52/72/359355272.db2.gz XRCYRWDHOQUKEM-UHFFFAOYSA-N 0 1 277.328 0.604 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@H]2CCCSC2)[nH]1 ZINC000588259692 359319964 /nfs/dbraw/zinc/31/99/64/359319964.db2.gz GAWIABYAGAUAQQ-SECBINFHSA-N 0 1 279.369 0.978 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCc2cscn2)[nH]1 ZINC000611095462 363659203 /nfs/dbraw/zinc/65/92/03/363659203.db2.gz GQLFPXYQBIJVMU-UHFFFAOYSA-N 0 1 276.325 0.534 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000590005654 359415644 /nfs/dbraw/zinc/41/56/44/359415644.db2.gz DSMUJTFTQUWFGM-DGMCESFYSA-N 0 1 279.384 0.599 20 30 CCEDMN C=CCC[C@@H](NC(=O)[C@H](C)N(C)C)C(=O)OCC ZINC000590882554 359534579 /nfs/dbraw/zinc/53/45/79/359534579.db2.gz HXPMZXIBFJTUSR-WDEREUQCSA-N 0 1 256.346 0.951 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@H](c3nccn3C)C2)[nH]1 ZINC000611127449 363662530 /nfs/dbraw/zinc/66/25/30/363662530.db2.gz JLVMPSYWBIYENV-SNVBAGLBSA-N 0 1 299.338 0.469 20 30 CCEDMN C[C@@H]1CN(CCN2CC[C@](O)(CC#N)C2)CCO1 ZINC000592152754 359770298 /nfs/dbraw/zinc/77/02/98/359770298.db2.gz CQIHDXXQCMPMKA-CHWSQXEVSA-N 0 1 253.346 0.058 20 30 CCEDMN CCCN(C(=O)C(=O)NCCCCC#N)[C@@H]1CCN(C)C1 ZINC000592334734 359820632 /nfs/dbraw/zinc/82/06/32/359820632.db2.gz BLXYULNTWHPVMH-CYBMUJFWSA-N 0 1 294.399 0.739 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2nnn(C(C)C)c2C)[nH]n1C ZINC000592648208 359907913 /nfs/dbraw/zinc/90/79/13/359907913.db2.gz CXLNMLCIIPQAHS-UHFFFAOYSA-N 0 1 287.327 0.755 20 30 CCEDMN Cc1cc(C(=O)N=c2[nH]n(C)c(C)c2C#N)n(C)n1 ZINC000592646343 359908213 /nfs/dbraw/zinc/90/82/13/359908213.db2.gz LZRFYWSVAQYFPX-UHFFFAOYSA-N 0 1 258.285 0.316 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2CCO[C@H](C)C2)[nH]n1C ZINC000592654997 359910375 /nfs/dbraw/zinc/91/03/75/359910375.db2.gz UUZMXJXJFHOONI-PSASIEDQSA-N 0 1 262.313 0.776 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)c2cc(O)cc([N+](=O)[O-])c2)C1 ZINC000592846040 359952662 /nfs/dbraw/zinc/95/26/62/359952662.db2.gz OHCYKKUNFDKMJQ-CYBMUJFWSA-N 0 1 291.263 0.791 20 30 CCEDMN CC[C@@H](C#N)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000593458699 360092985 /nfs/dbraw/zinc/09/29/85/360092985.db2.gz RLLHIWUVHOIJJG-NEPJUHHUSA-N 0 1 252.362 0.288 20 30 CCEDMN N#Cc1ccc([C@@H](NCC[C@@]2(O)CCOC2)C(N)=O)cc1 ZINC000593182701 360050656 /nfs/dbraw/zinc/05/06/56/360050656.db2.gz ARKIUXPJSZGLFQ-UKRRQHHQSA-N 0 1 289.335 0.216 20 30 CCEDMN N#CC1(C(=O)N2CCN(Cc3nnc[nH]3)CC2)CCCC1 ZINC000593859164 360178841 /nfs/dbraw/zinc/17/88/41/360178841.db2.gz QAMACUGGTZGLMS-UHFFFAOYSA-N 0 1 288.355 0.533 20 30 CCEDMN N#CCCCCC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000594041062 360241448 /nfs/dbraw/zinc/24/14/48/360241448.db2.gz JFXHNZDOTYDJPM-UHFFFAOYSA-N 0 1 276.300 0.719 20 30 CCEDMN Cc1nc([C@@H]2CCN(c3ccnc(C#N)n3)C2)n[nH]1 ZINC000594585277 360335263 /nfs/dbraw/zinc/33/52/63/360335263.db2.gz GPWRMIUNMPWZGE-SECBINFHSA-N 0 1 255.285 0.769 20 30 CCEDMN Cc1nnc([C@@H]2CCN(c3ccnc(C#N)n3)C2)[nH]1 ZINC000594585277 360335265 /nfs/dbraw/zinc/33/52/65/360335265.db2.gz GPWRMIUNMPWZGE-SECBINFHSA-N 0 1 255.285 0.769 20 30 CCEDMN C[C@@H](C#N)CNS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594756461 360377335 /nfs/dbraw/zinc/37/73/35/360377335.db2.gz GSCMAVBNEPHVSJ-ZETCQYMHSA-N 0 1 270.270 0.428 20 30 CCEDMN C[C@@H]([N-]S(=O)(=O)c1ccc(C#N)o1)[C@@H]1CCC[NH2+]C1 ZINC000595106425 360437201 /nfs/dbraw/zinc/43/72/01/360437201.db2.gz WZBCFEFRKSTSQM-NXEZZACHSA-N 0 1 283.353 0.818 20 30 CCEDMN COC[C@@H](CN1CCN(c2ccc(C#N)cn2)CC1)OC ZINC000595295419 360485856 /nfs/dbraw/zinc/48/58/56/360485856.db2.gz MCIZOHNBKMRSHW-CQSZACIVSA-N 0 1 290.367 0.737 20 30 CCEDMN N#Cc1ccccc1N1CCN(C[C@@H]2CNC(=O)C2)CC1 ZINC000595302663 360488529 /nfs/dbraw/zinc/48/85/29/360488529.db2.gz WONRCAOHQQJKGL-ZDUSSCGKSA-N 0 1 284.363 0.816 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)c2cncc(C#N)c2)CCN1 ZINC000595411359 360525461 /nfs/dbraw/zinc/52/54/61/360525461.db2.gz HPSCFTPFBVIQOX-ZDUSSCGKSA-N 0 1 294.380 0.572 20 30 CCEDMN N#Cc1ccc(CCN2CCN3C(=O)NC[C@@H]3C2)cc1 ZINC000595345741 360502993 /nfs/dbraw/zinc/50/29/93/360502993.db2.gz QLFJWZSJQNVVLC-CQSZACIVSA-N 0 1 270.336 0.810 20 30 CCEDMN CCCN1CC[C@H](NS(=O)(=O)N(CC)CCC#N)C1 ZINC000595355723 360506252 /nfs/dbraw/zinc/50/62/52/360506252.db2.gz QOJIQYOEXVUIBG-LBPRGKRZSA-N 0 1 288.417 0.541 20 30 CCEDMN C[C@H](O)[C@H]1CCCN1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000595774001 360650194 /nfs/dbraw/zinc/65/01/94/360650194.db2.gz YZNNBASNQKQTEF-SUHUHFCYSA-N 0 1 265.357 0.640 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)NC(=O)Nc1ccccc1 ZINC000595863454 360683465 /nfs/dbraw/zinc/68/34/65/360683465.db2.gz HEJZPMVOEBGCCG-GHMZBOCLSA-N 0 1 277.324 0.860 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@]1(C)CO ZINC000595878926 360688407 /nfs/dbraw/zinc/68/84/07/360688407.db2.gz WQVVJBMHQSZCIY-DFBGVHRSSA-N 0 1 279.384 0.745 20 30 CCEDMN N#Cc1cccc(CNC(=O)CN2CCC3(COC3)C2)c1 ZINC000595835975 360674886 /nfs/dbraw/zinc/67/48/86/360674886.db2.gz UFXKFNVEWLZIPJ-UHFFFAOYSA-N 0 1 285.347 0.897 20 30 CCEDMN COC(=O)CN(C)C(=O)CCN1CC[C@@](C)(C#N)C1 ZINC000595839797 360676752 /nfs/dbraw/zinc/67/67/52/360676752.db2.gz LNCMGMCMWMKOCV-ZDUSSCGKSA-N 0 1 267.329 0.243 20 30 CCEDMN CN1CCN(CCNc2nc(C#N)c(Cl)s2)CC1 ZINC000596062254 360783828 /nfs/dbraw/zinc/78/38/28/360783828.db2.gz JPUUXZSBIHFKAV-UHFFFAOYSA-N 0 1 285.804 0.749 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N1CCCc2[nH]ncc2C1 ZINC000596009564 360773819 /nfs/dbraw/zinc/77/38/19/360773819.db2.gz VWJBVOSOBHCDGI-SNVBAGLBSA-N 0 1 297.384 0.494 20 30 CCEDMN CCc1nc(C#N)cc(NCc2n[nH]c(C3CC3)n2)n1 ZINC000596250482 360853378 /nfs/dbraw/zinc/85/33/78/360853378.db2.gz QMUJHXBMYGVSBA-UHFFFAOYSA-N 0 1 269.312 0.940 20 30 CCEDMN CCN(C(=O)C(=O)NCC(C)(C)CC#N)[C@@H]1CCN(C)C1 ZINC000597341926 361066240 /nfs/dbraw/zinc/06/62/40/361066240.db2.gz ICCZTOXLIQIGMZ-GFCCVEGCSA-N 0 1 294.399 0.595 20 30 CCEDMN Cc1cc(=NC(=O)[C@@H]2CCc3[nH]cnc3C2)[nH]n1CCC#N ZINC000597459533 361103198 /nfs/dbraw/zinc/10/31/98/361103198.db2.gz BHPVLGBBIFZMOZ-LLVKDONJSA-N 0 1 298.350 0.994 20 30 CCEDMN N#C[C@H](CO)NC(=O)[C@@H]1CCCN1Cc1ccccc1 ZINC000597744750 361228210 /nfs/dbraw/zinc/22/82/10/361228210.db2.gz XHKVIDNTQFTFQJ-KGLIPLIRSA-N 0 1 273.336 0.652 20 30 CCEDMN C[C@H]1CCN(CC(=O)N(CCC#N)CCC#N)C[C@H]1CO ZINC000598645322 361471698 /nfs/dbraw/zinc/47/16/98/361471698.db2.gz GSWKZCRCXZBDJX-KBPBESRZSA-N 0 1 292.383 0.593 20 30 CCEDMN Cc1nc([C@@H]2CN(C[C@H](O)CC(C)(C)C#N)CCO2)n[nH]1 ZINC000598591989 361451693 /nfs/dbraw/zinc/45/16/93/361451693.db2.gz DICMPCVVOSKNHL-NEPJUHHUSA-N 0 1 293.371 0.787 20 30 CCEDMN N#Cc1cc2c(nc1NCCN1CC[C@H](O)C1)CCC2 ZINC000599199414 361609234 /nfs/dbraw/zinc/60/92/34/361609234.db2.gz JYNFRXOYBNYNGC-ZDUSSCGKSA-N 0 1 272.352 0.920 20 30 CCEDMN CCNC(=O)CN(CC)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000599257848 361622608 /nfs/dbraw/zinc/62/26/08/361622608.db2.gz MJZSLIZQFKQIKX-ZDUSSCGKSA-N 0 1 297.399 0.516 20 30 CCEDMN C=CCCCNS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599292557 361637898 /nfs/dbraw/zinc/63/78/98/361637898.db2.gz GIOLZOXVMDNFKG-UHFFFAOYSA-N 0 1 274.302 0.573 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCO[C@@H](C3CC3)C2)[nH]1 ZINC000613205030 364157162 /nfs/dbraw/zinc/15/71/62/364157162.db2.gz LPFDTMKFHOMXAW-NWDGAFQWSA-N 0 1 289.339 0.793 20 30 CCEDMN CN1CCC[C@H](NC(=O)N2CC[C@](F)(C#N)C2)C1 ZINC000615543074 364998696 /nfs/dbraw/zinc/99/86/96/364998696.db2.gz OYGZRMTZKZLEMN-JQWIXIFHSA-N 0 1 254.309 0.728 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@](F)(C#N)C2)[nH]1 ZINC000615538497 364996007 /nfs/dbraw/zinc/99/60/07/364996007.db2.gz JJHWAZOGISPXIL-NSHDSACASA-N 0 1 262.248 0.183 20 30 CCEDMN CCN1CCN([C@H](C)C(=O)NC2(C#N)CCC2)C[C@@H]1C ZINC000615793563 365090592 /nfs/dbraw/zinc/09/05/92/365090592.db2.gz PWKVCLLPJGHTNR-QWHCGFSZSA-N 0 1 278.400 0.963 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000616099872 365177788 /nfs/dbraw/zinc/17/77/88/365177788.db2.gz DVVZSSZIFJQLEO-QWRGUYRKSA-N 0 1 263.341 0.958 20 30 CCEDMN N#Cc1ccc(C(=O)NCCN2CCCOCC2)[nH]1 ZINC000275863592 193150626 /nfs/dbraw/zinc/15/06/26/193150626.db2.gz NYTWQLDIZZTFAJ-UHFFFAOYSA-N 0 1 262.313 0.338 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-]C2CC[NH2+]CC2)o1 ZINC000565244423 291365803 /nfs/dbraw/zinc/36/58/03/291365803.db2.gz FKVMWJWDUJZPAW-UHFFFAOYSA-N 0 1 255.299 0.182 20 30 CCEDMN C=C(C)CN(C)S(=O)(=O)CCCN1CCN(C)CC1 ZINC000632185827 370912025 /nfs/dbraw/zinc/91/20/25/370912025.db2.gz DQRVDEIDNXZHCC-UHFFFAOYSA-N 0 1 289.445 0.462 20 30 CCEDMN C=CCCN(C)CC(=O)Nc1cccc(S(N)(=O)=O)c1 ZINC000276494188 193355272 /nfs/dbraw/zinc/35/52/72/193355272.db2.gz VFJXFTSCFAKUTO-UHFFFAOYSA-N 0 1 297.380 0.780 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N1CCNC[C@@H]1C(C)C ZINC000629812019 369783707 /nfs/dbraw/zinc/78/37/07/369783707.db2.gz MOKWFPHSNSQKFL-LLVKDONJSA-N 0 1 253.346 0.087 20 30 CCEDMN C=C1CC(C)(C(=O)NCCN2CCC(O)CC2)C1 ZINC000633344583 371495929 /nfs/dbraw/zinc/49/59/29/371495929.db2.gz YGYFKNVTSNBREW-UHFFFAOYSA-N 0 1 252.358 0.916 20 30 CCEDMN C=CCn1cc(C(=O)N(C)Cc2cn[nH]c2C)nn1 ZINC000636070853 373325743 /nfs/dbraw/zinc/32/57/43/373325743.db2.gz UMWAECCGBUDRFI-UHFFFAOYSA-N 0 1 260.301 0.768 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@H](N3CCCC3=O)C2)C1=O ZINC000685001256 545781579 /nfs/dbraw/zinc/78/15/79/545781579.db2.gz VSLDXJYUBSIFMN-UONOGXRCSA-N 0 1 291.395 0.860 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NCc1ccc(S(N)(=O)=O)s1 ZINC000639249176 375664143 /nfs/dbraw/zinc/66/41/43/375664143.db2.gz YGBCQCDEDJBFNL-ZJUUUORDSA-N 0 1 288.394 0.829 20 30 CCEDMN C=CCN1CC[C@H]([NH+]2CCC(c3n[n-]c(=N)o3)CC2)C1=O ZINC000639724191 375953713 /nfs/dbraw/zinc/95/37/13/375953713.db2.gz KAXSOFQMUILKSC-NSHDSACASA-N 0 1 291.355 0.448 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639823281 376033106 /nfs/dbraw/zinc/03/31/06/376033106.db2.gz AGAHCZBAHZKARQ-VHSXEESVSA-N 0 1 279.344 0.352 20 30 CCEDMN CN(C)Cc1ccnc(NC(=O)NCC#CCO)c1 ZINC000640573796 376429971 /nfs/dbraw/zinc/42/99/71/376429971.db2.gz MEONHOMSHATGPP-UHFFFAOYSA-N 0 1 262.313 0.260 20 30 CCEDMN C=CCC(CC=C)C(=O)NCc1n[nH]c(=O)n1C ZINC000640652043 376487290 /nfs/dbraw/zinc/48/72/90/376487290.db2.gz OUXXTXHOHXANFN-UHFFFAOYSA-N 0 1 250.302 0.905 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)n1cc([C@@H](N)CO)nn1 ZINC000640924141 376617821 /nfs/dbraw/zinc/61/78/21/376617821.db2.gz RLUJPTBMMYQRTJ-QWRGUYRKSA-N 0 1 281.360 0.256 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)n1cc([C@H](N)CO)nn1 ZINC000640924139 376618194 /nfs/dbraw/zinc/61/81/94/376618194.db2.gz RLUJPTBMMYQRTJ-GHMZBOCLSA-N 0 1 281.360 0.256 20 30 CCEDMN COCCNC(=O)C1(NCc2cccc(C#N)c2)CC1 ZINC000459745124 249058778 /nfs/dbraw/zinc/05/87/78/249058778.db2.gz AGBMWJAUTSFXTP-UHFFFAOYSA-N 0 1 273.336 0.943 20 30 CCEDMN C=CCC[C@H](CO)NS(=O)(=O)c1ccccc1O ZINC000657006653 412873165 /nfs/dbraw/zinc/87/31/65/412873165.db2.gz VDIBDQNBEDIWGB-SNVBAGLBSA-N 0 1 271.338 0.998 20 30 CCEDMN N[C@@H](Cc1cccc(O)c1)C(=O)NCCCS ZINC001220585212 804631181 /nfs/dbraw/zinc/63/11/81/804631181.db2.gz BZNGFSIWVJOINY-NSHDSACASA-N 0 1 254.355 0.698 20 30 CCEDMN C[C@@H](C(=O)NCc1nnc[nH]1)N1CCSCC1 ZINC000328960210 581112955 /nfs/dbraw/zinc/11/29/55/581112955.db2.gz PJXNPLUKIJAFEO-QMMMGPOBSA-N 0 1 255.347 0.699 20 30 CCEDMN C=CCCn1cc(CNCCC2(O)CCOCC2)nn1 ZINC000657464858 412998143 /nfs/dbraw/zinc/99/81/43/412998143.db2.gz SPWBRUTVYQDHML-UHFFFAOYSA-N 0 1 280.372 0.875 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(C(C)=O)C[C@H]2C)CC1 ZINC000268669114 533146064 /nfs/dbraw/zinc/14/60/64/533146064.db2.gz AROWORSBNSWORS-CYBMUJFWSA-N 0 1 291.395 0.411 20 30 CCEDMN COc1cnc(NC(=O)NC[C@@H]2C[N@@H+](C)CCN2C)s1 ZINC000329234076 227370895 /nfs/dbraw/zinc/37/08/95/227370895.db2.gz HLIIKMGQXKNPNK-SECBINFHSA-N 0 1 299.400 0.723 20 30 CCEDMN COc1cnc(NC(=O)NC[C@@H]2CN(C)CCN2C)s1 ZINC000329234076 227370899 /nfs/dbraw/zinc/37/08/99/227370899.db2.gz HLIIKMGQXKNPNK-SECBINFHSA-N 0 1 299.400 0.723 20 30 CCEDMN C=C(C)CS(=O)(=O)NC1(c2nnc[nH]2)CCC1 ZINC000285703867 388796370 /nfs/dbraw/zinc/79/63/70/388796370.db2.gz RUEFDAAPZYQRHA-UHFFFAOYSA-N 0 1 256.331 0.679 20 30 CCEDMN C=CC[C@H](CO)NC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000183767505 388762354 /nfs/dbraw/zinc/76/23/54/388762354.db2.gz KKYSIOPSUQADBO-GXSJLCMTSA-N 0 1 266.345 0.885 20 30 CCEDMN C=CCC[C@@H](O)C[NH+]1CCC(c2n[n-]c(=O)o2)CC1 ZINC000284362430 388776035 /nfs/dbraw/zinc/77/60/35/388776035.db2.gz SXFCGDQYFLVUGG-LLVKDONJSA-N 0 1 267.329 0.869 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCOCC1(C)C ZINC000042462065 388866452 /nfs/dbraw/zinc/86/64/52/388866452.db2.gz FEAYOURMVVAVGO-UHFFFAOYSA-N 0 1 253.346 0.859 20 30 CCEDMN Cc1cc(C#N)nc(N2CCC[C@H](c3n[nH]c(=O)o3)C2)n1 ZINC000291012712 388916644 /nfs/dbraw/zinc/91/66/44/388916644.db2.gz WLCIDQAFXMMHTI-VIFPVBQESA-N 0 1 286.295 0.717 20 30 CCEDMN CCN(CCO)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000049754645 388924234 /nfs/dbraw/zinc/92/42/34/388924234.db2.gz FVCLUFPMBRJVQG-UHFFFAOYSA-N 0 1 267.373 0.985 20 30 CCEDMN Cc1nc(N2CCN(C[C@@H](C)O)CC2)ccc1C#N ZINC000291860354 388942324 /nfs/dbraw/zinc/94/23/24/388942324.db2.gz HUONXIOFYULONC-LLVKDONJSA-N 0 1 260.341 0.765 20 30 CCEDMN C[C@H](O)CCN(C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000075778134 388984056 /nfs/dbraw/zinc/98/40/56/388984056.db2.gz NJFKYJMNTUFHEE-GWCFXTLKSA-N 0 1 253.346 0.498 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H](COCC)C1 ZINC000072977512 388972137 /nfs/dbraw/zinc/97/21/37/388972137.db2.gz JCDNKTHYEUVCBF-GFCCVEGCSA-N 0 1 283.372 0.747 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1[C@@H](C)CC(O)C[C@@H]1C ZINC000191131172 389006437 /nfs/dbraw/zinc/00/64/37/389006437.db2.gz KFFAURFXUKVUGQ-UWVGGRQHSA-N 0 1 269.345 0.232 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@H](N2CCN(CC)CC2)C1 ZINC000354279190 389019167 /nfs/dbraw/zinc/01/91/67/389019167.db2.gz MUIHUWGEGQKICA-GOEBONIOSA-N 0 1 295.427 0.552 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N(C)CCN(C)C1CC1 ZINC000337846069 389069708 /nfs/dbraw/zinc/06/97/08/389069708.db2.gz WYWWCLRRVIXYDL-NSHDSACASA-N 0 1 288.417 0.491 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(CC(=O)NCC)CC1 ZINC000305098664 389084587 /nfs/dbraw/zinc/08/45/87/389084587.db2.gz WVNYXQIFJPQWOG-ZDUSSCGKSA-N 0 1 269.389 0.067 20 30 CCEDMN C=CCCCN(C)CC(=O)NCC(=O)NC(C)(C)C ZINC000121105586 389146014 /nfs/dbraw/zinc/14/60/14/389146014.db2.gz XGUOOKPZTSQQES-UHFFFAOYSA-N 0 1 269.389 0.915 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N(C)CCn1cccn1 ZINC000111281927 389104063 /nfs/dbraw/zinc/10/40/63/389104063.db2.gz BEZVYTPLNQLOKD-LBPRGKRZSA-N 0 1 263.345 0.575 20 30 CCEDMN C=CCOCCN1CCC(N(C)S(=O)(=O)CC)CC1 ZINC000339034842 389122360 /nfs/dbraw/zinc/12/23/60/389122360.db2.gz DIJYXMVIPCUPEE-UHFFFAOYSA-N 0 1 290.429 0.935 20 30 CCEDMN CCNC(=O)[C@H](NCC(=O)NC1(C#N)CCC1)C(C)C ZINC000339331472 389130683 /nfs/dbraw/zinc/13/06/83/389130683.db2.gz WKYUNLOMZOKSQO-GFCCVEGCSA-N 0 1 280.372 0.299 20 30 CCEDMN CC(C)(C)N1C[C@H](NC(=O)NCCN2CCC2)CC1=O ZINC000328694911 389132545 /nfs/dbraw/zinc/13/25/45/389132545.db2.gz GEUJCJFFDYEFMQ-LLVKDONJSA-N 0 1 282.388 0.595 20 30 CCEDMN O=C(NC[C@H](O)C1CC1)[C@@H]1CCc2[nH]nnc2C1 ZINC000328764591 389136279 /nfs/dbraw/zinc/13/62/79/389136279.db2.gz GINLJUPNCAFABA-KCJUWKMLSA-N 0 1 250.302 0.637 20 30 CCEDMN O=C(NC[C@H](O)C1CC1)[C@@H]1CCc2nn[nH]c2C1 ZINC000328764591 389136282 /nfs/dbraw/zinc/13/62/82/389136282.db2.gz GINLJUPNCAFABA-KCJUWKMLSA-N 0 1 250.302 0.637 20 30 CCEDMN CCN(CC(=O)N[C@](C)(C#N)C1CC1)[C@@H](C)CO ZINC000339633039 389136396 /nfs/dbraw/zinc/13/63/96/389136396.db2.gz YTVWGZJOADRNMT-GXFFZTMASA-N 0 1 253.346 0.498 20 30 CCEDMN O=C(N[C@@H]1CCc2c[nH]nc2C1)N1CCn2cncc2C1 ZINC000329671077 389185484 /nfs/dbraw/zinc/18/54/84/389185484.db2.gz RYRIIUFNTWBFSH-LLVKDONJSA-N 0 1 286.339 0.893 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)N[C@@H]2CCCOC2)[C@H](C)C1 ZINC000329674898 389186495 /nfs/dbraw/zinc/18/64/95/389186495.db2.gz UDJKICYGKRMWHD-FRRDWIJNSA-N 0 1 285.388 0.466 20 30 CCEDMN Cc1nc2n(n1)CCN(C(=O)NCC[C@@H]1CCOC1)C2 ZINC000329694356 389188997 /nfs/dbraw/zinc/18/89/97/389188997.db2.gz BDYWKJLEFRGIIK-LLVKDONJSA-N 0 1 279.344 0.743 20 30 CCEDMN O=C(N[C@H]1CCc2[nH]cnc2C1)N1CCn2ccnc2C1 ZINC000329696459 389189219 /nfs/dbraw/zinc/18/92/19/389189219.db2.gz BUTOZERXGMBOLW-JTQLQIEISA-N 0 1 286.339 0.893 20 30 CCEDMN O=C(N[C@H]1CCc2nc[nH]c2C1)N1CCn2ccnc2C1 ZINC000329696459 389189222 /nfs/dbraw/zinc/18/92/22/389189222.db2.gz BUTOZERXGMBOLW-JTQLQIEISA-N 0 1 286.339 0.893 20 30 CCEDMN C=CCCS(=O)(=O)N[C@H]1C[N@@H+](C2CC2)C[C@H]1C ZINC000360134596 389192044 /nfs/dbraw/zinc/19/20/44/389192044.db2.gz XGCSFGUWQIXOFB-PWSUYJOCSA-N 0 1 258.387 0.965 20 30 CCEDMN C=CCCS(=O)(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC000360134596 389192047 /nfs/dbraw/zinc/19/20/47/389192047.db2.gz XGCSFGUWQIXOFB-PWSUYJOCSA-N 0 1 258.387 0.965 20 30 CCEDMN COCC[N@H+]1CCC[C@H]1CNC(=O)CCCC#N ZINC000360135934 389192415 /nfs/dbraw/zinc/19/24/15/389192415.db2.gz LQDZFLIUNYCDEB-LBPRGKRZSA-N 0 1 253.346 0.907 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCC[C@@H](Cc2nccn2C)C1 ZINC000123808166 389158248 /nfs/dbraw/zinc/15/82/48/389158248.db2.gz QKTUTEQMKWWGQA-ZDUSSCGKSA-N 0 1 276.384 0.977 20 30 CCEDMN COCC1(NC(=O)NC[C@@H]2CN(C)CCN2C)CCC1 ZINC000329420319 389163209 /nfs/dbraw/zinc/16/32/09/389163209.db2.gz GVLQFSMNLDLZSM-GFCCVEGCSA-N 0 1 284.404 0.305 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC[C@H]2CCCCO2)C1 ZINC000329513082 389168147 /nfs/dbraw/zinc/16/81/47/389168147.db2.gz NRPKWTKRFGSCSN-ZIAGYGMSSA-N 0 1 298.431 0.695 20 30 CCEDMN CN(CC1(O)CCC1)C(=O)N[C@@H]1CCc2ncnn2C1 ZINC000329557390 389171211 /nfs/dbraw/zinc/17/12/11/389171211.db2.gz HRPJWXJNFMWOII-SNVBAGLBSA-N 0 1 279.344 0.354 20 30 CCEDMN Cc1cnn([C@@H]2CCN(C(=O)NC[C@H]3COCCO3)C2)c1 ZINC000329600232 389174396 /nfs/dbraw/zinc/17/43/96/389174396.db2.gz KAYUUAPKBAMVMX-OLZOCXBDSA-N 0 1 294.355 0.768 20 30 CCEDMN C=CC[C@H](CO)NC(=O)N[C@@H]1C[C@H](C)[N@H+](C2CC2)C1 ZINC000248591697 389247546 /nfs/dbraw/zinc/24/75/46/389247546.db2.gz RMPBQGVAZBBIGE-QJPTWQEYSA-N 0 1 267.373 0.848 20 30 CCEDMN CN(C)C(=O)NCCN(C)C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000330648809 389248759 /nfs/dbraw/zinc/24/87/59/389248759.db2.gz WEROXHVGSJPIHV-SNVBAGLBSA-N 0 1 293.371 0.764 20 30 CCEDMN CCN1CCC[C@@H]1CNS(=O)(=O)N(C)CCC#N ZINC000330656513 389249743 /nfs/dbraw/zinc/24/97/43/389249743.db2.gz UZJPSPQIBFHLEM-LLVKDONJSA-N 0 1 274.390 0.151 20 30 CCEDMN N#CCC(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000364389245 389260490 /nfs/dbraw/zinc/26/04/90/389260490.db2.gz WKUQPUYMEQDHFB-UHFFFAOYSA-N 0 1 265.357 0.861 20 30 CCEDMN C=CCN(CCOC)C(=O)[C@H](C)CN1CCOCC1 ZINC000361092221 389207813 /nfs/dbraw/zinc/20/78/13/389207813.db2.gz DTLISMXTZSFIBV-CYBMUJFWSA-N 0 1 270.373 0.616 20 30 CCEDMN Cn1nnc2cc(C(=O)NC3(c4nnc[nH]4)CCC3)cnc21 ZINC000329901336 389209689 /nfs/dbraw/zinc/20/96/89/389209689.db2.gz GVAXJRNOYZBIHB-UHFFFAOYSA-N 0 1 298.310 0.865 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@](C)(C(N)=O)C1 ZINC000361558387 389219269 /nfs/dbraw/zinc/21/92/69/389219269.db2.gz WTPNVIZHMMMCEK-KBPBESRZSA-N 0 1 280.372 0.238 20 30 CCEDMN CC#CCCNC(=O)N1CC[C@H](N2CCN(CC)CC2)C1 ZINC000361642639 389221903 /nfs/dbraw/zinc/22/19/03/389221903.db2.gz JUWLDLWZWMDALH-HNNXBMFYSA-N 0 1 292.427 0.821 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@](C)(C(=O)OC)C1 ZINC000246381258 389227604 /nfs/dbraw/zinc/22/76/04/389227604.db2.gz HMTSVNCIZSIESK-MFKMUULPSA-N 0 1 252.314 0.009 20 30 CCEDMN [O-]C(=[NH+]CCCn1ccnc1)N1CCC[C@@H](CO)C1 ZINC000330442465 389229986 /nfs/dbraw/zinc/22/99/86/389229986.db2.gz FHLTZISOWXNVTG-GFCCVEGCSA-N 0 1 266.345 0.892 20 30 CCEDMN COCC1(O)CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000330486850 389234467 /nfs/dbraw/zinc/23/44/67/389234467.db2.gz FWJLBKPDNBBCCR-GFCCVEGCSA-N 0 1 283.372 0.220 20 30 CCEDMN CN1CCN(Cc2cnc3ccc(C#N)cn23)CC1 ZINC000154412583 389311979 /nfs/dbraw/zinc/31/19/79/389311979.db2.gz MDELCILMFCBWIP-UHFFFAOYSA-N 0 1 255.325 0.953 20 30 CCEDMN C#CCN(CC(=O)N1CCN(C(C)=O)CC1)C1CCCC1 ZINC000155762074 389321208 /nfs/dbraw/zinc/32/12/08/389321208.db2.gz SITIFTOSBHZZFW-UHFFFAOYSA-N 0 1 291.395 0.555 20 30 CCEDMN C[C@@H](O)CCN(C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000346269382 389325342 /nfs/dbraw/zinc/32/53/42/389325342.db2.gz DXHHMPUSQCMESW-GHMZBOCLSA-N 0 1 253.346 0.640 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN([C@H]3CCC[C@@H]3O)CC2)n1 ZINC000367420278 389331475 /nfs/dbraw/zinc/33/14/75/389331475.db2.gz PXRZICSBEGGLER-KBPBESRZSA-N 0 1 287.367 0.692 20 30 CCEDMN COC(=O)[C@H](C)CN(C)CC(=O)NC1(C#N)CCC1 ZINC000346824116 389338418 /nfs/dbraw/zinc/33/84/18/389338418.db2.gz LOJBKVGGKOTYDF-SNVBAGLBSA-N 0 1 267.329 0.290 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000346861251 389340778 /nfs/dbraw/zinc/34/07/78/389340778.db2.gz ACKFALIHMIXZJA-MJBXVCDLSA-N 0 1 299.415 0.722 20 30 CCEDMN CC(C)C[N@H+]1CCO[C@@H](CNC(=O)NCCC#N)C1 ZINC000331144782 389300030 /nfs/dbraw/zinc/30/00/30/389300030.db2.gz LRCOJBXWXBOKST-LBPRGKRZSA-N 0 1 268.361 0.760 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)NCCC#N)C1 ZINC000331144782 389300032 /nfs/dbraw/zinc/30/00/32/389300032.db2.gz LRCOJBXWXBOKST-LBPRGKRZSA-N 0 1 268.361 0.760 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)CC1(O)CCCC1 ZINC000346961220 389342317 /nfs/dbraw/zinc/34/23/17/389342317.db2.gz FLTCXMNVIAKRCI-UHFFFAOYSA-N 0 1 265.357 0.786 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@]2(CCOC2)C1)C1CC1 ZINC000153571601 389303372 /nfs/dbraw/zinc/30/33/72/389303372.db2.gz OMTQHLPSGZCPQW-CABCVRRESA-N 0 1 277.368 0.907 20 30 CCEDMN N#CCCNC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000408368455 389342519 /nfs/dbraw/zinc/34/25/19/389342519.db2.gz IGUBKMAIANBBQH-LBPRGKRZSA-N 0 1 266.345 0.264 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@H](O)CN1CCCCC1 ZINC000158978908 389355903 /nfs/dbraw/zinc/35/59/03/389355903.db2.gz CORQSHVJLPKXCV-LBPRGKRZSA-N 0 1 276.402 0.329 20 30 CCEDMN C=CCN1C(=O)N=NC1SCCCC(=O)NC(N)=O ZINC000348144138 389366034 /nfs/dbraw/zinc/36/60/34/389366034.db2.gz OCSNVMLNCLPZCG-UHFFFAOYSA-N 0 1 285.329 0.237 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000373794157 389515877 /nfs/dbraw/zinc/51/58/77/389515877.db2.gz ABAMKZURNWCISZ-HNNXBMFYSA-N 0 1 293.411 0.313 20 30 CCEDMN COC(=O)[C@]12CN(C[C@@H](C#N)CCC#N)C[C@H]1COCC2 ZINC000373799910 389517008 /nfs/dbraw/zinc/51/70/08/389517008.db2.gz XDUPBIJLZBSZKJ-VNHYZAJKSA-N 0 1 291.351 0.941 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCCCC1)N(C)Cc1nnc[nH]1 ZINC000174819066 389530249 /nfs/dbraw/zinc/53/02/49/389530249.db2.gz GOMQFFWCYJKQDI-NSHDSACASA-N 0 1 290.371 0.968 20 30 CCEDMN C[C@@H]1C[C@]1(C#N)C(=O)N[C@H](CO)CN1CCCCC1 ZINC000413797475 389559078 /nfs/dbraw/zinc/55/90/78/389559078.db2.gz ISVFDQLJHUULHY-MBNYWOFBSA-N 0 1 265.357 0.499 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@]2(CCSC2)C1 ZINC000334655358 389500311 /nfs/dbraw/zinc/50/03/11/389500311.db2.gz MIYIPZXOUXQVMM-LBPRGKRZSA-N 0 1 253.371 0.845 20 30 CCEDMN CC(C)(CC#N)CS(=O)(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC000425218847 389641334 /nfs/dbraw/zinc/64/13/34/389641334.db2.gz ZHNLHDSHIKMMPL-JTQLQIEISA-N 0 1 297.384 0.864 20 30 CCEDMN C=CCCC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000413921164 389582440 /nfs/dbraw/zinc/58/24/40/389582440.db2.gz OCKYFEPVDRLHDV-NSHDSACASA-N 0 1 254.330 0.516 20 30 CCEDMN C=CCNC(=O)CNC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000180834084 389676248 /nfs/dbraw/zinc/67/62/48/389676248.db2.gz IGCTYSROCAAOOP-SECBINFHSA-N 0 1 279.344 0.251 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000426859603 389679624 /nfs/dbraw/zinc/67/96/24/389679624.db2.gz RBYZWHBJASWCBR-LBPRGKRZSA-N 0 1 290.367 0.110 20 30 CCEDMN C=CCOCCCN1CC[C@H](CS(C)(=O)=O)C1 ZINC000429392539 389754713 /nfs/dbraw/zinc/75/47/13/389754713.db2.gz IFIRCAOQDCKDBC-LBPRGKRZSA-N 0 1 261.387 0.946 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@H]1CN2CCC[C@@H]2CO1 ZINC000376247267 389731737 /nfs/dbraw/zinc/73/17/37/389731737.db2.gz LQGPAWVBDOXAHE-OLZOCXBDSA-N 0 1 286.397 0.182 20 30 CCEDMN CS[C@@H]1CN(S(=O)(=O)[C@@H](C)C#N)C[C@@H]1N(C)C ZINC000416438832 389743526 /nfs/dbraw/zinc/74/35/26/389743526.db2.gz KQHNPQAWFGGHQF-LPEHRKFASA-N 0 1 277.415 0.206 20 30 CCEDMN CS[C@@H]1CN(S(=O)(=O)[C@H](C)C#N)C[C@@H]1N(C)C ZINC000416438831 389743781 /nfs/dbraw/zinc/74/37/81/389743781.db2.gz KQHNPQAWFGGHQF-KXUCPTDWSA-N 0 1 277.415 0.206 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N1CCc2n[nH]nc2C1 ZINC000429308174 389750031 /nfs/dbraw/zinc/75/00/31/389750031.db2.gz KHYDENPMPKYSAQ-HZMBPMFUSA-N 0 1 290.371 0.606 20 30 CCEDMN C[C@@H](NS(=O)(=O)CC1(C#N)CCCC1)c1nnc[nH]1 ZINC000352937994 389842859 /nfs/dbraw/zinc/84/28/59/389842859.db2.gz FCVVUEHTDDESPO-SECBINFHSA-N 0 1 283.357 0.869 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NC[C@H]1CN(C)CCN1C ZINC000442658349 389853450 /nfs/dbraw/zinc/85/34/50/389853450.db2.gz ZUQVYJVTGXHILT-GXTWGEPZSA-N 0 1 253.390 0.951 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1CCN[C@H](C)C1 ZINC000419134159 389887193 /nfs/dbraw/zinc/88/71/93/389887193.db2.gz HWEBLOIAPVCTLG-MNOVXSKESA-N 0 1 253.346 0.278 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)N1CCN[C@H](C)C1 ZINC000419134158 389887550 /nfs/dbraw/zinc/88/75/50/389887550.db2.gz HWEBLOIAPVCTLG-GHMZBOCLSA-N 0 1 253.346 0.278 20 30 CCEDMN C=C(C)CS(=O)(=O)NCCCN1CCCO[C@@H](C)C1 ZINC000435423248 389956908 /nfs/dbraw/zinc/95/69/08/389956908.db2.gz PSVDXJRUSZOLCI-ZDUSSCGKSA-N 0 1 290.429 0.983 20 30 CCEDMN C=CCOCC(=O)N=c1cc(-c2nccn2C)[nH][nH]1 ZINC000435715377 389961825 /nfs/dbraw/zinc/96/18/25/389961825.db2.gz AQKBLDKXAMSIJO-UHFFFAOYSA-N 0 1 261.285 0.373 20 30 CCEDMN CC#CCC[N@@H+]1C[C@@H](CO)C[C@H](OCCOC)C1 ZINC000447396868 389954023 /nfs/dbraw/zinc/95/40/23/389954023.db2.gz XJNKZAAKUWFSBA-KBPBESRZSA-N 0 1 255.358 0.746 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NC[C@H]1CN(C)CCN1C ZINC000437858067 389998570 /nfs/dbraw/zinc/99/85/70/389998570.db2.gz BEGXMVBJTNYELN-ZFWWWQNUSA-N 0 1 283.416 0.577 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@]1(COC)CCOC1 ZINC000491374759 390112586 /nfs/dbraw/zinc/11/25/86/390112586.db2.gz SPKRCYZYKGVBLK-UKRRQHHQSA-N 0 1 281.352 0.680 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)C(=O)Nc1cccnc1F ZINC000491452365 390113547 /nfs/dbraw/zinc/11/35/47/390113547.db2.gz LBDRQUZCPIXIGS-UHFFFAOYSA-N 0 1 292.314 0.231 20 30 CCEDMN C#CCNC(=O)CCN1CCO[C@]2(CCSC2)C1 ZINC000491496653 390114161 /nfs/dbraw/zinc/11/41/61/390114161.db2.gz RVRZVOJCTSFXRK-CYBMUJFWSA-N 0 1 268.382 0.334 20 30 CCEDMN Cc1nc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)ccc1C#N ZINC000539324564 390203680 /nfs/dbraw/zinc/20/36/80/390203680.db2.gz PYGXJZATAYRPQV-LLVKDONJSA-N 0 1 297.322 0.795 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)c1 ZINC000539324031 390204024 /nfs/dbraw/zinc/20/40/24/390204024.db2.gz FNBGQRIQQFYNDV-VIFPVBQESA-N 0 1 271.284 0.419 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000540424493 390220070 /nfs/dbraw/zinc/22/00/70/390220070.db2.gz KREJJBCAWSMELC-SECBINFHSA-N 0 1 251.290 0.108 20 30 CCEDMN CC[C@]1(O)CCN(CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000495194390 390223676 /nfs/dbraw/zinc/22/36/76/390223676.db2.gz NZATVJYVXFNQER-KBPBESRZSA-N 0 1 267.373 0.888 20 30 CCEDMN COCC#CCN1CCC[C@@H](N2CCOCC2)C1 ZINC000648018428 390250188 /nfs/dbraw/zinc/25/01/88/390250188.db2.gz WWKRXZOVDTVFAJ-CQSZACIVSA-N 0 1 252.358 0.433 20 30 CCEDMN N#Cc1cccc(NC(=O)CN2CCn3c(=O)[nH]nc3C2)c1 ZINC000545177448 390315926 /nfs/dbraw/zinc/31/59/26/390315926.db2.gz XPFXDNKQAJZIBF-UHFFFAOYSA-N 0 1 298.306 0.310 20 30 CCEDMN C=CC[C@@H](CO)CNc1nc(N)nc2c1CCCC2 ZINC000645204948 390387754 /nfs/dbraw/zinc/38/77/54/390387754.db2.gz BZJUCFRHIHDJMH-SNVBAGLBSA-N 0 1 262.357 0.786 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000649184099 390513863 /nfs/dbraw/zinc/51/38/63/390513863.db2.gz CJKDUESFJIACAL-NWDGAFQWSA-N 0 1 270.398 0.314 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N1CCN[C@@H](C(C)C)C1 ZINC000643473795 390452561 /nfs/dbraw/zinc/45/25/61/390452561.db2.gz HMKXRPZVWFBYTA-CYBMUJFWSA-N 0 1 279.384 0.643 20 30 CCEDMN CO[C@H]1C[C@@H](N(C)CC(=O)N(CCC#N)CCC#N)C1 ZINC000510139594 390465057 /nfs/dbraw/zinc/46/50/57/390465057.db2.gz KYSKVKNEFFPSGN-BETUJISGSA-N 0 1 278.356 0.752 20 30 CCEDMN C[N@@H+]1CCC[C@@H]1CNCc1cnc2c(C#N)cnn2c1 ZINC000556197744 390476100 /nfs/dbraw/zinc/47/61/00/390476100.db2.gz MGJJOKAPPNIKFK-CYBMUJFWSA-N 0 1 270.340 0.785 20 30 CCEDMN C=C[C@@H](O)C(=O)NCCN1CCc2ccccc2C1 ZINC000646642697 390702309 /nfs/dbraw/zinc/70/23/09/390702309.db2.gz HYVCFKWZOPIXGH-CQSZACIVSA-N 0 1 260.337 0.708 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000646829911 390724879 /nfs/dbraw/zinc/72/48/79/390724879.db2.gz WFVKKFHFRNRRRE-ZDUSSCGKSA-N 0 1 268.357 0.104 20 30 CCEDMN CO[C@@H](CS(=O)(=O)Nc1cc(C)c(C#N)cn1)C1CC1 ZINC000567291204 390692080 /nfs/dbraw/zinc/69/20/80/390692080.db2.gz UILCNSHJVLJBFH-LBPRGKRZSA-N 0 1 295.364 0.850 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)CCNC[C@@H]2CCCO2)nc1 ZINC000572560489 390789356 /nfs/dbraw/zinc/78/93/56/390789356.db2.gz WNYRIOUZSFFENH-LBPRGKRZSA-N 0 1 295.364 0.496 20 30 CCEDMN CN(C[C@@H](O)COc1ccccc1C#N)[C@@H]1CCNC1=O ZINC000528423245 390742862 /nfs/dbraw/zinc/74/28/62/390742862.db2.gz HLBNNXJVJBHPHS-CHWSQXEVSA-N 0 1 289.335 0.118 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)C2(C#N)CC(C)C2)C1 ZINC000532321537 390879594 /nfs/dbraw/zinc/87/95/94/390879594.db2.gz RBILIZAYASYCFY-MBHSVXNSSA-N 0 1 265.357 0.763 20 30 CCEDMN COC(=O)[C@H](CF)NS(=O)(=O)CCCCC#N ZINC000344950607 533268873 /nfs/dbraw/zinc/26/88/73/533268873.db2.gz DMLKMMBUOVIFMY-QMMMGPOBSA-N 0 1 266.294 0.111 20 30 CCEDMN CC(C)NS(=O)(=O)CCNCc1cc(C#N)n(C)c1 ZINC000190959324 130165177 /nfs/dbraw/zinc/16/51/77/130165177.db2.gz JMWDVCPAPBNDBQ-UHFFFAOYSA-N 0 1 284.385 0.314 20 30 CCEDMN CN1CCC(C#N)(NC(=O)COCC(F)(F)F)CC1 ZINC000222631334 397436321 /nfs/dbraw/zinc/43/63/21/397436321.db2.gz GDRVXHXZZMQSHR-UHFFFAOYSA-N 0 1 279.262 0.669 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2ccncc2C#N)CC1 ZINC000548154051 286011420 /nfs/dbraw/zinc/01/14/20/286011420.db2.gz RNMJALNOJIJDFJ-ZDUSSCGKSA-N 0 1 260.341 0.846 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000685830426 545857981 /nfs/dbraw/zinc/85/79/81/545857981.db2.gz UADKXVNJBYQOJM-CQSZACIVSA-N 0 1 270.373 0.229 20 30 CCEDMN CN(C)c1cc(C#N)cc(C(=O)NC2(c3nn[nH]n3)CC2)c1 ZINC000551905151 286134698 /nfs/dbraw/zinc/13/46/98/286134698.db2.gz XJSKIKPKXOIVIQ-UHFFFAOYSA-N 0 1 297.322 0.556 20 30 CCEDMN CN1CCN(CC/N=C/c2ccc(O)c(O)c2O)CC1 ZINC000022580074 171392762 /nfs/dbraw/zinc/39/27/62/171392762.db2.gz KGJPHDKXRRFHDM-XNTDXEJSSA-N 0 1 279.340 0.470 20 30 CCEDMN C=CCCn1cc(CN2CCN(C[C@@H](C)O)CC2)nn1 ZINC000653541453 412313758 /nfs/dbraw/zinc/31/37/58/412313758.db2.gz QGLZFCJLKHDKTB-CYBMUJFWSA-N 0 1 279.388 0.353 20 30 CCEDMN CC(C)c1nc2c([nH]1)CC[C@H](C(=O)NCC#CCO)C2 ZINC000655057255 412469941 /nfs/dbraw/zinc/46/99/41/412469941.db2.gz ABONYQVOQLDUEK-NSHDSACASA-N 0 1 275.352 0.750 20 30 CCEDMN CC(=O)c1[nH]c(CCN2CCO[C@@H](C#N)C2)nc1C ZINC000659905063 413960308 /nfs/dbraw/zinc/96/03/08/413960308.db2.gz TWHBSZIKTLFSEI-NSHDSACASA-N 0 1 262.313 0.688 20 30 CCEDMN CC(=O)c1[nH]c(CCN2CCO[C@H](C#N)C2)nc1C ZINC000659905062 413962418 /nfs/dbraw/zinc/96/24/18/413962418.db2.gz TWHBSZIKTLFSEI-LLVKDONJSA-N 0 1 262.313 0.688 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@@H]2NC(=O)CC[C@H]2C1 ZINC000661601675 414648544 /nfs/dbraw/zinc/64/85/44/414648544.db2.gz DKNHVCZEVHXNAM-KBPBESRZSA-N 0 1 291.395 0.788 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC(CO)(CO)C1 ZINC000661964705 414685399 /nfs/dbraw/zinc/68/53/99/414685399.db2.gz IDIPHAHEMNFLMF-ZDUSSCGKSA-N 0 1 282.384 0.252 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](CN3CCCC3=O)C2)C1=O ZINC000662055285 414689571 /nfs/dbraw/zinc/68/95/71/414689571.db2.gz SPGNWSWKIDIILI-KBPBESRZSA-N 0 1 291.395 0.718 20 30 CCEDMN C=CCCC1(CNC(=O)C2(O)CNC2)CCCC1 ZINC000662850407 414840619 /nfs/dbraw/zinc/84/06/19/414840619.db2.gz NYJXDMIQRUHUNM-UHFFFAOYSA-N 0 1 252.358 0.964 20 30 CCEDMN C=CCOCCNC(=O)NCCCc1nc[nH]n1 ZINC000664622118 415437959 /nfs/dbraw/zinc/43/79/59/415437959.db2.gz XRGNXNNJFHUUGV-UHFFFAOYSA-N 0 1 253.306 0.239 20 30 CCEDMN OC[C@H]1CC[C@@H](CO)N1CC#Cc1ccc(F)cc1 ZINC000347310094 226126870 /nfs/dbraw/zinc/12/68/70/226126870.db2.gz OXNUZWMPYOSCNJ-GASCZTMLSA-N 0 1 263.312 0.995 20 30 CCEDMN Cc1nnc(NC(=O)[C@@H]2CCc3[nH]nnc3C2)n1C ZINC000329057975 227277120 /nfs/dbraw/zinc/27/71/20/227277120.db2.gz TWJGJYPGDSKXFF-SSDOTTSWSA-N 0 1 261.289 0.635 20 30 CCEDMN Cc1nnc(NC(=O)[C@@H]2CCc3nn[nH]c3C2)n1C ZINC000329057975 227277124 /nfs/dbraw/zinc/27/71/24/227277124.db2.gz TWJGJYPGDSKXFF-SSDOTTSWSA-N 0 1 261.289 0.635 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)[C@@]2(O)CCSC2)n1 ZINC000329102274 227298555 /nfs/dbraw/zinc/29/85/55/227298555.db2.gz NGKGCVLTGJQEHX-SNVBAGLBSA-N 0 1 256.331 0.480 20 30 CCEDMN C[C@H](C[NH+]=C([O-])N[C@@H]1CC[S@@](=O)C1)Cn1cccn1 ZINC000329983965 228006153 /nfs/dbraw/zinc/00/61/53/228006153.db2.gz BRQNEHNHWLYOEB-XCJKDKRRSA-N 0 1 284.385 0.544 20 30 CCEDMN C[C@H](CNC(=O)N[C@@H]1CC[S@@](=O)C1)Cn1cccn1 ZINC000329983965 228006155 /nfs/dbraw/zinc/00/61/55/228006155.db2.gz BRQNEHNHWLYOEB-XCJKDKRRSA-N 0 1 284.385 0.544 20 30 CCEDMN O=C(N[C@H]1[C@@H]2COC[C@@H]21)N1CCO[C@H](Cn2cccn2)C1 ZINC000330024167 228019611 /nfs/dbraw/zinc/01/96/11/228019611.db2.gz JNUILNIWEPZLJE-WUHRBBMRSA-N 0 1 292.339 0.143 20 30 CCEDMN Cc1nccc(NC(=O)NC[C@@H]2CN(C)CCN2C)n1 ZINC000330100350 228039801 /nfs/dbraw/zinc/03/98/01/228039801.db2.gz DKMZYSVRFBGZMC-LLVKDONJSA-N 0 1 278.360 0.357 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC000960398341 649853905 /nfs/dbraw/zinc/85/39/05/649853905.db2.gz URAFQBMGOOSLRM-YFVNTRNASA-N 0 1 271.324 0.083 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@H](C)[C@H](O)C1 ZINC000299905896 260210255 /nfs/dbraw/zinc/21/02/55/260210255.db2.gz NCUUFCWQVOBDJX-QWHCGFSZSA-N 0 1 252.358 0.890 20 30 CCEDMN C[C@@H]1CCCN(CCNS(=O)(=O)CCCC#N)C1 ZINC000111329034 260273015 /nfs/dbraw/zinc/27/30/15/260273015.db2.gz BYESZXPRRQPZAW-GFCCVEGCSA-N 0 1 273.402 0.941 20 30 CCEDMN C[C@H]1C[C@@H](NS(=O)(=O)N(C)CCC#N)C[N@H+]1C1CC1 ZINC000126179025 260346154 /nfs/dbraw/zinc/34/61/54/260346154.db2.gz DRYYEUKGJCYRJN-WDEREUQCSA-N 0 1 286.401 0.291 20 30 CCEDMN C[C@H]1C[C@@H](NS(=O)(=O)N(C)CCC#N)CN1C1CC1 ZINC000126179025 260346155 /nfs/dbraw/zinc/34/61/55/260346155.db2.gz DRYYEUKGJCYRJN-WDEREUQCSA-N 0 1 286.401 0.291 20 30 CCEDMN N#CC1(CNC[C@H](O)Cn2cc([N+](=O)[O-])cn2)CCC1 ZINC000518365114 260384797 /nfs/dbraw/zinc/38/47/97/260384797.db2.gz BWTBZUCNRULEOK-NSHDSACASA-N 0 1 279.300 0.436 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN(C)CC1(O)CCOCC1 ZINC000162242175 261120703 /nfs/dbraw/zinc/12/07/03/261120703.db2.gz SSMFGNSWVJMHLL-CQSZACIVSA-N 0 1 297.399 0.514 20 30 CCEDMN C#CCN1CCC(C(=O)OCCN2CCO[C@@H](C)C2)CC1 ZINC000491047983 261310236 /nfs/dbraw/zinc/31/02/36/261310236.db2.gz WIOAXYHMCSNASC-AWEZNQCLSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCOC2(CCOCC2)CC1 ZINC000364259734 262191625 /nfs/dbraw/zinc/19/16/25/262191625.db2.gz HUTPZORYBYXTGE-ZDUSSCGKSA-N 0 1 282.384 0.949 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000337703376 262466681 /nfs/dbraw/zinc/46/66/81/262466681.db2.gz WDLJLBAABRFCSM-CHWSQXEVSA-N 0 1 265.357 0.717 20 30 CCEDMN CC[C@@H]1[C@@H](CO)CCN1CC(=O)NC1(C#N)CCC1 ZINC000451248111 262744503 /nfs/dbraw/zinc/74/45/03/262744503.db2.gz APHXFOHUMHRVKF-VXGBXAGGSA-N 0 1 265.357 0.642 20 30 CCEDMN N#CCN1C(=O)N[C@@]2(CCN(Cc3ccccc3)C2)C1=O ZINC000274338113 263023086 /nfs/dbraw/zinc/02/30/86/263023086.db2.gz PBDAZSKZUGANHF-OAHLLOKOSA-N 0 1 284.319 0.706 20 30 CCEDMN C[C@@H](CN1CCCCC1)NS(=O)(=O)[C@@H](C)C#N ZINC000088476946 263054044 /nfs/dbraw/zinc/05/40/44/263054044.db2.gz SKXWFCDXPWQDJO-QWRGUYRKSA-N 0 1 259.375 0.692 20 30 CCEDMN CO/N=C/C(=O)NC1CCN(Cc2ccccn2)CC1 ZINC000283176664 263081754 /nfs/dbraw/zinc/08/17/54/263081754.db2.gz XQGAIKVPZDCJCW-MHWRWJLKSA-N 0 1 276.340 0.794 20 30 CCEDMN CN(C)c1ccc(C(N)=NOCc2cnn(C)n2)cc1 ZINC000289302777 263108707 /nfs/dbraw/zinc/10/87/07/263108707.db2.gz AEPMUORVJYVLMK-UHFFFAOYSA-N 0 1 274.328 0.718 20 30 CCEDMN C=CCN(CCC#N)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000293935329 263152809 /nfs/dbraw/zinc/15/28/09/263152809.db2.gz UJWCSZANVUHDOE-UHFFFAOYSA-N 0 1 299.338 0.717 20 30 CCEDMN C#CCCN1CCN(Cc2nnnn2-c2ccccc2)CC1 ZINC000119953529 263279421 /nfs/dbraw/zinc/27/94/21/263279421.db2.gz VIPXEDHSSWSNKF-UHFFFAOYSA-N 0 1 296.378 0.803 20 30 CCEDMN CCN(CC(=O)N1CCN(C2CC2)[C@@H](C#N)C1)C1CC1 ZINC000366712207 263303779 /nfs/dbraw/zinc/30/37/79/263303779.db2.gz VMEIFHLLIHTSBW-AWEZNQCLSA-N 0 1 276.384 0.669 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@@H](O)CN1Cc1ccccc1 ZINC000340744666 263304434 /nfs/dbraw/zinc/30/44/34/263304434.db2.gz XEEDXNSQGOLDLU-BPLDGKMQSA-N 0 1 287.363 0.898 20 30 CCEDMN N#CCNC(=O)CNC1(c2cccc(C#N)c2)CC1 ZINC000341950036 263367870 /nfs/dbraw/zinc/36/78/70/263367870.db2.gz MBISAIOVNBFUCR-UHFFFAOYSA-N 0 1 254.293 0.777 20 30 CCEDMN O=C(CNC(=O)[C@@H]1CCc2[nH]nnc2C1)N1CCCCC1 ZINC000328772110 263859990 /nfs/dbraw/zinc/85/99/90/263859990.db2.gz JNXZLHIHYXYILP-SNVBAGLBSA-N 0 1 291.355 0.879 20 30 CCEDMN O=C(CNC(=O)[C@@H]1CCc2nn[nH]c2C1)N1CCCCC1 ZINC000328772110 263859991 /nfs/dbraw/zinc/85/99/91/263859991.db2.gz JNXZLHIHYXYILP-SNVBAGLBSA-N 0 1 291.355 0.879 20 30 CCEDMN CCN(CCNS(=O)(=O)CC1(C#N)CC1)C1CC1 ZINC000165122516 263914176 /nfs/dbraw/zinc/91/41/76/263914176.db2.gz TXXLVZXAZPZPKM-UHFFFAOYSA-N 0 1 271.386 0.694 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC000330694911 264004628 /nfs/dbraw/zinc/00/46/28/264004628.db2.gz BOKCZFOPHFFDDT-GFCCVEGCSA-N 0 1 261.329 0.437 20 30 CCEDMN CCOC(=O)CC1CCN([C@@H](C)C(=O)NC(=O)NC)CC1 ZINC000330751006 264029252 /nfs/dbraw/zinc/02/92/52/264029252.db2.gz IAKHBFGYOHPCAX-JTQLQIEISA-N 0 1 299.371 0.700 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)[C@H](C)CN1CCOCC1 ZINC000330843007 264065585 /nfs/dbraw/zinc/06/55/85/264065585.db2.gz YPSPCMDWUDPSOS-NWDGAFQWSA-N 0 1 253.346 0.573 20 30 CCEDMN CNC(=O)N[C@H]1CCN(CCC(=O)OC(C)C)C1 ZINC000330939779 264083020 /nfs/dbraw/zinc/08/30/20/264083020.db2.gz DEDHSXPEJBZGRT-JTQLQIEISA-N 0 1 257.334 0.536 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCn2cccn2)C[C@@H](C(N)=O)O1 ZINC000330961026 264089111 /nfs/dbraw/zinc/08/91/11/264089111.db2.gz JNOUVMRQHPJPNW-ZJUUUORDSA-N 0 1 281.316 0.422 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)C[C@H]1C(C)C ZINC000330970448 264091677 /nfs/dbraw/zinc/09/16/77/264091677.db2.gz JLMBUTXSOAEPSH-LBPRGKRZSA-N 0 1 282.388 0.475 20 30 CCEDMN COCCCNC(=O)N1CCOC[C@H]1c1nnc[nH]1 ZINC000331246869 264179191 /nfs/dbraw/zinc/17/91/91/264179191.db2.gz VJQMGRDQXUEKMO-VIFPVBQESA-N 0 1 269.305 0.129 20 30 CCEDMN COCCO[C@H]1CCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000189662125 264317684 /nfs/dbraw/zinc/31/76/84/264317684.db2.gz HQHALLQZMJQOAP-OLZOCXBDSA-N 0 1 283.372 0.484 20 30 CCEDMN C=CCC[C@@H](O)CN1CCO[C@@H](c2n[nH]c(C)n2)C1 ZINC000132020093 264378841 /nfs/dbraw/zinc/37/88/41/264378841.db2.gz UCRFRPMOESXSGH-VXGBXAGGSA-N 0 1 266.345 0.814 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H](C)C(=O)NC)CC1 ZINC000081440816 264425211 /nfs/dbraw/zinc/42/52/11/264425211.db2.gz LKGZUIVKJQPZKC-LBPRGKRZSA-N 0 1 279.384 0.172 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@H](C(=O)OC)C2)C1=O ZINC000282070490 264494439 /nfs/dbraw/zinc/49/44/39/264494439.db2.gz SVVRAYASLFMREM-NWDGAFQWSA-N 0 1 266.341 0.658 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000291400585 264829601 /nfs/dbraw/zinc/82/96/01/264829601.db2.gz IPPQRTXLKJVGBU-PRHODGIISA-N 0 1 252.318 0.871 20 30 CCEDMN CN(CC(=O)N1CC(C)(C#N)C1)[C@@H]1CCSC1 ZINC000336085910 265936632 /nfs/dbraw/zinc/93/66/32/265936632.db2.gz PSJIPJGYIFFJKQ-SNVBAGLBSA-N 0 1 253.371 0.796 20 30 CCEDMN CC(=O)N1CCN(CCC(=O)N(C)CCC#N)[C@H](C)C1 ZINC000357001090 266228424 /nfs/dbraw/zinc/22/84/24/266228424.db2.gz MEHKVKTUNKZBQA-GFCCVEGCSA-N 0 1 280.372 0.301 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C)[C@@H](C)[C@@H]1C ZINC000357076321 266243522 /nfs/dbraw/zinc/24/35/22/266243522.db2.gz WTIVAXFQLJAEIM-STQMWFEESA-N 0 1 266.389 0.773 20 30 CCEDMN C=CCOC[C@H](NCc1cn[nH]c1C)C(=O)OC ZINC000361109003 266784970 /nfs/dbraw/zinc/78/49/70/266784970.db2.gz DESAOOALTJYDRW-NSHDSACASA-N 0 1 253.302 0.552 20 30 CCEDMN N#CCCCS(=O)(=O)NC[C@H]1CN2CCC[C@@H]2CO1 ZINC000362773163 267043976 /nfs/dbraw/zinc/04/39/76/267043976.db2.gz BAFBGGQFQBMASP-NEPJUHHUSA-N 0 1 287.385 0.073 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCOC(C)(C)C1 ZINC000377257344 268061224 /nfs/dbraw/zinc/06/12/24/268061224.db2.gz OGXLDMRMCMQIOK-UHFFFAOYSA-N 0 1 269.345 0.499 20 30 CCEDMN Cn1ncc(C(=O)N[C@@H]2CCCc3cn[nH]c32)c1C#N ZINC000527000928 268133617 /nfs/dbraw/zinc/13/36/17/268133617.db2.gz QOGUQQRXXXTNGD-SNVBAGLBSA-N 0 1 270.296 0.822 20 30 CCEDMN C=C[C@@H](CO)NS(=O)(=O)c1c(F)cccc1[N+](=O)[O-] ZINC000349786088 275750254 /nfs/dbraw/zinc/75/02/54/275750254.db2.gz WTIDHHBPRWRCTI-ZETCQYMHSA-N 0 1 290.272 0.559 20 30 CCEDMN CC#CCN[C@@H]1CC(=O)N(C)[C@H]1c1cnn(C)c1C ZINC000332359998 277927810 /nfs/dbraw/zinc/92/78/10/277927810.db2.gz BATRTIBZEJRTIP-OCCSQVGLSA-N 0 1 260.341 0.613 20 30 CCEDMN C[C@@H]1CN(C)[C@@H](C)CN1CC(=O)NC1(C#N)CCC1 ZINC000347014924 288099956 /nfs/dbraw/zinc/09/99/56/288099956.db2.gz UUUJRBMZJGAWBU-NWDGAFQWSA-N 0 1 264.373 0.573 20 30 CCEDMN C[C@@H]1[C@H](C)[S@@](=O)CCN1C(=O)NC[C@H]1CCCN1C ZINC000329591645 289028289 /nfs/dbraw/zinc/02/82/89/289028289.db2.gz NWQGVMNUXVRPOV-GHMWRUEGSA-N 0 1 287.429 0.836 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)[C@H]2CCc3cnn(C)c3C2)[nH]1 ZINC000408194859 294201017 /nfs/dbraw/zinc/20/10/17/294201017.db2.gz ZRAURNHINDTOKE-VIFPVBQESA-N 0 1 284.323 0.191 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN[C@@H](CCO)c1ccco1 ZINC000570720930 327614269 /nfs/dbraw/zinc/61/42/69/327614269.db2.gz POFXINOBYNHGTN-STQMWFEESA-N 0 1 281.356 0.499 20 30 CCEDMN CNS(=O)(=O)NC1CCN(CCC(C)(C)C#N)CC1 ZINC000361381647 328922059 /nfs/dbraw/zinc/92/20/59/328922059.db2.gz PFLIVYDQQQLIJS-UHFFFAOYSA-N 0 1 288.417 0.444 20 30 CCEDMN C=CCN1CC[C@H](N2CCSC[C@@H](C(=O)OC)C2)C1=O ZINC000412084485 328103104 /nfs/dbraw/zinc/10/31/04/328103104.db2.gz TUPNYQCQRAIKBJ-RYUDHWBXSA-N 0 1 298.408 0.611 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](CO)[C@H]1C ZINC000412065244 328103661 /nfs/dbraw/zinc/10/36/61/328103661.db2.gz CPQXFQJKNKXZBT-CHWSQXEVSA-N 0 1 267.373 0.841 20 30 CCEDMN N#CCN1CCC[C@@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC000267102011 328172559 /nfs/dbraw/zinc/17/25/59/328172559.db2.gz AEFKDZBCVUBKQO-NSHDSACASA-N 0 1 298.350 0.923 20 30 CCEDMN O=C1NCC[C@H]1O/N=C/c1ccc(N2CCOCC2)cc1 ZINC000269275456 328190311 /nfs/dbraw/zinc/19/03/11/328190311.db2.gz IEAKFYPJNJXJAP-SWEABUAFSA-N 0 1 289.335 0.762 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@](C)(O)C1 ZINC000177970725 329190905 /nfs/dbraw/zinc/19/09/05/329190905.db2.gz BQXOJVKATVBIOQ-DGCLKSJQSA-N 0 1 253.346 0.451 20 30 CCEDMN C[C@@H]1CN(c2nccc(C#N)n2)C[C@H](C)N1CCO ZINC000582826504 329274819 /nfs/dbraw/zinc/27/48/19/329274819.db2.gz NPUPMRADYKNFFN-PHIMTYICSA-N 0 1 261.329 0.240 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CN(CCC#N)CCC#N)C[C@@H](C)O1 ZINC000340728802 328399235 /nfs/dbraw/zinc/39/92/35/328399235.db2.gz QSYHGACMONULRK-KFWWJZLASA-N 0 1 294.399 0.586 20 30 CCEDMN C#CCNC(=O)NCc1ccc(N2CCN(C)CC2)nc1 ZINC000075561295 328483876 /nfs/dbraw/zinc/48/38/76/328483876.db2.gz QJHAJJXELRMMHF-UHFFFAOYSA-N 0 1 287.367 0.266 20 30 CCEDMN CCN1CCN(CC(=O)NC2(C#N)CCC2)CC1 ZINC000408124318 327084990 /nfs/dbraw/zinc/08/49/90/327084990.db2.gz OQORMQKTQAZYIW-UHFFFAOYSA-N 0 1 250.346 0.186 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N(C)C[C@@H](C)O ZINC000159463501 327196724 /nfs/dbraw/zinc/19/67/24/327196724.db2.gz XIOOXQYJTSCJHE-WZRBSPASSA-N 0 1 255.362 0.742 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@]2(C)CCCO2)CC1 ZINC000094789155 327250517 /nfs/dbraw/zinc/25/05/17/327250517.db2.gz LBWRLXHYVQCBBA-GFCCVEGCSA-N 0 1 251.330 0.660 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@@H]1C[C@H](C)O ZINC000330366367 327347615 /nfs/dbraw/zinc/34/76/15/327347615.db2.gz OWAKQGCOTRKBHQ-NWDGAFQWSA-N 0 1 283.372 0.828 20 30 CCEDMN CCNC(=O)NC(=O)CN1CCC(CCCO)CC1 ZINC000330800161 327368101 /nfs/dbraw/zinc/36/81/01/327368101.db2.gz INUUADZXYDVIBR-UHFFFAOYSA-N 0 1 271.361 0.521 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC([C@@H]2NC(=O)C2(C)C)CC1 ZINC000562477467 327484751 /nfs/dbraw/zinc/48/47/51/327484751.db2.gz AQFAYCBZNPRSKR-YPMHNXCESA-N 0 1 293.411 0.914 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[C@H](CC(N)=O)C1 ZINC000377605610 329020123 /nfs/dbraw/zinc/02/01/23/329020123.db2.gz UNRKRPKAHUFXIF-QWHCGFSZSA-N 0 1 279.384 0.773 20 30 CCEDMN CC[C@H](C#N)NC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000171802615 329080447 /nfs/dbraw/zinc/08/04/47/329080447.db2.gz FQJPJEXEXIOFCU-CYBMUJFWSA-N 0 1 287.367 0.865 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)Nc1cc(C#N)ncn1 ZINC000582643834 329116637 /nfs/dbraw/zinc/11/66/37/329116637.db2.gz YNCFJQFECVFQRW-NSHDSACASA-N 0 1 260.345 0.396 20 30 CCEDMN C[C@H]1CCCN(CCNS(=O)(=O)CC2(C#N)CC2)C1 ZINC000180406342 329216082 /nfs/dbraw/zinc/21/60/82/329216082.db2.gz FHMOFSFFLMEWHV-LBPRGKRZSA-N 0 1 285.413 0.941 20 30 CCEDMN C=C(C)CN(C)[C@@H](C)C(=O)N1CCN(C(C)=O)CC1 ZINC000181300856 329230498 /nfs/dbraw/zinc/23/04/98/329230498.db2.gz SUDXJVLCDNIDFG-LBPRGKRZSA-N 0 1 267.373 0.574 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@H](n3ccnn3)C2)C1=O ZINC000583021360 329298675 /nfs/dbraw/zinc/29/86/75/329298675.db2.gz QWBZKCYSJROBEC-STQMWFEESA-N 0 1 275.356 0.702 20 30 CCEDMN N#Cc1nccc(N2CCC[C@H](c3n[nH]c(=O)o3)C2)n1 ZINC000583035771 329306220 /nfs/dbraw/zinc/30/62/20/329306220.db2.gz XAXLGWLPIXRFHU-QMMMGPOBSA-N 0 1 272.268 0.821 20 30 CCEDMN N#CCC1CCN(CC(=O)NC2CCOCC2)CC1 ZINC000397400648 329353295 /nfs/dbraw/zinc/35/32/95/329353295.db2.gz UBPXDXLRSBLUCA-UHFFFAOYSA-N 0 1 265.357 0.907 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)NCC2(CC#N)CC2)[C@@H](C)CN1 ZINC000418822868 329583693 /nfs/dbraw/zinc/58/36/93/329583693.db2.gz HXTOWGKWPIGBEZ-QWRGUYRKSA-N 0 1 278.356 0.005 20 30 CCEDMN C=CCCC[C@H](C)NC(=O)C(=O)N1CCN[C@H](C)C1 ZINC000419133713 329709248 /nfs/dbraw/zinc/70/92/48/329709248.db2.gz GBRJTWXLEAMVAP-NWDGAFQWSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCCCCCN(C)C(=O)C(=O)N1CCNCC1 ZINC000423328699 330191231 /nfs/dbraw/zinc/19/12/31/330191231.db2.gz AREQRJGDCCYFQA-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN CC#CCNC(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000527834633 330287042 /nfs/dbraw/zinc/28/70/42/330287042.db2.gz QZJWAKCNPZUNPY-UHFFFAOYSA-N 0 1 279.384 0.906 20 30 CCEDMN CC1CCN(CC(=O)N2CCO[C@@H](C#N)C2)CC1 ZINC000136835331 330314030 /nfs/dbraw/zinc/31/40/30/330314030.db2.gz NMYBSOXNZRVWOT-LBPRGKRZSA-N 0 1 251.330 0.469 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@](C)(N)c2ccccc2)nn1 ZINC000424205561 330327688 /nfs/dbraw/zinc/32/76/88/330327688.db2.gz GDXZTWDRYAPFPX-HNNXBMFYSA-N 0 1 285.351 0.954 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCN(C)CC1)N1CCSCC1 ZINC000533089073 330332749 /nfs/dbraw/zinc/33/27/49/330332749.db2.gz XMEPDLWQMNWPAY-GFCCVEGCSA-N 0 1 296.440 0.528 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)CC(C)(C)CO ZINC000451249513 331021871 /nfs/dbraw/zinc/02/18/71/331021871.db2.gz PWNIGWFFTYMHOC-UHFFFAOYSA-N 0 1 255.362 0.699 20 30 CCEDMN CCO[C@@H]1COC[C@H]1NCC(=O)N(CC)CCC#N ZINC000451937706 331047634 /nfs/dbraw/zinc/04/76/34/331047634.db2.gz MVQFQAYVUMTCMY-VXGBXAGGSA-N 0 1 269.345 0.142 20 30 CCEDMN C=C(C)CCNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000454657730 331150670 /nfs/dbraw/zinc/15/06/70/331150670.db2.gz QHYLBYUINDRVIL-CYBMUJFWSA-N 0 1 268.405 0.888 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC([C@H](C)O)CC2)CC1 ZINC000490672229 332101863 /nfs/dbraw/zinc/10/18/63/332101863.db2.gz WWIGMXAGUDRWQJ-ZDUSSCGKSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC([C@@H](C)O)CC2)CC1 ZINC000490672228 332101947 /nfs/dbraw/zinc/10/19/47/332101947.db2.gz WWIGMXAGUDRWQJ-CYBMUJFWSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCC(C(=O)NCC[C@@H](C)[S@](C)=O)CC1 ZINC000490830893 332118453 /nfs/dbraw/zinc/11/84/53/332118453.db2.gz NNJXQFSUZXOEHA-BLVKFPJESA-N 0 1 284.425 0.605 20 30 CCEDMN C#CCN1CCC(C(=O)OCCCNC(C)=O)CC1 ZINC000490871301 332122903 /nfs/dbraw/zinc/12/29/03/332122903.db2.gz AYYQGDFTMNBFSG-UHFFFAOYSA-N 0 1 266.341 0.401 20 30 CCEDMN C#CCN(CC#CC)C(=O)CN(C)[C@@H]1CCSC1 ZINC000490871117 332122990 /nfs/dbraw/zinc/12/29/90/332122990.db2.gz AJSLXXIBSHWTCZ-CYBMUJFWSA-N 0 1 264.394 0.909 20 30 CCEDMN C#CCN1CCC(C(=O)N[C@@H](C)C[S@](C)=O)CC1 ZINC000490950843 332132638 /nfs/dbraw/zinc/13/26/38/332132638.db2.gz UAHICGAMNVJSNC-VOJFVSQTSA-N 0 1 270.398 0.215 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOC[C@H]2C[C@H](C)O)CC1 ZINC000490951069 332132655 /nfs/dbraw/zinc/13/26/55/332132655.db2.gz VYNFDKAEUXDSBY-DZGCQCFKSA-N 0 1 294.395 0.330 20 30 CCEDMN C#C[C@@H](NC(=O)CN(C)c1ncnc2[nH]cnc21)C(C)C ZINC000490961268 332133446 /nfs/dbraw/zinc/13/34/46/332133446.db2.gz GFXZZHKIBUPRKR-SNVBAGLBSA-N 0 1 286.339 0.563 20 30 CCEDMN C#CCN1CCN(C(=O)COc2cc(C)nc(C)c2)CC1 ZINC000491039028 332141431 /nfs/dbraw/zinc/14/14/31/332141431.db2.gz MJASAFJATKYXLC-UHFFFAOYSA-N 0 1 287.363 0.855 20 30 CCEDMN C#CCNC(=O)C1CCN([C@@H](C)C(=O)NC(C)(C)C)CC1 ZINC000491106368 332150571 /nfs/dbraw/zinc/15/05/71/332150571.db2.gz YEWPFBBVIWVRDN-LBPRGKRZSA-N 0 1 293.411 0.751 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)c1cnc(CCOCCOC)[nH]1 ZINC000491125313 332153477 /nfs/dbraw/zinc/15/34/77/332153477.db2.gz DBAVUMZRVNUCSW-LLVKDONJSA-N 0 1 279.340 0.709 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)CC1OCCO1 ZINC000491181294 332161659 /nfs/dbraw/zinc/16/16/59/332161659.db2.gz RUKUWNMBNORUSL-NSHDSACASA-N 0 1 253.298 0.390 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)[C@H]2CCOC2)CC1 ZINC000491229481 332170214 /nfs/dbraw/zinc/17/02/14/332170214.db2.gz HJEUTZVMVUFYHL-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C(=O)NC[C@H]1CN(C)CCN1C)C1CC1 ZINC000491230078 332170522 /nfs/dbraw/zinc/17/05/22/332170522.db2.gz KMQKMSNKJNYKGL-ZDUSSCGKSA-N 0 1 264.373 0.039 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1n[nH]c(C(C)C)c1[N+](=O)[O-] ZINC000491297211 332184453 /nfs/dbraw/zinc/18/44/53/332184453.db2.gz PHFIIPBFPFWAJP-SECBINFHSA-N 0 1 292.295 0.916 20 30 CCEDMN CCOC(=O)NCCC(=O)NC1(C#N)CCN(C)CC1 ZINC000491312066 332187703 /nfs/dbraw/zinc/18/77/03/332187703.db2.gz LDGLJYWLGRWXMY-UHFFFAOYSA-N 0 1 282.344 0.227 20 30 CCEDMN C#C[C@@H](C)N(C)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000491451950 332213983 /nfs/dbraw/zinc/21/39/83/332213983.db2.gz IKQYFDKHEIQRKH-SNVBAGLBSA-N 0 1 277.349 0.962 20 30 CCEDMN C#CCN(C(=O)C(=O)N1CCN[C@@H](C)C1)C1CCCC1 ZINC000491524872 332229838 /nfs/dbraw/zinc/22/98/38/332229838.db2.gz ORWSHLUHLFXWQN-LBPRGKRZSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCNC(=O)CCNCc1ncc(CC)s1 ZINC000491542644 332233664 /nfs/dbraw/zinc/23/36/64/332233664.db2.gz BJEJVGYFOJKMNZ-UHFFFAOYSA-N 0 1 251.355 0.935 20 30 CCEDMN C#CCNC(=O)CCNCc1cn2ccsc2n1 ZINC000491535532 332231299 /nfs/dbraw/zinc/23/12/99/332231299.db2.gz CLTWULANXULDHD-UHFFFAOYSA-N 0 1 262.338 0.625 20 30 CCEDMN C#CCNC(=O)C1CCN(C(=O)[C@H](C)N2CC(C)C2)CC1 ZINC000491542823 332233717 /nfs/dbraw/zinc/23/37/17/332233717.db2.gz CIXYUYZJWUDIHB-ZDUSSCGKSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CCC(C(=O)NOCCOC(C)C)CC1 ZINC000491634199 332255601 /nfs/dbraw/zinc/25/56/01/332255601.db2.gz QZSSZNHCSKXNQQ-UHFFFAOYSA-N 0 1 268.357 0.804 20 30 CCEDMN C#C[C@@H](NC(=O)N[C@H](C)CN1CCN(C)CC1)C(C)(C)C ZINC000491662355 332271832 /nfs/dbraw/zinc/27/18/32/332271832.db2.gz BPVQVUSJLLWQCW-ZIAGYGMSSA-N 0 1 294.443 0.969 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1C[C@H]1C(=O)OC ZINC000491712430 332303814 /nfs/dbraw/zinc/30/38/14/332303814.db2.gz YDMTVMRDQZONOL-IJLUTSLNSA-N 0 1 265.309 0.436 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1csc(=NC2CC2)[nH]1 ZINC000491729431 332311866 /nfs/dbraw/zinc/31/18/66/332311866.db2.gz IIJYCZKFAFMGPX-JTQLQIEISA-N 0 1 277.349 0.614 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC/C=C\CC)CC1 ZINC000491742156 332320769 /nfs/dbraw/zinc/32/07/69/332320769.db2.gz LDFYJMMXVGEXDK-WAYWQWQTSA-N 0 1 263.385 0.710 20 30 CCEDMN C#CCNC(=O)CN1CCN(C[C@H]2CCC(F)(F)C2)CC1 ZINC000491752023 332328212 /nfs/dbraw/zinc/32/82/12/332328212.db2.gz YSLNLOIRAJOOFB-ZDUSSCGKSA-N 0 1 299.365 0.789 20 30 CCEDMN C#CCN(C)C[C@@H](O)COc1ccc2c(c1)OCO2 ZINC000491767460 332335238 /nfs/dbraw/zinc/33/52/38/332335238.db2.gz GUHYWSNXBPFKKU-LLVKDONJSA-N 0 1 263.293 0.720 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCN(C(C)(C)COC)CC1 ZINC000491768229 332335782 /nfs/dbraw/zinc/33/57/82/332335782.db2.gz KBPQRBGVEVPTDD-CYBMUJFWSA-N 0 1 281.400 0.167 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000491810995 332363655 /nfs/dbraw/zinc/36/36/55/332363655.db2.gz AVISWWHBNNUPSU-LSDHHAIUSA-N 0 1 294.443 0.922 20 30 CCEDMN C=CCN1CC[C@H](N2CCC3(COC(=O)N3)CC2)C1=O ZINC000492968460 332420602 /nfs/dbraw/zinc/42/06/02/332420602.db2.gz LZPCCOCQRPHUGS-NSHDSACASA-N 0 1 279.340 0.348 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(COC(=O)N2)CC1 ZINC000495291051 332514075 /nfs/dbraw/zinc/51/40/75/332514075.db2.gz DLVHCJWIYMRCDP-JTQLQIEISA-N 0 1 267.329 0.252 20 30 CCEDMN C#CCN(C)CC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000192229514 333233049 /nfs/dbraw/zinc/23/30/49/333233049.db2.gz JVPUXBWYMPIOAX-CQSZACIVSA-N 0 1 281.400 0.024 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000192807728 333239456 /nfs/dbraw/zinc/23/94/56/333239456.db2.gz CGVAUFCXUYIWOA-UONOGXRCSA-N 0 1 296.415 0.135 20 30 CCEDMN C[C@@H](CN(C)C(=O)C1(C#N)CC(C)C1)c1nn[n-]n1 ZINC000547811511 334120929 /nfs/dbraw/zinc/12/09/29/334120929.db2.gz BLIJOWOIISMDOV-XEVUQIKYSA-N 0 1 262.317 0.701 20 30 CCEDMN C[C@@H](CN(C)C(=O)C1(C#N)CC(C)C1)c1nn[nH]n1 ZINC000547811511 334120930 /nfs/dbraw/zinc/12/09/30/334120930.db2.gz BLIJOWOIISMDOV-XEVUQIKYSA-N 0 1 262.317 0.701 20 30 CCEDMN CCN(CCCO)CC(=O)N(CCC#N)CCC#N ZINC000135508302 334132070 /nfs/dbraw/zinc/13/20/70/334132070.db2.gz CVFKANVKJHVDOG-UHFFFAOYSA-N 0 1 266.345 0.347 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCCN([C@H]2CC[C@H](C#N)C2)C1 ZINC000549919411 334208545 /nfs/dbraw/zinc/20/85/45/334208545.db2.gz IFRHCZDSNGYLLK-SDDRHHMPSA-N 0 1 271.386 0.692 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@](C)(C#N)C2CC2)[C@@H]1CO ZINC000248967049 334323302 /nfs/dbraw/zinc/32/33/02/334323302.db2.gz RZZREDRIHYVKRD-MPKXVKKWSA-N 0 1 265.357 0.498 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H]([C@H](C)O)C1 ZINC000089933082 334353841 /nfs/dbraw/zinc/35/38/41/334353841.db2.gz NTZSUUZKICGCEX-QWRGUYRKSA-N 0 1 269.345 0.091 20 30 CCEDMN CC(C)(NCC(=O)NCC#N)C(=O)NCC1CCCCC1 ZINC000155229847 334716887 /nfs/dbraw/zinc/71/68/87/334716887.db2.gz IJTLRUDIAVTCPE-UHFFFAOYSA-N 0 1 294.399 0.691 20 30 CCEDMN CC1(C)C[NH2+]CC[C@@H]1[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000580571873 335285876 /nfs/dbraw/zinc/28/58/76/335285876.db2.gz HJRVNNWPXYAJPW-JTQLQIEISA-N 0 1 283.353 0.818 20 30 CCEDMN C=CCNC(=O)CNCc1ccc2c(c1)sc(=O)n2C ZINC000192671694 335289323 /nfs/dbraw/zinc/28/93/23/335289323.db2.gz IHEWHHCCCKTPTJ-UHFFFAOYSA-N 0 1 291.376 0.992 20 30 CCEDMN CNS(=O)(=O)C1CCN([C@H](C)CCC#N)CC1 ZINC000577497465 335359684 /nfs/dbraw/zinc/35/96/84/335359684.db2.gz ZNFIAKIKPKXUAS-SNVBAGLBSA-N 0 1 259.375 0.692 20 30 CCEDMN C[C@@H](NC[C@H](O)CN(C)CCC#N)c1ccn(C)n1 ZINC000577722642 335392364 /nfs/dbraw/zinc/39/23/64/335392364.db2.gz VOOMOICPXYEPNS-NEPJUHHUSA-N 0 1 265.361 0.277 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC[C@@H]3CO)cnc12 ZINC000577730913 335393703 /nfs/dbraw/zinc/39/37/03/335393703.db2.gz DFBKQFKBJUJABC-GFCCVEGCSA-N 0 1 257.297 0.558 20 30 CCEDMN N#CC1(F)CCN(CCCN2C(=O)CNC2=O)CC1 ZINC000352492243 534567151 /nfs/dbraw/zinc/56/71/51/534567151.db2.gz XCKIWIWJAKLXES-UHFFFAOYSA-N 0 1 268.292 0.256 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)c2cnn(C)c2C#N)C[C@@H]1C ZINC000356629055 521729169 /nfs/dbraw/zinc/72/91/69/521729169.db2.gz UTMSQWBODYBSLV-QWRGUYRKSA-N 0 1 275.356 0.846 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N(C)Cc1cc[nH]n1)CC2 ZINC000329229265 534702503 /nfs/dbraw/zinc/70/25/03/534702503.db2.gz CLDQGLNMVGQPTD-SNVBAGLBSA-N 0 1 289.343 0.670 20 30 CCEDMN N#Cc1c(F)cccc1C(=O)NCCc1nc[nH]n1 ZINC000427260392 534764390 /nfs/dbraw/zinc/76/43/90/534764390.db2.gz MFLHUFHJUDIHSO-UHFFFAOYSA-N 0 1 259.244 0.788 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N1CCC[C@H](O)C1 ZINC000330267840 534764856 /nfs/dbraw/zinc/76/48/56/534764856.db2.gz IIEDTBYXHLLVSY-MNOVXSKESA-N 0 1 264.329 0.569 20 30 CCEDMN CC#CCCNC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000353403693 526322455 /nfs/dbraw/zinc/32/24/55/526322455.db2.gz DGUAKBPTOKEZCY-UHFFFAOYSA-N 0 1 263.345 0.402 20 30 CCEDMN CC#CCN1CCN(C(=O)c2cc(C)[nH]n2)C[C@H]1C ZINC000334640016 526365265 /nfs/dbraw/zinc/36/52/65/526365265.db2.gz RWBVUOIRACQNGL-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1cn[nH]c1C ZINC000666033370 545111579 /nfs/dbraw/zinc/11/15/79/545111579.db2.gz LLKAHQAJWAKRJX-GFCCVEGCSA-N 0 1 264.329 0.805 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC(COC)CC1 ZINC000112355206 526601433 /nfs/dbraw/zinc/60/14/33/526601433.db2.gz DTSCUDUUUXBZCF-LLVKDONJSA-N 0 1 283.372 0.745 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC([C@@H](C)O)CC1 ZINC000111288308 526606669 /nfs/dbraw/zinc/60/66/69/526606669.db2.gz ANSDOFRAEFJXGH-WDEREUQCSA-N 0 1 283.372 0.480 20 30 CCEDMN N#Cc1cscc1C(=O)N1CCc2[nH]nnc2C1 ZINC000340871600 526783924 /nfs/dbraw/zinc/78/39/24/526783924.db2.gz NPCPCXUMIBRBBF-UHFFFAOYSA-N 0 1 259.294 0.936 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@@H]1CCCN(C)[C@@H]1C ZINC000347823134 527600806 /nfs/dbraw/zinc/60/08/06/527600806.db2.gz ZNBMRTFAPHZYGY-CHWSQXEVSA-N 0 1 279.384 0.786 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000668670903 545186427 /nfs/dbraw/zinc/18/64/27/545186427.db2.gz NIMRMPSFTICBKG-DZGCQCFKSA-N 0 1 283.416 0.312 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)C[C@H](C)OC ZINC000353200137 528689120 /nfs/dbraw/zinc/68/91/20/528689120.db2.gz BCRZPJRYVMRJJL-NEPJUHHUSA-N 0 1 255.362 0.961 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@H]1CCCN(C)C1 ZINC000675107033 545322168 /nfs/dbraw/zinc/32/21/68/545322168.db2.gz DETGFWHKQCCMNJ-NSHDSACASA-N 0 1 253.346 0.279 20 30 CCEDMN CCN1CCN(CC(=O)NCc2cccc(C#N)c2)CC1 ZINC000349116546 535661125 /nfs/dbraw/zinc/66/11/25/535661125.db2.gz HHBUPORMKGOUGB-UHFFFAOYSA-N 0 1 286.379 0.812 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000676977510 545355857 /nfs/dbraw/zinc/35/58/57/545355857.db2.gz OXTDWXLMROFQMQ-VHSXEESVSA-N 0 1 252.318 0.627 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC(N(C)S(=O)(=O)CC)CC1 ZINC000677479195 545366041 /nfs/dbraw/zinc/36/60/41/545366041.db2.gz JKOPGLXQLFSOPQ-ZDUSSCGKSA-N 0 1 290.429 0.669 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000681992381 545468762 /nfs/dbraw/zinc/46/87/62/545468762.db2.gz ZKBPQMQSQVDENU-CYBMUJFWSA-N 0 1 299.415 0.676 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCN(CCO)C(C)(C)C1 ZINC000682038613 545471545 /nfs/dbraw/zinc/47/15/45/545471545.db2.gz SBTJAYWCXVBCBE-GFCCVEGCSA-N 0 1 269.389 0.066 20 30 CCEDMN COC[C@@H](C#N)OC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777079083 581105967 /nfs/dbraw/zinc/10/59/67/581105967.db2.gz CLRRKSIOTZTMQV-SECBINFHSA-N 0 1 263.297 0.913 20 30 CCEDMN CCc1nc([C@@H](C)NS(=O)(=O)CC(C)(C)C#N)n[nH]1 ZINC000790044026 581173442 /nfs/dbraw/zinc/17/34/42/581173442.db2.gz PQUDKUWDUATZTF-MRVPVSSYSA-N 0 1 285.373 0.897 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(n3ccnn3)C2)CC1 ZINC000779554999 581190799 /nfs/dbraw/zinc/19/07/99/581190799.db2.gz SQBRUSWQKWNCKW-UHFFFAOYSA-N 0 1 273.340 0.007 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cnc(N3CCOCC3)s2)N1 ZINC000779800411 581206439 /nfs/dbraw/zinc/20/64/39/581206439.db2.gz YZCGQOHWWQHKLW-SECBINFHSA-N 0 1 294.384 0.251 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)NCc2c[nH]nn2)cc1 ZINC000780961445 581252563 /nfs/dbraw/zinc/25/25/63/581252563.db2.gz IBMWCDFJAQHWAF-NSHDSACASA-N 0 1 257.253 0.026 20 30 CCEDMN C#CCCN1CCC(NC(=O)c2c[nH]cc(C)c2=O)CC1 ZINC000781427144 581267976 /nfs/dbraw/zinc/26/79/76/581267976.db2.gz HMRLMOHFAJQJMG-UHFFFAOYSA-N 0 1 287.363 0.901 20 30 CCEDMN COc1ccc(NC(=O)C(C#N)C(=O)c2cnn(C)n2)cc1 ZINC000793124048 581285047 /nfs/dbraw/zinc/28/50/47/581285047.db2.gz IXCLSCOADYOLPQ-NSHDSACASA-N 0 1 299.290 0.785 20 30 CCEDMN CCc1cc(CNS(=O)(=O)N(C)CCC#N)n[nH]1 ZINC000738384333 581286229 /nfs/dbraw/zinc/28/62/29/581286229.db2.gz VFWROXYSEPRIGO-UHFFFAOYSA-N 0 1 271.346 0.152 20 30 CCEDMN N#CCNC(=O)C[N@H+]1CCCC[C@@H]1[C@H]1CCCN1C(=O)[O-] ZINC000740305330 581322638 /nfs/dbraw/zinc/32/26/38/581322638.db2.gz LUSJVLZMTYFOBI-VXGBXAGGSA-N 0 1 294.355 0.623 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1CCCC[C@@H]1[C@H]1CCCN1C(=O)[O-] ZINC000740305330 581322640 /nfs/dbraw/zinc/32/26/40/581322640.db2.gz LUSJVLZMTYFOBI-VXGBXAGGSA-N 0 1 294.355 0.623 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCC[C@H]1CCN(C(=O)[O-])C1 ZINC000738461626 581333786 /nfs/dbraw/zinc/33/37/86/581333786.db2.gz VBTUGNRCIGNQSX-STQMWFEESA-N 0 1 293.367 0.590 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](F)C[C@H]2C(=O)OC)CC1 ZINC000794208612 581355740 /nfs/dbraw/zinc/35/57/40/581355740.db2.gz VJMFHQVOEYMCKD-STQMWFEESA-N 0 1 296.342 0.444 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738494306 581361571 /nfs/dbraw/zinc/36/15/71/581361571.db2.gz ACYJWKHTXCVAPO-SNVBAGLBSA-N 0 1 298.343 0.072 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738494306 581361574 /nfs/dbraw/zinc/36/15/74/581361574.db2.gz ACYJWKHTXCVAPO-SNVBAGLBSA-N 0 1 298.343 0.072 20 30 CCEDMN Cc1cnn(C)c1C=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000794923319 581391065 /nfs/dbraw/zinc/39/10/65/581391065.db2.gz NPFRTRBCVJYLAO-PHIMTYICSA-N 0 1 260.345 0.922 20 30 CCEDMN CC(N=Nc1cncnc1)c1cccc(S(N)(=O)=O)c1 ZINC000794979509 581393863 /nfs/dbraw/zinc/39/38/63/581393863.db2.gz XPOQMWIFMRAQBY-UHFFFAOYSA-N 0 1 291.336 0.960 20 30 CCEDMN N#Cc1ccncc1N1CCN(CCC2OCCO2)CC1 ZINC000795041116 581395727 /nfs/dbraw/zinc/39/57/27/581395727.db2.gz HXOIBEYUPWLJHK-UHFFFAOYSA-N 0 1 288.351 0.838 20 30 CCEDMN C#CCNC(=O)CN[C@H](CC(=O)NC)c1ccccc1 ZINC000796613639 581446267 /nfs/dbraw/zinc/44/62/67/581446267.db2.gz TUHZURSSGQUWPJ-CYBMUJFWSA-N 0 1 273.336 0.203 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)N2CCc3[nH]nnc3C2)cc1 ZINC000787079481 581456871 /nfs/dbraw/zinc/45/68/71/581456871.db2.gz RHCDSTFHIQWCBZ-ZDUSSCGKSA-N 0 1 283.291 0.295 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000765237439 581507057 /nfs/dbraw/zinc/50/70/57/581507057.db2.gz ZFIMHXRWNNXIQB-QWRGUYRKSA-N 0 1 275.356 0.642 20 30 CCEDMN C[C@H]1CC(NC(=O)c2ccc([N+](=O)[O-])cn2)=NO1 ZINC000765373305 581510093 /nfs/dbraw/zinc/51/00/93/581510093.db2.gz QIZMOAGRWWWEDF-LURJTMIESA-N 0 1 250.214 0.842 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H](C)N2CCN(C)CC2)cn1 ZINC000728985184 581526996 /nfs/dbraw/zinc/52/69/96/581526996.db2.gz JFOAAJFMLXWLFC-ZDUSSCGKSA-N 0 1 286.379 0.429 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CNC1(C(=O)NC)CCCC1 ZINC000733999966 581539038 /nfs/dbraw/zinc/53/90/38/581539038.db2.gz WOISIRWSQMUBSH-GFCCVEGCSA-N 0 1 294.399 0.643 20 30 CCEDMN CCOC(=O)NCCCC(=O)C(C#N)C(=O)NC(C)C ZINC000752477971 581544851 /nfs/dbraw/zinc/54/48/51/581544851.db2.gz URVQJGQPNQUPGS-SNVBAGLBSA-N 0 1 283.328 0.746 20 30 CCEDMN CCc1cc(CNS(=O)(=O)CC2(C#N)CC2)n[nH]1 ZINC000766049921 581548217 /nfs/dbraw/zinc/54/82/17/581548217.db2.gz BKEWIYAWHVZTDS-UHFFFAOYSA-N 0 1 268.342 0.695 20 30 CCEDMN C#CCCCN1CCN(c2nccn(C)c2=O)CC1 ZINC000766902376 581586230 /nfs/dbraw/zinc/58/62/30/581586230.db2.gz HJQCUBLVTCKBPY-UHFFFAOYSA-N 0 1 260.341 0.316 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C)[C@@H](n2ccnc2)C1 ZINC000767436900 581613590 /nfs/dbraw/zinc/61/35/90/581613590.db2.gz ZRKABYJLRPXKGE-STQMWFEESA-N 0 1 260.341 0.515 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H](C)NC(=O)CC ZINC000729808891 581615912 /nfs/dbraw/zinc/61/59/12/581615912.db2.gz GKMCCUFGADPERR-VXGBXAGGSA-N 0 1 266.341 0.542 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000767808162 581631442 /nfs/dbraw/zinc/63/14/42/581631442.db2.gz OQUVOZCWKJYKNC-JTQLQIEISA-N 0 1 298.302 0.766 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1C[C@@H](C)N(C)C[C@H]1C ZINC000769143486 581674641 /nfs/dbraw/zinc/67/46/41/581674641.db2.gz BCZRKEPDGUALFH-MCIONIFRSA-N 0 1 263.385 0.635 20 30 CCEDMN CC[C@H](C(=O)OCC(=O)NCCC#N)N(CC)CC ZINC000741032849 581728417 /nfs/dbraw/zinc/72/84/17/581728417.db2.gz NYWWYUFHLDUWKO-LLVKDONJSA-N 0 1 269.345 0.680 20 30 CCEDMN COC(=O)NCCCC(=O)C(C#N)C(=O)NC1CCCC1 ZINC000730922211 581746345 /nfs/dbraw/zinc/74/63/45/581746345.db2.gz NBZSMECARKUHQH-LLVKDONJSA-N 0 1 295.339 0.890 20 30 CCEDMN N#Cc1ccsc1C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000732091730 581802775 /nfs/dbraw/zinc/80/27/75/581802775.db2.gz OSDITKRPWCVHJF-MRVPVSSYSA-N 0 1 290.308 0.347 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CN(C)CCN2C)nc1 ZINC000732147059 581806554 /nfs/dbraw/zinc/80/65/54/581806554.db2.gz MCHUWDMLVNIGFQ-CYBMUJFWSA-N 0 1 272.352 0.039 20 30 CCEDMN N#CCOc1ccccc1C(=O)NCc1nn[nH]n1 ZINC000732157959 581807140 /nfs/dbraw/zinc/80/71/40/581807140.db2.gz MTNVHCFDCHOJAR-UHFFFAOYSA-N 0 1 258.241 0.032 20 30 CCEDMN C[C@H]1CN(CC(=O)NC2(C#N)CCCCC2)CCN1C ZINC000761076307 581885895 /nfs/dbraw/zinc/88/58/95/581885895.db2.gz NQCTZTZRCABMKP-ZDUSSCGKSA-N 0 1 278.400 0.965 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCN(C)[C@@H](C)C1 ZINC000761072839 581886105 /nfs/dbraw/zinc/88/61/05/581886105.db2.gz FINWNCKBYQGBMQ-RYUDHWBXSA-N 0 1 252.362 0.383 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCOC[C@H]2C)CC1 ZINC000773139480 581910334 /nfs/dbraw/zinc/91/03/34/581910334.db2.gz LUVNDZJKQZAOKO-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN N#Cc1cccc(OCC(=O)NCCc2nc[nH]n2)c1 ZINC000748362324 581971799 /nfs/dbraw/zinc/97/17/99/581971799.db2.gz YBBNOGMOTPYWOQ-UHFFFAOYSA-N 0 1 271.280 0.414 20 30 CCEDMN CON=CC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000774436396 581973777 /nfs/dbraw/zinc/97/37/77/581973777.db2.gz QQIFYMDGDRFEGH-LBPRGKRZSA-N 0 1 270.377 0.007 20 30 CCEDMN COC(=O)N(C)CC(=O)Nc1cc(C#N)ccc1O ZINC000748411083 581973992 /nfs/dbraw/zinc/97/39/92/581973992.db2.gz WJNMFUFEWNKVDL-UHFFFAOYSA-N 0 1 263.253 0.901 20 30 CCEDMN C#C[C@@H](NC(=O)CN(CC)C1CC1)[C@@H]1CCCO1 ZINC000775347411 582020645 /nfs/dbraw/zinc/02/06/45/582020645.db2.gz MBUCCOJJFITJEH-OLZOCXBDSA-N 0 1 250.342 0.768 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)COC(=O)CCc1nc[nH]n1 ZINC000764003394 582025457 /nfs/dbraw/zinc/02/54/57/582025457.db2.gz VHOHYDUFWHGZLP-SNVBAGLBSA-N 0 1 293.327 0.289 20 30 CCEDMN C=CCN(CC=C)C(=O)CNC1(C(N)=O)CCCC1 ZINC000750050067 582032219 /nfs/dbraw/zinc/03/22/19/582032219.db2.gz MQJTUNSXOMARAC-UHFFFAOYSA-N 0 1 265.357 0.575 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H]1CCC[C@@H]1CNC(=O)[O-] ZINC000738459855 582053087 /nfs/dbraw/zinc/05/30/87/582053087.db2.gz GLMFQKGHCMCXJX-AGIUHOORSA-N 0 1 293.367 0.637 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H]1CCC[C@@H]1CNC(=O)[O-] ZINC000738459855 582053090 /nfs/dbraw/zinc/05/30/90/582053090.db2.gz GLMFQKGHCMCXJX-AGIUHOORSA-N 0 1 293.367 0.637 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)c1nnc[nH]1)[C@H]1CCCO1 ZINC000776224639 582061324 /nfs/dbraw/zinc/06/13/24/582061324.db2.gz MKBQKMKBZVKOTH-KXUCPTDWSA-N 0 1 263.301 0.346 20 30 CCEDMN C[C@@H]1CN(C)CC1=NN=C1NS(=O)(=O)c2ccccc21 ZINC000752159484 582101954 /nfs/dbraw/zinc/10/19/54/582101954.db2.gz DHLQVYQCSIFMSB-SECBINFHSA-N 0 1 292.364 0.663 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000768375238 582105962 /nfs/dbraw/zinc/10/59/62/582105962.db2.gz GGWRARGUTZTEDV-FZMZJTMJSA-N 0 1 274.368 0.863 20 30 CCEDMN CN1C(=O)CN(N=Cc2cc(O)ccc2[N+](=O)[O-])C1=O ZINC000768380183 582106074 /nfs/dbraw/zinc/10/60/74/582106074.db2.gz OTFHZCNMVNLGNU-UHFFFAOYSA-N 0 1 278.224 0.528 20 30 CCEDMN C=C(Br)CNC(=O)NC[C@@H]1COCCN1 ZINC000861566025 613237031 /nfs/dbraw/zinc/23/70/31/613237031.db2.gz HLWCZQSOOSPVIA-MRVPVSSYSA-N 0 1 278.150 0.183 20 30 CCEDMN C[C@](C#N)(NC(=O)C[N@H+]1CCC[C@H](CC(=O)[O-])C1)C1CC1 ZINC000817727977 597081153 /nfs/dbraw/zinc/08/11/53/597081153.db2.gz PDDVZBAEYOGBOK-IAQYHMDHSA-N 0 1 293.367 0.982 20 30 CCEDMN C#CCOCCN1[C@H]2C[C@@H](C(=O)OC)O[C@H]2CC[C@H]1C ZINC000852018841 612985134 /nfs/dbraw/zinc/98/51/34/612985134.db2.gz RWTGTKQIMGYMAL-RFGFWPKPSA-N 0 1 281.352 0.820 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CC2OCCCO2)C1 ZINC001007199016 649907152 /nfs/dbraw/zinc/90/71/52/649907152.db2.gz KZSJAQRQZOXXAJ-LBPRGKRZSA-N 0 1 268.357 0.906 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N[C@@H]1CC[N@H+]2CCC[C@H]2C1 ZINC000819835504 597634156 /nfs/dbraw/zinc/63/41/56/597634156.db2.gz VUCYNNBXGDQHHF-UHIISALHSA-N 0 1 279.340 0.734 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCN1CC=C(C)CC1 ZINC000828498820 612996169 /nfs/dbraw/zinc/99/61/69/612996169.db2.gz FGNMJUBWBBQEHO-ZDUSSCGKSA-N 0 1 250.342 0.793 20 30 CCEDMN C#CC(C)(C)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000859372928 612945954 /nfs/dbraw/zinc/94/59/54/612945954.db2.gz JOUYMTXMZWMNDS-UHFFFAOYSA-N 0 1 281.337 0.595 20 30 CCEDMN CC(C)(C#N)CCC[N@@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC000087600114 601112365 /nfs/dbraw/zinc/11/23/65/601112365.db2.gz SOWQMLHQULXBQZ-SNVBAGLBSA-N 0 1 267.329 0.591 20 30 CCEDMN CC(C)(C#N)CCC[N@H+]1CCNC(=O)[C@H]1CC(=O)[O-] ZINC000087600114 601112368 /nfs/dbraw/zinc/11/23/68/601112368.db2.gz SOWQMLHQULXBQZ-SNVBAGLBSA-N 0 1 267.329 0.591 20 30 CCEDMN C#CCSCC(=O)N[C@@H](CO)CN1CCCCC1 ZINC000897725203 613013192 /nfs/dbraw/zinc/01/31/92/613013192.db2.gz PFZOCWPGUVNRIA-GFCCVEGCSA-N 0 1 270.398 0.316 20 30 CCEDMN C#CCSCCNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000896517650 613015416 /nfs/dbraw/zinc/01/54/16/613015416.db2.gz DEKZZFQPYLBAKQ-GFCCVEGCSA-N 0 1 268.382 0.190 20 30 CCEDMN C#CCSCCNC(=O)C(C)(C)CN1CCOCC1 ZINC000820193333 613015568 /nfs/dbraw/zinc/01/55/68/613015568.db2.gz VREYCKKJGTWXJV-UHFFFAOYSA-N 0 1 284.425 0.827 20 30 CCEDMN C#CCSCCNS(=O)(=O)CCN(CC)CC ZINC000810052480 613018148 /nfs/dbraw/zinc/01/81/48/613018148.db2.gz ZJTDJJOJTSTNPJ-UHFFFAOYSA-N 0 1 278.443 0.614 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000858304657 613036427 /nfs/dbraw/zinc/03/64/27/613036427.db2.gz FFSUFJUFYQXFJB-PWSUYJOCSA-N 0 1 278.356 0.987 20 30 CCEDMN C#CC1(O)CCN([C@H](C)C(=O)NCCCOCC)CC1 ZINC000931144805 612952988 /nfs/dbraw/zinc/95/29/88/612952988.db2.gz FXMCHIIQWWXMIU-CYBMUJFWSA-N 0 1 282.384 0.378 20 30 CCEDMN CC#CCn1cc(-c2nn[nH]n2)c(=O)c2nc(C)ccc21 ZINC000823944147 607716920 /nfs/dbraw/zinc/71/69/20/607716920.db2.gz YSKVFLVTHQTDMM-UHFFFAOYSA-N 0 1 280.291 0.908 20 30 CCEDMN C#CCNC(=S)NCc1nc(CSC)n[nH]1 ZINC000905582702 612953815 /nfs/dbraw/zinc/95/38/15/612953815.db2.gz JDJGTSMIQONXIN-UHFFFAOYSA-N 0 1 255.372 0.265 20 30 CCEDMN C#CCNC(=S)NCc1nnc(CSC)[nH]1 ZINC000905582702 612953816 /nfs/dbraw/zinc/95/38/16/612953816.db2.gz JDJGTSMIQONXIN-UHFFFAOYSA-N 0 1 255.372 0.265 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)N[C@@H]2CCN(CCOC)C2)C1 ZINC000888316746 613045983 /nfs/dbraw/zinc/04/59/83/613045983.db2.gz FMJLBBYXDVKUIT-ZIAGYGMSSA-N 0 1 279.384 0.762 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@@H](NC(=O)[O-])[C@@H]2C1 ZINC000833965413 605454897 /nfs/dbraw/zinc/45/48/97/605454897.db2.gz LWBAUUSPHHUHFH-QJPTWQEYSA-N 0 1 294.355 0.384 20 30 CCEDMN C#CCCNC(=O)NCc1nc(CSC)n[nH]1 ZINC000884147300 613047377 /nfs/dbraw/zinc/04/73/77/613047377.db2.gz FNAMYQAZTXKTKA-UHFFFAOYSA-N 0 1 253.331 0.490 20 30 CCEDMN C#CCCNC(=O)NCc1nnc(CSC)[nH]1 ZINC000884147300 613047378 /nfs/dbraw/zinc/04/73/78/613047378.db2.gz FNAMYQAZTXKTKA-UHFFFAOYSA-N 0 1 253.331 0.490 20 30 CCEDMN C[C@H]1C[C@@H](CNC(=O)[O-])C[N@H+]1CCC(=O)N(C)CCC#N ZINC000833828730 605751052 /nfs/dbraw/zinc/75/10/52/605751052.db2.gz DTIOBHOINNBEBK-RYUDHWBXSA-N 0 1 296.371 0.727 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)nc(N)n1C/C(Cl)=C/Cl ZINC000822814572 606867098 /nfs/dbraw/zinc/86/70/98/606867098.db2.gz JKSSEGATBQNFHR-RJRFIUFISA-N 0 1 285.098 0.836 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@H]1CCN1C1CCCC1 ZINC000822679101 613063128 /nfs/dbraw/zinc/06/31/28/613063128.db2.gz AAWSXALGXSWNJI-UONOGXRCSA-N 0 1 264.369 0.894 20 30 CCEDMN Cc1noc(C)c1CCn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000826339028 607893261 /nfs/dbraw/zinc/89/32/61/607893261.db2.gz OTCVUEUHOUOIIQ-UHFFFAOYSA-N 0 1 299.298 0.365 20 30 CCEDMN C#CC[C@H](NC(=O)c1[nH]nc2c1CCC2)C(=O)OC ZINC000837935625 613070551 /nfs/dbraw/zinc/07/05/51/613070551.db2.gz HMIKHMBIVCWYQB-JTQLQIEISA-N 0 1 261.281 0.193 20 30 CCEDMN C#CCNCC(=O)NCCNC(=O)c1ccccc1Cl ZINC000912221963 612956277 /nfs/dbraw/zinc/95/62/77/612956277.db2.gz UPUSFXOJIRNUMY-UHFFFAOYSA-N 0 1 293.754 0.409 20 30 CCEDMN C[C@H]1CC(NC(=O)c2ccc(-c3nn[nH]n3)cc2)=NO1 ZINC000824893802 608108813 /nfs/dbraw/zinc/10/88/13/608108813.db2.gz VBRFTCFTZGIWFL-ZETCQYMHSA-N 0 1 272.268 0.719 20 30 CCEDMN C#CCNCC(=O)NCc1cnn(-c2ccccc2)c1 ZINC000106083761 612956780 /nfs/dbraw/zinc/95/67/80/612956780.db2.gz BPQBXPLQUOVFMG-UHFFFAOYSA-N 0 1 268.320 0.711 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NCC[N@H+]1CC[C@@H](O)C1 ZINC000875725220 613085401 /nfs/dbraw/zinc/08/54/01/613085401.db2.gz PMNDHLIHDCFUFK-NWDGAFQWSA-N 0 1 253.346 0.154 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NCCN1CC[C@@H](O)C1 ZINC000875725220 613085403 /nfs/dbraw/zinc/08/54/03/613085403.db2.gz PMNDHLIHDCFUFK-NWDGAFQWSA-N 0 1 253.346 0.154 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000820666063 613085575 /nfs/dbraw/zinc/08/55/75/613085575.db2.gz FSEABRFBPOJMPH-MJBXVCDLSA-N 0 1 281.400 0.885 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2(CF)CCOCC2)C1 ZINC000972335688 613089018 /nfs/dbraw/zinc/08/90/18/613089018.db2.gz RWYPJXZWFYUMJV-ZDUSSCGKSA-N 0 1 282.359 0.919 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cnn(C)c2)C1 ZINC000971168294 613090983 /nfs/dbraw/zinc/09/09/83/613090983.db2.gz CTRAQTPDRJOGTC-ZDUSSCGKSA-N 0 1 260.341 0.129 20 30 CCEDMN C#C[C@@H](CO)NC(=O)c1sccc1CN(C)C ZINC000854774042 613090359 /nfs/dbraw/zinc/09/03/59/613090359.db2.gz RAGODBDVSQXCOX-JTQLQIEISA-N 0 1 252.339 0.534 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC000971747267 613090379 /nfs/dbraw/zinc/09/03/79/613090379.db2.gz VNZZSBPXOLSHAT-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(CC#CC)S(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000914194729 613100312 /nfs/dbraw/zinc/10/03/12/613100312.db2.gz RBQPECMVKXWBDW-HNNXBMFYSA-N 0 1 294.420 0.761 20 30 CCEDMN C#CCN(CC(=O)NCCC(=O)OC)C(C)(C)C ZINC000932468134 613103166 /nfs/dbraw/zinc/10/31/66/613103166.db2.gz IDVXJOSNAAJYGR-UHFFFAOYSA-N 0 1 254.330 0.399 20 30 CCEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)NC(=O)NCC=C)C1 ZINC000847022633 613106994 /nfs/dbraw/zinc/10/69/94/613106994.db2.gz IHFXIGWLLPNBCW-VXGBXAGGSA-N 0 1 263.341 0.732 20 30 CCEDMN C#CCN(Cc1ccc(S(N)(=O)=O)cc1)C1CC1 ZINC000877371919 613108652 /nfs/dbraw/zinc/10/86/52/613108652.db2.gz QZUJPYVOSHHWGF-UHFFFAOYSA-N 0 1 264.350 0.932 20 30 CCEDMN C#CC1CCN(CC(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000830305108 612964512 /nfs/dbraw/zinc/96/45/12/612964512.db2.gz GICAHDHLHIPISK-CHWSQXEVSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCC(C)(C)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000816530656 612965655 /nfs/dbraw/zinc/96/56/55/612965655.db2.gz PYBDQHUASWNAKE-CYBMUJFWSA-N 0 1 263.385 0.542 20 30 CCEDMN COc1cc([N+](=O)[O-])ccc1C(=O)NCC#CCN(C)C ZINC000913521659 617217285 /nfs/dbraw/zinc/21/72/85/617217285.db2.gz IPBASLJTDGSJMC-UHFFFAOYSA-N 0 1 291.307 0.898 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N1CCN(C(=O)[O-])C[C@@H]1C#N ZINC000824330116 614252693 /nfs/dbraw/zinc/25/26/93/614252693.db2.gz RYXSPBHLRFZIAO-JTQLQIEISA-N 0 1 268.317 0.041 20 30 CCEDMN COc1ccc(Cn2cnc(-c3nn[nH]n3)n2)cc1C#N ZINC000826208558 617263681 /nfs/dbraw/zinc/26/36/81/617263681.db2.gz PYAMQBWIYRHYGB-UHFFFAOYSA-N 0 1 282.267 0.387 20 30 CCEDMN COc1ccc(F)cc1CC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834500013 617265249 /nfs/dbraw/zinc/26/52/49/617265249.db2.gz PRJNQQKRBIPBLT-CMPLNLGQSA-N 0 1 277.299 0.605 20 30 CCEDMN COc1ccc(NC(=O)NCC#CCN(C)C)c(OC)n1 ZINC000930003789 617269562 /nfs/dbraw/zinc/26/95/62/617269562.db2.gz IOERLBHLQCETOX-UHFFFAOYSA-N 0 1 292.339 0.785 20 30 CCEDMN COc1cccc(CCC(=O)N[C@@H]2CNC[C@H]2C#N)c1F ZINC000834499298 617295937 /nfs/dbraw/zinc/29/59/37/617295937.db2.gz GZCFAYIOFWASOZ-VXGBXAGGSA-N 0 1 291.326 0.995 20 30 CCEDMN COc1cccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000841000045 617304085 /nfs/dbraw/zinc/30/40/85/617304085.db2.gz WGFCHDNDLOARPX-JOYOIKCWSA-N 0 1 260.297 0.928 20 30 CCEDMN C#CC1(O)CCN(CCn2cnc3ccccc3c2=O)CC1 ZINC000931147812 612952339 /nfs/dbraw/zinc/95/23/39/612952339.db2.gz KMINAZAWUUVVPK-UHFFFAOYSA-N 0 1 297.358 0.857 20 30 CCEDMN C#CC1(O)CCN([C@H]2CCN(C(C)(C)C)C2=O)CC1 ZINC000931151751 612953090 /nfs/dbraw/zinc/95/30/90/612953090.db2.gz RZQBRRIJKWBLQY-LBPRGKRZSA-N 0 1 264.369 0.846 20 30 CCEDMN C#CC1(O)CN(C(=O)c2cc(-c3ccc(OC)cc3)[nH]n2)C1 ZINC000890523330 612958850 /nfs/dbraw/zinc/95/88/50/612958850.db2.gz XWBJYOCDIUZGPQ-UHFFFAOYSA-N 0 1 297.314 0.905 20 30 CCEDMN C#CC1CCN([C@@H](C)C(=O)NC(=O)NC(C)C)CC1 ZINC000830311831 612965065 /nfs/dbraw/zinc/96/50/65/612965065.db2.gz WPGYKOMVEPYBFG-NSHDSACASA-N 0 1 265.357 0.954 20 30 CCEDMN C#CCC1(NC(=O)CN(C)c2ncnc3[nH]cnc32)CCC1 ZINC000886788076 612968518 /nfs/dbraw/zinc/96/85/18/612968518.db2.gz QCDPJTYMGJBBFL-UHFFFAOYSA-N 0 1 298.350 0.851 20 30 CCEDMN C#CCC1(O)CCN(C(=O)CSc2nnc(C)[nH]2)CC1 ZINC000882523624 612971462 /nfs/dbraw/zinc/97/14/62/612971462.db2.gz TXALKOGOQNDUIV-UHFFFAOYSA-N 0 1 294.380 0.582 20 30 CCEDMN C#CCC1(O)CCN(C(=O)CSc2nc(C)n[nH]2)CC1 ZINC000882523624 612971464 /nfs/dbraw/zinc/97/14/64/612971464.db2.gz TXALKOGOQNDUIV-UHFFFAOYSA-N 0 1 294.380 0.582 20 30 CCEDMN C#CCC1(O)CCN(C[C@H](C)CS(C)(=O)=O)CC1 ZINC000880480451 612973556 /nfs/dbraw/zinc/97/35/56/612973556.db2.gz UAJUHANDJAWPTF-LBPRGKRZSA-N 0 1 273.398 0.517 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000805051488 612985220 /nfs/dbraw/zinc/98/52/20/612985220.db2.gz WGFFBMTWJNDJJC-SECBINFHSA-N 0 1 270.358 0.761 20 30 CCEDMN C#CCOCCNC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935356334 612985616 /nfs/dbraw/zinc/98/56/16/612985616.db2.gz DMUIINSDIQOFHY-UHFFFAOYSA-N 0 1 279.340 0.141 20 30 CCEDMN C#CCOCCNC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000879457917 612988727 /nfs/dbraw/zinc/98/87/27/612988727.db2.gz BMMHGRVLVRGRGI-GXFFZTMASA-N 0 1 261.325 0.842 20 30 CCEDMN C#CCONC(=O)[C@H]1CCC[N@@H+](Cc2cccnc2)C1 ZINC000812782565 612992950 /nfs/dbraw/zinc/99/29/50/612992950.db2.gz YDRUONZPCXDWPQ-AWEZNQCLSA-N 0 1 273.336 0.975 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)[C@H]1CN2CCC1CC2 ZINC000823256851 612994104 /nfs/dbraw/zinc/99/41/04/612994104.db2.gz RGDVYCUZYSTNMC-AAEUAGOBSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000928083556 613002842 /nfs/dbraw/zinc/00/28/42/613002842.db2.gz UJSFBYGXEYZCPX-JHJVBQTASA-N 0 1 282.409 0.721 20 30 CCEDMN C#CCO[C@H](C)C(=O)OC[C@H]1CN(CC(C)C)CCO1 ZINC000835159991 613004238 /nfs/dbraw/zinc/00/42/38/613004238.db2.gz WKAWEDDSSDCAJW-ZIAGYGMSSA-N 0 1 283.368 0.925 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@]2(C)CNC(=O)C2)CC1 ZINC000981676832 613005529 /nfs/dbraw/zinc/00/55/29/613005529.db2.gz RSDCNWQUAZZICX-HNNXBMFYSA-N 0 1 277.368 0.070 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnc3nccn3c2)CC1 ZINC000981619206 613006493 /nfs/dbraw/zinc/00/64/93/613006493.db2.gz SSGQXDLMPMLYKV-UHFFFAOYSA-N 0 1 297.362 0.901 20 30 CCEDMN C#CCOc1ccccc1CNC(=O)CCc1nn[nH]n1 ZINC000865887558 613007675 /nfs/dbraw/zinc/00/76/75/613007675.db2.gz RNJVJHQHVAOEMR-UHFFFAOYSA-N 0 1 285.307 0.461 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)CC2CN(C(=O)[O-])C2)CC1 ZINC000823445359 613008871 /nfs/dbraw/zinc/00/88/71/613008871.db2.gz YDWSRFBRCHCTQH-UHFFFAOYSA-N 0 1 279.340 0.154 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H](C)CC(=O)[O-])CC1 ZINC000825608936 613009716 /nfs/dbraw/zinc/00/97/16/613009716.db2.gz OBQZRHPNTVSQKA-NSHDSACASA-N 0 1 252.314 0.265 20 30 CCEDMN C#CCCN1CCN(CCOCC(=O)OCC)CC1 ZINC000809967414 613011472 /nfs/dbraw/zinc/01/14/72/613011472.db2.gz AHBXSVBFOVBHLP-UHFFFAOYSA-N 0 1 268.357 0.207 20 30 CCEDMN C#CCSCC(=O)NCc1nc(CSC)n[nH]1 ZINC000894596654 613012647 /nfs/dbraw/zinc/01/26/47/613012647.db2.gz DGIUMCWDMXVUJB-UHFFFAOYSA-N 0 1 270.383 0.650 20 30 CCEDMN C#CCSCC(=O)NCc1nnc(CSC)[nH]1 ZINC000894596654 613012649 /nfs/dbraw/zinc/01/26/49/613012649.db2.gz DGIUMCWDMXVUJB-UHFFFAOYSA-N 0 1 270.383 0.650 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)N(C)Cc1cnc[nH]1 ZINC000859018071 613020000 /nfs/dbraw/zinc/02/00/00/613020000.db2.gz MUOGCJHYLCJPJA-NSHDSACASA-N 0 1 264.329 0.980 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](C)C1 ZINC000941964980 613021240 /nfs/dbraw/zinc/02/12/40/613021240.db2.gz VFPUPTSWLDLFQG-ZYHUDNBSSA-N 0 1 290.367 0.887 20 30 CCEDMN C#CC[C@@H](COC)N[C@@H](Cc1ccccc1)C(N)=O ZINC000863391700 613038677 /nfs/dbraw/zinc/03/86/77/613038677.db2.gz WCAYCULPGNWNFJ-KBPBESRZSA-N 0 1 260.337 0.711 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)CN2CCN(CC)CC2)C1 ZINC000862567390 613045322 /nfs/dbraw/zinc/04/53/22/613045322.db2.gz FKBKFSHVAYFVAK-CQSZACIVSA-N 0 1 263.385 0.496 20 30 CCEDMN C#CCCNC(=O)NC[C@H]1CCO[C@H]1c1n[nH]c(C)n1 ZINC000924184612 613047380 /nfs/dbraw/zinc/04/73/80/613047380.db2.gz CCFAWQAISICJCW-GHMZBOCLSA-N 0 1 277.328 0.513 20 30 CCEDMN C#CCCOC(=O)NC[C@H]1CN2CCN1CCC2 ZINC000917066740 613050276 /nfs/dbraw/zinc/05/02/76/613050276.db2.gz PMLQMHJKFMQYIB-LBPRGKRZSA-N 0 1 251.330 0.126 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H]1CCCN(C(C)C)C1 ZINC000808307515 613055276 /nfs/dbraw/zinc/05/52/76/613055276.db2.gz WPGWMJYMFLKPPV-LBPRGKRZSA-N 0 1 258.387 0.802 20 30 CCEDMN C#CC[C@H](CO)NC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000921532055 613055680 /nfs/dbraw/zinc/05/56/80/613055680.db2.gz HLBFBVRMOMTUBU-MWLCHTKSSA-N 0 1 264.329 0.333 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)NCc1cc(CC)[nH]n1 ZINC000798418883 613056841 /nfs/dbraw/zinc/05/68/41/613056841.db2.gz REMANXCCRFYESP-GFCCVEGCSA-N 0 1 264.329 0.546 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000799073488 613058098 /nfs/dbraw/zinc/05/80/98/613058098.db2.gz KJJXVIWXGXBGFL-GHMZBOCLSA-N 0 1 261.325 0.720 20 30 CCEDMN C#CC[C@H](CO)NCc1c(C(F)(F)F)cnn1C ZINC000883125599 613058655 /nfs/dbraw/zinc/05/86/55/613058655.db2.gz ODDGLLNVJVBBKU-MRVPVSSYSA-N 0 1 261.247 0.913 20 30 CCEDMN C#CCC[C@@H](O)CNc1cc(-n2cccn2)nc(N)n1 ZINC000798421324 613059762 /nfs/dbraw/zinc/05/97/62/613059762.db2.gz NEFLHOVTBGPXAP-SNVBAGLBSA-N 0 1 272.312 0.431 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000875929681 613061697 /nfs/dbraw/zinc/06/16/97/613061697.db2.gz UBCFAVDEULYEOA-MGPQQGTHSA-N 0 1 297.399 0.121 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000875926153 613062653 /nfs/dbraw/zinc/06/26/53/613062653.db2.gz BZZALAAOMLABCI-BFHYXJOUSA-N 0 1 297.399 0.121 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2cnn(C)c2C)C1 ZINC000971613214 613080815 /nfs/dbraw/zinc/08/08/15/613080815.db2.gz KJARJQQVEKOLME-OAHLLOKOSA-N 0 1 288.395 0.827 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2ccn(C)n2)C1 ZINC000971518485 613082005 /nfs/dbraw/zinc/08/20/05/613082005.db2.gz ZDDLUMQUNBUKEH-CYBMUJFWSA-N 0 1 260.341 0.129 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)n2cccn2)C1 ZINC000971122261 613083381 /nfs/dbraw/zinc/08/33/81/613083381.db2.gz SEQLYBVLSPYNQW-QWHCGFSZSA-N 0 1 260.341 0.610 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C#C)nc2)C1 ZINC000972755049 613085711 /nfs/dbraw/zinc/08/57/11/613085711.db2.gz CIBVMWMPTVDJLT-OAHLLOKOSA-N 0 1 267.332 0.842 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(OC)nn2)C1 ZINC000972670171 613086057 /nfs/dbraw/zinc/08/60/57/613086057.db2.gz JKFLPBNAIJAIIB-LLVKDONJSA-N 0 1 274.324 0.265 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnnc2C)C1 ZINC000971869189 613086876 /nfs/dbraw/zinc/08/68/76/613086876.db2.gz JFUHNHRTULZICM-GFCCVEGCSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cccnc2OC)C1 ZINC000972227562 613086921 /nfs/dbraw/zinc/08/69/21/613086921.db2.gz LFOVKGFAPZHRCT-GFCCVEGCSA-N 0 1 273.336 0.870 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnc3ccnn32)C1 ZINC000971666904 613086951 /nfs/dbraw/zinc/08/69/51/613086951.db2.gz DZHDULQPGDTJOW-GFCCVEGCSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc3nccn3c2)C1 ZINC000971939350 613087606 /nfs/dbraw/zinc/08/76/06/613087606.db2.gz HSBPCZDWWASYIS-CYBMUJFWSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2nnn(CC)c2CC)C1 ZINC000972317855 613088289 /nfs/dbraw/zinc/08/82/89/613088289.db2.gz QXFZLVBBPIISCX-GFCCVEGCSA-N 0 1 289.383 0.640 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2CC(OC)C2)C1 ZINC000971746219 613089506 /nfs/dbraw/zinc/08/95/06/613089506.db2.gz AXLZVLDLVFWTRE-CPCZMJQVSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@]2(C)CCCOC2)C1 ZINC000972629245 613092220 /nfs/dbraw/zinc/09/22/20/613092220.db2.gz SYPZFGYZBLRSJN-ZFWWWQNUSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(C#C)cn2)C1 ZINC000972750568 613094386 /nfs/dbraw/zinc/09/43/86/613094386.db2.gz BBJUUYSHVXVORK-AWEZNQCLSA-N 0 1 267.332 0.842 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccn(CCOC)n2)C1 ZINC000971922155 613095792 /nfs/dbraw/zinc/09/57/92/613095792.db2.gz KFGRDVAUPLIUFU-ZDUSSCGKSA-N 0 1 290.367 0.309 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc(OC)nc2)C1 ZINC000972662856 613096301 /nfs/dbraw/zinc/09/63/01/613096301.db2.gz VFMUQPFBIVRTAW-LBPRGKRZSA-N 0 1 274.324 0.265 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)CC(=C)C ZINC000922022568 613098206 /nfs/dbraw/zinc/09/82/06/613098206.db2.gz OFSSVAVIVMYNDG-UHFFFAOYSA-N 0 1 270.398 0.922 20 30 CCEDMN C#CC[N@H+](CC(=O)NCCC(=O)[O-])C1CCCC1 ZINC000825614299 613103029 /nfs/dbraw/zinc/10/30/29/613103029.db2.gz LGVKMVYXDPDZPF-UHFFFAOYSA-N 0 1 252.314 0.455 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC000957108952 613110394 /nfs/dbraw/zinc/11/03/94/613110394.db2.gz RBLKGNKWOUTXFU-GFCCVEGCSA-N 0 1 286.379 0.681 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)CN1CCC[C@@H](C(=O)OCC)C1 ZINC000907773955 613113442 /nfs/dbraw/zinc/11/34/42/613113442.db2.gz HWVPQDMFXJTSDO-QWHCGFSZSA-N 0 1 280.368 0.742 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](NC(=O)CC)C2)CC1 ZINC000939004261 613129079 /nfs/dbraw/zinc/12/90/79/613129079.db2.gz WATWPANVFKAWLV-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)[C@@H](NC(C)=O)C2)CC1 ZINC000966503398 613129723 /nfs/dbraw/zinc/12/97/23/613129723.db2.gz QXBKSIBVIGGUIW-DOMZBBRYSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CCC(C(=O)N2[C@H](C)CC[C@@H]2CO)CC1 ZINC000921989029 613129983 /nfs/dbraw/zinc/12/99/83/613129983.db2.gz CVJOMJUYNWDJIG-TZMCWYRMSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN1CCC(Nc2ccc3nccnc3n2)CC1 ZINC000895807085 613132562 /nfs/dbraw/zinc/13/25/62/613132562.db2.gz JRWLUUTUZLGZOE-UHFFFAOYSA-N 0 1 267.336 0.908 20 30 CCEDMN C#CCN1CCC(NC(=O)CCOCCCOC)CC1 ZINC000928648068 613132873 /nfs/dbraw/zinc/13/28/73/613132873.db2.gz FGFYYPIQIKYOJC-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cccnc2)CC1 ZINC000928654412 613133275 /nfs/dbraw/zinc/13/32/75/613133275.db2.gz AISLOZVQOPZXQU-UHFFFAOYSA-N 0 1 257.337 0.838 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@]2(C)CCCO2)CC1 ZINC000921396708 613134329 /nfs/dbraw/zinc/13/43/29/613134329.db2.gz HNLCDKPBZSJWHS-OAHLLOKOSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CCN1CCC(NC(=O)NOCCSC)CC1 ZINC000922288483 613134505 /nfs/dbraw/zinc/13/45/05/613134505.db2.gz DZGFZAULVWEAOU-UHFFFAOYSA-N 0 1 271.386 0.678 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2nnc(C)[nH]2)CC1 ZINC000898346577 613134517 /nfs/dbraw/zinc/13/45/17/613134517.db2.gz AYTPPYHYTTXVFR-UHFFFAOYSA-N 0 1 276.344 0.010 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](CC)COC)CC1 ZINC000919720463 613134960 /nfs/dbraw/zinc/13/49/60/613134960.db2.gz GHRSTWQQARTDQB-LBPRGKRZSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](O)C(C)(C)C)CC1 ZINC000928654607 613135696 /nfs/dbraw/zinc/13/56/96/613135696.db2.gz JCINNALSHSAHMK-GFCCVEGCSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCO[C@@H]2C)CC1 ZINC000928654338 613135744 /nfs/dbraw/zinc/13/57/44/613135744.db2.gz XGUKQGPSIWWYRD-DGCLKSJQSA-N 0 1 250.342 0.625 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C)CCN(C)CC1)C(C)C ZINC000894934539 613136112 /nfs/dbraw/zinc/13/61/12/613136112.db2.gz QEUCPWULYAQBOZ-AWEZNQCLSA-N 0 1 280.416 0.533 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@](C)(NC(C)=O)C(C)C)CC1 ZINC000830162824 613136387 /nfs/dbraw/zinc/13/63/87/613136387.db2.gz QDHYRSKOLMDPKP-INIZCTEOSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC000928657879 613136674 /nfs/dbraw/zinc/13/66/74/613136674.db2.gz COCXMNUZFWYLLO-RYUDHWBXSA-N 0 1 264.325 0.009 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc3nnn(C)c3c2)CC1 ZINC000928654196 613137270 /nfs/dbraw/zinc/13/72/70/613137270.db2.gz QYHZWVLOWNGLDC-UHFFFAOYSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C#N)[nH]2)CC1 ZINC000928654686 613137313 /nfs/dbraw/zinc/13/73/13/613137313.db2.gz MIWFBUCRHGXQEZ-UHFFFAOYSA-N 0 1 256.309 0.714 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@]2(COC)CCOC2)CC1 ZINC000928651080 613137351 /nfs/dbraw/zinc/13/73/51/613137351.db2.gz MADXHBRHWMOFEX-HNNXBMFYSA-N 0 1 280.368 0.253 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccn3c(=O)[nH]nc23)CC1 ZINC000928654694 613137649 /nfs/dbraw/zinc/13/76/49/613137649.db2.gz MRSSQSVZCGFNCD-UHFFFAOYSA-N 0 1 299.334 0.262 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc[nH]c2=O)CC1 ZINC000928659630 613137839 /nfs/dbraw/zinc/13/78/39/613137839.db2.gz VIOVNUMVZOUZOT-UHFFFAOYSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CCC(NC(=S)NCCOCC)CC1 ZINC000905657034 613138100 /nfs/dbraw/zinc/13/81/00/613138100.db2.gz YGCVLJVAHYGZLK-UHFFFAOYSA-N 0 1 269.414 0.585 20 30 CCEDMN C#CCN1CCC(NC(=S)NCC2CC2)CC1 ZINC000905656668 613138150 /nfs/dbraw/zinc/13/81/50/613138150.db2.gz OCOZNOMWOJUCAX-UHFFFAOYSA-N 0 1 251.399 0.958 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nccnc2Cl)CC1 ZINC000928661593 613138210 /nfs/dbraw/zinc/13/82/10/613138210.db2.gz YECLZZWIPXRTEF-UHFFFAOYSA-N 0 1 278.743 0.957 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CCn3ccnc3)C2)C1 ZINC000981924444 613140771 /nfs/dbraw/zinc/14/07/71/613140771.db2.gz MYSPIQCDXMWMMF-UHFFFAOYSA-N 0 1 286.379 0.831 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C[C@@H]3CCC(=O)N3)C2)C1 ZINC000982067935 613140826 /nfs/dbraw/zinc/14/08/26/613140826.db2.gz UFLLZYQNKWQERU-ZDUSSCGKSA-N 0 1 289.379 0.213 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@]3(C)CCC(=O)N3)C2)C1 ZINC000981991086 613143404 /nfs/dbraw/zinc/14/34/04/613143404.db2.gz XJDJHRYFPDSZFJ-HNNXBMFYSA-N 0 1 289.379 0.213 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)C1CCOCC1 ZINC000856101575 613145911 /nfs/dbraw/zinc/14/59/11/613145911.db2.gz VYNKQXLWWKDGPJ-NEPJUHHUSA-N 0 1 288.351 0.769 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C1CCOCC1 ZINC000856119807 613146447 /nfs/dbraw/zinc/14/64/47/613146447.db2.gz MPCSOWJNHHTIIY-OUAUKWLOSA-N 0 1 252.270 0.196 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C/CN2CCOCC2)C1 ZINC000923560161 613165011 /nfs/dbraw/zinc/16/50/11/613165011.db2.gz OKIWBUBBHNHXIO-CSPWOOARSA-N 0 1 291.395 0.089 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2ncnc3nc[nH]c32)C1 ZINC000884613496 613176710 /nfs/dbraw/zinc/17/67/10/613176710.db2.gz NWWJHEHVFXRAKR-SNVBAGLBSA-N 0 1 256.313 0.862 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN2CC[C@H](O)C2)cc1 ZINC000899154481 613178149 /nfs/dbraw/zinc/17/81/49/613178149.db2.gz OIRWJKDLGKZZDI-AWEZNQCLSA-N 0 1 258.321 0.464 20 30 CCEDMN C#CCN1CCC[C@H](Nc2ccnc(C(=O)NC)c2)C1 ZINC000895199867 613180957 /nfs/dbraw/zinc/18/09/57/613180957.db2.gz CTFDRKDTUIQIDW-ZDUSSCGKSA-N 0 1 272.352 0.373 20 30 CCEDMN C#CCN1CCC[C@H](Nc2ccc3nccnc3n2)C1 ZINC000884613903 613181338 /nfs/dbraw/zinc/18/13/38/613181338.db2.gz YMJOVCNTVSLMDC-LBPRGKRZSA-N 0 1 267.336 0.908 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CN3CCN2CCC3)cn1 ZINC000854354081 613181630 /nfs/dbraw/zinc/18/16/30/613181630.db2.gz MGUQVNNVATZICY-HNNXBMFYSA-N 0 1 284.363 0.183 20 30 CCEDMN C#CCN1CCC[C@H](Nc2ncnc3c2ncn3C)C1 ZINC000884612867 613193586 /nfs/dbraw/zinc/19/35/86/613193586.db2.gz WIBVYOWZWHCYIE-NSHDSACASA-N 0 1 270.340 0.873 20 30 CCEDMN C#Cc1ccc(NC(=O)CCN(C)CC(N)=O)cc1 ZINC000929263539 613194883 /nfs/dbraw/zinc/19/48/83/613194883.db2.gz YBYNMMKJPSENOK-UHFFFAOYSA-N 0 1 259.309 0.414 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2CCNC[C@H]2C)c1 ZINC000841161290 613201708 /nfs/dbraw/zinc/20/17/08/613201708.db2.gz NYWXYWQOISMOFU-LLVKDONJSA-N 0 1 271.320 0.427 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)NCc2cn[nH]c2C)c1 ZINC000915776917 613202814 /nfs/dbraw/zinc/20/28/14/613202814.db2.gz BORNKPVXTNTXRU-UHFFFAOYSA-N 0 1 282.303 0.954 20 30 CCEDMN C#Cc1cnc(NCCCCN2CCOCC2)nc1 ZINC000827400057 613210268 /nfs/dbraw/zinc/21/02/68/613210268.db2.gz AJTDDLNKVJUKAW-UHFFFAOYSA-N 0 1 260.341 0.982 20 30 CCEDMN C#Cc1cnc(NCCN2C[C@H]3CC[C@@H](C2)O3)nc1 ZINC000829010089 613210943 /nfs/dbraw/zinc/21/09/43/613210943.db2.gz DGQSKGDXCDDRGF-BETUJISGSA-N 0 1 258.325 0.733 20 30 CCEDMN C#CCN1CCO[C@@]2(CCN(C(=O)[C@@H]3CCCN3C)C2)C1 ZINC000972295106 613211425 /nfs/dbraw/zinc/21/14/25/613211425.db2.gz QQZSMFMQWINTJF-HOCLYGCPSA-N 0 1 291.395 0.017 20 30 CCEDMN C=C(Br)CNC[C@H](O)C1(O)CCC1 ZINC000905064795 613238561 /nfs/dbraw/zinc/23/85/61/613238561.db2.gz LOGQBQVVXAIQEJ-QMMMGPOBSA-N 0 1 250.136 0.761 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC000966780149 613218822 /nfs/dbraw/zinc/21/88/22/613218822.db2.gz DKKYEGBOTGSYIP-IUODEOHRSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H]2CCNC2=O)C(C)(C)C1 ZINC000940799503 613225940 /nfs/dbraw/zinc/22/59/40/613225940.db2.gz OZRLDKBVEJRSMR-QWHCGFSZSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@H]2CCNC2=O)C(C)(C)C1 ZINC000940799501 613225975 /nfs/dbraw/zinc/22/59/75/613225975.db2.gz OZRLDKBVEJRSMR-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2cncn2C)C(C)(C)C1 ZINC000941164210 613227102 /nfs/dbraw/zinc/22/71/02/613227102.db2.gz SEEMVHHATLJQMC-CQSZACIVSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2ccnn2C)C(C)(C)C1 ZINC000940715908 613227452 /nfs/dbraw/zinc/22/74/52/613227452.db2.gz YKIODJHECMJUAQ-CQSZACIVSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(OC)ncn2)C[C@H]1C ZINC000947318334 613233661 /nfs/dbraw/zinc/23/36/61/613233661.db2.gz HPXVWRXOYSKROO-VXGBXAGGSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(C(N)=O)o2)[C@@H](C)C1 ZINC000942405853 613234989 /nfs/dbraw/zinc/23/49/89/613234989.db2.gz IFQNZHXYGUSZNE-WDEREUQCSA-N 0 1 289.335 0.452 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn3cccnc3n2)[C@@H](C)C1 ZINC000942256406 613240414 /nfs/dbraw/zinc/24/04/14/613240414.db2.gz FCDNUYNHFVYMHC-QWHCGFSZSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc3n[nH]nc3c2)C[C@H]1C ZINC000947399034 613240747 /nfs/dbraw/zinc/24/07/47/613240747.db2.gz BRTJVYLDGHJTQQ-ZYHUDNBSSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nccnc2N)[C@H](C)C1 ZINC000941903918 613243906 /nfs/dbraw/zinc/24/39/06/613243906.db2.gz HLJMTNODMQLLOP-GHMZBOCLSA-N 0 1 273.340 0.132 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC000951197065 613244946 /nfs/dbraw/zinc/24/49/46/613244946.db2.gz MOHMKHSKKWYWRY-CQSZACIVSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC000968591744 613246387 /nfs/dbraw/zinc/24/63/87/613246387.db2.gz FDKXBBFXFLBOJD-FZMZJTMJSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000940855196 613262806 /nfs/dbraw/zinc/26/28/06/613262806.db2.gz AXVYRPBNDBXKDO-OLZOCXBDSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3nnnn3c2)C[C@@H]1C ZINC000947539676 613269703 /nfs/dbraw/zinc/26/97/03/613269703.db2.gz FZQQDPTYVGTMMW-AAEUAGOBSA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccnn2)C[C@@H]1C ZINC000947840304 613271528 /nfs/dbraw/zinc/27/15/28/613271528.db2.gz CXNZKRMSQNHJGT-RYUDHWBXSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnnc2)[C@H](C)C1 ZINC000942958586 613273266 /nfs/dbraw/zinc/27/32/66/613273266.db2.gz GARRJRCWOYVLIF-YPMHNXCESA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nc2OC)C[C@H]1C ZINC000947615937 613273872 /nfs/dbraw/zinc/27/38/72/613273872.db2.gz MTKGMJIRUXILEC-NEPJUHHUSA-N 0 1 290.367 0.645 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc3nccn3c2)[C@H](C)C1 ZINC000942494863 613274363 /nfs/dbraw/zinc/27/43/63/613274363.db2.gz BROLWZPJSANFTQ-OCCSQVGLSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn3cccnc3n2)C[C@H]1C ZINC000947498841 613274487 /nfs/dbraw/zinc/27/44/87/613274487.db2.gz ZWCLMIDNXYLYAZ-OLZOCXBDSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(CC)c2)[C@H](C)C1 ZINC000941900064 613275779 /nfs/dbraw/zinc/27/57/79/613275779.db2.gz PGXLXQNLSDOHQZ-OCCSQVGLSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C(C)(C)C1 ZINC000940681886 613276995 /nfs/dbraw/zinc/27/69/95/613276995.db2.gz ULNQMGSWAGQLMM-NSHDSACASA-N 0 1 290.367 0.887 20 30 CCEDMN C=C(C)CCNC(=O)N1CCN(C)CCN(C)CC1 ZINC000895147131 613282023 /nfs/dbraw/zinc/28/20/23/613282023.db2.gz OEZDTTAHZCNONK-UHFFFAOYSA-N 0 1 268.405 0.841 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccc(=O)n(C)c2)C(C)(C)C1 ZINC000974772607 613283379 /nfs/dbraw/zinc/28/33/79/613283379.db2.gz IJTPCIAHLMHVLB-CYBMUJFWSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cccn(C)c2=O)C(C)(C)C1 ZINC000977486474 613283786 /nfs/dbraw/zinc/28/37/86/613283786.db2.gz NNQKSETXTMRDDY-CYBMUJFWSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)oc2C)C1 ZINC000957873656 613286032 /nfs/dbraw/zinc/28/60/32/613286032.db2.gz KDUDIYXLNZYRRJ-GXTWGEPZSA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C(F)F)o2)C1 ZINC000958635214 613286290 /nfs/dbraw/zinc/28/62/90/613286290.db2.gz FYFDVNKQHAFLMX-VHSXEESVSA-N 0 1 298.289 0.873 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc3[nH]cnc32)C1 ZINC000958516522 613286573 /nfs/dbraw/zinc/28/65/73/613286573.db2.gz SWSCBLQYQMOHRF-SMDDNHRTSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cnc(C)s2)C1 ZINC000957922371 613287121 /nfs/dbraw/zinc/28/71/21/613287121.db2.gz IOFIZFRZZPCICC-WDEREUQCSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)cc(F)c2)[C@H](O)C1 ZINC000958423328 613288452 /nfs/dbraw/zinc/28/84/52/613288452.db2.gz MORXEITUKYNMOT-UKRRQHHQSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(Cl)cc2)[C@H](O)C1 ZINC000958610218 613288878 /nfs/dbraw/zinc/28/88/78/613288878.db2.gz POJIWVNYSQMFSW-TZMCWYRMSA-N 0 1 292.766 0.996 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(F)c(C)c2)[C@H](O)C1 ZINC000958345571 613289002 /nfs/dbraw/zinc/28/90/02/613289002.db2.gz OXFIERVEWNQUEH-UKRRQHHQSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)oc2C)[C@H](O)C1 ZINC000957873659 613289032 /nfs/dbraw/zinc/28/90/32/613289032.db2.gz KDUDIYXLNZYRRJ-TZMCWYRMSA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2[nH]nc3ccccc32)[C@H](O)C1 ZINC000958644093 613290588 /nfs/dbraw/zinc/29/05/88/613290588.db2.gz GRHRLZARXQWIGJ-BXUZGUMPSA-N 0 1 298.346 0.219 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H]2CN(C(C)=O)C[C@@H]2C1 ZINC000821332781 613293241 /nfs/dbraw/zinc/29/32/41/613293241.db2.gz VJZNVOUAWLJJMP-GASCZTMLSA-N 0 1 293.411 0.821 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@H]1C(=O)NCC1(C)C ZINC000931666927 613293332 /nfs/dbraw/zinc/29/33/32/613293332.db2.gz HXYLDXXEFGDDIE-LBPRGKRZSA-N 0 1 267.373 0.525 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC000958318487 613294020 /nfs/dbraw/zinc/29/40/20/613294020.db2.gz DENDSMWWLPLWBK-ZSLBOAEBSA-N 0 1 262.353 0.075 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc3cnccc3o2)C1 ZINC000958218624 613294527 /nfs/dbraw/zinc/29/45/27/613294527.db2.gz CYNVQGQMPDOOPG-STQMWFEESA-N 0 1 299.330 0.484 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(F)cc(F)c2)C1 ZINC000958649248 613294615 /nfs/dbraw/zinc/29/46/15/613294615.db2.gz JOTZCQMDUBVPFW-FZMZJTMJSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(Cl)c[nH]2)C1 ZINC000957869224 613294659 /nfs/dbraw/zinc/29/46/59/613294659.db2.gz LTMCVJBKLDLOJZ-CABZTGNLSA-N 0 1 281.743 0.324 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc(Cl)c2)C1 ZINC000958614715 613295256 /nfs/dbraw/zinc/29/52/56/613295256.db2.gz XVPXUSMOBBQDCC-JSGCOSHPSA-N 0 1 292.766 0.996 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2sccc2F)C1 ZINC000958029919 613296617 /nfs/dbraw/zinc/29/66/17/613296617.db2.gz FLZNUGDBILZYMC-ONGXEEELSA-N 0 1 282.340 0.543 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2ccnc2)C(C)(C)C1 ZINC000977668753 613297722 /nfs/dbraw/zinc/29/77/22/613297722.db2.gz QSIGJEFVHJMPMK-LBPRGKRZSA-N 0 1 260.341 0.343 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cccc(=O)n2C)C(C)(C)C1 ZINC000975017636 613300340 /nfs/dbraw/zinc/30/03/40/613300340.db2.gz OZNZNFLYHMSBDZ-ZDUSSCGKSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C23CCC(CC2)C3)C1 ZINC000958183775 613301664 /nfs/dbraw/zinc/30/16/64/613301664.db2.gz QJZYGTOAGWIJNS-IQXANGCESA-N 0 1 276.380 0.609 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2CCCCC2)C1 ZINC000957820461 613302250 /nfs/dbraw/zinc/30/22/50/613302250.db2.gz GHUCBZLUEUJFKK-KGLIPLIRSA-N 0 1 264.369 0.609 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2CCC3(CC3)CC2)C1 ZINC000958272308 613302374 /nfs/dbraw/zinc/30/23/74/613302374.db2.gz LNLJFKYVMDHJTN-CABCVRRESA-N 0 1 290.407 0.999 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c[nH]c3ccccc23)C1 ZINC000958232655 613302527 /nfs/dbraw/zinc/30/25/27/613302527.db2.gz VJXOIDHEVWSYRG-WBMJQRKESA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC000958574017 613302731 /nfs/dbraw/zinc/30/27/31/613302731.db2.gz MOADSMCBGDRHGG-DOMZBBRYSA-N 0 1 287.363 0.159 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccncc2Cl)C1 ZINC000958429598 613303456 /nfs/dbraw/zinc/30/34/56/613303456.db2.gz KWAHVHGFWAYINK-MFKMUULPSA-N 0 1 293.754 0.391 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccn(C)c2CC)C1 ZINC000958407843 613303698 /nfs/dbraw/zinc/30/36/98/613303698.db2.gz AAHVEVGSAQWYKC-DOMZBBRYSA-N 0 1 289.379 0.243 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cnc(CC)s2)C1 ZINC000958731205 613303872 /nfs/dbraw/zinc/30/38/72/613303872.db2.gz BKLDLWRGBRXYBC-MNOVXSKESA-N 0 1 293.392 0.361 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2csc(C)c2)C1 ZINC000958486288 613304105 /nfs/dbraw/zinc/30/41/05/613304105.db2.gz YUCNQLJOESISAZ-OLZOCXBDSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC000962685418 613315737 /nfs/dbraw/zinc/31/57/37/613315737.db2.gz WHCVUVSLDMQNBE-PBOSXPJTSA-N 0 1 284.363 0.194 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCc2c[nH]nc21 ZINC000959916896 613316641 /nfs/dbraw/zinc/31/66/41/613316641.db2.gz XOYRKXVAGFLGED-RMRHIDDWSA-N 0 1 284.363 0.509 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCc2nc[nH]c21 ZINC000961738079 613316739 /nfs/dbraw/zinc/31/67/39/613316739.db2.gz SUGBDUUOZUOASI-CIQGVGRVSA-N 0 1 284.363 0.509 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCc2cnn(C)c2C1 ZINC000961604445 613317003 /nfs/dbraw/zinc/31/70/03/613317003.db2.gz SLFSHMFMDJVUOT-YYWXWVFPSA-N 0 1 298.390 0.205 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)nn(C)c1Cl ZINC000961403348 613317472 /nfs/dbraw/zinc/31/74/72/613317472.db2.gz HZFNSVYNNJYCEM-IAZYJMLFSA-N 0 1 292.770 0.675 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(Cl)cnn1CC ZINC000960100362 613317509 /nfs/dbraw/zinc/31/75/09/613317509.db2.gz URUKHYGAYIIGEX-IAZYJMLFSA-N 0 1 292.770 0.850 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]nc1C(C)C ZINC000960734459 613317676 /nfs/dbraw/zinc/31/76/76/613317676.db2.gz ZHCUJIRFDMULAF-IMRBUKKESA-N 0 1 272.352 0.826 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(CC)cn1 ZINC000962312511 613320908 /nfs/dbraw/zinc/32/09/08/613320908.db2.gz OFRVEYMOQVUUFK-PJXYFTJBSA-N 0 1 258.325 0.196 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(CCC)c1 ZINC000961918529 613321183 /nfs/dbraw/zinc/32/11/83/613321183.db2.gz CMLPMLBPKUBWBF-WDNDVIMCSA-N 0 1 272.352 0.586 20 30 CCEDMN C#CCNC(=O)CN(C)[C@H]1C[C@@H](OC)C12CCC2 ZINC000832204405 613327461 /nfs/dbraw/zinc/32/74/61/613327461.db2.gz LLWFKSWOKKPEDT-NWDGAFQWSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCNC(=O)CN1CCC(CC(=O)OCC)CC1 ZINC000914574013 613328503 /nfs/dbraw/zinc/32/85/03/613328503.db2.gz BTSSLSFSCHKGMI-UHFFFAOYSA-N 0 1 266.341 0.401 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@](C)(C(=O)OC)C1 ZINC000839664658 613329244 /nfs/dbraw/zinc/32/92/44/613329244.db2.gz CSFQVTJCUSQHRF-CYBMUJFWSA-N 0 1 252.314 0.011 20 30 CCEDMN C#CCNC(=O)CN[C@@H](C)c1ccc(-c2nn[nH]n2)cc1 ZINC000823850006 613330756 /nfs/dbraw/zinc/33/07/56/613330756.db2.gz GTVMIWUDUPANID-JTQLQIEISA-N 0 1 284.323 0.267 20 30 CCEDMN C=C(C)COCCNC(=O)NC[C@H]1CCN1CC ZINC000883516935 613352051 /nfs/dbraw/zinc/35/20/51/613352051.db2.gz LAASGVHKBOUMHH-GFCCVEGCSA-N 0 1 255.362 0.973 20 30 CCEDMN C=C(C)C[C@H](NC(=O)C[N@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC000910293552 613358666 /nfs/dbraw/zinc/35/86/66/613358666.db2.gz JZYHDZCBUGAHID-QWRGUYRKSA-N 0 1 286.397 0.959 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)[O-] ZINC000910292741 613358896 /nfs/dbraw/zinc/35/88/96/613358896.db2.gz IBKWNOBXQBYFHO-QWRGUYRKSA-N 0 1 286.397 0.959 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N[C@H](CCC)c1nn[n-]n1 ZINC000900981553 613365511 /nfs/dbraw/zinc/36/55/11/613365511.db2.gz CXHBHJPMFVUSJR-SNVBAGLBSA-N 0 1 266.349 0.665 20 30 CCEDMN C=C(CN(C)C)C(=O)N1C[C@@H](CC)[S@](=O)[C@@H](C)C1 ZINC000804434485 613366294 /nfs/dbraw/zinc/36/62/94/613366294.db2.gz ULKSGXDBKFKFAL-VNBZBWLYSA-N 0 1 272.414 0.862 20 30 CCEDMN C=C(Cl)CN1C[C@@H](NC(=O)Cc2nnc[nH]2)C(C)(C)C1 ZINC000977490831 613379940 /nfs/dbraw/zinc/37/99/40/613379940.db2.gz XIVBIOPJTATECW-SNVBAGLBSA-N 0 1 297.790 0.926 20 30 CCEDMN C=C(Cl)CN1C[C@@H](NC(=O)c2cnn[nH]2)C(C)(C)C1 ZINC000974793934 613380142 /nfs/dbraw/zinc/38/01/42/613380142.db2.gz NXJFMCAJDBDANC-SNVBAGLBSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@]2(C)CNC(=O)C2)C1 ZINC000969439356 613385220 /nfs/dbraw/zinc/38/52/20/613385220.db2.gz IVMYEWBOEWLJBS-ZWNOBZJWSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)C[C@H](NC(=O)[C@@H]1CCC[N@@H+]1C)C(=O)[O-] ZINC000910267485 613390735 /nfs/dbraw/zinc/39/07/35/613390735.db2.gz TUJAYSDBSNGCBF-IUCAKERBSA-N 0 1 260.721 0.793 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@@H](NC(=O)c3ncn[nH]3)C2)C1 ZINC000974368770 613402128 /nfs/dbraw/zinc/40/21/28/613402128.db2.gz ISOOQWTUUKATET-AOOOYVTPSA-N 0 1 289.339 0.538 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@@H](NC(=O)c3nc[nH]n3)C2)C1 ZINC000974368770 613402129 /nfs/dbraw/zinc/40/21/29/613402129.db2.gz ISOOQWTUUKATET-AOOOYVTPSA-N 0 1 289.339 0.538 20 30 CCEDMN C=CC[C@H](CO)CNCc1nc(Br)nn1C ZINC000894370236 613416227 /nfs/dbraw/zinc/41/62/27/613416227.db2.gz IEGUMCUPSMXQBW-QMMMGPOBSA-N 0 1 289.177 0.852 20 30 CCEDMN C=CC[C@H](CO)NC(=O)c1cccc2nc(CO)[nH]c21 ZINC000907362775 613418022 /nfs/dbraw/zinc/41/80/22/613418022.db2.gz KWIUZOIHAOLBBH-SECBINFHSA-N 0 1 275.308 0.722 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)[C@H]2CCN(C)C2=O)C1 ZINC000972079551 613434517 /nfs/dbraw/zinc/43/45/17/613434517.db2.gz OOBHVBJVLBDZLL-OLZOCXBDSA-N 0 1 293.411 0.962 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC000972079511 613439212 /nfs/dbraw/zinc/43/92/12/613439212.db2.gz ODACXVCKHKVXBZ-QWRGUYRKSA-N 0 1 267.373 0.605 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC000966665785 613441665 /nfs/dbraw/zinc/44/16/65/613441665.db2.gz XSDGUNQPIXGASE-ZJUUUORDSA-N 0 1 291.355 0.594 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC000966665785 613441666 /nfs/dbraw/zinc/44/16/66/613441666.db2.gz XSDGUNQPIXGASE-ZJUUUORDSA-N 0 1 291.355 0.594 20 30 CCEDMN C=CC(C)(C)NC(=O)CCNC(O)=C1N=CC=CC1=O ZINC000891269409 613448355 /nfs/dbraw/zinc/44/83/55/613448355.db2.gz KWWWQWBLFAINBJ-OUKQBFOZSA-N 0 1 277.324 0.984 20 30 CCEDMN C=CCCCC(=O)N1CCN(C(=O)N2CCNCC2)CC1 ZINC000876996197 613464250 /nfs/dbraw/zinc/46/42/50/613464250.db2.gz PCXQFYOBWYTCLW-UHFFFAOYSA-N 0 1 294.399 0.512 20 30 CCEDMN C=CCCC[C@@H]([NH3+])C(=O)[N-]S(=O)(=O)[C@@H]1CCCOC1 ZINC000901038359 613469987 /nfs/dbraw/zinc/46/99/87/613469987.db2.gz FDAVNSNJJNDGHW-GHMZBOCLSA-N 0 1 290.385 0.295 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@]1(C)C[C@@H](O)C[N@H+]1C)C(=O)[O-] ZINC000910927477 613473723 /nfs/dbraw/zinc/47/37/23/613473723.db2.gz ZNTHZBVNYXXHHD-UHIISALHSA-N 0 1 284.356 0.367 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@@]1(C)C[C@@H](O)C[N@@H+]1C)C(=O)[O-] ZINC000910927477 613473726 /nfs/dbraw/zinc/47/37/26/613473726.db2.gz ZNTHZBVNYXXHHD-UHIISALHSA-N 0 1 284.356 0.367 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000845620279 613485370 /nfs/dbraw/zinc/48/53/70/613485370.db2.gz QPWUVELGZFEUJK-QWRGUYRKSA-N 0 1 285.344 0.239 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@]2(C)CCNC2=O)CC1 ZINC000950470761 613506935 /nfs/dbraw/zinc/50/69/35/613506935.db2.gz RJMMRTIKXRWUJL-AWEZNQCLSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCN(C(=O)CC)C2)CC1 ZINC000957098106 613508484 /nfs/dbraw/zinc/50/84/84/613508484.db2.gz ZGFJNUNEHWFUNX-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)[C@@H](C)OC)C2)C1 ZINC000972283582 613514229 /nfs/dbraw/zinc/51/42/29/613514229.db2.gz DOOLYJXFLPZWBK-UKRRQHHQSA-N 0 1 282.384 0.901 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cnc[nH]c2=O)C1 ZINC000969928132 613534652 /nfs/dbraw/zinc/53/46/52/613534652.db2.gz LGBWQFWCXYREPW-SNVBAGLBSA-N 0 1 274.324 0.256 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cncn2C)C1 ZINC000970074159 613535007 /nfs/dbraw/zinc/53/50/07/613535007.db2.gz BFJRFTPVPGIRAI-LLVKDONJSA-N 0 1 260.341 0.494 20 30 CCEDMN CC#CCN1CCCCC[C@@H]1CNC(=O)c1cn(C)nn1 ZINC000968842982 613545395 /nfs/dbraw/zinc/54/53/95/613545395.db2.gz GRIXWRBQMZFXNJ-CYBMUJFWSA-N 0 1 289.383 0.813 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992096 613548332 /nfs/dbraw/zinc/54/83/32/613548332.db2.gz VJFWGCSAFUFDTI-WCQYABFASA-N 0 1 291.351 0.386 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992096 613548333 /nfs/dbraw/zinc/54/83/33/613548333.db2.gz VJFWGCSAFUFDTI-WCQYABFASA-N 0 1 291.351 0.386 20 30 CCEDMN C=CCCOCC(=O)N[C@@H](CCSC)c1nn[nH]n1 ZINC000912860736 613573003 /nfs/dbraw/zinc/57/30/03/613573003.db2.gz WHZRYTYUOKGLNZ-VIFPVBQESA-N 0 1 285.373 0.703 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@H]1CC[N@H+](CCOC)C1)C(C)C ZINC000804900815 613604208 /nfs/dbraw/zinc/60/42/08/613604208.db2.gz JSMBXTOKLIMDCY-ZDUSSCGKSA-N 0 1 297.399 0.246 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@H]1CCN(CCOC)C1)C(C)C ZINC000804900815 613604209 /nfs/dbraw/zinc/60/42/09/613604209.db2.gz JSMBXTOKLIMDCY-ZDUSSCGKSA-N 0 1 297.399 0.246 20 30 CCEDMN C=CCN(C(=O)[C@@H](O)c1c[nH]cn1)[C@H](C)COC ZINC000869237371 613606268 /nfs/dbraw/zinc/60/62/68/613606268.db2.gz GBUWPFFKWBAXND-KOLCDFICSA-N 0 1 253.302 0.493 20 30 CCEDMN C=CCN(C(=O)NCc1n[nH]c(C)n1)[C@@H](C)COC ZINC000898351341 613606307 /nfs/dbraw/zinc/60/63/07/613606307.db2.gz PUUVWJDCIITTLN-VIFPVBQESA-N 0 1 267.333 0.846 20 30 CCEDMN C=CC[N@H+](C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000819740077 613609795 /nfs/dbraw/zinc/60/97/95/613609795.db2.gz NPKMYTSLARYICD-UHFFFAOYSA-N 0 1 262.321 0.222 20 30 CCEDMN C=CC[N@@H+](C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000819740077 613609799 /nfs/dbraw/zinc/60/97/99/613609799.db2.gz NPKMYTSLARYICD-UHFFFAOYSA-N 0 1 262.321 0.222 20 30 CCEDMN C=CC[N@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000819740077 613609800 /nfs/dbraw/zinc/60/98/00/613609800.db2.gz NPKMYTSLARYICD-UHFFFAOYSA-N 0 1 262.321 0.222 20 30 CCEDMN C=CC[N@@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000819740077 613609802 /nfs/dbraw/zinc/60/98/02/613609802.db2.gz NPKMYTSLARYICD-UHFFFAOYSA-N 0 1 262.321 0.222 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccnc(F)c2)C1 ZINC000957923370 613612682 /nfs/dbraw/zinc/61/26/82/613612682.db2.gz YFJSOBOHESRXOV-QWHCGFSZSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(F)c[nH]2)C1 ZINC000958267556 613618276 /nfs/dbraw/zinc/61/82/76/613618276.db2.gz NTXXYJWYIUOWCC-GWCFXTLKSA-N 0 1 279.315 0.200 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc[nH]2)C1 ZINC000957869390 613624830 /nfs/dbraw/zinc/62/48/30/613624830.db2.gz OCWCPFONCQUTGJ-YPMHNXCESA-N 0 1 261.325 0.061 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2c[nH]cc2C)C1 ZINC000958667894 613625004 /nfs/dbraw/zinc/62/50/04/613625004.db2.gz UUZUAPWCEDKMRG-OCCSQVGLSA-N 0 1 275.352 0.369 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2c(C)coc2C)C1 ZINC000958040974 613625112 /nfs/dbraw/zinc/62/51/12/613625112.db2.gz HHHGITZMOXCUQT-KGLIPLIRSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc(F)c2)C1 ZINC000957839063 613625232 /nfs/dbraw/zinc/62/52/32/613625232.db2.gz WRGDZLQCMQWXQB-HIFRSBDPSA-N 0 1 290.338 0.872 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1COC(=O)C1 ZINC000958575750 613634498 /nfs/dbraw/zinc/63/44/98/613634498.db2.gz FUZVQAJGMCTQRZ-UUIJZJDISA-N 0 1 276.336 0.009 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC000962287463 613636315 /nfs/dbraw/zinc/63/63/15/613636315.db2.gz NCPDHHKIMCJQIP-UYHMYPTGSA-N 0 1 298.390 0.584 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)n[nH]1 ZINC000958331327 613638953 /nfs/dbraw/zinc/63/89/53/613638953.db2.gz KWXDSEHPCNVKAP-PJXYFTJBSA-N 0 1 258.325 0.401 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(OCC)n[nH]1 ZINC000960524247 613639085 /nfs/dbraw/zinc/63/90/85/613639085.db2.gz YKZORFPJLADJLD-YABSGUDNSA-N 0 1 288.351 0.492 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2ncnn21 ZINC000960850579 613640148 /nfs/dbraw/zinc/64/01/48/613640148.db2.gz DJJFZCRPDVDAQH-JYAVWHMHSA-N 0 1 295.346 0.413 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)nc1C ZINC000958427886 613641324 /nfs/dbraw/zinc/64/13/24/613641324.db2.gz PRGWESGQXGXAFW-WDNDVIMCSA-N 0 1 272.352 0.412 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)cn1 ZINC000958572121 613641778 /nfs/dbraw/zinc/64/17/78/613641778.db2.gz CSZHHJGCAJLCSH-PJXYFTJBSA-N 0 1 258.325 0.103 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NC[C@H](C)C[NH+]1CCOCC1 ZINC000908913286 613642411 /nfs/dbraw/zinc/64/24/11/613642411.db2.gz HAEZKBDNSVHZLD-LBPRGKRZSA-N 0 1 299.371 0.237 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1OC ZINC000962413545 613643317 /nfs/dbraw/zinc/64/33/17/613643317.db2.gz NFKDVOQQEHDLRT-IMRBUKKESA-N 0 1 285.347 0.774 20 30 CCEDMN CC#CCNC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000923216852 613644386 /nfs/dbraw/zinc/64/43/86/613644386.db2.gz QAPSRSMHHYNYBG-VXGBXAGGSA-N 0 1 267.398 0.889 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000823501400 613646475 /nfs/dbraw/zinc/64/64/75/613646475.db2.gz WTMOMQIRVAPPSG-LBPRGKRZSA-N 0 1 281.356 0.919 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)OC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840671964 613648478 /nfs/dbraw/zinc/64/84/78/613648478.db2.gz AQVYGWZOQCERSR-HBNTYKKESA-N 0 1 282.296 0.784 20 30 CCEDMN CC(=NNC(=N)N)c1ccc(-c2nn[nH]n2)cc1F ZINC000823943193 613653369 /nfs/dbraw/zinc/65/33/69/613653369.db2.gz OZWFBEQNTZVRCC-UHFFFAOYSA-N 0 1 262.252 0.213 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cn(C)c(=O)cn2)C1 ZINC000970185926 613666291 /nfs/dbraw/zinc/66/62/91/613666291.db2.gz YRUQVTJDOWZNFD-SNVBAGLBSA-N 0 1 276.340 0.016 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC000970090671 613668625 /nfs/dbraw/zinc/66/86/25/613668625.db2.gz TZOHCGXGYGRWQU-GXTWGEPZSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CCC(NC(=O)CC2C(=O)N=CNC2=O)CC1 ZINC000900598582 613674956 /nfs/dbraw/zinc/67/49/56/613674956.db2.gz JNJNQELTALHDIW-UHFFFAOYSA-N 0 1 292.339 0.197 20 30 CCEDMN C=CCN1CCCN(C(=O)CCn2ccccc2=O)CC1 ZINC000981281674 613689445 /nfs/dbraw/zinc/68/94/45/613689445.db2.gz IEODVJSRAGFNKE-UHFFFAOYSA-N 0 1 289.379 0.959 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@@H]2CCC(=O)NC2)CC1 ZINC000981314983 613689473 /nfs/dbraw/zinc/68/94/73/613689473.db2.gz FFFPKNSITQJSHP-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCN1CCCN(C(=O)CCc2cnn(C)n2)CC1 ZINC000981967933 613689558 /nfs/dbraw/zinc/68/95/58/613689558.db2.gz LOUCZEHJBDWLIH-UHFFFAOYSA-N 0 1 277.372 0.468 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2COCCO2)CC1 ZINC000980737515 613692480 /nfs/dbraw/zinc/69/24/80/613692480.db2.gz IMVFWNIEDVGMLL-LBPRGKRZSA-N 0 1 254.330 0.122 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC000982003664 613693290 /nfs/dbraw/zinc/69/32/90/613693290.db2.gz ABOFNFKSQCVJGN-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCCC(=O)N2)CC1 ZINC000981408250 613693880 /nfs/dbraw/zinc/69/38/80/613693880.db2.gz HKCLPSLUXRIQTE-GFCCVEGCSA-N 0 1 265.357 0.375 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@]2(C)CCC(=O)NC2)CC1 ZINC000981802478 613695245 /nfs/dbraw/zinc/69/52/45/613695245.db2.gz RVNHMEFZUNPPFP-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCN1CCN(CN2CC[C@@H]3COC[C@@H]3C2)C1=O ZINC000853591414 613720859 /nfs/dbraw/zinc/72/08/59/613720859.db2.gz RAOZUFWBQDLWHM-OLZOCXBDSA-N 0 1 265.357 0.836 20 30 CCEDMN C=CCN1CCN(CN2CC[C@@H](COC)C2)C1=O ZINC000840006341 613721004 /nfs/dbraw/zinc/72/10/04/613721004.db2.gz GPPVIZZBDLKVAR-GFCCVEGCSA-N 0 1 253.346 0.836 20 30 CCEDMN C=CCN1CCO[C@@]2(CCN(C(=O)c3[nH]nnc3C)C2)C1 ZINC000972492987 613724728 /nfs/dbraw/zinc/72/47/28/613724728.db2.gz UGKIAJBLWWQKAY-AWEZNQCLSA-N 0 1 291.355 0.216 20 30 CCEDMN C=CCN1CCO[C@]2(CCN(C(=O)[C@H]3CCCN3C)C2)C1 ZINC000972294140 613726812 /nfs/dbraw/zinc/72/68/12/613726812.db2.gz IHQWLPOYXXXHDY-GDBMZVCRSA-N 0 1 293.411 0.570 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC000965957144 613733783 /nfs/dbraw/zinc/73/37/83/613733783.db2.gz BFCMKKYVHWMDCD-GHMZBOCLSA-N 0 1 279.340 0.605 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(NC(=O)OC)CC2)C1=O ZINC000852765889 613739332 /nfs/dbraw/zinc/73/93/32/613739332.db2.gz XBYIXFGXRILBIM-GFCCVEGCSA-N 0 1 281.356 0.594 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(c3nnnn3C)CC2)C1=O ZINC000879916747 613739367 /nfs/dbraw/zinc/73/93/67/613739367.db2.gz QZCWXGZCJYBZBY-GFCCVEGCSA-N 0 1 290.371 0.176 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2nccnc2N)C1 ZINC000965698289 613770981 /nfs/dbraw/zinc/77/09/81/613770981.db2.gz YUFKBNLHUBARCP-QWRGUYRKSA-N 0 1 275.356 0.685 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964900149 613774340 /nfs/dbraw/zinc/77/43/40/613774340.db2.gz DOAORYDUVRPVQO-CMPLNLGQSA-N 0 1 276.340 0.808 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C(C)(C)C1 ZINC000974747580 613807970 /nfs/dbraw/zinc/80/79/70/613807970.db2.gz BKMCPSOOTULFFT-CHWSQXEVSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2ccc(=O)[nH]n2)C(C)(C)C1 ZINC000972772559 613829108 /nfs/dbraw/zinc/82/91/08/613829108.db2.gz ZILXQCGVIIHTKD-NSHDSACASA-N 0 1 276.340 0.808 20 30 CCEDMN C=CCNC(=O)CN1CCN[C@H](c2ccc(OC)cc2)C1 ZINC000879517988 613845580 /nfs/dbraw/zinc/84/55/80/613845580.db2.gz FPZMVGLRYOXVRJ-HNNXBMFYSA-N 0 1 289.379 0.944 20 30 CCEDMN C=CCNC(=O)Cc1noc([C@H]2CCCc3nn[nH]c32)n1 ZINC000852960241 613849069 /nfs/dbraw/zinc/84/90/69/613849069.db2.gz PWTZKXLPEWKWDZ-QMMMGPOBSA-N 0 1 288.311 0.501 20 30 CCEDMN C=CCOCCCC(=O)N1CC[N@@H+](CCC(=O)[O-])[C@@H](C)C1 ZINC000833375951 613879710 /nfs/dbraw/zinc/87/97/10/613879710.db2.gz OWIXGGHVXBRUHQ-ZDUSSCGKSA-N 0 1 298.383 0.977 20 30 CCEDMN C=CCOC[C@@H]1NC(=O)N(CCN2CCSCC2)C1=O ZINC000925281888 613882021 /nfs/dbraw/zinc/88/20/21/613882021.db2.gz FNXUOMGKRVTNDL-NSHDSACASA-N 0 1 299.396 0.158 20 30 CCEDMN C=CCONC(=O)Cc1c(Br)cnn1C ZINC000880229056 613883208 /nfs/dbraw/zinc/88/32/08/613883208.db2.gz QXHXMIIWLWBOHS-UHFFFAOYSA-N 0 1 274.118 0.959 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1ccc(CO)cc1 ZINC000877194136 613885871 /nfs/dbraw/zinc/88/58/71/613885871.db2.gz ATFIFSHDEBOGIJ-UHFFFAOYSA-N 0 1 269.366 0.869 20 30 CCEDMN CC(=O)NCc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834510564 613944064 /nfs/dbraw/zinc/94/40/64/613944064.db2.gz CTWXHKJTKKNXPO-KGLIPLIRSA-N 0 1 286.335 0.164 20 30 CCEDMN CC(C)(C)n1nnc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)n1 ZINC000853324456 614068200 /nfs/dbraw/zinc/06/82/00/614068200.db2.gz HBZHSIISIBMKRR-NXEZZACHSA-N 0 1 290.375 0.622 20 30 CCEDMN CC(C)[C@@H](CO)NC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000876955693 614100470 /nfs/dbraw/zinc/10/04/70/614100470.db2.gz ASSBSZHQABVDCO-HUUCEWRRSA-N 0 1 287.363 0.878 20 30 CCEDMN CC(C)[C@@H]1CNCCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000903509466 614164587 /nfs/dbraw/zinc/16/45/87/614164587.db2.gz KHRNMRFLGAVXRE-LBPRGKRZSA-N 0 1 294.380 0.572 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@@H](C(=O)[O-])C1 ZINC000817853164 614180072 /nfs/dbraw/zinc/18/00/72/614180072.db2.gz AIOJPSKVPYESAD-ZWNOBZJWSA-N 0 1 267.329 0.447 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000824057123 614180145 /nfs/dbraw/zinc/18/01/45/614180145.db2.gz OWYZOXSGWXPMRV-BXUZGUMPSA-N 0 1 296.371 0.725 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000824057123 614180146 /nfs/dbraw/zinc/18/01/46/614180146.db2.gz OWYZOXSGWXPMRV-BXUZGUMPSA-N 0 1 296.371 0.725 20 30 CCEDMN CC(=O)Nc1ccc(C)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834499801 614199924 /nfs/dbraw/zinc/19/99/24/614199924.db2.gz MXUXQQKTLHGVAC-BXUZGUMPSA-N 0 1 286.335 0.795 20 30 CCEDMN CC(C)Cn1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cn1 ZINC000834499548 614238363 /nfs/dbraw/zinc/23/83/63/614238363.db2.gz JPQHLTCPCSLPIP-CMPLNLGQSA-N 0 1 261.329 0.380 20 30 CCEDMN CC(C)[C@H](NCC(F)(F)F)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000852828629 614249366 /nfs/dbraw/zinc/24/93/66/614249366.db2.gz ZXMHZFBKFHRZMM-AEJSXWLSSA-N 0 1 292.305 0.391 20 30 CCEDMN CC(=O)c1csc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834484636 614269287 /nfs/dbraw/zinc/26/92/87/614269287.db2.gz LCZUQNBYGCNWJB-ZJUUUORDSA-N 0 1 263.322 0.792 20 30 CCEDMN CC(C)(C#N)CS(=O)(=O)NCC(F)(F)CO ZINC000914382372 614287402 /nfs/dbraw/zinc/28/74/02/614287402.db2.gz GKBCKNNDGHHEPC-UHFFFAOYSA-N 0 1 256.274 0.083 20 30 CCEDMN CC(C)(C(=O)N[C@@H]1CNC[C@H]1C#N)[C@H]1CCCCO1 ZINC000876801602 614301533 /nfs/dbraw/zinc/30/15/33/614301533.db2.gz GEXORPXGXWZVAR-IJLUTSLNSA-N 0 1 265.357 0.809 20 30 CCEDMN CC(C)(C)C#CC(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000854353756 614315279 /nfs/dbraw/zinc/31/52/79/614315279.db2.gz FKZXUZGOKCPBMF-CYBMUJFWSA-N 0 1 263.385 0.542 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000827580994 614328117 /nfs/dbraw/zinc/32/81/17/614328117.db2.gz YKVHHEGCPGDJEG-ZDUSSCGKSA-N 0 1 251.374 0.398 20 30 CCEDMN CC(C)c1noc(CCC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000834521862 614339827 /nfs/dbraw/zinc/33/98/27/614339827.db2.gz WONCYROBXLGZFH-ZJUUUORDSA-N 0 1 277.328 0.353 20 30 CCEDMN CC(C)n1ncc2cc(C(=O)N[C@H]3CNC[C@H]3C#N)cnc21 ZINC000834517530 614370821 /nfs/dbraw/zinc/37/08/21/614370821.db2.gz WSAXWHQZCRYROX-OLZOCXBDSA-N 0 1 298.350 0.854 20 30 CCEDMN CC1(C(N)=O)CN(Cc2ccc(OCC#N)cc2)C1 ZINC000829051961 614498446 /nfs/dbraw/zinc/49/84/46/614498446.db2.gz SDCRXMSAGLYGGQ-UHFFFAOYSA-N 0 1 259.309 0.896 20 30 CCEDMN CC1(C)CN(CC#N)C[C@H]1NC(=O)Cc1cnc[nH]1 ZINC000975098995 614609314 /nfs/dbraw/zinc/60/93/14/614609314.db2.gz UAMZLZSPPBQEOF-LLVKDONJSA-N 0 1 261.329 0.302 20 30 CCEDMN CCCC(=O)N1CCC[C@H](C(=O)NCC#CCN(C)C)C1 ZINC000913517437 614765313 /nfs/dbraw/zinc/76/53/13/614765313.db2.gz AUXBVVMEEDDMPJ-AWEZNQCLSA-N 0 1 293.411 0.706 20 30 CCEDMN CCCCCCCS(=O)(=O)NCc1n[nH]c(=O)n1C ZINC000882534154 614903401 /nfs/dbraw/zinc/90/34/01/614903401.db2.gz AXHITCALLNDRKO-UHFFFAOYSA-N 0 1 290.389 0.911 20 30 CCEDMN CCCCCCOCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834484907 614914355 /nfs/dbraw/zinc/91/43/55/614914355.db2.gz OYIIFOJCZLNNHZ-RYUDHWBXSA-N 0 1 253.346 0.811 20 30 CCEDMN CCN(CC)C(=O)C1CCN(C[C@H](O)CC#N)CC1 ZINC000929411466 614959333 /nfs/dbraw/zinc/95/93/33/614959333.db2.gz IXMFWVOFOFEMHP-CYBMUJFWSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000827112106 615021665 /nfs/dbraw/zinc/02/16/65/615021665.db2.gz WIPJNAOADLBZST-LLVKDONJSA-N 0 1 282.344 0.433 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000827112106 615021667 /nfs/dbraw/zinc/02/16/67/615021667.db2.gz WIPJNAOADLBZST-LLVKDONJSA-N 0 1 282.344 0.433 20 30 CCEDMN CCNC(=O)OC[C@@H]1CCCCN1C[C@H](O)CC#N ZINC000930445593 615062845 /nfs/dbraw/zinc/06/28/45/615062845.db2.gz IQWAFUZOTCMNCZ-NWDGAFQWSA-N 0 1 269.345 0.862 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@@H]1CCOC[C@H]1OC ZINC000880430222 615064433 /nfs/dbraw/zinc/06/44/33/615064433.db2.gz RDIIJSJWVYMHFZ-MGPQQGTHSA-N 0 1 297.399 0.730 20 30 CCEDMN CCN1CCN(CC(=O)NCC#C[C@H]2CCCCO2)CC1 ZINC000891118558 615155383 /nfs/dbraw/zinc/15/53/83/615155383.db2.gz DBBBYJKKTUZNHY-OAHLLOKOSA-N 0 1 293.411 0.313 20 30 CCEDMN CCOC(=O)N1CCC(C(=O)N[C@H]2CNC[C@H]2C#N)CC1 ZINC000834504692 615225124 /nfs/dbraw/zinc/22/51/24/615225124.db2.gz DMZPXYPJPRSRBJ-NEPJUHHUSA-N 0 1 294.355 0.083 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1CC[C@](O)(CC#N)C1 ZINC000890059241 615247591 /nfs/dbraw/zinc/24/75/91/615247591.db2.gz GAZFHLXXMVSCMQ-DGCLKSJQSA-N 0 1 266.345 0.141 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)NC1CCN(CC#N)CC1 ZINC000883349171 615248711 /nfs/dbraw/zinc/24/87/11/615248711.db2.gz RJPRXJAMQFKUOA-CYBMUJFWSA-N 0 1 279.388 0.368 20 30 CCEDMN CCOC(=O)N[C@@H](C(=O)NCC#CCN(C)C)C(C)C ZINC000913517118 615251430 /nfs/dbraw/zinc/25/14/30/615251430.db2.gz TZFZUBWCLGLDOX-GFCCVEGCSA-N 0 1 283.372 0.438 20 30 CCEDMN CCO[C@H](C(=O)N[C@H]1CNC[C@@H]1C#N)C1CCOCC1 ZINC000876802309 615474129 /nfs/dbraw/zinc/47/41/29/615474129.db2.gz NWKCQMQERZDHMH-AVGNSLFASA-N 0 1 281.356 0.046 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC1CC1)[C@H]1CCOC1 ZINC000870143894 615476744 /nfs/dbraw/zinc/47/67/44/615476744.db2.gz YQCSPRITBGZWMJ-NDMJEZRESA-N 0 1 280.324 0.415 20 30 CCEDMN CCO[C@H](CNC(=O)c1ccc(C#N)c([O-])c1)C[NH+](C)C ZINC000831724690 615483383 /nfs/dbraw/zinc/48/33/83/615483383.db2.gz QZDYLQYRYMNIEF-CYBMUJFWSA-N 0 1 291.351 0.960 20 30 CCEDMN CCc1cc(CNS(=O)(=O)N(C)C[C@H](C)C#N)n[nH]1 ZINC000800055493 615651057 /nfs/dbraw/zinc/65/10/57/615651057.db2.gz LBJRSDVLXYYLJO-SECBINFHSA-N 0 1 285.373 0.398 20 30 CCEDMN CCc1ccc(C#CC(=O)NCCN2CC[C@H](O)C2)cc1 ZINC000863833926 615660347 /nfs/dbraw/zinc/66/03/47/615660347.db2.gz YEJISOFUBOKGNR-INIZCTEOSA-N 0 1 286.375 0.783 20 30 CCEDMN CCc1ccc(C#CC(=O)NC[C@H]2C[C@H](O)CN2C)cc1 ZINC000924980427 615660405 /nfs/dbraw/zinc/66/04/05/615660405.db2.gz SNIOATPZALEWTI-CVEARBPZSA-N 0 1 286.375 0.782 20 30 CCEDMN CCc1ccc(O)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834495650 615677004 /nfs/dbraw/zinc/67/70/04/615677004.db2.gz XHXJAHFNNORJTF-JQWIXIFHSA-N 0 1 259.309 0.796 20 30 CCEDMN CCn1nccc1CN=Nc1oc(C)nc1-c1nn[nH]n1 ZINC000825806879 615724479 /nfs/dbraw/zinc/72/44/79/615724479.db2.gz IILIBGFVPIVRLO-UHFFFAOYSA-N 0 1 287.287 0.826 20 30 CCEDMN CCn1nnc(C)c1C=NNc1ncnc2nc[nH]c21 ZINC000834942809 615742656 /nfs/dbraw/zinc/74/26/56/615742656.db2.gz XGMLTGAAXFXXQG-UHFFFAOYSA-N 0 1 271.288 0.719 20 30 CCEDMN CCc1nnc(-n2cnc(-c3nn[nH]n3)n2)c(C#N)c1CC ZINC000821518559 615803341 /nfs/dbraw/zinc/80/33/41/615803341.db2.gz ZNUCYSWGDKFNNX-UHFFFAOYSA-N 0 1 296.298 0.234 20 30 CCEDMN CN(C)CC#CCNC(=O)CCOCC(F)(F)F ZINC000913516111 615857441 /nfs/dbraw/zinc/85/74/41/615857441.db2.gz BJBNCDWSQKUPCP-UHFFFAOYSA-N 0 1 266.263 0.637 20 30 CCEDMN CN(C)CC#CCNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC000913520111 615857800 /nfs/dbraw/zinc/85/78/00/615857800.db2.gz CYYHCUQNCXISRJ-AWEZNQCLSA-N 0 1 265.357 0.117 20 30 CCEDMN CN(C)CC#CCNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC000913520112 615857918 /nfs/dbraw/zinc/85/79/18/615857918.db2.gz CYYHCUQNCXISRJ-CQSZACIVSA-N 0 1 265.357 0.117 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cnnn1-c1ccccc1 ZINC000931812322 615858944 /nfs/dbraw/zinc/85/89/44/615858944.db2.gz DHHGXKIYEJKKBV-UHFFFAOYSA-N 0 1 298.350 0.954 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1ccc(C(F)F)n1 ZINC000913524350 615859164 /nfs/dbraw/zinc/85/91/64/615859164.db2.gz JNTVFLZJCOCJBU-UHFFFAOYSA-N 0 1 270.283 0.502 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC000913519463 615859466 /nfs/dbraw/zinc/85/94/66/615859466.db2.gz BBXTYNBGPOBJMA-LLVKDONJSA-N 0 1 260.341 0.511 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCCn2nccc21 ZINC000913523645 615860431 /nfs/dbraw/zinc/86/04/31/615860431.db2.gz HFRUEYIIBHEQGG-LBPRGKRZSA-N 0 1 260.341 0.442 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(-n2cnnc2)cc1 ZINC000913517707 615860648 /nfs/dbraw/zinc/86/06/48/615860648.db2.gz MMVVRRCCDNWIBQ-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc2c([nH]c1=O)CCOC2 ZINC000913524477 615860879 /nfs/dbraw/zinc/86/08/79/615860879.db2.gz OBIZKLGCVRDTEX-UHFFFAOYSA-N 0 1 289.335 0.155 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nccn2ccnc12 ZINC000913519079 615861740 /nfs/dbraw/zinc/86/17/40/615861740.db2.gz MPUNXGMFVGFHDS-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc(-c2ccccn2)nc1 ZINC000827971909 615861930 /nfs/dbraw/zinc/86/19/30/615861930.db2.gz LUOMXMHKUHWMTI-UHFFFAOYSA-N 0 1 295.346 0.833 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nnn(C)c1C(F)(F)F ZINC000913524533 615862468 /nfs/dbraw/zinc/86/24/68/615862468.db2.gz QGBOHDGFBSAGJV-UHFFFAOYSA-N 0 1 289.261 0.129 20 30 CCEDMN CCn1c(SCC(=O)NCCC#N)n[nH]c1=O ZINC000844263138 615924183 /nfs/dbraw/zinc/92/41/83/615924183.db2.gz FOQRHGBOHBZFNZ-UHFFFAOYSA-N 0 1 255.303 0.126 20 30 CCEDMN CN(C)C[C@H](NC(=O)OC(C)(C)C)C(=O)OCC#N ZINC000869832117 615944764 /nfs/dbraw/zinc/94/47/64/615944764.db2.gz IBTFTKWWUHWSPE-VIFPVBQESA-N 0 1 271.317 0.508 20 30 CCEDMN CN(C)c1ccc(C(N)=NOCC[C@H]2CCNC2=O)cc1 ZINC000902217858 616013488 /nfs/dbraw/zinc/01/34/88/616013488.db2.gz IYPBWYCAFJWEIW-GFCCVEGCSA-N 0 1 290.367 0.916 20 30 CCEDMN CN(C)c1ccnc(CNC(=O)N2CCO[C@@H](C#N)C2)c1 ZINC000924659010 616026943 /nfs/dbraw/zinc/02/69/43/616026943.db2.gz NHFYJHRPUWDMQO-ZDUSSCGKSA-N 0 1 289.339 0.582 20 30 CCEDMN CCc1[nH]nc(Cl)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000876801936 616033194 /nfs/dbraw/zinc/03/31/94/616033194.db2.gz KNYVOOXKHJATFB-POYBYMJQSA-N 0 1 267.720 0.467 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)C(C)(C)c2c[nH]cn2)C1 ZINC000972730584 616040895 /nfs/dbraw/zinc/04/08/95/616040895.db2.gz XTXKYAHMVGSDBH-NSHDSACASA-N 0 1 275.356 0.744 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897842925 616050894 /nfs/dbraw/zinc/05/08/94/616050894.db2.gz ILNPZVPHORSGQB-GFCCVEGCSA-N 0 1 265.357 0.715 20 30 CCEDMN C[N@@H+](CCNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1CCCC1 ZINC000820597463 616094728 /nfs/dbraw/zinc/09/47/28/616094728.db2.gz SJCJYMOLTBEMSB-CQSZACIVSA-N 0 1 281.356 0.982 20 30 CCEDMN C[N@@H+](CCNc1cc(C#N)ccn1)CCOCCO ZINC000882951964 616097739 /nfs/dbraw/zinc/09/77/39/616097739.db2.gz CZJYABIORNSFDD-UHFFFAOYSA-N 0 1 264.329 0.306 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1C[C@@]2(C)CN(CC#N)C[C@@]2(C)C1 ZINC000982235656 616192824 /nfs/dbraw/zinc/19/28/24/616192824.db2.gz ZRHJFWRYTZPBKL-BMFZPTHFSA-N 0 1 290.411 0.775 20 30 CCEDMN C[N@H+]1CCC[C@](O)(CC(=O)[N-]Oc2cccc(C#N)c2)C1 ZINC000913666582 616218781 /nfs/dbraw/zinc/21/87/81/616218781.db2.gz DFTMBBZOOHQRBE-HNNXBMFYSA-N 0 1 289.335 0.815 20 30 CCEDMN CN1CCN(C)CCN(C(=O)C#CC(C)(C)C)CC1 ZINC000888982611 616234991 /nfs/dbraw/zinc/23/49/91/616234991.db2.gz YRNSZNNAUHKWJR-UHFFFAOYSA-N 0 1 265.401 0.742 20 30 CCEDMN CN1CCN(C)CCN(C(=O)NCC(C)(C)CC#N)CC1 ZINC000895301011 616235410 /nfs/dbraw/zinc/23/54/10/616235410.db2.gz BAJFMKSDBKZDMP-UHFFFAOYSA-N 0 1 295.431 0.815 20 30 CCEDMN CN1CCN(CC#Cc2ccc(F)cc2)C[C@H]1CO ZINC000931403048 616239332 /nfs/dbraw/zinc/23/93/32/616239332.db2.gz IKCONBPGBODNGS-HNNXBMFYSA-N 0 1 262.328 0.786 20 30 CCEDMN COC(=O)[C@@H]1CCC[C@H](C(=O)N[C@@H]2CNC[C@@H]2C#N)C1 ZINC000834488802 616295976 /nfs/dbraw/zinc/29/59/76/616295976.db2.gz GOCJSJRWRXCFSC-WHOHXGKFSA-N 0 1 279.340 0.194 20 30 CCEDMN COC(=O)[C@@H]1CC[N@H+](CC2(C#N)CC2)[C@H](C(=O)[O-])C1 ZINC000833706045 616304983 /nfs/dbraw/zinc/30/49/83/616304983.db2.gz FGZSSHKXZPGWSP-ZJUUUORDSA-N 0 1 266.297 0.628 20 30 CCEDMN COC(=O)[C@@H]1CC[N@@H+](CC2(C#N)CC2)[C@H](C(=O)[O-])C1 ZINC000833706045 616304988 /nfs/dbraw/zinc/30/49/88/616304988.db2.gz FGZSSHKXZPGWSP-ZJUUUORDSA-N 0 1 266.297 0.628 20 30 CCEDMN CN1CCc2ccc(NC(=O)N[C@@H]3CNC[C@@H]3C#N)cc21 ZINC000841172777 616461398 /nfs/dbraw/zinc/46/13/98/616461398.db2.gz CYEBOBNKVOURKG-WCQYABFASA-N 0 1 285.351 0.912 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCC1CCC(C#N)CC1 ZINC000907994280 616473274 /nfs/dbraw/zinc/47/32/74/616473274.db2.gz ZBQQJQVARLXZBN-SJQFEJMWSA-N 0 1 279.384 0.888 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC000870139935 616492140 /nfs/dbraw/zinc/49/21/40/616492140.db2.gz ZCQFLANSXMPZEL-XQQFMLRXSA-N 0 1 294.351 0.521 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N1CCC[C@@H](C#N)C1 ZINC000928211127 616495326 /nfs/dbraw/zinc/49/53/26/616495326.db2.gz DKYAVRNAMPPHEX-DMDPSCGWSA-N 0 1 251.330 0.204 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H](C)C1CCC1 ZINC000838788289 616495773 /nfs/dbraw/zinc/49/57/73/616495773.db2.gz STWOUIGVQLBCEW-MWLCHTKSSA-N 0 1 252.314 0.894 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC000892491861 616495782 /nfs/dbraw/zinc/49/57/82/616495782.db2.gz OMNZVVGYGMOUNP-SDDRHHMPSA-N 0 1 264.325 0.894 20 30 CCEDMN COC(=O)CCN(C[C@H](O)CC#N)C[C@H]1CCCO1 ZINC000929652439 616552352 /nfs/dbraw/zinc/55/23/52/616552352.db2.gz ZFMMEUYMVVIGMF-VXGBXAGGSA-N 0 1 270.329 0.305 20 30 CCEDMN COCCOc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834495788 616595524 /nfs/dbraw/zinc/59/55/24/616595524.db2.gz ZSJJZVWJFYIKNV-JSGCOSHPSA-N 0 1 289.335 0.553 20 30 CCEDMN COCC(COC)NN=C1CCCc2nc(N)ccc21 ZINC000863192368 616736070 /nfs/dbraw/zinc/73/60/70/616736070.db2.gz PBQQEJQSPSXCBW-UHFFFAOYSA-N 0 1 278.356 0.955 20 30 CCEDMN COCCn1ccc(NC(=O)NCC#CCN(C)C)n1 ZINC000930107672 616744397 /nfs/dbraw/zinc/74/43/97/616744397.db2.gz OPZZCAXISBOXQM-UHFFFAOYSA-N 0 1 279.344 0.216 20 30 CCEDMN CNC(=O)C(C#N)C(=O)[C@H]1CC[C@H](C(=O)OC)CC1 ZINC000797943787 616838897 /nfs/dbraw/zinc/83/88/97/616838897.db2.gz WTXMGBRBOHVTNR-OPRDCNLKSA-N 0 1 266.297 0.421 20 30 CCEDMN COCCC1(C(=O)N[C@@H]2CNC[C@H]2C#N)CCC1 ZINC000834479697 616839446 /nfs/dbraw/zinc/83/94/46/616839446.db2.gz HTHSJNVOOHVQAW-GHMZBOCLSA-N 0 1 251.330 0.421 20 30 CCEDMN CNC(=O)[C@H](C)C[N@@H+](C)[C@@H](C(=O)[O-])c1cccc(C#N)c1 ZINC000830975953 616842256 /nfs/dbraw/zinc/84/22/56/616842256.db2.gz YWAPGDUWVBJYAD-ZWNOBZJWSA-N 0 1 289.335 0.998 20 30 CCEDMN COC(=O)c1ccc(C=Nn2c(=O)c(C)n[nH]c2=S)o1 ZINC000915945036 616891484 /nfs/dbraw/zinc/89/14/84/616891484.db2.gz LFISPYHUCSFWQY-UHFFFAOYSA-N 0 1 294.292 0.497 20 30 CCEDMN COC(=O)c1cccc(CC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834484511 616926908 /nfs/dbraw/zinc/92/69/08/616926908.db2.gz JWULNKCFBBJQTM-OLZOCXBDSA-N 0 1 287.319 0.243 20 30 CCEDMN COC(=O)c1ccccc1NC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000841157041 616936210 /nfs/dbraw/zinc/93/62/10/616936210.db2.gz RGMCQTDCKDDAAT-CABZTGNLSA-N 0 1 288.307 0.706 20 30 CCEDMN COCCN(CC#N)C(=O)c1cc(C(F)F)[nH]n1 ZINC000909561823 616974434 /nfs/dbraw/zinc/97/44/34/616974434.db2.gz HPPYVKAYJMAKGU-UHFFFAOYSA-N 0 1 258.228 0.959 20 30 CCEDMN CO[C@H](C(=O)N[C@@H]1CNC[C@H]1C#N)C1CCCC1 ZINC000852827349 616985254 /nfs/dbraw/zinc/98/52/54/616985254.db2.gz GZSBBVHJKVSTAH-UTUOFQBUSA-N 0 1 251.330 0.419 20 30 CCEDMN CON(C)C(=O)[C@H](C)NC[C@@H](O)c1ccc(C#N)cc1 ZINC000863587049 617069408 /nfs/dbraw/zinc/06/94/08/617069408.db2.gz IGLVDASUHTZDBA-GXFFZTMASA-N 0 1 277.324 0.590 20 30 CCEDMN CO[C@@H](C(=O)N[C@@H]1CNC[C@@H]1C#N)c1ccccc1 ZINC000834500657 617127115 /nfs/dbraw/zinc/12/71/15/617127115.db2.gz VONABGNAJSIRFD-YNEHKIRRSA-N 0 1 259.309 0.602 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)[C@H]1CCCO1 ZINC001026026157 625322673 /nfs/dbraw/zinc/32/26/73/625322673.db2.gz HUMFYWMHVAPCGT-RGDJUOJXSA-N 0 1 262.353 0.481 20 30 CCEDMN N#CCN[C@@H]1CC[C@H]2CN(C(=O)Cc3ccn[nH]3)C[C@H]21 ZINC001026224485 625362919 /nfs/dbraw/zinc/36/29/19/625362919.db2.gz ARRKTEONZLHHDV-CYZMBNFOSA-N 0 1 273.340 0.302 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001023306827 622992898 /nfs/dbraw/zinc/99/28/98/622992898.db2.gz NRJFJMFLCBOVDR-LBPRGKRZSA-N 0 1 290.367 0.889 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2n[nH]cc2F)C1 ZINC001023573262 623068234 /nfs/dbraw/zinc/06/82/34/623068234.db2.gz WOOVIFCUDKKZLB-JTQLQIEISA-N 0 1 264.304 0.624 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2nccn3ccnc23)C1 ZINC001023595398 623074085 /nfs/dbraw/zinc/07/40/85/623074085.db2.gz AIHFUCDMYCPWRY-CYBMUJFWSA-N 0 1 297.362 0.804 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@H]2CN(C)CCN2C)c1 ZINC000892466841 623319299 /nfs/dbraw/zinc/31/92/99/623319299.db2.gz BXGBBSBDCNTOKI-ZDUSSCGKSA-N 0 1 259.357 0.919 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1COCCN1CC ZINC001024517448 623347619 /nfs/dbraw/zinc/34/76/19/623347619.db2.gz WCHOOJXBRZHQIX-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001024598252 623389902 /nfs/dbraw/zinc/38/99/02/623389902.db2.gz BBLQHZVTYPKCRF-LBPRGKRZSA-N 0 1 290.367 0.733 20 30 CCEDMN C=C(C)CNC(=S)NC[C@@H](C)CN1CC[NH+](C)CC1 ZINC000066007868 623399780 /nfs/dbraw/zinc/39/97/80/623399780.db2.gz XLCLZCIZAVESML-CYBMUJFWSA-N 0 1 284.473 0.910 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)O1 ZINC001024650356 623410005 /nfs/dbraw/zinc/41/00/05/623410005.db2.gz APLSDDFNFFVNGT-FRRDWIJNSA-N 0 1 295.383 0.176 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001024732695 623426120 /nfs/dbraw/zinc/42/61/20/623426120.db2.gz XJQLNTPOZYABJT-GFCCVEGCSA-N 0 1 290.367 0.884 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2c(C)ncn2C)C1 ZINC001007542111 623668296 /nfs/dbraw/zinc/66/82/96/623668296.db2.gz LNDCIJARYFIJET-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCO[C@H]1CC ZINC001025810153 623885341 /nfs/dbraw/zinc/88/53/41/623885341.db2.gz BNSFKXZKQMGFEZ-SBJFKYEJSA-N 0 1 276.380 0.871 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)C2CN(CC#N)C2)c1C ZINC000969404331 624497461 /nfs/dbraw/zinc/49/74/61/624497461.db2.gz LJXKXKFXBPPTOS-JTQLQIEISA-N 0 1 261.329 0.600 20 30 CCEDMN C[C@@H](NC(=O)c1cncc2nc[nH]c21)C1CN(CC#N)C1 ZINC000969721357 624534979 /nfs/dbraw/zinc/53/49/79/624534979.db2.gz MLBXMXFKUPELCA-SECBINFHSA-N 0 1 284.323 0.532 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H]2CCN(CCn3cccn3)C2)c[nH]1 ZINC001010663055 624687940 /nfs/dbraw/zinc/68/79/40/624687940.db2.gz MFCPLRJKJBXWEM-ZDUSSCGKSA-N 0 1 298.350 0.587 20 30 CCEDMN O=C(CCCc1nn[nH]n1)NCC#C[C@@H]1CCCCO1 ZINC000891112507 624727328 /nfs/dbraw/zinc/72/73/28/624727328.db2.gz KXYNHJGCBUUKCP-NSHDSACASA-N 0 1 277.328 0.211 20 30 CCEDMN C#CCN1CC[C@H]2[C@H](CCCN2C(=O)c2cnon2)C1 ZINC001021692909 624811010 /nfs/dbraw/zinc/81/10/10/624811010.db2.gz KMPLFZNHRZTOQK-YPMHNXCESA-N 0 1 274.324 0.629 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@@H](C2CCOCC2)C1 ZINC000933077487 624825202 /nfs/dbraw/zinc/82/52/02/624825202.db2.gz NBDFAFNBJUUOPB-CYBMUJFWSA-N 0 1 265.357 0.765 20 30 CCEDMN Cn1ncnc1CN[C@H]1C[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001021946129 624854226 /nfs/dbraw/zinc/85/42/26/624854226.db2.gz HZNJWGQHDRSXSE-PHIMTYICSA-N 0 1 299.338 0.065 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@H]1C[C@@H](NCC#N)C1 ZINC001022290199 624911693 /nfs/dbraw/zinc/91/16/93/624911693.db2.gz IFTRFBWOLJLJMU-GRYCIOLGSA-N 0 1 250.346 0.231 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N[C@H]1C[C@@H](NCC#N)C1 ZINC001022453182 624997776 /nfs/dbraw/zinc/99/77/76/624997776.db2.gz ZTEWFFHLUNULHI-NJBDSQKTSA-N 0 1 287.367 0.836 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc(C)n[nH]1 ZINC001027775598 630909490 /nfs/dbraw/zinc/90/94/90/630909490.db2.gz YDIHFCKOSMFJDU-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1nccnc1N ZINC001027796064 630925882 /nfs/dbraw/zinc/92/58/82/630925882.db2.gz CYQNJUWEUAJMGK-NSHDSACASA-N 0 1 273.340 0.276 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1nnn(C)c1C ZINC001027802618 630931761 /nfs/dbraw/zinc/93/17/61/630931761.db2.gz RPYZRRNPMAFMOI-LLVKDONJSA-N 0 1 263.345 0.504 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)C1=CCOCC1 ZINC001027840210 630968841 /nfs/dbraw/zinc/96/88/41/630968841.db2.gz FSVOBCNNDTVFNQ-CQSZACIVSA-N 0 1 262.353 0.937 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1=CCOCC1 ZINC001027840210 630968849 /nfs/dbraw/zinc/96/88/49/630968849.db2.gz FSVOBCNNDTVFNQ-CQSZACIVSA-N 0 1 262.353 0.937 20 30 CCEDMN N#Cc1cc(CN2CCn3c(=O)[nH]nc3C2)cs1 ZINC000893590101 631001707 /nfs/dbraw/zinc/00/17/07/631001707.db2.gz NKUYKDHPHYSJLM-UHFFFAOYSA-N 0 1 261.310 0.933 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001010256875 631077312 /nfs/dbraw/zinc/07/73/12/631077312.db2.gz RDFRZBAHRTWATB-RYUDHWBXSA-N 0 1 254.330 0.168 20 30 CCEDMN O=C(C#CC1CC1)N1CCCN(CCn2cccn2)CC1 ZINC000981113623 631234260 /nfs/dbraw/zinc/23/42/60/631234260.db2.gz RXFQTCOBFBUDKQ-UHFFFAOYSA-N 0 1 286.379 0.831 20 30 CCEDMN Cc1nc(CNC(=O)c2cc(C#N)cc(N(C)C)c2)n[nH]1 ZINC000889701524 631512016 /nfs/dbraw/zinc/51/20/16/631512016.db2.gz XDNDQLADDLJZTD-UHFFFAOYSA-N 0 1 284.323 0.981 20 30 CCEDMN Cc1cnc(CN[C@@H]2CCN(C(=O)C#CC3CC3)C2)cn1 ZINC000968613111 650023173 /nfs/dbraw/zinc/02/31/73/650023173.db2.gz CSTFZCMFHDUWTB-CQSZACIVSA-N 0 1 284.363 0.889 20 30 CCEDMN N#Cc1cc(CN2C[C@@H]3C(=O)NC(=O)[C@@H]3C2)cs1 ZINC000894727043 631818835 /nfs/dbraw/zinc/81/88/35/631818835.db2.gz YFSXPSQUFVVXGK-AOOOYVTPSA-N 0 1 261.306 0.324 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC000968878859 650033553 /nfs/dbraw/zinc/03/35/53/650033553.db2.gz BGYRXRDJSBKDSH-LLVKDONJSA-N 0 1 296.334 0.799 20 30 CCEDMN N#CCN1CCCCC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000968931047 650034265 /nfs/dbraw/zinc/03/42/65/650034265.db2.gz DYRBPVIDJJRFLQ-SNVBAGLBSA-N 0 1 262.317 0.303 20 30 CCEDMN CN1CC(C(=O)NC[C@H]2CCCCCN2CC#N)=NC1=O ZINC000969013830 650036356 /nfs/dbraw/zinc/03/63/56/650036356.db2.gz HFXCLFDLSLDYMP-LLVKDONJSA-N 0 1 291.355 0.624 20 30 CCEDMN N#CCN1CCCCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC000969075779 650038095 /nfs/dbraw/zinc/03/80/95/650038095.db2.gz MRRRPWLQXNELBN-SNVBAGLBSA-N 0 1 262.317 0.303 20 30 CCEDMN N#CCN1CCCCC[C@@H]1CNC(=O)c1nnc[nH]1 ZINC000969075779 650038096 /nfs/dbraw/zinc/03/80/96/650038096.db2.gz MRRRPWLQXNELBN-SNVBAGLBSA-N 0 1 262.317 0.303 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC000984591407 632196581 /nfs/dbraw/zinc/19/65/81/632196581.db2.gz ZXYIWDZHDXYWSG-MNOVXSKESA-N 0 1 289.339 0.632 20 30 CCEDMN C[C@H](NC(=O)C1(C#N)CC2(CC2)C1)[C@H]1CN(C)CCN1C ZINC000896528338 632260704 /nfs/dbraw/zinc/26/07/04/632260704.db2.gz RZXPABXZJBIAGD-QWHCGFSZSA-N 0 1 290.411 0.821 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)o1)[C@H]1CN(C)CCN1C ZINC000896538655 632271635 /nfs/dbraw/zinc/27/16/35/632271635.db2.gz FSUZTKNZMUBRDO-CMPLNLGQSA-N 0 1 276.340 0.515 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)s1)[C@@H]1CN(C)CCN1C ZINC000896555984 632284338 /nfs/dbraw/zinc/28/43/38/632284338.db2.gz MMAIJJBBISEOEX-JQWIXIFHSA-N 0 1 292.408 0.984 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001007096673 650065962 /nfs/dbraw/zinc/06/59/62/650065962.db2.gz FFQFJJOYYQZZBX-NWDGAFQWSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccn(CCOC)n2)C1 ZINC001007890233 650095902 /nfs/dbraw/zinc/09/59/02/650095902.db2.gz KJFOGTRIWKPDPI-ZDUSSCGKSA-N 0 1 292.383 0.910 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cn(C)c(=O)cn2)C1 ZINC001007983902 650099261 /nfs/dbraw/zinc/09/92/61/650099261.db2.gz NZPWMNWAMIJTSF-LBPRGKRZSA-N 0 1 290.367 0.551 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001008022995 650099641 /nfs/dbraw/zinc/09/96/41/650099641.db2.gz GUBGWBHRWCCDSP-FZMZJTMJSA-N 0 1 265.357 0.279 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2cc(C(F)(F)F)n[nH]2)N1 ZINC000799484412 632818210 /nfs/dbraw/zinc/81/82/10/632818210.db2.gz BSSCXQZOQUEJOZ-RXMQYKEDSA-N 0 1 260.223 0.700 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cc(C(F)(F)F)[nH]n2)N1 ZINC000799484412 632818211 /nfs/dbraw/zinc/81/82/11/632818211.db2.gz BSSCXQZOQUEJOZ-RXMQYKEDSA-N 0 1 260.223 0.700 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cn2cncn2)[C@@H]1C ZINC000987470262 632872405 /nfs/dbraw/zinc/87/24/05/632872405.db2.gz DWRYIWYVYZSYGV-MNOVXSKESA-N 0 1 283.763 0.610 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001008157743 650103121 /nfs/dbraw/zinc/10/31/21/650103121.db2.gz LLOXUGVLIIVUHU-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2c(C)cnn2C)C1 ZINC001008229083 650106155 /nfs/dbraw/zinc/10/61/55/650106155.db2.gz IKWIMKGOJJOOOR-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)[nH]n1 ZINC000937811774 633080285 /nfs/dbraw/zinc/08/02/85/633080285.db2.gz SGSUKVZCZGHVJY-ONGXEEELSA-N 0 1 289.339 0.137 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2cnnn2C)C1 ZINC000988762470 633104430 /nfs/dbraw/zinc/10/44/30/633104430.db2.gz FZQKHXZIHHBCTL-NXEZZACHSA-N 0 1 283.763 0.760 20 30 CCEDMN C[C@@H]1C[C@H](NCC#N)CN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000988870822 633123055 /nfs/dbraw/zinc/12/30/55/633123055.db2.gz NVXVHDLWYZBNEA-ZJUUUORDSA-N 0 1 284.323 0.674 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2cn[nH]n2)C1 ZINC000989093461 633149597 /nfs/dbraw/zinc/14/95/97/633149597.db2.gz LTRHLFVKEVBHJE-IUCAKERBSA-N 0 1 269.736 0.750 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnn3cc[nH]c23)CC1 ZINC000989560885 633329634 /nfs/dbraw/zinc/32/96/34/633329634.db2.gz UFZNQXADELJCSO-UHFFFAOYSA-N 0 1 285.351 0.834 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cnn(CCOC)c2)CC1 ZINC000989574735 633330664 /nfs/dbraw/zinc/33/06/64/633330664.db2.gz SBGGERGYEDHVAF-UHFFFAOYSA-N 0 1 292.383 0.863 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3[nH]cnc3c2)C1 ZINC001014586091 633554139 /nfs/dbraw/zinc/55/41/39/633554139.db2.gz LAYZXKVBVQAEJY-NSHDSACASA-N 0 1 271.324 0.948 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2nccn3ccnc23)C1 ZINC001008575266 650120511 /nfs/dbraw/zinc/12/05/11/650120511.db2.gz MKNWJSOETBBYRR-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@]34C[C@H]3COC4)CCC[C@@H]12 ZINC000990790857 633833911 /nfs/dbraw/zinc/83/39/11/633833911.db2.gz MFNWHNKTMYCFSX-LQKXBSAESA-N 0 1 274.364 0.769 20 30 CCEDMN N#Cc1ccnc(N2CCN(C(=O)Cc3c[nH]cn3)CC2)c1 ZINC000900080262 633943484 /nfs/dbraw/zinc/94/34/84/633943484.db2.gz IMNARNSLFWELCP-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN N#Cc1ccnc(Cn2cc(CNC3CC3)nn2)c1 ZINC000900628612 634058540 /nfs/dbraw/zinc/05/85/40/634058540.db2.gz LBFQHBOAUINKFA-UHFFFAOYSA-N 0 1 254.297 0.845 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC001009344549 650142753 /nfs/dbraw/zinc/14/27/53/650142753.db2.gz CRHCPZSEZFVYMR-ZDUSSCGKSA-N 0 1 274.368 0.865 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC001009470838 650145973 /nfs/dbraw/zinc/14/59/73/650145973.db2.gz NBARQEWGKSDMSN-ZDUSSCGKSA-N 0 1 275.352 0.615 20 30 CCEDMN N#CCN1CCN(C(=O)c2cccc3[nH]c(CO)nc32)CC1 ZINC000906757402 635031806 /nfs/dbraw/zinc/03/18/06/635031806.db2.gz QSXTUQBFCUOWNB-UHFFFAOYSA-N 0 1 299.334 0.337 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(C#N)cn1)N(C)C ZINC000907233130 635093854 /nfs/dbraw/zinc/09/38/54/635093854.db2.gz SYUYGHPHFOBICY-VIFPVBQESA-N 0 1 268.342 0.182 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3OCCO[C@H]3C)CCC[C@H]12 ZINC000991120602 635300916 /nfs/dbraw/zinc/30/09/16/635300916.db2.gz RJSXQQULQAXNMQ-RZLSGREXSA-N 0 1 292.379 0.537 20 30 CCEDMN N#Cc1sccc1C(=O)N1CC[C@H](N2CC[C@H](O)C2)C1 ZINC000908199144 635311924 /nfs/dbraw/zinc/31/19/24/635311924.db2.gz DVTZFFJYBNBAIO-QWRGUYRKSA-N 0 1 291.376 0.901 20 30 CCEDMN Cc1ccc(C(=O)/C=C\C(=O)N[C@@H](CO)CN(C)C)cc1 ZINC000908200700 635312546 /nfs/dbraw/zinc/31/25/46/635312546.db2.gz MFIJGLXZEBQJRM-QIAWRQBBSA-N 0 1 290.363 0.773 20 30 CCEDMN C[C@@H](NC(=O)C1CCCCC1)C(=O)NCC#CCN(C)C ZINC000913517452 636834794 /nfs/dbraw/zinc/83/47/94/636834794.db2.gz BFJYUIXDXPNAJY-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN Cc1nn2c(nc(C)cc2C)c1C(=O)NCC#CCN(C)C ZINC000913517022 636835047 /nfs/dbraw/zinc/83/50/47/636835047.db2.gz PJINDHAOOFBVHB-UHFFFAOYSA-N 0 1 299.378 0.949 20 30 CCEDMN COc1ncc(/C=C\C(=O)NCC#CCN(C)C)cn1 ZINC000913521296 636836005 /nfs/dbraw/zinc/83/60/05/636836005.db2.gz SRWXTHXGPCWBAF-SREVYHEPSA-N 0 1 274.324 0.180 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](NC(C)=O)[C@@H]2C)CC1 ZINC001011817524 650316080 /nfs/dbraw/zinc/31/60/80/650316080.db2.gz GNJYGSQLFXAWPA-WFASDCNBSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001014993525 637285225 /nfs/dbraw/zinc/28/52/25/637285225.db2.gz ADDUJVSZPMVVIP-ZIAGYGMSSA-N 0 1 286.379 0.659 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCn3ccnc3C2)C1 ZINC001015001357 637290555 /nfs/dbraw/zinc/29/05/55/637290555.db2.gz VYYLVMPODPMUFV-OLZOCXBDSA-N 0 1 274.368 0.822 20 30 CCEDMN CC(C)(C#N)CC(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913745166 637427283 /nfs/dbraw/zinc/42/72/83/637427283.db2.gz RIQPWXXZMIHFOH-UHFFFAOYSA-N 0 1 262.317 0.846 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2CCNC(=O)C2)c1 ZINC000152083550 637464247 /nfs/dbraw/zinc/46/42/47/637464247.db2.gz CUSKAMJWCFWKRW-SECBINFHSA-N 0 1 259.265 0.729 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H]2CN(C(=O)Cc3ccn[nH]3)C[C@H]21 ZINC000992656583 637533583 /nfs/dbraw/zinc/53/35/83/637533583.db2.gz UGDMULVZJIRQGF-IACUBPJLSA-N 0 1 287.367 0.692 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H]2CN(C(=O)Cc3ncn[nH]3)C[C@H]21 ZINC000992860893 637627999 /nfs/dbraw/zinc/62/79/99/637627999.db2.gz NDJSWRSTTVKSJE-QJPTWQEYSA-N 0 1 288.355 0.087 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cc[nH]n2)[C@@H]1C ZINC000993151313 637716736 /nfs/dbraw/zinc/71/67/36/637716736.db2.gz SLJZLXAPRAEYBA-AAEUAGOBSA-N 0 1 260.341 0.555 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2nccnc2N)[C@H]1C ZINC000993181149 637729020 /nfs/dbraw/zinc/72/90/20/637729020.db2.gz ZXUWUNDRESWETB-MNOVXSKESA-N 0 1 275.356 0.828 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001015612228 637746233 /nfs/dbraw/zinc/74/62/33/637746233.db2.gz NWZWLTSKVNVUCA-AAEUAGOBSA-N 0 1 254.305 0.329 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3[nH]c(=O)[nH]c3n2)C1 ZINC001015617619 637751680 /nfs/dbraw/zinc/75/16/80/637751680.db2.gz FRZAGMCQJYDSTL-VIFPVBQESA-N 0 1 287.323 0.654 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[C@H]1C ZINC000993704219 637838918 /nfs/dbraw/zinc/83/89/18/637838918.db2.gz MIHDIMIKALQNIS-QMIVOQANSA-N 0 1 276.380 0.871 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)C2=NC(=O)N(C)C2)CCCN1CC#N ZINC000993746332 637841688 /nfs/dbraw/zinc/84/16/88/637841688.db2.gz BANURPIKYZOMOF-UWVGGRQHSA-N 0 1 277.328 0.232 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(CCO)[C@@H]2C)cn1 ZINC000994250789 637894485 /nfs/dbraw/zinc/89/44/85/637894485.db2.gz LNFIKPMVYLGPBE-IUODEOHRSA-N 0 1 287.363 0.638 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](NC(C)=O)C[C@H]2C)CC1 ZINC001012851627 650387259 /nfs/dbraw/zinc/38/72/59/650387259.db2.gz JJVCZPSUAIFITB-IUODEOHRSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@@H]1CN(C(=O)c2cc(C(F)F)[nH]n2)C[C@H]1NCC#N ZINC000939124167 638319122 /nfs/dbraw/zinc/31/91/22/638319122.db2.gz RZJHKWREUDBDQL-GMSGAONNSA-N 0 1 283.282 0.921 20 30 CCEDMN C[C@H]1CN(C(=O)C(C)(C)c2c[nH]cn2)C[C@H]1NCC#N ZINC000939535060 638375840 /nfs/dbraw/zinc/37/58/40/638375840.db2.gz OVAADMJQPCKHAL-WDEREUQCSA-N 0 1 275.356 0.647 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2CCN(CC#N)C[C@@H]2C)c1C ZINC000941894436 638581658 /nfs/dbraw/zinc/58/16/58/638581658.db2.gz FHGBKOPBLYALFR-JOYOIKCWSA-N 0 1 275.356 0.990 20 30 CCEDMN C#CCC(C)(C)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000185420773 638767827 /nfs/dbraw/zinc/76/78/27/638767827.db2.gz KKVCXJFZUXSUMK-CYBMUJFWSA-N 0 1 265.401 0.788 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)[C@H]2CCC(=O)N2)CC1(C)C ZINC000995550861 638776018 /nfs/dbraw/zinc/77/60/18/638776018.db2.gz KIVQUWGDNBJECZ-MNOVXSKESA-N 0 1 299.802 0.844 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1C[C@H](NCC#N)C(C)(C)C1 ZINC000995976186 638806388 /nfs/dbraw/zinc/80/63/88/638806388.db2.gz KRIOKGFKQCTMJZ-STQMWFEESA-N 0 1 278.400 0.821 20 30 CCEDMN N#CCNC1CCN(C(=O)[C@@H]2CCCc3c[nH]nc32)CC1 ZINC000996526065 638820888 /nfs/dbraw/zinc/82/08/88/638820888.db2.gz XJGBXTUBPUTODZ-CYBMUJFWSA-N 0 1 287.367 0.934 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000999166931 638964104 /nfs/dbraw/zinc/96/41/04/638964104.db2.gz YEHCQFLUYAQQMJ-VXGBXAGGSA-N 0 1 287.367 0.934 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC000999789764 639000142 /nfs/dbraw/zinc/00/01/42/639000142.db2.gz IOMYJKKBAONALO-RISCZKNCSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC001000027525 639035905 /nfs/dbraw/zinc/03/59/05/639035905.db2.gz QMSNERDTYJSYMZ-SNVBAGLBSA-N 0 1 296.758 0.717 20 30 CCEDMN C[C@@H]1CCC[N@H+]1CC(=O)N1CCC[C@@H](NCC#N)C1 ZINC001000183639 639049335 /nfs/dbraw/zinc/04/93/35/639049335.db2.gz JXZQTQVPIWHYNO-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnns2)CC1 ZINC001000475510 639094564 /nfs/dbraw/zinc/09/45/64/639094564.db2.gz KFWRDPPIHTXPHY-UHFFFAOYSA-N 0 1 262.338 0.533 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(C)nn2C)CC1 ZINC001000485703 639098733 /nfs/dbraw/zinc/09/87/33/639098733.db2.gz CGXIMAICSDKVPY-UHFFFAOYSA-N 0 1 272.352 0.724 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnc(C)[nH]2)CC1 ZINC001000507166 639110145 /nfs/dbraw/zinc/11/01/45/639110145.db2.gz PQQHDCDYQVGQRE-UHFFFAOYSA-N 0 1 258.325 0.713 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnc3[nH]cnc3c2)CC1 ZINC001000729075 639156797 /nfs/dbraw/zinc/15/67/97/639156797.db2.gz CATMASWDWRKLIA-UHFFFAOYSA-N 0 1 295.346 0.953 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC001001045182 639216039 /nfs/dbraw/zinc/21/60/39/639216039.db2.gz OQMHHZINNUDVSE-UONOGXRCSA-N 0 1 289.379 0.270 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2n[nH]cc2F)CC1 ZINC001001123164 639240072 /nfs/dbraw/zinc/24/00/72/639240072.db2.gz MCRWQXVSLQCHDI-UHFFFAOYSA-N 0 1 276.315 0.934 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccncn2)CC1 ZINC001001280628 639274065 /nfs/dbraw/zinc/27/40/65/639274065.db2.gz VWUIOMIYPXQALD-UHFFFAOYSA-N 0 1 256.309 0.472 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2C[C@]23CCOC3)CC1 ZINC001001358354 639288031 /nfs/dbraw/zinc/28/80/31/639288031.db2.gz VYTGLWIWZVDMAG-ZBFHGGJFSA-N 0 1 274.364 0.795 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncc3ncn(C)c32)C1 ZINC001014794848 650489123 /nfs/dbraw/zinc/48/91/23/650489123.db2.gz TVLDKSQZPCATAT-LLVKDONJSA-N 0 1 285.351 0.958 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)[C@H]2COCCN2C(C)=O)C1 ZINC001016293422 639381235 /nfs/dbraw/zinc/38/12/35/639381235.db2.gz AEHAGDMDWJPQHL-ZIAGYGMSSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnns2)CC1 ZINC001002400199 639407719 /nfs/dbraw/zinc/40/77/19/639407719.db2.gz BXOMKYCFIYISTQ-UHFFFAOYSA-N 0 1 264.354 0.756 20 30 CCEDMN CC#CC[NH+]1CCC(NC(=O)Cc2n[nH]c(C)n2)CC1 ZINC001002775205 639456593 /nfs/dbraw/zinc/45/65/93/639456593.db2.gz LKEYDIPESWACAM-UHFFFAOYSA-N 0 1 275.356 0.260 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2cccc(C)c2=O)CC1 ZINC001002811656 639461354 /nfs/dbraw/zinc/46/13/54/639461354.db2.gz PURIFCSRCCGXTG-UHFFFAOYSA-N 0 1 287.363 0.371 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(C)ncn2C)CC1 ZINC001003084252 639503664 /nfs/dbraw/zinc/50/36/64/639503664.db2.gz NJRSJSQCRQVWRE-UHFFFAOYSA-N 0 1 260.341 0.556 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001014918514 650502490 /nfs/dbraw/zinc/50/24/90/650502490.db2.gz BBFCZTQRLGFIEO-BXUZGUMPSA-N 0 1 265.357 0.279 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2[nH]nnc2C)CC1 ZINC001003410991 639541167 /nfs/dbraw/zinc/54/11/67/639541167.db2.gz LAPWQMSZBXLESO-UHFFFAOYSA-N 0 1 261.329 0.331 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccn3nnnc3c2)CC1 ZINC001003420444 639541180 /nfs/dbraw/zinc/54/11/80/639541180.db2.gz RSRRCFIJWHHANU-UHFFFAOYSA-N 0 1 298.350 0.342 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001014989605 650512433 /nfs/dbraw/zinc/51/24/33/650512433.db2.gz IPGAFKCNFJMDCC-ZIAGYGMSSA-N 0 1 286.379 0.659 20 30 CCEDMN Cn1nccc1CNc1n[nH]c(N2CCOCC2)c1C#N ZINC000922360831 639668379 /nfs/dbraw/zinc/66/83/79/639668379.db2.gz ZIFRAMCQOPOHPB-UHFFFAOYSA-N 0 1 287.327 0.464 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cccc(=O)[nH]2)CC1 ZINC001005216300 639890661 /nfs/dbraw/zinc/89/06/61/639890661.db2.gz PBCOBLUXNOJYER-UHFFFAOYSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2cnn(C)c2C)CC1 ZINC001005488988 639912602 /nfs/dbraw/zinc/91/26/02/639912602.db2.gz NHSDRBIQMJIXHJ-UHFFFAOYSA-N 0 1 288.395 0.827 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@@]2(C)CNC(=O)C2)CC1 ZINC001005761556 639961318 /nfs/dbraw/zinc/96/13/18/639961318.db2.gz BLNVZJOHUQKSTO-MRXNPFEDSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001015311311 650552619 /nfs/dbraw/zinc/55/26/19/650552619.db2.gz RHNODPLKEJAHAK-LBPRGKRZSA-N 0 1 261.325 0.706 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2ncc3cccnc32)C1 ZINC001015319973 650553771 /nfs/dbraw/zinc/55/37/71/650553771.db2.gz ZYFMXOUSYBGLCU-AWEZNQCLSA-N 0 1 297.362 0.645 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCc3nncn3CC2)C1 ZINC001015324450 650554546 /nfs/dbraw/zinc/55/45/46/650554546.db2.gz ICRKCQLDSURKSX-QWHCGFSZSA-N 0 1 289.383 0.607 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cnon2)CC1 ZINC001006163249 640191918 /nfs/dbraw/zinc/19/19/18/640191918.db2.gz AWHRJFKZYDYMKS-UHFFFAOYSA-N 0 1 262.313 0.629 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cc(Br)[nH]n1 ZINC000798294768 640489612 /nfs/dbraw/zinc/48/96/12/640489612.db2.gz MECHIXCCMQMNMD-LURJTMIESA-N 0 1 285.101 0.537 20 30 CCEDMN Nc1nc(=NNC2=CC(=O)N3CCC[C@@H]23)cc(Cl)[nH]1 ZINC000799486006 640576132 /nfs/dbraw/zinc/57/61/32/640576132.db2.gz XCLGHDUSRGYKNE-ZETCQYMHSA-N 0 1 280.719 0.875 20 30 CCEDMN C[C@@H]1C[C@H](C)[C@@H](C(=O)C(C#N)C(=O)NC2CC2)O1 ZINC000800384505 640610998 /nfs/dbraw/zinc/61/09/98/640610998.db2.gz DMNHBXTVFPBNNT-NUODRBITSA-N 0 1 250.298 0.787 20 30 CCEDMN C[C@H](C#N)OCC[N@H+]1C[C@H](C)O[C@@]2(CCOC2)C1 ZINC000801952763 640679895 /nfs/dbraw/zinc/67/98/95/640679895.db2.gz DKJJYBYRLSXCCF-AGIUHOORSA-N 0 1 254.330 0.795 20 30 CCEDMN C[C@H](C#N)OCCN1C[C@H](C)O[C@@]2(CCOC2)C1 ZINC000801952763 640679902 /nfs/dbraw/zinc/67/99/02/640679902.db2.gz DKJJYBYRLSXCCF-AGIUHOORSA-N 0 1 254.330 0.795 20 30 CCEDMN C[C@H](C#N)OCC[N@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(N)=O ZINC000801950129 640680141 /nfs/dbraw/zinc/68/01/41/640680141.db2.gz VZBLXAXJDCEUMA-NOOOWODRSA-N 0 1 251.330 0.501 20 30 CCEDMN C[C@H](C#N)OCCN1C[C@@H]2CCC[C@@H]2[C@@H]1C(N)=O ZINC000801950129 640680148 /nfs/dbraw/zinc/68/01/48/640680148.db2.gz VZBLXAXJDCEUMA-NOOOWODRSA-N 0 1 251.330 0.501 20 30 CCEDMN C=CCOCC(=O)OC[C@H]1CN(C(C)C)CCO1 ZINC000802133776 640687456 /nfs/dbraw/zinc/68/74/56/640687456.db2.gz HIGHZSUGUJYLEB-GFCCVEGCSA-N 0 1 257.330 0.841 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001015661731 650603299 /nfs/dbraw/zinc/60/32/99/650603299.db2.gz GATZKFFKOGEUQT-GWCFXTLKSA-N 0 1 251.330 0.032 20 30 CCEDMN Cn1cc(CN=Nc2nccn(C)c2=O)c(C(F)F)n1 ZINC000812226668 640996210 /nfs/dbraw/zinc/99/62/10/640996210.db2.gz RNUONAYIJHZUDA-UHFFFAOYSA-N 0 1 282.254 0.897 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cnn(CC(F)F)c2)N1 ZINC000814226175 641060922 /nfs/dbraw/zinc/06/09/22/641060922.db2.gz QKARVWKANPDDHF-SSDOTTSWSA-N 0 1 256.260 0.419 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc3cccnn32)C1 ZINC001015805902 650632566 /nfs/dbraw/zinc/63/25/66/650632566.db2.gz MVAAICCFZWIUSO-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCN(CC=C)C2)nn1 ZINC001015812932 650633413 /nfs/dbraw/zinc/63/34/13/650633413.db2.gz KOUSZVRRAIXLGY-LLVKDONJSA-N 0 1 261.329 0.454 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(CC=C)nn2)C1 ZINC001015810298 650633653 /nfs/dbraw/zinc/63/36/53/650633653.db2.gz FKXAQVZSNXNWTP-LBPRGKRZSA-N 0 1 273.340 0.292 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001015939947 650660169 /nfs/dbraw/zinc/66/01/69/650660169.db2.gz COHGDLLTQAAERJ-ZDUSSCGKSA-N 0 1 296.334 0.799 20 30 CCEDMN O=C(N[C@@H]1CCN(O)C1=O)c1cc2[nH]cnc2cc1F ZINC000820136339 641467295 /nfs/dbraw/zinc/46/72/95/641467295.db2.gz AIKDXBRKSCZMQD-MRVPVSSYSA-N 0 1 278.243 0.422 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC001015973550 650667317 /nfs/dbraw/zinc/66/73/17/650667317.db2.gz BXFVBNQSLMDMOE-NEPJUHHUSA-N 0 1 280.372 0.296 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001015989144 650671066 /nfs/dbraw/zinc/67/10/66/650671066.db2.gz MWBCXLYEPYXZNK-NWDGAFQWSA-N 0 1 287.367 0.123 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2c(OC)cc[nH]c2=O)C1 ZINC001016007437 650674879 /nfs/dbraw/zinc/67/48/79/650674879.db2.gz VOVDVXRWNZIZKC-LLVKDONJSA-N 0 1 289.335 0.623 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC(C)C)CC1 ZINC000822236926 641652297 /nfs/dbraw/zinc/65/22/97/641652297.db2.gz XDRFRVSMCJQBQT-CYBMUJFWSA-N 0 1 252.358 0.825 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1CC(=O)Nc1ccccc1 ZINC000826383216 641930351 /nfs/dbraw/zinc/93/03/51/641930351.db2.gz PNJBGQRBRMUUMN-UHFFFAOYSA-N 0 1 294.278 0.574 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2nc[nH]c2C(F)(F)F)CCO1 ZINC000826661348 641948706 /nfs/dbraw/zinc/94/87/06/641948706.db2.gz APNDNQYDEYAIQD-LURJTMIESA-N 0 1 274.202 0.793 20 30 CCEDMN N#CC1(C(=O)NCCN2CC[C@H](O)C2)CCSCC1 ZINC000827749803 642039826 /nfs/dbraw/zinc/03/98/26/642039826.db2.gz QLFYFOGQLXUJHZ-NSHDSACASA-N 0 1 283.397 0.206 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001016387895 650724192 /nfs/dbraw/zinc/72/41/92/650724192.db2.gz JKTSVQJNUIODKG-LBPRGKRZSA-N 0 1 288.351 0.561 20 30 CCEDMN Cc1nn(-c2cccnc2)nc1C(=O)NC1=NO[C@@H](C)C1 ZINC000867062663 642171040 /nfs/dbraw/zinc/17/10/40/642171040.db2.gz GXUUYJLFWVBZKA-QMMMGPOBSA-N 0 1 286.295 0.823 20 30 CCEDMN C#CC[C@@H]1CCCN(Cc2cn(CC(=O)OC)nn2)C1 ZINC000829815026 642194849 /nfs/dbraw/zinc/19/48/49/642194849.db2.gz DQCBHZHKKUOJTI-GFCCVEGCSA-N 0 1 276.340 0.686 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001016442107 650731915 /nfs/dbraw/zinc/73/19/15/650731915.db2.gz BITVHSARNCZWCC-DGCLKSJQSA-N 0 1 262.357 0.900 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@H](O)c2ccc(C#N)cc2)C1 ZINC000829982808 642215722 /nfs/dbraw/zinc/21/57/22/642215722.db2.gz GYBJGRURVDGHCW-LSDHHAIUSA-N 0 1 271.320 0.942 20 30 CCEDMN C=CCN1CCC2(C[C@@H]2NC(=O)C2CS(=O)(=O)C2)CC1 ZINC001016639094 650751413 /nfs/dbraw/zinc/75/14/13/650751413.db2.gz ABZRVADVJGXLIR-LBPRGKRZSA-N 0 1 298.408 0.188 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1cccc(F)c1F ZINC000834479309 642571656 /nfs/dbraw/zinc/57/16/56/642571656.db2.gz CCVBRUKTTKDPTR-GXSJLCMTSA-N 0 1 265.263 0.735 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)CCN2 ZINC000834484046 642574608 /nfs/dbraw/zinc/57/46/08/642574608.db2.gz CWPUYLZBUKKBMP-WCQYABFASA-N 0 1 256.309 0.496 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(F)cc2nccnc21 ZINC000834488230 642577622 /nfs/dbraw/zinc/57/76/22/642577622.db2.gz ASJYMNSMEPCLSB-PRHODGIISA-N 0 1 285.282 0.610 20 30 CCEDMN C[C@@H]1CCC[C@H](OCC(=O)N[C@H]2CNC[C@H]2C#N)C1 ZINC000834489117 642579075 /nfs/dbraw/zinc/57/90/75/642579075.db2.gz JSFFWSYDIGJUOK-NDBYEHHHSA-N 0 1 265.357 0.809 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccnc(C2CC2)n1 ZINC000834489122 642579386 /nfs/dbraw/zinc/57/93/86/642579386.db2.gz JSOGCGGVBQJXSU-ONGXEEELSA-N 0 1 257.297 0.195 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc(-n2cccn2)n1 ZINC000834490887 642582183 /nfs/dbraw/zinc/58/21/83/642582183.db2.gz XXMMDFFYSMOKEJ-PWSUYJOCSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1C[NH2+]C[C@@H]1NC(=O)c1n[n-]c2ccccc2c1=O ZINC000834490753 642582359 /nfs/dbraw/zinc/58/23/59/642582359.db2.gz WWFAIWDRDTUFJD-KWQFWETISA-N 0 1 283.291 0.177 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(N2CCCC2)nc1 ZINC000834493690 642582765 /nfs/dbraw/zinc/58/27/65/642582765.db2.gz GOHWAIMCDGRMJU-CHWSQXEVSA-N 0 1 285.351 0.523 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(N)c([N+](=O)[O-])c1 ZINC000834494885 642584878 /nfs/dbraw/zinc/58/48/78/642584878.db2.gz QORARTCQSAXMKT-WCBMZHEXSA-N 0 1 289.295 0.327 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCOCC(F)(F)F ZINC000834499625 642588223 /nfs/dbraw/zinc/58/82/23/642588223.db2.gz KQQUXXXBJRRLNU-HTQZYQBOSA-N 0 1 265.235 0.183 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1c[nH]c(=O)c2ccccc12 ZINC000834499226 642589136 /nfs/dbraw/zinc/58/91/36/642589136.db2.gz GJBIPHUXTIQZFH-NOZJJQNGSA-N 0 1 282.303 0.782 20 30 CCEDMN CCCCn1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(C)n1 ZINC000834499317 642589312 /nfs/dbraw/zinc/58/93/12/642589312.db2.gz HCDFAWOWMKSBRG-WCQYABFASA-N 0 1 275.356 0.833 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CN1C(=O)CCc2ccccc21 ZINC000834500915 642590864 /nfs/dbraw/zinc/59/08/64/642590864.db2.gz XYUUKRCHXBIUCJ-OLZOCXBDSA-N 0 1 298.346 0.194 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(NC(=O)C2CC2)cc1 ZINC000834505564 642591265 /nfs/dbraw/zinc/59/12/65/642591265.db2.gz MGPKEHLKEIFDMN-JSGCOSHPSA-N 0 1 298.346 0.876 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(F)ccc1F ZINC000834510864 642595610 /nfs/dbraw/zinc/59/56/10/642595610.db2.gz GDZZBKZJFZTSPW-HQJQHLMTSA-N 0 1 251.236 0.806 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@H]2C#N)[nH]c2c1C(=O)CCC2 ZINC000834511869 642597439 /nfs/dbraw/zinc/59/74/39/642597439.db2.gz PCXQLAKDSQPSLF-MWLCHTKSSA-N 0 1 286.335 0.684 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000834511363 642597809 /nfs/dbraw/zinc/59/78/09/642597809.db2.gz KVGRKSBQYZJLSB-WDEREUQCSA-N 0 1 274.280 0.365 20 30 CCEDMN Cc1nn(C)c(C)c1CCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834515709 642598638 /nfs/dbraw/zinc/59/86/38/642598638.db2.gz BEPWAONPQCJKNV-DGCLKSJQSA-N 0 1 275.356 0.197 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc2ccccc2[nH]c1=O ZINC000834517255 642600456 /nfs/dbraw/zinc/60/04/56/642600456.db2.gz SWJITEBXJUAFKA-MFKMUULPSA-N 0 1 282.303 0.782 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccn(-c2ccccc2)n1 ZINC000834521430 642602290 /nfs/dbraw/zinc/60/22/90/642602290.db2.gz IOEZDXVQCRQDDX-FZMZJTMJSA-N 0 1 281.319 0.714 20 30 CCEDMN Cc1nccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c1Cl ZINC000841178390 642807039 /nfs/dbraw/zinc/80/70/39/642807039.db2.gz CQVUUEZWCFUKTC-WCBMZHEXSA-N 0 1 279.731 0.698 20 30 CCEDMN COc1ncncc1C=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000842528882 642908842 /nfs/dbraw/zinc/90/88/42/642908842.db2.gz MOWQFCQVIMJOFK-GHMZBOCLSA-N 0 1 274.328 0.679 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1C[C@H]1C(=O)OC ZINC001017412073 650800047 /nfs/dbraw/zinc/80/00/47/650800047.db2.gz MPXFKEXGQKGPBZ-XJFOESAGSA-N 0 1 290.363 0.494 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1nnn(C)c1C ZINC001017439554 650802252 /nfs/dbraw/zinc/80/22/52/650802252.db2.gz NQUOIICUWCQPBX-BETUJISGSA-N 0 1 289.383 0.988 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccccc1Cn1cncn1 ZINC000843460011 643064337 /nfs/dbraw/zinc/06/43/37/643064337.db2.gz KPZQMYOGZUQTIV-JSGCOSHPSA-N 0 1 296.334 0.168 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccccc1-n1ccnn1 ZINC000843461120 643064836 /nfs/dbraw/zinc/06/48/36/643064836.db2.gz SDSXHUKJKPQZNQ-CMPLNLGQSA-N 0 1 282.307 0.109 20 30 CCEDMN Cc1noc(C)c1CSCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000843460956 643065243 /nfs/dbraw/zinc/06/52/43/643065243.db2.gz JFWKAZWSIWOJOP-PWSUYJOCSA-N 0 1 294.380 0.752 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cn2ccccc2n1 ZINC000844194014 643178097 /nfs/dbraw/zinc/17/80/97/643178097.db2.gz TVIJUSGVQOQSTG-NXEZZACHSA-N 0 1 255.281 0.176 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CC1CCSCC1 ZINC000846677280 643441904 /nfs/dbraw/zinc/44/19/04/643441904.db2.gz KXRPSZGJAXUPFQ-QWRGUYRKSA-N 0 1 253.371 0.747 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001018156312 650846107 /nfs/dbraw/zinc/84/61/07/650846107.db2.gz LFVRICKQUXWQJA-MCIONIFRSA-N 0 1 298.390 0.823 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnccc1C(F)(F)F ZINC000848417769 643634397 /nfs/dbraw/zinc/63/43/97/643634397.db2.gz DZLXYSQWOWNHKQ-XVKPBYJWSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1ccc2c(c1)CCNC2=O ZINC000850754125 643811096 /nfs/dbraw/zinc/81/10/96/643811096.db2.gz JRMRKXZUAQFUNM-GXFFZTMASA-N 0 1 299.334 0.206 20 30 CCEDMN C#C[C@@](C)(CC)NCC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000852100570 643928191 /nfs/dbraw/zinc/92/81/91/643928191.db2.gz YFYWARNGELDMGL-BBRMVZONSA-N 0 1 293.411 0.895 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ncnc2ccsc21 ZINC000852875143 644036799 /nfs/dbraw/zinc/03/67/99/644036799.db2.gz FMSVPUUOEIBNSP-APPZFPTMSA-N 0 1 273.321 0.533 20 30 CCEDMN Cn1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(-c2ccco2)n1 ZINC000852875736 644037902 /nfs/dbraw/zinc/03/79/02/644037902.db2.gz OOMUSOVDBKWZFD-ONGXEEELSA-N 0 1 285.307 0.521 20 30 CCEDMN CC(C)c1nc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001018632348 650887333 /nfs/dbraw/zinc/88/73/33/650887333.db2.gz NEFVAUHUJIOWSU-MNOVXSKESA-N 0 1 290.371 0.778 20 30 CCEDMN C[C@H]1CCCCN1CC(N)=NOCC(=O)N(C)C1CC1 ZINC000111179340 644092455 /nfs/dbraw/zinc/09/24/55/644092455.db2.gz JYADLJVAFXRXIP-NSHDSACASA-N 0 1 282.388 0.770 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2nnn(C)c2C)C1 ZINC001018660294 650893907 /nfs/dbraw/zinc/89/39/07/650893907.db2.gz ZNMAITHKEUFUGA-JTQLQIEISA-N 0 1 283.763 0.680 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2ccnn2C)C1 ZINC001018776934 650906946 /nfs/dbraw/zinc/90/69/46/650906946.db2.gz BGMLMARYMCYKQG-NSHDSACASA-N 0 1 282.775 0.906 20 30 CCEDMN N#Cc1ccc(F)c(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)c1 ZINC000855049772 644285037 /nfs/dbraw/zinc/28/50/37/644285037.db2.gz AZXSYPHSMJMFQD-NWDGAFQWSA-N 0 1 289.310 0.588 20 30 CCEDMN C#CCCCCNS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000859062468 644663596 /nfs/dbraw/zinc/66/35/96/644663596.db2.gz XYTHRDUJIILVMZ-UHFFFAOYSA-N 0 1 286.309 0.543 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001019229034 650949661 /nfs/dbraw/zinc/94/96/61/650949661.db2.gz SOJVIHYHBIJSLL-RYUDHWBXSA-N 0 1 299.802 0.940 20 30 CCEDMN N#Cc1ccccc1NC(=S)NCCN1CC[C@H](O)C1 ZINC000863252984 645073251 /nfs/dbraw/zinc/07/32/51/645073251.db2.gz FSDLVAYORRAEJG-LBPRGKRZSA-N 0 1 290.392 0.911 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001019602761 650992207 /nfs/dbraw/zinc/99/22/07/650992207.db2.gz VLJRMHWUBFQYTL-ZDUSSCGKSA-N 0 1 296.334 0.799 20 30 CCEDMN Cn1ccnc1CN[C@H]1C[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001020084669 651036188 /nfs/dbraw/zinc/03/61/88/651036188.db2.gz QUZJTPMVUZAVAR-HAQNSBGRSA-N 0 1 298.350 0.670 20 30 CCEDMN Cc1csc2nc(CC(=O)N[C@H]3CNC[C@@H]3C#N)cn12 ZINC000870940253 646053876 /nfs/dbraw/zinc/05/38/76/646053876.db2.gz HFZQXFMTCUMUBI-ONGXEEELSA-N 0 1 289.364 0.475 20 30 CCEDMN Cc1csc2nc(CC(=O)N[C@H]3CNC[C@H]3C#N)cn12 ZINC000870940250 646054158 /nfs/dbraw/zinc/05/41/58/646054158.db2.gz HFZQXFMTCUMUBI-KOLCDFICSA-N 0 1 289.364 0.475 20 30 CCEDMN Cc1nc2c(cccc2C(=O)N[C@H]2CNC[C@H]2C#N)[nH]1 ZINC000876803359 646670163 /nfs/dbraw/zinc/67/01/63/646670163.db2.gz VYZXKJMEDATZNZ-SKDRFNHKSA-N 0 1 269.308 0.713 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc2nc[nH]c2cc1F ZINC000876802257 646670503 /nfs/dbraw/zinc/67/05/03/646670503.db2.gz NNMJUJHLAMNFRL-JVXZTZIISA-N 0 1 273.271 0.543 20 30 CCEDMN Cc1cc(C2(C(=O)N[C@@H]3CNC[C@@H]3C#N)CC2)on1 ZINC000876803596 646670673 /nfs/dbraw/zinc/67/06/73/646670673.db2.gz XILSBCLKZHIUTP-VHSXEESVSA-N 0 1 260.297 0.242 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ncc2ccccn21 ZINC000876802270 646670895 /nfs/dbraw/zinc/67/08/95/646670895.db2.gz NPHYMRVAEIPUTJ-MWLCHTKSSA-N 0 1 255.281 0.176 20 30 CCEDMN N#Cc1cccc(CNC[C@H]2COC3(CCOCC3)O2)n1 ZINC000877142389 646741223 /nfs/dbraw/zinc/74/12/23/646741223.db2.gz SPJMVYLXCQZLHN-AWEZNQCLSA-N 0 1 289.335 0.965 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@@H](O)CC2(O)CCCCC2)C1 ZINC000878761564 646974655 /nfs/dbraw/zinc/97/46/55/646974655.db2.gz NPYWJPCEDLYKLG-DZGCQCFKSA-N 0 1 282.384 0.783 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)NC(C)(C)c1c[nH]nn1 ZINC000883113118 647490375 /nfs/dbraw/zinc/49/03/75/647490375.db2.gz JRYKESZJOUKDTD-UHFFFAOYSA-N 0 1 258.285 0.680 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnn3cc[nH]c23)CC1 ZINC001006346557 647571894 /nfs/dbraw/zinc/57/18/94/647571894.db2.gz DGUJUELHDVZIJV-UHFFFAOYSA-N 0 1 285.351 0.832 20 30 CCEDMN Cc1cn(C(C)(C)C(=O)N[C@H]2CNC[C@@H]2C#N)cn1 ZINC000884250715 647681244 /nfs/dbraw/zinc/68/12/44/647681244.db2.gz MARYERVJYBXLOU-QWRGUYRKSA-N 0 1 261.329 0.154 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)[C@@H]2CCCN2C2CC2)CCO1 ZINC000887684330 648141883 /nfs/dbraw/zinc/14/18/83/648141883.db2.gz GNAAFGFTWNRHFU-GXTWGEPZSA-N 0 1 263.341 0.754 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CCC[C@@H](C#N)C1 ZINC000928223147 648623723 /nfs/dbraw/zinc/62/37/23/648623723.db2.gz YBCXWFXUHQIDBY-OLZOCXBDSA-N 0 1 265.357 0.717 20 30 CCEDMN N#CC[C@@H](O)CN1CCC(N2CCCCC2=O)CC1 ZINC000929841754 648802219 /nfs/dbraw/zinc/80/22/19/648802219.db2.gz PBIZNGZBWFAHKE-CYBMUJFWSA-N 0 1 265.357 0.738 20 30 CCEDMN N#Cc1cncc(CN2CC[C@@]3(CNC(=O)C3)C2)c1 ZINC000930265012 648841348 /nfs/dbraw/zinc/84/13/48/648841348.db2.gz CCAKLXZPDASEGN-CQSZACIVSA-N 0 1 256.309 0.665 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCC[C@H]1c1nnc[nH]1)C1CC1 ZINC000931134237 648948505 /nfs/dbraw/zinc/94/85/05/648948505.db2.gz OPPURURZFMMSHN-SMDDNHRTSA-N 0 1 288.355 0.750 20 30 CCEDMN Cn1[nH]c(=O)c2c1CN(C[C@H](O)CC1(C#N)CCC1)CC2 ZINC000931191375 648960666 /nfs/dbraw/zinc/96/06/66/648960666.db2.gz QUQCHXIRYZPJGO-LLVKDONJSA-N 0 1 290.367 0.929 20 30 CCEDMN COc1cccc(NC(=O)NCC#CCN(C)C)n1 ZINC000931883874 649034016 /nfs/dbraw/zinc/03/40/16/649034016.db2.gz VHLHADMODFTNSQ-UHFFFAOYSA-N 0 1 262.313 0.777 20 30 CCEDMN NS(=O)(=O)C[C@@H]1CCN(CC#Cc2ccccc2)C1 ZINC000932947410 649104150 /nfs/dbraw/zinc/10/41/50/649104150.db2.gz CIUULOJEBXASRK-CQSZACIVSA-N 0 1 278.377 0.649 20 30 CCEDMN O=C1C=COC2(CCN(CCn3cnnn3)CC2)C1 ZINC000933645086 649132811 /nfs/dbraw/zinc/13/28/11/649132811.db2.gz ZZSRFEWLAQAXRJ-UHFFFAOYSA-N 0 1 263.301 0.011 20 30 CCEDMN C=CC[C@@H]1CCN1CC(=O)N1CCN(C(C)=O)CC1 ZINC000934472333 649177068 /nfs/dbraw/zinc/17/70/68/649177068.db2.gz LVIGYXMTPLGASH-CYBMUJFWSA-N 0 1 265.357 0.328 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@](C)(NC(=O)c2[nH]ncc2F)C1 ZINC000957513267 649750782 /nfs/dbraw/zinc/75/07/82/649750782.db2.gz MMSURPSOSYCHMU-SDBXPKJASA-N 0 1 293.302 0.429 20 30 CCEDMN N#CCN1C[C@H](O)[C@H](CNC(=O)c2n[nH]c3ccccc32)C1 ZINC000958645553 649815895 /nfs/dbraw/zinc/81/58/95/649815895.db2.gz RJOLIVIQGDWZGI-MFKMUULPSA-N 0 1 299.334 0.109 20 30 CCEDMN Cc1nc(Cl)nc(N2C[C@@H]3[C@H](C2)OCCN3C)c1C#N ZINC001164671240 719395941 /nfs/dbraw/zinc/39/59/41/719395941.db2.gz YFBMTZGXQVOFSS-MNOVXSKESA-N 0 1 293.758 0.829 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nccc(F)c3C#N)C[C@@H]21 ZINC001164671929 719403258 /nfs/dbraw/zinc/40/32/58/719403258.db2.gz ZXTIBKVRETZFRK-NWDGAFQWSA-N 0 1 262.288 0.612 20 30 CCEDMN CN1CCO[C@H]2CN(c3ccc4[nH]c(C#N)cc4n3)C[C@H]21 ZINC001164672972 719429636 /nfs/dbraw/zinc/42/96/36/719429636.db2.gz SCIMRUBFJZHWAW-KGLIPLIRSA-N 0 1 283.335 0.954 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)c2ccco2)CC1 ZINC001230182113 805585228 /nfs/dbraw/zinc/58/52/28/805585228.db2.gz BRIXNMWXWBFZGV-UHFFFAOYSA-N 0 1 289.335 0.223 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001230191852 805585858 /nfs/dbraw/zinc/58/58/58/805585858.db2.gz LSFBYEMCKAWGFE-SNVBAGLBSA-N 0 1 288.311 0.213 20 30 CCEDMN C=CCCOCC(=O)NC1CCN(CC#CCOC)CC1 ZINC001230411591 805600604 /nfs/dbraw/zinc/60/06/04/805600604.db2.gz NTVLLUMXAAGAMS-UHFFFAOYSA-N 0 1 294.395 0.810 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)C[C@H]2CCOC2)CC1 ZINC001266259413 790346063 /nfs/dbraw/zinc/34/60/63/790346063.db2.gz CAEFMAXTCCDAML-OAHLLOKOSA-N 0 1 295.427 0.723 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1cc(OC)ccc1OC ZINC001266285165 790405531 /nfs/dbraw/zinc/40/55/31/790405531.db2.gz PDDFTWSILLHWOH-UHFFFAOYSA-N 0 1 290.363 0.928 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CC[C@@]2(C)CCC(=O)N2)C1 ZINC001211499547 790414168 /nfs/dbraw/zinc/41/41/68/790414168.db2.gz QHDDJJXZFOAQAM-IOASZLSFSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)CN(C)C(=O)C2CC2)C1 ZINC001266322640 790505619 /nfs/dbraw/zinc/50/56/19/790505619.db2.gz LCDYPUVBCZRTSV-GFCCVEGCSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CN(C)C(=O)C2CC2)C1 ZINC001266322640 790505627 /nfs/dbraw/zinc/50/56/27/790505627.db2.gz LCDYPUVBCZRTSV-GFCCVEGCSA-N 0 1 265.357 0.231 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(CCOCC)C2)nc1 ZINC001266352948 790571407 /nfs/dbraw/zinc/57/14/07/790571407.db2.gz LODOEPWFXPQODM-AWEZNQCLSA-N 0 1 287.363 0.904 20 30 CCEDMN CO[C@@H](C)CN1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038175189 790591382 /nfs/dbraw/zinc/59/13/82/790591382.db2.gz MQKOSBRYPHQLJH-GWCFXTLKSA-N 0 1 276.340 0.725 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCCNCc2ccns2)c1 ZINC001125370887 790801552 /nfs/dbraw/zinc/80/15/52/790801552.db2.gz YIUDSORRMGFUCY-UHFFFAOYSA-N 0 1 275.337 0.862 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CNC(=O)/C=C(/C)CC)C1 ZINC001266510409 790828680 /nfs/dbraw/zinc/82/86/80/790828680.db2.gz BLHLGJDFESPVRC-RNQWEJQRSA-N 0 1 291.395 0.530 20 30 CCEDMN C#CCC[NH2+][C@H]1CCN(C(=O)c2ncccc2[O-])C1 ZINC001266519589 790859852 /nfs/dbraw/zinc/85/98/52/790859852.db2.gz QQISXARQJZUODY-NSHDSACASA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN(CCNC(=O)[C@H](NC(C)=O)C(C)C)C1CC1 ZINC001266617846 791041148 /nfs/dbraw/zinc/04/11/48/791041148.db2.gz ZOKDBAFEMWQPKT-CQSZACIVSA-N 0 1 279.384 0.361 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H](C)NC(C)=O ZINC001230697665 805650763 /nfs/dbraw/zinc/65/07/63/805650763.db2.gz KZAORGOJAZMTHL-YPMHNXCESA-N 0 1 267.373 0.620 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCn1cccn1 ZINC001230806442 805671530 /nfs/dbraw/zinc/67/15/30/805671530.db2.gz MPMCHWKDQWNISN-AWEZNQCLSA-N 0 1 274.368 0.829 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CNC(=O)OC ZINC001230915981 805690383 /nfs/dbraw/zinc/69/03/83/805690383.db2.gz KEQFTDQCSQPACP-LLVKDONJSA-N 0 1 269.345 0.451 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)CNC(=O)OC ZINC001230914912 805691300 /nfs/dbraw/zinc/69/13/00/805691300.db2.gz CQVKNLBSOAZERR-GFCCVEGCSA-N 0 1 299.371 0.078 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(=O)COCC)C1=O ZINC001231408462 805794230 /nfs/dbraw/zinc/79/42/30/805794230.db2.gz IARBUJWIGBRMHK-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2CCCNC2=O)C1 ZINC001277611295 805900534 /nfs/dbraw/zinc/90/05/34/805900534.db2.gz XPKYITXOODNOJT-ZDUSSCGKSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H]2CCNC2=O)C1 ZINC001277637275 805914365 /nfs/dbraw/zinc/91/43/65/805914365.db2.gz VXKSUXYIQGDOET-AAEUAGOBSA-N 0 1 279.384 0.620 20 30 CCEDMN N#Cc1ccnc(CN2CC[C@H]3CNC(=O)[C@H]3C2)c1 ZINC001232477705 805945442 /nfs/dbraw/zinc/94/54/42/805945442.db2.gz CWVIDTPJJIOZCS-AAEUAGOBSA-N 0 1 256.309 0.521 20 30 CCEDMN N#Cc1ccc(N2CC3(CN(CCn4ccnc4)C3)C2)nc1 ZINC001277688549 805962390 /nfs/dbraw/zinc/96/23/90/805962390.db2.gz PSCNHHKFRJCFJI-UHFFFAOYSA-N 0 1 294.362 0.972 20 30 CCEDMN CC(=O)N(C)C1C[NH+](Cc2cc(C#N)ccc2[O-])C1 ZINC001232679681 805968759 /nfs/dbraw/zinc/96/87/59/805968759.db2.gz QXRNWJJSFDUHCO-UHFFFAOYSA-N 0 1 259.309 0.926 20 30 CCEDMN CC(=O)N(C)C1CN(Cc2cc(C#N)ccc2O)C1 ZINC001232679681 805968769 /nfs/dbraw/zinc/96/87/69/805968769.db2.gz QXRNWJJSFDUHCO-UHFFFAOYSA-N 0 1 259.309 0.926 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H](C)NCc1snnc1C ZINC001277771997 806026894 /nfs/dbraw/zinc/02/68/94/806026894.db2.gz UEOBIQHLBOLMLG-JTQLQIEISA-N 0 1 298.412 0.986 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)N(C)CCNCC#N ZINC001266715710 791173531 /nfs/dbraw/zinc/17/35/31/791173531.db2.gz DEVYLPVFKNJVKV-UHFFFAOYSA-N 0 1 280.397 0.995 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001039422635 791253739 /nfs/dbraw/zinc/25/37/39/791253739.db2.gz ZQRPSYYBGGQXQU-LEOABGAYSA-N 0 1 288.391 0.967 20 30 CCEDMN C#CCCN1CCCO[C@@H](CNC(=O)CCCC(C)=O)C1 ZINC001149167981 791265421 /nfs/dbraw/zinc/26/54/21/791265421.db2.gz LXKFGQRMQVIZQM-HNNXBMFYSA-N 0 1 294.395 0.976 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@]1(C)CCNC1=O ZINC001266855507 791293583 /nfs/dbraw/zinc/29/35/83/791293583.db2.gz DHUJRXJKWXSJJE-BBRMVZONSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3ccncn3)[C@H]2C1 ZINC001083227381 791341002 /nfs/dbraw/zinc/34/10/02/791341002.db2.gz BSZJYRJIYSSNBG-UONOGXRCSA-N 0 1 288.351 0.578 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@@H]1CCNC(=O)C1 ZINC001125615518 791608950 /nfs/dbraw/zinc/60/89/50/791608950.db2.gz LRSRRMFEYDNTMF-OAHLLOKOSA-N 0 1 299.374 0.270 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)C=C(C)C ZINC001233551795 806080077 /nfs/dbraw/zinc/08/00/77/806080077.db2.gz BYEMZBKPMQORLK-ZDUSSCGKSA-N 0 1 279.384 0.529 20 30 CCEDMN COC(=O)[C@H](CS)Nc1ncnc2c1CNCC2 ZINC001167619017 791638991 /nfs/dbraw/zinc/63/89/91/791638991.db2.gz UTGCDPGMEWWOKV-VIFPVBQESA-N 0 1 268.342 0.006 20 30 CCEDMN C#C[C@@H](Oc1n[nH]c(=O)cc1Cl)C(=O)OCC ZINC001233588035 806087133 /nfs/dbraw/zinc/08/71/33/806087133.db2.gz AHDUNSYOGGAEPO-SSDOTTSWSA-N 0 1 256.645 0.779 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CC(=O)NCC ZINC001277801213 806109373 /nfs/dbraw/zinc/10/93/73/806109373.db2.gz SGFWKEMLFQZVHQ-SNVBAGLBSA-N 0 1 275.780 0.702 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H](C)n1cncn1 ZINC001233749345 806112849 /nfs/dbraw/zinc/11/28/49/806112849.db2.gz AYEPKXNLLRNVIL-OLZOCXBDSA-N 0 1 275.356 0.395 20 30 CCEDMN C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)CN1CCOCC1 ZINC001233771763 806119816 /nfs/dbraw/zinc/11/98/16/806119816.db2.gz SRCARYZZDVPGRB-ZDUSSCGKSA-N 0 1 267.373 0.037 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CN1CCOCC1 ZINC001233771763 806119825 /nfs/dbraw/zinc/11/98/25/806119825.db2.gz SRCARYZZDVPGRB-ZDUSSCGKSA-N 0 1 267.373 0.037 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CC(=O)NC ZINC001233891314 806142530 /nfs/dbraw/zinc/14/25/30/806142530.db2.gz QKRJYDIVQLICFL-LLVKDONJSA-N 0 1 253.346 0.231 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCn1ccnn1 ZINC001233906633 806145992 /nfs/dbraw/zinc/14/59/92/806145992.db2.gz KIEIKYLJZYAMJQ-LBPRGKRZSA-N 0 1 263.345 0.387 20 30 CCEDMN CN(CCCNC(=O)CSCC#N)Cc1cnn(C)c1 ZINC001234146863 806190363 /nfs/dbraw/zinc/19/03/63/806190363.db2.gz UGAVSVTXYNOQCJ-UHFFFAOYSA-N 0 1 295.412 0.615 20 30 CCEDMN C#C[C@@H](CC)Oc1c(C(=O)OC)n[nH]c1C(=O)OC ZINC001234191230 806201317 /nfs/dbraw/zinc/20/13/17/806201317.db2.gz XYVHNNRHTXBJOK-ZETCQYMHSA-N 0 1 266.253 0.774 20 30 CCEDMN COC[C@H](C)N1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234195788 806203086 /nfs/dbraw/zinc/20/30/86/806203086.db2.gz NMPKNGSUBQCAFC-JSGCOSHPSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@@H]1CCN1[C@@H](C)COC ZINC001234246097 806210325 /nfs/dbraw/zinc/21/03/25/806210325.db2.gz IMCATRBQLORYPQ-KBPBESRZSA-N 0 1 282.384 0.594 20 30 CCEDMN C=CCCC(=O)NCCN(CC)[C@@H]1CCNC1=O ZINC001267238149 793223520 /nfs/dbraw/zinc/22/35/20/793223520.db2.gz IJNZYYKQXMAGJC-LLVKDONJSA-N 0 1 253.346 0.279 20 30 CCEDMN CC(C)NC(=O)C[N@@H+](CCCNC(=O)[C@H](C)C#N)C(C)C ZINC001267239725 793227647 /nfs/dbraw/zinc/22/76/47/793227647.db2.gz MVWQQBNAYYUWEY-CYBMUJFWSA-N 0 1 296.415 0.887 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001267250382 793241922 /nfs/dbraw/zinc/24/19/22/793241922.db2.gz MVSSLUCOQGVYSJ-UHFFFAOYSA-N 0 1 276.340 0.189 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CCc1cnn(C)c1 ZINC001267256758 793254794 /nfs/dbraw/zinc/25/47/94/793254794.db2.gz FZJYSWGRTUVWOU-UHFFFAOYSA-N 0 1 276.384 0.814 20 30 CCEDMN C=C[C@@H](Oc1nc(F)nc2nc[nH]c21)C(=O)OC ZINC001234691091 806297892 /nfs/dbraw/zinc/29/78/92/806297892.db2.gz UHKJSHMJHIANHY-RXMQYKEDSA-N 0 1 252.205 0.598 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](CO)NCc1cncs1 ZINC001278070299 806649572 /nfs/dbraw/zinc/64/95/72/806649572.db2.gz HMLOKBUHVOEMTO-MFKMUULPSA-N 0 1 299.396 0.037 20 30 CCEDMN COC[C@H]1CNCCN1C(=O)NCCCCC#N ZINC001120589707 798879490 /nfs/dbraw/zinc/87/94/90/798879490.db2.gz HZSXTKAFQMTMJD-LLVKDONJSA-N 0 1 254.334 0.310 20 30 CCEDMN C=C(C)CCC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC001120758427 798930379 /nfs/dbraw/zinc/93/03/79/798930379.db2.gz LIWCIPCDWOBPAS-LBPRGKRZSA-N 0 1 267.373 0.799 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CC2(CC(NCc3cnon3)C2)C1 ZINC001120853614 798949370 /nfs/dbraw/zinc/94/93/70/798949370.db2.gz GEPFNVDGJLKULU-ICYBPSJXSA-N 0 1 289.339 0.746 20 30 CCEDMN COCC[N@H+]1CCCN(c2nnccc2C#N)CC1 ZINC001120884017 798954888 /nfs/dbraw/zinc/95/48/88/798954888.db2.gz QKBFHUBDMDUUBH-UHFFFAOYSA-N 0 1 261.329 0.507 20 30 CCEDMN COCCN1CCCN(c2nnccc2C#N)CC1 ZINC001120884017 798954892 /nfs/dbraw/zinc/95/48/92/798954892.db2.gz QKBFHUBDMDUUBH-UHFFFAOYSA-N 0 1 261.329 0.507 20 30 CCEDMN Cc1cc(NC(=O)C(=O)N[C@@H]2CCN(C)C2)ncc1C#N ZINC001120943145 798966356 /nfs/dbraw/zinc/96/63/56/798966356.db2.gz SXEWYAOUPOEMMM-LLVKDONJSA-N 0 1 287.323 0.021 20 30 CCEDMN CN1CC[C@H](NC(=O)C(=O)Nc2cccc(CC#N)c2)C1 ZINC001121075970 798993845 /nfs/dbraw/zinc/99/38/45/798993845.db2.gz APQNJJVTESRZDJ-ZDUSSCGKSA-N 0 1 286.335 0.511 20 30 CCEDMN C=C/C(C)=C\CC(=O)NC[C@H](CO)NCC#CCOC ZINC001278130319 806708849 /nfs/dbraw/zinc/70/88/49/806708849.db2.gz UUSQYRQEUYLJNY-SVSAJMPUSA-N 0 1 280.368 0.225 20 30 CCEDMN C=CCn1c(-c2ncc[nH]2)nnc1N1CCN(CC)CC1 ZINC001121594104 799059281 /nfs/dbraw/zinc/05/92/81/799059281.db2.gz UEECTILRGOSHPY-UHFFFAOYSA-N 0 1 287.371 0.996 20 30 CCEDMN C#CCNCC(=O)NCCCOCc1ccc(OC)cc1 ZINC001121869278 799080209 /nfs/dbraw/zinc/08/02/09/799080209.db2.gz VKNSPRZQBGTDOF-UHFFFAOYSA-N 0 1 290.363 0.941 20 30 CCEDMN C#CCNCC(=O)N1CCn2c(cnc2C(F)(F)F)C1 ZINC001122283989 799186924 /nfs/dbraw/zinc/18/69/24/799186924.db2.gz GKLCCLGGBHQWOL-UHFFFAOYSA-N 0 1 286.257 0.467 20 30 CCEDMN COc1cncc(C(=O)C(C#N)c2nccn2C)n1 ZINC001122517488 799255645 /nfs/dbraw/zinc/25/56/45/799255645.db2.gz CNWOJNKIIPGWFL-MRVPVSSYSA-N 0 1 257.253 0.709 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(OCC#C)cc1 ZINC001278164545 806735012 /nfs/dbraw/zinc/73/50/12/806735012.db2.gz HZCACDWYMDHXHQ-CQSZACIVSA-N 0 1 286.331 0.012 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc(C)cn1 ZINC001122909195 799312289 /nfs/dbraw/zinc/31/22/89/799312289.db2.gz NVMPPPOKDSLGHG-UHFFFAOYSA-N 0 1 254.721 0.857 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](CC)Cc1ccccc1 ZINC001278165076 806736158 /nfs/dbraw/zinc/73/61/58/806736158.db2.gz SUVWBTFTWXKSKX-HZPDHXFCSA-N 0 1 288.391 0.955 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1cc[n+]([O-])cc1 ZINC001123041361 799342497 /nfs/dbraw/zinc/34/24/97/799342497.db2.gz VXQSKQCYEVYXSH-UHFFFAOYSA-N 0 1 295.342 0.691 20 30 CCEDMN C[C@@H](COC(=O)CSCC#N)CN1CCOCC1 ZINC001123219829 799375427 /nfs/dbraw/zinc/37/54/27/799375427.db2.gz QNLDUNZRUPMRDL-LLVKDONJSA-N 0 1 272.370 0.755 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cnn(C)c1 ZINC001123348338 799394449 /nfs/dbraw/zinc/39/44/49/799394449.db2.gz VVADGEYCSQIICZ-UHFFFAOYSA-N 0 1 287.161 0.648 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(N(C)C)cc1 ZINC001278186558 806747641 /nfs/dbraw/zinc/74/76/41/806747641.db2.gz GYWDDVJESZEFAP-CQSZACIVSA-N 0 1 289.379 0.456 20 30 CCEDMN C=CCN(C)C(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001123795169 799476649 /nfs/dbraw/zinc/47/66/49/799476649.db2.gz PXDRNKOCEDWDEU-NWDGAFQWSA-N 0 1 254.378 0.448 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(F)cc1Cl ZINC001278194096 806755972 /nfs/dbraw/zinc/75/59/72/806755972.db2.gz GTTSQGZBXXYQSH-JTQLQIEISA-N 0 1 284.718 0.793 20 30 CCEDMN CO[C@@H](C)C(=O)NCCNCc1ccc(C#N)cc1F ZINC001124273086 799585902 /nfs/dbraw/zinc/58/59/02/799585902.db2.gz LCIRBXHMRUFDPM-JTQLQIEISA-N 0 1 279.315 0.938 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCNCc1cnnn1C ZINC001124484418 799608055 /nfs/dbraw/zinc/60/80/55/799608055.db2.gz VWUNYXDIDPSYQH-LLVKDONJSA-N 0 1 281.360 0.002 20 30 CCEDMN COc1cccc(CNCCNC(=O)[C@H](C)C#N)n1 ZINC001124888731 799642678 /nfs/dbraw/zinc/64/26/78/799642678.db2.gz LKFBNKRDCBCFQE-SNVBAGLBSA-N 0 1 262.313 0.456 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(-c2ccccc2)[nH]1 ZINC001278202029 806769704 /nfs/dbraw/zinc/76/97/04/806769704.db2.gz NLQZDXXNVZKKSQ-CQSZACIVSA-N 0 1 297.358 0.995 20 30 CCEDMN N#CCN[C@@H]1C[C@H](NC(=O)CCc2cnc[nH]2)C12CCC2 ZINC001203012676 799887241 /nfs/dbraw/zinc/88/72/41/799887241.db2.gz GAVHJOLYLXGLRV-OLZOCXBDSA-N 0 1 287.367 0.883 20 30 CCEDMN N#Cc1ccc(CN2CCC3(CC2)CC(=O)NC(=O)C3)cn1 ZINC001140545641 799922331 /nfs/dbraw/zinc/92/23/31/799922331.db2.gz HVUYDHYPYROKHD-UHFFFAOYSA-N 0 1 298.346 0.972 20 30 CCEDMN COCCN(CC#N)C(=O)c1n[nH]c(C)c1[N+](=O)[O-] ZINC001141801187 800036055 /nfs/dbraw/zinc/03/60/55/800036055.db2.gz POXHQGNYZYIPOT-UHFFFAOYSA-N 0 1 267.245 0.239 20 30 CCEDMN N#Cc1ccc(NC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000841005704 800064452 /nfs/dbraw/zinc/06/44/52/800064452.db2.gz ZTFOMONDOYANKJ-PWSUYJOCSA-N 0 1 255.281 0.791 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H](C)OC)C1 ZINC001149294553 800244791 /nfs/dbraw/zinc/24/47/91/800244791.db2.gz VUEMREVYJKVXNG-NWDGAFQWSA-N 0 1 256.346 0.414 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@@H](CNC(=O)[C@@H](CC)OC)C1 ZINC001149355863 800250658 /nfs/dbraw/zinc/25/06/58/800250658.db2.gz NVMWTBVTCCBGQP-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H](CC)OC)C1 ZINC001149355863 800250662 /nfs/dbraw/zinc/25/06/62/800250662.db2.gz NVMWTBVTCCBGQP-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CN(CC=C)CCCO1 ZINC001149432210 800259839 /nfs/dbraw/zinc/25/98/39/800259839.db2.gz QDULWRMFHZJEAK-ZDUSSCGKSA-N 0 1 268.357 0.582 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)Cc2ccon2)C1 ZINC001149465555 800267947 /nfs/dbraw/zinc/26/79/47/800267947.db2.gz DLCQIEKBOSYFRH-AWEZNQCLSA-N 0 1 291.351 0.448 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C2(COC)CC2)C1 ZINC001149965768 800308674 /nfs/dbraw/zinc/30/86/74/800308674.db2.gz BUYOOLJLLDKUFT-ZDUSSCGKSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H](CC)NC(C)=O)C1 ZINC001150356474 800331692 /nfs/dbraw/zinc/33/16/92/800331692.db2.gz DXKIAWVFEWRHRD-KGLIPLIRSA-N 0 1 297.399 0.294 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H](C)CCOC)C1 ZINC001150738088 800364704 /nfs/dbraw/zinc/36/47/04/800364704.db2.gz NQWMLLIBUJCYBS-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN Cc1cccc2nc(C(=O)N3CCNC[C@@H]3C#N)[nH]c21 ZINC001151985851 800475872 /nfs/dbraw/zinc/47/58/72/800475872.db2.gz WHWNMXNKTRFZEL-JTQLQIEISA-N 0 1 269.308 0.809 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1cccnc1OC ZINC001153135359 800600056 /nfs/dbraw/zinc/60/00/56/800600056.db2.gz RLBZVGWUGZYJTD-LBPRGKRZSA-N 0 1 293.367 0.887 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1cnoc1C ZINC001153135214 800600942 /nfs/dbraw/zinc/60/09/42/800600942.db2.gz NVTJHCXAWYHJTC-NSHDSACASA-N 0 1 267.329 0.780 20 30 CCEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1ncn(C)n1 ZINC001153150854 800602534 /nfs/dbraw/zinc/60/25/34/800602534.db2.gz PKXWBBNEFXOLOQ-LLVKDONJSA-N 0 1 263.345 0.279 20 30 CCEDMN NC(=NC(=O)c1nc2ccc(Cl)nc2[nH]1)c1ncc[nH]1 ZINC001155182587 800924458 /nfs/dbraw/zinc/92/44/58/800924458.db2.gz JFAHLFCUAIKZKN-UHFFFAOYSA-N 0 1 289.686 0.880 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)CCc1c[nH]nn1 ZINC001155326220 800951630 /nfs/dbraw/zinc/95/16/30/800951630.db2.gz UWSOVHJDXWFSLM-SNVBAGLBSA-N 0 1 264.333 0.087 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)CCc1cnn[nH]1 ZINC001155326220 800951632 /nfs/dbraw/zinc/95/16/32/800951632.db2.gz UWSOVHJDXWFSLM-SNVBAGLBSA-N 0 1 264.333 0.087 20 30 CCEDMN N#Cc1c(F)ccnc1NCc1nnc2n1CCCNC2 ZINC001155430749 800974651 /nfs/dbraw/zinc/97/46/51/800974651.db2.gz QALMNEFXMNJTHP-UHFFFAOYSA-N 0 1 287.302 0.789 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1cscn1 ZINC001155732124 801045088 /nfs/dbraw/zinc/04/50/88/801045088.db2.gz VYEUXDOLCCSEEU-UHFFFAOYSA-N 0 1 269.370 0.942 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN[C@@H](C)c1nnnn1C1CC1 ZINC001155842659 801064674 /nfs/dbraw/zinc/06/46/74/801064674.db2.gz PTBDSZPPMSAEFJ-UWVGGRQHSA-N 0 1 291.359 0.325 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1cscn1 ZINC001155854432 801067591 /nfs/dbraw/zinc/06/75/91/801067591.db2.gz DNRWCFYVMNOIPU-VIFPVBQESA-N 0 1 252.343 0.899 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CCOCC(F)F)C[C@H]1C ZINC001206660087 801118402 /nfs/dbraw/zinc/11/84/02/801118402.db2.gz WOHARIIAKLXTBZ-HBNTYKKESA-N 0 1 289.326 0.864 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ncnc2ccc(C#N)cc21 ZINC001156825437 801242504 /nfs/dbraw/zinc/24/25/04/801242504.db2.gz OVFNGIVZLHTKJA-UHFFFAOYSA-N 0 1 291.318 0.934 20 30 CCEDMN CCN(CCC(N)=O)C(=O)c1n[nH]c2cc(C#N)ccc21 ZINC001156883527 801253502 /nfs/dbraw/zinc/25/35/02/801253502.db2.gz NRNYUUYYSIGETJ-UHFFFAOYSA-N 0 1 285.307 0.772 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CC(N2CC(F)C2)C1 ZINC001156876997 801253523 /nfs/dbraw/zinc/25/35/23/801253523.db2.gz ZTIQEWIAWBVQSB-UHFFFAOYSA-N 0 1 299.309 0.913 20 30 CCEDMN N#Cc1ccc2c(c1)n[nH]c2C(=O)NCc1nnc[nH]1 ZINC001156889028 801255521 /nfs/dbraw/zinc/25/55/21/801255521.db2.gz DKFQRAWDLCHICT-UHFFFAOYSA-N 0 1 267.252 0.483 20 30 CCEDMN CC(C)C#CC(=O)NCCCN[C@H](C)c1nnnn1C ZINC001157638544 801443079 /nfs/dbraw/zinc/44/30/79/801443079.db2.gz FPQNTKXCNFEYBK-LLVKDONJSA-N 0 1 278.360 0.026 20 30 CCEDMN Cc1nnc([C@H](C)NCCCNC(=O)C#CC(C)C)[nH]1 ZINC001157638533 801443372 /nfs/dbraw/zinc/44/33/72/801443372.db2.gz FGBPYDDSLFKEFS-NSHDSACASA-N 0 1 277.372 0.929 20 30 CCEDMN C[C@H](NCCCNC(=O)C#CC(C)(C)C)c1nnnn1C ZINC001157727309 801464512 /nfs/dbraw/zinc/46/45/12/801464512.db2.gz LPUOCHXEILTRNF-NSHDSACASA-N 0 1 292.387 0.417 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1n[nH]nc1C ZINC001158304142 801616219 /nfs/dbraw/zinc/61/62/19/801616219.db2.gz GBRSOXQOCUWTQV-GFCCVEGCSA-N 0 1 275.356 0.673 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H]1CCC(=O)N1 ZINC001158588476 801669901 /nfs/dbraw/zinc/66/99/01/801669901.db2.gz YDWWEGKVEHMCOQ-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN Cc1cnc(NC[C@H]2COCCN2)c(/C=N/O)c1 ZINC001159044571 801749614 /nfs/dbraw/zinc/74/96/14/801749614.db2.gz YHMURTFFYAYYRS-MTTUFYGSSA-N 0 1 250.302 0.598 20 30 CCEDMN C=CCOc1cc(C)nc(NC[C@@H]2COCCN2)n1 ZINC001159044169 801750763 /nfs/dbraw/zinc/75/07/63/801750763.db2.gz QBKZVGRFXQNOQP-LLVKDONJSA-N 0 1 264.329 0.750 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H](C)NC(C)=O)CC1 ZINC001159151265 801765296 /nfs/dbraw/zinc/76/52/96/801765296.db2.gz UQDZOVOFALBFLG-GFCCVEGCSA-N 0 1 277.368 0.283 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cc2ccnn2C)CC1 ZINC001159957804 801893643 /nfs/dbraw/zinc/89/36/43/801893643.db2.gz OZJBBAAGLCSJTO-UHFFFAOYSA-N 0 1 286.379 0.734 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCO[C@H](C(F)(F)F)C1 ZINC001160704689 802006731 /nfs/dbraw/zinc/00/67/31/802006731.db2.gz GWGHDCSWDWZHGS-CBAPKCEASA-N 0 1 250.220 0.127 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@H](Oc2ncccn2)C1 ZINC001161295551 802120873 /nfs/dbraw/zinc/12/08/73/802120873.db2.gz LMFNZWOSURPJLJ-FZMZJTMJSA-N 0 1 274.324 0.197 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@@H](Oc2cc(C)ncn2)C1 ZINC001161313740 802123358 /nfs/dbraw/zinc/12/33/58/802123358.db2.gz VKKZONDTJUSXAC-DOMZBBRYSA-N 0 1 288.351 0.505 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cnn(C)n2)CC1 ZINC001161451484 802155502 /nfs/dbraw/zinc/15/55/02/802155502.db2.gz DTYQBVSTLRBRLO-UHFFFAOYSA-N 0 1 273.340 0.200 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2ccn(C)n2)CC1 ZINC001161869873 802236372 /nfs/dbraw/zinc/23/63/72/802236372.db2.gz PAAPAJPRCCLCCW-UHFFFAOYSA-N 0 1 272.352 0.805 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001162035484 802267684 /nfs/dbraw/zinc/26/76/84/802267684.db2.gz KGEOEGZGNGPQPP-CYBMUJFWSA-N 0 1 273.296 0.420 20 30 CCEDMN N#Cc1cc2nc(-n3cc(N)c(=O)nc3N)ccc2[nH]1 ZINC001162727755 802408211 /nfs/dbraw/zinc/40/82/11/802408211.db2.gz OXVYRGUAJSAOGW-UHFFFAOYSA-N 0 1 267.252 0.388 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1nocc1C ZINC001278879050 808421452 /nfs/dbraw/zinc/42/14/52/808421452.db2.gz ZSXUZVUNGUTJNC-LBPRGKRZSA-N 0 1 279.340 0.371 20 30 CCEDMN C=CCS(=O)(=O)Nc1cncnc1C(=O)OCC ZINC001259922594 808804118 /nfs/dbraw/zinc/80/41/18/808804118.db2.gz CTSXVQKEESKFLF-UHFFFAOYSA-N 0 1 271.298 0.581 20 30 CCEDMN O=C1C=C2CN(S(=O)(=O)C(F)F)CCC2S1 ZINC001259952666 808820886 /nfs/dbraw/zinc/82/08/86/808820886.db2.gz PUVPYRYTTJYVDQ-ZCFIWIBFSA-N 0 1 269.294 0.813 20 30 CCEDMN CC(C)C[C@H](NS(=O)(=O)C(F)F)C(=O)NO ZINC001259965085 808828538 /nfs/dbraw/zinc/82/85/38/808828538.db2.gz VEFSYVARGHNJQK-YFKPBYRVSA-N 0 1 260.262 0.049 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)Cc2cnn(C)c2)C1 ZINC001265310028 809753097 /nfs/dbraw/zinc/75/30/97/809753097.db2.gz GGSOGAOJUJZASN-HIFRSBDPSA-N 0 1 288.395 0.813 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)Cc2ccon2)C1 ZINC001265278025 809741891 /nfs/dbraw/zinc/74/18/91/809741891.db2.gz YPPIKNPJJUEZPW-ZDUSSCGKSA-N 0 1 279.340 0.610 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001164222483 802690290 /nfs/dbraw/zinc/69/02/90/802690290.db2.gz LTXGMCCPSVJHDU-MNOVXSKESA-N 0 1 295.387 0.163 20 30 CCEDMN N#Cc1cc(NCCNC(=O)C(F)(F)F)ccn1 ZINC001164244089 802696390 /nfs/dbraw/zinc/69/63/90/802696390.db2.gz UAPXQGGJKUGLEA-UHFFFAOYSA-N 0 1 258.203 0.466 20 30 CCEDMN CN(C1CN(c2nccnc2C#N)C1)[C@@H]1CCOC1 ZINC001165203938 802794625 /nfs/dbraw/zinc/79/46/25/802794625.db2.gz NRAVVHMZYWARCX-SNVBAGLBSA-N 0 1 259.313 0.258 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCc2ccncn2)C1 ZINC001267743628 811728139 /nfs/dbraw/zinc/72/81/39/811728139.db2.gz YMLAEMPAPYEVCG-CQSZACIVSA-N 0 1 272.352 0.623 20 30 CCEDMN COCc1nn(-c2ncc(C)nc2C#N)c2c1CNC2 ZINC001165634579 802858312 /nfs/dbraw/zinc/85/83/12/802858312.db2.gz UFFWQVYKOYQXFZ-UHFFFAOYSA-N 0 1 270.296 0.592 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001038363362 811761211 /nfs/dbraw/zinc/76/12/11/811761211.db2.gz MDHPDRHVQOFEAJ-HBNTYKKESA-N 0 1 259.313 0.227 20 30 CCEDMN C#CC[NH2+]CC1(CCNC(=O)c2[n-]nnc2C)CC1 ZINC001166532291 802909710 /nfs/dbraw/zinc/90/97/10/802909710.db2.gz WKFRECWMIPJTJB-UHFFFAOYSA-N 0 1 261.329 0.236 20 30 CCEDMN CN(CC#N)CCC1CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001268197582 812356441 /nfs/dbraw/zinc/35/64/41/812356441.db2.gz HWCRRTSAHKCLPY-CHWSQXEVSA-N 0 1 294.355 0.736 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1CCCN(CCn2cccn2)C1 ZINC001268195667 812356817 /nfs/dbraw/zinc/35/68/17/812356817.db2.gz XNRHPPDYPKIQHU-HNNXBMFYSA-N 0 1 286.379 0.877 20 30 CCEDMN CN(CC#N)c1ncccc1C(=O)N1CCNCC1 ZINC001166799200 802962470 /nfs/dbraw/zinc/96/24/70/802962470.db2.gz HZRVQONWXIHQRZ-UHFFFAOYSA-N 0 1 259.313 0.087 20 30 CCEDMN C#CCCCCC(=O)NCC1([NH2+]Cc2n[nH]c(=O)[n-]2)CC1 ZINC001269401453 813358685 /nfs/dbraw/zinc/35/86/85/813358685.db2.gz CZADBEBGBGBKIB-UHFFFAOYSA-N 0 1 291.355 0.442 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@H](NCc2cnnn2C)C1 ZINC001207684598 803437250 /nfs/dbraw/zinc/43/72/50/803437250.db2.gz PWHDAOVLULUSCF-LBPRGKRZSA-N 0 1 293.371 0.098 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCC#N)C[C@H]1CNC(=O)C1CCC1 ZINC001101658333 814938612 /nfs/dbraw/zinc/93/86/12/814938612.db2.gz PBYMEKRCSYFRKH-DGCLKSJQSA-N 0 1 292.383 0.110 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)OC)[C@H](OC)C1 ZINC001211949096 814941382 /nfs/dbraw/zinc/94/13/82/814941382.db2.gz BXOSMLMBXGREJO-IJLUTSLNSA-N 0 1 256.346 0.413 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCOC2CCOCC2)C1 ZINC001210173048 803640544 /nfs/dbraw/zinc/64/05/44/803640544.db2.gz REHWSIWOXNTTLZ-UKRRQHHQSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)Oc2ccccn2)C1 ZINC001210372893 803648662 /nfs/dbraw/zinc/64/86/62/803648662.db2.gz WQXUMCAZXNOXLX-MGPQQGTHSA-N 0 1 287.363 0.919 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001213357050 803691641 /nfs/dbraw/zinc/69/16/41/803691641.db2.gz HZDKRCVDEZJHNN-CHWSQXEVSA-N 0 1 270.373 0.541 20 30 CCEDMN C=CCOCCN1C[C@H]2OCCN(C(=O)CC3CC3)[C@H]2C1 ZINC001217176319 803873096 /nfs/dbraw/zinc/87/30/96/803873096.db2.gz JATOVXSJERGGGO-LSDHHAIUSA-N 0 1 294.395 0.901 20 30 CCEDMN CCCCN1C[C@H]2OCCN(C(=O)CSCC#N)[C@H]2C1 ZINC001217331959 803888214 /nfs/dbraw/zinc/88/82/14/803888214.db2.gz WYQFXAKGAFEYOO-QWHCGFSZSA-N 0 1 297.424 0.955 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H](C)COC)[C@H]2C1 ZINC001217543864 803896276 /nfs/dbraw/zinc/89/62/76/803896276.db2.gz JMISWZCPSAAYKB-HZSPNIEDSA-N 0 1 280.368 0.204 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCO[C@@H]2CN(CCC)C[C@@H]21 ZINC001217876616 803922140 /nfs/dbraw/zinc/92/21/40/803922140.db2.gz PUIIGCYKRUJHJA-MELADBBJSA-N 0 1 280.368 0.346 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCO[C@@H]2CN(CCCF)C[C@@H]21 ZINC001217869219 803922960 /nfs/dbraw/zinc/92/29/60/803922960.db2.gz SQOCZIPHYZEUMU-HZSPNIEDSA-N 0 1 298.358 0.296 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCO[C@@H]2C[N@H+](C[C@@H](C)O)C[C@@H]21 ZINC001218022627 803929000 /nfs/dbraw/zinc/92/90/00/803929000.db2.gz FSBVYFJKBBJMMB-HZSPNIEDSA-N 0 1 296.411 0.881 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCO[C@@H]2CN(C[C@@H](C)O)C[C@@H]21 ZINC001218022627 803929010 /nfs/dbraw/zinc/92/90/10/803929010.db2.gz FSBVYFJKBBJMMB-HZSPNIEDSA-N 0 1 296.411 0.881 20 30 CCEDMN Cc1cc(C#N)c(NC(=O)[C@@H](N)CC[S@](C)=O)s1 ZINC001218553381 804001347 /nfs/dbraw/zinc/00/13/47/804001347.db2.gz BOVALPAFYANRIJ-YYSFKGJASA-N 0 1 285.394 0.963 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1nccnc1N ZINC001038109797 814983607 /nfs/dbraw/zinc/98/36/07/814983607.db2.gz MJTFKXWZLQCPNU-JTQLQIEISA-N 0 1 261.329 0.439 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)CCc1cncc(N)c1 ZINC001218593825 804025462 /nfs/dbraw/zinc/02/54/62/804025462.db2.gz CPXPLBWQBXWERD-UHFFFAOYSA-N 0 1 256.269 0.830 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)[C@@H]1CCOC1 ZINC001276799843 804157879 /nfs/dbraw/zinc/15/78/79/804157879.db2.gz FVQXGGFCOPBGHR-OLZOCXBDSA-N 0 1 268.357 0.416 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)/C=C\c2ccco2)[C@@H](O)C1 ZINC001219113689 804206181 /nfs/dbraw/zinc/20/61/81/804206181.db2.gz FOETYDBXBZQTKT-QLQVPFRHSA-N 0 1 262.309 0.640 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCC2CC2)C[C@@H]1O ZINC001219346069 804281633 /nfs/dbraw/zinc/28/16/33/804281633.db2.gz AZWBYOHAPJIEDM-KGLIPLIRSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)CSC)[C@@H](O)C1 ZINC001219369336 804288507 /nfs/dbraw/zinc/28/85/07/804288507.db2.gz WJUACBZYFJRAIF-UTUOFQBUSA-N 0 1 270.398 0.170 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CC2CCC2)[C@@H](O)C1 ZINC001219415852 804304193 /nfs/dbraw/zinc/30/41/93/804304193.db2.gz JDXVCDUWXKBAIE-KGLIPLIRSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)/C=C/C2CC2)[C@H](OC)C1 ZINC001212084535 815007244 /nfs/dbraw/zinc/00/72/44/815007244.db2.gz BCIZDAPKVBDHOR-AMRKSYTLSA-N 0 1 250.342 0.954 20 30 CCEDMN COCCCNC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001219555621 804344550 /nfs/dbraw/zinc/34/45/50/804344550.db2.gz JNWFCVPXUBFFEH-ZDUSSCGKSA-N 0 1 261.325 0.581 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)=C2CCC2)[C@@H](O)C1 ZINC001083452454 815011219 /nfs/dbraw/zinc/01/12/19/815011219.db2.gz KYXSPCCSGNXTBH-KGLIPLIRSA-N 0 1 262.353 0.671 20 30 CCEDMN N#CC1(NC(=O)[C@@H](N)Cc2cccc(O)c2)CCC1 ZINC001219606442 804371639 /nfs/dbraw/zinc/37/16/39/804371639.db2.gz LGWOSYCMDUZMEN-LBPRGKRZSA-N 0 1 259.309 0.824 20 30 CCEDMN CC(=O)/C=C(/C)NC(=O)[C@H](CC(C)C)NC(=O)CN ZINC001219911700 804446777 /nfs/dbraw/zinc/44/67/77/804446777.db2.gz NERUSEZUMXQROM-WDDYJBPVSA-N 0 1 269.345 0.085 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCc1cncnc1 ZINC001220007230 804470241 /nfs/dbraw/zinc/47/02/41/804470241.db2.gz KTHQZDSNSVGDSO-AWEZNQCLSA-N 0 1 281.319 0.534 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCCc1cnccn1 ZINC001220242530 804546491 /nfs/dbraw/zinc/54/64/91/804546491.db2.gz CCLSHZFODJFTOG-HNNXBMFYSA-N 0 1 295.346 0.577 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2cc(C)on2)[C@@H](O)C1 ZINC001220341123 804578802 /nfs/dbraw/zinc/57/88/02/804578802.db2.gz MRFOWWARVPAIJN-KGLIPLIRSA-N 0 1 291.351 0.100 20 30 CCEDMN C=C1CCN(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)CC1 ZINC001220383229 804589686 /nfs/dbraw/zinc/58/96/86/804589686.db2.gz HEHKLLGZFZYOFW-UHFFFAOYSA-N 0 1 290.327 0.881 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)[C@H](N)c1ccccc1 ZINC001220499382 804617076 /nfs/dbraw/zinc/61/70/76/804617076.db2.gz XGQMEPHAAKXGBK-WDEREUQCSA-N 0 1 261.281 0.258 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(CC)CCOCC2)[C@@H](O)C1 ZINC001220640562 804642443 /nfs/dbraw/zinc/64/24/43/804642443.db2.gz RSWUIZLYQCXKLV-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cnn(CC(F)(F)F)c1 ZINC001220972227 804711912 /nfs/dbraw/zinc/71/19/12/804711912.db2.gz BDPUPRRZHCUQOG-JTQLQIEISA-N 0 1 274.246 0.412 20 30 CCEDMN O=C(CC#Cc1ccccc1)N[C@@H]1C[N@H+](CC2CC2)C[C@@H]1O ZINC001221046486 804722942 /nfs/dbraw/zinc/72/29/42/804722942.db2.gz ONVAJFLSKLCJRN-SJORKVTESA-N 0 1 298.386 1.000 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC[C@H]1COc2ccccc2O1 ZINC001221296075 804769087 /nfs/dbraw/zinc/76/90/87/804769087.db2.gz XNXCVLSYSWIYTF-NHYWBVRUSA-N 0 1 274.320 0.683 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H](C)COC)[C@@H]2C1 ZINC001221441813 804818368 /nfs/dbraw/zinc/81/83/68/804818368.db2.gz VDAJOBGMNQKHRM-BFHYXJOUSA-N 0 1 264.369 0.825 20 30 CCEDMN COC[C@@H](O)CN1CC[C@@H]2CN(C(=O)C#CC(C)C)[C@@H]2C1 ZINC001221533945 804828925 /nfs/dbraw/zinc/82/89/25/804828925.db2.gz XEQYVSWXVKZLOM-QLFBSQMISA-N 0 1 294.395 0.186 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCc1nccs1 ZINC001221600870 804832776 /nfs/dbraw/zinc/83/27/76/804832776.db2.gz GPKSGXLYDZSYPO-UHFFFAOYSA-N 0 1 266.370 0.550 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NC[C@H]1CN(C)CCN1C ZINC001221915088 804853716 /nfs/dbraw/zinc/85/37/16/804853716.db2.gz RALQFHUEXYXEIM-MJBXVCDLSA-N 0 1 296.415 0.265 20 30 CCEDMN COCC#CCN1CC[C@@H]2CCN(C(=O)[C@H](C)OC)C[C@@H]21 ZINC001222348660 804894021 /nfs/dbraw/zinc/89/40/21/804894021.db2.gz SUTVZANLJLCIPU-ZNMIVQPWSA-N 0 1 294.395 0.594 20 30 CCEDMN C=CCOCC(=O)NCC1CCN([C@@H](C)C(=O)NC)CC1 ZINC001222619026 804922130 /nfs/dbraw/zinc/92/21/30/804922130.db2.gz KVTZAIXEKOFLPY-LBPRGKRZSA-N 0 1 297.399 0.152 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](C)NC(=O)CC)C[C@@H]21 ZINC001222735990 804931870 /nfs/dbraw/zinc/93/18/70/804931870.db2.gz LMBRUWMPTBGVQZ-MJBXVCDLSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)/C(C)=C/C)CC1 ZINC001222756883 804932626 /nfs/dbraw/zinc/93/26/26/804932626.db2.gz SNOBWVPJODPYRB-WLRTZDKTSA-N 0 1 291.395 0.530 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cn(C)nc2C)[C@H]1C ZINC001088627575 815043299 /nfs/dbraw/zinc/04/32/99/815043299.db2.gz UWXSSTCJYJRLKD-OCCSQVGLSA-N 0 1 274.368 0.483 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncc3ncn(C)c32)[C@H]1C ZINC001088634965 815044197 /nfs/dbraw/zinc/04/41/97/815044197.db2.gz UFUSTHLMLCEMHE-YPMHNXCESA-N 0 1 297.362 0.794 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H]2CN(C(=O)C(C)C)CC[C@H]21 ZINC001272192612 815045351 /nfs/dbraw/zinc/04/53/51/815045351.db2.gz DVYVOKNMICFLOE-ZIAGYGMSSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001276942230 805005515 /nfs/dbraw/zinc/00/55/15/805005515.db2.gz UWHXDMOBRXYHMA-WCQYABFASA-N 0 1 260.341 0.412 20 30 CCEDMN C=C1O[C@@H](CC)C(=O)C1OCCN1C(=O)CCC1=O ZINC001224559633 805054747 /nfs/dbraw/zinc/05/47/47/805054747.db2.gz LWPBWMBWDVHPBB-VIFPVBQESA-N 0 1 267.281 0.761 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)C(C)C)CC1 ZINC001277002257 805086831 /nfs/dbraw/zinc/08/68/31/805086831.db2.gz NSSURTZDHVCEAW-LLVKDONJSA-N 0 1 267.373 0.572 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C=C(CC)CC)CC1 ZINC001277035415 805121332 /nfs/dbraw/zinc/12/13/32/805121332.db2.gz CNLWTNYDCOWLNN-UHFFFAOYSA-N 0 1 291.395 0.721 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(=O)COCC)CC1 ZINC001225937357 805179638 /nfs/dbraw/zinc/17/96/38/805179638.db2.gz LOKMSLXVSOIHIZ-LBPRGKRZSA-N 0 1 297.399 0.294 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCn2cncn2)CC1 ZINC001226103789 805193929 /nfs/dbraw/zinc/19/39/29/805193929.db2.gz RDPQRRALQJMQPO-UHFFFAOYSA-N 0 1 275.356 0.272 20 30 CCEDMN CN(CCN(C)c1ccc(C#N)nn1)C(=O)Cc1c[nH]cn1 ZINC001105576106 815064010 /nfs/dbraw/zinc/06/40/10/815064010.db2.gz MLIOFXFOQCLKGJ-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1[nH]c(=O)nc2[nH]ccc21 ZINC001227272963 805338666 /nfs/dbraw/zinc/33/86/66/805338666.db2.gz HAZRXZNDNILJLN-MRVPVSSYSA-N 0 1 275.264 0.997 20 30 CCEDMN CCOC(=O)C[C@@H](CC#N)Oc1ncnc2nc[nH]c21 ZINC001227532351 805360632 /nfs/dbraw/zinc/36/06/32/805360632.db2.gz STKAGKXVQYIPTK-MRVPVSSYSA-N 0 1 275.268 0.967 20 30 CCEDMN COC(=O)c1cc(=O)c(OC2=C(C)O[C@H](C)C2=O)c[nH]1 ZINC001227784427 805389223 /nfs/dbraw/zinc/38/92/23/805389223.db2.gz VFCBABODWHHPNF-ZCFIWIBFSA-N 0 1 279.248 0.760 20 30 CCEDMN C[C@@H](NC1(CNC(=O)CC#Cc2ccccc2)CC1)C(N)=O ZINC001277252150 805401431 /nfs/dbraw/zinc/40/14/31/805401431.db2.gz DLILYGWYIXEQEQ-CYBMUJFWSA-N 0 1 299.374 0.540 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cc(F)c[nH]2)CC1 ZINC001228326618 805438481 /nfs/dbraw/zinc/43/84/81/805438481.db2.gz MHJMOKDFKIZLGV-UHFFFAOYSA-N 0 1 293.342 0.998 20 30 CCEDMN C=C[C@@H]1O[C@@H](Oc2cnnc(=S)[nH]2)[C@H]2OC(C)(C)O[C@@H]12 ZINC001228636735 805461334 /nfs/dbraw/zinc/46/13/34/805461334.db2.gz QRDHWOOWHJHOBX-LKEDHPFLSA-N 0 1 297.336 0.970 20 30 CCEDMN CC[C@@H](C)[C@H](CNC(=O)NCC#CCN(C)C)OC ZINC001228720762 805474773 /nfs/dbraw/zinc/47/47/73/805474773.db2.gz BGMNFVFXKNZZKE-OLZOCXBDSA-N 0 1 269.389 0.912 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](CC)NC(C)=O)CC1 ZINC001229321635 805521664 /nfs/dbraw/zinc/52/16/64/805521664.db2.gz MIGSMCURSPXXDG-AWEZNQCLSA-N 0 1 279.384 0.505 20 30 CCEDMN CC#CCN1CCC(NC(=O)COC2CCOCC2)CC1 ZINC001229425711 805532164 /nfs/dbraw/zinc/53/21/64/805532164.db2.gz BBTBWISFJNFYEJ-UHFFFAOYSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2ncccn2)CC1 ZINC001229664835 805547476 /nfs/dbraw/zinc/54/74/76/805547476.db2.gz VXTDNLIUYWNROF-UHFFFAOYSA-N 0 1 272.352 0.623 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)CN(C)C(C)=O)C1 ZINC001278364870 807035180 /nfs/dbraw/zinc/03/51/80/807035180.db2.gz ZMYLTWIJTXDGNF-CQSZACIVSA-N 0 1 265.357 0.069 20 30 CCEDMN C[C@]1(NC(=O)CN2CCCC2)CCN(CC#N)C1 ZINC001278443453 807232525 /nfs/dbraw/zinc/23/25/25/807232525.db2.gz AHJIZJYBAZRGQK-ZDUSSCGKSA-N 0 1 250.346 0.186 20 30 CCEDMN C=CCCNC(=S)NCCN1CCN(C)CC1 ZINC001247660300 807476808 /nfs/dbraw/zinc/47/68/08/807476808.db2.gz UZYXLPRWEZVZDS-UHFFFAOYSA-N 0 1 256.419 0.274 20 30 CCEDMN C=CCOCC(=O)NCC1(C)CCN(CC(=O)NC)CC1 ZINC001278598405 807612658 /nfs/dbraw/zinc/61/26/58/807612658.db2.gz SUFWSSMSUFRFCU-UHFFFAOYSA-N 0 1 297.399 0.153 20 30 CCEDMN CC[C@H](C)[C@H](NC[C@H](O)C(F)(F)F)C(=O)NO ZINC001251001887 807634081 /nfs/dbraw/zinc/63/40/81/807634081.db2.gz SFACLRBYXDJQOK-ACZMJKKPSA-N 0 1 258.240 0.419 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CN3CCCCC3=O)[C@@H]2C1 ZINC001075983985 815138271 /nfs/dbraw/zinc/13/82/71/815138271.db2.gz ZIUXQJREYJEEEJ-UONOGXRCSA-N 0 1 289.379 0.165 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC001251642511 807692010 /nfs/dbraw/zinc/69/20/10/807692010.db2.gz SXYVNFAFXDCPRD-MNOVXSKESA-N 0 1 278.356 0.893 20 30 CCEDMN CC(C)[C@H](O)C1(CNC(=O)NCC#CCN(C)C)CC1 ZINC001251708774 807697068 /nfs/dbraw/zinc/69/70/68/807697068.db2.gz XNZSLIBAFSWUBK-ZDUSSCGKSA-N 0 1 281.400 0.648 20 30 CCEDMN C#CCOC[C@H](O)CN1CCc2nc[nH]c2[C@H]1C(C)C ZINC001251854460 807721937 /nfs/dbraw/zinc/72/19/37/807721937.db2.gz DCFKKGSDMGGKNK-IUODEOHRSA-N 0 1 277.368 0.976 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cn(C(C)C)cn1 ZINC001251900462 807742117 /nfs/dbraw/zinc/74/21/17/807742117.db2.gz RHGHBFBSNCSSLF-ZDUSSCGKSA-N 0 1 251.330 0.564 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@H]1COc2c1cccc2OC ZINC001251906739 807743819 /nfs/dbraw/zinc/74/38/19/807743819.db2.gz LGWPZLQKWRYFEO-AAEUAGOBSA-N 0 1 277.320 0.729 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc(F)c2C)[C@@H](O)C1 ZINC001083478362 815147210 /nfs/dbraw/zinc/14/72/10/815147210.db2.gz JNUUCTPQZZTTHR-CABCVRRESA-N 0 1 290.338 0.932 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCN(C[C@@H]2CCCO2)CC1 ZINC001252465126 807877239 /nfs/dbraw/zinc/87/72/39/807877239.db2.gz CJRVPARLKGSWHT-GJZGRUSLSA-N 0 1 284.400 0.347 20 30 CCEDMN C=C[C@](C)(O)CN1CCCn2nnc(CNC(C)=O)c2C1 ZINC001252551352 807908016 /nfs/dbraw/zinc/90/80/16/807908016.db2.gz ARAGUPSZBBHDFO-AWEZNQCLSA-N 0 1 293.371 0.057 20 30 CCEDMN C=C[C@](C)(O)CN1CCN(CC(=O)OC(C)(C)C)CC1 ZINC001252555462 807909949 /nfs/dbraw/zinc/90/99/49/807909949.db2.gz VQPMXYMDNHDTNF-HNNXBMFYSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C[C@](C)(O)CNCC(=O)c1ccc(O)c(O)c1 ZINC001252576251 807913559 /nfs/dbraw/zinc/91/35/59/807913559.db2.gz IGYCCKRWDSMJBL-ZDUSSCGKSA-N 0 1 251.282 0.807 20 30 CCEDMN C=CCC[C@@H](O)CNCc1cn(CCOC)cn1 ZINC001252621379 807922133 /nfs/dbraw/zinc/92/21/33/807922133.db2.gz ANQPFFYYCLGDAO-CYBMUJFWSA-N 0 1 253.346 0.946 20 30 CCEDMN CN(C)CC#CCNC(=O)NCC[C@@H]1CCCOC1 ZINC001253511347 808076427 /nfs/dbraw/zinc/07/64/27/808076427.db2.gz LKYFOPPEXRPHHA-ZDUSSCGKSA-N 0 1 267.373 0.667 20 30 CCEDMN C=C[C@H](O)CN1CCN(Cc2ccccc2)[C@H](CO)C1 ZINC001253567005 808081971 /nfs/dbraw/zinc/08/19/71/808081971.db2.gz JGZUOWZIWMFYSS-HOTGVXAUSA-N 0 1 276.380 0.712 20 30 CCEDMN C=C[C@H](O)CN1CC[C@@]2(CC(C(=O)N(C)C(C)C)=NO2)C1 ZINC001253576504 808084023 /nfs/dbraw/zinc/08/40/23/808084023.db2.gz FNVPKBACQXNMCB-SWLSCSKDSA-N 0 1 295.383 0.621 20 30 CCEDMN C=C[C@H](O)CN1Cc2cn(C)nc2[C@H](COCC)C1 ZINC001253576128 808084159 /nfs/dbraw/zinc/08/41/59/808084159.db2.gz APDLUFXDTMUKJC-STQMWFEESA-N 0 1 265.357 0.903 20 30 CCEDMN C=C[C@H](O)C[N@H+]1CCC[C@H](c2nnc(C(=O)OCC)[n-]2)C1 ZINC001253588419 808092822 /nfs/dbraw/zinc/09/28/22/808092822.db2.gz VKUSJJBWWVFFPJ-QWRGUYRKSA-N 0 1 294.355 0.708 20 30 CCEDMN C=C[C@H](O)C[N@@H+]1CCC[C@H](c2nnc(C(=O)OCC)[n-]2)C1 ZINC001253588419 808092829 /nfs/dbraw/zinc/09/28/29/808092829.db2.gz VKUSJJBWWVFFPJ-QWRGUYRKSA-N 0 1 294.355 0.708 20 30 CCEDMN C=C[C@H](O)C[N@H+]1CCC[C@H](c2nc(C(=O)OCC)n[n-]2)C1 ZINC001253588419 808092837 /nfs/dbraw/zinc/09/28/37/808092837.db2.gz VKUSJJBWWVFFPJ-QWRGUYRKSA-N 0 1 294.355 0.708 20 30 CCEDMN C=C[C@H](O)C[N@@H+]1CCC[C@H](c2nc(C(=O)OCC)n[n-]2)C1 ZINC001253588419 808092844 /nfs/dbraw/zinc/09/28/44/808092844.db2.gz VKUSJJBWWVFFPJ-QWRGUYRKSA-N 0 1 294.355 0.708 20 30 CCEDMN Cc1nc([C@@H](C)NCCNC(=O)C#CC2CC2)n[nH]1 ZINC001126881353 815180757 /nfs/dbraw/zinc/18/07/57/815180757.db2.gz QDLYADFZNZJFJF-SECBINFHSA-N 0 1 261.329 0.293 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2ccn(C)c2)C1 ZINC001278771435 808199714 /nfs/dbraw/zinc/19/97/14/808199714.db2.gz OIBSPLOKYSOWQF-OAHLLOKOSA-N 0 1 275.352 0.215 20 30 CCEDMN C=C(C)CCC(=O)NC[C@]1(O)CC[N@H+](CC#CCOC)C1 ZINC001278782540 808236114 /nfs/dbraw/zinc/23/61/14/808236114.db2.gz YKFWCASCTKTCSM-MRXNPFEDSA-N 0 1 294.395 0.546 20 30 CCEDMN C=C(C)CCC(=O)NC[C@]1(O)CCN(CC#CCOC)C1 ZINC001278782540 808236120 /nfs/dbraw/zinc/23/61/20/808236120.db2.gz YKFWCASCTKTCSM-MRXNPFEDSA-N 0 1 294.395 0.546 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)C[C@H](O)CN(C)CC#CC ZINC001278855736 808337795 /nfs/dbraw/zinc/33/77/95/808337795.db2.gz UHEQVXIHAHHDHF-HIFRSBDPSA-N 0 1 282.384 0.088 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1ncoc1C1CC1 ZINC001278878423 808419323 /nfs/dbraw/zinc/41/93/23/808419323.db2.gz NYHOSFPVCCOOFA-LBPRGKRZSA-N 0 1 291.351 0.550 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)Cc1cccc(F)c1 ZINC001278882472 808424781 /nfs/dbraw/zinc/42/47/81/808424781.db2.gz IGGIGEIOPRUIFH-HNNXBMFYSA-N 0 1 292.354 0.753 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)Cc2cnoc2)C1 ZINC001278900741 808447191 /nfs/dbraw/zinc/44/71/91/808447191.db2.gz XULDROMZIPKMLX-GFCCVEGCSA-N 0 1 293.367 0.735 20 30 CCEDMN C=CC[N@H+](C)CCN(C(=O)c1n[nH]c(=O)[n-]c1=O)C(C)C ZINC001278912370 808470977 /nfs/dbraw/zinc/47/09/77/808470977.db2.gz NTLMFRFPVCNJEJ-UHFFFAOYSA-N 0 1 295.343 0.251 20 30 CCEDMN CCOC(=O)N1CCC([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)CC1 ZINC001256235257 808483955 /nfs/dbraw/zinc/48/39/55/808483955.db2.gz KBNDNFUDJXATRB-ONGXEEELSA-N 0 1 285.344 0.433 20 30 CCEDMN C[C@H](CC(=O)N1CCOCC1)NCc1cc(C#N)co1 ZINC001256333964 808499721 /nfs/dbraw/zinc/49/97/21/808499721.db2.gz QBQGWYRGZBLGHS-LLVKDONJSA-N 0 1 277.324 0.878 20 30 CCEDMN CN(C)CC#CCNC(=O)NC1CC(CCO)C1 ZINC001256585515 808535856 /nfs/dbraw/zinc/53/58/56/808535856.db2.gz QVIAUKLHSALJQH-UHFFFAOYSA-N 0 1 253.346 0.012 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H](CCO)C(F)(F)F ZINC001256585551 808537780 /nfs/dbraw/zinc/53/77/80/808537780.db2.gz SCBQTXGLDBTUNF-VIFPVBQESA-N 0 1 281.278 0.164 20 30 CCEDMN COCCN(CCNC(=O)C#CC1CC1)CCOC ZINC001279045361 808635878 /nfs/dbraw/zinc/63/58/78/808635878.db2.gz VJOYOPZZHDFFFW-UHFFFAOYSA-N 0 1 268.357 0.111 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CCCCN1CCC)C(=O)OC ZINC001261293948 808946283 /nfs/dbraw/zinc/94/62/83/808946283.db2.gz DIQMRTPWDFOQGM-QWHCGFSZSA-N 0 1 280.368 0.932 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3c(C)cnn3C)[C@@H]2C1 ZINC001076146526 815251701 /nfs/dbraw/zinc/25/17/01/815251701.db2.gz WCJBIMKVCMXSCG-UONOGXRCSA-N 0 1 286.379 0.898 20 30 CCEDMN C[C@@H](CC(=O)NC1(C#N)CCN(C)CC1)n1ccnc1 ZINC001261504971 809002202 /nfs/dbraw/zinc/00/22/02/809002202.db2.gz VQMNHWPUNWHZDV-LBPRGKRZSA-N 0 1 275.356 0.938 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)c1cc(C[NH+]2CCCC2)on1 ZINC001262176843 809223071 /nfs/dbraw/zinc/22/30/71/809223071.db2.gz BEKPMONXCPCDHE-UHFFFAOYSA-N 0 1 293.323 0.983 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)C(=O)NCc1cc(C)[nH]n1 ZINC001262235346 809254645 /nfs/dbraw/zinc/25/46/45/809254645.db2.gz BFXKSXFBJZXQOI-LBPRGKRZSA-N 0 1 274.324 0.349 20 30 CCEDMN C#CCSCCn1nnnc1N1CCN(C)C(C)(C)C1 ZINC001262412081 809305959 /nfs/dbraw/zinc/30/59/59/809305959.db2.gz BEHUFUBQGLNTDS-UHFFFAOYSA-N 0 1 294.428 0.570 20 30 CCEDMN CC#CC[C@H](CO)NCCS(=O)(=O)c1ccc(F)cc1 ZINC001262571329 809349490 /nfs/dbraw/zinc/34/94/90/809349490.db2.gz RQWIDXDJUIBDOA-CYBMUJFWSA-N 0 1 299.367 0.963 20 30 CCEDMN C=CCCCN(CC)C(=O)NCC(=O)N1CCNCC1 ZINC001262584189 809357807 /nfs/dbraw/zinc/35/78/07/809357807.db2.gz TYSYTDGVJCRWRJ-UHFFFAOYSA-N 0 1 282.388 0.416 20 30 CCEDMN CC[C@H](C)n1nccc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC001263105145 809446256 /nfs/dbraw/zinc/44/62/56/809446256.db2.gz GQLUEPWSDAFEJI-GARJFASQSA-N 0 1 261.329 0.695 20 30 CCEDMN CO[C@@H]1COCC[C@H]1CC(=O)C(C#N)C(=O)NC1CC1 ZINC001263307067 809506293 /nfs/dbraw/zinc/50/62/93/809506293.db2.gz QJIQGZYYKJVZDK-UFGOTCBOSA-N 0 1 280.324 0.415 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CCC[N@@H+]1CC(C)C)C(=O)[O-] ZINC001263631354 809543943 /nfs/dbraw/zinc/54/39/43/809543943.db2.gz NVNVFUHJMZURJV-VXGBXAGGSA-N 0 1 266.341 0.700 20 30 CCEDMN C=CCC1(O)CCN(C(=O)[C@H]2CN3CCN2C[C@H]3C)CC1 ZINC001263925759 809608006 /nfs/dbraw/zinc/60/80/06/809608006.db2.gz RYRLKGKFLUMROG-ZIAGYGMSSA-N 0 1 293.411 0.304 20 30 CCEDMN N#CCN[C@@H]1CC[C@H](CNC(=O)CCc2nc[nH]n2)C1 ZINC001264456510 809659226 /nfs/dbraw/zinc/65/92/26/809659226.db2.gz TUHLMEQQYFOIRK-WDEREUQCSA-N 0 1 276.344 0.135 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@@H]1CCNC(=O)C1 ZINC001264738745 809676456 /nfs/dbraw/zinc/67/64/56/809676456.db2.gz FBKFJABNGBQXHG-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CCOCCOC)C1 ZINC001265235389 809729448 /nfs/dbraw/zinc/72/94/48/809729448.db2.gz OGBRIKBTIVTLEE-ZDUSSCGKSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@]2(C)CCCOC2)CC1 ZINC001265264971 809734280 /nfs/dbraw/zinc/73/42/80/809734280.db2.gz KTMXCQGXFPMLDW-INIZCTEOSA-N 0 1 295.427 0.723 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](NCc2nnc(C)[nH]2)C1 ZINC001265470556 809785899 /nfs/dbraw/zinc/78/58/99/809785899.db2.gz LXKDRBRXYYZUQJ-XYPYZODXSA-N 0 1 279.344 0.053 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](NCc2nccn2C)C1 ZINC001265470577 809786404 /nfs/dbraw/zinc/78/64/04/809786404.db2.gz MJZROGBSYMJEKP-DCAQKATOSA-N 0 1 261.329 0.317 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2CCN(C(=O)[C@H]3C[NH+](CC)CCO3)[C@@H]2C1 ZINC001076594325 815317147 /nfs/dbraw/zinc/31/71/47/815317147.db2.gz LTOFAACQWZDGPX-RRFJBIMHSA-N 0 1 293.411 0.426 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1C[C@@H](NCc2nccn2C)C1 ZINC001265538816 809800231 /nfs/dbraw/zinc/80/02/31/809800231.db2.gz NAWUTTDKJCDWQW-RWMBFGLXSA-N 0 1 290.367 0.195 20 30 CCEDMN CCO[C@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001265710558 809829407 /nfs/dbraw/zinc/82/94/07/809829407.db2.gz CJGGXMLECRLZHW-SBJFKYEJSA-N 0 1 292.379 0.108 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H](C)NCc1cc(C)n(C)n1 ZINC001265775166 809850306 /nfs/dbraw/zinc/85/03/06/809850306.db2.gz OBFHOEYGJPJNFD-DGCLKSJQSA-N 0 1 292.383 0.361 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)N[C@H](C)c1nnnn1C ZINC001266143736 809946990 /nfs/dbraw/zinc/94/69/90/809946990.db2.gz IHNXLAIUKCXIMT-NWDGAFQWSA-N 0 1 292.387 0.415 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@@H](C)N[C@@H](C)c1nnnn1C ZINC001266143734 809947526 /nfs/dbraw/zinc/94/75/26/809947526.db2.gz IHNXLAIUKCXIMT-NEPJUHHUSA-N 0 1 292.387 0.415 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C(C)(C)C(N)=O)CC1 ZINC001266183683 809956656 /nfs/dbraw/zinc/95/66/56/809956656.db2.gz MWZFGNDEDRHSTI-UHFFFAOYSA-N 0 1 265.357 0.054 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H](C)CC(N)=O)C1 ZINC001266234081 809968720 /nfs/dbraw/zinc/96/87/20/809968720.db2.gz XAQJPZOZGXUBRT-RYUDHWBXSA-N 0 1 267.373 0.655 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)Cc2ccc[nH]2)CC1 ZINC001279453450 809979688 /nfs/dbraw/zinc/97/96/88/809979688.db2.gz JXBYZNWGXKGIDX-UHFFFAOYSA-N 0 1 289.383 0.251 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)c2cc(C)no2)C1 ZINC001279463140 809980579 /nfs/dbraw/zinc/98/05/79/809980579.db2.gz WHUGTTLGONMMFN-OAHLLOKOSA-N 0 1 291.351 0.563 20 30 CCEDMN CC#CCN1CCC[C@](CO)(NC(=O)[C@@H]2CCCN2C)C1 ZINC001279471220 809982676 /nfs/dbraw/zinc/98/26/76/809982676.db2.gz MDKGNWDUNYSYRH-HOCLYGCPSA-N 0 1 293.411 0.047 20 30 CCEDMN COCC#CCN1CC=C(CNC(=O)[C@@H]2CCOC2)CC1 ZINC001279512134 809984552 /nfs/dbraw/zinc/98/45/52/809984552.db2.gz YDNZZOTWQYFJSR-OAHLLOKOSA-N 0 1 292.379 0.421 20 30 CCEDMN C#CCN1CC=C(CNC(=O)COCc2ccncc2)CC1 ZINC001279661465 809991498 /nfs/dbraw/zinc/99/14/98/809991498.db2.gz QILCBYMWFRXELE-UHFFFAOYSA-N 0 1 299.374 0.980 20 30 CCEDMN C#CCCCC(=O)N(C)[C@H](C)CNC(=O)CN1CCCC1 ZINC001280481104 810024776 /nfs/dbraw/zinc/02/47/76/810024776.db2.gz HITKHHPUUICWRU-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001076906402 815410206 /nfs/dbraw/zinc/41/02/06/815410206.db2.gz YXLMWQOTXGHFIQ-CHWSQXEVSA-N 0 1 274.324 0.237 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(F)cn2)C1 ZINC001076913326 815411068 /nfs/dbraw/zinc/41/10/68/815411068.db2.gz UOHLCFDAXSVYDT-CHWSQXEVSA-N 0 1 279.315 0.572 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCCN1CC#CC ZINC001267265152 811066941 /nfs/dbraw/zinc/06/69/41/811066941.db2.gz WWEOCSZIUOFQBM-ZDUSSCGKSA-N 0 1 250.342 0.793 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H](CC(C)C)C(N)=O ZINC001267282548 811092564 /nfs/dbraw/zinc/09/25/64/811092564.db2.gz DVZNZZIEJWBNCY-OLZOCXBDSA-N 0 1 279.384 0.348 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cn2c(n1)CCC2 ZINC001038277300 811144547 /nfs/dbraw/zinc/14/45/47/811144547.db2.gz OQSCMNJTZIBKGE-LBPRGKRZSA-N 0 1 272.352 0.657 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@H](C)CNc2ccncc2C#N)[nH]1 ZINC001104501349 811187331 /nfs/dbraw/zinc/18/73/31/811187331.db2.gz RTJYVIVZTOVHQZ-SNVBAGLBSA-N 0 1 298.350 0.979 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1ccnn1C ZINC001267353449 811197318 /nfs/dbraw/zinc/19/73/18/811197318.db2.gz OEFZWTIWZBPUOH-UHFFFAOYSA-N 0 1 256.737 0.834 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)CCNCc1cnnn1CC ZINC001267367394 811224956 /nfs/dbraw/zinc/22/49/56/811224956.db2.gz UYJQWKWXVMKDFJ-CQSZACIVSA-N 0 1 295.387 0.173 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)c2ccnn2C)C1 ZINC001077016266 815434553 /nfs/dbraw/zinc/43/45/53/815434553.db2.gz HUTYFLARBWRJNG-OUCADQQQSA-N 0 1 292.383 0.261 20 30 CCEDMN C=CCOCC(=O)N1C[C@H]2CC[C@@H](C1)N2[C@@H](C)COC ZINC001267397721 811285798 /nfs/dbraw/zinc/28/57/98/811285798.db2.gz ZLQGQPRSJMGJSI-MELADBBJSA-N 0 1 282.384 0.899 20 30 CCEDMN CN(CCc1ccccc1)CC(=O)N(C)CCNCC#N ZINC001267428883 811298666 /nfs/dbraw/zinc/29/86/66/811298666.db2.gz FXAZZYPVXSHPTD-UHFFFAOYSA-N 0 1 288.395 0.732 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)Cc1ncc[nH]1 ZINC001267445588 811310900 /nfs/dbraw/zinc/31/09/00/811310900.db2.gz CZKQVFCBHXJPEN-UHFFFAOYSA-N 0 1 256.737 0.753 20 30 CCEDMN CC#CCN1CC[C@@H](N(CCC)C(=O)[C@H]2COC(=O)N2)C1 ZINC001267459889 811323719 /nfs/dbraw/zinc/32/37/19/811323719.db2.gz OWYPFUZSGPDQTJ-CHWSQXEVSA-N 0 1 293.367 0.431 20 30 CCEDMN C=CCCOCC(=O)NCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001077062820 815440276 /nfs/dbraw/zinc/44/02/76/815440276.db2.gz MBQYDNOJUBVFRD-JTQLQIEISA-N 0 1 295.343 0.022 20 30 CCEDMN C=CCCOCC(=O)NCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001077062820 815440279 /nfs/dbraw/zinc/44/02/79/815440279.db2.gz MBQYDNOJUBVFRD-JTQLQIEISA-N 0 1 295.343 0.022 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@H](COC)OC)c1ccccc1 ZINC001267523178 811400923 /nfs/dbraw/zinc/40/09/23/811400923.db2.gz QHMVOFYOTAXRKL-CABCVRRESA-N 0 1 290.363 0.728 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@@H]1CCN(C(=O)C(F)F)C1 ZINC001267578037 811472891 /nfs/dbraw/zinc/47/28/91/811472891.db2.gz VMRRGBHCWSMQBG-JTQLQIEISA-N 0 1 289.326 0.334 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@@H](CN(C)CC(=O)NC)C1 ZINC001267587663 811489193 /nfs/dbraw/zinc/48/91/93/811489193.db2.gz PXQZDFJPEDNTBM-AWEZNQCLSA-N 0 1 293.411 0.706 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)Cc2cnccc2C)C1 ZINC001077160104 815453277 /nfs/dbraw/zinc/45/32/77/815453277.db2.gz JKIZTKIHYHTXSK-HUUCEWRRSA-N 0 1 287.363 0.117 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2cnccc2C)C1 ZINC001077160104 815453282 /nfs/dbraw/zinc/45/32/82/815453282.db2.gz JKIZTKIHYHTXSK-HUUCEWRRSA-N 0 1 287.363 0.117 20 30 CCEDMN N#CCN1CC[C@@H](CCNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001267602115 811517919 /nfs/dbraw/zinc/51/79/19/811517919.db2.gz VPYVOAJVILPQGS-LLVKDONJSA-N 0 1 298.350 0.923 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2cnn(C)c2)CC1 ZINC001267605505 811538785 /nfs/dbraw/zinc/53/87/85/811538785.db2.gz WBTFPDXRFNQDLC-UHFFFAOYSA-N 0 1 291.399 0.296 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCO3)[C@@H]2C1 ZINC001075524010 811561403 /nfs/dbraw/zinc/56/14/03/811561403.db2.gz JTPVUPWNKUKWLA-XQQFMLRXSA-N 0 1 250.342 0.884 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCc2c[nH]cn2)C1 ZINC001267615991 811568921 /nfs/dbraw/zinc/56/89/21/811568921.db2.gz ZLRZJNGJYPDMEA-CQSZACIVSA-N 0 1 274.368 0.946 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2ccc(F)cn2)C1 ZINC001077248951 815468778 /nfs/dbraw/zinc/46/87/78/815468778.db2.gz ZSOPIJGWIQYDQB-ZIAGYGMSSA-N 0 1 293.342 0.501 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCOC[C@@H]2CCCO2)C1 ZINC001267745625 811732923 /nfs/dbraw/zinc/73/29/23/811732923.db2.gz YRMDKHCEJURNEO-KGLIPLIRSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001267750201 811744212 /nfs/dbraw/zinc/74/42/12/811744212.db2.gz ZIOOQQCVWZOUIB-DOMZBBRYSA-N 0 1 295.383 0.048 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCCC(=O)NC)C1 ZINC001267764911 811762529 /nfs/dbraw/zinc/76/25/29/811762529.db2.gz RGNWFCHSLHFDSO-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3cn(CC)nn3)C[C@@H]21 ZINC001042291046 811786583 /nfs/dbraw/zinc/78/65/83/811786583.db2.gz AVCDFQZYPBUPDO-JSGCOSHPSA-N 0 1 287.367 0.468 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cn(CC)nn3)C[C@@H]21 ZINC001042291046 811786587 /nfs/dbraw/zinc/78/65/87/811786587.db2.gz AVCDFQZYPBUPDO-JSGCOSHPSA-N 0 1 287.367 0.468 20 30 CCEDMN COC[C@H](C)N1CCC[C@@H](NC(=O)CSCC#N)C1 ZINC001267909990 811863561 /nfs/dbraw/zinc/86/35/61/811863561.db2.gz NYYILZPRKWSOBD-NWDGAFQWSA-N 0 1 285.413 0.859 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)C#CC3CC3)C2)nn1 ZINC001105233234 811868517 /nfs/dbraw/zinc/86/85/17/811868517.db2.gz OOMVFLZXQGKKOZ-UHFFFAOYSA-N 0 1 297.362 0.188 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001038373284 811875040 /nfs/dbraw/zinc/87/50/40/811875040.db2.gz ASQHJMMVVSCNBQ-JTQLQIEISA-N 0 1 264.329 0.804 20 30 CCEDMN C#CCCCCC(=O)NCCNCc1cnnn1CC ZINC001125934738 811887442 /nfs/dbraw/zinc/88/74/42/811887442.db2.gz HHSKINLGWKZVPI-UHFFFAOYSA-N 0 1 277.372 0.697 20 30 CCEDMN C[C@H](CNCC#N)CNC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC001268008404 811947212 /nfs/dbraw/zinc/94/72/12/811947212.db2.gz IADLXRJFSRQOBC-LLVKDONJSA-N 0 1 298.350 0.951 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CCN(CC(=O)N(C)C)CC1 ZINC001222641348 811953922 /nfs/dbraw/zinc/95/39/22/811953922.db2.gz JTYHCRUCDOOWSX-LLVKDONJSA-N 0 1 280.372 0.062 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@H](NC(=O)c2ccc3cncn3c2)C1 ZINC001077396265 815492191 /nfs/dbraw/zinc/49/21/91/815492191.db2.gz XHKVEOCTENWWQB-HUUCEWRRSA-N 0 1 298.346 0.133 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001077400747 815492319 /nfs/dbraw/zinc/49/23/19/815492319.db2.gz PZDRTIFMGQVYBP-CHWSQXEVSA-N 0 1 291.351 0.067 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001268026078 811998709 /nfs/dbraw/zinc/99/87/09/811998709.db2.gz LWFVNRUSRMZDHC-AWEZNQCLSA-N 0 1 290.367 0.873 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1cncnc1 ZINC001126093888 812007106 /nfs/dbraw/zinc/00/71/06/812007106.db2.gz SWEVHBDGUWKBPP-UHFFFAOYSA-N 0 1 281.319 0.868 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CCCCC(N)=O)CC2 ZINC001268046738 812094433 /nfs/dbraw/zinc/09/44/33/812094433.db2.gz JAYYEFAICLBCPJ-UHFFFAOYSA-N 0 1 291.395 0.590 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnnc(C)c1 ZINC001126250093 812114722 /nfs/dbraw/zinc/11/47/22/812114722.db2.gz FJHBJAGQRAYOGG-UHFFFAOYSA-N 0 1 254.721 0.857 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1c(C)nnn1CC ZINC001027927716 812146728 /nfs/dbraw/zinc/14/67/28/812146728.db2.gz WRLWKLJIRWKQGH-LBPRGKRZSA-N 0 1 277.372 0.987 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)[C@H](C)CNCc1nccn1C ZINC001268058512 812161832 /nfs/dbraw/zinc/16/18/32/812161832.db2.gz DKMCRBPRNZDQKC-DOMZBBRYSA-N 0 1 294.399 0.684 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccnn1CCOC ZINC001027955649 812164048 /nfs/dbraw/zinc/16/40/48/812164048.db2.gz CXEIMZKYHPHYKT-CYBMUJFWSA-N 0 1 290.367 0.357 20 30 CCEDMN N#Cc1cnc(N[C@H]2CCN(C(=O)c3ccn[nH]3)C2)cn1 ZINC001058846095 812178274 /nfs/dbraw/zinc/17/82/74/812178274.db2.gz HELOMUNNAJDEOQ-VIFPVBQESA-N 0 1 283.295 0.398 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccnc2c1CC(=O)N2 ZINC001027997671 812206186 /nfs/dbraw/zinc/20/61/86/812206186.db2.gz QQSOEVTXDIRWAO-NSHDSACASA-N 0 1 298.346 0.404 20 30 CCEDMN C=CCC[N@H+]1CCC[C@H]1CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001027999974 812207863 /nfs/dbraw/zinc/20/78/63/812207863.db2.gz UVIVKYRMUDVOKC-VIFPVBQESA-N 0 1 293.327 0.053 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001028103593 812280093 /nfs/dbraw/zinc/28/00/93/812280093.db2.gz RSEMDPHXMFRMBS-WDEREUQCSA-N 0 1 288.355 0.329 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCO[C@H]1C=C ZINC001028141411 812311274 /nfs/dbraw/zinc/31/12/74/812311274.db2.gz CQMKYNLKDNFRRE-MJBXVCDLSA-N 0 1 262.353 0.791 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CC(=O)N(CCC)C1 ZINC001028173874 812331280 /nfs/dbraw/zinc/33/12/80/812331280.db2.gz TZKLKBLLAVSTKT-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCCCN(C)C1=O ZINC001038917256 812341256 /nfs/dbraw/zinc/34/12/56/812341256.db2.gz BOBVLYHFZYNPSL-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cccn2c(=O)[nH]nc12 ZINC001028258058 812518908 /nfs/dbraw/zinc/51/89/08/812518908.db2.gz MLLOTTMKEDZCNV-NSHDSACASA-N 0 1 299.334 0.262 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001038976346 812518973 /nfs/dbraw/zinc/51/89/73/812518973.db2.gz OAOYMELQLWYBMN-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCCCC(=O)NCCNCc1cncc(OC)n1 ZINC001126344201 812531879 /nfs/dbraw/zinc/53/18/79/812531879.db2.gz PKTMZQCUDFGWDU-UHFFFAOYSA-N 0 1 276.340 0.495 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnn(C)c1OC ZINC001028288581 812583023 /nfs/dbraw/zinc/58/30/23/812583023.db2.gz HUVAMSPOKSNECY-GFCCVEGCSA-N 0 1 290.367 0.646 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1ccccn1 ZINC001126360957 812634440 /nfs/dbraw/zinc/63/44/40/812634440.db2.gz SNBCHBONSFVZKF-CQSZACIVSA-N 0 1 263.341 0.615 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)Cc3ccn[nH]3)[C@@H]2C1 ZINC001075638721 812666172 /nfs/dbraw/zinc/66/61/72/812666172.db2.gz KGYSYKNUHPRZMD-CMPLNLGQSA-N 0 1 259.313 0.008 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN[C@@H](C)c1cnccn1 ZINC001126365587 812683881 /nfs/dbraw/zinc/68/38/81/812683881.db2.gz LJBPUIOWDOECOE-FZMZJTMJSA-N 0 1 278.356 0.571 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1conc1C ZINC001126366726 812684108 /nfs/dbraw/zinc/68/41/08/812684108.db2.gz YXDMGYBTGKRIPK-CYBMUJFWSA-N 0 1 267.329 0.516 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)COCC)[C@H](OC)C1 ZINC001211804081 812743759 /nfs/dbraw/zinc/74/37/59/812743759.db2.gz HCZOFJPCIFLOLL-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C=C(C)C)[C@H](OC)C1 ZINC001211810464 812749232 /nfs/dbraw/zinc/74/92/32/812749232.db2.gz PACBWTZTOODHBZ-CHWSQXEVSA-N 0 1 250.342 0.791 20 30 CCEDMN C=C(Cl)CNCC=CCNC(=O)c1ccc(=O)[nH]n1 ZINC001268513649 812750230 /nfs/dbraw/zinc/75/02/30/812750230.db2.gz UXCMNJXTZJSYLE-IHWYPQMZSA-N 0 1 282.731 0.810 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001268550386 812792681 /nfs/dbraw/zinc/79/26/81/812792681.db2.gz XUPUPKVXDNHQGM-ITKZLYELSA-N 0 1 299.802 0.869 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)c1cc(C)co1 ZINC001268579950 812863157 /nfs/dbraw/zinc/86/31/57/812863157.db2.gz JBWMIJZQADCAGB-PLNGDYQASA-N 0 1 291.351 0.766 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@@H]2CN(CCCF)C[C@@H]2O)c1 ZINC001083392658 812935816 /nfs/dbraw/zinc/93/58/16/812935816.db2.gz SOHMBJVPHHEODW-NEPJUHHUSA-N 0 1 280.303 0.021 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cc(OC)ccn1 ZINC001127027066 815563926 /nfs/dbraw/zinc/56/39/26/815563926.db2.gz GXFVCAWOVWHYFB-UHFFFAOYSA-N 0 1 291.351 0.336 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC[C@@H]1CCN(CCF)C1 ZINC001028601040 812955371 /nfs/dbraw/zinc/95/53/71/812955371.db2.gz HRWUAQWNVWXPSJ-KBPBESRZSA-N 0 1 281.375 0.492 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@@H]3CCCC[C@H]23)C1 ZINC001077432020 815569027 /nfs/dbraw/zinc/56/90/27/815569027.db2.gz GBFWJFSJMNSZJX-AALSBFMBSA-N 0 1 290.407 0.997 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](C)CN(C)CC#CC ZINC001268730632 813045111 /nfs/dbraw/zinc/04/51/11/813045111.db2.gz VZNXSHZKRUHFNS-JSGCOSHPSA-N 0 1 252.358 0.773 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)CC[C@H](C)OC ZINC001268727876 813045719 /nfs/dbraw/zinc/04/57/19/813045719.db2.gz SUPOKYREEHOKPH-KGLIPLIRSA-N 0 1 284.400 0.888 20 30 CCEDMN C=CC[N@H+](C)C[C@H](C)NC(=O)C(C)(C)NC(C)=O ZINC001268749701 813065068 /nfs/dbraw/zinc/06/50/68/813065068.db2.gz COPFWNRPJCHUKT-JTQLQIEISA-N 0 1 255.362 0.524 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC1CN(CCOC2CCC2)C1 ZINC001268828691 813106330 /nfs/dbraw/zinc/10/63/30/813106330.db2.gz JPGKRBNMGAEUGB-CYBMUJFWSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CC(=O)N1CCCC2(CN(CCn3ccnc3)C2)C1 ZINC001268944938 813153740 /nfs/dbraw/zinc/15/37/40/813153740.db2.gz SUNJRFAIURIDKA-UHFFFAOYSA-N 0 1 272.352 0.441 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N1C[C@@H]2C[C@H]1CN2CCO)OCC ZINC001268947518 813160573 /nfs/dbraw/zinc/16/05/73/813160573.db2.gz RRRCYAGTVHDDAT-MELADBBJSA-N 0 1 282.384 0.635 20 30 CCEDMN O=C(CCS)N1CC[C@H]2CN(Cc3cn[nH]c3)C[C@H]21 ZINC001268959605 813161893 /nfs/dbraw/zinc/16/18/93/813161893.db2.gz GLDBYKWKBCJZJM-NWDGAFQWSA-N 0 1 280.397 0.762 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ncccn2)C1 ZINC001269073156 813204952 /nfs/dbraw/zinc/20/49/52/813204952.db2.gz LAUXHLDABSFSSF-LBPRGKRZSA-N 0 1 260.341 0.786 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)[C@@H]1CCCN1C ZINC001269084609 813210239 /nfs/dbraw/zinc/21/02/39/813210239.db2.gz QUXVYNQTXBANEZ-MCIONIFRSA-N 0 1 289.379 0.306 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[N@H+](C)C(C)C)C1 ZINC001269097168 813214174 /nfs/dbraw/zinc/21/41/74/813214174.db2.gz SITJUURCTUFSHJ-ZDUSSCGKSA-N 0 1 251.374 0.540 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3COC(=O)C3)[C@@H]2C1 ZINC001075687847 813237185 /nfs/dbraw/zinc/23/71/85/813237185.db2.gz HUKSTVFPUDCZLB-MELADBBJSA-N 0 1 290.363 0.496 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CN(C)C(=O)C1CC1 ZINC001269240536 813284594 /nfs/dbraw/zinc/28/45/94/813284594.db2.gz LSSKOHWAWNMYSM-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)COCc2nccn2C)C1 ZINC001269252095 813291058 /nfs/dbraw/zinc/29/10/58/813291058.db2.gz VWFGTYACCBWPSG-ZDUSSCGKSA-N 0 1 290.367 0.103 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@H]1CCCC(=O)N1 ZINC001269261431 813294762 /nfs/dbraw/zinc/29/47/62/813294762.db2.gz RMWKBUOGPCKEAK-OLZOCXBDSA-N 0 1 277.368 0.259 20 30 CCEDMN CC#CCCCC(=O)NCC1(NCC(=O)NC(C)C)CC1 ZINC001269468628 813381521 /nfs/dbraw/zinc/38/15/21/813381521.db2.gz LKAIFEAFGJJOMW-UHFFFAOYSA-N 0 1 293.411 0.943 20 30 CCEDMN CC(C)N1CCO[C@@H](C(=O)NC[C@@H]2CCN(CC#N)C2)C1 ZINC001028757810 813446416 /nfs/dbraw/zinc/44/64/16/813446416.db2.gz JVVIVROIERKEEN-UONOGXRCSA-N 0 1 294.399 0.057 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1=O ZINC001269665134 813465113 /nfs/dbraw/zinc/46/51/13/813465113.db2.gz QJHGXEWTBLHZOR-OAHLLOKOSA-N 0 1 286.335 0.036 20 30 CCEDMN C=CC(C)(C)CC(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001101252400 813526077 /nfs/dbraw/zinc/52/60/77/813526077.db2.gz MBUJYERWTZLRHG-WBMJQRKESA-N 0 1 293.411 0.854 20 30 CCEDMN C#CCN1CC[C@@H](CNC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001028906249 813643578 /nfs/dbraw/zinc/64/35/78/813643578.db2.gz IAZZRCFBLMQSGL-LBPRGKRZSA-N 0 1 273.336 0.368 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CNC(=O)N2CCCC2)C1 ZINC001270584420 813824010 /nfs/dbraw/zinc/82/40/10/813824010.db2.gz SXCMAXGRPDJCFE-HNNXBMFYSA-N 0 1 292.383 0.006 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001038424419 813827736 /nfs/dbraw/zinc/82/77/36/813827736.db2.gz PIZKGGXNNANPEZ-ZIAGYGMSSA-N 0 1 286.379 0.659 20 30 CCEDMN C=C1CC(C(=O)N2CC3(CN(CCn4ccnc4)C3)C2)C1 ZINC001270591916 813828371 /nfs/dbraw/zinc/82/83/71/813828371.db2.gz MZHUJTGIFHPSPM-UHFFFAOYSA-N 0 1 286.379 0.994 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cn2ccccc2=O)C1 ZINC001270659301 813877751 /nfs/dbraw/zinc/87/77/51/813877751.db2.gz SKLJCCFGSZKCPW-HNNXBMFYSA-N 0 1 273.336 0.062 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CC[C@@H]2C[C@@]21C(N)=O ZINC001270733050 813910798 /nfs/dbraw/zinc/91/07/98/813910798.db2.gz WVZVXDGHLADMST-PSLIRLAXSA-N 0 1 295.302 0.524 20 30 CCEDMN C=CCNC(=O)CN1CCC(C)(CNC(=O)CC)CC1 ZINC001270854428 813970517 /nfs/dbraw/zinc/97/05/17/813970517.db2.gz SBJKNIXMXXCYGD-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)nn2C)[C@H]1CC ZINC001087513776 814045156 /nfs/dbraw/zinc/04/51/56/814045156.db2.gz LAMADQVGQDKEHL-QWHCGFSZSA-N 0 1 274.368 0.944 20 30 CCEDMN O=C(C#CC1CC1)N1C[C@H]2CC[C@@H](C1)N2CCCO ZINC001029300691 814056618 /nfs/dbraw/zinc/05/66/18/814056618.db2.gz PDTSKTJPDWQLMV-OKILXGFUSA-N 0 1 262.353 0.457 20 30 CCEDMN CC(C)N(C)C(=O)CN1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC001226624657 814061150 /nfs/dbraw/zinc/06/11/50/814061150.db2.gz BXDWFHZFBVJNSG-LBPRGKRZSA-N 0 1 294.399 0.593 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)CN2CCOCC2)C1 ZINC001270949529 814096134 /nfs/dbraw/zinc/09/61/34/814096134.db2.gz GMFXEGYIXIUXFR-MRXNPFEDSA-N 0 1 293.411 0.170 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](CCNC(=O)c2ncn[nH]2)C1 ZINC001059314529 814112097 /nfs/dbraw/zinc/11/20/97/814112097.db2.gz XTRWLNTZAIXHQT-NSHDSACASA-N 0 1 291.355 0.739 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](CCNC(=O)c2nc[nH]n2)C1 ZINC001059314529 814112102 /nfs/dbraw/zinc/11/21/02/814112102.db2.gz XTRWLNTZAIXHQT-NSHDSACASA-N 0 1 291.355 0.739 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCNC(=O)C2)[C@H]1CC ZINC001087537539 814113091 /nfs/dbraw/zinc/11/30/91/814113091.db2.gz LDXZEBVPZJPENF-FRRDWIJNSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2ccsc2)C1 ZINC001271109084 814155208 /nfs/dbraw/zinc/15/52/08/814155208.db2.gz HJTCRUISWDSJRW-CQSZACIVSA-N 0 1 278.377 0.938 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnnn2CC)[C@H]1CC ZINC001087562314 814161716 /nfs/dbraw/zinc/16/17/16/814161716.db2.gz QOINHEVJUCGYRL-NWDGAFQWSA-N 0 1 275.356 0.514 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)[C@@H]2CCCCN2C)CCN1CC#N ZINC001087625477 814177764 /nfs/dbraw/zinc/17/77/64/814177764.db2.gz JDQXATBZLLXNPW-MJBXVCDLSA-N 0 1 278.400 0.963 20 30 CCEDMN N#CCN1CC[C@@H](Oc2n[nH]c(=O)cc2Br)C1 ZINC001226848800 814181426 /nfs/dbraw/zinc/18/14/26/814181426.db2.gz HMFQVFUHVYPTHB-SSDOTTSWSA-N 0 1 299.128 0.921 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(C)CCNC2=O)[C@H]1CC ZINC001087722456 814197232 /nfs/dbraw/zinc/19/72/32/814197232.db2.gz FEJFGNJJDBNZFV-ZOWXZIJZSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nc3ncccn3n2)[C@H]1CC ZINC001087768763 814208532 /nfs/dbraw/zinc/20/85/32/814208532.db2.gz SHCNNOYWVPMBDB-NWDGAFQWSA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(OC)nn2)[C@H]1CC ZINC001087785021 814213685 /nfs/dbraw/zinc/21/36/85/814213685.db2.gz KSENCLJOFYXJFR-WCQYABFASA-N 0 1 288.351 0.701 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2coc(CC)n2)[C@@H](O)C1 ZINC001083418771 814247474 /nfs/dbraw/zinc/24/74/74/814247474.db2.gz ZNZQKVFFHZLXFX-YPMHNXCESA-N 0 1 293.367 0.978 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001059426494 814300603 /nfs/dbraw/zinc/30/06/03/814300603.db2.gz CGUDXQBGBJYACT-HAQNSBGRSA-N 0 1 296.334 0.978 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](NC(=O)Cc3cnc[nH]3)C2)nn1 ZINC001059426852 814301263 /nfs/dbraw/zinc/30/12/63/814301263.db2.gz YBCWXASLPASDQJ-XYPYZODXSA-N 0 1 297.322 0.373 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cc(C3CC3)no2)C1 ZINC001271200365 814329427 /nfs/dbraw/zinc/32/94/27/814329427.db2.gz FSVPOXRXRLHCEJ-OAHLLOKOSA-N 0 1 289.335 0.352 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C(C)(C)C)C1 ZINC001271210399 814335631 /nfs/dbraw/zinc/33/56/31/814335631.db2.gz RBFXPRGSLCRONC-CQSZACIVSA-N 0 1 252.358 0.609 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCc2n[nH]c(C(=O)N3CC=CC3)c2C1 ZINC001271221786 814339056 /nfs/dbraw/zinc/33/90/56/814339056.db2.gz QBILWSQDSDPOHH-JTQLQIEISA-N 0 1 299.334 0.466 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cn4c(n3)CCCC4)[C@@H]2C1 ZINC001075850732 814513499 /nfs/dbraw/zinc/51/34/99/814513499.db2.gz DSSYDKFQPVJYLX-DZGCQCFKSA-N 0 1 298.390 0.999 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)COCCOC ZINC001271984363 814663527 /nfs/dbraw/zinc/66/35/27/814663527.db2.gz HOISUKXZYSNZAE-UHFFFAOYSA-N 0 1 256.346 0.063 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)n2cncn2)[C@H]1C ZINC001088530828 814856796 /nfs/dbraw/zinc/85/67/96/814856796.db2.gz LPCHVEIKEZEQMS-UTUOFQBUSA-N 0 1 261.329 0.051 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)C1(COCC)CC1 ZINC001272049325 814883022 /nfs/dbraw/zinc/88/30/22/814883022.db2.gz PGYNYZAOTWNNLL-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cccnc1OC ZINC001127039644 815590034 /nfs/dbraw/zinc/59/00/34/815590034.db2.gz NZJMVAJCUPVAHQ-GFCCVEGCSA-N 0 1 291.351 0.334 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2cncc(CC)c2)[C@@H](O)C1 ZINC001083644754 815591723 /nfs/dbraw/zinc/59/17/23/815591723.db2.gz UNOURBRWJWLIMT-CABCVRRESA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cn(C(C)C)cn2)[C@@H](O)C1 ZINC001083670626 815642620 /nfs/dbraw/zinc/64/26/20/815642620.db2.gz HMLDCOKELYASGD-OCCSQVGLSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CCN1CC(NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001030314266 815971274 /nfs/dbraw/zinc/97/12/74/815971274.db2.gz SLVPCXRSVRHKPP-NSHDSACASA-N 0 1 260.341 0.816 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C\c2ccc[nH]2)[C@H](OC)C1 ZINC001212175194 815990397 /nfs/dbraw/zinc/99/03/97/815990397.db2.gz JGFKJNKFNYFVBD-AZJURWTESA-N 0 1 287.363 0.867 20 30 CCEDMN C=CCN1CC(NC(=O)Cc2cc(=O)n(CC(C)C)[nH]2)C1 ZINC001030624600 816159227 /nfs/dbraw/zinc/15/92/27/816159227.db2.gz NJIHQXDFKMTLHC-UHFFFAOYSA-N 0 1 292.383 0.361 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1C[N@H+](CCCF)C[C@H]1OC ZINC001212193205 816205145 /nfs/dbraw/zinc/20/51/45/816205145.db2.gz BGFJVCSIOYFHEO-YRGRVCCFSA-N 0 1 288.363 0.489 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CN(CCCF)C[C@H]1OC ZINC001212193205 816205147 /nfs/dbraw/zinc/20/51/47/816205147.db2.gz BGFJVCSIOYFHEO-YRGRVCCFSA-N 0 1 288.363 0.489 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1OC ZINC001212194446 816212907 /nfs/dbraw/zinc/21/29/07/816212907.db2.gz SDRIRMFCHWWGKU-UMVBOHGHSA-N 0 1 282.384 0.705 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(C(N)=O)n2)[C@H]1C ZINC001089066858 816231223 /nfs/dbraw/zinc/23/12/23/816231223.db2.gz DFAORMFQJCQBCA-MNOVXSKESA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccc3nnn(C)c32)[C@H]1C ZINC001089088749 816241834 /nfs/dbraw/zinc/24/18/34/816241834.db2.gz ASLKKAKILKFWIS-YPMHNXCESA-N 0 1 297.362 0.794 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@@H](C)CC(N)=O ZINC001099164341 816454719 /nfs/dbraw/zinc/45/47/19/816454719.db2.gz CJSZRSXPFAOSIF-STQMWFEESA-N 0 1 293.411 0.738 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC001121932572 816487192 /nfs/dbraw/zinc/48/71/92/816487192.db2.gz XXEPTZZXLWMBGM-AAEUAGOBSA-N 0 1 254.293 0.708 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3cccn3C)C2)OCC1=O ZINC001272572445 816596637 /nfs/dbraw/zinc/59/66/37/816596637.db2.gz DVNXALHLTMJNEX-INIZCTEOSA-N 0 1 287.363 0.462 20 30 CCEDMN C#CCNCC(=O)N[C@H](C[C@H](C)CC)C(=O)OCC ZINC001122170244 816601439 /nfs/dbraw/zinc/60/14/39/816601439.db2.gz YKWSUGMAABYLHE-VXGBXAGGSA-N 0 1 268.357 0.693 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H](C)C1CC1 ZINC000176523516 816634488 /nfs/dbraw/zinc/63/44/88/816634488.db2.gz OOAGTSIAWWDMKW-GXSJLCMTSA-N 0 1 252.314 0.894 20 30 CCEDMN C=C(Br)CN1CC(NC(=O)c2ncn[nH]2)C1 ZINC001031123161 816670043 /nfs/dbraw/zinc/67/00/43/816670043.db2.gz IHMKQKHPJWBXTP-UHFFFAOYSA-N 0 1 286.133 0.127 20 30 CCEDMN C=C(Br)CN1CC(NC(=O)c2nc[nH]n2)C1 ZINC001031123161 816670045 /nfs/dbraw/zinc/67/00/45/816670045.db2.gz IHMKQKHPJWBXTP-UHFFFAOYSA-N 0 1 286.133 0.127 20 30 CCEDMN C[C@@H](CCNc1ccc(C#N)cn1)NC(=O)c1ncn[nH]1 ZINC001106412594 816762688 /nfs/dbraw/zinc/76/26/88/816762688.db2.gz PMWPOWZDAJTWSV-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@@H](CCNc1ccc(C#N)cn1)NC(=O)c1nc[nH]n1 ZINC001106412594 816762698 /nfs/dbraw/zinc/76/26/98/816762698.db2.gz PMWPOWZDAJTWSV-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN C[C@@H](CCNc1ncccc1C#N)NC(=O)Cc1nnc[nH]1 ZINC001106435526 816770731 /nfs/dbraw/zinc/77/07/31/816770731.db2.gz RORYCZCYCPNXJZ-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)C1=COCCO1 ZINC001123019657 816837750 /nfs/dbraw/zinc/83/77/50/816837750.db2.gz OGHGQVHKLAEWMW-UHFFFAOYSA-N 0 1 286.331 0.632 20 30 CCEDMN C#Cc1ccc(C(=O)NC2CN(CC3CCOCC3)C2)cn1 ZINC001031279638 816925254 /nfs/dbraw/zinc/92/52/54/816925254.db2.gz LMDGVPZZJLYOBB-UHFFFAOYSA-N 0 1 299.374 0.904 20 30 CCEDMN N#CCSCC(=O)NCCNCc1cncs1 ZINC001123792464 817070352 /nfs/dbraw/zinc/07/03/52/817070352.db2.gz XYDCLUXJTBXVGE-UHFFFAOYSA-N 0 1 270.383 0.606 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2C[C@@H]2C(=O)OC)C1 ZINC001031579284 817159196 /nfs/dbraw/zinc/15/91/96/817159196.db2.gz PVUGUQXDGCYAND-QWRGUYRKSA-N 0 1 252.314 0.030 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2oc(C)nc2C)C1 ZINC001031595288 817171024 /nfs/dbraw/zinc/17/10/24/817171024.db2.gz TWMRMOJFFGDZRA-UHFFFAOYSA-N 0 1 261.325 0.976 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn(CCOC)c2C)C1 ZINC001031667430 817231552 /nfs/dbraw/zinc/23/15/52/817231552.db2.gz GKESGUUSJAETID-UHFFFAOYSA-N 0 1 292.383 0.686 20 30 CCEDMN CO[C@@H]1C[N@@H+](CC[C@H](C)F)C[C@H]1NC(=O)C#CC1CC1 ZINC001212250628 817233131 /nfs/dbraw/zinc/23/31/31/817233131.db2.gz DFNIGRMGRRXUQA-IACUBPJLSA-N 0 1 282.359 0.963 20 30 CCEDMN CO[C@@H]1CN(CC[C@H](C)F)C[C@H]1NC(=O)C#CC1CC1 ZINC001212250628 817233135 /nfs/dbraw/zinc/23/31/35/817233135.db2.gz DFNIGRMGRRXUQA-IACUBPJLSA-N 0 1 282.359 0.963 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C[C@H](C)OC)C[C@@H]2O)C1 ZINC001083761989 817262061 /nfs/dbraw/zinc/26/20/61/817262061.db2.gz AMOXPVCOXUTMDD-XQQFMLRXSA-N 0 1 282.384 0.539 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001031711583 817275848 /nfs/dbraw/zinc/27/58/48/817275848.db2.gz IPJHYNJAMBQTTD-LBPRGKRZSA-N 0 1 265.357 0.089 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001031713060 817277177 /nfs/dbraw/zinc/27/71/77/817277177.db2.gz PKOLOXIDVPYPSA-GFCCVEGCSA-N 0 1 265.357 0.089 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN(C(C)C)C[C@@H]2O)c1 ZINC001083765545 817318150 /nfs/dbraw/zinc/31/81/50/817318150.db2.gz IXSSQSHFEDIVID-KGLIPLIRSA-N 0 1 273.336 0.246 20 30 CCEDMN C=CCN1CC(CNC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001031762100 817344487 /nfs/dbraw/zinc/34/44/87/817344487.db2.gz QIJABDIYGZYNDU-UHFFFAOYSA-N 0 1 276.340 0.647 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCn3cc(C)nc3C2)C1 ZINC001031770685 817353497 /nfs/dbraw/zinc/35/34/97/817353497.db2.gz LOJCTVQVQFQEHM-AWEZNQCLSA-N 0 1 288.395 0.988 20 30 CCEDMN N#CCN1CC(CNC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001031808952 817385788 /nfs/dbraw/zinc/38/57/88/817385788.db2.gz YNKKBBURTOFFHK-UHFFFAOYSA-N 0 1 296.330 0.713 20 30 CCEDMN Cc1cnc(CNCC[C@@H](C)NC(=O)[C@H](C)C#N)nc1 ZINC001272688520 817386808 /nfs/dbraw/zinc/38/68/08/817386808.db2.gz MUPBBMWAJDUSNH-VXGBXAGGSA-N 0 1 275.356 0.929 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(OC)nc(OC)c2)C1 ZINC001031816898 817391483 /nfs/dbraw/zinc/39/14/83/817391483.db2.gz VHSBDUSABAZRNP-UHFFFAOYSA-N 0 1 291.351 0.946 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccc(C(N)=O)o2)C1 ZINC001031832422 817401447 /nfs/dbraw/zinc/40/14/47/817401447.db2.gz MQZZYBMWCBGGFI-UHFFFAOYSA-N 0 1 275.308 0.063 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc3nccn3c2)C1 ZINC001031847973 817414669 /nfs/dbraw/zinc/41/46/69/817414669.db2.gz KKGJRZATEHGXJU-UHFFFAOYSA-N 0 1 271.324 0.577 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ocnc2CC)C1 ZINC001031964579 817500489 /nfs/dbraw/zinc/50/04/89/817500489.db2.gz GLAUDQKUQGQAOX-UHFFFAOYSA-N 0 1 261.325 0.922 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001031992547 817527784 /nfs/dbraw/zinc/52/77/84/817527784.db2.gz FBVFEVLMLPHRGW-UPJWGTAASA-N 0 1 250.342 0.788 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc(C3CC3)[nH]c2=O)C1 ZINC001032032838 817566877 /nfs/dbraw/zinc/56/68/77/817566877.db2.gz GEHFWYJMDXROGS-UHFFFAOYSA-N 0 1 288.351 0.907 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2nnn(C(C)C)c2C)C1 ZINC001032080274 817608946 /nfs/dbraw/zinc/60/89/46/817608946.db2.gz OIGPEWBFFUZENO-UHFFFAOYSA-N 0 1 289.383 0.852 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1OC ZINC001212349024 817609311 /nfs/dbraw/zinc/60/93/11/817609311.db2.gz HRBUCEOHYVGHDZ-YNEHKIRRSA-N 0 1 270.373 0.539 20 30 CCEDMN CCc1nc(C)c(CNCCNC(=O)[C@@H](C)C#N)o1 ZINC001124896291 817621772 /nfs/dbraw/zinc/62/17/72/817621772.db2.gz NYBXHGXGQJFVJA-VIFPVBQESA-N 0 1 264.329 0.911 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001032109174 817639385 /nfs/dbraw/zinc/63/93/85/817639385.db2.gz OYEGTUOFUCXRHR-ZDUSSCGKSA-N 0 1 279.384 0.479 20 30 CCEDMN N#CCN1CC(CNC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001032111495 817640146 /nfs/dbraw/zinc/64/01/46/817640146.db2.gz HXYWJOGYXJMWSJ-NSHDSACASA-N 0 1 273.340 0.086 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(CC)cn2)C1 ZINC001032132143 817658596 /nfs/dbraw/zinc/65/85/96/817658596.db2.gz KSWCWWIRRCVKHS-UHFFFAOYSA-N 0 1 260.341 0.588 20 30 CCEDMN C#Cc1ccc(C(=O)NCC2CN(CC=C)C2)nc1 ZINC001032135202 817660580 /nfs/dbraw/zinc/66/05/80/817660580.db2.gz MNIKBTKXLCUXNJ-UHFFFAOYSA-N 0 1 255.321 0.911 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2noc3c2COCC3)C1 ZINC001032196693 817695106 /nfs/dbraw/zinc/69/51/06/817695106.db2.gz AGQIVDICCWNRSQ-UHFFFAOYSA-N 0 1 289.335 0.432 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2nn(CC)nc2C)C1 ZINC001032229487 817733217 /nfs/dbraw/zinc/73/32/17/817733217.db2.gz UQMCBJJPIJYCAU-UHFFFAOYSA-N 0 1 277.372 0.844 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2conc2C)C1 ZINC001077613115 817873404 /nfs/dbraw/zinc/87/34/04/817873404.db2.gz YSEYTKNEHKVLPD-VXGBXAGGSA-N 0 1 265.313 0.334 20 30 CCEDMN Cc1cc(NCC=CCNC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001107587164 817889198 /nfs/dbraw/zinc/88/91/98/817889198.db2.gz QHVXIRXOXRQVTJ-NSCUHMNNSA-N 0 1 297.322 0.200 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(Cl)[nH]2)C1 ZINC001077639143 817902520 /nfs/dbraw/zinc/90/25/20/817902520.db2.gz RZSXTXHAGZDSQF-GHMZBOCLSA-N 0 1 281.743 0.466 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C[C@H](C)OC)C[C@H]2O)C1 ZINC001077641672 817905622 /nfs/dbraw/zinc/90/56/22/817905622.db2.gz AMOXPVCOXUTMDD-YNEHKIRRSA-N 0 1 282.384 0.539 20 30 CCEDMN C=CCC[N@H+]1CCO[C@](C)(CNC(=O)CCOC)C1 ZINC001107665564 817932681 /nfs/dbraw/zinc/93/26/81/817932681.db2.gz FUTQKQXQJSQJIK-CQSZACIVSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)CCOC)C1 ZINC001107665564 817932683 /nfs/dbraw/zinc/93/26/83/817932683.db2.gz FUTQKQXQJSQJIK-CQSZACIVSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c(C)c1[O-] ZINC001032358971 817983980 /nfs/dbraw/zinc/98/39/80/817983980.db2.gz ORZOVQAKKLWVEZ-QWRGUYRKSA-N 0 1 276.340 0.899 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1n[nH]c(C)c1[O-] ZINC001032358971 817983985 /nfs/dbraw/zinc/98/39/85/817983985.db2.gz ORZOVQAKKLWVEZ-QWRGUYRKSA-N 0 1 276.340 0.899 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H](C)OC)C1 ZINC001107782137 818003974 /nfs/dbraw/zinc/00/39/74/818003974.db2.gz PCTQVBIPGAQWNY-JSGCOSHPSA-N 0 1 270.373 0.805 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccc(C)[nH]c2=O)[C@@H](O)C1 ZINC001083812642 818117172 /nfs/dbraw/zinc/11/71/72/818117172.db2.gz BDUBHVPKJXIOBG-OLZOCXBDSA-N 0 1 291.351 0.447 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001044157742 818269637 /nfs/dbraw/zinc/26/96/37/818269637.db2.gz SFGLGZWXAZOOKR-GFCCVEGCSA-N 0 1 286.379 0.681 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](F)c2ccccc2)[C@@H](O)C1 ZINC001083819123 818271694 /nfs/dbraw/zinc/27/16/94/818271694.db2.gz UIDRFPOZMBNPMB-ILXRZTDVSA-N 0 1 290.338 0.882 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccccc2)[C@H](O)C1 ZINC001090023118 818281417 /nfs/dbraw/zinc/28/14/17/818281417.db2.gz OBCTVFKDYOTFAW-LSDHHAIUSA-N 0 1 272.348 0.875 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)Cn2cccn2)C1 ZINC001032906181 818350923 /nfs/dbraw/zinc/35/09/23/818350923.db2.gz QBFJQHAOTCKHRP-CYBMUJFWSA-N 0 1 262.357 0.992 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CN(C)CCO2)C1 ZINC001032975319 818400694 /nfs/dbraw/zinc/40/06/94/818400694.db2.gz HKOMIUAOUVTBTI-CHWSQXEVSA-N 0 1 267.373 0.036 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cccnc2)C1 ZINC001033004715 818414331 /nfs/dbraw/zinc/41/43/31/818414331.db2.gz IUQNDVYHJATHHF-CQSZACIVSA-N 0 1 257.337 0.790 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2snnc2C)[C@@H](O)C1 ZINC001090066097 818446384 /nfs/dbraw/zinc/44/63/84/818446384.db2.gz VDNUPRJRRCJFFO-MNOVXSKESA-N 0 1 296.396 0.588 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cn(CC)nc2C)[C@@H](O)C1 ZINC001083824157 818468307 /nfs/dbraw/zinc/46/83/07/818468307.db2.gz HZBSNWUWNHAXEH-KGLIPLIRSA-N 0 1 290.367 0.010 20 30 CCEDMN CN(C(=O)c1cccc2nn[nH]c21)[C@H]1CCN(CC#N)C1 ZINC001033101213 818520307 /nfs/dbraw/zinc/52/03/07/818520307.db2.gz CZMPZFRIAUNSMB-JTQLQIEISA-N 0 1 284.323 0.628 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033125497 818553323 /nfs/dbraw/zinc/55/33/23/818553323.db2.gz ZMQKOJOSKVXUJQ-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033160224 818587762 /nfs/dbraw/zinc/58/77/62/818587762.db2.gz IIFZFDSTERBIQD-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnsn2)[C@@H](O)C1 ZINC001090106792 818592812 /nfs/dbraw/zinc/59/28/12/818592812.db2.gz DZWLKRABVAEMOI-KOLCDFICSA-N 0 1 282.369 0.279 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033169122 818598517 /nfs/dbraw/zinc/59/85/17/818598517.db2.gz GLQPSTLWAMIHNC-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001033175482 818599976 /nfs/dbraw/zinc/59/99/76/818599976.db2.gz DPCBMUXKRMPULN-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033175524 818600573 /nfs/dbraw/zinc/60/05/73/818600573.db2.gz DUKODYJOTYOSLO-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C[C@H](CNc1ccncc1C#N)NC(=O)c1[nH]ncc1F ZINC001108091662 818627282 /nfs/dbraw/zinc/62/72/82/818627282.db2.gz UDLBPENYIPOFCM-MRVPVSSYSA-N 0 1 288.286 0.468 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cncs2)[C@@H](O)C1 ZINC001090114508 818635528 /nfs/dbraw/zinc/63/55/28/818635528.db2.gz QJCLJBIBPRNYOI-MNOVXSKESA-N 0 1 281.381 0.884 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2COCCN2CC)C1 ZINC001033211534 818653701 /nfs/dbraw/zinc/65/37/01/818653701.db2.gz KGVXYEHNJDYHBQ-ZIAGYGMSSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2COCCN2CC)C1 ZINC001033211527 818654154 /nfs/dbraw/zinc/65/41/54/818654154.db2.gz KGVXYEHNJDYHBQ-KBPBESRZSA-N 0 1 281.400 0.426 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)Nc2ccc(C#N)cn2)n[nH]1 ZINC001117748949 818662129 /nfs/dbraw/zinc/66/21/29/818662129.db2.gz RLGGMHSDBPTAMC-UHFFFAOYSA-N 0 1 284.279 0.240 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001033299800 818696745 /nfs/dbraw/zinc/69/67/45/818696745.db2.gz VIZOLNBZYJICKZ-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccoc2CC(N)=O)C1 ZINC001033368354 818742756 /nfs/dbraw/zinc/74/27/56/818742756.db2.gz MUUVYDRDYVOONV-NSHDSACASA-N 0 1 291.351 0.640 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cccc(=O)n2C)C1 ZINC001033410048 818759437 /nfs/dbraw/zinc/75/94/37/818759437.db2.gz KJTUZIIWEZXYFA-LBPRGKRZSA-N 0 1 275.352 0.718 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001033412564 818761518 /nfs/dbraw/zinc/76/15/18/818761518.db2.gz HRIZDIXOJKBHNJ-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001033463588 818788012 /nfs/dbraw/zinc/78/80/12/818788012.db2.gz QXGFEOLOOCRIIR-KGLIPLIRSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CCOC2)C1 ZINC001033536886 818826188 /nfs/dbraw/zinc/82/61/88/818826188.db2.gz ONCNDEQRNDHJKQ-UKRRQHHQSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CN(CC)CCO2)C1 ZINC001033670397 818879333 /nfs/dbraw/zinc/87/93/33/818879333.db2.gz BCKSBTUBKQPVFP-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2nn(CC)nc2C)C1 ZINC001033709499 818894213 /nfs/dbraw/zinc/89/42/13/818894213.db2.gz HTQCEYRWHPKELS-ZDUSSCGKSA-N 0 1 289.383 0.776 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2[nH]cnc2C)C1 ZINC001033710558 818895388 /nfs/dbraw/zinc/89/53/88/818895388.db2.gz HKMRYUPRULAEJW-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccn3ccnc23)[C@@H](O)C1 ZINC001083857603 818920981 /nfs/dbraw/zinc/92/09/81/818920981.db2.gz HPJNWBHXUDKPTE-KGLIPLIRSA-N 0 1 298.346 0.133 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033806295 818945131 /nfs/dbraw/zinc/94/51/31/818945131.db2.gz DLLMFWVVXZJZKF-GFCCVEGCSA-N 0 1 291.351 0.538 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)c2cnn[n-]2)C1 ZINC001033890213 818975986 /nfs/dbraw/zinc/97/59/86/818975986.db2.gz DFAXXTMPZZRLMP-NSHDSACASA-N 0 1 261.329 0.364 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cn[nH]n2)C1 ZINC001033890213 818975989 /nfs/dbraw/zinc/97/59/89/818975989.db2.gz DFAXXTMPZZRLMP-NSHDSACASA-N 0 1 261.329 0.364 20 30 CCEDMN CCN(C(=O)c1[nH]nnc1C)[C@@H]1CCN(CC#N)C1 ZINC001033912353 818984940 /nfs/dbraw/zinc/98/49/40/818984940.db2.gz IKWQWXGLVQHLOS-SNVBAGLBSA-N 0 1 262.317 0.173 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCNC2=O)C1 ZINC001033920231 818995044 /nfs/dbraw/zinc/99/50/44/818995044.db2.gz RKPFQKOFBQHDGM-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@@H](O)C1 ZINC001090171248 819008138 /nfs/dbraw/zinc/00/81/38/819008138.db2.gz OKRWINDYQDOPBJ-YPMHNXCESA-N 0 1 274.324 0.237 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2c[nH]c(=O)cn2)C1 ZINC001033935960 819008530 /nfs/dbraw/zinc/00/85/30/819008530.db2.gz LIFPBQJFZPONGG-LBPRGKRZSA-N 0 1 288.351 0.330 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033934475 819009170 /nfs/dbraw/zinc/00/91/70/819009170.db2.gz KSYAEURBHYNJCI-CHWSQXEVSA-N 0 1 279.384 0.716 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001034026044 819033446 /nfs/dbraw/zinc/03/34/46/819033446.db2.gz NQFDUOHECPCCLF-CABCVRRESA-N 0 1 291.395 0.553 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(C#N)c[nH]2)[C@H](O)C1 ZINC001090180850 819038146 /nfs/dbraw/zinc/03/81/46/819038146.db2.gz VGVLVPXREVPONS-TZMCWYRMSA-N 0 1 286.335 0.075 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccn(C)c2)[C@@H](O)C1 ZINC001090188141 819066677 /nfs/dbraw/zinc/06/66/77/819066677.db2.gz CNIPEWDFPSKPQL-KBPBESRZSA-N 0 1 275.352 0.213 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnn(C)c2)C1 ZINC001034096393 819069619 /nfs/dbraw/zinc/06/96/19/819069619.db2.gz CNUVSWSCOWNBLF-CYBMUJFWSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CN(C)CCO2)C1 ZINC001034148845 819096662 /nfs/dbraw/zinc/09/66/62/819096662.db2.gz PBYOTHVMTVJEQI-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)Cn2nccn2)C1 ZINC001034234567 819130972 /nfs/dbraw/zinc/13/09/72/819130972.db2.gz USVIGBDHEAKOKD-ZDUSSCGKSA-N 0 1 275.356 0.272 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001034242275 819136390 /nfs/dbraw/zinc/13/63/90/819136390.db2.gz DKVFNDICRAOFRV-ZDUSSCGKSA-N 0 1 289.383 0.824 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001034285360 819151998 /nfs/dbraw/zinc/15/19/98/819151998.db2.gz CKBFTPBHLFBAMQ-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cncn2C)C1 ZINC001034294703 819157497 /nfs/dbraw/zinc/15/74/97/819157497.db2.gz CFFOYNHRWRVWHF-LBPRGKRZSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCC)C[C@@H]1n1ccnn1 ZINC001128939308 819172083 /nfs/dbraw/zinc/17/20/83/819172083.db2.gz KLIIHXCNMMLEPV-KGLIPLIRSA-N 0 1 289.383 0.833 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2coc(CC)n2)[C@H](O)C1 ZINC001090212046 819198017 /nfs/dbraw/zinc/19/80/17/819198017.db2.gz ZBWFZJABIJIZJK-WCQYABFASA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2cnccn2)C1 ZINC001035272077 819434308 /nfs/dbraw/zinc/43/43/08/819434308.db2.gz ISCZQSHNRKRUPO-ZDUSSCGKSA-N 0 1 290.367 0.873 20 30 CCEDMN C=CCC[N@H+]1CCO[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001035342477 819482662 /nfs/dbraw/zinc/48/26/62/819482662.db2.gz LIKGMUKIHHHVSI-NSHDSACASA-N 0 1 294.355 0.430 20 30 CCEDMN N#CCN1CCO[C@H](CNC(=O)c2ccn3cncc3c2)C1 ZINC001035431965 819522571 /nfs/dbraw/zinc/52/25/71/819522571.db2.gz MHTTZEKXGLOMTI-CQSZACIVSA-N 0 1 299.334 0.288 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)COC)CC2 ZINC001035632408 819585880 /nfs/dbraw/zinc/58/58/80/819585880.db2.gz OWTFTXXIDJLSDJ-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1cc[nH]n1)CC2 ZINC001035658845 819595390 /nfs/dbraw/zinc/59/53/90/819595390.db2.gz SDSDJXWETCVGQR-UHFFFAOYSA-N 0 1 272.352 0.971 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1ccn[nH]1)CC2 ZINC001035658845 819595393 /nfs/dbraw/zinc/59/53/93/819595393.db2.gz SDSDJXWETCVGQR-UHFFFAOYSA-N 0 1 272.352 0.971 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1OCCO[C@H]1C)CC2 ZINC001035725063 819614723 /nfs/dbraw/zinc/61/47/23/819614723.db2.gz APBBFUHBRJZCDV-KBPBESRZSA-N 0 1 294.395 0.901 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1cn[nH]c(=O)c1)CC2 ZINC001035731018 819616740 /nfs/dbraw/zinc/61/67/40/819616740.db2.gz YQLGCAREBIFHOP-UHFFFAOYSA-N 0 1 288.351 0.906 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CN(C)C(=O)N1)CC2 ZINC001035768150 819621142 /nfs/dbraw/zinc/62/11/42/819621142.db2.gz DJTGCCIHUHYQEF-LBPRGKRZSA-N 0 1 292.383 0.120 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1cncn1C)CC2 ZINC001035837660 819634954 /nfs/dbraw/zinc/63/49/54/819634954.db2.gz ZINONANGVDNUCT-UHFFFAOYSA-N 0 1 286.379 0.520 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@@H]1CCOC[C@H]1OC ZINC001129208171 819652255 /nfs/dbraw/zinc/65/22/55/819652255.db2.gz QDQKULUXQMUOMB-NWDGAFQWSA-N 0 1 290.791 0.886 20 30 CCEDMN C[C@H]1CN(C(=O)c2cccc3nn[nH]c32)CC[C@H]1NCC#N ZINC001036048632 819677211 /nfs/dbraw/zinc/67/72/11/819677211.db2.gz NDTYIQLYWWJXSF-CMPLNLGQSA-N 0 1 298.350 0.922 20 30 CCEDMN C#CC1(O)CCN(Cc2cccc(C(N)=O)c2)CC1 ZINC000708171384 819762009 /nfs/dbraw/zinc/76/20/09/819762009.db2.gz LGMOELHNMPWCEV-UHFFFAOYSA-N 0 1 258.321 0.746 20 30 CCEDMN C#CCCCS(=O)(=O)NCCCc1cn[nH]c1C ZINC000710883114 819852561 /nfs/dbraw/zinc/85/25/61/819852561.db2.gz PFENIHZICVUCNG-UHFFFAOYSA-N 0 1 269.370 0.984 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CN(C(=O)c3cnns3)CC[C@@H]21 ZINC001036658089 819872833 /nfs/dbraw/zinc/87/28/33/819872833.db2.gz CSLDYWHBBPCWRG-QWRGUYRKSA-N 0 1 276.365 0.708 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@@H]1CCC(=O)NC1 ZINC001129261242 819898134 /nfs/dbraw/zinc/89/81/34/819898134.db2.gz FJHCNNQMKNLCMM-JTQLQIEISA-N 0 1 273.764 0.361 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccncc2C)[C@H](O)C1 ZINC001090265096 819999367 /nfs/dbraw/zinc/99/93/67/819999367.db2.gz JOUXQENFZCVWKM-LSDHHAIUSA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccncc2C)[C@@H](O)C1 ZINC001090265093 820000116 /nfs/dbraw/zinc/00/01/16/820000116.db2.gz JOUXQENFZCVWKM-CABCVRRESA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)CN2CCC(C)CC2)[C@@H](O)C1 ZINC001083887749 820088459 /nfs/dbraw/zinc/08/84/59/820088459.db2.gz JIWHFGLEOYUCRL-KGLIPLIRSA-N 0 1 281.400 0.066 20 30 CCEDMN C#CCCCC(=O)NC1(CNC(=O)c2ncn[nH]2)CCC1 ZINC001063999325 820175883 /nfs/dbraw/zinc/17/58/83/820175883.db2.gz BHJBJONRMSEQAS-UHFFFAOYSA-N 0 1 289.339 0.377 20 30 CCEDMN C#CCCCC(=O)NC1(CNC(=O)c2nc[nH]n2)CCC1 ZINC001063999325 820175886 /nfs/dbraw/zinc/17/58/86/820175886.db2.gz BHJBJONRMSEQAS-UHFFFAOYSA-N 0 1 289.339 0.377 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(C3CC3)o2)[C@H](O)C1 ZINC001090330006 820268108 /nfs/dbraw/zinc/26/81/08/820268108.db2.gz POVYDIDNBLRQNI-VXGBXAGGSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(C)c2Cl)[C@@H](O)C1 ZINC001090364216 820303648 /nfs/dbraw/zinc/30/36/48/820303648.db2.gz UUYRHSFBDPRJET-QWRGUYRKSA-N 0 1 298.774 0.425 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2C)C1 ZINC001079429671 820382523 /nfs/dbraw/zinc/38/25/23/820382523.db2.gz XOCNDWAOHAYVIL-BXUZGUMPSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnnc(C)c2)C1 ZINC001079628754 820442435 /nfs/dbraw/zinc/44/24/35/820442435.db2.gz QVSPMDREZGKSOT-BXUZGUMPSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCC(CC#C)C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001118384637 820447304 /nfs/dbraw/zinc/44/73/04/820447304.db2.gz XDEFOEZEJIKFIV-GFCCVEGCSA-N 0 1 286.335 0.935 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001079699645 820456611 /nfs/dbraw/zinc/45/66/11/820456611.db2.gz UFHNCESTQRYZJX-KWCYVHTRSA-N 0 1 286.379 0.899 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3c2CCC3)C1 ZINC001079813176 820468480 /nfs/dbraw/zinc/46/84/80/820468480.db2.gz NZSORLMECSPYSU-DGCLKSJQSA-N 0 1 272.352 0.513 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)n2cnc(C)c2)C1 ZINC001079947528 820492441 /nfs/dbraw/zinc/49/24/41/820492441.db2.gz DRELLJXEMCSVQJ-TZMCWYRMSA-N 0 1 288.395 0.996 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001079973772 820495981 /nfs/dbraw/zinc/49/59/81/820495981.db2.gz MQPWHTATDSCSPA-TZMCWYRMSA-N 0 1 287.363 0.459 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001080093652 820515356 /nfs/dbraw/zinc/51/53/56/820515356.db2.gz PAGBXPASAZWUTQ-MGPQQGTHSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001080123198 820516836 /nfs/dbraw/zinc/51/68/36/820516836.db2.gz MMQBNMSULYUQCE-IUODEOHRSA-N 0 1 288.395 0.731 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001080433696 820575886 /nfs/dbraw/zinc/57/58/86/820575886.db2.gz NBUTXHOECNZEIH-GYSYKLTISA-N 0 1 265.357 0.135 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001080680938 820619708 /nfs/dbraw/zinc/61/97/08/820619708.db2.gz UQESABOJHVNLRQ-ZWNOBZJWSA-N 0 1 288.351 0.187 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCCOC2)C1 ZINC001080682885 820620854 /nfs/dbraw/zinc/62/08/54/820620854.db2.gz CGKCYPUKRWTEHM-UMVBOHGHSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001080771491 820635726 /nfs/dbraw/zinc/63/57/26/820635726.db2.gz LMHMIQADAUJGLN-TYNCELHUSA-N 0 1 286.379 0.584 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001080849326 820645731 /nfs/dbraw/zinc/64/57/31/820645731.db2.gz SZCASDFKMRETIR-DGCLKSJQSA-N 0 1 285.351 0.736 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001081005446 820666362 /nfs/dbraw/zinc/66/63/62/820666362.db2.gz KLKBTJTWTICJHA-HZSPNIEDSA-N 0 1 291.395 0.315 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cc[nH]n2)[C@H](OC)C1 ZINC001081470340 820770744 /nfs/dbraw/zinc/77/07/44/820770744.db2.gz YQQLLPYKCYEJON-CHWSQXEVSA-N 0 1 278.356 0.344 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)[C@H](OC)C1 ZINC001081809007 820843507 /nfs/dbraw/zinc/84/35/07/820843507.db2.gz IJKUBTIPUUXEOQ-DGAVXFQQSA-N 0 1 298.383 0.182 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2OCC[C@H]2CC)[C@H](OC)C1 ZINC001081813595 820844811 /nfs/dbraw/zinc/84/48/11/820844811.db2.gz XUEAPDUFQZJHFG-TUVASFSCSA-N 0 1 294.395 0.640 20 30 CCEDMN C=CCCC(=O)N1CCCC[C@H]1CNC(=O)c1ncn[nH]1 ZINC001081946798 820868379 /nfs/dbraw/zinc/86/83/79/820868379.db2.gz VDXZCQUZCJBLKZ-NSHDSACASA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCCC(=O)N1CCCC[C@H]1CNC(=O)c1nc[nH]n1 ZINC001081946798 820868389 /nfs/dbraw/zinc/86/83/89/820868389.db2.gz VDXZCQUZCJBLKZ-NSHDSACASA-N 0 1 291.355 0.882 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2c(C)cnn2C)[C@H](OC)C1 ZINC001082038594 820882347 /nfs/dbraw/zinc/88/23/47/820882347.db2.gz ONUUVTOQJXCBPE-CHWSQXEVSA-N 0 1 292.383 0.734 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)[C@H](OC)C1 ZINC001082041014 820883056 /nfs/dbraw/zinc/88/30/56/820883056.db2.gz DAVQWRNLFUJUBM-KHMAMNHCSA-N 0 1 294.395 0.660 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccn2CC)[C@H](OC)C1 ZINC001082372641 820954216 /nfs/dbraw/zinc/95/42/16/820954216.db2.gz RZTGZBSIPNSZEG-UKRRQHHQSA-N 0 1 289.379 0.960 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H](NCc2cnns2)C1 ZINC001082450573 820970970 /nfs/dbraw/zinc/97/09/70/820970970.db2.gz ACLCKMHLKVNWCL-IQJOONFLSA-N 0 1 279.369 0.634 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CCCc3c[nH]nc32)C[C@H]1NCC#N ZINC001082513121 820990269 /nfs/dbraw/zinc/99/02/69/820990269.db2.gz ZYQWKGPSWKJHBG-RAIGVLPGSA-N 0 1 287.367 0.790 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001118982216 821012991 /nfs/dbraw/zinc/01/29/91/821012991.db2.gz HJJDANCGMOEVBK-SNVBAGLBSA-N 0 1 292.339 0.353 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@]2(C)CCC(=O)N2)C[C@H]1C ZINC001082725315 821026474 /nfs/dbraw/zinc/02/64/74/821026474.db2.gz XJNBWZGZYFTNAA-UDZFHETQSA-N 0 1 299.802 0.844 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(CCF)c2)[C@@H](O)C1 ZINC001090381691 821036299 /nfs/dbraw/zinc/03/62/99/821036299.db2.gz LUZYJFLEDFVFOW-OLZOCXBDSA-N 0 1 296.346 0.204 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1nccc(F)c1C#N ZINC001165437727 821059628 /nfs/dbraw/zinc/05/96/28/821059628.db2.gz BOHAMXYDQAEJTR-SNVBAGLBSA-N 0 1 264.260 0.044 20 30 CCEDMN C=CCN1C[C@H]2OCCN(C(=O)c3cc(CC)[nH]n3)[C@H]2C1 ZINC001083007228 821102746 /nfs/dbraw/zinc/10/27/46/821102746.db2.gz PCDIVQSDNPJQMD-UONOGXRCSA-N 0 1 290.367 0.683 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc3c([nH]2)CCC3)[C@@H](O)C1 ZINC001083942564 821164180 /nfs/dbraw/zinc/16/41/80/821164180.db2.gz WQFNIMIBLOMAPU-CABCVRRESA-N 0 1 287.363 0.302 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H]2CCCOC2)[C@@H](O)C1 ZINC001084065953 821192602 /nfs/dbraw/zinc/19/26/02/821192602.db2.gz SZYKSYFORJIALE-MJBXVCDLSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]2CN(C(=O)Cc3cnc[nH]3)[C@@H]2C1 ZINC001084686832 821350566 /nfs/dbraw/zinc/35/05/66/821350566.db2.gz XXNZOVAAIBKPAT-DGCLKSJQSA-N 0 1 260.341 0.671 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CCCC(=O)N3C)[C@@H]2C1 ZINC001084803671 821396854 /nfs/dbraw/zinc/39/68/54/821396854.db2.gz KGPLKVMBMWVDGQ-MGPQQGTHSA-N 0 1 291.395 0.716 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CN(CC)CCO3)[C@@H]2C1 ZINC001084845163 821410149 /nfs/dbraw/zinc/41/01/49/821410149.db2.gz ZLFNGGICNUXEEG-KFWWJZLASA-N 0 1 293.411 0.426 20 30 CCEDMN C#CC1(O)CCN([C@@H]2C[C@H]3CCCC[C@@H]3NC2=O)CC1 ZINC000820345860 821441279 /nfs/dbraw/zinc/44/12/79/821441279.db2.gz OWZQGJGHBDUMNO-HZSPNIEDSA-N 0 1 276.380 0.894 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCc1cc(C#N)ccc1F ZINC000822304773 821478353 /nfs/dbraw/zinc/47/83/53/821478353.db2.gz ZKLATXADFQFUHH-IUODEOHRSA-N 0 1 291.326 0.769 20 30 CCEDMN C[C@@]1(NC(=O)c2ncn[nH]2)CCN(c2ccc(C#N)nc2)C1 ZINC001065273148 821553301 /nfs/dbraw/zinc/55/33/01/821553301.db2.gz KCUVJCDZGWTEKH-CQSZACIVSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@@]1(NC(=O)c2nc[nH]n2)CCN(c2ccc(C#N)nc2)C1 ZINC001065273148 821553303 /nfs/dbraw/zinc/55/33/03/821553303.db2.gz KCUVJCDZGWTEKH-CQSZACIVSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@@]1(NC(=O)c2cnn[nH]2)CCN(c2ccncc2C#N)C1 ZINC001065359167 821558068 /nfs/dbraw/zinc/55/80/68/821558068.db2.gz RCPRJUDASBSOJH-CQSZACIVSA-N 0 1 297.322 0.470 20 30 CCEDMN C#CCN1CC[C@]2(CC[N@H+](Cc3cnc(N)nc3)C2)C1=O ZINC001273304809 821590384 /nfs/dbraw/zinc/59/03/84/821590384.db2.gz RVKKKVIXJCAUSG-HNNXBMFYSA-N 0 1 285.351 0.116 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnccn1 ZINC001085465349 821718720 /nfs/dbraw/zinc/71/87/20/821718720.db2.gz ZYVIWPWKSJMUCT-GFCCVEGCSA-N 0 1 258.325 0.646 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCC(=O)N1 ZINC001085519591 821764689 /nfs/dbraw/zinc/76/46/89/821764689.db2.gz SBVSFOVOHZGFIC-VXGBXAGGSA-N 0 1 265.357 0.374 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CN(C)CCO1 ZINC001085531059 821772947 /nfs/dbraw/zinc/77/29/47/821772947.db2.gz LSHUAIKQIADBKF-KGLIPLIRSA-N 0 1 281.400 0.426 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cccc(=O)[nH]1 ZINC001085532672 821775162 /nfs/dbraw/zinc/77/51/62/821775162.db2.gz RWCBPMHNUKAESZ-GFCCVEGCSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cncnc1 ZINC001085560495 821789401 /nfs/dbraw/zinc/78/94/01/821789401.db2.gz NXPIPOVOEXKBHT-ZDUSSCGKSA-N 0 1 258.325 0.646 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(C)nn1 ZINC001085589116 821839297 /nfs/dbraw/zinc/83/92/97/821839297.db2.gz DAVIVZGXPKZZEE-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1cccn2nnnc12 ZINC001085616464 821853829 /nfs/dbraw/zinc/85/38/29/821853829.db2.gz NHYQIUQASXMAHB-GFCCVEGCSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cccn2nnnc12 ZINC001085616464 821853843 /nfs/dbraw/zinc/85/38/43/821853843.db2.gz NHYQIUQASXMAHB-GFCCVEGCSA-N 0 1 298.350 0.294 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001085627848 821857892 /nfs/dbraw/zinc/85/78/92/821857892.db2.gz NCKBEOALCCJMOI-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C[C@H]1CCCN1CC(=O)NC[C@@]1(C)CN(CC#N)CCO1 ZINC001108214035 821983300 /nfs/dbraw/zinc/98/33/00/821983300.db2.gz XFBJQAMPDJDUGV-ZFWWWQNUSA-N 0 1 294.399 0.201 20 30 CCEDMN C=CCn1cc(C(=O)N(C)C[C@@H]2CCN2CCCF)nn1 ZINC001085862023 821985802 /nfs/dbraw/zinc/98/58/02/821985802.db2.gz NTARMRCIOQQXNR-LBPRGKRZSA-N 0 1 295.362 0.970 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001085948486 822032299 /nfs/dbraw/zinc/03/22/99/822032299.db2.gz KAEXHJXAPKZVJP-CABCVRRESA-N 0 1 291.395 0.411 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2cc(C)c(C#N)cn2)CC1 ZINC001155154703 822067564 /nfs/dbraw/zinc/06/75/64/822067564.db2.gz YQIAICPVZYWBHO-AWEZNQCLSA-N 0 1 288.351 0.978 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ncccn2)[C@@H](O)C1 ZINC001100041234 822146894 /nfs/dbraw/zinc/14/68/94/822146894.db2.gz GIYQJQDXGABQJO-OLZOCXBDSA-N 0 1 290.367 0.147 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCC(=O)NCC)[C@H](O)C1 ZINC001100079430 822247452 /nfs/dbraw/zinc/24/74/52/822247452.db2.gz ZJHASXNDLLPIJY-QWHCGFSZSA-N 0 1 297.399 0.030 20 30 CCEDMN CCc1nnc(CNCCCNC(=O)[C@H](C)C#N)n1C ZINC001155828424 822254768 /nfs/dbraw/zinc/25/47/68/822254768.db2.gz MUEALUFDNVOMAQ-SNVBAGLBSA-N 0 1 278.360 0.133 20 30 CCEDMN CN(CCNC(=O)CN1CCCC1)c1ccncc1C#N ZINC001100115328 822318838 /nfs/dbraw/zinc/31/88/38/822318838.db2.gz KHHZEIPQNOKAGG-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H](C)CNc2cnc(C#N)cn2)[nH]n1 ZINC001108304101 822348896 /nfs/dbraw/zinc/34/88/96/822348896.db2.gz KNOIHDLFRMYFOA-JTQLQIEISA-N 0 1 299.338 0.539 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)OCC1CC1 ZINC001113990884 837398409 /nfs/dbraw/zinc/39/84/09/837398409.db2.gz XIIRMEIFZMDPPM-SPWCGHHHSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)OCCC=C ZINC001114003070 837401257 /nfs/dbraw/zinc/40/12/57/837401257.db2.gz SLOKALWKPWQTFU-MQYQWHSLSA-N 0 1 262.353 0.647 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1[C@H]2CN(C[C@H](F)CC)C[C@H]21 ZINC001114047370 837415224 /nfs/dbraw/zinc/41/52/24/837415224.db2.gz FBDZJIXWWBOXLC-NMKXLXIOSA-N 0 1 270.348 0.984 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1=CCCC1 ZINC001114130899 837446585 /nfs/dbraw/zinc/44/65/85/837446585.db2.gz SXZWQPKVAZOLLN-FICVDOATSA-N 0 1 274.364 0.793 20 30 CCEDMN COCC#CCN1C[C@@H]2C(NC(=O)/C=C\C3CC3)[C@@H]2C1 ZINC001114117269 837443447 /nfs/dbraw/zinc/44/34/47/837443447.db2.gz OGPOVRQFAMPLGS-BITYLVBGSA-N 0 1 274.364 0.649 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1[C@H]2CN(CC#CC)C[C@H]21 ZINC001114193561 837469097 /nfs/dbraw/zinc/46/90/97/837469097.db2.gz CJAHJIQEIPDWKO-PAPYEOQZSA-N 0 1 262.353 0.383 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)[C@@H](C)OC)C1 ZINC001130352735 837775411 /nfs/dbraw/zinc/77/54/11/837775411.db2.gz YCQSZGAVPYWVOZ-NEPJUHHUSA-N 0 1 290.367 0.483 20 30 CCEDMN CC#CCN1CCO[C@](C)(CNC(=O)CN2CCCC2)C1 ZINC001108398366 835998814 /nfs/dbraw/zinc/99/88/14/835998814.db2.gz GYBWQSDNDSVJKQ-MRXNPFEDSA-N 0 1 293.411 0.313 20 30 CCEDMN C#CCCN1CCO[C@@](C)(CNC(=O)CN2CCCC2)C1 ZINC001108399276 836003403 /nfs/dbraw/zinc/00/34/03/836003403.db2.gz NCSDVIZBOUJVRU-INIZCTEOSA-N 0 1 293.411 0.313 20 30 CCEDMN COc1cc(CCC#N)c(-n2nnnc2CN)cc1OC ZINC001168920391 836053782 /nfs/dbraw/zinc/05/37/82/836053782.db2.gz PDCNVZHTSNKMCW-UHFFFAOYSA-N 0 1 288.311 0.594 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)CCC)C2 ZINC001109016531 836598439 /nfs/dbraw/zinc/59/84/39/836598439.db2.gz SYSARKQIVSZXIY-AGIUHOORSA-N 0 1 277.368 0.257 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1ccnn1)C2 ZINC001109198639 836631442 /nfs/dbraw/zinc/63/14/42/836631442.db2.gz CDYOTDODVXSQGX-AGIUHOORSA-N 0 1 273.340 0.023 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001109266118 836650523 /nfs/dbraw/zinc/65/05/23/836650523.db2.gz NCJWJRCVGJXVAA-CRWXNKLISA-N 0 1 262.353 0.932 20 30 CCEDMN N#Cc1cccnc1NC[C@H](NC(=O)c1ncn[nH]1)C1CC1 ZINC001109884600 836742840 /nfs/dbraw/zinc/74/28/40/836742840.db2.gz CJHFWDCZPXFZBB-NSHDSACASA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cccnc1NC[C@H](NC(=O)c1nc[nH]n1)C1CC1 ZINC001109884600 836742845 /nfs/dbraw/zinc/74/28/45/836742845.db2.gz CJHFWDCZPXFZBB-NSHDSACASA-N 0 1 297.322 0.692 20 30 CCEDMN COC(OC)c1c(C#N)ccnc1NC[C@@H]1COCCN1 ZINC001170036264 836755010 /nfs/dbraw/zinc/75/50/10/836755010.db2.gz WVIAEZPULGNCST-LLVKDONJSA-N 0 1 292.339 0.645 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC[C@H]1C[C@H](NCC#N)C1 ZINC001086535073 836768965 /nfs/dbraw/zinc/76/89/65/836768965.db2.gz MNZFOJASBPILIM-HAQNSBGRSA-N 0 1 287.367 0.769 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CCC(=O)N2)CC1 ZINC001112696393 836872098 /nfs/dbraw/zinc/87/20/98/836872098.db2.gz ZKGRLDIQFNBZMF-GFCCVEGCSA-N 0 1 265.357 0.375 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CC(=O)NC)CC1 ZINC001112793759 836915420 /nfs/dbraw/zinc/91/54/20/836915420.db2.gz HLQJBYPMPUCIGQ-UHFFFAOYSA-N 0 1 253.346 0.233 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(CC[C@H]2CCOC2)CC1 ZINC001112814420 836925010 /nfs/dbraw/zinc/92/50/10/836925010.db2.gz PMUHGJXNYYNOJG-HOCLYGCPSA-N 0 1 296.411 0.884 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CCNC(=O)NC)CC1 ZINC001112824881 836929552 /nfs/dbraw/zinc/92/95/52/836929552.db2.gz JLEXKCNXEUKIKY-UHFFFAOYSA-N 0 1 282.388 0.416 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2COCCN2CC)CC1 ZINC001113050622 837011544 /nfs/dbraw/zinc/01/15/44/837011544.db2.gz QBYKTGOMYDBOKX-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN C[C@@H](NC(=O)Cc1nnc[nH]1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113150114 837035562 /nfs/dbraw/zinc/03/55/62/837035562.db2.gz HKILPPQLRDJXMT-VHSXEESVSA-N 0 1 299.338 0.619 20 30 CCEDMN C#CCCCS(=O)(=O)N1C[C@@H](SC)[C@@H](N(C)C)C1 ZINC000805050018 837044338 /nfs/dbraw/zinc/04/43/38/837044338.db2.gz RFPIYIQIJJMSSD-NWDGAFQWSA-N 0 1 290.454 0.707 20 30 CCEDMN C[C@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1ccc(C#N)nc1 ZINC001113356266 837094839 /nfs/dbraw/zinc/09/48/39/837094839.db2.gz DJUXLTAJBLQLBY-IUCAKERBSA-N 0 1 285.311 0.690 20 30 CCEDMN C=CCCN1CCN(C(=O)COCCCOC)CC1 ZINC001113509811 837138923 /nfs/dbraw/zinc/13/89/23/837138923.db2.gz LPDOIGNUPSTGNK-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)N(C)c1ncnc2[nH]cnc21 ZINC001113585568 837168168 /nfs/dbraw/zinc/16/81/68/837168168.db2.gz HIOKBKSLCKVXGK-SNVBAGLBSA-N 0 1 298.350 0.707 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](CCCC)NC(N)=O)CC1 ZINC001113722001 837205407 /nfs/dbraw/zinc/20/54/07/837205407.db2.gz HZBZRRIDRPXVBE-CYBMUJFWSA-N 0 1 296.415 0.934 20 30 CCEDMN CCOC(=O)[C@@H](C#N)Nc1cnccc1N1CCOCC1 ZINC001170368031 837313889 /nfs/dbraw/zinc/31/38/89/837313889.db2.gz CHXJWBBRPBWIOT-LLVKDONJSA-N 0 1 290.323 0.785 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)N(C)c1ccc(C#N)cn1 ZINC001113898287 837357613 /nfs/dbraw/zinc/35/76/13/837357613.db2.gz PTMMHMFYJPFWCB-NSHDSACASA-N 0 1 298.350 0.860 20 30 CCEDMN CCOC(=O)c1nc2ccc(N[C@@H](C#N)C(N)=O)cc2[nH]1 ZINC001170535888 837982504 /nfs/dbraw/zinc/98/25/04/837982504.db2.gz KNZISIULIBVAGR-JTQLQIEISA-N 0 1 287.279 0.529 20 30 CCEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)NCCNCC#N ZINC001131422244 838088396 /nfs/dbraw/zinc/08/83/96/838088396.db2.gz SCNRWFOPVFBCEF-UHFFFAOYSA-N 0 1 275.234 0.580 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)NCCNCC#N ZINC001131513962 838122908 /nfs/dbraw/zinc/12/29/08/838122908.db2.gz OPNCWHJLWKJJIZ-SKDRFNHKSA-N 0 1 261.329 0.305 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)CCOC)C[C@@H](C)O2 ZINC001131621600 838153874 /nfs/dbraw/zinc/15/38/74/838153874.db2.gz ZKJONCQZDNUZBL-UKRRQHHQSA-N 0 1 280.368 0.348 20 30 CCEDMN CC#CCN1CC[C@@]2(C1)CN(C(=O)COCC)C[C@H](C)O2 ZINC001131639742 838163930 /nfs/dbraw/zinc/16/39/30/838163930.db2.gz WQMUMSUVBNERBH-GOEBONIOSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)CCOCC)C[C@@H](C)O2 ZINC001131652593 838167080 /nfs/dbraw/zinc/16/70/80/838167080.db2.gz KZUNMZDZXNIILE-GDBMZVCRSA-N 0 1 294.395 0.738 20 30 CCEDMN COCCN1C[C@H](NC(=O)CSCC#N)CC[C@@H]1C ZINC001131830310 838242688 /nfs/dbraw/zinc/24/26/88/838242688.db2.gz PTCYYKDUJIMWBB-NWDGAFQWSA-N 0 1 285.413 0.859 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CNC(=O)C2CC2)CC[C@H]1C ZINC001131850212 838247787 /nfs/dbraw/zinc/24/77/87/838247787.db2.gz XWGSKPRZEPGSSE-OCCSQVGLSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](OC)[C@H]1CCOC1 ZINC001131882085 838260259 /nfs/dbraw/zinc/26/02/59/838260259.db2.gz XIJOCYHTERNWOO-QWRGUYRKSA-N 0 1 276.764 0.496 20 30 CCEDMN C=CCN1C[C@H](NC(=O)COCCOC)CC[C@H]1C ZINC001131909483 838263669 /nfs/dbraw/zinc/26/36/69/838263669.db2.gz YMDIAKSRKMJXGC-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CNC(=O)CC)CC[C@@H]1C ZINC001131907205 838264760 /nfs/dbraw/zinc/26/47/60/838264760.db2.gz ABEHYZDZQXKBBJ-NWDGAFQWSA-N 0 1 265.357 0.115 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CC(=O)NC)CC[C@H]1C ZINC001132010598 838297791 /nfs/dbraw/zinc/29/77/91/838297791.db2.gz UOVGLYZBSPLXRV-VXGBXAGGSA-N 0 1 265.357 0.115 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCNCc1cnnn1C ZINC001132302373 838355350 /nfs/dbraw/zinc/35/53/50/838355350.db2.gz QQYSPVPXVUURHW-UHFFFAOYSA-N 0 1 265.361 0.623 20 30 CCEDMN C[C@@H]1CC[C@H](NC(=O)CCc2c[nH]nn2)CN1CC#N ZINC001132304361 838358203 /nfs/dbraw/zinc/35/82/03/838358203.db2.gz HAOGWNAYROAKSL-PWSUYJOCSA-N 0 1 276.344 0.230 20 30 CCEDMN C[C@@H]1CC[C@H](NC(=O)CCc2cnn[nH]2)CN1CC#N ZINC001132304361 838358210 /nfs/dbraw/zinc/35/82/10/838358210.db2.gz HAOGWNAYROAKSL-PWSUYJOCSA-N 0 1 276.344 0.230 20 30 CCEDMN CCNC(=O)C[N@@H+](C)CCCN(C)C(=O)[C@H](C)C#N ZINC001273495683 844321999 /nfs/dbraw/zinc/32/19/99/844321999.db2.gz HCEMGKMFLVBOSL-LLVKDONJSA-N 0 1 268.361 0.062 20 30 CCEDMN CCNC(=O)CN(C)CCCN(C)C(=O)[C@H](C)C#N ZINC001273495683 844322008 /nfs/dbraw/zinc/32/20/08/844322008.db2.gz HCEMGKMFLVBOSL-LLVKDONJSA-N 0 1 268.361 0.062 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COCCCOC)CC[C@@H]1C ZINC001132410902 838391506 /nfs/dbraw/zinc/39/15/06/838391506.db2.gz PSYXNZDEBOQLGK-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCC(=O)NCC)CC[C@H]1C ZINC001132432550 838394227 /nfs/dbraw/zinc/39/42/27/838394227.db2.gz DBSISUDKKHQSPT-KGLIPLIRSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2cn(C)nn2)CC[C@@H]1C ZINC001132545838 838427991 /nfs/dbraw/zinc/42/79/91/838427991.db2.gz FMJRMTPUDRQLRA-STQMWFEESA-N 0 1 289.383 0.350 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001132715522 838475471 /nfs/dbraw/zinc/47/54/71/838475471.db2.gz RWOOYDWTKKFCIO-GFCCVEGCSA-N 0 1 273.764 0.361 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](C)[C@@H](CNCC#N)C1 ZINC001133034533 838537818 /nfs/dbraw/zinc/53/78/18/838537818.db2.gz FDDCXUIEXDDIOR-ONGXEEELSA-N 0 1 276.344 0.325 20 30 CCEDMN C#Cc1cncc(C(=O)NCCNCc2cnnn2CC)c1 ZINC001133593083 838677262 /nfs/dbraw/zinc/67/72/62/838677262.db2.gz NMSPQAZJHFIVCK-UHFFFAOYSA-N 0 1 298.350 0.194 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)[C@H](O)C1 ZINC001090509683 838716224 /nfs/dbraw/zinc/71/62/24/838716224.db2.gz HACMTOKXASMEKA-GZBLMMOJSA-N 0 1 276.380 0.607 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H](C)C[C@H](C)NCC#N)[nH]n1 ZINC001134055384 838839191 /nfs/dbraw/zinc/83/91/91/838839191.db2.gz IHJXTWVXPLGCBW-UWVGGRQHSA-N 0 1 263.345 0.657 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1ccccn1 ZINC001134058328 838847824 /nfs/dbraw/zinc/84/78/24/838847824.db2.gz JHKFUBUWHWVIGK-KGLIPLIRSA-N 0 1 275.352 0.879 20 30 CCEDMN CCOC(=O)c1c[nH]c(NC(=O)C#CCOC)n1 ZINC001187122428 844654397 /nfs/dbraw/zinc/65/43/97/844654397.db2.gz RPRPPCAKJLLIKN-UHFFFAOYSA-N 0 1 251.242 0.175 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)CCCCc1cn[nH]n1)NCC#N ZINC001135077491 839156090 /nfs/dbraw/zinc/15/60/90/839156090.db2.gz PEOBBVSTZSFQAQ-NEPJUHHUSA-N 0 1 292.387 0.914 20 30 CCEDMN O=C(NCCNCC#Cc1ccc(F)cc1)c1ccncn1 ZINC001135542707 839282802 /nfs/dbraw/zinc/28/28/02/839282802.db2.gz PZCJBCCZFIXTLY-UHFFFAOYSA-N 0 1 298.321 0.987 20 30 CCEDMN N#CCNC(=O)CNC[C@@H](O)c1cccc(F)c1 ZINC000819311126 839562899 /nfs/dbraw/zinc/56/28/99/839562899.db2.gz KWKAQOZIYUOGBO-LLVKDONJSA-N 0 1 251.261 0.088 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2conc2C)[C@H](O)C1 ZINC001090536105 839623688 /nfs/dbraw/zinc/62/36/88/839623688.db2.gz AGCJWKNJHNJJOZ-NWDGAFQWSA-N 0 1 265.313 0.334 20 30 CCEDMN CC(C)C[C@H](C#N)NC(=O)CCCc1nn[nH]n1 ZINC001136527824 839651012 /nfs/dbraw/zinc/65/10/12/839651012.db2.gz KJWLIOBJLOCGAE-SECBINFHSA-N 0 1 250.306 0.577 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccco2)[C@H](O)C1 ZINC001090659546 839709812 /nfs/dbraw/zinc/70/98/12/839709812.db2.gz HVQIDWFJGDJAPQ-CHWSQXEVSA-N 0 1 264.325 0.560 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn(CC)c2C)[C@@H](O)C1 ZINC001090667784 839717041 /nfs/dbraw/zinc/71/70/41/839717041.db2.gz OBBLGJBYGQBUQE-KBPBESRZSA-N 0 1 292.383 0.562 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2oc(CC)nc2C)[C@H](O)C1 ZINC001090706584 839747283 /nfs/dbraw/zinc/74/72/83/839747283.db2.gz VBMVWOPGWHPVJS-NWDGAFQWSA-N 0 1 293.367 0.896 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(CC=C)C[C@@H]2O)cn1 ZINC001090738000 839766126 /nfs/dbraw/zinc/76/61/26/839766126.db2.gz VMDMUQCCDIUFPW-GJZGRUSLSA-N 0 1 285.347 0.414 20 30 CCEDMN C#C[C@H](C)NC(=O)[C@@H]1[C@@H](O)CCN1Cc1ccccc1 ZINC001143673759 839868939 /nfs/dbraw/zinc/86/89/39/839868939.db2.gz ADEOLLIBAPDIGM-QEJZJMRPSA-N 0 1 272.348 0.760 20 30 CCEDMN N#Cc1ccc2c(c1)CCN(C(=O)CN1CC[C@@H](O)C1)C2 ZINC001186036323 844489448 /nfs/dbraw/zinc/48/94/48/844489448.db2.gz VQPDDOZSKKYDON-OAHLLOKOSA-N 0 1 285.347 0.510 20 30 CCEDMN N=C(Nc1cnc(CN)nc1)c1ccc(C(N)=O)cc1 ZINC001171257217 840219244 /nfs/dbraw/zinc/21/92/44/840219244.db2.gz UQUPZIJSZPOSHQ-UHFFFAOYSA-N 0 1 270.296 0.071 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H]2CN(CC(=O)N(C)C)C[C@@]2(C)C1 ZINC001091543921 840261276 /nfs/dbraw/zinc/26/12/76/840261276.db2.gz QKBPQOYYNIKMIZ-ZOWXZIJZSA-N 0 1 292.383 0.015 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)NCCNCC#N)c2C1 ZINC001146262173 840282822 /nfs/dbraw/zinc/28/28/22/840282822.db2.gz KEAWCGPJVHGIIZ-SECBINFHSA-N 0 1 261.329 0.377 20 30 CCEDMN CC[C@H](OC)C(=O)N1CC2(C1)CCN(CC#CCOC)C2 ZINC001147116635 840493000 /nfs/dbraw/zinc/49/30/00/840493000.db2.gz AGOJNUGETHEKSC-AWEZNQCLSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN(CC(=O)N1CCC1)C2 ZINC001147158777 840507049 /nfs/dbraw/zinc/50/70/49/840507049.db2.gz HBRCETHVGGVCDE-UHFFFAOYSA-N 0 1 291.395 0.719 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)COC)C2)C1 ZINC001147206269 840519625 /nfs/dbraw/zinc/51/96/25/840519625.db2.gz MYLNJWWJQHNXCS-GFCCVEGCSA-N 0 1 250.342 0.436 20 30 CCEDMN C#CCCCC(=O)N1CC2(C1)CCN(CC#CCOC)C2 ZINC001147428307 840580817 /nfs/dbraw/zinc/58/08/17/840580817.db2.gz PJDZSAWBWLLKAK-UHFFFAOYSA-N 0 1 288.391 0.974 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3(COCC)CC3)C2)C1 ZINC001147799604 840689998 /nfs/dbraw/zinc/68/99/98/840689998.db2.gz PHHGDPSVMUIORX-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCCC(=O)N(C)C)C2)C1 ZINC001148625859 840870458 /nfs/dbraw/zinc/87/04/58/840870458.db2.gz NDCVZVNLDGCYGR-UHFFFAOYSA-N 0 1 291.395 0.412 20 30 CCEDMN C=CCCNC(=S)N1CC(N(C)[C@H]2CCOC2)C1 ZINC001247627346 841133760 /nfs/dbraw/zinc/13/37/60/841133760.db2.gz HRRFAWUEAHYWRO-NSHDSACASA-N 0 1 269.414 0.842 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(n2cc(CNCC)nn2)C1 ZINC001093327969 841208144 /nfs/dbraw/zinc/20/81/44/841208144.db2.gz KTJCEIIUMPPYCG-UHFFFAOYSA-N 0 1 277.372 0.983 20 30 CCEDMN COCC[N@H+]1C[C@@H]2CCN(C(=O)CSCC#N)[C@@H]2C1 ZINC001186917722 844621712 /nfs/dbraw/zinc/62/17/12/844621712.db2.gz XTLVYAQNRXLGJN-NWDGAFQWSA-N 0 1 283.397 0.422 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCCCC(N)=O)C1 ZINC001186919066 844622203 /nfs/dbraw/zinc/62/22/03/844622203.db2.gz NVJOVADFIUGORT-LLVKDONJSA-N 0 1 287.791 0.975 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H]3[C@@H](CCN3CC(N)=O)C2)C1 ZINC001036815233 841250643 /nfs/dbraw/zinc/25/06/43/841250643.db2.gz ASHMXUJLLWHUOQ-QWHCGFSZSA-N 0 1 291.395 0.751 20 30 CCEDMN CC(C)(C)C#CC(=O)NCCNc1ncnc2[nH]cnc21 ZINC001093612141 841380755 /nfs/dbraw/zinc/38/07/55/841380755.db2.gz VYTPEOXWTHQPMY-UHFFFAOYSA-N 0 1 286.339 0.882 20 30 CCEDMN N#Cc1c(Cl)nsc1NCCNC(=O)c1cnn[nH]1 ZINC001094243609 841568761 /nfs/dbraw/zinc/56/87/61/841568761.db2.gz VVSSBWXIWKUUOZ-UHFFFAOYSA-N 0 1 297.731 0.628 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNc1ncnc2[nH]cnc21 ZINC001094341892 841610201 /nfs/dbraw/zinc/61/02/01/841610201.db2.gz IKWXIPMCNPMZMU-MRVPVSSYSA-N 0 1 273.300 0.382 20 30 CCEDMN N#Cc1cnc(NCCCNC(=O)c2ncn[nH]2)c(F)c1 ZINC001094533060 841658971 /nfs/dbraw/zinc/65/89/71/841658971.db2.gz FLQDAFCFKXKTFK-UHFFFAOYSA-N 0 1 289.274 0.442 20 30 CCEDMN N#Cc1cnc(NCCCNC(=O)c2nc[nH]n2)c(F)c1 ZINC001094533060 841658976 /nfs/dbraw/zinc/65/89/76/841658976.db2.gz FLQDAFCFKXKTFK-UHFFFAOYSA-N 0 1 289.274 0.442 20 30 CCEDMN Cc1cc(NCCCNC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001094533073 841659754 /nfs/dbraw/zinc/65/97/54/841659754.db2.gz GCVJLPIJNBMZGV-UHFFFAOYSA-N 0 1 285.311 0.034 20 30 CCEDMN Cc1cc(NCCCNC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001094533073 841659759 /nfs/dbraw/zinc/65/97/59/841659759.db2.gz GCVJLPIJNBMZGV-UHFFFAOYSA-N 0 1 285.311 0.034 20 30 CCEDMN N#CCC1CCC(N2CCO[C@H](C(N)=O)C2)CC1 ZINC001173337499 842046797 /nfs/dbraw/zinc/04/67/97/842046797.db2.gz MGYBILIELYJYDH-MCIGGMRASA-N 0 1 251.330 0.645 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2cnoc2)C1 ZINC001150171514 842062848 /nfs/dbraw/zinc/06/28/48/842062848.db2.gz KIAAEBYWLMRWQH-CYBMUJFWSA-N 0 1 279.340 0.610 20 30 CCEDMN CN1CCC(C#N)(N[C@@H]2CCC[C@H](n3ncnn3)C2)CC1 ZINC001173744138 842140344 /nfs/dbraw/zinc/14/03/44/842140344.db2.gz JOFKCYXQBBKUMW-OLZOCXBDSA-N 0 1 289.387 0.734 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001150356477 842178864 /nfs/dbraw/zinc/17/88/64/842178864.db2.gz DXKIAWVFEWRHRD-ZIAGYGMSSA-N 0 1 297.399 0.294 20 30 CCEDMN CN1CCC=C(Nc2nc(C#N)c(C#N)nc2N)C1 ZINC001175574798 842262862 /nfs/dbraw/zinc/26/28/62/842262862.db2.gz DGNUYULFXDUZOJ-UHFFFAOYSA-N 0 1 255.285 0.433 20 30 CCEDMN N#Cc1c[nH]c2cc(NC(=O)Cc3nn[nH]n3)ccc12 ZINC001176845348 842445125 /nfs/dbraw/zinc/44/51/25/842445125.db2.gz SINWXEHIAMWIRX-UHFFFAOYSA-N 0 1 267.252 0.734 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)C[N@H+](C)CCC)C[C@H]21 ZINC001177139516 842510129 /nfs/dbraw/zinc/51/01/29/842510129.db2.gz RLYAIIQWTWQERQ-HUUCEWRRSA-N 0 1 295.427 0.816 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)C[N@H+](C)CCC)C[C@@H]21 ZINC001177139517 842511192 /nfs/dbraw/zinc/51/11/92/842511192.db2.gz RLYAIIQWTWQERQ-LSDHHAIUSA-N 0 1 295.427 0.816 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1cnon1 ZINC001177260081 842537681 /nfs/dbraw/zinc/53/76/81/842537681.db2.gz DFTAVOVZPLOKQR-UHFFFAOYSA-N 0 1 268.317 0.258 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1ncccn1 ZINC001177269079 842541365 /nfs/dbraw/zinc/54/13/65/842541365.db2.gz DINHVJNLWHAOBW-LBPRGKRZSA-N 0 1 278.356 0.664 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@H]1COC(=O)C1 ZINC001177916193 842707051 /nfs/dbraw/zinc/70/70/51/842707051.db2.gz SSVVJQVFJSVISI-RKDXNWHRSA-N 0 1 264.306 0.862 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCCCCNCc1cnn(C)n1 ZINC001178050694 842736043 /nfs/dbraw/zinc/73/60/43/842736043.db2.gz ZUNUCNYFTDFVAU-GFCCVEGCSA-N 0 1 292.387 0.741 20 30 CCEDMN C#CC[NH2+]CCCCCCNC(=O)c1[n-]nnc1C ZINC001179199108 842972453 /nfs/dbraw/zinc/97/24/53/842972453.db2.gz YTQJKHLDHSJCMY-UHFFFAOYSA-N 0 1 263.345 0.626 20 30 CCEDMN CN(CC(=O)n1nc(N)c(C#N)c1N)C1CCCC1 ZINC001180626190 843216297 /nfs/dbraw/zinc/21/62/97/843216297.db2.gz HTUVOWMKOJMCCN-UHFFFAOYSA-N 0 1 262.317 0.434 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CCCN2C)C[C@@]1(C)CNCC#N ZINC001180899220 843344707 /nfs/dbraw/zinc/34/47/07/843344707.db2.gz JSYCOEPYJJDHMT-VNHYZAJKSA-N 0 1 278.400 0.678 20 30 CCEDMN Cc1ncoc1CN[C@@H]1CCN(C(=O)CSCC#N)C1 ZINC001181106709 843401601 /nfs/dbraw/zinc/40/16/01/843401601.db2.gz LAPQDERCMXNCLF-LLVKDONJSA-N 0 1 294.380 0.930 20 30 CCEDMN Cn1nncc1CN[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001182423006 843808706 /nfs/dbraw/zinc/80/87/06/843808706.db2.gz MDFUQHCARYDMJX-GFCCVEGCSA-N 0 1 289.383 0.555 20 30 CCEDMN C=CCOC(=O)N[C@H]1CCCN(C(=O)C2(N(C)C)CC2)C1 ZINC001182597156 843860786 /nfs/dbraw/zinc/86/07/86/843860786.db2.gz LETZXKRXHRQXKQ-LBPRGKRZSA-N 0 1 295.383 0.984 20 30 CCEDMN C#C[C@H](CO)NCc1ccc(N(C)CCOC)nc1 ZINC001183104032 843966515 /nfs/dbraw/zinc/96/65/15/843966515.db2.gz RLROGRISJPJTJE-CYBMUJFWSA-N 0 1 263.341 0.248 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CO[C@@H](C)CC)[C@@H]2C1 ZINC001187547721 844736113 /nfs/dbraw/zinc/73/61/13/844736113.db2.gz ZTUVFLIGUYCWJJ-MELADBBJSA-N 0 1 264.369 0.967 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C(C)(C)F)C1 ZINC001188616230 844920312 /nfs/dbraw/zinc/92/03/12/844920312.db2.gz BRPQGULOQHJLNG-GFCCVEGCSA-N 0 1 270.348 0.917 20 30 CCEDMN N#CCCN1CCN(C(=O)c2ccncc2O)CC1 ZINC001188629222 844940140 /nfs/dbraw/zinc/94/01/40/844940140.db2.gz DLCYTGBVANTXGD-UHFFFAOYSA-N 0 1 260.297 0.459 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cc(-c3ccncc3)[nH]n2)CCO1 ZINC001189322811 845107506 /nfs/dbraw/zinc/10/75/06/845107506.db2.gz GJKBUJWCKQIONI-LLVKDONJSA-N 0 1 283.291 0.836 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCOC2)C1 ZINC001189490629 845146746 /nfs/dbraw/zinc/14/67/46/845146746.db2.gz XAIBMBMNQFTDAP-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2nnn(C)c2C)C1 ZINC001189500633 845150399 /nfs/dbraw/zinc/15/03/99/845150399.db2.gz WYVMVOSAMOVOGH-LBPRGKRZSA-N 0 1 275.356 0.293 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2COC(=O)C2)C1 ZINC001189641361 845176882 /nfs/dbraw/zinc/17/68/82/845176882.db2.gz GXTKVLQEDRVPRZ-STQMWFEESA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@H]2CCCNC2=O)C1 ZINC001189909122 845263560 /nfs/dbraw/zinc/26/35/60/845263560.db2.gz BBLNKXYZURVWIX-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C)nn2)C1 ZINC001190066386 845308872 /nfs/dbraw/zinc/30/88/72/845308872.db2.gz GKUCJQDJXYIDIX-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN C[C@H](C(N)=O)N(C)[C@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001190075633 845326921 /nfs/dbraw/zinc/32/69/21/845326921.db2.gz AODPTZHNCNVDSB-NEPJUHHUSA-N 0 1 279.384 0.442 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](OCC)C2CC2)C1 ZINC001190203515 845365966 /nfs/dbraw/zinc/36/59/66/845365966.db2.gz KMSWRZBYCLYESP-UONOGXRCSA-N 0 1 264.369 0.967 20 30 CCEDMN C=C[C@@H](CO)NS(=O)(=O)c1ncc(F)cc1F ZINC001190207804 845367235 /nfs/dbraw/zinc/36/72/35/845367235.db2.gz XMJJKABDBUSXKK-ZETCQYMHSA-N 0 1 264.253 0.185 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cccn3nnnc23)C1 ZINC001190354293 845392297 /nfs/dbraw/zinc/39/22/97/845392297.db2.gz HUVKTALONLJRMB-GFCCVEGCSA-N 0 1 298.350 0.294 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001190734743 845480647 /nfs/dbraw/zinc/48/06/47/845480647.db2.gz RLYDZJNCABYTSV-MJBXVCDLSA-N 0 1 280.368 0.346 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001190829495 845513009 /nfs/dbraw/zinc/51/30/09/845513009.db2.gz NZQCZNHXYSLSMQ-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2ccn3cncc3c2)c1N ZINC001151897193 845535838 /nfs/dbraw/zinc/53/58/38/845535838.db2.gz OSJKJIVMZPJDMA-UHFFFAOYSA-N 0 1 267.252 0.255 20 30 CCEDMN C=CCOCC[N@H+](C)[C@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC001191120971 845586323 /nfs/dbraw/zinc/58/63/23/845586323.db2.gz WPZYFAZEOXUOLF-NSHDSACASA-N 0 1 279.344 0.154 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCN(C(=O)CCOC[C@@H]2CCCO2)C1 ZINC001191121169 845586622 /nfs/dbraw/zinc/58/66/22/845586622.db2.gz YYMZRDHRXSCVBJ-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCOC[C@@H]2CCCO2)C1 ZINC001191121169 845586626 /nfs/dbraw/zinc/58/66/26/845586626.db2.gz YYMZRDHRXSCVBJ-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)CCOCC(C)C)C1 ZINC001191256432 845614637 /nfs/dbraw/zinc/61/46/37/845614637.db2.gz VCALXIAVKIFGSD-ZIAGYGMSSA-N 0 1 282.384 0.234 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCOCC(C)C)C1 ZINC001191256432 845614644 /nfs/dbraw/zinc/61/46/44/845614644.db2.gz VCALXIAVKIFGSD-ZIAGYGMSSA-N 0 1 282.384 0.234 20 30 CCEDMN CN(C)c1cc(NC(=O)c2ccnc(C#N)c2)n[nH]1 ZINC001191539976 845676322 /nfs/dbraw/zinc/67/63/22/845676322.db2.gz KKBWZPJAHIICEU-UHFFFAOYSA-N 0 1 256.269 0.995 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccn3nnnc3c2)C1 ZINC001191684225 845719095 /nfs/dbraw/zinc/71/90/95/845719095.db2.gz PVKUBZJEMLLEBN-ZDUSSCGKSA-N 0 1 298.350 0.294 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2C[C@@H]2C)C1 ZINC001191770484 845724955 /nfs/dbraw/zinc/72/49/55/845724955.db2.gz GHYAMECOTQTWBJ-MJBXVCDLSA-N 0 1 264.369 0.825 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CC2=CCCCC2)C[C@H]1O ZINC001191853093 845740914 /nfs/dbraw/zinc/74/09/14/845740914.db2.gz AAVXEDGAZPVDQU-IACUBPJLSA-N 0 1 277.368 0.808 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)C(=O)C(C)(C)C)C1 ZINC001191927014 845754365 /nfs/dbraw/zinc/75/43/65/845754365.db2.gz PTPJDUHOHMGOEA-VXGBXAGGSA-N 0 1 282.384 0.729 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCCOCC=C)C1 ZINC001192053627 845782654 /nfs/dbraw/zinc/78/26/54/845782654.db2.gz BWZXGCGOTJEHKN-ZIAGYGMSSA-N 0 1 280.368 0.154 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](OC)C(C)C)C1 ZINC001192163278 845793886 /nfs/dbraw/zinc/79/38/86/845793886.db2.gz UTWFQVMAQIWEOR-CABCVRRESA-N 0 1 296.411 0.840 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](COC)OC)C1 ZINC001192277446 845812482 /nfs/dbraw/zinc/81/24/82/845812482.db2.gz QUIZATJCMJSTLM-CHWSQXEVSA-N 0 1 268.357 0.204 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@]2(C)CCNC2=O)C1 ZINC001192325560 845821246 /nfs/dbraw/zinc/82/12/46/845821246.db2.gz LUOANLVAZSEZPQ-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN COC(=O)c1ccc(O)c(C(=O)N2CCO[C@H](C#N)C2)c1 ZINC001192386699 845833461 /nfs/dbraw/zinc/83/34/61/845833461.db2.gz NAZCCFBLFDNLBH-SNVBAGLBSA-N 0 1 290.275 0.543 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)OCCOC)C1 ZINC001192656749 845886960 /nfs/dbraw/zinc/88/69/60/845886960.db2.gz VEWDBKWPPLWPBR-UONOGXRCSA-N 0 1 282.384 0.594 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COC[C@@H]2CCOC2)C1 ZINC001192640922 845889694 /nfs/dbraw/zinc/88/96/94/845889694.db2.gz GXTMEZSQEKLFDJ-HUUCEWRRSA-N 0 1 294.395 0.596 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C(C)(C)CNC(C)=O)C1 ZINC001192809024 845913484 /nfs/dbraw/zinc/91/34/84/845913484.db2.gz NNEMPNUBZLCCAP-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccc(CO)c(F)c1 ZINC001193160903 846009532 /nfs/dbraw/zinc/00/95/32/846009532.db2.gz KJRBCLYZPNECGR-ZETCQYMHSA-N 0 1 258.274 0.972 20 30 CCEDMN COC(=O)[C@H](NS(=O)(=O)[C@@H](C)C#N)c1ccccn1 ZINC001193168864 846011364 /nfs/dbraw/zinc/01/13/64/846011364.db2.gz MWSXCLZQEHCYAK-WCBMZHEXSA-N 0 1 283.309 0.127 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1O ZINC001193337261 846055720 /nfs/dbraw/zinc/05/57/20/846055720.db2.gz MNTJFJIKCGQZHB-JHJVBQTASA-N 0 1 284.400 0.785 20 30 CCEDMN CCNC(=O)CN(C)C(=O)c1ccc(O)c(C#N)c1 ZINC001193494839 846094014 /nfs/dbraw/zinc/09/40/14/846094014.db2.gz PUCGIKSXPRLQAE-UHFFFAOYSA-N 0 1 261.281 0.472 20 30 CCEDMN COC(=O)[C@@]1(F)CCN(C(=O)c2ccc(O)c(C#N)c2)C1 ZINC001193507397 846100896 /nfs/dbraw/zinc/10/08/96/846100896.db2.gz VYMRTRXRYBEZFS-CQSZACIVSA-N 0 1 292.266 0.991 20 30 CCEDMN C[C@]1(CO)CN(C(=O)c2ccc(O)c(C#N)c2)CC[C@@H]1O ZINC001193505082 846101378 /nfs/dbraw/zinc/10/13/78/846101378.db2.gz CJDBRVKBCKHUAP-DZGCQCFKSA-N 0 1 290.319 0.469 20 30 CCEDMN N#Cc1cc(C(=O)Nc2c[nH]c(=O)nc2N)ccc1O ZINC001193511956 846101456 /nfs/dbraw/zinc/10/14/56/846101456.db2.gz HUFOQUVQNKQQFR-UHFFFAOYSA-N 0 1 271.236 0.594 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCCC(=O)NCC)C1 ZINC001193551477 846116439 /nfs/dbraw/zinc/11/64/39/846116439.db2.gz PAJSMEPWCYLWHF-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC[C@H](CO)[C@@H](O)C2)c1O ZINC001193642334 846135187 /nfs/dbraw/zinc/13/51/87/846135187.db2.gz DVVVNNUYJBHKPT-PWSUYJOCSA-N 0 1 276.292 0.079 20 30 CCEDMN COC(=O)[C@H]1C[C@@H]1CNC(=O)c1cccc(C#N)c1O ZINC001193659357 846139415 /nfs/dbraw/zinc/13/94/15/846139415.db2.gz LTLFJDFEABPUGK-KOLCDFICSA-N 0 1 274.276 0.803 20 30 CCEDMN Cc1nnc(CNC(=O)c2cccc(C#N)c2O)o1 ZINC001193659650 846139653 /nfs/dbraw/zinc/13/96/53/846139653.db2.gz OZNWONYSSBANTG-UHFFFAOYSA-N 0 1 258.237 0.885 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COCCOCC)C1 ZINC001194315514 846260707 /nfs/dbraw/zinc/26/07/07/846260707.db2.gz PKCPPMPHWGNLMJ-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)OCC=C)C1 ZINC001194396864 846286074 /nfs/dbraw/zinc/28/60/74/846286074.db2.gz KQDTYCLDNMOYTP-OLZOCXBDSA-N 0 1 250.342 0.743 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001195307019 846499327 /nfs/dbraw/zinc/49/93/27/846499327.db2.gz KFQMBKXVEQPGIU-HUUCEWRRSA-N 0 1 296.411 0.768 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2C[C@@H]2C)C1 ZINC001195319214 846506043 /nfs/dbraw/zinc/50/60/43/846506043.db2.gz NPLTVQRRVZHHEN-WUHRBBMRSA-N 0 1 268.357 0.006 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001195554993 846557954 /nfs/dbraw/zinc/55/79/54/846557954.db2.gz VJEYXHCBPCOGDE-MGPQQGTHSA-N 0 1 284.400 0.787 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2CNC(=O)C2)c(O)c1 ZINC001195764947 846613317 /nfs/dbraw/zinc/61/33/17/846613317.db2.gz UPHHXOXNTUPVMQ-SECBINFHSA-N 0 1 259.265 0.130 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC(C)(F)F)C1 ZINC001195948493 846660482 /nfs/dbraw/zinc/66/04/82/846660482.db2.gz IYHGOFRKZIGIHN-NXEZZACHSA-N 0 1 262.300 0.769 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCOC(C)C)C[C@H]2O)C1 ZINC001196019239 846669406 /nfs/dbraw/zinc/66/94/06/846669406.db2.gz AJYUZWOMKXPBPH-ZIAGYGMSSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCCN1CCC[C@@H](NC[C@@H](O)C(F)(F)F)C1=O ZINC001250992313 846727047 /nfs/dbraw/zinc/72/70/47/846727047.db2.gz FCNFUBVRSGBGCP-NXEZZACHSA-N 0 1 278.274 0.514 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2cn[nH]n2)CC1 ZINC001196401166 846743716 /nfs/dbraw/zinc/74/37/16/846743716.db2.gz LVPLNWFKUQVYKX-UHFFFAOYSA-N 0 1 279.344 0.155 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1O ZINC001196475475 846753073 /nfs/dbraw/zinc/75/30/73/846753073.db2.gz XIOYMPPDWCQFTM-IJLUTSLNSA-N 0 1 270.373 0.131 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)n2ccnc2)C1 ZINC001196498102 846764838 /nfs/dbraw/zinc/76/48/38/846764838.db2.gz ASGUHOLFWHQJMM-MGPQQGTHSA-N 0 1 292.383 0.572 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)n2ccnc2)C1 ZINC001196498096 846765787 /nfs/dbraw/zinc/76/57/87/846765787.db2.gz APENVKUOVYPTGJ-MGPQQGTHSA-N 0 1 292.383 0.572 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCC[N@@H+](CCOCCO)CC2)C1 ZINC001197093888 846836128 /nfs/dbraw/zinc/83/61/28/846836128.db2.gz WOOIRDQAVGZMTE-UHFFFAOYSA-N 0 1 296.411 0.886 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCCN(CCOCCO)CC2)C1 ZINC001197093888 846836133 /nfs/dbraw/zinc/83/61/33/846836133.db2.gz WOOIRDQAVGZMTE-UHFFFAOYSA-N 0 1 296.411 0.886 20 30 CCEDMN C=CCC1(C(=O)N2CCCN(CCO)CC2)CCOCC1 ZINC001197995784 847024832 /nfs/dbraw/zinc/02/48/32/847024832.db2.gz WDATWBBQPVUSBJ-UHFFFAOYSA-N 0 1 296.411 0.886 20 30 CCEDMN C=CCN1CCCN(C(=O)COCCOCC)CC1 ZINC001198290375 847080076 /nfs/dbraw/zinc/08/00/76/847080076.db2.gz FNTSDTVIYXSCBY-UHFFFAOYSA-N 0 1 270.373 0.760 20 30 CCEDMN C[C@@H]1CCc2c(C(=O)n3nc(N)c(C#N)c3N)[nH]nc21 ZINC001198433639 847110995 /nfs/dbraw/zinc/11/09/95/847110995.db2.gz DZNSIBYZFDNQRO-RXMQYKEDSA-N 0 1 271.284 0.380 20 30 CCEDMN C=C[C@@H](C(=O)N[C@@H]1CN(CCO)C[C@H]1O)c1ccccc1 ZINC001198955359 847198920 /nfs/dbraw/zinc/19/89/20/847198920.db2.gz ATHXGAYVFBPGRD-RBSFLKMASA-N 0 1 290.363 0.110 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2nonc2C)C1 ZINC001199429192 847318744 /nfs/dbraw/zinc/31/87/44/847318744.db2.gz ILMDPYODOAKPAJ-GHMZBOCLSA-N 0 1 280.328 0.119 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CS(=O)(=O)CCCC ZINC001152603997 847336330 /nfs/dbraw/zinc/33/63/30/847336330.db2.gz UETAEKFVCVLRDW-UHFFFAOYSA-N 0 1 296.820 0.660 20 30 CCEDMN N#Cc1cnc(C(=O)Nc2ccc3nc(CO)[nH]c3c2)cn1 ZINC001199664386 847389445 /nfs/dbraw/zinc/38/94/45/847389445.db2.gz AADJGYVPFNQKPR-UHFFFAOYSA-N 0 1 294.274 0.969 20 30 CCEDMN C=CCOCC(=O)N1CCC(NCc2ncccn2)CC1 ZINC001199788050 847432756 /nfs/dbraw/zinc/43/27/56/847432756.db2.gz JCKWDENIQZNOIC-UHFFFAOYSA-N 0 1 290.367 0.760 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOC ZINC001110547337 847542056 /nfs/dbraw/zinc/54/20/56/847542056.db2.gz IKLHHUIXKQQKJL-SYQHCUMBSA-N 0 1 280.368 0.393 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)Cc1cnn(C)c1 ZINC001273887622 847739721 /nfs/dbraw/zinc/73/97/21/847739721.db2.gz JNVRGGDRPBYGLF-ONEGZZNKSA-N 0 1 282.775 0.977 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1COC(=O)C1)C2 ZINC001095313424 847971819 /nfs/dbraw/zinc/97/18/19/847971819.db2.gz OHPIDRFFRGLNGW-NDBYEHHHSA-N 0 1 276.336 0.294 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1C=CC=CC=C1 ZINC001114344111 848020126 /nfs/dbraw/zinc/02/01/26/848020126.db2.gz ZIFQRESPZKUUEE-FVQHAEBGSA-N 0 1 298.386 0.981 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NC)C[C@H]21 ZINC001114352729 848023531 /nfs/dbraw/zinc/02/35/31/848023531.db2.gz GMEZFKRMGNFBPD-NMKXLXIOSA-N 0 1 279.384 0.524 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(CC(=O)OCC)CC1 ZINC001252590140 848028031 /nfs/dbraw/zinc/02/80/31/848028031.db2.gz ZLQFHMQXUBLPPY-CYBMUJFWSA-N 0 1 270.373 0.494 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(CC(=O)OCC)CC1 ZINC001252590141 848028680 /nfs/dbraw/zinc/02/86/80/848028680.db2.gz ZLQFHMQXUBLPPY-ZDUSSCGKSA-N 0 1 270.373 0.494 20 30 CCEDMN C[NH+](C)CC#CCNC(=O)c1ccc([O-])cc1F ZINC000717679586 848282733 /nfs/dbraw/zinc/28/27/33/848282733.db2.gz LNUGXUPWBMRWTE-UHFFFAOYSA-N 0 1 250.273 0.826 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)C(C)(C)C(C)C ZINC001274056109 848330846 /nfs/dbraw/zinc/33/08/46/848330846.db2.gz AAKKUUPUNLSBOL-BQYQJAHWSA-N 0 1 293.411 0.680 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@@H]1CCC(=O)NC1 ZINC001274087470 848345598 /nfs/dbraw/zinc/34/55/98/848345598.db2.gz AKJNQLRHPZCXQQ-JDGPPOGSSA-N 0 1 299.802 0.917 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](C)OC)C(C)(C)C1 ZINC001274416137 848474326 /nfs/dbraw/zinc/47/43/26/848474326.db2.gz LQOIKWMGXAJMSP-NEPJUHHUSA-N 0 1 252.358 0.871 20 30 CCEDMN C=C(C)CN1CC[C@@]2(CCCN2C[C@H](O)C(=O)OC)C1=O ZINC001274605465 848519399 /nfs/dbraw/zinc/51/93/99/848519399.db2.gz LQCCHQJZZPHTED-WFASDCNBSA-N 0 1 296.367 0.163 20 30 CCEDMN Cc1ncoc1CNC[C@@H](C)NC(=O)[C@@H](C)C#N ZINC001274703202 848545412 /nfs/dbraw/zinc/54/54/12/848545412.db2.gz XRMVHTGENZGWDT-DTWKUNHWSA-N 0 1 250.302 0.737 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)C[C@@](C)(O)C2CC2)CC1 ZINC001274903889 848588176 /nfs/dbraw/zinc/58/81/76/848588176.db2.gz QFOVMEXGPZLIMA-OAHLLOKOSA-N 0 1 296.411 0.667 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cnn(C)n1 ZINC001274956994 848602670 /nfs/dbraw/zinc/60/26/70/848602670.db2.gz ICLHQLDEBXQVTA-MRVPVSSYSA-N 0 1 257.725 0.276 20 30 CCEDMN C=CCCCCN1CC2(C1)CN(S(=O)(=O)N(C)C)C2 ZINC001274977867 848609728 /nfs/dbraw/zinc/60/97/28/848609728.db2.gz AZENWBDSSDZCBN-UHFFFAOYSA-N 0 1 287.429 0.767 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@@H]1CCNC(=O)CC1 ZINC001275128560 848644884 /nfs/dbraw/zinc/64/48/84/848644884.db2.gz IQNUJAMIVBJISS-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)N(C)CCN1CCNC1=O ZINC001275817872 848835600 /nfs/dbraw/zinc/83/56/00/848835600.db2.gz FHGOGURVKKXMAS-ZDUSSCGKSA-N 0 1 294.399 0.252 20 30 CCEDMN CN(C)C(=O)[C@@H]1CC12CN(C(=O)c1ccc(O)c(C#N)c1)C2 ZINC001276155443 848940994 /nfs/dbraw/zinc/94/09/94/848940994.db2.gz HQROXRCYCPEJRO-LBPRGKRZSA-N 0 1 299.330 0.814 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnn(C)c1C)C2 ZINC001095514545 848968909 /nfs/dbraw/zinc/96/89/09/848968909.db2.gz UUVXXMAZAFZDCZ-ILXRZTDVSA-N 0 1 286.379 0.626 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC(=O)NC1)C2 ZINC001095663746 849004647 /nfs/dbraw/zinc/00/46/47/849004647.db2.gz OLZAETWCGXEZFW-RNJOBUHISA-N 0 1 277.368 0.420 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)C(C)(C)C)C2 ZINC001111396432 849198121 /nfs/dbraw/zinc/19/81/21/849198121.db2.gz VWCPYZONAOSSQB-UPJWGTAASA-N 0 1 291.395 0.503 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1ccc2[nH]nnc2c1 ZINC000717900131 849201610 /nfs/dbraw/zinc/20/16/10/849201610.db2.gz QRKCEDQRTZEWKJ-SNVBAGLBSA-N 0 1 258.281 0.462 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)[C@@H](C)CC)C2 ZINC001111416111 849219851 /nfs/dbraw/zinc/21/98/51/849219851.db2.gz IADHXGZHTKMLLI-DGAVXFQQSA-N 0 1 291.395 0.503 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nnn(C)n1)C2 ZINC001095881623 849236738 /nfs/dbraw/zinc/23/67/38/849236738.db2.gz GJAKVBJCFJWZHJ-VWYCJHECSA-N 0 1 276.344 0.121 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)N(C)C)C[C@H]21 ZINC001114760352 849387798 /nfs/dbraw/zinc/38/77/98/849387798.db2.gz ZDZMMJODYDVNMK-CXTNEJHOSA-N 0 1 293.411 0.866 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)(F)F ZINC001114799166 849397200 /nfs/dbraw/zinc/39/72/00/849397200.db2.gz SBORVSJZUAZNHO-PJXYFTJBSA-N 0 1 286.322 0.728 20 30 CCEDMN CCN(CCCNC(=O)c1ncn[nH]1)c1ccc(C#N)cn1 ZINC001095906320 849438175 /nfs/dbraw/zinc/43/81/75/849438175.db2.gz LTBOLLOFUZJFQY-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN CCN(CCCNC(=O)c1nc[nH]n1)c1ccc(C#N)cn1 ZINC001095906320 849438180 /nfs/dbraw/zinc/43/81/80/849438180.db2.gz LTBOLLOFUZJFQY-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)Cn2cc(C)cn2)C1 ZINC001276350436 849441744 /nfs/dbraw/zinc/44/17/44/849441744.db2.gz KNQDSPGFVVEYCV-ZDUSSCGKSA-N 0 1 274.368 0.651 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CCC(c3nn[nH]n3)CC2)C1 ZINC000720452381 849464551 /nfs/dbraw/zinc/46/45/51/849464551.db2.gz KNZOSHHXHPOTFF-UHFFFAOYSA-N 0 1 274.328 0.846 20 30 CCEDMN C=C[C@H](O)CN1CCN(C(=O)OC(C)(C)C)C[C@H](O)C1 ZINC001253572430 849489277 /nfs/dbraw/zinc/48/92/77/849489277.db2.gz NZSKHEUXZONOEE-NWDGAFQWSA-N 0 1 286.372 0.447 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCN(C)CC1)[C@@H]1CCCO1 ZINC000720616277 849504256 /nfs/dbraw/zinc/50/42/56/849504256.db2.gz JQNTZQUWGDJPIF-RYUDHWBXSA-N 0 1 265.357 0.906 20 30 CCEDMN C=C[C@@H](O)CN1Cc2c(cnn2C)[C@H](COCC)C1 ZINC001253577760 849528165 /nfs/dbraw/zinc/52/81/65/849528165.db2.gz YSJCFKIJWXFXOY-NWDGAFQWSA-N 0 1 265.357 0.903 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COC[C@@H]1CCOC1 ZINC001114907909 849641376 /nfs/dbraw/zinc/64/13/76/849641376.db2.gz SDYDGGLSYJVQBH-NYTXWWLZSA-N 0 1 292.379 0.109 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)[C@@H]1CN(C(C)C)CCO1 ZINC001038626749 849708612 /nfs/dbraw/zinc/70/86/12/849708612.db2.gz IJZCOJWCTUQGCT-CABCVRRESA-N 0 1 293.411 0.309 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)Cc2nnc(C)[nH]2)C1 ZINC001276377031 849796994 /nfs/dbraw/zinc/79/69/94/849796994.db2.gz RFIOFNQONAFQQV-SNVBAGLBSA-N 0 1 275.356 0.115 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cn2c(n1)COCC2 ZINC001038412250 849884399 /nfs/dbraw/zinc/88/43/99/849884399.db2.gz WJEWUGWJQLCRCQ-GFCCVEGCSA-N 0 1 290.367 0.794 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H]2CCN2CCCO)cc1 ZINC001038580780 849950049 /nfs/dbraw/zinc/95/00/49/849950049.db2.gz CYDVBBWLRSEZKO-OAHLLOKOSA-N 0 1 272.348 0.854 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCc2nc[nH]c2C1 ZINC001038892600 850069835 /nfs/dbraw/zinc/06/98/35/850069835.db2.gz GJNJTNRJGAIHEN-CHWSQXEVSA-N 0 1 286.379 0.728 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCCCN1C(N)=O ZINC001038897878 850073306 /nfs/dbraw/zinc/07/33/06/850073306.db2.gz XGMUMPZUXCNWQL-OLZOCXBDSA-N 0 1 294.399 0.686 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2C[C@@H](C)O)nc1 ZINC001038929240 850087168 /nfs/dbraw/zinc/08/71/68/850087168.db2.gz AXODEELCCRCNRU-YPMHNXCESA-N 0 1 273.336 0.248 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC001039006412 850117396 /nfs/dbraw/zinc/11/73/96/850117396.db2.gz BYLSWIYWGMTLGZ-SNVBAGLBSA-N 0 1 270.296 0.286 20 30 CCEDMN N#CC[N@@H+]1CC[C@@H](C2CCN(C(=O)c3nnc[n-]3)CC2)C1 ZINC001039160234 850159164 /nfs/dbraw/zinc/15/91/64/850159164.db2.gz KCTWSRPTXXPNNU-GFCCVEGCSA-N 0 1 288.355 0.502 20 30 CCEDMN N#CCN1CC[C@@H](C2CCN(C(=O)c3nc[nH]n3)CC2)C1 ZINC001039160234 850159170 /nfs/dbraw/zinc/15/91/70/850159170.db2.gz KCTWSRPTXXPNNU-GFCCVEGCSA-N 0 1 288.355 0.502 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CC[C@@]2(C1)CCCN(CC#N)C2 ZINC001040116426 850266751 /nfs/dbraw/zinc/26/67/51/850266751.db2.gz WLRBIOGGJRNGRY-ZBFHGGJFSA-N 0 1 290.411 0.919 20 30 CCEDMN N#CCN1CCC[C@@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC001040132042 850271509 /nfs/dbraw/zinc/27/15/09/850271509.db2.gz DJBGRNUSVGOCHV-OAHLLOKOSA-N 0 1 287.367 0.790 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)c3cc(OC)no3)C2)C1 ZINC001041228310 850406949 /nfs/dbraw/zinc/40/69/49/850406949.db2.gz NLSMPZRZHIZDKM-OAHLLOKOSA-N 0 1 289.335 0.854 20 30 CCEDMN CNC(=O)CN1CC[C@@]2(CCN(C(=O)C#CC3CC3)C2)C1 ZINC001041271810 850418253 /nfs/dbraw/zinc/41/82/53/850418253.db2.gz BWOPVHZIFVRINM-MRXNPFEDSA-N 0 1 289.379 0.070 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CC[C@]2(CCN(CC#N)C2)C1 ZINC001041408180 850445379 /nfs/dbraw/zinc/44/53/79/850445379.db2.gz LJOGAKPKNXWRBM-ZBFHGGJFSA-N 0 1 290.411 0.919 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001041633504 850478522 /nfs/dbraw/zinc/47/85/22/850478522.db2.gz VZVUBTHOUQEPMQ-CVEARBPZSA-N 0 1 293.411 0.998 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3nccc(C)n3)C[C@@H]21 ZINC001042038596 850564045 /nfs/dbraw/zinc/56/40/45/850564045.db2.gz PDVVKXVTZMUIFQ-KBPBESRZSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3nn(C)cc3C)C[C@@H]21 ZINC001042060395 850570822 /nfs/dbraw/zinc/57/08/22/850570822.db2.gz JVAUFACWPNJIRW-KGLIPLIRSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3csnn3)C[C@@H]21 ZINC001042167917 850587190 /nfs/dbraw/zinc/58/71/90/850587190.db2.gz PESYBXACHHCECR-PWSUYJOCSA-N 0 1 276.365 0.708 20 30 CCEDMN CN(C(=O)[C@@H]1CCCc2[nH]ncc21)C1CN(CC#N)C1 ZINC001042725444 850746222 /nfs/dbraw/zinc/74/62/22/850746222.db2.gz SMEQIBZBZXLJFR-LLVKDONJSA-N 0 1 273.340 0.496 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)c2cccc3nn[nH]c32)C1 ZINC001042777187 850757561 /nfs/dbraw/zinc/75/75/61/850757561.db2.gz ZWKTXOKKGYFUHO-UHFFFAOYSA-N 0 1 283.335 0.737 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001043287971 850846134 /nfs/dbraw/zinc/84/61/34/850846134.db2.gz BSWFOUTYTRHYLS-GFCCVEGCSA-N 0 1 279.384 0.764 20 30 CCEDMN CN(C(=O)c1cc(C2CC2)[nH]n1)C1CN(CC#N)C1 ZINC001043961747 850970391 /nfs/dbraw/zinc/97/03/91/850970391.db2.gz JXOWDNDASRAKBC-UHFFFAOYSA-N 0 1 259.313 0.567 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cn[nH]c2-c2cnn(C)c2)C1 ZINC001044164511 851030510 /nfs/dbraw/zinc/03/05/10/851030510.db2.gz DHSBELLEYSIASI-UHFFFAOYSA-N 0 1 298.350 0.200 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnc(C)[nH]2)CC1 ZINC001045390980 851248476 /nfs/dbraw/zinc/24/84/76/851248476.db2.gz YISLVHYXEVUXSZ-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cn3cccnc3n2)CC1 ZINC001045487759 851266896 /nfs/dbraw/zinc/26/68/96/851266896.db2.gz JUKWATPJBPNZOP-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCC(C)(NC(=O)c2cn(CC)nn2)CC1 ZINC001045602177 851285464 /nfs/dbraw/zinc/28/54/64/851285464.db2.gz DUFKIRNAUYSBAG-UHFFFAOYSA-N 0 1 289.383 0.906 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)[C@@H]2COCCO2)C1 ZINC001046152142 851399785 /nfs/dbraw/zinc/39/97/85/851399785.db2.gz HUXPPYMXFVQOHQ-AAEUAGOBSA-N 0 1 254.330 0.168 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@]2(C)CCN(CC#N)C2)c1C ZINC001046199831 851418087 /nfs/dbraw/zinc/41/80/87/851418087.db2.gz KUFGJWAFYJCZIB-ZDUSSCGKSA-N 0 1 261.329 0.744 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccnn2CC)C1 ZINC001046268413 851444885 /nfs/dbraw/zinc/44/48/85/851444885.db2.gz HHZXFYBACGJBNO-CQSZACIVSA-N 0 1 260.341 0.730 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cncc3ncn(C)c32)C1 ZINC001046386079 851483424 /nfs/dbraw/zinc/48/34/24/851483424.db2.gz WALJBXFFMAAIPQ-INIZCTEOSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001046499191 851526278 /nfs/dbraw/zinc/52/62/78/851526278.db2.gz QXWXOZRASPEVRG-XJKCOSOUSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001046770077 851609139 /nfs/dbraw/zinc/60/91/39/851609139.db2.gz BYUDDDSABPYLEJ-XHDPSFHLSA-N 0 1 287.367 0.123 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001046804293 851615656 /nfs/dbraw/zinc/61/56/56/851615656.db2.gz CUYAIBOJMSETKF-ZFWWWQNUSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCN(c2ncnc3[nH]cnc32)C1 ZINC001096149856 851661520 /nfs/dbraw/zinc/66/15/20/851661520.db2.gz FMKYWBUDKZNIBZ-VHSXEESVSA-N 0 1 299.338 0.598 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cccs2)C1 ZINC001047285215 851696650 /nfs/dbraw/zinc/69/66/50/851696650.db2.gz UNMZSHHVAXKCLK-KBPBESRZSA-N 0 1 292.404 0.817 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@H](C)n2cccn2)C1 ZINC001047311241 851708983 /nfs/dbraw/zinc/70/89/83/851708983.db2.gz SAOAKFFNOMTMEC-IHRRRGAJSA-N 0 1 292.383 0.524 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)n[nH]2)C1 ZINC001047315799 851712590 /nfs/dbraw/zinc/71/25/90/851712590.db2.gz QWPCLMUHVLOCNQ-STQMWFEESA-N 0 1 278.356 0.411 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)[nH]n2)C1 ZINC001047315799 851712602 /nfs/dbraw/zinc/71/26/02/851712602.db2.gz QWPCLMUHVLOCNQ-STQMWFEESA-N 0 1 278.356 0.411 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccnc(F)c2)C1 ZINC001047319904 851714569 /nfs/dbraw/zinc/71/45/69/851714569.db2.gz JZUWTHRKLFZLTO-STQMWFEESA-N 0 1 291.326 0.361 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ncccc2F)C1 ZINC001047501118 851792181 /nfs/dbraw/zinc/79/21/81/851792181.db2.gz NKIHCKDVXRENSK-KBPBESRZSA-N 0 1 293.342 0.453 20 30 CCEDMN CC(C)(C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N)c1cnc[nH]1 ZINC001096349582 851947514 /nfs/dbraw/zinc/94/75/14/851947514.db2.gz CZYAPHKZRUCFDI-WOPDTQHZSA-N 0 1 287.367 0.932 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001096368690 851963037 /nfs/dbraw/zinc/96/30/37/851963037.db2.gz JTHKJEGAYIXAAY-LLVKDONJSA-N 0 1 298.350 0.707 20 30 CCEDMN Cn1cncc1CN1C[C@@H]2CN(C(=O)C#CC3CC3)C[C@@H]2C1 ZINC001048811045 852072000 /nfs/dbraw/zinc/07/20/00/852072000.db2.gz ZUGHXKLGOGHXDK-GASCZTMLSA-N 0 1 298.390 0.724 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCN(C(C)=O)C1)C2 ZINC001096444010 852115385 /nfs/dbraw/zinc/11/53/85/852115385.db2.gz XYVUZJBUQBDWGZ-KBXIAJHMSA-N 0 1 291.395 0.762 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+]([C@H](C)C(N)=O)C[C@@H]2C1 ZINC001048978066 852125094 /nfs/dbraw/zinc/12/50/94/852125094.db2.gz TXRBWCDHJYMUCC-UTUOFQBUSA-N 0 1 279.384 0.463 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CN([C@H](C)C(N)=O)C[C@H]2C1 ZINC001048978066 852125095 /nfs/dbraw/zinc/12/50/95/852125095.db2.gz TXRBWCDHJYMUCC-UTUOFQBUSA-N 0 1 279.384 0.463 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCNC(=O)C1 ZINC001049414523 852261730 /nfs/dbraw/zinc/26/17/30/852261730.db2.gz DZWXAOGBRKDLTL-MGPQQGTHSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)c1n[nH]c(C)c1[O-] ZINC001049422328 852269330 /nfs/dbraw/zinc/26/93/30/852269330.db2.gz HTWPHVKTXJCPPH-RYUDHWBXSA-N 0 1 288.351 0.736 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1CCCC(=O)N1 ZINC001049539438 852308844 /nfs/dbraw/zinc/30/88/44/852308844.db2.gz CMOYISNCAUURHZ-MGPQQGTHSA-N 0 1 289.379 0.354 20 30 CCEDMN C=CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCC(=O)N1C ZINC001049643666 852328496 /nfs/dbraw/zinc/32/84/96/852328496.db2.gz FAJDGQYRETUDLZ-IHRRRGAJSA-N 0 1 291.395 0.859 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@]1(C)CCNC1=O ZINC001049719706 852358954 /nfs/dbraw/zinc/35/89/54/852358954.db2.gz SJPDGOPPCYYWPR-IOASZLSFSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@H]1CCCO1 ZINC001049914583 852396094 /nfs/dbraw/zinc/39/60/94/852396094.db2.gz QDQZYAGWVNUUTO-HZSPNIEDSA-N 0 1 262.353 0.864 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H](C)OC)[C@@H]2C1 ZINC001049988297 852415941 /nfs/dbraw/zinc/41/59/41/852415941.db2.gz KTBYOTLAOVACNK-FRRDWIJNSA-N 0 1 250.342 0.577 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CCCN(C(=O)[C@H]3CCOC3)[C@@H]2C1 ZINC001049994120 852417028 /nfs/dbraw/zinc/41/70/28/852417028.db2.gz XYKFLNZBRIYXSQ-SOUVJXGZSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1cc(C)cn1)C2 ZINC001096859253 852459505 /nfs/dbraw/zinc/45/95/05/852459505.db2.gz LZASESJIWUMUJX-KFWWJZLASA-N 0 1 286.379 0.936 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1ccnn1C)C2 ZINC001097012339 852488165 /nfs/dbraw/zinc/48/81/65/852488165.db2.gz LKOJSWPZGKWJBZ-KFWWJZLASA-N 0 1 286.379 0.707 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCN(C)C(=O)C1)C2 ZINC001097356235 852529057 /nfs/dbraw/zinc/52/90/57/852529057.db2.gz TXEGVINWAAJFKR-DGAVXFQQSA-N 0 1 291.395 0.762 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H](C)Nc2ccncc2C#N)c1C ZINC001097761065 852601764 /nfs/dbraw/zinc/60/17/64/852601764.db2.gz ZPLWJNDDSCVYQW-VIFPVBQESA-N 0 1 298.350 0.946 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2[N@H+]3CCO)c1 ZINC001097812845 852627039 /nfs/dbraw/zinc/62/70/39/852627039.db2.gz FQIYYXMJWPJRQU-KFWWJZLASA-N 0 1 285.347 0.390 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CCO)c1 ZINC001097812845 852627047 /nfs/dbraw/zinc/62/70/47/852627047.db2.gz FQIYYXMJWPJRQU-KFWWJZLASA-N 0 1 285.347 0.390 20 30 CCEDMN C=CCCN1CC2(C1)CC[C@H](CNC(=O)[C@H]1CCOC1)O2 ZINC001053582076 852766470 /nfs/dbraw/zinc/76/64/70/852766470.db2.gz ZDSMMXUFZDNXLH-UONOGXRCSA-N 0 1 294.395 0.949 20 30 CCEDMN CCc1cc(C(=O)N2C[C@@H](C)[C@H](NCC#N)C2)n[nH]1 ZINC001054407074 852946720 /nfs/dbraw/zinc/94/67/20/852946720.db2.gz ABNIMDBJYAZLND-BXKDBHETSA-N 0 1 261.329 0.546 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2CN(C(C)=O)C2)C[C@@H]1C ZINC001054601554 852976989 /nfs/dbraw/zinc/97/69/89/852976989.db2.gz PGEFWROJYVLBHO-TVQRCGJNSA-N 0 1 299.802 0.654 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)C(N)=O)C[C@H]1C ZINC001054766093 853010595 /nfs/dbraw/zinc/01/05/95/853010595.db2.gz SLOLNYYDBSLNJC-PSASIEDQSA-N 0 1 287.791 0.687 20 30 CCEDMN CC(=O)NCCN1CCC(NC(=O)C#CC(C)(C)C)CC1 ZINC001055483478 853078874 /nfs/dbraw/zinc/07/88/74/853078874.db2.gz GTULRHPZPJCBTO-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCCC(=O)NCCN1CCC(NC(C)=O)CC1 ZINC001055541000 853087379 /nfs/dbraw/zinc/08/73/79/853087379.db2.gz WRCUEWSYUORASA-UHFFFAOYSA-N 0 1 267.373 0.669 20 30 CCEDMN C#CCN1CCOC[C@H]1CNC(=O)[C@H]1CCCCN1CC ZINC001051255550 853556188 /nfs/dbraw/zinc/55/61/88/853556188.db2.gz RTISWFGLEVQWOT-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN CC(=O)N1CCO[C@H](CNCc2ccccc2C#N)C1 ZINC001051382942 853573882 /nfs/dbraw/zinc/57/38/82/853573882.db2.gz HGFQQYZPBAYCDM-OAHLLOKOSA-N 0 1 273.336 0.895 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)C(C)C)[C@@H](n2ccnn2)C1 ZINC001069897765 853997186 /nfs/dbraw/zinc/99/71/86/853997186.db2.gz GFZIEBNXALQTIH-OLZOCXBDSA-N 0 1 277.372 0.852 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)C(C)C)[C@@H](n2ccnn2)C1 ZINC001069897765 853997189 /nfs/dbraw/zinc/99/71/89/853997189.db2.gz GFZIEBNXALQTIH-OLZOCXBDSA-N 0 1 277.372 0.852 20 30 CCEDMN C=CCCN1CC2(C1)CN(C(C)=O)C[C@@H]2c1nnc(C)[nH]1 ZINC001070437319 854058845 /nfs/dbraw/zinc/05/88/45/854058845.db2.gz QFZOCQIGGRWVFD-CYBMUJFWSA-N 0 1 289.383 0.937 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN(C(=O)Cc2ccn[nH]2)C1 ZINC001070580903 854080200 /nfs/dbraw/zinc/08/02/00/854080200.db2.gz GANUAOYWMZEUDB-ZYHUDNBSSA-N 0 1 289.339 0.219 20 30 CCEDMN C=CCN1C[C@@H](F)C[C@@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070725972 854089865 /nfs/dbraw/zinc/08/98/65/854089865.db2.gz AODJSQUARCEJBW-VHSXEESVSA-N 0 1 267.308 0.712 20 30 CCEDMN C=CCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070725972 854089874 /nfs/dbraw/zinc/08/98/74/854089874.db2.gz AODJSQUARCEJBW-VHSXEESVSA-N 0 1 267.308 0.712 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(CC(=O)[O-])[C@H](C)CC ZINC000392844153 854095519 /nfs/dbraw/zinc/09/55/19/854095519.db2.gz FDACDIRGPFMUOZ-GFCCVEGCSA-N 0 1 283.372 0.836 20 30 CCEDMN CC#CCN1CC[C@@]2(C1)CN(C(C)=O)C[C@H](C)O2 ZINC001071114079 854123488 /nfs/dbraw/zinc/12/34/88/854123488.db2.gz NGLXNPQTUAULPH-GXTWGEPZSA-N 0 1 250.342 0.721 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@@H]1C ZINC001071333192 854159412 /nfs/dbraw/zinc/15/94/12/854159412.db2.gz YZZPRFVORLXZTC-RYUDHWBXSA-N 0 1 288.351 0.788 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)Cc2cnn(C)c2)CC[C@@H]1C ZINC001071383355 854172058 /nfs/dbraw/zinc/17/20/58/854172058.db2.gz GKPHLAYGEQKQRE-ZFWWWQNUSA-N 0 1 288.395 0.955 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cc(=O)n(C)cn2)CC[C@@H]1C ZINC001071579472 854240948 /nfs/dbraw/zinc/24/09/48/854240948.db2.gz FIFKNKSBBXGMMY-NWDGAFQWSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)CC[C@H]1C ZINC001071589265 854242212 /nfs/dbraw/zinc/24/22/12/854242212.db2.gz RVCDHOJQCZFAIS-MWLCHTKSSA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@H]1C ZINC001071593430 854244495 /nfs/dbraw/zinc/24/44/95/854244495.db2.gz BOIGLADWXXIPQZ-ZYHUDNBSSA-N 0 1 274.324 0.398 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccn(C)c(=O)c2)CC[C@@H]1C ZINC001071619856 854250855 /nfs/dbraw/zinc/25/08/55/854250855.db2.gz VUBBDUAUGKMFQA-JSGCOSHPSA-N 0 1 287.363 0.601 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@H]1C ZINC001071776598 854286606 /nfs/dbraw/zinc/28/66/06/854286606.db2.gz WDCPKVMBVILBAN-VXGBXAGGSA-N 0 1 288.351 0.376 20 30 CCEDMN C[C@H]1CC[C@@H](NC(=O)Cc2cnc[nH]2)CN1CC#N ZINC001071847091 854307209 /nfs/dbraw/zinc/30/72/09/854307209.db2.gz HUWYRBWFHYNCDP-WDEREUQCSA-N 0 1 261.329 0.445 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)c2cnon2)CC[C@@H]1C ZINC001071914720 854317042 /nfs/dbraw/zinc/31/70/42/854317042.db2.gz RYMLCTRMWYASSR-WDEREUQCSA-N 0 1 262.313 0.676 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](NC(=O)c2ncn[n-]2)CC[C@@H]1C ZINC001071911493 854317413 /nfs/dbraw/zinc/31/74/13/854317413.db2.gz NSHSHDCAIVNFLS-QWRGUYRKSA-N 0 1 261.329 0.411 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)CC[C@H]1C ZINC001071940918 854323182 /nfs/dbraw/zinc/32/31/82/854323182.db2.gz AQDNQHFXGWKBSK-ZYHUDNBSSA-N 0 1 290.367 0.882 20 30 CCEDMN Cc1nccnc1CN1CCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC001072552842 854414796 /nfs/dbraw/zinc/41/47/96/854414796.db2.gz SLWNMJCIXKJAHI-GFCCVEGCSA-N 0 1 299.378 0.979 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cncnc3)C2)C1 ZINC001072656324 854439486 /nfs/dbraw/zinc/43/94/86/854439486.db2.gz XJXPKRLAYNFEPY-UHFFFAOYSA-N 0 1 256.309 0.258 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCOC[C@@H]3C)C2)C1 ZINC001072959737 854502105 /nfs/dbraw/zinc/50/21/05/854502105.db2.gz GYVUMWMPARHCLC-KBPBESRZSA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnc4cccnn34)C2)C1 ZINC001073041669 854519542 /nfs/dbraw/zinc/51/95/42/854519542.db2.gz RRLSWBOONHFLJF-UHFFFAOYSA-N 0 1 295.346 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnn(CC)c3C)C2)C1 ZINC001073072221 854524783 /nfs/dbraw/zinc/52/47/83/854524783.db2.gz CZFULPJYSLDPBL-UHFFFAOYSA-N 0 1 286.379 0.993 20 30 CCEDMN C#CC[N@@H+]1CCC2(CN(C(=O)c3cnn(C)c3OC)C2)C1 ZINC001073154138 854539267 /nfs/dbraw/zinc/53/92/67/854539267.db2.gz RDAMFAOPGUNIEE-UHFFFAOYSA-N 0 1 288.351 0.210 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnn(C)c3OC)C2)C1 ZINC001073154138 854539269 /nfs/dbraw/zinc/53/92/69/854539269.db2.gz RDAMFAOPGUNIEE-UHFFFAOYSA-N 0 1 288.351 0.210 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCN(C(C)=O)C3)C2)C1 ZINC001073154836 854539443 /nfs/dbraw/zinc/53/94/43/854539443.db2.gz DMMJOCGEIHMFNR-CQSZACIVSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CC34CCOCC4)C2)C1 ZINC001073495960 854564207 /nfs/dbraw/zinc/56/42/07/854564207.db2.gz YPRAJPAWYFKZOC-CQSZACIVSA-N 0 1 288.391 0.971 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cn[nH]c2)C1 ZINC001073544273 854586720 /nfs/dbraw/zinc/58/67/20/854586720.db2.gz BLEDSWOKFVSBQS-LBPRGKRZSA-N 0 1 264.329 0.416 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2coc(OC)n2)C1 ZINC001073713976 854632482 /nfs/dbraw/zinc/63/24/82/854632482.db2.gz MTHLMTCWTBZTPO-NSHDSACASA-N 0 1 295.339 0.690 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ncccn2)C1 ZINC001073828359 854651016 /nfs/dbraw/zinc/65/10/16/854651016.db2.gz RLCUYGNUXWSKNH-GFCCVEGCSA-N 0 1 276.340 0.483 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2nocc2C)C1 ZINC001073848324 854655946 /nfs/dbraw/zinc/65/59/46/854655946.db2.gz SVPBHLILIXZUSJ-LBPRGKRZSA-N 0 1 279.340 0.990 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H]2CCNC2=O)[C@H]1C ZINC001074682603 854765377 /nfs/dbraw/zinc/76/53/77/854765377.db2.gz AITOFCRKDHYYRZ-SRVKXCTJSA-N 0 1 299.802 0.844 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2(OC)CCC2)[C@H](O)C1 ZINC001099794014 854996817 /nfs/dbraw/zinc/99/68/17/854996817.db2.gz GMTRBZMAYDCOMM-QWHCGFSZSA-N 0 1 282.384 0.683 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CCN(CC#CC)C[C@@H]1O ZINC001099859063 855014901 /nfs/dbraw/zinc/01/49/01/855014901.db2.gz WLKMJNIVLPXNMA-KGLIPLIRSA-N 0 1 264.369 0.917 20 30 CCEDMN CCN(CCNC(=O)c1ncn[nH]1)c1nc(C)ccc1C#N ZINC001100584933 855192541 /nfs/dbraw/zinc/19/25/41/855192541.db2.gz QOIDUBDQGSLZEC-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN CCN(CCNC(=O)c1nc[nH]n1)c1nc(C)ccc1C#N ZINC001100584933 855192552 /nfs/dbraw/zinc/19/25/52/855192552.db2.gz QOIDUBDQGSLZEC-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN C=CCOCC(=O)N1C[C@H]2CN(CCF)C[C@@]2(C)C1 ZINC001101175445 855271250 /nfs/dbraw/zinc/27/12/50/855271250.db2.gz OGJOPMPNOYVTRU-OCCSQVGLSA-N 0 1 270.348 0.939 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001101336613 855282773 /nfs/dbraw/zinc/28/27/73/855282773.db2.gz KFUIKPSDQMJSSN-IOASZLSFSA-N 0 1 293.411 0.854 20 30 CCEDMN CN(CCNc1ccncc1C#N)C(=O)c1ccn[nH]1 ZINC001101509923 855302091 /nfs/dbraw/zinc/30/20/91/855302091.db2.gz ONGMPTSAFUEDPX-UHFFFAOYSA-N 0 1 270.296 0.282 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](CNC(C)=O)[C@H](C)C1 ZINC001101653861 855330149 /nfs/dbraw/zinc/33/01/49/855330149.db2.gz DGGFYYYTRYEACG-TZMCWYRMSA-N 0 1 294.399 0.453 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)CNC(C)=O)[C@H](C)C1 ZINC001101675372 855339286 /nfs/dbraw/zinc/33/92/86/855339286.db2.gz DWGBBZFMHYGYSP-BXKDBHETSA-N 0 1 287.791 0.559 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)CCC)[C@H](C)C1 ZINC001101679979 855341139 /nfs/dbraw/zinc/34/11/39/855341139.db2.gz QUERPSDLEJQBMD-CHWSQXEVSA-N 0 1 281.400 0.773 20 30 CCEDMN CN(CCNc1cnc(C#N)cn1)C(=O)Cc1c[nH]cn1 ZINC001101975476 855402719 /nfs/dbraw/zinc/40/27/19/855402719.db2.gz CZPLDXIPOSPPIO-UHFFFAOYSA-N 0 1 285.311 0.184 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)CCCF)[C@H](C)C1 ZINC001102239850 855422698 /nfs/dbraw/zinc/42/26/98/855422698.db2.gz UKISFMSMTRIVPY-CHWSQXEVSA-N 0 1 299.390 0.722 20 30 CCEDMN C=CCCCC(=O)NC[C@@H]1CN(CC(=O)NC)C[C@H]1C ZINC001102295511 855425765 /nfs/dbraw/zinc/42/57/65/855425765.db2.gz NFFLJVLNBXLEBI-CHWSQXEVSA-N 0 1 281.400 0.773 20 30 CCEDMN CC[C@@H](CNC(=O)c1cnn[nH]1)Nc1cc(C)ncc1C#N ZINC001103370782 855514077 /nfs/dbraw/zinc/51/40/77/855514077.db2.gz AEJSMLZJWWXSJW-NSHDSACASA-N 0 1 299.338 0.422 20 30 CCEDMN C[C@H](CNC(=O)c1ncn[nH]1)CNc1ccc(C#N)cn1 ZINC001104059520 855565123 /nfs/dbraw/zinc/56/51/23/855565123.db2.gz NCIGJKLMEJIEML-VIFPVBQESA-N 0 1 285.311 0.549 20 30 CCEDMN C[C@H](CNC(=O)c1nc[nH]n1)CNc1ccc(C#N)cn1 ZINC001104059520 855565127 /nfs/dbraw/zinc/56/51/27/855565127.db2.gz NCIGJKLMEJIEML-VIFPVBQESA-N 0 1 285.311 0.549 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]cc1C ZINC001115237184 855653809 /nfs/dbraw/zinc/65/38/09/855653809.db2.gz IKPCPIIJMJGFNQ-FICVDOATSA-N 0 1 287.363 0.633 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCCC(=O)NC ZINC001115328370 855661628 /nfs/dbraw/zinc/66/16/28/855661628.db2.gz PDDJQDTYHBNNRA-VIKVFOODSA-N 0 1 291.395 0.363 20 30 CCEDMN CC(C)(C)N1CC[C@H]1C(=O)N1CC(O)(CC#N)C1 ZINC001119464241 856695147 /nfs/dbraw/zinc/69/51/47/856695147.db2.gz PNYUUPOVNZDJHK-JTQLQIEISA-N 0 1 251.330 0.346 20 30 CCEDMN N#CC1CCN(C(=O)NCCCc2nc[nH]n2)CC1 ZINC001119618599 856781368 /nfs/dbraw/zinc/78/13/68/856781368.db2.gz PDIWXABCIUHBJT-UHFFFAOYSA-N 0 1 262.317 0.682 20 30 CCEDMN N#Cc1ccc(N2CCN(CCCCO)CC2)c(CO)c1 ZINC001120025611 856964192 /nfs/dbraw/zinc/96/41/92/856964192.db2.gz FSFGBCWKCJYMRE-UHFFFAOYSA-N 0 1 289.379 0.945 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CCc1ccn(C)n1 ZINC001323241744 912212574 /nfs/dbraw/zinc/21/25/74/912212574.db2.gz KHFJMXYLXOXBFY-CQSZACIVSA-N 0 1 274.368 0.567 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCn2ccccc2=O)CC1 ZINC001323387853 912311611 /nfs/dbraw/zinc/31/16/11/912311611.db2.gz KNIFGKZJSUKACO-UHFFFAOYSA-N 0 1 288.351 0.343 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H]([C@@H]2CCCCN2C(C)=O)C1 ZINC001323638309 912457274 /nfs/dbraw/zinc/45/72/74/912457274.db2.gz QVNUOMHRZWIHON-CABCVRRESA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCCN(CC(=O)N(C)C)CC1 ZINC001393601829 912669741 /nfs/dbraw/zinc/66/97/41/912669741.db2.gz OUTBJLDEUZTMSG-RYUDHWBXSA-N 0 1 280.372 0.205 20 30 CCEDMN CCN1CC[C@H](N(C)CCCN(C)C(=O)[C@H](C)C#N)C1=O ZINC001393613516 912682738 /nfs/dbraw/zinc/68/27/38/912682738.db2.gz PJLHIAFGHSAINB-OLZOCXBDSA-N 0 1 294.399 0.547 20 30 CCEDMN C#CCN(C(=O)Cn1cncn1)C1CCN(CC#CC)CC1 ZINC001324440148 912846123 /nfs/dbraw/zinc/84/61/23/912846123.db2.gz RJBYFCWBIUAFCI-UHFFFAOYSA-N 0 1 299.378 0.228 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1cc(C(N)=O)c[nH]1 ZINC001393984409 912900595 /nfs/dbraw/zinc/90/05/95/912900595.db2.gz LZCLGFMPIYEGRA-RKDXNWHRSA-N 0 1 298.774 0.963 20 30 CCEDMN COCC#CCN1CC[C@@](C)(NC(=O)Cc2ncc[nH]2)C1 ZINC001324662522 912970565 /nfs/dbraw/zinc/97/05/65/912970565.db2.gz VKJSMQBMJBOEMK-OAHLLOKOSA-N 0 1 290.367 0.183 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)/C=C(\C)C2CC2)C1 ZINC001325071823 913189028 /nfs/dbraw/zinc/18/90/28/913189028.db2.gz ZCUOABTWWBJHNO-QSOAKEGCSA-N 0 1 276.380 0.919 20 30 CCEDMN C=CCCC(=O)N1C[C@H](C)[C@@H](CNCc2nncn2C)C1 ZINC001325491347 913433286 /nfs/dbraw/zinc/43/32/86/913433286.db2.gz CLRYPOZWIYJGPU-STQMWFEESA-N 0 1 291.399 0.965 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)C#CC2CC2)CC1 ZINC001325795196 913577714 /nfs/dbraw/zinc/57/77/14/913577714.db2.gz SMHYKHYLCBEIAG-UHFFFAOYSA-N 0 1 274.368 0.094 20 30 CCEDMN CCOC(=O)[C@@H]1c2[nH]cnc2CCN1C(=O)C(C)(C)C#N ZINC001143399212 891683408 /nfs/dbraw/zinc/68/34/08/891683408.db2.gz LGEZZDSFZIGFJR-NSHDSACASA-N 0 1 290.323 0.948 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)NCC1(CC#N)CC1 ZINC001349799756 891781560 /nfs/dbraw/zinc/78/15/60/891781560.db2.gz RVIXSFXDDLHRKM-VXGBXAGGSA-N 0 1 262.357 0.185 20 30 CCEDMN N#CC1(CNC[C@H](O)CC2(O)CCOCC2)CCC1 ZINC001352094026 891955143 /nfs/dbraw/zinc/95/51/43/891955143.db2.gz IMMLFBZTQWFRBV-GFCCVEGCSA-N 0 1 268.357 0.562 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccnc2n[nH]nc21 ZINC001480987307 892022930 /nfs/dbraw/zinc/02/29/30/892022930.db2.gz CGFWLGHZNWTYBP-UHFFFAOYSA-N 0 1 272.312 0.038 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H]1CCO[C@@H](C)C1 ZINC001481007480 892046807 /nfs/dbraw/zinc/04/68/07/892046807.db2.gz CURVZYIHUPWAEK-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)Cc1cnoc1 ZINC001481052093 892117620 /nfs/dbraw/zinc/11/76/20/892117620.db2.gz JZLURHWNCPSQES-AWEZNQCLSA-N 0 1 291.351 0.448 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)C(=O)NCC(F)F ZINC001325897305 913630331 /nfs/dbraw/zinc/63/03/31/913630331.db2.gz KIWMAGJTGVQUHS-WDEREUQCSA-N 0 1 299.321 0.066 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)CC(C)(C)O ZINC001481133828 892212070 /nfs/dbraw/zinc/21/20/70/892212070.db2.gz KQRUEOFYBUQUNE-UHFFFAOYSA-N 0 1 284.400 0.625 20 30 CCEDMN CC#CCN(CCNC(=O)c1c(C)ncn1C)C1CC1 ZINC001481173533 892270699 /nfs/dbraw/zinc/27/06/99/892270699.db2.gz VCDDLURQDPDUCL-UHFFFAOYSA-N 0 1 274.368 0.946 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)CNC(=O)C1CC1 ZINC001481209312 892338892 /nfs/dbraw/zinc/33/88/92/892338892.db2.gz BHDDKXGNLPMZLB-UHFFFAOYSA-N 0 1 273.764 0.313 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1CC12CCCC2 ZINC001283819424 892443659 /nfs/dbraw/zinc/44/36/59/892443659.db2.gz VXGZSCVRAFOILM-RYUDHWBXSA-N 0 1 250.342 0.267 20 30 CCEDMN C#CCCN1CC[C@H](N(CCC)C(=O)c2ncn(C)n2)C1 ZINC001481396587 892610121 /nfs/dbraw/zinc/61/01/21/892610121.db2.gz LHPZKJQMWDITPJ-ZDUSSCGKSA-N 0 1 289.383 0.765 20 30 CCEDMN CCCN(C(=O)Cc1ccn[nH]1)[C@@H]1CCN(CC#N)C1 ZINC001481395346 892611297 /nfs/dbraw/zinc/61/12/97/892611297.db2.gz OFDRKFTXKQADRE-CYBMUJFWSA-N 0 1 275.356 0.789 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2ncoc2C)C1 ZINC001481616075 892907132 /nfs/dbraw/zinc/90/71/32/892907132.db2.gz GLEUQWGYMSRIFB-UHFFFAOYSA-N 0 1 279.340 0.847 20 30 CCEDMN Cc1nc([C@H](C)N2CC(CNC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001481617326 892909305 /nfs/dbraw/zinc/90/93/05/892909305.db2.gz DYMZIUYWZJWRQQ-IUCAKERBSA-N 0 1 276.344 0.382 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)CNC(C)=O ZINC001481680329 892996263 /nfs/dbraw/zinc/99/62/63/892996263.db2.gz ZERJDRVGXLUXAN-KBPBESRZSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cncn2C)C1 ZINC001481690628 893015465 /nfs/dbraw/zinc/01/54/65/893015465.db2.gz YOQLRRLFVQOGNS-LBPRGKRZSA-N 0 1 278.356 0.427 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CNC(=O)c2cccs2)C1 ZINC001481695638 893026523 /nfs/dbraw/zinc/02/65/23/893026523.db2.gz JWFMNJDKSGANPC-NSHDSACASA-N 0 1 293.392 0.854 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H]1CCN(CCN2CCCC2=O)C1 ZINC001481719115 893082816 /nfs/dbraw/zinc/08/28/16/893082816.db2.gz FYTRSKRLYIKGAO-OLZOCXBDSA-N 0 1 292.383 0.301 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CC[C@@H](C)OC)C1 ZINC001481721261 893094148 /nfs/dbraw/zinc/09/41/48/893094148.db2.gz HDTXMGCVJZPPKA-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCOCCN1CC[C@@H](N(C)C(=O)c2nc[nH]n2)C1 ZINC001481743393 893108367 /nfs/dbraw/zinc/10/83/67/893108367.db2.gz NLDVAHMZLLYNAE-LLVKDONJSA-N 0 1 279.344 0.154 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@H](C)NC(=O)CC)C1 ZINC001481769355 893133744 /nfs/dbraw/zinc/13/37/44/893133744.db2.gz RMYTZKDJCQDRED-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)Cc1cnc[nH]1 ZINC001326102098 913752082 /nfs/dbraw/zinc/75/20/82/913752082.db2.gz DBIWQRLOHFVFJU-UHFFFAOYSA-N 0 1 286.763 0.115 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)CNCc1nnc(C)[nH]1 ZINC001482086463 893378951 /nfs/dbraw/zinc/37/89/51/893378951.db2.gz UNYXRSJETKINMW-SNVBAGLBSA-N 0 1 265.361 0.921 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2C[C@@H]2C(=O)OC)C1 ZINC001499643767 893622608 /nfs/dbraw/zinc/62/26/08/893622608.db2.gz XAFCJJGDHZQQJI-STQMWFEESA-N 0 1 280.368 0.904 20 30 CCEDMN C#CCOCCC(=O)N(C)[C@@H](C)CNCc1conc1C ZINC001482284712 893769956 /nfs/dbraw/zinc/76/99/56/893769956.db2.gz QSOJTEJMHCMRQX-LBPRGKRZSA-N 0 1 293.367 0.959 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@H+](CCO)C1CC1)cc2=O ZINC001363774635 893798967 /nfs/dbraw/zinc/79/89/67/893798967.db2.gz UJPDCJJDPWLINA-UHFFFAOYSA-N 0 1 273.296 0.263 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)CNC(=O)CC ZINC001501383536 893933245 /nfs/dbraw/zinc/93/32/45/893933245.db2.gz VCFKOLLQEZJSAX-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN Cn1ncnc1C=Nn1c(=S)[nH]nc1C(F)(F)F ZINC001326203819 913818848 /nfs/dbraw/zinc/81/88/48/913818848.db2.gz JHVXOTKLFYTVBZ-UHFFFAOYSA-N 0 1 277.235 0.596 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CCNCc2nncn2C)C1 ZINC001326223529 913830704 /nfs/dbraw/zinc/83/07/04/913830704.db2.gz IKRVVUYTKQUSPP-NWDGAFQWSA-N 0 1 290.371 0.303 20 30 CCEDMN CC(C)[C@H](CNC(=O)C#CC1CC1)NCc1nncn1C ZINC001482529933 894194570 /nfs/dbraw/zinc/19/45/70/894194570.db2.gz QHQDNIDGPPSSDP-ZDUSSCGKSA-N 0 1 289.383 0.459 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@](CO)(NC(=O)CCCOC)C1 ZINC001271941589 894229440 /nfs/dbraw/zinc/22/94/40/894229440.db2.gz OETBFGWGRHQCRU-HNNXBMFYSA-N 0 1 282.384 0.379 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)CNC(=O)C1CC1 ZINC001505565074 894271168 /nfs/dbraw/zinc/27/11/68/894271168.db2.gz IHHWIJRHUPVFOL-VHSXEESVSA-N 0 1 287.791 0.748 20 30 CCEDMN C=C(C)CCN(C)CCN(C)C(=O)CS(C)(=O)=O ZINC001482584650 894286169 /nfs/dbraw/zinc/28/61/69/894286169.db2.gz ZFXQDTTXNBOLPN-UHFFFAOYSA-N 0 1 276.402 0.387 20 30 CCEDMN C=CCOCC[N@H+](C)CCN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001482590474 894327958 /nfs/dbraw/zinc/32/79/58/894327958.db2.gz UQXILIAATXKWHG-UHFFFAOYSA-N 0 1 296.371 0.630 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H](OC)C1CC1 ZINC001482593478 894350025 /nfs/dbraw/zinc/35/00/25/894350025.db2.gz MVPNTGYIZTWDCJ-AWEZNQCLSA-N 0 1 282.384 0.451 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COCCOC)[C@H](OC)C1 ZINC001212047985 894482472 /nfs/dbraw/zinc/48/24/72/894482472.db2.gz RXVQGZSNSVYMRS-CHWSQXEVSA-N 0 1 286.372 0.041 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)c1ccccc1C ZINC001482978132 894747460 /nfs/dbraw/zinc/74/74/60/894747460.db2.gz FQFRWHMFGDOYRL-VOTSOKGWSA-N 0 1 299.374 0.620 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC001326358663 913910859 /nfs/dbraw/zinc/91/08/59/913910859.db2.gz PEOKWFNBZREVMN-WCQYABFASA-N 0 1 279.384 0.668 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)[C@H](C)C(C)C ZINC001483068196 894873362 /nfs/dbraw/zinc/87/33/62/894873362.db2.gz DWPNWDYKTCGSBL-KTRBRXNASA-N 0 1 279.384 0.290 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H](C)CNCc1oc(C)nc1C ZINC001483127751 894973079 /nfs/dbraw/zinc/97/30/79/894973079.db2.gz IYIXCCPPIKODRD-PWSUYJOCSA-N 0 1 293.367 0.924 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001076054379 895015990 /nfs/dbraw/zinc/01/59/90/895015990.db2.gz BPDJVIBGKMWLBJ-UONOGXRCSA-N 0 1 285.347 0.496 20 30 CCEDMN C[C@H](CN(C)[C@H]1CCCNC1=O)NC(=O)C#CC(C)(C)C ZINC001483335292 895472751 /nfs/dbraw/zinc/47/27/51/895472751.db2.gz AQRQTNIXLYTLKY-OLZOCXBDSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H](C)NC(=O)C1CCCC1 ZINC001483342057 895480235 /nfs/dbraw/zinc/48/02/35/895480235.db2.gz QLEFCCCPDDAFRK-STQMWFEESA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)Cc1ccc2c(c1)NC(=O)C2 ZINC001483352477 895487445 /nfs/dbraw/zinc/48/74/45/895487445.db2.gz XQDMOOVQSUTDAH-LBPRGKRZSA-N 0 1 299.374 0.793 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CN(C)C(C)=O)C1 ZINC001507302644 895536257 /nfs/dbraw/zinc/53/62/57/895536257.db2.gz WCJXSKIJLKPTKY-ZYHUDNBSSA-N 0 1 287.791 0.796 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cncnc1CC ZINC001483423276 895556133 /nfs/dbraw/zinc/55/61/33/895556133.db2.gz YLUOOHLIBDDFAW-NSHDSACASA-N 0 1 260.341 0.722 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)C1(C)CCC1 ZINC001507684684 895745058 /nfs/dbraw/zinc/74/50/58/895745058.db2.gz PGMKWHQNDVSRIO-CQSZACIVSA-N 0 1 296.411 0.578 20 30 CCEDMN COCCCN1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001483644522 895786608 /nfs/dbraw/zinc/78/66/08/895786608.db2.gz SYJVTCVFONKLSG-LBPRGKRZSA-N 0 1 276.340 0.727 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)c1cnc[nH]c1=O ZINC001483660251 895818617 /nfs/dbraw/zinc/81/86/17/895818617.db2.gz REAMBBJEUHYZEI-NSHDSACASA-N 0 1 292.339 0.189 20 30 CCEDMN C=CCC1(C(=O)NC[C@@H]2CCN2C[C@H](C)O)CCOCC1 ZINC001483695390 895872333 /nfs/dbraw/zinc/87/23/33/895872333.db2.gz BNBWHCUPZYHRFQ-KBPBESRZSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCC1(C(=O)NC[C@H]2CCN2C[C@@H](C)O)CCOCC1 ZINC001483695393 895874286 /nfs/dbraw/zinc/87/42/86/895874286.db2.gz BNBWHCUPZYHRFQ-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001484075850 896184250 /nfs/dbraw/zinc/18/42/50/896184250.db2.gz NKSSGMOFTRNIEO-SWLSCSKDSA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)Cc2cc[nH]n2)C1 ZINC001484155239 896211175 /nfs/dbraw/zinc/21/11/75/896211175.db2.gz STXAXMXYAYXTEL-HNNXBMFYSA-N 0 1 274.368 0.804 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@](O)(CNC(=O)CCCOC)C1 ZINC001484204996 896234746 /nfs/dbraw/zinc/23/47/46/896234746.db2.gz HWUNWDLEPITFSY-CQSZACIVSA-N 0 1 270.373 0.542 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H]([C@H](C)NCC#N)C2)n[nH]1 ZINC001484332839 896348346 /nfs/dbraw/zinc/34/83/46/896348346.db2.gz PWQUSCQLIDWMAN-WDEREUQCSA-N 0 1 261.329 0.682 20 30 CCEDMN CC[C@H](SC)C(=O)NCCN1CCC(NCC#N)CC1 ZINC001484418196 896388051 /nfs/dbraw/zinc/38/80/51/896388051.db2.gz PDDTXANZOWVMKI-ZDUSSCGKSA-N 0 1 298.456 0.822 20 30 CCEDMN CC[C@@H](SC)C(=O)NCCN1CCC(NCC#N)CC1 ZINC001484418195 896389688 /nfs/dbraw/zinc/38/96/88/896389688.db2.gz PDDTXANZOWVMKI-CYBMUJFWSA-N 0 1 298.456 0.822 20 30 CCEDMN C=CCOCCN1CCC[C@](CO)(NC(=O)C2CCC2)C1 ZINC001484425618 896390680 /nfs/dbraw/zinc/39/06/80/896390680.db2.gz VRIRHEOBURZUMX-INIZCTEOSA-N 0 1 296.411 0.932 20 30 CCEDMN C#CCCCCC(=O)N[C@]1(CO)CCCN(CCOC)C1 ZINC001484434727 896404781 /nfs/dbraw/zinc/40/47/81/896404781.db2.gz RHBWOCQPHCCLCR-MRXNPFEDSA-N 0 1 296.411 0.770 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)[C@@H](OCC)C2CC2)C1 ZINC001484435731 896407652 /nfs/dbraw/zinc/40/76/52/896407652.db2.gz RRZTXDPVLAKKRV-GOEBONIOSA-N 0 1 296.411 0.931 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@@H](CCNCC#N)C2)n[nH]1 ZINC001484507931 896448801 /nfs/dbraw/zinc/44/88/01/896448801.db2.gz JTYHNKZRCOPROO-LLVKDONJSA-N 0 1 275.356 0.937 20 30 CCEDMN C[C@H](C#N)C(=O)NCc1ccc([C@@H](C)NCC(N)=O)cc1 ZINC001484561112 896469702 /nfs/dbraw/zinc/46/97/02/896469702.db2.gz WFIHEZWIQRNQEG-GHMZBOCLSA-N 0 1 288.351 0.598 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2cncn2C)CCO1 ZINC001484683012 896540800 /nfs/dbraw/zinc/54/08/00/896540800.db2.gz ZKNVQMGTCWTCDD-LLVKDONJSA-N 0 1 298.774 0.603 20 30 CCEDMN C=C(Cl)CN[C@@]1(CO)CCCN(C(=O)COC)C1 ZINC001484828193 896615259 /nfs/dbraw/zinc/61/52/59/896615259.db2.gz BOHVXKYGMJQUAV-LBPRGKRZSA-N 0 1 276.764 0.328 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@]1(C)CCNC1=O ZINC001485069278 896748147 /nfs/dbraw/zinc/74/81/47/896748147.db2.gz NHUOWEPENGCDLO-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1snnc1C ZINC001485274625 896912827 /nfs/dbraw/zinc/91/28/27/896912827.db2.gz PGBSDWTXDDRUHK-MRVPVSSYSA-N 0 1 252.343 0.530 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@@H](C)CNC(=O)C#CC(C)(C)C ZINC001485299893 896928855 /nfs/dbraw/zinc/92/88/55/896928855.db2.gz MLHKJUSRELQRER-NWDGAFQWSA-N 0 1 281.400 0.736 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)N(C)CCNC(=O)C1CC1 ZINC001485304513 896950291 /nfs/dbraw/zinc/95/02/91/896950291.db2.gz RHDGDMDOOZASCL-CYBMUJFWSA-N 0 1 293.411 0.609 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1coc(OC)n1 ZINC001485332088 896956581 /nfs/dbraw/zinc/95/65/81/896956581.db2.gz KJMFXFYGIQFIFF-SNVBAGLBSA-N 0 1 265.313 0.757 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccc(C(N)=O)s1 ZINC001485362275 896987111 /nfs/dbraw/zinc/98/71/11/896987111.db2.gz QGFUXISOHWBOAU-SECBINFHSA-N 0 1 279.365 0.530 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1ccn(C)n1 ZINC001485384303 897013787 /nfs/dbraw/zinc/01/37/87/897013787.db2.gz UDMCTLZZVOHOMY-GFCCVEGCSA-N 0 1 278.356 0.120 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)CO[C@H]1CCOC1 ZINC001485477678 897070960 /nfs/dbraw/zinc/07/09/60/897070960.db2.gz XAUMNZSBIUGCFN-GJZGRUSLSA-N 0 1 294.395 0.786 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CCCNCc1cnn(C)n1 ZINC001485721230 897200630 /nfs/dbraw/zinc/20/06/30/897200630.db2.gz JUGIYRFOZPZVHN-CYBMUJFWSA-N 0 1 291.399 0.849 20 30 CCEDMN C#CC[N@H+](C)CCOCCNC(=O)c1cc(CC)n(C)n1 ZINC001485778645 897229453 /nfs/dbraw/zinc/22/94/53/897229453.db2.gz JOQSCZAOSBPQPR-UHFFFAOYSA-N 0 1 292.383 0.294 20 30 CCEDMN C#CC[N@@H+](C)CCOCCNC(=O)c1cc(C2CC2)no1 ZINC001485777557 897230320 /nfs/dbraw/zinc/23/03/20/897230320.db2.gz VEXKRIUVKWXOBK-UHFFFAOYSA-N 0 1 291.351 0.863 20 30 CCEDMN C=CC[N@H+](C)C1CCN(C(=O)CS(=O)(=O)CC)CC1 ZINC001485767963 897233548 /nfs/dbraw/zinc/23/35/48/897233548.db2.gz VFFNPQVCQBYAKR-UHFFFAOYSA-N 0 1 288.413 0.530 20 30 CCEDMN C=CCN(C)C1CCN(C(=O)CS(=O)(=O)CC)CC1 ZINC001485767963 897233565 /nfs/dbraw/zinc/23/35/65/897233565.db2.gz VFFNPQVCQBYAKR-UHFFFAOYSA-N 0 1 288.413 0.530 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@]2(C)CN(CC#N)CCO2)c1C ZINC001107830639 897330604 /nfs/dbraw/zinc/33/06/04/897330604.db2.gz SMKLHYXFPTXHOL-CQSZACIVSA-N 0 1 291.355 0.371 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2CN3[C@@H](C)COC)c1 ZINC001032616940 897830878 /nfs/dbraw/zinc/83/08/78/897830878.db2.gz CXIONEDLQYKDAW-RCBQFDQVSA-N 0 1 299.374 0.997 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)CC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001272815330 897903094 /nfs/dbraw/zinc/90/30/94/897903094.db2.gz VYICSPPZAZMNAS-NSHDSACASA-N 0 1 295.387 0.449 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cnn(C(F)F)c2)C1 ZINC001077898132 898012776 /nfs/dbraw/zinc/01/27/76/898012776.db2.gz DLKKNEIYFYYKDY-GHMZBOCLSA-N 0 1 298.293 0.076 20 30 CCEDMN C=CCCN1CCOC[C@@H]1CNC(=O)Cc1n[nH]c(C)n1 ZINC001272858844 898083825 /nfs/dbraw/zinc/08/38/25/898083825.db2.gz GMZKLHGVNYRSGK-LBPRGKRZSA-N 0 1 293.371 0.049 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H]2CCN(C3CC3)C2=O)CC1 ZINC001116637960 898146826 /nfs/dbraw/zinc/14/68/26/898146826.db2.gz HOCPRCSZCZHLDM-AWEZNQCLSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn3c(n2)CCC3)CC1 ZINC001000700246 898305450 /nfs/dbraw/zinc/30/54/50/898305450.db2.gz OPOXGGZAEGOUKF-UHFFFAOYSA-N 0 1 284.363 0.824 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H](CO)NCc1ccsc1 ZINC001485991075 898578550 /nfs/dbraw/zinc/57/85/50/898578550.db2.gz NTNRPAVSYBSWJC-GXTWGEPZSA-N 0 1 298.408 0.642 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1c(C)cccc1Cl ZINC001486014132 898590754 /nfs/dbraw/zinc/59/07/54/898590754.db2.gz WLXQABLVQNIVFU-LBPRGKRZSA-N 0 1 294.782 0.891 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(C)c(C)cn1 ZINC001486020795 898593827 /nfs/dbraw/zinc/59/38/27/898593827.db2.gz YEHWQSXXUFLDRW-GFCCVEGCSA-N 0 1 261.325 0.012 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1(c2cccnc2)CC1 ZINC001486024945 898600461 /nfs/dbraw/zinc/60/04/61/898600461.db2.gz DINPQJQENNLEKH-AWEZNQCLSA-N 0 1 287.363 0.203 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(F)cc(Cl)c1 ZINC001486035562 898623734 /nfs/dbraw/zinc/62/37/34/898623734.db2.gz BQGGNQXQKYLEPW-LBPRGKRZSA-N 0 1 284.718 0.793 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H](C)Cc1ccccc1 ZINC001486063942 898630194 /nfs/dbraw/zinc/63/01/94/898630194.db2.gz VNDBIXDOIQURGI-HOCLYGCPSA-N 0 1 288.391 0.955 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@@H](N[C@@H](C)c2nncn2C)C1 ZINC001397568583 914277961 /nfs/dbraw/zinc/27/79/61/914277961.db2.gz LFQJHPRGYADQAF-FIQHERPVSA-N 0 1 290.371 0.520 20 30 CCEDMN C=C(C)C[N@H+](C)C[C@@H](O)CN(C)C(=O)c1n[nH]c(C)c1[O-] ZINC001486330665 898793317 /nfs/dbraw/zinc/79/33/17/898793317.db2.gz INRWSECOCOLYQS-LLVKDONJSA-N 0 1 296.371 0.365 20 30 CCEDMN COCC#CCN(C)CCN(C(=O)COC)C(C)C ZINC001486360252 898813457 /nfs/dbraw/zinc/81/34/57/898813457.db2.gz JJZVVAPVCUKVNU-UHFFFAOYSA-N 0 1 270.373 0.451 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1(CC)CCOCC1 ZINC001486353030 898810795 /nfs/dbraw/zinc/81/07/95/898810795.db2.gz SCPFRCPXXNDJSJ-AWEZNQCLSA-N 0 1 296.411 0.578 20 30 CCEDMN C#CCN(C)CCN(C(=O)c1ccnc(OC)n1)C(C)C ZINC001486372092 898839603 /nfs/dbraw/zinc/83/96/03/898839603.db2.gz QOJODXGCOPDVIN-UHFFFAOYSA-N 0 1 290.367 0.901 20 30 CCEDMN C=CCN(CCNC(=O)c1cnn(C)c1C)CCOC ZINC001486426281 898864847 /nfs/dbraw/zinc/86/48/47/898864847.db2.gz GDJDXSIQAMLSFI-UHFFFAOYSA-N 0 1 280.372 0.593 20 30 CCEDMN C=CCC[C@H](C)N1CC(NC(=O)C2(C(=O)NC)CC2)C1 ZINC001494465167 899115617 /nfs/dbraw/zinc/11/56/17/899115617.db2.gz YXBJTOYLPLIPQL-NSHDSACASA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001487371384 899162342 /nfs/dbraw/zinc/16/23/42/899162342.db2.gz GNQZEOVKBVTKGQ-QWRGUYRKSA-N 0 1 291.355 0.928 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001487371384 899162351 /nfs/dbraw/zinc/16/23/51/899162351.db2.gz GNQZEOVKBVTKGQ-QWRGUYRKSA-N 0 1 291.355 0.928 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H](C)NC(=O)[C@@H](C)C#N)c1C ZINC001410279791 899313505 /nfs/dbraw/zinc/31/35/05/899313505.db2.gz RUBMTZBYYLRNSA-JGVFFNPUSA-N 0 1 277.328 0.421 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H](C)NC(=O)[C@H](C)C#N)c1C ZINC001410454823 899417116 /nfs/dbraw/zinc/41/71/16/899417116.db2.gz RUBMTZBYYLRNSA-SFYZADRCSA-N 0 1 277.328 0.421 20 30 CCEDMN COC(=O)c1cc(NS(=O)(=O)[C@H](C)C#N)cn1C ZINC001193107636 900033861 /nfs/dbraw/zinc/03/38/61/900033861.db2.gz YXXNVHDCRVJRCR-SSDOTTSWSA-N 0 1 271.298 0.465 20 30 CCEDMN CN(CCCNC(=O)Cc1cnc[nH]1)C(=O)C#CC1CC1 ZINC001492865196 914417018 /nfs/dbraw/zinc/41/70/18/914417018.db2.gz JMVHGURKLYEJRV-UHFFFAOYSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)C2(C)CC2)n1 ZINC001489076882 900427567 /nfs/dbraw/zinc/42/75/67/900427567.db2.gz UJUCKDFADYOGNH-UHFFFAOYSA-N 0 1 274.324 0.321 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)C2(C)CCC2)n1 ZINC001489078212 900428019 /nfs/dbraw/zinc/42/80/19/900428019.db2.gz FMNTZYOXOKVDEV-UHFFFAOYSA-N 0 1 288.351 0.711 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C[C@](C)(O)C=C)C1 ZINC001489158051 900445003 /nfs/dbraw/zinc/44/50/03/900445003.db2.gz QIJUTTAPNYIJED-GOEBONIOSA-N 0 1 294.395 0.232 20 30 CCEDMN CC#CCN(CCO)[C@H]1CCCN(C(=O)[C@@H]2C[C@H]2C)C1 ZINC001489164498 900445242 /nfs/dbraw/zinc/44/52/42/900445242.db2.gz STCRXLOMVMDPTR-QLFBSQMISA-N 0 1 278.396 0.951 20 30 CCEDMN CN(CCCNC(=O)[C@@H]1CCC1(C)C)CC(=O)NCC#N ZINC001490237523 900575877 /nfs/dbraw/zinc/57/58/77/900575877.db2.gz PWLZAXPSBCKTQE-LBPRGKRZSA-N 0 1 294.399 0.500 20 30 CCEDMN CCN(CCCNC(=O)C1=CCCC1)CC(=O)NCC#N ZINC001490323576 900607049 /nfs/dbraw/zinc/60/70/49/900607049.db2.gz LUCHVQWXKFBGGG-UHFFFAOYSA-N 0 1 292.383 0.565 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001490474270 900633964 /nfs/dbraw/zinc/63/39/64/900633964.db2.gz ZDOJQLCVNHDNCR-ZIAGYGMSSA-N 0 1 265.401 0.952 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC001490618575 900677969 /nfs/dbraw/zinc/67/79/69/900677969.db2.gz NLXRNZXARFCRJB-KGLIPLIRSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CC[NH2+][C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001490652809 900691897 /nfs/dbraw/zinc/69/18/97/900691897.db2.gz DSFKPPOFFHPGNT-LLVKDONJSA-N 0 1 259.309 0.518 20 30 CCEDMN CC1CCN(CC(=O)NC[C@@H](NCC#N)C2CC2)CC1 ZINC001490721841 900700631 /nfs/dbraw/zinc/70/06/31/900700631.db2.gz GUKHEFJSZLKEDS-CQSZACIVSA-N 0 1 278.400 0.726 20 30 CCEDMN C#CCCCC(=O)N(C)C1CN(C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001299344094 900853724 /nfs/dbraw/zinc/85/37/24/900853724.db2.gz ZITFTPDIJQWXIF-VXGBXAGGSA-N 0 1 293.323 0.124 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cn(CCOC)nc1C ZINC001275560437 901128209 /nfs/dbraw/zinc/12/82/09/901128209.db2.gz QOJIMOMJXDQCGJ-LBPRGKRZSA-N 0 1 292.383 0.521 20 30 CCEDMN CN(C)C(=O)[C@]12C[C@H]1CCN2C(=O)c1cccc(C#N)c1O ZINC001276214868 901974023 /nfs/dbraw/zinc/97/40/23/901974023.db2.gz DAMLUKXIDXGPPR-BZNIZROVSA-N 0 1 299.330 0.957 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCNC(=O)NC)C1 ZINC001398504957 914675123 /nfs/dbraw/zinc/67/51/23/914675123.db2.gz GQCIODNOCVMHFL-JTQLQIEISA-N 0 1 288.779 0.249 20 30 CCEDMN N#Cc1cncc(CNC(=O)CCCc2nn[nH]n2)c1 ZINC001413615817 903121026 /nfs/dbraw/zinc/12/10/26/903121026.db2.gz RKJGQJNOSTVWTI-UHFFFAOYSA-N 0 1 271.284 0.105 20 30 CCEDMN Cc1nc(CN2CCCC[C@H]2CNC(=O)[C@H](C)C#N)n[nH]1 ZINC001491202932 903443805 /nfs/dbraw/zinc/44/38/05/903443805.db2.gz DIJDVLMGSWFXCL-PWSUYJOCSA-N 0 1 290.371 0.744 20 30 CCEDMN C=CC[N@@H+]1CCCC[C@@H]1CNC(=O)Cc1nnc[nH]1 ZINC001491229430 903454888 /nfs/dbraw/zinc/45/48/88/903454888.db2.gz GXYNNEBBQXGXDR-LLVKDONJSA-N 0 1 263.345 0.504 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](C)CNCc1nnc(C)[nH]1 ZINC001495652874 903512509 /nfs/dbraw/zinc/51/25/09/903512509.db2.gz RSEQZKIYEPXFKZ-QMTHXVAHSA-N 0 1 295.387 0.282 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)CCOC ZINC001115056144 903704080 /nfs/dbraw/zinc/70/40/80/903704080.db2.gz UXJXSQUXNRGGEZ-ITGUQSILSA-N 0 1 264.369 0.729 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)CCOC ZINC001115056144 903704085 /nfs/dbraw/zinc/70/40/85/903704085.db2.gz UXJXSQUXNRGGEZ-ITGUQSILSA-N 0 1 264.369 0.729 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001159767828 903766508 /nfs/dbraw/zinc/76/65/08/903766508.db2.gz NYYGSZRGUOMHBU-CQSZACIVSA-N 0 1 289.379 0.284 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NC[C@H](C)N(C)CC#N ZINC001329575721 903810874 /nfs/dbraw/zinc/81/08/74/903810874.db2.gz VZOGUSHOGLRYTD-MNOVXSKESA-N 0 1 263.345 0.548 20 30 CCEDMN N#Cc1cccc(C#N)c1NCCN1CC[C@H](O)C1 ZINC001331552711 903998029 /nfs/dbraw/zinc/99/80/29/903998029.db2.gz IMCPKISCTFHXBQ-ZDUSSCGKSA-N 0 1 256.309 0.908 20 30 CCEDMN C=CCCC(=O)NC[C@H]1C[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001280767096 904147200 /nfs/dbraw/zinc/14/72/00/904147200.db2.gz DMAVUADIFAVODK-MGCOHNPYSA-N 0 1 277.328 0.396 20 30 CCEDMN C=CCCC(=O)NC[C@H]1C[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001280767096 904147205 /nfs/dbraw/zinc/14/72/05/904147205.db2.gz DMAVUADIFAVODK-MGCOHNPYSA-N 0 1 277.328 0.396 20 30 CCEDMN CC#CC[NH2+]CC1(NC(=O)c2[n-]nnc2C)CCOCC1 ZINC001281254102 904252676 /nfs/dbraw/zinc/25/26/76/904252676.db2.gz CJVOLIJGBLVRRF-UHFFFAOYSA-N 0 1 291.355 0.005 20 30 CCEDMN Cc1cc(C(=O)N2CCC(C)(NCC#N)CC2)n[nH]1 ZINC001281477954 904293710 /nfs/dbraw/zinc/29/37/10/904293710.db2.gz FGXWOMQJTFPTDO-UHFFFAOYSA-N 0 1 261.329 0.826 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H]2CCOC2)CC1 ZINC001281799601 904346102 /nfs/dbraw/zinc/34/61/02/904346102.db2.gz XXWOMGOLYWURBD-LBPRGKRZSA-N 0 1 268.357 0.152 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)COCC2CC2)CC1 ZINC001281798642 904351312 /nfs/dbraw/zinc/35/13/12/904351312.db2.gz HFCAMPBKVJAPCT-UHFFFAOYSA-N 0 1 294.395 0.379 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@]1(F)CCOC1 ZINC001281951120 904367584 /nfs/dbraw/zinc/36/75/84/904367584.db2.gz DWWFSMPWHLDGNK-TZMCWYRMSA-N 0 1 268.332 0.671 20 30 CCEDMN N#CCNCC1CC(NC(=O)CN2CCCC2)C1 ZINC001282074198 904407233 /nfs/dbraw/zinc/40/72/33/904407233.db2.gz QCCYKOVPFSAVRE-UHFFFAOYSA-N 0 1 250.346 0.090 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1cccn1C ZINC001282353718 904453173 /nfs/dbraw/zinc/45/31/73/904453173.db2.gz FPTRUALAJWUDTO-CYBMUJFWSA-N 0 1 277.368 0.725 20 30 CCEDMN CC(C)[C@H](CCN(C)C(=O)Cc1ncn[nH]1)NCC#N ZINC001282570186 904506954 /nfs/dbraw/zinc/50/69/54/904506954.db2.gz YMWSXQZKMFKMFW-NSHDSACASA-N 0 1 278.360 0.333 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1C[C@H]2CC[C@@H]1N2C(=O)c1ccn[nH]1 ZINC001282722935 904529442 /nfs/dbraw/zinc/52/94/42/904529442.db2.gz AWAQZGLLYTZUQR-BNOWGMLFSA-N 0 1 298.346 0.685 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCn2ccnn2)C(C)(C)C1 ZINC001282799947 904544236 /nfs/dbraw/zinc/54/42/36/904544236.db2.gz GMRISATWTPIIHY-CYBMUJFWSA-N 0 1 289.383 0.518 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CC[C@@H]2C[N@H+](CC(N)=O)C[C@@H]2C1 ZINC001282938962 904619752 /nfs/dbraw/zinc/61/97/52/904619752.db2.gz LTJWYICXJDTUOC-FRRDWIJNSA-N 0 1 279.384 0.655 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)COCC=C)C2)C1 ZINC001282957911 904634566 /nfs/dbraw/zinc/63/45/66/904634566.db2.gz VZZDTYCCXKYTSG-UHFFFAOYSA-N 0 1 262.353 0.747 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001283266441 904785145 /nfs/dbraw/zinc/78/51/45/904785145.db2.gz ZIFFYSBSEIXVJP-QWRGUYRKSA-N 0 1 291.355 0.370 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNC(=O)[C@H](C)Cc1cnc[nH]1 ZINC001283266439 904785309 /nfs/dbraw/zinc/78/53/09/904785309.db2.gz ZIFFYSBSEIXVJP-GHMZBOCLSA-N 0 1 291.355 0.370 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@@H]1CCN(C)C1=O ZINC001377476350 904920874 /nfs/dbraw/zinc/92/08/74/904920874.db2.gz QUOINXIZGUAPIP-NWDGAFQWSA-N 0 1 280.372 0.205 20 30 CCEDMN O=C(C#CC1CC1)NC[C@H](CO)NCC#Cc1ccccc1 ZINC001283757143 904997307 /nfs/dbraw/zinc/99/73/07/904997307.db2.gz QEBTUJLHCOZACC-QGZVFWFLSA-N 0 1 296.370 0.518 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C(C)(C)C1CC1 ZINC001283798688 905022196 /nfs/dbraw/zinc/02/21/96/905022196.db2.gz ZODVAGMEVNYLEN-GFCCVEGCSA-N 0 1 252.358 0.513 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1cc(C)ccc1C ZINC001283802357 905025047 /nfs/dbraw/zinc/02/50/47/905025047.db2.gz BEYPFXBAZHHLJG-OAHLLOKOSA-N 0 1 274.364 0.546 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C(C)(C)C(F)(F)F ZINC001283824700 905039645 /nfs/dbraw/zinc/03/96/45/905039645.db2.gz MVPZQVPPYFBWFN-MRVPVSSYSA-N 0 1 266.263 0.275 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@@H](C)NC(=O)c1ccc(C(N)=O)[nH]1 ZINC001377848707 905186485 /nfs/dbraw/zinc/18/64/85/905186485.db2.gz FZPJQNNYEKIGNE-DTWKUNHWSA-N 0 1 298.774 0.963 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)NC(=O)CN1CCCC1 ZINC001284233107 905209645 /nfs/dbraw/zinc/20/96/45/905209645.db2.gz KFXMIXNATRFIAX-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001284307421 905243514 /nfs/dbraw/zinc/24/35/14/905243514.db2.gz YVHDORMXCWNRIN-JTQLQIEISA-N 0 1 277.328 0.233 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001284307421 905243527 /nfs/dbraw/zinc/24/35/27/905243527.db2.gz YVHDORMXCWNRIN-JTQLQIEISA-N 0 1 277.328 0.233 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H](C)CSC ZINC001284481750 905313634 /nfs/dbraw/zinc/31/36/34/905313634.db2.gz HXYUJTIBSIUJBQ-NWDGAFQWSA-N 0 1 272.414 0.370 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C1=CCCC1 ZINC001284492886 905325314 /nfs/dbraw/zinc/32/53/14/905325314.db2.gz PRZZOWFPNLYMIV-AWEZNQCLSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccc(C)c(C)n1 ZINC001284504596 905338851 /nfs/dbraw/zinc/33/88/51/905338851.db2.gz GQKKCOQBJXORQQ-CQSZACIVSA-N 0 1 289.379 0.696 20 30 CCEDMN C#CCCCCC(=O)NCCN(C)C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001284514733 905350487 /nfs/dbraw/zinc/35/04/87/905350487.db2.gz VGJQUNAWFFCYTQ-VXGBXAGGSA-N 0 1 295.339 0.420 20 30 CCEDMN CC(C)C#CC(=O)N1CC(NC(=O)CN(C)C2CCC2)C1 ZINC001284915168 905488784 /nfs/dbraw/zinc/48/87/84/905488784.db2.gz VQAHYJZPPCTRGJ-UHFFFAOYSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)[C@@H](C)NC(=O)CN1CCCC1 ZINC001285322475 905597557 /nfs/dbraw/zinc/59/75/57/905597557.db2.gz QNOSTYMWKWDMNI-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@H](COC)OC ZINC001378807456 905830391 /nfs/dbraw/zinc/83/03/91/905830391.db2.gz DDGZRUIOWSPQJX-MNOVXSKESA-N 0 1 278.780 0.837 20 30 CCEDMN CO[C@@H](CN1CCN(CC#N)CC1)[C@@H]1CCOC1 ZINC001333319199 905924303 /nfs/dbraw/zinc/92/43/03/905924303.db2.gz CNUKBWUYAUMAMV-OLZOCXBDSA-N 0 1 253.346 0.179 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@H](C)NCC(=O)Nc1ccon1 ZINC001379112222 906001565 /nfs/dbraw/zinc/00/15/65/906001565.db2.gz XQHUXXJQWRSJBZ-UWVGGRQHSA-N 0 1 293.327 0.209 20 30 CCEDMN CCC(=O)NC[C@@H](CO)NCc1ccc(C#N)c(F)c1 ZINC001379338667 906157965 /nfs/dbraw/zinc/15/79/65/906157965.db2.gz YWHMJOJGGLEIEF-LBPRGKRZSA-N 0 1 279.315 0.674 20 30 CCEDMN CC(C)C(=O)NC[C@@H](CO)NCc1ccc(C#N)c(F)c1 ZINC001379352054 906179922 /nfs/dbraw/zinc/17/99/22/906179922.db2.gz QTZOLVCNEPQVAZ-ZDUSSCGKSA-N 0 1 293.342 0.920 20 30 CCEDMN CC#CCCCC(=O)NCCNC(=O)[C@@H]1CCCCN1C ZINC001292946567 906373057 /nfs/dbraw/zinc/37/30/57/906373057.db2.gz HPYVKAZSAHJPOY-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C[C@H]2COC(=O)C2)CC1 ZINC001380073223 906622190 /nfs/dbraw/zinc/62/21/90/906622190.db2.gz NIKQTEVAZIXTKR-SNVBAGLBSA-N 0 1 286.759 0.931 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NCC(=O)N(C)CC2CC2)CC1 ZINC001380067962 906622671 /nfs/dbraw/zinc/62/26/71/906622671.db2.gz OVXHUEVDTYIXSL-NSHDSACASA-N 0 1 292.383 0.253 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC001295023235 906662779 /nfs/dbraw/zinc/66/27/79/906662779.db2.gz VHMXBAGVKNVGHO-JTQLQIEISA-N 0 1 292.314 0.683 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H]1C[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001295222535 906698475 /nfs/dbraw/zinc/69/84/75/906698475.db2.gz WIXXVDLTDWQJJP-PHIMTYICSA-N 0 1 290.367 0.928 20 30 CCEDMN C#CCCCS(=O)(=O)NCc1nc(CSC)n[nH]1 ZINC001337553800 921269866 /nfs/dbraw/zinc/26/98/66/921269866.db2.gz FAYKOTCQULJJCU-UHFFFAOYSA-N 0 1 288.398 0.501 20 30 CCEDMN C#CCCCS(=O)(=O)NCc1nnc(CSC)[nH]1 ZINC001337553800 921269870 /nfs/dbraw/zinc/26/98/70/921269870.db2.gz FAYKOTCQULJJCU-UHFFFAOYSA-N 0 1 288.398 0.501 20 30 CCEDMN C#CCCCCC(=O)N(C)CCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001296064661 906859244 /nfs/dbraw/zinc/85/92/44/906859244.db2.gz HRUSQABPOIJAFN-VXGBXAGGSA-N 0 1 295.339 0.420 20 30 CCEDMN CCN(CCNC(=O)C#CC1CC1)C(=O)Cc1c[nH]cn1 ZINC001296297975 906896964 /nfs/dbraw/zinc/89/69/64/906896964.db2.gz WVJKPYAAIMGMAO-UHFFFAOYSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1ccc(CN(C)C)nc1 ZINC001296955984 906978795 /nfs/dbraw/zinc/97/87/95/906978795.db2.gz PEVJSBCMTWWNEP-AWEZNQCLSA-N 0 1 275.352 0.647 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)NC(CC)(CC)c1nn[nH]n1 ZINC001297489870 907082595 /nfs/dbraw/zinc/08/25/95/907082595.db2.gz VUQPGRBFXDCVAD-UHFFFAOYSA-N 0 1 295.343 0.841 20 30 CCEDMN C=CCCC(=O)NC[C@@H](O)CNC(=O)[C@@H]1CCCC[N@@H+]1C ZINC001298156697 907166565 /nfs/dbraw/zinc/16/65/65/907166565.db2.gz FKSJKLBQNFHEOF-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCCC(=O)NC[C@@H](O)CNC(=O)[C@@H]1CCCCN1C ZINC001298156697 907166572 /nfs/dbraw/zinc/16/65/72/907166572.db2.gz FKSJKLBQNFHEOF-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001298747107 907316724 /nfs/dbraw/zinc/31/67/24/907316724.db2.gz ASMSLLUVDLQZQP-VHSXEESVSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001298747107 907316745 /nfs/dbraw/zinc/31/67/45/907316745.db2.gz ASMSLLUVDLQZQP-VHSXEESVSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001298851059 907343466 /nfs/dbraw/zinc/34/34/66/907343466.db2.gz LKEVPQRFJKSPGU-WDEREUQCSA-N 0 1 293.371 0.570 20 30 CCEDMN Cc1nc(CN2CC([C@@H](C)NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001381767440 907387483 /nfs/dbraw/zinc/38/74/83/907387483.db2.gz BWUPPANBPHGMQK-DTWKUNHWSA-N 0 1 276.344 0.209 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]1CNC(=O)Cc1cnc[nH]1 ZINC001299106657 907388046 /nfs/dbraw/zinc/38/80/46/907388046.db2.gz LZIQZPWHYQLYDH-LBPRGKRZSA-N 0 1 276.340 0.636 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CN(C)C(=O)Cc1ccn[nH]1 ZINC001381910997 907453216 /nfs/dbraw/zinc/45/32/16/907453216.db2.gz IMMFSFSWFBOQRS-UWVGGRQHSA-N 0 1 277.328 0.075 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@H]1CC(=O)N(C)C1 ZINC001382086018 907538610 /nfs/dbraw/zinc/53/86/10/907538610.db2.gz NBRCQEANFGLGRU-MNOVXSKESA-N 0 1 287.791 0.654 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001382086020 907539281 /nfs/dbraw/zinc/53/92/81/907539281.db2.gz NBRCQEANFGLGRU-WDEREUQCSA-N 0 1 287.791 0.654 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)c1n[nH]nc1C ZINC001382121372 907557522 /nfs/dbraw/zinc/55/75/22/907557522.db2.gz NDVPWGOBGDCXIJ-QMMMGPOBSA-N 0 1 271.752 0.916 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)C[C@@H]1CCC(=O)N1 ZINC001382136440 907565368 /nfs/dbraw/zinc/56/53/68/907565368.db2.gz ZXGDHRIAGICRCG-MNOVXSKESA-N 0 1 287.791 0.844 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001492349386 907866235 /nfs/dbraw/zinc/86/62/35/907866235.db2.gz QZPAEMVRXDWSAS-VXGBXAGGSA-N 0 1 295.339 0.276 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H](C)N(C)CC(F)(F)F ZINC001492385215 907891604 /nfs/dbraw/zinc/89/16/04/907891604.db2.gz WAAYENOSCSZDFU-LLVKDONJSA-N 0 1 293.333 0.940 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H](C)CNC(=O)CN1CCCC1 ZINC001382986402 907913867 /nfs/dbraw/zinc/91/38/67/907913867.db2.gz WTUUQEYQJHOSMH-VXGBXAGGSA-N 0 1 280.372 0.205 20 30 CCEDMN C=CCCCN(CC)C(=O)C(=O)N(C)CC1CN(C)C1 ZINC001336539122 907928263 /nfs/dbraw/zinc/92/82/63/907928263.db2.gz ACOJATXFEMHXQK-UHFFFAOYSA-N 0 1 281.400 0.821 20 30 CCEDMN C[C@@H](Nc1cccnc1C#N)[C@@H]1CN(C)CCN1C ZINC001338084275 921364414 /nfs/dbraw/zinc/36/44/14/921364414.db2.gz MFIMEQYYPBHJAN-RISCZKNCSA-N 0 1 259.357 0.999 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCc1n[nH]c(CO)n1 ZINC001301193084 907959210 /nfs/dbraw/zinc/95/92/10/907959210.db2.gz WSESLZRYMUDWEB-GFCCVEGCSA-N 0 1 268.317 0.142 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCc1nnc(CO)[nH]1 ZINC001301193084 907959220 /nfs/dbraw/zinc/95/92/20/907959220.db2.gz WSESLZRYMUDWEB-GFCCVEGCSA-N 0 1 268.317 0.142 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)C3(C(N)=O)CC3)[nH]c2c1 ZINC001301792971 907988722 /nfs/dbraw/zinc/98/87/22/907988722.db2.gz WTRAHIMRXIRBGP-UHFFFAOYSA-N 0 1 269.264 0.639 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)CC(F)(F)F ZINC001307808551 908350202 /nfs/dbraw/zinc/35/02/02/908350202.db2.gz XAZYZSJYFSRJBH-JTQLQIEISA-N 0 1 252.280 0.797 20 30 CCEDMN C[C@H]1C[C@H](CO)CN(CC(=O)NC2(C#N)CCC2)C1 ZINC001307856941 908357091 /nfs/dbraw/zinc/35/70/91/908357091.db2.gz LWZHKXCWNNMRAH-RYUDHWBXSA-N 0 1 265.357 0.499 20 30 CCEDMN N#Cc1ccc(CN2C[C@H](CO)[C@H](CO)C2)c([N+](=O)[O-])c1 ZINC001308022141 908366933 /nfs/dbraw/zinc/36/69/33/908366933.db2.gz ZKGKVUNWLUBDNC-BETUJISGSA-N 0 1 291.307 0.499 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H](N(C)C(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001385362779 908413035 /nfs/dbraw/zinc/41/30/35/908413035.db2.gz AEARRNKPYIRZTE-GXSJLCMTSA-N 0 1 289.339 0.551 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCOc1cccnc1 ZINC001311991091 908578360 /nfs/dbraw/zinc/57/83/60/908578360.db2.gz IKLFZHNXUXNDNH-UHFFFAOYSA-N 0 1 276.340 0.325 20 30 CCEDMN CC[C@@](C)(CNC(=O)NCC#CCN(C)C)OC ZINC001312737811 908625759 /nfs/dbraw/zinc/62/57/59/908625759.db2.gz MPMDXCVBAWJTKF-ZDUSSCGKSA-N 0 1 255.362 0.666 20 30 CCEDMN CC(C)[C@H](O)C(C)(C)CNC(=O)NCC#CCN(C)C ZINC001313439238 908667246 /nfs/dbraw/zinc/66/72/46/908667246.db2.gz IDZJXGMLKNUMTF-ZDUSSCGKSA-N 0 1 283.416 0.894 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC#CC[NH+](C)C)C[C@H](C)[N@@H+]1C ZINC001313439844 908667826 /nfs/dbraw/zinc/66/78/26/908667826.db2.gz VKABMKODIKDFBJ-BETUJISGSA-N 0 1 266.389 0.285 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)[C@H]2C[C@@H]2C)n1 ZINC001316714951 908694384 /nfs/dbraw/zinc/69/43/84/908694384.db2.gz DVMOVXOZQGKAKS-ONGXEEELSA-N 0 1 274.324 0.177 20 30 CCEDMN COCC#CCN(C)C[C@@H]1CCCN1C(=O)CCCOC ZINC001328707075 908704965 /nfs/dbraw/zinc/70/49/65/908704965.db2.gz KGQIWYJKWQSPKG-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)CCNC(N)=O ZINC001316805873 908725822 /nfs/dbraw/zinc/72/58/22/908725822.db2.gz HOMZCBHPFIXOJR-ZDUSSCGKSA-N 0 1 294.399 0.381 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)NC(=O)c1cccc2nc[nH]c21 ZINC001386460645 908753357 /nfs/dbraw/zinc/75/33/57/908753357.db2.gz YDQBSLZXDTVPJA-NXEZZACHSA-N 0 1 299.334 0.957 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)NC(=O)c1ccn2cncc2c1 ZINC001386460792 908753477 /nfs/dbraw/zinc/75/34/77/908753477.db2.gz YNVZPHFNXVPXFH-GHMZBOCLSA-N 0 1 299.334 0.728 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1CCN(CC#CCOC)[C@@H]1C ZINC001316782100 908772130 /nfs/dbraw/zinc/77/21/30/908772130.db2.gz CEJVTALHUXTJDK-CABCVRRESA-N 0 1 292.379 0.255 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCNC(=O)[C@@H](C)C#N)C1=O ZINC001316815122 908783947 /nfs/dbraw/zinc/78/39/47/908783947.db2.gz FYOULUMMVVYBCZ-QWHCGFSZSA-N 0 1 292.383 0.371 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN(C)Cc1n[nH]c(C)n1 ZINC001316824687 908793630 /nfs/dbraw/zinc/79/36/30/908793630.db2.gz LDOACRTVXWPWCQ-NSHDSACASA-N 0 1 293.371 0.090 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@H](C)NC(C)=O)C1 ZINC001316936965 908856388 /nfs/dbraw/zinc/85/63/88/908856388.db2.gz BNLJZCPIJXEIEU-JSGCOSHPSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C2CC(OC)C2)CC1 ZINC001316965353 908871495 /nfs/dbraw/zinc/87/14/95/908871495.db2.gz XIVXQZKFWFBISE-UHFFFAOYSA-N 0 1 281.400 0.331 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1C[C@@H](NCc2ccn(C)n2)C1 ZINC001317108660 908985438 /nfs/dbraw/zinc/98/54/38/908985438.db2.gz DHBPYMNLKPWDPX-BNOWGMLFSA-N 0 1 290.367 0.195 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCCN([C@@H](CC)C(N)=O)C1 ZINC001317132107 909004101 /nfs/dbraw/zinc/00/41/01/909004101.db2.gz VWBROTPKDFKMKD-KBPBESRZSA-N 0 1 293.411 0.882 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCCN([C@H](CC)C(N)=O)C1 ZINC001317132112 909009202 /nfs/dbraw/zinc/00/92/02/909009202.db2.gz VWBROTPKDFKMKD-ZIAGYGMSSA-N 0 1 293.411 0.882 20 30 CCEDMN N#CCNC[C@H]1CCCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001317163036 909022648 /nfs/dbraw/zinc/02/26/48/909022648.db2.gz LMTAYRJNWPYTEW-LLVKDONJSA-N 0 1 298.350 0.923 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCC[C@H](C)NCc1ccon1 ZINC001317391791 909169628 /nfs/dbraw/zinc/16/96/28/909169628.db2.gz YORNVEQUBAORPS-FZMZJTMJSA-N 0 1 281.356 0.986 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cncc(C)c1 ZINC001317441170 909201265 /nfs/dbraw/zinc/20/12/65/909201265.db2.gz CZKKDDCCWMOKMO-UHFFFAOYSA-N 0 1 275.352 0.701 20 30 CCEDMN C#CCN(C)CCNC(=O)COCc1ncc(C)o1 ZINC001317450801 909222543 /nfs/dbraw/zinc/22/25/43/909222543.db2.gz LQKUKVHOKLUELN-UHFFFAOYSA-N 0 1 265.313 0.181 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCOC[C@H]1CCCO1 ZINC001317453269 909227870 /nfs/dbraw/zinc/22/78/70/909227870.db2.gz OKVHEIYNPRCIPW-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCN(C)CCn1cncn1 ZINC001317459625 909238520 /nfs/dbraw/zinc/23/85/20/909238520.db2.gz NAWIWDHETNACRW-AWEZNQCLSA-N 0 1 279.388 0.928 20 30 CCEDMN C#CCN(C)CCNC(=O)Cn1ccnc1C(C)C ZINC001317463262 909242492 /nfs/dbraw/zinc/24/24/92/909242492.db2.gz VWFTVKQBXRFZEJ-UHFFFAOYSA-N 0 1 262.357 0.688 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCc1cnccn1 ZINC001317487938 909259271 /nfs/dbraw/zinc/25/92/71/909259271.db2.gz AMFUBZVVQNJCDX-UHFFFAOYSA-N 0 1 260.341 0.481 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)CCCC(N)=O ZINC001317513939 909279477 /nfs/dbraw/zinc/27/94/77/909279477.db2.gz QHWCJKXNZFAUNS-GFCCVEGCSA-N 0 1 265.357 0.246 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)CCc1cnn(C)n1 ZINC001317507924 909280618 /nfs/dbraw/zinc/28/06/18/909280618.db2.gz GLPPBRDHSLRJLL-CQSZACIVSA-N 0 1 289.383 0.352 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)C(C)(C)NC(C)=O ZINC001317508974 909282079 /nfs/dbraw/zinc/28/20/79/909282079.db2.gz ODSHJWAKDNKQPX-LBPRGKRZSA-N 0 1 265.357 0.115 20 30 CCEDMN C=C(C)CN1CC[C@@H](CNC(=O)CCS(C)(=O)=O)C1 ZINC001317524927 909288347 /nfs/dbraw/zinc/28/83/47/909288347.db2.gz CLUAQAPCCWMBAA-LBPRGKRZSA-N 0 1 288.413 0.435 20 30 CCEDMN C#CCN(CCNC(=O)[C@H](NC(N)=O)C(C)(C)C)C1CC1 ZINC001317558980 909330769 /nfs/dbraw/zinc/33/07/69/909330769.db2.gz OKAKRSOZOQRXKF-LBPRGKRZSA-N 0 1 294.399 0.283 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cnccn1 ZINC001317564629 909342956 /nfs/dbraw/zinc/34/29/56/909342956.db2.gz UWQGNHQWJQDSMM-UHFFFAOYSA-N 0 1 254.721 0.891 20 30 CCEDMN C=C(C)CCC(=O)N(C)CCNCc1cnnn1C ZINC001317592443 909392359 /nfs/dbraw/zinc/39/23/59/909392359.db2.gz RKAQDRCCFZLQNU-UHFFFAOYSA-N 0 1 265.361 0.719 20 30 CCEDMN C[C@@H](NCC#N)C1CCN(C(=O)C2=NC(=O)N(C)C2)CC1 ZINC001317672776 909462589 /nfs/dbraw/zinc/46/25/89/909462589.db2.gz ADHFISZZMMWCNC-SNVBAGLBSA-N 0 1 291.355 0.480 20 30 CCEDMN Cc1noc(C)c1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001317773382 909484394 /nfs/dbraw/zinc/48/43/94/909484394.db2.gz WZGGSBSGFTZOJQ-UHFFFAOYSA-N 0 1 265.298 0.722 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CCNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001317796635 909498926 /nfs/dbraw/zinc/49/89/26/909498926.db2.gz CGQFRHBQMZOOLV-MJBXVCDLSA-N 0 1 291.395 0.220 20 30 CCEDMN N#CCN1CC[C@@H](CCNC(=O)CCc2c[nH]nn2)C1 ZINC001317802239 909505565 /nfs/dbraw/zinc/50/55/65/909505565.db2.gz VFFOCCMLCZZXCO-LLVKDONJSA-N 0 1 276.344 0.089 20 30 CCEDMN N#CCN1CC[C@@H](CCNC(=O)CCc2cnn[nH]2)C1 ZINC001317802239 909505573 /nfs/dbraw/zinc/50/55/73/909505573.db2.gz VFFOCCMLCZZXCO-LLVKDONJSA-N 0 1 276.344 0.089 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](COC)OC)C1 ZINC001317981874 909559401 /nfs/dbraw/zinc/55/94/01/909559401.db2.gz UZLYUIDNBOFVSZ-NWDGAFQWSA-N 0 1 256.346 0.414 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)C[C@@H](C)NC(N)=O)C1 ZINC001318024532 909586323 /nfs/dbraw/zinc/58/63/23/909586323.db2.gz KXNFGCQNHWJPBU-GHMZBOCLSA-N 0 1 282.388 0.588 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2ccn(C)n2)C1 ZINC001318254777 909676569 /nfs/dbraw/zinc/67/65/69/909676569.db2.gz IBEQAWGZFXHJJW-ZDUSSCGKSA-N 0 1 260.341 0.176 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CC2(O)CCC2)C1 ZINC001318402213 909743711 /nfs/dbraw/zinc/74/37/11/909743711.db2.gz KKEIDTSVVDZUJO-CYBMUJFWSA-N 0 1 264.369 0.848 20 30 CCEDMN N#CCN1CCC(N[C@H](C(N)=O)c2ccc(C#N)cc2)CC1 ZINC001318516426 909795992 /nfs/dbraw/zinc/79/59/92/909795992.db2.gz DCKXCDUIYCXAMP-HNNXBMFYSA-N 0 1 297.362 0.662 20 30 CCEDMN C#CCNC(=O)CN1CCC(CCNC(=O)CCC)CC1 ZINC001319009453 909955576 /nfs/dbraw/zinc/95/55/76/909955576.db2.gz YBBVCYZWNGELMN-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN CCCn1ncnc1CN[C@H]1C[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001389834203 910106891 /nfs/dbraw/zinc/10/68/91/910106891.db2.gz GNMVLYBBWRKYEB-IJLUTSLNSA-N 0 1 290.371 0.585 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001390334916 910357399 /nfs/dbraw/zinc/35/73/99/910357399.db2.gz GAYPQUDHBZXTOE-NXEZZACHSA-N 0 1 273.764 0.359 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@@H]1CCCc2n[nH]nc21)NCC#N ZINC001319943760 910367042 /nfs/dbraw/zinc/36/70/42/910367042.db2.gz LLJCBFDSBKPIEF-ZYHUDNBSSA-N 0 1 290.371 0.479 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](OC)c1cnn(C)c1 ZINC001320028979 910421127 /nfs/dbraw/zinc/42/11/27/910421127.db2.gz JIYVCXGCWVIVTB-CYBMUJFWSA-N 0 1 278.356 0.131 20 30 CCEDMN C=CCO[C@H]1CCN(CCNS(=O)(=O)CC)C1 ZINC001320322013 910610572 /nfs/dbraw/zinc/61/05/72/910610572.db2.gz RNPXPZLWPZWJKN-NSHDSACASA-N 0 1 262.375 0.203 20 30 CCEDMN CCn1cc(CNCC[C@H](C)NC(=O)[C@@H](C)C#N)nn1 ZINC001320371912 910640893 /nfs/dbraw/zinc/64/08/93/910640893.db2.gz MZJJJJHIBZAOGC-QWRGUYRKSA-N 0 1 278.360 0.442 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)[C@H]1COC(=O)N1 ZINC001320724858 910826925 /nfs/dbraw/zinc/82/69/25/910826925.db2.gz LOVOATQSGWPQDD-SECBINFHSA-N 0 1 275.736 0.285 20 30 CCEDMN CC(C)N(C)C(=O)CN1CC[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001391085430 910865126 /nfs/dbraw/zinc/86/51/26/910865126.db2.gz JFBHADNEHJWHRY-OLZOCXBDSA-N 0 1 294.399 0.451 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C)[C@@H](C)CC#N ZINC001339008979 921624586 /nfs/dbraw/zinc/62/45/86/921624586.db2.gz IZHIPPXPGPUFBB-VIFPVBQESA-N 0 1 272.316 0.988 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC/C=C\CNCc1cncs1 ZINC001321056187 911055101 /nfs/dbraw/zinc/05/51/01/911055101.db2.gz BXXVFAOZCBETNN-RXNFCKPNSA-N 0 1 293.392 0.943 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCc2cn[nH]c2)CC1 ZINC001339038123 921632393 /nfs/dbraw/zinc/63/23/93/921632393.db2.gz VGUPFDPVWFNEFR-UHFFFAOYSA-N 0 1 261.329 0.446 20 30 CCEDMN C=CCNC(=O)Cc1c(C)nc(-c2ncccn2)[nH]c1=O ZINC001321755155 911474168 /nfs/dbraw/zinc/47/41/68/911474168.db2.gz BWCBFDKWUTXQIZ-UHFFFAOYSA-N 0 1 285.307 0.792 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cn(C(C)(C)C)nn1 ZINC001322168091 911693519 /nfs/dbraw/zinc/69/35/19/911693519.db2.gz HPNIGDWPYMJVJH-NSHDSACASA-N 0 1 277.372 0.716 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCC(=O)NC1CCCC1 ZINC001322268617 911736850 /nfs/dbraw/zinc/73/68/50/911736850.db2.gz FAMHYMKBCSWHSG-CYBMUJFWSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1COc2ccccc2O1 ZINC001322292636 911752409 /nfs/dbraw/zinc/75/24/09/911752409.db2.gz HPVMNKYAWYDQRC-IUODEOHRSA-N 0 1 288.347 0.896 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1coc(CC)n1 ZINC001392361017 911757362 /nfs/dbraw/zinc/75/73/62/911757362.db2.gz FHTZKTDRMBQLBC-SECBINFHSA-N 0 1 287.747 0.670 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cnn2c1CCC2 ZINC001392379509 911769140 /nfs/dbraw/zinc/76/91/40/911769140.db2.gz NILYZHHBLLYBMN-SNVBAGLBSA-N 0 1 298.774 0.262 20 30 CCEDMN CC(C)N(C)C(=O)CCNC(O)=C1N=CC=CC1=O ZINC001322374291 911779029 /nfs/dbraw/zinc/77/90/29/911779029.db2.gz KFEMCHCMDODIPL-OUKQBFOZSA-N 0 1 265.313 0.770 20 30 CCEDMN CC#CCCCC(=O)N(C)CCCNCc1nnnn1C ZINC001322652720 911899996 /nfs/dbraw/zinc/89/99/96/911899996.db2.gz YIJCUBYTQINPAJ-UHFFFAOYSA-N 0 1 292.387 0.342 20 30 CCEDMN Cc1noc(C)c1CNCCNC(=O)C1N=CC=CC1=O ZINC001322808543 911968497 /nfs/dbraw/zinc/96/84/97/911968497.db2.gz ZZKQNQYITORYOT-YPKPFQOOSA-N 0 1 290.323 0.907 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C[C@@](C)(O)C1CC1 ZINC001323215284 912190199 /nfs/dbraw/zinc/19/01/99/912190199.db2.gz VKPNBSFSTXIFCU-UKRRQHHQSA-N 0 1 264.369 0.751 20 30 CCEDMN COCC#CC(=O)NC1CCN(Cc2ccncc2)CC1 ZINC001328120808 914982547 /nfs/dbraw/zinc/98/25/47/914982547.db2.gz DESQBLSRYQLOMR-UHFFFAOYSA-N 0 1 287.363 0.812 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C1=COCCO1 ZINC001328702318 915363525 /nfs/dbraw/zinc/36/35/25/915363525.db2.gz HTVIDIBHRRFWEU-LBPRGKRZSA-N 0 1 264.325 0.431 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)N1CC[C@@](F)(C#N)C1 ZINC001329037359 915613424 /nfs/dbraw/zinc/61/34/24/915613424.db2.gz SYJGIQHKEQLSKQ-SMDDNHRTSA-N 0 1 296.346 0.051 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CCN(CCO)C(C)(C)C1 ZINC001329118165 915686501 /nfs/dbraw/zinc/68/65/01/915686501.db2.gz MOFUBZBBLNVFRH-LBPRGKRZSA-N 0 1 285.388 0.286 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccccc1-n1ccnn1 ZINC001492894528 915810014 /nfs/dbraw/zinc/81/00/14/915810014.db2.gz NBUYSLORSIFGLF-UHFFFAOYSA-N 0 1 297.362 0.952 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@@H](C)SC ZINC001329392026 915873300 /nfs/dbraw/zinc/87/33/00/915873300.db2.gz IPTWIJKRKAZQFZ-NWDGAFQWSA-N 0 1 272.414 0.824 20 30 CCEDMN CCN1CCN(c2nnc([C@H]3C[C@@H]3C#N)n2C)CC1 ZINC001339703359 921804475 /nfs/dbraw/zinc/80/44/75/921804475.db2.gz WPCVRRQZFXEEFJ-MNOVXSKESA-N 0 1 260.345 0.584 20 30 CCEDMN C[C@@H](CNCc1cnon1)CNC(=O)c1cc(C#N)c[nH]1 ZINC001401105334 916049744 /nfs/dbraw/zinc/04/97/44/916049744.db2.gz GWLDIBVNMRTBIW-VIFPVBQESA-N 0 1 288.311 0.425 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1n[nH]nc1C ZINC001401238398 916138717 /nfs/dbraw/zinc/13/87/17/916138717.db2.gz XWJJCLBKDGWMJX-QMMMGPOBSA-N 0 1 271.752 0.916 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cnc(C)cn1 ZINC001401492550 916280690 /nfs/dbraw/zinc/28/06/90/916280690.db2.gz NTJAAFDIGNOGBU-SNVBAGLBSA-N 0 1 284.747 0.218 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CNC(=O)C1CC1 ZINC001401494518 916282566 /nfs/dbraw/zinc/28/25/66/916282566.db2.gz TXGKBVGONXQNLO-SECBINFHSA-N 0 1 277.162 0.372 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cccc(=O)[nH]1 ZINC001401500529 916288914 /nfs/dbraw/zinc/28/89/14/916288914.db2.gz FMOHNENUIGFGFY-SECBINFHSA-N 0 1 285.731 0.220 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCCC(N)=O)C(C)(C)C1 ZINC001330244469 916502971 /nfs/dbraw/zinc/50/29/71/916502971.db2.gz LXRODTGNDGULAP-LBPRGKRZSA-N 0 1 279.384 0.492 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)NC(C)=O)C1CC1 ZINC001401819948 916612790 /nfs/dbraw/zinc/61/27/90/916612790.db2.gz FDBWECPOMJBFNF-BXKDBHETSA-N 0 1 287.791 0.748 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1C[C@H](CNCc2cnon2)C1 ZINC001330598384 916733874 /nfs/dbraw/zinc/73/38/74/916733874.db2.gz BJUZVCXHPDFTNN-IJLUTSLNSA-N 0 1 292.339 0.092 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC(C)(NC(=O)[C@H](C)C#N)CC1 ZINC001402082703 916796580 /nfs/dbraw/zinc/79/65/80/916796580.db2.gz XCJYGRAESKTISJ-GHMZBOCLSA-N 0 1 280.372 0.381 20 30 CCEDMN CC(C)n1cc(CN[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)nn1 ZINC001402491103 917052890 /nfs/dbraw/zinc/05/28/90/917052890.db2.gz GNBHAZJPRHKZGC-SRVKXCTJSA-N 0 1 290.371 0.755 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCCN(CC(=O)N2CCC2)C1 ZINC001402654091 917159689 /nfs/dbraw/zinc/15/96/89/917159689.db2.gz AMAVIMGACSXJKF-STQMWFEESA-N 0 1 292.383 0.207 20 30 CCEDMN CN(C(=O)CCc1c[nH]nn1)[C@@H](CNCC#N)C1CC1 ZINC001331323781 917266268 /nfs/dbraw/zinc/26/62/68/917266268.db2.gz HLMIDVJMMYWPEY-LBPRGKRZSA-N 0 1 276.344 0.087 20 30 CCEDMN CN(C(=O)CCc1cnn[nH]1)[C@@H](CNCC#N)C1CC1 ZINC001331323781 917266286 /nfs/dbraw/zinc/26/62/86/917266286.db2.gz HLMIDVJMMYWPEY-LBPRGKRZSA-N 0 1 276.344 0.087 20 30 CCEDMN C=CC[C@H](CO)NC(=O)N1CCc2sc(CN)nc2C1 ZINC001331452345 917344290 /nfs/dbraw/zinc/34/42/90/917344290.db2.gz XAPSGHGYLSLVAB-SECBINFHSA-N 0 1 296.396 0.607 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC001331620463 917456110 /nfs/dbraw/zinc/45/61/10/917456110.db2.gz KIUYUTVYNFNMHW-UONOGXRCSA-N 0 1 280.368 0.511 20 30 CCEDMN CCC(=O)NC[C@@H](CO)NCc1ccc(C#N)s1 ZINC001331664847 917490751 /nfs/dbraw/zinc/49/07/51/917490751.db2.gz NDCGFFOYOJGTHI-VIFPVBQESA-N 0 1 267.354 0.596 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1nccc2cccnc21 ZINC001331724289 917548895 /nfs/dbraw/zinc/54/88/95/917548895.db2.gz DTFWMZXOYNWYOI-CYBMUJFWSA-N 0 1 298.346 0.333 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)[C@H](C)C1CCCC1 ZINC001331823903 917639234 /nfs/dbraw/zinc/63/92/34/917639234.db2.gz FIDXSNUXLQQKRC-UKRRQHHQSA-N 0 1 296.411 0.529 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C[C@H](C)n1cccc1 ZINC001331824676 917641788 /nfs/dbraw/zinc/64/17/88/917641788.db2.gz JBFPKBBGMCRESD-QWHCGFSZSA-N 0 1 263.341 0.139 20 30 CCEDMN C#CCC1(O)CCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC001331911174 917708089 /nfs/dbraw/zinc/70/80/89/917708089.db2.gz LPTVQHPJEUHHQN-UHFFFAOYSA-N 0 1 290.367 0.894 20 30 CCEDMN N#CCNC[C@@H]1CC[C@@H](NC(=O)CCc2c[nH]nn2)C1 ZINC001332303010 918032677 /nfs/dbraw/zinc/03/26/77/918032677.db2.gz SUTJKKDHIWLQCH-GHMZBOCLSA-N 0 1 276.344 0.135 20 30 CCEDMN N#CCNC[C@@H]1CC[C@@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001332303010 918032695 /nfs/dbraw/zinc/03/26/95/918032695.db2.gz SUTJKKDHIWLQCH-GHMZBOCLSA-N 0 1 276.344 0.135 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@H]1C[N@@H+](C2CC2)C[C@H]1C)C(=O)[O-] ZINC001332605901 918305247 /nfs/dbraw/zinc/30/52/47/918305247.db2.gz GHDUXYDZOUTUBW-USWWRNFRSA-N 0 1 281.356 0.798 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1c(C)ccn1C ZINC001332630826 918328629 /nfs/dbraw/zinc/32/86/29/918328629.db2.gz BCIYKWUEIIUVEK-CQSZACIVSA-N 0 1 291.395 0.722 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C[C@H](C)C(F)(F)F ZINC001332661995 918366897 /nfs/dbraw/zinc/36/68/97/918366897.db2.gz BWJPWPSDCQTXEZ-QWRGUYRKSA-N 0 1 294.317 0.959 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)NC[C@H]2CCN2C)C1 ZINC001332865881 918494000 /nfs/dbraw/zinc/49/40/00/918494000.db2.gz NFFCSRGSXFESCU-VXGBXAGGSA-N 0 1 253.346 0.677 20 30 CCEDMN C=CCN(CCNC(=O)c1ccccn1)CCOC ZINC001332910821 918523584 /nfs/dbraw/zinc/52/35/84/918523584.db2.gz YPGILWPOTZQBOK-UHFFFAOYSA-N 0 1 263.341 0.946 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)CC(C)(C)O)CC1 ZINC001333328414 918806150 /nfs/dbraw/zinc/80/61/50/918806150.db2.gz OCVPEOKDQQKEIN-UHFFFAOYSA-N 0 1 264.369 0.919 20 30 CCEDMN C#CCN1CC=C(CNC(=O)C[C@@](C)(O)C=C)CC1 ZINC001333327242 918806347 /nfs/dbraw/zinc/80/63/47/918806347.db2.gz HAVKAWCPXWTJDL-HNNXBMFYSA-N 0 1 262.353 0.695 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)[C@@H](COC)OC)CC1 ZINC001333401222 918869432 /nfs/dbraw/zinc/86/94/32/918869432.db2.gz RIZDYSHEYLTMJU-CQSZACIVSA-N 0 1 280.368 0.419 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@@H](COC)OC)CC1 ZINC001333401222 918869442 /nfs/dbraw/zinc/86/94/42/918869442.db2.gz RIZDYSHEYLTMJU-CQSZACIVSA-N 0 1 280.368 0.419 20 30 CCEDMN N#Cc1ccc(CNC[C@@H](O)CNC(=O)C2CC2)c(F)c1 ZINC001406183015 919093162 /nfs/dbraw/zinc/09/31/62/919093162.db2.gz CXCMVHJWDQELDL-CYBMUJFWSA-N 0 1 291.326 0.674 20 30 CCEDMN CN(C)CC#CCNC(=O)NC1(C(F)(F)F)CC1 ZINC001333844577 919207052 /nfs/dbraw/zinc/20/70/52/919207052.db2.gz YVUPZMPTCZNPCD-UHFFFAOYSA-N 0 1 263.263 0.946 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001333980093 919292475 /nfs/dbraw/zinc/29/24/75/919292475.db2.gz LRIDZHOWMKYKMH-AXFHLTTASA-N 0 1 292.339 0.516 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001333980093 919292491 /nfs/dbraw/zinc/29/24/91/919292491.db2.gz LRIDZHOWMKYKMH-AXFHLTTASA-N 0 1 292.339 0.516 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@]1(C)CCNC(=O)C1 ZINC001406503732 919310407 /nfs/dbraw/zinc/31/04/07/919310407.db2.gz SGFXMYKCJMPKCU-GXFFZTMASA-N 0 1 287.791 0.750 20 30 CCEDMN C=C1CCN(C(=O)C[N@@H+]2CCC[C@H](C(=O)[O-])C2)CC1 ZINC001334187393 919409289 /nfs/dbraw/zinc/40/92/89/919409289.db2.gz LCZMJCJCMFILEX-LBPRGKRZSA-N 0 1 266.341 0.962 20 30 CCEDMN C#CC1(O)CCN(C[C@H]2CCCS(=O)(=O)C2)CC1 ZINC001334376184 919535161 /nfs/dbraw/zinc/53/51/61/919535161.db2.gz MHASBBWLGRGIHY-GFCCVEGCSA-N 0 1 271.382 0.271 20 30 CCEDMN Cc1ncc(C(=O)N2CCC(NC(=O)[C@@H](C)C#N)CC2)[nH]1 ZINC001407279928 919688069 /nfs/dbraw/zinc/68/80/69/919688069.db2.gz HCIROBFTJIRFEB-VIFPVBQESA-N 0 1 289.339 0.599 20 30 CCEDMN COCC[C@](C)(C#N)NC(=O)C1=NC(=O)N(C)C1 ZINC001334805951 919780075 /nfs/dbraw/zinc/78/00/75/919780075.db2.gz MZRXDVGYZDZKRV-LLVKDONJSA-N 0 1 252.274 0.174 20 30 CCEDMN C=CCCOCC(=O)N1CC(N2CCN(C)CC2)C1 ZINC001334933588 919848986 /nfs/dbraw/zinc/84/89/86/919848986.db2.gz JNEFLEZDFUKAJF-UHFFFAOYSA-N 0 1 267.373 0.037 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCN(C)CC1)[C@@H]1CCC(=O)N1 ZINC001334971966 919873022 /nfs/dbraw/zinc/87/30/22/919873022.db2.gz LOUICRJRLRCWSK-QWRGUYRKSA-N 0 1 278.356 0.005 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2ccn(C)n2)CCO1 ZINC001407855136 919923377 /nfs/dbraw/zinc/92/33/77/919923377.db2.gz QSWYBXCSPDXLIF-NSHDSACASA-N 0 1 298.774 0.603 20 30 CCEDMN C=C(Cl)CN[C@]1(CO)CCCN(C(=O)c2ncc[nH]2)C1 ZINC001408043792 919996784 /nfs/dbraw/zinc/99/67/84/919996784.db2.gz UANNRLSALRCVQV-CYBMUJFWSA-N 0 1 298.774 0.719 20 30 CCEDMN CCCN1CCCC[C@H]1C(=O)N[C@H]1CCN(O)C1=O ZINC001335287206 920094139 /nfs/dbraw/zinc/09/41/39/920094139.db2.gz GCJZKBNSMZAVGV-QWRGUYRKSA-N 0 1 269.345 0.357 20 30 CCEDMN COCC[C@H]1CNCCN1C(=O)NCCCC#N ZINC001335511267 920247775 /nfs/dbraw/zinc/24/77/75/920247775.db2.gz XOKJENPWFKQSEC-NSHDSACASA-N 0 1 254.334 0.310 20 30 CCEDMN N#CCSCC(=O)N1CC[C@H](c2[nH]ncc2N)C1 ZINC001336378660 920748389 /nfs/dbraw/zinc/74/83/89/920748389.db2.gz RANNWEPMVXCGCQ-QMMMGPOBSA-N 0 1 265.342 0.565 20 30 CCEDMN C#CCNCC(=O)NC[C@H](O)c1cccc(OC(C)C)c1 ZINC001339955010 921894010 /nfs/dbraw/zinc/89/40/10/921894010.db2.gz KRNXKJLQYDIJGN-HNNXBMFYSA-N 0 1 290.363 0.846 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@@H]1c1ccccc1 ZINC001339973639 921899356 /nfs/dbraw/zinc/89/93/56/921899356.db2.gz NMSAHEYHHLWDRG-CQSZACIVSA-N 0 1 258.321 0.809 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(CCN2CCSCC2)C1=O ZINC001340049434 921934069 /nfs/dbraw/zinc/93/40/69/921934069.db2.gz ONLJYLGHLHTGOC-JTQLQIEISA-N 0 1 269.370 0.532 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@H](Cc2ccccc2)C1 ZINC001340217046 922010858 /nfs/dbraw/zinc/01/08/58/922010858.db2.gz UCJSCAQBTWCTBU-OAHLLOKOSA-N 0 1 272.348 0.679 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C)c1ncc(C)s1 ZINC001340396919 922073653 /nfs/dbraw/zinc/07/36/53/922073653.db2.gz BYMNBBLSQKPSFU-VIFPVBQESA-N 0 1 251.355 0.894 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)NC[C@@H]1COCCN1 ZINC001340711443 922177943 /nfs/dbraw/zinc/17/79/43/922177943.db2.gz ZNJAVHONFIAYAE-GFCCVEGCSA-N 0 1 259.309 0.585 20 30 CCEDMN C#CCNCC(=O)N(C)CCN(C)c1ccccc1 ZINC001340863837 922248772 /nfs/dbraw/zinc/24/87/72/922248772.db2.gz ADULAHAEVCLWMD-UHFFFAOYSA-N 0 1 259.353 0.804 20 30 CCEDMN C#CCN(CC#C)c1nnc(-c2c[nH]nn2)n1CC ZINC001340926235 922279766 /nfs/dbraw/zinc/27/97/66/922279766.db2.gz FXIIHZTZMVPNJL-UHFFFAOYSA-N 0 1 255.285 0.156 20 30 CCEDMN CN[C@H](C(=O)N1CCC[C@H](C#N)C1)c1cnn(C)c1 ZINC001340989446 922306613 /nfs/dbraw/zinc/30/66/13/922306613.db2.gz BNMWHQNIASOPDN-PWSUYJOCSA-N 0 1 261.329 0.443 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C[C@H](C)CC)C(=O)OC ZINC001341166984 922365392 /nfs/dbraw/zinc/36/53/92/922365392.db2.gz SXAYIISOYWTXKM-MNOVXSKESA-N 0 1 254.330 0.303 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2ccc(F)cn2)CC1 ZINC001342034869 922771122 /nfs/dbraw/zinc/77/11/22/922771122.db2.gz CWALRYZENYFFLS-UHFFFAOYSA-N 0 1 276.315 0.092 20 30 CCEDMN C=CCn1c(C2=NO[C@@H](CO)C2)nnc1N(C)CC(=C)C ZINC001342212459 922862332 /nfs/dbraw/zinc/86/23/32/922862332.db2.gz UPLXKPQSUPDURO-LLVKDONJSA-N 0 1 291.355 0.962 20 30 CCEDMN N#Cc1ccc(OCC(=O)NC[C@H](O)c2cnc[nH]2)cc1 ZINC001419583426 922933280 /nfs/dbraw/zinc/93/32/80/922933280.db2.gz XXHAOUVNQDVOJA-ZDUSSCGKSA-N 0 1 286.291 0.510 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H]1COCCO1 ZINC001419657386 922978201 /nfs/dbraw/zinc/97/82/01/922978201.db2.gz SCXFDNQSGQEYMT-QWRGUYRKSA-N 0 1 276.764 0.591 20 30 CCEDMN C#CCNCC(=O)N1CCC(Cc2noc(C)n2)CC1 ZINC001342598299 923020805 /nfs/dbraw/zinc/02/08/05/923020805.db2.gz OMAOMTXSJDCXRQ-UHFFFAOYSA-N 0 1 276.340 0.382 20 30 CCEDMN Cc1c(C(=O)NCC(=O)NCC#N)ccc2cncn21 ZINC001343000065 923160479 /nfs/dbraw/zinc/16/04/79/923160479.db2.gz VYJBKCMUOSFPAG-UHFFFAOYSA-N 0 1 271.280 0.012 20 30 CCEDMN C[C@@H]1CC(=O)CC[C@H]1C(=O)C(C#N)C(=O)NC1CC1 ZINC001343364343 923286945 /nfs/dbraw/zinc/28/69/45/923286945.db2.gz AUBXOYVBMWLOQK-GGZOMVNGSA-N 0 1 262.309 0.979 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc2c[nH]ccc-2n1 ZINC001343468239 923333134 /nfs/dbraw/zinc/33/31/34/923333134.db2.gz SIJPZOBVDKQLPV-CABZTGNLSA-N 0 1 255.281 0.404 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC1CC1)[C@@H]1CCOC1 ZINC001343492102 923349430 /nfs/dbraw/zinc/34/94/30/923349430.db2.gz RFTRJROPOWIIOB-UISBYWKRSA-N 0 1 266.297 0.025 20 30 CCEDMN CCN(CCN[C@H](C)c1n[nH]c(C)n1)C(=O)[C@@H](C)C#N ZINC001420244551 923452389 /nfs/dbraw/zinc/45/23/89/923452389.db2.gz XCFLZOAXJXEXLZ-VHSXEESVSA-N 0 1 278.360 0.772 20 30 CCEDMN C=C(Br)CNC[C@@H](C)NC(=O)CNC(C)=O ZINC001420261928 923470349 /nfs/dbraw/zinc/47/03/49/923470349.db2.gz PIKKTBDTHBCVQT-MRVPVSSYSA-N 0 1 292.177 0.125 20 30 CCEDMN COc1cc(C(=O)C(C#N)c2nccn2C)ncn1 ZINC001343841420 923482548 /nfs/dbraw/zinc/48/25/48/923482548.db2.gz FHMGEMGJOFOBTD-MRVPVSSYSA-N 0 1 257.253 0.709 20 30 CCEDMN COc1cc(C(=O)[C@@H](C#N)c2nccn2C)ncn1 ZINC001343841420 923482552 /nfs/dbraw/zinc/48/25/52/923482552.db2.gz FHMGEMGJOFOBTD-MRVPVSSYSA-N 0 1 257.253 0.709 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H]1CN(C)C(=O)c1ccn[nH]1 ZINC001344143592 923560460 /nfs/dbraw/zinc/56/04/60/923560460.db2.gz ZAPCEWPCXAIAIU-LBPRGKRZSA-N 0 1 288.351 0.742 20 30 CCEDMN C#CCN1CCC(OC(=O)Cc2nc3ncccn3n2)CC1 ZINC001344373815 923587362 /nfs/dbraw/zinc/58/73/62/923587362.db2.gz VMIKPEHAVLSNJJ-UHFFFAOYSA-N 0 1 299.334 0.308 20 30 CCEDMN COCCO[C@@H]1COCC[C@@H]1NC[C@H](C#N)CCC#N ZINC001345634567 923933852 /nfs/dbraw/zinc/93/38/52/923933852.db2.gz JAUVPAYXUAPLJX-MELADBBJSA-N 0 1 281.356 0.840 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001345952491 924023579 /nfs/dbraw/zinc/02/35/79/924023579.db2.gz HLYHGQZMLLWWQX-NWDGAFQWSA-N 0 1 270.377 0.428 20 30 CCEDMN CCCCCNC(=O)C(C#N)C(=O)C[C@H]1CCC(=O)NC1 ZINC001346198924 924106527 /nfs/dbraw/zinc/10/65/27/924106527.db2.gz HRAZICFOSMMQIQ-NEPJUHHUSA-N 0 1 293.367 0.918 20 30 CCEDMN C=CCNC(=O)C(C#N)C(=O)C1CCC(NC(C)=O)CC1 ZINC001346240275 924128348 /nfs/dbraw/zinc/12/83/48/924128348.db2.gz RTAQQVNYKKMQRC-BPCQOVAHSA-N 0 1 291.351 0.692 20 30 CCEDMN C=CCN(C(=O)[C@H]1CN2CCN1C[C@@H]2C)C(C)C ZINC001346409574 924193203 /nfs/dbraw/zinc/19/32/03/924193203.db2.gz FOAZQJISHTXUNW-QWHCGFSZSA-N 0 1 251.374 0.798 20 30 CCEDMN Cc1cc(C)n(CCN2CC[C@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001421237012 924232758 /nfs/dbraw/zinc/23/27/58/924232758.db2.gz BVESXRINICPLSA-FZMZJTMJSA-N 0 1 289.383 0.850 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)N[C@H](C)[C@@H]2CN(C)CCN2C)C1 ZINC001346767796 924306654 /nfs/dbraw/zinc/30/66/54/924306654.db2.gz UJZOMDCSSKRYQA-KFWWJZLASA-N 0 1 292.427 0.676 20 30 CCEDMN N#C[C@@H]1CSCCN1C(=O)c1cnncc1O ZINC001346959064 924338818 /nfs/dbraw/zinc/33/88/18/924338818.db2.gz GHXXLJXKNQSSFS-SSDOTTSWSA-N 0 1 250.283 0.263 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N[C@]1(C#N)CC1(C)C ZINC001346986342 924345822 /nfs/dbraw/zinc/34/58/22/924345822.db2.gz OPAXDFCHSSGBJO-SUNKGSAMSA-N 0 1 262.357 0.183 20 30 CCEDMN COCC#CC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC001347311285 924419963 /nfs/dbraw/zinc/41/99/63/924419963.db2.gz RMXPYPDFPBAZFZ-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001347649425 924499986 /nfs/dbraw/zinc/49/99/86/924499986.db2.gz VBBKHQJUFULZGJ-YPMHNXCESA-N 0 1 267.329 0.788 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)[C@@H](C)OC)C1 ZINC001479898604 924607317 /nfs/dbraw/zinc/60/73/17/924607317.db2.gz NYKLTKVKZGJQPE-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001348853462 924828637 /nfs/dbraw/zinc/82/86/37/924828637.db2.gz NCLTWFOSBGBKPZ-MGPQQGTHSA-N 0 1 280.416 0.722 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCNC(=O)c1cnn[nH]1 ZINC001349081208 924880915 /nfs/dbraw/zinc/88/09/15/924880915.db2.gz MKQFRTQDHZZZNI-UHFFFAOYSA-N 0 1 265.317 0.253 20 30 CCEDMN C=CCCCC(=O)N1CC(N2CCN(C)CC2)C1 ZINC001350727775 925263507 /nfs/dbraw/zinc/26/35/07/925263507.db2.gz FMYCZXQMZAXDIN-UHFFFAOYSA-N 0 1 251.374 0.801 20 30 CCEDMN C=CC[C@@H](NC(=O)N[C@H]1CC[N@@H+](CC2CC2)C1)C(=O)[O-] ZINC001350758786 925270749 /nfs/dbraw/zinc/27/07/49/925270749.db2.gz KXWJOZZZZGPSOI-NWDGAFQWSA-N 0 1 281.356 0.799 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCc2nc[nH]c2[C@H]1c1ccnn1C ZINC001351999237 925505382 /nfs/dbraw/zinc/50/53/82/925505382.db2.gz KGSBFYRSJKKWFN-WCQYABFASA-N 0 1 287.323 0.164 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N1C[C@H]2CC[C@@H](C1)O2 ZINC001352101499 925522086 /nfs/dbraw/zinc/52/20/86/925522086.db2.gz DMFKYDWXXGMMFK-AOOOYVTPSA-N 0 1 287.327 0.617 20 30 CCEDMN C=C(CC(C)C)C(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC001352486361 925585922 /nfs/dbraw/zinc/58/59/22/925585922.db2.gz NXLQOIXGQHWXOX-JTQLQIEISA-N 0 1 265.317 0.702 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)C1(C(N)=O)CC1 ZINC001480322574 925606363 /nfs/dbraw/zinc/60/63/63/925606363.db2.gz CGDSYKQGNKPXPL-NSHDSACASA-N 0 1 265.357 0.409 20 30 CCEDMN C/C=C(\C)C(=O)N[C@@H]1[C@H]2C[N@H+](CC#CCOC)C[C@H]21 ZINC001480390838 925633852 /nfs/dbraw/zinc/63/38/52/925633852.db2.gz QERLLSYZNBIIQD-GHSVQKKKSA-N 0 1 262.353 0.649 20 30 CCEDMN C/C=C(\C)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001480390838 925633858 /nfs/dbraw/zinc/63/38/58/925633858.db2.gz QERLLSYZNBIIQD-GHSVQKKKSA-N 0 1 262.353 0.649 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN(C(=O)c2[nH]nnc2C)C1 ZINC001352816096 925649680 /nfs/dbraw/zinc/64/96/80/925649680.db2.gz STFWSPWNCHEURB-NSHDSACASA-N 0 1 289.339 0.247 20 30 CCEDMN Cc1ncc(CN[C@@H](C)CNC(=O)CSCC#N)o1 ZINC001480429043 925676010 /nfs/dbraw/zinc/67/60/10/925676010.db2.gz MISJPMQHYMFCHK-VIFPVBQESA-N 0 1 282.369 0.834 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(C(=O)NC2CC2)c1 ZINC001353041438 925711175 /nfs/dbraw/zinc/71/11/75/925711175.db2.gz CGJBKSZHOMBEMT-UHFFFAOYSA-N 0 1 285.347 0.418 20 30 CCEDMN C#CCNCC(=O)N(C)[C@H]1CCCC[C@@H]1S(C)(=O)=O ZINC001353380938 925818925 /nfs/dbraw/zinc/81/89/25/925818925.db2.gz FDMSLACCJJVNBE-RYUDHWBXSA-N 0 1 286.397 0.023 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC[C@H](C)[S@@](C)=O)CC1 ZINC001353446954 925836036 /nfs/dbraw/zinc/83/60/36/925836036.db2.gz ATXOQFZLPYAWPW-FKIZINRSSA-N 0 1 299.440 0.540 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1nc2ccccc2n1C ZINC001353549930 925867670 /nfs/dbraw/zinc/86/76/70/925867670.db2.gz YJDNXCWYPAPSDR-UHFFFAOYSA-N 0 1 270.336 0.755 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)NC(=O)[C@H]1CCCCN1C ZINC001354358388 926093037 /nfs/dbraw/zinc/09/30/37/926093037.db2.gz MWEGAFXPVGLWKA-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](C[C@@H]2CN=C(c3cnn(C)c3)O2)C1 ZINC001354591464 926135355 /nfs/dbraw/zinc/13/53/55/926135355.db2.gz QTCUJWFPDBKYRJ-KGLIPLIRSA-N 0 1 290.367 0.842 20 30 CCEDMN C=CCO[C@@H]1CCN(C[C@@H]2CN=C(c3cnn(C)c3)O2)C1 ZINC001354591464 926135364 /nfs/dbraw/zinc/13/53/64/926135364.db2.gz QTCUJWFPDBKYRJ-KGLIPLIRSA-N 0 1 290.367 0.842 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CNC(=O)[C@H]1CCCN1C ZINC001354620303 926140985 /nfs/dbraw/zinc/14/09/85/926140985.db2.gz RNMHEVYGCKEHNI-NWDGAFQWSA-N 0 1 281.400 0.914 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)CNC(=O)CCc1c[nH]nn1 ZINC001354745943 926161333 /nfs/dbraw/zinc/16/13/33/926161333.db2.gz KTDUEHKRAQCKJK-LLVKDONJSA-N 0 1 293.371 0.715 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)CNC(=O)CCc1cnn[nH]1 ZINC001354745943 926161340 /nfs/dbraw/zinc/16/13/40/926161340.db2.gz KTDUEHKRAQCKJK-LLVKDONJSA-N 0 1 293.371 0.715 20 30 CCEDMN CCN(CCNC(=O)C#CC1CC1)C(=O)Cc1ccn[nH]1 ZINC001356045364 926336684 /nfs/dbraw/zinc/33/66/84/926336684.db2.gz ZHDMFSQJDWVBBD-UHFFFAOYSA-N 0 1 288.351 0.330 20 30 CCEDMN COc1ccc(CNCCNC(=O)C2N=CC=CC2=O)o1 ZINC001356037248 926336969 /nfs/dbraw/zinc/33/69/69/926336969.db2.gz FLJSOKLEIKKMLW-YPKPFQOOSA-N 0 1 291.307 0.904 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1CCCN1C(C)C ZINC001356254775 926361839 /nfs/dbraw/zinc/36/18/39/926361839.db2.gz WNJKQJLSIQIFEX-VXGBXAGGSA-N 0 1 281.356 0.331 20 30 CCEDMN CCO[C@@H]1[C@H](C)[C@@H]1C(=O)C(C#N)C(=O)NC(C)C ZINC001356275995 926365643 /nfs/dbraw/zinc/36/56/43/926365643.db2.gz PLJACVHXUBZBEG-DNRKLUKYSA-N 0 1 252.314 0.891 20 30 CCEDMN C=C(C)CCC(=O)N(C)CCN(C)C(=O)c1[nH]nnc1C ZINC001357246107 926502899 /nfs/dbraw/zinc/50/28/99/926502899.db2.gz ZEYBZHIZWZPAFS-UHFFFAOYSA-N 0 1 293.371 1.000 20 30 CCEDMN C#CCNCC(=O)N1C[C@H](C)O[C@H](C(F)(F)F)C1 ZINC001357303654 926509757 /nfs/dbraw/zinc/50/97/57/926509757.db2.gz TWRZKGCROIVEQJ-IUCAKERBSA-N 0 1 264.247 0.387 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)NC(=O)Cc1n[nH]c(C)n1 ZINC001358510783 926720378 /nfs/dbraw/zinc/72/03/78/926720378.db2.gz BKGFGDUROHABHT-JTQLQIEISA-N 0 1 291.355 0.080 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1cnn(C)n1 ZINC001421658736 926831257 /nfs/dbraw/zinc/83/12/57/926831257.db2.gz KSRDBUFQKJSFMK-SNVBAGLBSA-N 0 1 283.763 0.762 20 30 CCEDMN CC[C@H](C)[C@H](NCC(=O)Nc1ccc(C#N)cc1)C(N)=O ZINC001414031300 926868402 /nfs/dbraw/zinc/86/84/02/926868402.db2.gz CQPXENLSBHLGJC-HZMBPMFUSA-N 0 1 288.351 0.986 20 30 CCEDMN N#Cc1c(F)cc(C(=O)NCCc2nc[nH]n2)cc1F ZINC001361863197 927400319 /nfs/dbraw/zinc/40/03/19/927400319.db2.gz ZUPZVHCTZVTPEW-UHFFFAOYSA-N 0 1 277.234 0.927 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H]1CN(C(=O)c2nc[nH]n2)CCO1 ZINC001422861029 927557848 /nfs/dbraw/zinc/55/78/48/927557848.db2.gz RRWLWWCDHNCRJK-NXEZZACHSA-N 0 1 299.762 0.376 20 30 CCEDMN C[C@@H](C#N)CNC(=O)c1nc[nH]c(=O)c1Br ZINC001362158902 927620699 /nfs/dbraw/zinc/62/06/99/927620699.db2.gz HPOCRNNJQAQFIY-YFKPBYRVSA-N 0 1 285.101 0.834 20 30 CCEDMN CCOC(=O)c1cc(NC(=O)[C@@]2(C#N)CCCOC2)n[nH]1 ZINC001362453405 928038363 /nfs/dbraw/zinc/03/83/63/928038363.db2.gz TVUAGPCFUFJDEM-CYBMUJFWSA-N 0 1 292.295 0.845 20 30 CCEDMN COC[C@](C)(O)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001362740045 928431249 /nfs/dbraw/zinc/43/12/49/928431249.db2.gz GUGTTXZVYWUTJW-ZDUSSCGKSA-N 0 1 274.280 0.770 20 30 CCEDMN Cc1cnc(CNC[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)nc1 ZINC001425398881 928538648 /nfs/dbraw/zinc/53/86/48/928538648.db2.gz XCGOHLGUHKESQG-JHJVBQTASA-N 0 1 287.367 0.929 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CNC(=O)OC)C1 ZINC001425878789 928696121 /nfs/dbraw/zinc/69/61/21/928696121.db2.gz GEYMKPJJLDHTEA-UWVGGRQHSA-N 0 1 289.763 0.674 20 30 CCEDMN Cc1[nH]nc(NC(=O)[C@@H]2CCCc3n[nH]nc32)c1C#N ZINC001362975760 928718027 /nfs/dbraw/zinc/71/80/27/928718027.db2.gz BUTFBPRHTPDDAR-SSDOTTSWSA-N 0 1 271.284 0.767 20 30 CCEDMN COc1cc(C(=O)NCc2n[nH]c(CO)n2)ccc1C#N ZINC001362998502 928742687 /nfs/dbraw/zinc/74/26/87/928742687.db2.gz GRMSDBFIKDOBGU-UHFFFAOYSA-N 0 1 287.279 0.107 20 30 CCEDMN COc1cc(C(=O)NCc2nnc(CO)[nH]2)ccc1C#N ZINC001362998502 928742700 /nfs/dbraw/zinc/74/27/00/928742700.db2.gz GRMSDBFIKDOBGU-UHFFFAOYSA-N 0 1 287.279 0.107 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2C(=O)[C@H](C)CC#N)n[nH]1 ZINC001363099970 928869152 /nfs/dbraw/zinc/86/91/52/928869152.db2.gz OQHPVPYBQZVTQQ-BBBLOLIVSA-N 0 1 277.328 0.551 20 30 CCEDMN Cc1cc(C(=O)N2CC([C@@H](C)NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001427067392 928964952 /nfs/dbraw/zinc/96/49/52/928964952.db2.gz GVWNLYWYLLTKPF-WCBMZHEXSA-N 0 1 289.339 0.455 20 30 CCEDMN N#C[C@]1(C(=O)N2CCC[C@@H](c3nc[nH]n3)C2)CCCOC1 ZINC001363359923 929146869 /nfs/dbraw/zinc/14/68/69/929146869.db2.gz JHJNICAGKJJJNI-BXUZGUMPSA-N 0 1 289.339 0.831 20 30 CCEDMN CNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)C1(C#N)CCCC1 ZINC001363548297 929349840 /nfs/dbraw/zinc/34/98/40/929349840.db2.gz VFWHTZSPALZXAF-NSHDSACASA-N 0 1 289.339 0.267 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC([NH2+][C@H]3CCOC3)C2)cc1[O-] ZINC001363630747 929431949 /nfs/dbraw/zinc/43/19/49/929431949.db2.gz JJWYTGSNJBPJEM-LBPRGKRZSA-N 0 1 287.319 0.467 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H]2CCCCN2C)C1 ZINC001430239291 929596095 /nfs/dbraw/zinc/59/60/95/929596095.db2.gz NYQPNTACRWFGNS-NDBYEHHHSA-N 0 1 292.383 0.394 20 30 CCEDMN Cc1nn[nH]c1C(=O)N[C@H](C)CNCc1ccccc1C#N ZINC001445419600 930129298 /nfs/dbraw/zinc/12/92/98/930129298.db2.gz RZLINKYJBGWCCG-SNVBAGLBSA-N 0 1 298.350 0.893 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CN(CCc2cnn(C)c2)C1 ZINC001373505113 930224350 /nfs/dbraw/zinc/22/43/50/930224350.db2.gz VRLSQAKVEWEFHZ-NSHDSACASA-N 0 1 275.356 0.170 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H]1COC(=O)N1 ZINC001373959901 930379622 /nfs/dbraw/zinc/37/96/22/930379622.db2.gz GVPYNNKURMLMIA-ZJUUUORDSA-N 0 1 287.747 0.428 20 30 CCEDMN CCCn1nnnc1CNC[C@@H](C)CNC(=O)[C@H](C)C#N ZINC001373987479 930392001 /nfs/dbraw/zinc/39/20/01/930392001.db2.gz GRRLQTZCHQQULU-GHMZBOCLSA-N 0 1 293.375 0.085 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1nnn(C)n1 ZINC001374270391 930473227 /nfs/dbraw/zinc/47/32/27/930473227.db2.gz GKVWMEPVWJURDH-MRVPVSSYSA-N 0 1 272.740 0.013 20 30 CCEDMN CN(CCN(C)C(=O)c1cn[nH]n1)Cc1ccc(C#N)cc1 ZINC001374513498 930537086 /nfs/dbraw/zinc/53/70/86/930537086.db2.gz SLJZRCMSRCSJPJ-UHFFFAOYSA-N 0 1 298.350 0.880 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CC[C@H](CNCc2cnon2)CC1 ZINC001447082653 930560607 /nfs/dbraw/zinc/56/06/07/930560607.db2.gz MDEZUGSGCUYCDU-IJLUTSLNSA-N 0 1 291.355 0.994 20 30 CCEDMN CC1(C(=O)NC[C@@H](O)CNCc2ccccc2C#N)CC1 ZINC001374606114 930591085 /nfs/dbraw/zinc/59/10/85/930591085.db2.gz RCESSQJYIKKWRV-AWEZNQCLSA-N 0 1 287.363 0.925 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1(CCF)CC1 ZINC001374636147 930600937 /nfs/dbraw/zinc/60/09/37/930600937.db2.gz SPINJHFJNBQRNM-SNVBAGLBSA-N 0 1 278.755 0.945 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cnoc1CC ZINC001374652936 930608737 /nfs/dbraw/zinc/60/87/37/930608737.db2.gz RLNAMQJHAZNLOO-SECBINFHSA-N 0 1 287.747 0.670 20 30 CCEDMN C[C@@H](CNCc1ccccc1C#N)NC(=O)Cc1nc[nH]n1 ZINC001374961047 930736526 /nfs/dbraw/zinc/73/65/26/930736526.db2.gz VJOURJDUMUUENE-NSHDSACASA-N 0 1 298.350 0.513 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1COC(=O)N1)C1CC1 ZINC001375226336 930822718 /nfs/dbraw/zinc/82/27/18/930822718.db2.gz HBLSFOHRMZLOCG-ZJUUUORDSA-N 0 1 287.747 0.332 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)CNC(=O)CC)[C@@H]2C1 ZINC001448416961 930884378 /nfs/dbraw/zinc/88/43/78/930884378.db2.gz GHHDXOJHRGNRDN-CNDDSTCGSA-N 0 1 299.802 0.559 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CC(NCc2ccn(C)n2)C1 ZINC001449367352 931134839 /nfs/dbraw/zinc/13/48/39/931134839.db2.gz XZXHDWSXPKWHLL-PKSQDBQZSA-N 0 1 275.356 0.659 20 30 CCEDMN C[C@H](C#N)C(=O)N(CCNC(=O)[C@@H]1CCCN1C)C1CC1 ZINC001449830130 931193934 /nfs/dbraw/zinc/19/39/34/931193934.db2.gz UNDBUMJBLDUEFA-YPMHNXCESA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NCc2cnnn2C)CCC1 ZINC001376721326 931246795 /nfs/dbraw/zinc/24/67/95/931246795.db2.gz MCQDWJKGJVWBGE-SNVBAGLBSA-N 0 1 276.344 0.103 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1c[nH]c(=O)cn1 ZINC001376806395 931285401 /nfs/dbraw/zinc/28/54/01/931285401.db2.gz RWCGVDJEMMNRCU-MRVPVSSYSA-N 0 1 270.720 0.230 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1ccc(CN(C)C)nc1 ZINC001451195128 931396712 /nfs/dbraw/zinc/39/67/12/931396712.db2.gz MROPVPWWUBYCFL-AWEZNQCLSA-N 0 1 273.336 0.617 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc(-c3nn[nH]n3)[nH]2)C1 ZINC001573282487 946693420 /nfs/dbraw/zinc/69/34/20/946693420.db2.gz JOOBJHMGTSDISD-AWEZNQCLSA-N 0 1 299.338 0.022 20 30 CCEDMN C=C(C)C[C@@H](CO)Nc1cnc(C#N)c(-c2nn[nH]n2)n1 ZINC001573298727 947080561 /nfs/dbraw/zinc/08/05/61/947080561.db2.gz GHFAQSPNSBUMGU-QMMMGPOBSA-N 0 1 286.299 0.267 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@@H](C(=O)[O-])C(C)(C)C)CC1 ZINC001602702926 971333300 /nfs/dbraw/zinc/33/33/00/971333300.db2.gz YLCNNJHUBGBTKG-LBPRGKRZSA-N 0 1 280.368 0.901 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@@H](C(=O)[O-])C(C)(C)C)CC1 ZINC001602702926 971333305 /nfs/dbraw/zinc/33/33/05/971333305.db2.gz YLCNNJHUBGBTKG-LBPRGKRZSA-N 0 1 280.368 0.901 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]([C@H](C)C(=O)[O-])C2CC2)C1=O ZINC001602722677 971388104 /nfs/dbraw/zinc/38/81/04/971388104.db2.gz GCMSOZNYWJLXKL-KOLCDFICSA-N 0 1 252.314 0.711 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]([C@H](C)C(=O)[O-])C2CC2)C1=O ZINC001602722677 971388109 /nfs/dbraw/zinc/38/81/09/971388109.db2.gz GCMSOZNYWJLXKL-KOLCDFICSA-N 0 1 252.314 0.711 20 30 CCEDMN CC[C@@]1(C(=O)[O-])CCC[N@@H+](CC(=O)NCC#N)C1 ZINC000062877662 948965190 /nfs/dbraw/zinc/96/51/90/948965190.db2.gz WWWUFLGVBRUFIA-GFCCVEGCSA-N 0 1 253.302 0.203 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCC[N@H+](C)[C@@H](C)CC ZINC000220297954 949557067 /nfs/dbraw/zinc/55/70/67/949557067.db2.gz LDYISCMNJZKJQF-NSHDSACASA-N 0 1 269.345 0.446 20 30 CCEDMN COC(=O)CC[N@@H+](CC(=O)[O-])[C@@H]1CC[C@@H](C#N)C1 ZINC001593840730 955357801 /nfs/dbraw/zinc/35/78/01/955357801.db2.gz DUTWOXZHFSVMKX-NXEZZACHSA-N 0 1 254.286 0.628 20 30 CCEDMN COCC[N@H+](CC(=O)[O-])C[C@@H](O)CC1(C#N)CCC1 ZINC001593999864 956920109 /nfs/dbraw/zinc/92/01/09/956920109.db2.gz SBWGPGXEMKGZPD-NSHDSACASA-N 0 1 270.329 0.464 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@@H]1CC[N@H+]1C1CCCC1 ZINC001588408189 958104572 /nfs/dbraw/zinc/10/45/72/958104572.db2.gz ABXHWCWRACZADW-ZDUSSCGKSA-N 0 1 293.367 0.733 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1CCc2ccccc2[C@@H]1C(=O)[O-] ZINC001588431485 958269809 /nfs/dbraw/zinc/26/98/09/958269809.db2.gz YXEAVMDGAPGDDC-OAHLLOKOSA-N 0 1 286.331 0.810 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2C[C@H](C)C[C@@H](C(=O)[O-])C2)C1=O ZINC001588464524 958493648 /nfs/dbraw/zinc/49/36/48/958493648.db2.gz YHPATSODJAYNPN-UTUOFQBUSA-N 0 1 266.341 0.816 20 30 CCEDMN C=CCN1CCN(C[N@@H+]2CCC[C@@H](C(=O)[O-])C2)C1=O ZINC001588465513 958504601 /nfs/dbraw/zinc/50/46/01/958504601.db2.gz PDKMMAWKDGGQMB-LLVKDONJSA-N 0 1 267.329 0.664 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001588545098 958992176 /nfs/dbraw/zinc/99/21/76/958992176.db2.gz KMPZWQSCWXGUCS-KGYLQXTDSA-N 0 1 293.367 0.790 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@](C)(C(=O)[O-])C1 ZINC000226867017 959904975 /nfs/dbraw/zinc/90/49/75/959904975.db2.gz JBZSWXNGNZLSTA-GWCFXTLKSA-N 0 1 252.314 0.311 20 30 CCEDMN CO[C@]1(C(=O)[O-])CC[N@@H+](C[C@H](O)c2cccc(C#N)c2)C1 ZINC001571038239 960549182 /nfs/dbraw/zinc/54/91/82/960549182.db2.gz JFYNQSGEOHMHAG-DZGCQCFKSA-N 0 1 290.319 0.767 20 30 CCEDMN C[N@@H+](CCCC(=O)[O-])CC(=O)NCc1ccc(C#N)cc1 ZINC001574007905 961910340 /nfs/dbraw/zinc/91/03/40/961910340.db2.gz OOMLEMSAKADTDN-UHFFFAOYSA-N 0 1 289.335 0.971 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)CNC(=O)[O-])[C@@H]1C ZINC001573302697 962658478 /nfs/dbraw/zinc/65/84/78/962658478.db2.gz ZCHRMDCCJWTESC-WDEREUQCSA-N 0 1 267.329 0.246 20 30 CCEDMN C#CCCC[N@H+]1C[C@@H](C(=O)OC)CC[C@@H]1C(=O)[O-] ZINC001588446375 964072640 /nfs/dbraw/zinc/07/26/40/964072640.db2.gz IUGDRMNLJBCKOH-WDEREUQCSA-N 0 1 253.298 0.738 20 30 CCEDMN C=C(Br)C[N@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC000401910976 973524638 /nfs/dbraw/zinc/52/46/38/973524638.db2.gz SYYLOWSOFPSLJK-SFYZADRCSA-N 0 1 264.119 0.662 20 30 CCEDMN C=C(Br)C[N@@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC000401910976 973524644 /nfs/dbraw/zinc/52/46/44/973524644.db2.gz SYYLOWSOFPSLJK-SFYZADRCSA-N 0 1 264.119 0.662 20 30 CCEDMN N#Cc1ccc(F)cc1C[N@@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC000401924610 973537888 /nfs/dbraw/zinc/53/78/88/973537888.db2.gz QIJVBVNZCSHXDF-AAEUAGOBSA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1ccc(F)cc1C[N@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC000401924610 973537897 /nfs/dbraw/zinc/53/78/97/973537897.db2.gz QIJVBVNZCSHXDF-AAEUAGOBSA-N 0 1 278.283 0.965 20 30 CCEDMN C[C@H](CCC#N)[N@H+]1CC[C@]2(CC(C(=O)[O-])=NO2)C1 ZINC001592470901 978226933 /nfs/dbraw/zinc/22/69/33/978226933.db2.gz MTLJAQNNXYFZGK-SKDRFNHKSA-N 0 1 251.286 0.984 20 30 CCEDMN C#CC[N@H+](C)CC1CCN(C(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588461069 983488639 /nfs/dbraw/zinc/48/86/39/983488639.db2.gz FEYGWHVUOYPPQQ-OLZOCXBDSA-N 0 1 278.352 0.511 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1C[C@@H]2CCC[C@]2(C(=O)[O-])C1 ZINC001588479554 983520532 /nfs/dbraw/zinc/52/05/32/983520532.db2.gz SQJYAVSXMQGHLO-FZMZJTMJSA-N 0 1 264.325 0.313 20 30 CCEDMN C#CCOc1ccc(C[N@@H+]2C[C@H](C(=O)[O-])C[C@H](O)C2)cc1 ZINC001588497503 983539214 /nfs/dbraw/zinc/53/92/14/983539214.db2.gz KDHFEVVZIKZWOS-KGLIPLIRSA-N 0 1 289.331 0.966 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[N@H+](C)C[C@@H](C(=O)[O-])C2)nc1 ZINC001588510632 983556083 /nfs/dbraw/zinc/55/60/83/983556083.db2.gz GESBACJNRXAHPJ-GFCCVEGCSA-N 0 1 287.319 0.151 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCC[N@@H+](C)CC(=O)[O-])OCC ZINC001588530108 983583041 /nfs/dbraw/zinc/58/30/41/983583041.db2.gz QPNNQYUPRKDJLW-LLVKDONJSA-N 0 1 272.345 0.490 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N[C@H]1CCC[N@H+](CCOC)C1 ZINC001588623228 983726909 /nfs/dbraw/zinc/72/69/09/983726909.db2.gz MOVSUPNQCVSRMN-LBPRGKRZSA-N 0 1 284.356 0.634 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](CC)CCC(=O)[O-] ZINC001596312683 983740822 /nfs/dbraw/zinc/74/08/22/983740822.db2.gz WLLNKXZATWWEOI-UHFFFAOYSA-N 0 1 255.318 0.545 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCCN(C(=O)OCC)CC1 ZINC001588662653 983791080 /nfs/dbraw/zinc/79/10/80/983791080.db2.gz DXUVDRJNAVINMV-JTQLQIEISA-N 0 1 256.302 0.790 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@@H+]1Cc2cccnc2N2C[C@H](O)C[C@H]2C1 ZINC001588668907 983807996 /nfs/dbraw/zinc/80/79/96/983807996.db2.gz CJIBDNVQUIKIRR-XQQFMLRXSA-N 0 1 289.335 0.476 20 30 CCEDMN C=CC[C@@H]1CC[N@@H+]1CC(=O)N1CCC(C(=O)[O-])CC1 ZINC001588772291 984142434 /nfs/dbraw/zinc/14/24/34/984142434.db2.gz CGDPUNORYPMHND-GFCCVEGCSA-N 0 1 266.341 0.960 20 30 CCEDMN C=CC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)[C@@H](C)COC ZINC001588823945 984294954 /nfs/dbraw/zinc/29/49/54/984294954.db2.gz GDCRSBHSEHQZRG-AAEUAGOBSA-N 0 1 286.372 0.735 20 30 CCEDMN C=CC[N@@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)[C@@H](C)COC ZINC001588823945 984294960 /nfs/dbraw/zinc/29/49/60/984294960.db2.gz GDCRSBHSEHQZRG-AAEUAGOBSA-N 0 1 286.372 0.735 20 30 CCEDMN CC#CCC[N@@H+]1C[C@H](C(=O)[O-])CC[C@@H]1C(=O)OC ZINC001588927150 984597377 /nfs/dbraw/zinc/59/73/77/984597377.db2.gz OYIIQOGEUVRFIZ-GHMZBOCLSA-N 0 1 253.298 0.738 20 30 CCEDMN CC#CCC[N@H+]1C[C@H](C(=O)[O-])CC[C@@H]1C(=O)OC ZINC001588927150 984597374 /nfs/dbraw/zinc/59/73/74/984597374.db2.gz OYIIQOGEUVRFIZ-GHMZBOCLSA-N 0 1 253.298 0.738 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1c1cc(C#N)ncn1 ZINC001594528778 986104367 /nfs/dbraw/zinc/10/43/67/986104367.db2.gz RCWZDPKTZBHZFO-SNVBAGLBSA-N 0 1 275.312 0.333 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@H](C)[C@@](C)(C(=O)[O-])C1 ZINC001589386767 986488303 /nfs/dbraw/zinc/48/83/03/986488303.db2.gz BSNDNWJQBMPOPA-WDMOLILDSA-N 0 1 293.367 0.980 20 30 CCEDMN CC(C)C[N@H+](CC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001591304918 992259488 /nfs/dbraw/zinc/25/94/88/992259488.db2.gz RTVQXRVFWMGGAO-UHFFFAOYSA-N 0 1 267.329 0.591 20 30 CCEDMN CC(C)C[N@@H+](CC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001591304918 992259499 /nfs/dbraw/zinc/25/94/99/992259499.db2.gz RTVQXRVFWMGGAO-UHFFFAOYSA-N 0 1 267.329 0.591 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)c2ccc(C#N)o2)C[C@H]1C(=O)[O-] ZINC001598574319 993250377 /nfs/dbraw/zinc/25/03/77/993250377.db2.gz KACRVEKDBGTWPO-IUCAKERBSA-N 0 1 264.237 0.465 20 30 CCEDMN C[N@H+]1CCN(c2ccnc(C#N)c2)C[C@H](C(=O)[O-])C1 ZINC001598622930 994036988 /nfs/dbraw/zinc/03/69/88/994036988.db2.gz LHXMJUOOMDEDDB-SNVBAGLBSA-N 0 1 260.297 0.406 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)N2CCC(C#N)(C(=O)[O-])CC2)CC[N@H+]1C ZINC001594190512 998740183 /nfs/dbraw/zinc/74/01/83/998740183.db2.gz IOJYSCWBOZGWDI-MNOVXSKESA-N 0 1 294.355 0.479 20 30 CCEDMN C[C@H]1CC[N@@H+](CC(=O)N(CC#N)CCC(=O)[O-])C1 ZINC001594423456 999580503 /nfs/dbraw/zinc/58/05/03/999580503.db2.gz RVDWYEZLUPTKDD-JTQLQIEISA-N 0 1 253.302 0.155 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@@H]2CC[C@@H](O)[C@@H]21 ZINC000599681964 361783660 /nfs/dbraw/zinc/78/36/60/361783660.db2.gz RYJRXDPYBKGHGO-MXYBEHONSA-N 0 1 279.384 0.886 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)N2CC(C#N)C2)cn1 ZINC000599969311 361844307 /nfs/dbraw/zinc/84/43/07/361844307.db2.gz XCGGYOBQLPNNNB-UHFFFAOYSA-N 0 1 280.309 0.049 20 30 CCEDMN CC(C)(C#N)C(=O)N1CCC(N2CCOCC2)CC1 ZINC000600104959 361869190 /nfs/dbraw/zinc/86/91/90/361869190.db2.gz DGJXKICRNWQYPU-UHFFFAOYSA-N 0 1 265.357 0.859 20 30 CCEDMN N#CCCCOc1cccc(CN2C[C@H](O)[C@@H](O)C2)c1 ZINC000600190862 361886595 /nfs/dbraw/zinc/88/65/95/361886595.db2.gz JAUDOPZKAPSPBH-GJZGRUSLSA-N 0 1 276.336 0.907 20 30 CCEDMN N#Cc1c(F)cccc1C(=O)NCCN1CC[C@@H](O)C1 ZINC000600537070 362006454 /nfs/dbraw/zinc/00/64/54/362006454.db2.gz WNDHFWZEZRXTMX-SNVBAGLBSA-N 0 1 277.299 0.494 20 30 CCEDMN Cc1c(Cl)cnc(NC[C@@H]2COCCN2)c1C#N ZINC000600953974 362099007 /nfs/dbraw/zinc/09/90/07/362099007.db2.gz IQBTVAUHKRZRTI-SECBINFHSA-N 0 1 266.732 0.737 20 30 CCEDMN CN1CCN(Cc2cn(Cc3cccc(C#N)c3)nn2)CC1 ZINC000600973812 362110020 /nfs/dbraw/zinc/11/00/20/362110020.db2.gz XQLRFJGJDYQNBF-UHFFFAOYSA-N 0 1 296.378 0.945 20 30 CCEDMN N#Cc1cc(NCCN2CCC(O)CC2)nc(C2CC2)n1 ZINC000601171174 362165294 /nfs/dbraw/zinc/16/52/94/362165294.db2.gz HIZWGQPWCXKTES-UHFFFAOYSA-N 0 1 287.367 0.516 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H](Cc2cnc[nH]2)C(=O)OC)C1 ZINC000601378784 362250023 /nfs/dbraw/zinc/25/00/23/362250023.db2.gz XZGIATZQUNGGRQ-NSHDSACASA-N 0 1 277.324 0.966 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CN1CC[C@H](C)C1)C(=O)OC ZINC000601782559 362390526 /nfs/dbraw/zinc/39/05/26/362390526.db2.gz QKYNAFHXDQPYGP-NWDGAFQWSA-N 0 1 268.357 0.952 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN1CC(Cc2ccco2)C1 ZINC000601966320 362440517 /nfs/dbraw/zinc/44/05/17/362440517.db2.gz ZKLPNWGPEUKGRY-AWEZNQCLSA-N 0 1 277.368 0.960 20 30 CCEDMN N#Cc1ccc2c(c1)CCN2C(=O)CCCc1nn[nH]n1 ZINC000602162516 362536306 /nfs/dbraw/zinc/53/63/06/362536306.db2.gz RBGDYLDJCFNVSG-UHFFFAOYSA-N 0 1 282.307 0.983 20 30 CCEDMN N#CC1CCC(CNC(=O)NCCN2CC[C@H](O)C2)CC1 ZINC000602306361 362582324 /nfs/dbraw/zinc/58/23/24/362582324.db2.gz KBQBLAUAZOZYHM-RUXDESIVSA-N 0 1 294.399 0.682 20 30 CCEDMN C=CCNC(=O)CNCc1cc(C)c(C(=O)OC)[nH]1 ZINC000602585261 362691878 /nfs/dbraw/zinc/69/18/78/362691878.db2.gz FSCJQWQWOACVHG-UHFFFAOYSA-N 0 1 265.313 0.502 20 30 CCEDMN CS(=O)(=O)N1CCC[C@@H]1CNCc1ccc(C#N)o1 ZINC000120237735 349975272 /nfs/dbraw/zinc/97/52/72/349975272.db2.gz XSUNHZVDVPWODA-SNVBAGLBSA-N 0 1 283.353 0.665 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCc1n[nH]c(COC)n1)OCC ZINC000617936154 365849079 /nfs/dbraw/zinc/84/90/79/365849079.db2.gz ACLDUXQGNJHCRZ-SNVBAGLBSA-N 0 1 282.344 0.939 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCc1nnc(COC)[nH]1)OCC ZINC000617936154 365849086 /nfs/dbraw/zinc/84/90/86/365849086.db2.gz ACLDUXQGNJHCRZ-SNVBAGLBSA-N 0 1 282.344 0.939 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCc1n[nH]c(COC)n1 ZINC000617938096 365851756 /nfs/dbraw/zinc/85/17/56/365851756.db2.gz MXIAGABHWREPGG-SECBINFHSA-N 0 1 268.317 0.549 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCc1nnc(COC)[nH]1 ZINC000617938096 365851760 /nfs/dbraw/zinc/85/17/60/365851760.db2.gz MXIAGABHWREPGG-SECBINFHSA-N 0 1 268.317 0.549 20 30 CCEDMN CCN1C(=O)[C@@H]2CN(CC3(CC#N)CC3)CCN2C1=O ZINC000602782305 362797848 /nfs/dbraw/zinc/79/78/48/362797848.db2.gz WWYYIZXCRWEHJN-NSHDSACASA-N 0 1 276.340 0.649 20 30 CCEDMN COCCOCCN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602854494 362840977 /nfs/dbraw/zinc/84/09/77/362840977.db2.gz PSYOUDPNJHRTFE-STQMWFEESA-N 0 1 255.362 0.568 20 30 CCEDMN C[C@@H]1CN(CCN2C[C@@H](C)N(CC#N)[C@H](C)C2)CCO1 ZINC000602855726 362841575 /nfs/dbraw/zinc/84/15/75/362841575.db2.gz WICAQCPTJPFEDF-RBSFLKMASA-N 0 1 280.416 0.625 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC3(C2)CCCO3)[nH]1 ZINC000602885059 362864804 /nfs/dbraw/zinc/86/48/04/362864804.db2.gz YKCAUGOXYPGKGA-UHFFFAOYSA-N 0 1 261.285 0.110 20 30 CCEDMN CCO[C@@]1(C)C[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602881180 362860337 /nfs/dbraw/zinc/86/03/37/362860337.db2.gz JNNYAXSOPWCIBI-SKDRFNHKSA-N 0 1 263.301 0.403 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CO[C@@H](C3CC3)C2)[nH]1 ZINC000602881147 362860544 /nfs/dbraw/zinc/86/05/44/362860544.db2.gz IUZZEIQPKIEXKH-GHMZBOCLSA-N 0 1 275.312 0.403 20 30 CCEDMN CCO[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@@H]1C ZINC000602879976 362860620 /nfs/dbraw/zinc/86/06/20/362860620.db2.gz IGPRBUWGKVBYET-JQWIXIFHSA-N 0 1 291.355 0.992 20 30 CCEDMN Cc1cc(C#N)ccc1CN1CCN(C)C[C@@H]1CO ZINC000602904670 362878015 /nfs/dbraw/zinc/87/80/15/362878015.db2.gz JLWGJPBIMWAIGH-OAHLLOKOSA-N 0 1 259.353 0.975 20 30 CCEDMN COC[C@@](C)(CO)NCC(=O)NCc1cccc(C#N)c1 ZINC000602931095 362891780 /nfs/dbraw/zinc/89/17/80/362891780.db2.gz GJRIPYDWDSDCCA-OAHLLOKOSA-N 0 1 291.351 0.161 20 30 CCEDMN CNC(=O)NC(=O)CCN(C)[C@@H]1CCC[C@H]1C#N ZINC000602976531 362918583 /nfs/dbraw/zinc/91/85/83/362918583.db2.gz QSXWWZSQKQHHEI-VHSXEESVSA-N 0 1 252.318 0.456 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC[C@H](CCO)C1 ZINC000051916400 348500248 /nfs/dbraw/zinc/50/02/48/348500248.db2.gz XLVPKPWDOMUPCZ-CQSZACIVSA-N 0 1 292.383 0.737 20 30 CCEDMN C[C@@H](c1ccc(-n2cncn2)cc1)N(C)CC(=O)NCC#N ZINC000076668117 349089432 /nfs/dbraw/zinc/08/94/32/349089432.db2.gz JSZODVLUTJBTNF-LBPRGKRZSA-N 0 1 298.350 0.900 20 30 CCEDMN CC[C@H](CO)N1CCN(c2ncccc2C#N)CC1 ZINC000078524382 349141798 /nfs/dbraw/zinc/14/17/98/349141798.db2.gz ZZSQSFTUQYPABE-CYBMUJFWSA-N 0 1 260.341 0.846 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCc2nc[nH]n2)nc1 ZINC000080567804 349217575 /nfs/dbraw/zinc/21/75/75/349217575.db2.gz HKHCLRQXCFPUSA-UHFFFAOYSA-N 0 1 256.269 0.434 20 30 CCEDMN N#Cc1ccc(OCC(=O)NCCc2nc[nH]n2)cc1 ZINC000080585277 349220169 /nfs/dbraw/zinc/22/01/69/349220169.db2.gz YAHYYWPRJZPWTB-UHFFFAOYSA-N 0 1 271.280 0.414 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)cc2)[C@H](C)CN1 ZINC000086468959 349339729 /nfs/dbraw/zinc/33/97/29/349339729.db2.gz LEIDWIYQAXYTJE-GHMZBOCLSA-N 0 1 279.365 0.929 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCC[C@@](C)(O)C1 ZINC000124203888 350092310 /nfs/dbraw/zinc/09/23/10/350092310.db2.gz USKXGHBDMZQBKP-DGCLKSJQSA-N 0 1 253.346 0.594 20 30 CCEDMN CNC(=O)CCN1CCN(c2ncccc2C#N)CC1 ZINC000125675992 350127453 /nfs/dbraw/zinc/12/74/53/350127453.db2.gz XJIMWYAKWKQGIQ-UHFFFAOYSA-N 0 1 273.340 0.211 20 30 CCEDMN C[C@H](NS(=O)(=O)c1ccc(F)c(C#N)c1)c1nnc[nH]1 ZINC000128984375 350203324 /nfs/dbraw/zinc/20/33/24/350203324.db2.gz SCTGVIMULCTOQD-ZETCQYMHSA-N 0 1 295.299 0.855 20 30 CCEDMN C[C@](N)(C(=O)N1CCN(CCC#N)CC1)c1ccccc1 ZINC000158784090 350662307 /nfs/dbraw/zinc/66/23/07/350662307.db2.gz PMPBKQJAVXCQIW-MRXNPFEDSA-N 0 1 286.379 0.918 20 30 CCEDMN C#CCCCCC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000271408297 191121465 /nfs/dbraw/zinc/12/14/65/191121465.db2.gz JLIVCNVMTHQEJT-ZDUSSCGKSA-N 0 1 279.384 0.636 20 30 CCEDMN CNCc1cn([C@@H](C)C(=O)NC2(C#N)CCCCC2)nn1 ZINC000603178492 362986187 /nfs/dbraw/zinc/98/61/87/362986187.db2.gz WHMUYXWLCOGCJT-NSHDSACASA-N 0 1 290.371 0.901 20 30 CCEDMN C=CCN(CC(F)(F)F)C(=O)[C@H]1CNCCO1 ZINC000187502018 351544959 /nfs/dbraw/zinc/54/49/59/351544959.db2.gz YRQQTJBAKJXLST-MRVPVSSYSA-N 0 1 252.236 0.552 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN3CCC2CC3)ccn1 ZINC000194299264 351863479 /nfs/dbraw/zinc/86/34/79/351863479.db2.gz BUGONNFXHPZGQK-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN C[C@@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)nc2)CCN1C ZINC000229274980 352089826 /nfs/dbraw/zinc/08/98/26/352089826.db2.gz KTWCTBNOUJHMIQ-MNOVXSKESA-N 0 1 294.380 0.714 20 30 CCEDMN C[C@H]1C[C@@H](NS(=O)(=O)c2ccc(C#N)nc2)CCN1C ZINC000229274991 352089841 /nfs/dbraw/zinc/08/98/41/352089841.db2.gz KTWCTBNOUJHMIQ-QWRGUYRKSA-N 0 1 294.380 0.714 20 30 CCEDMN COCc1cc(C#N)ccc1N1CC(N2CC[C@@H](O)C2)C1 ZINC000603405268 363115093 /nfs/dbraw/zinc/11/50/93/363115093.db2.gz PUHMGSPZKXJIPN-OAHLLOKOSA-N 0 1 287.363 0.960 20 30 CCEDMN C=CCCCC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000267165208 352749145 /nfs/dbraw/zinc/74/91/45/352749145.db2.gz LCWMCLLTDMCYJM-GFCCVEGCSA-N 0 1 267.373 0.799 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)CCCC(=O)NC ZINC000269970033 352891331 /nfs/dbraw/zinc/89/13/31/352891331.db2.gz QJPTZOXSTUIAQD-UHFFFAOYSA-N 0 1 267.373 0.645 20 30 CCEDMN C#CCCN1CCN(C[C@H]2CC(C(=O)OC)=C(C)O2)CC1 ZINC000272474697 353067291 /nfs/dbraw/zinc/06/72/91/353067291.db2.gz FXHAJGRTJJLUPA-CQSZACIVSA-N 0 1 292.379 0.863 20 30 CCEDMN C[C@@H]1CN(c2cc(C#N)ccn2)[C@@H](C)CN1CCO ZINC000419006309 234285911 /nfs/dbraw/zinc/28/59/11/234285911.db2.gz PXEFVFAWAXKQTP-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CCN(C)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000274979904 353176971 /nfs/dbraw/zinc/17/69/71/353176971.db2.gz DXGLAWCCRZUXTK-NSHDSACASA-N 0 1 268.361 0.154 20 30 CCEDMN COc1c(O)cccc1CNCCn1cnc(C#N)n1 ZINC000289963212 353697668 /nfs/dbraw/zinc/69/76/68/353697668.db2.gz ZDOWEKHOZZBKQT-UHFFFAOYSA-N 0 1 273.296 0.654 20 30 CCEDMN C=CCC(F)(F)C(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000293321193 353803125 /nfs/dbraw/zinc/80/31/25/353803125.db2.gz HQZXTBYUACVWEJ-SNVBAGLBSA-N 0 1 289.326 0.654 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)c1cc([N+](=O)[O-])n[n-]1 ZINC000294075596 353865975 /nfs/dbraw/zinc/86/59/75/353865975.db2.gz WGKNMEFXCIBWON-UHFFFAOYSA-N 0 1 265.273 0.003 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cc([N+](=O)[O-])n[n-]1 ZINC000294075596 353865976 /nfs/dbraw/zinc/86/59/76/353865976.db2.gz WGKNMEFXCIBWON-UHFFFAOYSA-N 0 1 265.273 0.003 20 30 CCEDMN O=C(CN1CCOCC1)N[C@H]1CCc2[nH]cnc2C1 ZINC000329165290 354253555 /nfs/dbraw/zinc/25/35/55/354253555.db2.gz HJRHJAIUDGPSQF-JTQLQIEISA-N 0 1 264.329 0.556 20 30 CCEDMN CNC(=O)C1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC000331180570 354480936 /nfs/dbraw/zinc/48/09/36/354480936.db2.gz RISLFGJDTKCIGE-UHFFFAOYSA-N 0 1 260.341 0.855 20 30 CCEDMN Cn1cc(CN2CCC3(CNC(=O)N3C)CC2)cc1C#N ZINC000335105689 354964088 /nfs/dbraw/zinc/96/40/88/354964088.db2.gz SPMJPVRQIWARKE-UHFFFAOYSA-N 0 1 287.367 0.886 20 30 CCEDMN C[C@H](C(=O)N1CCN(C)[C@H](C)[C@H]1C)n1cnc(C#N)n1 ZINC000336991284 355241578 /nfs/dbraw/zinc/24/15/78/355241578.db2.gz LJABNAVOIKETKF-GMTAPVOTSA-N 0 1 276.344 0.262 20 30 CCEDMN N#Cc1ccccc1OCCN1CCC(O)(C(N)=O)CC1 ZINC000336850391 355217008 /nfs/dbraw/zinc/21/70/08/355217008.db2.gz XBYXGWWRBXQUMO-UHFFFAOYSA-N 0 1 289.335 0.249 20 30 CCEDMN C[C@H](C(=O)N1CCN(CC2CC2)CC1)n1cnc(C#N)n1 ZINC000336884283 355219326 /nfs/dbraw/zinc/21/93/26/355219326.db2.gz HWALNOUYAHQUJV-LLVKDONJSA-N 0 1 288.355 0.265 20 30 CCEDMN C[C@@H]1OCC[C@]12CN(CCOCCC#N)CCO2 ZINC000339141945 355493685 /nfs/dbraw/zinc/49/36/85/355493685.db2.gz VQAAMTPQEFLUCK-STQMWFEESA-N 0 1 254.330 0.796 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@@H](CO)CC2)CCC1 ZINC000339748465 355504367 /nfs/dbraw/zinc/50/43/67/355504367.db2.gz DNGIOTWWPSZIDY-GFCCVEGCSA-N 0 1 265.357 0.643 20 30 CCEDMN C[C@@H]1[C@H](NS(=O)(=O)N(C)CCC#N)CCCN1C ZINC000343976614 355781160 /nfs/dbraw/zinc/78/11/60/355781160.db2.gz JMPFDVRHFKLUHL-GHMZBOCLSA-N 0 1 274.390 0.149 20 30 CCEDMN N#Cc1cccc(CNC(=O)CN2CCC[C@H](CO)C2)c1 ZINC000352882523 356102745 /nfs/dbraw/zinc/10/27/45/356102745.db2.gz LZAGZJIITPDWEK-HNNXBMFYSA-N 0 1 287.363 0.879 20 30 CCEDMN N#Cc1ccc(C(=O)NCC(=O)NCc2cnc[nH]2)cc1 ZINC000353375660 356211915 /nfs/dbraw/zinc/21/19/15/356211915.db2.gz ACJCPZMPICZFSR-UHFFFAOYSA-N 0 1 283.291 0.328 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)NCC(C)(C)C#N)[C@H](C)C1 ZINC000355079905 356570198 /nfs/dbraw/zinc/57/01/98/356570198.db2.gz JMKZJXISIBABAG-VXGBXAGGSA-N 0 1 282.388 0.633 20 30 CCEDMN CN1CCC[C@H](NC(=O)N2CCO[C@@H](C#N)C2)C1 ZINC000609282936 363486305 /nfs/dbraw/zinc/48/63/05/363486305.db2.gz GPSJBKVSIIHTTN-QWRGUYRKSA-N 0 1 252.318 0.015 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CCO)CC(C)(C)C ZINC000609317173 363489374 /nfs/dbraw/zinc/48/93/74/363489374.db2.gz ODYBEQQGXHQUQE-UHFFFAOYSA-N 0 1 271.361 0.339 20 30 CCEDMN Cc1cc(CNS(=O)(=O)c2ccc(C#N)nc2)n[nH]1 ZINC000610005247 363532372 /nfs/dbraw/zinc/53/23/72/363532372.db2.gz KHESRZFCINGWOC-UHFFFAOYSA-N 0 1 277.309 0.463 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)C(C)(C)CO ZINC000609894863 363525585 /nfs/dbraw/zinc/52/55/85/363525585.db2.gz HEHGYTPIYQBNFZ-NSHDSACASA-N 0 1 255.362 0.697 20 30 CCEDMN N#Cc1ccc(CNCCNC(=O)c2ccn[nH]2)c(F)c1 ZINC000355764935 356642289 /nfs/dbraw/zinc/64/22/89/356642289.db2.gz IETFFCPTGPETBN-UHFFFAOYSA-N 0 1 287.298 0.940 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCn2cccc2)CC1 ZINC000610426634 363557921 /nfs/dbraw/zinc/55/79/21/363557921.db2.gz XSXLFBSIBFXOER-UHFFFAOYSA-N 0 1 260.341 0.982 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N[C@H]1CCCN(C)C1 ZINC000619708218 366329919 /nfs/dbraw/zinc/32/99/19/366329919.db2.gz JVRUJCQMFALDOS-QWRGUYRKSA-N 0 1 274.390 0.006 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N1CCc2cn[nH]c2C1 ZINC000619750843 366348619 /nfs/dbraw/zinc/34/86/19/366348619.db2.gz COMSDWNXTBIOCC-VIFPVBQESA-N 0 1 283.357 0.247 20 30 CCEDMN CC(C)(NC(=O)c1cccc(C#N)c1)c1nn[nH]n1 ZINC000359794875 356896057 /nfs/dbraw/zinc/89/60/57/356896057.db2.gz USGYZQYEGCNJAL-UHFFFAOYSA-N 0 1 256.269 0.736 20 30 CCEDMN Cc1cc(CNC(=O)N=c2[nH]n(C)cc2C#N)no1 ZINC000610528011 363572824 /nfs/dbraw/zinc/57/28/24/363572824.db2.gz GNCUGQZYZZNNPO-UHFFFAOYSA-N 0 1 260.257 0.332 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CC1)[C@@H](O)C[C@@H]2OCC ZINC000365523010 357156694 /nfs/dbraw/zinc/15/66/94/357156694.db2.gz CZEPMPRYWXYMBP-IHRRRGAJSA-N 0 1 294.395 0.376 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCc2cccc(O)c2)[nH]1 ZINC000610565200 363579354 /nfs/dbraw/zinc/57/93/54/363579354.db2.gz XJIANDXAZDMTCD-UHFFFAOYSA-N 0 1 285.307 0.783 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@@H](OC)[C@H](OC)C1 ZINC000487993174 358287813 /nfs/dbraw/zinc/28/78/13/358287813.db2.gz WCHOKARGIYYUAV-BFHYXJOUSA-N 0 1 282.384 0.921 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](CO)[C@@H]1C ZINC000488749516 358289522 /nfs/dbraw/zinc/28/95/22/358289522.db2.gz JCNZNWGWEWUKEV-AGIUHOORSA-N 0 1 267.373 0.697 20 30 CCEDMN N#Cc1ccccc1OCCN1CC[C@H](CO)[C@@H](O)C1 ZINC000573991496 359018705 /nfs/dbraw/zinc/01/87/05/359018705.db2.gz WFRCJAPWRFIDOO-KGLIPLIRSA-N 0 1 276.336 0.612 20 30 CCEDMN CCN(CCC(=O)OC)Cc1cnc2c(C#N)cnn2c1 ZINC000579834951 359052274 /nfs/dbraw/zinc/05/22/74/359052274.db2.gz JESMBPRMQAKISV-UHFFFAOYSA-N 0 1 287.323 0.986 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)NCc2cccc(C#N)c2)n[nH]1 ZINC000585712275 359266792 /nfs/dbraw/zinc/26/67/92/359266792.db2.gz KAJIMQYMXYJGOB-UHFFFAOYSA-N 0 1 297.318 0.522 20 30 CCEDMN Cc1nnc([C@H](C)NC(=O)N=c2[nH]n(C)cc2C#N)[nH]1 ZINC000588259901 359319768 /nfs/dbraw/zinc/31/97/68/359319768.db2.gz QJDYWNRFEOZUFG-LURJTMIESA-N 0 1 274.288 0.023 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NC[C@H](C)C#N)[C@H](C)C1 ZINC000588650827 359328413 /nfs/dbraw/zinc/32/84/13/359328413.db2.gz UZCYNRUVNTZBBE-UTUOFQBUSA-N 0 1 268.361 0.243 20 30 CCEDMN Cc1nc(CN2CCN([C@H](C#N)C(C)C)CC2)n[nH]1 ZINC000589988529 359414941 /nfs/dbraw/zinc/41/49/41/359414941.db2.gz MQSZLRVXUZWZPZ-GFCCVEGCSA-N 0 1 262.361 0.779 20 30 CCEDMN CCN1CCN([C@H](C)C(=O)N(C)CCC#N)C[C@H]1C ZINC000611092553 363659663 /nfs/dbraw/zinc/65/96/63/363659663.db2.gz ZFVPDICGDUBPAP-CHWSQXEVSA-N 0 1 266.389 0.773 20 30 CCEDMN CN1CCC[C@@H](NC(=O)C(=O)Nc2sccc2C#N)C1 ZINC000590219071 359430395 /nfs/dbraw/zinc/43/03/95/359430395.db2.gz DQROQGJKTPRTBF-SNVBAGLBSA-N 0 1 292.364 0.769 20 30 CCEDMN N#Cc1cc(NCCN2C[C@H]3CC[C@@H](C2)O3)ccn1 ZINC000590722046 359511228 /nfs/dbraw/zinc/51/12/28/359511228.db2.gz SXQZBLNFEATQMV-OKILXGFUSA-N 0 1 258.325 0.650 20 30 CCEDMN Cc1ccc(C#N)nc1NC[C@@H]1CN(C)CCN1C ZINC000590578204 359488544 /nfs/dbraw/zinc/48/85/44/359488544.db2.gz NDXSHYFRYMJMER-CYBMUJFWSA-N 0 1 259.357 0.919 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(C(=O)CC2(C#N)CC2)C1 ZINC000591224301 359570238 /nfs/dbraw/zinc/57/02/38/359570238.db2.gz LNCGVOLDUUWJMS-NSHDSACASA-N 0 1 279.340 0.243 20 30 CCEDMN CC1(C)CN(C(=O)NCC2(C#N)CCC2)CCN1CCO ZINC000591412503 359587805 /nfs/dbraw/zinc/58/78/05/359587805.db2.gz XWCOQXFIUZZJLL-UHFFFAOYSA-N 0 1 294.399 0.778 20 30 CCEDMN CO[C@@H]1CN(c2ncccc2C#N)C[C@H]1c1cn[nH]n1 ZINC000591629879 359617237 /nfs/dbraw/zinc/61/72/37/359617237.db2.gz OWJLBVYQYIGEER-CMPLNLGQSA-N 0 1 270.296 0.690 20 30 CCEDMN C#CCSCCNC(=O)NCc1n[nH]c(CC)n1 ZINC000297093678 200083037 /nfs/dbraw/zinc/08/30/37/200083037.db2.gz DTXHZVOJEOEICI-UHFFFAOYSA-N 0 1 267.358 0.533 20 30 CCEDMN CC(C)(CO)N1CCN(c2cncc(C#N)n2)CC1 ZINC000591913745 359692758 /nfs/dbraw/zinc/69/27/58/359692758.db2.gz WNAMEGQTMCWWBX-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN C[C@H]1CN(CCO)CCN1Cc1ccncc1C#N ZINC000592125171 359759384 /nfs/dbraw/zinc/75/93/84/359759384.db2.gz SHOQYZVTYLTIMC-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN N#CCCN1CCN(C[C@H](O)COCc2ccco2)CC1 ZINC000611175548 363671816 /nfs/dbraw/zinc/67/18/16/363671816.db2.gz HSTSLABXUOXBOZ-AWEZNQCLSA-N 0 1 293.367 0.688 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H](c2nn[nH]n2)c2ccccc2)[nH]1 ZINC000611201589 363680098 /nfs/dbraw/zinc/68/00/98/363680098.db2.gz NGXWDTINJAFGCE-LBPRGKRZSA-N 0 1 293.290 0.919 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@](C)(O)C2)[nH]1 ZINC000611228307 363688004 /nfs/dbraw/zinc/68/80/04/363688004.db2.gz KGCREIAORFYUAY-GFCCVEGCSA-N 0 1 263.301 0.092 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2ccnn2CC(F)F)[nH]n1C ZINC000592651664 359908899 /nfs/dbraw/zinc/90/88/99/359908899.db2.gz TXAQHZVIQZAYNZ-UHFFFAOYSA-N 0 1 294.265 0.736 20 30 CCEDMN COc1cc(C)cnc1C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592649170 359909346 /nfs/dbraw/zinc/90/93/46/359909346.db2.gz JUSMPGAVAJZLAG-UHFFFAOYSA-N 0 1 285.307 0.986 20 30 CCEDMN CN(C)c1cccc(CNC(=O)N=c2[nH]n(C)cc2C#N)n1 ZINC000611245793 363697029 /nfs/dbraw/zinc/69/70/29/363697029.db2.gz IWZMMHUWTAQNSV-UHFFFAOYSA-N 0 1 299.338 0.496 20 30 CCEDMN CCc1[nH]nc(C(=O)N2CC[C@](O)(CC#N)C2)c1[N+](=O)[O-] ZINC000592841190 359950323 /nfs/dbraw/zinc/95/03/23/359950323.db2.gz SVROHNAMWJNNLC-GFCCVEGCSA-N 0 1 293.283 0.371 20 30 CCEDMN C[C@@H]1[C@H](C(=O)N=c2[nH][n-]c(C3CC3)c2C#N)CC[N@H+]1C ZINC000592947031 359991715 /nfs/dbraw/zinc/99/17/15/359991715.db2.gz OPNWRCOTHMTCOT-PSASIEDQSA-N 0 1 273.340 0.859 20 30 CCEDMN CNS(=O)(=O)C[C@H]1CCCN1[C@H](C)CCC#N ZINC000593017049 360014772 /nfs/dbraw/zinc/01/47/72/360014772.db2.gz CISTTWWVJGECOW-GHMZBOCLSA-N 0 1 259.375 0.692 20 30 CCEDMN CCN1C(=O)[C@H]2CN([C@@H](C)CCC#N)CCN2C1=O ZINC000593015121 360015291 /nfs/dbraw/zinc/01/52/91/360015291.db2.gz MXYWABBHZBCABI-WDEREUQCSA-N 0 1 264.329 0.647 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000593505340 360101427 /nfs/dbraw/zinc/10/14/27/360101427.db2.gz MVXZJJNBPBBQNU-LBPRGKRZSA-N 0 1 265.357 0.859 20 30 CCEDMN C[C@H](CO)N[C@@H]1CC(=O)N(c2ccc(C#N)cc2)C1=O ZINC000593921610 360217343 /nfs/dbraw/zinc/21/73/43/360217343.db2.gz YXBRSHGQSPQIRU-BXKDBHETSA-N 0 1 273.292 0.161 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(CC[C@@H]2CCNC2=O)CC1 ZINC000593968763 360225185 /nfs/dbraw/zinc/22/51/85/360225185.db2.gz PGOLUAUMMMLVEG-KBPBESRZSA-N 0 1 278.400 0.678 20 30 CCEDMN C[C@H](O)CN(CC(=O)N[C@](C)(C#N)C1CC1)C1CC1 ZINC000594055367 360243684 /nfs/dbraw/zinc/24/36/84/360243684.db2.gz LDERKJYAULDWPP-IINYFYTJSA-N 0 1 265.357 0.640 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C[C@H](C)O)C1CC1 ZINC000594064283 360245143 /nfs/dbraw/zinc/24/51/43/360245143.db2.gz MJQRCOPQHIWKOR-NEPJUHHUSA-N 0 1 267.373 0.840 20 30 CCEDMN COC(=O)CNC(=O)[C@@H](C)N[C@H](C)c1cccc(C#N)c1 ZINC000611387348 363712428 /nfs/dbraw/zinc/71/24/28/363712428.db2.gz LTFAAPJCWSSYCP-GHMZBOCLSA-N 0 1 289.335 0.887 20 30 CCEDMN C[C@H](O)CN(Cc1cnc2c(C#N)cnn2c1)C1CC1 ZINC000594617030 360341270 /nfs/dbraw/zinc/34/12/70/360341270.db2.gz ZKJXZUZUWGIIPO-JTQLQIEISA-N 0 1 271.324 0.946 20 30 CCEDMN N#Cc1nccc(N2CCN([C@H]3CCC[C@@H]3O)CC2)n1 ZINC000594548802 360329403 /nfs/dbraw/zinc/32/94/03/360329403.db2.gz FOBQDSZODHNTMJ-RYUDHWBXSA-N 0 1 273.340 0.384 20 30 CCEDMN CO[C@H](C)CNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000594716077 360365479 /nfs/dbraw/zinc/36/54/79/360365479.db2.gz IDSKTHIGOURDSU-SNVBAGLBSA-N 0 1 283.353 0.351 20 30 CCEDMN N#CC1(CNC(=O)C[C@H]2COCCN2)CCCCC1 ZINC000595258133 360462634 /nfs/dbraw/zinc/46/26/34/360462634.db2.gz KVPVYZQSMWANAJ-LBPRGKRZSA-N 0 1 265.357 0.955 20 30 CCEDMN N#CCCCN1CCC(N2CCCS2(=O)=O)CC1 ZINC000595536022 360571225 /nfs/dbraw/zinc/57/12/25/360571225.db2.gz SUUFRKZKAYKWMD-UHFFFAOYSA-N 0 1 271.386 0.790 20 30 CCEDMN C[C@]12COC[C@H]1CN(CC(=O)N(CCC#N)CCC#N)C2 ZINC000595761506 360644574 /nfs/dbraw/zinc/64/45/74/360644574.db2.gz XIUICEPPKHUPEX-HIFRSBDPSA-N 0 1 290.367 0.611 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC(=O)N[C@@H]1CCCC[C@H]1C ZINC000595864019 360683440 /nfs/dbraw/zinc/68/34/40/360683440.db2.gz MSZKFSSWZOBJBP-IJLUTSLNSA-N 0 1 283.372 0.527 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)[C@](C)(CO)C1 ZINC000595870482 360686177 /nfs/dbraw/zinc/68/61/77/360686177.db2.gz GPOXFSKSYSKBMX-BZPMIXESSA-N 0 1 279.384 0.888 20 30 CCEDMN C=C[C@H](CO)NCc1n[nH]c(C)c1C(=O)OCC ZINC000595872781 360687484 /nfs/dbraw/zinc/68/74/84/360687484.db2.gz GPXWYPZCRLGXAX-SECBINFHSA-N 0 1 253.302 0.531 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)C(=O)N2CC[C@](C)(C#N)C2)CCN1 ZINC000595983505 360758945 /nfs/dbraw/zinc/75/89/45/360758945.db2.gz POJXTSKZNCWVJO-IUODEOHRSA-N 0 1 292.383 0.205 20 30 CCEDMN C[C@]1(C#N)CCN(C(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3)C1 ZINC000595990386 360763600 /nfs/dbraw/zinc/76/36/00/360763600.db2.gz QMXCWQNAEVPSBU-TYNCELHUSA-N 0 1 290.367 0.101 20 30 CCEDMN Cc1ccc(C#N)nc1N1CCN(C[C@@H](C)O)CC1 ZINC000596110953 360797424 /nfs/dbraw/zinc/79/74/24/360797424.db2.gz SUGHMHDMBGOMPK-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN Cn1ncc(C#N)c1N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000596111675 360797931 /nfs/dbraw/zinc/79/79/31/360797931.db2.gz ARDMYYRXXGBJOE-CHWSQXEVSA-N 0 1 275.356 0.327 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cn2cc(C3CC3)cn2)CC1 ZINC000597166131 361020776 /nfs/dbraw/zinc/02/07/76/361020776.db2.gz NPOSPNHSCNNLSU-UHFFFAOYSA-N 0 1 287.367 0.865 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000597619587 361164246 /nfs/dbraw/zinc/16/42/46/361164246.db2.gz HWNOICXHWNKJLW-QWHCGFSZSA-N 0 1 265.357 0.859 20 30 CCEDMN CCc1[nH]nc(C(=O)N2CC(CC#N)C2)c1[N+](=O)[O-] ZINC000598035802 361319718 /nfs/dbraw/zinc/31/97/18/361319718.db2.gz VPAVILFWRWRHIH-UHFFFAOYSA-N 0 1 263.257 0.866 20 30 CCEDMN C[C@@H](C#N)N(C(=O)[C@H]1CN2CCN1CCC2)C1CC1 ZINC000598134506 361341350 /nfs/dbraw/zinc/34/13/50/361341350.db2.gz WVXPQUZUKIKJEN-WCQYABFASA-N 0 1 262.357 0.279 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@@H](C)c1nnc[nH]1 ZINC000611707811 363767192 /nfs/dbraw/zinc/76/71/92/363767192.db2.gz SEZHXKXSDOTULA-WCBMZHEXSA-N 0 1 265.317 0.453 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@H](C)c1nnc[nH]1 ZINC000611707808 363768018 /nfs/dbraw/zinc/76/80/18/363768018.db2.gz SEZHXKXSDOTULA-PSASIEDQSA-N 0 1 265.317 0.453 20 30 CCEDMN Cc1cn2c(n1)CN(C(=O)N=c1[nH]n(C)cc1C#N)CC2 ZINC000611820837 363789398 /nfs/dbraw/zinc/78/93/98/363789398.db2.gz QEJFTTQXDGZSTE-UHFFFAOYSA-N 0 1 285.311 0.266 20 30 CCEDMN CCN(C(=O)CN1CCN(CC)CC1)[C@@H](C)C#N ZINC000598359465 361395515 /nfs/dbraw/zinc/39/55/15/361395515.db2.gz OGHAHWGXUNOHBX-LBPRGKRZSA-N 0 1 252.362 0.384 20 30 CCEDMN CN(CC(=O)N1CC[C@](C)(C#N)C1)c1ncnc2[nH]cnc21 ZINC000598413568 361407193 /nfs/dbraw/zinc/40/71/93/361407193.db2.gz UCDHMAWTPVNPSC-CQSZACIVSA-N 0 1 299.338 0.551 20 30 CCEDMN CCN1CCCN(C[C@@H](O)CC(C)(C)C#N)CC1=O ZINC000598616185 361460624 /nfs/dbraw/zinc/46/06/24/361460624.db2.gz NANLJTGHVOMBFJ-LBPRGKRZSA-N 0 1 267.373 0.841 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CC[C@@H](CS(C)(=O)=O)C1 ZINC000598618763 361461664 /nfs/dbraw/zinc/46/16/64/361461664.db2.gz QBGIPGABNOGECI-NEPJUHHUSA-N 0 1 288.413 0.654 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCN2C(=O)CC[C@@H]2C1 ZINC000598601752 361455849 /nfs/dbraw/zinc/45/58/49/361455849.db2.gz VTDHJYSNIYIKTO-VXGBXAGGSA-N 0 1 265.357 0.594 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)N(C)Cc1n[nH]c(=O)o1 ZINC000598746762 361508207 /nfs/dbraw/zinc/50/82/07/361508207.db2.gz UZBBBPHSABYPBU-UHFFFAOYSA-N 0 1 261.241 0.556 20 30 CCEDMN Cc1nnc(NCCN2CC[C@H](O)C2)c(C#N)c1C ZINC000599199243 361609465 /nfs/dbraw/zinc/60/94/65/361609465.db2.gz HZTMJXBLANLOFF-NSHDSACASA-N 0 1 261.329 0.444 20 30 CCEDMN CC[C@@H](CC#N)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599276970 361628856 /nfs/dbraw/zinc/62/88/56/361628856.db2.gz CRXQCKBKOITWRP-ZETCQYMHSA-N 0 1 287.297 0.432 20 30 CCEDMN COC[C@H](CO)N=c1ccc2cc(C#N)ccc2[nH]1 ZINC000564575072 291286379 /nfs/dbraw/zinc/28/63/79/291286379.db2.gz PHGWJUXLOGHBAR-LBPRGKRZSA-N 0 1 257.293 0.948 20 30 CCEDMN N#CC1(C[C@@H](O)CN(C2CC2)C2COC2)CCOCC1 ZINC000599431142 361697415 /nfs/dbraw/zinc/69/74/15/361697415.db2.gz RYWWQRPYZNAMMZ-CQSZACIVSA-N 0 1 280.368 0.921 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)NC2(C#N)CC2)cn1 ZINC000599510237 361722961 /nfs/dbraw/zinc/72/29/61/361722961.db2.gz UYARTTSGBIQESH-UHFFFAOYSA-N 0 1 280.309 0.239 20 30 CCEDMN C[C@H]1OCC[C@@]1(C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000612313485 363882859 /nfs/dbraw/zinc/88/28/59/363882859.db2.gz KFSAADBCZPMZKD-PRHODGIISA-N 0 1 263.301 0.403 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000613252452 364180955 /nfs/dbraw/zinc/18/09/55/364180955.db2.gz GKJJZVBMKYEEJU-QWRGUYRKSA-N 0 1 263.341 0.815 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)[C@H](C)[C@H](C)O1 ZINC000615025475 364822483 /nfs/dbraw/zinc/82/24/83/364822483.db2.gz RGUJDGYGFSODBV-BBBLOLIVSA-N 0 1 277.328 0.743 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCc1n[nH]c(CC)n1 ZINC000615450667 364958327 /nfs/dbraw/zinc/95/83/27/364958327.db2.gz PCCMKLRVLLVFLM-SNVBAGLBSA-N 0 1 279.344 0.454 20 30 CCEDMN C[C@@H](O)CN1CCN(c2nc3ccccn3c2C#N)CC1 ZINC000275861905 193149622 /nfs/dbraw/zinc/14/96/22/193149622.db2.gz UDWYIUPEMCHXFV-GFCCVEGCSA-N 0 1 285.351 0.709 20 30 CCEDMN C[C@@H](O)[C@H]1CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000566243379 291382762 /nfs/dbraw/zinc/38/27/62/291382762.db2.gz ULPNCAYJNPFIDN-PWSUYJOCSA-N 0 1 271.324 0.804 20 30 CCEDMN C[C@@H](CO)N(C)CC#CCN1C(=O)c2ccccc2C1=O ZINC000625263445 368122957 /nfs/dbraw/zinc/12/29/57/368122957.db2.gz DYFYFCCUCZQXMQ-LBPRGKRZSA-N 0 1 286.331 0.599 20 30 CCEDMN C=C(C)CNC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000626518734 368571043 /nfs/dbraw/zinc/57/10/43/368571043.db2.gz CIQVJPIXBDVQEC-UHFFFAOYSA-N 0 1 269.326 0.759 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N1CCc2nc[nH]c2C1 ZINC000627373902 368973811 /nfs/dbraw/zinc/97/38/11/368973811.db2.gz FJNBMHDNBLYDQR-GFCCVEGCSA-N 0 1 276.340 0.765 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C[C@@H](C)O)[C@@H](C)CO ZINC000276218442 193247309 /nfs/dbraw/zinc/24/73/09/193247309.db2.gz QFGPKNQSFIMILV-QWHCGFSZSA-N 0 1 270.373 0.251 20 30 CCEDMN C=C(C)CCNS(=O)(=O)CCCN1CCN(C)CC1 ZINC000632410147 371044520 /nfs/dbraw/zinc/04/45/20/371044520.db2.gz XOCFJPUJLSXPEK-UHFFFAOYSA-N 0 1 289.445 0.510 20 30 CCEDMN C=CCC1(NS(=O)(=O)c2ncc[nH]2)CCOCC1 ZINC000632555670 371138426 /nfs/dbraw/zinc/13/84/26/371138426.db2.gz OUZNZNYTAFAEKP-UHFFFAOYSA-N 0 1 271.342 0.813 20 30 CCEDMN CC#CC[C@@H](CO)NS(=O)(=O)c1cc(O)cc(F)c1 ZINC000632506166 371110473 /nfs/dbraw/zinc/11/04/73/371110473.db2.gz SKCTWSREKJTOCY-JTQLQIEISA-N 0 1 287.312 0.584 20 30 CCEDMN C=CCN(C(=O)CCc1nn[nH]n1)[C@H](C)COC ZINC000633612418 371629646 /nfs/dbraw/zinc/62/96/46/371629646.db2.gz MTGGCRWFAUOIQA-SECBINFHSA-N 0 1 253.306 0.182 20 30 CCEDMN C=CC[C@H](CO)NCc1ccc(S(N)(=O)=O)s1 ZINC000639046820 375544518 /nfs/dbraw/zinc/54/45/18/375544518.db2.gz XRIQGOLWUCDGKT-MRVPVSSYSA-N 0 1 276.383 0.422 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H]2CCc3ncnn32)C1=O ZINC000639621616 375865580 /nfs/dbraw/zinc/86/55/80/375865580.db2.gz BGKKIXOHHRUHJI-RYUDHWBXSA-N 0 1 275.356 0.484 20 30 CCEDMN C[C@]1(CO)C[C@@H](O)CN1CC#Cc1ccc(F)cc1 ZINC000639851807 376073134 /nfs/dbraw/zinc/07/31/34/376073134.db2.gz BMJVZCALEUVZMK-HUUCEWRRSA-N 0 1 263.312 0.995 20 30 CCEDMN C=CCn1cc(CNC(=O)N2CCN(C)C(C)(C)C2)nn1 ZINC000641829172 377496928 /nfs/dbraw/zinc/49/69/28/377496928.db2.gz FGFZDSSPIWPCKB-UHFFFAOYSA-N 0 1 292.387 0.700 20 30 CCEDMN CO[C@@H]1COC[C@@H]1NCC(=O)NCc1ccc(C#N)cc1 ZINC000459832581 249067659 /nfs/dbraw/zinc/06/76/59/249067659.db2.gz TXKAWMSEFKVSHA-UONOGXRCSA-N 0 1 289.335 0.178 20 30 CCEDMN CCN1C[C@@H](NCc2cnc3c(C#N)cnn3c2)CC1=O ZINC000515384654 249132191 /nfs/dbraw/zinc/13/21/91/249132191.db2.gz KYSUOBYAFMWYAV-LBPRGKRZSA-N 0 1 284.323 0.311 20 30 CCEDMN Cc1nc(NS(=O)(=O)CCOCC2CC2)ccc1C#N ZINC000517125318 249204015 /nfs/dbraw/zinc/20/40/15/249204015.db2.gz LUCGPOZYUMLBRV-UHFFFAOYSA-N 0 1 295.364 0.852 20 30 CCEDMN Cn1cc(CN2C[C@H](O)[C@@H](O)C2)c(-c2ccc(C#N)cc2)n1 ZINC000278757571 194142003 /nfs/dbraw/zinc/14/20/03/194142003.db2.gz MLJMCZUGXCNGQX-GJZGRUSLSA-N 0 1 298.346 0.496 20 30 CCEDMN CC(C)COCCCNC(=O)NCC#CCN(C)C ZINC001221119828 804734191 /nfs/dbraw/zinc/73/41/91/804734191.db2.gz KCLITTMRDDMHNG-UHFFFAOYSA-N 0 1 269.389 0.913 20 30 CCEDMN Cc1cc(NC(=O)NC[C@H]2CN(C)CCN2C)n(C)n1 ZINC000329232962 227369538 /nfs/dbraw/zinc/36/95/38/227369538.db2.gz LPVIFTZKZBTOIP-NSHDSACASA-N 0 1 280.376 0.300 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC[C@@](O)(C(N)=O)C1 ZINC000286232993 388838722 /nfs/dbraw/zinc/83/87/22/388838722.db2.gz PQYHDRFYSNYUCY-WFASDCNBSA-N 0 1 297.399 0.112 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC000288833456 388866260 /nfs/dbraw/zinc/86/62/60/388866260.db2.gz CQHBGNXKZPFEHP-MNOVXSKESA-N 0 1 265.357 0.372 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@](CO)(CCC)C1 ZINC000288922065 388866306 /nfs/dbraw/zinc/86/63/06/388866306.db2.gz VDSVHHZYOIFCJX-SWLSCSKDSA-N 0 1 297.399 0.871 20 30 CCEDMN C[C@@H]1CN(CCCNC(=O)CSCC#N)C[C@H](C)O1 ZINC000042475207 388866488 /nfs/dbraw/zinc/86/64/88/388866488.db2.gz GUUWYJASZJOLPT-TXEJJXNPSA-N 0 1 285.413 0.859 20 30 CCEDMN C[C@H](N[C@@H](C)c1ccc(C#N)cc1)C(=O)NC(N)=O ZINC000037502305 388846619 /nfs/dbraw/zinc/84/66/19/388846619.db2.gz PBGBEMLPFAEAHF-IUCAKERBSA-N 0 1 260.297 0.792 20 30 CCEDMN C[C@H](C#N)CNC(=O)NCCN1CCCOCC1 ZINC000187365170 388878547 /nfs/dbraw/zinc/87/85/47/388878547.db2.gz MYOZEQZZXKRXSP-LLVKDONJSA-N 0 1 254.334 0.168 20 30 CCEDMN C=C[C@H](CO)NC(=O)[C@@H](Cc1ccccc1)N(C)C ZINC000290679701 388910362 /nfs/dbraw/zinc/91/03/62/388910362.db2.gz UAGIIRCXWBEFSV-ZIAGYGMSSA-N 0 1 262.353 0.822 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](C)[C@@H](OC)C1 ZINC000189298573 388953987 /nfs/dbraw/zinc/95/39/87/388953987.db2.gz YRUIPOGBRUWYAP-WOPDTQHZSA-N 0 1 283.372 0.744 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCOC[C@H]1C1CC1 ZINC000092808187 389031837 /nfs/dbraw/zinc/03/18/37/389031837.db2.gz QPEOFXJZYUJYEK-ZDUSSCGKSA-N 0 1 265.357 0.859 20 30 CCEDMN C=C(C)COCCNC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000354335332 389020567 /nfs/dbraw/zinc/02/05/67/389020567.db2.gz HOBXNYPGBXAOOG-KBPBESRZSA-N 0 1 299.415 0.676 20 30 CCEDMN N#CCN(CC#N)C(=O)c1[nH]nc2ccc([N+](=O)[O-])cc21 ZINC000355262601 389048044 /nfs/dbraw/zinc/04/80/44/389048044.db2.gz FIYVULRVEGIKIM-UHFFFAOYSA-N 0 1 284.235 0.960 20 30 CCEDMN C=CCCN1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000337267775 389058145 /nfs/dbraw/zinc/05/81/45/389058145.db2.gz JKKLTMLZVGORDI-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN C=CCCCCCN1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000193400491 389069369 /nfs/dbraw/zinc/06/93/69/389069369.db2.gz LIMQLCINZQRPDF-GFCCVEGCSA-N 0 1 265.357 0.375 20 30 CCEDMN Cc1ccc(N2CCN(CCO)[C@@H](C)C2)nc1C#N ZINC000302793512 389078429 /nfs/dbraw/zinc/07/84/29/389078429.db2.gz ZVMBHNKKGGMKLZ-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N1CCO[C@H](C#N)C1 ZINC000106043091 389089919 /nfs/dbraw/zinc/08/99/19/389089919.db2.gz USVYWFJJDMCYDG-VXGBXAGGSA-N 0 1 251.330 0.612 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)NC[C@H]1CCCN1C ZINC000336927245 389033088 /nfs/dbraw/zinc/03/30/88/389033088.db2.gz WKGTUQCAVACJDE-VXGBXAGGSA-N 0 1 288.417 0.397 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N1CCN(C)CC[C@@H]1C ZINC000337084142 389042343 /nfs/dbraw/zinc/04/23/43/389042343.db2.gz CHZMASOIGFOMDQ-NEPJUHHUSA-N 0 1 288.417 0.349 20 30 CCEDMN CCc1nc([C@@H](C)NC(=O)N2CCOCC2)n[nH]1 ZINC000328916119 389144778 /nfs/dbraw/zinc/14/47/78/389144778.db2.gz RDIFWCCKBGFWIO-MRVPVSSYSA-N 0 1 253.306 0.674 20 30 CCEDMN Cc1[nH]ncc1CCCNC(=O)N1CCO[C@@H](C#N)C1 ZINC000358299009 389147670 /nfs/dbraw/zinc/14/76/70/389147670.db2.gz IXBIDJKVUAVFJL-LBPRGKRZSA-N 0 1 277.328 0.585 20 30 CCEDMN N#CCCCS(=O)(=O)NCCN1CCCCC1 ZINC000111329002 389104883 /nfs/dbraw/zinc/10/48/83/389104883.db2.gz BNLRLXZNHLUFHZ-UHFFFAOYSA-N 0 1 259.375 0.695 20 30 CCEDMN CCN1CCN(CC(=O)N(C[C@@H](C)C#N)C2CC2)CC1 ZINC000338358314 389110534 /nfs/dbraw/zinc/11/05/34/389110534.db2.gz WQCRGAICEKXHES-ZDUSSCGKSA-N 0 1 278.400 0.775 20 30 CCEDMN Cc1nc2n(n1)C[C@H]([NH+]=C([O-])NCc1ccn(C)n1)CC2 ZINC000328985453 389148324 /nfs/dbraw/zinc/14/83/24/389148324.db2.gz TWXDQGGVSVKKOV-LLVKDONJSA-N 0 1 289.343 0.339 20 30 CCEDMN C=CCOCCN1CCC[C@@H]1CS(=O)(=O)NC ZINC000339042859 389122427 /nfs/dbraw/zinc/12/24/27/389122427.db2.gz FYBZGAGDXOMUTR-LLVKDONJSA-N 0 1 262.375 0.203 20 30 CCEDMN CCn1nncc1C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000328797226 389138990 /nfs/dbraw/zinc/13/89/90/389138990.db2.gz DWOIOLLKLMYAPU-NSHDSACASA-N 0 1 280.376 0.239 20 30 CCEDMN C[C@H](C(=O)N(C)CC(=O)NC1CC1)N1CCC[C@H](O)CC1 ZINC000328824626 389140049 /nfs/dbraw/zinc/14/00/49/389140049.db2.gz RQEJNXLQPQCWFP-YPMHNXCESA-N 0 1 297.399 0.799 20 30 CCEDMN C=CC[C@H](CC)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000359674206 389181187 /nfs/dbraw/zinc/18/11/87/389181187.db2.gz AHPNBSWRSVUQHR-QWHCGFSZSA-N 0 1 268.405 0.886 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(CC(=O)OCC)CC1 ZINC000130400524 389205636 /nfs/dbraw/zinc/20/56/36/389205636.db2.gz PKHOVHRTUDERDV-LBPRGKRZSA-N 0 1 280.368 0.790 20 30 CCEDMN N#CCN1CCN(C(=O)c2cccc3c[nH]nc32)CC1 ZINC000130112748 389198903 /nfs/dbraw/zinc/19/89/03/389198903.db2.gz GZPZMAUSWNKZJC-UHFFFAOYSA-N 0 1 269.308 0.844 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC[C@@H]2CCOC2)C[C@H]1C ZINC000329803383 389203124 /nfs/dbraw/zinc/20/31/24/389203124.db2.gz ZQCBOUWREDYHNS-HZSPNIEDSA-N 0 1 299.415 0.714 20 30 CCEDMN N#C[C@]1(NC(=O)c2cccc3nc[nH]c32)CCOC1 ZINC000358480477 389152730 /nfs/dbraw/zinc/15/27/30/389152730.db2.gz WQSGNQUJPIFXAX-CYBMUJFWSA-N 0 1 256.265 0.975 20 30 CCEDMN Cc1cc(CNC(=O)N[C@@H](C)c2nnc[nH]2)on1 ZINC000329283500 389154117 /nfs/dbraw/zinc/15/41/17/389154117.db2.gz NLHCEIDEDHSJGU-ZETCQYMHSA-N 0 1 250.262 0.866 20 30 CCEDMN CCC(=O)N1CC[C@H](NC(=O)[C@@H](C)CN2CCOCC2)C1 ZINC000329340601 389158551 /nfs/dbraw/zinc/15/85/51/389158551.db2.gz RPZINYWGKMUWAC-STQMWFEESA-N 0 1 297.399 0.922 20 30 CCEDMN O=C(CN1CCN(C(=O)c2cnc[nH]2)CC1)NC1CC1 ZINC000329424229 389163332 /nfs/dbraw/zinc/16/33/32/389163332.db2.gz FZFJKALXRINXIA-UHFFFAOYSA-N 0 1 277.328 0.286 20 30 CCEDMN CC1=CCN(CCNC(=O)NCC(=O)N2CCCC2)CC1 ZINC000329611599 389176212 /nfs/dbraw/zinc/17/62/12/389176212.db2.gz OFBZYTZYPKPOJG-UHFFFAOYSA-N 0 1 294.399 0.764 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H](C)[C@H](C(N)=O)C1 ZINC000330568724 389241545 /nfs/dbraw/zinc/24/15/45/389241545.db2.gz NLRMKOYYGKFZCP-RKDXNWHRSA-N 0 1 268.317 0.306 20 30 CCEDMN COC(=O)[C@H]1CN(C(=O)NCCCn2cccn2)CCO1 ZINC000330591087 389244120 /nfs/dbraw/zinc/24/41/20/389244120.db2.gz QPGPWLYBUXDHFP-LLVKDONJSA-N 0 1 296.327 0.061 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@H](C)N(C)C[C@H]1C ZINC000330602699 389245807 /nfs/dbraw/zinc/24/58/07/389245807.db2.gz WXTUKSRVHIYVMI-WDEREUQCSA-N 0 1 268.361 0.227 20 30 CCEDMN C=CCN(CCOC)C(=O)CN1CCN(CC)CC1 ZINC000361079301 389207946 /nfs/dbraw/zinc/20/79/46/389207946.db2.gz HXODKQLYPSPDBC-UHFFFAOYSA-N 0 1 269.389 0.285 20 30 CCEDMN C[C@H](NC(=O)NC[C@@H]1CN(C)CCN1C)C1CCOCC1 ZINC000329953863 389214726 /nfs/dbraw/zinc/21/47/26/389214726.db2.gz JQRHGQPQFNGDTP-GXTWGEPZSA-N 0 1 298.431 0.551 20 30 CCEDMN C[C@@]1(C(N)=O)CCN([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000361566223 389219816 /nfs/dbraw/zinc/21/98/16/389219816.db2.gz RGYCCKGPRULSBC-IUODEOHRSA-N 0 1 286.335 0.282 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCn2ccnc2C1)N1CCC[C@@H]1CO ZINC000330284819 389220366 /nfs/dbraw/zinc/22/03/66/389220366.db2.gz YALUZPKURCYLJQ-GHMZBOCLSA-N 0 1 264.329 0.569 20 30 CCEDMN CC(C)N(C)CCNC(=O)N1CC[C@H](S(C)(=O)=O)C1 ZINC000330417673 389226593 /nfs/dbraw/zinc/22/65/93/389226593.db2.gz OPXZLZNKLPQQPR-NSHDSACASA-N 0 1 291.417 0.360 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1C[C@H](CO)[C@H](C)C1 ZINC000362086436 389229881 /nfs/dbraw/zinc/22/98/81/389229881.db2.gz JKTPVZOLFLHSAG-BHPKHCPMSA-N 0 1 281.400 0.990 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(O)(COC)CC1 ZINC000330496931 389235575 /nfs/dbraw/zinc/23/55/75/389235575.db2.gz YTUPQTQSEAHHFG-LLVKDONJSA-N 0 1 256.346 0.150 20 30 CCEDMN CC#CCNC(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000154437186 389312752 /nfs/dbraw/zinc/31/27/52/389312752.db2.gz FIJLWWKYCGGAPV-SNVBAGLBSA-N 0 1 284.319 0.821 20 30 CCEDMN C#CCN(CC(=O)N1CCOCC1)C1CCCC1 ZINC000155732601 389321402 /nfs/dbraw/zinc/32/14/02/389321402.db2.gz JBACOVBOYCSERG-UHFFFAOYSA-N 0 1 250.342 0.723 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC000346188417 389323764 /nfs/dbraw/zinc/32/37/64/389323764.db2.gz DRRIEGNNLCUOMJ-RYUDHWBXSA-N 0 1 279.384 0.762 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN([C@H]3CCC[C@H]3O)CC2)n1 ZINC000367420280 389331421 /nfs/dbraw/zinc/33/14/21/389331421.db2.gz PXRZICSBEGGLER-UONOGXRCSA-N 0 1 287.367 0.692 20 30 CCEDMN C[C@@H]1CC[C@@H](C(N)=O)CN1CC(=O)NC1(C#N)CCC1 ZINC000346845490 389339491 /nfs/dbraw/zinc/33/94/91/389339491.db2.gz FAMPCFJKMPSZAH-GHMZBOCLSA-N 0 1 278.356 0.135 20 30 CCEDMN N#CC1(NC(=O)CN[C@@H](CO)c2ccccc2)CCC1 ZINC000346944883 389341389 /nfs/dbraw/zinc/34/13/89/389341389.db2.gz MEIWQABUUVQODO-ZDUSSCGKSA-N 0 1 273.336 0.872 20 30 CCEDMN C#CCCN1CCC[C@H](N2CCCS2(=O)=O)C1 ZINC000366116909 389292660 /nfs/dbraw/zinc/29/26/60/389292660.db2.gz IEORRDNIENLFJH-LBPRGKRZSA-N 0 1 256.371 0.510 20 30 CCEDMN Cc1nnc(N2C[C@H]3OCCN(C)[C@@H]3C2)c(C#N)c1C ZINC000153142551 389295731 /nfs/dbraw/zinc/29/57/31/389295731.db2.gz FROLXSFRIVCMLL-CHWSQXEVSA-N 0 1 273.340 0.484 20 30 CCEDMN N#CCN1CCN(C(=O)c2cc(O)cc([N+](=O)[O-])c2)CC1 ZINC000331053119 389297942 /nfs/dbraw/zinc/29/79/42/389297942.db2.gz JIRUMHBQALAPAO-UHFFFAOYSA-N 0 1 290.279 0.582 20 30 CCEDMN COC(=O)CCN1CCN(CC2(C#N)CC2)[C@@H](C)C1 ZINC000331403903 389304259 /nfs/dbraw/zinc/30/42/59/389304259.db2.gz OESOXJBZDPWSFB-LBPRGKRZSA-N 0 1 265.357 0.859 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](C(=O)OC)[C@H]1C ZINC000347110772 389347199 /nfs/dbraw/zinc/34/71/99/389347199.db2.gz NWUHRRICNFJSHM-VWYCJHECSA-N 0 1 254.330 0.561 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@](C)(C(N)=O)C(C)C ZINC000347706596 389356398 /nfs/dbraw/zinc/35/63/98/389356398.db2.gz PAGPODHAEFWCRT-KBPBESRZSA-N 0 1 282.388 0.530 20 30 CCEDMN N#Cc1ccc2ncc(CN3C[C@H]4[C@H](CO)[C@H]4C3)n2c1 ZINC000367786579 389358254 /nfs/dbraw/zinc/35/82/54/389358254.db2.gz YNNKAXUIDAIOAX-WDNDVIMCSA-N 0 1 268.320 0.876 20 30 CCEDMN C[C@H]1[C@H](CO)CCCN1CC(=O)N(CCC#N)CCC#N ZINC000412099615 389475813 /nfs/dbraw/zinc/47/58/13/389475813.db2.gz WPHJMKWPZMRAIP-KBPBESRZSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCn1c(=O)[nH]nc1SCCN1CCCOCC1 ZINC000412996014 389481941 /nfs/dbraw/zinc/48/19/41/389481941.db2.gz FAIXGOGDKKGXRC-UHFFFAOYSA-N 0 1 284.385 0.984 20 30 CCEDMN COc1ccc(C#N)cc1CN(CCO)CCCO ZINC000162887788 389423736 /nfs/dbraw/zinc/42/37/36/389423736.db2.gz DBOMBHTXRGMTIF-UHFFFAOYSA-N 0 1 264.325 0.744 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)N2CCOC[C@H]2c2nnc[nH]2)C1 ZINC000333596540 389433773 /nfs/dbraw/zinc/43/37/73/389433773.db2.gz PVLHSXSADGIOOM-AXFHLTTASA-N 0 1 290.327 0.580 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1 ZINC000335018647 389528464 /nfs/dbraw/zinc/52/84/64/389528464.db2.gz IKBROUAQDLMIPF-GHMZBOCLSA-N 0 1 253.346 0.230 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3)CC1 ZINC000335197642 389542763 /nfs/dbraw/zinc/54/27/63/389542763.db2.gz UBZFABMOBLNWAO-OKILXGFUSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H](C)C(=O)OC)CC1 ZINC000281110805 389608864 /nfs/dbraw/zinc/60/88/64/389608864.db2.gz FPUCHDOXZPDBEE-LLVKDONJSA-N 0 1 266.341 0.352 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCCO[C@@H](CC)C1 ZINC000281332058 389614193 /nfs/dbraw/zinc/61/41/93/389614193.db2.gz JKNIJRNFMYJINB-RYUDHWBXSA-N 0 1 283.372 0.888 20 30 CCEDMN C=CCN1CC[C@H](N(CC)CCC(=O)OC)C1=O ZINC000281420284 389616562 /nfs/dbraw/zinc/61/65/62/389616562.db2.gz BPBSTADEUCHRGJ-NSHDSACASA-N 0 1 254.330 0.658 20 30 CCEDMN CN(C)CCN(C1CC1)S(=O)(=O)c1ccc(C#N)nc1 ZINC000414456526 389618009 /nfs/dbraw/zinc/61/80/09/389618009.db2.gz DSXOJAHLHNUMKO-UHFFFAOYSA-N 0 1 294.380 0.668 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N1CCC[C@H]2CN(C)C[C@H]21 ZINC000425218062 389642175 /nfs/dbraw/zinc/64/21/75/389642175.db2.gz WYUJUDVWDMXUTM-GARJFASQSA-N 0 1 257.359 0.254 20 30 CCEDMN C=CCCCS(=O)(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC000425214607 389642203 /nfs/dbraw/zinc/64/22/03/389642203.db2.gz JYCPVSADSDFCEL-SNVBAGLBSA-N 0 1 270.358 0.890 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H](C(=O)N2CCNCC2)C1 ZINC000428618059 389710142 /nfs/dbraw/zinc/71/01/42/389710142.db2.gz DPKGPKBUGNTGBJ-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN COCCO[C@H]1CCC[N@H+]([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000179349286 389653344 /nfs/dbraw/zinc/65/33/44/389653344.db2.gz XGBMWSWIIJAULF-KGLIPLIRSA-N 0 1 297.399 0.874 20 30 CCEDMN COCCO[C@H]1CCCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000179349286 389653345 /nfs/dbraw/zinc/65/33/45/389653345.db2.gz XGBMWSWIIJAULF-KGLIPLIRSA-N 0 1 297.399 0.874 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCc2[nH]nnc2C1 ZINC000426781149 389678458 /nfs/dbraw/zinc/67/84/58/389678458.db2.gz YVAAMVAPIZLQEG-BXKDBHETSA-N 0 1 262.313 0.671 20 30 CCEDMN CCn1cc(-c2[nH]ncc2C(=O)N[C@H](C)C#N)cn1 ZINC000427668508 389682218 /nfs/dbraw/zinc/68/22/18/389682218.db2.gz OHWPPRZTNOPUNO-MRVPVSSYSA-N 0 1 258.285 0.935 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)NC[C@@H](C)C#N)C1 ZINC000182370718 389707164 /nfs/dbraw/zinc/70/71/64/389707164.db2.gz ADNJDILVFCATPS-STQMWFEESA-N 0 1 282.388 0.802 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](O)CC(C)(C)C1 ZINC000395365777 389777374 /nfs/dbraw/zinc/77/73/74/389777374.db2.gz LBECHWCZMNABAC-LLVKDONJSA-N 0 1 283.372 0.481 20 30 CCEDMN C=CCOCCCC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000416307497 389731834 /nfs/dbraw/zinc/73/18/34/389731834.db2.gz KZFVLJPHOLGTCB-ZIAGYGMSSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCCCS(=O)(=O)N1CCCN(CC)CC1 ZINC000376266646 389731947 /nfs/dbraw/zinc/73/19/47/389731947.db2.gz SCVFCFPEYLYIQJ-UHFFFAOYSA-N 0 1 258.387 0.757 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NCCN1CCC[C@@H](C)C1 ZINC000352412583 389806658 /nfs/dbraw/zinc/80/66/58/389806658.db2.gz GWXDCRLTJKUYLA-MNOVXSKESA-N 0 1 259.375 0.550 20 30 CCEDMN N#Cc1ccc(CNCC(=O)N2CCOCC2)cc1F ZINC000404173721 389810429 /nfs/dbraw/zinc/81/04/29/389810429.db2.gz WVNHFQONWQLDPT-UHFFFAOYSA-N 0 1 277.299 0.646 20 30 CCEDMN C[C@H](C(=O)N=c1[nH]n(C)cc1C#N)C1CCOCC1 ZINC000408000634 389818430 /nfs/dbraw/zinc/81/84/30/389818430.db2.gz FLTBOKIJMDIQJO-VIFPVBQESA-N 0 1 262.313 0.715 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(CC)C[C@H]2C)C1=O ZINC000352698122 389836174 /nfs/dbraw/zinc/83/61/74/389836174.db2.gz LWRSUZISHVSAJR-OLZOCXBDSA-N 0 1 251.374 0.799 20 30 CCEDMN CCN(CC)CCS(=O)(=O)NCC1(CC#N)CC1 ZINC000352889920 389840341 /nfs/dbraw/zinc/84/03/41/389840341.db2.gz BMVZARBDSCGUQT-UHFFFAOYSA-N 0 1 273.402 0.941 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H](N2CCOCC2)C[C@@H]1C ZINC000352896256 389840624 /nfs/dbraw/zinc/84/06/24/389840624.db2.gz ZTVXTDLRITXEPG-MELADBBJSA-N 0 1 281.400 0.472 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1C[C@@H](C)NC[C@@H]1C ZINC000418892176 389885162 /nfs/dbraw/zinc/88/51/62/389885162.db2.gz VRPULIOHIBMDAV-MNOVXSKESA-N 0 1 253.346 0.278 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](O)C(C)(C)C1 ZINC000447875232 389967326 /nfs/dbraw/zinc/96/73/26/389967326.db2.gz PBSLKAVKKQHAPB-WDEREUQCSA-N 0 1 265.357 0.640 20 30 CCEDMN CN(CC(=O)NCc1cccc(C#N)c1)CC1(CO)CC1 ZINC000446062707 389929316 /nfs/dbraw/zinc/92/93/16/389929316.db2.gz OJBBBXSRJFYCDV-UHFFFAOYSA-N 0 1 287.363 0.879 20 30 CCEDMN COC[C@H](NCC(=O)N[C@@](C)(C#N)C(C)C)[C@H]1CCCO1 ZINC000434794147 389946770 /nfs/dbraw/zinc/94/67/70/389946770.db2.gz JYLCYTAGSANMBE-GUTXKFCHSA-N 0 1 297.399 0.824 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cc(C#N)n(C)c2)CC1 ZINC000491308124 390111797 /nfs/dbraw/zinc/11/17/97/390111797.db2.gz SULDXQHKZITBQE-UHFFFAOYSA-N 0 1 284.363 0.858 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H]1CO[C@@H](C)C1 ZINC000491453375 390113557 /nfs/dbraw/zinc/11/35/57/390113557.db2.gz QWBKUPNANJOBDI-RYUDHWBXSA-N 0 1 253.346 0.418 20 30 CCEDMN C[C@@H]1CN(CCCNc2ccc(C#N)c(N)n2)C[C@H](C)O1 ZINC000580094552 390221571 /nfs/dbraw/zinc/22/15/71/390221571.db2.gz OQQQJKPNWSMEHP-TXEJJXNPSA-N 0 1 289.383 0.869 20 30 CCEDMN C[C@@H]1CN(Cc2ccc(C#N)cn2)[C@@H](C)CN1CCO ZINC000580213161 390231003 /nfs/dbraw/zinc/23/10/03/390231003.db2.gz IOVOUHQMBIUWOQ-OLZOCXBDSA-N 0 1 274.368 0.840 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](CN3CCOC3=O)C2)C1=O ZINC000496070569 390294110 /nfs/dbraw/zinc/29/41/10/390294110.db2.gz UKMQWEHIMKTAPS-CHWSQXEVSA-N 0 1 293.367 0.547 20 30 CCEDMN C#CCONC(=O)[C@H]1CCO[C@H]1CNC(=O)OC(C)(C)C ZINC000496081586 390295621 /nfs/dbraw/zinc/29/56/21/390295621.db2.gz JPBUMYFJKFVDIK-QWRGUYRKSA-N 0 1 298.339 0.597 20 30 CCEDMN C=CCN1CC[C@H](N(C)c2nc3[nH]ncc3c(=O)[nH]2)C1=O ZINC000542843316 390274087 /nfs/dbraw/zinc/27/40/87/390274087.db2.gz SAJDTFRNHDIBLN-VIFPVBQESA-N 0 1 288.311 0.282 20 30 CCEDMN OCC#CCNC[C@@H](O)COc1ccc(F)cc1 ZINC000583500083 390288472 /nfs/dbraw/zinc/28/84/72/390288472.db2.gz LQLSLJOBFLLMOI-GFCCVEGCSA-N 0 1 253.273 0.151 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CCCN(CCOC)C1 ZINC000648280259 390352253 /nfs/dbraw/zinc/35/22/53/390352253.db2.gz FKHOTDJXQQCMLF-MJBXVCDLSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCN(C3CC3)C2)nn1 ZINC000648750587 390401079 /nfs/dbraw/zinc/40/10/79/390401079.db2.gz XFMAYIKATROCRO-JTQLQIEISA-N 0 1 261.329 0.431 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000648894471 390437789 /nfs/dbraw/zinc/43/77/89/390437789.db2.gz MKHVUUZNZSSAOC-AGIUHOORSA-N 0 1 299.334 0.996 20 30 CCEDMN N#CCCN1CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000602204051 390377754 /nfs/dbraw/zinc/37/77/54/390377754.db2.gz HCHFVJJNHBQCSR-UHFFFAOYSA-N 0 1 284.323 0.629 20 30 CCEDMN C=CCC[C@H](CO)Nc1nc(N)nc2c1CCCC2 ZINC000645255212 390396880 /nfs/dbraw/zinc/39/68/80/390396880.db2.gz VGWSJEDHUIDLPR-SNVBAGLBSA-N 0 1 262.357 0.929 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@H]1CCCN1C1CC1 ZINC000649182190 390511641 /nfs/dbraw/zinc/51/16/41/390511641.db2.gz QIPQNPMRIJBXGI-DGCLKSJQSA-N 0 1 250.342 0.504 20 30 CCEDMN N#CC1(NC(=O)CN2CCN(CC3CC3)CC2)CCC1 ZINC000527377706 390704782 /nfs/dbraw/zinc/70/47/82/390704782.db2.gz IBXMIIUXYNSODK-UHFFFAOYSA-N 0 1 276.384 0.576 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCC[C@H](O)C2)CC1 ZINC000527379312 390704857 /nfs/dbraw/zinc/70/48/57/390704857.db2.gz CGGYOWFZNMXOOQ-NEPJUHHUSA-N 0 1 265.357 0.642 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)N2CCC2(C)C)[nH]1 ZINC000525898131 390669430 /nfs/dbraw/zinc/66/94/30/390669430.db2.gz NILYDOMNVCPALK-UHFFFAOYSA-N 0 1 289.339 0.707 20 30 CCEDMN CC(=O)NCC(=O)N1CCCN(CCC(C)(C)C#N)CC1 ZINC000528738768 390773718 /nfs/dbraw/zinc/77/37/18/390773718.db2.gz QKWGYMYJPDSNCN-UHFFFAOYSA-N 0 1 294.399 0.597 20 30 CCEDMN C[N@H+](Cc1ccc(C#N)cn1)C[C@H](O)CN1CCOCC1 ZINC000572801026 390803669 /nfs/dbraw/zinc/80/36/69/390803669.db2.gz FJXXDCGHIKDJJI-HNNXBMFYSA-N 0 1 290.367 0.078 20 30 CCEDMN CN(Cc1ccc(C#N)cn1)C[C@H](O)CN1CCOCC1 ZINC000572801026 390803670 /nfs/dbraw/zinc/80/36/70/390803670.db2.gz FJXXDCGHIKDJJI-HNNXBMFYSA-N 0 1 290.367 0.078 20 30 CCEDMN CCCN1CCCN(C[C@@H](O)CC2(C#N)CC2)CC1=O ZINC000528478162 390748480 /nfs/dbraw/zinc/74/84/80/390748480.db2.gz XUVHKHRCTCGULK-ZDUSSCGKSA-N 0 1 279.384 0.985 20 30 CCEDMN CO[C@H]1C[C@@H](C(=O)NCCc2nnc(C)[nH]2)N(C)C1 ZINC000329056808 533282134 /nfs/dbraw/zinc/28/21/34/533282134.db2.gz YAWXWUVDGRSVAB-UWVGGRQHSA-N 0 1 267.333 0.331 20 30 CCEDMN CNC(=O)c1ccc(=NCc2ccc(C#N)c(OC)c2)[nH]n1 ZINC000357611039 144104503 /nfs/dbraw/zinc/10/45/03/144104503.db2.gz UTXXCLNWQZMFRZ-UHFFFAOYSA-N 0 1 297.318 0.750 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)NC(C)(C)c1nn[nH]n1 ZINC000435459441 397533289 /nfs/dbraw/zinc/53/32/89/397533289.db2.gz NQCYUDQVSKRUHC-UHFFFAOYSA-N 0 1 260.257 0.638 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2[C@H](C)CC[C@@H]2C(=O)[O-])C1=O ZINC000650501232 397724799 /nfs/dbraw/zinc/72/47/99/397724799.db2.gz SYDLQCMUVHYCQP-OUAUKWLOSA-N 0 1 252.314 0.711 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N(CC)[C@@H]1CC[N@@H+](C)C1 ZINC000658801579 413209967 /nfs/dbraw/zinc/20/99/67/413209967.db2.gz JFEKMZWXSQWQSS-CYBMUJFWSA-N 0 1 281.400 0.964 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC000658801579 413209974 /nfs/dbraw/zinc/20/99/74/413209974.db2.gz JFEKMZWXSQWQSS-CYBMUJFWSA-N 0 1 281.400 0.964 20 30 CCEDMN N#Cc1ncn(CCNCc2ccccc2[N+](=O)[O-])n1 ZINC000285372876 196265196 /nfs/dbraw/zinc/26/51/96/196265196.db2.gz CHNCNWAMQXECRF-UHFFFAOYSA-N 0 1 272.268 0.848 20 30 CCEDMN N#Cc1ncn(CCNCc2cccc3c2OCO3)n1 ZINC000285629550 196349240 /nfs/dbraw/zinc/34/92/40/196349240.db2.gz XBMSXNRQPZNODY-UHFFFAOYSA-N 0 1 271.280 0.668 20 30 CCEDMN C=CCN(CCc1c[nH]nn1)[C@H]1CCS(=O)(=O)C1 ZINC000660069978 414086536 /nfs/dbraw/zinc/08/65/36/414086536.db2.gz MWWHFYIZQHSXPA-NSHDSACASA-N 0 1 270.358 0.022 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)NCc1cccs1 ZINC000662166247 414695344 /nfs/dbraw/zinc/69/53/44/414695344.db2.gz XDRBYBGPKWFHKJ-VHSXEESVSA-N 0 1 254.355 0.889 20 30 CCEDMN C=CCCOCC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000662171909 414695600 /nfs/dbraw/zinc/69/56/00/414695600.db2.gz AEOKVNRYXOQJKN-UHFFFAOYSA-N 0 1 279.300 0.228 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)C(=O)N2CC[C@@H](F)[C@H](N)C2)C1 ZINC000662968697 414923333 /nfs/dbraw/zinc/92/33/33/414923333.db2.gz GKOYYIHCYUUWQX-JHJVBQTASA-N 0 1 297.374 0.699 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000665538647 416451003 /nfs/dbraw/zinc/45/10/03/416451003.db2.gz PVZZTPZYDCUFGZ-TZMCWYRMSA-N 0 1 270.373 0.396 20 30 CCEDMN C[C@H]1CCCN(CC(=O)NC2(C#N)CCC2)[C@@H]1CO ZINC000346976908 226003084 /nfs/dbraw/zinc/00/30/84/226003084.db2.gz RTIPUECGOMTQSV-NWDGAFQWSA-N 0 1 265.357 0.642 20 30 CCEDMN O=C(NC[C@@H]1CCCO1)c1cccn2c(O)nnc12 ZINC000329074675 227283191 /nfs/dbraw/zinc/28/31/91/227283191.db2.gz AAQLXTFWFODXOE-QMMMGPOBSA-N 0 1 262.269 0.919 20 30 CCEDMN CCn1ncnc1CNC(=O)N1CCN(CC2CC2)CC1 ZINC000330001514 228013301 /nfs/dbraw/zinc/01/33/01/228013301.db2.gz YBMXWUDUZMMGEB-UHFFFAOYSA-N 0 1 292.387 0.740 20 30 CCEDMN C#Cc1cccc(NS(=O)(=O)CC(=O)OC)c1 ZINC000042534093 544428176 /nfs/dbraw/zinc/42/81/76/544428176.db2.gz CBGFBEPLLRSKAP-UHFFFAOYSA-N 0 1 253.279 0.583 20 30 CCEDMN N#C[C@H]1CN(C(=O)NCCCCN2CCOCC2)CCO1 ZINC000332619785 228164074 /nfs/dbraw/zinc/16/40/74/228164074.db2.gz RXUMRSMQNYRIEI-ZDUSSCGKSA-N 0 1 296.371 0.033 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N2CCO[C@@H](C#N)C2)cn1 ZINC000333044728 228188882 /nfs/dbraw/zinc/18/88/82/228188882.db2.gz KGLKPGQICCDCDR-JTQLQIEISA-N 0 1 286.295 0.175 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2ccc(N)nc2)[nH]1 ZINC000619795458 544610020 /nfs/dbraw/zinc/61/00/20/544610020.db2.gz MDKBNPQHXBISSR-UHFFFAOYSA-N 0 1 271.284 0.013 20 30 CCEDMN C=CCNC(=O)CN1CCC(OCCCO)CC1 ZINC000304998717 544985971 /nfs/dbraw/zinc/98/59/71/544985971.db2.gz GPDXTXGDGVKXFQ-UHFFFAOYSA-N 0 1 256.346 0.152 20 30 CCEDMN COCCN1CC[C@H](NC(=O)[C@]2(O)CCSC2)C1 ZINC000328957903 545020534 /nfs/dbraw/zinc/02/05/34/545020534.db2.gz JPGIOCCYHBZVBA-JQWIXIFHSA-N 0 1 274.386 0.532 20 30 CCEDMN CN(C)CC(=O)N1CCN([C@H]2CC[C@@H](C#N)C2)CC1 ZINC000407988067 260151484 /nfs/dbraw/zinc/15/14/84/260151484.db2.gz CABQSPKRHXMKBK-OLZOCXBDSA-N 0 1 264.373 0.384 20 30 CCEDMN CN(CC(=O)N1CCOCC1)[C@@H]1CC[C@@H](C#N)C1 ZINC000407988895 260152612 /nfs/dbraw/zinc/15/26/12/260152612.db2.gz IFXNESXZADHCMY-VXGBXAGGSA-N 0 1 251.330 0.469 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@@H](C)Cc1cnc[nH]1 ZINC000517757046 260189065 /nfs/dbraw/zinc/18/90/65/260189065.db2.gz MLDIYVVOYYXILS-VIFPVBQESA-N 0 1 264.285 0.113 20 30 CCEDMN N#CC1(CN2CCC[C@@H](C(=O)N3CCOCC3)C2)CC1 ZINC000408109931 260235904 /nfs/dbraw/zinc/23/59/04/260235904.db2.gz IRUANFYLIBREBE-CYBMUJFWSA-N 0 1 277.368 0.861 20 30 CCEDMN C[C@@H]1C[C@@H](NS(=O)(=O)N(C)CCC#N)C[N@H+]1C1CC1 ZINC000126178762 260346221 /nfs/dbraw/zinc/34/62/21/260346221.db2.gz DRYYEUKGJCYRJN-GHMZBOCLSA-N 0 1 286.401 0.291 20 30 CCEDMN C[C@@H]1C[C@@H](NS(=O)(=O)N(C)CCC#N)CN1C1CC1 ZINC000126178762 260346222 /nfs/dbraw/zinc/34/62/22/260346222.db2.gz DRYYEUKGJCYRJN-GHMZBOCLSA-N 0 1 286.401 0.291 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2nc(COC)no2)CC1 ZINC000490866587 261230711 /nfs/dbraw/zinc/23/07/11/261230711.db2.gz REPCOSQIKWULDI-UHFFFAOYSA-N 0 1 293.323 0.604 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](O)[C@@H](C)C1 ZINC000249603676 261257190 /nfs/dbraw/zinc/25/71/90/261257190.db2.gz ZAAPAVZQMSMAPC-FRRDWIJNSA-N 0 1 267.373 0.697 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)COCCOCC ZINC000491095545 261324825 /nfs/dbraw/zinc/32/48/25/261324825.db2.gz LRIWKBCAKGWTKH-CYBMUJFWSA-N 0 1 269.341 0.680 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)COCCOCC ZINC000491095545 261324827 /nfs/dbraw/zinc/32/48/27/261324827.db2.gz LRIWKBCAKGWTKH-CYBMUJFWSA-N 0 1 269.341 0.680 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)C[C@@H](O)Cc1ccccc1 ZINC000491240685 261384526 /nfs/dbraw/zinc/38/45/26/261384526.db2.gz BVPAYEVSKWAYDJ-ZFWWWQNUSA-N 0 1 274.364 0.660 20 30 CCEDMN C[C@H]1[C@@H](NS(=O)(=O)CC2(C#N)CC2)CCCN1C ZINC000361809021 261394757 /nfs/dbraw/zinc/39/47/57/261394757.db2.gz GZPWERZSFMJLNW-QWRGUYRKSA-N 0 1 271.386 0.692 20 30 CCEDMN CC(C)CN1N=C(C(=O)NC2=NO[C@H](C)C2)CCC1=O ZINC000364150767 262158047 /nfs/dbraw/zinc/15/80/47/262158047.db2.gz PECVULKTHNQWHQ-SECBINFHSA-N 0 1 280.328 0.859 20 30 CCEDMN C=CCN(CC=C)C(=O)Cn1nc(SC)[nH]c1=O ZINC000188170719 262243671 /nfs/dbraw/zinc/24/36/71/262243671.db2.gz CGKORYRVZRSZJC-UHFFFAOYSA-N 0 1 268.342 0.494 20 30 CCEDMN CCOC(=O)CON=C(C(=O)N[C@@H](C)CC)c1ccn[nH]1 ZINC000276657591 263037744 /nfs/dbraw/zinc/03/77/44/263037744.db2.gz MEWRHYKPLUZOQY-NXVNHVPESA-N 0 1 296.327 0.608 20 30 CCEDMN C#CCN(CC#N)C(=O)CCSc1nnc(C)[nH]1 ZINC000282054972 263073963 /nfs/dbraw/zinc/07/39/63/263073963.db2.gz RSQLITRRHGYJPR-UHFFFAOYSA-N 0 1 263.326 0.581 20 30 CCEDMN N#CCN1CCN(C(=O)c2ccc3cncn3c2)CC1 ZINC000284494954 263086989 /nfs/dbraw/zinc/08/69/89/263086989.db2.gz YSOQKPCYONJZPB-UHFFFAOYSA-N 0 1 269.308 0.616 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCN(C(C)C)CC1 ZINC000045779854 263302816 /nfs/dbraw/zinc/30/28/16/263302816.db2.gz AJRQSEQPUXEKJB-LBPRGKRZSA-N 0 1 282.388 0.413 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N1CCN(C)CC[C@@H]1C ZINC000342010971 263378088 /nfs/dbraw/zinc/37/80/88/263378088.db2.gz DHEGZXKXKIPCFV-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NC[C@@H](O)C(C)(C)C)C1 ZINC000330790393 264054210 /nfs/dbraw/zinc/05/42/10/264054210.db2.gz ARPIDZDLKWMJBT-VXGBXAGGSA-N 0 1 286.420 0.143 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@@H](C)O)[C@@H](CC)C1 ZINC000330889993 264064498 /nfs/dbraw/zinc/06/44/98/264064498.db2.gz BOKLRQCXGGJVIR-IPYPFGDCSA-N 0 1 284.400 0.617 20 30 CCEDMN CN(C)C(=O)CCNC(=O)N1CCC[C@@H](n2cncn2)C1 ZINC000330936974 264081853 /nfs/dbraw/zinc/08/18/53/264081853.db2.gz TVELGVOQBKFZSG-LLVKDONJSA-N 0 1 294.359 0.307 20 30 CCEDMN CNC(=O)N[C@H]1CCN(Cc2ccc(C(=O)NC)cc2)C1 ZINC000330943178 264084315 /nfs/dbraw/zinc/08/43/15/264084315.db2.gz HJNKYZRGBVFESW-ZDUSSCGKSA-N 0 1 290.367 0.754 20 30 CCEDMN CC(C)(C)OC(=O)[C@](C)(O)CNC(=O)c1cnn[nH]1 ZINC000330942929 264084476 /nfs/dbraw/zinc/08/44/76/264084476.db2.gz DFCZYLKMEUDUSQ-LLVKDONJSA-N 0 1 270.289 0.202 20 30 CCEDMN CN(Cc1ccc[nH]1)C(=O)NC[C@H]1CN(C)CCN1C ZINC000331056435 264109161 /nfs/dbraw/zinc/10/91/61/264109161.db2.gz LKKIMIYBBCCXNT-ZDUSSCGKSA-N 0 1 279.388 0.606 20 30 CCEDMN CS(=O)(=O)C[C@@H]1CCN(C([O-])=[NH+]CCn2cccc2)C1 ZINC000331118253 264129708 /nfs/dbraw/zinc/12/97/08/264129708.db2.gz FJJPFYXHUAXDPQ-GFCCVEGCSA-N 0 1 299.396 0.769 20 30 CCEDMN CC[C@](C)(CCO)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000331200717 264159895 /nfs/dbraw/zinc/15/98/95/264159895.db2.gz ALASOXFZHJGGTB-GXTWGEPZSA-N 0 1 286.420 0.287 20 30 CCEDMN CCn1nncc1C(=O)N[C@H](CO)CN1CCCCC1 ZINC000331357877 264208383 /nfs/dbraw/zinc/20/83/83/264208383.db2.gz ULOOTINJSDIIKZ-NSHDSACASA-N 0 1 281.360 0.449 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)CC1(O)CCOCC1 ZINC000189787605 264326688 /nfs/dbraw/zinc/32/66/88/264326688.db2.gz DVXCDGFCQMWPSD-CYBMUJFWSA-N 0 1 297.399 0.468 20 30 CCEDMN C=CCOCC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000354305651 266044191 /nfs/dbraw/zinc/04/41/91/266044191.db2.gz RTJJRBFLRRXQFZ-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000356861780 266196773 /nfs/dbraw/zinc/19/67/73/266196773.db2.gz OBKGZDTXRNSENV-JTQLQIEISA-N 0 1 275.312 0.570 20 30 CCEDMN C=C[C@H](C)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000362616148 267023521 /nfs/dbraw/zinc/02/35/21/267023521.db2.gz VYQHTUGTLUZZRO-QMMMGPOBSA-N 0 1 260.301 0.480 20 30 CCEDMN CC(C)[C@@](C)(NCC(=O)Nc1cccc(C#N)c1)C(N)=O ZINC000297559825 273550858 /nfs/dbraw/zinc/55/08/58/273550858.db2.gz HATNSOSVPBAJMT-OAHLLOKOSA-N 0 1 288.351 0.986 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)N1CCNC(C)(C)C1 ZINC000335042056 275438593 /nfs/dbraw/zinc/43/85/93/275438593.db2.gz KXXVZDFGRKANIO-LBPRGKRZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2CCNC[C@H]2C)CC1 ZINC000335036372 276881196 /nfs/dbraw/zinc/88/11/96/276881196.db2.gz PZIPZAPGQXEJQA-LLVKDONJSA-N 0 1 265.357 0.231 20 30 CCEDMN Cn1cccc(N=C([O-])[C@H]2C[N@H+](C)CCO2)c1=O ZINC000329876070 290076228 /nfs/dbraw/zinc/07/62/28/290076228.db2.gz HKOHWVVQSXIGGH-SNVBAGLBSA-N 0 1 251.286 0.304 20 30 CCEDMN Cn1cccc(N=C([O-])[C@H]2C[N@@H+](C)CCO2)c1=O ZINC000329876070 290076231 /nfs/dbraw/zinc/07/62/31/290076231.db2.gz HKOHWVVQSXIGGH-SNVBAGLBSA-N 0 1 251.286 0.304 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@@H](O)CC1 ZINC000332049383 328021452 /nfs/dbraw/zinc/02/14/52/328021452.db2.gz VSOLLRINSRBRJV-VXGBXAGGSA-N 0 1 253.346 0.594 20 30 CCEDMN CCc1nc([C@@H](C)NS(=O)(=O)CC2(C#N)CC2)n[nH]1 ZINC000274246006 328210384 /nfs/dbraw/zinc/21/03/84/328210384.db2.gz VUVCUPRWUUASEW-MRVPVSSYSA-N 0 1 283.357 0.651 20 30 CCEDMN CCCNC(=O)C(=NOCC(=O)OCC)c1ccn[nH]1 ZINC000276759382 328219229 /nfs/dbraw/zinc/21/92/29/328219229.db2.gz WVMAJZWHDUNZDC-LFIBNONCSA-N 0 1 282.300 0.220 20 30 CCEDMN C=C(C)CN(C)[C@@H](C)C(=O)N(C)CC(=O)NC1CC1 ZINC000181301021 329230312 /nfs/dbraw/zinc/23/03/12/329230312.db2.gz SLUOGGJIZCZWRB-NSHDSACASA-N 0 1 267.373 0.620 20 30 CCEDMN CCn1ncnc1CNC(=O)N1CCN(CC(C)C)CC1 ZINC000331050631 328476024 /nfs/dbraw/zinc/47/60/24/328476024.db2.gz IFSUMSWEHAVQKV-UHFFFAOYSA-N 0 1 294.403 0.986 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN[C@](C)(C(N)=O)C(C)C ZINC000347706597 328576533 /nfs/dbraw/zinc/57/65/33/328576533.db2.gz PAGPODHAEFWCRT-KGLIPLIRSA-N 0 1 282.388 0.530 20 30 CCEDMN CC[C@@H](C)OCC(=O)NC1(C#N)CCN(C)CC1 ZINC000533556014 326858510 /nfs/dbraw/zinc/85/85/10/326858510.db2.gz SCNZPJDSWHXXKZ-LLVKDONJSA-N 0 1 253.346 0.906 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000564964062 327281234 /nfs/dbraw/zinc/28/12/34/327281234.db2.gz NGFZKDCTNGBLAZ-VWYCJHECSA-N 0 1 254.330 0.720 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN2CC[C@H](C)C2)C1=O ZINC000566459216 327376604 /nfs/dbraw/zinc/37/66/04/327376604.db2.gz HJUIJGDGALYGRO-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCn1cc(CNC[C@H](O)CCOC(C)C)nn1 ZINC000569804637 327548381 /nfs/dbraw/zinc/54/83/81/327548381.db2.gz UZCWRXQHGVJERQ-CYBMUJFWSA-N 0 1 268.361 0.730 20 30 CCEDMN C=CC[C@@H](CC)N=c1ccc(C(=O)NCCO)n[nH]1 ZINC000357705260 328874975 /nfs/dbraw/zinc/87/49/75/328874975.db2.gz NNYNLCNFUSJIIX-SNVBAGLBSA-N 0 1 264.329 0.387 20 30 CCEDMN C=CCNC(=O)CNC(=O)[C@@](C)(N)c1ccccc1 ZINC000393755257 329089295 /nfs/dbraw/zinc/08/92/95/329089295.db2.gz RYHVKMLUELQWEN-AWEZNQCLSA-N 0 1 261.325 0.279 20 30 CCEDMN C[C@H](C#N)CNC[C@H](O)CN1C[C@@H](C)O[C@H](C)C1 ZINC000582601877 329114148 /nfs/dbraw/zinc/11/41/48/329114148.db2.gz YPBGTHVZTUENED-LPWJVIDDSA-N 0 1 255.362 0.206 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H](CO)c1ccccc1 ZINC000183651962 329251507 /nfs/dbraw/zinc/25/15/07/329251507.db2.gz HLUSHJSBYNIPDG-LBPRGKRZSA-N 0 1 277.324 0.321 20 30 CCEDMN C=C(C)CS(=O)(=O)N(C)CCCN1CCN(C)CC1 ZINC000184428277 329257970 /nfs/dbraw/zinc/25/79/70/329257970.db2.gz UAZISAPAVXAFCN-UHFFFAOYSA-N 0 1 289.445 0.462 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)c1[nH]nc2c1CNCC2 ZINC000188980007 329373272 /nfs/dbraw/zinc/37/32/72/329373272.db2.gz UTKBGABOPWVJHO-UHFFFAOYSA-N 0 1 292.339 0.247 20 30 CCEDMN CO[C@@H]1COC[C@@H]1NCC(=O)N[C@@](C)(C#N)C(C)C ZINC000459821879 329410648 /nfs/dbraw/zinc/41/06/48/329410648.db2.gz GIGLFLRWKUMFNR-LOWVWBTDSA-N 0 1 269.345 0.044 20 30 CCEDMN C=CCNC(=O)CNCc1ccc(N(C)CC)nc1 ZINC000191567680 329417545 /nfs/dbraw/zinc/41/75/45/329417545.db2.gz YHRCHLVWWBGPON-UHFFFAOYSA-N 0 1 262.357 0.930 20 30 CCEDMN C=CCOc1ccccc1CNC(=O)[C@@H](N)COC ZINC000262320765 330005911 /nfs/dbraw/zinc/00/59/11/330005911.db2.gz QHDKETGYDYCJCQ-LBPRGKRZSA-N 0 1 264.325 0.841 20 30 CCEDMN C=C(C)CS(=O)(=O)NC1CC([N@@H+](CC)CC(=O)[O-])C1 ZINC000263499465 330057940 /nfs/dbraw/zinc/05/79/40/330057940.db2.gz SHFMZZFDGAMHOK-UHFFFAOYSA-N 0 1 290.385 0.419 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)NCc1ccc2cncn2c1 ZINC000422470535 330147670 /nfs/dbraw/zinc/14/76/70/330147670.db2.gz GNNOHJSUXJJBTR-UHFFFAOYSA-N 0 1 286.335 0.985 20 30 CCEDMN N#CC1(C[C@@H](O)C[N@H+]2CCC[C@@H](c3n[nH]c(=O)[n-]3)C2)CC1 ZINC000528501376 330318097 /nfs/dbraw/zinc/31/80/97/330318097.db2.gz FQDSKSKPLFEDJW-GHMZBOCLSA-N 0 1 291.355 0.744 20 30 CCEDMN C=CCn1cc(CNC(=O)c2coc(CN)c2)nn1 ZINC000424210039 330328226 /nfs/dbraw/zinc/32/82/26/330328226.db2.gz MTKBYPLBOQMVEY-UHFFFAOYSA-N 0 1 261.285 0.446 20 30 CCEDMN C=CCn1cc(CNC2CC(CC(=O)OC)C2)nn1 ZINC000424301101 330337875 /nfs/dbraw/zinc/33/78/75/330337875.db2.gz ZLBBRDYLOBSUOD-UHFFFAOYSA-N 0 1 264.329 0.895 20 30 CCEDMN N#CCNC(=O)CN(C[C@@H]1CCCO1)[C@@H]1CCSC1 ZINC000089952610 330389158 /nfs/dbraw/zinc/38/91/58/330389158.db2.gz BICYFHGARZKWFG-NEPJUHHUSA-N 0 1 283.397 0.613 20 30 CCEDMN N#CCCCS(=O)(=O)NC[C@H](O)CN1CCCCC1 ZINC000158957703 330840878 /nfs/dbraw/zinc/84/08/78/330840878.db2.gz ZMJDDCYQUZMCRL-LBPRGKRZSA-N 0 1 289.401 0.056 20 30 CCEDMN C=CCC[C@H](CO)Nc1cc(-n2cccn2)nc(N)n1 ZINC000450892262 331005332 /nfs/dbraw/zinc/00/53/32/331005332.db2.gz CXIIGBJLLJPHHU-SNVBAGLBSA-N 0 1 274.328 0.814 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@@H](O)[C@H](OC)C1 ZINC000451749036 331041162 /nfs/dbraw/zinc/04/11/62/331041162.db2.gz KVCSBBHWGSEVJB-YNEHKIRRSA-N 0 1 268.357 0.267 20 30 CCEDMN C[C@@H]1CN(C(=O)CCCC#N)C[C@H](C)N1CCO ZINC000456209292 331186590 /nfs/dbraw/zinc/18/65/90/331186590.db2.gz ATTQDUHEMPIRJU-TXEJJXNPSA-N 0 1 253.346 0.594 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@](C)(C(N)=O)C(C)C ZINC000459487581 331284583 /nfs/dbraw/zinc/28/45/83/331284583.db2.gz SUZHAVFXQAFSAQ-RISCZKNCSA-N 0 1 282.388 0.484 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@H]1COC[C@H]1OC ZINC000459833838 331302458 /nfs/dbraw/zinc/30/24/58/331302458.db2.gz VMQKYOMCAVFKSA-RWMBFGLXSA-N 0 1 268.357 0.579 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N1CC[C@]2(CC[N@@H+](C)C2)C1 ZINC000490518312 332090450 /nfs/dbraw/zinc/09/04/50/332090450.db2.gz ZWJMIFALMRSCSE-LBPRGKRZSA-N 0 1 286.401 0.104 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CC(=O)N(C2CC2)C1 ZINC000490615814 332095792 /nfs/dbraw/zinc/09/57/92/332095792.db2.gz HTUQYGWKIFLFGB-OCCSQVGLSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CC(C)(C)NC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000490650461 332099776 /nfs/dbraw/zinc/09/97/76/332099776.db2.gz NEWVUCDOSMMEDH-UHFFFAOYSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C(=O)OC)[C@@H]2C)CC1 ZINC000490715480 332107969 /nfs/dbraw/zinc/10/79/69/332107969.db2.gz WXCZBOOYIDGAPY-GXTWGEPZSA-N 0 1 292.379 0.742 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@H](C)[C@@H](OC)C2)CC1 ZINC000490720960 332109017 /nfs/dbraw/zinc/10/90/17/332109017.db2.gz UZDVDFZJHNHKSN-GJZGRUSLSA-N 0 1 293.411 0.121 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1C[C@H](C)O[C@@]2(CCOC2)C1 ZINC000491122597 332153032 /nfs/dbraw/zinc/15/30/32/332153032.db2.gz WWQSAYVTOFOPBA-JSGCOSHPSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2ccnn2CC)CC1 ZINC000491154674 332158158 /nfs/dbraw/zinc/15/81/58/332158158.db2.gz LIBFHDOKNHKHHH-UHFFFAOYSA-N 0 1 274.368 0.864 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)COCc1ccnn1C ZINC000491165300 332159671 /nfs/dbraw/zinc/15/96/71/332159671.db2.gz JQLREOYRVHAMQV-AWEZNQCLSA-N 0 1 291.351 0.578 20 30 CCEDMN C#CCn1ccc(CN(CCOC)C(=O)CN(C)C)n1 ZINC000491296661 332184214 /nfs/dbraw/zinc/18/42/14/332184214.db2.gz MRWSORDVIBNMIW-UHFFFAOYSA-N 0 1 278.356 0.053 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC([C@@H]2NC(=O)C2(C)C)CC1 ZINC000491387892 332202707 /nfs/dbraw/zinc/20/27/07/332202707.db2.gz ZIELPIBJNKKTHU-YPMHNXCESA-N 0 1 291.395 0.361 20 30 CCEDMN C#CCNC(=O)CCN[C@H](c1ccccc1F)[C@H](C)O ZINC000491452175 332213939 /nfs/dbraw/zinc/21/39/39/332213939.db2.gz JLLGPJKZSGFMBI-NHYWBVRUSA-N 0 1 278.327 0.977 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000491507853 332225805 /nfs/dbraw/zinc/22/58/05/332225805.db2.gz XRELRONTRHSJLD-NWDGAFQWSA-N 0 1 274.324 0.831 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000491627195 332250614 /nfs/dbraw/zinc/25/06/14/332250614.db2.gz JXJRBOQCJKNWRU-KBPBESRZSA-N 0 1 280.416 0.723 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NC[C@]1(O)CCSC1 ZINC000491706429 332299337 /nfs/dbraw/zinc/29/93/37/332299337.db2.gz MXGDLRPVKRGYSY-CYBMUJFWSA-N 0 1 285.413 0.109 20 30 CCEDMN C[C@@H](CS(C)(=O)=O)NCc1cnc2ccc(C#N)cn12 ZINC000172340150 335101822 /nfs/dbraw/zinc/10/18/22/335101822.db2.gz FMLFDWMZDLNNHP-JTQLQIEISA-N 0 1 292.364 0.729 20 30 CCEDMN C#CCN(C(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1)C1CCCC1 ZINC000491773041 332339385 /nfs/dbraw/zinc/33/93/85/332339385.db2.gz BDLSHECXRRPNPH-BETUJISGSA-N 0 1 291.395 0.600 20 30 CCEDMN C#C[C@H](CC)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000491774620 332340492 /nfs/dbraw/zinc/34/04/92/332340492.db2.gz DJIAPDZFMXBWMQ-SECBINFHSA-N 0 1 272.312 0.317 20 30 CCEDMN C#CCONC(=O)[C@@H]1CCO[C@@H]1CNC(=O)OC(C)(C)C ZINC000496081584 332538097 /nfs/dbraw/zinc/53/80/97/332538097.db2.gz JPBUMYFJKFVDIK-GHMZBOCLSA-N 0 1 298.339 0.597 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000505348391 332738170 /nfs/dbraw/zinc/73/81/70/332738170.db2.gz HIWKXRDVHMIGAP-AWEZNQCLSA-N 0 1 280.372 0.159 20 30 CCEDMN C[C@@H]1C[NH+](C[C@@H](O)CNCC2(C#N)CCC2)C[C@@H](C)O1 ZINC000517126498 333093149 /nfs/dbraw/zinc/09/31/49/333093149.db2.gz GQKHWJNRDSXZIA-MCIONIFRSA-N 0 1 281.400 0.740 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CNCC2(C#N)CCC2)C[C@@H](C)O1 ZINC000517126498 333093150 /nfs/dbraw/zinc/09/31/50/333093150.db2.gz GQKHWJNRDSXZIA-MCIONIFRSA-N 0 1 281.400 0.740 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@](C)(O)CC1 ZINC000230378186 333316649 /nfs/dbraw/zinc/31/66/49/333316649.db2.gz JKKPZYPXRWVCLO-CYBMUJFWSA-N 0 1 269.345 0.235 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)CN(C)C2CC2)CC1 ZINC000174160523 335149421 /nfs/dbraw/zinc/14/94/21/335149421.db2.gz WVSPCGCXBLRNPI-AWEZNQCLSA-N 0 1 278.400 0.773 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@@H]1O ZINC000341023611 335165581 /nfs/dbraw/zinc/16/55/81/335165581.db2.gz SMICLKDJBNNAEO-SCDSUCTJSA-N 0 1 265.357 0.498 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCCN([C@H]2CC[C@@H](C#N)C2)C1 ZINC000549919405 334208589 /nfs/dbraw/zinc/20/85/89/334208589.db2.gz IFRHCZDSNGYLLK-GRYCIOLGSA-N 0 1 271.386 0.692 20 30 CCEDMN CCc1noc(C)c1CNC[C@@H](O)CN(C)CCC#N ZINC000566673948 334659786 /nfs/dbraw/zinc/65/97/86/334659786.db2.gz IXULSLAZPKFQIV-GFCCVEGCSA-N 0 1 280.372 0.841 20 30 CCEDMN CC(C)(C)CNC(=O)C(C)(C)NCC(=O)NCC#N ZINC000155371588 334720357 /nfs/dbraw/zinc/72/03/57/334720357.db2.gz QEKFLJVLVISLPX-UHFFFAOYSA-N 0 1 268.361 0.157 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccc(C#N)c(N)n2)[C@H](C)C1 ZINC000577412966 335350615 /nfs/dbraw/zinc/35/06/15/335350615.db2.gz VNFHEWAIAJYURT-MNOVXSKESA-N 0 1 275.356 0.427 20 30 CCEDMN N#Cc1csc(CNCCN2CCCS2(=O)=O)c1 ZINC000177696920 335379744 /nfs/dbraw/zinc/37/97/44/335379744.db2.gz UWHAQHNAPCMWCA-UHFFFAOYSA-N 0 1 285.394 0.745 20 30 CCEDMN N#CCCC1CCN(C(=O)C[C@H]2COCCN2)CC1 ZINC000578324814 335609991 /nfs/dbraw/zinc/60/99/91/335609991.db2.gz XLVBEJMMLZULKD-ZDUSSCGKSA-N 0 1 265.357 0.907 20 30 CCEDMN CC[C@@H](CS(=O)(=O)Nc1cc(C)c(C#N)cn1)OC ZINC000581835014 336150092 /nfs/dbraw/zinc/15/00/92/336150092.db2.gz JSMWKLQEKFLBNC-NSHDSACASA-N 0 1 283.353 0.850 20 30 CCEDMN CN1CCN(C)[C@@H](CNCc2nc(C#N)cs2)C1 ZINC000386384406 336171917 /nfs/dbraw/zinc/17/19/17/336171917.db2.gz SFXPBJANSZZAOJ-NSHDSACASA-N 0 1 265.386 0.350 20 30 CCEDMN CCN(CCO)CCNS(=O)(=O)c1cccc(C#N)c1 ZINC000184343206 521121488 /nfs/dbraw/zinc/12/14/88/521121488.db2.gz NWZZAPXVIABBFI-UHFFFAOYSA-N 0 1 297.380 0.151 20 30 CCEDMN C[C@@H](O)C[C@H]1CCCN1CC(=O)NC1(C#N)CCC1 ZINC000346972917 533767340 /nfs/dbraw/zinc/76/73/40/533767340.db2.gz NYAGJMXHTGJEEO-VXGBXAGGSA-N 0 1 265.357 0.784 20 30 CCEDMN C[C@@H]1C[C@H](CNC(=O)N[C@H]2CCc3ncnn3C2)CCO1 ZINC000329123363 533828051 /nfs/dbraw/zinc/82/80/51/533828051.db2.gz RSFAAXWCVGMGNW-UTUOFQBUSA-N 0 1 293.371 0.912 20 30 CCEDMN C[C@@H]1C[C@H](C[NH+]=C([O-])N[C@H]2CCc3ncnn3C2)CCO1 ZINC000329123363 533828059 /nfs/dbraw/zinc/82/80/59/533828059.db2.gz RSFAAXWCVGMGNW-UTUOFQBUSA-N 0 1 293.371 0.912 20 30 CCEDMN Cc1cc(N[C@@H](C)CN2CCN(C)CC2)c(C#N)cn1 ZINC000425219447 534036190 /nfs/dbraw/zinc/03/61/90/534036190.db2.gz AFKPCGKZSGEYSW-ZDUSSCGKSA-N 0 1 273.384 0.731 20 30 CCEDMN OC[C@@H]1CC[C@@H](CO)N1CC#Cc1ccc(F)cc1 ZINC000347310096 534385273 /nfs/dbraw/zinc/38/52/73/534385273.db2.gz OXNUZWMPYOSCNJ-GJZGRUSLSA-N 0 1 263.312 0.995 20 30 CCEDMN N#CC1(F)CCN(CCC(=O)N2CCOCC2)CC1 ZINC000352490506 534568205 /nfs/dbraw/zinc/56/82/05/534568205.db2.gz JMKRKPNDJBZMOM-UHFFFAOYSA-N 0 1 269.320 0.563 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC000333224774 534753384 /nfs/dbraw/zinc/75/33/84/534753384.db2.gz ASFIZTBIPOIJDA-IVZWLZJFSA-N 0 1 259.313 0.718 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC000333224774 534753386 /nfs/dbraw/zinc/75/33/86/534753386.db2.gz ASFIZTBIPOIJDA-IVZWLZJFSA-N 0 1 259.313 0.718 20 30 CCEDMN C=C[C@@](C)(O)C(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC000665853438 545108543 /nfs/dbraw/zinc/10/85/43/545108543.db2.gz JSJJRXLCNOTXEW-OAHLLOKOSA-N 0 1 290.367 0.709 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1[C@@H](CO)CC[C@H]1CO ZINC000347318992 526525111 /nfs/dbraw/zinc/52/51/11/526525111.db2.gz USQJUDXKZXYQOK-BETUJISGSA-N 0 1 270.373 0.229 20 30 CCEDMN C=CCNC(=O)N1CCC[C@H](C(=O)N2CCNCC2)C1 ZINC000334599481 526582670 /nfs/dbraw/zinc/58/26/70/526582670.db2.gz NVJRASXQKHXYKA-LBPRGKRZSA-N 0 1 280.372 0.026 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCCN(CCOC)CC1 ZINC000667194910 545140782 /nfs/dbraw/zinc/14/07/82/545140782.db2.gz ICJZVMPJSZHKBB-CYBMUJFWSA-N 0 1 256.346 0.104 20 30 CCEDMN CC(C)(O)CN1CCN(C(=O)NCC2(CC#N)CC2)CC1 ZINC000332207347 527343800 /nfs/dbraw/zinc/34/38/00/527343800.db2.gz UVYALYGOGCHXFE-UHFFFAOYSA-N 0 1 294.399 0.778 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1C[C@H](OC)C[C@H]1c1n[nH]c(C)n1 ZINC000332339547 527542842 /nfs/dbraw/zinc/54/28/42/527542842.db2.gz DQJQFIOCBBAODL-UHIISALHSA-N 0 1 294.355 0.729 20 30 CCEDMN C=CCCn1cc(CN2CC(N3CC[C@@H](O)C3)C2)nn1 ZINC000668287964 545171176 /nfs/dbraw/zinc/17/11/76/545171176.db2.gz NYRIJOIWJSOMAB-CQSZACIVSA-N 0 1 277.372 0.105 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@@H]1CCCN(C)[C@H]1C ZINC000347823137 527600788 /nfs/dbraw/zinc/60/07/88/527600788.db2.gz ZNBMRTFAPHZYGY-QWHCGFSZSA-N 0 1 279.384 0.786 20 30 CCEDMN CC[C@@H](NC(=O)CCc1cnc[nH]1)C(=O)N1CCOCC1 ZINC000330089609 529265933 /nfs/dbraw/zinc/26/59/33/529265933.db2.gz OWQKVXKWEAJNJV-GFCCVEGCSA-N 0 1 294.355 0.936 20 30 CCEDMN CCN1C(=O)N=NC1C1CCN(CC#CCOC)CC1 ZINC000676354458 545342631 /nfs/dbraw/zinc/34/26/31/545342631.db2.gz OWVZSRPYPDBVRG-UHFFFAOYSA-N 0 1 278.356 0.833 20 30 CCEDMN COC[C@@H](C)[C@@H](C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000361919769 536570664 /nfs/dbraw/zinc/57/06/64/536570664.db2.gz NXGCATLNCFQKPQ-RKDXNWHRSA-N 0 1 250.302 0.571 20 30 CCEDMN C=CCOCCNC(=O)[C@@H](C)CN1CCOCC1 ZINC000679649737 545414011 /nfs/dbraw/zinc/41/40/11/545414011.db2.gz ZIJCWDMOJCQXGS-LBPRGKRZSA-N 0 1 256.346 0.274 20 30 CCEDMN COC[C@H](C)[C@H](C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000361919768 536842729 /nfs/dbraw/zinc/84/27/29/536842729.db2.gz NXGCATLNCFQKPQ-IUCAKERBSA-N 0 1 250.302 0.571 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000681992382 545468778 /nfs/dbraw/zinc/46/87/78/545468778.db2.gz ZKBPQMQSQVDENU-ZDUSSCGKSA-N 0 1 299.415 0.676 20 30 CCEDMN CN(CC#N)C(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000725712538 581106687 /nfs/dbraw/zinc/10/66/87/581106687.db2.gz BGARSKJPVXTMCP-UHFFFAOYSA-N 0 1 288.332 0.818 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@H](CNC(=O)[O-])C1 ZINC000739414203 581132852 /nfs/dbraw/zinc/13/28/52/581132852.db2.gz GJLWZZUFPHZWJK-LLVKDONJSA-N 0 1 282.344 0.338 20 30 CCEDMN C#C[C@H](NC(=O)CN(C)[C@H]1CCSC1)[C@@H]1CCCO1 ZINC000775342870 581176404 /nfs/dbraw/zinc/17/64/04/581176404.db2.gz WUVJVNKPDVRDAB-AVGNSLFASA-N 0 1 282.409 0.721 20 30 CCEDMN CC(N=Nc1nccn1C)c1ccc(S(N)(=O)=O)cc1 ZINC000790302538 581183359 /nfs/dbraw/zinc/18/33/59/581183359.db2.gz UMSNESWQBIYHAS-UHFFFAOYSA-N 0 1 293.352 0.904 20 30 CCEDMN CC(=N[N-]c1[nH+]ccn1C)c1ccc(S(N)(=O)=O)cc1 ZINC000790302538 581183360 /nfs/dbraw/zinc/18/33/60/581183360.db2.gz UMSNESWQBIYHAS-UHFFFAOYSA-N 0 1 293.352 0.904 20 30 CCEDMN CCc1cc(CNC(=O)Cc2noc(C)c2C#N)n[nH]1 ZINC000779516692 581188438 /nfs/dbraw/zinc/18/84/38/581188438.db2.gz GSLRPTJNUOLHIH-UHFFFAOYSA-N 0 1 273.296 0.999 20 30 CCEDMN C[C@H]1C[NH+]=C(NN=Cc2ccc([O-])c([N+](=O)[O-])c2)N1 ZINC000779793200 581205438 /nfs/dbraw/zinc/20/54/38/581205438.db2.gz XSSOWLRDJPSISP-ZETCQYMHSA-N 0 1 263.257 0.572 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2ccc(N3CCOCC3)cc2)N1 ZINC000779792849 581205528 /nfs/dbraw/zinc/20/55/28/581205528.db2.gz VIMDOKRKUHWERB-GFCCVEGCSA-N 0 1 287.367 0.794 20 30 CCEDMN C[C@@H](NC(=O)[C@@H](O)c1ccc(C#N)cc1)c1nnc[nH]1 ZINC000780957579 581252647 /nfs/dbraw/zinc/25/26/47/581252647.db2.gz WXEQFEQZFMDPSF-KCJUWKMLSA-N 0 1 271.280 0.587 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)CCCNC(=O)[O-] ZINC000738461208 581333807 /nfs/dbraw/zinc/33/38/07/581333807.db2.gz OWRGZADZNFHDGO-NSHDSACASA-N 0 1 267.329 0.200 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(C2CCOCC2)CC1 ZINC000784538265 581387165 /nfs/dbraw/zinc/38/71/65/581387165.db2.gz CGTKYUQCKLPHLR-UHFFFAOYSA-N 0 1 295.383 0.142 20 30 CCEDMN COCc1cc(C=N[N-]c2[nH+]cnc3c2cnn3C)no1 ZINC000794897397 581390462 /nfs/dbraw/zinc/39/04/62/581390462.db2.gz RAFOUDMROPXRBR-UHFFFAOYSA-N 0 1 287.283 0.944 20 30 CCEDMN CN(C1CCN(C)CC1)S(=O)(=O)CC(C)(C)C#N ZINC000784727998 581393145 /nfs/dbraw/zinc/39/31/45/581393145.db2.gz UCGCIHUXIHTBMK-UHFFFAOYSA-N 0 1 273.402 0.892 20 30 CCEDMN COc1cccc([C@H](C#N)NC(=O)CN(C)C)c1OC ZINC000785410132 581408444 /nfs/dbraw/zinc/40/84/44/581408444.db2.gz WXCKNGPLZCOKKA-NSHDSACASA-N 0 1 277.324 0.946 20 30 CCEDMN CN(C)Cc1ccc(C(N)=O)cc1NC(=O)NCCC#N ZINC000785839888 581423100 /nfs/dbraw/zinc/42/31/00/581423100.db2.gz UYVKZQOSIKSQOO-UHFFFAOYSA-N 0 1 289.339 0.882 20 30 CCEDMN N#CCCNC(=O)COC(=O)[C@@H]1CCCN1C1CCCC1 ZINC000786887039 581452267 /nfs/dbraw/zinc/45/22/67/581452267.db2.gz CNCPMTWRNMJBDI-ZDUSSCGKSA-N 0 1 293.367 0.966 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@@H]1CCC[C@@H](C(N)=O)C1 ZINC000786931635 581453381 /nfs/dbraw/zinc/45/33/81/581453381.db2.gz YHUQNRWMBJJKEN-KKZNHRDASA-N 0 1 277.324 0.266 20 30 CCEDMN O=C(C#Cc1ccccc1)N1CCc2[nH]nnc2C1 ZINC000787076523 581456919 /nfs/dbraw/zinc/45/69/19/581456919.db2.gz CUNZGIGWJCRRSF-UHFFFAOYSA-N 0 1 252.277 0.741 20 30 CCEDMN C#CCn1cc(CNC(=O)CN(CC)C2CC2)cn1 ZINC000788219494 581485823 /nfs/dbraw/zinc/48/58/23/581485823.db2.gz WWLPSTVTKUBYJG-UHFFFAOYSA-N 0 1 260.341 0.617 20 30 CCEDMN S=C(NC[C@H]1CCCO1)NN=C1CCN2CCC[C@@H]12 ZINC000765555193 581525153 /nfs/dbraw/zinc/52/51/53/581525153.db2.gz IALHERVFYWLQAE-PWSUYJOCSA-N 0 1 282.413 0.854 20 30 CCEDMN CCCN(C)C(=O)CCNC(O)=C1N=CC=CC1=O ZINC000733892810 581530956 /nfs/dbraw/zinc/53/09/56/581530956.db2.gz YXQLJECDVMMUPR-SEYXRHQNSA-N 0 1 265.313 0.771 20 30 CCEDMN C#CCOCCNC(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000753198285 581531244 /nfs/dbraw/zinc/53/12/44/581531244.db2.gz LZEIJMJKNHYVHW-UHFFFAOYSA-N 0 1 299.334 0.921 20 30 CCEDMN C#CCOCCNC(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000753198285 581531245 /nfs/dbraw/zinc/53/12/45/581531245.db2.gz LZEIJMJKNHYVHW-UHFFFAOYSA-N 0 1 299.334 0.921 20 30 CCEDMN C#CCOCCNC(=O)c1nnc2ccccc2c1O ZINC000753228500 581532279 /nfs/dbraw/zinc/53/22/79/581532279.db2.gz SFWJPHUVWWCJNP-UHFFFAOYSA-N 0 1 271.276 0.715 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(C2CCC2)CC1 ZINC000753378908 581537894 /nfs/dbraw/zinc/53/78/94/581537894.db2.gz PGRYJUDWCGUMMT-UHFFFAOYSA-N 0 1 265.357 0.516 20 30 CCEDMN C#CCOCCNC(=O)N1CC[C@H]2CC[C@@H](C1)[N@H+]2C ZINC000753382623 581538500 /nfs/dbraw/zinc/53/85/00/581538500.db2.gz LIZOYJHVDDDWRN-OLZOCXBDSA-N 0 1 265.357 0.514 20 30 CCEDMN CC(CS(C)(=O)=O)=Nn1cc(Br)cn1 ZINC000752746770 581552852 /nfs/dbraw/zinc/55/28/52/581552852.db2.gz UTWVBHVGVMDTMA-UHFFFAOYSA-N 0 1 280.147 0.914 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](C)[C@@H](n2ccnc2)C1 ZINC000767436893 581613342 /nfs/dbraw/zinc/61/33/42/581613342.db2.gz ZRKABYJLRPXKGE-OLZOCXBDSA-N 0 1 260.341 0.515 20 30 CCEDMN Cc1cc(CC(=O)NCCNc2ccc(C#N)cn2)[nH]n1 ZINC000755153895 581628642 /nfs/dbraw/zinc/62/86/42/581628642.db2.gz KDGQXLIPUDHRKU-UHFFFAOYSA-N 0 1 284.323 0.756 20 30 CCEDMN NS(=O)(=O)c1ccc(NN=Cc2cncs2)nc1 ZINC000767770387 581629984 /nfs/dbraw/zinc/62/99/84/581629984.db2.gz JFOGOPLJYZZSFI-UHFFFAOYSA-N 0 1 283.338 0.632 20 30 CCEDMN C#Cc1ccc(C(=O)NC2(c3nn[nH]n3)CCC2)cn1 ZINC000735389365 581642275 /nfs/dbraw/zinc/64/22/75/581642275.db2.gz AVJRFJKHZNMLFV-UHFFFAOYSA-N 0 1 268.280 0.385 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCn1ccccc1=O ZINC000756541183 581670382 /nfs/dbraw/zinc/67/03/82/581670382.db2.gz GEQKTJAEDRXMCV-CQSZACIVSA-N 0 1 288.347 0.879 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)C[C@H]1CCOC1 ZINC000740528959 581692132 /nfs/dbraw/zinc/69/21/32/581692132.db2.gz DLBOAKWCNPWJSO-NEPJUHHUSA-N 0 1 282.340 0.665 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@H](C)C(=O)OCC)CC1 ZINC000772112929 581843203 /nfs/dbraw/zinc/84/32/03/581843203.db2.gz CJMCDCDEXIFVCB-LLVKDONJSA-N 0 1 267.325 0.826 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+]1CC[C@H](CNC(=O)[O-])C1 ZINC000738761633 581856362 /nfs/dbraw/zinc/85/63/62/581856362.db2.gz AHXFSCMSDHJQCK-BXUZGUMPSA-N 0 1 296.371 0.630 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@H](CNC(=O)[O-])C1 ZINC000738761633 581856365 /nfs/dbraw/zinc/85/63/65/581856365.db2.gz AHXFSCMSDHJQCK-BXUZGUMPSA-N 0 1 296.371 0.630 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)[C@@H](CC)N(CC)CC ZINC000745095948 581865746 /nfs/dbraw/zinc/86/57/46/581865746.db2.gz SCYVNGWRMIWFMN-VXGBXAGGSA-N 0 1 268.357 0.788 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@@H](C(=O)OCC)C2=O)CC1 ZINC000761250234 581899399 /nfs/dbraw/zinc/89/93/99/581899399.db2.gz NACNJJUPAVURQU-LLVKDONJSA-N 0 1 295.339 0.120 20 30 CCEDMN CN(C)c1ccc(C(N)=NOCc2cn(C)nn2)cc1 ZINC000761311716 581904616 /nfs/dbraw/zinc/90/46/16/581904616.db2.gz RKXRLHHZKVWNTA-UHFFFAOYSA-N 0 1 274.328 0.718 20 30 CCEDMN CCOC(=O)C[C@@H](O)C(=O)Nc1cc(C#N)ccc1O ZINC000774080438 581913028 /nfs/dbraw/zinc/91/30/28/581913028.db2.gz GWQIDNDWLCMSHS-LLVKDONJSA-N 0 1 278.264 0.516 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738600934 581939006 /nfs/dbraw/zinc/93/90/06/581939006.db2.gz KAYRIELJVAQIHD-NEPJUHHUSA-N 0 1 296.371 0.679 20 30 CCEDMN Cn1cc([C@@H](O)C(=O)Nc2cc(C#N)ccc2O)cn1 ZINC000774079454 581957317 /nfs/dbraw/zinc/95/73/17/581957317.db2.gz BJYXPSYFPRNVSC-GFCCVEGCSA-N 0 1 272.264 0.669 20 30 CCEDMN COCC#CCN1CCC([C@H](O)c2nccn2C)CC1 ZINC000762926609 581972767 /nfs/dbraw/zinc/97/27/67/581972767.db2.gz LXQZSOLUXWDTEG-AWEZNQCLSA-N 0 1 277.368 0.815 20 30 CCEDMN C=c1[nH]c(=O)[nH]c(=O)c1=C(C)NNc1nccnc1OC ZINC000763426310 581998595 /nfs/dbraw/zinc/99/85/95/581998595.db2.gz PRAPCQGAARDQGD-UHFFFAOYSA-N 0 1 290.283 0.831 20 30 CCEDMN Cc1cc(O)cc2c1C(=O)C(=NNS(=O)(=O)C(C)C)O2 ZINC000749747506 582020457 /nfs/dbraw/zinc/02/04/57/582020457.db2.gz HABYYPUCBRUVKJ-UHFFFAOYSA-N 0 1 298.320 0.917 20 30 CCEDMN COc1cc(C=NN[C@@H](C)CO)cc([N+](=O)[O-])c1O ZINC000749820642 582023839 /nfs/dbraw/zinc/02/38/39/582023839.db2.gz HLYBYLFMOWKGCM-ZETCQYMHSA-N 0 1 269.257 0.613 20 30 CCEDMN COc1cc(C=NN[C@H](C)CO)cc([N+](=O)[O-])c1O ZINC000749820641 582023889 /nfs/dbraw/zinc/02/38/89/582023889.db2.gz HLYBYLFMOWKGCM-SSDOTTSWSA-N 0 1 269.257 0.613 20 30 CCEDMN CCN(CCC#N)C(=O)CNC1(C(N)=O)CCCC1 ZINC000750048698 582032003 /nfs/dbraw/zinc/03/20/03/582032003.db2.gz MOZABLLECZKVMG-UHFFFAOYSA-N 0 1 266.345 0.136 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)N(C)CCC#N)[C@@H]1CCCO1 ZINC000775784509 582037393 /nfs/dbraw/zinc/03/73/93/582037393.db2.gz JLASYRKVVONNRW-AGIUHOORSA-N 0 1 263.341 0.517 20 30 CCEDMN C#C[C@@H]([NH2+]CCC[N-]C(=O)C(F)(F)F)[C@@H]1CCCO1 ZINC000775799318 582039380 /nfs/dbraw/zinc/03/93/80/582039380.db2.gz KHVZBOXSASNNEQ-ZJUUUORDSA-N 0 1 278.274 0.825 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738494347 582056211 /nfs/dbraw/zinc/05/62/11/582056211.db2.gz AYXIITIUASDNHH-JTQLQIEISA-N 0 1 255.318 0.363 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738494347 582056212 /nfs/dbraw/zinc/05/62/12/582056212.db2.gz AYXIITIUASDNHH-JTQLQIEISA-N 0 1 255.318 0.363 20 30 CCEDMN COc1cc(C=NNS(C)(=O)=O)cc([N+](=O)[O-])c1O ZINC000764708742 582063673 /nfs/dbraw/zinc/06/36/73/582063673.db2.gz RIOQPAFDDHNJCC-UHFFFAOYSA-N 0 1 289.269 0.192 20 30 CCEDMN C[C@@H]1C[C@H](C(=O)C(C#N)C(=O)NC2CC2)CCO1 ZINC000776515592 582075337 /nfs/dbraw/zinc/07/53/37/582075337.db2.gz IPDWGZBJDDBXNW-FXPVBKGRSA-N 0 1 250.298 0.789 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@@H](Cc2ccncc2)C1 ZINC000765004945 582082010 /nfs/dbraw/zinc/08/20/10/582082010.db2.gz CSGMYCFDIDAJMW-AWEZNQCLSA-N 0 1 272.352 0.976 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](OC(C)=O)C2)CC1 ZINC000765082562 582088308 /nfs/dbraw/zinc/08/83/08/582088308.db2.gz YGNDIRJBUPBTJT-HNNXBMFYSA-N 0 1 292.379 0.886 20 30 CCEDMN C[N@H+]1CC[C@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820620551 597636960 /nfs/dbraw/zinc/63/69/60/597636960.db2.gz OTHOJMIKFLHLSC-BXKDBHETSA-N 0 1 253.302 0.059 20 30 CCEDMN CS(=O)(=O)NCCNCc1csc(C#N)c1 ZINC000921601976 617174547 /nfs/dbraw/zinc/17/45/47/617174547.db2.gz LNUKTNABYRXDOD-UHFFFAOYSA-N 0 1 259.356 0.259 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)OC[C@@H]1CCC[N@H+]1CC(=O)[O-] ZINC000821277339 598070087 /nfs/dbraw/zinc/07/00/87/598070087.db2.gz CVOXJBZMIMVATE-NSHDSACASA-N 0 1 291.307 0.603 20 30 CCEDMN C#CCSCC(=O)N(C)[C@H]1CN2CCC1CC2 ZINC000900662047 613009072 /nfs/dbraw/zinc/00/90/72/613009072.db2.gz MFCDOXWAHNQZRZ-LBPRGKRZSA-N 0 1 252.383 0.905 20 30 CCEDMN C#CC1(F)CN(C(=O)CN(C)[C@@H]2CCSC2)C1 ZINC000881941565 612946686 /nfs/dbraw/zinc/94/66/86/612946686.db2.gz KTHSRLIWJPABIA-SNVBAGLBSA-N 0 1 256.346 0.607 20 30 CCEDMN C#CCNC(=O)N1CC[C@@](C)(NC(=O)C(F)(F)F)C1 ZINC000864428134 612946758 /nfs/dbraw/zinc/94/67/58/612946758.db2.gz BRNQJJIUIDQFJP-SNVBAGLBSA-N 0 1 277.246 0.472 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(CC1CC1)[C@H](C(N)=O)C1CC1 ZINC000879491843 612947542 /nfs/dbraw/zinc/94/75/42/612947542.db2.gz BKXNNAPDCAFEFP-MFKMUULPSA-N 0 1 277.368 0.100 20 30 CCEDMN C#CCSCC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000896430874 613012422 /nfs/dbraw/zinc/01/24/22/613012422.db2.gz ZGRGKZFEUQLHQE-GFCCVEGCSA-N 0 1 269.414 0.105 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000091132107 601019227 /nfs/dbraw/zinc/01/92/27/601019227.db2.gz PCHBDQUIAHNBTJ-VIFPVBQESA-N 0 1 258.343 0.181 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CCSC[C@@H]1CC(=O)[O-] ZINC000091132107 601019223 /nfs/dbraw/zinc/01/92/23/601019223.db2.gz PCHBDQUIAHNBTJ-VIFPVBQESA-N 0 1 258.343 0.181 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@H]2CCc3nc[nH]c3C2)C1 ZINC000883702979 612948248 /nfs/dbraw/zinc/94/82/48/612948248.db2.gz ZVVJFUYZQZSCEY-VIFPVBQESA-N 0 1 262.288 0.634 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](C(=O)OCC)C1 ZINC000932648186 612950034 /nfs/dbraw/zinc/95/00/34/612950034.db2.gz BRLYHSZNYRBWRU-WDEREUQCSA-N 0 1 252.314 0.009 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)Nc1cc(CN(C)C)ccn1 ZINC000880602320 613027174 /nfs/dbraw/zinc/02/71/74/613027174.db2.gz RUOUBEMWHGLIIO-LBPRGKRZSA-N 0 1 276.340 0.649 20 30 CCEDMN C[C@@H](NC(=O)C#Cc1ccccc1)[C@@H]1CN(C)CCN1C ZINC000900812233 617179850 /nfs/dbraw/zinc/17/98/50/617179850.db2.gz TWXIXCAHAYDJEQ-ZBFHGGJFSA-N 0 1 285.391 0.789 20 30 CCEDMN C[C@H]1CCN(CCO)C[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000965910671 649940312 /nfs/dbraw/zinc/94/03/12/649940312.db2.gz OWVGBMLDNGQVGH-GXFFZTMASA-N 0 1 276.340 0.319 20 30 CCEDMN C#CC1(O)CCN(CCn2cc([N+](=O)[O-])ccc2=O)CC1 ZINC000931148042 612952210 /nfs/dbraw/zinc/95/22/10/612952210.db2.gz PGPZYQOOJONYHU-UHFFFAOYSA-N 0 1 291.307 0.217 20 30 CCEDMN C#CC1(O)CCN(Cc2n[nH]c(C)c2C(=O)OCC)CC1 ZINC000931149242 612952539 /nfs/dbraw/zinc/95/25/39/612952539.db2.gz DXMYMUCEHXZVHF-UHFFFAOYSA-N 0 1 291.351 0.855 20 30 CCEDMN C#CCCNC(=O)N1CCN(C(C)(C)COC)CC1 ZINC000823051560 613047042 /nfs/dbraw/zinc/04/70/42/613047042.db2.gz GTAKDUQTWCLOBD-UHFFFAOYSA-N 0 1 267.373 0.762 20 30 CCEDMN N#CCN1CC[NH+](C[C@@H]2CCCN2C(=O)[O-])CC1 ZINC000833966221 605583327 /nfs/dbraw/zinc/58/33/27/605583327.db2.gz CEMJLKCBIVBLSC-NSHDSACASA-N 0 1 252.318 0.270 20 30 CCEDMN C#CC[C@H](CCOC)NS(=O)(=O)c1ncc[nH]1 ZINC000867568859 613053029 /nfs/dbraw/zinc/05/30/29/613053029.db2.gz QJXPDNDVHNCXFT-SECBINFHSA-N 0 1 257.315 0.116 20 30 CCEDMN C#CCN(C(=O)c1ccc(NS(C)(=O)=O)nc1)C(C)C ZINC000928622851 613069985 /nfs/dbraw/zinc/06/99/85/613069985.db2.gz KKBKRXNBQBCFRL-UHFFFAOYSA-N 0 1 295.364 0.937 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](O)c1cc(OC)ccc1OC ZINC000912369271 612956609 /nfs/dbraw/zinc/95/66/09/612956609.db2.gz PTNIXGLARBTRRR-CYBMUJFWSA-N 0 1 292.335 0.076 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)[C@H](C)COC ZINC000922025285 613098220 /nfs/dbraw/zinc/09/82/20/613098220.db2.gz WSYAMOQVRXFJAM-GFCCVEGCSA-N 0 1 288.413 0.381 20 30 CCEDMN C#CCN(CC)C(=O)NCc1nc(CSC)n[nH]1 ZINC000891094740 613105544 /nfs/dbraw/zinc/10/55/44/613105544.db2.gz SWMPTHQVVYFRRR-UHFFFAOYSA-N 0 1 267.358 0.832 20 30 CCEDMN C#CCN(CC)C(=O)NCc1nnc(CSC)[nH]1 ZINC000891094740 613105546 /nfs/dbraw/zinc/10/55/46/613105546.db2.gz SWMPTHQVVYFRRR-UHFFFAOYSA-N 0 1 267.358 0.832 20 30 CCEDMN C#CC1CCN(CC(=O)NC[C@H]2CCCO2)CC1 ZINC000830305388 612964392 /nfs/dbraw/zinc/96/43/92/612964392.db2.gz MXMAKXMFFWQIII-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCC(C)(C)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000896550025 612966090 /nfs/dbraw/zinc/96/60/90/612966090.db2.gz WSKJYHQNNFOFIF-CHWSQXEVSA-N 0 1 265.401 0.786 20 30 CCEDMN C#CCC1(O)CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000880477654 612973996 /nfs/dbraw/zinc/97/39/96/612973996.db2.gz MCDYTQUBJIPHEG-NSHDSACASA-N 0 1 250.342 0.504 20 30 CCEDMN C#CCCCCNC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000842181565 612977607 /nfs/dbraw/zinc/97/76/07/612977607.db2.gz YGQOUMZEDAQNOA-KBPBESRZSA-N 0 1 281.400 0.886 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](NCc2ccccn2)C1 ZINC000967944325 617291765 /nfs/dbraw/zinc/29/17/65/617291765.db2.gz PCHDWQYMTOIDFA-WCQYABFASA-N 0 1 258.325 0.932 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2cc(C(F)F)[nH]n2)CC1 ZINC000899121773 612951573 /nfs/dbraw/zinc/95/15/73/612951573.db2.gz RTEKFDOZFSHSDH-UHFFFAOYSA-N 0 1 269.251 0.948 20 30 CCEDMN C#CC[NH2+][C@@H]1CCN(C(=O)c2cccc3nn[n-]c32)C1 ZINC000968779750 612966460 /nfs/dbraw/zinc/96/64/60/612966460.db2.gz CXPCZNRCLBTJDG-SNVBAGLBSA-N 0 1 269.308 0.395 20 30 CCEDMN C#CCC1(O)CCN([C@H](C)C(=O)NC(=O)NC2CC2)CC1 ZINC000880478374 612974030 /nfs/dbraw/zinc/97/40/30/612974030.db2.gz CHECUNZOUKKURG-LLVKDONJSA-N 0 1 293.367 0.213 20 30 CCEDMN C#CCC1(O)CCN([C@@H]2CCN(CC)C2=O)CC1 ZINC000880482498 612974143 /nfs/dbraw/zinc/97/41/43/612974143.db2.gz KUIZCSIMXVFZSD-GFCCVEGCSA-N 0 1 250.342 0.457 20 30 CCEDMN C#CCOCC[N@@H+]1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000852052162 612983208 /nfs/dbraw/zinc/98/32/08/612983208.db2.gz JWXQTBJFXJZPOR-JTQLQIEISA-N 0 1 250.302 0.340 20 30 CCEDMN C#CCONC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000812783476 612993378 /nfs/dbraw/zinc/99/33/78/612993378.db2.gz QWCHRHVOILLGTF-UHFFFAOYSA-N 0 1 289.316 0.517 20 30 CCEDMN C#CCCN1CC([C@H](C)NC(=O)c2cn(C)ccc2=O)C1 ZINC000970124822 612998144 /nfs/dbraw/zinc/99/81/44/612998144.db2.gz NSRUVRXEIGXGDO-LBPRGKRZSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H](O)C(C)C)CC1 ZINC000981093983 613004686 /nfs/dbraw/zinc/00/46/86/613004686.db2.gz LCWIWTRRGWXQCY-CYBMUJFWSA-N 0 1 252.358 0.561 20 30 CCEDMN C#CCOc1ccccc1CN1C[C@@H](O)[C@H](OC)C1 ZINC000825017374 613007768 /nfs/dbraw/zinc/00/77/68/613007768.db2.gz PZCVIMPMEAYEGT-UKRRQHHQSA-N 0 1 261.321 0.890 20 30 CCEDMN C#CCOc1ncccc1C(=O)N1CC[C@@H](N(C)CC#C)C1 ZINC000972757828 613008694 /nfs/dbraw/zinc/00/86/94/613008694.db2.gz VTRYRYWQADBIQZ-CQSZACIVSA-N 0 1 297.358 0.873 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H]2CC[C@@H](NC(=O)[O-])C2)CC1 ZINC000823444503 613010531 /nfs/dbraw/zinc/01/05/31/613010531.db2.gz KUHMNAIQHCAUPM-QWHCGFSZSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C(=O)OC ZINC000823519294 613041058 /nfs/dbraw/zinc/04/10/58/613041058.db2.gz LVLHKWBUUBXRIM-OPRDCNLKSA-N 0 1 261.281 0.194 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000978063500 613042437 /nfs/dbraw/zinc/04/24/37/613042437.db2.gz LZMCMKJEPSSQKM-XYYAHUGASA-N 0 1 285.347 0.718 20 30 CCEDMN C#CCC[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3ncccc3[O-])[C@@H]2C1 ZINC000978063500 613042439 /nfs/dbraw/zinc/04/24/39/613042439.db2.gz LZMCMKJEPSSQKM-XYYAHUGASA-N 0 1 285.347 0.718 20 30 CCEDMN C#CCC[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3[nH]nc(C)c3[O-])[C@@H]2C1 ZINC000977982884 613042594 /nfs/dbraw/zinc/04/25/94/613042594.db2.gz RQBLCXWBKXIEQK-CNDDSTCGSA-N 0 1 288.351 0.355 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC000977982884 613042595 /nfs/dbraw/zinc/04/25/95/613042595.db2.gz RQBLCXWBKXIEQK-CNDDSTCGSA-N 0 1 288.351 0.355 20 30 CCEDMN C#CCC[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC000977982884 613042596 /nfs/dbraw/zinc/04/25/96/613042596.db2.gz RQBLCXWBKXIEQK-CNDDSTCGSA-N 0 1 288.351 0.355 20 30 CCEDMN C#CC[C@@H]1NC(=O)N(Cc2n[nH]c(C(C)C)n2)C1=O ZINC000927543248 613048519 /nfs/dbraw/zinc/04/85/19/613048519.db2.gz RCKUNIOWPSEWJT-QMMMGPOBSA-N 0 1 261.285 0.372 20 30 CCEDMN C#CCCS(=O)(=O)NCc1n[nH]c(-c2ccccc2)n1 ZINC000808288188 613054660 /nfs/dbraw/zinc/05/46/60/613054660.db2.gz CMPQXOBCKCKCOY-UHFFFAOYSA-N 0 1 290.348 0.914 20 30 CCEDMN C#CCCS(=O)(=O)NCc1nc(-c2ccccc2)n[nH]1 ZINC000808288188 613054661 /nfs/dbraw/zinc/05/46/61/613054661.db2.gz CMPQXOBCKCKCOY-UHFFFAOYSA-N 0 1 290.348 0.914 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1sccc1CN(C)C ZINC000854545965 613058486 /nfs/dbraw/zinc/05/84/86/613058486.db2.gz UQHQNXSYPNXYHK-LLVKDONJSA-N 0 1 266.366 0.924 20 30 CCEDMN C#CC[C@H](CO)NCc1c(C)nn(C)c1N1CCOCC1 ZINC000821207402 613058675 /nfs/dbraw/zinc/05/86/75/613058675.db2.gz PSHJPTJOIQKZCK-CYBMUJFWSA-N 0 1 292.383 0.039 20 30 CCEDMN C#CC[C@H](COC)NC(=O)CCSc1nnc(C)[nH]1 ZINC000863800332 613060898 /nfs/dbraw/zinc/06/08/98/613060898.db2.gz COOYJQQLALKPRX-SNVBAGLBSA-N 0 1 282.369 0.750 20 30 CCEDMN C#CC[C@H](NC(=O)c1[nH]nc2c1C[C@@H](C)CC2)C(=O)OC ZINC000897956326 613070885 /nfs/dbraw/zinc/07/08/85/613070885.db2.gz AOLZJJPOPKHWMI-CABZTGNLSA-N 0 1 289.335 0.829 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000912986029 613073038 /nfs/dbraw/zinc/07/30/38/613073038.db2.gz QMOULEQPWAPENL-GXTWGEPZSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)CN2CCN(CC)CC2)C1 ZINC000862567389 613073113 /nfs/dbraw/zinc/07/31/13/613073113.db2.gz FKBKFSHVAYFVAK-AWEZNQCLSA-N 0 1 263.385 0.496 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(CC)CCNC2=O)C1 ZINC000971717537 613083563 /nfs/dbraw/zinc/08/35/63/613083563.db2.gz YRLUPVVTOMLMSL-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@]2(C)CCN(C(C)=O)C2)C1 ZINC000972690915 613083655 /nfs/dbraw/zinc/08/36/55/613083655.db2.gz MWAVBHZTAFLGLE-ZBFHGGJFSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CCN(C(C)=O)C2)C1 ZINC000972690912 613084354 /nfs/dbraw/zinc/08/43/54/613084354.db2.gz MWAVBHZTAFLGLE-GDBMZVCRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn(C)c2CC)C1 ZINC000971856339 613087916 /nfs/dbraw/zinc/08/79/16/613087916.db2.gz QAYQADDCGIUWEN-GFCCVEGCSA-N 0 1 274.368 0.762 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ncc(OC)cn2)C1 ZINC000971908084 613088484 /nfs/dbraw/zinc/08/84/84/613088484.db2.gz PWXWZXKFLKAVRW-LLVKDONJSA-N 0 1 274.324 0.265 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC000972680020 613091747 /nfs/dbraw/zinc/09/17/47/613091747.db2.gz HFDHCFRHXUDCGQ-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC000972009286 613092004 /nfs/dbraw/zinc/09/20/04/613092004.db2.gz NZIOMLFTZAPOMQ-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2OC[C@@H]3CCC[C@@H]32)C1 ZINC000971964901 613092328 /nfs/dbraw/zinc/09/23/28/613092328.db2.gz SOWUBSRSQHNJDG-ZQDZILKHSA-N 0 1 276.380 0.967 20 30 CCEDMN C#C[C@@H](NC(=O)Cc1cc(C)n[nH]1)C1CCOCC1 ZINC000856109146 613092642 /nfs/dbraw/zinc/09/26/42/613092642.db2.gz JHOIRDPXFOEZDU-CYBMUJFWSA-N 0 1 261.325 0.805 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc3n(n2)CCCO3)C1 ZINC000971916928 613094542 /nfs/dbraw/zinc/09/45/42/613094542.db2.gz IVTHLKPUFUULLJ-LBPRGKRZSA-N 0 1 288.351 0.445 20 30 CCEDMN C#C[C@@H](NC(=O)NC[C@@H]1CCN1CC)C1CCOCC1 ZINC000883727804 613096274 /nfs/dbraw/zinc/09/62/74/613096274.db2.gz CIKVTNZGYOYFTD-UONOGXRCSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn(CC)c2)C1 ZINC000971226399 613096707 /nfs/dbraw/zinc/09/67/07/613096707.db2.gz JRBQBEUEIOCRCN-ZDUSSCGKSA-N 0 1 260.341 0.683 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ncc(OC)cn2)C1 ZINC000971908085 613097102 /nfs/dbraw/zinc/09/71/02/613097102.db2.gz PWXWZXKFLKAVRW-NSHDSACASA-N 0 1 274.324 0.265 20 30 CCEDMN C#C[C@@H](NS(=O)(=O)c1ncc[nH]1)C1CCOCC1 ZINC000867529310 613100694 /nfs/dbraw/zinc/10/06/94/613100694.db2.gz GNAWZTQLUZGAHL-SNVBAGLBSA-N 0 1 269.326 0.116 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000890657353 613101285 /nfs/dbraw/zinc/10/12/85/613101285.db2.gz OVUJAUBBUBXURZ-ZIAGYGMSSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CC[N@@H+](CC)CC(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC000825614047 613106676 /nfs/dbraw/zinc/10/66/76/613106676.db2.gz BRPXOULHRRPWFT-LLVKDONJSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CC[N@H+](CC)CC(=O)N[C@H](CC(C)C)C(=O)[O-] ZINC000825614047 613106677 /nfs/dbraw/zinc/10/66/77/613106677.db2.gz BRPXOULHRRPWFT-LLVKDONJSA-N 0 1 254.330 0.557 20 30 CCEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)N2CCC[C@H](C(N)=O)C2)C1 ZINC000847022137 613106848 /nfs/dbraw/zinc/10/68/48/613106848.db2.gz FRBPMOLJIIVRGH-MCIONIFRSA-N 0 1 291.395 0.444 20 30 CCEDMN C#C[C@@H]1CCCN([C@@H](C)C(=O)NC(=O)NC2CC2)C1 ZINC000847021830 613106851 /nfs/dbraw/zinc/10/68/51/613106851.db2.gz BPRNTZVWENVRTA-WDEREUQCSA-N 0 1 263.341 0.708 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(C(C)(C)COC)CC1 ZINC000823481294 613115925 /nfs/dbraw/zinc/11/59/25/613115925.db2.gz FJUWOEXZKNAYRF-LBPRGKRZSA-N 0 1 267.373 0.760 20 30 CCEDMN C#C[C@H](C)NC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000811998567 613117255 /nfs/dbraw/zinc/11/72/55/613117255.db2.gz SOCHMYVGPPXLQD-UONOGXRCSA-N 0 1 280.416 0.579 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000821566995 613120061 /nfs/dbraw/zinc/12/00/61/613120061.db2.gz BNROGRSXNBGEQQ-ZIAGYGMSSA-N 0 1 279.384 0.762 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000820665827 613122695 /nfs/dbraw/zinc/12/26/95/613122695.db2.gz CGAFNIDUXLIYLV-CHWSQXEVSA-N 0 1 295.383 0.535 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NCC[N@H+]1CC[C@@H](O)C1 ZINC000875725222 613123652 /nfs/dbraw/zinc/12/36/52/613123652.db2.gz PMNDHLIHDCFUFK-VXGBXAGGSA-N 0 1 253.346 0.154 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NCCN1CC[C@@H](O)C1 ZINC000875725222 613123653 /nfs/dbraw/zinc/12/36/53/613123653.db2.gz PMNDHLIHDCFUFK-VXGBXAGGSA-N 0 1 253.346 0.154 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2[C@@H](C)O)CC1 ZINC000855866851 613128941 /nfs/dbraw/zinc/12/89/41/613128941.db2.gz FKARGPOZXODZGD-TZMCWYRMSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2CNC(C)=O)CC1 ZINC000956052288 613128998 /nfs/dbraw/zinc/12/89/98/613128998.db2.gz LJCSNHYPXLGEJW-HNNXBMFYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(CN=[S@](C)(=O)N(C)C)CC1 ZINC000934750864 613132530 /nfs/dbraw/zinc/13/25/30/613132530.db2.gz TXHJGCDTUSBAFV-KRWDZBQOSA-N 0 1 257.403 0.906 20 30 CCEDMN C#CCN1CCC(NC(=O)CCn2ccnc2)CC1 ZINC000928654773 613132893 /nfs/dbraw/zinc/13/28/93/613132893.db2.gz CPRAJTNEFBQDQM-UHFFFAOYSA-N 0 1 260.341 0.487 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCc2nc(C)no2)CC1 ZINC000928657300 613132988 /nfs/dbraw/zinc/13/29/88/613132988.db2.gz WAJLSZFPJHCJTG-UHFFFAOYSA-N 0 1 290.367 0.915 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@](C)(O)C2CC2)CC1 ZINC000928654413 613133258 /nfs/dbraw/zinc/13/32/58/613133258.db2.gz AJNDFKMETONZBS-HNNXBMFYSA-N 0 1 264.369 0.751 20 30 CCEDMN C#C[C@H](NC(=O)CN1CC[C@@H](C)C1)[C@H]1CCCO1 ZINC000847759547 613133497 /nfs/dbraw/zinc/13/34/97/613133497.db2.gz VZTUJGGKDZSGIH-FRRDWIJNSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)N2CCSCC2)CC1 ZINC000921436893 613133577 /nfs/dbraw/zinc/13/35/77/613133577.db2.gz GNBUFBQJOHIXBB-UHFFFAOYSA-N 0 1 267.398 0.842 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H](C)COC)CC1 ZINC000928656200 613133611 /nfs/dbraw/zinc/13/36/11/613133611.db2.gz OOIAXBWWJOADMH-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCOCC2CC2)CC1 ZINC000917483515 613134009 /nfs/dbraw/zinc/13/40/09/613134009.db2.gz DCFBULKBQGGUPZ-UHFFFAOYSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cccnc2)CC1 ZINC000905145952 613134581 /nfs/dbraw/zinc/13/45/81/613134581.db2.gz TXSIAJSNCWQXRQ-UHFFFAOYSA-N 0 1 272.352 0.978 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ccnn2C)CC1 ZINC000914148065 613134592 /nfs/dbraw/zinc/13/45/92/613134592.db2.gz ICBNQARUPTURNA-UHFFFAOYSA-N 0 1 275.356 0.317 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCC[C@@H]2CCO)CC1 ZINC000922099602 613134718 /nfs/dbraw/zinc/13/47/18/613134718.db2.gz LJAYUJDWMHQICY-UKRRQHHQSA-N 0 1 293.411 0.934 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)COC)CC1 ZINC000905146460 613134908 /nfs/dbraw/zinc/13/49/08/613134908.db2.gz XRHKAZAVECWNTN-LLVKDONJSA-N 0 1 253.346 0.418 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCC(=O)N[C@H]2C)CC1 ZINC000922351798 613134990 /nfs/dbraw/zinc/13/49/90/613134990.db2.gz VDNDVUWUBJINCR-WCQYABFASA-N 0 1 292.383 0.050 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCC(=O)N[C@H]2C)CC1 ZINC000922351796 613135419 /nfs/dbraw/zinc/13/54/19/613135419.db2.gz VDNDVUWUBJINCR-AAEUAGOBSA-N 0 1 292.383 0.050 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@]2(CC)CCNC2=O)CC1 ZINC000928660604 613136372 /nfs/dbraw/zinc/13/63/72/613136372.db2.gz RKSPFGYZXZTAHH-HNNXBMFYSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCCn3nccc32)CC1 ZINC000928648970 613136821 /nfs/dbraw/zinc/13/68/21/613136821.db2.gz FFPWWLMVCAELTK-AWEZNQCLSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCCc3nn[nH]c32)CC1 ZINC000928650462 613136828 /nfs/dbraw/zinc/13/68/28/613136828.db2.gz BXOIBVKYLZZZJY-LBPRGKRZSA-N 0 1 287.367 0.438 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc3n[nH]c(=O)n3c2)CC1 ZINC000928655544 613137074 /nfs/dbraw/zinc/13/70/74/613137074.db2.gz YTTKVWGRTAVFDD-UHFFFAOYSA-N 0 1 299.334 0.262 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(=O)n(CC)n2)CC1 ZINC000928655597 613137204 /nfs/dbraw/zinc/13/72/04/613137204.db2.gz UAQANDWKZBTVLI-UHFFFAOYSA-N 0 1 288.351 0.091 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C#N)cn2C)CC1 ZINC000902938334 613137273 /nfs/dbraw/zinc/13/72/73/613137273.db2.gz AYGDAJXKHFBVMO-UHFFFAOYSA-N 0 1 270.336 0.724 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc3[nH]cnc3c2)CC1 ZINC000928655047 613137497 /nfs/dbraw/zinc/13/74/97/613137497.db2.gz QPGBRXNXWMDDBD-UHFFFAOYSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cccc(OC)n2)CC1 ZINC000928656409 613137779 /nfs/dbraw/zinc/13/77/79/613137779.db2.gz TXOWNPWVYHMOJY-UHFFFAOYSA-N 0 1 273.336 0.918 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ncccc2OC)CC1 ZINC000928660993 613138251 /nfs/dbraw/zinc/13/82/51/613138251.db2.gz WQDKJBDRHLSOMM-UHFFFAOYSA-N 0 1 273.336 0.918 20 30 CCEDMN C#CCN1CCC(c2nc(-c3nccc(N)n3)no2)CC1 ZINC000813350168 613138869 /nfs/dbraw/zinc/13/88/69/613138869.db2.gz OZVAUSQPJWBABY-UHFFFAOYSA-N 0 1 284.323 0.752 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@]3(F)CCOC3)C2)C1 ZINC000981963114 613142535 /nfs/dbraw/zinc/14/25/35/613142535.db2.gz IZHCGYUBYMIECY-OAHLLOKOSA-N 0 1 280.343 0.673 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC000968923570 613145931 /nfs/dbraw/zinc/14/59/31/613145931.db2.gz XKONCHLXKCYEEH-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN C#C[C@H](NC(=O)c1cncc2nc[nH]c21)[C@@H]1CCCO1 ZINC000897431061 613149691 /nfs/dbraw/zinc/14/96/91/613149691.db2.gz HUCTZUIAGOFDBB-JQWIXIFHSA-N 0 1 270.292 0.869 20 30 CCEDMN C#C[C@H](NC[C@H](O)CC(=O)OCC)[C@H]1CCCO1 ZINC000859257766 613150559 /nfs/dbraw/zinc/15/05/59/613150559.db2.gz FKDRADXWKIVARE-GRYCIOLGSA-N 0 1 255.314 0.071 20 30 CCEDMN C#C[C@H](N[C@H]1C[C@@H](OCC)[C@@H]1OC)[C@H]1CCCO1 ZINC000893675173 613152736 /nfs/dbraw/zinc/15/27/36/613152736.db2.gz DQGUYQGNTVIQBI-ODXJTPSBSA-N 0 1 253.342 0.949 20 30 CCEDMN C#C[C@H]([C@H]1CCCO1)N1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000804178707 613153625 /nfs/dbraw/zinc/15/36/25/613153625.db2.gz VPNTUKMIBHBPHR-QJPTWQEYSA-N 0 1 288.307 0.053 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)c2ccc3nn[n-]c3n2)CC1 ZINC000981288912 613158547 /nfs/dbraw/zinc/15/85/47/613158547.db2.gz TYVCGMJZCXMHOQ-UHFFFAOYSA-N 0 1 284.323 0.134 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)c2ccc3nn[n-]c3n2)CC1 ZINC000981288912 613158548 /nfs/dbraw/zinc/15/85/48/613158548.db2.gz TYVCGMJZCXMHOQ-UHFFFAOYSA-N 0 1 284.323 0.134 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C\Cn2cncn2)C1 ZINC000923555258 613164815 /nfs/dbraw/zinc/16/48/15/613164815.db2.gz SCRZPIKJSQFMJE-RYWCDNDXSA-N 0 1 273.340 0.048 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C\c2cn(C)cn2)C1 ZINC000829582423 613165309 /nfs/dbraw/zinc/16/53/09/613165309.db2.gz MEHBYFWMSNBVGQ-LMVHVUTASA-N 0 1 272.352 0.647 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC000923552994 613172920 /nfs/dbraw/zinc/17/29/20/613172920.db2.gz GMGBPACQGQMSPQ-JHJVBQTASA-N 0 1 272.352 0.727 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)[C@@H](C)CC(=O)[O-] ZINC000833364239 613176516 /nfs/dbraw/zinc/17/65/16/613176516.db2.gz HYAKCDHCZZTCMO-WDEREUQCSA-N 0 1 253.298 0.738 20 30 CCEDMN C#CCN1CCC[C@H](NCc2ccc(S(N)(=O)=O)o2)C1 ZINC000886209079 613192660 /nfs/dbraw/zinc/19/26/60/613192660.db2.gz DEOAEMPXYUUAHF-NSHDSACASA-N 0 1 297.380 0.114 20 30 CCEDMN C#Cc1ccc(CNC(=O)NC[C@H]2COCCN2)cc1 ZINC000861635314 613194020 /nfs/dbraw/zinc/19/40/20/613194020.db2.gz IZUYSGXFZKQJTK-AWEZNQCLSA-N 0 1 273.336 0.456 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N(C)[C@@H]1CCN(C(=O)[O-])C1 ZINC000823451458 613194360 /nfs/dbraw/zinc/19/43/60/613194360.db2.gz VXFGZLNAYYQYSW-NEPJUHHUSA-N 0 1 279.340 0.295 20 30 CCEDMN C=C(Br)CN[C@@H]1CCN(C(=O)COC)C1 ZINC000965960697 613238391 /nfs/dbraw/zinc/23/83/91/613238391.db2.gz JIAWGBFOCBUAEB-SECBINFHSA-N 0 1 277.162 0.732 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N2CCN[C@@H](C)C2)c1 ZINC000842033572 613198998 /nfs/dbraw/zinc/19/89/98/613198998.db2.gz YAFQYQBUAVMEJB-LBPRGKRZSA-N 0 1 285.347 0.104 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC(C#C)CC2)CC1 ZINC000830326211 613205131 /nfs/dbraw/zinc/20/51/31/613205131.db2.gz GLIXKWFOAZROTO-UHFFFAOYSA-N 0 1 273.380 0.109 20 30 CCEDMN C#CCN1CCN(C(=O)c2nc[nH]c2C(F)(F)F)CC1 ZINC000827527181 613209411 /nfs/dbraw/zinc/20/94/11/613209411.db2.gz SPPQULXAEMGRLI-UHFFFAOYSA-N 0 1 286.257 0.820 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966883220 613214686 /nfs/dbraw/zinc/21/46/86/613214686.db2.gz CFLIRBGCQBAYEP-KOLCDFICSA-N 0 1 276.340 0.497 20 30 CCEDMN C#Cc1cncc(C(=O)NCCN2CCC(OC)CC2)c1 ZINC000807020294 613216371 /nfs/dbraw/zinc/21/63/71/613216371.db2.gz JWCDPEMLEKZALH-UHFFFAOYSA-N 0 1 287.363 0.904 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965911436 613217173 /nfs/dbraw/zinc/21/71/73/613217173.db2.gz SUMLVEWFNGNDIQ-KOLCDFICSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965911436 613217174 /nfs/dbraw/zinc/21/71/74/613217174.db2.gz SUMLVEWFNGNDIQ-KOLCDFICSA-N 0 1 276.340 0.497 20 30 CCEDMN C(=NNCC[NH+]1CCCCC1)c1ccc(-c2nnn[n-]2)o1 ZINC000819718970 613223305 /nfs/dbraw/zinc/22/33/05/613223305.db2.gz VXBZOCACWPLKLU-UHFFFAOYSA-N 0 1 289.343 0.869 20 30 CCEDMN C(=NNCC[NH+]1CCCCC1)c1ccc(-c2nn[n-]n2)o1 ZINC000819718970 613223308 /nfs/dbraw/zinc/22/33/08/613223308.db2.gz VXBZOCACWPLKLU-UHFFFAOYSA-N 0 1 289.343 0.869 20 30 CCEDMN C1CC(N=NC2=N[C@@H]3CCCC[C@@H]3N2)[C@@]2(CCOC2)O1 ZINC000901207172 613224980 /nfs/dbraw/zinc/22/49/80/613224980.db2.gz OQQSKEYQHSEHAB-WDMOLILDSA-N 0 1 278.356 0.782 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2cnn(C)c2)C(C)(C)C1 ZINC000940617294 613227112 /nfs/dbraw/zinc/22/71/12/613227112.db2.gz IJENLDUWFUGZPG-CQSZACIVSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3n[nH]nc3n2)C[C@@H]1C ZINC000947370497 613236317 /nfs/dbraw/zinc/23/63/17/613236317.db2.gz UNLINMWVGBDTEV-WDEREUQCSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3nncn3c2)C[C@@H]1C ZINC000947810957 613236476 /nfs/dbraw/zinc/23/64/76/613236476.db2.gz TWRWZMCBOZVIPN-GXTWGEPZSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc3n[nH]nc3c2)[C@@H](C)C1 ZINC000942131774 613240447 /nfs/dbraw/zinc/24/04/47/613240447.db2.gz ZQAIYQLSWCBUKG-CMPLNLGQSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CC)nn2)[C@H](C)C1 ZINC000943778017 613240595 /nfs/dbraw/zinc/24/05/95/613240595.db2.gz PKDWRAULFPQHMX-VXGBXAGGSA-N 0 1 275.356 0.371 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CC=C)nn2)[C@H](C)C1 ZINC000943483578 613240953 /nfs/dbraw/zinc/24/09/53/613240953.db2.gz YEKBWSYSRSHPER-CHWSQXEVSA-N 0 1 287.367 0.538 20 30 CCEDMN C/C(=C/C[N@@H+](C)CCCc1[nH]nc(N)c1C#N)C(=O)[O-] ZINC000833385966 613241742 /nfs/dbraw/zinc/24/17/42/613241742.db2.gz YSHBHZXIGGRUGW-UITAMQMPSA-N 0 1 277.328 0.759 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2coc(C(N)=O)c2)[C@@H](C)C1 ZINC000942523751 613242999 /nfs/dbraw/zinc/24/29/99/613242999.db2.gz VVMMBDGMDAESPO-CMPLNLGQSA-N 0 1 289.335 0.452 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC000951197064 613245697 /nfs/dbraw/zinc/24/56/97/613245697.db2.gz MOHMKHSKKWYWRY-AWEZNQCLSA-N 0 1 293.411 0.964 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)C[C@@H]2CCC(=O)N2)CC1 ZINC000951722711 613257847 /nfs/dbraw/zinc/25/78/47/613257847.db2.gz XUDYBSCSOYRTDT-ZDUSSCGKSA-N 0 1 279.384 0.766 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)Cn2nccn2)CC1 ZINC000948545234 613258509 /nfs/dbraw/zinc/25/85/09/613258509.db2.gz KKTGQOGCUWXKAX-UHFFFAOYSA-N 0 1 263.345 0.389 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC000948915365 613258990 /nfs/dbraw/zinc/25/89/90/613258990.db2.gz QDULFTBPIXOCGU-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)c2c[nH]c(C(N)=O)c2)CC1 ZINC000957669571 613260848 /nfs/dbraw/zinc/26/08/48/613260848.db2.gz KMKHWBMHFRNOJS-UHFFFAOYSA-N 0 1 290.367 0.838 20 30 CCEDMN COc1cnc(C(=O)NCC#CCN(C)C)c(F)c1 ZINC000913524962 617329502 /nfs/dbraw/zinc/32/95/02/617329502.db2.gz DMLJDRBDUXZKDH-UHFFFAOYSA-N 0 1 265.288 0.524 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CC=C)nn2)C[C@H]1C ZINC000947791884 613273908 /nfs/dbraw/zinc/27/39/08/613273908.db2.gz HCONWCJDGLYMGG-OLZOCXBDSA-N 0 1 287.367 0.680 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2C)[C@H](C)C1 ZINC000941825270 613275391 /nfs/dbraw/zinc/27/53/91/613275391.db2.gz WQXLLRUOZLERAB-RISCZKNCSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2csnn2)C[C@H]1C ZINC000947571816 613277481 /nfs/dbraw/zinc/27/74/81/613277481.db2.gz IDANDLYZHARIAH-ZJUUUORDSA-N 0 1 264.354 0.754 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccn3ccnc23)C[C@@H]1C ZINC000947736857 613278094 /nfs/dbraw/zinc/27/80/94/613278094.db2.gz YRVGLVAITUXJQK-STQMWFEESA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2cc(Cl)cn2)C(C)(C)C1 ZINC000975097824 613281391 /nfs/dbraw/zinc/28/13/91/613281391.db2.gz CQXAZWZKHMNNPL-GFCCVEGCSA-N 0 1 294.786 0.996 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C(C)(C)C1 ZINC000974751210 613281670 /nfs/dbraw/zinc/28/16/70/613281670.db2.gz IUGNVMOHNGXVBD-CHWSQXEVSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C(C)(C)C1 ZINC000974940439 613282899 /nfs/dbraw/zinc/28/28/99/613282899.db2.gz GPMKXTOMZHTFJK-LLVKDONJSA-N 0 1 288.351 0.564 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccccc2Cl)C1 ZINC000958598292 613286708 /nfs/dbraw/zinc/28/67/08/613286708.db2.gz JAIHQOIVKRKKFE-SMDDNHRTSA-N 0 1 292.766 0.996 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccccc2OC)[C@H](O)C1 ZINC000958611356 613289567 /nfs/dbraw/zinc/28/95/67/613289567.db2.gz ZZEYYSJNYVSMNZ-TZMCWYRMSA-N 0 1 288.347 0.351 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2CC(C)(C)C2)C1 ZINC000958042232 613294101 /nfs/dbraw/zinc/29/41/01/613294101.db2.gz RKUWTTLZPGVACY-STQMWFEESA-N 0 1 264.369 0.465 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2C(C)(C)C2(C)C)C1 ZINC000957960892 613294280 /nfs/dbraw/zinc/29/42/80/613294280.db2.gz IOZLYQRREDAKBG-RYUDHWBXSA-N 0 1 278.396 0.711 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccn(C)c2CC)C1 ZINC000958407846 613295088 /nfs/dbraw/zinc/29/50/88/613295088.db2.gz AAHVEVGSAQWYKC-WFASDCNBSA-N 0 1 289.379 0.243 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC000958258307 613295333 /nfs/dbraw/zinc/29/53/33/613295333.db2.gz PCVGFAHFCOCRDA-NHYWBVRUSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2sc(C)nc2C)C1 ZINC000958658238 613296730 /nfs/dbraw/zinc/29/67/30/613296730.db2.gz WWCSAGYRFZUPPJ-RYUDHWBXSA-N 0 1 293.392 0.416 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C(C)(C)C1 ZINC000977526342 613298691 /nfs/dbraw/zinc/29/86/91/613298691.db2.gz LASHVCHGIWQSRJ-KGLIPLIRSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974716117 613299144 /nfs/dbraw/zinc/29/91/44/613299144.db2.gz KEGWUYICSIDKTR-NEPJUHHUSA-N 0 1 277.368 0.115 20 30 CCEDMN C=C(C)CN1CC([C@@H](C)NC(=O)c2cnn(CC)n2)C1 ZINC000969615027 613300996 /nfs/dbraw/zinc/30/09/96/613300996.db2.gz WRRIRHRAEFKTSI-LLVKDONJSA-N 0 1 277.372 0.924 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccs2)C1 ZINC000957837710 613303639 /nfs/dbraw/zinc/30/36/39/613303639.db2.gz CUHIVOZRJAWURT-MNOVXSKESA-N 0 1 264.350 0.404 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cncc3[nH]ccc32)C1 ZINC000958220498 613304141 /nfs/dbraw/zinc/30/41/41/613304141.db2.gz ZFSNPOCINXPESL-ABAIWWIYSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2nc(CC)oc2C)C1 ZINC000958467373 613304535 /nfs/dbraw/zinc/30/45/35/613304535.db2.gz HQETYQZCLHQCLM-NEPJUHHUSA-N 0 1 291.351 0.201 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)C2=COCCO2)CC1 ZINC000980661130 613307680 /nfs/dbraw/zinc/30/76/80/613307680.db2.gz HWROODNHVNBAMS-UHFFFAOYSA-N 0 1 266.341 0.985 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CC(=O)N(CC)C2)CC1 ZINC000981276281 613309534 /nfs/dbraw/zinc/30/95/34/613309534.db2.gz KQPGUAVPGXUONF-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2cc(=O)n(C)o2)CC1 ZINC000981049491 613311129 /nfs/dbraw/zinc/31/11/29/613311129.db2.gz AANOQLSSMAVLTF-UHFFFAOYSA-N 0 1 279.340 0.702 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)c1cnn(C)c1 ZINC000962176969 613313390 /nfs/dbraw/zinc/31/33/90/613313390.db2.gz DMARIMGIVFLRPF-WDNDVIMCSA-N 0 1 286.379 0.377 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC2(C1)CCOCC2 ZINC000962285015 613313929 /nfs/dbraw/zinc/31/39/29/613313929.db2.gz BQDOOAPSKRFUOC-FICVDOATSA-N 0 1 288.391 0.873 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cccs1 ZINC000958093963 613314241 /nfs/dbraw/zinc/31/42/41/613314241.db2.gz MHKJMTGPDXYOCB-IMRBUKKESA-N 0 1 260.362 0.970 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)n1cccc1 ZINC000958587127 613315073 /nfs/dbraw/zinc/31/50/73/613315073.db2.gz OGNFTICFHDSLJG-MQYQWHSLSA-N 0 1 257.337 0.729 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCc2cncn2C1 ZINC000960656792 613315743 /nfs/dbraw/zinc/31/57/43/613315743.db2.gz JJUXAUNLTDBONZ-RZFFKMDDSA-N 0 1 284.363 0.125 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC000961849082 613315999 /nfs/dbraw/zinc/31/59/99/613315999.db2.gz SZXZXTGCOSNHNA-TVQXOTEMSA-N 0 1 260.337 0.234 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(-c2ccco2)n[nH]1 ZINC000961887469 613317756 /nfs/dbraw/zinc/31/77/56/613317756.db2.gz YRQITEKIMONCDL-HBYGRHMLSA-N 0 1 296.330 0.963 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(COC)o1 ZINC000960938573 613319008 /nfs/dbraw/zinc/31/90/08/613319008.db2.gz NRFLTFWWGAQGSG-IMRBUKKESA-N 0 1 274.320 0.719 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc2c1OCCO2 ZINC000960184199 613319456 /nfs/dbraw/zinc/31/94/56/613319456.db2.gz QXKYKMMLPAOWEN-NHAGDIPZSA-N 0 1 298.342 0.751 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(NC(N)=O)c1 ZINC000962534558 613319721 /nfs/dbraw/zinc/31/97/21/613319721.db2.gz UGMCYGSXMIBZTN-WDNDVIMCSA-N 0 1 298.346 0.470 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(CCF)c1 ZINC000960702431 613321158 /nfs/dbraw/zinc/32/11/58/613321158.db2.gz VFEOWMFWOAKFRL-ITGUQSILSA-N 0 1 276.315 0.146 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2ncccc12 ZINC000960300382 613321284 /nfs/dbraw/zinc/32/12/84/613321284.db2.gz CRQCGUWWNKYXNY-IMRBUKKESA-N 0 1 281.319 0.023 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c(CC)c1Cl ZINC000960315607 613322123 /nfs/dbraw/zinc/32/21/23/613322123.db2.gz JUKLJLYIDBKCTP-GDGBQDQQSA-N 0 1 292.770 0.919 20 30 CCEDMN C#CCNC(=O)C1CCN(C/C(C)=C/C(=O)OC)CC1 ZINC000929417963 613323150 /nfs/dbraw/zinc/32/31/50/613323150.db2.gz HLNDBJKNXHXKKV-ZRDIBKRKSA-N 0 1 278.352 0.567 20 30 CCEDMN C#CCNC(=O)CCNCc1cc(C2CC2)n(C)n1 ZINC000905623245 613326759 /nfs/dbraw/zinc/32/67/59/613326759.db2.gz IGEMKNAASALYPS-UHFFFAOYSA-N 0 1 260.341 0.527 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@](CO)(C(C)C)C1 ZINC000815231943 613328965 /nfs/dbraw/zinc/32/89/65/613328965.db2.gz OPNNGZXEERMCSB-CQSZACIVSA-N 0 1 252.358 0.466 20 30 CCEDMN C#CCNC(=O)CN[C@@H](C)c1ccc([N+](=O)[O-])cc1 ZINC000830156721 613330705 /nfs/dbraw/zinc/33/07/05/613330705.db2.gz WPZDPXXBQBVPJG-JTQLQIEISA-N 0 1 261.281 0.995 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992643 613341292 /nfs/dbraw/zinc/34/12/92/613341292.db2.gz ZBECTMOZQZADNN-AAEUAGOBSA-N 0 1 291.351 0.386 20 30 CCEDMN C=C(C)C[N@H+]1C[C@H](O)[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC000957992643 613341293 /nfs/dbraw/zinc/34/12/93/613341293.db2.gz ZBECTMOZQZADNN-AAEUAGOBSA-N 0 1 291.351 0.386 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)c1[nH]nc2c1CNCC2)C(=O)OC ZINC000877195725 613356199 /nfs/dbraw/zinc/35/61/99/613356199.db2.gz PCHSDTZWMGFJKS-LLVKDONJSA-N 0 1 292.339 0.293 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)CN1CCN(CC)CC1 ZINC000882895621 613357298 /nfs/dbraw/zinc/35/72/98/613357298.db2.gz PVJJUDGRMXXQDQ-CYBMUJFWSA-N 0 1 269.389 0.067 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000829530140 613357309 /nfs/dbraw/zinc/35/73/09/613357309.db2.gz OMOBHKGVDUGMKB-CYBMUJFWSA-N 0 1 295.383 0.818 20 30 CCEDMN C=C(C)C[C@H](CO)NCc1cn(C[C@@H]2CCOC2)nn1 ZINC000883399355 613358395 /nfs/dbraw/zinc/35/83/95/613358395.db2.gz KGXDRGQFYDSPPR-QWHCGFSZSA-N 0 1 280.372 0.731 20 30 CCEDMN C=C(C)C[C@H]1NC(=O)N(C[C@@H]2CC[N@@H+]2CC)C1=O ZINC000925098839 613359998 /nfs/dbraw/zinc/35/99/98/613359998.db2.gz WAZJLHKOGQUVHK-WDEREUQCSA-N 0 1 251.330 0.967 20 30 CCEDMN C=C(C)C[C@H]1NC(=O)N(C[C@@H]2CCN2CC)C1=O ZINC000925098839 613359999 /nfs/dbraw/zinc/35/99/99/613359999.db2.gz WAZJLHKOGQUVHK-WDEREUQCSA-N 0 1 251.330 0.967 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC(NS(=O)(=O)CCC)CC1 ZINC000929274465 613362500 /nfs/dbraw/zinc/36/25/00/613362500.db2.gz XGFAFTYAMGZGBR-ZDUSSCGKSA-N 0 1 290.429 0.717 20 30 CCEDMN C=C(Cl)CN1CCCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC000981136645 613373541 /nfs/dbraw/zinc/37/35/41/613373541.db2.gz BZDIOPDDJHJYLQ-GHMZBOCLSA-N 0 1 287.747 0.938 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn(C)c(=O)cn2)C1 ZINC000969403180 613385851 /nfs/dbraw/zinc/38/58/51/613385851.db2.gz ACSRFTBPRJHKLM-SNVBAGLBSA-N 0 1 296.758 0.337 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N1CCS(=O)(=O)C[C@@H](C)C1 ZINC000876911215 613387632 /nfs/dbraw/zinc/38/76/32/613387632.db2.gz YEEADGTXUUETEZ-WCBMZHEXSA-N 0 1 294.804 0.349 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)NCC[N@H+](C)C1CC1)C(=O)[O-] ZINC000908889224 613388572 /nfs/dbraw/zinc/38/85/72/613388572.db2.gz ZCLOFMIKQOJQRK-SNVBAGLBSA-N 0 1 289.763 0.976 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NC[C@@H]1CC[N@@H+]1CC ZINC000890065718 613401800 /nfs/dbraw/zinc/40/18/00/613401800.db2.gz MKLURRGIKNPARH-NEPJUHHUSA-N 0 1 255.362 0.564 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NC[C@@H]1CCN1CC ZINC000890065718 613401803 /nfs/dbraw/zinc/40/18/03/613401803.db2.gz MKLURRGIKNPARH-NEPJUHHUSA-N 0 1 255.362 0.564 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2[C@H]3CN([C@H](CC)C(N)=O)C[C@H]32)C1 ZINC000961736887 613402748 /nfs/dbraw/zinc/40/27/48/613402748.db2.gz YVWTUPKJCMVUHG-XQHKEYJVSA-N 0 1 291.395 0.653 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN(Cc2nnc[nH]2)CC1 ZINC000878810666 613411834 /nfs/dbraw/zinc/41/18/34/613411834.db2.gz HVYOQOAOHIGTAT-LLVKDONJSA-N 0 1 279.344 0.040 20 30 CCEDMN C=C1CCN(C(=O)C2CN([C@@H]3CCOC3)C2)CC1 ZINC000896181761 613413911 /nfs/dbraw/zinc/41/39/11/613413911.db2.gz OHKJFRICDYYLAJ-CYBMUJFWSA-N 0 1 250.342 0.886 20 30 CCEDMN C=CC[C@H](NC(=O)CCc1nn[nH]n1)c1ccncc1 ZINC000865892147 613418769 /nfs/dbraw/zinc/41/87/69/613418769.db2.gz XWYYIWKVGMCBGT-NSHDSACASA-N 0 1 272.312 0.961 20 30 CCEDMN C=CCn1c(C)nnc1S(=O)(=O)Cc1[nH]ncc1C ZINC000905244819 613423957 /nfs/dbraw/zinc/42/39/57/613423957.db2.gz KPXSDKBAGZFAOT-UHFFFAOYSA-N 0 1 281.341 0.778 20 30 CCEDMN C=CCn1cc(CN[C@@H](C)C[C@H]2CCC(=O)N2)nn1 ZINC000926614929 613436081 /nfs/dbraw/zinc/43/60/81/613436081.db2.gz NHIAXTQUZXDCFQ-WDEREUQCSA-N 0 1 263.345 0.611 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000896538934 613444342 /nfs/dbraw/zinc/44/43/42/613444342.db2.gz HSIRWKHKEJOABK-VXGBXAGGSA-N 0 1 253.390 0.949 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CC[C@H](N2CC[C@@H](O)C2)C1 ZINC000861713767 613454715 /nfs/dbraw/zinc/45/47/15/613454715.db2.gz DUSDPGBYDRXVGU-WDEREUQCSA-N 0 1 274.311 0.865 20 30 CCEDMN C=CCC1(O)CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC000899595455 613458665 /nfs/dbraw/zinc/45/86/65/613458665.db2.gz VWQZBQWRGZQUSF-NXEZZACHSA-N 0 1 254.286 0.581 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NS(=O)(=O)N=[S@@](C)(=O)CC ZINC000867356851 613461402 /nfs/dbraw/zinc/46/14/02/613461402.db2.gz XBJGKOXLEZFUSC-KEYDSSBGSA-N 0 1 296.414 0.672 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000910916683 613470938 /nfs/dbraw/zinc/47/09/38/613470938.db2.gz ICRAMMRPKGHION-NEPJUHHUSA-N 0 1 284.356 0.633 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000910916683 613470939 /nfs/dbraw/zinc/47/09/39/613470939.db2.gz ICRAMMRPKGHION-NEPJUHHUSA-N 0 1 284.356 0.633 20 30 CCEDMN C=C[C@H](C)ONC(=O)NC[C@H]1CN(C)CCN1C ZINC000845634662 613487114 /nfs/dbraw/zinc/48/71/14/613487114.db2.gz UZFUWVONOVAQCZ-QWRGUYRKSA-N 0 1 256.350 0.038 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000827961457 613489113 /nfs/dbraw/zinc/48/91/13/613489113.db2.gz OOXXUNGVBLHHDU-ZJUUUORDSA-N 0 1 265.317 0.702 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@]2(C)CCN(C(C)=O)C2)CC1 ZINC000957104914 613506555 /nfs/dbraw/zinc/50/65/55/613506555.db2.gz MLVIOJHYVDISPH-INIZCTEOSA-N 0 1 293.411 0.965 20 30 CCEDMN CC#CC(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000854571812 613517653 /nfs/dbraw/zinc/51/76/53/613517653.db2.gz YCLJOAVQJJAGHS-LBPRGKRZSA-N 0 1 250.342 0.721 20 30 CCEDMN CC#CCCCC(=O)N1CCN(C)CCN(C)CC1 ZINC000888986578 613520643 /nfs/dbraw/zinc/52/06/43/613520643.db2.gz MHYHGDUNBMOYGB-UHFFFAOYSA-N 0 1 265.401 0.886 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC000970411913 613531300 /nfs/dbraw/zinc/53/13/00/613531300.db2.gz LIZJQGSUUADKDU-TZMCWYRMSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC000969688150 613531339 /nfs/dbraw/zinc/53/13/39/613531339.db2.gz SWWKUZQCTIHBME-YRGRVCCFSA-N 0 1 291.395 0.361 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CCOC2)C1 ZINC000969381489 613531627 /nfs/dbraw/zinc/53/16/27/613531627.db2.gz NGIUIXSWCGVBPU-NEPJUHHUSA-N 0 1 250.342 0.483 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC000970311485 613537173 /nfs/dbraw/zinc/53/71/73/613537173.db2.gz BGWKGJXMPOPJEN-WCQYABFASA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cncn2C)C1 ZINC000968543517 613571553 /nfs/dbraw/zinc/57/15/53/613571553.db2.gz BWOXFYFGBACUMC-HIFRSBDPSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cc[nH]n2)C1 ZINC000965806854 613571576 /nfs/dbraw/zinc/57/15/76/613571576.db2.gz YGVLALZLQGZAKU-OCCSQVGLSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCCON1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000806672428 613576294 /nfs/dbraw/zinc/57/62/94/613576294.db2.gz CCWRSNUROOBLKR-VIFPVBQESA-N 0 1 250.258 0.380 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833375118 613580710 /nfs/dbraw/zinc/58/07/10/613580710.db2.gz WUQNDZXYRCQZQG-NSHDSACASA-N 0 1 290.385 0.373 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[N@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833375118 613580712 /nfs/dbraw/zinc/58/07/12/613580712.db2.gz WUQNDZXYRCQZQG-NSHDSACASA-N 0 1 290.385 0.373 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000821969484 613583102 /nfs/dbraw/zinc/58/31/02/613583102.db2.gz BHNOGCUYNFFLPP-LBPRGKRZSA-N 0 1 295.383 0.818 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@@H]2OCCNC(=O)[C@H]21 ZINC000879718618 613591127 /nfs/dbraw/zinc/59/11/27/613591127.db2.gz GZTCPIKWYACWSS-AVGNSLFASA-N 0 1 268.357 0.293 20 30 CCEDMN C=CCCn1cc(C(=O)NC[C@H]2CC[N@@H+]2CC)nn1 ZINC000882494884 613594882 /nfs/dbraw/zinc/59/48/82/613594882.db2.gz OUPLBMVIOXMXIF-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN C=CCCn1cc(C(=O)NC[C@H]2CCN2CC)nn1 ZINC000882494884 613594883 /nfs/dbraw/zinc/59/48/83/613594883.db2.gz OUPLBMVIOXMXIF-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000972863138 613610168 /nfs/dbraw/zinc/61/01/68/613610168.db2.gz XKBNLFRBAGGNHJ-VXGBXAGGSA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2[nH]c(C)cc2C)C1 ZINC000958183744 613613048 /nfs/dbraw/zinc/61/30/48/613613048.db2.gz PWVMBXZFJVTMAC-UONOGXRCSA-N 0 1 289.379 0.677 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2oc(C)cc2C)C1 ZINC000957938713 613613577 /nfs/dbraw/zinc/61/35/77/613613577.db2.gz ADLAUCMBGWFYFR-UONOGXRCSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cnoc2CC)C1 ZINC000958445111 613613887 /nfs/dbraw/zinc/61/38/87/613613887.db2.gz VBKGBHCJYJMTGE-WCQYABFASA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccoc2)C1 ZINC000957854699 613614002 /nfs/dbraw/zinc/61/40/02/613614002.db2.gz DGPNJJYSRCYGHZ-QWHCGFSZSA-N 0 1 262.309 0.325 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)C2C=CC=CC=C2)[C@H](O)C1 ZINC000958106926 613614830 /nfs/dbraw/zinc/61/48/30/613614830.db2.gz ZYUUWRDPIVWRCB-HZPDHXFCSA-N 0 1 286.375 0.717 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccc(F)cc2)[C@H](O)C1 ZINC000957815900 613614937 /nfs/dbraw/zinc/61/49/37/613614937.db2.gz CXRNBBMTRWCFMO-UKRRQHHQSA-N 0 1 290.338 0.872 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccc(Cl)[nH]2)[C@H](O)C1 ZINC000958384337 613614977 /nfs/dbraw/zinc/61/49/77/613614977.db2.gz HSZJOXRYGMMGBV-ZYHUDNBSSA-N 0 1 295.770 0.714 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccncc2)[C@H](O)C1 ZINC000957820839 613615280 /nfs/dbraw/zinc/61/52/80/613615280.db2.gz LLTUWOCPCZUXAC-ZIAGYGMSSA-N 0 1 273.336 0.127 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2scnc2C)[C@H](O)C1 ZINC000957897576 613616365 /nfs/dbraw/zinc/61/63/65/613616365.db2.gz ILBJKUTUAGVMRF-VXGBXAGGSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccnc2)C1 ZINC000957811436 613618314 /nfs/dbraw/zinc/61/83/14/613618314.db2.gz FYAFNIWFBFLUHZ-KBPBESRZSA-N 0 1 273.336 0.127 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974767461 613621926 /nfs/dbraw/zinc/62/19/26/613621926.db2.gz OQNXDCVWZXDZOG-STQMWFEESA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2coc(C)c2)C1 ZINC000958013754 613626098 /nfs/dbraw/zinc/62/60/98/613626098.db2.gz BIXMFGULSBVBHQ-KGLIPLIRSA-N 0 1 276.336 0.634 20 30 CCEDMN CC(=O)N1C[C@H]2CN(Cc3cccc(C#N)n3)C[C@H]2C1 ZINC000895575575 613631131 /nfs/dbraw/zinc/63/11/31/613631131.db2.gz IBTLPCDKEOATQA-BETUJISGSA-N 0 1 270.336 0.863 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCCOC1 ZINC000962612913 613634510 /nfs/dbraw/zinc/63/45/10/613634510.db2.gz CNQPJTPFXDKNAU-NYTXWWLZSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@]1(C)CCOC1 ZINC000962144974 613638136 /nfs/dbraw/zinc/63/81/36/613638136.db2.gz PBVRNLBYPKAQLO-UKTARXLSSA-N 0 1 262.353 0.483 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@]1(C)CCCOC1 ZINC000962153366 613638300 /nfs/dbraw/zinc/63/83/00/613638300.db2.gz FSDFQAZKYHXQLQ-ORIJERBGSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)cnn1C ZINC000961488348 613638558 /nfs/dbraw/zinc/63/85/58/613638558.db2.gz FEYOEOGEUWAOJW-ITGUQSILSA-N 0 1 272.352 0.412 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccncc1 ZINC000958071592 613641730 /nfs/dbraw/zinc/64/17/30/613641730.db2.gz FRIHKBUJNMQNTB-WDNDVIMCSA-N 0 1 255.321 0.765 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000958647103 613642962 /nfs/dbraw/zinc/64/29/62/613642962.db2.gz LIYGZMBDVPPKCQ-PJXYFTJBSA-N 0 1 271.320 0.471 20 30 CCEDMN CC#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1[O-] ZINC000958647103 613642965 /nfs/dbraw/zinc/64/29/65/613642965.db2.gz LIYGZMBDVPPKCQ-PJXYFTJBSA-N 0 1 271.320 0.471 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@@H]1C[N@@H+](C2CC2)C[C@H]1C ZINC000909141213 613643192 /nfs/dbraw/zinc/64/31/92/613643192.db2.gz CPOPPHXPMWFSES-ZYHUDNBSSA-N 0 1 281.356 0.751 20 30 CCEDMN C=CCN(CCOC)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897551582 613649794 /nfs/dbraw/zinc/64/97/94/613649794.db2.gz CHQYAHHRXFXIEQ-CYBMUJFWSA-N 0 1 268.357 0.368 20 30 CCEDMN C=CCN(CCOC)C(=O)[C@@]1(C)C[C@H](O)CN1C ZINC000907700239 613649991 /nfs/dbraw/zinc/64/99/91/613649991.db2.gz UPGIEBIFQSNNOT-WCQYABFASA-N 0 1 256.346 0.103 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)NCc1cc(N(C)C)ccn1 ZINC000924670431 613651405 /nfs/dbraw/zinc/65/14/05/613651405.db2.gz FCMDMVWTGZULEF-GFCCVEGCSA-N 0 1 290.367 0.721 20 30 CCEDMN CC(=NNC(=S)NCC1CCC1)c1c[nH]c(N)n1 ZINC000901183427 613653166 /nfs/dbraw/zinc/65/31/66/613653166.db2.gz RCRAOYNZAAISMO-UHFFFAOYSA-N 0 1 266.374 0.980 20 30 CCEDMN CC(=NNC1=NC[C@H](C)N1)c1cnc2ncccn12 ZINC000905460777 613654582 /nfs/dbraw/zinc/65/45/82/613654582.db2.gz PRSFUHVPKBGABD-QMMMGPOBSA-N 0 1 257.301 0.391 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC000970814690 613662810 /nfs/dbraw/zinc/66/28/10/613662810.db2.gz DCKKAEVAXKBLGC-DGCLKSJQSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2c(C)ncn2C)C1 ZINC000969899113 613663360 /nfs/dbraw/zinc/66/33/60/613663360.db2.gz ZJRDIOFWGNHIGO-SNVBAGLBSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC000969846028 613667285 /nfs/dbraw/zinc/66/72/85/613667285.db2.gz SFEJWXOPERDCLU-SECBINFHSA-N 0 1 286.339 0.589 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C2CCN(C(C)=O)CC2)C1 ZINC000970858973 613668340 /nfs/dbraw/zinc/66/83/40/613668340.db2.gz XRUNEUYCPNXSSV-LBPRGKRZSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC000969984392 613668809 /nfs/dbraw/zinc/66/88/09/613668809.db2.gz JPORCIPROXEIDS-NWDGAFQWSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnn(C)c2C)C1 ZINC000969313429 613672967 /nfs/dbraw/zinc/67/29/67/613672967.db2.gz JFBGKWHRQDWUCO-JTQLQIEISA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnc[nH]c2=O)C1 ZINC000969926729 613673416 /nfs/dbraw/zinc/67/34/16/613673416.db2.gz MCAZRAZKOLTNTL-VIFPVBQESA-N 0 1 262.313 0.418 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC000981523728 613691830 /nfs/dbraw/zinc/69/18/30/613691830.db2.gz XUWZCLZRNDCTJM-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CC(=O)N[C@H](C)C2)CC1 ZINC000981518394 613693391 /nfs/dbraw/zinc/69/33/91/613693391.db2.gz XYIMGWDRCILINQ-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCN(CN2CC[C@H]3COC[C@H]3C2)C1=O ZINC000853591415 613720570 /nfs/dbraw/zinc/72/05/70/613720570.db2.gz RAOZUFWBQDLWHM-QWHCGFSZSA-N 0 1 265.357 0.836 20 30 CCEDMN C=CCN1CCO[C@]2(CCN(C(=O)[C@@H]3CCCN3C)C2)C1 ZINC000972294142 613726833 /nfs/dbraw/zinc/72/68/33/613726833.db2.gz IHQWLPOYXXXHDY-GOEBONIOSA-N 0 1 293.411 0.570 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968628173 613728275 /nfs/dbraw/zinc/72/82/75/613728275.db2.gz UEDNUOBNCCXDBW-KGLIPLIRSA-N 0 1 289.379 0.861 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cnnn2C)C1 ZINC000965979346 613731478 /nfs/dbraw/zinc/73/14/78/613731478.db2.gz DTZPBVYGYPAUPL-MNOVXSKESA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](O)C2(O)CCCC2)C1=O ZINC000930310493 613738180 /nfs/dbraw/zinc/73/81/80/613738180.db2.gz GXTYVJCVSGPYGA-CHWSQXEVSA-N 0 1 282.384 0.371 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](O)CC(C)(C)O)C1=O ZINC000878550533 613738353 /nfs/dbraw/zinc/73/83/53/613738353.db2.gz XVGRNWCCKMKEEX-VXGBXAGGSA-N 0 1 270.373 0.227 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCC2OCCCO2)C1=O ZINC000799177398 613738628 /nfs/dbraw/zinc/73/86/28/613738628.db2.gz NIVBZCWXZWPKSM-GFCCVEGCSA-N 0 1 268.357 0.858 20 30 CCEDMN C=CCN1CC[C@@H](N(C)c2nc(C)cc(N)n2)C1=O ZINC000893668802 613738991 /nfs/dbraw/zinc/73/89/91/613738991.db2.gz PVHWQCQIPASZLW-SNVBAGLBSA-N 0 1 261.329 0.590 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC000965831207 613771352 /nfs/dbraw/zinc/77/13/52/613771352.db2.gz SUPNSCPVQCEALB-JQWIXIFHSA-N 0 1 277.372 0.750 20 30 CCEDMN C=CCN1CC[C@H](N2CCC3(CNC(=O)O3)CC2)C1=O ZINC000799166538 613778054 /nfs/dbraw/zinc/77/80/54/613778054.db2.gz DZOIGBXWMKGUQC-NSHDSACASA-N 0 1 279.340 0.348 20 30 CCEDMN C=CCN1CC[C@H](NC(C)(C)C(=O)N2CCCC2)C1=O ZINC000799170140 613801526 /nfs/dbraw/zinc/80/15/26/613801526.db2.gz SLEBDFZIEDCWAU-LBPRGKRZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCCC(=O)N2)C(C)(C)C1 ZINC000974718908 613808311 /nfs/dbraw/zinc/80/83/11/613808311.db2.gz PHJWOUCGLIAPJA-VXGBXAGGSA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cc(=O)n(C)o2)C(C)(C)C1 ZINC000974502197 613808909 /nfs/dbraw/zinc/80/89/09/613808909.db2.gz ZHUHTWFBFDMFJD-LLVKDONJSA-N 0 1 279.340 0.605 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cn(CC)nn2)C(C)(C)C1 ZINC000977394433 613811602 /nfs/dbraw/zinc/81/16/02/613811602.db2.gz ALDXMDRQMXZTRN-GFCCVEGCSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cn(C)nn2)C(C)(C)C1 ZINC000974570484 613829771 /nfs/dbraw/zinc/82/97/71/613829771.db2.gz RVZLCLSQUSWDQJ-NSHDSACASA-N 0 1 263.345 0.441 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2[C@@H](CNC(=O)c3c(C)nc[nH]c3=O)[C@@H]2C1 ZINC000978610147 613835215 /nfs/dbraw/zinc/83/52/15/613835215.db2.gz BMDOOUQMSOOMBX-CNDDSTCGSA-N 0 1 288.351 0.584 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CCC[N@@H+]1CCC)C(=O)[O-] ZINC000909765727 613882610 /nfs/dbraw/zinc/88/26/10/613882610.db2.gz DJTQCELMXQQPCA-NWDGAFQWSA-N 0 1 284.356 0.633 20 30 CCEDMN C=CCO[N-]C(=O)C[N@@H+]1CCC[C@@H](C(=O)OCC)C1 ZINC000909283327 613883175 /nfs/dbraw/zinc/88/31/75/613883175.db2.gz XSVAYSMBPQIFOH-LLVKDONJSA-N 0 1 270.329 0.495 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1cnc2c(cnn2C)c1 ZINC000865525040 613885818 /nfs/dbraw/zinc/88/58/18/613885818.db2.gz VOGFQIDYZILRRN-UHFFFAOYSA-N 0 1 294.380 0.659 20 30 CCEDMN CC(C)(C)N1CC[C@H]1C(=O)NC1CCN(CC#N)CC1 ZINC000896245529 613940283 /nfs/dbraw/zinc/94/02/83/613940283.db2.gz ZRGVGGIBYJUWLX-ZDUSSCGKSA-N 0 1 278.400 0.963 20 30 CCEDMN CC(C)NCc1cn(CC(=O)N[C@@](C)(C#N)C2CC2)nn1 ZINC000905785632 613960507 /nfs/dbraw/zinc/96/05/07/613960507.db2.gz OQPFLQLSRFYJLQ-AWEZNQCLSA-N 0 1 290.371 0.585 20 30 CCEDMN CC(C)C[C@]1(C(=O)NCC#CCN(C)C)CCNC1=O ZINC000913525508 614229213 /nfs/dbraw/zinc/22/92/13/614229213.db2.gz ZWIFCRMEABGUFE-HNNXBMFYSA-N 0 1 279.384 0.220 20 30 CCEDMN CC(=O)c1csc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834484635 614269007 /nfs/dbraw/zinc/26/90/07/614269007.db2.gz LCZUQNBYGCNWJB-VHSXEESVSA-N 0 1 263.322 0.792 20 30 CCEDMN CC(C)(C)C(=O)N1CCC[C@@H]1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834516472 614323801 /nfs/dbraw/zinc/32/38/01/614323801.db2.gz ITLZUBYRRRDZOS-SDDRHHMPSA-N 0 1 292.383 0.251 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000900810632 614328428 /nfs/dbraw/zinc/32/84/28/614328428.db2.gz HIGQTNCLGBTGPV-OLZOCXBDSA-N 0 1 251.374 0.396 20 30 CCEDMN CC(C)[N@H+]1CCCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])CC1 ZINC000820025066 614333891 /nfs/dbraw/zinc/33/38/91/614333891.db2.gz ODBUGYUELFTKPZ-CQSZACIVSA-N 0 1 281.356 0.934 20 30 CCEDMN CC(C)n1ccc(CC(=O)NCC#CCN(C)C)n1 ZINC000913519391 614361210 /nfs/dbraw/zinc/36/12/10/614361210.db2.gz YBNRIQJYCMBGSY-UHFFFAOYSA-N 0 1 262.357 0.688 20 30 CCEDMN CCC[C@H]1CN(C(=O)NCC#CCO)CCN1CCOC ZINC000923776283 614442051 /nfs/dbraw/zinc/44/20/51/614442051.db2.gz FJUJIZWJXZUMTR-AWEZNQCLSA-N 0 1 297.399 0.124 20 30 CCEDMN CC1(C(=O)NCCNC(O)=C2N=CC=CC2=O)CC=CC1 ZINC000932531396 614463984 /nfs/dbraw/zinc/46/39/84/614463984.db2.gz RIQJZGKUVTWSRY-OUKQBFOZSA-N 0 1 289.335 0.985 20 30 CCEDMN CC1(C(N)=O)CN(C[C@H](O)c2ccc(C#N)cc2)C1 ZINC000829054052 614498684 /nfs/dbraw/zinc/49/86/84/614498684.db2.gz MQAAPFQFBCOWPZ-LBPRGKRZSA-N 0 1 259.309 0.399 20 30 CCEDMN CCN(C(=O)[C@H]1CCCc2c[nH]nc21)C1CN(CC#N)C1 ZINC000950331576 614675833 /nfs/dbraw/zinc/67/58/33/614675833.db2.gz QTBBOMYVKCMWQC-ZDUSSCGKSA-N 0 1 287.367 0.886 20 30 CCEDMN CCCN1CCO[C@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000972298431 614695670 /nfs/dbraw/zinc/69/56/70/614695670.db2.gz SFCNCXMWWWLRMQ-GXTWGEPZSA-N 0 1 265.357 0.859 20 30 CCEDMN CCN(C(=O)c1cc(C)[nH]n1)C1CN(C(=O)[C@@H](C)C#N)C1 ZINC000967814567 614709573 /nfs/dbraw/zinc/70/95/73/614709573.db2.gz WJEDBTJUEPNBGM-VIFPVBQESA-N 0 1 289.339 0.551 20 30 CCEDMN CC1([NH+]2CCCC2)CCC(N=NC2=NC(=O)C(=O)[N-]2)CC1 ZINC000884443660 614912237 /nfs/dbraw/zinc/91/22/37/614912237.db2.gz MEGMBHANRYBCSO-UHFFFAOYSA-N 0 1 291.355 0.373 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](O)CC(C)(C)C1 ZINC000879618947 615022105 /nfs/dbraw/zinc/02/21/05/615022105.db2.gz IKDCKTXMDOMVNM-LBPRGKRZSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(CCC(N)=O)CC(=O)Nc1ccc(C#N)cc1 ZINC000932481107 615040412 /nfs/dbraw/zinc/04/04/12/615040412.db2.gz PRJJAQQNFPYQDH-UHFFFAOYSA-N 0 1 274.324 0.694 20 30 CCEDMN CCN(CCO)N=Cc1ccc(N(C)CCOC)nc1 ZINC000842536889 615055179 /nfs/dbraw/zinc/05/51/79/615055179.db2.gz DQYWGGSAPPOJJV-UHFFFAOYSA-N 0 1 280.372 0.812 20 30 CCEDMN CCOC(=O)C(C)(C)CC(=O)C(C#N)C(=O)NC1CC1 ZINC000805855754 615150623 /nfs/dbraw/zinc/15/06/23/615150623.db2.gz MWYDHOGZXBLIPR-SNVBAGLBSA-N 0 1 280.324 0.953 20 30 CCEDMN CCOC(=O)[C@@H]1CC[C@H](C(=O)NCC#CCN(C)C)C1 ZINC000913525058 615301219 /nfs/dbraw/zinc/30/12/19/615301219.db2.gz IPAOQHIAMFGRBT-QWHCGFSZSA-N 0 1 280.368 0.647 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000971512036 615392599 /nfs/dbraw/zinc/39/25/99/615392599.db2.gz WHSLXTBVMITIFW-OLZOCXBDSA-N 0 1 277.368 0.196 20 30 CCEDMN CCO[C@@H]1C[C@H](N[C@H]2CCCN(O)C2=O)[C@H]1OC ZINC000895194431 615447835 /nfs/dbraw/zinc/44/78/35/615447835.db2.gz CVZYBPWZYITWJU-UKKRHICBSA-N 0 1 258.318 0.149 20 30 CCEDMN CCc1ccc(O)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834495649 615676951 /nfs/dbraw/zinc/67/69/51/615676951.db2.gz XHXJAHFNNORJTF-CMPLNLGQSA-N 0 1 259.309 0.796 20 30 CCEDMN CCc1c[nH]c(=O)c(C(=O)NCC#CCN(C)C)c1 ZINC000913524569 615710259 /nfs/dbraw/zinc/71/02/59/615710259.db2.gz RZWGWUYPLCUMFN-UHFFFAOYSA-N 0 1 261.325 0.644 20 30 CCEDMN CCc1nc(C)c(C(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000834494083 615734661 /nfs/dbraw/zinc/73/46/61/615734661.db2.gz JYWSQLORMZKWLR-IUCAKERBSA-N 0 1 264.354 0.855 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C/COC1CCOCC1 ZINC000913525110 615856947 /nfs/dbraw/zinc/85/69/47/615856947.db2.gz KMYCERXADFQWDV-AATRIKPKSA-N 0 1 280.368 0.419 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccnn1[C@@H]1CCOC1 ZINC000934099844 615858832 /nfs/dbraw/zinc/85/88/32/615858832.db2.gz MKKVAQCFMNGBAY-GFCCVEGCSA-N 0 1 291.355 0.531 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1ncc2ccccc2c1=O ZINC000913516566 615859131 /nfs/dbraw/zinc/85/91/31/615859131.db2.gz VYZSGHQVQAHEMJ-UHFFFAOYSA-N 0 1 298.346 0.078 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccccc1-n1nccn1 ZINC000932495149 615859169 /nfs/dbraw/zinc/85/91/69/615859169.db2.gz VEVPMFOORNVZKT-UHFFFAOYSA-N 0 1 298.350 0.954 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cnn(C)c1C1CC1 ZINC000930888823 615859175 /nfs/dbraw/zinc/85/91/75/615859175.db2.gz XALSPSCQBOEZOA-UHFFFAOYSA-N 0 1 275.356 0.984 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCc2cnn(C)c2C1 ZINC000913521738 615860016 /nfs/dbraw/zinc/86/00/16/615860016.db2.gz LANDNVKNPDJNQS-LBPRGKRZSA-N 0 1 274.368 0.206 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c2c(nn1C)CCSC2 ZINC000913525107 615860437 /nfs/dbraw/zinc/86/04/37/615860437.db2.gz KIJDKIIUECZCDF-UHFFFAOYSA-N 0 1 292.408 0.504 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(C2CC2)[nH]c1=O ZINC000827972153 615860943 /nfs/dbraw/zinc/86/09/43/615860943.db2.gz UCJIQWHSOLGAHD-UHFFFAOYSA-N 0 1 273.336 0.959 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc(Cn2ccnn2)c1 ZINC000913524164 615861078 /nfs/dbraw/zinc/86/10/78/615861078.db2.gz CENUUTLFEJQTCQ-UHFFFAOYSA-N 0 1 297.362 0.621 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnn1C(F)F ZINC000913523997 615861080 /nfs/dbraw/zinc/86/10/80/615861080.db2.gz VIMZPHBYQFJMIR-UHFFFAOYSA-N 0 1 256.256 0.573 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2oc(=O)[nH]c2c1 ZINC000913522496 615861090 /nfs/dbraw/zinc/86/10/90/615861090.db2.gz MCVLYVAYHNXLRH-UHFFFAOYSA-N 0 1 273.292 0.828 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nccc2cccnc21 ZINC000827971606 615861632 /nfs/dbraw/zinc/86/16/32/615861632.db2.gz BMZNONBMDPIPSA-UHFFFAOYSA-N 0 1 268.320 0.925 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C)nc1Br ZINC000913525469 615862086 /nfs/dbraw/zinc/86/20/86/615862086.db2.gz YNTWFANAFGAPAU-UHFFFAOYSA-N 0 1 299.172 0.477 20 30 CCEDMN CCn1cc(-c2n[nH]cc2C(=O)N[C@H]2CNC[C@H]2C#N)cn1 ZINC000834498680 615929389 /nfs/dbraw/zinc/92/93/89/615929389.db2.gz BNEFRRAVUUSQNJ-SKDRFNHKSA-N 0 1 299.338 0.134 20 30 CCEDMN CN(C)C(=O)[C@@H]1CCN(Cc2cncc(C#N)c2)C1 ZINC000930717204 616000085 /nfs/dbraw/zinc/00/00/85/616000085.db2.gz RMEROYUBFAENMH-CYBMUJFWSA-N 0 1 258.325 0.863 20 30 CCEDMN CN(C)c1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1F ZINC000834493223 616012885 /nfs/dbraw/zinc/01/28/85/616012885.db2.gz BXDLRMOCSMGYNY-ZYHUDNBSSA-N 0 1 276.315 0.733 20 30 CCEDMN CN(CC(=O)N[C@@H]1CNC[C@H]1C#N)C(=O)c1cccs1 ZINC000834515644 616048753 /nfs/dbraw/zinc/04/87/53/616048753.db2.gz AMOGRITXONFIKM-NXEZZACHSA-N 0 1 292.364 0.048 20 30 CCEDMN CN(CC(=O)C(C#N)C(=O)NC1CC1)[C@@H]1CCSC1 ZINC000123634928 616050886 /nfs/dbraw/zinc/05/08/86/616050886.db2.gz JGWYWYCLIUGZCR-GHMZBOCLSA-N 0 1 281.381 0.411 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN1CC(Cc2ccoc2)C1 ZINC000899916737 616067596 /nfs/dbraw/zinc/06/75/96/616067596.db2.gz NUUXKTZYIAHRQJ-OAHLLOKOSA-N 0 1 277.368 0.960 20 30 CCEDMN CN(CCc1cccc(C#N)c1)C(=O)CCc1nn[nH]n1 ZINC000869484036 616124652 /nfs/dbraw/zinc/12/46/52/616124652.db2.gz YKVHOQGWZUEBMW-UHFFFAOYSA-N 0 1 284.323 0.705 20 30 CCEDMN CN(Cc1cc(C#N)cs1)C[C@H](O)C1(O)CCOCC1 ZINC000933771001 616164624 /nfs/dbraw/zinc/16/46/24/616164624.db2.gz UMPGFTJLKMAIRT-ZDUSSCGKSA-N 0 1 296.392 0.954 20 30 CCEDMN CN1CCC[C@H](N2CCC3(CC2)CC(=O)C=CO3)C1=O ZINC000933649170 616206153 /nfs/dbraw/zinc/20/61/53/616206153.db2.gz XWXMAVCCZZNGQF-ZDUSSCGKSA-N 0 1 278.352 0.945 20 30 CCEDMN C[NH+]1CCN([C@H](C(=O)[O-])c2cccc(C#N)c2)CC1 ZINC000830950367 616246622 /nfs/dbraw/zinc/24/66/22/616246622.db2.gz JRXHUJLAHLHQIL-ZDUSSCGKSA-N 0 1 259.309 0.931 20 30 CCEDMN COC(=O)c1sccc1NC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000841157398 616283684 /nfs/dbraw/zinc/28/36/84/616283684.db2.gz UNWKGRIOLXGMLC-VXNVDRBHSA-N 0 1 294.336 0.768 20 30 CCEDMN CN1C[C@@H](O)C[C@@H]1CNC(=O)C#Cc1cccs1 ZINC000924978970 616298968 /nfs/dbraw/zinc/29/89/68/616298968.db2.gz LXZXLLWGZINPSR-MNOVXSKESA-N 0 1 264.350 0.281 20 30 CCEDMN CN1CC[C@@H]1CNc1cccc(S(N)(=O)=O)c1C#N ZINC000895771221 616304686 /nfs/dbraw/zinc/30/46/86/616304686.db2.gz VPUDYMPTRZHQPJ-SECBINFHSA-N 0 1 280.353 0.322 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCC1(CC#N)CC1 ZINC000907935561 616316735 /nfs/dbraw/zinc/31/67/35/616316735.db2.gz LEDXUEYOHZJOJP-JQWIXIFHSA-N 0 1 251.330 0.252 20 30 CCEDMN COCCN1CC[C@H](NC(=O)[C@@]2(C#N)CCCOC2)C1 ZINC000865584309 616418895 /nfs/dbraw/zinc/41/88/95/616418895.db2.gz OXDLSXVESGCMKP-GXTWGEPZSA-N 0 1 281.356 0.144 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H]1C(=O)NOc1cccc(C#N)c1 ZINC000870690531 616431680 /nfs/dbraw/zinc/43/16/80/616431680.db2.gz QIADCXKYABVSKU-WDEREUQCSA-N 0 1 260.249 0.777 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCC#C[C@@H]1CCCCO1 ZINC000891106679 616472023 /nfs/dbraw/zinc/47/20/23/616472023.db2.gz LMHYZZWWZSPSEI-IPYPFGDCSA-N 0 1 280.368 0.130 20 30 CCEDMN CN1C[C@H](O)C[C@H]1CNC(=O)c1ccc(F)c(C#N)c1 ZINC000924981958 616489800 /nfs/dbraw/zinc/48/98/00/616489800.db2.gz MVZPYJVOGVKRQZ-NWDGAFQWSA-N 0 1 277.299 0.492 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H](C)C1CCC1 ZINC000838788291 616491679 /nfs/dbraw/zinc/49/16/79/616491679.db2.gz STWOUIGVQLBCEW-ONGXEEELSA-N 0 1 252.314 0.894 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1CC[C@H]2C[C@H]2C1 ZINC000817713671 616496141 /nfs/dbraw/zinc/49/61/41/616496141.db2.gz DWFVXXUMGMHWHJ-WHOHXGKFSA-N 0 1 264.325 0.894 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCc1ccc(C#N)cc1F ZINC000900589273 616500594 /nfs/dbraw/zinc/50/05/94/616500594.db2.gz BNWIZMMCXUMOGJ-IUODEOHRSA-N 0 1 291.326 0.769 20 30 CCEDMN COC(=O)[C@@]1(O)CCN(C[C@H](O)c2ccc(C#N)cc2)C1 ZINC000880561897 616559808 /nfs/dbraw/zinc/55/98/08/616559808.db2.gz JMKSCXPBJPCYKG-DZGCQCFKSA-N 0 1 290.319 0.201 20 30 CCEDMN COCCOC[C@H](O)CNCc1cc(C#N)ccc1F ZINC000905519465 616567754 /nfs/dbraw/zinc/56/77/54/616567754.db2.gz UFKSFRMCVWVNDL-CYBMUJFWSA-N 0 1 282.315 0.811 20 30 CCEDMN COCCOc1cncc(C(=O)NCC#CCN(C)C)c1 ZINC000913522801 616597923 /nfs/dbraw/zinc/59/79/23/616597923.db2.gz ZBAQJZDJEJWGMJ-UHFFFAOYSA-N 0 1 291.351 0.402 20 30 CCEDMN COC[C@H](N)C(=O)N[C@@H]1Cc2ccc(C#N)cc2C1 ZINC000871011950 616685155 /nfs/dbraw/zinc/68/51/55/616685155.db2.gz AEGYGRHZQOWUEK-OLZOCXBDSA-N 0 1 259.309 0.115 20 30 CCEDMN CO[C@@H]1COCC[C@H]1N(C)CC(=O)N[C@](C)(C#N)C(C)C ZINC000880424056 616811246 /nfs/dbraw/zinc/81/12/46/616811246.db2.gz HERSWLSWMQURCJ-UMVBOHGHSA-N 0 1 297.399 0.777 20 30 CCEDMN COCCCOc1cccc(C=NNC2=NCCN2)c1 ZINC000841658553 616926751 /nfs/dbraw/zinc/92/67/51/616926751.db2.gz FNLRFYXQJUXQKC-UHFFFAOYSA-N 0 1 276.340 0.985 20 30 CCEDMN COC(=O)Nc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834485493 616936326 /nfs/dbraw/zinc/93/63/26/616936326.db2.gz XRPAOLDUDOQLOV-CMPLNLGQSA-N 0 1 288.307 0.706 20 30 CCEDMN CNc1nc(C(=O)N[C@H]2CNC[C@H]2C#N)cs1 ZINC000884249410 617071742 /nfs/dbraw/zinc/07/17/42/617071742.db2.gz LAOQZSPHQZJPDF-RQJHMYQMSA-N 0 1 251.315 0.026 20 30 CCEDMN CON=C(C(=O)N(C)CC1CN(C)C1)c1csc(N)n1 ZINC000824496047 617102451 /nfs/dbraw/zinc/10/24/51/617102451.db2.gz KLRIAZUGJNDHDA-UHFFFAOYSA-N 0 1 297.384 0.096 20 30 CCEDMN CO[C@@H](C)CNC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000867984923 617158378 /nfs/dbraw/zinc/15/83/78/617158378.db2.gz QTZRFWZJRUKNLN-HZMBPMFUSA-N 0 1 273.336 0.896 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@]12CCOC2 ZINC001026137748 625341064 /nfs/dbraw/zinc/34/10/64/625341064.db2.gz PFULKTFXJLYGDD-YMSVYLFOSA-N 0 1 274.364 0.483 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCC[C@H]1OC ZINC001026152208 625343459 /nfs/dbraw/zinc/34/34/59/625343459.db2.gz BYTHXZGDQDODBK-VQJWOFKYSA-N 0 1 276.380 0.871 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@H]2CC[C@H](NCC#N)[C@H]2C1 ZINC001026328669 625399547 /nfs/dbraw/zinc/39/95/47/625399547.db2.gz PZJKEHDRWWIGFZ-DCAQKATOSA-N 0 1 274.328 0.077 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(CCO)[C@@H](C)C2)c1 ZINC000893277338 625416245 /nfs/dbraw/zinc/41/62/45/625416245.db2.gz PUSNETRGDSCMBF-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN C[C@@H]1CN(C[C@@H]2CCC3(COC3)O2)C[C@@H](C)N1CC#N ZINC000930828628 625438799 /nfs/dbraw/zinc/43/87/99/625438799.db2.gz LVTZKFZQUUGCSO-MCIONIFRSA-N 0 1 279.384 0.853 20 30 CCEDMN N#CCN[C@@H]1CC[C@@H]2CN(C(=O)CN3CCCC3)C[C@H]12 ZINC001026420028 625440180 /nfs/dbraw/zinc/44/01/80/625440180.db2.gz CHVQFMBHTIDDAE-HZSPNIEDSA-N 0 1 276.384 0.432 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001008337279 625440336 /nfs/dbraw/zinc/44/03/36/625440336.db2.gz DCLHLVYLOLJBJA-VXGBXAGGSA-N 0 1 289.383 0.991 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CNCc2cnon2)C1 ZINC001026733579 625580682 /nfs/dbraw/zinc/58/06/82/625580682.db2.gz WQGIMLXKKVFGNE-NXEZZACHSA-N 0 1 263.301 0.167 20 30 CCEDMN CCc1noc(CNC[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001026735419 625582737 /nfs/dbraw/zinc/58/27/37/625582737.db2.gz MZEKWRAHPWJNNI-WDEREUQCSA-N 0 1 291.355 0.730 20 30 CCEDMN C=CC[C@@H]1CCN1CC(=O)NCCCN1CCCC1=O ZINC000934470329 622712900 /nfs/dbraw/zinc/71/29/00/622712900.db2.gz BSBHHWKLAIMPCH-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C[C@H](NCC(=O)NCC#N)c1cnn(CC2CCC2)c1 ZINC000934554103 622748299 /nfs/dbraw/zinc/74/82/99/622748299.db2.gz MXTDCGMZMSPPDU-NSHDSACASA-N 0 1 275.356 0.974 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2nnc3ccccc3c2O)C1 ZINC001022795039 622761343 /nfs/dbraw/zinc/76/13/43/622761343.db2.gz JLAWQDCTAGIDGC-AOOOYVTPSA-N 0 1 297.318 0.709 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc(C(N)=O)s2)C1 ZINC000972545820 622991209 /nfs/dbraw/zinc/99/12/09/622991209.db2.gz VNBMMPGDIONMJZ-JTQLQIEISA-N 0 1 291.376 0.627 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2ccc(C(N)=O)o2)C1 ZINC001023479773 623039548 /nfs/dbraw/zinc/03/95/48/623039548.db2.gz PTFPUCJCBJLTAU-NSHDSACASA-N 0 1 289.335 0.454 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CCN(CC#N)[C@@H](C)C2)n[nH]1 ZINC000947295261 623055954 /nfs/dbraw/zinc/05/59/54/623055954.db2.gz JGMRYLCNAGHOBK-GXSJLCMTSA-N 0 1 276.344 0.148 20 30 CCEDMN Cn1cc(/C=C/C(=O)c2ccccc2O)c(=O)n(C)c1=O ZINC000176953757 623210522 /nfs/dbraw/zinc/21/05/22/623210522.db2.gz HYWUUBJZCARKLG-BQYQJAHWSA-N 0 1 286.287 0.686 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024389865 623308907 /nfs/dbraw/zinc/30/89/07/623308907.db2.gz AHIQSMNCRWMDSH-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001024467077 623331818 /nfs/dbraw/zinc/33/18/18/623331818.db2.gz BYPLLAHTRWXHQE-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN COCCOCC(=O)Nc1cc(C#N)ccc1O ZINC000179739514 623346393 /nfs/dbraw/zinc/34/63/93/623346393.db2.gz FJHGDJAHOOABTD-UHFFFAOYSA-N 0 1 250.254 0.865 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001024633293 623404566 /nfs/dbraw/zinc/40/45/66/623404566.db2.gz HUSKQMDDUOUGJC-GFCCVEGCSA-N 0 1 290.367 0.733 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NCc2ccns2)C1 ZINC001018633664 623700581 /nfs/dbraw/zinc/70/05/81/623700581.db2.gz ZBENURORTQNBCZ-ZJUUUORDSA-N 0 1 264.354 0.993 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[C@H](C)C[C@H]1C(=O)[O-] ZINC000327953281 623817209 /nfs/dbraw/zinc/81/72/09/623817209.db2.gz AMWIQNHVJMQUIC-STQMWFEESA-N 0 1 295.383 0.836 20 30 CCEDMN C[C@@H](NC(=O)C1=NC(=O)N(C)C1)[C@@H]1CCCN(CC#N)C1 ZINC001025525480 623823036 /nfs/dbraw/zinc/82/30/36/623823036.db2.gz OPMATTDWUPFINR-GHMZBOCLSA-N 0 1 291.355 0.480 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCO[C@H]1CC ZINC001025810151 623885936 /nfs/dbraw/zinc/88/59/36/623885936.db2.gz BNSFKXZKQMGFEZ-MRLBHPIUSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCSCCNC(=O)NCCN1CCCOCC1 ZINC000187202103 624495458 /nfs/dbraw/zinc/49/54/58/624495458.db2.gz FVCPXXAVFCRVTI-UHFFFAOYSA-N 0 1 285.413 0.374 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NC(=O)[C@H]2CCCN2C)[C@@H]1C ZINC001020536892 624504449 /nfs/dbraw/zinc/50/44/49/624504449.db2.gz GHQYJHKBZVBQJW-MROQNXINSA-N 0 1 292.383 0.346 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC000969552684 624518139 /nfs/dbraw/zinc/51/81/39/624518139.db2.gz KYUNPMZUXMUEIB-CYBMUJFWSA-N 0 1 296.334 0.799 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001021210433 624682024 /nfs/dbraw/zinc/68/20/24/624682024.db2.gz FYROBUDGQZMRSQ-GSPSYOTPSA-N 0 1 276.384 0.716 20 30 CCEDMN CNC(=O)CON=Cc1ccc(N2CCOCC2)cc1 ZINC000255149570 624739292 /nfs/dbraw/zinc/73/92/92/624739292.db2.gz MVXOSRUEPIMPHB-UHFFFAOYSA-N 0 1 277.324 0.620 20 30 CCEDMN COC(=O)N1CC(NCc2cnc3ccc(C#N)cn23)C1 ZINC000178171809 624883702 /nfs/dbraw/zinc/88/37/02/624883702.db2.gz VYBYWUZXDXDGCH-UHFFFAOYSA-N 0 1 285.307 0.746 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001022180579 624890621 /nfs/dbraw/zinc/89/06/21/624890621.db2.gz WAULHEAYZWIGIS-PHIMTYICSA-N 0 1 296.334 0.846 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cccc(F)c1O ZINC000933559930 624891855 /nfs/dbraw/zinc/89/18/55/624891855.db2.gz OPFJWQFIEQVYGF-MRVPVSSYSA-N 0 1 250.229 0.896 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001009245382 625791087 /nfs/dbraw/zinc/79/10/87/625791087.db2.gz LVGISHSRQIJIHK-MNOVXSKESA-N 0 1 251.330 0.032 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC001027407879 625870772 /nfs/dbraw/zinc/87/07/72/625870772.db2.gz DCSHTXPNUCSYAW-KBPBESRZSA-N 0 1 291.395 0.587 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2ccc(C#N)cn2)[C@H]1C ZINC000903498124 634580607 /nfs/dbraw/zinc/58/06/07/634580607.db2.gz ZGLMHXIKAIICPN-ZJUUUORDSA-N 0 1 280.353 0.324 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccnn1C1CCC1 ZINC000904563596 634757210 /nfs/dbraw/zinc/75/72/10/634757210.db2.gz LRHDCQCSTOIAEJ-MWLCHTKSSA-N 0 1 274.328 0.841 20 30 CCEDMN C#CCN(C)[C@H]1CCCN(C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001027643768 630828494 /nfs/dbraw/zinc/82/84/94/630828494.db2.gz QPRBVHYETIPZIR-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001027827707 630953079 /nfs/dbraw/zinc/95/30/79/630953079.db2.gz XLKMKCBWLWSZGQ-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001027829645 630955111 /nfs/dbraw/zinc/95/51/11/630955111.db2.gz GGXNHHLTXXYWHE-SNVBAGLBSA-N 0 1 262.313 0.251 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001027829645 630955115 /nfs/dbraw/zinc/95/51/15/630955115.db2.gz GGXNHHLTXXYWHE-SNVBAGLBSA-N 0 1 262.313 0.251 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001027832657 630959858 /nfs/dbraw/zinc/95/98/58/630959858.db2.gz INGMUTROPDGYQE-LLVKDONJSA-N 0 1 276.340 0.641 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001027850009 630976753 /nfs/dbraw/zinc/97/67/53/630976753.db2.gz IVBUGPBVVDJQSX-LLVKDONJSA-N 0 1 277.324 0.196 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001027849604 630979805 /nfs/dbraw/zinc/97/98/05/630979805.db2.gz BVTOOBCDPSBGOZ-LLVKDONJSA-N 0 1 277.324 0.196 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@H](NC(=O)Cc3cnc[nH]3)C[C@H]2C1 ZINC000980191327 630999811 /nfs/dbraw/zinc/99/98/11/630999811.db2.gz GQCYVGLEDRNHLN-AVGNSLFASA-N 0 1 287.367 0.692 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@@H](NC(=O)CN3CCCC3)C[C@H]2C1 ZINC000980236881 631004754 /nfs/dbraw/zinc/00/47/54/631004754.db2.gz FWQAFPXMBYIZNZ-SOUVJXGZSA-N 0 1 290.411 0.822 20 30 CCEDMN C[C@@H](O)C[N@H+]1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980992052 631089421 /nfs/dbraw/zinc/08/94/21/631089421.db2.gz ZEKMNFQITAUUKP-LLVKDONJSA-N 0 1 276.340 0.415 20 30 CCEDMN C[C@@H](O)CN1CCCN(C(=O)c2ccc(C#N)[nH]2)CC1 ZINC000980992052 631089426 /nfs/dbraw/zinc/08/94/26/631089426.db2.gz ZEKMNFQITAUUKP-LLVKDONJSA-N 0 1 276.340 0.415 20 30 CCEDMN N#CCN[C@H]1CCC[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC000981316784 631267283 /nfs/dbraw/zinc/26/72/83/631267283.db2.gz WQVXTLJZQQIYMX-DTWKUNHWSA-N 0 1 265.292 0.703 20 30 CCEDMN N#CCN[C@H]1CCC[C@H](NC(=O)CN2CCCC2)C1 ZINC000981529156 631285849 /nfs/dbraw/zinc/28/58/49/631285849.db2.gz ZWMCGHOQYHUKPG-STQMWFEESA-N 0 1 264.373 0.623 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](C2OCCO2)C1 ZINC000932002525 631389970 /nfs/dbraw/zinc/38/99/70/631389970.db2.gz XJZLSPHBGJCCHW-VXGBXAGGSA-N 0 1 293.367 0.632 20 30 CCEDMN Cc1nccnc1CN[C@@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000967976004 650006575 /nfs/dbraw/zinc/00/65/75/650006575.db2.gz RCZRNIQCUZQXAV-CMPLNLGQSA-N 0 1 273.340 0.635 20 30 CCEDMN Cn1nncc1CN[C@@H]1CCN(C(=O)c2c[nH]c(C#N)c2)C1 ZINC000968448087 650015526 /nfs/dbraw/zinc/01/55/26/650015526.db2.gz XRZMBLXSSCJHGN-LLVKDONJSA-N 0 1 299.338 0.019 20 30 CCEDMN N#Cc1cncc(N2CCN(CCCCCO)CC2)n1 ZINC000894966771 631886905 /nfs/dbraw/zinc/88/69/05/631886905.db2.gz NWIBXQCLLKUEIH-UHFFFAOYSA-N 0 1 275.356 0.633 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@H]1CNC(=O)c1cnn[nH]1 ZINC000937466413 631920614 /nfs/dbraw/zinc/92/06/14/631920614.db2.gz CSAFLPFMJKEZGZ-ZJUUUORDSA-N 0 1 290.327 0.075 20 30 CCEDMN C=C(C)CN(C)CC(=O)N1CCN(C(=O)OCC)CC1 ZINC000181262560 631924868 /nfs/dbraw/zinc/92/48/68/631924868.db2.gz ZJMBGRLFDUWBRO-UHFFFAOYSA-N 0 1 283.372 0.795 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)[C@@H]2CCCO2)C1 ZINC001006661376 650047082 /nfs/dbraw/zinc/04/70/82/650047082.db2.gz WIPKOJMHZLYQER-STQMWFEESA-N 0 1 250.342 0.769 20 30 CCEDMN C[C@@]1(CO)C[C@@H](O)CN1Cc1csc(C#N)c1 ZINC000895435297 632082186 /nfs/dbraw/zinc/08/21/86/632082186.db2.gz YIRNOXHXGXASMV-PWSUYJOCSA-N 0 1 252.339 0.937 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC000984591409 632196952 /nfs/dbraw/zinc/19/69/52/632196952.db2.gz ZXYIWDZHDXYWSG-QWRGUYRKSA-N 0 1 289.339 0.632 20 30 CCEDMN C[C@H](NC(=O)C1(C#N)CC2(CC2)C1)[C@@H]1CN(C)CCN1C ZINC000896528339 632261201 /nfs/dbraw/zinc/26/12/01/632261201.db2.gz RZXPABXZJBIAGD-STQMWFEESA-N 0 1 290.411 0.821 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)[nH]1)[C@@H]1CN(C)CCN1C ZINC000896551217 632280269 /nfs/dbraw/zinc/28/02/69/632280269.db2.gz COZFMNZHDWYYLF-GWCFXTLKSA-N 0 1 275.356 0.250 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H](NC(=O)[C@@H](C)N2C(=O)CCC2=O)C1 ZINC001007446218 650078438 /nfs/dbraw/zinc/07/84/38/650078438.db2.gz FYNUSPSDKAPJEK-VXGBXAGGSA-N 0 1 293.367 0.291 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](C)N2C(=O)CCC2=O)C1 ZINC001007446218 650078440 /nfs/dbraw/zinc/07/84/40/650078440.db2.gz FYNUSPSDKAPJEK-VXGBXAGGSA-N 0 1 293.367 0.291 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)[C@@H](C)N2C(=O)CCC2=O)C1 ZINC001007446212 650078445 /nfs/dbraw/zinc/07/84/45/650078445.db2.gz FYNUSPSDKAPJEK-NEPJUHHUSA-N 0 1 293.367 0.291 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)N2C(=O)CCC2=O)C1 ZINC001007446212 650078447 /nfs/dbraw/zinc/07/84/47/650078447.db2.gz FYNUSPSDKAPJEK-NEPJUHHUSA-N 0 1 293.367 0.291 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CN2CCCC2=O)[C@H]1C ZINC000985958179 632684294 /nfs/dbraw/zinc/68/42/94/632684294.db2.gz PFHLFBHXSUCBIV-NWDGAFQWSA-N 0 1 299.802 0.940 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2nnc(C)[nH]2)[C@@H]1C ZINC000986251744 632734530 /nfs/dbraw/zinc/73/45/30/632734530.db2.gz OEPIAPHSJJSJMI-KOLCDFICSA-N 0 1 297.790 0.987 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cc2nnc(C)[nH]2)[C@@H]1C ZINC000986251746 632735070 /nfs/dbraw/zinc/73/50/70/632735070.db2.gz OEPIAPHSJJSJMI-MWLCHTKSSA-N 0 1 297.790 0.987 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cn2ncnn2)C1 ZINC000988831691 633118637 /nfs/dbraw/zinc/11/86/37/633118637.db2.gz YJMCRZKHOVUQGJ-VHSXEESVSA-N 0 1 284.751 0.005 20 30 CCEDMN C[C@@]1(NCc2cnc3ccc(C#N)cn23)CCNC1=O ZINC000897873121 633249561 /nfs/dbraw/zinc/24/95/61/633249561.db2.gz WVBOKKVRCGILNE-CQSZACIVSA-N 0 1 269.308 0.574 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCCN(C[C@@H](C)O)CC2)cn1 ZINC000989511281 633322464 /nfs/dbraw/zinc/32/24/64/633322464.db2.gz PWBSBBZNHNOLQU-CYBMUJFWSA-N 0 1 287.363 0.592 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnn(C)c2OC)CC1 ZINC000989568413 633328325 /nfs/dbraw/zinc/32/83/25/633328325.db2.gz DGDBFCBBUKYMCQ-UHFFFAOYSA-N 0 1 290.367 0.600 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)Cn2cncn2)C1 ZINC000989752242 633351853 /nfs/dbraw/zinc/35/18/53/633351853.db2.gz LOSZDZZJPVOMQC-MNOVXSKESA-N 0 1 283.763 0.610 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@]12CCC[C@@H]1N(CC#N)CC2 ZINC000990024989 633423681 /nfs/dbraw/zinc/42/36/81/633423681.db2.gz GFQWELCXYCUFPQ-IPYPFGDCSA-N 0 1 276.384 0.717 20 30 CCEDMN Cc1cc(CC(=O)N[C@@]23CCC[C@H]2N(CC#N)CC3)[nH]n1 ZINC000990194328 633476988 /nfs/dbraw/zinc/47/69/88/633476988.db2.gz SIOQUIURSMZIGX-UKRRQHHQSA-N 0 1 287.367 0.897 20 30 CCEDMN C[C@H](C(=O)N1CC(N2CCCC2)C1)n1cnc(C#N)n1 ZINC000898603784 633627916 /nfs/dbraw/zinc/62/79/16/633627916.db2.gz DRTFRSVTCQIIOR-SNVBAGLBSA-N 0 1 274.328 0.017 20 30 CCEDMN C[C@@]1(C#N)CCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000898981122 633704943 /nfs/dbraw/zinc/70/49/43/633704943.db2.gz KUQYQAGVZUYPTK-JSGCOSHPSA-N 0 1 263.341 0.469 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)N(CC#N)CC#N ZINC000900463952 634026540 /nfs/dbraw/zinc/02/65/40/634026540.db2.gz IZKURWCXILERQJ-CABZTGNLSA-N 0 1 257.297 0.951 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@H]1CCCN(CCO)C1 ZINC001009350348 650143054 /nfs/dbraw/zinc/14/30/54/650143054.db2.gz DIHWGFSIBDJHCQ-INIZCTEOSA-N 0 1 286.375 0.783 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CN(C(C)=O)CCO2)C1 ZINC001009381267 650144266 /nfs/dbraw/zinc/14/42/66/650144266.db2.gz CMJGYWZQZQXRGZ-KBPBESRZSA-N 0 1 295.383 0.000 20 30 CCEDMN Cn1cnc(S(=O)(=O)N[C@H](CC#N)C(F)(F)F)c1 ZINC000901773336 634275266 /nfs/dbraw/zinc/27/52/66/634275266.db2.gz OELOKMVRRSXCIO-ZCFIWIBFSA-N 0 1 282.247 0.543 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N[C@@H]1CCCN(CC#N)C1 ZINC001009640761 650152550 /nfs/dbraw/zinc/15/25/50/650152550.db2.gz FUDANMIXKDLPJQ-ZIAGYGMSSA-N 0 1 278.400 0.965 20 30 CCEDMN O=C(C#Cc1ccccc1)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907475540 635129432 /nfs/dbraw/zinc/12/94/32/635129432.db2.gz OSPNQDUJNUBFAR-LBPRGKRZSA-N 0 1 299.359 0.868 20 30 CCEDMN N#Cc1sccc1C(=O)N1CC[C@H](N2CC[C@@H](O)C2)C1 ZINC000908199145 635311240 /nfs/dbraw/zinc/31/12/40/635311240.db2.gz DVTZFFJYBNBAIO-WDEREUQCSA-N 0 1 291.376 0.901 20 30 CCEDMN N#Cc1ccncc1N1CCN(C(=O)Cc2c[nH]cn2)CC1 ZINC000908352135 635358490 /nfs/dbraw/zinc/35/84/90/635358490.db2.gz UXVOACUAHCEKEB-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)N[C@@H]3CCCN(O)C3=O)c2C1 ZINC000908405125 635368616 /nfs/dbraw/zinc/36/86/16/635368616.db2.gz DZKPYCGLYMQEIK-LDYMZIIASA-N 0 1 292.339 0.645 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc[nH]c(=O)c2)C1 ZINC001010541491 650238617 /nfs/dbraw/zinc/23/86/17/650238617.db2.gz DIPQXKHTCPADFR-GFCCVEGCSA-N 0 1 259.309 0.615 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)N2CC(N3CCCC3)C2)cc1 ZINC000912325242 636554179 /nfs/dbraw/zinc/55/41/79/636554179.db2.gz UBVLMVKGXJVWPW-HNNXBMFYSA-N 0 1 285.347 0.898 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)N2CC[C@@H](N3CCC3)C2)cc1 ZINC000912912115 636699463 /nfs/dbraw/zinc/69/94/63/636699463.db2.gz WXAFKOCLCGFCEM-HUUCEWRRSA-N 0 1 285.347 0.898 20 30 CCEDMN N#C[C@]1(C(=O)NCCN2CC[C@H](O)C2)CC2CCC1CC2 ZINC000913352842 636801003 /nfs/dbraw/zinc/80/10/03/636801003.db2.gz YELLRFKVVOHZIA-VKJZCYHPSA-N 0 1 291.395 0.889 20 30 CCEDMN Cc1ccccc1-n1cc(C(=O)NCC#CCN(C)C)nn1 ZINC000913523444 636831688 /nfs/dbraw/zinc/83/16/88/636831688.db2.gz ZZTJSTIHWOLVKP-UHFFFAOYSA-N 0 1 297.362 0.871 20 30 CCEDMN Cc1cc(C(=O)NCC#CCN(C)C)c(C)n1NC(N)=O ZINC000913522828 636832248 /nfs/dbraw/zinc/83/22/48/636832248.db2.gz ANFPFNMFXDVQHN-UHFFFAOYSA-N 0 1 291.355 0.022 20 30 CCEDMN C[C@@H]1c2c[nH]nc2CC[C@H]1C(=O)NCC#CCN(C)C ZINC000913524686 636833900 /nfs/dbraw/zinc/83/39/00/636833900.db2.gz YIABQPICZYSCRZ-NWDGAFQWSA-N 0 1 274.368 0.757 20 30 CCEDMN Cc1nn(C)c(C)c1CC(=O)NCC#CCN(C)C ZINC000913516565 636834922 /nfs/dbraw/zinc/83/49/22/636834922.db2.gz VXCSLNSWWGKDAJ-UHFFFAOYSA-N 0 1 262.357 0.261 20 30 CCEDMN Cc1nn(C)c2ncc(C(=O)NCC#CCN(C)C)cc12 ZINC000913516090 636835040 /nfs/dbraw/zinc/83/50/40/636835040.db2.gz AMMKFPJENNJEKL-UHFFFAOYSA-N 0 1 285.351 0.572 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H](C)C1CCOCC1 ZINC000165391848 636902440 /nfs/dbraw/zinc/90/24/40/636902440.db2.gz MVMWRHKKXNAJRL-DGCLKSJQSA-N 0 1 296.367 0.911 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC(C2OCCO2)CC1 ZINC000171239280 637120853 /nfs/dbraw/zinc/12/08/53/637120853.db2.gz OBCVZXDAEPCTPP-LBPRGKRZSA-N 0 1 295.383 0.832 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCC[C@@](CC)(C(=O)[O-])C1 ZINC000062877734 637188642 /nfs/dbraw/zinc/18/86/42/637188642.db2.gz OOYJPPVYPFAEKJ-CYBMUJFWSA-N 0 1 254.330 0.865 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3csnn3)CCC[C@@H]12 ZINC000992031748 637284661 /nfs/dbraw/zinc/28/46/61/637284661.db2.gz OSUCNLPEWTTXRI-DGCLKSJQSA-N 0 1 276.365 0.898 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)c3[nH]ncc3F)CCC[C@@H]12 ZINC000992149629 637323366 /nfs/dbraw/zinc/32/33/66/637323366.db2.gz GAZVHVZUMOMVMB-MFKMUULPSA-N 0 1 277.303 0.799 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cn(CC)nn3)CCC[C@@H]12 ZINC000992394308 637381223 /nfs/dbraw/zinc/38/12/23/637381223.db2.gz PPSDNWSUADBSGP-HIFRSBDPSA-N 0 1 287.367 0.658 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000914172109 637544960 /nfs/dbraw/zinc/54/49/60/637544960.db2.gz YBVREWSJSOVZCH-PHIMTYICSA-N 0 1 290.371 0.846 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001015500843 637676402 /nfs/dbraw/zinc/67/64/02/637676402.db2.gz XYGPZHGKPKBQDU-LLVKDONJSA-N 0 1 272.312 0.114 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC001015516984 637680922 /nfs/dbraw/zinc/68/09/22/637680922.db2.gz CZGODMHUDSICPC-VIFPVBQESA-N 0 1 250.302 0.116 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccoc2CC(N)=O)C1 ZINC001015618909 637751548 /nfs/dbraw/zinc/75/15/48/637751548.db2.gz CEALWRCDGFWUCN-SNVBAGLBSA-N 0 1 277.324 0.298 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001015619521 637753865 /nfs/dbraw/zinc/75/38/65/637753865.db2.gz APCJDUCJBUPMIT-RYUDHWBXSA-N 0 1 287.367 0.438 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001015619521 637753870 /nfs/dbraw/zinc/75/38/70/637753870.db2.gz APCJDUCJBUPMIT-RYUDHWBXSA-N 0 1 287.367 0.438 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cnnn2C)[C@H]1C ZINC000993276971 637764301 /nfs/dbraw/zinc/76/43/01/637764301.db2.gz GLQGMWUUJMOCJS-VXGBXAGGSA-N 0 1 277.372 0.974 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnnn2C)[C@@H]1C ZINC000993295533 637767757 /nfs/dbraw/zinc/76/77/57/637767757.db2.gz QANPKMIKSMPFGQ-WDEREUQCSA-N 0 1 263.345 0.584 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)Cc2nnc[nH]2)[C@@H]1C ZINC000994174454 637874551 /nfs/dbraw/zinc/87/45/51/637874551.db2.gz HKPCTLABVQEQRV-QWRGUYRKSA-N 0 1 263.345 0.502 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c[nH]c(=O)cc2OC)C1 ZINC001015717463 637912167 /nfs/dbraw/zinc/91/21/67/637912167.db2.gz UWLXWOUODWGPGU-NSHDSACASA-N 0 1 289.335 0.623 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC000994431439 637937510 /nfs/dbraw/zinc/93/75/10/637937510.db2.gz HSZFNGXMCVFIQC-ZYHUDNBSSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC000994431439 637937511 /nfs/dbraw/zinc/93/75/11/637937511.db2.gz HSZFNGXMCVFIQC-ZYHUDNBSSA-N 0 1 288.351 0.330 20 30 CCEDMN Cc1ncc(C=NNc2ccc(S(N)(=O)=O)cn2)s1 ZINC000917547751 637976377 /nfs/dbraw/zinc/97/63/77/637976377.db2.gz BTJHIQJILZHNCZ-UHFFFAOYSA-N 0 1 297.365 0.940 20 30 CCEDMN C[C@H]1CN(C(=O)CN2CCCC2)C[C@@H]1NCC#N ZINC000939753972 638400697 /nfs/dbraw/zinc/40/06/97/638400697.db2.gz KKFCKSHZRBAOOD-RYUDHWBXSA-N 0 1 250.346 0.042 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@@H]1NC(=O)Cc1cnc[nH]1 ZINC000943177463 638707820 /nfs/dbraw/zinc/70/78/20/638707820.db2.gz OPOHSXKFAQTVJH-JQWIXIFHSA-N 0 1 261.329 0.302 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)c1nnc2ccccc2c1O ZINC000185813362 638787728 /nfs/dbraw/zinc/78/77/28/638787728.db2.gz YICVBRKUAYQAPB-VIFPVBQESA-N 0 1 273.292 0.590 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1C[C@H](NCC#N)C(C)(C)C1 ZINC000996399230 638817516 /nfs/dbraw/zinc/81/75/16/638817516.db2.gz QXDFJJBUKOWMHW-YPMHNXCESA-N 0 1 289.383 0.938 20 30 CCEDMN Cc1nnc(CNCC2CCN(C(=O)[C@H](C)C#N)CC2)[nH]1 ZINC000997623281 638878722 /nfs/dbraw/zinc/87/87/22/638878722.db2.gz IJCBGZIBBBHFAQ-SNVBAGLBSA-N 0 1 290.371 0.601 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C)c2ccnn2C)C1 ZINC001014473373 650454766 /nfs/dbraw/zinc/45/47/66/650454766.db2.gz RQVBSEOXPWVDLC-RYUDHWBXSA-N 0 1 262.357 0.900 20 30 CCEDMN C=CCN[C@@H]1CN(C(=O)C(N)=O)CC[C@@H]1C(F)(F)F ZINC000998287208 638903037 /nfs/dbraw/zinc/90/30/37/638903037.db2.gz NOHOGMXNXGHRFZ-JGVFFNPUSA-N 0 1 279.262 0.027 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC000998742253 638938213 /nfs/dbraw/zinc/93/82/13/638938213.db2.gz QLTKFXALVZSIDV-GHMZBOCLSA-N 0 1 285.775 0.598 20 30 CCEDMN CN(C(=O)[C@@H]1CCCc2[nH]ncc21)C1CC(NCC#N)C1 ZINC000998773484 638940432 /nfs/dbraw/zinc/94/04/32/638940432.db2.gz OWQMYUJARMABBM-HTAVTVPLSA-N 0 1 287.367 0.932 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CC(N)=O)C1 ZINC000998777485 638940984 /nfs/dbraw/zinc/94/09/84/638940984.db2.gz UNRUBKNCQQCFIM-VIFPVBQESA-N 0 1 259.737 0.195 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CN2CN=NC2=O)C1 ZINC000998956664 638952350 /nfs/dbraw/zinc/95/23/50/638952350.db2.gz MMUVJCCBRZGRCT-SNVBAGLBSA-N 0 1 299.762 0.317 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001016140855 638971176 /nfs/dbraw/zinc/97/11/76/638971176.db2.gz INBWKXWOUWXPNL-VXGBXAGGSA-N 0 1 265.357 0.374 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC000999883430 639016250 /nfs/dbraw/zinc/01/62/50/639016250.db2.gz QPJNPJRVBLYMAW-WDEREUQCSA-N 0 1 285.775 0.456 20 30 CCEDMN C#CCC[N@@H+]1CC=C(CNC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001000586503 639127596 /nfs/dbraw/zinc/12/75/96/639127596.db2.gz BBSSNZBBPCCICM-UHFFFAOYSA-N 0 1 288.351 0.809 20 30 CCEDMN C#CCC[N@H+]1CC=C(CNC(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001000586503 639127599 /nfs/dbraw/zinc/12/75/99/639127599.db2.gz BBSSNZBBPCCICM-UHFFFAOYSA-N 0 1 288.351 0.809 20 30 CCEDMN C#CCC[N@@H+]1CC=C(CNC(=O)c2n[nH]c(C)c2[O-])CC1 ZINC001000586503 639127601 /nfs/dbraw/zinc/12/76/01/639127601.db2.gz BBSSNZBBPCCICM-UHFFFAOYSA-N 0 1 288.351 0.809 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001014643225 650473177 /nfs/dbraw/zinc/47/31/77/650473177.db2.gz MGIHKTVNUAQGEN-VIFPVBQESA-N 0 1 272.312 0.343 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCC1=CCN(CC#N)CC1 ZINC001000850951 639181708 /nfs/dbraw/zinc/18/17/08/639181708.db2.gz KMQWKRQBHRJNOF-CQSZACIVSA-N 0 1 276.384 0.743 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCNC(=O)CC2)CC1 ZINC001000996357 639212255 /nfs/dbraw/zinc/21/22/55/639212255.db2.gz ZACDFOZYPCYCSJ-AWEZNQCLSA-N 0 1 289.379 0.284 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2c(C)nc[nH]c2=O)CC1 ZINC001001069620 639225870 /nfs/dbraw/zinc/22/58/70/639225870.db2.gz OFELCULLSHVAOZ-UHFFFAOYSA-N 0 1 286.335 0.486 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001014690302 650479228 /nfs/dbraw/zinc/47/92/28/650479228.db2.gz XIUKKBWRKFEGRT-OCCSQVGLSA-N 0 1 286.379 0.958 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC001001317925 639280159 /nfs/dbraw/zinc/28/01/59/639280159.db2.gz ZZLMPYMUECVKAX-UHFFFAOYSA-N 0 1 286.335 0.109 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001014744253 650484726 /nfs/dbraw/zinc/48/47/26/650484726.db2.gz SDGHLQIOWCCTQX-NSHDSACASA-N 0 1 284.323 0.180 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2nonc2C)CC1 ZINC001001499362 639308868 /nfs/dbraw/zinc/30/88/68/639308868.db2.gz VJWZOCMSWALVKH-UHFFFAOYSA-N 0 1 274.324 0.763 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(NC(=O)CC)CC2)C1=O ZINC001002193242 639373477 /nfs/dbraw/zinc/37/34/77/639373477.db2.gz BCVCPDVWTWALOK-ZDUSSCGKSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cc[n+]([O-])cc2)CC1 ZINC001002288510 639389914 /nfs/dbraw/zinc/38/99/14/639389914.db2.gz JGOALTFRUVFGGM-UHFFFAOYSA-N 0 1 273.336 0.538 20 30 CCEDMN CC#CCN1CCC(NC(=O)Cn2cc(C)cn2)CC1 ZINC001002408516 639408683 /nfs/dbraw/zinc/40/86/83/639408683.db2.gz SUINJJMVSXHGLK-UHFFFAOYSA-N 0 1 274.368 0.795 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccc(C(N)=O)nc2)C1 ZINC001014936252 650505332 /nfs/dbraw/zinc/50/53/32/650505332.db2.gz AVBPJDGJGUEIPW-GFCCVEGCSA-N 0 1 288.351 0.100 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ncccn2)CC1 ZINC001003827344 639630888 /nfs/dbraw/zinc/63/08/88/639630888.db2.gz UPFUAELNFOFIFE-UHFFFAOYSA-N 0 1 258.325 0.694 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cccn(C)c2=O)CC1 ZINC001003993340 639663127 /nfs/dbraw/zinc/66/31/27/639663127.db2.gz XQYTXWZEOMGBPP-UHFFFAOYSA-N 0 1 287.363 0.603 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCN(C(C)=O)C2)CC1 ZINC001004063149 639672301 /nfs/dbraw/zinc/67/23/01/639672301.db2.gz UFOBRXNGYMQDOX-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2[nH]cnc2C)CC1 ZINC001004281887 639756987 /nfs/dbraw/zinc/75/69/87/639756987.db2.gz OFAUNZZFPKDUOK-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnn3c2OCCC3)C1 ZINC001015108343 650528622 /nfs/dbraw/zinc/52/86/22/650528622.db2.gz OXSIZDLGBOWQGS-LBPRGKRZSA-N 0 1 288.351 0.493 20 30 CCEDMN CCNS(=O)(=O)CCNCc1ccc(C#N)s1 ZINC000226265930 639841685 /nfs/dbraw/zinc/84/16/85/639841685.db2.gz HQEPFHNSQDAUIT-UHFFFAOYSA-N 0 1 273.383 0.649 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2nnc(C)o2)CC1 ZINC001005353563 639904921 /nfs/dbraw/zinc/90/49/21/639904921.db2.gz RNEPOMXTPBAAEL-UHFFFAOYSA-N 0 1 276.340 0.477 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc3n(n2)CCO3)CC1 ZINC001005509301 639914013 /nfs/dbraw/zinc/91/40/13/639914013.db2.gz YKTIJXDMHCKRBH-UHFFFAOYSA-N 0 1 288.351 0.445 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001015212284 650539915 /nfs/dbraw/zinc/53/99/15/650539915.db2.gz OECLBSDNCRKMHY-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2n[nH]nc2C)CC1 ZINC001005810048 639972692 /nfs/dbraw/zinc/97/26/92/639972692.db2.gz UJRFXTWWNGQJSW-UHFFFAOYSA-N 0 1 261.329 0.283 20 30 CCEDMN C[C@H](C[N@@H+](C)C[C@@H](O)COc1ccc(C#N)cc1)C(=O)[O-] ZINC000923250472 640061033 /nfs/dbraw/zinc/06/10/33/640061033.db2.gz ZGJLYYFEHYBPGX-DGCLKSJQSA-N 0 1 292.335 0.950 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001015321554 650554643 /nfs/dbraw/zinc/55/46/43/650554643.db2.gz PRPBVCHIKOJBPG-IIEFLBBWSA-N 0 1 262.353 0.786 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cc(CC)nn2C)C1 ZINC001015354673 650557415 /nfs/dbraw/zinc/55/74/15/650557415.db2.gz JKMNRTZVRRJFTJ-CYBMUJFWSA-N 0 1 276.384 0.902 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1n[nH]c(C(C)C)n1)[C@H]1CCCO1 ZINC000838559410 640260335 /nfs/dbraw/zinc/26/03/35/640260335.db2.gz MDGGWRNKQCRROQ-GHMZBOCLSA-N 0 1 291.355 0.908 20 30 CCEDMN N#Cc1ccc(CNC(=O)[C@@H]2CC2[N+](=O)[O-])cc1F ZINC000839790105 640366131 /nfs/dbraw/zinc/36/61/31/640366131.db2.gz NPWHCXJAFWBMFH-MWLCHTKSSA-N 0 1 263.228 0.979 20 30 CCEDMN O=C(C#Cc1ccc2c(c1)OCO2)NCCCc1nc[nH]n1 ZINC000798270779 640489981 /nfs/dbraw/zinc/48/99/81/640489981.db2.gz UJDCTFLANFDIOB-UHFFFAOYSA-N 0 1 298.302 0.634 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCc3nnn(C)c3C2)C1 ZINC001015618536 650594016 /nfs/dbraw/zinc/59/40/16/650594016.db2.gz VBNBKOSXQSJDOR-VXGBXAGGSA-N 0 1 289.383 0.297 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCc3[nH]nnc3C2)c1 ZINC000806945861 640806974 /nfs/dbraw/zinc/80/69/74/640806974.db2.gz PCQAKLKNWWPENE-UHFFFAOYSA-N 0 1 253.265 0.380 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001015683589 650608802 /nfs/dbraw/zinc/60/88/02/650608802.db2.gz HYHMTXBDIDMYOL-LBPRGKRZSA-N 0 1 273.336 0.923 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001015692258 650610611 /nfs/dbraw/zinc/61/06/11/650610611.db2.gz ZLBCZRXIFZPQED-MNOVXSKESA-N 0 1 273.340 0.544 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cn(C)nc2C(F)F)N1 ZINC000812227473 640995744 /nfs/dbraw/zinc/99/57/44/640995744.db2.gz DMAZPZFCRKIWCH-ZCFIWIBFSA-N 0 1 256.260 0.629 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC001015772640 650627211 /nfs/dbraw/zinc/62/72/11/650627211.db2.gz CIIUNGBIHHFZGA-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2nnnc2C(C)C)C1 ZINC001015813725 650634506 /nfs/dbraw/zinc/63/45/06/650634506.db2.gz XUABYQTUQVAQGE-LBPRGKRZSA-N 0 1 290.371 0.010 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cccc(OC)n2)C1 ZINC001015932636 650658858 /nfs/dbraw/zinc/65/88/58/650658858.db2.gz QGUQOTBKMWKUJF-GFCCVEGCSA-N 0 1 273.336 0.918 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001015987867 650669876 /nfs/dbraw/zinc/66/98/76/650669876.db2.gz OSYJPRVQDJHINV-DGCLKSJQSA-N 0 1 274.368 0.891 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001015994161 650670623 /nfs/dbraw/zinc/67/06/23/650670623.db2.gz SYVNJIXPQXMLMJ-GXTWGEPZSA-N 0 1 286.379 0.728 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC001016102837 650682282 /nfs/dbraw/zinc/68/22/82/650682282.db2.gz ZJYPAVXNCUSROP-QWHCGFSZSA-N 0 1 279.384 0.812 20 30 CCEDMN C[C@@H]1C[N@@H+](CC(=O)N[C@](C)(C#N)C2CC2)C[C@@H]1C(=O)[O-] ZINC000828431990 642093568 /nfs/dbraw/zinc/09/35/68/642093568.db2.gz LRFVVDZBDFLPPS-OLUVUFQESA-N 0 1 279.340 0.447 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN([C@@H](C)CC(C)C)CC1 ZINC000828524996 642103020 /nfs/dbraw/zinc/10/30/20/642103020.db2.gz UYKKPXXPLXRHGQ-ZDUSSCGKSA-N 0 1 287.429 0.506 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cncc(N3CCCC3)n2)=NO1 ZINC000867062580 642170089 /nfs/dbraw/zinc/17/00/89/642170089.db2.gz FXZCPKDEXRDFLG-VIFPVBQESA-N 0 1 275.312 0.929 20 30 CCEDMN Cc1nn(-c2cccnc2)nc1C(=O)NC1=NO[C@H](C)C1 ZINC000867062662 642171504 /nfs/dbraw/zinc/17/15/04/642171504.db2.gz GXUUYJLFWVBZKA-MRVPVSSYSA-N 0 1 286.295 0.823 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@H]2CC3(CCC3)C(=O)O2)C1 ZINC000829998911 642216650 /nfs/dbraw/zinc/21/66/50/642216650.db2.gz SJMDKVXHQMJJTL-BXUZGUMPSA-N 0 1 264.325 0.823 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001016445686 650732903 /nfs/dbraw/zinc/73/29/03/650732903.db2.gz FUAABHYFJWKECF-LLVKDONJSA-N 0 1 274.324 0.171 20 30 CCEDMN C[C@H](CC(=O)N[C@H]1CNC[C@H]1C#N)c1ccncc1 ZINC000834479266 642571019 /nfs/dbraw/zinc/57/10/19/642571019.db2.gz BUIBTLRIRHJURZ-RTXFEEFZSA-N 0 1 258.325 0.803 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(-c2ccccn2)no1 ZINC000834479775 642572507 /nfs/dbraw/zinc/57/25/07/642572507.db2.gz IMCUZBVWBODWAX-CABZTGNLSA-N 0 1 283.291 0.578 20 30 CCEDMN Cc1nc(C(=O)N[C@@H]2CNC[C@H]2C#N)c(C)s1 ZINC000834484420 642574706 /nfs/dbraw/zinc/57/47/06/642574706.db2.gz IRBLOIYDHJPOQK-RKDXNWHRSA-N 0 1 250.327 0.601 20 30 CCEDMN C[C@@H]1CCCC[C@@H]1OCC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834489502 642579616 /nfs/dbraw/zinc/57/96/16/642579616.db2.gz NAPCYIRZFMVLKL-VOAKCMCISA-N 0 1 265.357 0.809 20 30 CCEDMN Cc1ccc(C(=O)C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834493816 642583416 /nfs/dbraw/zinc/58/34/16/642583416.db2.gz HRZSTBHBIHCEAT-VXGBXAGGSA-N 0 1 257.293 0.406 20 30 CCEDMN Cc1nn(C(F)F)c(C)c1CC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834495428 642584811 /nfs/dbraw/zinc/58/48/11/642584811.db2.gz VMPUQGHGTIGNBM-GXSJLCMTSA-N 0 1 297.309 0.665 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnn(-c2ccccc2F)c1 ZINC000834495354 642584835 /nfs/dbraw/zinc/58/48/35/642584835.db2.gz ULYRPISDCOXKIT-MFKMUULPSA-N 0 1 299.309 0.853 20 30 CCEDMN Cc1noc2ncc(C(=O)N[C@@H]3CNC[C@H]3C#N)cc12 ZINC000834499037 642587345 /nfs/dbraw/zinc/58/73/45/642587345.db2.gz DOZKDMHCNATNLT-MWLCHTKSSA-N 0 1 271.280 0.373 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cncnc1-c1ccccc1 ZINC000834499796 642588878 /nfs/dbraw/zinc/58/88/78/642588878.db2.gz MTBZJFWZDJUZGA-TZMCWYRMSA-N 0 1 293.330 0.985 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2cc[nH]c2n1 ZINC000834499314 642588927 /nfs/dbraw/zinc/58/89/27/642588927.db2.gz HBNBPDYSYUNXKT-ONGXEEELSA-N 0 1 255.281 0.404 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)nc(C(F)(F)F)n1 ZINC000834501164 642589944 /nfs/dbraw/zinc/58/99/44/642589944.db2.gz ZWVRJFXBMXRTEZ-CBAPKCEASA-N 0 1 299.256 0.645 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C1=Cc2ccccc2OC1 ZINC000834500694 642590432 /nfs/dbraw/zinc/59/04/32/642590432.db2.gz WDORIYTTZJHVAF-STQMWFEESA-N 0 1 269.304 0.690 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1OCCc2ccccc21 ZINC000834504365 642590623 /nfs/dbraw/zinc/59/06/23/642590623.db2.gz ALNHBQRSKLOOHW-MRVWCRGKSA-N 0 1 271.320 0.528 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1c(F)ccc(F)c1F ZINC000834500933 642590689 /nfs/dbraw/zinc/59/06/89/642590689.db2.gz YEPVUHYIUJHLHT-RCOVLWMOSA-N 0 1 269.226 0.945 20 30 CCEDMN C[C@H](NC(=O)c1ccccc1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834505939 642593837 /nfs/dbraw/zinc/59/38/37/642593837.db2.gz PBVWCWYMCUZPGA-WCFLWFBJSA-N 0 1 286.335 0.033 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(F)cc1F ZINC000834510533 642595607 /nfs/dbraw/zinc/59/56/07/642595607.db2.gz CBOISLCEDLPBCI-RDDDGLTNSA-N 0 1 251.236 0.806 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnn(Cc2ccccc2)c1 ZINC000834510855 642595995 /nfs/dbraw/zinc/59/59/95/642595995.db2.gz FZPPQTOXSOBLQK-DZGCQCFKSA-N 0 1 295.346 0.773 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc(N2CCCC2=O)c1 ZINC000834512513 642597139 /nfs/dbraw/zinc/59/71/39/642597139.db2.gz YECMFWSXAWNAEF-TZMCWYRMSA-N 0 1 298.346 0.655 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(-c2ccccc2)n[nH]1 ZINC000834511921 642597505 /nfs/dbraw/zinc/59/75/05/642597505.db2.gz QACCBLXQLDXHTE-FZMZJTMJSA-N 0 1 281.319 0.918 20 30 CCEDMN Cc1nn(C)c2nc(C)cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)c12 ZINC000834515845 642599124 /nfs/dbraw/zinc/59/91/24/642599124.db2.gz CKSMNBHIHVSTKU-CMPLNLGQSA-N 0 1 298.350 0.427 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(C(F)(F)F)nc1 ZINC000834517275 642599825 /nfs/dbraw/zinc/59/98/25/642599825.db2.gz TVAZKRHLXYJNJC-IUCAKERBSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(Cn2cncn2)cc1 ZINC000834516676 642600433 /nfs/dbraw/zinc/60/04/33/642600433.db2.gz KOPSJLBQVGSTHX-KBPBESRZSA-N 0 1 296.334 0.168 20 30 CCEDMN CS(=O)(=O)CCNN=C1CCCc2cc(N)ccc21 ZINC000834958165 642644474 /nfs/dbraw/zinc/64/44/74/642644474.db2.gz GHXMPIKKMLZJQC-UHFFFAOYSA-N 0 1 281.381 0.943 20 30 CCEDMN C[S@](=O)(=NS(=O)(=O)NCCC#N)c1ccccc1 ZINC000867201342 642715782 /nfs/dbraw/zinc/71/57/82/642715782.db2.gz JCJWDXBAYVSDON-QGZVFWFLSA-N 0 1 287.366 0.891 20 30 CCEDMN Cc1ccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c(C)n1 ZINC000841141658 642804101 /nfs/dbraw/zinc/80/41/01/642804101.db2.gz OGPXBFQESZCMQN-JQWIXIFHSA-N 0 1 259.313 0.932 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccn2ccnc2c1 ZINC000841224045 642808425 /nfs/dbraw/zinc/80/84/25/642808425.db2.gz HVXNBNJGEZIJCZ-KOLCDFICSA-N 0 1 270.296 0.567 20 30 CCEDMN C#CC[C@H]1CCCN(CN2C[C@@H](OC)CC2=O)C1 ZINC000842630031 642919835 /nfs/dbraw/zinc/91/98/35/642919835.db2.gz VULCTIPRRNYOEJ-STQMWFEESA-N 0 1 250.342 0.927 20 30 CCEDMN Cc1cncc(/C=C/C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000843459031 643062853 /nfs/dbraw/zinc/06/28/53/643062853.db2.gz BTLBMVOYXKMPST-OUDYMMNNSA-N 0 1 256.309 0.631 20 30 CCEDMN Cc1ccc(/C=C/C(=O)N[C@@H]2CNC[C@@H]2C#N)cn1 ZINC000843459502 643062932 /nfs/dbraw/zinc/06/29/32/643062932.db2.gz FNFUEILIHDWMLO-QITAHTHBSA-N 0 1 256.309 0.631 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCOc1ccc(F)cc1 ZINC000843459720 643063161 /nfs/dbraw/zinc/06/31/61/643063161.db2.gz GTLFIZYJMPMMBA-MFKMUULPSA-N 0 1 277.299 0.822 20 30 CCEDMN O=C(C#CC1CC1)N1CC=C(CNC(=O)c2ncn[nH]2)CC1 ZINC001017640325 650815595 /nfs/dbraw/zinc/81/55/95/650815595.db2.gz XJRLNPQBZPZWQY-UHFFFAOYSA-N 0 1 299.334 0.107 20 30 CCEDMN O=C(C#CC1CC1)N1CC=C(CNC(=O)c2nc[nH]n2)CC1 ZINC001017640325 650815597 /nfs/dbraw/zinc/81/55/97/650815597.db2.gz XJRLNPQBZPZWQY-UHFFFAOYSA-N 0 1 299.334 0.107 20 30 CCEDMN Cc1ncc(/C=C/C(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000844194442 643177470 /nfs/dbraw/zinc/17/74/70/643177470.db2.gz IAZWUFLOYYEEKK-CWVBBZIGSA-N 0 1 262.338 0.693 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccnc1N1CCCC1 ZINC000844195749 643179569 /nfs/dbraw/zinc/17/95/69/643179569.db2.gz ZORPWWLZKFWGRG-DGCLKSJQSA-N 0 1 285.351 0.523 20 30 CCEDMN Cc1[nH]nc2ncc(C(=O)N[C@H]3CNC[C@H]3C#N)cc12 ZINC000844195209 643179597 /nfs/dbraw/zinc/17/95/97/643179597.db2.gz SJAMJWGCLOPRDQ-KOLCDFICSA-N 0 1 270.296 0.108 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1c[nH]c(=O)cn1 ZINC001017859764 650826865 /nfs/dbraw/zinc/82/68/65/650826865.db2.gz ZCMDHLODHULLCI-TXEJJXNPSA-N 0 1 288.351 0.635 20 30 CCEDMN C=CCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c[nH]nn1 ZINC001017964500 650837714 /nfs/dbraw/zinc/83/77/14/650837714.db2.gz CRDKEPOYGRNCTH-BETUJISGSA-N 0 1 275.356 0.599 20 30 CCEDMN O=C(C#Cc1cccs1)NCCCc1nc[nH]n1 ZINC000847030390 643481129 /nfs/dbraw/zinc/48/11/29/643481129.db2.gz NVYOVOFTWDEHJG-UHFFFAOYSA-N 0 1 260.322 0.967 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc[nH]n2)C1 ZINC001018545297 650874690 /nfs/dbraw/zinc/87/46/90/650874690.db2.gz YEYGEFGIHVVQQB-VIFPVBQESA-N 0 1 254.721 0.966 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@H](N(C)C(=O)N(C)C)C1 ZINC000852652920 643984891 /nfs/dbraw/zinc/98/48/91/643984891.db2.gz HRPUPJWQLGNBCI-RYUDHWBXSA-N 0 1 268.361 0.603 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCc1cccnc1Cl ZINC000852827638 644013443 /nfs/dbraw/zinc/01/34/43/644013443.db2.gz MEDQBXINKKJAGY-WDEREUQCSA-N 0 1 278.743 0.895 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccnn1C(F)F ZINC000852827731 644013873 /nfs/dbraw/zinc/01/38/73/644013873.db2.gz OIWYPGOHGAOBFY-RQJHMYQMSA-N 0 1 255.228 0.120 20 30 CCEDMN COc1cccc([C@H](OC)C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000852827290 644014125 /nfs/dbraw/zinc/01/41/25/644014125.db2.gz FWVHYVXMPKEOGI-UBHSHLNASA-N 0 1 289.335 0.610 20 30 CCEDMN COc1cncc(CN[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001018631755 650887010 /nfs/dbraw/zinc/88/70/10/650887010.db2.gz JVUSRWOTCRGDCP-QWRGUYRKSA-N 0 1 289.339 0.335 20 30 CCEDMN Cn1ccnc(N=NCc2cn(C3CCC3)nn2)c1=O ZINC000853314694 644097984 /nfs/dbraw/zinc/09/79/84/644097984.db2.gz SODDOZPWACDOGD-UHFFFAOYSA-N 0 1 273.300 0.543 20 30 CCEDMN Cc1ncc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)n1C ZINC000853325731 644098612 /nfs/dbraw/zinc/09/86/12/644098612.db2.gz YRUKTAVRASRQMZ-RYUDHWBXSA-N 0 1 260.345 0.922 20 30 CCEDMN C[C@@H]1CCCCN1CC(N)=NO[C@@H]1CCN(C)C1=O ZINC000116267989 650936837 /nfs/dbraw/zinc/93/68/37/650936837.db2.gz HXDIPOJLKMVHLN-GHMZBOCLSA-N 0 1 268.361 0.380 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001019081909 650936941 /nfs/dbraw/zinc/93/69/41/650936941.db2.gz BHJIQCVXHTVKEI-NSHDSACASA-N 0 1 296.330 0.856 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)N1CC[C@H](NCC#N)C1 ZINC001019299589 650958896 /nfs/dbraw/zinc/95/88/96/650958896.db2.gz VVCCHMYQLGDTOF-LBPRGKRZSA-N 0 1 276.340 0.669 20 30 CCEDMN CS(=O)(=O)Nc1ccccc1C(=O)OCC#N ZINC000018263293 650966225 /nfs/dbraw/zinc/96/62/25/650966225.db2.gz LDCRLXRUESOPMM-UHFFFAOYSA-N 0 1 254.267 0.738 20 30 CCEDMN N#CC(C(=O)C[C@@H]1CCCCO1)C(=O)NC1CC1 ZINC000120054349 645226931 /nfs/dbraw/zinc/22/69/31/645226931.db2.gz QCSBEXFXQZLKPX-QWRGUYRKSA-N 0 1 250.298 0.933 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CC[C@H](NCC#N)C1 ZINC001019664622 650996884 /nfs/dbraw/zinc/99/68/84/650996884.db2.gz IEPWUZQXZOTSLL-NSHDSACASA-N 0 1 273.340 0.475 20 30 CCEDMN N#Cc1ccc(CNC[C@]23COC(=O)N2CCOC3)o1 ZINC000865769366 645414688 /nfs/dbraw/zinc/41/46/88/645414688.db2.gz IYBGVIGOESHXSJ-ZDUSSCGKSA-N 0 1 277.280 0.462 20 30 CCEDMN N#CCc1ccsc1C(=O)NCCc1nc[nH]n1 ZINC000868593734 645792066 /nfs/dbraw/zinc/79/20/66/645792066.db2.gz AZKVADNDAZLTBO-UHFFFAOYSA-N 0 1 261.310 0.905 20 30 CCEDMN N#Cc1cccc(NC2CN(C(=O)[C@@H]3CC3[N+](=O)[O-])C2)c1 ZINC000869340376 645874100 /nfs/dbraw/zinc/87/41/00/645874100.db2.gz FOSGDAVCFDECPW-CHWSQXEVSA-N 0 1 286.291 0.846 20 30 CCEDMN C[C@@H]1CCn2cc(C(=O)N[C@@H]3CNC[C@H]3C#N)nc2C1 ZINC000870941243 646055235 /nfs/dbraw/zinc/05/52/35/646055235.db2.gz SRGVKVHYDDDEOS-GMTAPVOTSA-N 0 1 273.340 0.307 20 30 CCEDMN C[C@H](C#N)OCCN(C)[C@H]1CCCCN(C)C1=O ZINC000872265852 646233622 /nfs/dbraw/zinc/23/36/22/646233622.db2.gz MSYGTIWQOGGCQI-NEPJUHHUSA-N 0 1 253.346 0.858 20 30 CCEDMN Cc1cc(=NN=Cc2cn(C[C@@H]3CCOC3)nn2)nc[nH]1 ZINC000872351989 646250616 /nfs/dbraw/zinc/25/06/16/646250616.db2.gz YZIIZSJSCJEEHJ-NSHDSACASA-N 0 1 287.327 0.859 20 30 CCEDMN C#CC[C@H]1CCCN(CN2CC3(COC3)CC2=O)C1 ZINC000872621056 646298694 /nfs/dbraw/zinc/29/86/94/646298694.db2.gz OILJWYUANFWTQC-ZDUSSCGKSA-N 0 1 262.353 0.928 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000875476051 646533404 /nfs/dbraw/zinc/53/34/04/646533404.db2.gz JSCIDJXUDWNLPV-ZDUSSCGKSA-N 0 1 294.399 0.900 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NCCn1ccnc1 ZINC000876709015 646647366 /nfs/dbraw/zinc/64/73/66/646647366.db2.gz GYENBISPKUZBTQ-HNNXBMFYSA-N 0 1 295.346 0.758 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccnc1C1CC1 ZINC000876801624 646669121 /nfs/dbraw/zinc/66/91/21/646669121.db2.gz GQQGFSWBMFGVRY-ZYHUDNBSSA-N 0 1 256.309 0.800 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NCc1c[nH]cn1 ZINC000876882246 646689816 /nfs/dbraw/zinc/68/98/16/646689816.db2.gz DMEFRYUBXFZJPD-CQSZACIVSA-N 0 1 281.319 0.785 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@@H]2CSC[C@H]2C1 ZINC000879558158 647073272 /nfs/dbraw/zinc/07/32/72/647073272.db2.gz RKFMHBFTVJPMCY-GHMZBOCLSA-N 0 1 253.371 0.701 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1C[C@H]2CC[C@@H](C1)S2 ZINC000884249783 647681322 /nfs/dbraw/zinc/68/13/22/647681322.db2.gz RENXMPUTAABLFJ-IPDPYQKTSA-N 0 1 265.382 0.888 20 30 CCEDMN Cc1nc(NC(=O)C#Cc2ccc3c(c2)OCO3)n[nH]1 ZINC000136235141 647878017 /nfs/dbraw/zinc/87/80/17/647878017.db2.gz JGSATDQOQUHCOH-UHFFFAOYSA-N 0 1 270.248 0.832 20 30 CCEDMN Cc1n[nH]c(NC(=O)C#Cc2ccc3c(c2)OCO3)n1 ZINC000136235141 647878019 /nfs/dbraw/zinc/87/80/19/647878019.db2.gz JGSATDQOQUHCOH-UHFFFAOYSA-N 0 1 270.248 0.832 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN([C@H]3CCOC3)CC2)CCC1 ZINC000886086792 647898750 /nfs/dbraw/zinc/89/87/50/647898750.db2.gz MHZNHZCUGOTAHD-LSDHHAIUSA-N 0 1 293.411 0.838 20 30 CCEDMN C#CCN1CCN(C(=O)c2ccn(-c3ccncc3)n2)CC1 ZINC000949241315 649415810 /nfs/dbraw/zinc/41/58/10/649415810.db2.gz QLKRTYUBGKTFDC-UHFFFAOYSA-N 0 1 295.346 0.658 20 30 CCEDMN COCCNC(=O)[C@H](C#N)C(=O)[C@H]1CC1(Cl)Cl ZINC000193421742 649537645 /nfs/dbraw/zinc/53/76/45/649537645.db2.gz BCJSKRCLSQBDMV-RNFRBKRXSA-N 0 1 279.123 0.652 20 30 CCEDMN CC(C)OC[C@H](O)CON=C(N)CN1CCCC[C@@H]1C ZINC000245508049 649644184 /nfs/dbraw/zinc/64/41/84/649644184.db2.gz RCWOEUMQRDQSNW-STQMWFEESA-N 0 1 287.404 0.936 20 30 CCEDMN N#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc3nc[nH]c32)C1 ZINC000958514860 649809523 /nfs/dbraw/zinc/80/95/23/649809523.db2.gz DNUWGKDNHCYMQB-GWCFXTLKSA-N 0 1 299.334 0.109 20 30 CCEDMN Cc1ccnc(N2C[C@H]3OCCN(C)[C@@H]3C2)c1C#N ZINC000408181133 719375337 /nfs/dbraw/zinc/37/53/37/719375337.db2.gz BBHZOHLAIBRAQG-CHWSQXEVSA-N 0 1 258.325 0.781 20 30 CCEDMN Cc1nc(N2C[C@@H]3OCCN(C)[C@H]3C2)cnc1C#N ZINC001164668772 719423143 /nfs/dbraw/zinc/42/31/43/719423143.db2.gz KTPLKLJNXRNVQC-RYUDHWBXSA-N 0 1 259.313 0.176 20 30 CCEDMN N#CCCN1CCN(c2ncc3c(n2)CNC3)CC1 ZINC001165055224 722023955 /nfs/dbraw/zinc/02/39/55/722023955.db2.gz JICDSMHNJBVDNV-UHFFFAOYSA-N 0 1 258.329 0.115 20 30 CCEDMN N#CCC1CN(C(=O)[C@@]23C[C@@H]2CN(Cc2cncnc2)C3)C1 ZINC001277448713 805587002 /nfs/dbraw/zinc/58/70/02/805587002.db2.gz WRXVAHPPTKXLDZ-GDBMZVCRSA-N 0 1 297.362 0.671 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@@H](OC)C2CC2)CC1 ZINC001266262791 790351552 /nfs/dbraw/zinc/35/15/52/790351552.db2.gz OXDQQSSATSXSQJ-HNNXBMFYSA-N 0 1 295.427 0.721 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ocnc1C ZINC001266265806 790356622 /nfs/dbraw/zinc/35/66/22/790356622.db2.gz MQGHMMPDDNTHDZ-UHFFFAOYSA-N 0 1 265.313 0.294 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@H](C)C#N)CC1 ZINC001266281385 790390796 /nfs/dbraw/zinc/39/07/96/790390796.db2.gz MYFGEBIZBYTKRR-CYBMUJFWSA-N 0 1 264.373 0.456 20 30 CCEDMN C=C(C)CCN1CC[C@@H](NC(=O)CCS(C)(=O)=O)C1 ZINC001266324843 790507611 /nfs/dbraw/zinc/50/76/11/790507611.db2.gz FEICWPRGTRHRQL-GFCCVEGCSA-N 0 1 288.413 0.578 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001266338893 790541515 /nfs/dbraw/zinc/54/15/15/790541515.db2.gz FNPXSXUGICDKTD-ZDUSSCGKSA-N 0 1 273.336 0.544 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C2(C(=O)NC)CC2)C1 ZINC001266347126 790558555 /nfs/dbraw/zinc/55/85/55/790558555.db2.gz KTOIPKBIMDPDNA-NSHDSACASA-N 0 1 265.357 0.279 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@H]1C[C@H](C(=O)[O-])C1 ZINC000693011601 790636412 /nfs/dbraw/zinc/63/64/12/790636412.db2.gz ATAAPQUXCLBFSR-XYPYZODXSA-N 0 1 267.329 0.104 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1COCCN1C ZINC001038177669 790643618 /nfs/dbraw/zinc/64/36/18/790643618.db2.gz NJIAIXVWLOLUHP-CHWSQXEVSA-N 0 1 267.373 0.084 20 30 CCEDMN C=CCC(C)(C)C(=O)N1C[C@H]2CN(CC(=O)NC)C[C@H]2C1 ZINC001279396361 790647429 /nfs/dbraw/zinc/64/74/29/790647429.db2.gz VCADCEMXLCBGBI-BETUJISGSA-N 0 1 293.411 0.725 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cn(C)nc1Cl ZINC001266429167 790688938 /nfs/dbraw/zinc/68/89/38/790688938.db2.gz ZYLUITIXOFXKRG-UHFFFAOYSA-N 0 1 268.748 0.758 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H](C)Cc1c[nH]cn1 ZINC001266486681 790777448 /nfs/dbraw/zinc/77/74/48/790777448.db2.gz QKDJTDDJRXPSAN-OCCSQVGLSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@H](CNC(=O)C(C)(C)F)C1 ZINC001266497445 790790361 /nfs/dbraw/zinc/79/03/61/790790361.db2.gz FUEHQNMSQYKUJZ-VXGBXAGGSA-N 0 1 299.390 0.863 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)CC2CC2)C1 ZINC001266497234 790791324 /nfs/dbraw/zinc/79/13/24/790791324.db2.gz CPJXVLMIUZTCQS-OCCSQVGLSA-N 0 1 291.395 0.363 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCCNCc2cncs2)c1 ZINC001125370417 790801982 /nfs/dbraw/zinc/80/19/82/790801982.db2.gz NYWQQGZGHARNKR-UHFFFAOYSA-N 0 1 275.337 0.862 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CO[C@@H]2CCOC2)C1 ZINC001206969193 790806336 /nfs/dbraw/zinc/80/63/36/790806336.db2.gz NBWFSVUBPLJEKV-MGPQQGTHSA-N 0 1 280.368 0.252 20 30 CCEDMN CCCCNC(=O)CN1CC[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001266505786 790814782 /nfs/dbraw/zinc/81/47/82/790814782.db2.gz PXTVKFKFMHLFRD-QWHCGFSZSA-N 0 1 294.399 0.500 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2ccccn2)C1 ZINC001266515240 790851405 /nfs/dbraw/zinc/85/14/05/790851405.db2.gz RGKTVPJVKXDAOT-AWEZNQCLSA-N 0 1 275.352 0.975 20 30 CCEDMN CC#CCN(CCNC(=O)c1cccn(C)c1=O)C1CC1 ZINC001266617390 791040898 /nfs/dbraw/zinc/04/08/98/791040898.db2.gz HDVQLCYYERGDGD-UHFFFAOYSA-N 0 1 287.363 0.603 20 30 CCEDMN COCC#CC[NH2+][C@H]1C[C@@H](NC(=O)c2ncccc2[O-])C1 ZINC001266678603 791127804 /nfs/dbraw/zinc/12/78/04/791127804.db2.gz IUJJMEBGWKEUJI-TXEJJXNPSA-N 0 1 289.335 0.287 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CN1CCCC1=O ZINC001230740931 805658649 /nfs/dbraw/zinc/65/86/49/805658649.db2.gz ZDGSPLWWEMNXPN-ZDUSSCGKSA-N 0 1 279.384 0.718 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cscn1 ZINC001277476431 805704812 /nfs/dbraw/zinc/70/48/12/805704812.db2.gz VMSMKJSZLINXQY-UHFFFAOYSA-N 0 1 281.381 0.845 20 30 CCEDMN CC#CC[N@@H+](C)CCOCCNC(=O)C1CCC(O)CC1 ZINC001277487784 805730300 /nfs/dbraw/zinc/73/03/00/805730300.db2.gz SFNLCEKRLKJXBN-UHFFFAOYSA-N 0 1 296.411 0.625 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)C1CCC(O)CC1 ZINC001277487784 805730307 /nfs/dbraw/zinc/73/03/07/805730307.db2.gz SFNLCEKRLKJXBN-UHFFFAOYSA-N 0 1 296.411 0.625 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCC(=O)NCC ZINC001231209388 805750045 /nfs/dbraw/zinc/75/00/45/805750045.db2.gz UVXJPAAPJKJAOR-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1C[C@H](C)OC ZINC001231240706 805756920 /nfs/dbraw/zinc/75/69/20/805756920.db2.gz NVKWYNMYBSQQHO-STQMWFEESA-N 0 1 270.373 0.757 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CCN1C[C@H](C)OC ZINC001231240706 805756926 /nfs/dbraw/zinc/75/69/26/805756926.db2.gz NVKWYNMYBSQQHO-STQMWFEESA-N 0 1 270.373 0.757 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)CC(C)C ZINC001231309350 805775250 /nfs/dbraw/zinc/77/52/50/805775250.db2.gz HVCLAPLBIVFAOE-UHFFFAOYSA-N 0 1 269.389 0.773 20 30 CCEDMN C=CCOCCN1CC(N(C)C(=O)C2=NC(=O)N(C)C2)C1 ZINC001277652201 805926292 /nfs/dbraw/zinc/92/62/92/805926292.db2.gz OWBLZXCAEYFESV-UHFFFAOYSA-N 0 1 294.355 0.085 20 30 CCEDMN C[C@@]1(CO)CC[N@@H+](Cc2cc(C#N)ccc2[O-])C[C@H]1O ZINC001232673732 805967158 /nfs/dbraw/zinc/96/71/58/805967158.db2.gz LYUZSEZUGQGWIV-CABCVRRESA-N 0 1 276.336 0.829 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)Cn2ccnc2)C1 ZINC001277707284 805974013 /nfs/dbraw/zinc/97/40/13/805974013.db2.gz YXRZTONSSNMVBG-UHFFFAOYSA-N 0 1 262.357 0.992 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H]2CN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC000971401564 791176423 /nfs/dbraw/zinc/17/64/23/791176423.db2.gz GIEZUBVCBBFOBW-HOSYDEDBSA-N 0 1 287.323 0.242 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCCN(CC(=O)N(C)C)C1 ZINC001266743612 791205940 /nfs/dbraw/zinc/20/59/40/791205940.db2.gz OYNIAPMBNQECQI-CYBMUJFWSA-N 0 1 297.399 0.105 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H]1CCCCN1CCOCCO ZINC001266842345 791282254 /nfs/dbraw/zinc/28/22/54/791282254.db2.gz LUCXQVXVBCTHHO-HNNXBMFYSA-N 0 1 296.411 0.625 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)CC ZINC001266899122 791328521 /nfs/dbraw/zinc/32/85/21/791328521.db2.gz XYUHTJXIPMAKKG-QSLWVIQJSA-N 0 1 291.395 0.217 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OC)[C@H]1CCOC1 ZINC001266903009 791331498 /nfs/dbraw/zinc/33/14/98/791331498.db2.gz YOBJLTPGOQCUCW-VQJWOFKYSA-N 0 1 292.379 0.108 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1nonc1C ZINC001266995415 791429051 /nfs/dbraw/zinc/42/90/51/791429051.db2.gz HNDQWTHANKKYKE-SSDOTTSWSA-N 0 1 258.709 0.838 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CNC(C)=O)C1 ZINC001267003128 791433512 /nfs/dbraw/zinc/43/35/12/791433512.db2.gz CDDWWABJCXEEJU-JTQLQIEISA-N 0 1 259.737 0.066 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H](C)SC ZINC001267187589 791640598 /nfs/dbraw/zinc/64/05/98/791640598.db2.gz YWCZRCHWGJKGMQ-NSHDSACASA-N 0 1 258.387 0.436 20 30 CCEDMN C=CCCCN(C)CCNC(=O)C1CS(=O)(=O)C1 ZINC001267197943 791660117 /nfs/dbraw/zinc/66/01/17/791660117.db2.gz YGXTXSNJDXFXAT-UHFFFAOYSA-N 0 1 274.386 0.045 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnn2c1cccc2OC ZINC001267198984 791662442 /nfs/dbraw/zinc/66/24/42/791662442.db2.gz FXKWHPFDGVRTNJ-UHFFFAOYSA-N 0 1 286.335 0.638 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCCN1c1ncccn1 ZINC001267200836 791666055 /nfs/dbraw/zinc/66/60/55/791666055.db2.gz RWRYYGKBFGQAKD-ZDUSSCGKSA-N 0 1 287.367 0.127 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccc2[nH]c(=O)[nH]c2n1 ZINC001267213181 791682943 /nfs/dbraw/zinc/68/29/43/791682943.db2.gz CESAIFCTPNGEFA-UHFFFAOYSA-N 0 1 287.323 0.348 20 30 CCEDMN CCn1cccc1C(=O)NCCN(C)CC#CCOC ZINC001267230699 791711323 /nfs/dbraw/zinc/71/13/23/791711323.db2.gz ZBYSSEMDLYLWAV-UHFFFAOYSA-N 0 1 277.368 0.819 20 30 CCEDMN N#C[C@H]1CN(Cc2ccc3c(n2)OCCO3)CCC1=O ZINC001233877380 806139390 /nfs/dbraw/zinc/13/93/90/806139390.db2.gz RGEXNVUJZFYGDO-JTQLQIEISA-N 0 1 273.292 0.767 20 30 CCEDMN CC(C)c1nc(CNC(=O)NC[C@H](C)C#N)n[nH]1 ZINC001233951888 806156091 /nfs/dbraw/zinc/15/60/91/806156091.db2.gz HADTUVQDSNWRLO-MRVPVSSYSA-N 0 1 250.306 0.887 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](OC)C1CC1 ZINC001234049400 806174813 /nfs/dbraw/zinc/17/48/13/806174813.db2.gz HLVYHACJXCPMSW-UONOGXRCSA-N 0 1 264.369 0.967 20 30 CCEDMN C#C[C@H](CC)Oc1c(C(=O)OC)n[nH]c1C(=O)OC ZINC001234191229 806200972 /nfs/dbraw/zinc/20/09/72/806200972.db2.gz XYVHNNRHTXBJOK-SSDOTTSWSA-N 0 1 266.253 0.774 20 30 CCEDMN CCc1oncc1C(=O)NCCN(CC)CC#CCOC ZINC001267251038 793245460 /nfs/dbraw/zinc/24/54/60/793245460.db2.gz SGAXHCSKGITHJP-UHFFFAOYSA-N 0 1 293.367 0.939 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H]1C[C@H]1OCC ZINC001234466297 806252471 /nfs/dbraw/zinc/25/24/71/806252471.db2.gz SBQBOKJTUMCIFC-CHWSQXEVSA-N 0 1 297.399 0.152 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@H](C)OC ZINC001234622396 806287970 /nfs/dbraw/zinc/28/79/70/806287970.db2.gz JAVRIGFZCZSDJA-RYUDHWBXSA-N 0 1 285.388 0.150 20 30 CCEDMN C#C[C@H](Oc1nc(F)nc2nc[nH]c21)C(=O)OCC ZINC001234689274 806297456 /nfs/dbraw/zinc/29/74/56/806297456.db2.gz GHMLVICTOYTJNU-LURJTMIESA-N 0 1 264.216 0.436 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CN(C)C(=O)C(C)C ZINC001234921059 806314686 /nfs/dbraw/zinc/31/46/86/806314686.db2.gz GEHNFJPOROZUIT-AWEZNQCLSA-N 0 1 293.411 0.657 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CN(C)C(=O)C1CC1 ZINC001234975003 806320851 /nfs/dbraw/zinc/32/08/51/806320851.db2.gz PDWWYIYWKDJLQX-CYBMUJFWSA-N 0 1 279.384 0.574 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCNC(=O)COC ZINC001235004375 806342720 /nfs/dbraw/zinc/34/27/20/806342720.db2.gz IHRSZVCTUMKAOC-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCn1ccccc1=O ZINC001235025021 806348442 /nfs/dbraw/zinc/34/84/42/806348442.db2.gz VBPIXMSAGZJHLS-CQSZACIVSA-N 0 1 289.379 0.957 20 30 CCEDMN C=CCC1(O)CCN(C(=O)NCc2n[nH]c(=O)n2C)CC1 ZINC001235622801 806474535 /nfs/dbraw/zinc/47/45/35/806474535.db2.gz LXOFJTHFBLIZKQ-UHFFFAOYSA-N 0 1 295.343 0.133 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)Cc1cnc(C)nc1 ZINC001235683464 806491125 /nfs/dbraw/zinc/49/11/25/806491125.db2.gz KSAOCFDLGYFLTG-UHFFFAOYSA-N 0 1 292.383 0.926 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@H]1CCC2(CCC2)O1 ZINC001235854728 806509424 /nfs/dbraw/zinc/50/94/24/806509424.db2.gz ZBJUFGLCIBQMBI-CYBMUJFWSA-N 0 1 279.384 0.952 20 30 CCEDMN COC(=O)[C@@]12COC[C@@H]1CN(Cc1ccnc(C#N)c1)C2 ZINC001237461679 806671192 /nfs/dbraw/zinc/67/11/92/806671192.db2.gz DRWKRWOZVXMTCL-WFASDCNBSA-N 0 1 287.319 0.575 20 30 CCEDMN N#Cc1ccc2nc(CN3C[C@@H]4CCNC(=O)[C@@H]4C3)cn2c1 ZINC001237605546 806699549 /nfs/dbraw/zinc/69/95/49/806699549.db2.gz ACXOJYPGKCELIT-GXTWGEPZSA-N 0 1 295.346 0.774 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](CO)NCc1cncs1 ZINC001278130289 806708450 /nfs/dbraw/zinc/70/84/50/806708450.db2.gz UOOVWGBVGFZESI-JTQLQIEISA-N 0 1 283.397 0.922 20 30 CCEDMN C=CCN(C)c1nnc(C2=NO[C@@H](CO)C2)n1CC=C ZINC001121658149 799061969 /nfs/dbraw/zinc/06/19/69/799061969.db2.gz PJPFIBPYDDZMEY-SNVBAGLBSA-N 0 1 277.328 0.572 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1CCOc2ccccc21 ZINC001121862130 799078491 /nfs/dbraw/zinc/07/84/91/799078491.db2.gz GAYJKFGXRUEPFS-GFCCVEGCSA-N 0 1 258.321 0.892 20 30 CCEDMN C#CCNCC(=O)NCCC(=O)Nc1ccccc1 ZINC001121876741 799080781 /nfs/dbraw/zinc/08/07/81/799080781.db2.gz XDKHZTLIJYRGFY-UHFFFAOYSA-N 0 1 259.309 0.354 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc2c1CCN2 ZINC001121932140 799098788 /nfs/dbraw/zinc/09/87/88/799098788.db2.gz UVQPVYYVHDKTQP-ZANVPECISA-N 0 1 256.309 0.496 20 30 CCEDMN C#CCNCC(=O)N[C@@H](CC(=O)OC)c1cccc(C)c1 ZINC001122196542 799167393 /nfs/dbraw/zinc/16/73/93/799167393.db2.gz IPLHVINNRKBVMW-AWEZNQCLSA-N 0 1 288.347 0.938 20 30 CCEDMN N#C[C@@H](C(=O)c1ccc2n[nH]c(=O)n2c1)C(=O)N1CCCC1 ZINC001122499214 799250366 /nfs/dbraw/zinc/25/03/66/799250366.db2.gz FKFKRGBNNXLODY-JTQLQIEISA-N 0 1 299.290 0.380 20 30 CCEDMN C=CCN(C)c1nnc(C2=NO[C@@H](CO)C2)n1CC(=C)C ZINC001122591730 799271420 /nfs/dbraw/zinc/27/14/20/799271420.db2.gz RGYSSDIJWNOFMG-LLVKDONJSA-N 0 1 291.355 0.962 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2CC(=O)N(CCC)C2)CC1 ZINC001123249757 799378559 /nfs/dbraw/zinc/37/85/59/799378559.db2.gz CALKRYVVADDDHU-ZDUSSCGKSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cnn(CCCC)c1C ZINC001278179727 806742492 /nfs/dbraw/zinc/74/24/92/806742492.db2.gz UOPHJCJBHJLTEJ-ZDUSSCGKSA-N 0 1 292.383 0.305 20 30 CCEDMN Cc1nc(C)c(CNCCNC(=O)CSCC#N)o1 ZINC001123791951 799475391 /nfs/dbraw/zinc/47/53/91/799475391.db2.gz AUNUEDBYMAQQMR-UHFFFAOYSA-N 0 1 282.369 0.754 20 30 CCEDMN C=CCOCC(=O)NCCNCc1cnc(C)cn1 ZINC001124772613 799634021 /nfs/dbraw/zinc/63/40/21/799634021.db2.gz GWPOGKXPPDPVKF-UHFFFAOYSA-N 0 1 264.329 0.193 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnn(CC)c1 ZINC001125010567 799652013 /nfs/dbraw/zinc/65/20/13/799652013.db2.gz GLTGLXPNNIRNNK-UHFFFAOYSA-N 0 1 256.737 0.975 20 30 CCEDMN N#Cc1c(F)cc(CNC(=O)c2ncn[nH]2)cc1F ZINC001138759933 799750825 /nfs/dbraw/zinc/75/08/25/799750825.db2.gz WVXZTRHIFYEMEA-UHFFFAOYSA-N 0 1 263.207 0.885 20 30 CCEDMN N#Cc1c(F)cc(CNC(=O)c2nc[nH]n2)cc1F ZINC001138759933 799750828 /nfs/dbraw/zinc/75/08/28/799750828.db2.gz WVXZTRHIFYEMEA-UHFFFAOYSA-N 0 1 263.207 0.885 20 30 CCEDMN N#C[C@@H]1CN(Cc2c(N)ncnc2Cl)CCC1=O ZINC001139440315 799814085 /nfs/dbraw/zinc/81/40/85/799814085.db2.gz AHXUVWGJCYELEH-SSDOTTSWSA-N 0 1 265.704 0.627 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001141372421 800003775 /nfs/dbraw/zinc/00/37/75/800003775.db2.gz KJMLPMNGDWQSFU-VXGBXAGGSA-N 0 1 267.373 0.840 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CSC)C1 ZINC001149105229 800072522 /nfs/dbraw/zinc/07/25/22/800072522.db2.gz PSTRIBFPGQXXTL-LLVKDONJSA-N 0 1 258.387 0.743 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H](CC)OC)C1 ZINC001149349222 800250133 /nfs/dbraw/zinc/25/01/33/800250133.db2.gz MFNJADHWDWDHPN-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN C=CC[N@H+]1CCCO[C@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001149660727 800287718 /nfs/dbraw/zinc/28/77/18/800287718.db2.gz SDJOCVCMQSTRSW-CHWSQXEVSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001149660727 800287720 /nfs/dbraw/zinc/28/77/20/800287720.db2.gz SDJOCVCMQSTRSW-CHWSQXEVSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CC2(O)CCC2)C1 ZINC001149707054 800292821 /nfs/dbraw/zinc/29/28/21/800292821.db2.gz BZJJPBSWKVAXLM-CYBMUJFWSA-N 0 1 282.384 0.685 20 30 CCEDMN C[C@@H]1CCCN1CC(=O)NC[C@H]1CN(CC#N)CCCO1 ZINC001150316546 800330333 /nfs/dbraw/zinc/33/03/33/800330333.db2.gz FBGRLWGRFMDFFL-KGLIPLIRSA-N 0 1 294.399 0.201 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H]1CN(CC=C)CCCO1 ZINC001150803835 800369400 /nfs/dbraw/zinc/36/94/00/800369400.db2.gz SIPFJAFZECIWFH-CQSZACIVSA-N 0 1 282.384 0.972 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)c1cnn(C)c1 ZINC001151874880 800466351 /nfs/dbraw/zinc/46/63/51/800466351.db2.gz FGSNJVAZGSPVBX-CYBMUJFWSA-N 0 1 292.383 0.510 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1c(C)nnn1CC ZINC001153135663 800600571 /nfs/dbraw/zinc/60/05/71/800600571.db2.gz XKOPHYUSQVDFKQ-LBPRGKRZSA-N 0 1 295.387 0.403 20 30 CCEDMN COc1ccnc(C(N)=NC(=O)c2n[nH]c3cccnc32)n1 ZINC001153168213 800605430 /nfs/dbraw/zinc/60/54/30/800605430.db2.gz QMDJISOOTVJMCY-UHFFFAOYSA-N 0 1 297.278 0.302 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cc2c[nH]nc2cn1 ZINC001153256706 800614163 /nfs/dbraw/zinc/61/41/63/800614163.db2.gz QQOQUULROFTWAZ-UHFFFAOYSA-N 0 1 253.225 0.805 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1[nH]cnc1C ZINC001153816302 800671242 /nfs/dbraw/zinc/67/12/42/800671242.db2.gz CMLDUPBLYXTDDN-UHFFFAOYSA-N 0 1 287.161 0.946 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)c1cnn(C)c1 ZINC001154025959 800705767 /nfs/dbraw/zinc/70/57/67/800705767.db2.gz WOVYYBXEAKLSCW-JTQLQIEISA-N 0 1 270.764 0.982 20 30 CCEDMN CN1CCc2nc(C(=O)Nc3nc[nH]c3C#N)sc2C1 ZINC001154213451 800741042 /nfs/dbraw/zinc/74/10/42/800741042.db2.gz IGCXPQPMRXUKSN-UHFFFAOYSA-N 0 1 288.336 0.978 20 30 CCEDMN CC(C)[C@@H](C#N)NC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001154993545 800887134 /nfs/dbraw/zinc/88/71/34/800887134.db2.gz VHCFLFTUEGQTDO-LLVKDONJSA-N 0 1 291.355 0.906 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1nccc(F)c1C#N ZINC001155083573 800905379 /nfs/dbraw/zinc/90/53/79/800905379.db2.gz DRJIGILVLJBTTM-UHFFFAOYSA-N 0 1 289.270 0.913 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2ncc(C#N)cc2F)CC1 ZINC001155160456 800921569 /nfs/dbraw/zinc/92/15/69/800921569.db2.gz VJLKFYIHWUYJGJ-LBPRGKRZSA-N 0 1 292.314 0.809 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCNCc1ncnn1C ZINC001155427496 800972960 /nfs/dbraw/zinc/97/29/60/800972960.db2.gz SVUKWZQUEFKWES-GFCCVEGCSA-N 0 1 295.387 0.392 20 30 CCEDMN N#CCc1cncc(NCc2noc3c2CNCC3)n1 ZINC001155497741 800991587 /nfs/dbraw/zinc/99/15/87/800991587.db2.gz MEGFGXORGQJBQE-UHFFFAOYSA-N 0 1 270.296 0.788 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1nnc2n1CCCC2 ZINC001155835617 801061278 /nfs/dbraw/zinc/06/12/78/801061278.db2.gz CUUGWWRSVYIAKC-LLVKDONJSA-N 0 1 290.371 0.370 20 30 CCEDMN Cc1nnc(CNCCCNC(=O)c2ccc(C#N)[nH]2)[nH]1 ZINC001156691603 801213333 /nfs/dbraw/zinc/21/33/33/801213333.db2.gz VLSOKCUILYVOCV-UHFFFAOYSA-N 0 1 287.327 0.223 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ncnc(Cl)c1C#N ZINC001156824802 801242456 /nfs/dbraw/zinc/24/24/56/801242456.db2.gz CTDJOXPIJQVCBS-UHFFFAOYSA-N 0 1 275.703 0.434 20 30 CCEDMN CCC(CC)(NC(=O)[C@@H](C)CC#N)c1nn[nH]n1 ZINC001156920483 801264301 /nfs/dbraw/zinc/26/43/01/801264301.db2.gz SKLVZHWDUUBOQY-QMMMGPOBSA-N 0 1 250.306 0.881 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCN[C@@H](C)c1nnc(C)[nH]1 ZINC001157202122 801324962 /nfs/dbraw/zinc/32/49/62/801324962.db2.gz OSMRZTDPNFYJKZ-HZMBPMFUSA-N 0 1 295.387 0.597 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)CCN2CC[C@H](F)C2)CCO1 ZINC001157523811 801406004 /nfs/dbraw/zinc/40/60/04/801406004.db2.gz GKUHIDYNFBVODC-WCQYABFASA-N 0 1 269.320 0.561 20 30 CCEDMN COCC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)OC ZINC001157636352 801441638 /nfs/dbraw/zinc/44/16/38/801441638.db2.gz CNAVSAOHGSDHKL-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN COCC#CCN1CC=C(CCNC(=O)COC)CC1 ZINC001158981372 801732879 /nfs/dbraw/zinc/73/28/79/801732879.db2.gz PZDHUXRROSAOQL-UHFFFAOYSA-N 0 1 280.368 0.421 20 30 CCEDMN N#Cc1cnc2cc[nH]c(NC[C@@H]3COCCN3)c1-2 ZINC001159043618 801747948 /nfs/dbraw/zinc/74/79/48/801747948.db2.gz FJNLMUXDQPEIKI-SNVBAGLBSA-N 0 1 257.297 0.835 20 30 CCEDMN N#Cc1c[nH]c2nc(NC[C@@H]3COCCN3)ncc12 ZINC001159043665 801747976 /nfs/dbraw/zinc/74/79/76/801747976.db2.gz GKZBAYDBTQWSPN-SECBINFHSA-N 0 1 258.285 0.230 20 30 CCEDMN Cc1c(CC[NH3+])c(=O)[n-]n1-c1ncc(C#N)cc1F ZINC001159300268 801788342 /nfs/dbraw/zinc/78/83/42/801788342.db2.gz YPFWHYKYPMTXIJ-UHFFFAOYSA-N 0 1 261.260 0.793 20 30 CCEDMN N=C(Nc1cc(CN)ncn1)c1ccc(C(N)=O)cc1 ZINC001160079301 801906021 /nfs/dbraw/zinc/90/60/21/801906021.db2.gz HTSTZGHMSKVCQO-UHFFFAOYSA-N 0 1 270.296 0.071 20 30 CCEDMN CCc1n[nH]c(C(=O)NCCCNCC#N)c1Cl ZINC001160151381 801911652 /nfs/dbraw/zinc/91/16/52/801911652.db2.gz XOINPWODOXPWMZ-UHFFFAOYSA-N 0 1 269.736 0.859 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@]2(C1)CCCCN2C(C)=O ZINC001160424225 801938644 /nfs/dbraw/zinc/93/86/44/801938644.db2.gz QCIJFBSNFYNPNQ-LSDHHAIUSA-N 0 1 277.368 0.341 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)COCCCOC)CC1 ZINC001161664779 802195532 /nfs/dbraw/zinc/19/55/32/802195532.db2.gz AGSIHVWSMSITDT-UHFFFAOYSA-N 0 1 294.395 0.811 20 30 CCEDMN C/C=C(\C)C(=O)N(C)C[C@@H](O)CN(C)CC#CCOC ZINC001278850995 808332468 /nfs/dbraw/zinc/33/24/68/808332468.db2.gz RLVDXFPRBKQOFD-BLXFFLACSA-N 0 1 282.384 0.354 20 30 CCEDMN N#Cc1cnc(N2CCn3c(=O)[nH]nc3C2)c([N+](=O)[O-])c1 ZINC001162994414 802448095 /nfs/dbraw/zinc/44/80/95/802448095.db2.gz FEDWSOWXNIBQTP-UHFFFAOYSA-N 0 1 287.239 0.179 20 30 CCEDMN Cc1cnc(C#N)c(NCc2nnc3n2CCCNC3)n1 ZINC001163016662 802459309 /nfs/dbraw/zinc/45/93/09/802459309.db2.gz FSECSELAJAVZNN-UHFFFAOYSA-N 0 1 284.327 0.354 20 30 CCEDMN C=CCS(=O)(=O)Nc1ccc(N2CCN(C)CC2)cn1 ZINC001259922279 808804232 /nfs/dbraw/zinc/80/42/32/808804232.db2.gz XWWRTPHDYZWZBB-UHFFFAOYSA-N 0 1 296.396 0.761 20 30 CCEDMN Cc1nnc(CN)n1-c1nc(Cl)c(C#N)cc1C#N ZINC001163348783 802541938 /nfs/dbraw/zinc/54/19/38/802541938.db2.gz STUZTRLNLIWBKH-UHFFFAOYSA-N 0 1 273.687 0.826 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCC1(CNCC#N)CC1 ZINC001163822179 802618824 /nfs/dbraw/zinc/61/88/24/802618824.db2.gz UTUHTCZBXSIIFP-GFCCVEGCSA-N 0 1 264.373 0.480 20 30 CCEDMN C#CCCCCCC(=O)NCCCNCc1cn(C)nn1 ZINC001163969839 802642997 /nfs/dbraw/zinc/64/29/97/802642997.db2.gz UVUXEZUKVBIQHK-UHFFFAOYSA-N 0 1 291.399 0.995 20 30 CCEDMN CC(C)NC(=O)CN(C)CCCNC(=O)[C@H](C)C#N ZINC001264970870 809682610 /nfs/dbraw/zinc/68/26/10/809682610.db2.gz AUGKWXIFZIEUAZ-LLVKDONJSA-N 0 1 268.361 0.109 20 30 CCEDMN CC(C)OCCN1CC[C@@H](NC(=O)[C@H](C)C#N)C1 ZINC001265277225 809741936 /nfs/dbraw/zinc/74/19/36/809741936.db2.gz NPMQSMHPHCQUFB-VXGBXAGGSA-N 0 1 253.346 0.762 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NCCCNCc1nnnn1C ZINC001164346903 802726980 /nfs/dbraw/zinc/72/69/80/802726980.db2.gz XWGUPUCTPJPSND-NSHDSACASA-N 0 1 280.376 0.408 20 30 CCEDMN CN(C)C(=O)c1ccc(NC(=NC#N)c2ccncc2)nn1 ZINC001164505920 802751295 /nfs/dbraw/zinc/75/12/95/802751295.db2.gz PDQANTQFGSKVFF-UHFFFAOYSA-N 0 1 295.306 0.913 20 30 CCEDMN COCCCN1CCN(c2ncc(C#N)nc2C)CC1 ZINC001165097878 802781424 /nfs/dbraw/zinc/78/14/24/802781424.db2.gz VXMWVDLTXJWKTL-UHFFFAOYSA-N 0 1 275.356 0.815 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1ncc(C)cc1C#N ZINC001165437584 802841042 /nfs/dbraw/zinc/84/10/42/802841042.db2.gz UDZARPZMQOWQEE-NSHDSACASA-N 0 1 260.297 0.213 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001165921356 802875026 /nfs/dbraw/zinc/87/50/26/802875026.db2.gz JATCTQUMYGHUQK-AWEZNQCLSA-N 0 1 295.387 0.307 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCNCc2cn(C)nn2)C1 ZINC001166239798 802895970 /nfs/dbraw/zinc/89/59/70/802895970.db2.gz YXKNHDLTWYOCOY-UHFFFAOYSA-N 0 1 277.372 0.767 20 30 CCEDMN C#CCCCC(=O)NCCNCc1ccc(OC)nn1 ZINC001126333178 812360206 /nfs/dbraw/zinc/36/02/06/812360206.db2.gz KBOVFSBNJKKBRF-UHFFFAOYSA-N 0 1 276.340 0.495 20 30 CCEDMN N#CCc1cncc(N2CC[C@@H](N)C(F)(F)C2)n1 ZINC001166958333 802994131 /nfs/dbraw/zinc/99/41/31/802994131.db2.gz BEBGJFNYDRWQHI-SECBINFHSA-N 0 1 253.256 0.715 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H]1CCN(C)C1=O ZINC001268699833 813017393 /nfs/dbraw/zinc/01/73/93/813017393.db2.gz UWJBWBNWOMFBGQ-UWVGGRQHSA-N 0 1 273.764 0.312 20 30 CCEDMN Cc1ncc(C(=O)N2CCC(CNCC#N)CC2)[nH]1 ZINC001204786832 803101691 /nfs/dbraw/zinc/10/16/91/803101691.db2.gz JQRBLEDASFMYPM-UHFFFAOYSA-N 0 1 261.329 0.684 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@@H](C)[C@H](NC(C)=O)C1 ZINC001205701242 803159793 /nfs/dbraw/zinc/15/97/93/803159793.db2.gz QPXSLPPEFUMRCY-CKYFFXLPSA-N 0 1 253.346 0.134 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)C2CCC2)C1 ZINC001205704999 803161636 /nfs/dbraw/zinc/16/16/36/803161636.db2.gz QGIMAGIJVLCHIW-TZMCWYRMSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)OCC)C1 ZINC001206177967 803213223 /nfs/dbraw/zinc/21/32/23/803213223.db2.gz FBKBOKJTSLFRLN-FRRDWIJNSA-N 0 1 252.358 0.871 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@@H]2CCN(C)C2=O)C[C@H]1C ZINC001206545101 803266156 /nfs/dbraw/zinc/26/61/56/803266156.db2.gz QQZMEMDXZAMMSS-JHJVBQTASA-N 0 1 279.384 0.620 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)COC)C1 ZINC001206598669 803273705 /nfs/dbraw/zinc/27/37/05/803273705.db2.gz HNFXEDPNEWXYHA-MGPQQGTHSA-N 0 1 282.384 0.355 20 30 CCEDMN Cc1nc(CN2C[C@@H](C)[C@H](NC(=O)C#CC(C)C)C2)n[nH]1 ZINC001206946097 803314131 /nfs/dbraw/zinc/31/41/31/803314131.db2.gz KDEFPRRVAIRGME-DGCLKSJQSA-N 0 1 289.383 0.709 20 30 CCEDMN Cn1cc(CN2CCN(CCCO)CC2)cc1C#N ZINC001207102834 803354164 /nfs/dbraw/zinc/35/41/64/803354164.db2.gz HWOSXNFKQUGDPY-UHFFFAOYSA-N 0 1 262.357 0.397 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CN(C)C(=O)C(C)C)C1 ZINC001207284460 803378342 /nfs/dbraw/zinc/37/83/42/803378342.db2.gz SSKLDCWPPOQAQH-ZIAGYGMSSA-N 0 1 293.411 0.561 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)CCCF)C1 ZINC001208336122 803507460 /nfs/dbraw/zinc/50/74/60/803507460.db2.gz QXJBSCGNVZVPHT-CHWSQXEVSA-N 0 1 270.348 0.822 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCNC(=O)NC)C(C)(C)C1 ZINC001276580825 803538691 /nfs/dbraw/zinc/53/86/91/803538691.db2.gz VBEYTUZNLVICMX-LBPRGKRZSA-N 0 1 294.399 0.155 20 30 CCEDMN C=CCN(CCN1CC2(C1)CCN(C)C2=O)C(=O)OCC ZINC001209023178 803564969 /nfs/dbraw/zinc/56/49/69/803564969.db2.gz BCJHXIQPBCRDRZ-UHFFFAOYSA-N 0 1 295.383 0.795 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)Oc2ccccn2)C1 ZINC001210372892 803648538 /nfs/dbraw/zinc/64/85/38/803648538.db2.gz WQXUMCAZXNOXLX-HZSPNIEDSA-N 0 1 287.363 0.919 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](CCC)OC)[C@H](OC)C1 ZINC001213262889 803687820 /nfs/dbraw/zinc/68/78/20/803687820.db2.gz MWEHCECSJQYCPT-MGPQQGTHSA-N 0 1 282.384 0.640 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1OC ZINC001213260608 803688214 /nfs/dbraw/zinc/68/82/14/803688214.db2.gz NBOBGDZQXXHAAD-YNEHKIRRSA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)C(C)(C)NC(C)=O)[C@H](OC)C1 ZINC001213578413 803697351 /nfs/dbraw/zinc/69/73/51/803697351.db2.gz OINWPNSQWSACGQ-CHWSQXEVSA-N 0 1 297.399 0.293 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)[C@H]1C ZINC001088602497 814965580 /nfs/dbraw/zinc/96/55/80/814965580.db2.gz IXLFWPCTFUFZRR-AGIUHOORSA-N 0 1 277.368 0.067 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C(=O)NC)CC2)C[C@H]1C ZINC001215911426 803828980 /nfs/dbraw/zinc/82/89/80/803828980.db2.gz NWPVPWKUJIEHFX-MWLCHTKSSA-N 0 1 299.802 0.702 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)COCCC)[C@H]2C1 ZINC001217463863 803892247 /nfs/dbraw/zinc/89/22/47/803892247.db2.gz UJUGCPPMYBOFLU-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCCCCC(=O)N1CCO[C@@H]2CN(CCCO)C[C@@H]21 ZINC001217684221 803898852 /nfs/dbraw/zinc/89/88/52/803898852.db2.gz KYKSUAGSMONWCB-LSDHHAIUSA-N 0 1 294.395 0.474 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CC[C@H](C)OC)[C@H]2C1 ZINC001217680954 803899213 /nfs/dbraw/zinc/89/92/13/803899213.db2.gz UCKQOEHQAAFTPR-SOUVJXGZSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)CSC)[C@H](OC)C1 ZINC001212035846 814981430 /nfs/dbraw/zinc/98/14/30/814981430.db2.gz CZXFFWIQBJQKTA-JHJVBQTASA-N 0 1 284.425 0.824 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1OC ZINC001212032063 814982188 /nfs/dbraw/zinc/98/21/88/814982188.db2.gz HVUNQGDVCWRJQA-YNEHKIRRSA-N 0 1 286.372 0.039 20 30 CCEDMN Cn1cc(NC(=O)[C@@H](N)Cc2ccccc2C#N)c(C#N)n1 ZINC001218668683 804075165 /nfs/dbraw/zinc/07/51/65/804075165.db2.gz SEZRUKIWOAXNDB-LBPRGKRZSA-N 0 1 294.318 0.672 20 30 CCEDMN C[C@H](C[C@@H]1CCOC1)NC(=O)NCC#CCN(C)C ZINC001223758859 814991611 /nfs/dbraw/zinc/99/16/11/814991611.db2.gz AWFKJTWEJOAMNK-OLZOCXBDSA-N 0 1 267.373 0.666 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)[C@H]1CCOC1 ZINC001276799845 804158431 /nfs/dbraw/zinc/15/84/31/804158431.db2.gz FVQXGGFCOPBGHR-QWHCGFSZSA-N 0 1 268.357 0.416 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C=C(C)C)[C@@H](O)C1 ZINC001219147182 804218428 /nfs/dbraw/zinc/21/84/28/804218428.db2.gz VRCUECOYSMRUIW-OLZOCXBDSA-N 0 1 268.357 0.317 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCC(C)C)[C@@H](O)C1 ZINC001219171828 804224289 /nfs/dbraw/zinc/22/42/89/804224289.db2.gz XKWBEOSSSPUCFH-KGLIPLIRSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cn(C)nc3CC)[C@@H]2C1 ZINC001075920719 815005490 /nfs/dbraw/zinc/00/54/90/815005490.db2.gz RPORFJYKYVVPHW-SWLSCSKDSA-N 0 1 286.379 0.762 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)CSC)[C@@H](O)C1 ZINC001219368082 804287375 /nfs/dbraw/zinc/28/73/75/804287375.db2.gz DYFUXIRMKSSQNV-UTUOFQBUSA-N 0 1 272.414 0.723 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)/C=C/C2CC2)[C@@H](O)C1 ZINC001219420094 804305053 /nfs/dbraw/zinc/30/50/53/804305053.db2.gz LRZIEMZHYCSGFQ-PBJSTTKNSA-N 0 1 250.342 0.690 20 30 CCEDMN N#C[C@@H](NC(=O)[C@@H]1CCCN1C(=O)CN)c1ccccc1 ZINC001219557972 804347040 /nfs/dbraw/zinc/34/70/40/804347040.db2.gz HRBILKPJGWMEOW-OLZOCXBDSA-N 0 1 286.335 0.317 20 30 CCEDMN CCCN1C[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001219589946 804363633 /nfs/dbraw/zinc/36/36/33/804363633.db2.gz PBYCWMZMWCINEZ-NEPJUHHUSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1c[nH]c(=O)cc1OC ZINC001272111217 815014222 /nfs/dbraw/zinc/01/42/22/815014222.db2.gz OHCCFMQJTVRDMW-UHFFFAOYSA-N 0 1 277.324 0.433 20 30 CCEDMN C#CCC[N@H+](C)CCN(C)C(=O)[C@@H](C)[C@H]1CCC(=O)N1 ZINC001272110997 815014901 /nfs/dbraw/zinc/01/49/01/815014901.db2.gz JWZZNXAYZPMXBS-QWHCGFSZSA-N 0 1 279.384 0.315 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCC(=C)C)[C@@H](O)C1 ZINC001219718762 804412978 /nfs/dbraw/zinc/41/29/78/804412978.db2.gz NWNLIXATCVBQHH-KGLIPLIRSA-N 0 1 282.384 0.707 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](OCC)C(=C)C)[C@@H](O)C1 ZINC001219732005 804414363 /nfs/dbraw/zinc/41/43/63/804414363.db2.gz MPFCWFOKSDTMNE-ILXRZTDVSA-N 0 1 294.395 0.542 20 30 CCEDMN C#C[C@H](CCC)NC(=O)Nc1nn[nH]c1C(=O)NC ZINC001219983317 804464679 /nfs/dbraw/zinc/46/46/79/804464679.db2.gz LNBRJEJWNJMACD-SSDOTTSWSA-N 0 1 264.289 0.088 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCN1C[C@@H](C)OC ZINC001276809961 804477992 /nfs/dbraw/zinc/47/79/92/804477992.db2.gz OCDXVBISIKBHGP-NEPJUHHUSA-N 0 1 256.346 0.414 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)[C@H](N)[C@@H](C)OC(C)(C)C ZINC001220036641 804479250 /nfs/dbraw/zinc/47/92/50/804479250.db2.gz RJBDYQRDYYDJCN-HRDYMLBCSA-N 0 1 292.401 0.105 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC(C)(C)COC)[C@@H](O)C1 ZINC001220058015 804489573 /nfs/dbraw/zinc/48/95/73/804489573.db2.gz PPZQGQOPPUPXBD-OLZOCXBDSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@](C)(C=C)CCOC)[C@@H](O)C1 ZINC001220080075 804495462 /nfs/dbraw/zinc/49/54/62/804495462.db2.gz MIAJUGSKRFVASV-YCPHGPKFSA-N 0 1 294.395 0.400 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(CCOC(C)C)C[C@@H]1O ZINC001220180368 804528748 /nfs/dbraw/zinc/52/87/48/804528748.db2.gz BIRZAQOBMVODDP-CABCVRRESA-N 0 1 296.411 0.766 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCCC#CC)[C@@H](O)C1 ZINC001220181014 804529072 /nfs/dbraw/zinc/52/90/72/804529072.db2.gz XEMMASDEXUFWMY-KGLIPLIRSA-N 0 1 264.369 0.917 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001220280109 804560317 /nfs/dbraw/zinc/56/03/17/804560317.db2.gz AFDXOLCUJJOCCM-MBNYWOFBSA-N 0 1 270.373 0.396 20 30 CCEDMN Cc1ccc(C(=NC(=O)C[C@H]2COCCN2)NO)nc1 ZINC001220336729 804576923 /nfs/dbraw/zinc/57/69/23/804576923.db2.gz CZMBDIFUGSDXES-JTQLQIEISA-N 0 1 278.312 0.021 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)[C@H](N)Cc1ccccc1F ZINC001220498845 804615200 /nfs/dbraw/zinc/61/52/00/804615200.db2.gz LXBUAFDLLAVPKC-VXGBXAGGSA-N 0 1 293.298 0.267 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H](CC(=O)OC)c1cccnc1 ZINC001220530404 804620917 /nfs/dbraw/zinc/62/09/17/804620917.db2.gz PUTBGANJQXLJJS-FZMZJTMJSA-N 0 1 275.308 0.153 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@@H](C)CC)[C@@H](O)C1 ZINC001220596512 804635103 /nfs/dbraw/zinc/63/51/03/804635103.db2.gz YKJSMKDUHFCEBV-MJBXVCDLSA-N 0 1 266.385 0.997 20 30 CCEDMN CC1(C)[C@@H](O)C[C@@H]1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001220766349 804663677 /nfs/dbraw/zinc/66/36/77/804663677.db2.gz QPHPBESVZWVYMF-IHRRRGAJSA-N 0 1 287.363 0.704 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](C)n2cccc2)[C@@H](O)C1 ZINC001220805002 804670065 /nfs/dbraw/zinc/67/00/65/804670065.db2.gz RWEHPLKTOWEFKS-KFWWJZLASA-N 0 1 289.379 0.624 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H](CC(=O)OC)c1ccccn1 ZINC001220900988 804696917 /nfs/dbraw/zinc/69/69/17/804696917.db2.gz ROWXSHUMXVLTIQ-FZMZJTMJSA-N 0 1 275.308 0.153 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC1CCN(C(=O)N(CC)CC)CC1 ZINC001220913023 804698465 /nfs/dbraw/zinc/69/84/65/804698465.db2.gz FQJNIMQREHKCTG-HNNXBMFYSA-N 0 1 294.399 0.379 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)CCOC)[C@@H](O)C1 ZINC001221012529 804718126 /nfs/dbraw/zinc/71/81/26/804718126.db2.gz UGADTKSMBKBCTP-XQQFMLRXSA-N 0 1 270.373 0.396 20 30 CCEDMN COC[C@@H](NC(=O)[C@@H](N)Cc1ccccc1C#N)C1CC1 ZINC001221012905 804718902 /nfs/dbraw/zinc/71/89/02/804718902.db2.gz DXPPGAGACNXZAP-LSDHHAIUSA-N 0 1 287.363 0.969 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1C[N@H+](CC2CCC2)C[C@@H]1O ZINC001221139626 804733884 /nfs/dbraw/zinc/73/38/84/804733884.db2.gz GTEZZSSUKNZONJ-KGLIPLIRSA-N 0 1 282.384 0.541 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cn(CC(F)(F)F)cn1 ZINC001221147014 804735245 /nfs/dbraw/zinc/73/52/45/804735245.db2.gz WAYBSKLKQGVPPS-JTQLQIEISA-N 0 1 274.246 0.412 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@H](O)c1cc2ccccc2o1 ZINC001221328638 804776638 /nfs/dbraw/zinc/77/66/38/804776638.db2.gz BKHJPOMMSCMLFU-NHYWBVRUSA-N 0 1 272.304 0.933 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001222071664 804860658 /nfs/dbraw/zinc/86/06/58/804860658.db2.gz DOUJOTBOVDJBJP-YNEHKIRRSA-N 0 1 268.357 0.103 20 30 CCEDMN C=CCCOCC(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001222075292 804863118 /nfs/dbraw/zinc/86/31/18/804863118.db2.gz HLBVTJQQSFEEEM-CHWSQXEVSA-N 0 1 268.357 0.104 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)CCCC(N)=O)C1 ZINC001222111467 804872498 /nfs/dbraw/zinc/87/24/98/804872498.db2.gz REDSVHWZDOQXCK-NSHDSACASA-N 0 1 287.791 0.975 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(CNC(=O)C(C)C)CC1 ZINC001222410736 804898215 /nfs/dbraw/zinc/89/82/15/804898215.db2.gz KBXKSWMTJDCYRL-CYBMUJFWSA-N 0 1 293.411 0.609 20 30 CCEDMN CC[C@@H](OC)C(=O)NCC1CCN(CC#CCOC)CC1 ZINC001222573368 804917011 /nfs/dbraw/zinc/91/70/11/804917011.db2.gz BSGMCTTWWQFFTD-OAHLLOKOSA-N 0 1 296.411 0.889 20 30 CCEDMN N#CCN1CCC(CNC(=O)CCc2nc[nH]n2)CC1 ZINC001222764796 804933727 /nfs/dbraw/zinc/93/37/27/804933727.db2.gz XBLSPQAHOMVHSY-UHFFFAOYSA-N 0 1 276.344 0.089 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1CCN1CCCF ZINC001276854064 804943715 /nfs/dbraw/zinc/94/37/15/804943715.db2.gz RDSADCNBEWXWQT-VXGBXAGGSA-N 0 1 256.321 0.575 20 30 CCEDMN N#Cc1ccc(C(=O)N2Cc3n[nH]c(C(N)=O)c3C2)c(O)c1 ZINC001276865096 804956613 /nfs/dbraw/zinc/95/66/13/804956613.db2.gz QLTSJUVUCVVDHM-UHFFFAOYSA-N 0 1 297.274 0.242 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CCN1CC#N ZINC001088635801 815044045 /nfs/dbraw/zinc/04/40/45/815044045.db2.gz PYZBYAZQTMFFOG-KKOKHZNYSA-N 0 1 273.340 0.616 20 30 CCEDMN COCC#CCN1CC[C@](C)(NC(=O)[C@@H](C)COC)C1 ZINC001278368949 807041746 /nfs/dbraw/zinc/04/17/46/807041746.db2.gz JLQXQWYKQNUPQN-ZFWWWQNUSA-N 0 1 282.384 0.499 20 30 CCEDMN N#CCN1CCC(CNC(=O)CCc2c[nH]nn2)CC1 ZINC001224600084 805057832 /nfs/dbraw/zinc/05/78/32/805057832.db2.gz MYYRXYCDFMLQLE-UHFFFAOYSA-N 0 1 276.344 0.089 20 30 CCEDMN N#CCN1CCC(CNC(=O)CCc2cnn[nH]2)CC1 ZINC001224600084 805057838 /nfs/dbraw/zinc/05/78/38/805057838.db2.gz MYYRXYCDFMLQLE-UHFFFAOYSA-N 0 1 276.344 0.089 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cccnc2)CC1 ZINC001225805070 805163650 /nfs/dbraw/zinc/16/36/50/805163650.db2.gz BLNQYFHPEBYSIM-UHFFFAOYSA-N 0 1 287.363 0.926 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cscn2)CC1 ZINC001226518554 805240275 /nfs/dbraw/zinc/24/02/75/805240275.db2.gz IKULYZYVBODDPQ-UHFFFAOYSA-N 0 1 293.392 0.987 20 30 CCEDMN C=CCCC(=O)NC1CCN(CCN2CCNC2=O)CC1 ZINC001226527449 805242258 /nfs/dbraw/zinc/24/22/58/805242258.db2.gz KAYUXJUOYPALQN-UHFFFAOYSA-N 0 1 294.399 0.558 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001277140006 805252085 /nfs/dbraw/zinc/25/20/85/805252085.db2.gz GDXSSRQCWRSQQL-TUAOUCFPSA-N 0 1 277.368 0.019 20 30 CCEDMN C=CCNC(=O)N1CC[C@H]2CN(Cc3cn[nH]c3)C[C@H]21 ZINC001277173940 805308996 /nfs/dbraw/zinc/30/89/96/805308996.db2.gz NRDPPRITWCSNDA-QWHCGFSZSA-N 0 1 275.356 0.811 20 30 CCEDMN C#CCCCC(=O)NC1CCN([C@@H](C)C(=O)N(C)C)CC1 ZINC001227107749 805322551 /nfs/dbraw/zinc/32/25/51/805322551.db2.gz ICRBIQYYYBXPDQ-ZDUSSCGKSA-N 0 1 293.411 0.847 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1CCN([C@@H](CC)C(N)=O)CC1 ZINC001227124285 805324835 /nfs/dbraw/zinc/32/48/35/805324835.db2.gz PNZAULHCAOFIER-SWLSCSKDSA-N 0 1 297.399 0.158 20 30 CCEDMN O=C(C#CC1CC1)NC1CCN(CCn2cncn2)CC1 ZINC001227298898 805341238 /nfs/dbraw/zinc/34/12/38/805341238.db2.gz FYBODEVQIZZURJ-UHFFFAOYSA-N 0 1 287.367 0.272 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1nc(O)cc(=O)[nH]1 ZINC001228393215 805443685 /nfs/dbraw/zinc/44/36/85/805443685.db2.gz XTVUCEVVWSDVCT-ZETCQYMHSA-N 0 1 252.226 0.222 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](OC)[C@@H]2CCOC2)CC1 ZINC001228494131 805451652 /nfs/dbraw/zinc/45/16/52/805451652.db2.gz XSQGRPUFNVZDTB-UKRRQHHQSA-N 0 1 294.395 0.642 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(C(=O)OC)ncn1 ZINC001228757271 805476024 /nfs/dbraw/zinc/47/60/24/805476024.db2.gz QFFUQSZARCJTEF-LURJTMIESA-N 0 1 264.237 0.861 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1ncc(C(=O)OC)cn1 ZINC001228773879 805479094 /nfs/dbraw/zinc/47/90/94/805479094.db2.gz RRMUECDSCAPWPS-LURJTMIESA-N 0 1 264.237 0.861 20 30 CCEDMN CC#CCN1CCC(NC(=O)C(C)(C)CNC(C)=O)CC1 ZINC001229583769 805542789 /nfs/dbraw/zinc/54/27/89/805542789.db2.gz PVQPAOGLTISMPB-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](C)(NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001278403435 807076102 /nfs/dbraw/zinc/07/61/02/807076102.db2.gz BHGUEZWGXNEPFL-SWLSCSKDSA-N 0 1 279.384 0.621 20 30 CCEDMN N#Cc1ccc(CNCCNC(=O)c2ncc[nH]2)c(F)c1 ZINC001126806212 815119012 /nfs/dbraw/zinc/11/90/12/815119012.db2.gz FVQDNXCJAYDCMB-UHFFFAOYSA-N 0 1 287.298 0.940 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCCO[C@H]2C=C)[C@@H](O)C1 ZINC001083470820 815121181 /nfs/dbraw/zinc/12/11/81/815121181.db2.gz PFLJMIAWJGQBSF-KBXIAJHMSA-N 0 1 292.379 0.152 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccn(C)c(=O)c3)[C@@H]2C1 ZINC001075958702 815125927 /nfs/dbraw/zinc/12/59/27/815125927.db2.gz KYFMYQVUYLLMQK-UONOGXRCSA-N 0 1 285.347 0.165 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCc4cncn4C3)[C@@H]2C1 ZINC001075967936 815130143 /nfs/dbraw/zinc/13/01/43/815130143.db2.gz XOVYXLKQGQJIDB-OFQRWUPVSA-N 0 1 298.390 0.611 20 30 CCEDMN Cc1cc(CNCCNC(=O)C#CC(C)C)ncn1 ZINC001126816243 815132501 /nfs/dbraw/zinc/13/25/01/815132501.db2.gz WOFVCSCZBPBGPO-UHFFFAOYSA-N 0 1 260.341 0.650 20 30 CCEDMN CC(C)[C@H](NC(=O)NCC#CCN(C)C)c1cnn(C)c1 ZINC001251365668 807680239 /nfs/dbraw/zinc/68/02/39/807680239.db2.gz KEQBUSKYHSLMCY-AWEZNQCLSA-N 0 1 291.399 0.981 20 30 CCEDMN CCC(=O)N1CCCN(C(=O)NCC#CCN(C)C)CC1 ZINC001251707635 807695123 /nfs/dbraw/zinc/69/51/23/807695123.db2.gz BBUNKDKMXXILJZ-UHFFFAOYSA-N 0 1 294.399 0.205 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@@H]1[C@@H]1CCCOC1 ZINC001251711524 807696815 /nfs/dbraw/zinc/69/68/15/807696815.db2.gz DISLUCIWWUJIHO-ZIAGYGMSSA-N 0 1 279.384 0.762 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)C1CCS(=O)CC1 ZINC001251708575 807697014 /nfs/dbraw/zinc/69/70/14/807697014.db2.gz TUCRVJMWPAERDZ-UHFFFAOYSA-N 0 1 285.413 0.104 20 30 CCEDMN C#CCOC[C@H](O)CN[C@@H](C)c1nnc2ccccn21 ZINC001251821496 807709292 /nfs/dbraw/zinc/70/92/92/807709292.db2.gz QEGBSWXBEVWBLN-NWDGAFQWSA-N 0 1 274.324 0.391 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@H](C)c1nnc2ccccn21 ZINC001251821495 807709348 /nfs/dbraw/zinc/70/93/48/807709348.db2.gz QEGBSWXBEVWBLN-NEPJUHHUSA-N 0 1 274.324 0.391 20 30 CCEDMN C#CCOC[C@@H](O)CNc1nc2cc(OC)ccc2[nH]1 ZINC001251822871 807710251 /nfs/dbraw/zinc/71/02/51/807710251.db2.gz BGCLEUVCDYWIKP-JTQLQIEISA-N 0 1 275.308 0.994 20 30 CCEDMN C#CCOC[C@H](O)CN1CC[C@@H](C)[C@](O)(C(F)(F)F)C1 ZINC001251833006 807714447 /nfs/dbraw/zinc/71/44/47/807714447.db2.gz XBESVSQHRWLNJH-UTUOFQBUSA-N 0 1 295.301 0.632 20 30 CCEDMN Cc1cc(NC(=O)CNCCNC(=O)C#CC(C)C)on1 ZINC001126828175 815141834 /nfs/dbraw/zinc/14/18/34/815141834.db2.gz LRIXMOOZLZJQGD-UHFFFAOYSA-N 0 1 292.339 0.287 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cn(CC(F)(F)F)cn1 ZINC001251900735 807742888 /nfs/dbraw/zinc/74/28/88/807742888.db2.gz YHMJQWVZFNBZKT-LLVKDONJSA-N 0 1 291.273 0.546 20 30 CCEDMN COC(=O)[C@H](O)CNC(=N)c1ccc2ccccc2n1 ZINC001252441846 807855626 /nfs/dbraw/zinc/85/56/26/807855626.db2.gz NGBUVGSSQYSCOY-GFCCVEGCSA-N 0 1 273.292 0.474 20 30 CCEDMN COC(=O)[C@H](O)CNC1(c2ccc(C#N)cc2)CC1 ZINC001252444410 807860975 /nfs/dbraw/zinc/86/09/75/807860975.db2.gz GXVHTZNSFBVACT-GFCCVEGCSA-N 0 1 260.293 0.671 20 30 CCEDMN C=CCOC[C@@H](O)CNc1cc(=O)[nH]c(N(C)C)n1 ZINC001252455458 807871576 /nfs/dbraw/zinc/87/15/76/807871576.db2.gz ANDVFILRWIVFEM-VIFPVBQESA-N 0 1 268.317 0.224 20 30 CCEDMN C=CCOC[C@H](O)CN1CCC(O)(C(F)(F)F)CC1 ZINC001252461993 807875267 /nfs/dbraw/zinc/87/52/67/807875267.db2.gz XQBOTHQEFLRSNC-SNVBAGLBSA-N 0 1 283.290 0.939 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCCN(C(=O)C2CCC2)CC1 ZINC001252466336 807876676 /nfs/dbraw/zinc/87/66/76/807876676.db2.gz OPWYNEPTPRJBTK-HNNXBMFYSA-N 0 1 296.411 0.884 20 30 CCEDMN C=CCOC[C@@H](O)CN1CC2(C1)CCOC[C@H]2C(=O)OC ZINC001252469952 807879087 /nfs/dbraw/zinc/87/90/87/807879087.db2.gz FMAUXISXGMWURU-STQMWFEESA-N 0 1 299.367 0.061 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCOC2(CCOCC2)C1 ZINC001252470670 807881178 /nfs/dbraw/zinc/88/11/78/807881178.db2.gz NBJCXDJZKOWAFM-ZDUSSCGKSA-N 0 1 271.357 0.431 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ccc(C(=O)OC)cn1 ZINC001252490122 807890855 /nfs/dbraw/zinc/89/08/55/807890855.db2.gz DMUGFYFCJDKFLQ-ZDUSSCGKSA-N 0 1 280.324 0.521 20 30 CCEDMN C=CCOC[C@H](O)CNCC(=O)c1ccc(O)c(O)c1 ZINC001252491194 807892747 /nfs/dbraw/zinc/89/27/47/807892747.db2.gz GUXQBOYDRSRRCS-LLVKDONJSA-N 0 1 281.308 0.434 20 30 CCEDMN C=CCC[C@H](O)CN1CCO[C@@H]2C[C@H](CO)C[C@@H]21 ZINC001252597275 807916770 /nfs/dbraw/zinc/91/67/70/807916770.db2.gz XPZVAJOHJRXWHP-ZOBORPQBSA-N 0 1 255.358 0.785 20 30 CCEDMN C=CCC[C@@H](O)CN[C@H](Cc1cnc[nH]1)C(=O)OC ZINC001252625079 807921600 /nfs/dbraw/zinc/92/16/00/807921600.db2.gz QYGVUDGKSCQZEQ-VXGBXAGGSA-N 0 1 267.329 0.411 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CC(Nc2ncnc3[nH]cnc32)C1 ZINC001126881850 815181825 /nfs/dbraw/zinc/18/18/25/815181825.db2.gz FXWSOOJIQATWOT-IDKOKCKLSA-N 0 1 299.338 0.914 20 30 CCEDMN COc1ccnc(C(=N)N[C@H]2CC3CCN(CC3)C2)n1 ZINC001254839300 808284033 /nfs/dbraw/zinc/28/40/33/808284033.db2.gz PIWSMUCFVMGRRP-NSHDSACASA-N 0 1 275.356 0.675 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)[C@@H](C)NC(C)=O)C1 ZINC001278833545 808307239 /nfs/dbraw/zinc/30/72/39/808307239.db2.gz QBHDTRIMXSQLSQ-VXGBXAGGSA-N 0 1 297.399 0.029 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1[nH]ccc1C ZINC001278855722 808338601 /nfs/dbraw/zinc/33/86/01/808338601.db2.gz UAMGEHWPZVATPZ-LBPRGKRZSA-N 0 1 263.341 0.321 20 30 CCEDMN COc1ccc(C(=NO)N[C@H]2CCCN(C)C2)nc1 ZINC001255471669 808381307 /nfs/dbraw/zinc/38/13/07/808381307.db2.gz COUCOLLJMKUIML-JTQLQIEISA-N 0 1 264.329 0.910 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cc(OC)cs1 ZINC001278869543 808394629 /nfs/dbraw/zinc/39/46/29/808394629.db2.gz VEYAHTZZAAHENN-NSHDSACASA-N 0 1 296.392 0.755 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1(C)CCC1 ZINC001278869890 808395293 /nfs/dbraw/zinc/39/52/93/808395293.db2.gz ZIUPGCRBRXGSOL-GFCCVEGCSA-N 0 1 252.358 0.561 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1cnc(C)n1C ZINC001278868962 808399785 /nfs/dbraw/zinc/39/97/85/808399785.db2.gz LBNGHLHDNOJPAF-CYBMUJFWSA-N 0 1 292.383 0.117 20 30 CCEDMN COc1ncccc1CNCCNC(=O)C#CC1CC1 ZINC001126899349 815203394 /nfs/dbraw/zinc/20/33/94/815203394.db2.gz NATKBOREGSLUFW-UHFFFAOYSA-N 0 1 273.336 0.709 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cnc(C)o2)[C@@H](O)C1 ZINC001083520113 815204729 /nfs/dbraw/zinc/20/47/29/815204729.db2.gz WHUKSSBGTTZHIQ-OLZOCXBDSA-N 0 1 279.340 0.263 20 30 CCEDMN CC#CC[N@@H+](C)CCN(C(=O)[C@H]1CCC(=O)N1)C(C)C ZINC001278900412 808445376 /nfs/dbraw/zinc/44/53/76/808445376.db2.gz VCPNPNMRJLTYKG-CYBMUJFWSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN(C)CCN(C(=O)[C@H]1CCC(=O)N1)C(C)C ZINC001278900412 808445378 /nfs/dbraw/zinc/44/53/78/808445378.db2.gz VCPNPNMRJLTYKG-CYBMUJFWSA-N 0 1 279.384 0.457 20 30 CCEDMN C=C[C@@H]1CCCCN1CC(=O)N1CCC(C(N)=O)CC1 ZINC001256091985 808469867 /nfs/dbraw/zinc/46/98/67/808469867.db2.gz XERZXLUONNUMSO-CYBMUJFWSA-N 0 1 279.384 0.751 20 30 CCEDMN C#CCN(C)CCN(C(=O)CCc1cnn(C)n1)C(C)C ZINC001278917791 808474816 /nfs/dbraw/zinc/47/48/16/808474816.db2.gz JAIYTTIABDURDE-UHFFFAOYSA-N 0 1 291.399 0.550 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)CCc1cnc[nH]1 ZINC001256346753 808510472 /nfs/dbraw/zinc/51/04/72/808510472.db2.gz LIBKDTLCOVZARY-UHFFFAOYSA-N 0 1 271.342 0.059 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H](CO)CC1CCC1 ZINC001256585548 808537819 /nfs/dbraw/zinc/53/78/19/808537819.db2.gz RXCYMTDNDOXXCQ-ZDUSSCGKSA-N 0 1 267.373 0.402 20 30 CCEDMN C#CCN1CCN([C@H]2CC[C@@H](C(=O)OCC)C2)CC1 ZINC001257062872 808587845 /nfs/dbraw/zinc/58/78/45/808587845.db2.gz FXHZRWKMBUTNCA-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2CCN(C(=O)c3cc(OC)n(C)n3)[C@@H]2C1 ZINC001076020667 815234374 /nfs/dbraw/zinc/23/43/74/815234374.db2.gz QVNJLBDLLFLZAJ-WCQYABFASA-N 0 1 290.367 0.761 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cc(OC)n(C)n3)[C@@H]2C1 ZINC001076020667 815234378 /nfs/dbraw/zinc/23/43/78/815234378.db2.gz QVNJLBDLLFLZAJ-WCQYABFASA-N 0 1 290.367 0.761 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2ccn(C)n2)cc[nH]1 ZINC001259937650 808812753 /nfs/dbraw/zinc/81/27/53/808812753.db2.gz HWJLPUHUJZJQEN-UHFFFAOYSA-N 0 1 262.294 0.019 20 30 CCEDMN CN(C)C(=O)c1ccc(CN=Nc2cnnn2C)[nH]1 ZINC001261236437 808935665 /nfs/dbraw/zinc/93/56/65/808935665.db2.gz ISBKDIOYVMYATI-UHFFFAOYSA-N 0 1 261.289 0.291 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001261521167 809006609 /nfs/dbraw/zinc/00/66/09/809006609.db2.gz PRWNTODZSYIYNG-NEPJUHHUSA-N 0 1 294.355 0.940 20 30 CCEDMN CC(=NNc1nccn(C)c1=O)c1cncnc1C ZINC001261551964 809017091 /nfs/dbraw/zinc/01/70/91/809017091.db2.gz BJEYUOHYEYPULG-UHFFFAOYSA-N 0 1 258.285 0.715 20 30 CCEDMN CC(=NN=c1[nH]ccn(C)c1=O)c1cncnc1C ZINC001261551964 809017094 /nfs/dbraw/zinc/01/70/94/809017094.db2.gz BJEYUOHYEYPULG-UHFFFAOYSA-N 0 1 258.285 0.715 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)[C@]3(F)CCOC3)[C@@H]2C1 ZINC001076171694 815254877 /nfs/dbraw/zinc/25/48/77/815254877.db2.gz MXJVDWJKOVPVSN-SCRDCRAPSA-N 0 1 268.332 0.834 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cnc(C)n3C)[C@@H]2C1 ZINC001076175669 815254977 /nfs/dbraw/zinc/25/49/77/815254977.db2.gz PGRUTCCSQXYDEM-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001262180934 809226175 /nfs/dbraw/zinc/22/61/75/809226175.db2.gz VLKPRYBRHOOHAH-GHMZBOCLSA-N 0 1 252.314 0.263 20 30 CCEDMN C=CCO[C@@H]1CCN(CC(=O)N2CCOC(C)(C)C2)C1 ZINC001262254958 809258693 /nfs/dbraw/zinc/25/86/93/809258693.db2.gz AIQZUHSZZGMXQG-CYBMUJFWSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2cc(F)ccc2F)CC1 ZINC001263101429 809444487 /nfs/dbraw/zinc/44/44/87/809444487.db2.gz RNLFYXRSMVHIFL-UHFFFAOYSA-N 0 1 293.317 0.836 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)CCc2cnc[nH]2)C1 ZINC001263835215 809583007 /nfs/dbraw/zinc/58/30/07/809583007.db2.gz KTAYDDKMCJJGLB-KLPPZKSPSA-N 0 1 261.329 0.350 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)COCC(F)F)[C@H]1C ZINC001264153798 809634553 /nfs/dbraw/zinc/63/45/53/809634553.db2.gz GTVOTOVHBFMNBX-ZJUUUORDSA-N 0 1 260.284 0.480 20 30 CCEDMN C#CCCCCC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001263994685 809619906 /nfs/dbraw/zinc/61/99/06/809619906.db2.gz ZJYWGLZXAYOTQX-GOEBONIOSA-N 0 1 294.395 0.522 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CNC(=O)C2CC2)[C@H]1CC ZINC001264046523 809625815 /nfs/dbraw/zinc/62/58/15/809625815.db2.gz JZPNKSYNETYHCC-QWHCGFSZSA-N 0 1 277.368 0.115 20 30 CCEDMN N#CCSCC(=O)N1C[C@H]2CCN(CCF)C[C@H]2C1 ZINC001264083191 809629281 /nfs/dbraw/zinc/62/92/81/809629281.db2.gz MKLVELFOLGAYBQ-NEPJUHHUSA-N 0 1 285.388 0.993 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)c1nc(C)c[nH]1 ZINC001264110113 809630793 /nfs/dbraw/zinc/63/07/93/809630793.db2.gz MHQCOOTUTNKZAY-UHFFFAOYSA-N 0 1 292.383 0.762 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCC(N)=O)[C@H]1CC ZINC001264627413 809666402 /nfs/dbraw/zinc/66/64/02/809666402.db2.gz LXDLLSWIANFWNH-NWDGAFQWSA-N 0 1 265.357 0.244 20 30 CCEDMN C=C(Cl)CN(CC)CCCNC(=O)[C@H](C)NC(N)=O ZINC001265110691 809706676 /nfs/dbraw/zinc/70/66/76/809706676.db2.gz ZASVCMNTDCIQQS-JTQLQIEISA-N 0 1 290.795 0.624 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3c(C)noc3C)[C@@H]2C1 ZINC001076555419 815311120 /nfs/dbraw/zinc/31/11/20/815311120.db2.gz MJRQRLULZVPDFT-DZGCQCFKSA-N 0 1 287.363 1.000 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)[C@H](O)C(C)C)C1 ZINC001265213459 809726395 /nfs/dbraw/zinc/72/63/95/809726395.db2.gz SIZOGGBCKZZMFJ-CHWSQXEVSA-N 0 1 252.358 0.607 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CCOCCOC)C1 ZINC001265235388 809729760 /nfs/dbraw/zinc/72/97/60/809729760.db2.gz OGBRIKBTIVTLEE-CYBMUJFWSA-N 0 1 270.373 0.806 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN1CCN(CCF)CC1 ZINC001265240494 809730460 /nfs/dbraw/zinc/73/04/60/809730460.db2.gz RWZHABWFRGLUFD-GFCCVEGCSA-N 0 1 270.352 0.239 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)c2[nH]cnc2C)CC1 ZINC001265254196 809732238 /nfs/dbraw/zinc/73/22/38/809732238.db2.gz BMKUTWGDZNJPAL-UHFFFAOYSA-N 0 1 289.383 0.089 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2c(C)noc2C)CC1 ZINC001265256134 809732284 /nfs/dbraw/zinc/73/22/84/809732284.db2.gz NFBZXBSCJLFSGY-UHFFFAOYSA-N 0 1 292.383 0.825 20 30 CCEDMN CCOCCN1CC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001265278338 809743469 /nfs/dbraw/zinc/74/34/69/809743469.db2.gz DPKZJPVGCVJGII-GFCCVEGCSA-N 0 1 276.340 0.727 20 30 CCEDMN COC[C@H](O)CN1CC[C@@H](NC(=O)C#CC(C)(C)C)C1 ZINC001265283341 809746655 /nfs/dbraw/zinc/74/66/55/809746655.db2.gz DKMYQAKIWFWHHO-CHWSQXEVSA-N 0 1 282.384 0.234 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H](C)n2ccnc2)C1 ZINC001265306422 809753570 /nfs/dbraw/zinc/75/35/70/809753570.db2.gz PQQUHFBFCSITER-OLZOCXBDSA-N 0 1 260.341 0.658 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H]1CCCN([C@H](C)C(N)=O)C1 ZINC001265589051 809809986 /nfs/dbraw/zinc/80/99/86/809809986.db2.gz MNAJNEQPXBBNMQ-NEPJUHHUSA-N 0 1 281.400 0.901 20 30 CCEDMN C#CCCN(C)CCCNC(=O)C(C)(C)S(C)(=O)=O ZINC001265932925 809897749 /nfs/dbraw/zinc/89/77/49/809897749.db2.gz AOLUAZONVOCJJL-UHFFFAOYSA-N 0 1 288.413 0.271 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](CNCc2nnc(C)o2)C1 ZINC001265964239 809907553 /nfs/dbraw/zinc/90/75/53/809907553.db2.gz TVBCSBJYWVECKG-GFCCVEGCSA-N 0 1 294.355 0.519 20 30 CCEDMN N#CCN(CCCNC(=O)[C@@H]1CC1[N+](=O)[O-])C1CC1 ZINC001266135664 809944929 /nfs/dbraw/zinc/94/49/29/809944929.db2.gz SPPNTXYOYJWBLJ-GHMZBOCLSA-N 0 1 266.301 0.146 20 30 CCEDMN Cc1c[nH]nc1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001279431745 809978925 /nfs/dbraw/zinc/97/89/25/809978925.db2.gz TWAQXCDWODDOGH-UHFFFAOYSA-N 0 1 290.371 0.026 20 30 CCEDMN CC#CCN1CCC[C@@](CO)(NC(=O)[C@@H]2CCCN2C)C1 ZINC001279471218 809982857 /nfs/dbraw/zinc/98/28/57/809982857.db2.gz MDKGNWDUNYSYRH-GOEBONIOSA-N 0 1 293.411 0.047 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)[C@H](O)C(C)C)C1 ZINC001279480735 809982933 /nfs/dbraw/zinc/98/29/33/809982933.db2.gz RWNOCVRMBXFMQD-OCCSQVGLSA-N 0 1 270.373 0.132 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001279489367 809983885 /nfs/dbraw/zinc/98/38/85/809983885.db2.gz DYNHSHGTOJRTQB-CQSZACIVSA-N 0 1 294.355 0.166 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C)o2)C1 ZINC001076715252 815351761 /nfs/dbraw/zinc/35/17/61/815351761.db2.gz NUQHQLDZVLCUJO-VXGBXAGGSA-N 0 1 264.325 0.939 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccoc2C)C1 ZINC001076724870 815354717 /nfs/dbraw/zinc/35/47/17/815354717.db2.gz QHLZFJPVFZENRV-CHWSQXEVSA-N 0 1 262.309 0.386 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ocnc2C)C1 ZINC001076848627 815389971 /nfs/dbraw/zinc/38/99/71/815389971.db2.gz VLFRAJLHEYCVDR-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2ocnc2C)C1 ZINC001076848627 815389977 /nfs/dbraw/zinc/38/99/77/815389977.db2.gz VLFRAJLHEYCVDR-VXGBXAGGSA-N 0 1 279.340 0.724 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001076890229 815407772 /nfs/dbraw/zinc/40/77/72/815407772.db2.gz QCYREUDQEQJCER-CHWSQXEVSA-N 0 1 274.324 0.237 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001076890229 815407775 /nfs/dbraw/zinc/40/77/75/815407775.db2.gz QCYREUDQEQJCER-CHWSQXEVSA-N 0 1 274.324 0.237 20 30 CCEDMN CC(C)N1C[C@@H](O)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001076906363 815410234 /nfs/dbraw/zinc/41/02/34/815410234.db2.gz XPYFIAWTAIFPLF-VXGBXAGGSA-N 0 1 262.313 0.070 20 30 CCEDMN CN(C)C(=O)CN1CC[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001267292828 811109030 /nfs/dbraw/zinc/10/90/30/811109030.db2.gz GFVPBXBIWPWIMK-ZDUSSCGKSA-N 0 1 293.411 0.562 20 30 CCEDMN C[C@H](CNc1ccnc(C#N)n1)N(C)C(=O)c1ccn[nH]1 ZINC001104479567 811117993 /nfs/dbraw/zinc/11/79/93/811117993.db2.gz NLLVGQSVJQFUGC-SECBINFHSA-N 0 1 285.311 0.066 20 30 CCEDMN C[C@H](CNc1nccnc1C#N)N(C)C(=O)c1ccn[nH]1 ZINC001104480245 811120209 /nfs/dbraw/zinc/12/02/09/811120209.db2.gz YXYDOMCNPZVGSA-SECBINFHSA-N 0 1 285.311 0.644 20 30 CCEDMN Cc1nnc(-n2c(C)ncc(CN)c2=N)c(C#N)c1C ZINC001167672498 811124675 /nfs/dbraw/zinc/12/46/75/811124675.db2.gz PGKQJPLOQFMOQO-UHFFFAOYSA-N 0 1 269.312 0.397 20 30 CCEDMN CC#CCN(CCNC(=O)c1n[nH]cc1C)C1CC1 ZINC001267325088 811156587 /nfs/dbraw/zinc/15/65/87/811156587.db2.gz BWIBREPNQLJVDJ-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cncc(F)c1C#N ZINC001167676256 811235717 /nfs/dbraw/zinc/23/57/17/811235717.db2.gz WDFAHHKQVKNVEH-UHFFFAOYSA-N 0 1 258.260 0.525 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](CNCc2cnon2)C1 ZINC001023895255 811279564 /nfs/dbraw/zinc/27/95/64/811279564.db2.gz MMBQXJRLIONXHI-MNOVXSKESA-N 0 1 277.328 0.557 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)CNC(=O)OC)C1 ZINC001267637989 811599561 /nfs/dbraw/zinc/59/95/61/811599561.db2.gz QWYHNYIUZMIRSX-SNVBAGLBSA-N 0 1 269.345 0.498 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cnn(C)c3)[C@@H]2C1 ZINC001075533722 811616923 /nfs/dbraw/zinc/61/69/23/811616923.db2.gz DSNWGHMPTMRSQT-WCQYABFASA-N 0 1 260.341 0.752 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@H]2CC2(F)F)C1 ZINC001267680231 811644931 /nfs/dbraw/zinc/64/49/31/811644931.db2.gz GVRIBWHVMRDXCD-LLVKDONJSA-N 0 1 274.311 0.892 20 30 CCEDMN CC(C)OCCN1CC(CNC(=O)C#CC2CC2)C1 ZINC001267681661 811647105 /nfs/dbraw/zinc/64/71/05/811647105.db2.gz PCAITRBOURSVRN-UHFFFAOYSA-N 0 1 264.369 0.873 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)C2(C(N)=O)CC2)C1 ZINC001267682194 811648274 /nfs/dbraw/zinc/64/82/74/811648274.db2.gz DQFOJFQZFGNBPP-NSHDSACASA-N 0 1 299.802 0.785 20 30 CCEDMN C=CCN1CC(CNC(=O)CCC(=O)NCCC)C1 ZINC001267697781 811662099 /nfs/dbraw/zinc/66/20/99/811662099.db2.gz PQEKVJMWBYCGSO-UHFFFAOYSA-N 0 1 267.373 0.527 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(CCOCCO)C1 ZINC001267723833 811689135 /nfs/dbraw/zinc/68/91/35/811689135.db2.gz LZWSQVMCROKPGJ-ZDUSSCGKSA-N 0 1 284.400 0.788 20 30 CCEDMN C=C(C)CCC(=O)N1CCC(C[N@H+](C)CC(N)=O)CC1 ZINC001267730437 811700159 /nfs/dbraw/zinc/70/01/59/811700159.db2.gz WUDMUCRQLIZOHB-UHFFFAOYSA-N 0 1 281.400 0.998 20 30 CCEDMN C=CCN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001038362727 811754766 /nfs/dbraw/zinc/75/47/66/811754766.db2.gz IYBPPBCEHUGSHJ-QJPTWQEYSA-N 0 1 260.341 0.890 20 30 CCEDMN C=CCOCCN1CC[C@H](N(C)C(=O)c2ccn(C)n2)C1 ZINC001267776975 811776959 /nfs/dbraw/zinc/77/69/59/811776959.db2.gz HTBRWSSQNHEGQR-ZDUSSCGKSA-N 0 1 292.383 0.769 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CCOCC)C1 ZINC001267782907 811784201 /nfs/dbraw/zinc/78/42/01/811784201.db2.gz ZPSIUJTZYZBWCY-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@H](C)NC(N)=O)C1 ZINC001267785528 811786012 /nfs/dbraw/zinc/78/60/12/811786012.db2.gz VLUXYVVFMGHBRF-QWRGUYRKSA-N 0 1 268.361 0.152 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)NC(N)=O)C1 ZINC001267785528 811786020 /nfs/dbraw/zinc/78/60/20/811786020.db2.gz VLUXYVVFMGHBRF-QWRGUYRKSA-N 0 1 268.361 0.152 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001267785541 811786091 /nfs/dbraw/zinc/78/60/91/811786091.db2.gz FZZRVDUUXNPFDE-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001267785541 811786099 /nfs/dbraw/zinc/78/60/99/811786099.db2.gz FZZRVDUUXNPFDE-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H](C)CCNC(=O)c1cnn[nH]1 ZINC001077298603 815475931 /nfs/dbraw/zinc/47/59/31/815475931.db2.gz MCEZJBLYRFIORF-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN CC[C@@H](CNC(=O)C#CC(C)C)NCc1cnnn1C ZINC001267803090 811798010 /nfs/dbraw/zinc/79/80/10/811798010.db2.gz KNFJNHLUTMJBEP-LBPRGKRZSA-N 0 1 277.372 0.459 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@](C)(CNCC#N)C1CC1 ZINC001267860732 811840453 /nfs/dbraw/zinc/84/04/53/811840453.db2.gz QNKFOLAFBLBHRK-XHDPSFHLSA-N 0 1 289.383 0.986 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)[C@@H]2CCCC[NH+]2C)[C@@H](O)C1 ZINC001083573246 815482063 /nfs/dbraw/zinc/48/20/63/815482063.db2.gz CLXAQYHJBVBDAL-ILXRZTDVSA-N 0 1 295.427 0.598 20 30 CCEDMN CCCNCc1cn(C2CN(C(=O)C#CC3CC3)C2)nn1 ZINC001105238271 811871110 /nfs/dbraw/zinc/87/11/10/811871110.db2.gz SYWNJFMMWXWFNG-UHFFFAOYSA-N 0 1 287.367 0.574 20 30 CCEDMN C#CCCCCC(=O)NCCNCc1cncc(OC)n1 ZINC001125938757 811899216 /nfs/dbraw/zinc/89/92/16/811899216.db2.gz IZFAUSSCLYLDDJ-UHFFFAOYSA-N 0 1 290.367 0.885 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@H](CC(=O)OCC)[C@@H](C)C1 ZINC001161500608 811925350 /nfs/dbraw/zinc/92/53/50/811925350.db2.gz RSBGCYGVTMRINH-HUBLWGQQSA-N 0 1 280.368 0.775 20 30 CCEDMN C#CCCCCC(=O)NCCNCc1ccc(OC)nn1 ZINC001125967425 811950415 /nfs/dbraw/zinc/95/04/15/811950415.db2.gz WDGCUHRJIXRLMT-UHFFFAOYSA-N 0 1 290.367 0.885 20 30 CCEDMN N#Cc1cc(C(=O)NCCNCc2cncc(F)c2)c[nH]1 ZINC001125988176 811967529 /nfs/dbraw/zinc/96/75/29/811967529.db2.gz OIDJATYWEZDSJR-UHFFFAOYSA-N 0 1 287.298 0.940 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)[C@]23C[C@H]2CCC3)C1 ZINC001077417159 815496251 /nfs/dbraw/zinc/49/62/51/815496251.db2.gz HQXYHSHDUOQQLJ-RGCMKSIDSA-N 0 1 262.353 0.361 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@]23C[C@H]2CCC3)C1 ZINC001077417159 815496253 /nfs/dbraw/zinc/49/62/53/815496253.db2.gz HQXYHSHDUOQQLJ-RGCMKSIDSA-N 0 1 262.353 0.361 20 30 CCEDMN Cc1cc(C(=O)N(C)CCN(C)c2nccnc2C#N)n[nH]1 ZINC001105340234 812050434 /nfs/dbraw/zinc/05/04/34/812050434.db2.gz NRIBBIRPRMPFEY-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN C#CCCN1C[C@@H]2CCN(C(=O)c3cnns3)[C@@H]2C1 ZINC001075584997 812112262 /nfs/dbraw/zinc/11/22/62/812112262.db2.gz MLFPXQLUDWINGX-WDEREUQCSA-N 0 1 276.365 0.708 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cc(OC)ncn1 ZINC001027884845 812116975 /nfs/dbraw/zinc/11/69/75/812116975.db2.gz RRWQBWXSWOHMME-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001027921005 812141882 /nfs/dbraw/zinc/14/18/82/812141882.db2.gz LWPNHEPRVLTILK-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCc2ncncc2C1 ZINC001027928418 812145916 /nfs/dbraw/zinc/14/59/16/812145916.db2.gz OQRGSRGNBGSCSJ-HIFRSBDPSA-N 0 1 298.390 0.795 20 30 CCEDMN C[C@H](CNCc1nccn1C)N(C)C(=O)[C@@H](C)C#N ZINC001268056079 812151401 /nfs/dbraw/zinc/15/14/01/812151401.db2.gz ZRYRTHCXSHUYGT-WDEREUQCSA-N 0 1 263.345 0.516 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001027952212 812161929 /nfs/dbraw/zinc/16/19/29/812161929.db2.gz LITLMHGBZZHZGQ-JTQLQIEISA-N 0 1 262.313 0.251 20 30 CCEDMN CCC[N@@H+](C)CC(=O)NCCC1(CNCC#N)CC1 ZINC001167814830 812163603 /nfs/dbraw/zinc/16/36/03/812163603.db2.gz GDJFATMWKDCBEI-UHFFFAOYSA-N 0 1 266.389 0.728 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cn2c(n1)COCC2 ZINC001027966398 812173902 /nfs/dbraw/zinc/17/39/02/812173902.db2.gz OXJUTJDFUCDWIT-GFCCVEGCSA-N 0 1 290.367 0.794 20 30 CCEDMN N#Cc1cnccc1N[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001058846167 812177765 /nfs/dbraw/zinc/17/77/65/812177765.db2.gz JSDGIPOUSAQKFK-NSHDSACASA-N 0 1 282.307 0.425 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cn(C)nc1COC ZINC001027978198 812188151 /nfs/dbraw/zinc/18/81/51/812188151.db2.gz HZBTZFFWMLZMBS-LBPRGKRZSA-N 0 1 290.367 0.394 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001028029275 812224549 /nfs/dbraw/zinc/22/45/49/812224549.db2.gz UMVIHXDHOQWDHW-NSHDSACASA-N 0 1 291.351 0.764 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001028050176 812234706 /nfs/dbraw/zinc/23/47/06/812234706.db2.gz NQQLSTUZWBZXEI-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cccc(=O)n1C ZINC001028129514 812299078 /nfs/dbraw/zinc/29/90/78/812299078.db2.gz QGLCGFYUHWFPDY-LBPRGKRZSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H]1CNC(=O)c1nc2ncccn2n1 ZINC001028210059 812372289 /nfs/dbraw/zinc/37/22/89/812372289.db2.gz KWPDYWNCHKHCMN-LBPRGKRZSA-N 0 1 298.350 0.342 20 30 CCEDMN N#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001028248696 812492259 /nfs/dbraw/zinc/49/22/59/812492259.db2.gz BLEXGTKSUSGIGV-NWDGAFQWSA-N 0 1 287.367 0.619 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCN[C@@H](C)c1nnnn1C ZINC001268362142 812519704 /nfs/dbraw/zinc/51/97/04/812519704.db2.gz CHJUALZMIKEOIO-RYUDHWBXSA-N 0 1 292.387 0.415 20 30 CCEDMN C#CCCCC(=O)NCCNCc1cc(=O)c(OC)co1 ZINC001126343539 812529410 /nfs/dbraw/zinc/52/94/10/812529410.db2.gz AKOYZMQEZSFLHW-UHFFFAOYSA-N 0 1 292.335 0.658 20 30 CCEDMN C[C@@]1(C#N)CN(S(=O)(=O)c2ccccc2O)CCO1 ZINC000907499014 815537881 /nfs/dbraw/zinc/53/78/81/815537881.db2.gz WIYXSCSNUXEIFI-GFCCVEGCSA-N 0 1 282.321 0.695 20 30 CCEDMN COCC#CCN1CC(NC(=O)C(C)(C)c2cnc[nH]2)C1 ZINC001268473950 812628917 /nfs/dbraw/zinc/62/89/17/812628917.db2.gz FGLMWUDEPJLNOE-UHFFFAOYSA-N 0 1 290.367 0.138 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001268496023 812707100 /nfs/dbraw/zinc/70/71/00/812707100.db2.gz MGAPEBQWCUIHAP-YPMHNXCESA-N 0 1 294.399 0.685 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](NC(=O)COCC)[C@H](OC)C1 ZINC001211802381 812746336 /nfs/dbraw/zinc/74/63/36/812746336.db2.gz PPIGIONELCZSSY-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COCC)[C@H](OC)C1 ZINC001211802381 812746343 /nfs/dbraw/zinc/74/63/43/812746343.db2.gz PPIGIONELCZSSY-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN CCN(CC)C(=O)CNC/C=C/CNC(=O)[C@@H](C)C#N ZINC001268520436 812763765 /nfs/dbraw/zinc/76/37/65/812763765.db2.gz CFABVDRGYTWCLV-SYTKJHMZSA-N 0 1 280.372 0.276 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC/C=C/CNCc1cnn(C)c1 ZINC001268533396 812777535 /nfs/dbraw/zinc/77/75/35/812777535.db2.gz POVZNIAEDVTUIT-NFAHFFEMSA-N 0 1 292.383 0.509 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1ccns1 ZINC001126377464 812804684 /nfs/dbraw/zinc/80/46/84/812804684.db2.gz ATTXERJOZFLQRO-LBPRGKRZSA-N 0 1 269.370 0.676 20 30 CCEDMN CC1(C(=O)NC/C=C\CNCC(=O)NCC#N)CC=CC1 ZINC001268588732 812876852 /nfs/dbraw/zinc/87/68/52/812876852.db2.gz UKBNYOWTKWTOJJ-PLNGDYQASA-N 0 1 290.367 0.244 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)Cn1ccnc1 ZINC001268633238 812956814 /nfs/dbraw/zinc/95/68/14/812956814.db2.gz KWACMPSFZSKNFX-IHWYPQMZSA-N 0 1 268.748 0.898 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cn(-c2ccccc2)nn1 ZINC001268734854 813050558 /nfs/dbraw/zinc/05/05/58/813050558.db2.gz BGNUJGSZGQNAFI-ZDUSSCGKSA-N 0 1 297.362 0.951 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCNC(=O)CC1 ZINC001268739817 813055151 /nfs/dbraw/zinc/05/51/51/813055151.db2.gz PRXIVOLUQDCPDN-STQMWFEESA-N 0 1 279.384 0.363 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CCC(=O)NCCC ZINC001268753656 813065042 /nfs/dbraw/zinc/06/50/42/813065042.db2.gz VZWQFPJRRQXJJF-ZDUSSCGKSA-N 0 1 281.400 0.753 20 30 CCEDMN C#CC(=O)N1CCc2c(n[nH]c2C(=O)N2CCCC23CC3)C1 ZINC001268857411 813117732 /nfs/dbraw/zinc/11/77/32/813117732.db2.gz GGQHXDRYLRZQLR-UHFFFAOYSA-N 0 1 298.346 0.696 20 30 CCEDMN C#CC(=O)N1CCC[C@]2(CCN(Cc3cn[nH]c3)C2)C1 ZINC001268941943 813152015 /nfs/dbraw/zinc/15/20/15/813152015.db2.gz IIBLFQKWLSJWBL-OAHLLOKOSA-N 0 1 272.352 0.857 20 30 CCEDMN C=CC[C@@H](C)C(=O)N1CC2(C1)CCN(CC(=O)N(C)C)C2 ZINC001268968475 813164626 /nfs/dbraw/zinc/16/46/26/813164626.db2.gz QTJQSKCYYVTQLN-CYBMUJFWSA-N 0 1 293.411 0.821 20 30 CCEDMN N#CCCC(=O)N1CCc2c(n[nH]c2C(=O)N2CC=CC2)C1 ZINC001269025439 813181641 /nfs/dbraw/zinc/18/16/41/813181641.db2.gz QMZTTXNKRCYCEN-UHFFFAOYSA-N 0 1 299.334 0.610 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CO[C@@H]2CCOC2)C1 ZINC001269239996 813284112 /nfs/dbraw/zinc/28/41/12/813284112.db2.gz GRIZYQCLKIBCHM-QWHCGFSZSA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CO[C@@H]2CCOC2)C1 ZINC001269239994 813284691 /nfs/dbraw/zinc/28/46/91/813284691.db2.gz GRIZYQCLKIBCHM-CHWSQXEVSA-N 0 1 268.357 0.511 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(CC)C(=O)C(=O)NCC2CC2)C1 ZINC001269349045 813334936 /nfs/dbraw/zinc/33/49/36/813334936.db2.gz PYCCHFQRJGEGLA-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@H](N(CC)C(=O)C(=O)NCC2CC2)C1 ZINC001269349045 813334942 /nfs/dbraw/zinc/33/49/42/813334942.db2.gz PYCCHFQRJGEGLA-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1(N[C@H](C)C(=O)N(C)C)CC1 ZINC001269465011 813380678 /nfs/dbraw/zinc/38/06/78/813380678.db2.gz SKNGKLNERLXKBH-LLVKDONJSA-N 0 1 281.400 0.914 20 30 CCEDMN CC#CCCCC(=O)NCC1(N[C@H]2CCNC2=O)CC1 ZINC001269467943 813380820 /nfs/dbraw/zinc/38/08/20/813380820.db2.gz AZMNUCLXDCMRSJ-LBPRGKRZSA-N 0 1 277.368 0.307 20 30 CCEDMN N#CCNCC[C@H]1CCCN(C(=O)CCc2c[nH]nn2)C1 ZINC001269770787 813502149 /nfs/dbraw/zinc/50/21/49/813502149.db2.gz SHSZXYDEWZFDPG-GFCCVEGCSA-N 0 1 290.371 0.479 20 30 CCEDMN N#CCNCC[C@H]1CCCN(C(=O)CCc2cnn[nH]2)C1 ZINC001269770787 813502154 /nfs/dbraw/zinc/50/21/54/813502154.db2.gz SHSZXYDEWZFDPG-GFCCVEGCSA-N 0 1 290.371 0.479 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCn3ccnn3)[C@@H]2C1 ZINC001075733601 813660124 /nfs/dbraw/zinc/66/01/24/813660124.db2.gz ROOZKKWCZGCEAH-UONOGXRCSA-N 0 1 287.367 0.224 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)C(=O)NCC2CC2)CC1 ZINC001270255793 813696789 /nfs/dbraw/zinc/69/67/89/813696789.db2.gz OHYQGGREVVVGTH-UHFFFAOYSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN(C(=O)COCC)C1CCN(CC#CC)CC1 ZINC001270285344 813708047 /nfs/dbraw/zinc/70/80/47/813708047.db2.gz RJMSQRZLSLMJLC-UHFFFAOYSA-N 0 1 276.380 0.972 20 30 CCEDMN C[C@H](CNCc1nncs1)CNC(=O)[C@@H](C)C#N ZINC001270371588 813734040 /nfs/dbraw/zinc/73/40/40/813734040.db2.gz JJTFCYWUJHKYPC-BDAKNGLRSA-N 0 1 267.358 0.540 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC([C@H](C)NCc2cnon2)CC1 ZINC001029069899 813851349 /nfs/dbraw/zinc/85/13/49/813851349.db2.gz UOEVVQGHNQSFPC-QWRGUYRKSA-N 0 1 291.355 0.946 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)COCCC=C)C1 ZINC001270665565 813883085 /nfs/dbraw/zinc/88/30/85/813883085.db2.gz PYICVVGVHQWMBU-AWEZNQCLSA-N 0 1 250.342 0.793 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc[n+]([O-])cc2)[C@H]1CC ZINC001087493735 813963552 /nfs/dbraw/zinc/96/35/52/813963552.db2.gz JRLOJXJNGVZJMH-UONOGXRCSA-N 0 1 273.336 0.536 20 30 CCEDMN C#CC[N@@H+]1CCC[C@]2(CCN(C(=O)COC)C2)C1 ZINC001040029334 813989719 /nfs/dbraw/zinc/98/97/19/813989719.db2.gz KWTPMXZWPDSMRM-AWEZNQCLSA-N 0 1 250.342 0.581 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCCO)c1 ZINC001029260247 814023665 /nfs/dbraw/zinc/02/36/65/814023665.db2.gz KPRNVONRRJKJNW-BETUJISGSA-N 0 1 288.351 0.558 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](C)OC)C1 ZINC001270940572 814094445 /nfs/dbraw/zinc/09/44/45/814094445.db2.gz LGOVHVXXNOSWSZ-TZMCWYRMSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnnn2C)[C@H]1CC ZINC001087560151 814162385 /nfs/dbraw/zinc/16/23/85/814162385.db2.gz PXUDZHOLGZMTMY-NWDGAFQWSA-N 0 1 275.356 0.421 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@]2(C)CNC(=O)C2)[C@H]1CC ZINC001087669708 814183614 /nfs/dbraw/zinc/18/36/14/814183614.db2.gz LZHVYCHFPPTLCS-ZENOOKHLSA-N 0 1 291.395 0.505 20 30 CCEDMN CCC[C@@H](C)C(=O)NC[C@@]1(O)CCN(CC#CCOC)C1 ZINC001271133383 814192044 /nfs/dbraw/zinc/19/20/44/814192044.db2.gz ZPYUAGLPKXNBNH-ZBFHGGJFSA-N 0 1 296.411 0.625 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)N2CCOCC2)[C@H]1CC ZINC001087851891 814238600 /nfs/dbraw/zinc/23/86/00/814238600.db2.gz WCKYMIAGAYZHHM-SOUVJXGZSA-N 0 1 293.411 0.309 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)CCCOC)C1 ZINC001271211536 814336051 /nfs/dbraw/zinc/33/60/51/814336051.db2.gz XOGXYOSUOOANRA-GOEBONIOSA-N 0 1 296.411 0.625 20 30 CCEDMN C=CCn1cc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CC)nn1 ZINC001029507409 814336380 /nfs/dbraw/zinc/33/63/80/814336380.db2.gz JMFUHCLKYNZGJO-TXEJJXNPSA-N 0 1 275.356 0.773 20 30 CCEDMN CN1CC(C(=O)N2C[C@H]3CCN(CC#N)C[C@H]3C2)=NC1=O ZINC001088092079 814404544 /nfs/dbraw/zinc/40/45/44/814404544.db2.gz PWEBEVKOGCEXFD-MNOVXSKESA-N 0 1 289.339 0.043 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1C[C@H]2CC[C@@H](C1)N2CCO ZINC001029566800 814433871 /nfs/dbraw/zinc/43/38/71/814433871.db2.gz KQTMDOAEMJUJJR-CALCHBBNSA-N 0 1 298.386 0.878 20 30 CCEDMN CNC(=O)CN1CCC[C@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001040119290 814585686 /nfs/dbraw/zinc/58/56/86/814585686.db2.gz IUEYILDPABBRBT-WFASDCNBSA-N 0 1 292.383 0.207 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)CC2CCC2)CC1 ZINC001271905331 814627010 /nfs/dbraw/zinc/62/70/10/814627010.db2.gz NNNWZTQPNDINTO-UHFFFAOYSA-N 0 1 278.400 0.870 20 30 CCEDMN CCOCCN1CCC[C@@](CO)(NC(=O)C#CC2CC2)C1 ZINC001271959632 814652712 /nfs/dbraw/zinc/65/27/12/814652712.db2.gz TXBKWXVYSNLOBJ-MRXNPFEDSA-N 0 1 294.395 0.379 20 30 CCEDMN CC(C)[C@@H](O)C(=O)NCCNCc1ccccc1C#N ZINC001126631625 814698494 /nfs/dbraw/zinc/69/84/94/814698494.db2.gz HQANAYKTYQTYSA-CQSZACIVSA-N 0 1 275.352 0.781 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)CNC(=O)C1CC1 ZINC001272005085 814699602 /nfs/dbraw/zinc/69/96/02/814699602.db2.gz LOPZGYAATIIXEY-ZIAGYGMSSA-N 0 1 289.379 0.211 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CN2CCCC2=O)[C@H]1C ZINC001088462685 814714256 /nfs/dbraw/zinc/71/42/56/814714256.db2.gz IHTMMFMPEQTBSI-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cnn(C)c2)[C@H]1C ZINC001088490857 814741206 /nfs/dbraw/zinc/74/12/06/814741206.db2.gz WWGROPWHOAMEBH-OCCSQVGLSA-N 0 1 274.368 0.565 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)Cc1cnn(C)c1C ZINC001272033156 814765392 /nfs/dbraw/zinc/76/53/92/814765392.db2.gz IGQDOHNRLICDKX-UHFFFAOYSA-N 0 1 276.384 0.685 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnn2ncccc12 ZINC001272033553 814765470 /nfs/dbraw/zinc/76/54/70/814765470.db2.gz PUJMHMXOIQNAFM-UHFFFAOYSA-N 0 1 271.324 0.366 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cnc4n[nH]nc4c3)[C@@H]2C1 ZINC001075889324 814881603 /nfs/dbraw/zinc/88/16/03/814881603.db2.gz ITOMSLBEQCSGEW-GXFFZTMASA-N 0 1 298.350 0.685 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2nnc(C)o2)[C@H]1C ZINC001088553749 814887823 /nfs/dbraw/zinc/88/78/23/814887823.db2.gz XUQQLSJAZFDKOL-KOLCDFICSA-N 0 1 264.329 0.686 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c(C)ccn2C)[C@@H](O)C1 ZINC001083429278 814911511 /nfs/dbraw/zinc/91/15/11/814911511.db2.gz SKIZYHUXILNLPL-OLZOCXBDSA-N 0 1 275.352 0.132 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1cc(C)no1 ZINC001127040168 815590550 /nfs/dbraw/zinc/59/05/50/815590550.db2.gz ZTYYBABITIOMEA-LLVKDONJSA-N 0 1 265.313 0.227 20 30 CCEDMN N#C[C@@H](C(=O)NC1CC1)C(=O)[C@@H]1Cc2ccccc2C(=O)O1 ZINC000129408987 815613871 /nfs/dbraw/zinc/61/38/71/815613871.db2.gz HQUPIHHUMHSFTH-OLZOCXBDSA-N 0 1 298.298 0.756 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ncc(C)cc2C)[C@@H](O)C1 ZINC001083660089 815626211 /nfs/dbraw/zinc/62/62/11/815626211.db2.gz ILMNQQWNDDJDNY-KGLIPLIRSA-N 0 1 287.363 0.497 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2nccc3occc32)[C@@H](O)C1 ZINC001083661389 815628485 /nfs/dbraw/zinc/62/84/85/815628485.db2.gz YTZXOTMKMCQIDC-OLZOCXBDSA-N 0 1 299.330 0.626 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@]2(C)C[C@H]3C[C@H]3C2)[C@@H](O)C1 ZINC001083667172 815638122 /nfs/dbraw/zinc/63/81/22/815638122.db2.gz LLPCILGAPPATPT-YMSVYLFOSA-N 0 1 276.380 0.607 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2[nH]ncc2F)CCN1CC#N ZINC001088841164 815894565 /nfs/dbraw/zinc/89/45/65/815894565.db2.gz QENPZNGCEIGDLC-APPZFPTMSA-N 0 1 251.265 0.265 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCn2ccnn2)[C@H](OC)C1 ZINC001212172753 815953822 /nfs/dbraw/zinc/95/38/22/815953822.db2.gz QQJMRXCCMFVGIT-CHWSQXEVSA-N 0 1 293.371 0.060 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)Cc2nnc(C)[nH]2)C1 ZINC001030292252 815960723 /nfs/dbraw/zinc/96/07/23/815960723.db2.gz ZHYMIBTXGRUPRK-UHFFFAOYSA-N 0 1 263.345 0.422 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](CNCc2ncnn2C)[C@H](C)C1 ZINC001105926860 816002648 /nfs/dbraw/zinc/00/26/48/816002648.db2.gz LAUFBXABZSTSRE-CHWSQXEVSA-N 0 1 291.399 0.965 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)[C@H]1C ZINC001088850560 816071558 /nfs/dbraw/zinc/07/15/58/816071558.db2.gz YUGGVQMBVLFCEB-AGIUHOORSA-N 0 1 277.368 0.257 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cscn2)[C@H]1C ZINC001088901195 816166344 /nfs/dbraw/zinc/16/63/44/816166344.db2.gz AEVMWZCHXLSPAE-PWSUYJOCSA-N 0 1 263.366 0.898 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N2)[C@H]1C ZINC001088991299 816207789 /nfs/dbraw/zinc/20/77/89/816207789.db2.gz SSKOPGAGDMARES-VWYCJHECSA-N 0 1 251.330 0.030 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccn(C)n2)[C@H]1C ZINC001089036742 816219325 /nfs/dbraw/zinc/21/93/25/816219325.db2.gz WMZHOFKMDVIPKL-NEPJUHHUSA-N 0 1 260.341 0.636 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)[C@H]1C ZINC001089042074 816222934 /nfs/dbraw/zinc/22/29/34/816222934.db2.gz FYZFXDAOVCHVNI-PWSUYJOCSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCN1CC(NC(=O)[C@H]2c3cn[nH]c3CC[C@H]2C)C1 ZINC001030697396 816231023 /nfs/dbraw/zinc/23/10/23/816231023.db2.gz RRTJHQVYBAAGDY-QMTHXVAHSA-N 0 1 272.352 0.509 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@@H](C)C1CC1 ZINC001272388975 816381249 /nfs/dbraw/zinc/38/12/49/816381249.db2.gz JNUKWABSRULKMM-GXSJLCMTSA-N 0 1 260.765 0.852 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)C[N@@H+]2CC[C@H](C)C2)C1 ZINC001030960070 816506811 /nfs/dbraw/zinc/50/68/11/816506811.db2.gz OUGOCEPGDZMBHG-JTQLQIEISA-N 0 1 271.792 0.881 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC001122005828 816527796 /nfs/dbraw/zinc/52/77/96/816527796.db2.gz VNMGLCDRCACSFQ-LLVKDONJSA-N 0 1 261.329 0.042 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cc3n(n2)CCO3)C1 ZINC001046381452 816545153 /nfs/dbraw/zinc/54/51/53/816545153.db2.gz DZAGLFBZFWBNMK-OAHLLOKOSA-N 0 1 288.351 0.493 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001046417009 816572478 /nfs/dbraw/zinc/57/24/78/816572478.db2.gz HGNQUDJMYAVHNV-HNNXBMFYSA-N 0 1 288.351 0.214 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC001046417009 816572482 /nfs/dbraw/zinc/57/24/82/816572482.db2.gz HGNQUDJMYAVHNV-HNNXBMFYSA-N 0 1 288.351 0.214 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3ccco3)C2)OCC1=O ZINC001272579318 816636292 /nfs/dbraw/zinc/63/62/92/816636292.db2.gz XZVLKDXYINXROM-HNNXBMFYSA-N 0 1 274.320 0.716 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnc[nH]2)C[C@H]1CNCC#N ZINC001106223508 816663713 /nfs/dbraw/zinc/66/37/13/816663713.db2.gz HWUXHPFTNTVXRO-VXGBXAGGSA-N 0 1 275.356 0.550 20 30 CCEDMN COCCC(=O)NCCNCc1cc(C#N)ccc1OC ZINC001122387416 816674390 /nfs/dbraw/zinc/67/43/90/816674390.db2.gz BCPMOFOVEZSWMQ-UHFFFAOYSA-N 0 1 291.351 0.809 20 30 CCEDMN C#CCN1CC(NC(=O)c2[nH]nc3c2C[C@H](C)CC3)C1 ZINC001031184306 816759486 /nfs/dbraw/zinc/75/94/86/816759486.db2.gz PLSUUCRQZIFYLO-SNVBAGLBSA-N 0 1 272.352 0.582 20 30 CCEDMN C=CCCN1CC(NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001031223054 816842471 /nfs/dbraw/zinc/84/24/71/816842471.db2.gz YNCPVDAFFPODGV-LLVKDONJSA-N 0 1 274.368 0.891 20 30 CCEDMN CCN(CCNc1ccc(C#N)nn1)C(=O)c1cc(C)[nH]n1 ZINC001106691769 816851679 /nfs/dbraw/zinc/85/16/79/816851679.db2.gz GFHAPROWDZPWCH-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN C#CCN(C)Cc1ccccc1CNC(=O)Cn1cnnn1 ZINC001272664706 817025883 /nfs/dbraw/zinc/02/58/83/817025883.db2.gz ZNQDBCUNJLPWJM-UHFFFAOYSA-N 0 1 298.350 0.055 20 30 CCEDMN N#CCN1CC(NC(=O)Cc2[nH]nc3ccccc32)C1 ZINC001031432027 817051019 /nfs/dbraw/zinc/05/10/19/817051019.db2.gz KBPWCXITVHYEBF-UHFFFAOYSA-N 0 1 269.308 0.429 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC2(O)CCC2)[C@H](OC)C1 ZINC001212240171 817156911 /nfs/dbraw/zinc/15/69/11/817156911.db2.gz ZDUGYGIVUAJSGL-CHWSQXEVSA-N 0 1 282.384 0.683 20 30 CCEDMN Cc1nc(CN2CC(CNC(=O)c3ccc(C#N)[nH]3)C2)n[nH]1 ZINC001031620340 817191180 /nfs/dbraw/zinc/19/11/80/817191180.db2.gz HCVBGACZLBINEO-UHFFFAOYSA-N 0 1 299.338 0.175 20 30 CCEDMN CCNCc1cn([C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)nn1 ZINC001089581269 817208718 /nfs/dbraw/zinc/20/87/18/817208718.db2.gz ZXKHWWQTLKYHPT-CMPLNLGQSA-N 0 1 276.344 0.321 20 30 CCEDMN CC(C)NCc1cn([C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)nn1 ZINC001089581013 817209493 /nfs/dbraw/zinc/20/94/93/817209493.db2.gz YNUIMLGBHCPMJW-WCQYABFASA-N 0 1 290.371 0.709 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cnn(CC)n2)C1 ZINC001031652063 817217549 /nfs/dbraw/zinc/21/75/49/817217549.db2.gz RLECVHXNJBSWSO-UHFFFAOYSA-N 0 1 263.345 0.536 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCN(C(=O)CC)C2)C1 ZINC001031693370 817247787 /nfs/dbraw/zinc/24/77/87/817247787.db2.gz HQFAFRDMHKDZLS-CQSZACIVSA-N 0 1 293.411 0.869 20 30 CCEDMN Cc1cc(N[C@@H](C)CCNC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001099382500 817273929 /nfs/dbraw/zinc/27/39/29/817273929.db2.gz NWAUOUHCNFLCRD-VIFPVBQESA-N 0 1 299.338 0.422 20 30 CCEDMN Cc1cc(N[C@@H](C)CCNC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001099382500 817273934 /nfs/dbraw/zinc/27/39/34/817273934.db2.gz NWAUOUHCNFLCRD-VIFPVBQESA-N 0 1 299.338 0.422 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnc(C)nc2OC)C1 ZINC001031716753 817282047 /nfs/dbraw/zinc/28/20/47/817282047.db2.gz PIPLICCXSWNXGV-UHFFFAOYSA-N 0 1 288.351 0.479 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc3n(n2)CCC3)C1 ZINC001031716679 817283079 /nfs/dbraw/zinc/28/30/79/817283079.db2.gz NEFOWXAWRWRNRD-UHFFFAOYSA-N 0 1 272.352 0.514 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1OC ZINC001212320808 817368833 /nfs/dbraw/zinc/36/88/33/817368833.db2.gz BPVQAEDXFBRCKL-BPLDGKMQSA-N 0 1 296.411 0.951 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc3[nH]c(=O)[nH]c3c2)C1 ZINC001031797904 817373726 /nfs/dbraw/zinc/37/37/26/817373726.db2.gz KJAYBNBYVSLHCG-UHFFFAOYSA-N 0 1 287.323 0.511 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CC(=O)N[C@@H](C)C2)C1 ZINC001031801706 817377079 /nfs/dbraw/zinc/37/70/79/817377079.db2.gz FMCYAOWQAGPTOH-AAEUAGOBSA-N 0 1 279.384 0.525 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(C)n(C)n1 ZINC001124278141 817378018 /nfs/dbraw/zinc/37/80/18/817378018.db2.gz SGTOFVOPZGIXMC-UHFFFAOYSA-N 0 1 256.737 0.800 20 30 CCEDMN C[C@H]1C[C@@H]1CN1CC2(C1)COCC(=O)N2CCCC#N ZINC001272692581 817402137 /nfs/dbraw/zinc/40/21/37/817402137.db2.gz OSIKOPWAKNQMGL-QWHCGFSZSA-N 0 1 277.368 0.859 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)NCC1CN(CC#N)C1 ZINC001031856842 817420823 /nfs/dbraw/zinc/42/08/23/817420823.db2.gz KFNMCTBBAJQFOH-HZMBPMFUSA-N 0 1 287.367 0.647 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1ccnn1C ZINC001124538690 817499862 /nfs/dbraw/zinc/49/98/62/817499862.db2.gz FDWSNPNWSCZJRT-UHFFFAOYSA-N 0 1 287.161 0.648 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c[nH]c(=O)cc2C)C1 ZINC001031967658 817503968 /nfs/dbraw/zinc/50/39/68/817503968.db2.gz YFJOVOVSYGYUJY-UHFFFAOYSA-N 0 1 261.325 0.943 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cocc2C)C1 ZINC001047489541 817572973 /nfs/dbraw/zinc/57/29/73/817572973.db2.gz QMIZKDQWSYDRFE-KBPBESRZSA-N 0 1 276.336 0.728 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc3nncn3c2)C1 ZINC001032050082 817578968 /nfs/dbraw/zinc/57/89/68/817578968.db2.gz KNAWEGUVGCTXQA-UHFFFAOYSA-N 0 1 271.324 0.577 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1OC ZINC001212349023 817609687 /nfs/dbraw/zinc/60/96/87/817609687.db2.gz HRBUCEOHYVGHDZ-JHJVBQTASA-N 0 1 270.373 0.539 20 30 CCEDMN CC(C)c1nc(CNCCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001124887914 817614588 /nfs/dbraw/zinc/61/45/88/817614588.db2.gz ANYJRMDVYLUMQH-SECBINFHSA-N 0 1 264.333 0.294 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001032188089 817682725 /nfs/dbraw/zinc/68/27/25/817682725.db2.gz OEZYQVQNJQTRTI-UHFFFAOYSA-N 0 1 276.340 0.351 20 30 CCEDMN C=CCOCCCC(=O)NCCN[C@@H](C)c1n[nH]c(C)n1 ZINC001125253081 817746350 /nfs/dbraw/zinc/74/63/50/817746350.db2.gz XNCJRCGUHUHKPI-NSHDSACASA-N 0 1 295.387 0.863 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cnn(C)c1 ZINC001032309933 817838080 /nfs/dbraw/zinc/83/80/80/817838080.db2.gz GBDIWLOQMIWHJD-KBPBESRZSA-N 0 1 272.352 0.271 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)NC1(C#N)CCN(C)CC1 ZINC001127792646 817878203 /nfs/dbraw/zinc/87/82/03/817878203.db2.gz ATTOPMQOXYGPJQ-UHFFFAOYSA-N 0 1 271.324 0.615 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2CCC)C1 ZINC001077639578 817901831 /nfs/dbraw/zinc/90/18/31/817901831.db2.gz OZLYOBAZZWSBIE-AAVRWANBSA-N 0 1 264.369 0.607 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C[C@H](CC)OC)C[C@H]2O)C1 ZINC001077644653 817908252 /nfs/dbraw/zinc/90/82/52/817908252.db2.gz ZOQOAYXLKQEJKT-BFHYXJOUSA-N 0 1 296.411 0.929 20 30 CCEDMN C[C@H](CNc1ccc(C#N)cn1)NC(=O)CCc1nc[nH]n1 ZINC001107671421 817928766 /nfs/dbraw/zinc/92/87/66/817928766.db2.gz RWAMXBFYALVKIS-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@H]2CCCO2)C1 ZINC001107719309 817964443 /nfs/dbraw/zinc/96/44/43/817964443.db2.gz APLCYDQWIJMHMB-HIFRSBDPSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@@H]2CCOC2)C1 ZINC001107793005 818009672 /nfs/dbraw/zinc/00/96/72/818009672.db2.gz NDBCVNJYUOUYFP-UKRRQHHQSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCOCC(=O)NC[C@@]1(C)CN(CCC)CCO1 ZINC001107812667 818027531 /nfs/dbraw/zinc/02/75/31/818027531.db2.gz AWJPCDWQWDHYLT-AWEZNQCLSA-N 0 1 270.373 0.806 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H]2CCC(=O)N2)C1 ZINC001107813633 818030748 /nfs/dbraw/zinc/03/07/48/818030748.db2.gz VAMYRDSCRWMPCI-IUODEOHRSA-N 0 1 295.383 0.048 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3cccc(C)n3)C2)OCC1=O ZINC001272943548 818085672 /nfs/dbraw/zinc/08/56/72/818085672.db2.gz DFNIOKJOYXNPPK-KRWDZBQOSA-N 0 1 299.374 0.827 20 30 CCEDMN Nc1nonc1C(=Nc1cc(F)cc(F)c1O)NO ZINC001212477474 818182732 /nfs/dbraw/zinc/18/27/32/818182732.db2.gz FXMOJEWQPAXFOD-UHFFFAOYSA-N 0 1 271.183 0.693 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2cc(C)n[nH]2)C1 ZINC001032940607 818372455 /nfs/dbraw/zinc/37/24/55/818372455.db2.gz WNNSOQHNEVRCEJ-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2cnn(C)c2)C1 ZINC001032953957 818384415 /nfs/dbraw/zinc/38/44/15/818384415.db2.gz SCXRFEXGMSSLBV-CQSZACIVSA-N 0 1 274.368 0.519 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H]2CNC(=O)c2ncn[nH]2)nc1 ZINC001061382807 818431962 /nfs/dbraw/zinc/43/19/62/818431962.db2.gz NOQZCYLMUAFAKR-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H]2CNC(=O)c2nc[nH]n2)nc1 ZINC001061382807 818431968 /nfs/dbraw/zinc/43/19/68/818431968.db2.gz NOQZCYLMUAFAKR-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2snnc2C)[C@H](O)C1 ZINC001090066128 818446157 /nfs/dbraw/zinc/44/61/57/818446157.db2.gz VGDWMZIDCKSEEA-NXEZZACHSA-N 0 1 282.369 0.198 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnnn2CC)C1 ZINC001033053561 818467247 /nfs/dbraw/zinc/46/72/47/818467247.db2.gz KSEWMLNYGIYAAT-LLVKDONJSA-N 0 1 263.345 0.630 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2cnn(CC)n2)C1 ZINC001033055846 818472141 /nfs/dbraw/zinc/47/21/41/818472141.db2.gz CFCYSIAEXDTAGT-GFCCVEGCSA-N 0 1 275.356 0.468 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CC1CCSCC1)C2 ZINC001273050401 818532475 /nfs/dbraw/zinc/53/24/75/818532475.db2.gz QCOYXLFYGHIRNN-UHFFFAOYSA-N 0 1 294.420 0.676 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033124020 818550198 /nfs/dbraw/zinc/55/01/98/818550198.db2.gz MDTATFHTKPRRJC-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001033139424 818563061 /nfs/dbraw/zinc/56/30/61/818563061.db2.gz KACSHRYRBLHLON-DZGCQCFKSA-N 0 1 298.390 0.747 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)n[nH]2)[C@H](O)C1 ZINC001090106233 818589622 /nfs/dbraw/zinc/58/96/22/818589622.db2.gz ZVYXCMCHCNKZNO-ZYHUDNBSSA-N 0 1 264.329 0.069 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2COCCN2CC)C1 ZINC001033211530 818653175 /nfs/dbraw/zinc/65/31/75/818653175.db2.gz KGVXYEHNJDYHBQ-KGLIPLIRSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN2CCCCC2=O)C1 ZINC001033213423 818654981 /nfs/dbraw/zinc/65/49/81/818654981.db2.gz JHYXFFTZSPPSPT-ZDUSSCGKSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN2CCCNC2=O)C1 ZINC001033228843 818665271 /nfs/dbraw/zinc/66/52/71/818665271.db2.gz NWJADWMYBPGHHU-LBPRGKRZSA-N 0 1 280.372 0.120 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCN(C(N)=O)C2)C1 ZINC001033250120 818675598 /nfs/dbraw/zinc/67/55/98/818675598.db2.gz WMGKTVJRJNRWIJ-QWHCGFSZSA-N 0 1 294.399 0.496 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2C2CCC2)[C@@H](O)C1 ZINC001083851163 818678099 /nfs/dbraw/zinc/67/80/99/818678099.db2.gz PZFQCLMQTVVUAO-YJNKXOJESA-N 0 1 276.380 0.607 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)n(C)n2)[C@H](O)C1 ZINC001090128240 818678919 /nfs/dbraw/zinc/67/89/19/818678919.db2.gz KMFRQACUTLJBCP-DGCLKSJQSA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033352871 818733058 /nfs/dbraw/zinc/73/30/58/818733058.db2.gz LUUICBSDOPOPKX-VXGBXAGGSA-N 0 1 265.357 0.326 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001033398487 818752907 /nfs/dbraw/zinc/75/29/07/818752907.db2.gz ZBQGRDSMTILJPN-WFASDCNBSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033426150 818773288 /nfs/dbraw/zinc/77/32/88/818773288.db2.gz OJLJTDYZOYBONY-SNVBAGLBSA-N 0 1 276.340 0.446 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cn2nnnc2C(C)C)C1 ZINC001033486237 818794217 /nfs/dbraw/zinc/79/42/17/818794217.db2.gz JXBHXKOOCILCEQ-GFCCVEGCSA-N 0 1 292.387 0.515 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cn(CC)nn2)C1 ZINC001033548166 818822882 /nfs/dbraw/zinc/82/28/82/818822882.db2.gz GUABLIHGRRYIAY-LLVKDONJSA-N 0 1 263.345 0.630 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2c[nH]c(C)cc2=O)C1 ZINC001033549836 818823765 /nfs/dbraw/zinc/82/37/65/818823765.db2.gz ADJHAKWBXSYRNS-CYBMUJFWSA-N 0 1 287.363 0.853 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001033605538 818849221 /nfs/dbraw/zinc/84/92/21/818849221.db2.gz WTXIPAVNPBRUGU-KBPBESRZSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001033617419 818853676 /nfs/dbraw/zinc/85/36/76/818853676.db2.gz TWTUVULTVGJZNV-UONOGXRCSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](N(CC)C(C)=O)C2)C1=O ZINC001033716904 818901804 /nfs/dbraw/zinc/90/18/04/818901804.db2.gz COXWIFHBXPUWLU-ZIAGYGMSSA-N 0 1 279.384 0.716 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cnn(C)c2)C1 ZINC001033743989 818915746 /nfs/dbraw/zinc/91/57/46/818915746.db2.gz FEZBHAJDDRXKLV-AWEZNQCLSA-N 0 1 274.368 0.980 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCOC2)C1 ZINC001033765563 818928914 /nfs/dbraw/zinc/92/89/14/818928914.db2.gz DQJVROPRJIBXJO-OLZOCXBDSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCNC2=O)C1 ZINC001033923811 818990799 /nfs/dbraw/zinc/99/07/99/818990799.db2.gz UJQXBKXDIWALIO-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(=O)[nH]2)[C@H](O)C1 ZINC001090168423 819004538 /nfs/dbraw/zinc/00/45/38/819004538.db2.gz AYEZUIIITRWUQI-CMPLNLGQSA-N 0 1 277.324 0.138 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2CCC2)[C@@H](O)C1 ZINC001090168344 819005008 /nfs/dbraw/zinc/00/50/08/819005008.db2.gz AHLVAUYNQGYGBJ-KBPBESRZSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001034031258 819035988 /nfs/dbraw/zinc/03/59/88/819035988.db2.gz GSURIMSEQZCMJI-LSDHHAIUSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2=CCCC2)[C@@H](O)C1 ZINC001090189554 819070814 /nfs/dbraw/zinc/07/08/14/819070814.db2.gz OKTLFCIFPGIFIQ-KGLIPLIRSA-N 0 1 262.353 0.671 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccn(C)c2)[C@H](O)C1 ZINC001090195697 819119432 /nfs/dbraw/zinc/11/94/32/819119432.db2.gz XAIMMILKJBPXJZ-CHWSQXEVSA-N 0 1 263.341 0.376 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001034274133 819149967 /nfs/dbraw/zinc/14/99/67/819149967.db2.gz ANOZLIMHWYBFKB-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2csnc2C)[C@@H](O)C1 ZINC001090204286 819154235 /nfs/dbraw/zinc/15/42/35/819154235.db2.gz OOBBWWPQMMFMLC-RYUDHWBXSA-N 0 1 281.381 0.803 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(OC)no2)[C@H](O)C1 ZINC001090205916 819162912 /nfs/dbraw/zinc/16/29/12/819162912.db2.gz LDPQBLFVNKBHAO-WDEREUQCSA-N 0 1 295.339 0.424 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC001034347796 819179984 /nfs/dbraw/zinc/17/99/84/819179984.db2.gz JMDYWXZKCDSXJF-GFCCVEGCSA-N 0 1 290.367 0.733 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccoc2)[C@H](O)C1 ZINC001090214119 819198943 /nfs/dbraw/zinc/19/89/43/819198943.db2.gz VBCQEYRFNFBZBN-CHWSQXEVSA-N 0 1 264.325 0.560 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001034440542 819205865 /nfs/dbraw/zinc/20/58/65/819205865.db2.gz WBQWPUWQZZMOCI-STQMWFEESA-N 0 1 277.368 0.259 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cn(CC)nn2)C1 ZINC001034463048 819208251 /nfs/dbraw/zinc/20/82/51/819208251.db2.gz PJSZUIMFBATDSK-CYBMUJFWSA-N 0 1 289.383 0.906 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001034467451 819211166 /nfs/dbraw/zinc/21/11/66/819211166.db2.gz MLIAPIVKMRDIOM-LBPRGKRZSA-N 0 1 288.351 0.331 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC001034499110 819218911 /nfs/dbraw/zinc/21/89/11/819218911.db2.gz QUOLFRRREMSOGI-GJZGRUSLSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nccc(C)n2)[C@H](O)C1 ZINC001090229577 819276328 /nfs/dbraw/zinc/27/63/28/819276328.db2.gz CVWTWPXZCIJLSU-NWDGAFQWSA-N 0 1 276.340 0.136 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2n[nH]cc2C)[C@@H](O)C1 ZINC001090252533 819315880 /nfs/dbraw/zinc/31/58/80/819315880.db2.gz UDDFXPAIVVFJDH-MNOVXSKESA-N 0 1 264.329 0.069 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H]1CNCc1nccn1C ZINC001034884322 819353652 /nfs/dbraw/zinc/35/36/52/819353652.db2.gz FKTNAEAPHYRKPZ-VXGBXAGGSA-N 0 1 275.356 0.660 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2cncn2C)C1 ZINC001035435902 819523869 /nfs/dbraw/zinc/52/38/69/819523869.db2.gz UXVZVOSLNNMSJE-CYBMUJFWSA-N 0 1 292.383 0.817 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2ccncn2)C1 ZINC001035547825 819562534 /nfs/dbraw/zinc/56/25/34/819562534.db2.gz NTNNMEXDNQWXQA-ZDUSSCGKSA-N 0 1 290.367 0.873 20 30 CCEDMN C=CCN1CCO[C@@H](CNC(=O)[C@H]2CCCCN2CC)C1 ZINC001035614771 819591870 /nfs/dbraw/zinc/59/18/70/819591870.db2.gz RYSFBGULUBGYAW-LSDHHAIUSA-N 0 1 295.427 0.864 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1COCCO1)CC2 ZINC001035655729 819592985 /nfs/dbraw/zinc/59/29/85/819592985.db2.gz MMNJSWRHXVHESD-AWEZNQCLSA-N 0 1 292.379 0.350 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC(=O)N1)CC2 ZINC001035840401 819634026 /nfs/dbraw/zinc/63/40/26/819634026.db2.gz XRWMVKBOBXDPBD-LBPRGKRZSA-N 0 1 277.368 0.375 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2nc[nH]n2)CC[C@@H]1NCC#N ZINC001035976235 819664905 /nfs/dbraw/zinc/66/49/05/819664905.db2.gz ZOXFHTMMQSXBRT-MNOVXSKESA-N 0 1 276.344 0.087 20 30 CCEDMN C[C@@H]1CN(C(=O)C#CC2CC2)CC[C@@H]1NCc1cnon1 ZINC001036037008 819681493 /nfs/dbraw/zinc/68/14/93/819681493.db2.gz LFCIRDAACUTQJH-RISCZKNCSA-N 0 1 288.351 0.810 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3cnns3)CC[C@H]21 ZINC001036658087 819874251 /nfs/dbraw/zinc/87/42/51/819874251.db2.gz CSLDYWHBBPCWRG-GHMZBOCLSA-N 0 1 276.365 0.708 20 30 CCEDMN C=CCCC(=O)N1CCCC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001062057214 819891188 /nfs/dbraw/zinc/89/11/88/819891188.db2.gz MREUJSKVERUDIK-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(Cl)cnn2C)[C@H](O)C1 ZINC001090270447 820026169 /nfs/dbraw/zinc/02/61/69/820026169.db2.gz XRKHKDBUDYRICH-WDEREUQCSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)nnc2C)[C@@H](O)C1 ZINC001090292608 820216568 /nfs/dbraw/zinc/21/65/68/820216568.db2.gz OYXFWSMPJCVJOU-KBPBESRZSA-N 0 1 290.367 0.444 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(C3CC3)CC2)[C@H](O)C1 ZINC001090338458 820279594 /nfs/dbraw/zinc/27/95/94/820279594.db2.gz JLCGPDCTTDIMTI-ZIAGYGMSSA-N 0 1 276.380 0.751 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCOC2)C1 ZINC001079479053 820405493 /nfs/dbraw/zinc/40/54/93/820405493.db2.gz OYQPLWDQUSBCNV-FRRDWIJNSA-N 0 1 250.342 0.483 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC001079658314 820446140 /nfs/dbraw/zinc/44/61/40/820446140.db2.gz UPVVVNYFXXMZQX-MWLCHTKSSA-N 0 1 264.329 0.543 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCNCc1ncnn1C ZINC001163948433 820456135 /nfs/dbraw/zinc/45/61/35/820456135.db2.gz HVVCKMNJPUZNEU-UHFFFAOYSA-N 0 1 265.361 0.623 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2c[nH]nc2C)C1 ZINC001080234588 820532280 /nfs/dbraw/zinc/53/22/80/820532280.db2.gz JRVSIOGJPXWQLO-ZWNOBZJWSA-N 0 1 260.341 0.792 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CC2=CCOCC2)C1 ZINC001080390741 820557867 /nfs/dbraw/zinc/55/78/67/820557867.db2.gz XTRIERUMJPBYRL-TZMCWYRMSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2nccn3cc(C)nc23)C1 ZINC001080738437 820627973 /nfs/dbraw/zinc/62/79/73/820627973.db2.gz HYNCKWCEQVCUDZ-DGCLKSJQSA-N 0 1 297.362 0.721 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC001080850336 820646450 /nfs/dbraw/zinc/64/64/50/820646450.db2.gz JYHAHPMSYYMTDU-ZWNOBZJWSA-N 0 1 260.341 0.330 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001080869513 820649173 /nfs/dbraw/zinc/64/91/73/820649173.db2.gz NJLXPPBCPHVPAP-JHJVBQTASA-N 0 1 277.368 0.067 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC001080926077 820655344 /nfs/dbraw/zinc/65/53/44/820655344.db2.gz ZUKGPVAWKKAGKR-NOZJJQNGSA-N 0 1 284.323 0.532 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)N2CCCCC2=O)C1 ZINC001080986862 820667397 /nfs/dbraw/zinc/66/73/97/820667397.db2.gz DMYFGPNHKQENSA-MGPQQGTHSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cncc(F)c2)[C@H](OC)C1 ZINC001081521570 820782132 /nfs/dbraw/zinc/78/21/32/820782132.db2.gz KUUDIIVNTQVRIC-ZIAGYGMSSA-N 0 1 291.326 0.673 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2=CCCC2)[C@H](OC)C1 ZINC001081525142 820784819 /nfs/dbraw/zinc/78/48/19/820784819.db2.gz SWDSTVMDJHVEEA-ZIAGYGMSSA-N 0 1 262.353 0.935 20 30 CCEDMN CO[C@@H]1CN(CCCF)C[C@H]1NC(=O)c1c[nH]c(C#N)c1 ZINC001081563508 820789894 /nfs/dbraw/zinc/78/98/94/820789894.db2.gz SGPUHUDZNKWKFD-CHWSQXEVSA-N 0 1 294.330 0.675 20 30 CCEDMN CCN1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@H](OC)C1 ZINC001081540698 820794651 /nfs/dbraw/zinc/79/46/51/820794651.db2.gz NTMWNYPNIOSXEW-VXGBXAGGSA-N 0 1 262.313 0.335 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CCC(O)CC2)[C@H](OC)C1 ZINC001081599373 820802660 /nfs/dbraw/zinc/80/26/60/820802660.db2.gz SAEAAKRCGTVGIS-NEXFUWMNSA-N 0 1 294.395 0.376 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2nccn2CC)[C@H](OC)C1 ZINC001081605467 820805997 /nfs/dbraw/zinc/80/59/97/820805997.db2.gz QEUAZENWMKSEQY-CHWSQXEVSA-N 0 1 290.367 0.355 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2oncc2C)[C@H](OC)C1 ZINC001082295486 820930835 /nfs/dbraw/zinc/93/08/35/820930835.db2.gz VSJZNMXNLRBGSP-VXGBXAGGSA-N 0 1 279.340 0.988 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cn(CC)cn2)[C@H](OC)C1 ZINC001082328815 820936338 /nfs/dbraw/zinc/93/63/38/820936338.db2.gz NACWZKQGIYSNOT-TZMCWYRMSA-N 0 1 292.383 0.908 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)C(=O)C(C)(C)C)[C@@H](O)C1 ZINC001099747178 820994777 /nfs/dbraw/zinc/99/47/77/820994777.db2.gz UUGUTRQXMGXINP-NEPJUHHUSA-N 0 1 280.368 0.176 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCC(=O)NC2)C[C@H]1C ZINC001082610818 821006157 /nfs/dbraw/zinc/00/61/57/821006157.db2.gz CXBWVNRAIYEHOP-ADEWGFFLSA-N 0 1 299.802 0.702 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2n[nH]c3c2CCC3)[C@@H](O)C1 ZINC001090388380 821062174 /nfs/dbraw/zinc/06/21/74/821062174.db2.gz PMYFRBFDRYNYJR-OLZOCXBDSA-N 0 1 290.367 0.249 20 30 CCEDMN CCCN1C[C@H]2OCCN(C(=O)[C@@H](C)C#N)[C@H]2C1 ZINC001083024262 821113444 /nfs/dbraw/zinc/11/34/44/821113444.db2.gz HPYLDGLQBLWPLY-SDDRHHMPSA-N 0 1 251.330 0.468 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CC(C)(C)O)[C@H]2C1 ZINC001083066850 821134642 /nfs/dbraw/zinc/13/46/42/821134642.db2.gz YMZRNMYCPLGUMN-QWHCGFSZSA-N 0 1 280.368 0.082 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CCN(CC#CC)C[C@H]1O ZINC001099794830 821181091 /nfs/dbraw/zinc/18/10/91/821181091.db2.gz RZIFGIDPJZOJBE-HUUCEWRRSA-N 0 1 276.380 0.755 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCCCN2CC)[C@@H](O)C1 ZINC001084090655 821199171 /nfs/dbraw/zinc/19/91/71/821199171.db2.gz AWTHMOIJNBLUKZ-RDBSUJKOSA-N 0 1 281.400 0.208 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cc[n+]([O-])cc3)[C@@H]2C1 ZINC001084170197 821223656 /nfs/dbraw/zinc/22/36/56/821223656.db2.gz NCXQJBLREBEFQG-HUUCEWRRSA-N 0 1 285.347 0.490 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3nccnc3N)[C@@H]2C1 ZINC001084240250 821237261 /nfs/dbraw/zinc/23/72/61/821237261.db2.gz WXGQBVQFQUKANL-VXGBXAGGSA-N 0 1 287.367 0.781 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)Cc3ccn[nH]3)[C@@H]2C1 ZINC001084247284 821239710 /nfs/dbraw/zinc/23/97/10/821239710.db2.gz AASJAQFFKZHSBT-DGCLKSJQSA-N 0 1 258.325 0.118 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCNC(=O)C3)[C@@H]2C1 ZINC001084276644 821259593 /nfs/dbraw/zinc/25/95/93/821259593.db2.gz KRIKKWHDUCNNTI-MGPQQGTHSA-N 0 1 289.379 0.069 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)[C@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC001084346013 821276144 /nfs/dbraw/zinc/27/61/44/821276144.db2.gz XUKFKPNABDGMEH-TYNCELHUSA-N 0 1 299.378 0.886 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@H](C(C)C)[NH+](C)C)[C@@H]2C1 ZINC001084429293 821285631 /nfs/dbraw/zinc/28/56/31/821285631.db2.gz XWWGDKQSQPSPEE-KFWWJZLASA-N 0 1 277.412 0.739 20 30 CCEDMN C=CCn1cccc1C(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001084409031 821287153 /nfs/dbraw/zinc/28/71/53/821287153.db2.gz GHOXUJVHIBJZKT-UKRRQHHQSA-N 0 1 289.379 0.813 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cnc4n[nH]nc4c3)[C@@H]2C1 ZINC001084410678 821291864 /nfs/dbraw/zinc/29/18/64/821291864.db2.gz QUHRMXSZOGFAGT-ZWNOBZJWSA-N 0 1 298.350 0.685 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCC(=O)NC3)[C@@H]2C1 ZINC001084474745 821300851 /nfs/dbraw/zinc/30/08/51/821300851.db2.gz PAAFXFUMDOPTLT-MGPQQGTHSA-N 0 1 289.379 0.069 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001084526192 821306776 /nfs/dbraw/zinc/30/67/76/821306776.db2.gz PEKZROLKHPKHDT-HUUCEWRRSA-N 0 1 299.374 0.886 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@]3(C)CNC(=O)C3)[C@@H]2C1 ZINC001084541181 821308181 /nfs/dbraw/zinc/30/81/81/821308181.db2.gz GRJVOZUYPNBCHW-JMSVASOKSA-N 0 1 277.368 0.231 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN(CC=C)C[C@@H]1O ZINC001099807435 821322640 /nfs/dbraw/zinc/32/26/40/821322640.db2.gz QAZUMBXIDRUOCC-OLZOCXBDSA-N 0 1 250.342 0.527 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3cccc(=O)n3C)[C@@H]2C1 ZINC001084650129 821337961 /nfs/dbraw/zinc/33/79/61/821337961.db2.gz QRBUZGLXYAGLOL-UKRRQHHQSA-N 0 1 299.374 0.555 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCN(C(C)=O)C1)C2 ZINC001098293763 821361367 /nfs/dbraw/zinc/36/13/67/821361367.db2.gz XYVUZJBUQBDWGZ-YJNKXOJESA-N 0 1 291.395 0.762 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(C(=O)N(C)C)CC2)CC1 ZINC001229993161 821397802 /nfs/dbraw/zinc/39/78/02/821397802.db2.gz QLTCEQVEDWYDIE-UHFFFAOYSA-N 0 1 277.368 0.069 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CC[C@H]2CCN(CC#N)[C@H]2C1 ZINC001084973354 821447194 /nfs/dbraw/zinc/44/71/94/821447194.db2.gz JLSRHLNIVMWSGV-KFWWJZLASA-N 0 1 290.411 0.917 20 30 CCEDMN C[C@]1(NC(=O)c2ncn[nH]2)CCN(c2ccc(C#N)nc2)C1 ZINC001065273147 821552844 /nfs/dbraw/zinc/55/28/44/821552844.db2.gz KCUVJCDZGWTEKH-AWEZNQCLSA-N 0 1 297.322 0.470 20 30 CCEDMN C[C@]1(NC(=O)c2nc[nH]n2)CCN(c2ccc(C#N)nc2)C1 ZINC001065273147 821552849 /nfs/dbraw/zinc/55/28/49/821552849.db2.gz KCUVJCDZGWTEKH-AWEZNQCLSA-N 0 1 297.322 0.470 20 30 CCEDMN CC#CCCCC(=O)N[C@H]1CCN(CC#CC)C[C@@H]1O ZINC001099961999 821658370 /nfs/dbraw/zinc/65/83/70/821658370.db2.gz HEIUJDIMXCGSBY-GJZGRUSLSA-N 0 1 276.380 0.755 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc[nH]c(=O)c1 ZINC001085543070 821776090 /nfs/dbraw/zinc/77/60/90/821776090.db2.gz ODFDDHAYZXIFEC-CYBMUJFWSA-N 0 1 273.336 0.957 20 30 CCEDMN COCCN1CC[C@H]1CN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001085542649 821776343 /nfs/dbraw/zinc/77/63/43/821776343.db2.gz CHVAKPHTBZDRAB-LBPRGKRZSA-N 0 1 276.340 0.679 20 30 CCEDMN CN(C[C@@H]1CCN1CCCO)C(=O)c1ccc(C#N)[nH]1 ZINC001085543051 821776427 /nfs/dbraw/zinc/77/64/27/821776427.db2.gz NPNFJWARVMYIHU-LBPRGKRZSA-N 0 1 276.340 0.415 20 30 CCEDMN CN(C[C@H]1CCN1CCO)C(=O)c1ccc(C#N)[nH]1 ZINC001085553574 821783703 /nfs/dbraw/zinc/78/37/03/821783703.db2.gz PAKJXGUJJCSLOF-LLVKDONJSA-N 0 1 262.313 0.025 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1nn(C)cc1C ZINC001085591235 821834773 /nfs/dbraw/zinc/83/47/73/821834773.db2.gz GDAWSJQMROMOLG-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1C[C@@H](C)CC(=O)N1 ZINC001085602179 821842246 /nfs/dbraw/zinc/84/22/46/821842246.db2.gz RIQXDUQSXAVDQC-MGPQQGTHSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CN(C)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001085625860 821862272 /nfs/dbraw/zinc/86/22/72/821862272.db2.gz ATTZJBGRSSBOCA-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CC(=O)N(C)C1 ZINC001085625860 821862282 /nfs/dbraw/zinc/86/22/82/821862282.db2.gz ATTZJBGRSSBOCA-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccnc2ccnn21 ZINC001085637708 821870650 /nfs/dbraw/zinc/87/06/50/821870650.db2.gz XFSIQIOLPDNBEZ-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCC(=O)N1C ZINC001085767447 821940112 /nfs/dbraw/zinc/94/01/12/821940112.db2.gz INVVKCVCNLBVHC-STQMWFEESA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(=O)n1C ZINC001085764210 821943499 /nfs/dbraw/zinc/94/34/99/821943499.db2.gz RUAIEOZVDONUIS-LLVKDONJSA-N 0 1 278.356 0.848 20 30 CCEDMN CC(C)N1CCO[C@H](C(=O)N(C)C[C@@H]2CCN2CC#N)C1 ZINC001085779664 821947041 /nfs/dbraw/zinc/94/70/41/821947041.db2.gz AKLZKJRRBGTNAD-KBPBESRZSA-N 0 1 294.399 0.152 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cccc(=O)n1C ZINC001085810890 821962811 /nfs/dbraw/zinc/96/28/11/821962811.db2.gz QYNVZBTWVZQARQ-CYBMUJFWSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCN1CCO[C@](C)(CNC(=O)CN2CC[C@@H](C)C2)C1 ZINC001108215574 821985549 /nfs/dbraw/zinc/98/55/49/821985549.db2.gz GCIAOIJDHBJJGG-GDBMZVCRSA-N 0 1 293.411 0.169 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@H]2CCN2C[C@H](C)O)nc1 ZINC001085934376 822022638 /nfs/dbraw/zinc/02/26/38/822022638.db2.gz ZVLLHBVAPXNZDB-GXTWGEPZSA-N 0 1 287.363 0.590 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)c1cccn(C)c1=O ZINC001085930648 822023093 /nfs/dbraw/zinc/02/30/93/822023093.db2.gz CECMXGNCLLWDQM-GFCCVEGCSA-N 0 1 275.352 0.718 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCC[C@H](NC(=O)C#CC2CC2)CC1 ZINC001273419542 822199487 /nfs/dbraw/zinc/19/94/87/822199487.db2.gz WRYLICBBDOBSJL-OCCSQVGLSA-N 0 1 291.395 0.505 20 30 CCEDMN CCc1nnc(CNCCCNC(=O)[C@@H](C)C#N)n1C ZINC001155828423 822254594 /nfs/dbraw/zinc/25/45/94/822254594.db2.gz MUEALUFDNVOMAQ-JTQLQIEISA-N 0 1 278.360 0.133 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCCCC ZINC001114081704 837430119 /nfs/dbraw/zinc/43/01/19/837430119.db2.gz CTZGNHIISIXZTK-IMRBUKKESA-N 0 1 250.342 0.483 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@H]1CCOC1 ZINC001114134585 837449948 /nfs/dbraw/zinc/44/99/48/837449948.db2.gz MUZYLXVVBZPKMY-RMRHIDDWSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NC)C[C@H]21 ZINC001114162935 837458391 /nfs/dbraw/zinc/45/83/91/837458391.db2.gz ZASPNZAAYPCRQW-CXTNEJHOSA-N 0 1 291.395 0.361 20 30 CCEDMN C=CCn1cccc1C(=O)NCCNCCS(C)(=O)=O ZINC001129514591 837524783 /nfs/dbraw/zinc/52/47/83/837524783.db2.gz WWMZHKGDNONSQU-UHFFFAOYSA-N 0 1 299.396 0.038 20 30 CCEDMN C[C@@H](C(=O)NCc1ccc2cncn2c1)n1cnc(C#N)n1 ZINC001129554403 837527214 /nfs/dbraw/zinc/52/72/14/837527214.db2.gz SLKPHPRJNTYORD-JTQLQIEISA-N 0 1 295.306 0.675 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)C2=CCCC2)C[C@@H]1n1ccnn1 ZINC001129747419 837556175 /nfs/dbraw/zinc/55/61/75/837556175.db2.gz BBKZNXFAZPKNCY-CABCVRRESA-N 0 1 299.378 0.753 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@@H]1CCCNC1=O ZINC001129953245 837590999 /nfs/dbraw/zinc/59/09/99/837590999.db2.gz GGCPRLHPVOXLOU-OAHLLOKOSA-N 0 1 299.374 0.270 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn[nH]c(=O)c1 ZINC001129992593 837609146 /nfs/dbraw/zinc/60/91/46/837609146.db2.gz MMYRWDKVLCACIR-UHFFFAOYSA-N 0 1 256.693 0.254 20 30 CCEDMN N#CCNCCNC(=O)c1[nH]nc(C2CC2)c1Cl ZINC001130827687 837935814 /nfs/dbraw/zinc/93/58/14/837935814.db2.gz QGTVFUQTRBPDCV-UHFFFAOYSA-N 0 1 267.720 0.783 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)COC)C1 ZINC001130326475 837764818 /nfs/dbraw/zinc/76/48/18/837764818.db2.gz PPKNBQRPELGGGG-NSHDSACASA-N 0 1 276.340 0.095 20 30 CCEDMN C=CCNC(=O)CN(C)CCCN(C)C(=O)[C@@H]1C[C@H]1C ZINC001273482138 844060121 /nfs/dbraw/zinc/06/01/21/844060121.db2.gz QCIIYNOWZGNBCO-CHWSQXEVSA-N 0 1 281.400 0.725 20 30 CCEDMN Cc1nnc(CNCCCNC(=O)c2cc(C#N)c[nH]2)[nH]1 ZINC001156282127 836182283 /nfs/dbraw/zinc/18/22/83/836182283.db2.gz NIXJAXDXMMXAJM-UHFFFAOYSA-N 0 1 287.327 0.223 20 30 CCEDMN CCOC(=O)N1CCC[C@H]([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)CC1 ZINC001169661684 836415243 /nfs/dbraw/zinc/41/52/43/836415243.db2.gz LZYLPWJWZFGLBX-SRVKXCTJSA-N 0 1 299.371 0.823 20 30 CCEDMN C[C@H](CN(C)c1ccc(C#N)cn1)NC(=O)c1ncn[nH]1 ZINC001109062832 836605049 /nfs/dbraw/zinc/60/50/49/836605049.db2.gz DXNFUPKCMOXQNJ-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN C[C@H](CN(C)c1ccc(C#N)cn1)NC(=O)c1nc[nH]n1 ZINC001109062832 836605057 /nfs/dbraw/zinc/60/50/57/836605057.db2.gz DXNFUPKCMOXQNJ-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001109262502 836652722 /nfs/dbraw/zinc/65/27/22/836652722.db2.gz MRTLAHVBUKXGQK-AGIUHOORSA-N 0 1 264.369 0.750 20 30 CCEDMN C[C@H](CN(C)c1ccc(C#N)cn1)NC(=O)c1cnn[nH]1 ZINC001109318780 836657615 /nfs/dbraw/zinc/65/76/15/836657615.db2.gz KZWCEQCFTXJVDM-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCCNc1ccncc1C#N ZINC001109574471 836697988 /nfs/dbraw/zinc/69/79/88/836697988.db2.gz CHFXNUXGFKOCHN-UHFFFAOYSA-N 0 1 299.338 0.376 20 30 CCEDMN CN(CCCNc1cnc(C#N)cn1)C(=O)Cc1c[nH]cn1 ZINC001109633857 836706847 /nfs/dbraw/zinc/70/68/47/836706847.db2.gz SVRQXGHLBZYKMC-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cscn2)[C@@H](O)C1 ZINC001100141043 836789002 /nfs/dbraw/zinc/78/90/02/836789002.db2.gz JRKSPLVVUSJPQS-STQMWFEESA-N 0 1 295.408 0.813 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2COCCO2)CC1 ZINC001112619937 836827083 /nfs/dbraw/zinc/82/70/83/836827083.db2.gz UBUSGQGCVXRTCS-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCN(CC[C@H](C)F)CC1 ZINC001112778066 836910279 /nfs/dbraw/zinc/91/02/79/836910279.db2.gz ZKATZYLJBRQCTO-LBPRGKRZSA-N 0 1 283.347 0.018 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2COC(=O)N2)CC1 ZINC001112815306 836925718 /nfs/dbraw/zinc/92/57/18/836925718.db2.gz LRZLRVINXNBUGL-RYUDHWBXSA-N 0 1 281.356 0.594 20 30 CCEDMN C=CCCN1CCN(C(=O)CN(C)C(=O)C(C)C)CC1 ZINC001112912564 836963037 /nfs/dbraw/zinc/96/30/37/836963037.db2.gz KNIQARDQBGSBLQ-UHFFFAOYSA-N 0 1 281.400 0.821 20 30 CCEDMN C=CCCCC(=O)N1CCC(N(CCO)CC(N)=O)CC1 ZINC001098465072 837047064 /nfs/dbraw/zinc/04/70/64/837047064.db2.gz VJOQXRVKLBGQHG-UHFFFAOYSA-N 0 1 297.399 0.113 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H](COC)OC)CC1 ZINC001113329116 837089417 /nfs/dbraw/zinc/08/94/17/837089417.db2.gz XTQRLFRRULBMCB-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1cncc(C#N)n1 ZINC001113356505 837095989 /nfs/dbraw/zinc/09/59/89/837095989.db2.gz IKRYGZLKNQBCRQ-JGVFFNPUSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@@H](C)Nc1nccnc1C#N ZINC001113356272 837097159 /nfs/dbraw/zinc/09/71/59/837097159.db2.gz DMXZZFLLKKITKQ-HTQZYQBOSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@@H](Nc1ncccc1C#N)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001113357632 837100736 /nfs/dbraw/zinc/10/07/36/837100736.db2.gz UKVWVNGINIRDMH-BDAKNGLRSA-N 0 1 285.311 0.690 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@@H]2CCC(=O)N2)CC1 ZINC001113559187 837160671 /nfs/dbraw/zinc/16/06/71/837160671.db2.gz IAFLFNPXRWSMRF-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C=C(C)C ZINC001113813409 837251598 /nfs/dbraw/zinc/25/15/98/837251598.db2.gz SQBUZEBNAASRMW-RMRHIDDWSA-N 0 1 289.379 0.137 20 30 CCEDMN CC[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001113888467 837354268 /nfs/dbraw/zinc/35/42/68/837354268.db2.gz KASMUURDMWSIME-MQYQWHSLSA-N 0 1 264.369 0.729 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ncnc2[nH]ccc21 ZINC001130853625 837940603 /nfs/dbraw/zinc/94/06/03/837940603.db2.gz GZXGBHMDYRNMOV-UHFFFAOYSA-N 0 1 279.731 0.981 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1nccn1C ZINC001131639187 838163434 /nfs/dbraw/zinc/16/34/34/838163434.db2.gz BBSUSCIJNXTNBJ-UHFFFAOYSA-N 0 1 270.764 0.811 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)CCOCC)C[C@H](C)O2 ZINC001131652594 838168269 /nfs/dbraw/zinc/16/82/69/838168269.db2.gz KZUNMZDZXNIILE-GOEBONIOSA-N 0 1 294.395 0.738 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](OCC)[C@H]1CCOC1 ZINC001131884373 838256129 /nfs/dbraw/zinc/25/61/29/838256129.db2.gz LLODRAGGTBXODK-NWDGAFQWSA-N 0 1 290.791 0.886 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CC(=O)NCC)CC[C@H]1C ZINC001131984860 838290059 /nfs/dbraw/zinc/29/00/59/838290059.db2.gz QDDFYIXKBGWXRE-OLZOCXBDSA-N 0 1 279.384 0.505 20 30 CCEDMN C[C@H]1CC[C@H](NC(=O)C#CC2CC2)CN1CCOCCO ZINC001132033613 838302801 /nfs/dbraw/zinc/30/28/01/838302801.db2.gz ITYJNLAJKMGDHK-ZFWWWQNUSA-N 0 1 294.395 0.378 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C(C)(C)C(N)=O)CC[C@@H]1C ZINC001132123635 838322104 /nfs/dbraw/zinc/32/21/04/838322104.db2.gz GVDCPQKUONQJGG-RYUDHWBXSA-N 0 1 279.384 0.490 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C2(C(=O)NC)CC2)CC[C@H]1C ZINC001132348810 838370449 /nfs/dbraw/zinc/37/04/49/838370449.db2.gz SRVQJAQBYUCPGT-NEPJUHHUSA-N 0 1 279.384 0.668 20 30 CCEDMN C[C@H]1CCN(C(=O)CCc2c[nH]nn2)C[C@@H]1CNCC#N ZINC001133129947 838561501 /nfs/dbraw/zinc/56/15/01/838561501.db2.gz IEUNEXLNQLMYIW-RYUDHWBXSA-N 0 1 290.371 0.335 20 30 CCEDMN C[C@H]1CCN(C(=O)CCc2cnn[nH]2)C[C@@H]1CNCC#N ZINC001133129947 838561505 /nfs/dbraw/zinc/56/15/05/838561505.db2.gz IEUNEXLNQLMYIW-RYUDHWBXSA-N 0 1 290.371 0.335 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2ccccc2F)CC1 ZINC000105861508 838618499 /nfs/dbraw/zinc/61/84/99/838618499.db2.gz OXGVPNPRWRWWIR-UHFFFAOYSA-N 0 1 275.327 0.697 20 30 CCEDMN C=CC[C@H](Nc1ncnc2c1CCNC2)C(=O)OC ZINC001158857732 838622684 /nfs/dbraw/zinc/62/26/84/838622684.db2.gz FVAZDZTXBTWRKA-JTQLQIEISA-N 0 1 262.313 0.652 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](COC)OC ZINC001133512651 838656937 /nfs/dbraw/zinc/65/69/37/838656937.db2.gz GEGLBJRBERHCTE-SECBINFHSA-N 0 1 250.726 0.106 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)[C@@H](O)C1 ZINC001090508377 838663437 /nfs/dbraw/zinc/66/34/37/838663437.db2.gz VWQFFSHXXUELIY-HTOAHKCRSA-N 0 1 264.369 0.770 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@H](C)NCc1nonc1C ZINC001133909729 838772693 /nfs/dbraw/zinc/77/26/93/838772693.db2.gz QAMWTWDDPHPIKC-WDEREUQCSA-N 0 1 296.371 0.954 20 30 CCEDMN N#Cc1cc(C#N)c(NC[C@@H]2COCCN2)nc1Cl ZINC001159043506 838831527 /nfs/dbraw/zinc/83/15/27/838831527.db2.gz TYOCNSROEYXAMP-SNVBAGLBSA-N 0 1 277.715 0.879 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)Nc2cc(C#N)c(C#N)cc2N)C1 ZINC001185256858 844382554 /nfs/dbraw/zinc/38/25/54/844382554.db2.gz XABFKEVSDMFTFK-CQSZACIVSA-N 0 1 298.350 0.196 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)N2CCCC[C@H]2C#N)C1 ZINC001185251295 844379713 /nfs/dbraw/zinc/37/97/13/844379713.db2.gz MSFOUPRFEICSAP-RYUDHWBXSA-N 0 1 250.346 0.137 20 30 CCEDMN C=CCCCC(=O)N1CC[C@@H](NCc2cnnn2C)C1 ZINC001185295743 844398160 /nfs/dbraw/zinc/39/81/60/844398160.db2.gz BRALXZLREPLKOD-GFCCVEGCSA-N 0 1 277.372 0.862 20 30 CCEDMN CC#CC[NH2+][C@@H](C)C[C@@H](C)NC(=O)c1[n-]nnc1C ZINC001135171817 839172680 /nfs/dbraw/zinc/17/26/80/839172680.db2.gz TWTKOALDWSDSRH-VHSXEESVSA-N 0 1 263.345 0.623 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COc1cccnc1 ZINC001135359604 839227188 /nfs/dbraw/zinc/22/71/88/839227188.db2.gz HLDLQPCLXDUYKU-UHFFFAOYSA-N 0 1 269.732 0.919 20 30 CCEDMN N#Cc1ncc(N2CCN(CCCCO)CC2)nc1C#N ZINC001185461076 844418932 /nfs/dbraw/zinc/41/89/32/844418932.db2.gz UQPDKIJQEHIRLA-UHFFFAOYSA-N 0 1 286.339 0.114 20 30 CCEDMN C#CC[NH2+][C@@H]1CC[C@H](CNC(=O)c2[n-]nnc2C)C1 ZINC001086773202 839413174 /nfs/dbraw/zinc/41/31/74/839413174.db2.gz ZTFWPBMVYWPKKA-WDEREUQCSA-N 0 1 261.329 0.234 20 30 CCEDMN CC[C@](N)(CO)Nc1nc(C)nc(OC)c1C#N ZINC001170857638 839437671 /nfs/dbraw/zinc/43/76/71/839437671.db2.gz GUUYOIXXXXMRLG-LLVKDONJSA-N 0 1 251.290 0.135 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn(CC)nn1 ZINC001135996734 839492370 /nfs/dbraw/zinc/49/23/70/839492370.db2.gz QWHOQDQYTAOBEI-UHFFFAOYSA-N 0 1 257.725 0.370 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C1(S(C)(=O)=O)CCC1 ZINC001136114051 839531635 /nfs/dbraw/zinc/53/16/35/839531635.db2.gz YPPDNLLUZFEGFM-UHFFFAOYSA-N 0 1 294.804 0.412 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC2=CCOCC2)[C@@H](O)C1 ZINC001090544638 839631773 /nfs/dbraw/zinc/63/17/73/839631773.db2.gz DANXTVOLDBAQMU-CABCVRRESA-N 0 1 292.379 0.298 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CCN(CC=C)C[C@@H]2O)c1 ZINC001090560592 839644081 /nfs/dbraw/zinc/64/40/81/839644081.db2.gz VXMWQVKPEQWOFK-CABCVRRESA-N 0 1 285.347 0.414 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(CCC)c2)[C@H](O)C1 ZINC001090612415 839674536 /nfs/dbraw/zinc/67/45/36/839674536.db2.gz MSTBEYBAWIHLBB-ZIAGYGMSSA-N 0 1 292.383 0.644 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nccs2)[C@H](O)C1 ZINC001090667438 839714641 /nfs/dbraw/zinc/71/46/41/839714641.db2.gz KFMUYIJZAFWMCX-VHSXEESVSA-N 0 1 267.354 0.494 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2cccc3nc[nH]c32)[C@H](O)C1 ZINC001090670689 839725989 /nfs/dbraw/zinc/72/59/89/839725989.db2.gz FTSGRBKARSISQW-DGCLKSJQSA-N 0 1 299.334 0.251 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)c2c[nH]cn2)[C@@H](O)C1 ZINC001090727154 839757570 /nfs/dbraw/zinc/75/75/70/839757570.db2.gz IATJBGOWSIAZCB-RYUDHWBXSA-N 0 1 292.383 0.425 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)CCS)CC1 ZINC001143978353 839910532 /nfs/dbraw/zinc/91/05/32/839910532.db2.gz AITVTWPXJJXAJD-VIFPVBQESA-N 0 1 273.354 0.677 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001144190064 839972377 /nfs/dbraw/zinc/97/23/77/839972377.db2.gz RGCZQMXUWYERSE-ZDUSSCGKSA-N 0 1 281.400 0.167 20 30 CCEDMN COc1cccc(C[C@@H](N)C(=O)N2CCO[C@@H](C#N)C2)c1 ZINC001144935658 840197400 /nfs/dbraw/zinc/19/74/00/840197400.db2.gz HSUPOUKCIMBSJX-UONOGXRCSA-N 0 1 289.335 0.316 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC2(CN(C(C)=O)C2)C1 ZINC001146856428 840403741 /nfs/dbraw/zinc/40/37/41/840403741.db2.gz QJIIRUGHQXXDSF-UHFFFAOYSA-N 0 1 291.395 0.741 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)CSC)C2)C1 ZINC001146940472 840420219 /nfs/dbraw/zinc/42/02/19/840420219.db2.gz PMWOLTJXUFKCHA-UHFFFAOYSA-N 0 1 282.409 0.534 20 30 CCEDMN CCOCC(=O)N1CC2(C1)CCN(CC#CCOC)C2 ZINC001146951190 840424509 /nfs/dbraw/zinc/42/45/09/840424509.db2.gz NISZAGVNNFIJBS-UHFFFAOYSA-N 0 1 280.368 0.207 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)CCc3ncc[nH]3)C[C@]2(C)C1 ZINC001091632660 840593910 /nfs/dbraw/zinc/59/39/10/840593910.db2.gz CKHSHISVELJFNI-CJNGLKHVSA-N 0 1 286.379 0.756 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1conc1COC ZINC001147551431 840614373 /nfs/dbraw/zinc/61/43/73/840614373.db2.gz MVNIJMOKDYHHEP-UHFFFAOYSA-N 0 1 273.720 0.893 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](NC(N)=O)C(C)(C)C ZINC001147779186 840688645 /nfs/dbraw/zinc/68/86/45/840688645.db2.gz XKFXBGRUTGWCOK-VIFPVBQESA-N 0 1 290.795 0.528 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c(OC)cc[nH]c1=O ZINC001148026920 840732339 /nfs/dbraw/zinc/73/23/39/840732339.db2.gz LDZPMRRTZWUOEW-UHFFFAOYSA-N 0 1 285.731 0.868 20 30 CCEDMN N#CCN[C@@H]1CC[C@H](CNC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001086872509 840934538 /nfs/dbraw/zinc/93/45/38/840934538.db2.gz KASXUSXQRIFYMV-CMPLNLGQSA-N 0 1 298.350 0.970 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2nnn(C)n2)[C@H](C)C1 ZINC001092893879 841083094 /nfs/dbraw/zinc/08/30/94/841083094.db2.gz AWWMLZYHRLJBLV-PSASIEDQSA-N 0 1 298.778 0.260 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@H]2[C@@H](CCN2CC(N)=O)C1 ZINC001036794322 841225893 /nfs/dbraw/zinc/22/58/93/841225893.db2.gz SPBOJMXTGNFCJS-STQMWFEESA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2CCN(C(=O)CSCC#N)[C@@H]2C1 ZINC001186917186 844621387 /nfs/dbraw/zinc/62/13/87/844621387.db2.gz IIQOKOQRKOJQBD-QWHCGFSZSA-N 0 1 277.393 0.799 20 30 CCEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)C(N)=O)CC[C@H]21 ZINC001036804865 841235505 /nfs/dbraw/zinc/23/55/05/841235505.db2.gz QRTXHQLHMXSLTG-VHSXEESVSA-N 0 1 271.748 0.147 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1C[C@H]2CN(CC3CC3)C[C@@H](C1)O2 ZINC001186974735 844629761 /nfs/dbraw/zinc/62/97/61/844629761.db2.gz GYQZVFWFHNWHRC-YIYPIFLZSA-N 0 1 275.352 0.468 20 30 CCEDMN CC(C)(C(=O)NCCNc1ccncc1C#N)c1cnc[nH]1 ZINC001093750553 841449972 /nfs/dbraw/zinc/44/99/72/841449972.db2.gz CJOMTOLMGAHQHA-UHFFFAOYSA-N 0 1 298.350 0.604 20 30 CCEDMN N#Cc1cnc(NCCNC(=O)c2cnn[nH]2)c(Cl)c1 ZINC001094243651 841568134 /nfs/dbraw/zinc/56/81/34/841568134.db2.gz XNLIHQBBCDOHTK-UHFFFAOYSA-N 0 1 291.702 0.567 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)CN2CCCC2)nn1 ZINC001094434807 841635823 /nfs/dbraw/zinc/63/58/23/841635823.db2.gz SILRZBZQKGRNOF-UHFFFAOYSA-N 0 1 288.355 0.362 20 30 CCEDMN C=C(C)CCN1CC(N2C[C@@H](NC(=O)CC)CC2=O)C1 ZINC001094686001 841700463 /nfs/dbraw/zinc/70/04/63/841700463.db2.gz CWHYAQPZCFRODD-LBPRGKRZSA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@H](CCCC#N)N1CC(N2CCN(C)C(=O)C2)C1 ZINC001172502774 841936431 /nfs/dbraw/zinc/93/64/31/841936431.db2.gz WMVHAODEWYIRQH-GFCCVEGCSA-N 0 1 264.373 0.527 20 30 CCEDMN COC(=O)CCCC[C@@H](C)[NH2+][C@@H]1C(=O)N([O-])C[C@@H]1C ZINC001173446329 842085542 /nfs/dbraw/zinc/08/55/42/842085542.db2.gz VYBOGVAFSLTZDO-UMNHJUIQSA-N 0 1 272.345 0.934 20 30 CCEDMN N#Cc1cc(N)c(NC(=O)Cc2cnc[nH]2)cc1C#N ZINC001176832248 842418066 /nfs/dbraw/zinc/41/80/66/842418066.db2.gz KRKCUKCPZWCXNT-UHFFFAOYSA-N 0 1 266.264 0.916 20 30 CCEDMN N#Cc1ccc(NC(=O)Cc2nn[nH]n2)c2cccnc12 ZINC001176845253 842443953 /nfs/dbraw/zinc/44/39/53/842443953.db2.gz QPOFZVYLXYODNV-UHFFFAOYSA-N 0 1 279.263 0.801 20 30 CCEDMN N#Cc1ccccc1OCCCNC(=O)Cc1nn[nH]n1 ZINC001176847173 842447391 /nfs/dbraw/zinc/44/73/91/842447391.db2.gz KZSUSOBZOFAVBO-UHFFFAOYSA-N 0 1 286.295 0.199 20 30 CCEDMN Cc1nc(CC(=O)NCc2ncc(C#N)s2)n[nH]1 ZINC001177443269 842608196 /nfs/dbraw/zinc/60/81/96/842608196.db2.gz SHGRAZVNVODHTG-UHFFFAOYSA-N 0 1 262.298 0.300 20 30 CCEDMN Cc1cc(CNC(=O)Cc2ccnc(C#N)c2)n[nH]1 ZINC001177673161 842649216 /nfs/dbraw/zinc/64/92/16/842649216.db2.gz BVWPDXRAAKOEOE-UHFFFAOYSA-N 0 1 255.281 0.844 20 30 CCEDMN CN(C1CN(C(=O)C#Cc2cccnc2)C1)[C@H]1CCOC1 ZINC001177777389 842660955 /nfs/dbraw/zinc/66/09/55/842660955.db2.gz PMVOLNCXQBGNNG-AWEZNQCLSA-N 0 1 285.347 0.365 20 30 CCEDMN CCOC(=O)C1(NC(=O)[C@H](C)C#N)CCN(C)CC1 ZINC001179900766 843073721 /nfs/dbraw/zinc/07/37/21/843073721.db2.gz PBQIBOQVEAZUOL-SNVBAGLBSA-N 0 1 267.329 0.290 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)CN1CCN(C(C)C)CC1 ZINC001180349353 843148829 /nfs/dbraw/zinc/14/88/29/843148829.db2.gz LOJWLDSQTSJASL-UHFFFAOYSA-N 0 1 297.399 0.248 20 30 CCEDMN COc1ccc(C(=O)NCC(=O)Nc2nc[nH]c2C#N)cc1 ZINC001180806091 843308496 /nfs/dbraw/zinc/30/84/96/843308496.db2.gz FKCQSVWZDUHBMT-UHFFFAOYSA-N 0 1 299.290 0.658 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2nccnc2C)C1 ZINC001181618560 843556969 /nfs/dbraw/zinc/55/69/69/843556969.db2.gz VBAFFHIOYCXSNN-CYBMUJFWSA-N 0 1 290.367 0.678 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CCC[C@]2(NC(=O)CC)C1 ZINC001111560378 843581415 /nfs/dbraw/zinc/58/14/15/843581415.db2.gz UGCVAUXXIFTPER-WFASDCNBSA-N 0 1 279.384 0.669 20 30 CCEDMN C[NH+]1CCC(O)(C(=O)Nc2ccc(C#N)cc2[O-])CC1 ZINC001182035176 843684426 /nfs/dbraw/zinc/68/44/26/843684426.db2.gz YDRRQIYKZHJTLJ-UHFFFAOYSA-N 0 1 275.308 0.659 20 30 CCEDMN CCOCCS(=O)(=O)Nc1cnc(C#N)nc1 ZINC001187246810 844679270 /nfs/dbraw/zinc/67/92/70/844679270.db2.gz WTLLLAYBRKRXHH-UHFFFAOYSA-N 0 1 256.287 0.126 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CN(C)C(=O)C(C)C)[C@@H]2C1 ZINC001188087616 844829241 /nfs/dbraw/zinc/82/92/41/844829241.db2.gz TZJZTNAXNDSRSY-UONOGXRCSA-N 0 1 291.395 0.267 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)CCOC)C1 ZINC001188600906 844917807 /nfs/dbraw/zinc/91/78/07/844917807.db2.gz SZWVRKGVIAUACN-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cn[nH]c2)C1 ZINC001189012722 845023509 /nfs/dbraw/zinc/02/35/09/845023509.db2.gz NCTXCLJLJNRBEI-ZDUSSCGKSA-N 0 1 276.340 0.206 20 30 CCEDMN CCO[C@H](CC)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189196013 845072735 /nfs/dbraw/zinc/07/27/35/845072735.db2.gz GGAFNZGZFWLMSE-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)CCCCOC)C1 ZINC001189261584 845098540 /nfs/dbraw/zinc/09/85/40/845098540.db2.gz RPECDSUWMRMGBB-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN N#CC1(NC(=O)c2cnn[nH]2)Cc2ccccc2C1 ZINC001189360783 845127742 /nfs/dbraw/zinc/12/77/42/845127742.db2.gz KGUZXOFKJWEWAJ-UHFFFAOYSA-N 0 1 253.265 0.596 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCOC2)C1 ZINC001189489468 845146838 /nfs/dbraw/zinc/14/68/38/845146838.db2.gz JNPWBUNYWJZJET-HUUCEWRRSA-N 0 1 294.395 0.596 20 30 CCEDMN CCCCOCC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189508110 845152383 /nfs/dbraw/zinc/15/23/83/845152383.db2.gz MKRCVMJQNAIZAG-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCCO2)C1 ZINC001189650799 845188795 /nfs/dbraw/zinc/18/87/95/845188795.db2.gz FCWGBZBODLZLKF-LSDHHAIUSA-N 0 1 294.395 0.738 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C2CN(C(C)=O)C2)C1 ZINC001189848363 845256632 /nfs/dbraw/zinc/25/66/32/845256632.db2.gz QRPKIBWATIPZKT-CQSZACIVSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001190104257 845321825 /nfs/dbraw/zinc/32/18/25/845321825.db2.gz FDNLIGCOROIAFA-LSDHHAIUSA-N 0 1 292.379 0.207 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@H]2C[N@H+](CCOC)C[C@H]21 ZINC001190430300 845403177 /nfs/dbraw/zinc/40/31/77/845403177.db2.gz FORJCVVPNHSCLZ-UONOGXRCSA-N 0 1 282.384 0.758 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H]([N@H+](C)[C@@H]2CCNC2=O)C1 ZINC001190428504 845404128 /nfs/dbraw/zinc/40/41/28/845404128.db2.gz KRQRVXAIZFUWEO-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001190428504 845404131 /nfs/dbraw/zinc/40/41/31/845404131.db2.gz KRQRVXAIZFUWEO-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CC[N@@H+](C)[C@H]1CCN(C(=O)c2cnc3n2CCC3)C1 ZINC001190724246 845477831 /nfs/dbraw/zinc/47/78/31/845477831.db2.gz LHYIVTBODNQVPT-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnc3n2CCC3)C1 ZINC001190724246 845477839 /nfs/dbraw/zinc/47/78/39/845477839.db2.gz LHYIVTBODNQVPT-ZDUSSCGKSA-N 0 1 286.379 0.999 20 30 CCEDMN C=CCC1(S(=O)(=O)NC[C@@H]2CC[N@H+](C)C2)CC1 ZINC001190821379 845509756 /nfs/dbraw/zinc/50/97/56/845509756.db2.gz BCEFPDAVCFQEBL-NSHDSACASA-N 0 1 258.387 0.966 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cnc(-c2ncccn2)nc1 ZINC001190882853 845534099 /nfs/dbraw/zinc/53/40/99/845534099.db2.gz UFYDJZXRGARVGK-UHFFFAOYSA-N 0 1 292.262 0.781 20 30 CCEDMN C=CCC[C@H](C)[N@H+]1C[C@@H](O)[C@H](NC(=O)CSCC#N)C1 ZINC001191161763 845599374 /nfs/dbraw/zinc/59/93/74/845599374.db2.gz KIOYIBYQDWNNHV-YNEHKIRRSA-N 0 1 297.424 0.759 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cn3cccnc3n2)C1 ZINC001191136031 845605481 /nfs/dbraw/zinc/60/54/81/845605481.db2.gz IUFPRLHSZVBVBY-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN CC[C@H](F)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001191753377 845732206 /nfs/dbraw/zinc/73/22/06/845732206.db2.gz BMQGHTXXLWHEHP-OLZOCXBDSA-N 0 1 270.348 0.917 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)CCCF)C1 ZINC001191781849 845735438 /nfs/dbraw/zinc/73/54/38/845735438.db2.gz WIMOXHQBVVJGAY-OLZOCXBDSA-N 0 1 299.390 0.960 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)CCCF)C1 ZINC001191800640 845739232 /nfs/dbraw/zinc/73/92/32/845739232.db2.gz QFIAQHLSZHYXMV-CYBMUJFWSA-N 0 1 270.348 0.919 20 30 CCEDMN C=CCCCN1C[C@@H](O)[C@H](NC(=O)c2ncoc2CC)C1 ZINC001191944677 845758450 /nfs/dbraw/zinc/75/84/50/845758450.db2.gz KTGBILGLUYZZOH-VXGBXAGGSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CC2CCC2)C1 ZINC001192021965 845774621 /nfs/dbraw/zinc/77/46/21/845774621.db2.gz JDXVCDUWXKBAIE-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CC2CCC2)C1 ZINC001192021965 845774624 /nfs/dbraw/zinc/77/46/24/845774624.db2.gz JDXVCDUWXKBAIE-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@H]1O ZINC001192320816 845821143 /nfs/dbraw/zinc/82/11/43/845821143.db2.gz MKAXOVGKCVFWLW-RRFJBIMHSA-N 0 1 296.411 0.502 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)/C=C/c2ccc[nH]2)C1 ZINC001192453754 845853787 /nfs/dbraw/zinc/85/37/87/845853787.db2.gz QLCSTMJLBUNXAN-FBVTZJDUSA-N 0 1 261.325 0.375 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CC[C@@H](C)O2)C1 ZINC001192445805 845854188 /nfs/dbraw/zinc/85/41/88/845854188.db2.gz RWNNYKSCPXXGDP-QLFBSQMISA-N 0 1 294.395 0.736 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)Cc2cncnc2)C1 ZINC001192495690 845856156 /nfs/dbraw/zinc/85/61/56/845856156.db2.gz XKHNOVJHLGMEFP-ZIAGYGMSSA-N 0 1 290.367 0.147 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001192871501 845918150 /nfs/dbraw/zinc/91/81/50/845918150.db2.gz RHTTUZLBVQRTGR-VXGBXAGGSA-N 0 1 270.348 0.557 20 30 CCEDMN COC(=O)[C@H](NS(=O)(=O)CC#N)c1ccccc1OC ZINC001193005244 845954431 /nfs/dbraw/zinc/95/44/31/845954431.db2.gz PKFXGNCHZPFKCD-LLVKDONJSA-N 0 1 298.320 0.352 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2(C)CC2)C1 ZINC001193121490 845987733 /nfs/dbraw/zinc/98/77/33/845987733.db2.gz BLZMIWYKMMRJLS-VXGBXAGGSA-N 0 1 268.357 0.150 20 30 CCEDMN CCOC(=O)[C@H](NS(=O)(=O)[C@H](C)C#N)c1ccccn1 ZINC001193182968 846027235 /nfs/dbraw/zinc/02/72/35/846027235.db2.gz UXXGZJYPTVBWNB-MWLCHTKSSA-N 0 1 297.336 0.517 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1O ZINC001193231624 846028698 /nfs/dbraw/zinc/02/86/98/846028698.db2.gz JDMBSWACSBFIHN-IACUBPJLSA-N 0 1 282.384 0.297 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCOCCOCC)C1 ZINC001193451964 846075856 /nfs/dbraw/zinc/07/58/56/846075856.db2.gz YXEKNAQCAFLADS-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN N#Cc1cc(C(=O)Nc2c[nH]nc2C(N)=O)ccc1O ZINC001193499913 846082049 /nfs/dbraw/zinc/08/20/49/846082049.db2.gz XODPPQMHQYLBIS-UHFFFAOYSA-N 0 1 271.236 0.338 20 30 CCEDMN CNC(=O)C1CCN(C(=O)c2ccc(O)c(C#N)c2)CC1 ZINC001193494754 846093595 /nfs/dbraw/zinc/09/35/95/846093595.db2.gz OEJDRPPDOIQCBZ-UHFFFAOYSA-N 0 1 287.319 0.862 20 30 CCEDMN Cn1cc(CCNC(=O)c2ccc(O)c(C#N)c2)cn1 ZINC001193516009 846102529 /nfs/dbraw/zinc/10/25/29/846102529.db2.gz XKCFYAFQQFTRAX-UHFFFAOYSA-N 0 1 270.292 0.970 20 30 CCEDMN CN1CCO[C@H](CNC(=O)c2ccc(O)c(C#N)c2)C1 ZINC001193512544 846102876 /nfs/dbraw/zinc/10/28/76/846102876.db2.gz OQGABEQCAZCSGG-GFCCVEGCSA-N 0 1 275.308 0.324 20 30 CCEDMN COC(=O)[C@H]1C[C@H]1CNC(=O)c1ccc(O)c(C#N)c1 ZINC001193514161 846103262 /nfs/dbraw/zinc/10/32/62/846103262.db2.gz CCUJCQPFFBWKLI-QWRGUYRKSA-N 0 1 274.276 0.803 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1ccc(O)c(C#N)c1 ZINC001193514529 846103373 /nfs/dbraw/zinc/10/33/73/846103373.db2.gz FOBCMHMILLWPCT-SNVBAGLBSA-N 0 1 273.248 0.449 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CNC(=O)c2ccccc2)C1 ZINC001193622701 846128275 /nfs/dbraw/zinc/12/82/75/846128275.db2.gz RIOMMIYSCODYHV-HNNXBMFYSA-N 0 1 299.374 0.582 20 30 CCEDMN CC(=O)c1nc(C(=O)Nc2nc[nH]c2C#N)cn1C ZINC001193826030 846161917 /nfs/dbraw/zinc/16/19/17/846161917.db2.gz QFFCPVBVBJJEIY-UHFFFAOYSA-N 0 1 258.241 0.470 20 30 CCEDMN C#CCCCNC(=O)c1cncc(N2CCN(C)CC2)c1 ZINC001193946417 846193304 /nfs/dbraw/zinc/19/33/04/846193304.db2.gz AGWIYHMMYKHNEC-UHFFFAOYSA-N 0 1 286.379 0.977 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CNC(=O)C(C)(C)C)C1 ZINC001193993048 846200240 /nfs/dbraw/zinc/20/02/40/846200240.db2.gz WGHPXAWNXLBCCR-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)Cc2ccc[nH]2)C1 ZINC001194164392 846227317 /nfs/dbraw/zinc/22/73/17/846227317.db2.gz OFWDDTHGOJOXLN-HNNXBMFYSA-N 0 1 289.379 0.740 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N1CC[C@H](N(C)CC#N)C1 ZINC001194284367 846265194 /nfs/dbraw/zinc/26/51/94/846265194.db2.gz GVRQMGLNCRWWIG-LBPRGKRZSA-N 0 1 252.362 0.383 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@](C)(NC(C)=O)C(C)C)C1 ZINC001194367303 846277621 /nfs/dbraw/zinc/27/76/21/846277621.db2.gz DTZHHGAUGBRFET-GOEBONIOSA-N 0 1 293.411 0.703 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@@H](C)OC)CC1 ZINC001195034835 846442808 /nfs/dbraw/zinc/44/28/08/846442808.db2.gz APEZSAOGBBALFX-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN C=CC[N@@H+]1CCCN(C(=O)CNC(=O)CCC)CC1 ZINC001195100684 846462031 /nfs/dbraw/zinc/46/20/31/846462031.db2.gz KGRCIUYNUIFOKM-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C=CCN1CCCN(C(=O)CNC(=O)CCC)CC1 ZINC001195100684 846462033 /nfs/dbraw/zinc/46/20/33/846462033.db2.gz KGRCIUYNUIFOKM-UHFFFAOYSA-N 0 1 267.373 0.623 20 30 CCEDMN C=CCN1CCCN(C(=O)COCCOC)CC1 ZINC001195320941 846492612 /nfs/dbraw/zinc/49/26/12/846492612.db2.gz CGGIHKVZFIVGIW-UHFFFAOYSA-N 0 1 256.346 0.370 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1C[N@@H+](CCC[C@H](C)O)C[C@H]1O ZINC001195473589 846545717 /nfs/dbraw/zinc/54/57/17/846545717.db2.gz OFBOBPQJELUITK-RRFJBIMHSA-N 0 1 296.411 0.502 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@H]1O ZINC001195473589 846545725 /nfs/dbraw/zinc/54/57/25/846545725.db2.gz OFBOBPQJELUITK-RRFJBIMHSA-N 0 1 296.411 0.502 20 30 CCEDMN CCN(CCC(N)=O)C(=O)c1ccc(C#N)cc1O ZINC001195741827 846619659 /nfs/dbraw/zinc/61/96/59/846619659.db2.gz QLIIGQHYDVTPJG-UHFFFAOYSA-N 0 1 261.281 0.601 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CCCN(CCOCCO)CC1 ZINC001195780346 846622060 /nfs/dbraw/zinc/62/20/60/846622060.db2.gz BGJLGCVJUANAEY-UHFFFAOYSA-N 0 1 296.411 0.579 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(C)CC1 ZINC001114309442 846637187 /nfs/dbraw/zinc/63/71/87/846637187.db2.gz WDQJVRIQWDZJOY-NDBYEHHHSA-N 0 1 291.395 0.524 20 30 CCEDMN C=CCN1CCC[C@H]1CNS(=O)(=O)CC(=O)OCC ZINC001196000671 846664075 /nfs/dbraw/zinc/66/40/75/846664075.db2.gz CKSXJROWWVXXMB-NSHDSACASA-N 0 1 290.385 0.119 20 30 CCEDMN C=C(C)[C@@H](CC(=O)N1CCCN(CCO)CC1)OCC ZINC001196025643 846672067 /nfs/dbraw/zinc/67/20/67/846672067.db2.gz RFCFQSOVXMRQIE-CQSZACIVSA-N 0 1 284.400 0.884 20 30 CCEDMN C=CCN1CCCN(C(=O)COCc2ccnn2C)CC1 ZINC001196276187 846722415 /nfs/dbraw/zinc/72/24/15/846722415.db2.gz UNVQHGQQQRGNNZ-UHFFFAOYSA-N 0 1 292.383 0.657 20 30 CCEDMN C#CCC[N@H+](CCOC)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001196403335 846742949 /nfs/dbraw/zinc/74/29/49/846742949.db2.gz USHAZZUJQALREB-UHFFFAOYSA-N 0 1 299.334 0.778 20 30 CCEDMN C#CCCN1CCCN(C(=O)C2(COC)CC2)CC1 ZINC001196523853 846761423 /nfs/dbraw/zinc/76/14/23/846761423.db2.gz XCBKAGHAFXZFKV-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CCCO ZINC001110496116 847001615 /nfs/dbraw/zinc/00/16/15/847001615.db2.gz VMDGUAATYWLNIG-CXTNEJHOSA-N 0 1 282.384 0.417 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC#Cc2ccccc2)C1 ZINC001198944611 847203421 /nfs/dbraw/zinc/20/34/21/847203421.db2.gz KFJXOPJRSIHFPU-IAGOWNOFSA-N 0 1 296.370 0.613 20 30 CCEDMN C#CCN1C(=O)C[C@@]2(CCCN(CC(F)F)C2)C1=O ZINC001273844659 847658747 /nfs/dbraw/zinc/65/87/47/847658747.db2.gz CWRXVRXDMWREKR-CYBMUJFWSA-N 0 1 270.279 0.726 20 30 CCEDMN C=C(C)CN1C(=O)COCC12CN(C[C@@H](O)C(C)(C)C)C2 ZINC001273889951 847752187 /nfs/dbraw/zinc/75/21/87/847752187.db2.gz CCBZVPGIWNMROX-CYBMUJFWSA-N 0 1 296.411 0.883 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCn1ccnn1)C2 ZINC001110655954 847945106 /nfs/dbraw/zinc/94/51/06/847945106.db2.gz RZVPCRUKKRRNAG-MCIONIFRSA-N 0 1 289.383 0.966 20 30 CCEDMN C=CCOC[C@H](O)CN1CCO[C@@H]2C[C@H](CO)C[C@H]21 ZINC001252460320 847973504 /nfs/dbraw/zinc/97/35/04/847973504.db2.gz CZEVDIGLNPSYRC-AAVRWANBSA-N 0 1 271.357 0.022 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1[C@H]2CN([C@@H](CC)C(N)=O)C[C@H]21 ZINC001114341034 848019084 /nfs/dbraw/zinc/01/90/84/848019084.db2.gz RSAYZHXDCSLOKX-KZVDOYCCSA-N 0 1 293.411 0.899 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NC)C[C@H]21 ZINC001114352727 848023138 /nfs/dbraw/zinc/02/31/38/848023138.db2.gz GMEZFKRMGNFBPD-CIQGVGRVSA-N 0 1 279.384 0.524 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnnn1C)C2 ZINC001095375261 848271716 /nfs/dbraw/zinc/27/17/16/848271716.db2.gz BCYPWYUEZCXPAV-WOPDTQHZSA-N 0 1 273.340 0.174 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001213315059 848298916 /nfs/dbraw/zinc/29/89/16/848298916.db2.gz WSCSANRRJQYZFZ-YNEHKIRRSA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC/C=C\CNCc1ccns1 ZINC001274024388 848309208 /nfs/dbraw/zinc/30/92/08/848309208.db2.gz HPBCLMUXMCCTQY-QMAVJUDZSA-N 0 1 293.392 0.943 20 30 CCEDMN COCCOCN1CC[C@]2(CCCN2CCCC#N)C1=O ZINC001274038570 848315257 /nfs/dbraw/zinc/31/52/57/848315257.db2.gz LZEFHZRVWYWNPV-OAHLLOKOSA-N 0 1 295.383 0.978 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(CC)n1)C2 ZINC001095388454 848384406 /nfs/dbraw/zinc/38/44/06/848384406.db2.gz MZYUFXCSDFTERH-DYEKYZERSA-N 0 1 287.367 0.656 20 30 CCEDMN C[C@H]1CN(C(=O)CN2CCCC2)C[C@@H]1CNCC#N ZINC001279192487 848536977 /nfs/dbraw/zinc/53/69/77/848536977.db2.gz DYMHBAOMVTVYEU-STQMWFEESA-N 0 1 264.373 0.290 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CNCc1cnoc1C ZINC001274732854 848553673 /nfs/dbraw/zinc/55/36/73/848553673.db2.gz IPRHBOGCRAYJEB-QMTHXVAHSA-N 0 1 281.356 0.904 20 30 CCEDMN CCN1CC2(C1)CN(C(=O)C1(C#N)CCOCC1)C2 ZINC001275080099 848630567 /nfs/dbraw/zinc/63/05/67/848630567.db2.gz ZGLQMYUHXXJWGP-UHFFFAOYSA-N 0 1 263.341 0.471 20 30 CCEDMN C=CCOCC(=O)NC1CC(CNCc2nncs2)C1 ZINC001275210053 848668138 /nfs/dbraw/zinc/66/81/38/848668138.db2.gz OSSZVNAIUKIRSG-UHFFFAOYSA-N 0 1 296.396 0.725 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1cn(C)nc1C ZINC001275521677 848748804 /nfs/dbraw/zinc/74/88/04/848748804.db2.gz VDICXZSEOOSTSL-LBPRGKRZSA-N 0 1 292.383 0.428 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H](C)NC(=O)c1ccoc1 ZINC001275567814 848763486 /nfs/dbraw/zinc/76/34/86/848763486.db2.gz XDJBYXDONBRFMC-NEPJUHHUSA-N 0 1 291.351 0.468 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)C1(NC(C)=O)CCCC1 ZINC001275673343 848788603 /nfs/dbraw/zinc/78/86/03/848788603.db2.gz SGOGFFVOQVGFCH-ZDUSSCGKSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H](C)NC(=O)c1ccoc1 ZINC001275834735 848840391 /nfs/dbraw/zinc/84/03/91/848840391.db2.gz IWQXRGILSJYJHD-RYUDHWBXSA-N 0 1 291.351 0.468 20 30 CCEDMN CC[C@@H]1OCC[C@H]1C(=O)NC[C@@H](C)N(C)CC#CCOC ZINC001275887284 848856585 /nfs/dbraw/zinc/85/65/85/848856585.db2.gz MFGGSHQPKBOQTK-KFWWJZLASA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CCc1cc(C)on1 ZINC001275928047 848868917 /nfs/dbraw/zinc/86/89/17/848868917.db2.gz CYUQGIAUUGSMRW-NSHDSACASA-N 0 1 263.341 0.985 20 30 CCEDMN C#CC[N@H+](C)[C@@H](C)CNC(=O)C1(NC(N)=O)CCCCC1 ZINC001275944375 848870829 /nfs/dbraw/zinc/87/08/29/848870829.db2.gz FIFAWPXBQGDMKD-LBPRGKRZSA-N 0 1 294.399 0.427 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C1(NC(N)=O)CCCCC1 ZINC001275944375 848870833 /nfs/dbraw/zinc/87/08/33/848870833.db2.gz FIFAWPXBQGDMKD-LBPRGKRZSA-N 0 1 294.399 0.427 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCCN[C@@H](C)c1nncn1C ZINC001275995518 848884876 /nfs/dbraw/zinc/88/48/76/848884876.db2.gz ARXWNARZYLQZBK-ZDUSSCGKSA-N 0 1 291.399 0.974 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CCC(=O)N(CC)CC ZINC001276004212 848887463 /nfs/dbraw/zinc/88/74/63/848887463.db2.gz WZGNXHAWABSWAT-ZDUSSCGKSA-N 0 1 281.400 0.705 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccn(CC)n1)C2 ZINC001095444726 848919958 /nfs/dbraw/zinc/91/99/58/848919958.db2.gz CBYIGCFOOSWELO-ILXRZTDVSA-N 0 1 286.379 0.800 20 30 CCEDMN CN(CCCNC(=O)Cc1cnc[nH]1)c1ccc(C#N)nc1 ZINC001095746035 849131184 /nfs/dbraw/zinc/13/11/84/849131184.db2.gz FOABFHSCGGFTRF-UHFFFAOYSA-N 0 1 298.350 0.862 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(=O)Nc1ccccc1 ZINC001114522008 849233281 /nfs/dbraw/zinc/23/32/81/849233281.db2.gz WPNDISYQEOQUFU-FICVDOATSA-N 0 1 297.358 0.695 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@]1(COC)CCOC1 ZINC001114624407 849331492 /nfs/dbraw/zinc/33/14/92/849331492.db2.gz RDDNRATZSVLHLX-ORIJERBGSA-N 0 1 292.379 0.109 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCN(C)C1=O)C2 ZINC001095889482 849358767 /nfs/dbraw/zinc/35/87/67/849358767.db2.gz WMCONGRRIOUMFQ-VOAKCMCISA-N 0 1 277.368 0.372 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114718314 849378714 /nfs/dbraw/zinc/37/87/14/849378714.db2.gz LMKAMHAQYRLTNQ-QLPKVWCKSA-N 0 1 288.391 0.876 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)CC)C[C@H]21 ZINC001114752775 849387056 /nfs/dbraw/zinc/38/70/56/849387056.db2.gz KXEQVBVPFSVQLV-VIKVFOODSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1c(C)nc[nH]c1=O)C2 ZINC001095897369 849423473 /nfs/dbraw/zinc/42/34/73/849423473.db2.gz KMKPVZQTFCDHGI-WOPDTQHZSA-N 0 1 286.335 0.459 20 30 CCEDMN CC[C@H]1CN(C(=O)c2[nH]nnc2C)CC[C@@H]1NCC#N ZINC001037890475 849601467 /nfs/dbraw/zinc/60/14/67/849601467.db2.gz JDPSNNUMUGRKJW-QWRGUYRKSA-N 0 1 276.344 0.467 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C1=COCCO1 ZINC001038027758 849648158 /nfs/dbraw/zinc/64/81/58/849648158.db2.gz NZQRQTJFOIVORD-LLVKDONJSA-N 0 1 252.314 0.641 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CC(=C)C)NC(C)=O ZINC001114933040 849659062 /nfs/dbraw/zinc/65/90/62/849659062.db2.gz ONBQIJALPSYFFW-LJISPDSOSA-N 0 1 289.379 0.137 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccc(OC)cn1)C2 ZINC001095919325 849668860 /nfs/dbraw/zinc/66/88/60/849668860.db2.gz ZULCZEQORAUBDH-KBMXLJTQSA-N 0 1 299.374 0.987 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001038621892 849681083 /nfs/dbraw/zinc/68/10/83/849681083.db2.gz JMFPTIMCHWSUPC-NWDGAFQWSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCCC(=O)N(C)CCCN(C)C(=O)c1[nH]nnc1C ZINC001066988674 849697546 /nfs/dbraw/zinc/69/75/46/849697546.db2.gz XUTSFHKHSKGOKQ-UHFFFAOYSA-N 0 1 293.371 1.000 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1c(C)cnn1C ZINC001038611023 849701193 /nfs/dbraw/zinc/70/11/93/849701193.db2.gz NIYPOMHRHSBHLA-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1Nc1ccc(C#N)nn1 ZINC001067029140 849714748 /nfs/dbraw/zinc/71/47/48/849714748.db2.gz JMBPEBWGBZEQMZ-SKDRFNHKSA-N 0 1 297.322 0.644 20 30 CCEDMN C[C@@H](O)CN1CC[C@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001038173680 849808407 /nfs/dbraw/zinc/80/84/07/849808407.db2.gz CEGWBVDNVKAHFP-SKDRFNHKSA-N 0 1 262.313 0.071 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001038544901 849937408 /nfs/dbraw/zinc/93/74/08/849937408.db2.gz YHTMIJFQNMMNGA-ZQDZILKHSA-N 0 1 292.379 0.252 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@@H]2CCN2C[C@H](C)O)c1 ZINC001038679207 849979398 /nfs/dbraw/zinc/97/93/98/849979398.db2.gz AKOVYUMOYDJYLX-FZMZJTMJSA-N 0 1 273.336 0.248 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CC(=O)N(CCC)C1 ZINC001038758473 850007084 /nfs/dbraw/zinc/00/70/84/850007084.db2.gz XROYOAFGQBGIAS-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cccn(C)c1=O ZINC001038935971 850092874 /nfs/dbraw/zinc/09/28/74/850092874.db2.gz RMKIXKQWCMSGTR-GFCCVEGCSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1c(OC)cc[nH]c1=O ZINC001038935744 850092991 /nfs/dbraw/zinc/09/29/91/850092991.db2.gz PODMRCHRDMRXJJ-NSHDSACASA-N 0 1 289.335 0.623 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001038970187 850105396 /nfs/dbraw/zinc/10/53/96/850105396.db2.gz IBGPAUAAZNSYNB-ZIAGYGMSSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1COC(=O)N1 ZINC001039367338 850180366 /nfs/dbraw/zinc/18/03/66/850180366.db2.gz YSJPNYJYLMZQSE-FRRDWIJNSA-N 0 1 291.351 0.183 20 30 CCEDMN CC#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C(N)=O ZINC001039389118 850184166 /nfs/dbraw/zinc/18/41/66/850184166.db2.gz CKVULDKWYGRRJO-OLZOCXBDSA-N 0 1 291.395 0.587 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cn[nH]c3)C[C@H]21 ZINC001041954264 850540121 /nfs/dbraw/zinc/54/01/21/850540121.db2.gz PWFVVMBVTFEQPY-GXTWGEPZSA-N 0 1 272.352 0.969 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cc(C)ncn3)C[C@@H]21 ZINC001042016969 850558235 /nfs/dbraw/zinc/55/82/35/850558235.db2.gz YAWXZWGSPWLMOX-HIFRSBDPSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)C3CN(C(C)=O)C3)C[C@@H]21 ZINC001042031470 850561377 /nfs/dbraw/zinc/56/13/77/850561377.db2.gz GFWJEWPYESWXHZ-ZFWWWQNUSA-N 0 1 289.379 0.021 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3nccc(C)n3)C[C@H]21 ZINC001042038599 850564675 /nfs/dbraw/zinc/56/46/75/850564675.db2.gz PDVVKXVTZMUIFQ-ZIAGYGMSSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3n[nH]cc3C)C[C@@H]21 ZINC001042054072 850568752 /nfs/dbraw/zinc/56/87/52/850568752.db2.gz GLNNWANGABKKIU-OLZOCXBDSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccncn3)C[C@H]21 ZINC001042274841 850606639 /nfs/dbraw/zinc/60/66/39/850606639.db2.gz NGIRLHCNIQTWGR-TZMCWYRMSA-N 0 1 270.336 0.646 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3ccn(C)n3)C[C@@H]21 ZINC001042355672 850617636 /nfs/dbraw/zinc/61/76/36/850617636.db2.gz DCROEMNCBUXJCJ-ZFWWWQNUSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001042724918 850745739 /nfs/dbraw/zinc/74/57/39/850745739.db2.gz GTXVOIPTQYTUJZ-LBPRGKRZSA-N 0 1 272.352 0.605 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)Cn2nccn2)C1 ZINC001042796955 850761632 /nfs/dbraw/zinc/76/16/32/850761632.db2.gz MFEYMESWLNGFBC-UHFFFAOYSA-N 0 1 263.345 0.387 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001042980321 850791748 /nfs/dbraw/zinc/79/17/48/850791748.db2.gz COKQBMYDLIMJAD-CHWSQXEVSA-N 0 1 272.352 0.679 20 30 CCEDMN CN(C(=O)c1c[nH]c2ccccc2c1=O)C1CN(CC#N)C1 ZINC001043203585 850832530 /nfs/dbraw/zinc/83/25/30/850832530.db2.gz KXPXXJYMDRQUGK-UHFFFAOYSA-N 0 1 296.330 0.808 20 30 CCEDMN C=CCN1CCC[C@@H](NC[C@H](O)C(=O)OC(C)(C)C)C1=O ZINC001253706032 851045655 /nfs/dbraw/zinc/04/56/55/851045655.db2.gz HNLHCNZDGKRAEK-NEPJUHHUSA-N 0 1 298.383 0.456 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)C[C@@H]2CC(=O)NC2=O)C1 ZINC001044380931 851091673 /nfs/dbraw/zinc/09/16/73/851091673.db2.gz DGNCEDFLKSTDQZ-NSHDSACASA-N 0 1 293.367 0.148 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)C2CN(C(C)=O)C2)C1 ZINC001046272046 851446779 /nfs/dbraw/zinc/44/67/79/851446779.db2.gz WPZJJRWRUUXPBP-HNNXBMFYSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001046327652 851467114 /nfs/dbraw/zinc/46/71/14/851467114.db2.gz OCQXHWNAMKUTHW-JMSVASOKSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC001046362231 851476537 /nfs/dbraw/zinc/47/65/37/851476537.db2.gz PRUCOCIXHAATPF-CQSZACIVSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001046362231 851476540 /nfs/dbraw/zinc/47/65/40/851476540.db2.gz PRUCOCIXHAATPF-CQSZACIVSA-N 0 1 284.323 0.180 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001046402049 851489349 /nfs/dbraw/zinc/48/93/49/851489349.db2.gz DQEQKOVHRYFIEO-IUODEOHRSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001046428729 851499852 /nfs/dbraw/zinc/49/98/52/851499852.db2.gz MBILEHHBQCABGV-CZUORRHYSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001046434662 851501371 /nfs/dbraw/zinc/50/13/71/851501371.db2.gz PMDGKZOWKHYSEE-CJNGLKHVSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001046434664 851502362 /nfs/dbraw/zinc/50/23/62/851502362.db2.gz PMDGKZOWKHYSEE-XJKSGUPXSA-N 0 1 286.379 0.659 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001046469053 851517853 /nfs/dbraw/zinc/51/78/53/851517853.db2.gz STCKKWYPZJRDII-AWEZNQCLSA-N 0 1 277.324 0.759 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001046495682 851526935 /nfs/dbraw/zinc/52/69/35/851526935.db2.gz ITKIVWPPUJWIDV-IZBGITOTSA-N 0 1 274.364 0.624 20 30 CCEDMN C[C@@]1(NC(=O)c2[nH]ncc2F)CCN(CC#N)C1 ZINC001046600687 851561728 /nfs/dbraw/zinc/56/17/28/851561728.db2.gz QZISOJIVFKDQHN-LLVKDONJSA-N 0 1 251.265 0.267 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2nccn3ccnc23)C1 ZINC001046632176 851569716 /nfs/dbraw/zinc/56/97/16/851569716.db2.gz UOIQQNKGIHMSGY-OAHLLOKOSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001046857786 851632644 /nfs/dbraw/zinc/63/26/44/851632644.db2.gz HUFRFVFQRJQOEJ-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001046855292 851632709 /nfs/dbraw/zinc/63/27/09/851632709.db2.gz BRUCBDNKQPSDOJ-UKRRQHHQSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2oc(C)nc2C)C1 ZINC001047333423 851721044 /nfs/dbraw/zinc/72/10/44/851721044.db2.gz CUCLBLXQWFVZFM-STQMWFEESA-N 0 1 291.351 0.432 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C2=CCOCC2)C1 ZINC001047358387 851735021 /nfs/dbraw/zinc/73/50/21/851735021.db2.gz VLBYKEWVOFCUEN-STQMWFEESA-N 0 1 266.341 0.023 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H](C)c2ccnn2C)C1 ZINC001047385520 851745648 /nfs/dbraw/zinc/74/56/48/851745648.db2.gz QHGYMDYMODZBLV-XBFCOCLRSA-N 0 1 292.383 0.213 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2CC3(CC3)C2)C1 ZINC001047523249 851800847 /nfs/dbraw/zinc/80/08/47/851800847.db2.gz VKFRGFHVMXGIEF-KBPBESRZSA-N 0 1 276.380 0.703 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccnnc2)C1 ZINC001047541847 851807105 /nfs/dbraw/zinc/80/71/05/851807105.db2.gz GOKRILMHHLHSQV-STQMWFEESA-N 0 1 276.340 0.170 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@H]2CN(CC(=C)C)C[C@@H]2O)nc1 ZINC001047601954 851833282 /nfs/dbraw/zinc/83/32/82/851833282.db2.gz RXFVWUJVHZRBSY-HOTGVXAUSA-N 0 1 299.374 0.756 20 30 CCEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1nnc[nH]1)C2 ZINC001096304509 851910969 /nfs/dbraw/zinc/91/09/69/851910969.db2.gz VBCPMQKTOSOFKY-VWYCJHECSA-N 0 1 295.774 0.821 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1cnn[nH]1)C2 ZINC001048541682 851973389 /nfs/dbraw/zinc/97/33/89/851973389.db2.gz XPVQGSAZIYLVEV-JGPRNRPPSA-N 0 1 274.328 0.301 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2CN(C(=O)c3cnnn3C)C[C@@H]2C1 ZINC001048798438 852066770 /nfs/dbraw/zinc/06/67/70/852066770.db2.gz RDPYNDSUDJTXOC-PHIMTYICSA-N 0 1 295.774 0.571 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)[C@H]3CCCc4[nH]ncc43)C[C@@H]2C1 ZINC001048821202 852073232 /nfs/dbraw/zinc/07/32/32/852073232.db2.gz VMCIJVXCQGSWEJ-XQQFMLRXSA-N 0 1 299.378 0.743 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+]([C@H](CC)C(N)=O)C[C@@H]2C1 ZINC001048979617 852124883 /nfs/dbraw/zinc/12/48/83/852124883.db2.gz YVRUIBBNLYFRSN-FRRDWIJNSA-N 0 1 293.411 0.853 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2CN(C(=O)[C@H]3CC[C@@H](C)O3)C[C@H]2C1 ZINC001049072861 852155381 /nfs/dbraw/zinc/15/53/81/852155381.db2.gz RJEGIPIYFDXEFC-APIJFGDWSA-N 0 1 276.380 0.967 20 30 CCEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1nccnc1N ZINC001049386002 852253125 /nfs/dbraw/zinc/25/31/25/852253125.db2.gz JPCLSDDRNNOJKC-STQMWFEESA-N 0 1 299.378 0.761 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1CCC[C@@H](OC)C1 ZINC001492393919 907897418 /nfs/dbraw/zinc/89/74/18/907897418.db2.gz MZVWDGAGCZAAQT-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1ccn[nH]1 ZINC001049388303 852254786 /nfs/dbraw/zinc/25/47/86/852254786.db2.gz SLNIRGPRZNFEHT-CHWSQXEVSA-N 0 1 273.340 0.541 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1ccccc1=O)C2 ZINC001096518307 852258191 /nfs/dbraw/zinc/25/81/91/852258191.db2.gz XVVQLHOUGYOTLI-RDBSUJKOSA-N 0 1 285.347 0.203 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1CCC(=O)N1C ZINC001049670486 852343699 /nfs/dbraw/zinc/34/36/99/852343699.db2.gz XSFQFEIRRVDAME-BFHYXJOUSA-N 0 1 289.379 0.306 20 30 CCEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnn(C)n1 ZINC001049787757 852372248 /nfs/dbraw/zinc/37/22/48/852372248.db2.gz KUWXHIOPXHQIII-KGLIPLIRSA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1nc[nH]n1 ZINC001049800083 852375842 /nfs/dbraw/zinc/37/58/42/852375842.db2.gz YRCKNLXXMCSNOR-QWRGUYRKSA-N 0 1 259.313 0.117 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)Cc1nonc1C ZINC001049821705 852380556 /nfs/dbraw/zinc/38/05/56/852380556.db2.gz HTWONVBRWINHPC-ZIAGYGMSSA-N 0 1 288.351 0.619 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCC(=O)N1 ZINC001049857063 852385229 /nfs/dbraw/zinc/38/52/29/852385229.db2.gz FUWHXSTWKZKCNX-RWMBFGLXSA-N 0 1 277.368 0.516 20 30 CCEDMN C=CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCC(=O)N1 ZINC001049857062 852386571 /nfs/dbraw/zinc/38/65/71/852386571.db2.gz FUWHXSTWKZKCNX-AVGNSLFASA-N 0 1 277.368 0.516 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCCN1C(N)=O)C2 ZINC001096664998 852422352 /nfs/dbraw/zinc/42/23/52/852422352.db2.gz GMNMQAXSXVCPQX-VOAKCMCISA-N 0 1 292.383 0.437 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnccn1)C2 ZINC001096748664 852436075 /nfs/dbraw/zinc/43/60/75/852436075.db2.gz KACJNQWFKVVZCL-BZPMIXESSA-N 0 1 270.336 0.835 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nnc(C)o1)C2 ZINC001097036265 852492357 /nfs/dbraw/zinc/49/23/57/852492357.db2.gz KROUIWHNMUGCRD-UPJWGTAASA-N 0 1 288.351 0.665 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(=O)n(C)cn1)C2 ZINC001097250761 852519832 /nfs/dbraw/zinc/51/98/32/852519832.db2.gz KIFGYKKDLKIGAW-WZRBSPASSA-N 0 1 288.351 0.301 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCNC(=O)CC1)C2 ZINC001097584477 852547799 /nfs/dbraw/zinc/54/77/99/852547799.db2.gz UBGKJKQPBKLUAI-SYQHCUMBSA-N 0 1 291.395 0.810 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1csnn1)C2 ZINC001097590903 852549847 /nfs/dbraw/zinc/54/98/47/852549847.db2.gz PMPFSTQJFOFWQD-FOGDFJRCSA-N 0 1 276.365 0.897 20 30 CCEDMN C[C@H](CNC(=O)[C@H]1CCCN1C)Nc1cnc(C#N)cn1 ZINC001097730045 852592818 /nfs/dbraw/zinc/59/28/18/852592818.db2.gz QIVGIQFXIJWKKN-ZYHUDNBSSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@H](CNC(=O)[C@@H]1CCCN1C)Nc1ncccc1C#N ZINC001097729929 852594049 /nfs/dbraw/zinc/59/40/49/852594049.db2.gz PAAPMIYBZYSNLZ-YPMHNXCESA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@H](CNC(=O)CCc1nc[nH]n1)Nc1ccncc1C#N ZINC001097779841 852609536 /nfs/dbraw/zinc/60/95/36/852609536.db2.gz PCASKUAXDFZLCW-SNVBAGLBSA-N 0 1 299.338 0.043 20 30 CCEDMN C[C@@H](CNC(=O)CN1CCCC1)Nc1cnc(C#N)cn1 ZINC001097862597 852634355 /nfs/dbraw/zinc/63/43/55/852634355.db2.gz CVQVTVGTRADMPJ-NSHDSACASA-N 0 1 288.355 0.361 20 30 CCEDMN CCc1cc(C(=O)N2CCOC3(CN(CC#N)C3)C2)n[nH]1 ZINC001053163229 852697539 /nfs/dbraw/zinc/69/75/39/852697539.db2.gz TXAIFRUJARKQJC-UHFFFAOYSA-N 0 1 289.339 0.022 20 30 CCEDMN C#CCCN1CC2(C1)C[C@H](NC(=O)CN1CCCC1)CO2 ZINC001054009167 852868874 /nfs/dbraw/zinc/86/88/74/852868874.db2.gz QBGFIYLPMUBGOY-AWEZNQCLSA-N 0 1 291.395 0.065 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2ccc(=O)[nH]n2)C[C@@H]1C ZINC001054358293 852934866 /nfs/dbraw/zinc/93/48/66/852934866.db2.gz CBJYUFMUOSUWFW-GZMMTYOYSA-N 0 1 296.758 0.985 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CC(N)=O)C[C@@H]1C ZINC001054502662 852960029 /nfs/dbraw/zinc/96/00/29/852960029.db2.gz XCLPLBIITJBABA-CBAPKCEASA-N 0 1 259.737 0.051 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn3cncc3c2)C[C@@H]1NCC#N ZINC001054862626 853027145 /nfs/dbraw/zinc/02/71/45/853027145.db2.gz HNQMXWVFYDUTNQ-FZMZJTMJSA-N 0 1 283.335 0.908 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c[nH]c(=O)cn2)C[C@H]1C ZINC001055019461 853055766 /nfs/dbraw/zinc/05/57/66/853055766.db2.gz ZHFZKIAUROVWAA-LDYMZIIASA-N 0 1 296.758 0.573 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001050025054 853296948 /nfs/dbraw/zinc/29/69/48/853296948.db2.gz MGOHBMZAGRWIIH-NWDGAFQWSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cnnc(C)c3)[C@@H]2C1 ZINC001050032466 853299949 /nfs/dbraw/zinc/29/99/49/853299949.db2.gz UUACTNLSFYYWII-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3cnon3)[C@@H]2C1 ZINC001050167408 853328081 /nfs/dbraw/zinc/32/80/81/853328081.db2.gz VTWZOIVSZMMSLZ-CMPLNLGQSA-N 0 1 262.313 0.792 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)C2)CC1 ZINC001050552038 853396673 /nfs/dbraw/zinc/39/66/73/853396673.db2.gz DGZBUJIFURDAKW-CHWSQXEVSA-N 0 1 299.378 0.961 20 30 CCEDMN C=C(C)CN1CCOC[C@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC001051091461 853521920 /nfs/dbraw/zinc/52/19/20/853521920.db2.gz IKKDHTRSMARMHM-LLVKDONJSA-N 0 1 294.355 0.132 20 30 CCEDMN C#CCN1CCOC[C@@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC001051238165 853551597 /nfs/dbraw/zinc/55/15/97/853551597.db2.gz HCORFBQNSBPCIU-LBPRGKRZSA-N 0 1 299.334 0.022 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)CC)C2)CC1 ZINC001051958663 853663735 /nfs/dbraw/zinc/66/37/35/853663735.db2.gz ZDBRHQOIOLLPHF-AWEZNQCLSA-N 0 1 263.385 0.638 20 30 CCEDMN C=CC[N@H+]1CCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC001052529357 853762427 /nfs/dbraw/zinc/76/24/27/853762427.db2.gz QHDDAXUEHOCHEG-SECBINFHSA-N 0 1 293.327 0.053 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H](NC(=O)c3cnn[nH]3)C2)cn1 ZINC001058268655 853808165 /nfs/dbraw/zinc/80/81/65/853808165.db2.gz QYZVDZSEGLFDMW-NSHDSACASA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1cnccc1N1CC[C@H](NC(=O)CN2CCCC2)C1 ZINC001058372226 853833320 /nfs/dbraw/zinc/83/33/20/853833320.db2.gz VACMKYAAHCIHFK-AWEZNQCLSA-N 0 1 299.378 0.744 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](NC(=O)c3ncn[nH]3)C2)cn1 ZINC001058422914 853843981 /nfs/dbraw/zinc/84/39/81/853843981.db2.gz RWPOCDLMGDBDSX-JTQLQIEISA-N 0 1 283.295 0.080 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](NC(=O)c3nc[nH]n3)C2)cn1 ZINC001058422914 853843987 /nfs/dbraw/zinc/84/39/87/853843987.db2.gz RWPOCDLMGDBDSX-JTQLQIEISA-N 0 1 283.295 0.080 20 30 CCEDMN N#Cc1cncc(NC[C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)n1 ZINC001068511189 853903685 /nfs/dbraw/zinc/90/36/85/853903685.db2.gz XNVZSQNWWKGYQZ-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cncc(NC[C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)n1 ZINC001068511189 853903689 /nfs/dbraw/zinc/90/36/89/853903689.db2.gz XNVZSQNWWKGYQZ-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cncc(NC[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)n1 ZINC001068618513 853907834 /nfs/dbraw/zinc/90/78/34/853907834.db2.gz MOOHLXKBDXREMS-KYZUINATSA-N 0 1 298.310 0.087 20 30 CCEDMN C[C@H]1C[C@@H](Nc2ccc(C#N)nn2)CN1C(=O)c1ccn[nH]1 ZINC001069017346 853939221 /nfs/dbraw/zinc/93/92/21/853939221.db2.gz LFNYUIZTKOTMIA-GXSJLCMTSA-N 0 1 297.322 0.786 20 30 CCEDMN C[C@H]1C[C@@H](Nc2nccnc2C#N)CN1C(=O)c1ccn[nH]1 ZINC001069018046 853939587 /nfs/dbraw/zinc/93/95/87/853939587.db2.gz NBAMXKZYENSPKG-VHSXEESVSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CC23CC3)[C@@H](n2ccnn2)C1 ZINC001070039578 854019773 /nfs/dbraw/zinc/01/97/73/854019773.db2.gz LULYUNLLDSLSHT-MCIONIFRSA-N 0 1 299.378 0.443 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCC2CC2)C[C@@H]1n1ccnn1 ZINC001070163352 854031617 /nfs/dbraw/zinc/03/16/17/854031617.db2.gz SPBMVCJLBZDBDJ-KGLIPLIRSA-N 0 1 287.367 0.443 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2CC=CC2)C[C@H]1c1cn(C)cn1 ZINC001070478253 854066301 /nfs/dbraw/zinc/06/63/01/854066301.db2.gz RLPFIXXDLBYIAQ-GOEBONIOSA-N 0 1 298.390 0.904 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H]2CC23CC3)C[C@H]1c1cn(C)cn1 ZINC001070540358 854073817 /nfs/dbraw/zinc/07/38/17/854073817.db2.gz XFEVTOWCWYDTMT-GZBFAFLISA-N 0 1 298.390 0.737 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](c2cncn2C)[C@H](NCCF)C1 ZINC001070630491 854083645 /nfs/dbraw/zinc/08/36/45/854083645.db2.gz SIEJGCHXFIBART-IJLUTSLNSA-N 0 1 293.346 0.433 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CN2CCCC2=O)CC[C@H]1C ZINC001071337231 854159327 /nfs/dbraw/zinc/15/93/27/854159327.db2.gz AVGZKRMHSFAHRJ-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cn2cccn2)CC[C@@H]1C ZINC001071355021 854166396 /nfs/dbraw/zinc/16/63/96/854166396.db2.gz VNOQXFGKGDGXKD-UONOGXRCSA-N 0 1 274.368 0.876 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CN2CCOCC2)CC[C@@H]1C ZINC001071439588 854197307 /nfs/dbraw/zinc/19/73/07/854197307.db2.gz FQQIFQXHHSRKQG-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cn(C)nn2)CC[C@H]1C ZINC001071466499 854208274 /nfs/dbraw/zinc/20/82/74/854208274.db2.gz CYFWZIAZICQNCJ-NEPJUHHUSA-N 0 1 277.372 0.974 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2ccnn2C)CC[C@H]1C ZINC001071466802 854209283 /nfs/dbraw/zinc/20/92/83/854209283.db2.gz HMKJYJZLCIGUQU-ZIAGYGMSSA-N 0 1 288.395 0.955 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2nnn(C)n2)CC[C@H]1C ZINC001071743699 854277391 /nfs/dbraw/zinc/27/73/91/854277391.db2.gz CWZOZMPOOUKDAT-GHMZBOCLSA-N 0 1 278.360 0.369 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@H]1C ZINC001071775319 854285897 /nfs/dbraw/zinc/28/58/97/854285897.db2.gz AEUOJJIGTFCSNV-GHMZBOCLSA-N 0 1 276.340 0.539 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@H]1C ZINC001072076501 854344633 /nfs/dbraw/zinc/34/46/33/854344633.db2.gz QARBYWZNWYNEDS-PWSUYJOCSA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cc(C)on3)C2)C1 ZINC001072559661 854416188 /nfs/dbraw/zinc/41/61/88/854416188.db2.gz MLIYJTOGQYEKAJ-UHFFFAOYSA-N 0 1 273.336 0.693 20 30 CCEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001072636908 854434376 /nfs/dbraw/zinc/43/43/76/854434376.db2.gz WOMCSHOTHYCVPY-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001072636908 854434378 /nfs/dbraw/zinc/43/43/78/854434378.db2.gz WOMCSHOTHYCVPY-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CC[N@@H+]1CCC2(CN(C(=O)c3cnnc(C)c3)C2)C1 ZINC001072665672 854440513 /nfs/dbraw/zinc/44/05/13/854440513.db2.gz MNDQYYYFRVUQBT-UHFFFAOYSA-N 0 1 270.336 0.566 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnnc(C)c3)C2)C1 ZINC001072665672 854440517 /nfs/dbraw/zinc/44/05/17/854440517.db2.gz MNDQYYYFRVUQBT-UHFFFAOYSA-N 0 1 270.336 0.566 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3CCC(O)CC3)C2)C1 ZINC001072673263 854443252 /nfs/dbraw/zinc/44/32/52/854443252.db2.gz GSTPKKTXNVDYMZ-UHFFFAOYSA-N 0 1 276.380 0.705 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](O)C(C)C)C2)C1 ZINC001072697495 854447604 /nfs/dbraw/zinc/44/76/04/854447604.db2.gz WEQPLZSWZOTSFE-GFCCVEGCSA-N 0 1 250.342 0.171 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCC2(CN(C(=O)C#CC3CC3)C2)C1 ZINC001072721596 854453084 /nfs/dbraw/zinc/45/30/84/854453084.db2.gz ZLEIOXZEFHZWTD-GFCCVEGCSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@@H]3CCN(C)C3=O)C2)C1 ZINC001072836570 854476258 /nfs/dbraw/zinc/47/62/58/854476258.db2.gz FRODZCJPYAAJHH-ZDUSSCGKSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCCn4nccc43)C2)C1 ZINC001072867941 854484256 /nfs/dbraw/zinc/48/42/56/854484256.db2.gz UYDCEHFVXMNMKF-CQSZACIVSA-N 0 1 298.390 0.928 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCCCC(=O)N3)C2)C1 ZINC001072972014 854505990 /nfs/dbraw/zinc/50/59/90/854505990.db2.gz HOOYPCJHYYPUQS-ZDUSSCGKSA-N 0 1 289.379 0.213 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCO[C@@H](C)C3)C2)C1 ZINC001073083549 854526534 /nfs/dbraw/zinc/52/65/34/854526534.db2.gz DSECTVJRWFLVQF-UONOGXRCSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cn(CC)nn3)C2)C1 ZINC001073089263 854528797 /nfs/dbraw/zinc/52/87/97/854528797.db2.gz KXSDQTXZLRKNLS-UHFFFAOYSA-N 0 1 287.367 0.469 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc(=O)[nH]c3)C2)C1 ZINC001073400887 854555561 /nfs/dbraw/zinc/55/55/61/854555561.db2.gz ASLMIBDMFAHDSS-UHFFFAOYSA-N 0 1 271.320 0.568 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnnn2CC)C1 ZINC001073595884 854608242 /nfs/dbraw/zinc/60/82/42/854608242.db2.gz XYNIQKCJAKPTJT-GFCCVEGCSA-N 0 1 293.371 0.305 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H]3OCCN(CC#N)[C@@H]3C2)[nH]1 ZINC001074194976 854693491 /nfs/dbraw/zinc/69/34/91/854693491.db2.gz NQXCBEVUEMQFHO-OLZOCXBDSA-N 0 1 289.339 0.157 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCC(=O)N1)C2 ZINC001098243225 854852421 /nfs/dbraw/zinc/85/24/21/854852421.db2.gz HLRBZLLVGATAIX-KXNHARMFSA-N 0 1 263.341 0.173 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H]2COCCO2)C1 ZINC001098736389 854881981 /nfs/dbraw/zinc/88/19/81/854881981.db2.gz GDRLLJWQYUUTOF-DZKIICNBSA-N 0 1 292.379 0.396 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](C)n2cncn2)C1 ZINC001098799900 854888407 /nfs/dbraw/zinc/88/84/07/854888407.db2.gz SJXZCXLURUWLIJ-IPYPFGDCSA-N 0 1 287.367 0.443 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cnn(C)n2)C1 ZINC001099078946 854911693 /nfs/dbraw/zinc/91/16/93/854911693.db2.gz UELRTJYSCAVXAN-FZMZJTMJSA-N 0 1 273.340 0.033 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)Cn1nccn1 ZINC001099093339 854914460 /nfs/dbraw/zinc/91/44/60/854914460.db2.gz OQYIJELBQZQNLH-CYBMUJFWSA-N 0 1 289.383 0.518 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H]2CCOC2)C[C@H]1C(F)(F)F ZINC001099218790 854921938 /nfs/dbraw/zinc/92/19/38/854921938.db2.gz URBSZBQHRDTHLY-HBNTYKKESA-N 0 1 290.285 0.635 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ncc[nH]2)C[C@H]1C(F)(F)F ZINC001099249087 854924453 /nfs/dbraw/zinc/92/44/53/854924453.db2.gz PSJWTTLVXUIEDV-RKDXNWHRSA-N 0 1 286.257 0.635 20 30 CCEDMN COCC#CCN1C[C@H](NC(C)=O)CC2(CCOCC2)C1 ZINC001099559752 854938917 /nfs/dbraw/zinc/93/89/17/854938917.db2.gz HWPZLIMKILPGAX-OAHLLOKOSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCC(C)C)[C@H](O)C1 ZINC001099665713 854961177 /nfs/dbraw/zinc/96/11/77/854961177.db2.gz LGXUWEINKFLYND-UONOGXRCSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)CCCC(C)=O)[C@H](O)C1 ZINC001099671615 854961881 /nfs/dbraw/zinc/96/18/81/854961881.db2.gz KQANSAYMPBLXIH-UONOGXRCSA-N 0 1 280.368 0.320 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCOCC)[C@H](O)C1 ZINC001099701651 854971156 /nfs/dbraw/zinc/97/11/56/854971156.db2.gz HZGLLYOIKZDMOP-UONOGXRCSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CC[N@H+](CCOC(C)C)C[C@@H]1O ZINC001099805265 855000049 /nfs/dbraw/zinc/00/00/49/855000049.db2.gz ZDBKKFIAIRZKPX-GJZGRUSLSA-N 0 1 296.411 0.766 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(COC)CCC2)[C@H](O)C1 ZINC001099925728 855035579 /nfs/dbraw/zinc/03/55/79/855035579.db2.gz JENCYEVNYCQHIQ-UONOGXRCSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCC(F)F)[C@@H](O)C1 ZINC001099934982 855036496 /nfs/dbraw/zinc/03/64/96/855036496.db2.gz HEIIBQZUBYDTBV-ZJUUUORDSA-N 0 1 278.299 0.006 20 30 CCEDMN CN(CCNC(=O)CCc1cnc[nH]1)c1cnc(C#N)cn1 ZINC001100380555 855158552 /nfs/dbraw/zinc/15/85/52/855158552.db2.gz RNBHILGLLKGECE-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CN(Cc2cnnn2C)C[C@H]1C ZINC001101805621 855369193 /nfs/dbraw/zinc/36/91/93/855369193.db2.gz WYXFTUUJHCYZDJ-CHWSQXEVSA-N 0 1 291.399 0.965 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CN(CC(=O)NC2CC2)C[C@H]1C ZINC001101823921 855373485 /nfs/dbraw/zinc/37/34/85/855373485.db2.gz QHSHGWIFBAOZLA-IJLUTSLNSA-N 0 1 292.383 0.109 20 30 CCEDMN C=CCC(CC=C)C(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001101996803 855405745 /nfs/dbraw/zinc/40/57/45/855405745.db2.gz JGYMFWHPEVOJLW-TZMCWYRMSA-N 0 1 293.411 0.924 20 30 CCEDMN CC[C@@H](CNC(=O)Cc1cnc[nH]1)Nc1ccnc(C#N)n1 ZINC001103334725 855512927 /nfs/dbraw/zinc/51/29/27/855512927.db2.gz KYIDIOVZFTYBFQ-JTQLQIEISA-N 0 1 299.338 0.043 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](C)NC(C)=O)C1 ZINC001111576025 855580433 /nfs/dbraw/zinc/58/04/33/855580433.db2.gz UUERJXUGJQVCEI-WHOFXGATSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)Oc1ccccn1 ZINC001115135603 855644881 /nfs/dbraw/zinc/64/48/81/855644881.db2.gz DDYKZSFRPGUYAJ-RMRHIDDWSA-N 0 1 285.347 0.529 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(=O)Nc1ccc(C)cc1 ZINC001115225672 855652531 /nfs/dbraw/zinc/65/25/31/855652531.db2.gz TYRAEXLZYKPUPF-FICVDOATSA-N 0 1 297.358 0.613 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001115365303 855667454 /nfs/dbraw/zinc/66/74/54/855667454.db2.gz VGUGVXXUEDJIOY-OJDYBEQGSA-N 0 1 289.379 0.115 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@@H](C)Nc2ccnc(C#N)n2)[nH]1 ZINC001115656498 855686584 /nfs/dbraw/zinc/68/65/84/855686584.db2.gz LCIYHRBRSJXVEB-SECBINFHSA-N 0 1 299.338 0.374 20 30 CCEDMN N#CCNC1(CNC(=O)Cc2cnc[nH]2)CCCCC1 ZINC001115750585 855694977 /nfs/dbraw/zinc/69/49/77/855694977.db2.gz WQPLDWYGWWJZQQ-UHFFFAOYSA-N 0 1 275.356 0.884 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC001116705243 855816128 /nfs/dbraw/zinc/81/61/28/855816128.db2.gz AIGIPUDTUMEIMI-STQMWFEESA-N 0 1 299.415 0.674 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)C(=O)NCc2cc(C)[nH]n2)C1 ZINC001117302421 855940486 /nfs/dbraw/zinc/94/04/86/855940486.db2.gz MARANINACMRCAA-GFCCVEGCSA-N 0 1 292.339 0.138 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)N2CCC[C@](C)(C#N)C2)n[nH]1 ZINC001117347328 855950252 /nfs/dbraw/zinc/95/02/52/855950252.db2.gz MUWNMNRUHKOHSK-CQSZACIVSA-N 0 1 289.339 0.487 20 30 CCEDMN N#CC1CN(C(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001117768379 856074734 /nfs/dbraw/zinc/07/47/34/856074734.db2.gz VKSXNBFWVMHGCS-UHFFFAOYSA-N 0 1 253.265 0.863 20 30 CCEDMN C#CCC1(O)CCN(C[C@H]2CCCS(=O)(=O)C2)CC1 ZINC001119270735 856606014 /nfs/dbraw/zinc/60/60/14/856606014.db2.gz OGQYBCVQNKRXSK-CYBMUJFWSA-N 0 1 285.409 0.661 20 30 CCEDMN Cc1nnsc1CNCCNC(=O)C1N=CC=CC1=O ZINC001119285153 856612113 /nfs/dbraw/zinc/61/21/13/856612113.db2.gz SQXGAQCQPUGBMU-VAWYXSNFSA-N 0 1 293.352 0.463 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CCC[N@H+]1C1CC1)C(=O)[O-] ZINC001119582460 856754654 /nfs/dbraw/zinc/75/46/54/856754654.db2.gz XRMMXGVXUUDFJX-WDEREUQCSA-N 0 1 250.298 0.206 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ncoc1[C@@H]1CCCO1 ZINC001119596907 856768220 /nfs/dbraw/zinc/76/82/20/856768220.db2.gz ZOJVXUGONQATNF-NSHDSACASA-N 0 1 277.324 0.821 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@@H+](CCOC)CCC(=O)[O-] ZINC001120260048 857021219 /nfs/dbraw/zinc/02/12/19/857021219.db2.gz ORECNQXUISXTGE-SNVBAGLBSA-N 0 1 258.318 0.100 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)CC2CCC2)CC1 ZINC001323412451 912334510 /nfs/dbraw/zinc/33/45/10/912334510.db2.gz YAHXRFHGCBFTMH-GFCCVEGCSA-N 0 1 291.395 0.553 20 30 CCEDMN Cc1cocc1C(=O)NCC1(NCC(=O)NCC#N)CC1 ZINC001323516782 912387467 /nfs/dbraw/zinc/38/74/67/912387467.db2.gz ZGRZHNSULIZDMH-UHFFFAOYSA-N 0 1 290.323 0.080 20 30 CCEDMN C#CCN(C(=O)Cc1cnoc1)C1CCN(CC#C)CC1 ZINC001324414563 912836569 /nfs/dbraw/zinc/83/65/69/912836569.db2.gz YGCLJOYYAQWQQD-UHFFFAOYSA-N 0 1 285.347 0.777 20 30 CCEDMN Cc1nocc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001324473566 912862540 /nfs/dbraw/zinc/86/25/40/912862540.db2.gz KKSDVCFLRZBEOR-UHFFFAOYSA-N 0 1 251.271 0.414 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)COCc2cccnc2)C1 ZINC001324668728 912973276 /nfs/dbraw/zinc/97/32/76/912973276.db2.gz IEXBKORFIKZJAV-MRXNPFEDSA-N 0 1 287.363 0.812 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H]1CN(C(=O)c2cn[nH]c2)CCO1 ZINC001394347038 913136157 /nfs/dbraw/zinc/13/61/57/913136157.db2.gz ZMNNSOGUJQVWSB-PWSUYJOCSA-N 0 1 298.774 0.981 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2oc(C)cc2C)C1 ZINC001325085609 913199184 /nfs/dbraw/zinc/19/91/84/913199184.db2.gz MACGQDCRZGWBIF-OAHLLOKOSA-N 0 1 276.336 0.696 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@@H]2CC2(C)C)C1 ZINC001325088068 913203658 /nfs/dbraw/zinc/20/36/58/913203658.db2.gz YRZFPGSUQXVLLY-WFASDCNBSA-N 0 1 264.369 0.609 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)CO[C@@H](C)CC)C1 ZINC001325087711 913206077 /nfs/dbraw/zinc/20/60/77/913206077.db2.gz WLLXJXZRRPCBSV-ZFWWWQNUSA-N 0 1 282.384 0.378 20 30 CCEDMN C=CCC[C@H](C)[N@@H+](C)CCNC(=O)Cn1c(=O)[n-][nH]c1=O ZINC001492830278 913243259 /nfs/dbraw/zinc/24/32/59/913243259.db2.gz DJYCXMOLGPQOOC-JTQLQIEISA-N 0 1 297.359 0.092 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H]1CCCOC1 ZINC001394734322 913398938 /nfs/dbraw/zinc/39/89/38/913398938.db2.gz XIXRLCQUBSVBOE-RYUDHWBXSA-N 0 1 290.791 0.574 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)C#N)N(C)C(=O)Cc1ccn[nH]1 ZINC001395162650 913569387 /nfs/dbraw/zinc/56/93/87/913569387.db2.gz VSEWQKYYLQNYBD-VHSXEESVSA-N 0 1 277.328 0.075 20 30 CCEDMN C=C(CC)C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC001348861135 891714435 /nfs/dbraw/zinc/71/44/35/891714435.db2.gz QAXZYNUIJZEPOU-CQSZACIVSA-N 0 1 281.400 0.475 20 30 CCEDMN N#Cc1ncn(Cc2[nH]nc3c2COCC3)c1C#N ZINC001307326825 891829933 /nfs/dbraw/zinc/82/99/33/891829933.db2.gz WFSXFITVHOYGAB-UHFFFAOYSA-N 0 1 254.253 0.471 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cc2ncccn2n1 ZINC001480925611 891836940 /nfs/dbraw/zinc/83/69/40/891836940.db2.gz HJJSGQYQSRUHMX-UHFFFAOYSA-N 0 1 271.324 0.414 20 30 CCEDMN COc1nc(C)nc(-n2c(C)ncc(CN)c2=N)c1C#N ZINC001167672379 891868728 /nfs/dbraw/zinc/86/87/28/891868728.db2.gz LJZWHWLUQQWCFL-UHFFFAOYSA-N 0 1 285.311 0.098 20 30 CCEDMN CC#CCN(CCNC(=O)Cc1cncn1C)C1CC1 ZINC001267336623 891878420 /nfs/dbraw/zinc/87/84/20/891878420.db2.gz UDHXREXZTRNRAX-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccnc2c1CC(=O)N2 ZINC001480985712 892012426 /nfs/dbraw/zinc/01/24/26/892012426.db2.gz ITIBPAKLKJFWOQ-UHFFFAOYSA-N 0 1 286.335 0.261 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H]1CCOC[C@@H]1C ZINC001480999412 892034922 /nfs/dbraw/zinc/03/49/22/892034922.db2.gz RPIIAJLXVRILAY-LSDHHAIUSA-N 0 1 296.411 0.747 20 30 CCEDMN CC#CCN(CC)CCNC(=O)Cn1cc(Cl)cn1 ZINC001481002953 892044623 /nfs/dbraw/zinc/04/46/23/892044623.db2.gz VGOJDSFGMZOXGB-UHFFFAOYSA-N 0 1 282.775 0.998 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCC(=O)NCC ZINC001481031472 892091608 /nfs/dbraw/zinc/09/16/08/892091608.db2.gz XMLHSWUWOKYXCI-LBPRGKRZSA-N 0 1 265.357 0.117 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)[C@@H](C)C2CC2)C1 ZINC001481090457 892152437 /nfs/dbraw/zinc/15/24/37/892152437.db2.gz URGRRSDOQSGMIN-STQMWFEESA-N 0 1 291.395 0.220 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)c1cnns1 ZINC001481130458 892207021 /nfs/dbraw/zinc/20/70/21/892207021.db2.gz JOJHCEJXNYJPAA-UHFFFAOYSA-N 0 1 296.396 0.630 20 30 CCEDMN CCCN(CCNC(=O)C#CC(C)(C)C)[C@H](C)C(N)=O ZINC001481135555 892215730 /nfs/dbraw/zinc/21/57/30/892215730.db2.gz RWIBBDCHNSLWLF-GFCCVEGCSA-N 0 1 281.400 0.738 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H]1CCCCO1)C1CC1 ZINC001481165200 892260534 /nfs/dbraw/zinc/26/05/34/892260534.db2.gz IAEYGBQOXHZLOE-ZDUSSCGKSA-N 0 1 250.342 0.769 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)CN(C)C(C)=O ZINC001481208554 892335087 /nfs/dbraw/zinc/33/50/87/892335087.db2.gz NCHHCVSCSLQQCF-UHFFFAOYSA-N 0 1 261.753 0.265 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)CSCC#N)CC1 ZINC001481464009 892704656 /nfs/dbraw/zinc/70/46/56/892704656.db2.gz UMAQGKCURJTDDT-UHFFFAOYSA-N 0 1 296.440 0.505 20 30 CCEDMN Cc1nc([C@@H](C)N2CC(CNC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001481617327 892910122 /nfs/dbraw/zinc/91/01/22/892910122.db2.gz DYMZIUYWZJWRQQ-RKDXNWHRSA-N 0 1 276.344 0.382 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CNC(=O)CC ZINC001481668189 892986194 /nfs/dbraw/zinc/98/61/94/892986194.db2.gz SANNJDCCCXESPW-RYUDHWBXSA-N 0 1 265.357 0.374 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)Cc2nnc(C)[nH]2)C1 ZINC001481766335 893130162 /nfs/dbraw/zinc/13/01/62/893130162.db2.gz INHYANFKZTWCNL-ZDUSSCGKSA-N 0 1 289.383 0.602 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)CN[C@@H](C)c1nnnn1C ZINC001482106014 893397108 /nfs/dbraw/zinc/39/71/08/893397108.db2.gz SKISHPLYRCRHNU-NEPJUHHUSA-N 0 1 294.403 0.969 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)C(C)(C)C(N)=O)C1 ZINC001482190084 893483454 /nfs/dbraw/zinc/48/34/54/893483454.db2.gz JVVRTNRTXCQGPM-GFCCVEGCSA-N 0 1 297.399 0.281 20 30 CCEDMN C=CCCC(=O)N[C@H](CC)CNC(=O)C1=NC(=O)N(C)C1 ZINC001285095038 893825009 /nfs/dbraw/zinc/82/50/09/893825009.db2.gz BAVZGUHOMAFHLY-SNVBAGLBSA-N 0 1 294.355 0.717 20 30 CCEDMN CC(C)(C#N)CS(=O)(=O)NC1(c2nnc[nH]2)CCC1 ZINC001363975090 893868995 /nfs/dbraw/zinc/86/89/95/893868995.db2.gz YTFNSNWSMRGJMI-UHFFFAOYSA-N 0 1 283.357 0.653 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CCCc2nn[nH]c21 ZINC001482622027 894421618 /nfs/dbraw/zinc/42/16/18/894421618.db2.gz BWAAPAZXKMWFLN-NSHDSACASA-N 0 1 275.356 0.248 20 30 CCEDMN CC#CC[N@@H+](C)CCN(C)C(=O)[C@H](C)[C@H]1CCC(=O)N1 ZINC001482629943 894429795 /nfs/dbraw/zinc/42/97/95/894429795.db2.gz WKKLOSSYWDMJKO-CHWSQXEVSA-N 0 1 279.384 0.315 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H](C)[C@H]1CCC(=O)N1 ZINC001482629943 894429801 /nfs/dbraw/zinc/42/98/01/894429801.db2.gz WKKLOSSYWDMJKO-CHWSQXEVSA-N 0 1 279.384 0.315 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H](C)[C@@H]1CCC(=O)N1 ZINC001482629946 894433404 /nfs/dbraw/zinc/43/34/04/894433404.db2.gz WKKLOSSYWDMJKO-STQMWFEESA-N 0 1 279.384 0.315 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ccc(OC)nn1 ZINC001482641601 894442215 /nfs/dbraw/zinc/44/22/15/894442215.db2.gz QALKDHHVIXPZRV-UHFFFAOYSA-N 0 1 276.340 0.512 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H]1C ZINC001396407035 913873606 /nfs/dbraw/zinc/87/36/06/913873606.db2.gz MDNUKEFXLIRSOE-HOSYDEDBSA-N 0 1 289.339 0.075 20 30 CCEDMN CC/C=C(/C)C(=O)NC/C=C\CNCC(=O)NCC#N ZINC001482989868 894774745 /nfs/dbraw/zinc/77/47/45/894774745.db2.gz QVULTOXNOUEEMW-NWDTZVKOSA-N 0 1 278.356 0.244 20 30 CCEDMN C[C@@H](C#N)C(=O)NC/C=C\CNCC(=O)N1CCCCC1 ZINC001482991078 894780794 /nfs/dbraw/zinc/78/07/94/894780794.db2.gz LZSAZQWTRKRJKE-SCOBNMCVSA-N 0 1 292.383 0.421 20 30 CCEDMN CC#CC[N@H+](C)CCOCCNC(=O)[C@@H]1CCO[C@H]1CC ZINC001506973886 895010756 /nfs/dbraw/zinc/01/07/56/895010756.db2.gz NTZSTDXVHPKLHG-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@@H]1CCO[C@H]1CC ZINC001506973886 895010778 /nfs/dbraw/zinc/01/07/78/895010778.db2.gz NTZSTDXVHPKLHG-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cncc3[nH]ccc32)[C@@H](O)C1 ZINC001083604740 895299916 /nfs/dbraw/zinc/29/99/16/895299916.db2.gz OLAZONGSDSNMKR-CABCVRRESA-N 0 1 298.346 0.361 20 30 CCEDMN C#CCCCC(=O)N(C)CCNCc1n[nH]c(C)n1 ZINC001493533759 895398340 /nfs/dbraw/zinc/39/83/40/895398340.db2.gz KLWKOKSFGAWFCU-UHFFFAOYSA-N 0 1 263.345 0.465 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)CN(C)[C@H]1CCCNC1=O ZINC001483335946 895473115 /nfs/dbraw/zinc/47/31/15/895473115.db2.gz IHQBDASNZNBGOE-OLZOCXBDSA-N 0 1 279.384 0.361 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cccc(F)c1C ZINC001507197654 895483773 /nfs/dbraw/zinc/48/37/73/895483773.db2.gz DDWSCOYZNMBUMN-LBPRGKRZSA-N 0 1 278.327 0.838 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCn2ccnc2C1 ZINC001483354946 895491488 /nfs/dbraw/zinc/49/14/88/895491488.db2.gz DRSUXNQPTPFASS-OLZOCXBDSA-N 0 1 274.368 0.515 20 30 CCEDMN C=CCCN(C)C[C@@H](C)NC(=O)CC1CS(=O)(=O)C1 ZINC001483355799 895494931 /nfs/dbraw/zinc/49/49/31/895494931.db2.gz HGYLSPAGDRCVFW-LLVKDONJSA-N 0 1 288.413 0.434 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)COCCCOC)CCC[C@H]12 ZINC001284068203 895690018 /nfs/dbraw/zinc/69/00/18/895690018.db2.gz DPCBJPZDMXUKNX-HOCLYGCPSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CCc1cn(C)nc1C ZINC001483693371 895869879 /nfs/dbraw/zinc/86/98/79/895869879.db2.gz BWARRVKZNXLKTP-OAHLLOKOSA-N 0 1 288.395 0.875 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)COC[C@H]1CCCO1 ZINC001483705840 895885935 /nfs/dbraw/zinc/88/59/35/895885935.db2.gz JYBKWRUIKKPDIB-UONOGXRCSA-N 0 1 282.384 0.949 20 30 CCEDMN COc1ccnc(CNC2(CNC(=O)[C@H](C)C#N)CC2)c1 ZINC001483723219 895917663 /nfs/dbraw/zinc/91/76/63/895917663.db2.gz JKPRUDDHGBVXOR-LLVKDONJSA-N 0 1 288.351 0.988 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)C2(C3CC3)CC2)CC1 ZINC001483743382 895975942 /nfs/dbraw/zinc/97/59/42/895975942.db2.gz WHLHDQQRUAFZTO-UHFFFAOYSA-N 0 1 290.367 0.055 20 30 CCEDMN C=CCNC(=O)C[N@H+]1CC[C@@]2(CCN(C(=O)C3CC3)C2)C1 ZINC001483837925 896073908 /nfs/dbraw/zinc/07/39/08/896073908.db2.gz SKUDGSRPHKOESR-MRXNPFEDSA-N 0 1 291.395 0.623 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@]2(CCN(C(=O)C3CC3)C2)C1 ZINC001483837925 896073918 /nfs/dbraw/zinc/07/39/18/896073918.db2.gz SKUDGSRPHKOESR-MRXNPFEDSA-N 0 1 291.395 0.623 20 30 CCEDMN C=CCOCC(=O)N[C@@]1(C)CCN([C@H](CC)C(N)=O)C1 ZINC001484038914 896166243 /nfs/dbraw/zinc/16/62/43/896166243.db2.gz XZAOTCCYVQJWFZ-RISCZKNCSA-N 0 1 283.372 0.034 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001484045850 896168730 /nfs/dbraw/zinc/16/87/30/896168730.db2.gz XUJWAFQTEGKEPD-SMDDNHRTSA-N 0 1 265.357 0.115 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001484047386 896174970 /nfs/dbraw/zinc/17/49/70/896174970.db2.gz BEMCVTVAVSDYDC-CJNGLKHVSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)[C@H](C)NC(=O)CC)C1 ZINC001484160661 896214223 /nfs/dbraw/zinc/21/42/23/896214223.db2.gz IUCHLVOANXAIJE-BBRMVZONSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CC(C)(F)F)C1 ZINC001484238371 896271571 /nfs/dbraw/zinc/27/15/71/896271571.db2.gz IHDFYZKJJOYUCK-LBPRGKRZSA-N 0 1 260.284 0.218 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H]([C@H](C)NCc2cnon2)C1 ZINC001484330299 896348840 /nfs/dbraw/zinc/34/88/40/896348840.db2.gz CZQOUQYCBNDQIG-RYUDHWBXSA-N 0 1 294.355 0.599 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)[C@H](OCC)C2CC2)C1 ZINC001484435730 896407523 /nfs/dbraw/zinc/40/75/23/896407523.db2.gz RRZTXDPVLAKKRV-GDBMZVCRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1cncs1 ZINC001484477802 896430826 /nfs/dbraw/zinc/43/08/26/896430826.db2.gz WJVYYSHPNFKABA-UHFFFAOYSA-N 0 1 289.788 0.920 20 30 CCEDMN C#CCCCCC(=O)N1CC2(C1)CCN(CC(N)=O)CC2 ZINC001484578195 896479630 /nfs/dbraw/zinc/47/96/30/896479630.db2.gz HSUWXIUACNBKAA-UHFFFAOYSA-N 0 1 291.395 0.590 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCC[C@@H](NC(=O)CC(C)C)CC1 ZINC001484703511 896548899 /nfs/dbraw/zinc/54/88/99/896548899.db2.gz HKYWEAAKAIIOJB-CQSZACIVSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](NC(=O)CC(C)C)CC1 ZINC001484703511 896548910 /nfs/dbraw/zinc/54/89/10/896548910.db2.gz HKYWEAAKAIIOJB-CQSZACIVSA-N 0 1 293.411 0.753 20 30 CCEDMN CC#CCCCC(=O)N[C@H]1CCCN(CC(=O)NC)CC1 ZINC001484720904 896566090 /nfs/dbraw/zinc/56/60/90/896566090.db2.gz XCHSKXJFUHGAPU-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN CN(CC#N)CCCN(C)C(=O)CCc1cnc[nH]1 ZINC001484744901 896576014 /nfs/dbraw/zinc/57/60/14/896576014.db2.gz VVXSCGASFYFMGB-UHFFFAOYSA-N 0 1 263.345 0.646 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)Cc1cnn(C)c1C ZINC001485315928 896941235 /nfs/dbraw/zinc/94/12/35/896941235.db2.gz OPMUDXHUABKCLK-NSHDSACASA-N 0 1 262.357 0.341 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)Cn1ncc2cccnc21 ZINC001485328685 896965538 /nfs/dbraw/zinc/96/55/38/896965538.db2.gz FWMOYZHMTCQRBD-GFCCVEGCSA-N 0 1 285.351 0.501 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)C(C)(C)CNC(C)=O ZINC001485363180 896989122 /nfs/dbraw/zinc/98/91/22/896989122.db2.gz XJPRODFFCQHQHK-LBPRGKRZSA-N 0 1 281.400 0.609 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCN(CC(N)=O)CC(C)(C)C1 ZINC001397267699 914123989 /nfs/dbraw/zinc/12/39/89/914123989.db2.gz DYVAOYNQHMUDNW-WDEREUQCSA-N 0 1 280.372 0.238 20 30 CCEDMN Cn1nccc1CN1C[C@@H]2[C@@H](CNC(=O)C#CC3CC3)[C@@H]2C1 ZINC001485601394 897140146 /nfs/dbraw/zinc/14/01/46/897140146.db2.gz MDKWHABDAWXXLG-PHZGNYQRSA-N 0 1 298.390 0.628 20 30 CCEDMN C#CCOCCC(=O)NC[C@]1(C)CN(CCC)CCO1 ZINC001107939536 897527609 /nfs/dbraw/zinc/52/76/09/897527609.db2.gz FEQQTOKIPUFLNN-OAHLLOKOSA-N 0 1 282.384 0.643 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cnc[nH]1 ZINC001032438220 897566401 /nfs/dbraw/zinc/56/64/01/897566401.db2.gz MFAVLDXETSSETG-RYUDHWBXSA-N 0 1 259.313 0.151 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C(F)F)[nH]n1 ZINC001032620317 897838720 /nfs/dbraw/zinc/83/87/20/897838720.db2.gz BHIRMRLEWDUEIC-YUMQZZPRSA-N 0 1 281.266 0.770 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)COc1cccnc1 ZINC001032685676 897931625 /nfs/dbraw/zinc/93/16/25/897931625.db2.gz OGUAEPLVCYFGGX-KBPBESRZSA-N 0 1 285.347 0.769 20 30 CCEDMN C=CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2nc[nH]c2C1 ZINC001032719982 897970888 /nfs/dbraw/zinc/97/08/88/897970888.db2.gz FZBVGCYSBOEGSE-AVGNSLFASA-N 0 1 286.379 0.986 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1C[C@@H]2C[C@H]1CN2CC#N ZINC001032735975 898042538 /nfs/dbraw/zinc/04/25/38/898042538.db2.gz PYXUAAIPYHWZMY-RYUDHWBXSA-N 0 1 285.351 0.570 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccnc(C)n1 ZINC001272841856 898072905 /nfs/dbraw/zinc/07/29/05/898072905.db2.gz VRWYEMLGQCNUGW-HUUCEWRRSA-N 0 1 284.363 0.984 20 30 CCEDMN C#CCN1CC[C@]2(CCN(CC(=O)OCC)C2)C1=O ZINC001272888834 898125839 /nfs/dbraw/zinc/12/58/39/898125839.db2.gz CDJCCDJXAZGTCS-AWEZNQCLSA-N 0 1 264.325 0.107 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C(N)=O)c1 ZINC001032784113 898191828 /nfs/dbraw/zinc/19/18/28/898191828.db2.gz HWLCAUIEZCMJRD-RYUDHWBXSA-N 0 1 286.335 0.036 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C(N)=O)c1 ZINC001032784635 898193436 /nfs/dbraw/zinc/19/34/36/898193436.db2.gz FOZXOIAZMXQLKF-RYUDHWBXSA-N 0 1 288.351 0.588 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H](C)CCNC(=O)[C@H](C)C#N)c1C ZINC001078130809 898249237 /nfs/dbraw/zinc/24/92/37/898249237.db2.gz NOWRPSFDNGKBFI-BDAKNGLRSA-N 0 1 291.355 0.811 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(c3ccccc3)CC2)C1 ZINC001078133028 898259024 /nfs/dbraw/zinc/25/90/24/898259024.db2.gz WFFRRPGXIFTWQW-HZPDHXFCSA-N 0 1 298.386 0.903 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2c[nH]cc2C)C1 ZINC001078177160 898272771 /nfs/dbraw/zinc/27/27/71/898272771.db2.gz YQFZFYFLIDXJAT-CHWSQXEVSA-N 0 1 261.325 0.121 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)c2c[nH]cc2C)C1 ZINC001078172286 898278778 /nfs/dbraw/zinc/27/87/78/898278778.db2.gz UTAANVINHPEKKQ-CHWSQXEVSA-N 0 1 263.341 0.674 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1CCCC2(CC2)C1 ZINC001485996694 898583095 /nfs/dbraw/zinc/58/30/95/898583095.db2.gz IJQROGPUUZOSEJ-CHWSQXEVSA-N 0 1 264.369 0.657 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1c(C)[nH]c2ccccc21 ZINC001486013385 898586939 /nfs/dbraw/zinc/58/69/39/898586939.db2.gz AYVCVCCVOZKIJJ-GFCCVEGCSA-N 0 1 285.347 0.790 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1CCCc2ccccc21 ZINC001486010077 898605248 /nfs/dbraw/zinc/60/52/48/898605248.db2.gz YTWNQGFBFWMVGN-GDBMZVCRSA-N 0 1 286.375 0.806 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CCc1ccncc1 ZINC001486010609 898605940 /nfs/dbraw/zinc/60/59/40/898605940.db2.gz CWFRIZIVPYWQCT-AWEZNQCLSA-N 0 1 275.352 0.104 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(F)c(Cl)c1 ZINC001486029070 898617314 /nfs/dbraw/zinc/61/73/14/898617314.db2.gz ACPBHAMOSJJLKN-SNVBAGLBSA-N 0 1 284.718 0.793 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1c(F)ccc(C)c1F ZINC001486031172 898618625 /nfs/dbraw/zinc/61/86/25/898618625.db2.gz PBBBCNYEUKIJAH-NSHDSACASA-N 0 1 296.317 0.977 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(C)cc1F ZINC001486038225 898625454 /nfs/dbraw/zinc/62/54/54/898625454.db2.gz KVAFSFMRGHSXNV-LLVKDONJSA-N 0 1 264.300 0.448 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(C)cc1F ZINC001486038226 898627389 /nfs/dbraw/zinc/62/73/89/898627389.db2.gz KVAFSFMRGHSXNV-NSHDSACASA-N 0 1 264.300 0.448 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@H]1COc2ccccc21 ZINC001486065057 898631680 /nfs/dbraw/zinc/63/16/80/898631680.db2.gz FAKIJDJTEHFIHA-GXTWGEPZSA-N 0 1 288.347 0.253 20 30 CCEDMN C#CC[N@H+](C)C[C@H](O)CN(C)C(=O)c1cc(Cl)cn1C ZINC001486339231 898805823 /nfs/dbraw/zinc/80/58/23/898805823.db2.gz RCXVVPUUJNSLRD-LBPRGKRZSA-N 0 1 297.786 0.676 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cc(Cl)cn1C ZINC001486339231 898805843 /nfs/dbraw/zinc/80/58/43/898805843.db2.gz RCXVVPUUJNSLRD-LBPRGKRZSA-N 0 1 297.786 0.676 20 30 CCEDMN C#CCN(C)CCN(C(=O)COCC=C)C(C)C ZINC001486364635 898831492 /nfs/dbraw/zinc/83/14/92/898831492.db2.gz ISPDYUMBEVPVIP-UHFFFAOYSA-N 0 1 252.358 0.991 20 30 CCEDMN C#CCN1CC=C(CNC(=O)CCn2ccnn2)CC1 ZINC001486506230 898907323 /nfs/dbraw/zinc/90/73/23/898907323.db2.gz DOCBLCOSOYFLKP-UHFFFAOYSA-N 0 1 273.340 0.050 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@]2(F)CCOC2)CC1 ZINC001486514316 898915607 /nfs/dbraw/zinc/91/56/07/898915607.db2.gz UPFRQBLPQSILQB-CQSZACIVSA-N 0 1 266.316 0.497 20 30 CCEDMN CC(C)c1nc(CNS(=O)(=O)CC(C)(C)C#N)n[nH]1 ZINC001364850167 899354176 /nfs/dbraw/zinc/35/41/76/899354176.db2.gz IMIOWBHOXGBBKE-UHFFFAOYSA-N 0 1 285.373 0.897 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H](CO)NC/C=C\Cl)[nH]1 ZINC001410603746 899493040 /nfs/dbraw/zinc/49/30/40/899493040.db2.gz WUVBKDPLOFVWQR-GAGJOLBNSA-N 0 1 282.731 0.319 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@H](C)CN(C)C(=O)c1ccn[nH]1 ZINC001487715938 900108048 /nfs/dbraw/zinc/10/80/48/900108048.db2.gz IPQDKMBTSFCKGF-GHMZBOCLSA-N 0 1 294.355 0.578 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)[C@H](C)C#N)CCN1Cc1n[nH]c(C)n1 ZINC001489109373 900432542 /nfs/dbraw/zinc/43/25/42/900432542.db2.gz YDZBCIQIJUQSOY-ADEWGFFLSA-N 0 1 290.371 0.742 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C2(COC)CC2)CC1 ZINC001490413438 900624466 /nfs/dbraw/zinc/62/44/66/900624466.db2.gz PMWCWWSYTGSTPB-UHFFFAOYSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H](C)NC(C)=O)C1 ZINC001490426226 900628644 /nfs/dbraw/zinc/62/86/44/900628644.db2.gz RSLRJCGEZAQWBV-JQWIXIFHSA-N 0 1 253.346 0.278 20 30 CCEDMN CC#CCN1CCN(CCCNC(=O)[C@@H](F)CC)CC1 ZINC001490473714 900633411 /nfs/dbraw/zinc/63/34/11/900633411.db2.gz SQBZSZBLKPDJIO-AWEZNQCLSA-N 0 1 283.391 0.882 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CCCn2cncn2)C1 ZINC001490454233 900644736 /nfs/dbraw/zinc/64/47/36/900644736.db2.gz DBJVKRUAPHIWJP-ZDUSSCGKSA-N 0 1 277.372 0.825 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2nc(C)c[nH]2)CC1 ZINC001490508560 900656862 /nfs/dbraw/zinc/65/68/62/900656862.db2.gz ZVXTYNDZNQQOMU-UHFFFAOYSA-N 0 1 277.372 0.252 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2ccc(OC)o2)CC1 ZINC001490508024 900657113 /nfs/dbraw/zinc/65/71/13/900657113.db2.gz VMYOVKQSMUDSAH-UHFFFAOYSA-N 0 1 293.367 0.822 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](COC)OC ZINC001490798436 900756985 /nfs/dbraw/zinc/75/69/85/900756985.db2.gz GFGTZEMXXVPGGD-MJBXVCDLSA-N 0 1 282.384 0.899 20 30 CCEDMN C=C(CN(C)C)C(=O)N1CCOC[C@H]1[C@@H]1CCCC1=O ZINC001154052638 900812110 /nfs/dbraw/zinc/81/21/10/900812110.db2.gz AMXLTDJTKGHSDD-STQMWFEESA-N 0 1 280.368 0.701 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CC[C@@H]1CCOC1 ZINC001275527644 901114663 /nfs/dbraw/zinc/11/46/63/901114663.db2.gz IQYYYZGRZFYUQT-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@H](CO)Cc2cnc[nH]2)n1 ZINC001412483588 901758778 /nfs/dbraw/zinc/75/87/78/901758778.db2.gz CXRIPKAXTRDFDY-NSHDSACASA-N 0 1 271.280 0.010 20 30 CCEDMN COc1ncc(NC(=O)CCc2nn[nH]n2)cc1C#N ZINC001412567580 901831523 /nfs/dbraw/zinc/83/15/23/901831523.db2.gz ZUWXQFPMYOALEA-UHFFFAOYSA-N 0 1 273.256 0.046 20 30 CCEDMN Cc1nc(CNC(=O)CNc2ccc(C#N)cc2)n[nH]1 ZINC001412761746 902097259 /nfs/dbraw/zinc/09/72/59/902097259.db2.gz SKSWKOVSVWQHPY-UHFFFAOYSA-N 0 1 270.296 0.713 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCS(=O)(=O)CCO2)cc1O ZINC001412814848 902142701 /nfs/dbraw/zinc/14/27/01/902142701.db2.gz PWEHWAMAGNEVDJ-UHFFFAOYSA-N 0 1 296.304 0.066 20 30 CCEDMN N#Cc1cncnc1NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001412904333 902347427 /nfs/dbraw/zinc/34/74/27/902347427.db2.gz YQPMXSLZHLNRLJ-MRVPVSSYSA-N 0 1 271.284 0.004 20 30 CCEDMN N#Cc1cncnc1NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001412904333 902347440 /nfs/dbraw/zinc/34/74/40/902347440.db2.gz YQPMXSLZHLNRLJ-MRVPVSSYSA-N 0 1 271.284 0.004 20 30 CCEDMN CCC(CC)(NC(=O)c1cc(C#N)ccn1)c1nn[nH]n1 ZINC001412931432 902371313 /nfs/dbraw/zinc/37/13/13/902371313.db2.gz CQMRVNFDNRMWRL-UHFFFAOYSA-N 0 1 285.311 0.912 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001413466801 902973010 /nfs/dbraw/zinc/97/30/10/902973010.db2.gz HJBDINLHKXMOFT-QMMMGPOBSA-N 0 1 271.284 0.040 20 30 CCEDMN CC(C)CN(Cc1nn[nH]n1)C(=O)c1ccc(C#N)cn1 ZINC001413507451 902998594 /nfs/dbraw/zinc/99/85/94/902998594.db2.gz ZRBCUCJKJGQSLS-UHFFFAOYSA-N 0 1 285.311 0.765 20 30 CCEDMN N#CCN1CC[C@H]2[C@H]1CCCN2C(=O)CCc1c[nH]nn1 ZINC001049741485 903048803 /nfs/dbraw/zinc/04/88/03/903048803.db2.gz PMSXHIXQOLFQKB-OLZOCXBDSA-N 0 1 288.355 0.326 20 30 CCEDMN N#CCN1CC[C@H]2[C@H]1CCCN2C(=O)CCc1cnn[nH]1 ZINC001049741485 903048816 /nfs/dbraw/zinc/04/88/16/903048816.db2.gz PMSXHIXQOLFQKB-OLZOCXBDSA-N 0 1 288.355 0.326 20 30 CCEDMN Cc1oncc1CN[C@H](C)CNC(=O)C#CC1CC1 ZINC001491446365 903596498 /nfs/dbraw/zinc/59/64/98/903596498.db2.gz BCKXBDQNFVVBAF-SNVBAGLBSA-N 0 1 261.325 0.991 20 30 CCEDMN N#Cc1ccc2c(c1)n[nH]c2C(=O)N1CCN2CC[C@H]2C1 ZINC001156884663 903764430 /nfs/dbraw/zinc/76/44/30/903764430.db2.gz QBLXMASPTSHPJL-NSHDSACASA-N 0 1 281.319 0.965 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)NC(=O)NC)C1 ZINC001211332840 903835753 /nfs/dbraw/zinc/83/57/53/903835753.db2.gz DHWYXZXUVCYPLL-VXGBXAGGSA-N 0 1 294.399 0.154 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cccc(C)c1 ZINC001331668022 904004595 /nfs/dbraw/zinc/00/45/95/904004595.db2.gz SNSKHILTOIMESD-CQSZACIVSA-N 0 1 260.337 0.699 20 30 CCEDMN Cc1n[nH]c(C)c1C[C@H](C)C(=O)NCC#CCN(C)C ZINC001332227773 904083991 /nfs/dbraw/zinc/08/39/91/904083991.db2.gz FXSONWILEBTZCB-NSHDSACASA-N 0 1 276.384 0.886 20 30 CCEDMN C=CCCC(=O)NCC1(CCNC(=O)c2ncn[nH]2)CC1 ZINC001281544100 904303079 /nfs/dbraw/zinc/30/30/79/904303079.db2.gz SUZMNRLTFBYLDU-UHFFFAOYSA-N 0 1 291.355 0.787 20 30 CCEDMN C=CCCC(=O)NCC1(CCNC(=O)c2nc[nH]n2)CC1 ZINC001281544100 904303088 /nfs/dbraw/zinc/30/30/88/904303088.db2.gz SUZMNRLTFBYLDU-UHFFFAOYSA-N 0 1 291.355 0.787 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)C[C@H]2CCCO2)CC1 ZINC001281798567 904349472 /nfs/dbraw/zinc/34/94/72/904349472.db2.gz GDURTBANBCYQLP-CYBMUJFWSA-N 0 1 280.368 0.132 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1cncn1C ZINC001281949854 904373974 /nfs/dbraw/zinc/37/39/74/904373974.db2.gz PEVIIHUPPZXQPY-ZDUSSCGKSA-N 0 1 274.368 0.980 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CCc1ccncn1 ZINC001281939285 904374829 /nfs/dbraw/zinc/37/48/29/904374829.db2.gz DXHAIPHDXWYHLV-HNNXBMFYSA-N 0 1 286.379 0.965 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cc(COC)on1 ZINC001281955853 904376443 /nfs/dbraw/zinc/37/64/43/904376443.db2.gz QXCJNNISHFDTKG-LBPRGKRZSA-N 0 1 291.351 0.991 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H]1CCCC(=O)N1C ZINC001281968339 904377916 /nfs/dbraw/zinc/37/79/16/904377916.db2.gz JUGKWAOUTCQWOD-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H](C)CNC(=O)C2CC2)C1=O ZINC001282346796 904453109 /nfs/dbraw/zinc/45/31/09/904453109.db2.gz VYXGZUZNPYFFEB-YPMHNXCESA-N 0 1 279.384 0.620 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)CC1OCCCO1 ZINC001282376445 904459540 /nfs/dbraw/zinc/45/95/40/904459540.db2.gz ASLSKHKVPIGHIK-ZDUSSCGKSA-N 0 1 298.383 0.226 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H](C)OCCOCC)C1 ZINC001282742221 904535943 /nfs/dbraw/zinc/53/59/43/904535943.db2.gz YEMYWEHIIQMHDX-UONOGXRCSA-N 0 1 296.411 0.888 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H](C)OCCOCC)C1 ZINC001282742223 904536561 /nfs/dbraw/zinc/53/65/61/904536561.db2.gz YEMYWEHIIQMHDX-ZIAGYGMSSA-N 0 1 296.411 0.888 20 30 CCEDMN C=CCCOCC(=O)N1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001282852970 904547941 /nfs/dbraw/zinc/54/79/41/904547941.db2.gz FJKCGHAOPVBCOS-UHFFFAOYSA-N 0 1 292.339 0.287 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C[C@@](C)(O)C=C)C2)C1 ZINC001282956620 904633820 /nfs/dbraw/zinc/63/38/20/904633820.db2.gz ITVYZJLBUQWDOV-HNNXBMFYSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCc1cccc(Cl)c1 ZINC001283786075 905013981 /nfs/dbraw/zinc/01/39/81/905013981.db2.gz XWGIEZVRVRMZIA-AWEZNQCLSA-N 0 1 294.782 0.973 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(C)c(C)cn1 ZINC001283783793 905014784 /nfs/dbraw/zinc/01/47/84/905014784.db2.gz JVPLPRGBYFGRQH-CYBMUJFWSA-N 0 1 275.352 0.402 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCc1ccc2[nH]ccc2c1 ZINC001283782026 905016389 /nfs/dbraw/zinc/01/63/89/905016389.db2.gz MYZWNSBNGQZOIL-OAHLLOKOSA-N 0 1 299.374 0.800 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](CO)NCc1cncn1C ZINC001283792239 905017841 /nfs/dbraw/zinc/01/78/41/905017841.db2.gz JVAJYLZKNGDBFK-NSHDSACASA-N 0 1 280.372 0.199 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1c(C)cccc1C ZINC001283804780 905029024 /nfs/dbraw/zinc/02/90/24/905029024.db2.gz NGCYSJSVCCLARR-CYBMUJFWSA-N 0 1 260.337 0.617 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1ccccc1F ZINC001283824215 905040595 /nfs/dbraw/zinc/04/05/95/905040595.db2.gz KWZWZQCXHCKSHE-LBPRGKRZSA-N 0 1 264.300 0.068 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(C)n(C)c1C ZINC001283828108 905043475 /nfs/dbraw/zinc/04/34/75/905043475.db2.gz ZAHLWKRNYNJIOV-CYBMUJFWSA-N 0 1 277.368 0.346 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N[C@H]1C[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001284061302 905134597 /nfs/dbraw/zinc/13/45/97/905134597.db2.gz PQHMDZJBYBNYQI-DCAQKATOSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cnn(C)n1 ZINC001377874433 905204953 /nfs/dbraw/zinc/20/49/53/905204953.db2.gz UHIFUVXQEZIQLE-IUCAKERBSA-N 0 1 271.752 0.664 20 30 CCEDMN C#CCNC(=O)CCN[C@H](C)C(=O)Nc1ccccc1 ZINC001336955507 921126252 /nfs/dbraw/zinc/12/62/52/921126252.db2.gz GDOVSFKEAUGFDA-GFCCVEGCSA-N 0 1 273.336 0.743 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N(C)C1CC(NCC#N)C1 ZINC001284799930 905436924 /nfs/dbraw/zinc/43/69/24/905436924.db2.gz ZGZOQFUVCPPDAR-XSRFYTQQSA-N 0 1 275.356 0.691 20 30 CCEDMN C=CCCC(=O)NC1CN(C(=O)C2=NC(=O)N(C)C2)C1 ZINC001284890661 905466746 /nfs/dbraw/zinc/46/67/46/905466746.db2.gz XEFVIKJPQGXQDB-UHFFFAOYSA-N 0 1 278.312 0.033 20 30 CCEDMN C#CCOCCC(=O)NCC1=CCN(CC#CC)CC1 ZINC001284909650 905484159 /nfs/dbraw/zinc/48/41/59/905484159.db2.gz LTLBQRUIMSLMEA-UHFFFAOYSA-N 0 1 274.364 0.798 20 30 CCEDMN C=CCCCC(=O)NC1CN(C(=O)Cc2ncn[nH]2)C1 ZINC001284939259 905498665 /nfs/dbraw/zinc/49/86/65/905498665.db2.gz ZBSZRGNVGWHNNE-UHFFFAOYSA-N 0 1 277.328 0.031 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](CC)NC(=O)c1cnn[nH]1 ZINC001285063789 905539152 /nfs/dbraw/zinc/53/91/52/905539152.db2.gz VAOHHNZXMADENL-SNVBAGLBSA-N 0 1 279.344 0.786 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](CC)NC(=O)Cc1nnc[nH]1 ZINC001285083814 905545080 /nfs/dbraw/zinc/54/50/80/905545080.db2.gz VYWGLJOSFNLYCX-SNVBAGLBSA-N 0 1 293.371 0.570 20 30 CCEDMN C=CCCCC(=O)N1CCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001285198878 905567112 /nfs/dbraw/zinc/56/71/12/905567112.db2.gz WRERZCNIPIBQHR-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCCCC(=O)N1CCC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC001285198878 905567122 /nfs/dbraw/zinc/56/71/22/905567122.db2.gz WRERZCNIPIBQHR-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN Cc1nnsc1CNC[C@@H](C)N(C)C(=O)[C@H](C)C#N ZINC001378754020 905772321 /nfs/dbraw/zinc/77/23/21/905772321.db2.gz LRCFWGREAIWTKT-RKDXNWHRSA-N 0 1 281.385 0.943 20 30 CCEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CNC(=O)c1[nH]ncc1F ZINC001285848027 905786181 /nfs/dbraw/zinc/78/61/81/905786181.db2.gz MVWQHWGRXVZQCU-JTQLQIEISA-N 0 1 294.330 0.785 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CS(C)(=O)=O ZINC001378754927 905796526 /nfs/dbraw/zinc/79/65/26/905796526.db2.gz MLTOIBSPJYBXRL-VIFPVBQESA-N 0 1 282.793 0.220 20 30 CCEDMN C#CCCCC(=O)N1CC([C@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001286204510 905866075 /nfs/dbraw/zinc/86/60/75/905866075.db2.gz BREJDSYPQAYEFJ-JTQLQIEISA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCCCC(=O)N1CC([C@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001286204510 905866085 /nfs/dbraw/zinc/86/60/85/905866085.db2.gz BREJDSYPQAYEFJ-JTQLQIEISA-N 0 1 289.339 0.185 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CSC(C)C ZINC001379168709 906036611 /nfs/dbraw/zinc/03/66/11/906036611.db2.gz LKGZKPAHAOVBSE-JTQLQIEISA-N 0 1 280.821 0.947 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001293577473 906494162 /nfs/dbraw/zinc/49/41/62/906494162.db2.gz ZAWHNZDKLXXGTJ-SNVBAGLBSA-N 0 1 277.328 0.492 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001293577473 906494171 /nfs/dbraw/zinc/49/41/71/906494171.db2.gz ZAWHNZDKLXXGTJ-SNVBAGLBSA-N 0 1 277.328 0.492 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H](C)NC(N)=O ZINC001380269757 906707283 /nfs/dbraw/zinc/70/72/83/906707283.db2.gz KJAJIOCDKYBNJT-VHSXEESVSA-N 0 1 288.779 0.376 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CNC(=O)CCc1nc[nH]n1 ZINC001295459931 906739789 /nfs/dbraw/zinc/73/97/89/906739789.db2.gz ZVFZGOPHFUQFDC-NSHDSACASA-N 0 1 291.355 0.162 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)Cn3ncnc32)CC1 ZINC001337634014 921279550 /nfs/dbraw/zinc/27/95/50/921279550.db2.gz SRZMLZTTZCUALT-GFCCVEGCSA-N 0 1 287.367 0.606 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC001296815231 906961833 /nfs/dbraw/zinc/96/18/33/906961833.db2.gz VHAYZQUEZHPONE-STQMWFEESA-N 0 1 298.383 0.409 20 30 CCEDMN C#CCN(C(=O)c1cn(C)ccc1=O)C1CCN(C)CC1 ZINC001337872423 921300360 /nfs/dbraw/zinc/30/03/60/921300360.db2.gz QPNCHRDPEUXGGG-UHFFFAOYSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)NCc1nncn1C ZINC001492012867 907674180 /nfs/dbraw/zinc/67/41/80/907674180.db2.gz FCSIISKFAJCROT-LBPRGKRZSA-N 0 1 277.372 0.603 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cc[nH]n1 ZINC001382392922 907717786 /nfs/dbraw/zinc/71/77/86/907717786.db2.gz XFJREUHYCVPFHS-VIFPVBQESA-N 0 1 272.736 0.185 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cc[nH]n1 ZINC001382392920 907719089 /nfs/dbraw/zinc/71/90/89/907719089.db2.gz XFJREUHYCVPFHS-SECBINFHSA-N 0 1 272.736 0.185 20 30 CCEDMN C=CCCC(=O)NCCCN(C)C(=O)C1=NC(=O)N(C)C1 ZINC001492295765 907863761 /nfs/dbraw/zinc/86/37/61/907863761.db2.gz CBCJQQXLYDPSQT-UHFFFAOYSA-N 0 1 294.355 0.670 20 30 CCEDMN CC#CCN(C)CCNC(=O)C1(NC(C)=O)CCCC1 ZINC001492453529 907928867 /nfs/dbraw/zinc/92/88/67/907928867.db2.gz IRGKTXCPEGTBHT-UHFFFAOYSA-N 0 1 279.384 0.507 20 30 CCEDMN COC[C@@H]1CNCCN1C(=O)NCC(C)(C)CC#N ZINC001336876932 908015228 /nfs/dbraw/zinc/01/52/28/908015228.db2.gz LQIMWDYRKAUKCY-NSHDSACASA-N 0 1 268.361 0.556 20 30 CCEDMN CCN(C(=O)C(=O)N1CCC[C@H](C#N)C1)[C@H]1CCN(C)C1 ZINC001338142837 921378338 /nfs/dbraw/zinc/37/83/38/921378338.db2.gz KZOXYWKULWQHML-OLZOCXBDSA-N 0 1 292.383 0.301 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2n[nH]c(C3CC3)n2)C1 ZINC001303558602 908093977 /nfs/dbraw/zinc/09/39/77/908093977.db2.gz LVEDCMNQFBYDQP-GFCCVEGCSA-N 0 1 287.367 0.438 20 30 CCEDMN C=CCOCC(=O)N1CC(N2CCN(CC)CC2)C1 ZINC001304054431 908137252 /nfs/dbraw/zinc/13/72/52/908137252.db2.gz NRVRLOLQUHGIEQ-UHFFFAOYSA-N 0 1 267.373 0.037 20 30 CCEDMN CN1CCN(c2cnc(C#N)c(C#N)n2)CC1(C)C ZINC001307155247 908288326 /nfs/dbraw/zinc/28/83/26/908288326.db2.gz NXCFNKXAGHVQGG-UHFFFAOYSA-N 0 1 256.313 0.750 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@]1(C)CCOC1 ZINC001317488272 908496000 /nfs/dbraw/zinc/49/60/00/908496000.db2.gz NGKIBYPBQAHYRZ-CQSZACIVSA-N 0 1 252.358 0.874 20 30 CCEDMN C=C(Cl)CNC[C@@H]1C[C@H](C)CCN1C(=O)CC(N)=O ZINC001316697632 908514822 /nfs/dbraw/zinc/51/48/22/908514822.db2.gz UXVLWDANXFAECE-KOLCDFICSA-N 0 1 287.791 0.831 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H](C)n1cncn1 ZINC001317495486 908524157 /nfs/dbraw/zinc/52/41/57/908524157.db2.gz ITKRXBBKWXMUTL-STQMWFEESA-N 0 1 275.356 0.443 20 30 CCEDMN C[C@@H](CO[C@H]1CCOC1)NC(=O)NCC#CCN(C)C ZINC001312272026 908590973 /nfs/dbraw/zinc/59/09/73/908590973.db2.gz CNLWVSPSFCUTRJ-STQMWFEESA-N 0 1 283.372 0.045 20 30 CCEDMN CCn1cc([C@@H](C)NC(=O)NCC#CCN(C)C)cn1 ZINC001312698983 908619214 /nfs/dbraw/zinc/61/92/14/908619214.db2.gz NCMNNZXFFMOXPK-GFCCVEGCSA-N 0 1 277.372 0.828 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H]1CC[C@H](C2CC2)O1 ZINC001313357506 908661370 /nfs/dbraw/zinc/66/13/70/908661370.db2.gz QDXPEMALGOEPKL-UONOGXRCSA-N 0 1 279.384 0.808 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)c1ccon1 ZINC001316759629 908764515 /nfs/dbraw/zinc/76/45/15/908764515.db2.gz BIXDQMWYTDOHKR-UHFFFAOYSA-N 0 1 279.340 0.718 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCN(C)[C@@H](C)C(N)=O ZINC001316843715 908800625 /nfs/dbraw/zinc/80/06/25/908800625.db2.gz TZYRBDRTRMFJQS-JTQLQIEISA-N 0 1 255.362 0.511 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CCc2ccnn2C)CC1 ZINC001316932913 908851833 /nfs/dbraw/zinc/85/18/33/908851833.db2.gz DAGGURDSLJIPTR-UHFFFAOYSA-N 0 1 288.395 0.909 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)[C@H](C)COC)CC1 ZINC001316957725 908867365 /nfs/dbraw/zinc/86/73/65/908867365.db2.gz PYBPXALQMCTWQJ-CQSZACIVSA-N 0 1 283.416 0.579 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](N[C@H](C)c2nncn2C)C1 ZINC001317073780 908963677 /nfs/dbraw/zinc/96/36/77/908963677.db2.gz XCYBMPAFBVQLTG-IJLUTSLNSA-N 0 1 293.371 0.316 20 30 CCEDMN Cn1ccnc1CN[C@H]1C[C@H](NC(=O)C#CC2CC2)C1 ZINC001317077001 908966001 /nfs/dbraw/zinc/96/60/01/908966001.db2.gz ZFRZAJSQIUAQML-JOCQHMNTSA-N 0 1 272.352 0.570 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](NCc2ccn(C)n2)C1 ZINC001317104424 908980816 /nfs/dbraw/zinc/98/08/16/908980816.db2.gz GSIZKIFULYDPKT-JLLWLGSASA-N 0 1 261.329 0.317 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCCN(CC(=O)NC)C1 ZINC001317128986 909001492 /nfs/dbraw/zinc/00/14/92/909001492.db2.gz SVVFNTDHPIKXES-GFCCVEGCSA-N 0 1 267.373 0.527 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cnsn1 ZINC001317227690 909058837 /nfs/dbraw/zinc/05/88/37/909058837.db2.gz SQICICJJUGMOKG-SSDOTTSWSA-N 0 1 260.750 0.999 20 30 CCEDMN Cc1cnc(CN[C@H](C)CNC(=O)[C@@H](C)C#N)cn1 ZINC001317227585 909060329 /nfs/dbraw/zinc/06/03/29/909060329.db2.gz AUWMPAINUIIZKP-GXSJLCMTSA-N 0 1 261.329 0.539 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCNC(=O)Cc1cnc[nH]1 ZINC001387592932 909159856 /nfs/dbraw/zinc/15/98/56/909159856.db2.gz HILMZIYOCGRZAA-NXEZZACHSA-N 0 1 277.328 0.123 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1C[C@]12CCOC2 ZINC001317465003 909241037 /nfs/dbraw/zinc/24/10/37/909241037.db2.gz FTNKDVLJJVYSKZ-OCCSQVGLSA-N 0 1 250.342 0.484 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1ccc(C(N)=O)s1 ZINC001317488122 909261173 /nfs/dbraw/zinc/26/11/73/909261173.db2.gz NFJOXDFBKXQDFL-UHFFFAOYSA-N 0 1 293.392 0.922 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)COCCCOC ZINC001317509938 909280301 /nfs/dbraw/zinc/28/03/01/909280301.db2.gz UBGOAFPMJNULPZ-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CNC(=O)C(=O)NCC2CC2)C1 ZINC001317531249 909299880 /nfs/dbraw/zinc/29/98/80/909299880.db2.gz BCOFYFCAMFLDSR-LBPRGKRZSA-N 0 1 299.802 0.703 20 30 CCEDMN C#CCN(CCNC(=O)[C@]1(F)CCOC1)C1CC1 ZINC001317555701 909329515 /nfs/dbraw/zinc/32/95/15/909329515.db2.gz VDOMQGMJUGIQDJ-ZDUSSCGKSA-N 0 1 254.305 0.329 20 30 CCEDMN C#CCN(CCNC(=O)COCc1ccnn1C)C1CC1 ZINC001317551030 909321220 /nfs/dbraw/zinc/32/12/20/909321220.db2.gz AUEKCQPATQAIGB-UHFFFAOYSA-N 0 1 290.367 0.151 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)[C@]2(C#N)CC23CCCC3)C1 ZINC001417641974 921488902 /nfs/dbraw/zinc/48/89/02/921488902.db2.gz QGYYAYNFCBMTTL-CZUORRHYSA-N 0 1 290.411 0.822 20 30 CCEDMN Cc1cnc(CNCCN(C)C(=O)C#CC(C)C)cn1 ZINC001317586621 909389496 /nfs/dbraw/zinc/38/94/96/909389496.db2.gz YDZMEVPMIKHACH-UHFFFAOYSA-N 0 1 274.368 0.992 20 30 CCEDMN C[C@H]1C[C@@H]1C(=O)NCC[C@H]1CCN(CC(=O)NCC#N)C1 ZINC001317796784 909501873 /nfs/dbraw/zinc/50/18/73/909501873.db2.gz FYFAUNRXXFNKSX-AVGNSLFASA-N 0 1 292.383 0.110 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@H]2CO[C@@H](C)C2)CC1 ZINC001317818509 909511026 /nfs/dbraw/zinc/51/10/26/909511026.db2.gz CPRHUGDEHMAKCN-LSDHHAIUSA-N 0 1 295.427 0.673 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@H]1CCN(C(=O)C2CCC2)C1 ZINC001317823849 909513671 /nfs/dbraw/zinc/51/36/71/909513671.db2.gz DBHKCWIBGKOJRR-CYBMUJFWSA-N 0 1 291.395 0.316 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2cnn(C)c2)C1 ZINC001317918923 909540192 /nfs/dbraw/zinc/54/01/92/909540192.db2.gz RYBNATQSTTVVKW-CQSZACIVSA-N 0 1 292.383 0.817 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)c2cn[nH]c2)C1 ZINC001317924827 909542179 /nfs/dbraw/zinc/54/21/79/909542179.db2.gz XYJTYAMQWCYXLT-CYBMUJFWSA-N 0 1 278.356 0.807 20 30 CCEDMN C#CCCN1CC(NC(=O)CCCCc2cn[nH]n2)C1 ZINC001318046599 909595811 /nfs/dbraw/zinc/59/58/11/909595811.db2.gz BYMHDUAQXFUBBN-UHFFFAOYSA-N 0 1 275.356 0.341 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@@H]2CO[C@H](C)C2)C1 ZINC001318130319 909634414 /nfs/dbraw/zinc/63/44/14/909634414.db2.gz UXUXYBRJVDHTNL-OCCSQVGLSA-N 0 1 282.384 0.662 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCN(C)CC1)n1ccnc1 ZINC001318433287 909756316 /nfs/dbraw/zinc/75/63/16/909756316.db2.gz GSDQAPXKVOHQAH-NSHDSACASA-N 0 1 261.329 0.548 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CN(C)C(C)=O)C1 ZINC001318457141 909765607 /nfs/dbraw/zinc/76/56/07/909765607.db2.gz FLVQDHXOUVDFFV-CQSZACIVSA-N 0 1 279.384 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)COC(C)C)C1 ZINC001318456589 909765736 /nfs/dbraw/zinc/76/57/36/909765736.db2.gz CBISHEIVYSAHAM-ZDUSSCGKSA-N 0 1 252.358 0.967 20 30 CCEDMN CCN(C(=O)CC(C)(C)O)[C@@H]1CCN(CC#CCOC)C1 ZINC001318466782 909771502 /nfs/dbraw/zinc/77/15/02/909771502.db2.gz ZKTXWZMZJNJFAJ-CQSZACIVSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CC[NH+]1CC[C@H](N(CC)C(=O)C[N@@H+]2CC[C@H](C)C2)C1 ZINC001318482615 909774372 /nfs/dbraw/zinc/77/43/72/909774372.db2.gz KOVJHRGYWZTGDG-GJZGRUSLSA-N 0 1 277.412 0.884 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)CNCc1csnn1 ZINC001319181627 910023913 /nfs/dbraw/zinc/02/39/13/910023913.db2.gz OPJCGCCFKVTTTQ-GWCFXTLKSA-N 0 1 298.412 0.707 20 30 CCEDMN CC(C)c1n[nH]c(NC(=O)Cn2cnc(C#N)n2)n1 ZINC001319263025 910061343 /nfs/dbraw/zinc/06/13/43/910061343.db2.gz QQIQGVVWILOAEU-UHFFFAOYSA-N 0 1 260.261 0.030 20 30 CCEDMN CC(C)c1nc(NC(=O)Cn2cnc(C#N)n2)n[nH]1 ZINC001319263025 910061361 /nfs/dbraw/zinc/06/13/61/910061361.db2.gz QQIQGVVWILOAEU-UHFFFAOYSA-N 0 1 260.261 0.030 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)Cn2cccn2)C1 ZINC001319295054 910075163 /nfs/dbraw/zinc/07/51/63/910075163.db2.gz JHDPAEUPHNUDHO-CQSZACIVSA-N 0 1 292.383 0.666 20 30 CCEDMN C[C@@H](NC(=O)C(=O)Nc1ccc(C#N)cc1)c1nnc[nH]1 ZINC001338707008 921549577 /nfs/dbraw/zinc/54/95/77/921549577.db2.gz IVORSBWLWUQWBL-MRVPVSSYSA-N 0 1 284.279 0.492 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)C[C@](C)(O)C1CC1 ZINC001319990755 910404317 /nfs/dbraw/zinc/40/43/17/910404317.db2.gz CMRHZYDZPDEMGF-AWEZNQCLSA-N 0 1 252.358 0.561 20 30 CCEDMN C=CCO[C@H]1CCN(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)C1 ZINC001320038421 910427411 /nfs/dbraw/zinc/42/74/11/910427411.db2.gz RUPIWENWVSGCKX-MJBXVCDLSA-N 0 1 282.384 0.899 20 30 CCEDMN C=CCO[C@@H]1CCN(CC(=O)N2CCOCC2)C1 ZINC001320039986 910428126 /nfs/dbraw/zinc/42/81/26/910428126.db2.gz SYSHXMWCQGDMKJ-GFCCVEGCSA-N 0 1 254.330 0.122 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H]1CCCS1(=O)=O ZINC001390525817 910490702 /nfs/dbraw/zinc/49/07/02/910490702.db2.gz BTDRUQDMWOACAC-VHSXEESVSA-N 0 1 294.804 0.410 20 30 CCEDMN CN1CCN(C)[C@@H](CNc2cccc(CC#N)n2)C1 ZINC001320365414 910634555 /nfs/dbraw/zinc/63/45/55/910634555.db2.gz ZTFWQASXPMISFJ-ZDUSSCGKSA-N 0 1 259.357 0.805 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC001320605072 910758033 /nfs/dbraw/zinc/75/80/33/910758033.db2.gz NZOROMQNPZPJIH-RDBSUJKOSA-N 0 1 297.399 0.428 20 30 CCEDMN C=C(Br)CNC/C=C/CNC(=O)COC ZINC001320945048 910947327 /nfs/dbraw/zinc/94/73/27/910947327.db2.gz YEWSOGMCNFXQGI-ONEGZZNKSA-N 0 1 277.162 0.803 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)/C(C)=C\C ZINC001321014367 911015561 /nfs/dbraw/zinc/01/55/61/911015561.db2.gz RKRNAHDHNZVBSU-ILGWKHBUSA-N 0 1 265.357 0.517 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC/C=C/CNCc1ccnn1C ZINC001321039218 911037009 /nfs/dbraw/zinc/03/70/09/911037009.db2.gz OFIDBJSIFOTGMD-LLYBFZRZSA-N 0 1 292.383 0.509 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CC[C@@]2(C)CCC(=O)N2)CC1 ZINC001321041766 911037476 /nfs/dbraw/zinc/03/74/76/911037476.db2.gz AVIKPQRMTHZYQR-CQSZACIVSA-N 0 1 292.383 0.539 20 30 CCEDMN CCn1nncc1CNC/C=C\CNC(=O)C#CC1CC1 ZINC001321052824 911050351 /nfs/dbraw/zinc/05/03/51/911050351.db2.gz UJVCDBVNJFPKND-ARJAWSKDSA-N 0 1 287.367 0.473 20 30 CCEDMN C=CC(C)(C)CC(=O)NCC=CC[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001321078146 911068399 /nfs/dbraw/zinc/06/83/99/911068399.db2.gz PTTRGDQXZZTCDD-AATRIKPKSA-N 0 1 293.371 0.875 20 30 CCEDMN N#CCCCS(=O)(=O)NC1(c2nnc[nH]2)CCC1 ZINC001321176028 911136486 /nfs/dbraw/zinc/13/64/86/911136486.db2.gz TYFNCMAUBGHNJP-UHFFFAOYSA-N 0 1 269.330 0.407 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1CCC(=O)N1C ZINC001321200232 911155306 /nfs/dbraw/zinc/15/53/06/911155306.db2.gz NILYTQDIYODIJU-UFFNRZRYSA-N 0 1 285.775 0.622 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCC=CC[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001321229913 911176704 /nfs/dbraw/zinc/17/67/04/911176704.db2.gz APAXRKISCDYUJP-UZYOAWRESA-N 0 1 293.371 0.875 20 30 CCEDMN C=CC[C@H](N[C@H]1CCN(CCOC)C1)C(=O)OCC ZINC001339093498 921649242 /nfs/dbraw/zinc/64/92/42/921649242.db2.gz HJMFNQZLLDZIJF-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@@H](C)C#N)NC(=O)CN1CCCC1 ZINC001391678189 911319391 /nfs/dbraw/zinc/31/93/91/911319391.db2.gz UADVGEXEXITVPL-QWHCGFSZSA-N 0 1 294.399 0.499 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)CNCc1nccn1C ZINC001321514596 911345116 /nfs/dbraw/zinc/34/51/16/911345116.db2.gz WYWXTSQOTGHASA-GFCCVEGCSA-N 0 1 278.356 0.054 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H](C)CNCc1cnc(C)cn1 ZINC001321842141 911522889 /nfs/dbraw/zinc/52/28/89/911522889.db2.gz MDJFDPICJHSWJK-STQMWFEESA-N 0 1 290.367 0.418 20 30 CCEDMN C=CCn1c(CO)nnc1N1CCN(CC)[C@@H](C)C1 ZINC001339164308 921671977 /nfs/dbraw/zinc/67/19/77/921671977.db2.gz AREQVOFDNHTKQV-NSHDSACASA-N 0 1 265.361 0.487 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnc(N(C)C)cn1 ZINC001322157081 911685804 /nfs/dbraw/zinc/68/58/04/911685804.db2.gz OJUWLDDGZHNMAI-NSHDSACASA-N 0 1 275.356 0.226 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CCc1ccn(C)n1 ZINC001322169059 911694085 /nfs/dbraw/zinc/69/40/85/911694085.db2.gz NFDPGRMJBIUXBA-ZDUSSCGKSA-N 0 1 276.384 0.813 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C(C)(C)NC(C)=O ZINC001392521293 911885356 /nfs/dbraw/zinc/88/53/56/911885356.db2.gz SLOAODZEHAACBR-SECBINFHSA-N 0 1 275.780 0.748 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)c1cc[nH]c(=O)c1 ZINC001323209130 912183213 /nfs/dbraw/zinc/18/32/13/912183213.db2.gz FRCNFLMELSQMMN-CYBMUJFWSA-N 0 1 291.351 0.794 20 30 CCEDMN C#CC1CCN(C(=O)N[C@@H](C)CN2CCN(C)CC2)CC1 ZINC001328131898 914987584 /nfs/dbraw/zinc/98/75/84/914987584.db2.gz PHHWEZJTFQSLIC-AWEZNQCLSA-N 0 1 292.427 0.677 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2ncoc2C)CC1 ZINC001328515616 915243822 /nfs/dbraw/zinc/24/38/22/915243822.db2.gz BOPFJHRBKGBABE-UHFFFAOYSA-N 0 1 291.351 0.563 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H](OC)C2CC2)CC1 ZINC001328529583 915257997 /nfs/dbraw/zinc/25/79/97/915257997.db2.gz ICUNDYBARMXUQA-ZDUSSCGKSA-N 0 1 282.384 0.541 20 30 CCEDMN C=C(C)C[C@H](NC(=O)C[C@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC001339554422 921758623 /nfs/dbraw/zinc/75/86/23/921758623.db2.gz PZGITNLVOVDRLB-MNOVXSKESA-N 0 1 254.330 0.864 20 30 CCEDMN CCN(CC)C(=O)CN1CC[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001399889294 915424316 /nfs/dbraw/zinc/42/43/16/915424316.db2.gz ANZDOERJOKXCPL-QWHCGFSZSA-N 0 1 294.399 0.453 20 30 CCEDMN C=CCCCC(=O)N[C@H](C)[C@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001329106315 915674828 /nfs/dbraw/zinc/67/48/28/915674828.db2.gz CKYHEYAGNDTPMC-WDEREUQCSA-N 0 1 295.387 0.448 20 30 CCEDMN CC(C)c1nnc(CN[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001418049592 921789524 /nfs/dbraw/zinc/78/95/24/921789524.db2.gz JGSKABXNRDCLDX-GMTAPVOTSA-N 0 1 290.371 0.825 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@H]1CCC[N@H+]1C1CC1)C(=O)[O-] ZINC001329289180 915798591 /nfs/dbraw/zinc/79/85/91/915798591.db2.gz UMTGMJPFTHPQQM-GHMZBOCLSA-N 0 1 252.314 0.759 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)CCc2nc[nH]n2)C1 ZINC001400604689 915824440 /nfs/dbraw/zinc/82/44/40/915824440.db2.gz IJPHORDZZUDMJY-JTQLQIEISA-N 0 1 283.763 0.680 20 30 CCEDMN C=CCCN(C)[C@@H](C)CNC(=O)[C@H](C)S(C)(=O)=O ZINC001329474815 915948650 /nfs/dbraw/zinc/94/86/50/915948650.db2.gz PFSBJXIQUQBOLF-QWRGUYRKSA-N 0 1 276.402 0.432 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cccc(=O)n1C ZINC001329543017 915999103 /nfs/dbraw/zinc/99/91/03/915999103.db2.gz VMLXIRPMUAOGBJ-GFCCVEGCSA-N 0 1 275.352 0.459 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCCC(=O)NCC ZINC001329591807 916046574 /nfs/dbraw/zinc/04/65/74/916046574.db2.gz GHWKJLHDJYGPBU-ZDUSSCGKSA-N 0 1 281.400 0.753 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)N2CCN[C@H](C)C2)C1 ZINC001329611903 916063961 /nfs/dbraw/zinc/06/39/61/916063961.db2.gz IULDVJCRGKLCCK-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001329628679 916079599 /nfs/dbraw/zinc/07/95/99/916079599.db2.gz SJGZVKIONHBBIR-CZUORRHYSA-N 0 1 293.411 0.895 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)C(=O)N2CCCN(CC)CC2)C1 ZINC001329949789 916303637 /nfs/dbraw/zinc/30/36/37/916303637.db2.gz GVXIDCLQKBVSBF-AWEZNQCLSA-N 0 1 291.395 0.412 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ocnc1C1CC1 ZINC001401566244 916332064 /nfs/dbraw/zinc/33/20/64/916332064.db2.gz ZIPJIXBXYJOIBX-SNVBAGLBSA-N 0 1 299.758 0.985 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C[C@@H](C)NC(N)=O)C1 ZINC001329996980 916345846 /nfs/dbraw/zinc/34/58/46/916345846.db2.gz IAUHNORWQCQAKN-ZJUUUORDSA-N 0 1 268.361 0.056 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)Cc2cn(C)nc2C)C1 ZINC001330001168 916346563 /nfs/dbraw/zinc/34/65/63/916346563.db2.gz UIMCJSOIUDNDQL-LBPRGKRZSA-N 0 1 288.395 0.731 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1CN(C)CCO1 ZINC001401621040 916398005 /nfs/dbraw/zinc/39/80/05/916398005.db2.gz WOVGOYNLSQWZDJ-MNOVXSKESA-N 0 1 275.780 0.164 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1[nH]c(=O)[nH]c1C ZINC001401629575 916409475 /nfs/dbraw/zinc/40/94/75/916409475.db2.gz ZTQOZODPIBGMBU-SSDOTTSWSA-N 0 1 272.736 0.884 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)NCc2cc(C)[nH]n2)C1 ZINC001330144919 916434203 /nfs/dbraw/zinc/43/42/03/916434203.db2.gz SUHSQQGDTGUVIP-NSHDSACASA-N 0 1 276.340 0.759 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@H]1CCC(=O)NC1 ZINC001401685111 916479015 /nfs/dbraw/zinc/47/90/15/916479015.db2.gz AIKDLBGREHHYJO-WDEREUQCSA-N 0 1 287.791 0.750 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@H](C)CC(N)=O)C1CC1 ZINC001401847147 916632859 /nfs/dbraw/zinc/63/28/59/916632859.db2.gz GNSSQPVDTCVCNE-KCJUWKMLSA-N 0 1 287.791 0.735 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1C[C@H](CNCc2cnn(C)n2)C1 ZINC001330595715 916730465 /nfs/dbraw/zinc/73/04/65/916730465.db2.gz YHBICFHWRXWFKN-JOCQHMNTSA-N 0 1 289.383 0.459 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CCn1cnnn1 ZINC001402066532 916788073 /nfs/dbraw/zinc/78/80/73/916788073.db2.gz XUJCKHUQMLYZHQ-NSHDSACASA-N 0 1 298.778 0.396 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)CNC(=O)OC)C1CC1 ZINC001402268853 916911575 /nfs/dbraw/zinc/91/15/75/916911575.db2.gz RSVFAGXSXIFDOY-SNVBAGLBSA-N 0 1 289.763 0.579 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ocnc1C(F)F ZINC001331040659 917041010 /nfs/dbraw/zinc/04/10/10/917041010.db2.gz FZMLUCIGIVPKQW-RITPCOANSA-N 0 1 256.212 0.454 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1c[nH]c(C#N)c1 ZINC001331118351 917099427 /nfs/dbraw/zinc/09/94/27/917099427.db2.gz QATRPZNREGHLIH-UHFFFAOYSA-N 0 1 288.351 0.588 20 30 CCEDMN C[C@@H](C#N)C(=O)N(CCO)CCN[C@@H](C)c1cnccn1 ZINC001402566101 917101136 /nfs/dbraw/zinc/10/11/36/917101136.db2.gz YVSQMGLPWLTGDD-RYUDHWBXSA-N 0 1 291.355 0.108 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001402609251 917124658 /nfs/dbraw/zinc/12/46/58/917124658.db2.gz SHURTHWJIWBBEG-UWVGGRQHSA-N 0 1 292.343 0.141 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001402609251 917124676 /nfs/dbraw/zinc/12/46/76/917124676.db2.gz SHURTHWJIWBBEG-UWVGGRQHSA-N 0 1 292.343 0.141 20 30 CCEDMN N#Cc1cnn2cc(CN[C@]34C[C@H]3COC4)cnc12 ZINC001331565802 917420098 /nfs/dbraw/zinc/42/00/98/917420098.db2.gz ORLSIECSCBUIEO-AAEUAGOBSA-N 0 1 255.281 0.479 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1occc1C(C)C ZINC001331776503 917600555 /nfs/dbraw/zinc/60/05/55/917600555.db2.gz ZUNVVKHIJDOGJH-NSHDSACASA-N 0 1 264.325 0.717 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NC[C@H](CO)NCc1cncn1C ZINC001331788357 917609815 /nfs/dbraw/zinc/60/98/15/917609815.db2.gz UJTUDUVLXDSQLZ-DOMZBBRYSA-N 0 1 294.399 0.589 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccncc1Cl ZINC001331791134 917613522 /nfs/dbraw/zinc/61/35/22/917613522.db2.gz TZFPVIWSOCCNBD-SECBINFHSA-N 0 1 267.716 0.049 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C(C1CC1)C1CC1 ZINC001331823195 917639568 /nfs/dbraw/zinc/63/95/68/917639568.db2.gz CWPMRNSJQAGICQ-ZDUSSCGKSA-N 0 1 264.369 0.513 20 30 CCEDMN COCC(=O)NC[C@@H]1[C@H]2CN(Cc3ccccc3C#N)C[C@H]21 ZINC001403377098 917685683 /nfs/dbraw/zinc/68/56/83/917685683.db2.gz DFGTUUCOJSASIT-PHZGNYQRSA-N 0 1 299.374 0.999 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@@H](C)C(F)(F)F ZINC001332661993 918363340 /nfs/dbraw/zinc/36/33/40/918363340.db2.gz BWJPWPSDCQTXEZ-GHMZBOCLSA-N 0 1 294.317 0.959 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)C[C@H](C)NC(N)=O)CC1 ZINC001333342626 918819933 /nfs/dbraw/zinc/81/99/33/918819933.db2.gz KAUGBBCNBOLVEB-LBPRGKRZSA-N 0 1 292.383 0.205 20 30 CCEDMN C=CC[N@@H+]1CC=C(CNC(=O)[C@]2(C)CCNC2=O)CC1 ZINC001333398544 918869600 /nfs/dbraw/zinc/86/96/00/918869600.db2.gz ATJUPRHAASZJOO-OAHLLOKOSA-N 0 1 277.368 0.447 20 30 CCEDMN C=C(Br)CNC[C@H](C)N(C)C(=O)COC ZINC001405784279 918898417 /nfs/dbraw/zinc/89/84/17/918898417.db2.gz ASGIZSMBNOGVQL-VIFPVBQESA-N 0 1 279.178 0.978 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1nnn(C)c1C ZINC001405792148 918903001 /nfs/dbraw/zinc/90/30/01/918903001.db2.gz GAJHBGLHRIWAAH-SECBINFHSA-N 0 1 285.779 0.926 20 30 CCEDMN N#CC[C@@H](O)CN1CCC([C@H](O)c2ncc[nH]2)CC1 ZINC001333457436 918923509 /nfs/dbraw/zinc/92/35/09/918923509.db2.gz IGPOHQOQTQLGTP-NEPJUHHUSA-N 0 1 264.329 0.430 20 30 CCEDMN C=CCNc1nnc(S(=O)(=O)Cc2nnc[nH]2)s1 ZINC001333653013 919071375 /nfs/dbraw/zinc/07/13/75/919071375.db2.gz AZSAEWYJYUUWQT-UHFFFAOYSA-N 0 1 286.342 0.228 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@H](C)CSC ZINC001406219218 919118775 /nfs/dbraw/zinc/11/87/75/919118775.db2.gz OBWLAKAWRZRRNW-SCZZXKLOSA-N 0 1 280.821 0.805 20 30 CCEDMN COc1ccc(CNC[C@@H](C)NC(=O)[C@H](C)C#N)nn1 ZINC001406332573 919187708 /nfs/dbraw/zinc/18/77/08/919187708.db2.gz CIAYKLNADZWLKB-NXEZZACHSA-N 0 1 277.328 0.239 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1CCS(=O)(=O)C1 ZINC001406377505 919224508 /nfs/dbraw/zinc/22/45/08/919224508.db2.gz WVILLNPRGMJVNS-ZJUUUORDSA-N 0 1 294.804 0.268 20 30 CCEDMN C#CC1(O)CCN([C@H]2CCN(C3CCOCC3)C2=O)CC1 ZINC001334377892 919538441 /nfs/dbraw/zinc/53/84/41/919538441.db2.gz XOGOFDCUOLLECG-AWEZNQCLSA-N 0 1 292.379 0.226 20 30 CCEDMN C=C(C)CCC(=O)N(C)[C@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001334552308 919649365 /nfs/dbraw/zinc/64/93/65/919649365.db2.gz AYFXCCIPGIKGNP-LLVKDONJSA-N 0 1 293.371 0.667 20 30 CCEDMN N#C[C@H]1CCCN(C(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)C1 ZINC001334602369 919676170 /nfs/dbraw/zinc/67/61/70/919676170.db2.gz GWMVVQCLVKLDBV-VXGBXAGGSA-N 0 1 290.367 0.101 20 30 CCEDMN CN1CCN(C[C@@H](O)CC2(C#N)CCOCC2)CC1 ZINC001334956173 919862421 /nfs/dbraw/zinc/86/24/21/919862421.db2.gz CUUSRYIMKOBJIM-ZDUSSCGKSA-N 0 1 267.373 0.305 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCN(C)[C@@H]1CCN(C)C1=O ZINC001407876312 919936508 /nfs/dbraw/zinc/93/65/08/919936508.db2.gz LFXXUBTYNBBKMR-NWDGAFQWSA-N 0 1 280.372 0.157 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)NCCCc1nc[nH]n1 ZINC001335093731 919952228 /nfs/dbraw/zinc/95/22/28/919952228.db2.gz JLGNPHQKIAUECG-LLVKDONJSA-N 0 1 261.329 0.935 20 30 CCEDMN C=C1CCC(CNC(=O)C(=O)N2CCN[C@@H](C)C2)CC1 ZINC001335236823 920048884 /nfs/dbraw/zinc/04/88/84/920048884.db2.gz QQYCJWFGRAIBEK-LBPRGKRZSA-N 0 1 279.384 0.669 20 30 CCEDMN Cc1ccnc(CN[C@@H](C)CCNC(=O)[C@@H](C)C#N)n1 ZINC001408174856 920079039 /nfs/dbraw/zinc/07/90/39/920079039.db2.gz JRQNFNDIOSLTFI-QWRGUYRKSA-N 0 1 275.356 0.929 20 30 CCEDMN C[C@H](NC[C@H](O)CN(C)CCC#N)c1cnccn1 ZINC001335470634 920216865 /nfs/dbraw/zinc/21/68/65/920216865.db2.gz WBPNTCLDIDVHDN-RYUDHWBXSA-N 0 1 263.345 0.334 20 30 CCEDMN C#C[C@@H](CC)NCCS(=O)(=O)CC(=O)OC(C)(C)C ZINC001335477277 920223344 /nfs/dbraw/zinc/22/33/44/920223344.db2.gz NQPWIYKPUXLUPK-NSHDSACASA-N 0 1 289.397 0.744 20 30 CCEDMN C=CCCCN(CC)C(=O)C(=O)N1CCNCC1 ZINC001336132643 920624084 /nfs/dbraw/zinc/62/40/84/920624084.db2.gz DMHUQCQMWYDNOO-UHFFFAOYSA-N 0 1 253.346 0.233 20 30 CCEDMN CN(C)c1ccnc(CNC(=O)C(=O)NCCCC#N)c1 ZINC001336197575 920652933 /nfs/dbraw/zinc/65/29/33/920652933.db2.gz GNJUTMRRBBCQRT-UHFFFAOYSA-N 0 1 289.339 0.184 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)C(=O)c1cc(C)[nH]n1 ZINC001415821772 920837713 /nfs/dbraw/zinc/83/77/13/920837713.db2.gz MOIBRIQASFQPMU-SNVBAGLBSA-N 0 1 291.355 0.846 20 30 CCEDMN C[C@@H](Nc1cc(C#N)ccn1)[C@H]1CN(C)CCN1C ZINC001336712332 920947274 /nfs/dbraw/zinc/94/72/74/920947274.db2.gz YYMXFGYHPUYVEJ-DGCLKSJQSA-N 0 1 259.357 0.999 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N2CC[C@H]3C[N@H+](C)C[C@@H]32)cc1 ZINC001336789068 921013583 /nfs/dbraw/zinc/01/35/83/921013583.db2.gz PYMVEFGJLVFWIZ-ZFWWWQNUSA-N 0 1 297.358 0.769 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)NC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001416848131 921035065 /nfs/dbraw/zinc/03/50/65/921035065.db2.gz LFHPGUPBBVORIQ-SSDOTTSWSA-N 0 1 299.762 0.818 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)NC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001416848131 921035076 /nfs/dbraw/zinc/03/50/76/921035076.db2.gz LFHPGUPBBVORIQ-SSDOTTSWSA-N 0 1 299.762 0.818 20 30 CCEDMN CCN(OC)C(=O)CNC[C@H](O)c1ccc(C#N)cc1 ZINC001336833060 921047012 /nfs/dbraw/zinc/04/70/12/921047012.db2.gz CXKPJSBPCLDLQA-ZDUSSCGKSA-N 0 1 277.324 0.591 20 30 CCEDMN N#CCCCCS(=O)(=O)NC1(c2nnc[nH]2)CCC1 ZINC001339794568 921832559 /nfs/dbraw/zinc/83/25/59/921832559.db2.gz VZDJYOJVHFKBSL-UHFFFAOYSA-N 0 1 283.357 0.797 20 30 CCEDMN C#CCN(C)c1nnc(C2=NO[C@H](CO)C2)n1CC=C ZINC001340395682 922074431 /nfs/dbraw/zinc/07/44/31/922074431.db2.gz PZLJSAFTXFLUJD-JTQLQIEISA-N 0 1 275.312 0.019 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)COCC(F)(F)F ZINC001340650771 922157212 /nfs/dbraw/zinc/15/72/12/922157212.db2.gz XICSXZAFCSKBKY-MRVPVSSYSA-N 0 1 252.236 0.293 20 30 CCEDMN CCCn1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)c1C ZINC001340906843 922266144 /nfs/dbraw/zinc/26/61/44/922266144.db2.gz FHGJGDAHCLKTBP-PWSUYJOCSA-N 0 1 261.329 0.443 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1Cc2ccc(C#N)cc2C1 ZINC001340914811 922274793 /nfs/dbraw/zinc/27/47/93/922274793.db2.gz BXNDYBDSXSNVRA-CQSZACIVSA-N 0 1 253.305 0.364 20 30 CCEDMN C=C(C)C[C@H](NC(=O)Cc1csc(CN)n1)C(=O)OC ZINC001341508471 922522202 /nfs/dbraw/zinc/52/22/02/922522202.db2.gz LWSXTKIHQSMFMI-JTQLQIEISA-N 0 1 297.380 0.768 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@H](c2noc(C3CC3)n2)C1 ZINC001341564512 922553335 /nfs/dbraw/zinc/55/33/35/922553335.db2.gz OVPNGQQQNJNNQP-NSHDSACASA-N 0 1 290.323 0.070 20 30 CCEDMN C#CCNCC(=O)NCCn1cnc2ccccc21 ZINC001341647985 922602892 /nfs/dbraw/zinc/60/28/92/922602892.db2.gz ZYZREADVELYFNO-UHFFFAOYSA-N 0 1 256.309 0.375 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1nnn(C)n1 ZINC001419222061 922715267 /nfs/dbraw/zinc/71/52/67/922715267.db2.gz KQZKGBQDAWUENO-MRVPVSSYSA-N 0 1 272.740 0.061 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001419238434 922725254 /nfs/dbraw/zinc/72/52/54/922725254.db2.gz ITTWEARQGUEWFQ-VIFPVBQESA-N 0 1 286.763 0.976 20 30 CCEDMN COC[C@@H](NC(=O)c1cccc(C#N)c1)c1nn[nH]n1 ZINC001419558680 922920244 /nfs/dbraw/zinc/92/02/44/922920244.db2.gz XRGPSPJNNVGPKA-SNVBAGLBSA-N 0 1 272.268 0.189 20 30 CCEDMN C=C(C)Cn1c(CCO)nnc1N1CCN(C)C(C)(C)C1 ZINC001342662015 923044020 /nfs/dbraw/zinc/04/40/20/923044020.db2.gz LSCCLNRMUYILEQ-UHFFFAOYSA-N 0 1 293.415 0.919 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](CNC(=O)C(C)(C)C)C1 ZINC001343249083 923250086 /nfs/dbraw/zinc/25/00/86/923250086.db2.gz QAAJOXSQGWRNMG-ZDUSSCGKSA-N 0 1 293.411 0.610 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccc(C)n1C ZINC001420089899 923303289 /nfs/dbraw/zinc/30/32/89/923303289.db2.gz BMNMXOQQEBPTMV-NSHDSACASA-N 0 1 285.775 0.766 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@H]1CC[C@H](C2CC2)O1 ZINC001343468138 923332541 /nfs/dbraw/zinc/33/25/41/923332541.db2.gz RACWQRANJBFKAX-FVCCEPFGSA-N 0 1 263.341 0.562 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@]1(C)CCCOC1 ZINC001420189544 923393211 /nfs/dbraw/zinc/39/32/11/923393211.db2.gz XDKJXADWDRSWHC-WCQYABFASA-N 0 1 290.791 0.622 20 30 CCEDMN N#C[C@@H]1CC[C@H](N2CCC(S(N)(=O)=O)CC2)C1 ZINC001343895828 923496058 /nfs/dbraw/zinc/49/60/58/923496058.db2.gz PCUQSLJYNJROTE-ZJUUUORDSA-N 0 1 257.359 0.432 20 30 CCEDMN C=CCCC(=O)N(C)C[C@@H]1CCN1C(=O)c1[nH]nnc1C ZINC001344145394 923562441 /nfs/dbraw/zinc/56/24/41/923562441.db2.gz CGLKIBUVBQHJAT-NSHDSACASA-N 0 1 291.355 0.752 20 30 CCEDMN CC#CCCCC(=O)NCCCN(C)[C@@H](C)C(=O)NC ZINC001479790720 923576213 /nfs/dbraw/zinc/57/62/13/923576213.db2.gz QAGDUQIZSOXDRP-ZDUSSCGKSA-N 0 1 281.400 0.753 20 30 CCEDMN N#CCN1CCC(OC(=O)CCc2nc[nH]n2)CC1 ZINC001344595098 923650265 /nfs/dbraw/zinc/65/02/65/923650265.db2.gz HKNSMUWIGQZMHG-UHFFFAOYSA-N 0 1 263.301 0.268 20 30 CCEDMN Cc1nc(CN2CCC[C@@H]2CNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001420468488 923689456 /nfs/dbraw/zinc/68/94/56/923689456.db2.gz CZQGCPDDEGRESP-GXSJLCMTSA-N 0 1 276.344 0.353 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@H](Cc2nccs2)C1 ZINC001345491257 923904545 /nfs/dbraw/zinc/90/45/45/923904545.db2.gz SFAJXBDRIICYDT-NSHDSACASA-N 0 1 263.366 0.757 20 30 CCEDMN C#CCN1CCC(OC(=O)CCn2ccnn2)CC1 ZINC001346150033 924087490 /nfs/dbraw/zinc/08/74/90/924087490.db2.gz FJIYKBYOUIATPI-UHFFFAOYSA-N 0 1 262.313 0.309 20 30 CCEDMN Cc1nc(C(=O)N[C@@H]2CNC[C@@H]2C#N)ccc1C#N ZINC001346319447 924159004 /nfs/dbraw/zinc/15/90/04/924159004.db2.gz KPEZKNITUOGJQC-CMPLNLGQSA-N 0 1 255.281 0.103 20 30 CCEDMN C#CC1CCN(C(=O)N[C@H](C)[C@H]2CN(C)CCN2C)CC1 ZINC001346766908 924306014 /nfs/dbraw/zinc/30/60/14/924306014.db2.gz MWXIMHJRSZNSON-UKRRQHHQSA-N 0 1 292.427 0.676 20 30 CCEDMN C=CCN(C(=O)CN1CCC(CO)CC1)C1CC1 ZINC001346959810 924338258 /nfs/dbraw/zinc/33/82/58/924338258.db2.gz OTACEUKGHBWLMI-UHFFFAOYSA-N 0 1 252.358 0.868 20 30 CCEDMN C=CCN(C(=O)N[C@H](C)[C@H]1CN(C)CCN1C)C1CC1 ZINC001347183582 924392050 /nfs/dbraw/zinc/39/20/50/924392050.db2.gz ANYPBABLQWRPTD-TZMCWYRMSA-N 0 1 280.416 0.981 20 30 CCEDMN C#CC1(O)CCN(C(=O)N[C@@H]2CCCc3cn[nH]c32)CC1 ZINC001347518784 924469559 /nfs/dbraw/zinc/46/95/59/924469559.db2.gz VHYFYKIHCBGHPG-GFCCVEGCSA-N 0 1 288.351 0.957 20 30 CCEDMN C=CCOCCNC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001347797969 924539902 /nfs/dbraw/zinc/53/99/02/924539902.db2.gz LTZWBJLUKFUHQB-UHFFFAOYSA-N 0 1 294.355 0.560 20 30 CCEDMN N#CCC[C@H](C#N)CNCCC1(O)CCOCC1 ZINC001348950503 924850304 /nfs/dbraw/zinc/85/03/04/924850304.db2.gz DPYWISBRZUYQGS-GFCCVEGCSA-N 0 1 251.330 0.951 20 30 CCEDMN C#CCN(C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C)C1CC1 ZINC001349469148 924967707 /nfs/dbraw/zinc/96/77/07/924967707.db2.gz ZSXMNFYPSIRNAK-OCCSQVGLSA-N 0 1 278.400 0.428 20 30 CCEDMN C=CCOCCCC(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001350236474 925152964 /nfs/dbraw/zinc/15/29/64/925152964.db2.gz XWRXKSIGQNJNHL-SNVBAGLBSA-N 0 1 265.317 0.498 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)CCCc2nn[n-]n2)C1 ZINC001350294258 925163917 /nfs/dbraw/zinc/16/39/17/925163917.db2.gz PJEHJDRMYBWHPJ-JTQLQIEISA-N 0 1 265.317 0.326 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)CCCc2nn[nH]n2)C1 ZINC001350294258 925163929 /nfs/dbraw/zinc/16/39/29/925163929.db2.gz PJEHJDRMYBWHPJ-JTQLQIEISA-N 0 1 265.317 0.326 20 30 CCEDMN CC#CCCCC(=O)NCCCNC(=O)c1ncn[nH]1 ZINC001350360556 925178737 /nfs/dbraw/zinc/17/87/37/925178737.db2.gz FPFXLDQZLJMNTG-UHFFFAOYSA-N 0 1 277.328 0.234 20 30 CCEDMN CC#CCCCC(=O)NCCCNC(=O)c1nc[nH]n1 ZINC001350360556 925178746 /nfs/dbraw/zinc/17/87/46/925178746.db2.gz FPFXLDQZLJMNTG-UHFFFAOYSA-N 0 1 277.328 0.234 20 30 CCEDMN C#CCN(CC1CC1)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC001350585695 925233586 /nfs/dbraw/zinc/23/35/86/925233586.db2.gz NQSLIMFFHYHMKG-CQSZACIVSA-N 0 1 262.353 0.579 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)NCC#Cc1ccccc1 ZINC001350850452 925296871 /nfs/dbraw/zinc/29/68/71/925296871.db2.gz NCRLBWMYFLCCTO-GDBMZVCRSA-N 0 1 283.375 0.543 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)C2=NC(=O)N(C)C2)C1 ZINC001352946547 925680796 /nfs/dbraw/zinc/68/07/96/925680796.db2.gz ZETZXPMWXPGGRV-JTQLQIEISA-N 0 1 292.339 0.423 20 30 CCEDMN C#CCNCC(=O)NCCCNc1ccc(C)cn1 ZINC001353561038 925873142 /nfs/dbraw/zinc/87/31/42/925873142.db2.gz SERSIGKZBKEQDT-UHFFFAOYSA-N 0 1 260.341 0.531 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001353761854 925926614 /nfs/dbraw/zinc/92/66/14/925926614.db2.gz OHYWVLCPMYSJJB-TXEJJXNPSA-N 0 1 288.351 0.519 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)N1CCC[C@H](C#N)C1 ZINC001353942385 925968377 /nfs/dbraw/zinc/96/83/77/925968377.db2.gz DJFYIOBMDLIQGB-CHWSQXEVSA-N 0 1 292.383 0.349 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N[C@@H]2CCN(C)[C@@H]2C)c1 ZINC001354537730 926126641 /nfs/dbraw/zinc/12/66/41/926126641.db2.gz VAIHKAGFCJISGC-BXUZGUMPSA-N 0 1 285.347 0.815 20 30 CCEDMN CNC(=O)[C@@H]1CN(CC2CCC(C#N)CC2)CCO1 ZINC001354583108 926134311 /nfs/dbraw/zinc/13/43/11/926134311.db2.gz CZRIXMUTARZNPI-BPCQOVAHSA-N 0 1 265.357 0.763 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1CCCN1C(C)C ZINC001356254771 926360673 /nfs/dbraw/zinc/36/06/73/926360673.db2.gz WNJKQJLSIQIFEX-RYUDHWBXSA-N 0 1 281.356 0.331 20 30 CCEDMN C=CCO[C@@H]1CCN(c2nnc(-c3c[nH]nn3)n2CC)C1 ZINC001356296547 926365237 /nfs/dbraw/zinc/36/52/37/926365237.db2.gz KBUSRZOIDCZJSG-SNVBAGLBSA-N 0 1 289.343 0.864 20 30 CCEDMN C=CCCC(=O)N(CCNC(=O)Cc1nnc[nH]1)C1CC1 ZINC001356645121 926416799 /nfs/dbraw/zinc/41/67/99/926416799.db2.gz GZHMFJGXBOYVRQ-UHFFFAOYSA-N 0 1 291.355 0.421 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)CNC(=O)Cc1n[nH]c(C)n1 ZINC001357011408 926474690 /nfs/dbraw/zinc/47/46/90/926474690.db2.gz KOKYSHKRLAAGTK-SNVBAGLBSA-N 0 1 293.371 0.490 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc2c(o1)CCCC2 ZINC001357446682 926534450 /nfs/dbraw/zinc/53/44/50/926534450.db2.gz UPPZODAXADHQLX-WDEREUQCSA-N 0 1 259.309 1.000 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)NC(=O)CN1CCCC1 ZINC001358171356 926649883 /nfs/dbraw/zinc/64/98/83/926649883.db2.gz WSTRHTNHBKVLFW-CYBMUJFWSA-N 0 1 279.384 0.507 20 30 CCEDMN C[C@H](C#N)N(C(=O)CN1CCC(CO)CC1)C1CC1 ZINC001358397288 926687826 /nfs/dbraw/zinc/68/78/26/926687826.db2.gz FMCABUUOVBGOGI-LLVKDONJSA-N 0 1 265.357 0.594 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](N2CCCC2=O)CC1 ZINC001473547741 926799224 /nfs/dbraw/zinc/79/92/24/926799224.db2.gz LVBVIGRVPLRMRG-ZDUSSCGKSA-N 0 1 277.368 0.213 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)[C@H](C)C(=O)NC1CC1 ZINC001421844864 926938963 /nfs/dbraw/zinc/93/89/63/926938963.db2.gz YIRAOVRDLIMUIT-VXGBXAGGSA-N 0 1 294.399 0.593 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)c1csc(C#N)c1 ZINC001361498343 927107084 /nfs/dbraw/zinc/10/70/84/927107084.db2.gz QWEQMYLOEPEKBO-UHFFFAOYSA-N 0 1 276.281 0.350 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(=O)n(CC)n1 ZINC001422715389 927469830 /nfs/dbraw/zinc/46/98/30/927469830.db2.gz NSXZLJXJYNSZGX-JTQLQIEISA-N 0 1 298.774 0.724 20 30 CCEDMN Cc1cc(NC(=O)CCc2c[nH]nn2)n(CCC#N)n1 ZINC001361954087 927475487 /nfs/dbraw/zinc/47/54/87/927475487.db2.gz UHRRYDXYLAYKFL-UHFFFAOYSA-N 0 1 273.300 0.795 20 30 CCEDMN Cc1cc(NC(=O)CCc2cnn[nH]2)n(CCC#N)n1 ZINC001361954087 927475496 /nfs/dbraw/zinc/47/54/96/927475496.db2.gz UHRRYDXYLAYKFL-UHFFFAOYSA-N 0 1 273.300 0.795 20 30 CCEDMN C=C(Cl)C[NH2+]C[C@H](O)CN(C)C(=O)c1ncccc1[O-] ZINC001423450581 927865628 /nfs/dbraw/zinc/86/56/28/927865628.db2.gz WJDCMZPTVYIHFM-JTQLQIEISA-N 0 1 299.758 0.562 20 30 CCEDMN C[C@H](NCC1(C#N)CCOCC1)[C@@H]1CCS(=O)(=O)C1 ZINC001423490012 927886742 /nfs/dbraw/zinc/88/67/42/927886742.db2.gz HXODIMGKBUTJHB-NWDGAFQWSA-N 0 1 286.397 0.720 20 30 CCEDMN Cc1nnc(SCC(=O)NCc2ccnc(C#N)c2)[nH]1 ZINC001362417802 927989669 /nfs/dbraw/zinc/98/96/69/927989669.db2.gz GWEZMAYKTKNSBM-UHFFFAOYSA-N 0 1 288.336 0.788 20 30 CCEDMN Cc1n[nH]c(SCC(=O)NCc2ccnc(C#N)c2)n1 ZINC001362417802 927989681 /nfs/dbraw/zinc/98/96/81/927989681.db2.gz GWEZMAYKTKNSBM-UHFFFAOYSA-N 0 1 288.336 0.788 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)c1ccsc1C#N ZINC001424000272 928055029 /nfs/dbraw/zinc/05/50/29/928055029.db2.gz PTWMVVMFYBQEJH-UHFFFAOYSA-N 0 1 283.338 0.559 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)c1 ZINC001362683931 928353442 /nfs/dbraw/zinc/35/34/42/928353442.db2.gz BXYZNMLKJTUZIT-SNVBAGLBSA-N 0 1 283.295 0.486 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)N(C)Cc1nnc[nH]1 ZINC001362756402 928449863 /nfs/dbraw/zinc/44/98/63/928449863.db2.gz LISCLQMJTHTAGJ-UHFFFAOYSA-N 0 1 271.280 0.957 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](c3nn[nH]n3)C2)ncc1C#N ZINC001362819916 928530115 /nfs/dbraw/zinc/53/01/15/928530115.db2.gz DXXKLIQMJHACEQ-SECBINFHSA-N 0 1 283.295 0.405 20 30 CCEDMN Cc1cnc(CNC[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)nc1 ZINC001425398876 928538492 /nfs/dbraw/zinc/53/84/92/928538492.db2.gz XCGOHLGUHKESQG-AVGNSLFASA-N 0 1 287.367 0.929 20 30 CCEDMN C=C(Br)CN[C@@H](C)CN(C)C(=O)COC ZINC001425511571 928570766 /nfs/dbraw/zinc/57/07/66/928570766.db2.gz UBUXWQSNDQIOAB-VIFPVBQESA-N 0 1 279.178 0.978 20 30 CCEDMN CC[C@H](F)CN[C@H](CO)CNC(=O)c1cc(C#N)c[nH]1 ZINC001425739453 928649099 /nfs/dbraw/zinc/64/90/99/928649099.db2.gz QYRJEMBOLIYAHT-QWRGUYRKSA-N 0 1 282.319 0.315 20 30 CCEDMN CC(C)(C(=O)NCc1n[nH]c(CO)n1)c1ccc(C#N)cc1 ZINC001363004398 928749521 /nfs/dbraw/zinc/74/95/21/928749521.db2.gz RRUGPIRXGGOION-UHFFFAOYSA-N 0 1 299.334 0.763 20 30 CCEDMN CC(C)(C(=O)NCc1nnc(CO)[nH]1)c1ccc(C#N)cc1 ZINC001363004398 928749525 /nfs/dbraw/zinc/74/95/25/928749525.db2.gz RRUGPIRXGGOION-UHFFFAOYSA-N 0 1 299.334 0.763 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](CNC(=O)[C@@H]2CCCN2C)C1 ZINC001426848299 928931453 /nfs/dbraw/zinc/93/14/53/928931453.db2.gz VFJLYUSVSNBXGF-NDBYEHHHSA-N 0 1 292.383 0.251 20 30 CCEDMN CC(C)(O)[C@@H](O)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC001363198075 928967734 /nfs/dbraw/zinc/96/77/34/928967734.db2.gz GFIOMKDGHMBDQG-ZDUSSCGKSA-N 0 1 290.367 0.207 20 30 CCEDMN COC[C@@H]1CC[N@@H+](Cc2cc(=O)n3[n-]cc(C#N)c3n2)C1 ZINC001363782594 929569612 /nfs/dbraw/zinc/56/96/12/929569612.db2.gz DYNXNPBAFCJRAI-SNVBAGLBSA-N 0 1 287.323 0.775 20 30 CCEDMN COCC(COC)N1CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC001363796061 929583774 /nfs/dbraw/zinc/58/37/74/929583774.db2.gz AIFSIMNQTLAJEM-UONOGXRCSA-N 0 1 281.400 0.958 20 30 CCEDMN N#Cc1cccc(OCC[N@@H+]2CC[C@@H](c3nnn[n-]3)C2)c1 ZINC001363820773 929612475 /nfs/dbraw/zinc/61/24/75/929612475.db2.gz SJJBJLCHNBUMQL-GFCCVEGCSA-N 0 1 284.323 0.940 20 30 CCEDMN N#Cc1cccc(OCC[N@H+]2CC[C@@H](c3nnn[n-]3)C2)c1 ZINC001363820773 929612481 /nfs/dbraw/zinc/61/24/81/929612481.db2.gz SJJBJLCHNBUMQL-GFCCVEGCSA-N 0 1 284.323 0.940 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)NC2(c3nn[nH]n3)CCC2)cc1 ZINC001363822679 929616613 /nfs/dbraw/zinc/61/66/13/929616613.db2.gz SFNZESCCGAFNBT-NSHDSACASA-N 0 1 298.306 0.300 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)C1CN(C(C)=O)C1 ZINC001443906456 929737327 /nfs/dbraw/zinc/73/73/27/929737327.db2.gz JXXAIMMPMLLRFL-GFCCVEGCSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CN(CCC)CCNC(=O)Cc1nnc[nH]1 ZINC001372740695 929928198 /nfs/dbraw/zinc/92/81/98/929928198.db2.gz KBMLEPYLWIIKQA-UHFFFAOYSA-N 0 1 285.779 0.928 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CN1CCOCC1 ZINC001445361080 930116777 /nfs/dbraw/zinc/11/67/77/930116777.db2.gz DXRGFYKSOMRZIX-LLVKDONJSA-N 0 1 275.780 0.165 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CNC(=O)NC ZINC001446437638 930410733 /nfs/dbraw/zinc/41/07/33/930410733.db2.gz UUZCQPHFBSMDKN-JTQLQIEISA-N 0 1 288.779 0.249 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001374930006 930728041 /nfs/dbraw/zinc/72/80/41/930728041.db2.gz XHJUDLQZAVZFOV-GWCFXTLKSA-N 0 1 287.791 0.750 20 30 CCEDMN N#Cc1cccc(CN[C@@H](CO)CNC(=O)c2ccc[nH]2)c1 ZINC001448801109 930988391 /nfs/dbraw/zinc/98/83/91/930988391.db2.gz BGTJSHYXCNDQMP-CQSZACIVSA-N 0 1 298.346 0.767 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H](CO)NCc1cccc(F)c1 ZINC001448828581 931001077 /nfs/dbraw/zinc/00/10/77/931001077.db2.gz PYYVMGFOGDESFM-GWCFXTLKSA-N 0 1 279.315 0.552 20 30 CCEDMN Cc1nnsc1CN[C@H](CNC(=O)[C@@H](C)C#N)C1CC1 ZINC001376195643 931086845 /nfs/dbraw/zinc/08/68/45/931086845.db2.gz HLMOQFZSAFMOTJ-GZMMTYOYSA-N 0 1 293.396 0.991 20 30 CCEDMN COc1ccc(CN[C@@H](C)CNC(=O)[C@H](C)C#N)nn1 ZINC001376707358 931232580 /nfs/dbraw/zinc/23/25/80/931232580.db2.gz ULPBWGYDRQTZES-ZJUUUORDSA-N 0 1 277.328 0.239 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@H](CC)NC(C)=O ZINC001376798581 931279094 /nfs/dbraw/zinc/27/90/94/931279094.db2.gz HWFWJQGJNQWASF-KOLCDFICSA-N 0 1 275.780 0.748 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCCC(N)=O)C1 ZINC001376922076 931325827 /nfs/dbraw/zinc/32/58/27/931325827.db2.gz NZMLVBOXFYHRFY-JTQLQIEISA-N 0 1 273.764 0.585 20 30 CCEDMN C#C[C@@H]1CCC[N@@H+](CN2C[C@@H](C(=O)[O-])CC2=O)C1 ZINC001602675354 971247739 /nfs/dbraw/zinc/24/77/39/971247739.db2.gz RUVLQVJXNMEGKG-MNOVXSKESA-N 0 1 250.298 0.222 20 30 CCEDMN C#C[C@@H]1CCC[N@H+](CN2C[C@@H](C(=O)[O-])CC2=O)C1 ZINC001602675354 971247744 /nfs/dbraw/zinc/24/77/44/971247744.db2.gz RUVLQVJXNMEGKG-MNOVXSKESA-N 0 1 250.298 0.222 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CC[N@@H+]1C(C)(C)C ZINC001602682921 971271118 /nfs/dbraw/zinc/27/11/18/971271118.db2.gz WSHKDLRBWRUIBM-JTQLQIEISA-N 0 1 252.314 0.406 20 30 CCEDMN C=C(C)CONC(=O)c1ccc(-c2nn[nH]n2)c(O)c1 ZINC001573294100 946976844 /nfs/dbraw/zinc/97/68/44/946976844.db2.gz UMCCFLYBUPSNQT-UHFFFAOYSA-N 0 1 275.268 0.810 20 30 CCEDMN C#CC[N@H+](CCCCC1(C)OCCO1)CC(=O)[O-] ZINC001602701016 971324908 /nfs/dbraw/zinc/32/49/08/971324908.db2.gz SBAVSZJTIXFEJC-UHFFFAOYSA-N 0 1 255.314 0.940 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)NCCCC(=O)[O-])C1 ZINC001602702064 971328665 /nfs/dbraw/zinc/32/86/65/971328665.db2.gz GIRPZDGKCQDHGA-LLVKDONJSA-N 0 1 267.329 0.248 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)NCCCC(=O)[O-])C1 ZINC001602702064 971328671 /nfs/dbraw/zinc/32/86/71/971328671.db2.gz GIRPZDGKCQDHGA-LLVKDONJSA-N 0 1 267.329 0.248 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)C[C@@H](C)CC(=O)[O-] ZINC000328164174 971450920 /nfs/dbraw/zinc/45/09/20/971450920.db2.gz ISFQIIYEBIMAJE-LLVKDONJSA-N 0 1 254.330 0.559 20 30 CCEDMN CC(C)(C)[N@H+]1CC[C@@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC001602781201 971522430 /nfs/dbraw/zinc/52/24/30/971522430.db2.gz SUQXJFUVFMXMMS-YGRLFVJLSA-N 0 1 281.356 0.980 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001602789678 971548187 /nfs/dbraw/zinc/54/81/87/971548187.db2.gz ZCYFLNRBDLFKRA-WDEREUQCSA-N 0 1 279.340 0.734 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])Cc1cnc2c(C#N)cnn2c1 ZINC001593740163 954354592 /nfs/dbraw/zinc/35/45/92/954354592.db2.gz ZTSRKHPOJPOQML-UHFFFAOYSA-N 0 1 259.269 0.507 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC001589440613 954706800 /nfs/dbraw/zinc/70/68/00/954706800.db2.gz AMXWOXUHNFDIGO-GXFFZTMASA-N 0 1 267.329 0.590 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@](C)(C#N)C1CC1 ZINC001589440613 954706808 /nfs/dbraw/zinc/70/68/08/954706808.db2.gz AMXWOXUHNFDIGO-GXFFZTMASA-N 0 1 267.329 0.590 20 30 CCEDMN N#C[C@@H]1CC[C@H]([N@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)C1 ZINC001594606554 956185346 /nfs/dbraw/zinc/18/53/46/956185346.db2.gz ZZXNQSPBVJOMRN-HNCHTBHHSA-N 0 1 250.298 0.712 20 30 CCEDMN C#C[C@H]([NH2+]Cc1cc(C(=O)[O-])nn1C)[C@@H]1CCCO1 ZINC001588385734 957973038 /nfs/dbraw/zinc/97/30/38/957973038.db2.gz HFLKCXJEXUTHSR-JQWIXIFHSA-N 0 1 263.297 0.389 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CCC[N@@H+]1C(C)C ZINC001588400025 958077590 /nfs/dbraw/zinc/07/75/90/958077590.db2.gz QVIRXPVYDYDFIE-NSHDSACASA-N 0 1 252.314 0.406 20 30 CCEDMN C#CC[NH2+]CC(=O)N1C[C@@H](C(=O)[O-])Oc2ccccc21 ZINC000092493083 958166487 /nfs/dbraw/zinc/16/64/87/958166487.db2.gz BIDBCUAFWPIYAJ-LBPRGKRZSA-N 0 1 274.276 0.088 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H]1CCC[C@]2(CCOC2)O1 ZINC001588423629 958204672 /nfs/dbraw/zinc/20/46/72/958204672.db2.gz AAIJWKLZQWHOQY-GXTWGEPZSA-N 0 1 267.325 0.734 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H]1CCC[C@]2(CCOC2)O1 ZINC001588423629 958204688 /nfs/dbraw/zinc/20/46/88/958204688.db2.gz AAIJWKLZQWHOQY-GXTWGEPZSA-N 0 1 267.325 0.734 20 30 CCEDMN C#CCO[C@@H](C)C(=O)OC[C@@H]1CCC[N@H+]1CC(=O)[O-] ZINC001588430445 958255030 /nfs/dbraw/zinc/25/50/30/958255030.db2.gz BXJZXPNPHYZXFR-QWRGUYRKSA-N 0 1 269.297 0.117 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC001588430946 958263152 /nfs/dbraw/zinc/26/31/52/958263152.db2.gz QZQLNZVGADXVKN-UPJWGTAASA-N 0 1 278.352 0.653 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N2CC[C@](C)(C(=O)[O-])C2)C1 ZINC001588430935 958263292 /nfs/dbraw/zinc/26/32/92/958263292.db2.gz QRPUELFOMVYZRF-DOMZBBRYSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N2CC[C@](C)(C(=O)[O-])C2)C1 ZINC001588430935 958263305 /nfs/dbraw/zinc/26/33/05/958263305.db2.gz QRPUELFOMVYZRF-DOMZBBRYSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)[C@H](C(=O)[O-])C1CC1 ZINC001588430966 958264855 /nfs/dbraw/zinc/26/48/55/958264855.db2.gz RKQACJRVZIZNCA-NEPJUHHUSA-N 0 1 265.309 0.738 20 30 CCEDMN C=C(C)[C@@H](CO)n1cc(C[NH+]2CCC(C(=O)[O-])CC2)nn1 ZINC001588441251 958335166 /nfs/dbraw/zinc/33/51/66/958335166.db2.gz HXNYRCIUSNNLBJ-CYBMUJFWSA-N 0 1 294.355 0.684 20 30 CCEDMN C[N@H+]1CCN(C(=O)c2cccc(C#N)n2)C[C@@H](C(=O)[O-])C1 ZINC001571034289 960501380 /nfs/dbraw/zinc/50/13/80/960501380.db2.gz DRFJROIUSBMCHD-JTQLQIEISA-N 0 1 288.307 0.042 20 30 CCEDMN C#CCC[N@@H+]1CC=C(CNC(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588447742 964089788 /nfs/dbraw/zinc/08/97/88/964089788.db2.gz OWAVBKAJZXJIRR-STQMWFEESA-N 0 1 276.336 0.479 20 30 CCEDMN C[C@H](C[N@@H+](C)CC(=O)NC1(C#N)CCC1)C(=O)[O-] ZINC001609741562 970502712 /nfs/dbraw/zinc/50/27/12/970502712.db2.gz QZXNIOHBVRYLTP-SECBINFHSA-N 0 1 253.302 0.201 20 30 CCEDMN C#CC[N@@H+](C)CCCNCc1ncc(C(=O)[O-])s1 ZINC000392842665 972532686 /nfs/dbraw/zinc/53/26/86/972532686.db2.gz DFXFZPAMKIDAKU-UHFFFAOYSA-N 0 1 267.354 0.886 20 30 CCEDMN C#CC[N@@H+](C)CCCNc1nccc(C(=O)[O-])c1[N+](=O)[O-] ZINC000392920893 972543815 /nfs/dbraw/zinc/54/38/15/972543815.db2.gz DVWDSOKZCSUSFG-UHFFFAOYSA-N 0 1 292.295 0.477 20 30 CCEDMN C[N@@H+](CCCC(=O)[O-])C[C@@H](O)CC1(C#N)CCOCC1 ZINC001604161677 972577646 /nfs/dbraw/zinc/57/76/46/972577646.db2.gz NQSVQNPNTKRXNI-LBPRGKRZSA-N 0 1 284.356 0.854 20 30 CCEDMN C#CC(C)(C)[N@H+](C)CC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC ZINC001588367497 983299187 /nfs/dbraw/zinc/29/91/87/983299187.db2.gz WGSFZEIQFSNHLZ-JQWIXIFHSA-N 0 1 268.357 0.946 20 30 CCEDMN C#C[C@H](NC(=O)N1CC[N@@H+](C)C[C@@H](C(=O)[O-])C1)C(C)C ZINC001588372853 983334359 /nfs/dbraw/zinc/33/43/59/983334359.db2.gz UWVJYZFBENGTNP-NEPJUHHUSA-N 0 1 281.356 0.302 20 30 CCEDMN C#C[C@H]1CCC[N@@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)C1 ZINC001588383116 983377747 /nfs/dbraw/zinc/37/77/47/983377747.db2.gz NZAKVZASRLBNFP-AAEUAGOBSA-N 0 1 266.341 0.557 20 30 CCEDMN C#C[C@H]1CCC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)C1 ZINC001588383116 983377753 /nfs/dbraw/zinc/37/77/53/983377753.db2.gz NZAKVZASRLBNFP-AAEUAGOBSA-N 0 1 266.341 0.557 20 30 CCEDMN C#CC[C@@H]1CC[N@H+]([C@@H]2CCN(CCC(=O)[O-])C2=O)C1 ZINC001588434524 983470615 /nfs/dbraw/zinc/47/06/15/983470615.db2.gz MHXZNMCMVHQLCB-VXGBXAGGSA-N 0 1 264.325 0.407 20 30 CCEDMN C#CC[N@@H+](CCC)CCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001588469720 983502816 /nfs/dbraw/zinc/50/28/16/983502816.db2.gz ORFNYJRTRKJLLC-GHMZBOCLSA-N 0 1 252.314 0.169 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)Cn1ccc(C(=O)[O-])n1 ZINC001588477141 983514673 /nfs/dbraw/zinc/51/46/73/983514673.db2.gz KPWJJORXJQPEFW-LLVKDONJSA-N 0 1 291.307 0.222 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCC[N@@H+](C)CC(=O)[O-])OCC ZINC001588530109 983583008 /nfs/dbraw/zinc/58/30/08/983583008.db2.gz QPNNQYUPRKDJLW-NSHDSACASA-N 0 1 272.345 0.490 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CCC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588535498 983589576 /nfs/dbraw/zinc/58/95/76/983589576.db2.gz OYJGEYOPQHYADD-PWSUYJOCSA-N 0 1 280.328 0.550 20 30 CCEDMN C=C(C)CC[N@@H+](C)CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001588559966 983641122 /nfs/dbraw/zinc/64/11/22/983641122.db2.gz WNBJZBMPPFRGBF-MNOVXSKESA-N 0 1 254.330 0.721 20 30 CCEDMN C=C(C)CNC(=S)N1CC[N@H+](C)C[C@H](C(=O)[O-])C1 ZINC001588569827 983649771 /nfs/dbraw/zinc/64/97/71/983649771.db2.gz MGHWLIYYUJDIOJ-JTQLQIEISA-N 0 1 271.386 0.385 20 30 CCEDMN C=C[C@@H](C(=O)[O-])N1CCN(C[C@H]2CCCC[N@@H+]2C)CC1 ZINC001588669676 983813656 /nfs/dbraw/zinc/81/36/56/983813656.db2.gz MPAGUPLQYFNULE-KGLIPLIRSA-N 0 1 281.400 0.728 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@H](C(=O)[O-])[C@H]2CCC[C@@H]21 ZINC001588839240 984345287 /nfs/dbraw/zinc/34/52/87/984345287.db2.gz PVSDZZLAKNTTSB-WOPDTQHZSA-N 0 1 266.341 0.864 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C[NH2+]C1CCN(CC(=O)[O-])CC1 ZINC001588918112 984570030 /nfs/dbraw/zinc/57/00/30/984570030.db2.gz YFLVLGUMVIMFSU-AAEUAGOBSA-N 0 1 268.357 0.716 20 30 CCEDMN C[N@@H+](CC(=O)N(CCC#N)CCC#N)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001598378895 991571187 /nfs/dbraw/zinc/57/11/87/991571187.db2.gz GQVRZMWOMIAOIP-TXEJJXNPSA-N 0 1 292.339 0.437 20 30 CCEDMN CN(CC(=O)[O-])C(=O)CC[N@H+]1CCC[C@H](CC#N)C1 ZINC001598405245 991717575 /nfs/dbraw/zinc/71/75/75/991717575.db2.gz SYADYEPDIRQHSE-LLVKDONJSA-N 0 1 267.329 0.545 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCSC[C@H]1CC(=O)[O-] ZINC001598469186 991997417 /nfs/dbraw/zinc/99/74/17/991997417.db2.gz YJRBDMZRDXOYNE-LLVKDONJSA-N 0 1 299.396 0.641 20 30 CCEDMN CC(C)[N@H+]1CCC[C@@H](C(=O)N(CC#N)CC(=O)[O-])C1 ZINC001591623618 992899732 /nfs/dbraw/zinc/89/97/32/992899732.db2.gz WRPNHOAIRWYONX-LLVKDONJSA-N 0 1 267.329 0.544 20 30 CCEDMN C[N@H+]1C[C@H](OC(=O)c2cccc(C#N)c2)C[C@H]1C(=O)[O-] ZINC001598576067 993277205 /nfs/dbraw/zinc/27/72/05/993277205.db2.gz QLIKORHAHKXUIL-NEPJUHHUSA-N 0 1 274.276 0.872 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)c2ccc(C#N)[nH]2)C[C@@]1(C)C(=O)[O-] ZINC001598576197 993279650 /nfs/dbraw/zinc/27/96/50/993279650.db2.gz RMKIOIHEQGUECE-ZANVPECISA-N 0 1 277.280 0.591 20 30 CCEDMN C[N@H+]1CCN(C(=O)NCCCCC#N)C[C@H](C(=O)[O-])C1 ZINC001598605579 993647109 /nfs/dbraw/zinc/64/71/09/993647109.db2.gz AZSAZAMRZQRNBH-LLVKDONJSA-N 0 1 282.344 0.338 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@H](CO)[C@H]1CCCO1 ZINC000447907593 260160924 /nfs/dbraw/zinc/16/09/24/260160924.db2.gz AAODULAIWVSIIE-BZPMIXESSA-N 0 1 283.372 0.170 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)C[C@H]1C[C@@H](O)C1 ZINC000599670527 361780821 /nfs/dbraw/zinc/78/08/21/361780821.db2.gz GOZNJWZHMJIPAU-SCDSUCTJSA-N 0 1 265.357 0.498 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)C[C@H]1C[C@@H](O)C1 ZINC000599653593 361773609 /nfs/dbraw/zinc/77/36/09/361773609.db2.gz CPODMTOYWNEJHY-PHIMTYICSA-N 0 1 251.330 0.252 20 30 CCEDMN CNS(=O)(=O)C[C@H]1CCCN(CCCC#N)C1 ZINC000599689745 361788133 /nfs/dbraw/zinc/78/81/33/361788133.db2.gz GIQNDOVXXSKGLB-NSHDSACASA-N 0 1 259.375 0.551 20 30 CCEDMN N#Cc1ccc(CNC(=O)NC[C@H]2COCCN2)c(F)c1 ZINC000599911964 361828287 /nfs/dbraw/zinc/82/82/87/361828287.db2.gz IDPDTPBGWVWHGD-LBPRGKRZSA-N 0 1 292.314 0.485 20 30 CCEDMN C[C@@]1(C#N)CCCN(C(=O)NC[C@@H]2COCCN2)C1 ZINC000599938141 361835107 /nfs/dbraw/zinc/83/51/07/361835107.db2.gz HDSOMUSWLUFJGL-YPMHNXCESA-N 0 1 266.345 0.310 20 30 CCEDMN CC(C)(CCC#N)CNC(=O)NC[C@@H]1COCCN1 ZINC000599925375 361831575 /nfs/dbraw/zinc/83/15/75/361831575.db2.gz YOAVZOFSZDQWHW-LLVKDONJSA-N 0 1 268.361 0.604 20 30 CCEDMN CC(C)(CCC#N)CN1CCO[C@@H](CC(N)=O)C1 ZINC000600207386 361890400 /nfs/dbraw/zinc/89/04/00/361890400.db2.gz FDIQPNUGCVQKKJ-NSHDSACASA-N 0 1 253.346 0.893 20 30 CCEDMN C#CCN(C)C[C@@H](O)COc1ccc(C(=O)OC)cc1 ZINC000096934647 185133079 /nfs/dbraw/zinc/13/30/79/185133079.db2.gz LKDDYCHLPYGLGE-CYBMUJFWSA-N 0 1 277.320 0.778 20 30 CCEDMN CN1CCN(Cc2cn([C@H]3CC[C@H](C#N)C3)nn2)CC1 ZINC000600812857 362068256 /nfs/dbraw/zinc/06/82/56/362068256.db2.gz GXBGTBAYDBFIEF-JSGCOSHPSA-N 0 1 274.372 0.890 20 30 CCEDMN COCCN(Cc1ccc(C#N)cc1)C(=O)Cc1ncn[nH]1 ZINC000600991687 362120136 /nfs/dbraw/zinc/12/01/36/362120136.db2.gz JEXJOBVWQVITQZ-UHFFFAOYSA-N 0 1 299.334 0.894 20 30 CCEDMN COCCN(CCC#N)C(=O)CCc1c[nH]nn1 ZINC000601035746 362136823 /nfs/dbraw/zinc/13/68/23/362136823.db2.gz JKPVFKQACYWZEK-UHFFFAOYSA-N 0 1 251.290 0.126 20 30 CCEDMN COCCN(CCC#N)C(=O)CCc1cnn[nH]1 ZINC000601035746 362136827 /nfs/dbraw/zinc/13/68/27/362136827.db2.gz JKPVFKQACYWZEK-UHFFFAOYSA-N 0 1 251.290 0.126 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)C2(C#N)CC3(CC3)C2)n1 ZINC000601045029 362140956 /nfs/dbraw/zinc/14/09/56/362140956.db2.gz QAUGTUIXEYDAIE-UHFFFAOYSA-N 0 1 259.313 0.856 20 30 CCEDMN C[C@@H](CC(=O)NC1(C#N)CCN(C)CC1)n1cccn1 ZINC000573480494 334940910 /nfs/dbraw/zinc/94/09/10/334940910.db2.gz NBCMSVORKJNQQY-LBPRGKRZSA-N 0 1 275.356 0.938 20 30 CCEDMN C=CCNC(=O)CNCc1ccc(C(=O)OC)n1C ZINC000602014149 362457681 /nfs/dbraw/zinc/45/76/81/362457681.db2.gz BTAJSQDGBIXDIA-UHFFFAOYSA-N 0 1 265.313 0.204 20 30 CCEDMN CN1CCN(CCNC(=O)c2snnc2C2CC2)CC1 ZINC000330125044 530071770 /nfs/dbraw/zinc/07/17/70/530071770.db2.gz IFPPPOQTHHZGAL-UHFFFAOYSA-N 0 1 295.412 0.968 20 30 CCEDMN N#Cc1cccc(CNC(=O)NCCN2CC[C@H](O)C2)c1 ZINC000602229815 362565129 /nfs/dbraw/zinc/56/51/29/362565129.db2.gz SHHVTKZYGSMSMQ-AWEZNQCLSA-N 0 1 288.351 0.424 20 30 CCEDMN Cn1cc(CNC(C)(C)CS(C)(=O)=O)cc1C#N ZINC000361583550 232159931 /nfs/dbraw/zinc/15/99/31/232159931.db2.gz CSTNTURVVUFAKC-UHFFFAOYSA-N 0 1 269.370 0.810 20 30 CCEDMN C[C@@]1(C(=O)NCCc2ccc(C#N)cc2)CNCCO1 ZINC000602517985 362653338 /nfs/dbraw/zinc/65/33/38/362653338.db2.gz IZBGASPEONGAME-HNNXBMFYSA-N 0 1 273.336 0.595 20 30 CCEDMN CN(Cc1cccc(C#N)n1)[C@H]1CCN2CCO[C@H]1C2 ZINC000602673408 362743090 /nfs/dbraw/zinc/74/30/90/362743090.db2.gz UJYSYTQLFVLMDJ-GJZGRUSLSA-N 0 1 272.352 0.858 20 30 CCEDMN CCOC[C@@H](O)CN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000602858960 362845198 /nfs/dbraw/zinc/84/51/98/362845198.db2.gz WGVFGHWFXWVSCX-XQQFMLRXSA-N 0 1 255.362 0.302 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1cnccc1C#N ZINC000342488476 137097123 /nfs/dbraw/zinc/09/71/23/137097123.db2.gz HZCVMNVHKFVAKR-GFCCVEGCSA-N 0 1 287.367 0.319 20 30 CCEDMN COC[C@H](CO)NCc1cnc2ccc(C#N)cn12 ZINC000342855606 137100862 /nfs/dbraw/zinc/10/08/62/137100862.db2.gz XKQCEHIXUCGRGN-NSHDSACASA-N 0 1 260.297 0.303 20 30 CCEDMN C=CC[C@H](CO)Nc1cc(-n2cccn2)nc(N)n1 ZINC000273312447 192109211 /nfs/dbraw/zinc/10/92/11/192109211.db2.gz NWCWIJQKMXOOHU-SECBINFHSA-N 0 1 260.301 0.593 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)c1ccc(C#N)nc1 ZINC000344980122 137225613 /nfs/dbraw/zinc/22/56/13/137225613.db2.gz UUVZOIHLBQLQAK-GFCCVEGCSA-N 0 1 299.290 0.190 20 30 CCEDMN C[C@@H]1SCC[C@@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602889674 362867379 /nfs/dbraw/zinc/86/73/79/362867379.db2.gz ZDNUXNYHPSWCEJ-CBAPKCEASA-N 0 1 265.342 0.729 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC3(CC3(F)F)C2)[nH]1 ZINC000602889104 362867515 /nfs/dbraw/zinc/86/75/15/362867515.db2.gz WPIISGJSAZMZTL-UHFFFAOYSA-N 0 1 267.239 0.587 20 30 CCEDMN CN(C(=O)N=c1[nH]n(C)cc1C#N)[C@@H](CO)c1ccccc1 ZINC000602880881 362860569 /nfs/dbraw/zinc/86/05/69/362860569.db2.gz NVVLRVZPXOJVJY-ZDUSSCGKSA-N 0 1 299.334 0.911 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)Cn2cnc(C#N)n2)C[C@@H]1C ZINC000345970119 137262859 /nfs/dbraw/zinc/26/28/59/137262859.db2.gz UFPACISYVUVLEE-QWRGUYRKSA-N 0 1 276.344 0.091 20 30 CCEDMN Cc1nc(C(=O)N2CCc3[nH]nnc3C2)ccc1C#N ZINC000346034690 137265033 /nfs/dbraw/zinc/26/50/33/137265033.db2.gz XXBXJCSSHIGOFS-UHFFFAOYSA-N 0 1 268.280 0.578 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)[C@H]2CC(=O)N(C)C2)[nH]1 ZINC000345200016 137238944 /nfs/dbraw/zinc/23/89/44/137238944.db2.gz GNUHWOUSOQYOCU-VIFPVBQESA-N 0 1 275.312 0.174 20 30 CCEDMN CN1CCN(Cc2ccc(F)c(C#N)c2)[C@@H](CO)C1 ZINC000602904179 362876456 /nfs/dbraw/zinc/87/64/56/362876456.db2.gz NDHWCLVXTCYKCK-CYBMUJFWSA-N 0 1 263.316 0.806 20 30 CCEDMN CN(CCCC(=O)NC(N)=O)[C@H]1CCC[C@@H]1C#N ZINC000602977127 362917725 /nfs/dbraw/zinc/91/77/25/362917725.db2.gz YFZXQFZIGQNPAM-ZJUUUORDSA-N 0 1 252.318 0.586 20 30 CCEDMN CN(CCCC(=O)NC(N)=O)[C@@H]1CCC[C@@H]1C#N ZINC000602977124 362918293 /nfs/dbraw/zinc/91/82/93/362918293.db2.gz YFZXQFZIGQNPAM-NXEZZACHSA-N 0 1 252.318 0.586 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CSc1nc(N)cc(N)n1 ZINC000048599765 348418331 /nfs/dbraw/zinc/41/83/31/348418331.db2.gz WWMNTFPDHRUXSW-QMMMGPOBSA-N 0 1 294.384 0.741 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCC)CC(=O)N(C)C)CC1 ZINC000067212081 348768878 /nfs/dbraw/zinc/76/88/78/348768878.db2.gz FSCJPYFELYQUFT-UHFFFAOYSA-N 0 1 293.411 0.658 20 30 CCEDMN CCC1(O)CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000075858953 349054470 /nfs/dbraw/zinc/05/44/70/349054470.db2.gz XMBUTVOCOBANPD-GFCCVEGCSA-N 0 1 267.373 0.984 20 30 CCEDMN C=CCC1(NC(=O)CN2CCN(CC)CC2)CCOCC1 ZINC000618247999 365958659 /nfs/dbraw/zinc/95/86/59/365958659.db2.gz QFCJNGPDRANDFG-UHFFFAOYSA-N 0 1 295.427 0.865 20 30 CCEDMN CCN(CC(=O)N[C@]1(C#N)CCSC1)C1CC1 ZINC000131772393 350286857 /nfs/dbraw/zinc/28/68/57/350286857.db2.gz CWKCCHAPVKWLQK-LBPRGKRZSA-N 0 1 253.371 0.986 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1ccc(F)c(C#N)c1 ZINC000107062668 186157770 /nfs/dbraw/zinc/15/77/70/186157770.db2.gz BHXAJQYUBVMLCS-UHFFFAOYSA-N 0 1 285.344 0.879 20 30 CCEDMN CNC(=O)CCN(C)[C@H](C)C(=O)Nc1cccc(C#N)c1 ZINC000170792000 350862448 /nfs/dbraw/zinc/86/24/48/350862448.db2.gz CZDVNSIHXIEUIR-LLVKDONJSA-N 0 1 288.351 0.953 20 30 CCEDMN CN(CCCS(N)(=O)=O)Cc1ccc(C#N)cc1 ZINC000170908939 350873438 /nfs/dbraw/zinc/87/34/38/350873438.db2.gz VOLQKWDMLCTKHV-UHFFFAOYSA-N 0 1 267.354 0.669 20 30 CCEDMN CCn1c(=O)[nH]nc1S(=O)(=O)Cc1ccc(C#N)cc1 ZINC000170926489 350874367 /nfs/dbraw/zinc/87/43/67/350874367.db2.gz AMWSQRIFSLWIHY-UHFFFAOYSA-N 0 1 292.320 0.849 20 30 CCEDMN CN[C@@H](C)c1cn([C@@H](C)C(=O)N(C)CCC#N)nn1 ZINC000603189044 362990822 /nfs/dbraw/zinc/99/08/22/362990822.db2.gz PUYZBTUUFFFPHG-UWVGGRQHSA-N 0 1 264.333 0.492 20 30 CCEDMN C#C[C@@H](C)NC(=O)CCN1CCN(c2ccccn2)CC1 ZINC000185362313 351444023 /nfs/dbraw/zinc/44/40/23/351444023.db2.gz FLKNQCMCZVGRMM-CQSZACIVSA-N 0 1 286.379 0.732 20 30 CCEDMN CC(C)(C#N)C[C@H](O)Cn1cc(CNC2CC2)nn1 ZINC000603267672 363035568 /nfs/dbraw/zinc/03/55/68/363035568.db2.gz QBMVSGFQXFXOIW-LBPRGKRZSA-N 0 1 263.345 0.831 20 30 CCEDMN C[C@@H]1CN(C(=O)c2c[nH]c(C#N)c2)CCN1CC(C)(C)O ZINC000186939553 351522394 /nfs/dbraw/zinc/52/23/94/351522394.db2.gz NARCLDBESBGVLS-LLVKDONJSA-N 0 1 290.367 0.804 20 30 CCEDMN C#CCN1CCC(C(=O)NOC[C@@H]2CCOC2)CC1 ZINC000188303835 351586793 /nfs/dbraw/zinc/58/67/93/351586793.db2.gz ADTALFPAQZUDFM-GFCCVEGCSA-N 0 1 266.341 0.416 20 30 CCEDMN C[C@@H](CS(C)(=O)=O)NCc1cc(C#N)n(C)c1 ZINC000189594597 351691993 /nfs/dbraw/zinc/69/19/93/351691993.db2.gz XJJGFQJZKHYBGO-VIFPVBQESA-N 0 1 255.343 0.419 20 30 CCEDMN C[C@H](NS(=O)(=O)Cc1ccc(C#N)cc1)c1nnc[nH]1 ZINC000230418163 352115593 /nfs/dbraw/zinc/11/55/93/352115593.db2.gz LUTKUBXSAYUGHA-VIFPVBQESA-N 0 1 291.336 0.857 20 30 CCEDMN CC[N@H+]1CCC[C@@H]1CNS(=O)(=O)c1cccnc1C#N ZINC000233482525 352150085 /nfs/dbraw/zinc/15/00/85/352150085.db2.gz WOOCRMYNOKZVMY-LLVKDONJSA-N 0 1 294.380 0.716 20 30 CCEDMN C[C@@H]1NCCN(S(=O)(=O)c2cccc(C#N)c2)[C@H]1C ZINC000262798874 352590649 /nfs/dbraw/zinc/59/06/49/352590649.db2.gz UUMAZPQKOQBITG-QWRGUYRKSA-N 0 1 279.365 0.929 20 30 CCEDMN C[C@H](OCC1CC1)C(=O)NC1(C#N)CCN(C)CC1 ZINC000604446205 363197365 /nfs/dbraw/zinc/19/73/65/363197365.db2.gz HVUXROHGHTYHBI-NSHDSACASA-N 0 1 265.357 0.906 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2ccnn2C)CC1 ZINC000270997032 353012824 /nfs/dbraw/zinc/01/28/24/353012824.db2.gz WRESFBAMGKWTCG-UHFFFAOYSA-N 0 1 261.325 0.809 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cccnc2C#N)C[C@@H]1C ZINC000273993595 353114207 /nfs/dbraw/zinc/11/42/07/353114207.db2.gz IZFVYZXRJPEQBT-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1cccc(C#N)n1 ZINC000418994430 234280649 /nfs/dbraw/zinc/28/06/49/234280649.db2.gz BSTQLHRCSAPUHO-NWDGAFQWSA-N 0 1 260.341 0.845 20 30 CCEDMN C#CCC(C)(C)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000274409749 353126994 /nfs/dbraw/zinc/12/69/94/353126994.db2.gz RRIPCDRRMZYABZ-NSHDSACASA-N 0 1 277.324 0.660 20 30 CCEDMN COC(=O)CCNC(=O)CN[C@@H](C)c1ccc(C#N)cc1 ZINC000271662365 191265584 /nfs/dbraw/zinc/26/55/84/191265584.db2.gz LNXRHFRKJRIDMU-NSHDSACASA-N 0 1 289.335 0.888 20 30 CCEDMN C=C(C)CNC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000274996585 353178400 /nfs/dbraw/zinc/17/84/00/353178400.db2.gz FWYBBAJPYBSRMY-NSHDSACASA-N 0 1 268.361 0.202 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000277347976 353237958 /nfs/dbraw/zinc/23/79/58/353237958.db2.gz NRAJDBOEDUBEDB-ZETCQYMHSA-N 0 1 282.262 0.497 20 30 CCEDMN C#CCN1CCC(c2nc(-c3cc(C(N)=O)c[nH]3)no2)CC1 ZINC000278229323 353289085 /nfs/dbraw/zinc/28/90/85/353289085.db2.gz YFEHVDFKSGNBOR-UHFFFAOYSA-N 0 1 299.334 0.976 20 30 CCEDMN CC1(C)CN(CC(=O)NCc2ccc(C#N)cc2)C[C@H]1O ZINC000279952931 353326980 /nfs/dbraw/zinc/32/69/80/353326980.db2.gz XVAQIWBBSRPFOV-CQSZACIVSA-N 0 1 287.363 0.877 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(CC(C)(C)O)C[C@@H]2C)n1 ZINC000286797878 353611365 /nfs/dbraw/zinc/61/13/65/353611365.db2.gz WEOUDJZAFUSETK-LBPRGKRZSA-N 0 1 289.383 0.938 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cc([O-])cc([N+](=O)[O-])c1 ZINC000294034335 353862612 /nfs/dbraw/zinc/86/26/12/353862612.db2.gz RVFWSBGBYHEBEF-UHFFFAOYSA-N 0 1 291.307 0.985 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000296393542 353945585 /nfs/dbraw/zinc/94/55/85/353945585.db2.gz GNIWHEXTMOWCKM-GFCCVEGCSA-N 0 1 291.399 0.903 20 30 CCEDMN COc1cc(C#N)ccc1CN1CCn2c(n[nH]c2=O)C1 ZINC000332664636 354714900 /nfs/dbraw/zinc/71/49/00/354714900.db2.gz XWGZAJDJPNORIH-UHFFFAOYSA-N 0 1 285.307 0.880 20 30 CCEDMN C[C@@H](C(=O)N1CCN(CC2CC2)CC1)n1cnc(C#N)n1 ZINC000336884284 355219370 /nfs/dbraw/zinc/21/93/70/355219370.db2.gz HWALNOUYAHQUJV-NSHDSACASA-N 0 1 288.355 0.265 20 30 CCEDMN CC(C)[C@@H]1CN(C(=O)[C@@H](C)n2cnc(C#N)n2)CCN1C ZINC000337105209 355265969 /nfs/dbraw/zinc/26/59/69/355265969.db2.gz RXEVRJFUWHCHCJ-NEPJUHHUSA-N 0 1 290.371 0.509 20 30 CCEDMN C=CCN1CC[C@H](N(CCOC)[C@@H]2CCO[C@@H]2C)C1=O ZINC000337214347 355284843 /nfs/dbraw/zinc/28/48/43/355284843.db2.gz XIRWVSKRVDHIBW-MCIONIFRSA-N 0 1 282.384 0.899 20 30 CCEDMN C[C@H](CNC(=O)c1cscc1C#N)N1CCN(C)CC1 ZINC000340310698 355556142 /nfs/dbraw/zinc/55/61/42/355556142.db2.gz RVAOYYKFVXTWBF-LLVKDONJSA-N 0 1 292.408 0.985 20 30 CCEDMN CN(CCOc1ccc(C#N)cc1)[C@H]1CCNC1=O ZINC000340221431 355528163 /nfs/dbraw/zinc/52/81/63/355528163.db2.gz JTHGUBGUSMJJDO-ZDUSSCGKSA-N 0 1 259.309 0.757 20 30 CCEDMN N#Cc1ccc([C@H](NCCC2(O)CCC2)C(N)=O)cc1 ZINC000340618004 355587712 /nfs/dbraw/zinc/58/77/12/355587712.db2.gz GSJWXCMFFSRWLR-ZDUSSCGKSA-N 0 1 273.336 0.979 20 30 CCEDMN C[C@H](C(=O)NCC(=O)NCC#N)N1CCCCCC1 ZINC000343518397 355772718 /nfs/dbraw/zinc/77/27/18/355772718.db2.gz MGHIBKNECCISFG-LLVKDONJSA-N 0 1 266.345 0.007 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)NCCCC#N)C1 ZINC000344004611 355781242 /nfs/dbraw/zinc/78/12/42/355781242.db2.gz DRMIUIIHZGKHQP-CYBMUJFWSA-N 0 1 282.388 0.946 20 30 CCEDMN C[C@@H](O)[C@H]1C[C@H](C)CCN1CC(=O)NCCC#N ZINC000785545453 581412144 /nfs/dbraw/zinc/41/21/44/581412144.db2.gz GCDAOJFIJOUWJO-IJLUTSLNSA-N 0 1 253.346 0.498 20 30 CCEDMN Cn1cc(CNC[C@H]2CCCCS2(=O)=O)cc1C#N ZINC000359670728 137960280 /nfs/dbraw/zinc/96/02/80/137960280.db2.gz FDXXYSQZGABENQ-CYBMUJFWSA-N 0 1 281.381 0.954 20 30 CCEDMN N#Cc1ccc(CN[C@@]2(CO)CCOC2)c(F)c1 ZINC000273520749 192185647 /nfs/dbraw/zinc/18/56/47/192185647.db2.gz SOBVLTLBJDBGTO-CYBMUJFWSA-N 0 1 250.273 0.938 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2ccc(C#N)cc2)C[C@@H]1CO ZINC000362091161 138046196 /nfs/dbraw/zinc/04/61/96/138046196.db2.gz RUYOGMBAJFFDOQ-SWLSCSKDSA-N 0 1 287.363 0.735 20 30 CCEDMN N#CCc1cccc(S(=O)(=O)NCCc2nc[nH]n2)c1 ZINC000619489912 366266025 /nfs/dbraw/zinc/26/60/25/366266025.db2.gz ZVOUYEJIIGQGRK-UHFFFAOYSA-N 0 1 291.336 0.392 20 30 CCEDMN CN1C[C@@H](NC(=O)N2CCN(C3CCC3)CC2)CC1=O ZINC000330111250 283185125 /nfs/dbraw/zinc/18/51/25/283185125.db2.gz AXRDPLNNEROUSQ-NSHDSACASA-N 0 1 280.372 0.301 20 30 CCEDMN N#CCn1c(C(=O)NCc2nn[nH]n2)cc2ccccc21 ZINC000609532733 363502771 /nfs/dbraw/zinc/50/27/71/363502771.db2.gz PKUSWZIYNQOBRX-UHFFFAOYSA-N 0 1 281.279 0.608 20 30 CCEDMN CN1CCN(c2cc(C(=O)NC3(C#N)CC3)ccn2)CC1 ZINC000609993916 363530576 /nfs/dbraw/zinc/53/05/76/363530576.db2.gz CEANPNOBWGSPRV-UHFFFAOYSA-N 0 1 285.351 0.619 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H]2CCc3nc[nH]c3C2)[nH]1 ZINC000336334120 213179890 /nfs/dbraw/zinc/17/98/90/213179890.db2.gz VELVZLXNFMIGIF-QMMMGPOBSA-N 0 1 255.281 0.897 20 30 CCEDMN CC1(CN[C@@H](C(N)=O)c2ccc(C#N)cc2)COC1 ZINC000360075138 356942810 /nfs/dbraw/zinc/94/28/10/356942810.db2.gz MQLMBYHJEOLCLD-GFCCVEGCSA-N 0 1 259.309 0.711 20 30 CCEDMN N#Cc1csc(CNC[C@@H]2CCCCN2CCO)n1 ZINC000449393426 236032075 /nfs/dbraw/zinc/03/20/75/236032075.db2.gz BXZMQYSDHOMUGC-LBPRGKRZSA-N 0 1 280.397 0.951 20 30 CCEDMN CS(=O)(=O)N1CC[C@@H](NCc2ccc(C#N)c(F)c2)C1 ZINC000404015300 357813826 /nfs/dbraw/zinc/81/38/26/357813826.db2.gz REZWDGUNQYEVMO-GFCCVEGCSA-N 0 1 297.355 0.821 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN(CCCO)C1CC1)C1CC1 ZINC000404305708 357819712 /nfs/dbraw/zinc/81/97/12/357819712.db2.gz PTPNUYABSQAOOU-AWEZNQCLSA-N 0 1 265.357 0.642 20 30 CCEDMN CC[C@H](C#N)C(=O)N[C@H]1CCCN(CCOC)C1 ZINC000398963170 357780765 /nfs/dbraw/zinc/78/07/65/357780765.db2.gz QGXCJUREAIDBEP-NEPJUHHUSA-N 0 1 253.346 0.763 20 30 CCEDMN Cc1cc(C#N)cc(N2CCN(CCO)C[C@H]2C)n1 ZINC000450953232 236123714 /nfs/dbraw/zinc/12/37/14/236123714.db2.gz KFVPBDFLYFFGRE-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN CCN(C)C(=O)[C@@H](NCC(=O)NC1(C#N)CCC1)C(C)C ZINC000451310340 358259879 /nfs/dbraw/zinc/25/98/79/358259879.db2.gz GVKIQHXRJAAVTK-ZDUSSCGKSA-N 0 1 294.399 0.641 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)CCCC#N)[C@@H](C)C1 ZINC000487461496 358285740 /nfs/dbraw/zinc/28/57/40/358285740.db2.gz MPXZOTLASDVDQK-RYUDHWBXSA-N 0 1 253.346 0.594 20 30 CCEDMN C#CCN(CC#CC)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000490691020 358291058 /nfs/dbraw/zinc/29/10/58/358291058.db2.gz UOGLJDTYVZWARW-AWEZNQCLSA-N 0 1 277.368 0.375 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)[C@@H](C)CO)CC1 ZINC000491710216 358297201 /nfs/dbraw/zinc/29/72/01/358297201.db2.gz SXPXTDUDIOVXPU-LBPRGKRZSA-N 0 1 252.358 0.561 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN(CC(C)(C)O)CC1)C(C)C ZINC000491813048 358297906 /nfs/dbraw/zinc/29/79/06/358297906.db2.gz JYQBCGVWVVJALG-CYBMUJFWSA-N 0 1 281.400 0.742 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@H]2CCC[C@H]21 ZINC000610762671 363610498 /nfs/dbraw/zinc/61/04/98/363610498.db2.gz LEPDZNDQLDGKPP-HBNTYKKESA-N 0 1 251.330 0.871 20 30 CCEDMN C[C@H]1CN(c2cc(C#N)ncn2)CCN1CC(C)(C)O ZINC000580030540 359077641 /nfs/dbraw/zinc/07/76/41/359077641.db2.gz DKDNTLWKWVIPBC-NSHDSACASA-N 0 1 275.356 0.630 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cncc(C#N)n2)[C@@H](C)C1 ZINC000581307322 359125257 /nfs/dbraw/zinc/12/52/57/359125257.db2.gz MEBCKRGFXRNPKY-WDEREUQCSA-N 0 1 261.329 0.240 20 30 CCEDMN CC(C)(C(=O)NCc1nn[nH]n1)c1cc(F)cc(C#N)c1 ZINC000586779320 359289427 /nfs/dbraw/zinc/28/94/27/359289427.db2.gz KDOJJAFPCBSVCG-UHFFFAOYSA-N 0 1 288.286 0.804 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCCc2ccccn2)[nH]1 ZINC000610936176 363633871 /nfs/dbraw/zinc/63/38/71/363633871.db2.gz BWKUSPYSEJHRBZ-UHFFFAOYSA-N 0 1 284.323 0.863 20 30 CCEDMN N#Cc1cc(O)cc(C(=O)NCCc2nc[nH]n2)c1 ZINC000589187245 359366131 /nfs/dbraw/zinc/36/61/31/359366131.db2.gz UMMPWCWIQSJGHE-UHFFFAOYSA-N 0 1 257.253 0.354 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@@H]2CCN(C3CC3)C2)n1 ZINC000589334698 359379193 /nfs/dbraw/zinc/37/91/93/359379193.db2.gz BDRCCFCWZVEEIJ-LLVKDONJSA-N 0 1 256.309 0.920 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)N2CCc3cn[nH]c3C2)cc1 ZINC000611008661 363648173 /nfs/dbraw/zinc/64/81/73/363648173.db2.gz GETUHOYFEYHLNC-CQSZACIVSA-N 0 1 281.319 0.866 20 30 CCEDMN CCN1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@H]1C ZINC000611091935 363659349 /nfs/dbraw/zinc/65/93/49/363659349.db2.gz DBDKAKRIVNDAGV-DOMZBBRYSA-N 0 1 278.400 0.821 20 30 CCEDMN C[C@H](CNC(=O)CC1(C#N)CC1)N1CCN(C)CC1 ZINC000590247330 359432748 /nfs/dbraw/zinc/43/27/48/359432748.db2.gz RPCOBGGIDNUQJD-GFCCVEGCSA-N 0 1 264.373 0.432 20 30 CCEDMN N#Cc1cccc(C(=O)NC2(c3nn[nH]n3)CCCC2)n1 ZINC000589838668 359404167 /nfs/dbraw/zinc/40/41/67/359404167.db2.gz DIBBJCILBVAKGY-UHFFFAOYSA-N 0 1 283.295 0.666 20 30 CCEDMN N#Cc1ccnc(C(=O)NC[C@H]2CN3CCN2CCC3)c1 ZINC000590355405 359443185 /nfs/dbraw/zinc/44/31/85/359443185.db2.gz WKSOXVWOGQHKSU-ZDUSSCGKSA-N 0 1 285.351 0.073 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@@H](O)[C@H]1C ZINC000590762441 359520036 /nfs/dbraw/zinc/52/00/36/359520036.db2.gz WOEYOEFDNPHFEU-QJPTWQEYSA-N 0 1 253.346 0.450 20 30 CCEDMN Cc1ccc(C#N)nc1NC[C@H]1CN(C)CCN1C ZINC000590578229 359488499 /nfs/dbraw/zinc/48/84/99/359488499.db2.gz NDXSHYFRYMJMER-ZDUSSCGKSA-N 0 1 259.357 0.919 20 30 CCEDMN CN(C(=O)N=c1[nH]n(C)cc1C#N)[C@@H](CO)CC(C)(C)C ZINC000590819544 359527204 /nfs/dbraw/zinc/52/72/04/359527204.db2.gz MNYMDIPSRCQAOW-LLVKDONJSA-N 0 1 293.371 0.974 20 30 CCEDMN Cc1cc(NC(=O)CCNCC(C)(C)C#N)no1 ZINC000591759136 359654162 /nfs/dbraw/zinc/65/41/62/359654162.db2.gz FYETZNZTCURQIG-UHFFFAOYSA-N 0 1 250.302 0.873 20 30 CCEDMN CC(C)OCCNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000591760786 359655039 /nfs/dbraw/zinc/65/50/39/359655039.db2.gz XYOXRULAKNWPEF-UHFFFAOYSA-N 0 1 297.380 0.742 20 30 CCEDMN CN1C(=O)NCC12CCN(Cc1ccnc(C#N)c1)CC2 ZINC000591855596 359664948 /nfs/dbraw/zinc/66/49/48/359664948.db2.gz ARNBYLARCUHIJJ-UHFFFAOYSA-N 0 1 285.351 0.943 20 30 CCEDMN N#Cc1cncnc1N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000591908064 359688182 /nfs/dbraw/zinc/68/81/82/359688182.db2.gz PBEVRIPCEKMEIC-STQMWFEESA-N 0 1 273.340 0.384 20 30 CCEDMN N#Cc1cnccc1CN[C@@H](CO)[C@H]1CCCOC1 ZINC000592124430 359760085 /nfs/dbraw/zinc/76/00/85/359760085.db2.gz COFYKCPGMBQWFG-JSGCOSHPSA-N 0 1 261.325 0.830 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)N2CC[C@](O)(CC#N)C2)n[nH]1 ZINC000591989231 359720492 /nfs/dbraw/zinc/72/04/92/359720492.db2.gz AQBSCMZGYCCZOD-QMTHXVAHSA-N 0 1 291.355 0.709 20 30 CCEDMN C[C@H](O)CCN(C)Cc1cnc2c(C#N)cnn2c1 ZINC000592500438 359862364 /nfs/dbraw/zinc/86/23/64/359862364.db2.gz XEFPZCVQSVMFRJ-JTQLQIEISA-N 0 1 259.313 0.804 20 30 CCEDMN C[C@@H]1CC[C@H](O)CN1Cc1cnc2c(C#N)cnn2c1 ZINC000592516060 359869491 /nfs/dbraw/zinc/86/94/91/359869491.db2.gz DNUWHWWPPZHIPI-MFKMUULPSA-N 0 1 271.324 0.946 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H](C)Oc2ccccn2)[nH]n1C ZINC000592649199 359909502 /nfs/dbraw/zinc/90/95/02/359909502.db2.gz KQVFOLCCBUKPOF-JTQLQIEISA-N 0 1 285.307 0.823 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H](C)c2ccnn2C)[nH]n1C ZINC000592650885 359909536 /nfs/dbraw/zinc/90/95/36/359909536.db2.gz QZRKBNLWDVICMC-MRVPVSSYSA-N 0 1 272.312 0.498 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2[C@H]3CCCO[C@H]32)[nH]n1C ZINC000592655903 359910325 /nfs/dbraw/zinc/91/03/25/359910325.db2.gz ZBRKRZLZBBQPLC-FBIMIBRVSA-N 0 1 260.297 0.386 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2CCO[C@H](C)C2)[nH]n1C ZINC000592655000 359910389 /nfs/dbraw/zinc/91/03/89/359910389.db2.gz UUZMXJXJFHOONI-SCZZXKLOSA-N 0 1 262.313 0.776 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000593508950 360103397 /nfs/dbraw/zinc/10/33/97/360103397.db2.gz XKLZOUATEMHTOY-CHWSQXEVSA-N 0 1 265.357 0.717 20 30 CCEDMN C[C@@H](CO)N[C@@H]1CC(=O)N(c2ccc(C#N)cc2)C1=O ZINC000593921614 360217458 /nfs/dbraw/zinc/21/74/58/360217458.db2.gz YXBRSHGQSPQIRU-JOYOIKCWSA-N 0 1 273.292 0.161 20 30 CCEDMN Cc1nc(CN2CCN(c3ccc(C#N)nn3)CC2)n[nH]1 ZINC000611398747 363714095 /nfs/dbraw/zinc/71/40/95/363714095.db2.gz UKAOJFNGUKTQOJ-UHFFFAOYSA-N 0 1 284.327 0.097 20 30 CCEDMN COCCCOCCN(C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611358191 363710561 /nfs/dbraw/zinc/71/05/61/363710561.db2.gz RUGYHVLAUDCCQK-UHFFFAOYSA-N 0 1 295.343 0.230 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC(F)(F)C2)[nH]1 ZINC000611453417 363719482 /nfs/dbraw/zinc/71/94/82/363719482.db2.gz GKEARJJXHZGHQD-UHFFFAOYSA-N 0 1 255.228 0.587 20 30 CCEDMN CN(C[C@@H]1CCCC[C@@H]1O)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611503760 363728122 /nfs/dbraw/zinc/72/81/22/363728122.db2.gz HYWFXCKQXLMREB-JQWIXIFHSA-N 0 1 291.355 0.728 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)C(=O)Nc1cccc(C#N)c1 ZINC000595150375 360445069 /nfs/dbraw/zinc/44/50/69/360445069.db2.gz FUZASRPWTRWGPR-UHFFFAOYSA-N 0 1 286.335 0.517 20 30 CCEDMN CCN(C(=O)C(=O)N1CC[C@](C)(C#N)C1)[C@H]1CCN(C)C1 ZINC000595196912 360450087 /nfs/dbraw/zinc/45/00/87/360450087.db2.gz ODCYDJHBVVPAFN-SWLSCSKDSA-N 0 1 292.383 0.301 20 30 CCEDMN C=CCCSCCNC(=O)C(=O)N1CCN[C@H](C)C1 ZINC000595284607 360480148 /nfs/dbraw/zinc/48/01/48/360480148.db2.gz VMKBSMFHOHYPBV-LLVKDONJSA-N 0 1 285.413 0.232 20 30 CCEDMN N#Cc1ccc(N2CCN(C[C@H]3CNC(=O)C3)CC2)nc1 ZINC000595294647 360485742 /nfs/dbraw/zinc/48/57/42/360485742.db2.gz ALZHACSTZQUVNM-CYBMUJFWSA-N 0 1 285.351 0.211 20 30 CCEDMN C=CCN(C)[C@@H]1CCCN(CCC(=O)OC)C1=O ZINC000595451488 360536624 /nfs/dbraw/zinc/53/66/24/360536624.db2.gz ZBOKFFCTGMRIEZ-LLVKDONJSA-N 0 1 254.330 0.658 20 30 CCEDMN C[C@@H]1CN(CCN2CCN(CCC#N)CC2)C[C@@H](C)O1 ZINC000595391667 360516439 /nfs/dbraw/zinc/51/64/39/360516439.db2.gz MIVSTLFQBCVXSZ-HUUCEWRRSA-N 0 1 280.416 0.627 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N2CC[C@](C)(C#N)C2)C1 ZINC000595518093 360559184 /nfs/dbraw/zinc/55/91/84/360559184.db2.gz ZASCKWLACDVBPB-TZMCWYRMSA-N 0 1 280.372 0.652 20 30 CCEDMN CN(CC(=O)NCC#N)[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000595522675 360561768 /nfs/dbraw/zinc/56/17/68/360561768.db2.gz DWLAJNSANMMOGI-CYBMUJFWSA-N 0 1 284.323 0.466 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)N1CC[C@](C)(C#N)C1 ZINC000595552254 360577158 /nfs/dbraw/zinc/57/71/58/360577158.db2.gz RKQOFMJMFPDCTN-DZGCQCFKSA-N 0 1 293.415 0.567 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@]2(O)C[C@H]2C1 ZINC000595766954 360646119 /nfs/dbraw/zinc/64/61/19/360646119.db2.gz NSKMQUQPLKENHZ-WCQYABFASA-N 0 1 251.330 0.205 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000595741841 360636026 /nfs/dbraw/zinc/63/60/26/360636026.db2.gz DOYRKCBJVJVJRM-RMRHIDDWSA-N 0 1 281.400 0.943 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@H](C)C(O)[C@@H](C)C1 ZINC000595751322 360639556 /nfs/dbraw/zinc/63/95/56/360639556.db2.gz UJCYAVSFMQSUAG-DCAQKATOSA-N 0 1 283.372 0.335 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000595863930 360683641 /nfs/dbraw/zinc/68/36/41/360683641.db2.gz KSERGWSTFYNPJG-NQBHXWOUSA-N 0 1 253.346 0.566 20 30 CCEDMN CC(C)[C@H](CNc1c(C#N)cnn1C)N1CCN(C)CC1 ZINC000596069632 360787167 /nfs/dbraw/zinc/78/71/67/360787167.db2.gz HHEWTACBQAJZBQ-AWEZNQCLSA-N 0 1 290.415 0.976 20 30 CCEDMN C=CCCNC(=O)N1CC[C@H](N2CCN(CC)CC2)C1 ZINC000596717417 360945509 /nfs/dbraw/zinc/94/55/09/360945509.db2.gz JDOWDXFJIYZNSX-AWEZNQCLSA-N 0 1 280.416 0.984 20 30 CCEDMN CO[C@H]1CC[C@@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000597165395 361020769 /nfs/dbraw/zinc/02/07/69/361020769.db2.gz IGHMJZVTHIIDNG-NEPJUHHUSA-N 0 1 265.357 0.906 20 30 CCEDMN COCC[N@H+]1CCC[C@H](NC(=O)[C@H](C)CC#N)C1 ZINC000597167929 361021893 /nfs/dbraw/zinc/02/18/93/361021893.db2.gz BQLHRLNALCSENT-NEPJUHHUSA-N 0 1 253.346 0.763 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)[C@H](C)CC#N)C1 ZINC000597167929 361021896 /nfs/dbraw/zinc/02/18/96/361021896.db2.gz BQLHRLNALCSENT-NEPJUHHUSA-N 0 1 253.346 0.763 20 30 CCEDMN CN(C)C1(C(=O)NC2CCN(CC#N)CC2)CC1 ZINC000597168363 361022040 /nfs/dbraw/zinc/02/20/40/361022040.db2.gz ONWSZFYAKIJTQM-UHFFFAOYSA-N 0 1 250.346 0.185 20 30 CCEDMN COC(=O)[C@@H]1OCC[C@@H]1NCc1ccc(C#N)c(OC)c1 ZINC000597187415 361026442 /nfs/dbraw/zinc/02/64/42/361026442.db2.gz PEXGSGVBUJNKFW-GXTWGEPZSA-N 0 1 290.319 0.987 20 30 CCEDMN CO[C@@H]1CS(=O)(=O)C[C@@H]1N[C@H]1CC[C@@H](C#N)C1 ZINC000597193219 361029027 /nfs/dbraw/zinc/02/90/27/361029027.db2.gz VSIXKWXOMIKGIP-VPOLOUISSA-N 0 1 258.343 0.080 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2CN3CCN2CCC3)cn1 ZINC000597625610 361166928 /nfs/dbraw/zinc/16/69/28/361166928.db2.gz PRJKJGUEVDLDRE-AWEZNQCLSA-N 0 1 285.351 0.073 20 30 CCEDMN COC[C@@]1(C(=O)OC)CCCN1C(=O)C(C)C#N ZINC000597957852 361291092 /nfs/dbraw/zinc/29/10/92/361291092.db2.gz KHIXFKUIOKRPCR-BXKDBHETSA-N 0 1 254.286 0.327 20 30 CCEDMN N#Cc1cncnc1NCCN1C[C@H]2CC[C@@H](C1)O2 ZINC000563955227 291260687 /nfs/dbraw/zinc/26/06/87/291260687.db2.gz XAWQFECFRTYCRI-TXEJJXNPSA-N 0 1 259.313 0.045 20 30 CCEDMN C=C[C@H]1CCCCN1Cc1cc(=O)n2nc(N)[nH]c2n1 ZINC000621288175 366706005 /nfs/dbraw/zinc/70/60/05/366706005.db2.gz PCHAUNXECUMDEI-JTQLQIEISA-N 0 1 274.328 0.371 20 30 CCEDMN COCc1nc(CNC(=O)c2ccc(C#N)s2)n[nH]1 ZINC000598050931 361324719 /nfs/dbraw/zinc/32/47/19/361324719.db2.gz OXHORMMBAYCDBQ-UHFFFAOYSA-N 0 1 277.309 0.814 20 30 CCEDMN COCc1nnc(CNC(=O)c2ccc(C#N)s2)[nH]1 ZINC000598050931 361324722 /nfs/dbraw/zinc/32/47/22/361324722.db2.gz OXHORMMBAYCDBQ-UHFFFAOYSA-N 0 1 277.309 0.814 20 30 CCEDMN CCN(C(=O)CN1CCN(CC)CC1)[C@H](C)C#N ZINC000598359424 361395624 /nfs/dbraw/zinc/39/56/24/361395624.db2.gz OGHAHWGXUNOHBX-GFCCVEGCSA-N 0 1 252.362 0.384 20 30 CCEDMN COC[C@@]1(C(N)=O)CCCN1C[C@H](O)CC(C)(C)C#N ZINC000598626024 361465947 /nfs/dbraw/zinc/46/59/47/361465947.db2.gz WZSMHBSMHNMGBE-BXUZGUMPSA-N 0 1 283.372 0.253 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1ccccc1C#N)[C@@H](C)C(=O)[O-] ZINC000598679903 361479726 /nfs/dbraw/zinc/47/97/26/361479726.db2.gz PPPFKZBYRMAJEW-NSHDSACASA-N 0 1 289.335 0.969 20 30 CCEDMN CCOC(=O)C1(O)CCN(C[C@@H](O)CC(C)(C)C#N)CC1 ZINC000598596106 361453698 /nfs/dbraw/zinc/45/36/98/361453698.db2.gz QRKVIGBMVVBFDV-LBPRGKRZSA-N 0 1 298.383 0.677 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCC[C@@H](S(N)(=O)=O)C1 ZINC000598599651 361454399 /nfs/dbraw/zinc/45/43/99/361454399.db2.gz KTKUMERTVURMTM-WDEREUQCSA-N 0 1 289.401 0.040 20 30 CCEDMN COC(=O)C1(O)CCN(C[C@H](O)CC(C)(C)C#N)CC1 ZINC000598599608 361454832 /nfs/dbraw/zinc/45/48/32/361454832.db2.gz KGIVWACHYRGPMN-LLVKDONJSA-N 0 1 284.356 0.287 20 30 CCEDMN C[C@H]1CC(=O)NCCN1C[C@@H](O)CC(C)(C)C#N ZINC000598600661 361456283 /nfs/dbraw/zinc/45/62/83/361456283.db2.gz WJQZWAVXUCIXER-QWRGUYRKSA-N 0 1 253.346 0.498 20 30 CCEDMN Cc1cc(C(=O)N(C)Cc2n[nH]c(=O)o2)ncc1C#N ZINC000598747000 361508098 /nfs/dbraw/zinc/50/80/98/361508098.db2.gz WJEQHTJZJSGKKG-UHFFFAOYSA-N 0 1 273.252 0.623 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)C(C)(C)n2cccn2)[nH]1 ZINC000598817729 361522072 /nfs/dbraw/zinc/52/20/72/361522072.db2.gz XYYDGZNYXZKYGS-UHFFFAOYSA-N 0 1 258.285 0.284 20 30 CCEDMN CN(C)S(=O)(=O)N1CC(N[C@@H]2CCC[C@@H](C#N)C2)C1 ZINC000599090086 361571180 /nfs/dbraw/zinc/57/11/80/361571180.db2.gz IMXWUGYTTFAFSY-GHMZBOCLSA-N 0 1 286.401 0.149 20 30 CCEDMN N#Cc1ccc(NCCCCN2CCOCC2)nn1 ZINC000301838774 201226103 /nfs/dbraw/zinc/22/61/03/201226103.db2.gz NPYSNFMRRONDLK-UHFFFAOYSA-N 0 1 261.329 0.873 20 30 CCEDMN CN(Cc1nnc[nH]1)C[C@H](O)COc1ccccc1C#N ZINC000599307325 361645037 /nfs/dbraw/zinc/64/50/37/361645037.db2.gz RQCAHQWSYYUKHT-LBPRGKRZSA-N 0 1 287.323 0.548 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC[C@H]2CCOC2)[nH]1 ZINC000612313509 363883436 /nfs/dbraw/zinc/88/34/36/363883436.db2.gz MKFIAOGSHDXDRH-VIFPVBQESA-N 0 1 263.301 0.262 20 30 CCEDMN C=C(C)COCCNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000612436095 363919640 /nfs/dbraw/zinc/91/96/40/363919640.db2.gz MANWSLXVYJBNFB-JTQLQIEISA-N 0 1 264.329 0.934 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC000612829075 364019710 /nfs/dbraw/zinc/01/97/10/364019710.db2.gz MTVOXOJCYIXUNS-ZYHUDNBSSA-N 0 1 280.328 0.249 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CC[C@@H](n2cc(C(=O)OC)nn2)C1 ZINC000612829074 364019768 /nfs/dbraw/zinc/01/97/68/364019768.db2.gz MTVOXOJCYIXUNS-PWSUYJOCSA-N 0 1 280.328 0.249 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000613252453 364180799 /nfs/dbraw/zinc/18/07/99/364180799.db2.gz GKJJZVBMKYEEJU-WDEREUQCSA-N 0 1 263.341 0.815 20 30 CCEDMN C=CCOCCNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000613364325 364227382 /nfs/dbraw/zinc/22/73/82/364227382.db2.gz OXBKXBDFMMJPGY-VIFPVBQESA-N 0 1 250.302 0.543 20 30 CCEDMN CCOC(=O)C[C@@H]1C[C@@H](OC)CN1CC#CCOC ZINC000614229023 364542675 /nfs/dbraw/zinc/54/26/75/364542675.db2.gz XULHZDSDNIKDEB-QWHCGFSZSA-N 0 1 269.341 0.679 20 30 CCEDMN CCOC(=O)C[C@H]1C[C@H](OC)CN1CC#CCOC ZINC000614229022 364542983 /nfs/dbraw/zinc/54/29/83/364542983.db2.gz XULHZDSDNIKDEB-OLZOCXBDSA-N 0 1 269.341 0.679 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000615089141 364844610 /nfs/dbraw/zinc/84/46/10/364844610.db2.gz WRCHVXBVPZTQLW-AAEUAGOBSA-N 0 1 268.357 0.245 20 30 CCEDMN N#C[C@@]1(F)CCN(C(=O)NCCCCN2CCOCC2)C1 ZINC000615534433 364995034 /nfs/dbraw/zinc/99/50/34/364995034.db2.gz BFPZKUBQRCPJRL-AWEZNQCLSA-N 0 1 298.362 0.746 20 30 CCEDMN C[C@@H]1CSCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000616990001 365509632 /nfs/dbraw/zinc/50/96/32/365509632.db2.gz PVHPFDUGROIZTQ-VIFPVBQESA-N 0 1 279.369 0.930 20 30 CCEDMN C=CCCn1cc(CN[C@@H]2CCOC[C@H]2O)nn1 ZINC000623766962 367653204 /nfs/dbraw/zinc/65/32/04/367653204.db2.gz OEINOSYYAWAJNW-VXGBXAGGSA-N 0 1 252.318 0.094 20 30 CCEDMN C=CCCN(C)S(=O)(=O)CCCN1CCN(C)CC1 ZINC000632262555 370948908 /nfs/dbraw/zinc/94/89/08/370948908.db2.gz WYOVXMBCVUBVOC-UHFFFAOYSA-N 0 1 289.445 0.462 20 30 CCEDMN C=CCOc1cccnc1C(=O)NCCN1CC[C@@H](O)C1 ZINC000629172774 369551623 /nfs/dbraw/zinc/55/16/23/369551623.db2.gz MAPFWJPQBQVMDY-GFCCVEGCSA-N 0 1 291.351 0.443 20 30 CCEDMN C#CCN1CCN(C(=O)CN2C[C@@H](C)S[C@H](C)C2)CC1 ZINC000490761176 261202881 /nfs/dbraw/zinc/20/28/81/261202881.db2.gz RSCHUWIPXWIIIR-ZIAGYGMSSA-N 0 1 295.452 0.590 20 30 CCEDMN C=C(C)CS(=O)(=O)N(C)[C@H]1CN2CCC1CC2 ZINC000632478861 371095510 /nfs/dbraw/zinc/09/55/10/371095510.db2.gz SBCNQVRBISTKFC-LBPRGKRZSA-N 0 1 258.387 0.918 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)CCc1c[nH]nn1 ZINC000632840827 371295748 /nfs/dbraw/zinc/29/57/48/371295748.db2.gz MNDLSVOCQHNWFL-GXFFZTMASA-N 0 1 264.329 0.835 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)CCc1cnn[nH]1 ZINC000632840827 371295754 /nfs/dbraw/zinc/29/57/54/371295754.db2.gz MNDLSVOCQHNWFL-GXFFZTMASA-N 0 1 264.329 0.835 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)N(C)C)C1=O ZINC000684988054 545779196 /nfs/dbraw/zinc/77/91/96/545779196.db2.gz QJGWRYWZCBLPGF-WDEREUQCSA-N 0 1 253.346 0.182 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCCCOC)CC1 ZINC000491125339 261340872 /nfs/dbraw/zinc/34/08/72/261340872.db2.gz DJNWHCYURGIYJK-UHFFFAOYSA-N 0 1 281.400 0.170 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)NCCN1CC[C@@H](O)C1 ZINC000637117668 373939330 /nfs/dbraw/zinc/93/93/30/373939330.db2.gz ZTWGPFXKJPTYDO-MCIONIFRSA-N 0 1 297.399 0.333 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NCCN1CC[C@@H](O)C1 ZINC000637194672 373994215 /nfs/dbraw/zinc/99/42/15/373994215.db2.gz LBNCUKPXGWKRGK-UPJWGTAASA-N 0 1 283.372 0.086 20 30 CCEDMN C=CCn1cc(CNC[C@@H](OC)[C@@H]2CCOC2)nn1 ZINC000639276539 375690556 /nfs/dbraw/zinc/69/05/56/375690556.db2.gz ZJQXYITXTAXWTJ-DGCLKSJQSA-N 0 1 266.345 0.605 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CCC[C@H](c2n[n-]c(=N)o2)C1 ZINC000639823437 376033688 /nfs/dbraw/zinc/03/36/88/376033688.db2.gz YMAUFHSWWGXSEQ-VHSXEESVSA-N 0 1 252.318 0.599 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@](C)(CO)COC ZINC000639952288 376151372 /nfs/dbraw/zinc/15/13/72/376151372.db2.gz OTSBQQRRZGHKEC-CYBMUJFWSA-N 0 1 258.362 0.398 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnn(C)c1 ZINC000639974415 376165140 /nfs/dbraw/zinc/16/51/40/376165140.db2.gz UXBZJLKZRVFSCS-ZDUSSCGKSA-N 0 1 260.341 0.638 20 30 CCEDMN C=CCC[C@@H](O)CN(C)CC(=O)N1CCN(C)CC1 ZINC000640059060 376221568 /nfs/dbraw/zinc/22/15/68/376221568.db2.gz PEFTUEGPXCYLGZ-CYBMUJFWSA-N 0 1 269.389 0.019 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(CC)[C@H](C)C2)C1=O ZINC000685003898 545784494 /nfs/dbraw/zinc/78/44/94/545784494.db2.gz RBJKOYUFASPTBT-OLZOCXBDSA-N 0 1 251.374 0.799 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(C(=O)NCCOC)CC1 ZINC000641859775 377511338 /nfs/dbraw/zinc/51/13/38/377511338.db2.gz XRYIPLVCJZJVPU-LBPRGKRZSA-N 0 1 297.399 0.152 20 30 CCEDMN C=CCOCCNC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000642749898 377625055 /nfs/dbraw/zinc/62/50/55/377625055.db2.gz OBYASVJGGPAGJF-CHWSQXEVSA-N 0 1 285.388 0.286 20 30 CCEDMN C=CCCn1cc(CNCCCC(=O)N(C)C)nn1 ZINC000657332205 412961023 /nfs/dbraw/zinc/96/10/23/412961023.db2.gz DDDWBMVWBKJLMM-UHFFFAOYSA-N 0 1 265.361 0.812 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCNC(=O)[C@@H]2C(C)C)CC1 ZINC000264095180 533146809 /nfs/dbraw/zinc/14/68/09/533146809.db2.gz FFWOHQWATIMNJL-AWEZNQCLSA-N 0 1 291.395 0.315 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CC[N@@H+](CC(C)(C)O)[C@@H](C)C1 ZINC000186623497 388843843 /nfs/dbraw/zinc/84/38/43/388843843.db2.gz AXAWSUUXYVLSMP-RYUDHWBXSA-N 0 1 282.388 0.633 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000186623497 388843844 /nfs/dbraw/zinc/84/38/44/388843844.db2.gz AXAWSUUXYVLSMP-RYUDHWBXSA-N 0 1 282.388 0.633 20 30 CCEDMN C=CCN1CC[C@H]([NH+]2CCC(c3nc(=O)[n-][nH]3)CC2)C1=O ZINC000289543622 388871314 /nfs/dbraw/zinc/87/13/14/388871314.db2.gz WVWJFKXKOOWEJX-NSHDSACASA-N 0 1 291.355 0.064 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000286678399 388845399 /nfs/dbraw/zinc/84/53/99/388845399.db2.gz SFVMXCPHXMXJSC-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N[C@H]1COC[C@@H]1OC ZINC000290214539 388902527 /nfs/dbraw/zinc/90/25/27/388902527.db2.gz VMQKYOMCAVFKSA-AGIUHOORSA-N 0 1 268.357 0.579 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000290275471 388903396 /nfs/dbraw/zinc/90/33/96/388903396.db2.gz PXBILECJGJTXPH-HNNXBMFYSA-N 0 1 299.418 0.963 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2cnc3ccc(C#N)cn23)CC1 ZINC000189842638 388974950 /nfs/dbraw/zinc/97/49/50/388974950.db2.gz VZBAYINLUGKHHS-ZDUSSCGKSA-N 0 1 299.378 0.704 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@@H](O)CC1 ZINC000293092942 388979060 /nfs/dbraw/zinc/97/90/60/388979060.db2.gz KMPOKYFHIIFYGR-GHMZBOCLSA-N 0 1 269.345 0.234 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2ccc(C#N)cn2)CC1 ZINC000293594607 388985747 /nfs/dbraw/zinc/98/57/47/388985747.db2.gz UTKAVMBAEIUDME-UHFFFAOYSA-N 0 1 297.362 0.215 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCSC[C@H](C)C1 ZINC000190482398 388992263 /nfs/dbraw/zinc/99/22/63/388992263.db2.gz QQWCQFWNZCRGJU-SNVBAGLBSA-N 0 1 271.386 0.683 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCSC[C@H](C)C1 ZINC000190482398 388992264 /nfs/dbraw/zinc/99/22/64/388992264.db2.gz QQWCQFWNZCRGJU-SNVBAGLBSA-N 0 1 271.386 0.683 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@H]1CO ZINC000190833643 389000064 /nfs/dbraw/zinc/00/00/64/389000064.db2.gz XAURMXSRSQANTK-UHIISALHSA-N 0 1 265.357 0.355 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)NC[C@H]1CCCN1C ZINC000336927241 389032844 /nfs/dbraw/zinc/03/28/44/389032844.db2.gz WKGTUQCAVACJDE-NWDGAFQWSA-N 0 1 288.417 0.397 20 30 CCEDMN CCNS(=O)(=O)[C@H]1CCN(CCCCC#N)C1 ZINC000092841760 389032312 /nfs/dbraw/zinc/03/23/12/389032312.db2.gz RCINUAPSOZPXTE-NSHDSACASA-N 0 1 259.375 0.694 20 30 CCEDMN C=C(C)COCCNC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000354335333 389020764 /nfs/dbraw/zinc/02/07/64/389020764.db2.gz HOBXNYPGBXAOOG-KGLIPLIRSA-N 0 1 299.415 0.676 20 30 CCEDMN N#Cc1cccc(CN2CCC[C@]3(C2)NC(=O)NC3=O)c1 ZINC000192638679 389046380 /nfs/dbraw/zinc/04/63/80/389046380.db2.gz QMDXGXDIWREHEG-OAHLLOKOSA-N 0 1 284.319 0.732 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@H](OCCOCC)C2)C1=O ZINC000337180783 389050745 /nfs/dbraw/zinc/05/07/45/389050745.db2.gz MDOMGUCCEMGTKP-KGLIPLIRSA-N 0 1 282.384 0.901 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC([C@H](C)O)CC1 ZINC000304008800 389081014 /nfs/dbraw/zinc/08/10/14/389081014.db2.gz BFUNKWUKLUSSJA-LBPRGKRZSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000336946607 389034703 /nfs/dbraw/zinc/03/47/03/389034703.db2.gz OMBFPOSDXGJLAA-LLVKDONJSA-N 0 1 297.384 0.857 20 30 CCEDMN CC(C)(C)c1n[nH]c(SCC(=O)NCC#N)n1 ZINC000108661788 389099221 /nfs/dbraw/zinc/09/92/21/389099221.db2.gz VENKSPBYLDQQLM-UHFFFAOYSA-N 0 1 253.331 0.834 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NC[C@@H](CC(C)C)C(=O)[O-] ZINC000328231795 389128195 /nfs/dbraw/zinc/12/81/95/389128195.db2.gz DKYITSOTCMHXHC-CYBMUJFWSA-N 0 1 297.399 0.988 20 30 CCEDMN CCNC(=O)[C@H](NCC(=O)N[C@@](C)(C#N)C1CC1)C(C)C ZINC000339327009 389130600 /nfs/dbraw/zinc/13/06/00/389130600.db2.gz BUENXMGVUGGKFL-HIFRSBDPSA-N 0 1 294.399 0.545 20 30 CCEDMN C=C(C)[C@H](CC(=O)NC[C@H]1CN(C)CCN1C)OCC ZINC000339372233 389131388 /nfs/dbraw/zinc/13/13/88/389131388.db2.gz XUGIOFJQJGMHEJ-KBPBESRZSA-N 0 1 283.416 0.720 20 30 CCEDMN COCCN1CC[C@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC000328691745 389132088 /nfs/dbraw/zinc/13/20/88/389132088.db2.gz RKHZNFOFALASLX-ZDUSSCGKSA-N 0 1 299.415 0.774 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(CC)[C@@H](C)CO ZINC000339627757 389136497 /nfs/dbraw/zinc/13/64/97/389136497.db2.gz XOVHCMUVNDELFP-NEPJUHHUSA-N 0 1 255.362 0.697 20 30 CCEDMN C[C@@H](NC(=O)c1n[nH]c2ccccc21)C(=O)NCC#N ZINC000339923451 389140841 /nfs/dbraw/zinc/14/08/41/389140841.db2.gz NNVRRPXERCTMJA-MRVPVSSYSA-N 0 1 271.280 0.321 20 30 CCEDMN CCn1cc(C(=O)NC[C@@H]2CN(C)CCN2C)cn1 ZINC000329707331 389190458 /nfs/dbraw/zinc/19/04/58/389190458.db2.gz LNLIMIZWUGIWIZ-GFCCVEGCSA-N 0 1 265.361 0.453 20 30 CCEDMN [O-]C(=[NH+][C@@H]1CCc2[nH]cnc2C1)N1C[C@@H](F)C[C@H]1CO ZINC000329722369 389191904 /nfs/dbraw/zinc/19/19/04/389191904.db2.gz FGCTYOHQXRWNLI-AEJSXWLSSA-N 0 1 282.319 0.586 20 30 CCEDMN Cn1cccc(N/C([O-])=N/[C@H]2CCc3[nH+]c[nH]c3C2)c1=O ZINC000329777767 389199275 /nfs/dbraw/zinc/19/92/75/389199275.db2.gz KQNCCAKPQKEIRL-VIFPVBQESA-N 0 1 287.323 0.992 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)CN(C)[C@H]1CCSC1 ZINC000342205910 389200770 /nfs/dbraw/zinc/20/07/70/389200770.db2.gz IZCMRXLWRVWQNM-RYUDHWBXSA-N 0 1 272.414 0.725 20 30 CCEDMN C[C@H](C#N)CN(C)C[C@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000358538107 389154413 /nfs/dbraw/zinc/15/44/13/389154413.db2.gz BZBRJRDGQRBOIR-MQYQWHSLSA-N 0 1 269.389 0.548 20 30 CCEDMN Cc1nnc2n1C[C@@H](CNC(=O)N[C@H]1CCCOC1)CC2 ZINC000329302359 389155247 /nfs/dbraw/zinc/15/52/47/389155247.db2.gz WTEMEHSMDSSCCN-NEPJUHHUSA-N 0 1 293.371 0.832 20 30 CCEDMN Cc1nnc2n1C[C@@H](C[NH+]=C([O-])N[C@H]1CCCOC1)CC2 ZINC000329302359 389155250 /nfs/dbraw/zinc/15/52/50/389155250.db2.gz WTEMEHSMDSSCCN-NEPJUHHUSA-N 0 1 293.371 0.832 20 30 CCEDMN C[C@]1(O)CCCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000124387853 389160552 /nfs/dbraw/zinc/16/05/52/389160552.db2.gz LFFQMUPRQOMZOL-AWEZNQCLSA-N 0 1 278.356 0.489 20 30 CCEDMN C[C@@]1(O)CCCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000124388081 389160640 /nfs/dbraw/zinc/16/06/40/389160640.db2.gz LFFQMUPRQOMZOL-CQSZACIVSA-N 0 1 278.356 0.489 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1C[C@@H]2CC[C@@H](O)[C@H]2C1 ZINC000125321884 389165798 /nfs/dbraw/zinc/16/57/98/389165798.db2.gz BXXVYSAAHMNGNI-MELADBBJSA-N 0 1 290.367 0.345 20 30 CCEDMN CCN1CCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)CC1=O ZINC000329602252 389174727 /nfs/dbraw/zinc/17/47/27/389174727.db2.gz KVTDUGQXOIRYHA-SNVBAGLBSA-N 0 1 291.355 0.345 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCN2CCCC[C@H]2C1 ZINC000248366979 389245224 /nfs/dbraw/zinc/24/52/24/389245224.db2.gz STIMJOPAKDIAQL-OLZOCXBDSA-N 0 1 294.399 0.557 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)[C@H](CO)C(C)(C)C ZINC000134400676 389245389 /nfs/dbraw/zinc/24/53/89/389245389.db2.gz OPNKRNSDTSTVHA-CYBMUJFWSA-N 0 1 294.399 0.981 20 30 CCEDMN CN(CCNC(=O)N(C)C)CCN1CCCC1=O ZINC000330616663 389246540 /nfs/dbraw/zinc/24/65/40/389246540.db2.gz FBYXKYRPTBPJDG-UHFFFAOYSA-N 0 1 256.350 0.016 20 30 CCEDMN CC#CCNC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000135003269 389251578 /nfs/dbraw/zinc/25/15/78/389251578.db2.gz CGHJZVVRKYVHNY-BETUJISGSA-N 0 1 267.373 0.808 20 30 CCEDMN CC1CCN(CC(=O)N[C@H]2CC(=O)N(C)C2)CC1 ZINC000329934401 389212584 /nfs/dbraw/zinc/21/25/84/389212584.db2.gz QNNCXJGXAIWRQC-NSHDSACASA-N 0 1 253.346 0.906 20 30 CCEDMN C[C@]1([NH+]=C([O-])N[C@H]2CCn3ccnc3C2)CCOC1 ZINC000330280315 389219656 /nfs/dbraw/zinc/21/96/56/389219656.db2.gz SFTPEWUPTYXBIL-GWCFXTLKSA-N 0 1 264.329 0.881 20 30 CCEDMN C[C@]1(NC([O-])=[NH+][C@H]2CCn3ccnc3C2)CCOC1 ZINC000330280315 389219657 /nfs/dbraw/zinc/21/96/57/389219657.db2.gz SFTPEWUPTYXBIL-GWCFXTLKSA-N 0 1 264.329 0.881 20 30 CCEDMN CCN(CCC#N)C(=O)CN(CC)[C@H]1CCOC1 ZINC000361597278 389221292 /nfs/dbraw/zinc/22/12/92/389221292.db2.gz XTYPUAROSWPAFE-LBPRGKRZSA-N 0 1 253.346 0.859 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(C(=O)NC)CC2)C1=O ZINC000330385489 389223737 /nfs/dbraw/zinc/22/37/37/389223737.db2.gz LLXKRLMNKPDKAV-GFCCVEGCSA-N 0 1 265.357 0.231 20 30 CCEDMN COC(=O)[C@@]1(C)CCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000246384539 389228238 /nfs/dbraw/zinc/22/82/38/389228238.db2.gz HRQGGKVSAQLIIU-RISCZKNCSA-N 0 1 281.356 0.632 20 30 CCEDMN CNC(=O)NC(=O)CN1CCC[C@@H](CCC(N)=O)C1 ZINC000330462695 389232115 /nfs/dbraw/zinc/23/21/15/389232115.db2.gz MVZIJLGJGXYVOH-VIFPVBQESA-N 0 1 270.333 0.674 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@]2(CNC(=O)C2)C1 ZINC000362410825 389235465 /nfs/dbraw/zinc/23/54/65/389235465.db2.gz PVLQQEKLYDULNU-SMDDNHRTSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(C)CC[C@@H](C)O ZINC000247452019 389240372 /nfs/dbraw/zinc/24/03/72/389240372.db2.gz HNILNVOROQQGKF-NXEZZACHSA-N 0 1 257.334 0.089 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)Nc2cccc(C#N)c2)C1 ZINC000264654895 389343015 /nfs/dbraw/zinc/34/30/15/389343015.db2.gz PMUPZKJLGXEOJY-AWEZNQCLSA-N 0 1 287.367 0.926 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(CC2CC2)CC1 ZINC000346258030 389325616 /nfs/dbraw/zinc/32/56/16/389325616.db2.gz UTSVYWDZWJYNKZ-CQSZACIVSA-N 0 1 252.358 0.868 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)[C@H](O)C1 ZINC000346553199 389331760 /nfs/dbraw/zinc/33/17/60/389331760.db2.gz GCFOJDCRBDCQRS-GMTAPVOTSA-N 0 1 251.330 0.250 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](C(=O)OC)[C@@H]1C ZINC000346657907 389333700 /nfs/dbraw/zinc/33/37/00/389333700.db2.gz HGVWKMWFGQVLBO-NWDGAFQWSA-N 0 1 281.356 0.632 20 30 CCEDMN Cc1nc(C(=O)NC[C@@H]2CN(C)CCN2C)ccc1C#N ZINC000264624160 389339251 /nfs/dbraw/zinc/33/92/51/389339251.db2.gz BXDKMMACICPZCU-CYBMUJFWSA-N 0 1 287.367 0.237 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@@H](C)CC(=O)OCC ZINC000343641155 389268750 /nfs/dbraw/zinc/26/87/50/389268750.db2.gz NARYVUHIEDVHIA-JTQLQIEISA-N 0 1 285.344 0.272 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)C(C)(C)CC(=O)OCC ZINC000343878097 389274739 /nfs/dbraw/zinc/27/47/39/389274739.db2.gz OSJVOHXJBBGTBD-UHFFFAOYSA-N 0 1 299.371 0.662 20 30 CCEDMN C=CCC[C@@H](O)CN1CCC[C@H](S(=O)(=O)NC)C1 ZINC000366166075 389294290 /nfs/dbraw/zinc/29/42/90/389294290.db2.gz XOHJJZIUDKSEQX-NEPJUHHUSA-N 0 1 276.402 0.327 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N2CCO[C@H](C#N)C2)n[nH]1 ZINC000408368316 389342616 /nfs/dbraw/zinc/34/26/16/389342616.db2.gz GDXIDQQYQAJAIY-JOYOIKCWSA-N 0 1 277.328 0.583 20 30 CCEDMN C=CCNC(=O)[C@H](C)N[C@H](C)C1(S(C)(=O)=O)CC1 ZINC000348972944 389398666 /nfs/dbraw/zinc/39/86/66/389398666.db2.gz GQVDAAYGIZFIJS-VHSXEESVSA-N 0 1 274.386 0.232 20 30 CCEDMN C=CCCCN(C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000347020684 389344905 /nfs/dbraw/zinc/34/49/05/389344905.db2.gz VHBMIZCVVLVNCK-ZDUSSCGKSA-N 0 1 268.405 0.840 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](C(=O)OC)[C@@H]1C ZINC000347016696 389345039 /nfs/dbraw/zinc/34/50/39/389345039.db2.gz JXGRZVQLTSTJBV-GARJFASQSA-N 0 1 297.355 0.270 20 30 CCEDMN C#CCCCNC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000373110548 389463620 /nfs/dbraw/zinc/46/36/20/389463620.db2.gz DHJFOHWESOBVEM-ZIAGYGMSSA-N 0 1 279.384 0.640 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@H](O)[C@H](C)C1 ZINC000167209732 389444495 /nfs/dbraw/zinc/44/44/95/389444495.db2.gz DANINUZZRDRNSY-OLZOCXBDSA-N 0 1 252.358 0.890 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(C[C@H](C)O)[C@H](C)C1 ZINC000334090401 389454888 /nfs/dbraw/zinc/45/48/88/389454888.db2.gz NWFIWZULEWJYSD-RQJABVFESA-N 0 1 297.399 0.426 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(C[C@@H](C)O)[C@@H](C)C1 ZINC000334090397 389455440 /nfs/dbraw/zinc/45/54/40/389455440.db2.gz NWFIWZULEWJYSD-DGAVXFQQSA-N 0 1 297.399 0.426 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000281551322 389619687 /nfs/dbraw/zinc/61/96/87/389619687.db2.gz ZYBQVKIVYBXWJI-CHWSQXEVSA-N 0 1 296.415 0.980 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCN2C)C1=O ZINC000281680060 389623207 /nfs/dbraw/zinc/62/32/07/389623207.db2.gz KLYLAUHSHKHXKX-RYUDHWBXSA-N 0 1 265.357 0.326 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(Cc2ncc[nH]2)CC1 ZINC000351602204 389628664 /nfs/dbraw/zinc/62/86/64/389628664.db2.gz XTJYOFUQXCVUEV-CYBMUJFWSA-N 0 1 264.373 0.854 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CC[C@H]2CCN(C)C[C@H]21 ZINC000336281339 389630105 /nfs/dbraw/zinc/63/01/05/389630105.db2.gz AFWIZGATNSVQBL-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@H]2CN(C(C)C)CCO2)nn1 ZINC000424907885 389635244 /nfs/dbraw/zinc/63/52/44/389635244.db2.gz LRQCIBLPICEQSO-CYBMUJFWSA-N 0 1 293.371 0.190 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000425324614 389644550 /nfs/dbraw/zinc/64/45/50/389644550.db2.gz QPIQZROLRKAMBR-ZDUSSCGKSA-N 0 1 281.312 0.808 20 30 CCEDMN C=CCC[C@@H](O)CN(C)C[C@@H]1CCS(=O)(=O)C1 ZINC000351271553 389572316 /nfs/dbraw/zinc/57/23/16/389572316.db2.gz QTLWYHPLPKHSJQ-NWDGAFQWSA-N 0 1 261.387 0.680 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](c2nnc[nH]2)C1 ZINC000425552343 389652614 /nfs/dbraw/zinc/65/26/14/389652614.db2.gz RISNNTPVGKCFCP-GHMZBOCLSA-N 0 1 276.344 0.355 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@@H](c2nnc[nH]2)C1 ZINC000425552343 389652615 /nfs/dbraw/zinc/65/26/15/389652615.db2.gz RISNNTPVGKCFCP-GHMZBOCLSA-N 0 1 276.344 0.355 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@H](n3cncn3)C2)C1=O ZINC000282099038 389657035 /nfs/dbraw/zinc/65/70/35/389657035.db2.gz VVNZUIBAFZPPKO-STQMWFEESA-N 0 1 275.356 0.702 20 30 CCEDMN C=C(C)CN(C)CC(=O)N1CCC[C@@H](NC(C)=O)C1 ZINC000181279919 389683208 /nfs/dbraw/zinc/68/32/08/389683208.db2.gz PCCMJXRHVDGVIY-CYBMUJFWSA-N 0 1 267.373 0.621 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2cncc(C#N)c2)[C@H]1C ZINC000379454743 389761516 /nfs/dbraw/zinc/76/15/16/389761516.db2.gz MWYJISFENOYKEQ-ZJUUUORDSA-N 0 1 280.353 0.324 20 30 CCEDMN CCN(CC(=O)N[C@@](C)(C#N)C(C)C)C[C@H](C)O ZINC000352213087 389772874 /nfs/dbraw/zinc/77/28/74/389772874.db2.gz SVSIRVGOHPZFOY-AAEUAGOBSA-N 0 1 255.362 0.744 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC(C)=C[C@@H](C)C1 ZINC000430268811 389792240 /nfs/dbraw/zinc/79/22/40/389792240.db2.gz OENRJRDZXJBRDP-SNVBAGLBSA-N 0 1 251.330 0.896 20 30 CCEDMN N#Cc1cccc(OCCN2CCOC[C@@H](O)C2)c1 ZINC000399902940 389794651 /nfs/dbraw/zinc/79/46/51/389794651.db2.gz FZWTUYXGUOECSJ-ZDUSSCGKSA-N 0 1 262.309 0.630 20 30 CCEDMN CCN1CCCC[C@@H]1CNS(=O)(=O)[C@@H](C)C#N ZINC000416385846 389737907 /nfs/dbraw/zinc/73/79/07/389737907.db2.gz HKCZHQREFWJODD-WDEREUQCSA-N 0 1 259.375 0.692 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000416392764 389738823 /nfs/dbraw/zinc/73/88/23/389738823.db2.gz TUGKUSQJOWIABL-ONGXEEELSA-N 0 1 257.359 0.397 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)C1(CO)CCC1 ZINC000429229827 389746085 /nfs/dbraw/zinc/74/60/85/389746085.db2.gz ISXMOZDMWQMGKT-GFCCVEGCSA-N 0 1 267.373 0.841 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NC[C@@H](C)N1CCC[C@@H](C)C1 ZINC000352412153 389806686 /nfs/dbraw/zinc/80/66/86/389806686.db2.gz CLKAUVHVSXGNDV-IJLUTSLNSA-N 0 1 273.402 0.938 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000352472554 389816184 /nfs/dbraw/zinc/81/61/84/389816184.db2.gz GVMWIVUIQXMPEN-UWVGGRQHSA-N 0 1 267.333 0.743 20 30 CCEDMN C[C@@H]1[C@H](C)N(C(=O)N=c2ccn(CCC#N)[nH]2)CCN1C ZINC000408207036 389834961 /nfs/dbraw/zinc/83/49/61/389834961.db2.gz QKTGPYWHYSMYKL-NEPJUHHUSA-N 0 1 290.371 0.775 20 30 CCEDMN COC(=O)[C@@H]1CCCN(C(=O)[C@H](C)C#N)[C@@H]1C(=O)OC ZINC000442126261 389844585 /nfs/dbraw/zinc/84/45/85/389844585.db2.gz IMKXTUBKWIBPRN-BBBLOLIVSA-N 0 1 282.296 0.099 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)NCCN1CC=C(C)CC1 ZINC000354051824 389858554 /nfs/dbraw/zinc/85/85/54/389858554.db2.gz IGRRIHHIXOZQCE-UHFFFAOYSA-N 0 1 291.395 0.955 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1C[C@@H](C)NC[C@H]1C ZINC000418892171 389885319 /nfs/dbraw/zinc/88/53/19/389885319.db2.gz VRPULIOHIBMDAV-GHMZBOCLSA-N 0 1 253.346 0.278 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)CN1CCN(CC)CC1 ZINC000437473394 389993909 /nfs/dbraw/zinc/99/39/09/389993909.db2.gz LXITYIBFYVOATO-ZBFHGGJFSA-N 0 1 295.427 0.721 20 30 CCEDMN CN1CCC[C@H]1CNS(=O)(=O)CC1(CC#N)CC1 ZINC000451118335 390000269 /nfs/dbraw/zinc/00/02/69/390000269.db2.gz XJXLNWFAIVEPAO-NSHDSACASA-N 0 1 271.386 0.694 20 30 CCEDMN C[C@@H]1CN(C(=O)CC(C)(C)C#N)C[C@H](C)N1CCO ZINC000456243336 390013327 /nfs/dbraw/zinc/01/33/27/390013327.db2.gz MVAWZTKGFKYYKR-TXEJJXNPSA-N 0 1 267.373 0.840 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)c1ccc(C#N)c(F)c1 ZINC000489797241 390102097 /nfs/dbraw/zinc/10/20/97/390102097.db2.gz MOCGSYNJNYGKJM-UHFFFAOYSA-N 0 1 295.299 0.636 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1ccccc1Cl ZINC000491674591 390115818 /nfs/dbraw/zinc/11/58/18/390115818.db2.gz KPRBVCIHKXAIQN-QWRGUYRKSA-N 0 1 269.728 0.663 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1)C(C)C ZINC000491746884 390116433 /nfs/dbraw/zinc/11/64/33/390116433.db2.gz RGJCAYLOKCUVNC-CHWSQXEVSA-N 0 1 295.383 0.390 20 30 CCEDMN CO[C@@H]1COC[C@H]1N[C@@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000579470469 390175575 /nfs/dbraw/zinc/17/55/75/390175575.db2.gz JWRSSDVDVSFVRE-YNEHKIRRSA-N 0 1 295.383 0.721 20 30 CCEDMN CSC[C@H](O)CN1CCN(c2cccc(C#N)n2)CC1 ZINC000494661203 390190933 /nfs/dbraw/zinc/19/09/33/390190933.db2.gz KGZLNPWQBJAGDJ-CYBMUJFWSA-N 0 1 292.408 0.799 20 30 CCEDMN CCN1CCN([C@@H]2CCN(C(=O)NC[C@@H](C)C#N)C2)CC1 ZINC000541019565 390234173 /nfs/dbraw/zinc/23/41/73/390234173.db2.gz KSPLMVAHAMSDSN-UONOGXRCSA-N 0 1 293.415 0.567 20 30 CCEDMN C=CCn1cc(CNC(=O)NCc2cc(C)[nH]n2)nn1 ZINC000644935474 390347967 /nfs/dbraw/zinc/34/79/67/390347967.db2.gz IUGMSCANDJSVQA-UHFFFAOYSA-N 0 1 275.316 0.495 20 30 CCEDMN C[C@@H](CNc1ccncc1C#N)N1CCN(C)CC1 ZINC000547824748 390402493 /nfs/dbraw/zinc/40/24/93/390402493.db2.gz BEGJAWQBXAQHQZ-LBPRGKRZSA-N 0 1 259.357 0.423 20 30 CCEDMN CCOC(=O)[C@H](Cc1ccncc1)NC(=O)C(C)C#N ZINC000616173874 390391158 /nfs/dbraw/zinc/39/11/58/390391158.db2.gz VBAUYIXXWXFZEF-PWSUYJOCSA-N 0 1 275.308 0.832 20 30 CCEDMN C=CCn1cc(C(=O)N2CCN(CC(C)C)CC2)nn1 ZINC000648718794 390395177 /nfs/dbraw/zinc/39/51/77/390395177.db2.gz DEVJBMLZKZZCAT-UHFFFAOYSA-N 0 1 277.372 0.878 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)CN(C)[C@H]1CCSC1 ZINC000649184098 390513279 /nfs/dbraw/zinc/51/32/79/390513279.db2.gz CJKDUESFJIACAL-NEPJUHHUSA-N 0 1 270.398 0.314 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000649183531 390513605 /nfs/dbraw/zinc/51/36/05/390513605.db2.gz UZDSDXZAHAPGGT-ZDUSSCGKSA-N 0 1 284.319 0.976 20 30 CCEDMN CCOc1ccc(OC[C@H](O)CNCC#CCO)cc1 ZINC000515649889 390478008 /nfs/dbraw/zinc/47/80/08/390478008.db2.gz GACNNYHIEPMDPZ-CYBMUJFWSA-N 0 1 279.336 0.410 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC000566145716 390585312 /nfs/dbraw/zinc/58/53/12/390585312.db2.gz JEUYFDSKFAIEPH-SRVKXCTJSA-N 0 1 291.355 0.895 20 30 CCEDMN CN1CCN(C(=O)CCNC[C@@H](C#N)CCC#N)CC1 ZINC000565712603 390537725 /nfs/dbraw/zinc/53/77/25/390537725.db2.gz SNRAFTOBJONIGJ-CYBMUJFWSA-N 0 1 277.372 0.184 20 30 CCEDMN CC[N@H+](CCO)CCNCc1cnc2c(C#N)cnn2c1 ZINC000565717237 390538734 /nfs/dbraw/zinc/53/87/34/390538734.db2.gz TWBWDMPPNMDJTN-UHFFFAOYSA-N 0 1 288.355 0.005 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccc(C#N)c(N)n2)CC1 ZINC000520430036 390575567 /nfs/dbraw/zinc/57/55/67/390575567.db2.gz XKHAJRMKONIDRX-JTQLQIEISA-N 0 1 261.329 0.038 20 30 CCEDMN CCN1CCN(CC(=O)NCC2(C#N)CC2)CC1 ZINC000569183846 390715814 /nfs/dbraw/zinc/71/58/14/390715814.db2.gz BLRCNAMKPGZRBJ-UHFFFAOYSA-N 0 1 250.346 0.044 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cccc2nn[nH]c21 ZINC000527109026 390692097 /nfs/dbraw/zinc/69/20/97/390692097.db2.gz JKKRUBLZEOIKDZ-UHFFFAOYSA-N 0 1 273.252 0.097 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCC[C@H](C(N)=O)C2)CC1 ZINC000527290526 390700473 /nfs/dbraw/zinc/70/04/73/390700473.db2.gz GOEWHAMNLHAUES-RYUDHWBXSA-N 0 1 292.383 0.382 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCO[C@@]3(CCCOC3)C2)CC1 ZINC000528510259 390752307 /nfs/dbraw/zinc/75/23/07/390752307.db2.gz XVERMKMHLPLWHQ-ZFWWWQNUSA-N 0 1 280.368 0.923 20 30 CCEDMN Cc1c[nH+]cn1CC(=O)C(C#N)=C([O-])c1nccn1C ZINC000528967677 390786015 /nfs/dbraw/zinc/78/60/15/390786015.db2.gz CWBGQJIWBZWACU-JTQLQIEISA-N 0 1 271.280 0.517 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC2(C#N)CC2)CCN1CC(C)(C)O ZINC000572591648 390790626 /nfs/dbraw/zinc/79/06/26/390790626.db2.gz DEBFYLOPOISQCO-GFCCVEGCSA-N 0 1 294.399 0.777 20 30 CCEDMN CCN1CCCN(C[C@H](O)CC2(C#N)CC2)CC1=O ZINC000528470413 390747446 /nfs/dbraw/zinc/74/74/46/390747446.db2.gz FASBGMRYEYFUTD-GFCCVEGCSA-N 0 1 265.357 0.595 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNc1ccncc1C(N)=O ZINC000578012258 390859157 /nfs/dbraw/zinc/85/91/57/390859157.db2.gz SGTQDYJWFMLBGX-ZWNOBZJWSA-N 0 1 261.325 0.996 20 30 CCEDMN Cn1c(CC#N)nnc1SCCN1C[C@H]2CC[C@@H](C1)O2 ZINC000530298780 390876507 /nfs/dbraw/zinc/87/65/07/390876507.db2.gz ZQSMRPSSPKXIJG-PHIMTYICSA-N 0 1 293.396 0.836 20 30 CCEDMN N#CCc1ccc(CNC(=O)NC[C@@H]2COCCN2)cc1 ZINC000529341487 390813473 /nfs/dbraw/zinc/81/34/73/390813473.db2.gz KDEFHNLUISXQBU-CQSZACIVSA-N 0 1 288.351 0.540 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)CC(C)(C)OC ZINC000532340070 390879579 /nfs/dbraw/zinc/87/95/79/390879579.db2.gz NJQVKQRUXKMOEW-UHFFFAOYSA-N 0 1 257.334 0.355 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000532880964 390885677 /nfs/dbraw/zinc/88/56/77/390885677.db2.gz GMKWUQYVPAMYEX-QWRGUYRKSA-N 0 1 297.355 0.573 20 30 CCEDMN CO[C@H]1C[C@@H](C(=O)NCCc2ncc[nH]2)N(C)C1 ZINC000329000387 533282184 /nfs/dbraw/zinc/28/21/84/533282184.db2.gz GQKUFYUNOYQENF-UWVGGRQHSA-N 0 1 252.318 0.628 20 30 CCEDMN C[C@@H](O)CCN1CCN(c2nccnc2C#N)C[C@H]1C ZINC000450487800 533616822 /nfs/dbraw/zinc/61/68/22/533616822.db2.gz WCKBSXBJDSASGC-VXGBXAGGSA-N 0 1 275.356 0.630 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2cc(C#N)ccn2)CC1 ZINC000263837727 131343049 /nfs/dbraw/zinc/34/30/49/131343049.db2.gz HBRAFAOFECHCCG-UHFFFAOYSA-N 0 1 273.340 0.163 20 30 CCEDMN C[C@H]1CN(c2nccnc2C#N)CCN1C(=O)c1cnc[nH]1 ZINC000268377147 132000418 /nfs/dbraw/zinc/00/04/18/132000418.db2.gz NGXFZXPUIBJJCF-JTQLQIEISA-N 0 1 297.322 0.422 20 30 CCEDMN CC[C@@H](CO)N1CCN(Cc2ccc(C#N)cn2)CC1 ZINC000521337684 397569880 /nfs/dbraw/zinc/56/98/80/397569880.db2.gz YLCBASFDYCTNNH-HNNXBMFYSA-N 0 1 274.368 0.842 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@H](O)C[C@H]1COC ZINC000649741609 397663120 /nfs/dbraw/zinc/66/31/20/397663120.db2.gz XPJWHLUQBBURMS-QWHCGFSZSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC000658807860 413209166 /nfs/dbraw/zinc/20/91/66/413209166.db2.gz RPWQYBDXQGKUHJ-NSHDSACASA-N 0 1 253.346 0.183 20 30 CCEDMN CC[C@H](CO)N1CCN(c2ccncc2C#N)CC1 ZINC000548154050 286011392 /nfs/dbraw/zinc/01/13/92/286011392.db2.gz RNMJALNOJIJDFJ-CYBMUJFWSA-N 0 1 260.341 0.846 20 30 CCEDMN C[C@@H]1CN[C@@H](C)CN1S(=O)(=O)c1cccnc1C#N ZINC000261566278 297114328 /nfs/dbraw/zinc/11/43/28/297114328.db2.gz GLEXSDVFJPXZEG-VHSXEESVSA-N 0 1 280.353 0.324 20 30 CCEDMN Cn1cc(CN2CC[C@@H]3OCCN(C)[C@H]3C2)cc1C#N ZINC000284506582 196071397 /nfs/dbraw/zinc/07/13/97/196071397.db2.gz YALIIRGJICHFMC-GJZGRUSLSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCCn1cc(CN2CCN(CCO)[C@H](C)C2)nn1 ZINC000653625383 412326205 /nfs/dbraw/zinc/32/62/05/412326205.db2.gz AWDJAACWFKPIPK-CYBMUJFWSA-N 0 1 279.388 0.353 20 30 CCEDMN C=CCN(CC1(O)CCS(=O)(=O)CC1)[C@@H](C)COC ZINC000661243842 414583754 /nfs/dbraw/zinc/58/37/54/414583754.db2.gz OKCZFHZWXYZDDW-LBPRGKRZSA-N 0 1 291.413 0.449 20 30 CCEDMN C=C[C@H](CO)NCCNS(=O)(=O)c1ccccc1 ZINC000662165390 414694925 /nfs/dbraw/zinc/69/49/25/414694925.db2.gz DSWIBGBDAGQECQ-LLVKDONJSA-N 0 1 270.354 0.101 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)NCCc1cccs1 ZINC000662165784 414694930 /nfs/dbraw/zinc/69/49/30/414694930.db2.gz OLNHCNQTDIMQIV-WDEREUQCSA-N 0 1 268.382 0.932 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)C(=O)NCc1ccc(F)cc1 ZINC000662166181 414695028 /nfs/dbraw/zinc/69/50/28/414695028.db2.gz UXERXXPRSAJKMN-GXFFZTMASA-N 0 1 266.316 0.967 20 30 CCEDMN C=CCC1(NC(=O)C[C@H]2COCCN2)CCOCC1 ZINC000662874486 414860723 /nfs/dbraw/zinc/86/07/23/414860723.db2.gz OBRMXUXGWODPMG-LBPRGKRZSA-N 0 1 268.357 0.606 20 30 CCEDMN C#CCOc1ccc(CN2CCN(CCO)CC2)cc1 ZINC000077012355 177147692 /nfs/dbraw/zinc/14/76/92/177147692.db2.gz LOOYTYJQRSGGBK-UHFFFAOYSA-N 0 1 274.364 0.809 20 30 CCEDMN C[C@H](NC(=O)[C@@H]1CCc2[nH]nnc2C1)C(=O)N1CCCC1 ZINC000329145994 227325505 /nfs/dbraw/zinc/32/55/05/227325505.db2.gz XAQCBTIQRWLDEQ-VHSXEESVSA-N 0 1 291.355 0.877 20 30 CCEDMN C[C@H](NC(=O)[C@@H]1CCc2nn[nH]c2C1)C(=O)N1CCCC1 ZINC000329145994 227325510 /nfs/dbraw/zinc/32/55/10/227325510.db2.gz XAQCBTIQRWLDEQ-VHSXEESVSA-N 0 1 291.355 0.877 20 30 CCEDMN CCn1ncnc1CNC(=O)[C@@H](C)CN1CCOCC1 ZINC000330060278 228029766 /nfs/dbraw/zinc/02/97/66/228029766.db2.gz MJXTWVATZTVDKA-NSHDSACASA-N 0 1 281.360 0.723 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)[C@H]2CCC(=O)N2C)C1 ZINC000329862153 545026953 /nfs/dbraw/zinc/02/69/53/545026953.db2.gz QWMWRRKQVZXTDB-CHWSQXEVSA-N 0 1 297.399 0.921 20 30 CCEDMN Cn1cc(C#N)c(NC(=O)N[C@@H]2CCCN(C)C2)n1 ZINC000610564966 420494770 /nfs/dbraw/zinc/49/47/70/420494770.db2.gz UTUXXHSLSFYFPN-SNVBAGLBSA-N 0 1 262.317 0.507 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(C(=O)OC)CC2)CC1 ZINC000490594525 261165356 /nfs/dbraw/zinc/16/53/56/261165356.db2.gz RDEPSFUOZJYLLD-UHFFFAOYSA-N 0 1 293.367 0.242 20 30 CCEDMN CCN(CC(=O)NC1(C#N)CCC1)C[C@H](C)OC ZINC000361557473 261334509 /nfs/dbraw/zinc/33/45/09/261334509.db2.gz AJTQRAOZQKDPJZ-NSHDSACASA-N 0 1 253.346 0.906 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)C[C@@H]1CCCC(=O)N1 ZINC000491783918 262043007 /nfs/dbraw/zinc/04/30/07/262043007.db2.gz PUMDDXKGYIRXAG-STQMWFEESA-N 0 1 278.352 0.686 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)CC1(CO)CC1 ZINC000186811568 262324813 /nfs/dbraw/zinc/32/48/13/262324813.db2.gz XAYNBRLNABNICC-LBPRGKRZSA-N 0 1 267.373 0.699 20 30 CCEDMN C[C@@H](C#N)CNC[C@H](O)CN(C)C(=O)OC(C)(C)C ZINC000497009284 262361941 /nfs/dbraw/zinc/36/19/41/262361941.db2.gz RYUDBRPPPVPNBN-QWRGUYRKSA-N 0 1 271.361 0.963 20 30 CCEDMN CCOC(=O)CON=C(C(=O)NC(C)C)c1ccn[nH]1 ZINC000276503083 263036897 /nfs/dbraw/zinc/03/68/97/263036897.db2.gz ICLVSDWEQRPTCZ-LFIBNONCSA-N 0 1 282.300 0.218 20 30 CCEDMN CCc1nc([C@@H]2CN(C(=O)CCCC#N)CCO2)n[nH]1 ZINC000292069735 263128127 /nfs/dbraw/zinc/12/81/27/263128127.db2.gz IDMYOZCAJSXHHP-JTQLQIEISA-N 0 1 277.328 0.961 20 30 CCEDMN C=CCCC[C@H](C)N1CCN2C(=O)C(=O)NC[C@H]2C1 ZINC000340917358 263314813 /nfs/dbraw/zinc/31/48/13/263314813.db2.gz VIXCNJOWJOEJGB-RYUDHWBXSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000341803497 263353757 /nfs/dbraw/zinc/35/37/57/263353757.db2.gz WVNCQZYUZBGXHI-KBPBESRZSA-N 0 1 298.431 0.106 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000342033896 263385795 /nfs/dbraw/zinc/38/57/95/263385795.db2.gz BXIWVYFUUZDBOA-QWHCGFSZSA-N 0 1 253.390 0.951 20 30 CCEDMN C=CCC(CC=C)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000123144168 263810848 /nfs/dbraw/zinc/81/08/48/263810848.db2.gz JFFAKDKXUMAHAJ-UHFFFAOYSA-N 0 1 293.411 0.987 20 30 CCEDMN CC(C)(C#N)C(=O)NCCCCN1CCOCC1 ZINC000049033527 263819069 /nfs/dbraw/zinc/81/90/69/263819069.db2.gz YOVCUZGPVRCPMX-UHFFFAOYSA-N 0 1 253.346 0.765 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)CSCC#N)CC2)n[nH]1 ZINC000330678107 264014744 /nfs/dbraw/zinc/01/47/44/264014744.db2.gz CBAKWTMVKQNAIU-UHFFFAOYSA-N 0 1 294.384 0.014 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCC(C)(C)C(N)=O)C1 ZINC000330717621 264023370 /nfs/dbraw/zinc/02/33/70/264023370.db2.gz DCQCTUJSTSPTHY-JTQLQIEISA-N 0 1 285.392 0.297 20 30 CCEDMN CC[C@@H]1CN(C(=O)N[C@H]2CCN(CCOC)C2)CCO1 ZINC000330834498 264050631 /nfs/dbraw/zinc/05/06/31/264050631.db2.gz LAUVRRWZBYCLRQ-QWHCGFSZSA-N 0 1 285.388 0.732 20 30 CCEDMN C[C@H](O)CCN(C)C([O-])=[NH+][C@@H](C)Cn1cccn1 ZINC000331126123 264132337 /nfs/dbraw/zinc/13/23/37/264132337.db2.gz DGXQJOJBWHNCTM-QWRGUYRKSA-N 0 1 254.334 0.888 20 30 CCEDMN CNC(=O)NCC(=O)N(C)Cc1cc(C(C)(C)C)[nH]n1 ZINC000331342691 264195601 /nfs/dbraw/zinc/19/56/01/264195601.db2.gz OKHFYOPLDSGWTI-UHFFFAOYSA-N 0 1 281.360 0.799 20 30 CCEDMN C=CCCn1cc(C(=O)NCCN2CCC(O)CC2)nn1 ZINC000424869290 264256946 /nfs/dbraw/zinc/25/69/46/264256946.db2.gz VROFEYTVNVFGEI-UHFFFAOYSA-N 0 1 293.371 0.041 20 30 CCEDMN C=CCCC(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000135414350 264578849 /nfs/dbraw/zinc/57/88/49/264578849.db2.gz WCEBSPQNGRIGCR-UHFFFAOYSA-N 0 1 263.345 0.724 20 30 CCEDMN C=CCCC1(C(=O)NC[C@@]2(O)CC[N@H+](C)C2)CC1 ZINC000356776022 266173950 /nfs/dbraw/zinc/17/39/50/266173950.db2.gz QVZYHMBUVBGFKA-AWEZNQCLSA-N 0 1 252.358 0.916 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1cccc(-c2nnc[nH]2)c1 ZINC000356860503 266195261 /nfs/dbraw/zinc/19/52/61/266195261.db2.gz LQUMDFVILMJJNU-NSHDSACASA-N 0 1 258.281 0.748 20 30 CCEDMN COC(=O)CCS(=O)(=O)Nc1ccn(CCC#N)n1 ZINC000357135793 266253533 /nfs/dbraw/zinc/25/35/33/266253533.db2.gz TWJDKTLDMVITNR-UHFFFAOYSA-N 0 1 286.313 0.102 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)[C@@H]1CCCOC1 ZINC000358210068 266439104 /nfs/dbraw/zinc/43/91/04/266439104.db2.gz MVALQDZMOZBCMP-GMTAPVOTSA-N 0 1 268.313 0.621 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)[C@H]1CCCOC1 ZINC000358210061 266439699 /nfs/dbraw/zinc/43/96/99/266439699.db2.gz MVALQDZMOZBCMP-GARJFASQSA-N 0 1 268.313 0.621 20 30 CCEDMN C[C@H](O)CN1CCN(CCOc2ccc(C#N)cc2)CC1 ZINC000189291736 267192516 /nfs/dbraw/zinc/19/25/16/267192516.db2.gz LVHVXBRQQDQAHE-AWEZNQCLSA-N 0 1 289.379 0.935 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000369343381 267237779 /nfs/dbraw/zinc/23/77/79/267237779.db2.gz CRQZVALIQRWOKH-CQSZACIVSA-N 0 1 281.400 0.475 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000369343380 267238128 /nfs/dbraw/zinc/23/81/28/267238128.db2.gz CRQZVALIQRWOKH-AWEZNQCLSA-N 0 1 281.400 0.475 20 30 CCEDMN CN(C)C1CN(C(=O)C#Cc2ccc3c(c2)OCO3)C1 ZINC000271721669 276773834 /nfs/dbraw/zinc/77/38/34/276773834.db2.gz LBLRACRDYYWGOB-UHFFFAOYSA-N 0 1 272.304 0.539 20 30 CCEDMN C[C@@H]1[C@@H](C)N(C(=O)Nc2nccn(C)c2=O)CCN1C ZINC000329457693 278078541 /nfs/dbraw/zinc/07/85/41/278078541.db2.gz QQSLZRWOOISEPS-NXEZZACHSA-N 0 1 279.344 0.350 20 30 CCEDMN CN1CCO[C@H]2CCN(c3nccnc3C#N)C[C@H]21 ZINC000225474285 290115358 /nfs/dbraw/zinc/11/53/58/290115358.db2.gz MYXFLKHNQBCOLW-NEPJUHHUSA-N 0 1 259.313 0.258 20 30 CCEDMN CN(C)Cc1ccc(C(=O)N2CCN(CC#N)CC2)cn1 ZINC000339283419 328004751 /nfs/dbraw/zinc/00/47/51/328004751.db2.gz UZUWAYYALZEBKF-UHFFFAOYSA-N 0 1 287.367 0.425 20 30 CCEDMN C=CCNc1ncc(C(=O)N2CCNC[C@H]2C)s1 ZINC000571560038 328133863 /nfs/dbraw/zinc/13/38/63/328133863.db2.gz DUCXFNBPESBPIJ-SECBINFHSA-N 0 1 266.370 0.597 20 30 CCEDMN C[C@@H](CC#N)N(C)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000264012916 328157008 /nfs/dbraw/zinc/15/70/08/328157008.db2.gz BYMOGPPBERQQBE-VIFPVBQESA-N 0 1 287.327 0.550 20 30 CCEDMN C[C@H](C#N)NC(=O)[C@@H]1CCC[N@H+]1C1CCOCC1 ZINC000340456796 328279885 /nfs/dbraw/zinc/27/98/85/328279885.db2.gz UNRYGTBSSBUNBQ-PWSUYJOCSA-N 0 1 251.330 0.658 20 30 CCEDMN C=CCCC[N@H+](C)[C@@H](C)C(=O)N1CCN(C(C)=O)CC1 ZINC000121122533 328365239 /nfs/dbraw/zinc/36/52/39/328365239.db2.gz KMVPYMJUIJWHKG-ZDUSSCGKSA-N 0 1 281.400 0.964 20 30 CCEDMN CCCCN(CCO)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000049800395 328378527 /nfs/dbraw/zinc/37/85/27/328378527.db2.gz GWFUXGOMDNGSBI-CQSZACIVSA-N 0 1 267.373 0.889 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)CN(CC)CC)C1=O ZINC000281599406 328499652 /nfs/dbraw/zinc/49/96/52/328499652.db2.gz DBMQNZJGPAYOMD-LBPRGKRZSA-N 0 1 267.373 0.574 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@H]1CCCCN1CCO ZINC000451672761 331038418 /nfs/dbraw/zinc/03/84/18/331038418.db2.gz TYOWPNNZKNHJRG-GFCCVEGCSA-N 0 1 276.402 0.329 20 30 CCEDMN CC[C@H]1C[N@H+](C)CCN1CCC(=O)N(C)CCC#N ZINC000559636023 327255885 /nfs/dbraw/zinc/25/58/85/327255885.db2.gz FBCYHZIIIROPHR-ZDUSSCGKSA-N 0 1 266.389 0.775 20 30 CCEDMN C=CCCCCN1CCO[C@H](CNC(=O)NC)C1 ZINC000528624560 327382093 /nfs/dbraw/zinc/38/20/93/327382093.db2.gz VMHRZFRXZKETCV-GFCCVEGCSA-N 0 1 255.362 0.973 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)c2ccc3cncn3c2)CC1 ZINC000563896803 328692853 /nfs/dbraw/zinc/69/28/53/328692853.db2.gz NOIOGSZZIOVOCG-UHFFFAOYSA-N 0 1 297.318 0.532 20 30 CCEDMN CNS(=O)(=O)c1cc(NC(=O)[C@@H](C)C#N)ccc1O ZINC000357338986 328869513 /nfs/dbraw/zinc/86/95/13/328869513.db2.gz QWGIWVRWSTYDQA-ZETCQYMHSA-N 0 1 283.309 0.398 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CC[C@@H](CC(N)=O)C1 ZINC000377497721 329016738 /nfs/dbraw/zinc/01/67/38/329016738.db2.gz WXVNILRPWRTFBA-SMDDNHRTSA-N 0 1 280.372 0.238 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(OC[C@H]2CCCO2)CC1 ZINC000177454975 329184381 /nfs/dbraw/zinc/18/43/81/329184381.db2.gz QOJXIGOODXBPRC-DZGCQCFKSA-N 0 1 294.395 0.784 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000189557989 329382900 /nfs/dbraw/zinc/38/29/00/329382900.db2.gz JUDRAVBQWUBKLS-LSDHHAIUSA-N 0 1 295.427 0.574 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000262693378 330027556 /nfs/dbraw/zinc/02/75/56/330027556.db2.gz WOBTXHYYHOXDIC-UWVGGRQHSA-N 0 1 283.328 0.183 20 30 CCEDMN C[C@@H]1CCN(C)CCN1C(=O)C(=O)NCC(C)(C)CC#N ZINC000422484364 330148088 /nfs/dbraw/zinc/14/80/88/330148088.db2.gz ZNUIYDOXGWWMRO-GFCCVEGCSA-N 0 1 294.399 0.595 20 30 CCEDMN C=CCN(C(=O)C(=O)N1CCNC(C)(C)C1)C1CC1 ZINC000423563526 330212160 /nfs/dbraw/zinc/21/21/60/330212160.db2.gz ROMHZOOLDUVHEU-UHFFFAOYSA-N 0 1 265.357 0.374 20 30 CCEDMN CC(C)(C)[C@H](NCC(=O)N[C@@](C)(C#N)C1CC1)C(N)=O ZINC000081606211 330214623 /nfs/dbraw/zinc/21/46/23/330214623.db2.gz KWFKKZMFCDNIOK-RISCZKNCSA-N 0 1 280.372 0.284 20 30 CCEDMN CC(C)(C)[C@H](NCC(=O)N[C@](C)(C#N)C1CC1)C(N)=O ZINC000081606214 330214668 /nfs/dbraw/zinc/21/46/68/330214668.db2.gz KWFKKZMFCDNIOK-BXUZGUMPSA-N 0 1 280.372 0.284 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000533113803 330345478 /nfs/dbraw/zinc/34/54/78/330345478.db2.gz JDDDITPQFPWGLD-WDEREUQCSA-N 0 1 279.344 0.887 20 30 CCEDMN Cc1ccoc1CNC[C@H](O)CN(C)CCC#N ZINC000515638138 330480454 /nfs/dbraw/zinc/48/04/54/330480454.db2.gz SLYCGYPRJGORDW-LBPRGKRZSA-N 0 1 251.330 0.884 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@@H]1CCCN(CCCO)C1 ZINC000451665326 331038123 /nfs/dbraw/zinc/03/81/23/331038123.db2.gz DSJAMKFCCONCCE-GFCCVEGCSA-N 0 1 276.402 0.329 20 30 CCEDMN C=CCCCS(=O)(=O)NC[C@H]1CCCN1CCOC ZINC000489215285 332043637 /nfs/dbraw/zinc/04/36/37/332043637.db2.gz FHVLNMOFKVLNNG-CYBMUJFWSA-N 0 1 290.429 0.983 20 30 CCEDMN C=CCn1cccc1C(=O)NC1(c2nn[nH]n2)CC1 ZINC000489568187 332059594 /nfs/dbraw/zinc/05/95/94/332059594.db2.gz AQLXSFJSJYPYSI-UHFFFAOYSA-N 0 1 258.285 0.606 20 30 CCEDMN C#CCN(CC)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000490574157 332092328 /nfs/dbraw/zinc/09/23/28/332092328.db2.gz HCTFKKJUUGJDIV-HNNXBMFYSA-N 0 1 294.443 0.923 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@@H](C)[C@@H](OC)C2)CC1 ZINC000490720959 332108994 /nfs/dbraw/zinc/10/89/94/332108994.db2.gz UZDVDFZJHNHKSN-CABCVRRESA-N 0 1 293.411 0.121 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@@H](C)[C@H](OC)C2)CC1 ZINC000490720961 332109067 /nfs/dbraw/zinc/10/90/67/332109067.db2.gz UZDVDFZJHNHKSN-HUUCEWRRSA-N 0 1 293.411 0.121 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2nnnn2C2CC2)CC1 ZINC000490838347 332118995 /nfs/dbraw/zinc/11/89/95/332118995.db2.gz AUTXQZGOEDLWPC-UHFFFAOYSA-N 0 1 289.339 0.396 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CCN(C)C(=O)C1 ZINC000490872456 332122710 /nfs/dbraw/zinc/12/27/10/332122710.db2.gz FOAOOTDYZZJEBT-OLZOCXBDSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)c1cccn(C)c1=O ZINC000491070355 332145842 /nfs/dbraw/zinc/14/58/42/332145842.db2.gz BDCCJEMJMHECCO-GFCCVEGCSA-N 0 1 274.320 0.640 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](C)c2nncn2C)CC1 ZINC000491142638 332155301 /nfs/dbraw/zinc/15/53/01/332155301.db2.gz DDEUUHAIILRGLI-NSHDSACASA-N 0 1 276.340 0.765 20 30 CCEDMN C#CCNC(=O)C1CCN(C(=O)c2cc(CC)[nH]n2)CC1 ZINC000491153986 332157472 /nfs/dbraw/zinc/15/74/72/332157472.db2.gz FXSNJFCXYMRIFG-UHFFFAOYSA-N 0 1 288.351 0.574 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC(COC)CC2)C1=O ZINC000491637509 332258148 /nfs/dbraw/zinc/25/81/48/332258148.db2.gz MWJWFZFQLOWJOZ-GFCCVEGCSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN(C(=O)C(=O)N1CCN[C@H](C)C1)C1CCCC1 ZINC000491645436 332263251 /nfs/dbraw/zinc/26/32/51/332263251.db2.gz ORWSHLUHLFXWQN-GFCCVEGCSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCC2CCOCC2)CC1 ZINC000491691329 332288039 /nfs/dbraw/zinc/28/80/39/332288039.db2.gz DRNIRHONTYVWSZ-UHFFFAOYSA-N 0 1 293.411 0.170 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1c(C)ccc(C)c1C ZINC000491727494 332310527 /nfs/dbraw/zinc/31/05/27/332310527.db2.gz GBSJMMLLPKASRG-HUUCEWRRSA-N 0 1 277.364 0.935 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1c(C)ccc(C)c1C ZINC000491727497 332310602 /nfs/dbraw/zinc/31/06/02/332310602.db2.gz GBSJMMLLPKASRG-LSDHHAIUSA-N 0 1 277.364 0.935 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1ccc(OCC)cc1 ZINC000491731329 332313071 /nfs/dbraw/zinc/31/30/71/332313071.db2.gz QDUGLSJPJKXJCU-CHWSQXEVSA-N 0 1 279.336 0.409 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2cnn(C=C)c2)CC1 ZINC000491734908 332315498 /nfs/dbraw/zinc/31/54/98/332315498.db2.gz CDFWRPVDOAEPMN-UHFFFAOYSA-N 0 1 272.352 0.945 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1cccc2[nH]ccc21 ZINC000491741124 332320135 /nfs/dbraw/zinc/32/01/35/332320135.db2.gz YUCLSALDLOQOFV-NWDGAFQWSA-N 0 1 274.320 0.491 20 30 CCEDMN C#C[C@H](CC)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000491749545 332326378 /nfs/dbraw/zinc/32/63/78/332326378.db2.gz GOOMRSQVVJUCHZ-SNVBAGLBSA-N 0 1 257.297 0.952 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000491761905 332332003 /nfs/dbraw/zinc/33/20/03/332332003.db2.gz XYFDWRAATUDHAP-OLZOCXBDSA-N 0 1 281.400 0.885 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000491761907 332332128 /nfs/dbraw/zinc/33/21/28/332332128.db2.gz XYFDWRAATUDHAP-STQMWFEESA-N 0 1 281.400 0.885 20 30 CCEDMN C#CCN(C(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1)C1CCCC1 ZINC000491773042 332339370 /nfs/dbraw/zinc/33/93/70/332339370.db2.gz BDLSHECXRRPNPH-CHWSQXEVSA-N 0 1 291.395 0.600 20 30 CCEDMN C[C@@H](C#N)CN(C)CN1C[C@]2(CN3CCC2CC3)OC1=O ZINC000496820070 332561248 /nfs/dbraw/zinc/56/12/48/332561248.db2.gz NDHDDTZCFAKXLQ-WFASDCNBSA-N 0 1 292.383 0.952 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CCC[C@@H](CO)CC1)C1CC1 ZINC000339752636 335148015 /nfs/dbraw/zinc/14/80/15/335148015.db2.gz FNXZWXGYTZNWCN-IUODEOHRSA-N 0 1 279.384 0.889 20 30 CCEDMN C#CCN(CC#C)CCC(=O)Nc1ccc(C(N)=O)cc1 ZINC000072907034 333964932 /nfs/dbraw/zinc/96/49/32/333964932.db2.gz PXLVAYLYSCSULN-UHFFFAOYSA-N 0 1 283.331 0.683 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H]2CCCO2)CC1 ZINC000076968012 334092405 /nfs/dbraw/zinc/09/24/05/334092405.db2.gz XSLXHCHIQJADKW-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN COCCO[C@@H]1CCCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000245993149 334226910 /nfs/dbraw/zinc/22/69/10/334226910.db2.gz XGBMWSWIIJAULF-UONOGXRCSA-N 0 1 297.399 0.874 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)NC[C@H](C)C#N)C1 ZINC000248427283 334301214 /nfs/dbraw/zinc/30/12/14/334301214.db2.gz ADNJDILVFCATPS-CHWSQXEVSA-N 0 1 282.388 0.802 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCN(C[C@H](C)O)C[C@@H]1C ZINC000249512438 334335284 /nfs/dbraw/zinc/33/52/84/334335284.db2.gz OJAOUQFDNVYXMU-GJZGRUSLSA-N 0 1 297.443 0.798 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@@H](O)[C@H](C)C1 ZINC000249560056 334336809 /nfs/dbraw/zinc/33/68/09/334336809.db2.gz LHJZKLIAIHJGMB-GRYCIOLGSA-N 0 1 253.346 0.450 20 30 CCEDMN CC#CCCNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000576393200 335197625 /nfs/dbraw/zinc/19/76/25/335197625.db2.gz XXBWXXLADXLQNK-UHFFFAOYSA-N 0 1 277.349 0.730 20 30 CCEDMN Cc1ccc(C)c(OC[C@@H](O)CNCC#CCO)c1 ZINC000580833742 335972756 /nfs/dbraw/zinc/97/27/56/335972756.db2.gz BPSPYGQNDFIMAQ-AWEZNQCLSA-N 0 1 263.337 0.628 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)N[C@@H]2CC[S@@](=O)C2)CN1C1CC1 ZINC000330029218 533900561 /nfs/dbraw/zinc/90/05/61/533900561.db2.gz PSDSTYATFSEZNZ-VGMGWHAWSA-N 0 1 285.413 0.636 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1C(=O)N[C@H]1[C@@H]2COC[C@@H]21 ZINC000330030777 534458623 /nfs/dbraw/zinc/45/86/23/534458623.db2.gz SEACPRWFFKSUMD-NDBYEHHHSA-N 0 1 297.399 0.322 20 30 CCEDMN N#CCN1CCC[C@@H](CNC(=O)c2n[nH]cc2[N+](=O)[O-])C1 ZINC000343654020 534666734 /nfs/dbraw/zinc/66/67/34/534666734.db2.gz GVFHXBIWOVWICI-VIFPVBQESA-N 0 1 292.299 0.283 20 30 CCEDMN COCCN1CCC[C@@H]1CNC(=O)c1ccc(C#N)o1 ZINC000339964553 523828074 /nfs/dbraw/zinc/82/80/74/523828074.db2.gz MIFCBEKSVAPEAS-LLVKDONJSA-N 0 1 277.324 0.992 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCCCOC)CC1 ZINC000114206103 526536616 /nfs/dbraw/zinc/53/66/16/526536616.db2.gz LGGNBSCJYBRTHY-UHFFFAOYSA-N 0 1 269.389 0.333 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](C(=O)OC)[C@@H]1C ZINC000347110765 526634605 /nfs/dbraw/zinc/63/46/05/526634605.db2.gz NWUHRRICNFJSHM-GARJFASQSA-N 0 1 254.330 0.561 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000343915300 527619201 /nfs/dbraw/zinc/61/92/01/527619201.db2.gz NUZFKFTVQYVWSL-GDBMZVCRSA-N 0 1 297.443 0.967 20 30 CCEDMN CC1(CNC(=O)N[C@@H]2CCc3ncnn3C2)CCOCC1 ZINC000329063287 527900336 /nfs/dbraw/zinc/90/03/36/527900336.db2.gz ODPJYPVVTSERJH-LLVKDONJSA-N 0 1 293.371 0.913 20 30 CCEDMN CC1(C[NH+]=C([O-])N[C@@H]2CCc3ncnn3C2)CCOCC1 ZINC000329063287 527900338 /nfs/dbraw/zinc/90/03/38/527900338.db2.gz ODPJYPVVTSERJH-LLVKDONJSA-N 0 1 293.371 0.913 20 30 CCEDMN C=CCCCCNC(=O)C(=O)NCc1cc(C)[nH]n1 ZINC000672540424 545269980 /nfs/dbraw/zinc/26/99/80/545269980.db2.gz NHBIMIQJJPSVFM-UHFFFAOYSA-N 0 1 264.329 0.807 20 30 CCEDMN C=CCOCCCC(=O)N(C)Cc1n[nH]c(=O)o1 ZINC000673478454 545284258 /nfs/dbraw/zinc/28/42/58/545284258.db2.gz NANAJBZUJAQHNC-UHFFFAOYSA-N 0 1 255.274 0.716 20 30 CCEDMN CC[C@@H](C)N1CCN(C(=O)[C@H](C)n2cnc(C#N)n2)CC1 ZINC000456528033 529354471 /nfs/dbraw/zinc/35/44/71/529354471.db2.gz KFLJUNJYIAFENT-NEPJUHHUSA-N 0 1 290.371 0.653 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1C[C@H](O)C[C@H](O)C1 ZINC000674466075 545307245 /nfs/dbraw/zinc/30/72/45/545307245.db2.gz WERRVUXLSRIVIE-UPJWGTAASA-N 0 1 268.357 0.003 20 30 CCEDMN C=C[C@H](C)NC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000674972929 545319872 /nfs/dbraw/zinc/31/98/72/545319872.db2.gz ZESQWSAEQSKEMY-LBPRGKRZSA-N 0 1 268.405 0.886 20 30 CCEDMN C=CCNC(=O)CNCc1cccc(NS(C)(=O)=O)c1 ZINC000679028133 545401416 /nfs/dbraw/zinc/40/14/16/545401416.db2.gz YEJLJOCAYITASS-UHFFFAOYSA-N 0 1 297.380 0.450 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC([C@H](O)c2ncc[nH]2)CC1 ZINC000788474636 581112952 /nfs/dbraw/zinc/11/29/52/581112952.db2.gz ZFIRCCBNILINIL-AAEUAGOBSA-N 0 1 290.367 0.293 20 30 CCEDMN NC(=O)c1ccccc1C=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000788722610 581123463 /nfs/dbraw/zinc/12/34/63/581123463.db2.gz XLEDGRUKBKCYRF-CHWSQXEVSA-N 0 1 285.351 0.979 20 30 CCEDMN CN(C)C(=O)NCCN(C)C[C@H](O)c1ccc(C#N)cc1 ZINC000777717565 581131599 /nfs/dbraw/zinc/13/15/99/581131599.db2.gz ZNTQECCSMBRCAN-AWEZNQCLSA-N 0 1 290.367 0.795 20 30 CCEDMN C#CCOCCNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000790020948 581172113 /nfs/dbraw/zinc/17/21/13/581172113.db2.gz QQZWNAWVBOWBNL-VIFPVBQESA-N 0 1 294.273 0.456 20 30 CCEDMN CC(=NNC1=[NH+]C[C@H](C)N1)c1ccc([O-])c([N+](=O)[O-])c1 ZINC000779797095 581206011 /nfs/dbraw/zinc/20/60/11/581206011.db2.gz ACQDAKBRYFDOSR-ZETCQYMHSA-N 0 1 277.284 0.962 20 30 CCEDMN COc1ccc2c(c1)OCC2=NNC1=NC[C@H](C)N1 ZINC000779799927 581206497 /nfs/dbraw/zinc/20/64/97/581206497.db2.gz YNBRFGGQFMVMIF-QMMMGPOBSA-N 0 1 260.297 0.729 20 30 CCEDMN C=C(CO)C(=O)NC[C@H](C(CC)CC)N1CCOCC1 ZINC000791315262 581214271 /nfs/dbraw/zinc/21/42/71/581214271.db2.gz HMGMBPQATIEWMB-CQSZACIVSA-N 0 1 284.400 0.788 20 30 CCEDMN C#CCCS(=O)(=O)NCCN1CCC[C@H](C)C1 ZINC000791423947 581221215 /nfs/dbraw/zinc/22/12/15/581221215.db2.gz USVNPGBRQHWHSL-LBPRGKRZSA-N 0 1 258.387 0.661 20 30 CCEDMN C=CCNC(=S)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000780208690 581222591 /nfs/dbraw/zinc/22/25/91/581222591.db2.gz RGRGCKJQJPMNGI-LLVKDONJSA-N 0 1 271.430 0.824 20 30 CCEDMN COC(=O)c1ccc(C=NNc2ncnc3nc[nH]c32)[nH]1 ZINC000747633004 581247081 /nfs/dbraw/zinc/24/70/81/581247081.db2.gz KDYODUFGYUQFTR-UHFFFAOYSA-N 0 1 285.267 0.914 20 30 CCEDMN CN1CCC[C@@H](NC(=O)[C@@H](O)c2ccc(C#N)cc2)C1 ZINC000780931052 581250105 /nfs/dbraw/zinc/25/01/05/581250105.db2.gz GTWMCFOOUXWXDJ-KGLIPLIRSA-N 0 1 273.336 0.802 20 30 CCEDMN Nc1ncc(C=Nn2c(=S)[nH]nc2C(F)(F)F)cn1 ZINC000781579614 581272423 /nfs/dbraw/zinc/27/24/23/581272423.db2.gz BNINAJIFKMTWPA-UHFFFAOYSA-N 0 1 289.246 0.840 20 30 CCEDMN N#CCCNC(=O)CN1CCC2(CCOCC2)CC1 ZINC000792933921 581276579 /nfs/dbraw/zinc/27/65/79/581276579.db2.gz OZQVODSIIDIMAA-UHFFFAOYSA-N 0 1 265.357 0.909 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CNC(=O)O2)CC1 ZINC000782004285 581284909 /nfs/dbraw/zinc/28/49/09/581284909.db2.gz JJAZLWYPONICJS-JTQLQIEISA-N 0 1 267.329 0.252 20 30 CCEDMN Cc1cc(CC(=O)NCc2ccc(C#N)cn2)[nH]n1 ZINC000782026563 581285777 /nfs/dbraw/zinc/28/57/77/581285777.db2.gz SSBPYWWRLDOAJM-UHFFFAOYSA-N 0 1 255.281 0.844 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H](NC(=O)[O-])[C@H](C)CC)CC1 ZINC000738456932 581331093 /nfs/dbraw/zinc/33/10/93/581331093.db2.gz GOWUGBLPBOZMCU-OLZOCXBDSA-N 0 1 295.383 0.836 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H](CC)NC(=O)[O-])CC1 ZINC000738457875 581332070 /nfs/dbraw/zinc/33/20/70/581332070.db2.gz ZGDKYRIAMDSHRD-NSHDSACASA-N 0 1 267.329 0.200 20 30 CCEDMN N#CC1(NC(=O)CN2CCC(c3nc[nH]n3)CC2)CCC1 ZINC000784341947 581380905 /nfs/dbraw/zinc/38/09/05/581380905.db2.gz NGNWACYEUBBNLV-UHFFFAOYSA-N 0 1 288.355 0.547 20 30 CCEDMN C=CCN1C(=O)N=NC1SCCCC(=O)C(=O)OC ZINC000795221824 581401510 /nfs/dbraw/zinc/40/15/10/581401510.db2.gz RJPQPBVAZBPQCI-UHFFFAOYSA-N 0 1 285.325 0.784 20 30 CCEDMN C#CCNC(=O)CN1CCOC[C@H](C2CCC2)C1 ZINC000795281075 581402659 /nfs/dbraw/zinc/40/26/59/581402659.db2.gz LMAOLSPZAWFXIY-CYBMUJFWSA-N 0 1 250.342 0.484 20 30 CCEDMN N#Cc1ccc(OC[C@H](O)C[N@H+]2CCC[C@H]2C(=O)[O-])cc1 ZINC000739128427 581508854 /nfs/dbraw/zinc/50/88/54/581508854.db2.gz DCMACJOYDSNEJD-OCCSQVGLSA-N 0 1 290.319 0.847 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C(C)(C)C)[C@@H](O)C1 ZINC000754225107 581581482 /nfs/dbraw/zinc/58/14/82/581581482.db2.gz GTULNNRJFUFXRC-RYUDHWBXSA-N 0 1 252.358 0.465 20 30 CCEDMN CS(=O)(=O)NN=Cc1cc(-c2ccccc2)[nH]n1 ZINC000767793533 581630531 /nfs/dbraw/zinc/63/05/31/581630531.db2.gz ZNJQDHBVZKTXCE-UHFFFAOYSA-N 0 1 264.310 0.960 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@H](C)c2nn[nH]n2)nc1 ZINC000735388217 581642859 /nfs/dbraw/zinc/64/28/59/581642859.db2.gz UERRYUVKZLUHLY-VIFPVBQESA-N 0 1 270.296 0.452 20 30 CCEDMN C#CC[NH+]1CCC[C@H]1C(=O)NC[C@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000730281697 581690812 /nfs/dbraw/zinc/69/08/12/581690812.db2.gz SOATZUNWFOAFEW-KKUMJFAQSA-N 0 1 291.395 0.063 20 30 CCEDMN C#CCOc1ccccc1CN1C[C@H](O)C[C@H](O)C1 ZINC000769564967 581696352 /nfs/dbraw/zinc/69/63/52/581696352.db2.gz RLIMCZUOUIEDOG-OKILXGFUSA-N 0 1 261.321 0.626 20 30 CCEDMN CC[C@@H]1CC[C@@H](C(=O)C(C#N)C(=O)NC2CC2)O1 ZINC000757307678 581699798 /nfs/dbraw/zinc/69/97/98/581699798.db2.gz XMRFQTRPVOXKGH-VWYCJHECSA-N 0 1 250.298 0.931 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000736200127 581714246 /nfs/dbraw/zinc/71/42/46/581714246.db2.gz OIFWTFWYNPYWHD-GHMZBOCLSA-N 0 1 267.329 0.544 20 30 CCEDMN Cn1ncc2c1[n-]c(NN=C1CCC[N@H+](C)CC1)nc2=O ZINC000758110029 581721437 /nfs/dbraw/zinc/72/14/37/581721437.db2.gz LPVLOAQCBXCWPA-UHFFFAOYSA-N 0 1 289.343 0.953 20 30 CCEDMN Cn1ncc2c1[n-]c(NN=C1CCC[N@@H+](C)CC1)nc2=O ZINC000758110029 581721440 /nfs/dbraw/zinc/72/14/40/581721440.db2.gz LPVLOAQCBXCWPA-UHFFFAOYSA-N 0 1 289.343 0.953 20 30 CCEDMN C#CCNC(=O)COC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000758137262 581723011 /nfs/dbraw/zinc/72/30/11/581723011.db2.gz OERZIGIKTRXZQR-SECBINFHSA-N 0 1 261.281 0.122 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000736350505 581748567 /nfs/dbraw/zinc/74/85/67/581748567.db2.gz YJNRZAVONGKBLV-SMDDNHRTSA-N 0 1 281.356 0.837 20 30 CCEDMN CCNc1nc(N=NCc2ncc[nH]2)nc(NCC)n1 ZINC000731149300 581754923 /nfs/dbraw/zinc/75/49/23/581754923.db2.gz STYPARLULNTQAE-UHFFFAOYSA-N 0 1 275.320 0.904 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)c1 ZINC000731854133 581783312 /nfs/dbraw/zinc/78/33/12/581783312.db2.gz SRFJNDFPRDFFGE-LLVKDONJSA-N 0 1 284.279 0.285 20 30 CCEDMN N#Cc1ccc([C@@H](O)CN2CCc3n[nH]nc3C2)cc1 ZINC000759519809 581802582 /nfs/dbraw/zinc/80/25/82/581802582.db2.gz RTKZUEDPDCBXQG-AWEZNQCLSA-N 0 1 269.308 0.768 20 30 CCEDMN COCCn1cc(C=NNCCN2CCCCC2)cn1 ZINC000743438022 581812184 /nfs/dbraw/zinc/81/21/84/581812184.db2.gz IPTOSRGLXLOQBW-UHFFFAOYSA-N 0 1 279.388 0.939 20 30 CCEDMN C=CCN1C(=O)N=NC1SCCN1CCOCC1 ZINC000759718169 581817546 /nfs/dbraw/zinc/81/75/46/581817546.db2.gz SZOPLQXQMCXFPQ-UHFFFAOYSA-N 0 1 270.358 0.594 20 30 CCEDMN N#CCOc1ccc(CN2CCn3c(=O)[nH]nc3C2)cc1 ZINC000771813760 581823809 /nfs/dbraw/zinc/82/38/09/581823809.db2.gz XCCIOOARULNPPV-UHFFFAOYSA-N 0 1 285.307 0.902 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H]2CCOC2=O)CC1 ZINC000772115998 581843596 /nfs/dbraw/zinc/84/35/96/581843596.db2.gz LLSFJZSOALFJRN-LLVKDONJSA-N 0 1 251.282 0.190 20 30 CCEDMN N#C[C@H](C(=O)[C@@H]1CC(=O)N(C2CC2)C1)c1ccncn1 ZINC000760338503 581855998 /nfs/dbraw/zinc/85/59/98/581855998.db2.gz FRJRRAIKMUHBBU-KOLCDFICSA-N 0 1 270.292 0.664 20 30 CCEDMN C#CCCCC(=O)N1CCN(C(C)(C)CO)CC1 ZINC000760474014 581860493 /nfs/dbraw/zinc/86/04/93/581860493.db2.gz WDXDRBDTIGMILF-UHFFFAOYSA-N 0 1 252.358 0.705 20 30 CCEDMN N#CCOc1cccc(CC(=O)NCc2c[nH]nn2)c1 ZINC000760660528 581868895 /nfs/dbraw/zinc/86/88/95/581868895.db2.gz FSTDRMOFHUPLTK-UHFFFAOYSA-N 0 1 271.280 0.566 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@H]3[C@@H](O)CCCN3C2=O)CC1 ZINC000761249415 581899369 /nfs/dbraw/zinc/89/93/69/581899369.db2.gz JHOFIYDMGSCTLU-QWHCGFSZSA-N 0 1 293.367 0.424 20 30 CCEDMN COC(=O)Cn1cccc1CN=Nc1cnn(C)c1 ZINC000761881218 581923973 /nfs/dbraw/zinc/92/39/73/581923973.db2.gz LTOINADITKIKHK-UHFFFAOYSA-N 0 1 261.285 0.841 20 30 CCEDMN C#CC(=O)N[C@H]1CN(Cc2ccccc2)CC[C@@H]1CO ZINC000761980612 581927343 /nfs/dbraw/zinc/92/73/43/581927343.db2.gz JNPWNKGVPQQYJM-CABCVRRESA-N 0 1 272.348 0.619 20 30 CCEDMN C[NH+]1CCC(C#N)(NC(=O)c2ccc(C(=O)[O-])cn2)CC1 ZINC000737509960 581969440 /nfs/dbraw/zinc/96/94/40/581969440.db2.gz VDLHXOHPTNDVSX-UHFFFAOYSA-N 0 1 288.307 0.498 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)Cn2ccc(=O)[nH]c2=O)c1 ZINC000748410283 581974112 /nfs/dbraw/zinc/97/41/12/581974112.db2.gz HCQMWGFPVLLTST-UHFFFAOYSA-N 0 1 286.247 0.165 20 30 CCEDMN N#CCOc1ccccc1C(=O)NCc1c[nH]nn1 ZINC000748415856 581974751 /nfs/dbraw/zinc/97/47/51/581974751.db2.gz FBYIKNLFAQBQLX-UHFFFAOYSA-N 0 1 257.253 0.637 20 30 CCEDMN C#CCCN1CCC(NS(=O)(=O)CCC)CC1 ZINC000748672574 581983711 /nfs/dbraw/zinc/98/37/11/581983711.db2.gz XJVAMNNJNOADHF-UHFFFAOYSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCNC(=O)CN1CCC(C(=O)N[C@H](C)C(C)C)CC1 ZINC000748988513 581993567 /nfs/dbraw/zinc/99/35/67/581993567.db2.gz BDUOKPKEWDCMQY-CYBMUJFWSA-N 0 1 293.411 0.609 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)s1 ZINC000749386404 582006209 /nfs/dbraw/zinc/00/62/09/582006209.db2.gz SLVIGXCZLYXLMY-MRVPVSSYSA-N 0 1 290.308 0.347 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000749514692 582010543 /nfs/dbraw/zinc/01/05/43/582010543.db2.gz SARBYZGROAWNET-UHFFFAOYSA-N 0 1 282.347 0.915 20 30 CCEDMN C#C[C@H](C)NC(=O)[C@@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000775382476 582021824 /nfs/dbraw/zinc/02/18/24/582021824.db2.gz UTSFXQGAROIJBE-VHSXEESVSA-N 0 1 284.319 0.819 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)[O-])[C@@H](C)C1 ZINC000738461458 582053135 /nfs/dbraw/zinc/05/31/35/582053135.db2.gz SMDHJTBTVGGOQO-GARJFASQSA-N 0 1 267.329 0.102 20 30 CCEDMN COc1cc(C)nc(C=Nn2c(=O)c(C)n[nH]c2=S)c1 ZINC000776758734 582089482 /nfs/dbraw/zinc/08/94/82/582089482.db2.gz ALYGYZILVCKCLS-UHFFFAOYSA-N 0 1 291.336 0.830 20 30 CCEDMN C#C[C@H](C)N(C)Cc1cccc(S(N)(=O)=O)c1 ZINC000877480557 613114421 /nfs/dbraw/zinc/11/44/21/613114421.db2.gz ZFLXXAKMCKALBA-JTQLQIEISA-N 0 1 252.339 0.788 20 30 CCEDMN COCC(COC)N1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000930827513 616729873 /nfs/dbraw/zinc/72/98/73/616729873.db2.gz DOXDTPVMIASKPF-TXEJJXNPSA-N 0 1 255.362 0.566 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000163337477 597387476 /nfs/dbraw/zinc/38/74/76/597387476.db2.gz YDOIIIHXRXSANT-JTQLQIEISA-N 0 1 296.348 0.737 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@@H+](C)CCS(=O)(=O)c1cccc(C#N)c1 ZINC000163337477 597387481 /nfs/dbraw/zinc/38/74/81/597387481.db2.gz YDOIIIHXRXSANT-JTQLQIEISA-N 0 1 296.348 0.737 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N1CC[NH+](C2CCC2)CC1 ZINC000819822118 597647007 /nfs/dbraw/zinc/64/70/07/597647007.db2.gz LVXDFFCWTBUCPH-AWEZNQCLSA-N 0 1 279.340 0.688 20 30 CCEDMN C[C@@H]1C[C@@H](N(C)C(=O)[C@@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC000820228697 598228384 /nfs/dbraw/zinc/22/83/84/598228384.db2.gz RRIKBXLXBXPNAC-UHIISALHSA-N 0 1 281.356 0.932 20 30 CCEDMN C#CCSCC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000890440247 613009942 /nfs/dbraw/zinc/00/99/42/613009942.db2.gz HIORMPXUDNXWKF-CQSZACIVSA-N 0 1 296.436 0.924 20 30 CCEDMN C#CCCN1CCN(C[C@H](O)CC2(O)CCCC2)CC1 ZINC000878313181 613011381 /nfs/dbraw/zinc/01/13/81/613011381.db2.gz VBTBDMCHVJSZMF-OAHLLOKOSA-N 0 1 280.412 0.683 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC([C@@H](C)CO)CC1 ZINC000844419505 612947476 /nfs/dbraw/zinc/94/74/76/612947476.db2.gz NGYYYVCGUXZKNZ-NWDGAFQWSA-N 0 1 252.358 0.465 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@H]2CCC[N@H+]3CCSC[C@@H]23)C1 ZINC000925168725 612948272 /nfs/dbraw/zinc/94/82/72/612948272.db2.gz HHZNRVFFBPZTOH-RYUDHWBXSA-N 0 1 297.399 0.933 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@H]2CCCN3CCSC[C@@H]23)C1 ZINC000925168725 612948273 /nfs/dbraw/zinc/94/82/73/612948273.db2.gz HHZNRVFFBPZTOH-RYUDHWBXSA-N 0 1 297.399 0.933 20 30 CCEDMN C#CCSCCNC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000806845375 613016052 /nfs/dbraw/zinc/01/60/52/613016052.db2.gz LVPBYFPHIVZXCJ-CHWSQXEVSA-N 0 1 299.440 0.449 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(c2ccn(C)n2)CC1 ZINC000932150739 612949792 /nfs/dbraw/zinc/94/97/92/612949792.db2.gz XCGNKZOCSULWQD-LBPRGKRZSA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](C(=O)NC(C)C)C1 ZINC000852696268 612949851 /nfs/dbraw/zinc/94/98/51/612949851.db2.gz XPIILAIAQWUZKH-QWHCGFSZSA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC000212046683 612950068 /nfs/dbraw/zinc/95/00/68/612950068.db2.gz RIIQVUUDZGQUJH-NSHDSACASA-N 0 1 286.331 0.881 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCc2ccc(C(=O)[O-])cc2C1 ZINC000212046683 612950070 /nfs/dbraw/zinc/95/00/70/612950070.db2.gz RIIQVUUDZGQUJH-NSHDSACASA-N 0 1 286.331 0.881 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](C(=O)OCC)C1 ZINC000932648184 612950083 /nfs/dbraw/zinc/95/00/83/612950083.db2.gz BRLYHSZNYRBWRU-QWRGUYRKSA-N 0 1 252.314 0.009 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](CN2CCCC2=O)C1 ZINC000852681033 612950328 /nfs/dbraw/zinc/95/03/28/612950328.db2.gz CSJBRDFQTDRTCQ-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CC1(O)CCN(C(=O)[C@H]2CCCCN2C)CC1 ZINC000899115987 612951352 /nfs/dbraw/zinc/95/13/52/612951352.db2.gz CARWSCHNEDKHJP-GFCCVEGCSA-N 0 1 250.342 0.457 20 30 CCEDMN C[C@H](NC(=O)[O-])[C@@H]1CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000825251776 604251547 /nfs/dbraw/zinc/25/15/47/604251547.db2.gz AVXPKPIOQJOAGS-WDEREUQCSA-N 0 1 282.344 0.384 20 30 CCEDMN N#Cc1ccc(C[NH+]2CCN(CCNC(=O)[O-])CC2)cc1 ZINC000831126672 604358103 /nfs/dbraw/zinc/35/81/03/604358103.db2.gz OSPKBXBFVSLDRS-UHFFFAOYSA-N 0 1 288.351 0.943 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[O-])C[C@H]1[NH2+]CC1(O)CCCC1 ZINC000833968949 605674791 /nfs/dbraw/zinc/67/47/91/605674791.db2.gz YTYUINLQKHPMFH-NXEZZACHSA-N 0 1 253.302 0.383 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@@H]1CCCCN1C1CC1 ZINC000808310364 613054406 /nfs/dbraw/zinc/05/44/06/613054406.db2.gz XATWCUSFIRCGAR-ZDUSSCGKSA-N 0 1 270.398 0.946 20 30 CCEDMN C#CCC[C@H](O)CNS(=O)(=O)N=S(=O)(CC)CC ZINC000867226853 613064347 /nfs/dbraw/zinc/06/43/47/613064347.db2.gz QCUUHWZWNIMZGE-JTQLQIEISA-N 0 1 296.414 0.103 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CCC[N@H+]1C1CCCC1)C(=O)[O-] ZINC000910048362 613069558 /nfs/dbraw/zinc/06/95/58/613069558.db2.gz JPNXAAWFJMGTRS-QWHCGFSZSA-N 0 1 278.352 0.986 20 30 CCEDMN C#CCN(C)C(=O)NCc1nc(CSC)n[nH]1 ZINC000891088320 613071584 /nfs/dbraw/zinc/07/15/84/613071584.db2.gz VPJVCAFJRNRXRK-UHFFFAOYSA-N 0 1 253.331 0.442 20 30 CCEDMN C#CCN(C)C(=O)NCc1nnc(CSC)[nH]1 ZINC000891088320 613071585 /nfs/dbraw/zinc/07/15/85/613071585.db2.gz VPJVCAFJRNRXRK-UHFFFAOYSA-N 0 1 253.331 0.442 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2cccc(-c3nn[nH]n3)c2)NO1 ZINC000824891627 608108902 /nfs/dbraw/zinc/10/89/02/608108902.db2.gz BHVQWGMVOWACMV-SSDOTTSWSA-N 0 1 272.268 0.719 20 30 CCEDMN C#CCNCC(=O)N[C@H](CN1CCCC1=O)c1ccccc1 ZINC000912364174 612956588 /nfs/dbraw/zinc/95/65/88/612956588.db2.gz GELDWRLFTWBNMV-OAHLLOKOSA-N 0 1 299.374 0.689 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC000971747265 613090525 /nfs/dbraw/zinc/09/05/25/613090525.db2.gz VNZZSBPXOLSHAT-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(Cl)n(C)n2)C1 ZINC000971903307 613094252 /nfs/dbraw/zinc/09/42/52/613094252.db2.gz JVIAZOGJANSYDV-JTQLQIEISA-N 0 1 280.759 0.853 20 30 CCEDMN C#CCN(CC(=O)N1CCC[C@H](NC(C)=O)C1)C(C)(C)C ZINC000932465743 613102218 /nfs/dbraw/zinc/10/22/18/613102218.db2.gz XSNPPHARTPZMBW-AWEZNQCLSA-N 0 1 293.411 0.847 20 30 CCEDMN COc1cc(N)c(Cl)cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834485552 617198244 /nfs/dbraw/zinc/19/82/44/617198244.db2.gz YKPXQQNGVIHGQH-WRWORJQWSA-N 0 1 294.742 0.772 20 30 CCEDMN C#CCN(CC(=O)NC(=O)NCCC)C(C)(C)C ZINC000932468168 613102631 /nfs/dbraw/zinc/10/26/31/613102631.db2.gz JKCWPJWONXWWOJ-UHFFFAOYSA-N 0 1 253.346 0.956 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CC[C@@](C)(C(=O)OC)C1 ZINC000878191961 613399024 /nfs/dbraw/zinc/39/90/24/613399024.db2.gz WQXVNRIAFIDPOP-GXFFZTMASA-N 0 1 255.314 0.989 20 30 CCEDMN C#CCC1(NC(=O)NCCN2CCCOCC2)CCC1 ZINC000922609954 612968988 /nfs/dbraw/zinc/96/89/88/612968988.db2.gz PLWBNZDROSEUHE-UHFFFAOYSA-N 0 1 279.384 0.954 20 30 CCEDMN C#CCC1(O)CCN(C(=O)N[C@H]2CC[N@@H+](C)[C@@H]2C)CC1 ZINC000925356943 612972228 /nfs/dbraw/zinc/97/22/28/612972228.db2.gz SBGSMZHOXVDPGM-OLZOCXBDSA-N 0 1 279.384 0.639 20 30 CCEDMN COc1ccc(C(=O)NCC#CCN(C)C)c(OC)c1 ZINC000913514600 617228275 /nfs/dbraw/zinc/22/82/75/617228275.db2.gz WGVJOEMBCSXAEF-UHFFFAOYSA-N 0 1 276.336 0.999 20 30 CCEDMN CSCC1(CC(=O)N[C@H]2CNC[C@H]2C#N)CC1 ZINC000846676648 617231397 /nfs/dbraw/zinc/23/13/97/617231397.db2.gz GICJFYLTMHVFTN-ZJUUUORDSA-N 0 1 253.371 0.747 20 30 CCEDMN COc1ccc(O[C@H](C)C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834517327 617277075 /nfs/dbraw/zinc/27/70/75/617277075.db2.gz UNIWYKCCQAEUKE-SUNKGSAMSA-N 0 1 289.335 0.690 20 30 CCEDMN COc1cccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000841000043 617303962 /nfs/dbraw/zinc/30/39/62/617303962.db2.gz WGFCHDNDLOARPX-CABZTGNLSA-N 0 1 260.297 0.928 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCc2nccc(N)c2C1 ZINC000931510245 617300528 /nfs/dbraw/zinc/30/05/28/617300528.db2.gz XTBGOQMYSUKWFO-NSHDSACASA-N 0 1 287.367 0.782 20 30 CCEDMN C#CC1(O)CN(C[C@H](O)C[N@H+]2CCc3ccccc3C2)C1 ZINC000934445761 612960877 /nfs/dbraw/zinc/96/08/77/612960877.db2.gz UTVCAMKRZXONAA-MRXNPFEDSA-N 0 1 286.375 0.086 20 30 CCEDMN C#CCC(CC#C)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC000807164830 612967493 /nfs/dbraw/zinc/96/74/93/612967493.db2.gz ADRYRXJGLYLYCS-ZDUSSCGKSA-N 0 1 287.319 0.273 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CCOC)CC1(C)C ZINC000844955265 612978268 /nfs/dbraw/zinc/97/82/68/612978268.db2.gz YLOJDPQSUZZPHK-UHFFFAOYSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCCCNC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000840314015 612981510 /nfs/dbraw/zinc/98/15/10/612981510.db2.gz CZEAVBJBRRYJTB-GFCCVEGCSA-N 0 1 281.356 0.146 20 30 CCEDMN C#CCOCCN1CCO[C@@H]2CC[C@@H](OC)C[C@H]21 ZINC000851867657 612984109 /nfs/dbraw/zinc/98/41/09/612984109.db2.gz CLABOTGEQATQIF-MGPQQGTHSA-N 0 1 253.342 0.905 20 30 CCEDMN C#CCCN(CCOC)[C@H]1CCN(CC=C)C1=O ZINC000852748891 612990027 /nfs/dbraw/zinc/99/00/27/612990027.db2.gz JZRSQDHAPKWSDB-ZDUSSCGKSA-N 0 1 250.342 0.745 20 30 CCEDMN C#CCONC(=O)Cc1csc(S[C@H](C)C(N)=O)n1 ZINC000880080072 612992465 /nfs/dbraw/zinc/99/24/65/612992465.db2.gz ZFMWZHLCXFATBB-SSDOTTSWSA-N 0 1 299.377 0.333 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2c[nH]c(C)n2)CC1 ZINC000980842780 613006390 /nfs/dbraw/zinc/00/63/90/613006390.db2.gz LWVBHUWLHOWOIA-UHFFFAOYSA-N 0 1 260.341 0.889 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnn3ncccc23)CC1 ZINC000981348127 613006949 /nfs/dbraw/zinc/00/69/49/613006949.db2.gz QGPSOGWAAFOMKX-UHFFFAOYSA-N 0 1 297.362 0.901 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H](NC(=O)[O-])C(C)(C)C)CC1 ZINC000823444773 613009733 /nfs/dbraw/zinc/00/97/33/613009733.db2.gz QCHRHHRJPXGEPE-GFCCVEGCSA-N 0 1 295.383 0.836 20 30 CCEDMN C#CCCN1CCN(Cc2nn(CC)nc2C)CC1 ZINC000828519351 613011979 /nfs/dbraw/zinc/01/19/79/613011979.db2.gz NBCRKDYJOAQMKU-UHFFFAOYSA-N 0 1 261.373 0.747 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)NCc1cc(N(C)C)ccn1 ZINC000924671165 613025930 /nfs/dbraw/zinc/02/59/30/613025930.db2.gz AEOFPKTVWNVEGW-NSHDSACASA-N 0 1 276.340 0.331 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1ccc(CN(C)C)nc1 ZINC000854543509 613029093 /nfs/dbraw/zinc/02/90/93/613029093.db2.gz ZZUUAZLXAHJWBF-ZDUSSCGKSA-N 0 1 261.325 0.257 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1cccc2nc(C)[nH]c21 ZINC000854541909 613029413 /nfs/dbraw/zinc/02/94/13/613029413.db2.gz MMQPTOXLUZQMSR-JTQLQIEISA-N 0 1 257.293 0.985 20 30 CCEDMN C#CC[C@@H](CO)NCc1c(C)nc2sc(C)nn21 ZINC000883124470 613030224 /nfs/dbraw/zinc/03/02/24/613030224.db2.gz HUPNCQHFXYUIOZ-JTQLQIEISA-N 0 1 264.354 0.881 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@]2(F)CCOC2)C(C)(C)C1 ZINC000974978053 613031966 /nfs/dbraw/zinc/03/19/66/613031966.db2.gz YGPBLRKQDZPVAJ-IUODEOHRSA-N 0 1 282.359 0.965 20 30 CCEDMN C#CC[C@@H](NC(=O)CN1CC[C@H](C)C1)C(=O)OC ZINC000848219589 613039699 /nfs/dbraw/zinc/03/96/99/613039699.db2.gz AYURYBHZERUMTJ-WDEREUQCSA-N 0 1 252.314 0.009 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1CCC[N@H+]1C1CCCC1)C(=O)[O-] ZINC000910048361 613040781 /nfs/dbraw/zinc/04/07/81/613040781.db2.gz JPNXAAWFJMGTRS-OLZOCXBDSA-N 0 1 278.352 0.986 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)C(=O)N(C)CC2CN(C)C2)C1 ZINC000850683365 613043359 /nfs/dbraw/zinc/04/33/59/613043359.db2.gz SQZRIVJVXVMLLZ-CYBMUJFWSA-N 0 1 291.395 0.268 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)[C@@H](C)CN2CCOCC2)C1 ZINC000862570255 613046399 /nfs/dbraw/zinc/04/63/99/613046399.db2.gz GNAZNAUFEIEDSE-UONOGXRCSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)[C@@H]1CCN1C(C)(C)C ZINC000897656964 613058228 /nfs/dbraw/zinc/05/82/28/613058228.db2.gz KQAPUJLFWWVZKN-NEPJUHHUSA-N 0 1 252.358 0.750 20 30 CCEDMN C#CC[C@H](CO)NCc1cc(C(=O)OC)oc1C ZINC000895489391 613058629 /nfs/dbraw/zinc/05/86/29/613058629.db2.gz BNAHEAIPDZTWOL-LLVKDONJSA-N 0 1 251.282 0.848 20 30 CCEDMN C#CC[C@H](COC)NC(=O)CN(C)[C@H]1CCSC1 ZINC000863803845 613060849 /nfs/dbraw/zinc/06/08/49/613060849.db2.gz WDVBKEYIZJHBSH-NEPJUHHUSA-N 0 1 270.398 0.578 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1ccc2cncn2c1 ZINC000803355560 613063518 /nfs/dbraw/zinc/06/35/18/613063518.db2.gz ULVTUMXYQVJELP-ZDUSSCGKSA-N 0 1 257.293 0.838 20 30 CCEDMN C#CCN(C(=O)CN1CCC[C@H](C(=O)OCC)C1)C1CC1 ZINC000907399190 613065851 /nfs/dbraw/zinc/06/58/51/613065851.db2.gz DFHFYGQHCKBNJL-ZDUSSCGKSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN(C(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1)C1CC1 ZINC000911407566 613065860 /nfs/dbraw/zinc/06/58/60/613065860.db2.gz NQHFPQPMHSNLGR-NSHDSACASA-N 0 1 264.325 0.407 20 30 CCEDMN C#CCN(C(=O)c1cc(=O)cc[nH]1)C1CCN(C)CC1 ZINC000886572525 613069719 /nfs/dbraw/zinc/06/97/19/613069719.db2.gz PFCQGKNURYYKHC-UHFFFAOYSA-N 0 1 273.336 0.545 20 30 CCEDMN C#CC[C@H]1CCCN(S(=O)(=O)c2ncc[nH]2)C1 ZINC000867448971 613072646 /nfs/dbraw/zinc/07/26/46/613072646.db2.gz SYQUPVMZYLMKSE-JTQLQIEISA-N 0 1 253.327 0.834 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@H]2CCCN2C2CC2)cn1 ZINC000816371099 613077630 /nfs/dbraw/zinc/07/76/30/613077630.db2.gz SYZNNXSXVGNASC-CQSZACIVSA-N 0 1 272.352 0.759 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2cnccn2)C1 ZINC000972673347 613080777 /nfs/dbraw/zinc/08/07/77/613080777.db2.gz KLALPWNWPQKZSV-CQSZACIVSA-N 0 1 272.352 0.575 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cn2cccc(C)c2=O)C1 ZINC000971531767 613081640 /nfs/dbraw/zinc/08/16/40/613081640.db2.gz KTIYIHRRRWWWSB-CQSZACIVSA-N 0 1 287.363 0.323 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cn(C)nc2C)C1 ZINC000971697070 613081775 /nfs/dbraw/zinc/08/17/75/613081775.db2.gz OOVSKDBLRMMKFC-CQSZACIVSA-N 0 1 274.368 0.437 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC000980447363 613083025 /nfs/dbraw/zinc/08/30/25/613083025.db2.gz DTHZOWJOKICJTF-TZMCWYRMSA-N 0 1 286.379 0.681 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000821566993 613083397 /nfs/dbraw/zinc/08/33/97/613083397.db2.gz BNROGRSXNBGEQQ-KGLIPLIRSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC000971550174 613084599 /nfs/dbraw/zinc/08/45/99/613084599.db2.gz SYEXVWCSCKTXSV-JHJVBQTASA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2OCC[C@H]2C)C1 ZINC000972357525 613084757 /nfs/dbraw/zinc/08/47/57/613084757.db2.gz GIPBGUHASKXAHM-UPJWGTAASA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(C)n(C)n2)C1 ZINC000971165993 613085013 /nfs/dbraw/zinc/08/50/13/613085013.db2.gz GPUPPXGMQZTEAN-GFCCVEGCSA-N 0 1 260.341 0.508 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc[n+]([O-])cc2)C1 ZINC000971017058 613085750 /nfs/dbraw/zinc/08/57/50/613085750.db2.gz AREHDTZIAMZUQK-CYBMUJFWSA-N 0 1 259.309 0.100 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2ccc(=O)[nH]c2)C1 ZINC000972168952 613089144 /nfs/dbraw/zinc/08/91/44/613089144.db2.gz PXBXOJFUAGUVCS-AWEZNQCLSA-N 0 1 287.363 0.886 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@]2(CC)CCNC2=O)C1 ZINC000971717539 613093526 /nfs/dbraw/zinc/09/35/26/613093526.db2.gz YRLUPVVTOMLMSL-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c3c(nn2C)CCC3)C1 ZINC000972719765 613093530 /nfs/dbraw/zinc/09/35/30/613093530.db2.gz ZFDHMKIZCKYUHZ-LBPRGKRZSA-N 0 1 286.379 0.688 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2c[nH]c(=O)cc2C)C1 ZINC000972299691 613096144 /nfs/dbraw/zinc/09/61/44/613096144.db2.gz HGJRQZMVYJCAFZ-LBPRGKRZSA-N 0 1 273.336 0.875 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(C)nc2C)C1 ZINC000971220832 613096275 /nfs/dbraw/zinc/09/62/75/613096275.db2.gz KVOCAWQIWFOHGZ-LBPRGKRZSA-N 0 1 260.341 0.508 20 30 CCEDMN C#C[C@@H](NC(=O)N[C@H](C)c1n[nH]c(C)n1)C1CCOCC1 ZINC000823164707 613097699 /nfs/dbraw/zinc/09/76/99/613097699.db2.gz YRPHHDYCEFFISZ-BXKDBHETSA-N 0 1 291.355 0.902 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1cnn(C)c1 ZINC000922025348 613098095 /nfs/dbraw/zinc/09/80/95/613098095.db2.gz YWZLZPJWJYUQGK-UHFFFAOYSA-N 0 1 296.396 0.138 20 30 CCEDMN C#C[C@@H](NS(=O)(=O)c1ncccc1[N+](=O)[O-])C(C)C ZINC000849390891 613100735 /nfs/dbraw/zinc/10/07/35/613100735.db2.gz COPCSONKGIPJCO-SECBINFHSA-N 0 1 283.309 0.926 20 30 CCEDMN C#C[C@@H]1CCCN(CC(=O)N2C[C@@H](C)O[C@H](C)C2)C1 ZINC000847029279 613105805 /nfs/dbraw/zinc/10/58/05/613105805.db2.gz MISRXUNCTPIEBG-MGPQQGTHSA-N 0 1 264.369 0.967 20 30 CCEDMN COc1ccccc1NCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000844195144 617316458 /nfs/dbraw/zinc/31/64/58/617316458.db2.gz QEFUBUZZTWYFMQ-ZYHUDNBSSA-N 0 1 274.324 0.335 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H]2C[C@@H](O)C2)CC1 ZINC000863387318 613128322 /nfs/dbraw/zinc/12/83/22/613128322.db2.gz VDHSIXHRKZGMDQ-XBXGTLAGSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCN(CCF)CC2)CC1 ZINC000957776452 613129037 /nfs/dbraw/zinc/12/90/37/613129037.db2.gz GIOVWJDQICEYBY-UHFFFAOYSA-N 0 1 281.375 0.445 20 30 CCEDMN C#CCN1CCC(NC(=O)C(C)(C)N2CCOCC2)CC1 ZINC000928652582 613132361 /nfs/dbraw/zinc/13/23/61/613132361.db2.gz DLPYLJBFNDAMTA-UHFFFAOYSA-N 0 1 293.411 0.311 20 30 CCEDMN C#C[C@H](NC(=O)C1CN([C@H]2CCOC2)C1)[C@@H]1CCCO1 ZINC000897434522 613132716 /nfs/dbraw/zinc/13/27/16/613132716.db2.gz VMMGFHGOBFSFBW-IHRRRGAJSA-N 0 1 278.352 0.004 20 30 CCEDMN C#CCN1CCC(NC(=O)CCOC2CCOCC2)CC1 ZINC000928656090 613132757 /nfs/dbraw/zinc/13/27/57/613132757.db2.gz KJBHGNMUZZFHOM-UHFFFAOYSA-N 0 1 294.395 0.786 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2cnccn2)CC1 ZINC000928653639 613132776 /nfs/dbraw/zinc/13/27/76/613132776.db2.gz UFKLKXQMNUWMER-UHFFFAOYSA-N 0 1 272.352 0.623 20 30 CCEDMN C#CCN1CCC(NC(=O)NC2CC(OC)C2)CC1 ZINC000921941609 613133875 /nfs/dbraw/zinc/13/38/75/613133875.db2.gz TXXNCWUMOGEKNO-UHFFFAOYSA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCc2cnccn2)CC1 ZINC000921802208 613134037 /nfs/dbraw/zinc/13/40/37/613134037.db2.gz OGHZKWQVHNUXCP-UHFFFAOYSA-N 0 1 287.367 0.416 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ccccn2)CC1 ZINC000905146389 613134392 /nfs/dbraw/zinc/13/43/92/613134392.db2.gz VMIHRYBIILTORA-UHFFFAOYSA-N 0 1 272.352 0.978 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCc3cncn3C2)CC1 ZINC000928651073 613135683 /nfs/dbraw/zinc/13/56/83/613135683.db2.gz LTOIEVHXKUKGJE-CYBMUJFWSA-N 0 1 286.379 0.659 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN[C@H](C)C1)[C@H]1CCCO1 ZINC000841879746 613136082 /nfs/dbraw/zinc/13/60/82/613136082.db2.gz RJOFYHGGHXTSHM-GRYCIOLGSA-N 0 1 251.330 0.171 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)[C@@H](O)CC)CC1 ZINC000928647946 613136502 /nfs/dbraw/zinc/13/65/02/613136502.db2.gz BQBAYUFQJRHPQI-YPMHNXCESA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@](C)(NC(C)=O)C(C)C)CC1 ZINC000830162825 613136625 /nfs/dbraw/zinc/13/66/25/613136625.db2.gz QDHYRSKOLMDPKP-MRXNPFEDSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc([N+](=O)[O-])n2C)CC1 ZINC000928658998 613137190 /nfs/dbraw/zinc/13/71/90/613137190.db2.gz AWZMZFOIKSZUSG-UHFFFAOYSA-N 0 1 290.323 0.761 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C)nn2)CC1 ZINC000928648805 613137310 /nfs/dbraw/zinc/13/73/10/613137310.db2.gz CTSNZBONGXXKJD-UHFFFAOYSA-N 0 1 258.325 0.612 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccn(CC(F)F)n2)CC1 ZINC000928653531 613137525 /nfs/dbraw/zinc/13/75/25/613137525.db2.gz PLNZAZBRSQSJMQ-UHFFFAOYSA-N 0 1 296.321 0.976 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnc(C#N)c2)CC1 ZINC000928653513 613137690 /nfs/dbraw/zinc/13/76/90/613137690.db2.gz OVNGCQTXSLJQED-UHFFFAOYSA-N 0 1 268.320 0.781 20 30 CCEDMN C#CCN1CCC(NC(=S)NCCCC(=O)OC)CC1 ZINC000905656986 613138113 /nfs/dbraw/zinc/13/81/13/613138113.db2.gz WEGCUBMUIIGLLR-UHFFFAOYSA-N 0 1 297.424 0.501 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C[C@H]3COC(=O)C3)C2)C1 ZINC000981715973 613141392 /nfs/dbraw/zinc/14/13/92/613141392.db2.gz XSXWZQALLPWTSI-CYBMUJFWSA-N 0 1 290.363 0.497 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@]3(C)CNC(=O)C3)C2)C1 ZINC000981913468 613142909 /nfs/dbraw/zinc/14/29/09/613142909.db2.gz RISVXNVILCNCFR-OAHLLOKOSA-N 0 1 289.379 0.070 20 30 CCEDMN C#C[C@H](NC(=O)[C@H](C)Cc1cnc[nH]1)[C@@H]1CCCO1 ZINC000847757895 613146867 /nfs/dbraw/zinc/14/68/67/613146867.db2.gz GARQSCOVXFRXIM-WXHSDQCUSA-N 0 1 261.325 0.885 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1cnon1 ZINC000969084917 613149836 /nfs/dbraw/zinc/14/98/36/613149836.db2.gz GKTJKIGQUSNIEZ-NSHDSACASA-N 0 1 262.313 0.677 20 30 CCEDMN C#C[C@H](NC[C@@H]1CN(C2CC2)C(=O)O1)[C@H]1CCCO1 ZINC000844322113 613150330 /nfs/dbraw/zinc/15/03/30/613150330.db2.gz KBQNBOJLVNXQRT-FRRDWIJNSA-N 0 1 264.325 0.740 20 30 CCEDMN C#C[C@](C)(CC)NCC(=O)N[C@@H](C)C(=O)N1CCCCC1 ZINC000852100576 613164333 /nfs/dbraw/zinc/16/43/33/613164333.db2.gz YFYWARNGELDMGL-XJKSGUPXSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC000923560783 613166316 /nfs/dbraw/zinc/16/63/16/613166316.db2.gz IDONVOJMQSPMMR-GFCCVEGCSA-N 0 1 260.341 0.474 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCc1cnn(C)n1 ZINC000835139028 613176497 /nfs/dbraw/zinc/17/64/97/613176497.db2.gz BUIYULIEWAWDOW-CYBMUJFWSA-N 0 1 276.340 0.389 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2[C@H]3CN(CCF)C[C@H]32)nc1 ZINC000978986369 613182094 /nfs/dbraw/zinc/18/20/94/613182094.db2.gz MCYGCMPYAMQGPK-ZSOGYDGISA-N 0 1 287.338 0.940 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCO)CC[C@@H]2C)cn1 ZINC000968560190 613187262 /nfs/dbraw/zinc/18/72/62/613187262.db2.gz BAATYGZRSMHGAV-SWLSCSKDSA-N 0 1 287.363 0.495 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)c2n[nH]c(C)n2)cn1 ZINC000804812875 613188415 /nfs/dbraw/zinc/18/84/15/613188415.db2.gz BSILNQHZIBVGFR-MRVPVSSYSA-N 0 1 255.281 0.980 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC000923552997 613189525 /nfs/dbraw/zinc/18/95/25/613189525.db2.gz GMGBPACQGQMSPQ-YNEHKIRRSA-N 0 1 272.352 0.727 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@@H]2CCC[C@]2(C(=O)[O-])C1 ZINC000910416559 613197630 /nfs/dbraw/zinc/19/76/30/613197630.db2.gz PSUPKNGCUOZKPM-XEZPLFJOSA-N 0 1 290.363 0.797 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N2C[C@@H](C)NC[C@@H]2C)c1 ZINC000842033315 613199100 /nfs/dbraw/zinc/19/91/00/613199100.db2.gz RFNJNENZMOIGGB-OLZOCXBDSA-N 0 1 299.374 0.493 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2C[C@H]3CCC[C@@H](C2)N3)c1 ZINC000841224974 613202156 /nfs/dbraw/zinc/20/21/56/613202156.db2.gz QEXWFARBXWCZPK-GASCZTMLSA-N 0 1 297.358 0.959 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CCNC(=O)CC1 ZINC000815939947 613203232 /nfs/dbraw/zinc/20/32/32/613203232.db2.gz GAWVXNKMNVSHBE-OLZOCXBDSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@]1(CC)CCNC1=O ZINC000870194761 613203275 /nfs/dbraw/zinc/20/32/75/613203275.db2.gz SDOFUCXYNDFHEZ-WFASDCNBSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCN(C(=O)[C@@H]2CCN2C(C)(C)C)CC1 ZINC000895898440 613206879 /nfs/dbraw/zinc/20/68/79/613206879.db2.gz RWWCXTXPHMNSCW-ZDUSSCGKSA-N 0 1 263.385 0.637 20 30 CCEDMN C#CCN1CCN(C(=O)c2cc(F)cc3nn[nH]c32)CC1 ZINC000800493650 613208416 /nfs/dbraw/zinc/20/84/16/613208416.db2.gz OYYSAVROWUYWJH-UHFFFAOYSA-N 0 1 287.298 0.488 20 30 CCEDMN C#Cc1cccnc1N1CCN(CCC(=O)N(C)C)CC1 ZINC000853447214 613208588 /nfs/dbraw/zinc/20/85/88/613208588.db2.gz KSIJYOCLWJOLJR-UHFFFAOYSA-N 0 1 286.379 0.663 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H](CO)CN2CCCCC2)c1 ZINC000840454938 613219341 /nfs/dbraw/zinc/21/93/41/613219341.db2.gz WELRTKODQMNJGK-OAHLLOKOSA-N 0 1 287.363 0.640 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3n[nH]nc3n2)[C@H](C)C1 ZINC000942106629 613236591 /nfs/dbraw/zinc/23/65/91/613236591.db2.gz PQMUUBUNTKNRGX-GHMZBOCLSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3nnnn3c2)C[C@@H]1C ZINC000947539678 613236769 /nfs/dbraw/zinc/23/67/69/613236769.db2.gz FZQQDPTYVGTMMW-WCQYABFASA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)nc2OC)[C@@H](C)C1 ZINC000942556197 613239895 /nfs/dbraw/zinc/23/98/95/613239895.db2.gz WZKSPDFALNXONV-WCQYABFASA-N 0 1 290.367 0.502 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CC)cn2)[C@@H](C)C1 ZINC000944191250 613239942 /nfs/dbraw/zinc/23/99/42/613239942.db2.gz AEWGYJGHPBNPBW-QWHCGFSZSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cncnc2C)[C@@H](C)C1 ZINC000941858192 613241128 /nfs/dbraw/zinc/24/11/28/613241128.db2.gz PKFVHWYZVOZZTB-SMDDNHRTSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2)[C@@H](C)C1 ZINC000941794951 613241836 /nfs/dbraw/zinc/24/18/36/613241836.db2.gz OTACWMBWCFHYPP-WCQYABFASA-N 0 1 260.341 0.494 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn3cccnc23)[C@@H](C)C1 ZINC000942550422 613242006 /nfs/dbraw/zinc/24/20/06/613242006.db2.gz DJGMNTUSKNTCDP-GXTWGEPZSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)n2)C(C)(C)C1 ZINC000941096459 613242340 /nfs/dbraw/zinc/24/23/40/613242340.db2.gz HVLVGLAQLCPAAE-GFCCVEGCSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2conc2COC)[C@H](C)C1 ZINC000944135577 613243240 /nfs/dbraw/zinc/24/32/40/613243240.db2.gz NMJFZURJIDWUGM-DGCLKSJQSA-N 0 1 291.351 0.894 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000967187745 613253533 /nfs/dbraw/zinc/25/35/33/613253533.db2.gz XCAQWYUCFHYBOB-GXTWGEPZSA-N 0 1 287.363 0.459 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC000949757982 613259942 /nfs/dbraw/zinc/25/99/42/613259942.db2.gz LJIDJDIRDJMAPD-WDNDVIMCSA-N 0 1 264.369 0.989 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000940974853 613263297 /nfs/dbraw/zinc/26/32/97/613263297.db2.gz FPGDNYVDYISINJ-OLZOCXBDSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nc2C)[C@@H](C)C1 ZINC000941889872 613273454 /nfs/dbraw/zinc/27/34/54/613273454.db2.gz CDRPPJUOIIMIED-FZMZJTMJSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc3nccn3c2)C[C@@H]1C ZINC000947542295 613274408 /nfs/dbraw/zinc/27/44/08/613274408.db2.gz HHPHXOLUCZARGT-JSGCOSHPSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc(OC)nc2)[C@@H](C)C1 ZINC000943951699 613274508 /nfs/dbraw/zinc/27/45/08/613274508.db2.gz WCISYDVDVUTEAI-AAEUAGOBSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2coc(COC)n2)[C@@H](C)C1 ZINC000943797039 613276360 /nfs/dbraw/zinc/27/63/60/613276360.db2.gz GORRRWOCTILILX-RYUDHWBXSA-N 0 1 291.351 0.894 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H](C)N2CCOCC2)C(C)(C)C1 ZINC000977683219 613282143 /nfs/dbraw/zinc/28/21/43/613282143.db2.gz PZEJIIMBNFOUFG-UONOGXRCSA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2C=CC=CC=C2)C1 ZINC000958105886 613285517 /nfs/dbraw/zinc/28/55/17/613285517.db2.gz NDZNWGKKSFNRGD-LSDHHAIUSA-N 0 1 272.348 0.327 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C)c(C)n2)C1 ZINC000958266748 613286342 /nfs/dbraw/zinc/28/63/42/613286342.db2.gz CEFIDVMUQHWLHE-DZGCQCFKSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2C=CC=CC=C2)[C@H](O)C1 ZINC000958105885 613288229 /nfs/dbraw/zinc/28/82/29/613288229.db2.gz NDZNWGKKSFNRGD-HUUCEWRRSA-N 0 1 272.348 0.327 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)cs2)[C@H](O)C1 ZINC000958051606 613288567 /nfs/dbraw/zinc/28/85/67/613288567.db2.gz RHVYFQKKNJEZLG-VXGBXAGGSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccs2)[C@H](O)C1 ZINC000957837709 613289436 /nfs/dbraw/zinc/28/94/36/613289436.db2.gz CUHIVOZRJAWURT-GHMZBOCLSA-N 0 1 264.350 0.404 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc(C)c2F)[C@H](O)C1 ZINC000958076788 613289494 /nfs/dbraw/zinc/28/94/94/613289494.db2.gz KXOLMHGNQSPHEF-TZMCWYRMSA-N 0 1 290.338 0.790 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@H]1C(=O)NCC1(C)C ZINC000931662899 613294132 /nfs/dbraw/zinc/29/41/32/613294132.db2.gz HVZKWWDECKCBQB-NEPJUHHUSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(CCC)n[nH]2)C1 ZINC000958304504 613294720 /nfs/dbraw/zinc/29/47/20/613294720.db2.gz PUOOHQUGNNAZIA-FZMZJTMJSA-N 0 1 290.367 0.018 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2[nH]nc3ccccc32)C1 ZINC000958644094 613296028 /nfs/dbraw/zinc/29/60/28/613296028.db2.gz GRHRLZARXQWIGJ-FZMZJTMJSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ocnc2C2CC2)C1 ZINC000958395360 613296528 /nfs/dbraw/zinc/29/65/28/613296528.db2.gz TVKVTQRTMZVEPO-RYUDHWBXSA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C)c(C)cn2)C1 ZINC000958282215 613302315 /nfs/dbraw/zinc/30/23/15/613302315.db2.gz XDYYFYCUPGTACH-HIFRSBDPSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC000958606343 613302603 /nfs/dbraw/zinc/30/26/03/613302603.db2.gz OBKAEDBIWUWWLX-TUVASFSCSA-N 0 1 278.396 0.855 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccnc2C)C1 ZINC000958364102 613303674 /nfs/dbraw/zinc/30/36/74/613303674.db2.gz HBHUNDCOLOEDCS-OCCSQVGLSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cocc2C)C1 ZINC000958313205 613303806 /nfs/dbraw/zinc/30/38/06/613303806.db2.gz LGSBWMQKZVSBAX-YPMHNXCESA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2occc2Cl)C1 ZINC000958380360 613304643 /nfs/dbraw/zinc/30/46/43/613304643.db2.gz YUGMBCWJXKVRDF-KOLCDFICSA-N 0 1 282.727 0.589 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2occ3c2CCC3)C1 ZINC000958292314 613304709 /nfs/dbraw/zinc/30/47/09/613304709.db2.gz WPWHHYZMYNFEEI-OCCSQVGLSA-N 0 1 288.347 0.424 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)C2CC(=O)NC(=O)C2)CC1 ZINC000982197075 613307662 /nfs/dbraw/zinc/30/76/62/613307662.db2.gz NFRIYZOPWQMOGG-UHFFFAOYSA-N 0 1 293.367 0.150 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CN2CN=NC2=O)CC1 ZINC000981005798 613308860 /nfs/dbraw/zinc/30/88/60/613308860.db2.gz NOPYNRNQDMRNTE-UHFFFAOYSA-N 0 1 279.344 0.094 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC000981470167 613309416 /nfs/dbraw/zinc/30/94/16/613309416.db2.gz ULDCCIKHVUBKQV-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2cnnn2C)CC1 ZINC000981070396 613313456 /nfs/dbraw/zinc/31/34/56/613313456.db2.gz OYDYNCNMMJFMDW-UHFFFAOYSA-N 0 1 263.345 0.539 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CN1CCc2ccccc21 ZINC000962614138 613313505 /nfs/dbraw/zinc/31/35/05/613313505.db2.gz GYVQGQKNGOZKET-HWWDLCQESA-N 0 1 295.386 0.729 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc(CC)cn1 ZINC000961830771 613314455 /nfs/dbraw/zinc/31/44/55/613314455.db2.gz JKZQIOWMSQVSSW-QLPKVWCKSA-N 0 1 283.375 0.866 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1ncc2ccccc21 ZINC000962077077 613314829 /nfs/dbraw/zinc/31/48/29/613314829.db2.gz POUFAKITSDFXTK-HALDLXJZSA-N 0 1 294.358 0.716 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)c1cnn(C)c1 ZINC000963185540 613315066 /nfs/dbraw/zinc/31/50/66/613315066.db2.gz GGJCRHKGPMJKMA-SCUASFONSA-N 0 1 272.352 0.203 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2[nH]ccc2c1 ZINC000960407722 613317670 /nfs/dbraw/zinc/31/76/70/613317670.db2.gz WZWVSRDIEQDBLL-WDNDVIMCSA-N 0 1 280.331 0.808 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(C)c1 ZINC000962092700 613320236 /nfs/dbraw/zinc/32/02/36/613320236.db2.gz QEBASWSCOLBHIN-WDNDVIMCSA-N 0 1 255.321 0.683 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc2c1ncn2C ZINC000961766417 613320309 /nfs/dbraw/zinc/32/03/09/613320309.db2.gz VZIPJCZLLYPTKX-ITGUQSILSA-N 0 1 295.346 0.262 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnn1CC(C)C ZINC000960125418 613320678 /nfs/dbraw/zinc/32/06/78/613320678.db2.gz SAVKEQHNPHLMLV-NHAGDIPZSA-N 0 1 286.379 0.832 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C)nc1C1CC1 ZINC000962423968 613320702 /nfs/dbraw/zinc/32/07/02/613320702.db2.gz LHWDJQAOYDYEMC-FOLVSLTJSA-N 0 1 296.374 0.956 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc(OC)c1C ZINC000960549478 613321130 /nfs/dbraw/zinc/32/11/30/613321130.db2.gz ILLDQSFIFZGCLS-NHAGDIPZSA-N 0 1 285.347 0.692 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(CC)c1C ZINC000962125919 613321206 /nfs/dbraw/zinc/32/12/06/613321206.db2.gz XNUBNTDODWFMDT-WDNDVIMCSA-N 0 1 272.352 0.505 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnoc1C1CC1 ZINC000962203373 613321465 /nfs/dbraw/zinc/32/14/65/613321465.db2.gz LFWXRRXCSXUJDU-ITGUQSILSA-N 0 1 271.320 0.845 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1conc1C(F)(F)F ZINC000961149933 613321890 /nfs/dbraw/zinc/32/18/90/613321890.db2.gz NVGCIHWFQIZOPS-MBTKJCJQSA-N 0 1 299.252 0.987 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1scnc1COC ZINC000961984732 613322560 /nfs/dbraw/zinc/32/25/60/613322560.db2.gz GZUHOCCKAIYNRF-IAZYJMLFSA-N 0 1 291.376 0.583 20 30 CCEDMN C#CCNC(=O)CCN1CCOc2ccccc2[C@H]1CO ZINC000933379377 613325360 /nfs/dbraw/zinc/32/53/60/613325360.db2.gz DYINPJUCPDDWKN-CQSZACIVSA-N 0 1 288.347 0.554 20 30 CCEDMN C#CCNC(=O)CN1CCN(C)[C@@H](C(C)(C)C)C1 ZINC000878842682 613329593 /nfs/dbraw/zinc/32/95/93/613329593.db2.gz VTVCIMRFBRRXDL-GFCCVEGCSA-N 0 1 251.374 0.398 20 30 CCEDMN C#CCNC(=O)COC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C ZINC000885266914 613332540 /nfs/dbraw/zinc/33/25/40/613332540.db2.gz AUMVDHBDDUVNBB-TVQRCGJNSA-N 0 1 275.308 0.368 20 30 CCEDMN C=C(C)COCCNC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000909558561 613351989 /nfs/dbraw/zinc/35/19/89/613351989.db2.gz HISPEGUTJUKKAD-LBPRGKRZSA-N 0 1 284.356 0.492 20 30 CCEDMN C=C(C)COCCNS(=O)(=O)N=S(=O)(CC)CC ZINC000866963410 613352229 /nfs/dbraw/zinc/35/22/29/613352229.db2.gz DRQHBSMJCKGRQM-UHFFFAOYSA-N 0 1 298.430 0.921 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)NC[C@@H]1CCN1CC ZINC000890055694 613354880 /nfs/dbraw/zinc/35/48/80/613354880.db2.gz DRLGZMGFCXKVOX-RYUDHWBXSA-N 0 1 255.362 0.707 20 30 CCEDMN C=C(C)C[C@H](CO)NS(=O)(=O)N=S(=O)(CC)CC ZINC000882846185 613358494 /nfs/dbraw/zinc/35/84/94/613358494.db2.gz HFLNDORRWVEMLB-SNVBAGLBSA-N 0 1 298.430 0.656 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCc1n[nH]c(C)n1 ZINC000889699338 613359365 /nfs/dbraw/zinc/35/93/65/613359365.db2.gz CAYIMMSSOPSDMU-JTQLQIEISA-N 0 1 265.317 0.200 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N[C@@H](C)C1CN(CCO)C1 ZINC000970559919 613360656 /nfs/dbraw/zinc/36/06/56/613360656.db2.gz GGVQZLZUWUGDHD-QEJZJMRPSA-N 0 1 296.411 0.787 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NC[C@H]1C[C@H](O)CN1C ZINC000924990960 613363043 /nfs/dbraw/zinc/36/30/43/613363043.db2.gz LNSKSTKHMSYFSL-YVECIDJPSA-N 0 1 268.357 0.149 20 30 CCEDMN C=C(CN(C)C)C(=O)N1C[C@@H](CC)[S@@](=O)[C@@H](C)C1 ZINC000804434482 613366332 /nfs/dbraw/zinc/36/63/32/613366332.db2.gz ULKSGXDBKFKFAL-IUUKEHGRSA-N 0 1 272.414 0.862 20 30 CCEDMN C=C(CO)C(=O)N1CCC[C@@H](N2CCOCC2)CC1 ZINC000854330638 613367078 /nfs/dbraw/zinc/36/70/78/613367078.db2.gz KHCJWRRUSCUKNQ-CYBMUJFWSA-N 0 1 268.357 0.248 20 30 CCEDMN C=C(CO)C(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000854569549 613367110 /nfs/dbraw/zinc/36/71/10/613367110.db2.gz KZANRQHRSPKZOF-GFCCVEGCSA-N 0 1 268.357 0.247 20 30 CCEDMN C=C(Cl)C[NH+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCC[N@@H+]1C ZINC000958377192 613382620 /nfs/dbraw/zinc/38/26/20/613382620.db2.gz AZIHHHRXKRLLKF-XQHKEYJVSA-N 0 1 283.803 0.880 20 30 CCEDMN C=C(Cl)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCN1C ZINC000958377192 613382623 /nfs/dbraw/zinc/38/26/23/613382623.db2.gz AZIHHHRXKRLLKF-XQHKEYJVSA-N 0 1 283.803 0.880 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CO[C@@H]2CCOC2)C1 ZINC000968647699 613384670 /nfs/dbraw/zinc/38/46/70/613384670.db2.gz HBWGODGKHKMHDJ-VXGBXAGGSA-N 0 1 288.775 0.735 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC000970526850 613385094 /nfs/dbraw/zinc/38/50/94/613385094.db2.gz IWMPFGFNTIJGNY-OLZOCXBDSA-N 0 1 299.802 0.940 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCC(=O)N2)C1 ZINC000967768363 613385228 /nfs/dbraw/zinc/38/52/28/613385228.db2.gz TXFULAHCGJJECY-NXEZZACHSA-N 0 1 271.748 0.208 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC000969973375 613385377 /nfs/dbraw/zinc/38/53/77/613385377.db2.gz PEXNIMCKAPRLDU-GRYCIOLGSA-N 0 1 299.802 0.831 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC000970589693 613385436 /nfs/dbraw/zinc/38/54/36/613385436.db2.gz OIXXDQBJYNOVJG-QWHCGFSZSA-N 0 1 299.802 0.798 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCC(CNC(C)=O)CC1 ZINC000877994268 613396491 /nfs/dbraw/zinc/39/64/91/613396491.db2.gz PTUZJAPCOUYUBL-ZDUSSCGKSA-N 0 1 268.357 0.952 20 30 CCEDMN C=CC[C@@H](CO)NCc1cn(C[C@H]2CCCO2)nn1 ZINC000865527177 613405098 /nfs/dbraw/zinc/40/50/98/613405098.db2.gz HWRWWICFNGUALR-WCQYABFASA-N 0 1 266.345 0.484 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@H]1CNCCO1)c1ccncc1 ZINC000829689870 613405742 /nfs/dbraw/zinc/40/57/42/613405742.db2.gz FASUEABDERYWQU-CHWSQXEVSA-N 0 1 261.325 0.803 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000878745154 613413302 /nfs/dbraw/zinc/41/33/02/613413302.db2.gz VPQLZHBEEOWBIE-GMTAPVOTSA-N 0 1 255.314 0.845 20 30 CCEDMN C=CCN1C(=O)N=NC1SCCc1nnnn1C ZINC000865359603 613424480 /nfs/dbraw/zinc/42/44/80/613424480.db2.gz RFTKTVUYOPCZCQ-UHFFFAOYSA-N 0 1 267.318 0.028 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@H]1CNC(=O)c1cnn[nH]1 ZINC000956134487 613432813 /nfs/dbraw/zinc/43/28/13/613432813.db2.gz NAQKGCCMSYGLIO-JTQLQIEISA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC000972082466 613434674 /nfs/dbraw/zinc/43/46/74/613434674.db2.gz OCWQRSXOZNWOGT-SNVBAGLBSA-N 0 1 293.371 0.755 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC000972082466 613434676 /nfs/dbraw/zinc/43/46/76/613434676.db2.gz OCWQRSXOZNWOGT-SNVBAGLBSA-N 0 1 293.371 0.755 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC000966670735 613442948 /nfs/dbraw/zinc/44/29/48/613442948.db2.gz XESKJCLTSWDHHO-GXSJLCMTSA-N 0 1 291.355 0.594 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N[C@H]1CCN(CCOC)C1 ZINC000845630634 613446798 /nfs/dbraw/zinc/44/67/98/613446798.db2.gz LHRBZRHHQFENNK-MNOVXSKESA-N 0 1 257.334 0.512 20 30 CCEDMN C=CCC(F)(F)C(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000913499571 613454081 /nfs/dbraw/zinc/45/40/81/613454081.db2.gz WHBYOAKMMOJGJH-SSDOTTSWSA-N 0 1 273.243 0.311 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907481686 613463606 /nfs/dbraw/zinc/46/36/06/613463606.db2.gz YAVZUSBZWCNQKG-BBBLOLIVSA-N 0 1 295.368 0.407 20 30 CCEDMN C=CCCCS(=O)(=O)NC[C@@H]1CN2CCC[C@H]2CO1 ZINC000813660862 613469071 /nfs/dbraw/zinc/46/90/71/613469071.db2.gz SSICVBNSPMBDMI-QWHCGFSZSA-N 0 1 288.413 0.735 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NC[C@H]1C[N@H+]2CCC[C@@H]2CO1 ZINC000836283987 613471251 /nfs/dbraw/zinc/47/12/51/613471251.db2.gz PIFYIICNSFKOAG-RQJABVFESA-N 0 1 295.383 0.492 20 30 CCEDMN C=CCCC[C@@H](NC(=O)c1cnn[nH]1)C(=O)OC ZINC000928314326 613471533 /nfs/dbraw/zinc/47/15/33/613471533.db2.gz RUDMWPWMNXDBOG-MRVPVSSYSA-N 0 1 252.274 0.432 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@]1(C)C[C@H](O)C[N@H+]1C)C(=O)[O-] ZINC000910927475 613474138 /nfs/dbraw/zinc/47/41/38/613474138.db2.gz ZNTHZBVNYXXHHD-MJVIPROJSA-N 0 1 284.356 0.367 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@]1(C)C[C@H](O)C[N@@H+]1C)C(=O)[O-] ZINC000910927475 613474141 /nfs/dbraw/zinc/47/41/41/613474141.db2.gz ZNTHZBVNYXXHHD-MJVIPROJSA-N 0 1 284.356 0.367 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NC[C@@H](N)c1ccccc1OC ZINC000911663141 613478643 /nfs/dbraw/zinc/47/86/43/613478643.db2.gz BIRNJJQPNFMQNX-BXUZGUMPSA-N 0 1 264.325 0.748 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)[C@@H]3CCOC3)CC2)C1 ZINC000941339095 613482037 /nfs/dbraw/zinc/48/20/37/613482037.db2.gz OOBFWPFJNQYTJR-CQSZACIVSA-N 0 1 293.411 0.427 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000845636989 613485186 /nfs/dbraw/zinc/48/51/86/613485186.db2.gz UROUNFKTSXFSSQ-RWMBFGLXSA-N 0 1 283.372 0.733 20 30 CCEDMN C=C[C@H](C)ON=C([O-])Nc1cc2n(n1)CC[N@H+](C)C2 ZINC000880822553 613487851 /nfs/dbraw/zinc/48/78/51/613487851.db2.gz VYWNURURDIVRNA-VIFPVBQESA-N 0 1 265.317 0.956 20 30 CCEDMN C=C[C@H](C)ON=C([O-])Nc1cc2n(n1)CC[N@@H+](C)C2 ZINC000880822553 613487852 /nfs/dbraw/zinc/48/78/52/613487852.db2.gz VYWNURURDIVRNA-VIFPVBQESA-N 0 1 265.317 0.956 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CC(=O)N(CC)C2)CC1 ZINC000948624470 613505377 /nfs/dbraw/zinc/50/53/77/613505377.db2.gz LYCJJVVHBQBNHR-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCc1n[nH]c(=O)n1C ZINC000912241085 613505479 /nfs/dbraw/zinc/50/54/79/613505479.db2.gz UACRZHSZICULOW-GFCCVEGCSA-N 0 1 268.317 0.366 20 30 CCEDMN C=CCCOCC(=O)NCc1nc(CSC)n[nH]1 ZINC000894610876 613572906 /nfs/dbraw/zinc/57/29/06/613572906.db2.gz ZKHLCLYINOUVCN-UHFFFAOYSA-N 0 1 270.358 0.877 20 30 CCEDMN C=CCCOCC(=O)NCc1nnc(CSC)[nH]1 ZINC000894610876 613572908 /nfs/dbraw/zinc/57/29/08/613572908.db2.gz ZKHLCLYINOUVCN-UHFFFAOYSA-N 0 1 270.358 0.877 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C1 ZINC000969888590 613530655 /nfs/dbraw/zinc/53/06/55/613530655.db2.gz QRGQGGPUMARCBU-MRVWCRGKSA-N 0 1 286.379 0.973 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC000970931107 613536553 /nfs/dbraw/zinc/53/65/53/613536553.db2.gz ISYWTNSVQXZSKR-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)c3nonc3C)C2)C1 ZINC000982174513 613544141 /nfs/dbraw/zinc/54/41/41/613544141.db2.gz QPEVUKFGBQLMDW-UHFFFAOYSA-N 0 1 288.351 0.939 20 30 CCEDMN CC#CCN1CCN(C(=O)C(C)(C)c2c[nH]cn2)CC1 ZINC000957337295 613561152 /nfs/dbraw/zinc/56/11/52/613561152.db2.gz SPLFOZGBVOHWPC-UHFFFAOYSA-N 0 1 274.368 0.855 20 30 CCEDMN C=CCCOCCNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897228230 613574560 /nfs/dbraw/zinc/57/45/60/613574560.db2.gz NNCRNVUILSQOSW-CYBMUJFWSA-N 0 1 268.357 0.416 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cn2nccn2)C1 ZINC000966428197 613575105 /nfs/dbraw/zinc/57/51/05/613575105.db2.gz WUYQLTMINWLCSO-CHWSQXEVSA-N 0 1 275.356 0.128 20 30 CCEDMN C=CCCONC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000825557051 613576764 /nfs/dbraw/zinc/57/67/64/613576764.db2.gz UTCGKWDIMSDJPX-UHFFFAOYSA-N 0 1 267.329 0.999 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897931144 613583205 /nfs/dbraw/zinc/58/32/05/613583205.db2.gz NVORYXBGLQZZGI-STQMWFEESA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCC[C@@H](O)C[N@@H+]1CCCC[C@@H]1CS(N)(=O)=O ZINC000931231490 613585915 /nfs/dbraw/zinc/58/59/15/613585915.db2.gz IQSHRHLXZIQCOB-VXGBXAGGSA-N 0 1 276.402 0.457 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000821969482 613588395 /nfs/dbraw/zinc/58/83/95/613588395.db2.gz BHNOGCUYNFFLPP-GFCCVEGCSA-N 0 1 295.383 0.818 20 30 CCEDMN C=CCCn1cc(C(=O)OCc2n[nH]c(C)n2)nn1 ZINC000820281045 613597175 /nfs/dbraw/zinc/59/71/75/613597175.db2.gz DOFWFCIWABRZOD-UHFFFAOYSA-N 0 1 262.273 0.638 20 30 CCEDMN C=CCCn1cc(CN[C@H](CO)C[C@H]2CCCO2)nn1 ZINC000886220036 613599260 /nfs/dbraw/zinc/59/92/60/613599260.db2.gz BERWPWPFTMSTIB-GXTWGEPZSA-N 0 1 280.372 0.874 20 30 CCEDMN C=CCN(C(=O)C(=O)NCCN(C)CCOC)C(C)C ZINC000804083519 613602481 /nfs/dbraw/zinc/60/24/81/613602481.db2.gz AMBQINGWFJIDDN-UHFFFAOYSA-N 0 1 285.388 0.104 20 30 CCEDMN C=CCN(C(=O)NCC(=O)N1CCNCC1)C(C)C ZINC000841918931 613605065 /nfs/dbraw/zinc/60/50/65/613605065.db2.gz CMTNBUARDADAEJ-UHFFFAOYSA-N 0 1 268.361 0.024 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(F)c[nH]2)C1 ZINC000958267561 613612869 /nfs/dbraw/zinc/61/28/69/613612869.db2.gz NTXXYJWYIUOWCC-GXFFZTMASA-N 0 1 279.315 0.200 20 30 CCEDMN C=CCN(CCOC)C(=O)NC[C@@H]1CCN1CC ZINC000890063981 613649655 /nfs/dbraw/zinc/64/96/55/613649655.db2.gz NZOVSLFOPLFHLK-LBPRGKRZSA-N 0 1 255.362 0.925 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(Cl)[nH]2)C1 ZINC000958384335 613618492 /nfs/dbraw/zinc/61/84/92/613618492.db2.gz HSZJOXRYGMMGBV-JQWIXIFHSA-N 0 1 295.770 0.714 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cncc(C)c2)C1 ZINC000957953717 613619478 /nfs/dbraw/zinc/61/94/78/613619478.db2.gz QOCUWTNOZFNBHN-GJZGRUSLSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977344835 613620530 /nfs/dbraw/zinc/62/05/30/613620530.db2.gz GYWUUTUCYZJQMF-OLZOCXBDSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973029009 613621017 /nfs/dbraw/zinc/62/10/17/613621017.db2.gz VNBOUYRONSAIPP-OLZOCXBDSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2cc[nH]n2)C(C)(C)C1 ZINC000972985822 613621237 /nfs/dbraw/zinc/62/12/37/613621237.db2.gz KJMXZJMDFJVCJP-ZDUSSCGKSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@H]1CC ZINC000961314152 613636309 /nfs/dbraw/zinc/63/63/09/613636309.db2.gz HUXXQORBPQBNEQ-MRLBHPIUSA-N 0 1 276.380 0.871 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C#N)c[nH]1 ZINC000958505617 613638370 /nfs/dbraw/zinc/63/83/70/613638370.db2.gz ZFCFHGCIZRMKNO-IMRBUKKESA-N 0 1 268.320 0.570 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(N(C)C)cn1 ZINC000959885740 613641249 /nfs/dbraw/zinc/64/12/49/613641249.db2.gz CBARXAQHUHSBBW-JYAVWHMHSA-N 0 1 299.378 0.226 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2c1CCCC2 ZINC000962221453 613642336 /nfs/dbraw/zinc/64/23/36/613642336.db2.gz XYTYRFQEEAIAHR-FOLVSLTJSA-N 0 1 298.390 0.903 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(C)n1 ZINC000958658923 613642471 /nfs/dbraw/zinc/64/24/71/613642471.db2.gz CAOBYALIMOEHFL-PJXYFTJBSA-N 0 1 259.309 0.666 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ocnc1C ZINC000958492126 613642872 /nfs/dbraw/zinc/64/28/72/613642872.db2.gz XGFGDFYEWWZQNE-GDNZZTSVSA-N 0 1 259.309 0.666 20 30 CCEDMN C=CCN(CC=C)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000896826945 613646396 /nfs/dbraw/zinc/64/63/96/613646396.db2.gz FZNAQKHHNJHOQW-ZDUSSCGKSA-N 0 1 250.342 0.908 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000823501576 613646623 /nfs/dbraw/zinc/64/66/23/613646623.db2.gz ZGIYTARJESPIFZ-LBPRGKRZSA-N 0 1 281.356 0.871 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000823501576 613646626 /nfs/dbraw/zinc/64/66/26/613646626.db2.gz ZGIYTARJESPIFZ-LBPRGKRZSA-N 0 1 281.356 0.871 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)OC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840671962 613648601 /nfs/dbraw/zinc/64/86/01/613648601.db2.gz AQVYGWZOQCERSR-GMTAPVOTSA-N 0 1 282.296 0.784 20 30 CCEDMN CC(=NNC(=N)N)c1cccc(S(=O)(=O)N(C)C)c1 ZINC000915959384 613653237 /nfs/dbraw/zinc/65/32/37/613653237.db2.gz PNMCCUYZZFRQGQ-UHFFFAOYSA-N 0 1 283.357 0.144 20 30 CCEDMN CC(=NNC1=NCCN1)c1cccc(N2CCOCC2)c1 ZINC000841658679 613654519 /nfs/dbraw/zinc/65/45/19/613654519.db2.gz HNCQYPBNJWGCEQ-UHFFFAOYSA-N 0 1 287.367 0.796 20 30 CCEDMN CC(N=Nc1cnnn1C)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000814983414 613657406 /nfs/dbraw/zinc/65/74/06/613657406.db2.gz IPTOOPHJMIYLNS-UHFFFAOYSA-N 0 1 299.294 0.181 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C2CN(C(C)=O)C2)C1 ZINC000969567600 613661945 /nfs/dbraw/zinc/66/19/45/613661945.db2.gz FXBMHFAQGHAYON-SNVBAGLBSA-N 0 1 265.357 0.087 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCNC2=O)C1 ZINC000969885881 613662355 /nfs/dbraw/zinc/66/23/55/613662355.db2.gz ZOUOKQHMQYFFCM-ZYHUDNBSSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCCN(C(N)=O)C2)C1 ZINC000970096133 613662951 /nfs/dbraw/zinc/66/29/51/613662951.db2.gz MYJWDJUERBAYPQ-NEPJUHHUSA-N 0 1 294.399 0.400 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC000969787258 613668732 /nfs/dbraw/zinc/66/87/32/613668732.db2.gz CFRVLNLHELDBPH-NWDGAFQWSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC000970498627 613669025 /nfs/dbraw/zinc/66/90/25/613669025.db2.gz ZZDNNVRNQIIYLA-QWHCGFSZSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000969901406 613673030 /nfs/dbraw/zinc/67/30/30/613673030.db2.gz FFHYWZZUKHJICK-VIFPVBQESA-N 0 1 262.313 0.418 20 30 CCEDMN CC(=O)N1c2ccccc2C[C@H]1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834493962 613684868 /nfs/dbraw/zinc/68/48/68/613684868.db2.gz JGZDFZOSPRSVBN-YDHLFZDLSA-N 0 1 298.346 0.192 20 30 CCEDMN C=CCN1CCCCC[C@@H]1CNC(=O)c1nnn(C)n1 ZINC000968990429 613685753 /nfs/dbraw/zinc/68/57/53/613685753.db2.gz DBAKRDDIYGXLPB-LLVKDONJSA-N 0 1 278.360 0.371 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2cn(C)nc2C)CC1 ZINC000981347937 613690956 /nfs/dbraw/zinc/69/09/56/613690956.db2.gz LWHVAKNYUGHDPW-UHFFFAOYSA-N 0 1 276.384 0.991 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](OC)c2cnn(C)c2)CC1 ZINC000981692453 613691172 /nfs/dbraw/zinc/69/11/72/613691172.db2.gz CASSCHIDRHSEHD-AWEZNQCLSA-N 0 1 292.383 0.828 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCCc3nn[nH]c32)CC1 ZINC000981837986 613691932 /nfs/dbraw/zinc/69/19/32/613691932.db2.gz IKTITWTWIHTLLU-GFCCVEGCSA-N 0 1 289.383 0.945 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@]23C[C@H]2COC3)CC1 ZINC000981203223 613693321 /nfs/dbraw/zinc/69/33/21/613693321.db2.gz QKHNEQZIQMSFCK-JSGCOSHPSA-N 0 1 250.342 0.743 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC000981518396 613693598 /nfs/dbraw/zinc/69/35/98/613693598.db2.gz XYIMGWDRCILINQ-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCCCC(=O)N2)CC1 ZINC000981937255 613693823 /nfs/dbraw/zinc/69/38/23/613693823.db2.gz WBVAHVOMODUGGU-CYBMUJFWSA-N 0 1 279.384 0.766 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC000980825610 613695611 /nfs/dbraw/zinc/69/56/11/613695611.db2.gz IYJZWRAOWKEABF-NWDGAFQWSA-N 0 1 266.341 0.516 20 30 CCEDMN C=CCN1CCCN(C(=O)c2c(C)nc[nH]c2=O)CC1 ZINC000981799321 613695738 /nfs/dbraw/zinc/69/57/38/613695738.db2.gz DBVHQVYUAUWZHM-UHFFFAOYSA-N 0 1 276.340 0.825 20 30 CCEDMN C=CCN1CCCN(C(=O)c2ccc(=O)n(CC)n2)CC1 ZINC000982251405 613697396 /nfs/dbraw/zinc/69/73/96/613697396.db2.gz KXNRXBBOAVHLEK-UHFFFAOYSA-N 0 1 290.367 0.597 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2cn(C)nn2)C1 ZINC000965959401 613730598 /nfs/dbraw/zinc/73/05/98/613730598.db2.gz UUQDNUQTVJNISP-MNOVXSKESA-N 0 1 263.345 0.441 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCO[C@H](C)C#N)C1=O ZINC000801953198 613738447 /nfs/dbraw/zinc/73/84/47/613738447.db2.gz KUPSCLSDUNIQAQ-VXGBXAGGSA-N 0 1 251.330 0.634 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCN2CCOC2=O)C1=O ZINC000799177934 613738188 /nfs/dbraw/zinc/73/81/88/613738188.db2.gz YZTIGBGPPOKKPH-GFCCVEGCSA-N 0 1 281.356 0.547 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@@H](NC(C)=O)C(C)(C)C2)C1=O ZINC000972721365 613739862 /nfs/dbraw/zinc/73/98/62/613739862.db2.gz NFUGRRKPHLUMEF-CHWSQXEVSA-N 0 1 279.384 0.620 20 30 CCEDMN CC(=O)N(CC(=O)N[C@H]1CNC[C@H]1C#N)c1ccccc1 ZINC000884250498 613756213 /nfs/dbraw/zinc/75/62/13/613756213.db2.gz GEOXNIZWWGJCAR-OCCSQVGLSA-N 0 1 286.335 0.267 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2cn(CC)nn2)C1 ZINC000968481066 613770278 /nfs/dbraw/zinc/77/02/78/613770278.db2.gz XLVOYVFXSXGNRU-RYUDHWBXSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC000968481065 613774746 /nfs/dbraw/zinc/77/47/46/613774746.db2.gz XLVOYVFXSXGNRU-NWDGAFQWSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@@H]2CCN(C(=O)C3CC3)C2)C1=O ZINC000970932310 613777241 /nfs/dbraw/zinc/77/72/41/613777241.db2.gz OVPUGGVCMCIYFH-KGLIPLIRSA-N 0 1 291.395 0.716 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCNC(=O)CC2)C(C)(C)C1 ZINC000974859463 613807522 /nfs/dbraw/zinc/80/75/22/613807522.db2.gz CAEKWYXKXIPVSV-QWHCGFSZSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cnn(C)c2N)C(C)(C)C1 ZINC000974480632 613811954 /nfs/dbraw/zinc/81/19/54/613811954.db2.gz SGZPKRJEOZIVOP-LLVKDONJSA-N 0 1 277.372 0.629 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974948860 613827405 /nfs/dbraw/zinc/82/74/05/613827405.db2.gz PBTWLDBKWQVBPL-RYUDHWBXSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C(C)(C)C1 ZINC000975039439 613828340 /nfs/dbraw/zinc/82/83/40/613828340.db2.gz PQHROHJZYKFMGD-XQQFMLRXSA-N 0 1 293.411 0.723 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cc[n+]([O-])cc2)C(C)(C)C1 ZINC000972782788 613828596 /nfs/dbraw/zinc/82/85/96/613828596.db2.gz KYBAJTBWKDBKLB-ZDUSSCGKSA-N 0 1 275.352 0.946 20 30 CCEDMN C=CCNC(=O)C[N@@H+](CCc1ccccc1)CC(=O)[O-] ZINC000833377102 613844922 /nfs/dbraw/zinc/84/49/22/613844922.db2.gz MBKXQYIUILCUET-UHFFFAOYSA-N 0 1 276.336 0.918 20 30 CCEDMN C=CCNC(=O)C[N@H+](CCc1ccccc1)CC(=O)[O-] ZINC000833377102 613844923 /nfs/dbraw/zinc/84/49/23/613844923.db2.gz MBKXQYIUILCUET-UHFFFAOYSA-N 0 1 276.336 0.918 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC([C@H]2COC(=O)N2)CC1 ZINC000932783382 613853412 /nfs/dbraw/zinc/85/34/12/613853412.db2.gz OVUHGNUNHWWKOP-ZYHUDNBSSA-N 0 1 281.356 0.498 20 30 CCEDMN C=CCOc1cccnc1C(=O)NCC#CCN(C)C ZINC000913520595 613884781 /nfs/dbraw/zinc/88/47/81/613884781.db2.gz UNPNGDVPNWRDDP-UHFFFAOYSA-N 0 1 273.336 0.941 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)c1cccc(F)c1O ZINC000935332644 613885602 /nfs/dbraw/zinc/88/56/02/613885602.db2.gz LDKHBDBYQWAPGL-UHFFFAOYSA-N 0 1 287.312 0.862 20 30 CCEDMN CC(C)Nc1ncccc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834490324 613984145 /nfs/dbraw/zinc/98/41/45/613984145.db2.gz UEKXXYBWWYIIEC-CMPLNLGQSA-N 0 1 273.340 0.743 20 30 CCEDMN CC(C)(CO)N1CCN(Cc2cncc(C#N)c2)CC1 ZINC000929982558 614121377 /nfs/dbraw/zinc/12/13/77/614121377.db2.gz ZUCUBEFCHAMKHX-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN CC(C)(CO)[C@H](NCC(=O)NCCC#N)c1cccnc1 ZINC000852091276 614125502 /nfs/dbraw/zinc/12/55/02/614125502.db2.gz GSUBVLDAYZOHPL-CQSZACIVSA-N 0 1 290.367 0.761 20 30 CCEDMN CC(C)(O)CN1CC[C@H]([NH2+]Cc2nc(C#N)cs2)C1 ZINC000865659169 614192082 /nfs/dbraw/zinc/19/20/82/614192082.db2.gz FLZWVKKPQTUWCQ-JTQLQIEISA-N 0 1 280.397 0.950 20 30 CCEDMN CC(C)(O)CN1CC[C@H](NCc2nc(C#N)cs2)C1 ZINC000865659169 614192084 /nfs/dbraw/zinc/19/20/84/614192084.db2.gz FLZWVKKPQTUWCQ-JTQLQIEISA-N 0 1 280.397 0.950 20 30 CCEDMN CC(C)c1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)nn1C ZINC000834480914 614304941 /nfs/dbraw/zinc/30/49/41/614304941.db2.gz XWGZFPFGIFAEPV-ONGXEEELSA-N 0 1 261.329 0.385 20 30 CCEDMN CC1CC[NH+](CCn2cnc(-c3nn[n-]n3)c2C#N)CC1 ZINC000824957413 614438255 /nfs/dbraw/zinc/43/82/55/614438255.db2.gz XMLALKZPROFNFN-UHFFFAOYSA-N 0 1 286.343 0.667 20 30 CCEDMN CCCn1cc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)nn1 ZINC000872359573 614525298 /nfs/dbraw/zinc/52/52/98/614525298.db2.gz JFGBRUNILUCAKE-RYUDHWBXSA-N 0 1 275.360 0.882 20 30 CCEDMN CC1(C)CN(CC#N)C[C@@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000977568204 614607330 /nfs/dbraw/zinc/60/73/30/614607330.db2.gz CHPCYEXRCZFTJR-ZDUSSCGKSA-N 0 1 298.350 0.922 20 30 CCEDMN CCCCCCN(C)CC(=O)N[C@H]1CCN(O)C1=O ZINC000820156842 614906420 /nfs/dbraw/zinc/90/64/20/614906420.db2.gz OQHYMCBVWADUDD-NSHDSACASA-N 0 1 271.361 0.605 20 30 CCEDMN CCN(CC)C(=O)CCCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834495373 614962864 /nfs/dbraw/zinc/96/28/64/614962864.db2.gz UYYBOGQONWKJJX-NWDGAFQWSA-N 0 1 280.372 0.253 20 30 CCEDMN CCC[C@H](C)N1C[C@@H](C(=O)NCC#CCN(C)C)CC1=O ZINC000913517575 615038585 /nfs/dbraw/zinc/03/85/85/615038585.db2.gz HDOKTIFHSIZPAL-KBPBESRZSA-N 0 1 293.411 0.705 20 30 CCEDMN CCN(CCNS(=O)(=O)c1ccc(C#N)cn1)C1CC1 ZINC000906904788 615051527 /nfs/dbraw/zinc/05/15/27/615051527.db2.gz YYZQVAOPJNNZAG-UHFFFAOYSA-N 0 1 294.380 0.716 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@@]1(C)C[C@@H](O)CN1C ZINC000900239085 615064912 /nfs/dbraw/zinc/06/49/12/615064912.db2.gz AEKRSUCVCUDKQV-NQBHXWOUSA-N 0 1 253.346 0.450 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N[C@@H](C)C1CN(CC#N)C1 ZINC000970927385 615115031 /nfs/dbraw/zinc/11/50/31/615115031.db2.gz DGCIWGOXCTUOSJ-JSGCOSHPSA-N 0 1 278.400 0.821 20 30 CCEDMN CCOCCC[N@@H+]1CCC(N=NC2=NCC(=O)[N-]2)[C@H](C)C1 ZINC000863175260 615241386 /nfs/dbraw/zinc/24/13/86/615241386.db2.gz YOMYXHOGOONSOT-LLVKDONJSA-N 0 1 295.387 0.186 20 30 CCEDMN CCOCCC[N@H+]1CCC(N=NC2=NCC(=O)[N-]2)[C@H](C)C1 ZINC000863175260 615241387 /nfs/dbraw/zinc/24/13/87/615241387.db2.gz YOMYXHOGOONSOT-LLVKDONJSA-N 0 1 295.387 0.186 20 30 CCEDMN CCOc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)cc1OC ZINC000834506065 615509502 /nfs/dbraw/zinc/50/95/02/615509502.db2.gz PMZNRHKUONICQE-NEPJUHHUSA-N 0 1 289.335 0.935 20 30 CCEDMN CC[C@@H](O)CNN=Cc1ccc(N(C)CCOC)nc1 ZINC000811652653 615542226 /nfs/dbraw/zinc/54/22/26/615542226.db2.gz SMRQQDHRNONMFR-CYBMUJFWSA-N 0 1 280.372 0.859 20 30 CCEDMN CCc1cc(NC(=O)NCC#CCN(C)C)nn1C ZINC000931776275 615655998 /nfs/dbraw/zinc/65/59/98/615655998.db2.gz FQAQYKGFKNBKSP-UHFFFAOYSA-N 0 1 263.345 0.669 20 30 CCEDMN CCc1ccc(OCC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834512372 615677450 /nfs/dbraw/zinc/67/74/50/615677450.db2.gz VKBKYFKWXUFWBQ-JSGCOSHPSA-N 0 1 273.336 0.856 20 30 CCEDMN CCn1ncnc1C=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000848407407 615734898 /nfs/dbraw/zinc/73/48/98/615734898.db2.gz PEOKWMCIGYBNMZ-NXEZZACHSA-N 0 1 261.333 0.492 20 30 CCEDMN CCc1nc(CC(=O)N[C@H]2CNC[C@H]2C#N)cs1 ZINC000834494111 615739235 /nfs/dbraw/zinc/73/92/35/615739235.db2.gz KGKYADBBGNPNMD-SCZZXKLOSA-N 0 1 264.354 0.476 20 30 CCEDMN CCn1nncc1C=NNCCN1CCCCC1 ZINC000841618347 615752227 /nfs/dbraw/zinc/75/22/27/615752227.db2.gz CHEUJUKWAPJZBG-UHFFFAOYSA-N 0 1 250.350 0.707 20 30 CCEDMN CCc1n[nH]c(=O)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1CC ZINC000834479822 615816950 /nfs/dbraw/zinc/81/69/50/615816950.db2.gz ITOIGBXCKXAIJO-LDYMZIIASA-N 0 1 289.339 0.148 20 30 CCEDMN CCc1n[nH]c(=O)c(C(=O)N[C@H]2CNC[C@@H]2C#N)c1CC ZINC000834479820 615816994 /nfs/dbraw/zinc/81/69/94/615816994.db2.gz ITOIGBXCKXAIJO-KWQFWETISA-N 0 1 289.339 0.148 20 30 CCEDMN CN(C(=O)Cc1ccn[nH]1)[C@@H]1CCCN(CC#N)CC1 ZINC000948263331 615824272 /nfs/dbraw/zinc/82/42/72/615824272.db2.gz ZYKWHDGJCPDEOU-CYBMUJFWSA-N 0 1 275.356 0.789 20 30 CCEDMN CN(C(=O)Cc1ccccc1CC#N)c1nn[nH]n1 ZINC000892954657 615825117 /nfs/dbraw/zinc/82/51/17/615825117.db2.gz PEWPFMHVPBGIFC-UHFFFAOYSA-N 0 1 256.269 0.471 20 30 CCEDMN CN(C)C1(CNS(=O)(=O)c2ccc(C#N)cn2)CC1 ZINC000907254890 615851515 /nfs/dbraw/zinc/85/15/15/615851515.db2.gz QDJXNORNOBFKQS-UHFFFAOYSA-N 0 1 280.353 0.326 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C\CN(C)CC(F)(F)F ZINC000913522279 615856928 /nfs/dbraw/zinc/85/69/28/615856928.db2.gz CIIAPAHFQYBESR-SREVYHEPSA-N 0 1 291.317 0.718 20 30 CCEDMN CN(C)CC#CCNC(=O)CSCc1ccncc1 ZINC000913516794 615858233 /nfs/dbraw/zinc/85/82/33/615858233.db2.gz HAEZTKKEUDGDOC-UHFFFAOYSA-N 0 1 277.393 0.996 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1nn(C)cc1Cl ZINC000932426141 615859456 /nfs/dbraw/zinc/85/94/56/615859456.db2.gz DWBCRJWWNRNXGS-UHFFFAOYSA-N 0 1 269.736 0.760 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(OC(F)F)nc1 ZINC000827971973 615860974 /nfs/dbraw/zinc/86/09/74/615860974.db2.gz NJTPAGICKNNUNO-UHFFFAOYSA-N 0 1 283.278 0.978 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2nsnc21 ZINC000913524999 615861516 /nfs/dbraw/zinc/86/15/16/615861516.db2.gz GLCMGLDXWXXBLH-UHFFFAOYSA-N 0 1 274.349 0.986 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nn(C)c(=O)c2ccccc21 ZINC000913515090 615862067 /nfs/dbraw/zinc/86/20/67/615862067.db2.gz IGDOIYLBVMQRKY-UHFFFAOYSA-N 0 1 298.346 0.228 20 30 CCEDMN CC[C@H](OC1CCCC1)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834490238 615864058 /nfs/dbraw/zinc/86/40/58/615864058.db2.gz SPLDIBHHZYBBDD-WXHSDQCUSA-N 0 1 265.357 0.952 20 30 CCEDMN CN(C(=O)[C@@]1(C#N)CC2CCC1CC2)c1nn[nH]n1 ZINC000912616967 615869782 /nfs/dbraw/zinc/86/97/82/615869782.db2.gz AFXWSYZFKXQPED-SHVIVCPWSA-N 0 1 260.301 0.883 20 30 CCEDMN CN(C(=O)c1cc(C#N)c[nH]1)C1CN(C(=O)c2ccn[nH]2)C1 ZINC000953989698 615894206 /nfs/dbraw/zinc/89/42/06/615894206.db2.gz APMMSSLPCXOSDL-UHFFFAOYSA-N 0 1 298.306 0.206 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@@H]1CCCN(CC#N)CC1 ZINC000948207780 615898950 /nfs/dbraw/zinc/89/89/50/615898950.db2.gz AOVUJKAQUQLUNQ-LLVKDONJSA-N 0 1 261.329 0.860 20 30 CCEDMN CN(C)Cc1ccsc1C(=O)N[C@@H]1CCN(O)C1=O ZINC000820149622 615957331 /nfs/dbraw/zinc/95/73/31/615957331.db2.gz QDEIVISOTMKJPM-SECBINFHSA-N 0 1 283.353 0.530 20 30 CCEDMN CN(C)[C@@H](CNC(=O)NCC#CCO)c1cccs1 ZINC000923787962 615974149 /nfs/dbraw/zinc/97/41/49/615974149.db2.gz ACORUTYIIZCECM-NSHDSACASA-N 0 1 281.381 0.646 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)c2ccc3[nH]nnc3c2)C1 ZINC000980368528 616041657 /nfs/dbraw/zinc/04/16/57/616041657.db2.gz ALQCGBOJSAORPR-NSHDSACASA-N 0 1 284.323 0.628 20 30 CCEDMN CN(CC(=O)[O-])C(=O)CC[N@@H+](CCC#N)CC1CC1 ZINC000830691781 616049457 /nfs/dbraw/zinc/04/94/57/616049457.db2.gz CKVLCKFWZJQAOU-UHFFFAOYSA-N 0 1 267.329 0.545 20 30 CCEDMN C[N@@H+](CC(C)(CO)CO)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000833692384 616052313 /nfs/dbraw/zinc/05/23/13/616052313.db2.gz RXIPLCCMDUFHKF-CYBMUJFWSA-N 0 1 292.335 0.607 20 30 CCEDMN CN(CCO)c1ccc(C=[NH+][N-]c2ncn[nH]2)cc1 ZINC000807998519 616113719 /nfs/dbraw/zinc/11/37/19/616113719.db2.gz ITLGYHGAFNMJRD-UHFFFAOYSA-N 0 1 260.301 0.679 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCCN(CC#N)CC1 ZINC000981458064 616182890 /nfs/dbraw/zinc/18/28/90/616182890.db2.gz WKWPFKQQTNMMKS-ZDUSSCGKSA-N 0 1 264.373 0.529 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1CN(CC#N)CC1(C)C ZINC000972858021 616212792 /nfs/dbraw/zinc/21/27/92/616212792.db2.gz SCSBETJURBGCJR-RYUDHWBXSA-N 0 1 264.373 0.431 20 30 CCEDMN CN1CCN(C[C@H](O)CC2(C#N)CCC2)C[C@H]1CO ZINC000931398245 616243734 /nfs/dbraw/zinc/24/37/34/616243734.db2.gz JTVPFYQUGLXRRQ-QWHCGFSZSA-N 0 1 267.373 0.040 20 30 CCEDMN CN([C@@H]1CCN(C(=O)C#CC2CC2)C1)[C@@H]1CCN(C)C1=O ZINC000971511373 616273448 /nfs/dbraw/zinc/27/34/48/616273448.db2.gz LDBCFQHWXDYVOH-ZIAGYGMSSA-N 0 1 289.379 0.163 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)N[C@H](CC#N)C(F)(F)F ZINC000932889997 616295468 /nfs/dbraw/zinc/29/54/68/616295468.db2.gz XBTOOEWFZPHMQO-HTQZYQBOSA-N 0 1 264.251 0.834 20 30 CCEDMN COC(=O)C1(C#N)CCN(C[C@@]2(OC)CCOC2)CC1 ZINC000877566342 616345196 /nfs/dbraw/zinc/34/51/96/616345196.db2.gz GXDJTHCALLJBBZ-AWEZNQCLSA-N 0 1 282.340 0.571 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCc1ccc(C#N)cc1F ZINC000900589274 616346154 /nfs/dbraw/zinc/34/61/54/616346154.db2.gz BNWIZMMCXUMOGJ-SWLSCSKDSA-N 0 1 291.326 0.769 20 30 CCEDMN CN1C[C@H](O)C[C@H]1CNC(=O)c1cc(F)c(C#N)c(F)c1 ZINC000924977777 616488668 /nfs/dbraw/zinc/48/86/68/616488668.db2.gz GMYHPLPYEQVGIS-VHSXEESVSA-N 0 1 295.289 0.631 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)C[C@@H]1C[C@H]1C1CC1 ZINC000892491862 616491965 /nfs/dbraw/zinc/49/19/65/616491965.db2.gz OMNZVVGYGMOUNP-SRVKXCTJSA-N 0 1 264.325 0.894 20 30 CCEDMN COCC#CCN(C)C[C@H](O)CNC(=O)OC(C)(C)C ZINC000830457261 616527631 /nfs/dbraw/zinc/52/76/31/616527631.db2.gz QNGSMUJAMWGWSY-GFCCVEGCSA-N 0 1 286.372 0.454 20 30 CCEDMN COC(=O)[C@@](C)(Cn1cccn1)NC(=O)C(C)C#N ZINC000890954764 616534669 /nfs/dbraw/zinc/53/46/69/616534669.db2.gz PGDSTVJSUQXZIP-BXKDBHETSA-N 0 1 264.285 0.091 20 30 CCEDMN COCC(C)(C)N1CCN(C(=O)C=NOC)CC1 ZINC000819635865 616721015 /nfs/dbraw/zinc/72/10/15/616721015.db2.gz KMOACWAAHYHQPU-UHFFFAOYSA-N 0 1 257.334 0.188 20 30 CCEDMN CNC(=O)NCCC(=O)Nc1cc(C#N)ccc1O ZINC000815877892 616728556 /nfs/dbraw/zinc/72/85/56/616728556.db2.gz KYTBHIJLHQFCJP-UHFFFAOYSA-N 0 1 262.269 0.521 20 30 CCEDMN COC(=O)[C@]1(O)CCN(Cc2ccc(C#N)cc2C)C1 ZINC000880566710 616786396 /nfs/dbraw/zinc/78/63/96/616786396.db2.gz QQXFVSXESCIJMT-HNNXBMFYSA-N 0 1 274.320 0.977 20 30 CCEDMN COC[C@@H](C)NC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000867955091 616823919 /nfs/dbraw/zinc/82/39/19/616823919.db2.gz VMMXMXBBCZPHBE-YGRLFVJLSA-N 0 1 273.336 0.896 20 30 CCEDMN COC(=O)c1cc(CN=Nc2cc(Cl)nc(N)n2)on1 ZINC000814899175 616844013 /nfs/dbraw/zinc/84/40/13/616844013.db2.gz JVDXXQOTLFBFBF-UHFFFAOYSA-N 0 1 296.674 0.933 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H](OC)C1CCC1 ZINC000850753426 616897418 /nfs/dbraw/zinc/89/74/18/616897418.db2.gz ZUPGELGVHQYFHE-AAEUAGOBSA-N 0 1 282.340 0.663 20 30 CCEDMN COCc1nc(C(=O)N[C@H]2CNC[C@H]2C#N)cs1 ZINC000834494249 616931239 /nfs/dbraw/zinc/93/12/39/616931239.db2.gz MFPIXXRRFCOQRM-SFYZADRCSA-N 0 1 266.326 0.131 20 30 CCEDMN COCc1nc(C(=O)N[C@H]2CNC[C@@H]2C#N)cs1 ZINC000834494250 616931245 /nfs/dbraw/zinc/93/12/45/616931245.db2.gz MFPIXXRRFCOQRM-YUMQZZPRSA-N 0 1 266.326 0.131 20 30 CCEDMN COCCN(CCC#N)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000896634283 616992238 /nfs/dbraw/zinc/99/22/38/616992238.db2.gz OVHNHWVYYICTMQ-CYBMUJFWSA-N 0 1 281.356 0.096 20 30 CCEDMN CON=CC(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000809621593 617105581 /nfs/dbraw/zinc/10/55/81/617105581.db2.gz KSEXHDNCVASQHI-JTQLQIEISA-N 0 1 260.257 0.038 20 30 CCEDMN CO[C@@H](C(=O)NCC#CCN(C)C)c1ccc(O)cc1 ZINC000913521082 617125278 /nfs/dbraw/zinc/12/52/78/617125278.db2.gz KEJIAINJTGNMLK-CQSZACIVSA-N 0 1 276.336 0.761 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@]1(C)CCO[C@H]1C ZINC001025924266 625304221 /nfs/dbraw/zinc/30/42/21/625304221.db2.gz OUTVCOZFVPCOTB-JAAXMTQOSA-N 0 1 276.380 0.871 20 30 CCEDMN C=CC[C@@H]1CCN1CC(=O)NC(=O)N[C@H](C)COC ZINC000934472555 622712932 /nfs/dbraw/zinc/71/29/32/622712932.db2.gz RZKUWLCOPFCZHZ-GHMZBOCLSA-N 0 1 269.345 0.498 20 30 CCEDMN C=CC[C@@H]1CCN1[C@H](C)C(=O)N1CCC[C@@H](C(N)=O)C1 ZINC000934469166 622713110 /nfs/dbraw/zinc/71/31/10/622713110.db2.gz POWSUICOEVENGH-JHJVBQTASA-N 0 1 279.384 0.749 20 30 CCEDMN CN(Cc1cccc(C#N)c1)[C@@H]1CCN(C(=O)C(N)=O)C1 ZINC000972210034 622718942 /nfs/dbraw/zinc/71/89/42/622718942.db2.gz ONBPDNBCTLPWPV-CYBMUJFWSA-N 0 1 286.335 0.076 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2csnn2)C1 ZINC001023514426 623046038 /nfs/dbraw/zinc/04/60/38/623046038.db2.gz MCKHFGQAAVSXCB-JTQLQIEISA-N 0 1 264.354 0.613 20 30 CCEDMN C#CCC[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1C[NH+](C)CCO1 ZINC001024376950 623300721 /nfs/dbraw/zinc/30/07/21/623300721.db2.gz FXXZKQVPKNLLMH-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2ccnn2C)C1 ZINC001006900867 623344061 /nfs/dbraw/zinc/34/40/61/623344061.db2.gz VUDXRMAWLYWAJA-LBPRGKRZSA-N 0 1 260.341 0.638 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ccnn2C)C1 ZINC001006900867 623344067 /nfs/dbraw/zinc/34/40/67/623344067.db2.gz VUDXRMAWLYWAJA-LBPRGKRZSA-N 0 1 260.341 0.638 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1[nH]nnc1C ZINC001024588453 623383141 /nfs/dbraw/zinc/38/31/41/623383141.db2.gz ZZBWNCDAZFDKOT-LLVKDONJSA-N 0 1 263.345 0.883 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCN(C)C1=O ZINC001024604123 623392547 /nfs/dbraw/zinc/39/25/47/623392547.db2.gz JURQYXXWFAHOTP-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001024608487 623395451 /nfs/dbraw/zinc/39/54/51/623395451.db2.gz PZQVLWKQHOCBHA-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]([C@H](C)NC(=O)c2[nH]nnc2C)C1 ZINC001025507114 623820981 /nfs/dbraw/zinc/82/09/81/623820981.db2.gz SGCRITNZWCYSOB-WCQYABFASA-N 0 1 289.383 0.967 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@@H]([C@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025507114 623820988 /nfs/dbraw/zinc/82/09/88/623820988.db2.gz SGCRITNZWCYSOB-WCQYABFASA-N 0 1 289.383 0.967 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]([C@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025507114 623820989 /nfs/dbraw/zinc/82/09/89/623820989.db2.gz SGCRITNZWCYSOB-WCQYABFASA-N 0 1 289.383 0.967 20 30 CCEDMN C[C@H](NC(=O)c1nnc[n-]1)[C@@H]1CCC[N@@H+](CC#N)C1 ZINC001025561592 623827280 /nfs/dbraw/zinc/82/72/80/623827280.db2.gz MKLGIJFCVLRADL-VHSXEESVSA-N 0 1 262.317 0.159 20 30 CCEDMN C[C@H](NC(=O)c1nnc[nH]1)[C@@H]1CCCN(CC#N)C1 ZINC001025561592 623827282 /nfs/dbraw/zinc/82/72/82/623827282.db2.gz MKLGIJFCVLRADL-VHSXEESVSA-N 0 1 262.317 0.159 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(C)C1(C(=O)[O-])CCCC1 ZINC000328072093 623836845 /nfs/dbraw/zinc/83/68/45/623836845.db2.gz DVKOHPDYHRWCMT-UHFFFAOYSA-N 0 1 295.383 0.980 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2ccn3cncc3c2)C1 ZINC000969213664 624474144 /nfs/dbraw/zinc/47/41/44/624474144.db2.gz IWBZHUQTWQSLBD-GFCCVEGCSA-N 0 1 269.308 0.662 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)[C@@H](O)c2ccc(C#N)cc2)n[nH]1 ZINC000804814389 624638388 /nfs/dbraw/zinc/63/83/88/624638388.db2.gz TWICIBXJIHECOJ-UFBFGSQYSA-N 0 1 285.307 0.896 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cccnc2)C1 ZINC001010627266 624652968 /nfs/dbraw/zinc/65/29/68/624652968.db2.gz JVEXBCVQLPAILF-CQSZACIVSA-N 0 1 257.337 0.838 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@H](CCCN2C(=O)Cc2nnc[n-]2)C1 ZINC001021711636 624814301 /nfs/dbraw/zinc/81/43/01/624814301.db2.gz ZILXUKVBTXUNOM-OLZOCXBDSA-N 0 1 287.367 0.293 20 30 CCEDMN C#CC[N@H+]1CC[C@H]2[C@H](CCCN2C(=O)Cc2nnc[n-]2)C1 ZINC001021711636 624814302 /nfs/dbraw/zinc/81/43/02/624814302.db2.gz ZILXUKVBTXUNOM-OLZOCXBDSA-N 0 1 287.367 0.293 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@@H](NC(=O)c3c[nH]c(C#N)c3)C2)[nH]1 ZINC001021991600 624859318 /nfs/dbraw/zinc/85/93/18/624859318.db2.gz TWGULIPEEMHROC-PHIMTYICSA-N 0 1 299.338 0.364 20 30 CCEDMN CC(=O)NCCN(C)C[C@H](O)c1ccc(C#N)cc1 ZINC000308312042 624863209 /nfs/dbraw/zinc/86/32/09/624863209.db2.gz SALPDRRACSDWJM-AWEZNQCLSA-N 0 1 261.325 0.660 20 30 CCEDMN Cc1noc(C[C@H]2CCCN(C[C@H](O)CC#N)C2)n1 ZINC000933573749 624896300 /nfs/dbraw/zinc/89/63/00/624896300.db2.gz NOLRZYRCJIZDFZ-VXGBXAGGSA-N 0 1 264.329 0.907 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC[C@@H]1CCOC1 ZINC000176086705 624939204 /nfs/dbraw/zinc/93/92/04/624939204.db2.gz FYBZMAABZCYQEX-NEPJUHHUSA-N 0 1 282.340 0.665 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)[C@@]1(O)CCSC1 ZINC000328997666 624995414 /nfs/dbraw/zinc/99/54/14/624995414.db2.gz WUXGNYVTIWBDHC-DGCLKSJQSA-N 0 1 287.429 0.447 20 30 CCEDMN Cc1cc(N)nc(N2CCN(c3ccc(C#N)cn3)CC2)n1 ZINC000891953163 625000572 /nfs/dbraw/zinc/00/05/72/625000572.db2.gz XFXBJAXJPCNANI-UHFFFAOYSA-N 0 1 295.350 0.961 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@@H]2CCCN(C(C)=O)C2)C1=O ZINC001027257208 625776999 /nfs/dbraw/zinc/77/69/99/625776999.db2.gz RDUVEKCKFHUYKJ-ZIAGYGMSSA-N 0 1 279.384 0.716 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001027461885 625896994 /nfs/dbraw/zinc/89/69/94/625896994.db2.gz RFIWLSNNMYFBET-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@@H]2CCN2C(=O)[C@H](C)C#N)n[nH]1 ZINC000977424232 625937600 /nfs/dbraw/zinc/93/76/00/625937600.db2.gz RMTCVIMIPFBGNO-KOLCDFICSA-N 0 1 289.339 0.551 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001027523634 625939750 /nfs/dbraw/zinc/93/97/50/625939750.db2.gz DRPVKUBUXHGXSM-OLZOCXBDSA-N 0 1 277.368 0.163 20 30 CCEDMN C[C@@H]1C[NH2+]C[C@H]1CC[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000903548788 634611501 /nfs/dbraw/zinc/61/15/01/634611501.db2.gz BTOWNRZSXTWZBH-NXEZZACHSA-N 0 1 283.353 0.675 20 30 CCEDMN C[C@@](O)(CNCC(=O)NCC#N)C(F)(F)C(F)(F)F ZINC000905258578 634828531 /nfs/dbraw/zinc/82/85/31/634828531.db2.gz YVGILMBCQXEBFV-SSDOTTSWSA-N 0 1 289.204 0.164 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnccn1 ZINC001027732250 630882143 /nfs/dbraw/zinc/88/21/43/630882143.db2.gz QTMVYSRPDLFQSZ-GFCCVEGCSA-N 0 1 258.325 0.694 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@H](NC(=O)c3ncn[nH]3)C[C@H]2C1 ZINC000980187658 630999932 /nfs/dbraw/zinc/99/99/32/630999932.db2.gz KCRWDHDIDXXTEO-DCAQKATOSA-N 0 1 274.328 0.159 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@H](NC(=O)c3nc[nH]n3)C[C@H]2C1 ZINC000980187658 630999935 /nfs/dbraw/zinc/99/99/35/630999935.db2.gz KCRWDHDIDXXTEO-DCAQKATOSA-N 0 1 274.328 0.159 20 30 CCEDMN C[C@H](O)CN1CCCN(C(=O)c2cc(C#N)c[nH]2)CC1 ZINC000980917525 631080608 /nfs/dbraw/zinc/08/06/08/631080608.db2.gz OTJPCSPVVIZPPV-NSHDSACASA-N 0 1 276.340 0.415 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN(C(=O)c3ccn[nH]3)CC2)[nH]1 ZINC001010409546 631420666 /nfs/dbraw/zinc/42/06/66/631420666.db2.gz JVTRAXDHFPEKNK-UHFFFAOYSA-N 0 1 298.306 0.208 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)cc2CO)C[C@H](C)N1CCO ZINC000894078915 631511324 /nfs/dbraw/zinc/51/13/24/631511324.db2.gz CQAGZKCWEPIVPE-BETUJISGSA-N 0 1 289.379 0.942 20 30 CCEDMN Cc1ncc(CO)c(/C=N/CCN2CCN(C)CC2)c1O ZINC000019367564 631975208 /nfs/dbraw/zinc/97/52/08/631975208.db2.gz HYBBUGBQQNEFQR-MHWRWJLKSA-N 0 1 292.383 0.254 20 30 CCEDMN Cc1cc(N[C@@H](C)[C@@H]2C[N@@H+](C)CCN2C)c(C#N)cn1 ZINC000895249840 632034092 /nfs/dbraw/zinc/03/40/92/632034092.db2.gz WFGNFMMRKCOEPI-WFASDCNBSA-N 0 1 273.384 0.730 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)nn1)[C@@H]1CN(C)CCN1C ZINC000895246354 632035861 /nfs/dbraw/zinc/03/58/61/632035861.db2.gz NGDGHENRWIQCAG-PWSUYJOCSA-N 0 1 260.345 0.394 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnn(C)c2C)C1 ZINC001006761851 650050571 /nfs/dbraw/zinc/05/05/71/650050571.db2.gz SYZJSFVAIKRNTF-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(C[C@H](C)CCC#N)CC1 ZINC000895920089 632177100 /nfs/dbraw/zinc/17/71/00/632177100.db2.gz DECAOGKXLCBTHL-CYBMUJFWSA-N 0 1 298.412 0.011 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)cc1)[C@@H]1CN(C)CCN1C ZINC000896578813 632293524 /nfs/dbraw/zinc/29/35/24/632293524.db2.gz AOSCNQXKZULZEI-WFASDCNBSA-N 0 1 286.379 0.922 20 30 CCEDMN N#Cc1nccnc1N1CC[C@H]2[C@@H]1CCC[N@@H+]2CCO ZINC000897222520 632425369 /nfs/dbraw/zinc/42/53/69/632425369.db2.gz VKWPZGOJENMRBX-STQMWFEESA-N 0 1 273.340 0.384 20 30 CCEDMN N#Cc1nccnc1N1CC[C@H]2[C@@H]1CCCN2CCO ZINC000897222520 632425376 /nfs/dbraw/zinc/42/53/76/632425376.db2.gz VKWPZGOJENMRBX-STQMWFEESA-N 0 1 273.340 0.384 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001007547181 650083317 /nfs/dbraw/zinc/08/33/17/650083317.db2.gz LVZAFXACGLYWLZ-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001007547179 650083733 /nfs/dbraw/zinc/08/37/33/650083733.db2.gz LVZAFXACGLYWLZ-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001007566704 650084692 /nfs/dbraw/zinc/08/46/92/650084692.db2.gz FGGJOMYOERNDRQ-NWDGAFQWSA-N 0 1 265.357 0.422 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001007606570 650085819 /nfs/dbraw/zinc/08/58/19/650085819.db2.gz VFEGLWPVKWQRAH-DOMZBBRYSA-N 0 1 279.384 0.669 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@@H]1CCCN(CC#N)C1 ZINC001007646716 650087539 /nfs/dbraw/zinc/08/75/39/650087539.db2.gz PXFIRTMYDMSFMP-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCn2ccnn2)[C@@H]1C ZINC000986219500 632729769 /nfs/dbraw/zinc/72/97/69/632729769.db2.gz QHYVUTBDSCOSIT-VXGBXAGGSA-N 0 1 297.790 1.000 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn[nH]n2)[C@H]1C ZINC000986456491 632757165 /nfs/dbraw/zinc/75/71/65/632757165.db2.gz OPLISQWFXIJJHX-IUCAKERBSA-N 0 1 269.736 0.750 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000841001739 633013991 /nfs/dbraw/zinc/01/39/91/633013991.db2.gz UFEMJZORNKVXSA-GZMMTYOYSA-N 0 1 275.268 0.828 20 30 CCEDMN C#CCCN1CCCN(C(=O)C(=O)N2CC[C@H](C)C2)CC1 ZINC000989446688 633257853 /nfs/dbraw/zinc/25/78/53/633257853.db2.gz WUUSZRBOMGSWFT-AWEZNQCLSA-N 0 1 291.395 0.412 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2cc(Cl)ncc2C(=O)[O-])N1 ZINC000905460159 634867275 /nfs/dbraw/zinc/86/72/75/634867275.db2.gz KDCGYXWOHKYZFA-ZCFIWIBFSA-N 0 1 281.703 0.704 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)c2cnn(C)n2)C1 ZINC000989549899 633326216 /nfs/dbraw/zinc/32/62/16/633326216.db2.gz MOWDWNVPFMRGJW-VHSXEESVSA-N 0 1 283.763 0.760 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cn(C)cn3)CCC[C@@H]12 ZINC000990237628 633490182 /nfs/dbraw/zinc/49/01/82/633490182.db2.gz ORLARCAPWFQMLS-UKRRQHHQSA-N 0 1 272.352 0.780 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(N[C@@H](C)c2nncn2C)CC1 ZINC000990313499 633509296 /nfs/dbraw/zinc/50/92/96/633509296.db2.gz FIBZVPOGGXEVOQ-MNOVXSKESA-N 0 1 290.371 0.616 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)Cc3nnc(C)o3)CCC[C@H]12 ZINC000990540103 633552314 /nfs/dbraw/zinc/55/23/14/633552314.db2.gz CVWPXALCBMGLMK-WFASDCNBSA-N 0 1 288.351 0.667 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CCC[C@H]2c2nnc[nH]2)cn1 ZINC000899108820 633736416 /nfs/dbraw/zinc/73/64/16/633736416.db2.gz PCLUQYWZZYNJTH-LBPRGKRZSA-N 0 1 282.307 0.978 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001008494447 650117087 /nfs/dbraw/zinc/11/70/87/650117087.db2.gz UZKPRTYFAZJBNH-CHWSQXEVSA-N 0 1 279.384 0.812 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@]34C[C@H]3COC4)CCC[C@H]12 ZINC000990790855 633833831 /nfs/dbraw/zinc/83/38/31/633833831.db2.gz MFNWHNKTMYCFSX-DARAHFNDSA-N 0 1 274.364 0.769 20 30 CCEDMN N#Cc1ccc([C@H](O)COCCc2c[nH]nn2)cc1 ZINC000899888589 633916199 /nfs/dbraw/zinc/91/61/99/633916199.db2.gz LTSNBHXTOBIHJA-CYBMUJFWSA-N 0 1 258.281 0.969 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001008858615 650129600 /nfs/dbraw/zinc/12/96/00/650129600.db2.gz ZHQHXFGIHLTNPT-STQMWFEESA-N 0 1 277.368 0.259 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnn(CCOC)c2)C1 ZINC001009360820 650142896 /nfs/dbraw/zinc/14/28/96/650142896.db2.gz BUPLZBSRTZFFFB-AWEZNQCLSA-N 0 1 292.383 0.910 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001009668252 650154033 /nfs/dbraw/zinc/15/40/33/650154033.db2.gz MBFLWHQCXVXVLZ-LLVKDONJSA-N 0 1 288.351 0.561 20 30 CCEDMN N#CC1(CNC[C@H](O)C2(O)CCOCC2)CCC1 ZINC000905287360 634840433 /nfs/dbraw/zinc/84/04/33/634840433.db2.gz CTOUBMRWTGJOSF-NSHDSACASA-N 0 1 254.330 0.172 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2n[nH]c(C)c2C)C1 ZINC001010399593 650217587 /nfs/dbraw/zinc/21/75/87/650217587.db2.gz GVRNKFVMGJJSNS-GFCCVEGCSA-N 0 1 260.341 0.854 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC001010417574 650222799 /nfs/dbraw/zinc/22/27/99/650222799.db2.gz GPWWBSHYUVHCFW-LLVKDONJSA-N 0 1 263.345 0.504 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001010641285 650249065 /nfs/dbraw/zinc/24/90/65/650249065.db2.gz QXQABVITUGKXKR-SNVBAGLBSA-N 0 1 264.329 0.804 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@@H](C)n2cncn2)C1 ZINC001010688166 650254017 /nfs/dbraw/zinc/25/40/17/650254017.db2.gz HUJBCUCYKMYLOX-NEPJUHHUSA-N 0 1 263.345 0.606 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(OC)no2)C1 ZINC001010699939 650255470 /nfs/dbraw/zinc/25/54/70/650255470.db2.gz JVJIBLPFHIKPOK-JTQLQIEISA-N 0 1 263.297 0.511 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cncnc2)C1 ZINC001010792823 650264422 /nfs/dbraw/zinc/26/44/22/650264422.db2.gz CPLUZSFEZQLVFI-ZDUSSCGKSA-N 0 1 258.325 0.233 20 30 CCEDMN N#Cc1ccncc1C(=O)N1CC[C@@H](N2CCC2)C1 ZINC000912909087 636697187 /nfs/dbraw/zinc/69/71/87/636697187.db2.gz XCJIWRHWOJDUON-GFCCVEGCSA-N 0 1 256.309 0.873 20 30 CCEDMN N#C[C@@]1(C(=O)NCCN2CC[C@H](O)C2)CC2CCC1CC2 ZINC000913352839 636801347 /nfs/dbraw/zinc/80/13/47/636801347.db2.gz YELLRFKVVOHZIA-UJJWPFCGSA-N 0 1 291.395 0.889 20 30 CCEDMN COCCOc1ncccc1C(=O)NCC#CCN(C)C ZINC000913517434 636834901 /nfs/dbraw/zinc/83/49/01/636834901.db2.gz ATHNJVAGUGAPNU-UHFFFAOYSA-N 0 1 291.351 0.402 20 30 CCEDMN CO[C@H]1CCCC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913522671 636835762 /nfs/dbraw/zinc/83/57/62/636835762.db2.gz TYGFBCRLISECKB-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN C#CC[NH2+]C1CCN(C(=O)c2ncccc2[O-])CC1 ZINC000991582364 636920167 /nfs/dbraw/zinc/92/01/67/636920167.db2.gz XOTZKIGPPVKNBI-UHFFFAOYSA-N 0 1 259.309 0.615 20 30 CCEDMN CCCNC(=O)CCCC(=O)C(C#N)C(=O)NC(C)C ZINC000173982387 637238240 /nfs/dbraw/zinc/23/82/40/637238240.db2.gz DIDULKNGVHMXJT-LLVKDONJSA-N 0 1 281.356 0.916 20 30 CCEDMN C=CCOCCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000173975245 637238389 /nfs/dbraw/zinc/23/83/89/637238389.db2.gz KYSSSQLORXBIDZ-NSHDSACASA-N 0 1 250.298 0.957 20 30 CCEDMN N#CCCCC(=O)N1CCN(CCCCCO)CC1 ZINC000913694077 637413518 /nfs/dbraw/zinc/41/35/18/637413518.db2.gz BDOOZDMVRSMOEL-UHFFFAOYSA-N 0 1 267.373 0.987 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)Cc3ncn[nH]3)C[C@H]21 ZINC000992860889 637627699 /nfs/dbraw/zinc/62/76/99/637627699.db2.gz NDJSWRSTTVKSJE-IJLUTSLNSA-N 0 1 288.355 0.087 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC000993269193 637761533 /nfs/dbraw/zinc/76/15/33/637761533.db2.gz UEBCCYFXBBUFPA-DOMZBBRYSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cnn(C)c2N)[C@H]1C ZINC000993271523 637762091 /nfs/dbraw/zinc/76/20/91/637762091.db2.gz XOYYZEBPNUFDAB-DGCLKSJQSA-N 0 1 289.383 0.608 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2nnc(C)o2)[C@@H]1C ZINC000993337970 637791467 /nfs/dbraw/zinc/79/14/67/637791467.db2.gz XMPOKGPRUYSIAI-JQWIXIFHSA-N 0 1 276.340 0.523 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2ccc(=O)[nH]c2)[C@@H]1C ZINC000993609972 637820138 /nfs/dbraw/zinc/82/01/38/637820138.db2.gz ZGPWLFNSEUBETP-JSGCOSHPSA-N 0 1 287.363 0.932 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2c[nH]c(=O)n2C)[C@@H]1C ZINC000993702583 637838098 /nfs/dbraw/zinc/83/80/98/637838098.db2.gz PSEZOZXQMXWSOT-NWDGAFQWSA-N 0 1 290.367 0.732 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cccn(C)c2=O)[C@H]1C ZINC000994237454 637878635 /nfs/dbraw/zinc/87/86/35/637878635.db2.gz GECOIZNOKIHRSN-OCCSQVGLSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cn2ccccc2=O)[C@@H]1C ZINC000994370623 637920658 /nfs/dbraw/zinc/92/06/58/637920658.db2.gz FQKWSUBVIMUXJL-UONOGXRCSA-N 0 1 287.363 0.451 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](NC(C)=O)C[C@H]2C)CC1 ZINC001012851625 650387217 /nfs/dbraw/zinc/38/72/17/650387217.db2.gz JJVCZPSUAIFITB-DOMZBBRYSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NC(=O)[C@@H]2CCCC[N@H+]2C)C1 ZINC000938466403 638223869 /nfs/dbraw/zinc/22/38/69/638223869.db2.gz KQLDFSUPFYQTDF-AGIUHOORSA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NC(=O)[C@@H]2CCCCN2C)C1 ZINC000938466403 638223875 /nfs/dbraw/zinc/22/38/75/638223875.db2.gz KQLDFSUPFYQTDF-AGIUHOORSA-N 0 1 292.383 0.347 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCN(CC#N)C[C@H]2C)[nH]n1 ZINC000941943461 638587050 /nfs/dbraw/zinc/58/70/50/638587050.db2.gz PMOIFXDFLHMTKI-ZWNOBZJWSA-N 0 1 275.356 0.611 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CCN(CC#N)C[C@H]2C)n[nH]1 ZINC000942031412 638606057 /nfs/dbraw/zinc/60/60/57/638606057.db2.gz FYQJKXHFRNUMAL-MWLCHTKSSA-N 0 1 276.344 0.006 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@@H]1NC(=O)C1=NC(=O)N(C)C1 ZINC000942605901 638679190 /nfs/dbraw/zinc/67/91/90/638679190.db2.gz RDFBHZLCHSQCTN-UWVGGRQHSA-N 0 1 277.328 0.089 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@H]1NC(=O)CN1CCCC1 ZINC000944944327 638783815 /nfs/dbraw/zinc/78/38/15/638783815.db2.gz MQSDIMYDFAPQQT-QWHCGFSZSA-N 0 1 264.373 0.432 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3c(cnn3C)c2)C1 ZINC001015898758 638789045 /nfs/dbraw/zinc/78/90/45/638789045.db2.gz IBSYRAJMQQGNED-ZDUSSCGKSA-N 0 1 285.351 0.958 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2c(Cl)cnn2C)C1 ZINC001014439924 650451700 /nfs/dbraw/zinc/45/17/00/650451700.db2.gz LLNCIAOZYFXZJJ-SNVBAGLBSA-N 0 1 280.759 0.901 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(CNCc2ncnn2C)CC1 ZINC000997619711 638878797 /nfs/dbraw/zinc/87/87/97/638878797.db2.gz YGBJOUYQPOMLFY-LLVKDONJSA-N 0 1 290.371 0.303 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cn(C3CCC3)nn2)C1 ZINC001014474970 650454758 /nfs/dbraw/zinc/45/47/58/650454758.db2.gz WAPOLTTZXCINSQ-GFCCVEGCSA-N 0 1 287.367 0.831 20 30 CCEDMN C#CCN[C@H]1CN(C(=O)COC)CC[C@H]1C(F)(F)F ZINC000998212306 638898914 /nfs/dbraw/zinc/89/89/14/638898914.db2.gz TVZHUNCTTNBUQN-ZJUUUORDSA-N 0 1 278.274 0.635 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cc(=O)n(C)o2)C1 ZINC000999018706 638954695 /nfs/dbraw/zinc/95/46/95/638954695.db2.gz AASBKTWOCFRDSP-SNVBAGLBSA-N 0 1 299.758 0.925 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2nc3nccc(C)n3n2)C1 ZINC001016171994 639028936 /nfs/dbraw/zinc/02/89/36/639028936.db2.gz QXKWRTFBWJXIDB-GFCCVEGCSA-N 0 1 298.350 0.260 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(C)n[nH]2)CC1 ZINC001000472462 639097581 /nfs/dbraw/zinc/09/75/81/639097581.db2.gz CTMBYESKCBQZIJ-UHFFFAOYSA-N 0 1 258.325 0.713 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc(C#N)[nH]2)CC1 ZINC001000596896 639134491 /nfs/dbraw/zinc/13/44/91/639134491.db2.gz VXMKPFRWWNDQPG-UHFFFAOYSA-N 0 1 268.320 0.881 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nn3c(c2C)OCCC3)C1 ZINC001014647888 650472663 /nfs/dbraw/zinc/47/26/63/650472663.db2.gz MLIUZKINMVPBMG-LBPRGKRZSA-N 0 1 290.367 0.964 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn3c2CCC3)C1 ZINC001014647530 650473028 /nfs/dbraw/zinc/47/30/28/650473028.db2.gz JVRXXPINIJPKPB-NSHDSACASA-N 0 1 260.341 0.819 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CC(=O)N(CC)C2)CC1 ZINC001000751132 639164828 /nfs/dbraw/zinc/16/48/28/639164828.db2.gz BVWUCTBOLLQLRA-AWEZNQCLSA-N 0 1 289.379 0.236 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn(-c3cccnc3)nn2)C1 ZINC001014657803 650474991 /nfs/dbraw/zinc/47/49/91/650474991.db2.gz FNVSMFQDTZTOFY-LBPRGKRZSA-N 0 1 298.350 0.652 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCCC[N@H+]2C)CC1 ZINC001000851988 639182092 /nfs/dbraw/zinc/18/20/92/639182092.db2.gz PPSGLBMFGUORRO-HNNXBMFYSA-N 0 1 275.396 0.852 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCn3ccnc3C2)CC1 ZINC001000880003 639183022 /nfs/dbraw/zinc/18/30/22/639183022.db2.gz RZJBNJCHIWEHHQ-OAHLLOKOSA-N 0 1 298.390 0.827 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2c[nH]nc2C)CC1 ZINC001001016102 639210520 /nfs/dbraw/zinc/21/05/20/639210520.db2.gz GDKGUBKOUKSUNW-UHFFFAOYSA-N 0 1 258.325 0.713 20 30 CCEDMN C#CCC[N@H+]1CC[C@@H](NC(=O)[C@H]2CCCc3[nH+]ccn32)C1 ZINC001014728070 650482239 /nfs/dbraw/zinc/48/22/39/650482239.db2.gz KPGFMKIJOLUIFZ-ZIAGYGMSSA-N 0 1 286.379 0.974 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001014791638 650488919 /nfs/dbraw/zinc/48/89/19/650488919.db2.gz FUHSIQLGPVNSAS-ZDUSSCGKSA-N 0 1 274.368 0.728 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC(CNC(=O)[C@H]2CCCCN2C)C1 ZINC001003036449 639498797 /nfs/dbraw/zinc/49/87/97/639498797.db2.gz NOLMAZHQHPSXTE-DGCLKSJQSA-N 0 1 292.383 0.205 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCn3cncc3C2)C1 ZINC001014989599 650511921 /nfs/dbraw/zinc/51/19/21/650511921.db2.gz IPGAFKCNFJMDCC-KBPBESRZSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)N2CCC[C@H]2CC)CC1 ZINC001003929966 639650399 /nfs/dbraw/zinc/65/03/99/639650399.db2.gz FHLSNBIXWDUQRS-CQSZACIVSA-N 0 1 291.395 0.601 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CCCN(CC#N)C2)[nH]n1 ZINC001007078498 639708454 /nfs/dbraw/zinc/70/84/54/639708454.db2.gz QCMIMHLUQCGLRM-LLVKDONJSA-N 0 1 261.329 0.365 20 30 CCEDMN O=C(N[C@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cnn[n-]1 ZINC001015057109 650520173 /nfs/dbraw/zinc/52/01/73/650520173.db2.gz HKNHVBKDWFQWDS-AWEZNQCLSA-N 0 1 295.346 0.661 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCOC2)CC1 ZINC001005166587 639884912 /nfs/dbraw/zinc/88/49/12/639884912.db2.gz VMSNHTCAJYTUFQ-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2n[nH]cc2C)CC1 ZINC001005365982 639904541 /nfs/dbraw/zinc/90/45/41/639904541.db2.gz QONNLQZMPUGDGX-UHFFFAOYSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnc3n[nH]nc3c2)CC1 ZINC001005507406 639913854 /nfs/dbraw/zinc/91/38/54/639913854.db2.gz GDKCNACBJUFHBJ-UHFFFAOYSA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@H]2CCN(C)C2=O)CC1 ZINC001005555455 639931465 /nfs/dbraw/zinc/93/14/65/639931465.db2.gz VNFMFMHSSKHZON-CYBMUJFWSA-N 0 1 291.395 0.411 20 30 CCEDMN Cn1nnc2c1C[C@H](NCc1nc(C#N)cs1)CC2 ZINC000922991697 639993945 /nfs/dbraw/zinc/99/39/45/639993945.db2.gz QVQCQKKTPLMDMC-MRVPVSSYSA-N 0 1 274.353 0.790 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2cc3c(cc2O)OCO3)NO1 ZINC000765374936 640382455 /nfs/dbraw/zinc/38/24/55/640382455.db2.gz DACDBDRBZDVXRQ-ZCFIWIBFSA-N 0 1 264.237 0.973 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001015591567 650586448 /nfs/dbraw/zinc/58/64/48/650586448.db2.gz HMGGISYQDBSKER-SMDDNHRTSA-N 0 1 265.357 0.279 20 30 CCEDMN N#C[C@@H](C(=O)CC1OCCO1)c1nnc2n1CCCCC2 ZINC000798208817 640485593 /nfs/dbraw/zinc/48/55/93/640485593.db2.gz WOOWDHLTRYHCFW-JTQLQIEISA-N 0 1 290.323 0.944 20 30 CCEDMN N#CCOc1ccc(CC(=O)NCCCc2nc[nH]n2)cc1 ZINC000798270140 640490243 /nfs/dbraw/zinc/49/02/43/640490243.db2.gz MOUKQYUHQWMFAC-UHFFFAOYSA-N 0 1 299.334 0.999 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC001015579850 650583820 /nfs/dbraw/zinc/58/38/20/650583820.db2.gz FJQAQTAYSCJXHX-SECBINFHSA-N 0 1 265.338 0.676 20 30 CCEDMN CS(=O)(=O)CCNN=Cc1cc(C(F)(F)F)n[nH]1 ZINC000799480800 640575243 /nfs/dbraw/zinc/57/52/43/640575243.db2.gz WSSXTJWEDPDPNQ-UHFFFAOYSA-N 0 1 284.263 0.397 20 30 CCEDMN C[C@H](C#N)OCCN1CCN(C[C@@H]2CCCO2)CC1 ZINC000801910709 640672701 /nfs/dbraw/zinc/67/27/01/640672701.db2.gz VUNNBNLEXUCJHQ-KGLIPLIRSA-N 0 1 267.373 0.712 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cncc(C#C)c2)C1 ZINC001015678768 650607814 /nfs/dbraw/zinc/60/78/14/650607814.db2.gz NHEONPKSVSENEX-HNNXBMFYSA-N 0 1 267.332 0.890 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCCCC(=O)N2)C1 ZINC001015685582 650609311 /nfs/dbraw/zinc/60/93/11/650609311.db2.gz JCPHEXLSGNBTDQ-VXGBXAGGSA-N 0 1 265.357 0.422 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001015723265 650616927 /nfs/dbraw/zinc/61/69/27/650616927.db2.gz OZNYJGACWIORMZ-YNEHKIRRSA-N 0 1 274.368 0.900 20 30 CCEDMN COc1ncc(C=Nn2c(=O)c(C)n[nH]c2=S)s1 ZINC000812223657 640996388 /nfs/dbraw/zinc/99/63/88/640996388.db2.gz GZEZOXHDDXWJTN-UHFFFAOYSA-N 0 1 283.338 0.583 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCCN2C(=O)C2CC2)C1 ZINC001015805632 650632467 /nfs/dbraw/zinc/63/24/67/650632467.db2.gz FBCZEVYTKMUWLD-KGLIPLIRSA-N 0 1 291.395 0.764 20 30 CCEDMN O=C(N[C@H]1CCN(O)C1=O)c1nnc2ccccc2c1O ZINC000816691826 641192982 /nfs/dbraw/zinc/19/29/82/641192982.db2.gz ABDJFWGNJJYIBX-VIFPVBQESA-N 0 1 288.263 0.055 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc3ncccn3n2)C1 ZINC001015882131 650648117 /nfs/dbraw/zinc/64/81/17/650648117.db2.gz KJNXLOCHTQIULP-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001015909360 650654358 /nfs/dbraw/zinc/65/43/58/650654358.db2.gz YJKMMVYFZLAPGS-LLVKDONJSA-N 0 1 276.340 0.494 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001015946244 650661625 /nfs/dbraw/zinc/66/16/25/650661625.db2.gz WIQJZLMBXRMIGK-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001016009737 650674816 /nfs/dbraw/zinc/67/48/16/650674816.db2.gz MOEUOJIWBXYYEN-GFCCVEGCSA-N 0 1 287.367 0.370 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ncccc2OC)C1 ZINC001016092370 650680958 /nfs/dbraw/zinc/68/09/58/650680958.db2.gz JOSQQQKDNMLILY-LBPRGKRZSA-N 0 1 273.336 0.918 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC001016163942 650694264 /nfs/dbraw/zinc/69/42/64/650694264.db2.gz KGXIVLJYCFAGDW-GFCCVEGCSA-N 0 1 259.309 0.615 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1CC(=O)c1ccccc1 ZINC000826383032 641931629 /nfs/dbraw/zinc/93/16/29/641931629.db2.gz HISIYJOHBWJCGB-UHFFFAOYSA-N 0 1 279.263 0.818 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CN(CC)CCO2)C1 ZINC001016304451 650714386 /nfs/dbraw/zinc/71/43/86/650714386.db2.gz HMLNHXQMOALUIC-CHWSQXEVSA-N 0 1 267.373 0.084 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)C#CC(C)C)CC2)n[nH]1 ZINC000828052201 642060952 /nfs/dbraw/zinc/06/09/52/642060952.db2.gz FPNIKJDUOAEQOM-UHFFFAOYSA-N 0 1 275.356 0.417 20 30 CCEDMN C[C@@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)C[C@H]1C(=O)[O-] ZINC000828431997 642093469 /nfs/dbraw/zinc/09/34/69/642093469.db2.gz LRFVVDZBDFLPPS-UDZFHETQSA-N 0 1 279.340 0.447 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001016387892 650724134 /nfs/dbraw/zinc/72/41/34/650724134.db2.gz JKTSVQJNUIODKG-GFCCVEGCSA-N 0 1 288.351 0.561 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@](C)(NC(=O)C(F)(F)F)C1 ZINC000830820253 642295313 /nfs/dbraw/zinc/29/53/13/642295313.db2.gz DRWKEGOFFDCMAQ-OIBJUYFYSA-N 0 1 277.246 0.816 20 30 CCEDMN Cc1cnc(S(C)(=O)=O)nc1C(=O)NC1=NO[C@@H](C)C1 ZINC000831398538 642359505 /nfs/dbraw/zinc/35/95/05/642359505.db2.gz CMVJZZZLTGNJHI-ZETCQYMHSA-N 0 1 298.324 0.041 20 30 CCEDMN Cc1ccc(-c2cc(C(=O)N[C@H]3CNC[C@@H]3C#N)[nH]n2)o1 ZINC000834480299 642573103 /nfs/dbraw/zinc/57/31/03/642573103.db2.gz NSTARMVGQMFKLR-CABZTGNLSA-N 0 1 285.307 0.820 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCCc1ccccn1 ZINC000834484543 642576191 /nfs/dbraw/zinc/57/61/91/642576191.db2.gz KEIAXYSLCPVWAJ-DGCLKSJQSA-N 0 1 258.325 0.632 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1csc([C@@H]2CCCO2)n1 ZINC000834485031 642576339 /nfs/dbraw/zinc/57/63/39/642576339.db2.gz QYGHEYHSYDSPKJ-YWVKMMECSA-N 0 1 292.364 0.836 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCCc1ccccn1 ZINC000834484545 642576974 /nfs/dbraw/zinc/57/69/74/642576974.db2.gz KEIAXYSLCPVWAJ-YPMHNXCESA-N 0 1 258.325 0.632 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(N)cc1Cl ZINC000834485600 642578315 /nfs/dbraw/zinc/57/83/15/642578315.db2.gz ZBLZCAQJFAPWKS-HQJQHLMTSA-N 0 1 264.716 0.764 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1CCOc2ccccc21 ZINC000834494114 642583597 /nfs/dbraw/zinc/58/35/97/642583597.db2.gz KHRCCKQSPHSGFF-KGYLQXTDSA-N 0 1 271.320 0.780 20 30 CCEDMN Cc1cc([N+](=O)[O-])ccc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834494583 642585053 /nfs/dbraw/zinc/58/50/53/642585053.db2.gz OGZOTKQEGSZRPH-JOYOIKCWSA-N 0 1 274.280 0.745 20 30 CCEDMN COc1cccc2c1OCC(C(=O)N[C@@H]1CNC[C@H]1C#N)=C2 ZINC000834500468 642588063 /nfs/dbraw/zinc/58/80/63/642588063.db2.gz UBXBRNKEPCQBBT-CHWSQXEVSA-N 0 1 299.330 0.699 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccc2c[nH]nc2c1 ZINC000834500292 642589018 /nfs/dbraw/zinc/58/90/18/642589018.db2.gz RTKUMTSOZAGOKY-AAEUAGOBSA-N 0 1 269.308 0.333 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2nccnc2c1 ZINC000834507025 642593083 /nfs/dbraw/zinc/59/30/83/642593083.db2.gz XHVLEJDNNWJILC-GWCFXTLKSA-N 0 1 267.292 0.471 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CNC[C@@H]2C#N)cs1 ZINC000834507179 642593352 /nfs/dbraw/zinc/59/33/52/642593352.db2.gz YONRAZQKUDPAQY-WPRPVWTQSA-N 0 1 250.327 0.222 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccc2c(c1)OCCO2 ZINC000834506744 642593476 /nfs/dbraw/zinc/59/34/76/642593476.db2.gz VMYAZQHFLXQEJB-NEPJUHHUSA-N 0 1 287.319 0.228 20 30 CCEDMN Cc1ccc(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)c(C)c1 ZINC000834506202 642594320 /nfs/dbraw/zinc/59/43/20/642594320.db2.gz QOCKGDYSUJAEEO-QWHCGFSZSA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnn(-c2ccccc2)c1 ZINC000834510610 642594578 /nfs/dbraw/zinc/59/45/78/642594578.db2.gz DKAPQMNBZYMXMH-SMDDNHRTSA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(-c2ccccc2)n[nH]1 ZINC000834511923 642596841 /nfs/dbraw/zinc/59/68/41/642596841.db2.gz QACCBLXQLDXHTE-SMDDNHRTSA-N 0 1 281.319 0.918 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@@H]2C#N)[nH]c2c1C(=O)CCC2 ZINC000834511867 642597404 /nfs/dbraw/zinc/59/74/04/642597404.db2.gz PCXQLAKDSQPSLF-GXSJLCMTSA-N 0 1 286.335 0.684 20 30 CCEDMN Cc1nn(C)c(C)c1CCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834515712 642599092 /nfs/dbraw/zinc/59/90/92/642599092.db2.gz BEPWAONPQCJKNV-YPMHNXCESA-N 0 1 275.356 0.197 20 30 CCEDMN COc1ccc(CCC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1F ZINC000834516445 642600025 /nfs/dbraw/zinc/60/00/25/642600025.db2.gz IQJNMKMJOFCANF-WCQYABFASA-N 0 1 291.326 0.995 20 30 CCEDMN N#Cc1ccc(NC(=O)COC(=O)[C@@H]2CC2[N+](=O)[O-])cc1 ZINC000840672539 642783684 /nfs/dbraw/zinc/78/36/84/642783684.db2.gz TVVKCLOOSDCZSL-GHMZBOCLSA-N 0 1 289.247 0.705 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1ccc(-n2nccn2)cc1 ZINC000841046952 642800956 /nfs/dbraw/zinc/80/09/56/642800956.db2.gz HQRAKZONDVYOQT-ZWNOBZJWSA-N 0 1 297.322 0.500 20 30 CCEDMN Cc1nc(CN2C[C@H]3CC[C@@H](C2)N3C(=O)[C@H](C)C#N)n[nH]1 ZINC001017421486 650801098 /nfs/dbraw/zinc/80/10/98/650801098.db2.gz FOIZIMLGJRVDNW-JLLWLGSASA-N 0 1 288.355 0.448 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)CCCC(=O)N2 ZINC000843459331 643063183 /nfs/dbraw/zinc/06/31/83/643063183.db2.gz DPLPHYAGCQMWKY-JSGCOSHPSA-N 0 1 298.346 0.803 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(NC(N)=O)cc1 ZINC000843462414 643066225 /nfs/dbraw/zinc/06/62/25/643066225.db2.gz QDEWHQQNZULAGJ-ONGXEEELSA-N 0 1 273.296 0.019 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccc2c(c1)CCCO2 ZINC000843461900 643066970 /nfs/dbraw/zinc/06/69/70/643066970.db2.gz XUHYVVPEHWBOFH-ZIAGYGMSSA-N 0 1 285.347 0.782 20 30 CCEDMN N#Cc1ccc([C@H](O)CN2C[C@@H]3C[C@H]2C[S@@]3=O)cc1 ZINC000844430670 643216008 /nfs/dbraw/zinc/21/60/08/643216008.db2.gz CKXJBNANXCLKFF-PJHJGJPPSA-N 0 1 276.361 0.797 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1c[nH]nn1 ZINC001017966363 650837508 /nfs/dbraw/zinc/83/75/08/650837508.db2.gz UJSRHWMYBMEWEU-BETUJISGSA-N 0 1 273.340 0.046 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1cnn[nH]1 ZINC001017966363 650837510 /nfs/dbraw/zinc/83/75/10/650837510.db2.gz UJSRHWMYBMEWEU-BETUJISGSA-N 0 1 273.340 0.046 20 30 CCEDMN O=C(N[C@H]1CCCN(O)C1=O)c1cccc2nc[nH]c21 ZINC000848135539 643605038 /nfs/dbraw/zinc/60/50/38/643605038.db2.gz FLVCQNAQGQZIPD-JTQLQIEISA-N 0 1 274.280 0.673 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@](O)(C2CC2)C1 ZINC000852502622 643973527 /nfs/dbraw/zinc/97/35/27/643973527.db2.gz LAHKIENEIFKROX-CYBMUJFWSA-N 0 1 251.330 0.253 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)CCOC2 ZINC000852827504 644013718 /nfs/dbraw/zinc/01/37/18/644013718.db2.gz JVUXYHVHYGTEAP-UONOGXRCSA-N 0 1 271.320 0.601 20 30 CCEDMN COc1ccnc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)C2)c1 ZINC001018632108 650887041 /nfs/dbraw/zinc/88/70/41/650887041.db2.gz MNNYMLVTCYDFPF-NEPJUHHUSA-N 0 1 288.351 0.940 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC000854981928 644280136 /nfs/dbraw/zinc/28/01/36/644280136.db2.gz HDNMVPCMFMTSLG-WPRPVWTQSA-N 0 1 251.286 0.447 20 30 CCEDMN O=C(C#CC1CC1)N1CCC2(CN(C(=O)c3ccn[nH]3)C2)C1 ZINC001018814172 650911074 /nfs/dbraw/zinc/91/10/74/650911074.db2.gz XGTDARNBCHXQRK-UHFFFAOYSA-N 0 1 298.346 0.498 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001019391851 650968677 /nfs/dbraw/zinc/96/86/77/650968677.db2.gz PEXNIMCKAPRLDU-WOPDTQHZSA-N 0 1 299.802 0.831 20 30 CCEDMN N#CC(C(=O)C[C@H]1CCCCO1)C(=O)NC1CC1 ZINC000120054490 645226628 /nfs/dbraw/zinc/22/66/28/645226628.db2.gz QCSBEXFXQZLKPX-MNOVXSKESA-N 0 1 250.298 0.933 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)N1CCOCC1 ZINC000867949076 645686320 /nfs/dbraw/zinc/68/63/20/645686320.db2.gz MZLAHGIHAKNKSD-AWEZNQCLSA-N 0 1 271.320 0.604 20 30 CCEDMN Cc1ccc2n[nH]cc2c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000876801660 646668536 /nfs/dbraw/zinc/66/85/36/646668536.db2.gz HGHHLFPSGAFVOS-CABZTGNLSA-N 0 1 269.308 0.713 20 30 CCEDMN Cc1cccc2c1C[C@H](C(=O)N[C@H]1CNC[C@@H]1C#N)N2 ZINC000876802824 646669947 /nfs/dbraw/zinc/66/99/47/646669947.db2.gz SOTTYXKLNXQPJS-GDLCADMTSA-N 0 1 270.336 0.559 20 30 CCEDMN COc1cncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1C ZINC000876802208 646670180 /nfs/dbraw/zinc/67/01/80/646670180.db2.gz NAKGTIJAVKHXTA-GXSJLCMTSA-N 0 1 260.297 0.240 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ncc2ccccn21 ZINC000876802268 646670441 /nfs/dbraw/zinc/67/04/41/646670441.db2.gz NPHYMRVAEIPUTJ-GXSJLCMTSA-N 0 1 255.281 0.176 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1c(Cl)n[nH]c1C1CC1 ZINC000876802494 646670567 /nfs/dbraw/zinc/67/05/67/646670567.db2.gz PHPPYNOASYCXKD-YUMQZZPRSA-N 0 1 279.731 0.782 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CC(C)(C)O)C[C@@H](C)N1CC#N ZINC000878771191 646976252 /nfs/dbraw/zinc/97/62/52/646976252.db2.gz FQZKYBGGJPSZKU-JHJVBQTASA-N 0 1 269.389 0.426 20 30 CCEDMN NC(=NOCCCO)c1ccc(N2CCC(O)CC2)cc1 ZINC000127418241 647000090 /nfs/dbraw/zinc/00/00/90/647000090.db2.gz IFTCVUSYCBDTLF-UHFFFAOYSA-N 0 1 293.367 0.667 20 30 CCEDMN C[C@@H]1CCc2n[nH]cc2[C@@H]1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000884250253 647680668 /nfs/dbraw/zinc/68/06/68/647680668.db2.gz AKNBZKOXUFIRMT-FIDNZITISA-N 0 1 273.340 0.303 20 30 CCEDMN Cn1cc(C(=O)N[C@H]2CNC[C@H]2C#N)c(Br)n1 ZINC000884249899 647680794 /nfs/dbraw/zinc/68/07/94/647680794.db2.gz TXJDRSKLMYDTKK-SVRRBLITSA-N 0 1 298.144 0.024 20 30 CCEDMN CN(C[C@@H](O)CC1(C#N)CCC1)[C@@H]1CCNC1=O ZINC000886089655 647898956 /nfs/dbraw/zinc/89/89/56/647898956.db2.gz GQAPAPAWNMDICK-WDEREUQCSA-N 0 1 251.330 0.252 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCC[C@@H]2C(N)=O)CCC1 ZINC000886234804 647927075 /nfs/dbraw/zinc/92/70/75/647927075.db2.gz WVFHKDAEDSLAKI-WDEREUQCSA-N 0 1 251.330 0.381 20 30 CCEDMN C[C@@H](C#N)Cn1[nH]c2c(c1=O)CC1(CC2)OCCO1 ZINC000887284908 648087720 /nfs/dbraw/zinc/08/77/20/648087720.db2.gz DSFMAUYTLVGFSH-VHSXEESVSA-N 0 1 263.297 0.887 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CCO[C@](C)(C#N)C1 ZINC000887688578 648144050 /nfs/dbraw/zinc/14/40/50/648144050.db2.gz MVRRSVSYRCSSQE-GXFFZTMASA-N 0 1 262.313 0.729 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)NCCN1CCN(C)CC1 ZINC000929581165 648761834 /nfs/dbraw/zinc/76/18/34/648761834.db2.gz IDTYRQYSKJNVEO-UHFFFAOYSA-N 0 1 286.379 0.844 20 30 CCEDMN N#CC[C@@H](O)CN1CC[C@H](OCc2ccncc2)C1 ZINC000930293098 648844986 /nfs/dbraw/zinc/84/49/86/648844986.db2.gz QBSCCHQIVAYENO-KGLIPLIRSA-N 0 1 261.325 0.947 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@@H](C3OCCO3)C2)CCC1 ZINC000932000910 649040326 /nfs/dbraw/zinc/04/03/26/649040326.db2.gz SQTLVXVKUUNFIX-LLVKDONJSA-N 0 1 279.340 0.244 20 30 CCEDMN CN1CC[C@H]1CNC(=O)N[C@@H](CC#N)C(F)(F)F ZINC000932890005 649098482 /nfs/dbraw/zinc/09/84/82/649098482.db2.gz XBTOOEWFZPHMQO-YUMQZZPRSA-N 0 1 264.251 0.834 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCO[C@@H]3COCC[C@@H]32)CCC1 ZINC000933968290 649151596 /nfs/dbraw/zinc/15/15/96/649151596.db2.gz KVCOKDVBSCKBFG-MELADBBJSA-N 0 1 280.368 0.921 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)c2[nH]ncc2F)CCN1CC#N ZINC000947698300 649347715 /nfs/dbraw/zinc/34/77/15/649347715.db2.gz NIMFGGCSMIHGBK-RKDXNWHRSA-N 0 1 265.292 0.655 20 30 CCEDMN N#CCN1CCC2(CC1)CN(C(=O)c1ccn[nH]1)CCO2 ZINC000949038281 649408655 /nfs/dbraw/zinc/40/86/55/649408655.db2.gz PJCJOHCBLHZOIA-UHFFFAOYSA-N 0 1 289.339 0.240 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NCC[N@H+](C)C1CC1 ZINC000092468472 649724235 /nfs/dbraw/zinc/72/42/35/649724235.db2.gz HSXJVSDOHMMLHW-UHFFFAOYSA-N 0 1 255.318 0.363 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cnn(CC)n2)C1 ZINC001007286051 649840578 /nfs/dbraw/zinc/84/05/78/649840578.db2.gz JSGMMCRRLNJHOE-GFCCVEGCSA-N 0 1 275.356 0.516 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nc(Cl)ncc3C#N)C[C@@H]21 ZINC001164672072 719380099 /nfs/dbraw/zinc/38/00/99/719380099.db2.gz YDJBUOFZZNTCNM-VHSXEESVSA-N 0 1 279.731 0.521 20 30 CCEDMN Cc1nc(Cl)nc(N2C[C@@H]3OCCN(C)[C@H]3C2)c1C#N ZINC001164671242 719396527 /nfs/dbraw/zinc/39/65/27/719396527.db2.gz YFBMTZGXQVOFSS-QWRGUYRKSA-N 0 1 293.758 0.829 20 30 CCEDMN Cc1nc(C#N)cnc1N1C[C@H]2OCCN(C)[C@H]2C1 ZINC001164673709 719423258 /nfs/dbraw/zinc/42/32/58/719423258.db2.gz UAOMKGWTQVUGPK-NWDGAFQWSA-N 0 1 259.313 0.176 20 30 CCEDMN Cc1cnc(N2C[C@H]3CN(CC4CC4)C[C@@H](C2)O3)c(C#N)n1 ZINC001164967820 722074398 /nfs/dbraw/zinc/07/43/98/722074398.db2.gz YBXUYPHGVBROON-OKILXGFUSA-N 0 1 299.378 0.956 20 30 CCEDMN COC(=O)c1c[n-]c(O[C@H](CC#N)C[N+](C)(C)C)n1 ZINC001230279902 805591314 /nfs/dbraw/zinc/59/13/14/805591314.db2.gz JLTXRSHBRYRORN-SECBINFHSA-O 0 1 267.309 0.564 20 30 CCEDMN C#CCOCCC(=O)N(C)CCNCc1cnc(C)cn1 ZINC001266696968 791152220 /nfs/dbraw/zinc/15/22/20/791152220.db2.gz YSGQQJNWRJMKPQ-UHFFFAOYSA-N 0 1 290.367 0.373 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(C(F)(F)F)nn1C ZINC001266278712 790385449 /nfs/dbraw/zinc/38/54/49/790385449.db2.gz PGMYAHXQHULGCX-UHFFFAOYSA-N 0 1 288.273 0.734 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@H]1CCOC[C@H]1OC ZINC001266280383 790390130 /nfs/dbraw/zinc/39/01/30/790390130.db2.gz CMPYXNKTBLRRHJ-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CCN(C)CCNC(=O)c1nc2cnccc2s1 ZINC001266295550 790446106 /nfs/dbraw/zinc/44/61/06/790446106.db2.gz PGHUNYKGHBAVAD-UHFFFAOYSA-N 0 1 274.349 0.986 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H](C)NC(=O)CC)C1 ZINC001266320909 790502915 /nfs/dbraw/zinc/50/29/15/790502915.db2.gz IOGQXUDTBALBIK-MNOVXSKESA-N 0 1 253.346 0.278 20 30 CCEDMN C#CC[N@@H+](C)CCNC(=O)c1cnc2n[nH]c(C)c2c1 ZINC001266357796 790581863 /nfs/dbraw/zinc/58/18/63/790581863.db2.gz GESYIEXACXZXND-UHFFFAOYSA-N 0 1 271.324 0.561 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnc2n[nH]c(C)c2c1 ZINC001266357796 790581867 /nfs/dbraw/zinc/58/18/67/790581867.db2.gz GESYIEXACXZXND-UHFFFAOYSA-N 0 1 271.324 0.561 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1ccnc(C)n1 ZINC001266437845 790701855 /nfs/dbraw/zinc/70/18/55/790701855.db2.gz NXIMQFYIFUYQIC-UHFFFAOYSA-N 0 1 290.367 0.487 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCn1cc(Cl)cn1 ZINC001266440317 790708335 /nfs/dbraw/zinc/70/83/35/790708335.db2.gz OJQNIGKZEVQLBA-UHFFFAOYSA-N 0 1 282.775 0.998 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)Cn1cccn1 ZINC001266446596 790728822 /nfs/dbraw/zinc/72/88/22/790728822.db2.gz HSPWTZDQBUDOFU-AWEZNQCLSA-N 0 1 290.367 0.114 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCc1cc(OC)no1 ZINC001266465394 790759971 /nfs/dbraw/zinc/75/99/71/790759971.db2.gz VMDDZUZYCVYOLJ-LBPRGKRZSA-N 0 1 291.351 0.830 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@]1(C)CCC(=O)NC1 ZINC001266476803 790772680 /nfs/dbraw/zinc/77/26/80/790772680.db2.gz CQMNWXWQLKFGNS-CJNGLKHVSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)/C=C(/C)CC)C1 ZINC001266510412 790827595 /nfs/dbraw/zinc/82/75/95/790827595.db2.gz BLHLGJDFESPVRC-XXYUJHKVSA-N 0 1 291.395 0.530 20 30 CCEDMN CC#CCN(CCNC(=O)[C@H]1COCCO1)C1CC1 ZINC001266583842 790983501 /nfs/dbraw/zinc/98/35/01/790983501.db2.gz LTXNIUQGPVETQM-CYBMUJFWSA-N 0 1 266.341 0.006 20 30 CCEDMN CC#CCN(CCNC(=O)Cc1cnoc1)C1CC1 ZINC001266607259 791014963 /nfs/dbraw/zinc/01/49/63/791014963.db2.gz SOFUYYLJCFWLFT-UHFFFAOYSA-N 0 1 261.325 0.821 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1C[C@@H](NCc2cnc(C)o2)C1 ZINC001266683365 791133764 /nfs/dbraw/zinc/13/37/64/791133764.db2.gz MVGNQHNTANZVIZ-RTXFEEFZSA-N 0 1 291.351 0.758 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)COCC ZINC001230691909 805649761 /nfs/dbraw/zinc/64/97/61/805649761.db2.gz OWZRJFKKIJRUHQ-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CN(C)C(C)=O ZINC001230829117 805672841 /nfs/dbraw/zinc/67/28/41/805672841.db2.gz JOUGPAYUFLLSMU-CYBMUJFWSA-N 0 1 267.373 0.574 20 30 CCEDMN C[C@@H](O)CN1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230815653 805671358 /nfs/dbraw/zinc/67/13/58/805671358.db2.gz QYSSATKTCNPTFK-MNOVXSKESA-N 0 1 271.386 0.157 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C(F)F ZINC001231057973 805719193 /nfs/dbraw/zinc/71/91/93/805719193.db2.gz VLUQXXWJWMBEIC-SECBINFHSA-N 0 1 277.315 0.380 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)CC1CC1 ZINC001231139732 805736791 /nfs/dbraw/zinc/73/67/91/805736791.db2.gz UIPXLVSTLSMJIV-UHFFFAOYSA-N 0 1 267.373 0.527 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@@H](C)C#N ZINC001231293440 805771721 /nfs/dbraw/zinc/77/17/21/805771721.db2.gz NGIBZHWMJPOSGT-QWHCGFSZSA-N 0 1 265.357 0.881 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)COCCOC ZINC001231304290 805773223 /nfs/dbraw/zinc/77/32/23/805773223.db2.gz RSNKPDUNDHASMD-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@H](C)Cc2cnc[nH]2)C1 ZINC001277670782 805945844 /nfs/dbraw/zinc/94/58/44/805945844.db2.gz JGOPRBJGFROVMS-GFCCVEGCSA-N 0 1 274.368 0.754 20 30 CCEDMN C[C@]1(CO)CC[N@@H+](Cc2cc(C#N)ccc2[O-])C[C@H]1O ZINC001232673734 805967512 /nfs/dbraw/zinc/96/75/12/805967512.db2.gz LYUZSEZUGQGWIV-HUUCEWRRSA-N 0 1 276.336 0.829 20 30 CCEDMN N#Cc1cnc(N2CC[C@H]3CN(Cc4cn[nH]c4)C[C@H]32)nc1 ZINC001277736990 805997644 /nfs/dbraw/zinc/99/76/44/805997644.db2.gz XNVDOIQFOCKPCQ-UONOGXRCSA-N 0 1 295.350 0.782 20 30 CCEDMN N#Cc1cnc(N2CC3(C2)CCN(Cc2cn[nH]c2)C3)nc1 ZINC001277736782 805997908 /nfs/dbraw/zinc/99/79/08/805997908.db2.gz RVUREYJUOKVQNH-UHFFFAOYSA-N 0 1 295.350 0.784 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCc1n[nH]c(CO)n1)OCC ZINC001167492094 791312969 /nfs/dbraw/zinc/31/29/69/791312969.db2.gz HYMLHKUTMVUNGL-VIFPVBQESA-N 0 1 268.317 0.285 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCc1nnc(CO)[nH]1)OCC ZINC001167492094 791312972 /nfs/dbraw/zinc/31/29/72/791312972.db2.gz HYMLHKUTMVUNGL-VIFPVBQESA-N 0 1 268.317 0.285 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)N[C@H](C)c1n[nH]c(C)n1 ZINC001266927688 791361395 /nfs/dbraw/zinc/36/13/95/791361395.db2.gz LGKKEZOBOIORBU-NXEZZACHSA-N 0 1 281.360 0.471 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3cccnn3)[C@H]2C1 ZINC001083231095 791432064 /nfs/dbraw/zinc/43/20/64/791432064.db2.gz JWOSLOSMXKVKTQ-UONOGXRCSA-N 0 1 288.351 0.578 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@H](CNCc2ccon2)C1 ZINC001267038289 791470161 /nfs/dbraw/zinc/47/01/61/791470161.db2.gz BQQLBMBXJVASLV-OLZOCXBDSA-N 0 1 291.351 0.651 20 30 CCEDMN COCC#CCN(C)[C@H]1CCCN(C(=O)COC)C1 ZINC001267098276 791533846 /nfs/dbraw/zinc/53/38/46/791533846.db2.gz KHUWFQFQZQZUTC-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN CC#CCN1CC[C@@H]1CN(C)C(=O)CCc1nc[nH]n1 ZINC001233531481 806076418 /nfs/dbraw/zinc/07/64/18/806076418.db2.gz ZRSCRVXKYPSHTL-GFCCVEGCSA-N 0 1 275.356 0.293 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3nnn(C)c3C)C[C@@H]21 ZINC001041992995 791608634 /nfs/dbraw/zinc/60/86/34/791608634.db2.gz WUAZHXQINNWVCN-OLZOCXBDSA-N 0 1 287.367 0.293 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H](C)SC ZINC001267187588 791640027 /nfs/dbraw/zinc/64/00/27/791640027.db2.gz YWCZRCHWGJKGMQ-LLVKDONJSA-N 0 1 258.387 0.436 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@H](C)OC)C[C@@H](C)O2 ZINC001098570413 791641391 /nfs/dbraw/zinc/64/13/91/791641391.db2.gz ILFZYXMMYPTCIW-KGLIPLIRSA-N 0 1 294.395 0.736 20 30 CCEDMN CC(C)C#CC(=O)NCCN(C)CCNC(=O)C1CC1 ZINC001267195428 791657514 /nfs/dbraw/zinc/65/75/14/791657514.db2.gz ZMZVPGDEVKINHN-UHFFFAOYSA-N 0 1 279.384 0.220 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1n[nH]c2c1CCC2 ZINC001267205138 791669384 /nfs/dbraw/zinc/66/93/84/791669384.db2.gz DAKQNAZTQGULHN-UHFFFAOYSA-N 0 1 260.341 0.583 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)N[C@H]3C[C@@H](NCC#N)C3)c2C1 ZINC001022919649 791677438 /nfs/dbraw/zinc/67/74/38/791677438.db2.gz OPYNAXABKNQZGU-GARJFASQSA-N 0 1 287.367 0.908 20 30 CCEDMN C[C@@H](O)CN1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038141243 791707000 /nfs/dbraw/zinc/70/70/00/791707000.db2.gz UBZKPVMXLZMZQZ-MWLCHTKSSA-N 0 1 262.313 0.071 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCC(=O)N(CC)CC ZINC001267231245 791711387 /nfs/dbraw/zinc/71/13/87/791711387.db2.gz SRYZVHMWYDYPDK-UHFFFAOYSA-N 0 1 281.400 0.706 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnn(C)c1C1CC1 ZINC001267232951 791714095 /nfs/dbraw/zinc/71/40/95/791714095.db2.gz FYQPFFJZISVWJJ-UHFFFAOYSA-N 0 1 274.368 0.982 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(C)CCN(C)CC1 ZINC000888985168 791714257 /nfs/dbraw/zinc/71/42/57/791714257.db2.gz NJXLTXINCSOMIA-CQSZACIVSA-N 0 1 269.389 0.019 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](C)n1cncn1 ZINC001233749347 806113249 /nfs/dbraw/zinc/11/32/49/806113249.db2.gz AYEPKXNLLRNVIL-QWHCGFSZSA-N 0 1 275.356 0.395 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H]1CC[N@H+]1CCOCCO ZINC001233946810 806154948 /nfs/dbraw/zinc/15/49/48/806154948.db2.gz DZCRKUGBFDCBDG-AWEZNQCLSA-N 0 1 282.384 0.332 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1CCOCCO ZINC001233946810 806154954 /nfs/dbraw/zinc/15/49/54/806154954.db2.gz DZCRKUGBFDCBDG-AWEZNQCLSA-N 0 1 282.384 0.332 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H]1CN(C)C(=O)CC(C)(C)O ZINC001234012784 806168322 /nfs/dbraw/zinc/16/83/22/806168322.db2.gz RCMNELSZRUJCFT-ZDUSSCGKSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)CC(C)(C)O ZINC001234012784 806168328 /nfs/dbraw/zinc/16/83/28/806168328.db2.gz RCMNELSZRUJCFT-ZDUSSCGKSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CO[C@H]1CCOC1 ZINC001234296049 806217920 /nfs/dbraw/zinc/21/79/20/806217920.db2.gz JVPIZZGHCARMLI-KGLIPLIRSA-N 0 1 280.368 0.348 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CO[C@H]1CCOC1 ZINC001234286395 806218082 /nfs/dbraw/zinc/21/80/82/806218082.db2.gz NCGMYRAZTBXPJG-KGLIPLIRSA-N 0 1 282.384 0.901 20 30 CCEDMN CCc1cc(C(=O)NCCN(CC)CC#CCOC)no1 ZINC001267255401 793252170 /nfs/dbraw/zinc/25/21/70/793252170.db2.gz GFAUNIHIYFKGNT-UHFFFAOYSA-N 0 1 293.367 0.939 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](C)NC(=O)CC ZINC001234489167 806259556 /nfs/dbraw/zinc/25/95/56/806259556.db2.gz KLVKKAANBGNNAG-CHWSQXEVSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)CSCCC ZINC001234520634 806267005 /nfs/dbraw/zinc/26/70/05/806267005.db2.gz CDXFILKWJJBQFE-UHFFFAOYSA-N 0 1 299.440 0.317 20 30 CCEDMN C[C@@H](O)CC(=O)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234531099 806267670 /nfs/dbraw/zinc/26/76/70/806267670.db2.gz QVWBFVVZCRTGRP-SSDOTTSWSA-N 0 1 273.248 0.883 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCCn1ccnn1 ZINC001235243396 806392611 /nfs/dbraw/zinc/39/26/11/806392611.db2.gz HPGOWAMEAPUDRW-AWEZNQCLSA-N 0 1 289.383 0.614 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCN(C)[C@@H]1CCNC1=O ZINC001235247690 806393367 /nfs/dbraw/zinc/39/33/67/806393367.db2.gz ZHZVOCWSTHOOKQ-CHWSQXEVSA-N 0 1 297.399 0.294 20 30 CCEDMN CN(C)C(=O)CN1CCO[C@H]2CN(CCCCC#N)C[C@@H]21 ZINC001277948339 806431507 /nfs/dbraw/zinc/43/15/07/806431507.db2.gz HMMIBHSVWNXMQD-KBPBESRZSA-N 0 1 294.399 0.153 20 30 CCEDMN Cn1ncnc1C(=O)N1CC2(CN(CCCCC#N)C2)C1 ZINC001277949620 806436839 /nfs/dbraw/zinc/43/68/39/806436839.db2.gz YWLVKTDUHOKMRR-UHFFFAOYSA-N 0 1 288.355 0.267 20 30 CCEDMN CCC(=O)NC[C@H](CO)NCc1cccc(C#N)c1 ZINC001278034672 806624482 /nfs/dbraw/zinc/62/44/82/806624482.db2.gz OFMQPJKDUIEQHT-CYBMUJFWSA-N 0 1 261.325 0.535 20 30 CCEDMN CCC(CC)C(=O)NC[C@@H](CO)NCC#CCOC ZINC001278049227 806637379 /nfs/dbraw/zinc/63/73/79/806637379.db2.gz WFHYGWCQKXUAFR-ZDUSSCGKSA-N 0 1 270.373 0.139 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)C[C@H]2CCCC(=O)N2)CC1 ZINC001278064207 806644210 /nfs/dbraw/zinc/64/42/10/806644210.db2.gz KAWDRPLNUWBODK-CYBMUJFWSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)Cc1ccc(C)o1 ZINC001278072659 806651091 /nfs/dbraw/zinc/65/10/91/806651091.db2.gz UXIQRYPIGMZFLL-LBPRGKRZSA-N 0 1 264.325 0.221 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cn(CC)nc1C1CC1 ZINC001278094074 806659504 /nfs/dbraw/zinc/65/95/04/806659504.db2.gz SJZFXMDAONXZSW-LBPRGKRZSA-N 0 1 290.367 0.094 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(C)c(F)cc1F ZINC001278099025 806668820 /nfs/dbraw/zinc/66/88/20/806668820.db2.gz BNROSEJFVYENBE-LLVKDONJSA-N 0 1 296.317 0.977 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc(COC)o1 ZINC001278111722 806679734 /nfs/dbraw/zinc/67/97/34/806679734.db2.gz DHAVNIXFPZYPLQ-NSHDSACASA-N 0 1 280.324 0.130 20 30 CCEDMN C=C(C)COCCNC(=O)N1CCNC[C@H]1COC ZINC001120610858 798887109 /nfs/dbraw/zinc/88/71/09/798887109.db2.gz RCPOECFUJAQPRO-LBPRGKRZSA-N 0 1 271.361 0.209 20 30 CCEDMN COCCN1CCN(Cc2cn3cc(C#N)ccc3n2)CC1 ZINC001237604802 806698165 /nfs/dbraw/zinc/69/81/65/806698165.db2.gz RVUMKIQPAMDQTB-UHFFFAOYSA-N 0 1 299.378 0.970 20 30 CCEDMN C=CCn1c(-c2c[nH]nn2)nnc1N(C)Cc1ccn(C)n1 ZINC001121620832 799061286 /nfs/dbraw/zinc/06/12/86/799061286.db2.gz TWPSOQUCAFIZQL-UHFFFAOYSA-N 0 1 299.342 0.619 20 30 CCEDMN C#CCNCC(=O)N1CC2(C[C@@H]1C)CCOCC2 ZINC001122120105 799148190 /nfs/dbraw/zinc/14/81/90/799148190.db2.gz URXJVORCWYFINC-LBPRGKRZSA-N 0 1 250.342 0.627 20 30 CCEDMN C=C[C@H](O)c1nnc(N2CCN(CC)CC2)n1CCOC ZINC001122337995 799203642 /nfs/dbraw/zinc/20/36/42/799203642.db2.gz WFDRRIKSROPMLK-LBPRGKRZSA-N 0 1 295.387 0.286 20 30 CCEDMN N#CC(C(=O)CCCNC(=O)C1CC1)c1ccncn1 ZINC001122641019 799280449 /nfs/dbraw/zinc/28/04/49/799280449.db2.gz UFNBQIZWYVUBHB-NSHDSACASA-N 0 1 272.308 0.959 20 30 CCEDMN C=CCCCNC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001123554048 799431782 /nfs/dbraw/zinc/43/17/82/799431782.db2.gz NRMDOLRHQKLIKU-DZGCQCFKSA-N 0 1 297.399 0.478 20 30 CCEDMN CC#CCN(C)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001123788648 799474957 /nfs/dbraw/zinc/47/49/57/799474957.db2.gz MQWNIOMGJOUGJV-ZDUSSCGKSA-N 0 1 266.389 0.287 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001123915351 799503012 /nfs/dbraw/zinc/50/30/12/799503012.db2.gz UEXUQGFFYWUEQN-NEPJUHHUSA-N 0 1 293.371 0.962 20 30 CCEDMN C=CCCC(=O)NCCNCC(=O)Nc1cnccn1 ZINC001124636899 799620311 /nfs/dbraw/zinc/62/03/11/799620311.db2.gz ZXAQUFIUBNGHMV-UHFFFAOYSA-N 0 1 277.328 0.087 20 30 CCEDMN C=CCn1cc(CN2CC(N(C)[C@@H]3CCOC3)C2)cn1 ZINC001139772323 799841632 /nfs/dbraw/zinc/84/16/32/799841632.db2.gz FDHCRHUBOWAGLC-CQSZACIVSA-N 0 1 276.384 0.974 20 30 CCEDMN CC(C)(C#N)C(=O)N[C@H]1CCCN(CCCO)C1 ZINC001141030079 799973277 /nfs/dbraw/zinc/97/32/77/799973277.db2.gz MRYYYANHIJGDES-NSHDSACASA-N 0 1 253.346 0.499 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001149398266 800255264 /nfs/dbraw/zinc/25/52/64/800255264.db2.gz KIQZHWRAAHTPPH-NEPJUHHUSA-N 0 1 251.330 0.539 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001149398266 800255267 /nfs/dbraw/zinc/25/52/67/800255267.db2.gz KIQZHWRAAHTPPH-NEPJUHHUSA-N 0 1 251.330 0.539 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@H](C)OC)C1 ZINC001149416191 800258757 /nfs/dbraw/zinc/25/87/57/800258757.db2.gz RLXYFNALEXUSRS-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN CON=CC(=O)N1CCN[C@H](Cc2ccc(F)cc2)C1 ZINC001149542996 800273808 /nfs/dbraw/zinc/27/38/08/800273808.db2.gz QWSZARCOZTVFCE-CYBMUJFWSA-N 0 1 279.315 0.801 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001149660728 800287949 /nfs/dbraw/zinc/28/79/49/800287949.db2.gz SDJOCVCMQSTRSW-QWHCGFSZSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001149660728 800287955 /nfs/dbraw/zinc/28/79/55/800287955.db2.gz SDJOCVCMQSTRSW-QWHCGFSZSA-N 0 1 270.373 0.396 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001149653819 800288492 /nfs/dbraw/zinc/28/84/92/800288492.db2.gz RBPQFSPFXSSXJC-ZIAGYGMSSA-N 0 1 282.384 0.234 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001149653819 800288498 /nfs/dbraw/zinc/28/84/98/800288498.db2.gz RBPQFSPFXSSXJC-ZIAGYGMSSA-N 0 1 282.384 0.234 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1CN(CC=C)CCCO1 ZINC001149701635 800291255 /nfs/dbraw/zinc/29/12/55/800291255.db2.gz UFMFLDDEDGYXRX-KGLIPLIRSA-N 0 1 280.368 0.418 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H]1CN(CC=C)CCCO1 ZINC001149701636 800291280 /nfs/dbraw/zinc/29/12/80/800291280.db2.gz UFMFLDDEDGYXRX-UONOGXRCSA-N 0 1 280.368 0.418 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2c[nH]cn2)C1 ZINC001150371157 800332583 /nfs/dbraw/zinc/33/25/83/800332583.db2.gz GQGRRKMBXPWHED-ZDUSSCGKSA-N 0 1 278.356 0.345 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1[nH]nc2c1COCC2 ZINC001152016172 800481776 /nfs/dbraw/zinc/48/17/76/800481776.db2.gz VBSYTSYPCDTAAU-NSHDSACASA-N 0 1 290.367 0.863 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cnc2ccnn2c1 ZINC001153046122 800587026 /nfs/dbraw/zinc/58/70/26/800587026.db2.gz CMUXSJIVBMQADW-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1c(C)nnn1CC ZINC001153080990 800593685 /nfs/dbraw/zinc/59/36/85/800593685.db2.gz KSXAJVJLDDBHRT-UHFFFAOYSA-N 0 1 295.387 0.405 20 30 CCEDMN N#CCSCC(=O)NCCCNCc1nccs1 ZINC001154803061 800847449 /nfs/dbraw/zinc/84/74/49/800847449.db2.gz SDPRTGUZQUKAHY-UHFFFAOYSA-N 0 1 284.410 0.996 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2ccc(C#N)c(C)n2)CC1 ZINC001155158473 800921096 /nfs/dbraw/zinc/92/10/96/800921096.db2.gz ALTHHFGYFADKKW-AWEZNQCLSA-N 0 1 288.351 0.978 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2cc(CC#N)ccn2)CC1 ZINC001155159006 800921702 /nfs/dbraw/zinc/92/17/02/800921702.db2.gz HFDLOHDRKKZJKU-CQSZACIVSA-N 0 1 288.351 0.864 20 30 CCEDMN Cc1cc(C#N)cc(NCc2nnc3n2CCCNC3)n1 ZINC001155429045 800974931 /nfs/dbraw/zinc/97/49/31/800974931.db2.gz BWRQZPKANBYXEX-UHFFFAOYSA-N 0 1 283.339 0.959 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)COC[C@@H]1CCOC1 ZINC001155590146 801018233 /nfs/dbraw/zinc/01/82/33/801018233.db2.gz LRXISLGAELSQPH-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CCOCC(=O)NCCCN[C@@H](C)c1nnc(C)[nH]1 ZINC001155712623 801041732 /nfs/dbraw/zinc/04/17/32/801041732.db2.gz QXIUANZMTRFQDY-JTQLQIEISA-N 0 1 281.360 0.473 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1cccc2cncn21 ZINC001155790413 801051292 /nfs/dbraw/zinc/05/12/92/801051292.db2.gz XNECVGHUSUAMQF-LLVKDONJSA-N 0 1 255.281 0.272 20 30 CCEDMN CCc1cnc(CNCCCNC(=O)[C@@H](C)C#N)o1 ZINC001155828003 801060664 /nfs/dbraw/zinc/06/06/64/801060664.db2.gz KBVHOMWFXOCWSV-JTQLQIEISA-N 0 1 264.329 0.993 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1ncc(Cl)n1C ZINC001155835845 801061169 /nfs/dbraw/zinc/06/11/69/801061169.db2.gz GHJKQLOKQCWJMC-SECBINFHSA-N 0 1 283.763 0.829 20 30 CCEDMN CCOC(=O)CN(CCC#N)c1ncnc2c1CCNC2 ZINC001157159248 801314302 /nfs/dbraw/zinc/31/43/02/801314302.db2.gz DASZARATZTZNKL-UHFFFAOYSA-N 0 1 289.339 0.405 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1nocc1C ZINC001157201277 801324905 /nfs/dbraw/zinc/32/49/05/801324905.db2.gz DVBHTGHTEQEGLP-CQSZACIVSA-N 0 1 281.356 0.906 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)NC(C)=O ZINC001157493286 801400112 /nfs/dbraw/zinc/40/01/12/801400112.db2.gz COKMOAGIJPGFJH-TZMCWYRMSA-N 0 1 279.384 0.457 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cc1nnc(C)o1 ZINC001157901904 801513673 /nfs/dbraw/zinc/51/36/73/801513673.db2.gz BAWKLYDFAOJPBE-CYBMUJFWSA-N 0 1 290.367 0.867 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cc1nnc(C)[nH]1 ZINC001157920408 801522406 /nfs/dbraw/zinc/52/24/06/801522406.db2.gz XEYYMCFOGAQJFZ-CYBMUJFWSA-N 0 1 289.383 0.602 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)NC(=O)CC ZINC001157994644 801548695 /nfs/dbraw/zinc/54/86/95/801548695.db2.gz PTPPVYYYWXIRML-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN C[Si](C)(C)C#Cc1cccnc1-n1nnnc1CN ZINC001158076497 801565558 /nfs/dbraw/zinc/56/55/58/801565558.db2.gz CXLSNQKZHDQNLD-UHFFFAOYSA-N 0 1 272.388 0.745 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CCNC(N)=O ZINC001158837159 801712225 /nfs/dbraw/zinc/71/22/25/801712225.db2.gz HOMZCBHPFIXOJR-CYBMUJFWSA-N 0 1 294.399 0.381 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC=C(CCNC(C)=O)CC1 ZINC001159022864 801741146 /nfs/dbraw/zinc/74/11/46/801741146.db2.gz SNQLNGZXYTZMHF-GFCCVEGCSA-N 0 1 277.368 0.283 20 30 CCEDMN N#Cc1c[nH]c2nc(NC[C@H]3COCCN3)ncc12 ZINC001159043666 801747849 /nfs/dbraw/zinc/74/78/49/801747849.db2.gz GKZBAYDBTQWSPN-VIFPVBQESA-N 0 1 258.285 0.230 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1Cc2cnn(C)c2[C@H](COCC)C1 ZINC001159404203 801801235 /nfs/dbraw/zinc/80/12/35/801801235.db2.gz VUEQFMFDQMZVHJ-WFASDCNBSA-N 0 1 290.367 0.233 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2nnn(C)c2C)CC1 ZINC001159675258 801846811 /nfs/dbraw/zinc/84/68/11/801846811.db2.gz YVELOMXKKPNNDQ-UHFFFAOYSA-N 0 1 287.367 0.509 20 30 CCEDMN CC[C@@](N)(CO)Nc1ncc([N+](=O)[O-])cc1C#N ZINC001159743678 801858994 /nfs/dbraw/zinc/85/89/94/801858994.db2.gz KCILMQPKRYGKIH-JTQLQIEISA-N 0 1 251.246 0.331 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CCCC(=O)NC)CC1 ZINC001159880782 801885945 /nfs/dbraw/zinc/88/59/45/801885945.db2.gz QDEASGLSKAQABC-UHFFFAOYSA-N 0 1 291.395 0.674 20 30 CCEDMN N#Cc1ccc2[n-]c(C(=O)N3CCC([NH3+])CC3)cc(=O)c2c1 ZINC001160522377 801960303 /nfs/dbraw/zinc/96/03/03/801960303.db2.gz GKQUOEOZAYNEEW-UHFFFAOYSA-N 0 1 296.330 0.963 20 30 CCEDMN N#Cc1ccc(OCC(=O)N2CCNC3(CC3)C2)cc1 ZINC001161548790 802177081 /nfs/dbraw/zinc/17/70/81/802177081.db2.gz XBTDVRPDSPLJLJ-UHFFFAOYSA-N 0 1 271.320 0.901 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)CC[C@@H](C)OC ZINC001278854358 808335709 /nfs/dbraw/zinc/33/57/09/808335709.db2.gz BKSCVWKQLDZSJW-KGLIPLIRSA-N 0 1 284.400 0.576 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)NCCCNCC#N ZINC001162821334 802425078 /nfs/dbraw/zinc/42/50/78/802425078.db2.gz YDACGVQCIKCDBJ-GXFFZTMASA-N 0 1 275.356 0.695 20 30 CCEDMN Cc1nnc(CN)n1-c1nc(Cl)nc(C)c1C#N ZINC001163348512 802541997 /nfs/dbraw/zinc/54/19/97/802541997.db2.gz FNTBAGCTPRNFSG-UHFFFAOYSA-N 0 1 263.692 0.658 20 30 CCEDMN CCOC(=O)c1cn(-c2ncc(C#N)cc2C#N)nc1CN ZINC001163520241 802566667 /nfs/dbraw/zinc/56/66/67/802566667.db2.gz FWAOOPMXEGHUEF-UHFFFAOYSA-N 0 1 296.290 0.646 20 30 CCEDMN C[C@H](C#N)C(=O)NCCC1(CNCc2ccn(C)n2)CC1 ZINC001163853524 802625667 /nfs/dbraw/zinc/62/56/67/802625667.db2.gz BTZYKPCEHPSJSA-GFCCVEGCSA-N 0 1 289.383 0.956 20 30 CCEDMN COCCCN1CCN(c2ncc(C)nc2C#N)CC1 ZINC001165096798 802780444 /nfs/dbraw/zinc/78/04/44/802780444.db2.gz LTZUPTWCYRASQJ-UHFFFAOYSA-N 0 1 275.356 0.815 20 30 CCEDMN CN(C1CN(c2ncnc(Cl)c2C#N)C1)[C@@H]1CCOC1 ZINC001165203780 802795404 /nfs/dbraw/zinc/79/54/04/802795404.db2.gz GDARYWNRGSGWPG-SECBINFHSA-N 0 1 293.758 0.911 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CN2CCCC2=O)C1 ZINC001267780614 811783059 /nfs/dbraw/zinc/78/30/59/811783059.db2.gz RIKQVEXBCXNPQZ-CYBMUJFWSA-N 0 1 277.368 0.165 20 30 CCEDMN C#Cc1cncc(C(=O)NCCCNCc2ccon2)c1 ZINC001166299596 802899352 /nfs/dbraw/zinc/89/93/52/802899352.db2.gz UOEOCSRSTFZVJW-UHFFFAOYSA-N 0 1 284.319 0.961 20 30 CCEDMN C#CCCCCC(=O)NCC1(NCC(=O)NC)CC1 ZINC001269401819 813358949 /nfs/dbraw/zinc/35/89/49/813358949.db2.gz GCZFQPVXGVHKGK-UHFFFAOYSA-N 0 1 265.357 0.164 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)COC)C1 ZINC001270611367 813837003 /nfs/dbraw/zinc/83/70/03/813837003.db2.gz JVKMBKZDPHHYCI-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(CC)CCNC2=O)C1 ZINC001207610606 803425593 /nfs/dbraw/zinc/42/55/93/803425593.db2.gz QKZIXPFVBYOGBX-JMSVASOKSA-N 0 1 279.384 0.525 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)c1ccc(C#N)[nH]1)C2 ZINC001276552029 803472992 /nfs/dbraw/zinc/47/29/92/803472992.db2.gz ZUQNQDNGCSAOTE-UHFFFAOYSA-N 0 1 298.306 0.467 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2cncc3nc[nH]c32)CCN1CC#N ZINC001088581282 814952421 /nfs/dbraw/zinc/95/24/21/814952421.db2.gz IYIYLZPRMVWNFI-KOLCDFICSA-N 0 1 284.323 0.674 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CNC(=O)c2ccccc2)C1 ZINC001210554064 803653942 /nfs/dbraw/zinc/65/39/42/803653942.db2.gz AIWKBJACYGHGEP-UKRRQHHQSA-N 0 1 299.374 0.486 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1OC ZINC001213959714 803707807 /nfs/dbraw/zinc/70/78/07/803707807.db2.gz XWIVWRLBAHGKHH-ZIAGYGMSSA-N 0 1 282.384 0.971 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1OC ZINC001213961671 803707885 /nfs/dbraw/zinc/70/78/85/803707885.db2.gz MEAPWRFALSKVAC-BFHYXJOUSA-N 0 1 282.384 0.969 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](CC)OC)[C@H](OC)C1 ZINC001212002579 814974779 /nfs/dbraw/zinc/97/47/79/814974779.db2.gz VVIAMDXUISEITO-FRRDWIJNSA-N 0 1 268.357 0.250 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1OC ZINC001212024416 814980080 /nfs/dbraw/zinc/98/00/80/814980080.db2.gz SQQYSMGJDRTYMD-JHJVBQTASA-N 0 1 270.373 0.803 20 30 CCEDMN N#Cc1cnc(NC(=O)[C@H](N)Cc2cccc(O)c2)cn1 ZINC001218504694 803972201 /nfs/dbraw/zinc/97/22/01/803972201.db2.gz RKSPDOHFRMOVKY-GFCCVEGCSA-N 0 1 283.291 0.562 20 30 CCEDMN C#C[C@](C)(N)C(=O)Nc1ccc2nc(CO)[nH]c2c1 ZINC001218955075 804167556 /nfs/dbraw/zinc/16/75/56/804167556.db2.gz DGIKQPWIPOEXOD-ZDUSSCGKSA-N 0 1 258.281 0.344 20 30 CCEDMN C=CCCOCC(=O)N1CCO[C@@H]2CN(CCC)C[C@@H]21 ZINC001219064188 804189623 /nfs/dbraw/zinc/18/96/23/804189623.db2.gz QSIHSXCEWAUUGT-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2ccco2)[C@@H](O)C1 ZINC001219183967 804228645 /nfs/dbraw/zinc/22/86/45/804228645.db2.gz JKSCSBJYZNEPRG-KGLIPLIRSA-N 0 1 276.336 0.397 20 30 CCEDMN C[C@@H]1COCC[C@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000311445719 804261055 /nfs/dbraw/zinc/26/10/55/804261055.db2.gz WMMYAXTWLXTZCL-VXGBXAGGSA-N 0 1 265.357 0.763 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@@H]3CCN(C)C3=O)[C@@H]2C1 ZINC001075920643 815005881 /nfs/dbraw/zinc/00/58/81/815005881.db2.gz OMEOSWXEKKIOGC-MELADBBJSA-N 0 1 289.379 0.021 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(Cc2ccccc2)C[C@@H]1O ZINC001219379537 804292571 /nfs/dbraw/zinc/29/25/71/804292571.db2.gz UJZKQLHJLGREMB-BNOWGMLFSA-N 0 1 273.336 0.508 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)/C=C\C2CC2)[C@H](OC)C1 ZINC001212084536 815006877 /nfs/dbraw/zinc/00/68/77/815006877.db2.gz BCIZDAPKVBDHOR-ZSBJFDRYSA-N 0 1 250.342 0.954 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCC2CC2)[C@@H](O)C1 ZINC001219404223 804300651 /nfs/dbraw/zinc/30/06/51/804300651.db2.gz JMGLSGVIGNOEHS-KGLIPLIRSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C=C\C2CC2)[C@H](OC)C1 ZINC001212089258 815007228 /nfs/dbraw/zinc/00/72/28/815007228.db2.gz BCWJRPABQFXYDA-YOVCOIKASA-N 0 1 294.395 0.971 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CCCF)C[C@@H]1O ZINC001219581843 804357719 /nfs/dbraw/zinc/35/77/19/804357719.db2.gz VGGPOLQHEGDAMW-NEPJUHHUSA-N 0 1 256.321 0.167 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCn2cccn2)C[C@@H]1O ZINC001219710803 804411098 /nfs/dbraw/zinc/41/10/98/804411098.db2.gz JIFOXTVLUFGPIA-KGLIPLIRSA-N 0 1 292.383 0.401 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnn2cc(C)cnc12 ZINC001272125441 815021774 /nfs/dbraw/zinc/02/17/74/815021774.db2.gz DGQVWKWKBYGWDC-UHFFFAOYSA-N 0 1 285.351 0.675 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COc2cc(C)on2)[C@@H](O)C1 ZINC001219834141 804429833 /nfs/dbraw/zinc/42/98/33/804429833.db2.gz DKHYSZMVJOFCLG-NEPJUHHUSA-N 0 1 295.339 0.099 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COCC(F)F)[C@@H](O)C1 ZINC001220065011 804492248 /nfs/dbraw/zinc/49/22/48/804492248.db2.gz OMPPMAJNUUNMHQ-ZJUUUORDSA-N 0 1 278.299 0.006 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001220146437 804514577 /nfs/dbraw/zinc/51/45/77/804514577.db2.gz GHCPVOZNDFKYTM-KGLIPLIRSA-N 0 1 282.384 0.378 20 30 CCEDMN C[C@H](C#N)C(=O)N(CCO)CCNCC#Cc1ccccc1 ZINC001272129690 815023113 /nfs/dbraw/zinc/02/31/13/815023113.db2.gz MOYYWSLTVLINIV-OAHLLOKOSA-N 0 1 299.374 0.608 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(CCOC)C[C@@H]2O)CC1 ZINC001220289876 804563977 /nfs/dbraw/zinc/56/39/77/804563977.db2.gz FWIJXYXRKKHYCH-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(C[C@H](C)OC)C[C@@H]2O)CC1 ZINC001220290320 804564102 /nfs/dbraw/zinc/56/41/02/804564102.db2.gz VTCUAOXLHBXDLZ-MJBXVCDLSA-N 0 1 296.411 0.929 20 30 CCEDMN Cn1cncc1C[C@H](N)C(=O)N/C=C/C(=O)C(F)(F)F ZINC001220343419 804579654 /nfs/dbraw/zinc/57/96/54/804579654.db2.gz NVWYGELRQRWINY-SGJFDWMWSA-N 0 1 290.245 0.051 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@@H](N)Cc1cn(C)c2ccccc12 ZINC001220392348 804592948 /nfs/dbraw/zinc/59/29/48/804592948.db2.gz BEKAWSTVUYKPTP-JSGCOSHPSA-N 0 1 287.363 0.711 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@H](N)Cc1ccccc1F ZINC001220393423 804594693 /nfs/dbraw/zinc/59/46/93/804594693.db2.gz WHGLXWRQVBKJIF-CMPLNLGQSA-N 0 1 252.289 0.359 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H]1CCO[C@H](C)C1 ZINC001272138382 815026693 /nfs/dbraw/zinc/02/66/93/815026693.db2.gz UXIYEHOLSJCDPR-HUUCEWRRSA-N 0 1 296.411 0.842 20 30 CCEDMN N[C@H](Cc1cccc(O)c1)C(=O)NCCCS ZINC001220585211 804632042 /nfs/dbraw/zinc/63/20/42/804632042.db2.gz BZNGFSIWVJOINY-LLVKDONJSA-N 0 1 254.355 0.698 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001272146311 815028227 /nfs/dbraw/zinc/02/82/27/815028227.db2.gz VDPKRBHFSHFELJ-OAHLLOKOSA-N 0 1 279.384 0.268 20 30 CCEDMN C=CCC[C@H](NC(=O)N[C@@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC000315892183 804656267 /nfs/dbraw/zinc/65/62/67/804656267.db2.gz LONLNNCJWBYPMK-PWSUYJOCSA-N 0 1 281.356 0.942 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CN(CCC)C[C@@H]1O ZINC001221148155 804734879 /nfs/dbraw/zinc/73/48/79/804734879.db2.gz SWTMDHCNRFDQAV-TUAOUCFPSA-N 0 1 256.346 0.149 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCC1CCN(C(=O)OCC=C)CC1 ZINC001221298289 804768965 /nfs/dbraw/zinc/76/89/65/804768965.db2.gz JCGFNGBKLLLGTC-HNNXBMFYSA-N 0 1 293.367 0.488 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CNC(=O)C3CC3)[C@@H]2C1 ZINC001221314932 804774192 /nfs/dbraw/zinc/77/41/92/804774192.db2.gz XEJGIHVBQWODSA-CHWSQXEVSA-N 0 1 277.368 0.231 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001221423373 804815119 /nfs/dbraw/zinc/81/51/19/804815119.db2.gz GZJOSRXQUWBHGJ-MGPQQGTHSA-N 0 1 282.384 0.493 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCCCC(N)=O)[C@@H]2C1 ZINC001221926151 804855292 /nfs/dbraw/zinc/85/52/92/804855292.db2.gz LRSSVDOUXPCHRJ-CHWSQXEVSA-N 0 1 279.384 0.751 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)C(F)F)CC1 ZINC001222222275 804883885 /nfs/dbraw/zinc/88/38/85/804883885.db2.gz CRVGXTVXPWUMDM-UHFFFAOYSA-N 0 1 274.311 0.730 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CC[C@H]2CC[N@@H+](CCO)[C@H]2C1 ZINC001222397437 804897144 /nfs/dbraw/zinc/89/71/44/804897144.db2.gz AWFPDJHSRIHQHF-ZNMIVQPWSA-N 0 1 296.411 0.883 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)COC(C)C)CC1 ZINC001222547937 804914744 /nfs/dbraw/zinc/91/47/44/804914744.db2.gz LCMIVARWRXSIAF-UHFFFAOYSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCOCC(=O)NCC1CCN(CC#CCOC)CC1 ZINC001222636440 804921550 /nfs/dbraw/zinc/92/15/50/804921550.db2.gz MPMMXXDKVZBELO-UHFFFAOYSA-N 0 1 294.395 0.667 20 30 CCEDMN CCCNC(=O)CN1CCC(CNC(=O)[C@H](C)C#N)CC1 ZINC001222641737 804923317 /nfs/dbraw/zinc/92/33/17/804923317.db2.gz RPJTXAOEGIXWJJ-GFCCVEGCSA-N 0 1 294.399 0.500 20 30 CCEDMN CCCNC(=O)CN1CCC(CNC(=O)[C@@H](C)C#N)CC1 ZINC001222641738 804924068 /nfs/dbraw/zinc/92/40/68/804924068.db2.gz RPJTXAOEGIXWJJ-LBPRGKRZSA-N 0 1 294.399 0.500 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](COC)OC)C[C@@H]21 ZINC001223468071 804974825 /nfs/dbraw/zinc/97/48/25/804974825.db2.gz KOUFJGHBYAMRRJ-HZSPNIEDSA-N 0 1 280.368 0.204 20 30 CCEDMN COCCN1CC2(C[C@H]2C(=O)NC2(C#N)CCC2)C1 ZINC001276896260 804976411 /nfs/dbraw/zinc/97/64/11/804976411.db2.gz GIUJFEVGFPZGNS-NSHDSACASA-N 0 1 263.341 0.517 20 30 CCEDMN CC#CCCCC(=O)NC[C@H]1CCN1C[C@@H](O)COC ZINC001276908112 804983115 /nfs/dbraw/zinc/98/31/15/804983115.db2.gz CBCRGMSIMTUAEQ-ZIAGYGMSSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)[C@H](C)Cc1cnc[nH]1 ZINC001276942229 805005624 /nfs/dbraw/zinc/00/56/24/805005624.db2.gz UWHXDMOBRXYHMA-DGCLKSJQSA-N 0 1 260.341 0.412 20 30 CCEDMN CC#CCCCC(=O)NCC1CCN([C@H](C)C(N)=O)CC1 ZINC001224147336 805013732 /nfs/dbraw/zinc/01/37/32/805013732.db2.gz BVJUPFNBMMJINT-CYBMUJFWSA-N 0 1 293.411 0.882 20 30 CCEDMN COCCOCCOCCOC1=C(C)O[C@@H](C)C1=O ZINC001224929895 805092612 /nfs/dbraw/zinc/09/26/12/805092612.db2.gz HDQZRAMVGPYILJ-JTQLQIEISA-N 0 1 274.313 0.902 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)[C@H]1C ZINC001088659241 815050584 /nfs/dbraw/zinc/05/05/84/815050584.db2.gz NDAHFKKTBMWRGE-DVOMOZLQSA-N 0 1 291.395 0.505 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](C)NC(C)=O)CC1 ZINC001225964548 805181544 /nfs/dbraw/zinc/18/15/44/805181544.db2.gz REBVKZYRUAIBQA-NSHDSACASA-N 0 1 265.357 0.115 20 30 CCEDMN C=C[C@@H](Oc1[nH]c(=O)nc2cnncc21)C(=O)OC ZINC001226693259 805267209 /nfs/dbraw/zinc/26/72/09/805267209.db2.gz UIZTZKCEPJNCCM-MRVPVSSYSA-N 0 1 262.225 0.232 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@H]2CC[C@@H](F)C2)CC1 ZINC001277150299 805271782 /nfs/dbraw/zinc/27/17/82/805271782.db2.gz OORAPOXOYMADLA-NWDGAFQWSA-N 0 1 297.374 0.665 20 30 CCEDMN C#CC(C#C)Oc1n[nH]c(=O)cc1Br ZINC001226849523 805286198 /nfs/dbraw/zinc/28/61/98/805286198.db2.gz NZLQKBCPNZJSET-UHFFFAOYSA-N 0 1 253.055 0.959 20 30 CCEDMN Cc1nc(CN2CCC(NC(=O)C#CC3CC3)CC2)n[nH]1 ZINC001227299739 805342324 /nfs/dbraw/zinc/34/23/24/805342324.db2.gz ZTRGMRGBNKBIDL-UHFFFAOYSA-N 0 1 287.367 0.607 20 30 CCEDMN CC#CCN1CCC(NC(=O)C(C)(C)C(N)=O)CC1 ZINC001227916627 805400965 /nfs/dbraw/zinc/40/09/65/805400965.db2.gz RZNDFWDPILPNII-UHFFFAOYSA-N 0 1 265.357 0.102 20 30 CCEDMN COCC#CCN1CCC(NC(=O)[C@@]2(F)CCOC2)CC1 ZINC001228825841 805482790 /nfs/dbraw/zinc/48/27/90/805482790.db2.gz COLIWWHFGZDPDE-OAHLLOKOSA-N 0 1 298.358 0.345 20 30 CCEDMN CN(C(=O)[C@@H]1CCCc2c[nH]nc21)C1CN(CC#N)C1 ZINC001042723430 815091384 /nfs/dbraw/zinc/09/13/84/815091384.db2.gz IRFQTFQQRSQTKW-GFCCVEGCSA-N 0 1 273.340 0.496 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cn2ccc(C)cc2=O)C1 ZINC001278427592 807134657 /nfs/dbraw/zinc/13/46/57/807134657.db2.gz WRLCVSIGOQZCHR-MRXNPFEDSA-N 0 1 287.363 0.371 20 30 CCEDMN Nc1nonc1C(=Nc1cc2ccc[nH]c-2n1)NO ZINC001248694421 807519422 /nfs/dbraw/zinc/51/94/22/807519422.db2.gz JLOLJBLEODBHES-UHFFFAOYSA-N 0 1 259.229 0.585 20 30 CCEDMN COc1cncc(CNCCNC(=O)C#CC(C)C)n1 ZINC001126815714 815131515 /nfs/dbraw/zinc/13/15/15/815131515.db2.gz JPPZXQSPEDWIMM-UHFFFAOYSA-N 0 1 276.340 0.350 20 30 CCEDMN N#CCNC[C@@H]1CC[C@@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001278566455 807597203 /nfs/dbraw/zinc/59/72/03/807597203.db2.gz XQCIXFJBWBEHLR-VXGBXAGGSA-N 0 1 275.356 0.740 20 30 CCEDMN COc1cccc([C@H](O)CN[C@@H]2C(=O)N(O)C[C@@H]2C)c1 ZINC001250733829 807609846 /nfs/dbraw/zinc/60/98/46/807609846.db2.gz AAZGYNOQFCGADN-BIMULSAOSA-N 0 1 280.324 0.554 20 30 CCEDMN CN1CCC(C#N)(NC[C@@H](O)C(F)(F)F)CC1 ZINC001250999391 807632676 /nfs/dbraw/zinc/63/26/76/807632676.db2.gz JBWAJEVSFYKUDJ-MRVPVSSYSA-N 0 1 251.252 0.487 20 30 CCEDMN Cc1n[nH]c(C(=O)NCC[C@H](C)NC(=O)[C@@H](C)C#N)c1C ZINC001075978849 815137274 /nfs/dbraw/zinc/13/72/74/815137274.db2.gz BCFZPIIILCJTNY-IUCAKERBSA-N 0 1 291.355 0.811 20 30 CCEDMN COC1(C)CCN(C(=O)NCC#CCN(C)C)CC1 ZINC001251707599 807695375 /nfs/dbraw/zinc/69/53/75/807695375.db2.gz ACLBVFACWYSSEW-UHFFFAOYSA-N 0 1 267.373 0.762 20 30 CCEDMN C[C@@H](NC(=O)NCC#CCN(C)C)[C@@H](O)c1ccccc1 ZINC001251708154 807697081 /nfs/dbraw/zinc/69/70/81/807697081.db2.gz LXBJSQZHHURKCV-UKRRQHHQSA-N 0 1 289.379 0.973 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@H](n2cccn2)C1 ZINC001251707937 807697125 /nfs/dbraw/zinc/69/71/25/807697125.db2.gz IVEAXYXUAZBBEW-ZDUSSCGKSA-N 0 1 275.356 0.405 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(c2ccc(O)cc2)CC1 ZINC001251821484 807709251 /nfs/dbraw/zinc/70/92/51/807709251.db2.gz PYPIFBNVKLJDDO-MRXNPFEDSA-N 0 1 290.363 0.525 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(C(C)C)no1 ZINC001251887346 807735446 /nfs/dbraw/zinc/73/54/46/807735446.db2.gz ADLVHRBUDUZEKM-NSHDSACASA-N 0 1 252.314 0.898 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1noc2ccccc12 ZINC001251893863 807738560 /nfs/dbraw/zinc/73/85/60/807738560.db2.gz LPZRYSGCELZANA-NSHDSACASA-N 0 1 260.293 0.928 20 30 CCEDMN C#CCOC[C@H](O)CNCc1ccncc1Br ZINC001251893740 807738979 /nfs/dbraw/zinc/73/89/79/807738979.db2.gz ILYJILWYKWXHJF-LLVKDONJSA-N 0 1 299.168 0.944 20 30 CCEDMN C#CCOCCC(=O)NC[C@]1(C)CCCN(CC#C)C1 ZINC001278660030 807809292 /nfs/dbraw/zinc/80/92/92/807809292.db2.gz ZJTIPOUFZFJUHZ-INIZCTEOSA-N 0 1 276.380 0.878 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccnn2C(F)F)[C@@H](O)C1 ZINC001083490424 815158939 /nfs/dbraw/zinc/15/89/39/815158939.db2.gz FLAXKHWHAPUSFG-KOLCDFICSA-N 0 1 298.293 0.076 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@H](CO)c1ccc(OCC)nc1 ZINC001252486251 807889809 /nfs/dbraw/zinc/88/98/09/807889809.db2.gz WCGVGKYLINFNJL-UONOGXRCSA-N 0 1 296.367 0.667 20 30 CCEDMN C=CCOC[C@@H](O)CNCC(=O)Nc1ccc(F)cc1 ZINC001252496063 807895347 /nfs/dbraw/zinc/89/53/47/807895347.db2.gz WZLZKLJJVSSMPS-ZDUSSCGKSA-N 0 1 282.315 0.917 20 30 CCEDMN C=CCC[C@@H](O)CNC1(C(=O)OCC)CCN(C)CC1 ZINC001252618648 807920430 /nfs/dbraw/zinc/92/04/30/807920430.db2.gz ZRPAWWSJYPSXBZ-CYBMUJFWSA-N 0 1 284.400 0.931 20 30 CCEDMN CN1CCC(C#N)(NC[C@@H](O)COCc2ccco2)CC1 ZINC001252662356 807931884 /nfs/dbraw/zinc/93/18/84/807931884.db2.gz OEUSZQCJYNIPHT-CYBMUJFWSA-N 0 1 293.367 0.735 20 30 CCEDMN C=C[C@H](O)CN1C[C@H](O)C[C@H](NC(=O)OC(C)(C)C)C1 ZINC001253568258 808081795 /nfs/dbraw/zinc/08/17/95/808081795.db2.gz ZFXJFRWDJDMMMP-SDDRHHMPSA-N 0 1 286.372 0.493 20 30 CCEDMN C=C[C@@H](O)CN1CCC(N2CCCCS2(=O)=O)CC1 ZINC001253586265 808091898 /nfs/dbraw/zinc/09/18/98/808091898.db2.gz IBIUADJFKTXSLP-CYBMUJFWSA-N 0 1 288.413 0.423 20 30 CCEDMN CC(C)(C)OC(=O)[C@H](O)CNCc1ccc(C#N)nc1 ZINC001253707783 808124490 /nfs/dbraw/zinc/12/44/90/808124490.db2.gz BZNAVVOMJYZJLU-GFCCVEGCSA-N 0 1 277.324 0.745 20 30 CCEDMN Cc1nocc1CNC[C@H](O)CN(C)C(=O)C#CC1CC1 ZINC001278755013 808168184 /nfs/dbraw/zinc/16/81/84/808168184.db2.gz CSRJINVYOWSIGZ-AWEZNQCLSA-N 0 1 291.351 0.305 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)CC[C@@H]2CCCO2)C1 ZINC001278760255 808170102 /nfs/dbraw/zinc/17/01/02/808170102.db2.gz KAZXWLHQBXVJTH-GOEBONIOSA-N 0 1 294.395 0.522 20 30 CCEDMN CCOC(=O)CN1CCC(N2CC[C@@H](C#N)C2)CC1 ZINC001254406489 808237130 /nfs/dbraw/zinc/23/71/30/808237130.db2.gz QYZOSWODKSSADK-LBPRGKRZSA-N 0 1 265.357 0.859 20 30 CCEDMN COc1coc(CNCCNC(=O)C#CC2CC2)cc1=O ZINC001126885540 815187917 /nfs/dbraw/zinc/18/79/17/815187917.db2.gz IRUIBALJQLRRHW-UHFFFAOYSA-N 0 1 290.319 0.268 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H]1CCCn2nccc21 ZINC001254593661 808264164 /nfs/dbraw/zinc/26/41/64/808264164.db2.gz OZVOJZJUBXMFSJ-LBPRGKRZSA-N 0 1 275.356 0.582 20 30 CCEDMN CN1CC(C(=O)Nc2cc(C#N)c(C#N)cc2N)C1 ZINC001142598786 815190407 /nfs/dbraw/zinc/19/04/07/815190407.db2.gz FORDRFLMJSWPLD-UHFFFAOYSA-N 0 1 255.281 0.512 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cccnc1 ZINC001278845315 808323779 /nfs/dbraw/zinc/32/37/79/808323779.db2.gz WOSSLAAPNAGAMD-CYBMUJFWSA-N 0 1 261.325 0.080 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cccnc1 ZINC001278845316 808323908 /nfs/dbraw/zinc/32/39/08/808323908.db2.gz WOSSLAAPNAGAMD-ZDUSSCGKSA-N 0 1 261.325 0.080 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccns1 ZINC001278860572 808349781 /nfs/dbraw/zinc/34/97/81/808349781.db2.gz ZYUZSWTVKDIBEX-SNVBAGLBSA-N 0 1 267.354 0.141 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@@](O)(C2CC2)C1 ZINC001256096261 808470610 /nfs/dbraw/zinc/47/06/10/808470610.db2.gz OTXOGLARYKDYSZ-NHYWBVRUSA-N 0 1 277.368 0.784 20 30 CCEDMN N#C[C@@H]1CNCCN1C1CCN(Cc2ccncc2)CC1 ZINC001256589028 808538984 /nfs/dbraw/zinc/53/89/84/808538984.db2.gz PCXYUIPMTLDVID-MRXNPFEDSA-N 0 1 285.395 0.843 20 30 CCEDMN COC(=O)C1CCC([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)CC1 ZINC001257856587 808638927 /nfs/dbraw/zinc/63/89/27/808638927.db2.gz ALKUIZFPIRZVOG-PMUOWJKOSA-N 0 1 270.329 0.544 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccc(C(N)=O)o3)[C@@H]2C1 ZINC001076018241 815234225 /nfs/dbraw/zinc/23/42/25/815234225.db2.gz IAZUPBYRSMHFPZ-WDEREUQCSA-N 0 1 289.335 0.711 20 30 CCEDMN C=CCS(=O)(=O)Nc1ncnc(OC)c1OC ZINC001259923082 808805549 /nfs/dbraw/zinc/80/55/49/808805549.db2.gz RGCOQXBXKASJER-UHFFFAOYSA-N 0 1 259.287 0.422 20 30 CCEDMN C=CCS(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001259924837 808805556 /nfs/dbraw/zinc/80/55/56/808805556.db2.gz ORFQEVDPOCIREQ-SECBINFHSA-N 0 1 259.352 0.776 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3coc(C(N)=O)c3)[C@@H]2C1 ZINC001076083538 815240846 /nfs/dbraw/zinc/24/08/46/815240846.db2.gz FTJSTVATIUGXOI-CMPLNLGQSA-N 0 1 289.335 0.711 20 30 CCEDMN CC(C)(C#N)CNC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000358644429 808857376 /nfs/dbraw/zinc/85/73/76/808857376.db2.gz XTQAXICJKGOREC-NSHDSACASA-N 0 1 295.387 0.175 20 30 CCEDMN CC[C@H](C#CC(=O)OC)OCCN1CCN(C)CC1 ZINC001224550790 815255480 /nfs/dbraw/zinc/25/54/80/815255480.db2.gz ZTWYRKXDGLATHN-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COC3CCOCC3)[C@@H]2C1 ZINC001076315492 815275406 /nfs/dbraw/zinc/27/54/06/815275406.db2.gz JHMWANQQKDZHJR-DZGCQCFKSA-N 0 1 292.379 0.348 20 30 CCEDMN C=CCn1c(CCO)nnc1N1CCN(C)C(C)(C)C1 ZINC001262991624 809425234 /nfs/dbraw/zinc/42/52/34/809425234.db2.gz QGEKZPCSJLLZFY-UHFFFAOYSA-N 0 1 279.388 0.529 20 30 CCEDMN C#CCNCC(=O)N1CCC(N2CCCOC2=O)CC1 ZINC001263241316 809492349 /nfs/dbraw/zinc/49/23/49/809492349.db2.gz IKJPPRVKYJTKLZ-UHFFFAOYSA-N 0 1 279.340 0.043 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCNC[C@@H]1CCOC ZINC001263254291 809496820 /nfs/dbraw/zinc/49/68/20/809496820.db2.gz CQOGLCWDYFCZCF-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN N#CC1(CC(=O)OCc2c[nH]nn2)CCOCC1 ZINC001263478596 809522311 /nfs/dbraw/zinc/52/23/11/809522311.db2.gz CGHNVUHCVLGQEO-UHFFFAOYSA-N 0 1 250.258 0.558 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1C[N@H+](CCCC2CCC2)C[C@@H]1O ZINC001263721264 809556330 /nfs/dbraw/zinc/55/63/30/809556330.db2.gz YUMHNSPBEZSXGJ-CABCVRRESA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001263818507 809578633 /nfs/dbraw/zinc/57/86/33/809578633.db2.gz ZJTSANCECBAZMY-XGUBFFRZSA-N 0 1 293.411 0.472 20 30 CCEDMN CC#CCN(CCO)[C@H]1CCCN(C(=O)COCCC)C1 ZINC001264075552 809628424 /nfs/dbraw/zinc/62/84/24/809628424.db2.gz YIQNPMWAVYHFKO-HNNXBMFYSA-N 0 1 296.411 0.722 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnn4cc[nH]c34)[C@@H]2C1 ZINC001076503447 815304800 /nfs/dbraw/zinc/30/48/00/815304800.db2.gz IPWSYEXBHLSRTL-WCQYABFASA-N 0 1 283.335 0.442 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cnn4cc[nH]c34)[C@@H]2C1 ZINC001076502310 815304968 /nfs/dbraw/zinc/30/49/68/815304968.db2.gz UTJSGBRYBNCZIX-GXTWGEPZSA-N 0 1 297.362 0.832 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)C2CCC2)n1 ZINC001264579872 809664039 /nfs/dbraw/zinc/66/40/39/809664039.db2.gz BNLONXFHEXFGTM-UHFFFAOYSA-N 0 1 274.324 0.321 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)[C@H](F)CC)n1 ZINC001264582992 809664082 /nfs/dbraw/zinc/66/40/82/809664082.db2.gz SMXHSPUCBRAWRP-SNVBAGLBSA-N 0 1 280.303 0.269 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)[C@]2(CC)CCNC2=O)[C@H]1CC ZINC001264611794 809665298 /nfs/dbraw/zinc/66/52/98/809665298.db2.gz VWXBHAFBJAUIIG-WOSRLPQWSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]2(CC)CCNC2=O)[C@H]1CC ZINC001264611794 809665300 /nfs/dbraw/zinc/66/53/00/809665300.db2.gz VWXBHAFBJAUIIG-WOSRLPQWSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2ccns2)C1 ZINC001264632857 809668044 /nfs/dbraw/zinc/66/80/44/809668044.db2.gz RJIGLVSYUALYCF-LBPRGKRZSA-N 0 1 293.392 0.675 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)c1cnco1 ZINC001264664923 809671262 /nfs/dbraw/zinc/67/12/62/809671262.db2.gz MHXMIKVYYZBVNU-UHFFFAOYSA-N 0 1 279.340 0.718 20 30 CCEDMN CO[C@@H](C)CN1CCN(CCNC(=O)C#CC2CC2)CC1 ZINC001265258768 809733313 /nfs/dbraw/zinc/73/33/13/809733313.db2.gz PWBKLCGKZFUTEB-AWEZNQCLSA-N 0 1 293.411 0.169 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN2CCN(CC=C)CC2)nc1 ZINC001265264990 809735133 /nfs/dbraw/zinc/73/51/33/809735133.db2.gz LQNNSFOSYWDGBY-UHFFFAOYSA-N 0 1 298.390 0.596 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1CCN(CCn2cccn2)C1 ZINC001265284527 809746870 /nfs/dbraw/zinc/74/68/70/809746870.db2.gz RNTKXGYNHWDTBT-AWEZNQCLSA-N 0 1 290.367 0.114 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@@H](NCC#N)C1CC1 ZINC001265366207 809763736 /nfs/dbraw/zinc/76/37/36/809763736.db2.gz JTMWZSQIENIODY-VXGBXAGGSA-N 0 1 250.346 0.089 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@H](CN(C)CC(=O)NC)C1 ZINC001265388346 809770757 /nfs/dbraw/zinc/77/07/57/809770757.db2.gz CADKRDHEQGHQGW-CQSZACIVSA-N 0 1 293.411 0.706 20 30 CCEDMN C=C(Cl)CN1CCC[C@H]([C@H](C)NC(=O)CC(N)=O)C1 ZINC001265699483 809827296 /nfs/dbraw/zinc/82/72/96/809827296.db2.gz FWNZYPQQFLNCEV-QWRGUYRKSA-N 0 1 287.791 0.831 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2ccn(C)n2)C1 ZINC001265895833 809888228 /nfs/dbraw/zinc/88/82/28/809888228.db2.gz SZRXPFIVCZYBJC-ZDUSSCGKSA-N 0 1 278.356 0.313 20 30 CCEDMN CCN(CCCNC(=O)C#CC1CC1)CC(=O)NC1CC1 ZINC001266041194 809924643 /nfs/dbraw/zinc/92/46/43/809924643.db2.gz ZWSRZZFLRMDSGS-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)Cc2cc[nH]n2)C1 ZINC001279470388 809981314 /nfs/dbraw/zinc/98/13/14/809981314.db2.gz FMRYTZLGIYSORF-AWEZNQCLSA-N 0 1 278.356 0.081 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C(C)(C)NC(C)=O ZINC001279588706 809988421 /nfs/dbraw/zinc/98/84/21/809988421.db2.gz UCGBGTSJZYXQTO-KGLIPLIRSA-N 0 1 291.395 0.600 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cscn2)C1 ZINC001076805717 815377196 /nfs/dbraw/zinc/37/71/96/815377196.db2.gz RXAVXGFRGUXXIX-MWLCHTKSSA-N 0 1 267.354 0.494 20 30 CCEDMN C[C@@H](F)CCN1C[C@@H](O)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001076855719 815397452 /nfs/dbraw/zinc/39/74/52/815397452.db2.gz JOZYCLYMGGYPOE-OASPWFOLSA-N 0 1 294.330 0.409 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)n2cccc2)C1 ZINC001076894967 815406614 /nfs/dbraw/zinc/40/66/14/815406614.db2.gz XNRHRCQFUCIYRV-MGPQQGTHSA-N 0 1 277.368 0.787 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2csnc2C)C1 ZINC001076903237 815409527 /nfs/dbraw/zinc/40/95/27/815409527.db2.gz UFGUZIAANOGGEZ-VXGBXAGGSA-N 0 1 281.381 0.803 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccc(C)o2)C1 ZINC001076900294 815410266 /nfs/dbraw/zinc/41/02/66/815410266.db2.gz HFNDRSQAFIKPES-ZIAGYGMSSA-N 0 1 276.336 0.315 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCCC(=O)NCC ZINC001267281719 811091247 /nfs/dbraw/zinc/09/12/47/811091247.db2.gz PRNCKCXJHDCDCW-CYBMUJFWSA-N 0 1 279.384 0.507 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](CNC(=O)C(C)C)C1 ZINC001267289535 811103451 /nfs/dbraw/zinc/10/34/51/811103451.db2.gz RHRPDNDILOOBQU-QWHCGFSZSA-N 0 1 279.384 0.218 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)CCc2nc[nH]n2)C1 ZINC001267290648 811105582 /nfs/dbraw/zinc/10/55/82/811105582.db2.gz RBEWHUFOGQQFSG-LBPRGKRZSA-N 0 1 275.356 0.199 20 30 CCEDMN C[C@H](C(=O)N(C)C)N1CC[C@@H](CNC(=O)C#CC2CC2)C1 ZINC001267293373 811110080 /nfs/dbraw/zinc/11/00/80/811110080.db2.gz RJRXZVXAGXUDBR-OCCSQVGLSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN(CCNC(=O)c1ccc2n[nH]nc2n1)C1CC1 ZINC001267325601 811156839 /nfs/dbraw/zinc/15/68/39/811156839.db2.gz GBYQAAOQANJHDD-UHFFFAOYSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCN(CCNC(=O)CNC(=O)C(C)(C)C)C1CC1 ZINC001267337153 811173299 /nfs/dbraw/zinc/17/32/99/811173299.db2.gz JGZQFYONOQPAGW-UHFFFAOYSA-N 0 1 279.384 0.363 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)C[C@H]1CCC(=O)NC1 ZINC001267383457 811263071 /nfs/dbraw/zinc/26/30/71/811263071.db2.gz NVLVGAUHJPFMKG-LLVKDONJSA-N 0 1 287.791 0.703 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3c[nH]nc3C)C[C@@H]21 ZINC001042172976 811346678 /nfs/dbraw/zinc/34/66/78/811346678.db2.gz KSWVZGYUCGTYES-OCCSQVGLSA-N 0 1 272.352 0.888 20 30 CCEDMN CC(C)N(CC#N)CCCNC(=O)[C@H]1CCCN1C ZINC001267506149 811384028 /nfs/dbraw/zinc/38/40/28/811384028.db2.gz XVTNZXLMQOWYNP-CYBMUJFWSA-N 0 1 266.389 0.821 20 30 CCEDMN Cc1ccc(C#N)c(N2CC[C@H](NC(=O)c3cnn[nH]3)C2)n1 ZINC001058599556 811424626 /nfs/dbraw/zinc/42/46/26/811424626.db2.gz TZVXGKJKDOEKFH-NSHDSACASA-N 0 1 297.322 0.389 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@H]2CCNC2=O)C1 ZINC001267561163 811448683 /nfs/dbraw/zinc/44/86/83/811448683.db2.gz MHGOTZGFFLNUOE-NWDGAFQWSA-N 0 1 299.802 0.703 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)CNC(=O)CC)C1 ZINC001267642903 811608166 /nfs/dbraw/zinc/60/81/66/811608166.db2.gz KRIAICJCHYTHAK-LLVKDONJSA-N 0 1 267.373 0.668 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H](C)OCC)C1 ZINC001149272971 811627419 /nfs/dbraw/zinc/62/74/19/811627419.db2.gz QFNVCAQDWJSFPI-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCc2ncncc2C1 ZINC001038339478 811646226 /nfs/dbraw/zinc/64/62/26/811646226.db2.gz KDXWJILULZAQHW-DZGCQCFKSA-N 0 1 298.390 0.795 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCc2cc[nH]c(=O)c2)C1 ZINC001267745508 811731626 /nfs/dbraw/zinc/73/16/26/811731626.db2.gz SXNSVQPQTLSFCT-CYBMUJFWSA-N 0 1 291.351 0.680 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1CCC[C@H]1CNCc1cnon1 ZINC001272260351 815472729 /nfs/dbraw/zinc/47/27/29/815472729.db2.gz GKQAESPXOLAMEI-JSGCOSHPSA-N 0 1 288.351 0.858 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H](C)C2CC2)[C@@H](O)C1 ZINC001221107785 811757965 /nfs/dbraw/zinc/75/79/65/811757965.db2.gz MQMLEJFLWNKBAZ-YUTCNCBUSA-N 0 1 264.369 0.607 20 30 CCEDMN O=C(C#CC1CC1)N[C@H]1CCC[C@@H]1CNCc1cnon1 ZINC001272260353 815472802 /nfs/dbraw/zinc/47/28/02/815472802.db2.gz GKQAESPXOLAMEI-OCCSQVGLSA-N 0 1 288.351 0.858 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCc2cc(OC)no2)C1 ZINC001267761934 811759466 /nfs/dbraw/zinc/75/94/66/811759466.db2.gz BCUWXRUSKFSZFG-GFCCVEGCSA-N 0 1 291.351 0.782 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccn3nccc23)C1 ZINC001077302029 815477070 /nfs/dbraw/zinc/47/70/70/815477070.db2.gz YYDBUPMTGLJZKI-UKRRQHHQSA-N 0 1 298.346 0.133 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001128644360 818769790 /nfs/dbraw/zinc/76/97/90/818769790.db2.gz QFUNSFSCBLNQCR-JTQLQIEISA-N 0 1 273.764 0.313 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)CNCc1nncn1C ZINC001267984341 811924518 /nfs/dbraw/zinc/92/45/18/811924518.db2.gz BLCYFDHUGGANLC-LBPRGKRZSA-N 0 1 277.372 0.316 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ncccn1 ZINC001114994162 811939566 /nfs/dbraw/zinc/93/95/66/811939566.db2.gz HWENZYNJECAFRD-VIKVFOODSA-N 0 1 284.363 0.479 20 30 CCEDMN C#CCCCCC(=O)NCCNCc1ccnc(OC)n1 ZINC001125967421 811949899 /nfs/dbraw/zinc/94/98/99/811949899.db2.gz VXNUCUQKLYWUGX-UHFFFAOYSA-N 0 1 290.367 0.885 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC[C@@H]2CCN2CCCO)c1 ZINC001038147509 812044143 /nfs/dbraw/zinc/04/41/43/812044143.db2.gz XJNDCCPQTNTXRA-NSHDSACASA-N 0 1 262.313 0.073 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccnn1CC ZINC001126184745 812055396 /nfs/dbraw/zinc/05/53/96/812055396.db2.gz OETUPOBECILDCJ-UHFFFAOYSA-N 0 1 256.737 0.975 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC2CC(F)(F)C2)[C@@H](O)C1 ZINC001083580533 815499483 /nfs/dbraw/zinc/49/94/83/815499483.db2.gz JHUQDIAORZAKGN-NEPJUHHUSA-N 0 1 286.322 0.606 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001027921003 812141874 /nfs/dbraw/zinc/14/18/74/812141874.db2.gz LWPNHEPRVLTILK-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccnc2ccnn21 ZINC001027926945 812146122 /nfs/dbraw/zinc/14/61/22/812146122.db2.gz DZFDZWCWAMOMTG-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cnc2n1CCOC2 ZINC001027945599 812156651 /nfs/dbraw/zinc/15/66/51/812156651.db2.gz CHHCOGJQEALFFM-GFCCVEGCSA-N 0 1 290.367 0.794 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccc(F)c2)[C@@H](O)C1 ZINC001083318142 812161430 /nfs/dbraw/zinc/16/14/30/812161430.db2.gz DOZJXBUCRWVKKN-KGLIPLIRSA-N 0 1 276.311 0.624 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ncc(C)cc2C)C1 ZINC001077424733 815507680 /nfs/dbraw/zinc/50/76/80/815507680.db2.gz ILMNQQWNDDJDNY-ZIAGYGMSSA-N 0 1 287.363 0.497 20 30 CCEDMN N#Cc1cncc(N[C@H]2CCN(C(=O)c3ccn[nH]3)C2)n1 ZINC001058846552 812180415 /nfs/dbraw/zinc/18/04/15/812180415.db2.gz ZKCAGDBQPXDSTR-VIFPVBQESA-N 0 1 283.295 0.398 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccnc(OC)c1 ZINC001027986195 812195900 /nfs/dbraw/zinc/19/59/00/812195900.db2.gz UIDLZGBSGTXOBI-ZDUSSCGKSA-N 0 1 273.336 0.918 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1c[nH]nc1C ZINC001028063606 812241506 /nfs/dbraw/zinc/24/15/06/812241506.db2.gz QFWPYKVIASYSIK-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnn2cccnc12 ZINC001028065483 812241838 /nfs/dbraw/zinc/24/18/38/812241838.db2.gz BKXUUNJRMITEAA-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCN(C)c2ccnc(C#N)n2)[nH]1 ZINC001105352916 812245320 /nfs/dbraw/zinc/24/53/20/812245320.db2.gz FPBNVEHNEPRXLD-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001028078429 812256253 /nfs/dbraw/zinc/25/62/53/812256253.db2.gz IWJYBTZMHNNNAK-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001028146029 812312802 /nfs/dbraw/zinc/31/28/02/812312802.db2.gz SZMUJHHQRYNWLU-BFHYXJOUSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCO[C@H](C)C1 ZINC001268252037 812418809 /nfs/dbraw/zinc/41/88/09/812418809.db2.gz NNLXEBLYCPUEEG-CHWSQXEVSA-N 0 1 252.358 0.825 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1nc(C)c[nH]1 ZINC001268253365 812418915 /nfs/dbraw/zinc/41/89/15/812418915.db2.gz QFOCXXTYFDFVAS-UHFFFAOYSA-N 0 1 278.356 0.372 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)CCn1cnccc1=O ZINC001268252052 812419443 /nfs/dbraw/zinc/41/94/43/812419443.db2.gz OBLXYGVXXCOQMA-UHFFFAOYSA-N 0 1 290.367 0.047 20 30 CCEDMN Cc1nc(CN2C[C@@H]3CCN(C(=O)[C@@H](C)C#N)[C@@H]3C2)n[nH]1 ZINC001075616319 812420293 /nfs/dbraw/zinc/42/02/93/812420293.db2.gz OVPPCBFHJCKZRP-ZMLRMANQSA-N 0 1 288.355 0.306 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](O)CNCc1cnc(C)o1 ZINC001268306648 812469448 /nfs/dbraw/zinc/46/94/48/812469448.db2.gz OVYZWRZXCROOTK-LBPRGKRZSA-N 0 1 281.356 0.906 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cccn(C)c1=O ZINC001028272678 812538146 /nfs/dbraw/zinc/53/81/46/812538146.db2.gz WHRUCXHJMVUELT-ZDUSSCGKSA-N 0 1 287.363 0.603 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cnoc1C ZINC001126366066 812682990 /nfs/dbraw/zinc/68/29/90/812682990.db2.gz QIVQTBNEIRTJRN-CYBMUJFWSA-N 0 1 267.329 0.516 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC/C=C\CNCc1cnoc1C ZINC001268540966 812782428 /nfs/dbraw/zinc/78/24/28/812782428.db2.gz HAZFHWTWTIZICI-SZZPACECSA-N 0 1 291.351 0.783 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)c2ccnc(F)c2)[C@@H](O)C1 ZINC001083364576 812912600 /nfs/dbraw/zinc/91/26/00/812912600.db2.gz UQUMFYVUJPBIDF-NEPJUHHUSA-N 0 1 279.315 0.572 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccnc(F)c2)[C@@H](O)C1 ZINC001083364576 812912606 /nfs/dbraw/zinc/91/26/06/812912606.db2.gz UQUMFYVUJPBIDF-NEPJUHHUSA-N 0 1 279.315 0.572 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2CC=CC2)[C@@H](O)C1 ZINC001083379607 812923419 /nfs/dbraw/zinc/92/34/19/812923419.db2.gz RDBDNCCIYNFZGB-OLZOCXBDSA-N 0 1 250.342 0.690 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H](C)CNCc1cnc(C)o1 ZINC001268646259 812974446 /nfs/dbraw/zinc/97/44/46/812974446.db2.gz RSPRZGMSSATBBD-GHMZBOCLSA-N 0 1 279.340 0.616 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2cc3n(n2)CCCC3)C1 ZINC001028616291 812975485 /nfs/dbraw/zinc/97/54/85/812975485.db2.gz NVTIXMGQAAVQNI-ZDUSSCGKSA-N 0 1 286.379 0.904 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CNCc1n[nH]c(C2CC2)n1 ZINC001268685751 813009068 /nfs/dbraw/zinc/00/90/68/813009068.db2.gz JTEOQGOCVFWMTH-NSHDSACASA-N 0 1 289.383 0.936 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCC1CN(CCO)C1 ZINC001268703998 813023654 /nfs/dbraw/zinc/02/36/54/813023654.db2.gz SXIJNDRAKXOQSD-NSHDSACASA-N 0 1 256.346 0.008 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)C1CCOCC1 ZINC001268720252 813035509 /nfs/dbraw/zinc/03/55/09/813035509.db2.gz STHUMBLMNXOABG-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1cc(C)no1 ZINC001268720529 813030800 /nfs/dbraw/zinc/03/08/00/813030800.db2.gz JOPXJMIMAJTZAC-LBPRGKRZSA-N 0 1 279.340 0.683 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001268741648 813058800 /nfs/dbraw/zinc/05/88/00/813058800.db2.gz WBPDUSWDALQSBQ-OLZOCXBDSA-N 0 1 295.427 0.997 20 30 CCEDMN CCn1ccc(C(=O)N[C@@H](C)CN(C)CC#CCOC)n1 ZINC001268749230 813064980 /nfs/dbraw/zinc/06/49/80/813064980.db2.gz SUZWROYEQFYZOG-ZDUSSCGKSA-N 0 1 292.383 0.603 20 30 CCEDMN CC#CC[N@@H+](C)C[C@@H](C)NC(=O)CCc1cn[nH]c1 ZINC001268749611 813064998 /nfs/dbraw/zinc/06/49/98/813064998.db2.gz ACBTXVCYNULMAO-GFCCVEGCSA-N 0 1 262.357 0.802 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CCc1cn[nH]c1 ZINC001268749611 813065010 /nfs/dbraw/zinc/06/50/10/813065010.db2.gz ACBTXVCYNULMAO-GFCCVEGCSA-N 0 1 262.357 0.802 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CCc2ccnn2C)C1 ZINC001268833345 813106186 /nfs/dbraw/zinc/10/61/86/813106186.db2.gz MMGBCEFHBNOTAN-UHFFFAOYSA-N 0 1 276.384 0.977 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H](NC(C)=O)C2CCCC2)C1 ZINC001268849836 813112172 /nfs/dbraw/zinc/11/21/72/813112172.db2.gz JXBPMCQOKVWKGE-HNNXBMFYSA-N 0 1 293.411 0.915 20 30 CCEDMN C#CC(=O)N1CCc2[nH]nc(C(=O)NC(C)(C)C)c2C1 ZINC001268853557 813114523 /nfs/dbraw/zinc/11/45/23/813114523.db2.gz XXHMRQXDDIFAIA-UHFFFAOYSA-N 0 1 274.324 0.456 20 30 CCEDMN C#CC(=O)N1CC2(C1)CCN(Cc1cccc(=O)[nH]1)C2 ZINC001268942240 813151828 /nfs/dbraw/zinc/15/18/28/813151828.db2.gz OHLQSUWPMDOKCP-UHFFFAOYSA-N 0 1 271.320 0.455 20 30 CCEDMN C=CCNC(=O)[C@H]1CC12CCN(C(=O)c1ccn[nH]1)CC2 ZINC001269131398 813230397 /nfs/dbraw/zinc/23/03/97/813230397.db2.gz AGHSHXRKGKYKKM-LLVKDONJSA-N 0 1 288.351 0.954 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN1C[C@@H]1CCc2ncnn21 ZINC001269206022 813265017 /nfs/dbraw/zinc/26/50/17/813265017.db2.gz PPTOMTRYARRNNW-STQMWFEESA-N 0 1 289.383 0.922 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](CNC(=O)c2cn(C)ccc2=O)C1 ZINC001028681745 813304146 /nfs/dbraw/zinc/30/41/46/813304146.db2.gz BSCGUQVBFMQKGH-GFCCVEGCSA-N 0 1 275.352 0.623 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CCc2cncn2C)C1 ZINC001269293216 813310780 /nfs/dbraw/zinc/31/07/80/813310780.db2.gz UBJXRYRPFBSAFW-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)CN2CCOCC2)C1 ZINC001269318167 813323136 /nfs/dbraw/zinc/32/31/36/813323136.db2.gz KWSVJEBEHHVFEJ-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN C=C1CC(C)(C(=O)NCC2(N[C@@H](C)C(N)=O)CC2)C1 ZINC001269489344 813389626 /nfs/dbraw/zinc/38/96/26/813389626.db2.gz NFCUPMNQQMMSBG-JTQLQIEISA-N 0 1 265.357 0.455 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(C(=O)Cc3c[nH]cn3)C2)C1=O ZINC001269568653 813424981 /nfs/dbraw/zinc/42/49/81/813424981.db2.gz AMAXXBUPXNJWSC-HNNXBMFYSA-N 0 1 288.351 0.589 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001269725994 813481724 /nfs/dbraw/zinc/48/17/24/813481724.db2.gz RZPUTHXXLYZXOP-INIZCTEOSA-N 0 1 291.395 0.590 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001028780927 813497548 /nfs/dbraw/zinc/49/75/48/813497548.db2.gz ODTFXNBTXQWWKV-QMMMGPOBSA-N 0 1 251.265 0.124 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001028797537 813521642 /nfs/dbraw/zinc/52/16/42/813521642.db2.gz OWNYSEGPWJNDBC-NEPJUHHUSA-N 0 1 287.367 0.791 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CC[N@H+](CCF)C1 ZINC001028810010 813535929 /nfs/dbraw/zinc/53/59/29/813535929.db2.gz UBFKIXYYZYCJRQ-UPJWGTAASA-N 0 1 270.348 0.985 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@H]1CCN(CCF)C1 ZINC001028810010 813535938 /nfs/dbraw/zinc/53/59/38/813535938.db2.gz UBFKIXYYZYCJRQ-UPJWGTAASA-N 0 1 270.348 0.985 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ccoc3)[C@@H]2C1 ZINC001075722170 813591583 /nfs/dbraw/zinc/59/15/83/813591583.db2.gz IFGMNXQEHVRJEV-UONOGXRCSA-N 0 1 258.321 0.988 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cnnc(C)c3)[C@@H]2C1 ZINC001075733800 813661784 /nfs/dbraw/zinc/66/17/84/813661784.db2.gz BVLCGDOWOBTARF-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN COCC#CCN1CCC(C)(NC(=O)c2cnco2)CC1 ZINC001270242824 813692950 /nfs/dbraw/zinc/69/29/50/813692950.db2.gz KLGDPXPTABUBFY-UHFFFAOYSA-N 0 1 291.351 0.909 20 30 CCEDMN C#CCN(C(=O)Cc1ccn[nH]1)C1CCN(CC#N)CC1 ZINC001270301565 813714533 /nfs/dbraw/zinc/71/45/33/813714533.db2.gz HUIGHGQOFYBTGU-UHFFFAOYSA-N 0 1 285.351 0.402 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)CN[C@@H](C)c1nncn1C ZINC001270401637 813750886 /nfs/dbraw/zinc/75/08/86/813750886.db2.gz GDWAOSVGDBBPQG-RYUDHWBXSA-N 0 1 289.383 0.631 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H]2CCCCO2)[C@@H](O)C1 ZINC001083401335 813753542 /nfs/dbraw/zinc/75/35/42/813753542.db2.gz HMBWCAHXQCATIB-MJBXVCDLSA-N 0 1 280.368 0.130 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CC3(F)F)[C@@H]2C1 ZINC001075768859 813931906 /nfs/dbraw/zinc/93/19/06/813931906.db2.gz ZTPAPZDXZHYVTM-HBNTYKKESA-N 0 1 254.280 0.808 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)[C@H]1CCc2[nH]c(C)nc2C1 ZINC001038430693 813940091 /nfs/dbraw/zinc/94/00/91/813940091.db2.gz NIIBZGXOLLVLGD-STQMWFEESA-N 0 1 286.379 0.647 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H]2C[C@H](NC(=O)c3ncn[nH]3)C2)n1 ZINC001059276593 814053014 /nfs/dbraw/zinc/05/30/14/814053014.db2.gz GXMBUUCUEQLZHI-XYPYZODXSA-N 0 1 297.322 0.753 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H]2C[C@H](NC(=O)c3nc[nH]n3)C2)n1 ZINC001059276593 814053023 /nfs/dbraw/zinc/05/30/23/814053023.db2.gz GXMBUUCUEQLZHI-XYPYZODXSA-N 0 1 297.322 0.753 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2COCCN2C)[C@H]1CC ZINC001087547852 814135188 /nfs/dbraw/zinc/13/51/88/814135188.db2.gz SDVDCOKMHIOYRQ-RRFJBIMHSA-N 0 1 293.411 0.309 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nn(C)cc2C)[C@H]1CC ZINC001087568905 814169318 /nfs/dbraw/zinc/16/93/18/814169318.db2.gz QYJHWQKJXCBWRE-QWHCGFSZSA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCNC2=O)[C@H]1CC ZINC001087609506 814174722 /nfs/dbraw/zinc/17/47/22/814174722.db2.gz PCWKDDZOXPEDBH-RWMBFGLXSA-N 0 1 277.368 0.115 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1CC ZINC001087641975 814179057 /nfs/dbraw/zinc/17/90/57/814179057.db2.gz NMYUSTGBGYPZML-DTWKUNHWSA-N 0 1 293.327 0.052 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@H](C)CCCOC)C1 ZINC001271211535 814334815 /nfs/dbraw/zinc/33/48/15/814334815.db2.gz XOGXYOSUOOANRA-GDBMZVCRSA-N 0 1 296.411 0.625 20 30 CCEDMN C[N@H+]1CCC[C@H]1C(=O)N1CCCC[C@@H]1CCNCC#N ZINC001271494444 814462595 /nfs/dbraw/zinc/46/25/95/814462595.db2.gz LSWIHLAAMPZREN-KGLIPLIRSA-N 0 1 278.400 0.965 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H](OC)C1CC1 ZINC001272005489 814700534 /nfs/dbraw/zinc/70/05/34/814700534.db2.gz RDCMWXQIZSEOGJ-CYBMUJFWSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc3[nH]ccc3n2)[C@@H](O)C1 ZINC001083639752 815583785 /nfs/dbraw/zinc/58/37/85/815583785.db2.gz GUYBZKISNONARA-CABCVRRESA-N 0 1 298.346 0.361 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+](C)CC2CCN(C(C)=O)CC2)C1=O ZINC001029923165 814735209 /nfs/dbraw/zinc/73/52/09/814735209.db2.gz ULDSQAHAUWMIJX-HNNXBMFYSA-N 0 1 293.411 0.964 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCNc2cncc(C#N)n2)[nH]1 ZINC001101532257 814855994 /nfs/dbraw/zinc/85/59/94/814855994.db2.gz QRCHGSWHZXXEIR-UHFFFAOYSA-N 0 1 285.311 0.564 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2n[nH]cc2C)[C@H]1C ZINC001088559980 814901813 /nfs/dbraw/zinc/90/18/13/814901813.db2.gz QLPYDDJJILEEEX-NEPJUHHUSA-N 0 1 260.341 0.934 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1c(C)onc1CC ZINC001127039359 815589365 /nfs/dbraw/zinc/58/93/65/815589365.db2.gz HSGKDGLDHDPVFH-GFCCVEGCSA-N 0 1 293.367 0.790 20 30 CCEDMN Cc1nc([C@H](C)N2CC(NC(=O)c3cc(C#N)c[nH]3)C2)n[nH]1 ZINC001030196165 815880310 /nfs/dbraw/zinc/88/03/10/815880310.db2.gz KKOUALFQYWXSHM-QMMMGPOBSA-N 0 1 299.338 0.488 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cncn2C)[C@H]1C ZINC001088867691 816124065 /nfs/dbraw/zinc/12/40/65/816124065.db2.gz LKVXVWQJIHVVBS-SYQHCUMBSA-N 0 1 286.379 0.736 20 30 CCEDMN O=C(NC1CN(CC#Cc2ccc(F)cc2)C1)c1cnn[nH]1 ZINC001030598613 816136657 /nfs/dbraw/zinc/13/66/57/816136657.db2.gz YZTYELPNDHZLOD-UHFFFAOYSA-N 0 1 299.309 0.410 20 30 CCEDMN C#CCCN1CC(NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001030599149 816137695 /nfs/dbraw/zinc/13/76/95/816137695.db2.gz VWSWRJLGAOOEOA-UHFFFAOYSA-N 0 1 272.352 0.726 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(C)CCOC2)[C@H]1C ZINC001088942412 816187201 /nfs/dbraw/zinc/18/72/01/816187201.db2.gz STRARBXXLZVESI-DYEKYZERSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccn3cc(C)nc23)[C@H]1C ZINC001088965413 816195208 /nfs/dbraw/zinc/19/52/08/816195208.db2.gz ORLLHJRQQXRKBW-OLZOCXBDSA-N 0 1 297.362 0.864 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(=O)N2CC[C@@H](C)C2)[C@H]1C ZINC001088967540 816196909 /nfs/dbraw/zinc/19/69/09/816196909.db2.gz LWAVGBCNHIBPPQ-UPJWGTAASA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCCN2C(N)=O)[C@H]1C ZINC001088971839 816199022 /nfs/dbraw/zinc/19/90/22/816199022.db2.gz GELOMDRRIQQTQI-AGIUHOORSA-N 0 1 294.399 0.685 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCN2C(C)=O)[C@H]1C ZINC001088992798 816205959 /nfs/dbraw/zinc/20/59/59/816205959.db2.gz YWAHZOXEFRQFBD-SNPRPXQTSA-N 0 1 291.395 0.600 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)[C@H]1C ZINC001089012965 816211757 /nfs/dbraw/zinc/21/17/57/816211757.db2.gz VUYJJHWQEYUMGQ-AGIUHOORSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccc(C(=O)NC)c2)[C@H]1C ZINC001089049007 816224662 /nfs/dbraw/zinc/22/46/62/816224662.db2.gz OTAKEIQKTSXWFS-DOMZBBRYSA-N 0 1 299.374 0.872 20 30 CCEDMN COc1ccnc(C(=N)Nc2ccnc3nc[nH]c32)n1 ZINC001168425271 816231440 /nfs/dbraw/zinc/23/14/40/816231440.db2.gz XOSVSUBWEWNLLF-UHFFFAOYSA-N 0 1 269.268 0.794 20 30 CCEDMN N#CC[C@@H](O)CN1CCCC[C@H]1CN1CCCC1=O ZINC000929622817 816336495 /nfs/dbraw/zinc/33/64/95/816336495.db2.gz XYSGKOXJBCIIIJ-QWHCGFSZSA-N 0 1 265.357 0.738 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C(C)(C)F ZINC001272353508 816355498 /nfs/dbraw/zinc/35/54/98/816355498.db2.gz ZLIPLZWSUWZEAK-MRVPVSSYSA-N 0 1 252.717 0.554 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3cc[nH]n3)C2)C1=O ZINC001272536892 816560392 /nfs/dbraw/zinc/56/03/92/816560392.db2.gz AKAIYGWNXGTWMI-CQSZACIVSA-N 0 1 258.325 0.467 20 30 CCEDMN N#CCCCN1CC[C@]2(CCCN2Cc2c[nH]nn2)C1=O ZINC001272570168 816589806 /nfs/dbraw/zinc/58/98/06/816589806.db2.gz QAIYHQRKCFYRQM-CQSZACIVSA-N 0 1 288.355 0.675 20 30 CCEDMN C=CCC[N@@H+]1CC[C@](C)(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001046453836 816594456 /nfs/dbraw/zinc/59/44/56/816594456.db2.gz KPUXTIXIABCLHM-ZDUSSCGKSA-N 0 1 293.327 0.053 20 30 CCEDMN COCCC(=O)NCCNCC#Cc1ccc(F)cc1 ZINC001122388273 816677090 /nfs/dbraw/zinc/67/70/90/816677090.db2.gz VCWNNPZSHKVFMP-UHFFFAOYSA-N 0 1 278.327 0.920 20 30 CCEDMN C=C(C)CCC(=O)N(C)C[C@H]1CCN1C[C@@H](O)COC ZINC001234603554 816707889 /nfs/dbraw/zinc/70/78/89/816707889.db2.gz DZNHHRMZFHWJMT-ZIAGYGMSSA-N 0 1 284.400 0.883 20 30 CCEDMN C[C@H](CCNc1cnc(C#N)cn1)NC(=O)Cc1cnc[nH]1 ZINC001106598960 816820634 /nfs/dbraw/zinc/82/06/34/816820634.db2.gz USBSUQUMRRHYJL-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](OC)C2CC2)[C@H](OC)C1 ZINC001212205972 816855713 /nfs/dbraw/zinc/85/57/13/816855713.db2.gz CXIKQAGATASJLD-MGPQQGTHSA-N 0 1 280.368 0.250 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2Cc3ccccc32)[C@@H](O)C1 ZINC001083742620 816955473 /nfs/dbraw/zinc/95/54/73/816955473.db2.gz ZNNBTISFGNZCAY-XHSDSOJGSA-N 0 1 284.359 0.511 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2Cc3ccccc32)[C@@H](O)C1 ZINC001083742619 816955762 /nfs/dbraw/zinc/95/57/62/816955762.db2.gz ZNNBTISFGNZCAY-OAGGEKHMSA-N 0 1 284.359 0.511 20 30 CCEDMN CCc1nc([C@H](C)NCCNC(=O)CSCC#N)n[nH]1 ZINC001123792419 817068759 /nfs/dbraw/zinc/06/87/59/817068759.db2.gz WSEHVBMVJFHLHW-VIFPVBQESA-N 0 1 296.400 0.391 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1COCCO1 ZINC001089612355 817264371 /nfs/dbraw/zinc/26/43/71/817264371.db2.gz YHHVOIKHXMLXEL-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@@H]1COCCO1 ZINC001089612353 817265921 /nfs/dbraw/zinc/26/59/21/817265921.db2.gz YHHVOIKHXMLXEL-KGLIPLIRSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCOCCN(C)C(=O)[C@@H]1CCN1C(C)(C)C ZINC001124054625 817269192 /nfs/dbraw/zinc/26/91/92/817269192.db2.gz NKBPHMBTKLGXKZ-LBPRGKRZSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001031743214 817307566 /nfs/dbraw/zinc/30/75/66/817307566.db2.gz IWTIRGYNNWXNNQ-JQWIXIFHSA-N 0 1 254.330 0.024 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(=O)[nH]c(C3CC3)n2)C1 ZINC001031753597 817339841 /nfs/dbraw/zinc/33/98/41/817339841.db2.gz SBIMPVXLDFPABQ-UHFFFAOYSA-N 0 1 288.351 0.907 20 30 CCEDMN C=C(Cl)C[NH+]1CC(CNC(=O)c2cnn[n-]2)C1 ZINC001031805854 817380067 /nfs/dbraw/zinc/38/00/67/817380067.db2.gz WJTDMPAFDXWJRO-UHFFFAOYSA-N 0 1 255.709 0.219 20 30 CCEDMN C=C(Cl)CN1CC(CNC(=O)c2cnn[nH]2)C1 ZINC001031805854 817380073 /nfs/dbraw/zinc/38/00/73/817380073.db2.gz WJTDMPAFDXWJRO-UHFFFAOYSA-N 0 1 255.709 0.219 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001212340542 817389680 /nfs/dbraw/zinc/38/96/80/817389680.db2.gz MRRBAOCTQOWBBN-VXGBXAGGSA-N 0 1 270.373 0.396 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CC[C@H]2C(N)=O)C1 ZINC001031900125 817452005 /nfs/dbraw/zinc/45/20/05/817452005.db2.gz ZJYYQCQKHXCSPS-NEPJUHHUSA-N 0 1 265.357 0.122 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@@]3(CCN(C(C)=O)C3)C2)C1=O ZINC001040965520 817584281 /nfs/dbraw/zinc/58/42/81/817584281.db2.gz MPBYQOSMWWBYAG-GOEBONIOSA-N 0 1 291.395 0.718 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCc1nc2ccccc2n1C ZINC001124890558 817619578 /nfs/dbraw/zinc/61/95/78/817619578.db2.gz ZPACBBYVGMYKKZ-LLVKDONJSA-N 0 1 285.351 0.939 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccnc2N(C)C)C1 ZINC001032184465 817681456 /nfs/dbraw/zinc/68/14/56/817681456.db2.gz JEQAODCKUSDZCB-UHFFFAOYSA-N 0 1 274.368 0.995 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NCC1CN(CC#N)C1 ZINC001032207302 817701460 /nfs/dbraw/zinc/70/14/60/817701460.db2.gz LUWICNDOMQHXII-ZDUSSCGKSA-N 0 1 264.373 0.432 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@H](C)Nc1ncnc2[nH]cnc21 ZINC001115660276 817723643 /nfs/dbraw/zinc/72/36/43/817723643.db2.gz LZVRYEGSUTYFPS-IUCAKERBSA-N 0 1 287.327 0.771 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(=O)[nH]n1 ZINC001032264807 817764891 /nfs/dbraw/zinc/76/48/91/817764891.db2.gz UOESDEQMCQNGQD-QWRGUYRKSA-N 0 1 274.324 0.657 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C[C@H]1CCOC1 ZINC001032327737 817878646 /nfs/dbraw/zinc/87/86/46/817878646.db2.gz FTFWZIIRNKCYRI-RDBSUJKOSA-N 0 1 262.353 0.721 20 30 CCEDMN C[C@H](CNc1ccncc1C#N)NC(=O)[C@H]1CCC[N@H+]1C ZINC001107645196 817913192 /nfs/dbraw/zinc/91/31/92/817913192.db2.gz QHHBHUTYKYDWBC-BXUZGUMPSA-N 0 1 287.367 0.386 20 30 CCEDMN C[C@H](CNc1ccncc1C#N)NC(=O)[C@H]1CCCN1C ZINC001107645196 817913200 /nfs/dbraw/zinc/91/32/00/817913200.db2.gz QHHBHUTYKYDWBC-BXUZGUMPSA-N 0 1 287.367 0.386 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)COC)C1 ZINC001107658861 817926703 /nfs/dbraw/zinc/92/67/03/817926703.db2.gz AONHMHSJCKQVCK-ZDUSSCGKSA-N 0 1 256.346 0.416 20 30 CCEDMN Cc1nc(CC(=O)N[C@H](C)CNc2ncccc2C#N)n[nH]1 ZINC001107721146 817966440 /nfs/dbraw/zinc/96/64/40/817966440.db2.gz BYCXSTLDNFVVMA-SECBINFHSA-N 0 1 299.338 0.539 20 30 CCEDMN CC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C[C@H]1O ZINC001089975787 818100806 /nfs/dbraw/zinc/10/08/06/818100806.db2.gz LPGPHHYVNUQZSA-LSDHHAIUSA-N 0 1 273.336 0.630 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)F)[C@H](O)C1 ZINC001089995836 818237523 /nfs/dbraw/zinc/23/75/23/818237523.db2.gz DIUULALMHUXHBV-GHMZBOCLSA-N 0 1 256.321 0.309 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CCCC2)[C@H](O)C1 ZINC001090007849 818262757 /nfs/dbraw/zinc/26/27/57/818262757.db2.gz YRIZLCHDOLCRGJ-CHWSQXEVSA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(Cc1cncn1C)CC2 ZINC001272996124 818282204 /nfs/dbraw/zinc/28/22/04/818282204.db2.gz BXMHRWXGWYRDHS-AWEZNQCLSA-N 0 1 286.379 0.772 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C2CCOCC2)C1 ZINC001032865437 818327954 /nfs/dbraw/zinc/32/79/54/818327954.db2.gz FUSBGELYNKGIBC-CYBMUJFWSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(=O)[nH]n2)C1 ZINC001032889106 818339211 /nfs/dbraw/zinc/33/92/11/818339211.db2.gz CPOWKEIOXWKKHP-JTQLQIEISA-N 0 1 262.313 0.515 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2COCCO2)C1 ZINC001032929627 818370649 /nfs/dbraw/zinc/37/06/49/818370649.db2.gz XDYWWICMAUAWEQ-VXGBXAGGSA-N 0 1 254.330 0.121 20 30 CCEDMN CN(C(=O)[C@H]1CCCN1C)[C@@H]1CCN(CC#N)C1 ZINC001032946298 818381389 /nfs/dbraw/zinc/38/13/89/818381389.db2.gz VPNPCFDKCRSZRD-VXGBXAGGSA-N 0 1 250.346 0.137 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCOC2)C1 ZINC001032954423 818383877 /nfs/dbraw/zinc/38/38/77/818383877.db2.gz WEEYDMZSJRWKMZ-OLZOCXBDSA-N 0 1 250.342 0.579 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H]2CNC(=O)c2ncn[nH]2)cn1 ZINC001061381860 818427909 /nfs/dbraw/zinc/42/79/09/818427909.db2.gz GNGGDWRZUUNIRN-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H]2CNC(=O)c2nc[nH]n2)cn1 ZINC001061381860 818427915 /nfs/dbraw/zinc/42/79/15/818427915.db2.gz GNGGDWRZUUNIRN-LLVKDONJSA-N 0 1 297.322 0.470 20 30 CCEDMN C=C(C)CN1C(=O)COCC12CN(Cc1cnc[nH]1)C2 ZINC001273023012 818453282 /nfs/dbraw/zinc/45/32/82/818453282.db2.gz IQCHBSUWSMVLKN-UHFFFAOYSA-N 0 1 276.340 0.399 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccc[nH]2)[C@@H](O)C1 ZINC001090077271 818467970 /nfs/dbraw/zinc/46/79/70/818467970.db2.gz QFKVTJQADDBUFX-YPMHNXCESA-N 0 1 261.325 0.203 20 30 CCEDMN CN(C(=O)[C@H]1CCCc2c[nH]nc21)[C@@H]1CCN(CC#N)C1 ZINC001033086260 818503177 /nfs/dbraw/zinc/50/31/77/818503177.db2.gz VGROQDUHJLRWJJ-OLZOCXBDSA-N 0 1 287.367 0.886 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2scnc2C)[C@@H](O)C1 ZINC001090096704 818506189 /nfs/dbraw/zinc/50/61/89/818506189.db2.gz UKLJHFBELYFBJY-MNOVXSKESA-N 0 1 281.381 0.803 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc(CC)n[nH]2)[C@H](O)C1 ZINC001090097962 818508236 /nfs/dbraw/zinc/50/82/36/818508236.db2.gz CMZSZTPEDDKORT-TZMCWYRMSA-N 0 1 290.367 0.160 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cccn3nnnc23)C1 ZINC001033114261 818536558 /nfs/dbraw/zinc/53/65/58/818536558.db2.gz KDQNMBGJCBHALV-NSHDSACASA-N 0 1 286.339 0.457 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2ccc3nn[n-]c3n2)C1 ZINC001033134532 818561471 /nfs/dbraw/zinc/56/14/71/818561471.db2.gz XEXCRNWYKWESPE-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc3n[nH]nc3n2)C1 ZINC001033134532 818561479 /nfs/dbraw/zinc/56/14/79/818561479.db2.gz XEXCRNWYKWESPE-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2c(C)nnn2CC)C1 ZINC001033142843 818568297 /nfs/dbraw/zinc/56/82/97/818568297.db2.gz UVYWWQVLOQYQNQ-LBPRGKRZSA-N 0 1 277.372 0.939 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCCNCc1cnnn1C)OCC ZINC001128528045 818608607 /nfs/dbraw/zinc/60/86/07/818608607.db2.gz IGKOTDAOVMLDNY-CYBMUJFWSA-N 0 1 295.387 0.392 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2=COCCC2)[C@H](O)C1 ZINC001090118329 818645911 /nfs/dbraw/zinc/64/59/11/818645911.db2.gz JALFKAVPJMODES-UONOGXRCSA-N 0 1 278.352 0.255 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(Cc1ccncn1)CC2 ZINC001273115761 818651924 /nfs/dbraw/zinc/65/19/24/818651924.db2.gz BYBUMKLEBFTBKC-CQSZACIVSA-N 0 1 284.363 0.828 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H]2CN(CCC)C[C@@H]2O)nn1 ZINC001083849325 818656012 /nfs/dbraw/zinc/65/60/12/818656012.db2.gz YYMUWAOSMHTJQG-YPMHNXCESA-N 0 1 293.371 0.039 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001033248615 818676282 /nfs/dbraw/zinc/67/62/82/818676282.db2.gz SFIOPELDSUWESH-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)n(C)n2)[C@@H](O)C1 ZINC001090128242 818679270 /nfs/dbraw/zinc/67/92/70/818679270.db2.gz KMFRQACUTLJBCP-YPMHNXCESA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001033298641 818698224 /nfs/dbraw/zinc/69/82/24/818698224.db2.gz KUAMVCYCJXOARG-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001033319823 818705753 /nfs/dbraw/zinc/70/57/53/818705753.db2.gz FYDNXSSAPRAOPR-OLZOCXBDSA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033339985 818724197 /nfs/dbraw/zinc/72/41/97/818724197.db2.gz CHVYXJRLKLVZFI-VXGBXAGGSA-N 0 1 265.357 0.183 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001033443135 818779558 /nfs/dbraw/zinc/77/95/58/818779558.db2.gz VEIQRSUSWAIZFG-SOUVJXGZSA-N 0 1 286.379 0.690 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001033463587 818786971 /nfs/dbraw/zinc/78/69/71/818786971.db2.gz QXGFEOLOOCRIIR-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001033463406 818787267 /nfs/dbraw/zinc/78/72/67/818787267.db2.gz NHGUNXUVSVDZLV-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2cnc[nH]2)C1 ZINC001033454786 818789940 /nfs/dbraw/zinc/78/99/40/818789940.db2.gz GYGMORZSRGSLDZ-CYBMUJFWSA-N 0 1 260.341 0.508 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2ccc3nncn3c2)C1 ZINC001033499184 818803094 /nfs/dbraw/zinc/80/30/94/818803094.db2.gz VLBAHXDNQYQKQB-AWEZNQCLSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2c[nH]c(C)cc2=O)C1 ZINC001033549838 818823884 /nfs/dbraw/zinc/82/38/84/818823884.db2.gz ADJHAKWBXSYRNS-ZDUSSCGKSA-N 0 1 287.363 0.853 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2cncn2C)C1 ZINC001033592863 818843375 /nfs/dbraw/zinc/84/33/75/818843375.db2.gz DXWJCZHRWXGSGK-ZDUSSCGKSA-N 0 1 274.368 0.519 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cn2nc(C)ccc2=O)C1 ZINC001033603894 818849191 /nfs/dbraw/zinc/84/91/91/818849191.db2.gz WQQHQHMCGMQMDN-ZDUSSCGKSA-N 0 1 290.367 0.270 20 30 CCEDMN CN(C(=O)c1ccc2[nH]nnc2c1)[C@H]1CCN(CC#N)C1 ZINC001033663304 818880948 /nfs/dbraw/zinc/88/09/48/818880948.db2.gz PPNDXROADFXUAM-NSHDSACASA-N 0 1 284.323 0.628 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H](C)c2cnn(C)c2)C1 ZINC001033725660 818905312 /nfs/dbraw/zinc/90/53/12/818905312.db2.gz XHXAZSFAMBYIKX-TZMCWYRMSA-N 0 1 274.368 0.690 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001033780113 818937530 /nfs/dbraw/zinc/93/75/30/818937530.db2.gz GHSSTFIVMVTXSF-KBPBESRZSA-N 0 1 281.400 0.426 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cnnn2C)C1 ZINC001033816798 818956345 /nfs/dbraw/zinc/95/63/45/818956345.db2.gz PGOWQRDTQKYTGS-LLVKDONJSA-N 0 1 263.345 0.538 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001033879873 818976979 /nfs/dbraw/zinc/97/69/79/818976979.db2.gz HMWFEBQMZBYDRO-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033881731 818978361 /nfs/dbraw/zinc/97/83/61/818978361.db2.gz USTYIFGTFKFMFK-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033932390 818999946 /nfs/dbraw/zinc/99/99/46/818999946.db2.gz MRKSHXYPODLUCP-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnccc2C)[C@H](O)C1 ZINC001090159258 819000051 /nfs/dbraw/zinc/00/00/51/819000051.db2.gz RUUHDUDOGJYVBU-UONOGXRCSA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2c[nH]c(=O)cn2)C1 ZINC001033936821 819005461 /nfs/dbraw/zinc/00/54/61/819005461.db2.gz VBHYNRNHFQVNKP-NSHDSACASA-N 0 1 276.340 0.492 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033955621 819011730 /nfs/dbraw/zinc/01/17/30/819011730.db2.gz AWNGPLPXFLISBX-HZSPNIEDSA-N 0 1 291.395 0.444 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ocnc2C)[C@@H](O)C1 ZINC001090173257 819019678 /nfs/dbraw/zinc/01/96/78/819019678.db2.gz AQYYHPPIJFJQBU-MNOVXSKESA-N 0 1 265.313 0.334 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCCO2)C1 ZINC001034086683 819062170 /nfs/dbraw/zinc/06/21/70/819062170.db2.gz CZABGXAJOCBVLL-OLZOCXBDSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001034084338 819066710 /nfs/dbraw/zinc/06/67/10/819066710.db2.gz NFGBLCLUUAODAD-HNNXBMFYSA-N 0 1 287.363 0.928 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC001034141390 819086399 /nfs/dbraw/zinc/08/63/99/819086399.db2.gz FUAMXYMNZATCJR-GFCCVEGCSA-N 0 1 275.356 0.341 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1CCCCN(CC#N)C1 ZINC001034129280 819088960 /nfs/dbraw/zinc/08/89/60/819088960.db2.gz AHGBMLOCQZDJGP-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H](C)n2cncn2)C1 ZINC001034174128 819101161 /nfs/dbraw/zinc/10/11/61/819101161.db2.gz JAHUZHUTVAMLGN-UONOGXRCSA-N 0 1 289.383 0.833 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2COCCN2C)C1 ZINC001034176696 819103520 /nfs/dbraw/zinc/10/35/20/819103520.db2.gz XEEBOTLXMLEPJM-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2cncnc2)C1 ZINC001034188829 819110676 /nfs/dbraw/zinc/11/06/76/819110676.db2.gz QUKOXWMNXSNUGQ-CQSZACIVSA-N 0 1 272.352 0.623 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC001034205767 819119536 /nfs/dbraw/zinc/11/95/36/819119536.db2.gz YCEGQJMGBSOSPH-OAHLLOKOSA-N 0 1 288.395 0.957 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]c(C#N)c2)[C@@H](O)C1 ZINC001090194450 819122892 /nfs/dbraw/zinc/12/28/92/819122892.db2.gz JHPSPLMCYQPCHW-OLZOCXBDSA-N 0 1 274.324 0.237 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001034245163 819138607 /nfs/dbraw/zinc/13/86/07/819138607.db2.gz NRWDTGPKMWDHKQ-LBPRGKRZSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001034255970 819140654 /nfs/dbraw/zinc/14/06/54/819140654.db2.gz HQDYGMPSFMCAOD-IHRRRGAJSA-N 0 1 280.368 0.394 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001034261202 819141429 /nfs/dbraw/zinc/14/14/29/819141429.db2.gz LTDHYTTZQLSTRG-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccoc2)[C@@H](O)C1 ZINC001090206320 819162670 /nfs/dbraw/zinc/16/26/70/819162670.db2.gz NIXUAMJZYYQBDR-KBPBESRZSA-N 0 1 276.336 0.397 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H](C)N2CCOCC2)C1 ZINC001034556281 819245211 /nfs/dbraw/zinc/24/52/11/819245211.db2.gz HAAKBEYLRWKOHP-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2n[nH]cc2C)[C@@H](O)C1 ZINC001090252534 819314931 /nfs/dbraw/zinc/31/49/31/819314931.db2.gz UDDFXPAIVVFJDH-QWRGUYRKSA-N 0 1 264.329 0.069 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H]1CNCc1nccn1C ZINC001034884321 819354767 /nfs/dbraw/zinc/35/47/67/819354767.db2.gz FKTNAEAPHYRKPZ-NWDGAFQWSA-N 0 1 275.356 0.660 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2cnn(C)c2)C1 ZINC001035280033 819445054 /nfs/dbraw/zinc/44/50/54/819445054.db2.gz VANJHBSFNZOFEA-CQSZACIVSA-N 0 1 292.383 0.817 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2cncnc2)C1 ZINC001035345715 819484671 /nfs/dbraw/zinc/48/46/71/819484671.db2.gz CHNAVOUIYMMOFI-AWEZNQCLSA-N 0 1 290.367 0.873 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cn1cc(C)cn1)CC2 ZINC001035661437 819594942 /nfs/dbraw/zinc/59/49/42/819594942.db2.gz BXAMNKZRSVUTDJ-UHFFFAOYSA-N 0 1 286.379 0.749 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnc(C)cn1)CC2 ZINC001035643689 819599355 /nfs/dbraw/zinc/59/93/55/819599355.db2.gz LEEOWOGKKYKXGS-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1nnn(C)c1C)CC2 ZINC001035675203 819600170 /nfs/dbraw/zinc/60/01/70/819600170.db2.gz QZUJLNIPRNBMMO-UHFFFAOYSA-N 0 1 289.383 0.848 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1COCCN1C)CC2 ZINC001035691578 819604128 /nfs/dbraw/zinc/60/41/28/819604128.db2.gz PLLHFWJIPKSION-CQSZACIVSA-N 0 1 293.411 0.427 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnnn1CC)CC2 ZINC001035701983 819611202 /nfs/dbraw/zinc/61/12/02/819611202.db2.gz NBDHUOVNKUGOKS-UHFFFAOYSA-N 0 1 287.367 0.469 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@H](C)CO1)CC2 ZINC001035758252 819619629 /nfs/dbraw/zinc/61/96/29/819619629.db2.gz SEGOQMDTDIFWCI-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CCO[C@@H]1C)CC2 ZINC001035825483 819630867 /nfs/dbraw/zinc/63/08/67/819630867.db2.gz LHOPEFRZIJJDKI-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC(=O)N1)CC2 ZINC001035839850 819634788 /nfs/dbraw/zinc/63/47/88/819634788.db2.gz DIMDNFYRVBMFRF-ZDUSSCGKSA-N 0 1 289.379 0.213 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1C[C@@]13CCOC3)CC2 ZINC001035836578 819635263 /nfs/dbraw/zinc/63/52/63/819635263.db2.gz ZVSURKOUSHBGRK-RHSMWYFYSA-N 0 1 288.391 0.971 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1nonc1C)CC2 ZINC001035863382 819638177 /nfs/dbraw/zinc/63/81/77/819638177.db2.gz ZNOJCIWKLVULIE-UHFFFAOYSA-N 0 1 288.351 0.939 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2ccn[nH]2)CC[C@H]1NCC#N ZINC001035970626 819658260 /nfs/dbraw/zinc/65/82/60/819658260.db2.gz CZKNHHVXJVSMSW-ZYHUDNBSSA-N 0 1 261.329 0.302 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](NCC#N)[C@@H](C)C2)n[nH]1 ZINC001035947291 819659293 /nfs/dbraw/zinc/65/92/93/819659293.db2.gz LXNCYWRZNSNCLE-GXSJLCMTSA-N 0 1 261.329 0.682 20 30 CCEDMN C#CC[NH2+][C@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001036004920 819670525 /nfs/dbraw/zinc/67/05/25/819670525.db2.gz ZEWKNEQRCVQRFX-RYUDHWBXSA-N 0 1 273.336 0.861 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccc3[nH]nnc3c2)CC[C@H]1NCC#N ZINC001036299826 819722840 /nfs/dbraw/zinc/72/28/40/819722840.db2.gz ZJWVBFQDHLAILY-CMPLNLGQSA-N 0 1 298.350 0.922 20 30 CCEDMN C#CC1(O)CCN(CCn2c(C)ncc2[N+](=O)[O-])CC1 ZINC000708113404 819758781 /nfs/dbraw/zinc/75/87/81/819758781.db2.gz ILCXCIGWBJMCEK-UHFFFAOYSA-N 0 1 278.312 0.560 20 30 CCEDMN C#CC1(O)CCN([C@H](C)C(=O)N[C@@H](C)CC)CC1 ZINC000708158476 819762200 /nfs/dbraw/zinc/76/22/00/819762200.db2.gz KFNDGSLUHYJQHF-NWDGAFQWSA-N 0 1 252.358 0.750 20 30 CCEDMN C#CCCCS(=O)(=O)NCC1=CCN(C)CC1 ZINC000710286181 819825203 /nfs/dbraw/zinc/82/52/03/819825203.db2.gz UEEQDESIPRUOCR-UHFFFAOYSA-N 0 1 256.371 0.581 20 30 CCEDMN CNC(=O)CN1CC[C@H]2CN(C(=O)C#CC3CC3)CC[C@@H]21 ZINC001036711771 820101743 /nfs/dbraw/zinc/10/17/43/820101743.db2.gz IDMCLVFPIOTJTI-KBPBESRZSA-N 0 1 289.379 0.069 20 30 CCEDMN CNC(=O)CN1CC[C@@H]2CN(C(=O)C#CC3CC3)CC[C@H]21 ZINC001036711774 820101927 /nfs/dbraw/zinc/10/19/27/820101927.db2.gz IDMCLVFPIOTJTI-ZIAGYGMSSA-N 0 1 289.379 0.069 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnc(C3CC3)o2)[C@@H](O)C1 ZINC001090330003 820268713 /nfs/dbraw/zinc/26/87/13/820268713.db2.gz POVYDIDNBLRQNI-NEPJUHHUSA-N 0 1 291.351 0.903 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccsn2)[C@H](O)C1 ZINC001090352914 820291772 /nfs/dbraw/zinc/29/17/72/820291772.db2.gz RYESGGNRIWYWRK-ZYHUDNBSSA-N 0 1 281.381 0.884 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)N[C@@H]1CN(CC#N)C[C@@H]1O ZINC001083931287 820298801 /nfs/dbraw/zinc/29/88/01/820298801.db2.gz PBCZQQKFLBRPPR-MNOVXSKESA-N 0 1 291.355 0.140 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CC2OCCCO2)C1 ZINC001079623466 820440962 /nfs/dbraw/zinc/44/09/62/820440962.db2.gz XENOMTDQGOMUDK-CHWSQXEVSA-N 0 1 280.368 0.599 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC001079681646 820448819 /nfs/dbraw/zinc/44/88/19/820448819.db2.gz UXJWNTQDAQOALB-DGCLKSJQSA-N 0 1 260.341 0.032 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001079736098 820459605 /nfs/dbraw/zinc/45/96/05/820459605.db2.gz JOZAAMBQWHMHLD-COMQUAJESA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC001079733468 820460146 /nfs/dbraw/zinc/46/01/46/820460146.db2.gz GVKUODDTARLGQE-TZMCWYRMSA-N 0 1 274.368 0.515 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001080104999 820515279 /nfs/dbraw/zinc/51/52/79/820515279.db2.gz YNZTYHITZYHBFD-ZYHUDNBSSA-N 0 1 276.340 0.721 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3nnnn3c2)C1 ZINC001080121124 820516957 /nfs/dbraw/zinc/51/69/57/820516957.db2.gz QPQGSELGQAWRMW-DGCLKSJQSA-N 0 1 298.350 0.198 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnc3nccn3c2)C1 ZINC001080131439 820520233 /nfs/dbraw/zinc/52/02/33/820520233.db2.gz JRHHWNUTRHRQRN-TZMCWYRMSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)c2cncnc2)C1 ZINC001080320598 820551579 /nfs/dbraw/zinc/55/15/79/820551579.db2.gz GHSXUBYVUFEBBH-MBNYWOFBSA-N 0 1 272.352 0.650 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)N2CCOCC2)C1 ZINC001080624398 820601089 /nfs/dbraw/zinc/60/10/89/820601089.db2.gz ZNHCGEHWHWQYRD-ZIAGYGMSSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001080678614 820619094 /nfs/dbraw/zinc/61/90/94/820619094.db2.gz SMHHJGYCXGHNTQ-ZWNOBZJWSA-N 0 1 288.351 0.187 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001080678614 820619101 /nfs/dbraw/zinc/61/91/01/820619101.db2.gz SMHHJGYCXGHNTQ-ZWNOBZJWSA-N 0 1 288.351 0.187 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001080735110 820627590 /nfs/dbraw/zinc/62/75/90/820627590.db2.gz UWIGUVWYNCINOS-MGPQQGTHSA-N 0 1 291.395 0.315 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)C(C)(C)c1cnc[nH]1 ZINC001080784074 820638699 /nfs/dbraw/zinc/63/86/99/820638699.db2.gz GACBIKONZLWWSX-GHMZBOCLSA-N 0 1 275.356 0.647 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2[nH]cnc2CC)C1 ZINC001080865658 820648209 /nfs/dbraw/zinc/64/82/09/820648209.db2.gz BOJZULGBUFCPER-ZYHUDNBSSA-N 0 1 260.341 0.655 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001080870660 820649899 /nfs/dbraw/zinc/64/98/99/820649899.db2.gz QDBIUWLJKVYUBS-MCIONIFRSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CN(CC)CCO2)C1 ZINC001080977137 820661398 /nfs/dbraw/zinc/66/13/98/820661398.db2.gz XUQNWGNWJSEUHP-RBSFLKMASA-N 0 1 293.411 0.167 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H]2CCCO2)[C@H](OC)C1 ZINC001081398299 820756660 /nfs/dbraw/zinc/75/66/60/820756660.db2.gz GXSNAUVRXNGFTM-BFHYXJOUSA-N 0 1 282.384 0.947 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)nn2C)[C@H](OC)C1 ZINC001081412030 820760461 /nfs/dbraw/zinc/76/04/61/820760461.db2.gz FYJAYMOWMWMWGK-TZMCWYRMSA-N 0 1 290.367 0.181 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cscn2)[C@H](OC)C1 ZINC001081440337 820774187 /nfs/dbraw/zinc/77/41/87/820774187.db2.gz DOIVJODEZGOEAY-ZYHUDNBSSA-N 0 1 279.365 0.595 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2=CCOCC2)[C@H](OC)C1 ZINC001081573439 820789704 /nfs/dbraw/zinc/78/97/04/820789704.db2.gz DLILMKJVISHDBY-ZIAGYGMSSA-N 0 1 280.368 0.725 20 30 CCEDMN C=C(C)C[NH+]1C[C@@H](NC(=O)C[N@@H+]2CCC[C@H]2C)[C@H](OC)C1 ZINC001082133396 820904678 /nfs/dbraw/zinc/90/46/78/820904678.db2.gz ACMSPCSOILWFOY-RBSFLKMASA-N 0 1 295.427 0.862 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](NC(=O)C2(F)CCCC2)[C@@H](O)C1 ZINC001090371414 820915059 /nfs/dbraw/zinc/91/50/59/820915059.db2.gz JKWPHCBCCOUYCY-STQMWFEESA-N 0 1 282.359 0.843 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CN(CCC)C[C@H]2OC)nn1 ZINC001082213920 820916735 /nfs/dbraw/zinc/91/67/35/820916735.db2.gz HKWMFCLFPNZFLP-DGCLKSJQSA-N 0 1 293.371 0.303 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)N[C@@H](C#N)C2CC2)cn1 ZINC001118821775 820926032 /nfs/dbraw/zinc/92/60/32/820926032.db2.gz OJNNAIJTVRSHBF-JTQLQIEISA-N 0 1 294.336 0.485 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(CC)on2)[C@H](OC)C1 ZINC001082332589 820937644 /nfs/dbraw/zinc/93/76/44/820937644.db2.gz PVHFHYLGICBCSJ-ZIAGYGMSSA-N 0 1 291.351 0.689 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H](NCc2cnns2)C1 ZINC001082450572 820971085 /nfs/dbraw/zinc/97/10/85/820971085.db2.gz ACLCKMHLKVNWCL-FXPVBKGRSA-N 0 1 279.369 0.634 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCNC(=O)C2)C[C@H]1C ZINC001082472515 820984974 /nfs/dbraw/zinc/98/49/74/820984974.db2.gz ONYBSANKLJYKOB-ADEWGFFLSA-N 0 1 299.802 0.702 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncccc2CC)[C@H](O)C1 ZINC001090375201 821002711 /nfs/dbraw/zinc/00/27/11/821002711.db2.gz RIXYGTWBADNCJX-ZIAGYGMSSA-N 0 1 289.379 0.995 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@H](C(=O)[O-])[C@@H](C)C1 ZINC001118957328 821006655 /nfs/dbraw/zinc/00/66/55/821006655.db2.gz DNENUAGFWNOKHK-DCAQKATOSA-N 0 1 297.355 0.429 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccncc2CC)[C@@H](O)C1 ZINC001090376685 821011457 /nfs/dbraw/zinc/01/14/57/821011457.db2.gz ALHMSAZSVZUJDR-GJZGRUSLSA-N 0 1 289.379 0.995 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCN(C)C2=O)C[C@H]1C ZINC001082692883 821020273 /nfs/dbraw/zinc/02/02/73/821020273.db2.gz WVSOLDGLTPAFJP-YUSALJHKSA-N 0 1 299.802 0.654 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3ccncc3)[C@H]2C1 ZINC001082978981 821085456 /nfs/dbraw/zinc/08/54/56/821085456.db2.gz HJVPZURGUWWOGZ-LSDHHAIUSA-N 0 1 285.347 0.630 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C(C)C)[C@H]2C1 ZINC001083004710 821103091 /nfs/dbraw/zinc/10/30/91/821103091.db2.gz OELHXIDAUYKYDL-QWHCGFSZSA-N 0 1 250.342 0.577 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3ccnn3C)[C@H]2C1 ZINC001083020837 821109573 /nfs/dbraw/zinc/10/95/73/821109573.db2.gz UVCARLGTBBGUJY-UONOGXRCSA-N 0 1 290.367 0.521 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C3CC=CC3)[C@H]2C1 ZINC001083032141 821117834 /nfs/dbraw/zinc/11/78/34/821117834.db2.gz JKGVPYAJXOKRMT-LSDHHAIUSA-N 0 1 274.364 0.888 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)/C(C)=C\C)[C@H]2C1 ZINC001083043286 821125026 /nfs/dbraw/zinc/12/50/26/821125026.db2.gz YUXGBNRESFFSCL-WWRZCIAWSA-N 0 1 262.353 0.888 20 30 CCEDMN COC[C@@H](C)N1C[C@H]2OCCN(C(=O)C#CC3CC3)[C@H]2C1 ZINC001083077281 821132718 /nfs/dbraw/zinc/13/27/18/821132718.db2.gz AMVLPVXOIBEGNN-VHDGCEQUSA-N 0 1 292.379 0.346 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(C(C)C)C[C@@H]2O)nc1 ZINC001083970098 821176021 /nfs/dbraw/zinc/17/60/21/821176021.db2.gz FYTWWCYRTPFCPR-KGLIPLIRSA-N 0 1 273.336 0.246 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCC)C[C@@H]2O)nc1 ZINC001083970438 821177090 /nfs/dbraw/zinc/17/70/90/821177090.db2.gz VERDVGGFGKFOED-KGLIPLIRSA-N 0 1 273.336 0.248 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)CCn3cccn3)[C@@H]2C1 ZINC001084197326 821232791 /nfs/dbraw/zinc/23/27/91/821232791.db2.gz QEPNSMCOESSHPP-ZIAGYGMSSA-N 0 1 274.368 0.992 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCOC3)[C@@H]2C1 ZINC001084224352 821246271 /nfs/dbraw/zinc/24/62/71/821246271.db2.gz YFCFBXNTRWTQFM-MGPQQGTHSA-N 0 1 262.353 0.579 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N1C[C@H]2CCN(CCO)C[C@H]21 ZINC001084353023 821277977 /nfs/dbraw/zinc/27/79/77/821277977.db2.gz XJOYPAZOZHCQHF-TUVASFSCSA-N 0 1 294.395 0.493 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3cn[nH]c(=O)c3)[C@@H]2C1 ZINC001084431183 821292503 /nfs/dbraw/zinc/29/25/03/821292503.db2.gz PMJBAVUHJRKSDE-DGCLKSJQSA-N 0 1 286.335 0.352 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]2CN(C(=O)[C@H]3COCCN3CC)[C@@H]2C1 ZINC001084468914 821294199 /nfs/dbraw/zinc/29/41/99/821294199.db2.gz HYVLFZMEELZIFS-RBSFLKMASA-N 0 1 293.411 0.426 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3COCCN3CC)[C@@H]2C1 ZINC001084468914 821294206 /nfs/dbraw/zinc/29/42/06/821294206.db2.gz HYVLFZMEELZIFS-RBSFLKMASA-N 0 1 293.411 0.426 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)Cc3cnc[nH]3)[C@@H]2C1 ZINC001084686689 821351529 /nfs/dbraw/zinc/35/15/29/821351529.db2.gz QFLIGGHALGMANK-DGCLKSJQSA-N 0 1 258.325 0.118 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCc3cn[nH]c3)[C@@H]2C1 ZINC001084710935 821358084 /nfs/dbraw/zinc/35/80/84/821358084.db2.gz HSGXYQMOBWHHSK-HUUCEWRRSA-N 0 1 286.379 0.898 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccncn2)[C@H](O)C1 ZINC001099856066 821447056 /nfs/dbraw/zinc/44/70/56/821447056.db2.gz RDXFXLCRHITBBK-UONOGXRCSA-N 0 1 290.367 0.147 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c[nH]nc1-c1cnn(C)c1 ZINC000823674868 821530316 /nfs/dbraw/zinc/53/03/16/821530316.db2.gz FBVWHQPJDLEQQW-UHFFFAOYSA-N 0 1 286.339 0.105 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(CC#CC)C[C@@H]1O ZINC001099960396 821649747 /nfs/dbraw/zinc/64/97/47/821649747.db2.gz UBOXUGFDORGFEL-OLZOCXBDSA-N 0 1 264.369 0.773 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1ccc(=O)[nH]n1 ZINC001085472532 821727439 /nfs/dbraw/zinc/72/74/39/821727439.db2.gz NTYFWRZLJINNCR-NSHDSACASA-N 0 1 276.340 0.905 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1COCCO1 ZINC001085494019 821741682 /nfs/dbraw/zinc/74/16/82/821741682.db2.gz GAHBAQMBIRNOHD-QWHCGFSZSA-N 0 1 268.357 0.511 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1COCCO1 ZINC001085494018 821742741 /nfs/dbraw/zinc/74/27/41/821742741.db2.gz GAHBAQMBIRNOHD-OLZOCXBDSA-N 0 1 268.357 0.511 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1nccnc1N ZINC001085523346 821764607 /nfs/dbraw/zinc/76/46/07/821764607.db2.gz KEZMQDMMNHQDNR-LLVKDONJSA-N 0 1 273.340 0.228 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)[C@@H]1CCCc2c[nH]nc21 ZINC001085597482 821842145 /nfs/dbraw/zinc/84/21/45/821842145.db2.gz YWOPWTDKVWXHFH-CHWSQXEVSA-N 0 1 287.367 0.886 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnn2ccncc12 ZINC001085643826 821868111 /nfs/dbraw/zinc/86/81/11/821868111.db2.gz YWWTTWTWMAMDHI-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCCC(=O)N1 ZINC001085657662 821874616 /nfs/dbraw/zinc/87/46/16/821874616.db2.gz WZUWKXLPKOLLBG-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)[C@H]1CCCCN1C ZINC001085674446 821890817 /nfs/dbraw/zinc/89/08/17/821890817.db2.gz WHBIWGVHESQZMX-UONOGXRCSA-N 0 1 263.385 0.637 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cc(C)on2)[C@@H](O)C1 ZINC001100004640 822000770 /nfs/dbraw/zinc/00/07/70/822000770.db2.gz ZSIPFURUZKDUAX-KBPBESRZSA-N 0 1 293.367 0.653 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc(OC)nn1 ZINC001085909561 822013206 /nfs/dbraw/zinc/01/32/06/822013206.db2.gz MGSCHRWWSNJNIY-GFCCVEGCSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnn2cc[nH]c12 ZINC001085944987 822030580 /nfs/dbraw/zinc/03/05/80/822030580.db2.gz XHLTZXQTMMXSOQ-LBPRGKRZSA-N 0 1 285.351 0.832 20 30 CCEDMN CNC(=O)CN1CCC[C@H](NC(=O)C#CC(C)C)CC1 ZINC001273411111 822160520 /nfs/dbraw/zinc/16/05/20/822160520.db2.gz QEICEPKSBQWAPZ-ZDUSSCGKSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCCN([C@H](C)C(=O)NC)CC1 ZINC001273411527 822161260 /nfs/dbraw/zinc/16/12/60/822161260.db2.gz RPIPIOZQUXGWPP-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)CCOC)[C@@H](O)C1 ZINC001100062517 822203836 /nfs/dbraw/zinc/20/38/36/822203836.db2.gz VGFLVMBTONFCGX-KBPBESRZSA-N 0 1 296.411 0.624 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)c2ccc3cncn3c2)C1 ZINC001086429090 822251617 /nfs/dbraw/zinc/25/16/17/822251617.db2.gz YXOCKAOZBMORHX-AULYBMBSSA-N 0 1 283.335 0.956 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CCOC)CCC2)[C@@H](O)C1 ZINC001100090654 822269465 /nfs/dbraw/zinc/26/94/65/822269465.db2.gz YNXCGOMFRGWWOX-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1[C@H]2CN(Cc3cnc(C)o3)C[C@H]21 ZINC001114047387 837415798 /nfs/dbraw/zinc/41/57/98/837415798.db2.gz FIYBZXKXSWOHOQ-NHAGDIPZSA-N 0 1 291.351 0.732 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCOC1 ZINC001114088216 837433176 /nfs/dbraw/zinc/43/31/76/837433176.db2.gz KMVXOTVZSNYTIU-NYTXWWLZSA-N 0 1 292.379 0.109 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCOCC=C ZINC001114108307 837436494 /nfs/dbraw/zinc/43/64/94/837436494.db2.gz LTYFXFSGOQTSLH-NHAGDIPZSA-N 0 1 262.353 0.649 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CCC)n[nH]2)[C@@H](O)C1 ZINC001090476504 837475735 /nfs/dbraw/zinc/47/57/35/837475735.db2.gz BUYAANJYVQIQRI-OCCSQVGLSA-N 0 1 292.383 0.713 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)COCC)C1 ZINC001130334991 837769202 /nfs/dbraw/zinc/76/92/02/837769202.db2.gz ULQUUSQECWUURY-GFCCVEGCSA-N 0 1 290.367 0.485 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)C(=O)c1ccc(C#N)s1 ZINC001183719771 844078505 /nfs/dbraw/zinc/07/85/05/844078505.db2.gz AXKXWOJBAIZUMP-UHFFFAOYSA-N 0 1 264.266 0.981 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2ccn(C)n2)C1 ZINC001108396997 836011934 /nfs/dbraw/zinc/01/19/34/836011934.db2.gz KHAVPNMFIOEIFR-HNNXBMFYSA-N 0 1 292.383 0.817 20 30 CCEDMN C=CCc1c(Cl)ncnc1-n1nnnc1CN ZINC001168917448 836047167 /nfs/dbraw/zinc/04/71/67/836047167.db2.gz IJBVXFDQMVCFBI-UHFFFAOYSA-N 0 1 251.681 0.293 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)CCc1ccc(N)nc1 ZINC001183928843 844122186 /nfs/dbraw/zinc/12/21/86/844122186.db2.gz IFLVJIFAPNQGRM-UHFFFAOYSA-N 0 1 256.269 0.830 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NCCCNCc2nncs2)c1 ZINC001156281798 836180039 /nfs/dbraw/zinc/18/00/39/836180039.db2.gz FHNLRZYVXRWNGG-UHFFFAOYSA-N 0 1 290.352 0.648 20 30 CCEDMN CCOC(=O)[C@@H]1CC[C@H](NCC2(C#N)CC2)CO1 ZINC001169345697 836214547 /nfs/dbraw/zinc/21/45/47/836214547.db2.gz YPHQZTCQFIDLGL-QWRGUYRKSA-N 0 1 252.314 0.990 20 30 CCEDMN C=CCN1CCN([C@@H]2CCCN(C(C)=O)C2)CC1 ZINC001169615386 836400329 /nfs/dbraw/zinc/40/03/29/836400329.db2.gz OMCZDQJXIWGHIB-CQSZACIVSA-N 0 1 251.374 0.801 20 30 CCEDMN Cc1cc(N(C)C[C@H](C)NC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001109063460 836607419 /nfs/dbraw/zinc/60/74/19/836607419.db2.gz WGUFJGJNANBUFM-JTQLQIEISA-N 0 1 299.338 0.635 20 30 CCEDMN Cc1cc(N(C)C[C@H](C)NC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001109063460 836607421 /nfs/dbraw/zinc/60/74/21/836607421.db2.gz WGUFJGJNANBUFM-JTQLQIEISA-N 0 1 299.338 0.635 20 30 CCEDMN CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C(=O)NC)CC1)C2 ZINC001109840992 836737839 /nfs/dbraw/zinc/73/78/39/836737839.db2.gz BICMDVOBIXYLSP-AGIUHOORSA-N 0 1 289.379 0.257 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C(=O)NC)CC1)C2 ZINC001109840992 836737843 /nfs/dbraw/zinc/73/78/43/836737843.db2.gz BICMDVOBIXYLSP-AGIUHOORSA-N 0 1 289.379 0.257 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCn1cncn1)C2 ZINC001109933991 836747603 /nfs/dbraw/zinc/74/76/03/836747603.db2.gz JCLYTIHGSBZGCS-RDBSUJKOSA-N 0 1 287.367 0.413 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(=O)NCC1CC1)C2 ZINC001109951946 836748918 /nfs/dbraw/zinc/74/89/18/836748918.db2.gz JXKPUHIDWJVCMT-RDBSUJKOSA-N 0 1 289.379 0.257 20 30 CCEDMN COC(=O)c1cc(C#N)ccc1NC[C@H]1COCCN1 ZINC001170044944 836780671 /nfs/dbraw/zinc/78/06/71/836780671.db2.gz OQNFRUNUFWOGJT-NSHDSACASA-N 0 1 275.308 0.745 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1cnn(CC)n1 ZINC001157164424 836844174 /nfs/dbraw/zinc/84/41/74/836844174.db2.gz LVGMQDZBQYELFK-UHFFFAOYSA-N 0 1 277.372 0.697 20 30 CCEDMN C#CCCCC(=O)N1CCN(CCCOC)CC1 ZINC001112799754 836919920 /nfs/dbraw/zinc/91/99/20/836919920.db2.gz XCYSAUTVTNYSCY-UHFFFAOYSA-N 0 1 252.358 0.971 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC[C@@H]2CCOC2)CC1 ZINC001112846433 836938445 /nfs/dbraw/zinc/93/84/45/836938445.db2.gz OUBFYUKJXGPWCN-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2cc(=O)n(C)cn2)CC1 ZINC001112978796 836985508 /nfs/dbraw/zinc/98/55/08/836985508.db2.gz SEQNURHNHBTAJC-UHFFFAOYSA-N 0 1 290.367 0.504 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CCN(CCO)C[C@@H]2O)CCCC1 ZINC001100145010 836992069 /nfs/dbraw/zinc/99/20/69/836992069.db2.gz FVHUPQFEJPUBKS-KGLIPLIRSA-N 0 1 296.411 0.667 20 30 CCEDMN C=CCCN1CCN(C(=O)COCc2ccnn2C)CC1 ZINC001113001360 836993051 /nfs/dbraw/zinc/99/30/51/836993051.db2.gz UGWGKOWAUPGUMP-UHFFFAOYSA-N 0 1 292.383 0.657 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](CC(C)C)C(N)=O)CC1 ZINC001113743558 837211431 /nfs/dbraw/zinc/21/14/31/837211431.db2.gz NSRQQPRWNNVTHL-ZDUSSCGKSA-N 0 1 281.400 0.854 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC(C)C ZINC001113800861 837244357 /nfs/dbraw/zinc/24/43/57/837244357.db2.gz JPOUDGNEZFZCKM-NHAGDIPZSA-N 0 1 264.369 0.729 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CSC ZINC001113816892 837268669 /nfs/dbraw/zinc/26/86/69/837268669.db2.gz ZVEZWTDTNFWLRO-MEWQQHAOSA-N 0 1 297.424 0.087 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C=C(C)C ZINC001113828693 837305246 /nfs/dbraw/zinc/30/52/46/837305246.db2.gz FYGQDLNUPKNSFT-NHAGDIPZSA-N 0 1 262.353 0.649 20 30 CCEDMN C[C@H](CNC(=O)Cc1cnc[nH]1)N(C)c1nccnc1C#N ZINC001113898553 837357836 /nfs/dbraw/zinc/35/78/36/837357836.db2.gz WZEYDRMITPVTGN-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C=CCCCN1CCOC[C@@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001130819866 837936110 /nfs/dbraw/zinc/93/61/10/837936110.db2.gz IFRXINHSPZSBBX-GFCCVEGCSA-N 0 1 293.371 0.780 20 30 CCEDMN C=CCCCN1CCOC[C@@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001130819866 837936118 /nfs/dbraw/zinc/93/61/18/837936118.db2.gz IFRXINHSPZSBBX-GFCCVEGCSA-N 0 1 293.371 0.780 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCNC(=O)NC)CC[C@@H]1C ZINC001132014252 838297569 /nfs/dbraw/zinc/29/75/69/838297569.db2.gz TXNMYEDIPQZDQM-QWHCGFSZSA-N 0 1 294.399 0.298 20 30 CCEDMN C[C@@H]1CC[C@H](NC(=O)CN(C)C2CCC2)CN1CC#N ZINC001132105804 838321270 /nfs/dbraw/zinc/32/12/70/838321270.db2.gz NBJXYZHGMJUKLB-OLZOCXBDSA-N 0 1 278.400 0.963 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCn2ccnc2)CC[C@H]1C ZINC001132221352 838339734 /nfs/dbraw/zinc/33/97/34/838339734.db2.gz GGLAIKRTWSJQLO-ZIAGYGMSSA-N 0 1 274.368 0.876 20 30 CCEDMN C#CCN1C[C@H](NC(=O)COc2cnn(C)c2)CC[C@@H]1C ZINC001132246142 838344486 /nfs/dbraw/zinc/34/44/86/838344486.db2.gz QHRKJYCZCFCIFA-QWHCGFSZSA-N 0 1 290.367 0.401 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C2(C(=O)NC)CC2)CC[C@@H]1C ZINC001132348812 838370380 /nfs/dbraw/zinc/37/03/80/838370380.db2.gz SRVQJAQBYUCPGT-RYUDHWBXSA-N 0 1 279.384 0.668 20 30 CCEDMN CC#CCCCC(=O)NCCNCc1cnnn1C ZINC001132591855 838446329 /nfs/dbraw/zinc/44/63/29/838446329.db2.gz YFZJYVPWUJGSPV-UHFFFAOYSA-N 0 1 263.345 0.215 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001133000985 838531525 /nfs/dbraw/zinc/53/15/25/838531525.db2.gz PDFVZQLROUDFAP-VIFPVBQESA-N 0 1 283.763 0.683 20 30 CCEDMN C=CCCCC(=O)NCCNCC(=O)Nc1cc(C)no1 ZINC001133154701 838563365 /nfs/dbraw/zinc/56/33/65/838563365.db2.gz QKLMJSKKMQKLRT-UHFFFAOYSA-N 0 1 294.355 0.984 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@@H](C)NCc1cnn(C)n1 ZINC001133909046 838773014 /nfs/dbraw/zinc/77/30/14/838773014.db2.gz GKQTUXUWJZTOMI-NEPJUHHUSA-N 0 1 295.387 0.391 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1cnn(C)n1 ZINC001133914732 838778535 /nfs/dbraw/zinc/77/85/35/838778535.db2.gz QULBIMIBTPIHNI-UHFFFAOYSA-N 0 1 271.752 0.206 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1C[C@H]1c1cnn(C)c1 ZINC001134124045 838877867 /nfs/dbraw/zinc/87/78/67/838877867.db2.gz LFUKGDPRNXQALN-NWDGAFQWSA-N 0 1 282.775 0.982 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1cn(C)nn1 ZINC001134205656 838903691 /nfs/dbraw/zinc/90/36/91/838903691.db2.gz KLWIBCMNCDEUFS-OLZOCXBDSA-N 0 1 291.399 0.992 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)NCC2(C#N)CCC2)C1 ZINC001185262828 844386062 /nfs/dbraw/zinc/38/60/62/844386062.db2.gz QJJFHZAANVJGKR-NSHDSACASA-N 0 1 250.346 0.042 20 30 CCEDMN CC[C@@](N)(CO)Nc1ccc(OC)c(CC#N)n1 ZINC001170860164 839452939 /nfs/dbraw/zinc/45/29/39/839452939.db2.gz WCEBHEIFUUCKDT-LBPRGKRZSA-N 0 1 250.302 0.625 20 30 CCEDMN CCn1cc(C(=O)NCCNCC#Cc2ccccc2)nn1 ZINC001135994378 839491233 /nfs/dbraw/zinc/49/12/33/839491233.db2.gz RITDBRPDYLBRCC-UHFFFAOYSA-N 0 1 297.362 0.669 20 30 CCEDMN C[C@H]1CN(C(=O)CN2CCCC2)CC[C@@H]1CNCC#N ZINC001185682031 844445178 /nfs/dbraw/zinc/44/51/78/844445178.db2.gz ONOHBAQXWJYEKP-UONOGXRCSA-N 0 1 278.400 0.680 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2n[nH]cc2F)[C@@H](O)C1 ZINC001090553276 839637964 /nfs/dbraw/zinc/63/79/64/839637964.db2.gz LNJYKXAYZDWPBM-QWRGUYRKSA-N 0 1 282.319 0.290 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2conc2CC)[C@H](O)C1 ZINC001090556005 839640753 /nfs/dbraw/zinc/64/07/53/839640753.db2.gz RNWIUICQRSPWPA-CHWSQXEVSA-N 0 1 279.340 0.588 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C\[C@H]1COC(C)(C)O1 ZINC001170993700 839674690 /nfs/dbraw/zinc/67/46/90/839674690.db2.gz KQLNAHUJICCZBT-KRZKBDHCSA-N 0 1 266.341 0.375 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nccs2)[C@@H](O)C1 ZINC001090670339 839717097 /nfs/dbraw/zinc/71/70/97/839717097.db2.gz DNBBIHNBJCGQKM-QWRGUYRKSA-N 0 1 281.381 0.884 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccnc(C)c2)[C@@H](O)C1 ZINC001090666704 839721853 /nfs/dbraw/zinc/72/18/53/839721853.db2.gz YPXLNFMFCQADDS-CABCVRRESA-N 0 1 287.363 0.578 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnoc2C2CC2)[C@H](O)C1 ZINC001090696619 839744497 /nfs/dbraw/zinc/74/44/97/839744497.db2.gz WOHGQUXUHHVSRV-QWHCGFSZSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2cc3c([nH]2)CCC3)[C@H](O)C1 ZINC001090719371 839753340 /nfs/dbraw/zinc/75/33/40/839753340.db2.gz NMVRFSDGEWPKNG-UKRRQHHQSA-N 0 1 289.379 0.854 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc3c([nH]2)CCC3)[C@H](O)C1 ZINC001090719371 839753345 /nfs/dbraw/zinc/75/33/45/839753345.db2.gz NMVRFSDGEWPKNG-UKRRQHHQSA-N 0 1 289.379 0.854 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCOCC)C2 ZINC001110372828 844471541 /nfs/dbraw/zinc/47/15/41/844471541.db2.gz YVQITUFHPKFYCR-UPJWGTAASA-N 0 1 250.342 0.768 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cccn2CC)[C@@H](O)C1 ZINC001090819171 839834517 /nfs/dbraw/zinc/83/45/17/839834517.db2.gz WBCFERWKDBAZQM-ZFWWWQNUSA-N 0 1 289.379 0.696 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cccn2CC)[C@@H](O)C1 ZINC001090819169 839834855 /nfs/dbraw/zinc/83/48/55/839834855.db2.gz WBCFERWKDBAZQM-HIFRSBDPSA-N 0 1 289.379 0.696 20 30 CCEDMN C#CC(=O)N1CCc2ncc(CN3CCCC3)n2CC1 ZINC001143906897 839889447 /nfs/dbraw/zinc/88/94/47/839889447.db2.gz CICVEHLTSSZRGM-UHFFFAOYSA-N 0 1 272.352 0.497 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@@H](CNC(=O)CCOCC)C1 ZINC001149355289 839899319 /nfs/dbraw/zinc/89/93/19/839899319.db2.gz HXIQHZHLDKYKFB-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN CCOC(=O)[C@@H]1c2[nH]cnc2CCN1C(=O)CCS ZINC001143986220 839903811 /nfs/dbraw/zinc/90/38/11/839903811.db2.gz GINZQFYLVCNODP-NSHDSACASA-N 0 1 283.353 0.719 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)CCS ZINC001143989737 839912016 /nfs/dbraw/zinc/91/20/16/839912016.db2.gz CXENFSCKVOECDY-UHFFFAOYSA-N 0 1 257.315 0.523 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C(C)C)C1 ZINC001149196559 839944071 /nfs/dbraw/zinc/94/40/71/839944071.db2.gz QNEMFQHWMKMUTJ-ZDUSSCGKSA-N 0 1 252.358 0.873 20 30 CCEDMN COc1cccc(C[C@@H](N)C(=O)Nc2c[nH]nc2C#N)c1 ZINC001144933295 840195898 /nfs/dbraw/zinc/19/58/98/840195898.db2.gz NOCJLWHYBSCGLV-LLVKDONJSA-N 0 1 285.307 0.798 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CCn2cccn2)C1 ZINC001149215367 840241740 /nfs/dbraw/zinc/24/17/40/840241740.db2.gz SPPKWNPTIYHYKR-AWEZNQCLSA-N 0 1 292.383 0.666 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)C(F)F)C2)C1 ZINC001146861844 840384659 /nfs/dbraw/zinc/38/46/59/840384659.db2.gz XVBMEKXVMJEQKH-UHFFFAOYSA-N 0 1 272.295 0.436 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC2(CN(C(C)=O)C2)C1 ZINC001146856340 840403821 /nfs/dbraw/zinc/40/38/21/840403821.db2.gz PHIJRPPAIJTERB-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)c3ccccn3)C2)C1 ZINC001146876780 840413579 /nfs/dbraw/zinc/41/35/79/840413579.db2.gz XYGJJXRPWUFQTF-UHFFFAOYSA-N 0 1 299.374 0.879 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)CCSC)C2)C1 ZINC001146958599 840426423 /nfs/dbraw/zinc/42/64/23/840426423.db2.gz IWFUJIXYDFHZND-UHFFFAOYSA-N 0 1 296.436 0.924 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@@H]3CCOC3)C2)C1 ZINC001147130968 840502470 /nfs/dbraw/zinc/50/24/70/840502470.db2.gz GEMBDZYPYDBCFQ-CQSZACIVSA-N 0 1 292.379 0.207 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](OCC)C3CC3)C2)C1 ZINC001147583794 840624691 /nfs/dbraw/zinc/62/46/91/840624691.db2.gz VWSBMTGBRZGLEF-CQSZACIVSA-N 0 1 276.380 0.969 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCCCN(C)C1=O ZINC001147634359 840642393 /nfs/dbraw/zinc/64/23/93/840642393.db2.gz JBFQBIUQSGSDLP-NSHDSACASA-N 0 1 287.791 0.703 20 30 CCEDMN CCOC(=O)C12CC(C(=O)Nc3nc[nH]c3C#N)(C1)C2 ZINC001186551219 844572650 /nfs/dbraw/zinc/57/26/50/844572650.db2.gz KAKMIFWNWCVNET-UHFFFAOYSA-N 0 1 274.280 0.953 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C(C)(C)NC(C)=O)C2)C1 ZINC001148451583 840807478 /nfs/dbraw/zinc/80/74/78/840807478.db2.gz NCUONJXNYAOWBO-UHFFFAOYSA-N 0 1 277.368 0.069 20 30 CCEDMN CCC(=O)N1CC[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001186604186 844580064 /nfs/dbraw/zinc/58/00/64/844580064.db2.gz AEEGEAUURLDRDY-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN CCCC(=O)N1CC[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001186671384 844582844 /nfs/dbraw/zinc/58/28/44/844582844.db2.gz SUUYAFIIJMCWOF-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)CN(C)[C@@H]2CCC[C@H]2O)C1 ZINC001148872374 840900602 /nfs/dbraw/zinc/90/06/02/840900602.db2.gz VOKVKWFNVYEWGO-MGPQQGTHSA-N 0 1 264.369 0.703 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)CCOC)[C@@H]2C1 ZINC001186657799 844586508 /nfs/dbraw/zinc/58/65/08/844586508.db2.gz KVZWRIUQZCATCM-UONOGXRCSA-N 0 1 280.368 0.205 20 30 CCEDMN C=C(Cl)CN1CCC2(C[C@@H](C)N(C(=O)C(N)=O)C2)CC1 ZINC001086939853 840939554 /nfs/dbraw/zinc/93/95/54/840939554.db2.gz ATBUZPLJPVFHAN-LLVKDONJSA-N 0 1 299.802 0.927 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)COc3cccnc3)C[C@]2(C)C1 ZINC001092100968 840989413 /nfs/dbraw/zinc/98/94/13/840989413.db2.gz HZUYGVUQAAVTRL-PBHICJAKSA-N 0 1 299.374 0.874 20 30 CCEDMN C=CCCOCC(=O)NCCNc1ncnc2[nH]cnc21 ZINC001093691822 841428558 /nfs/dbraw/zinc/42/85/58/841428558.db2.gz GWRCLSJVVJWEPP-UHFFFAOYSA-N 0 1 290.327 0.425 20 30 CCEDMN N#Cc1cccnc1NCCNC(=O)CCc1c[nH]nn1 ZINC001094130497 841540793 /nfs/dbraw/zinc/54/07/93/841540793.db2.gz ZYPFRVVKUNBHDM-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN N#Cc1cccnc1NCCNC(=O)CCc1cnn[nH]1 ZINC001094130497 841540798 /nfs/dbraw/zinc/54/07/98/841540798.db2.gz ZYPFRVVKUNBHDM-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCCNc2cncc(C#N)n2)c1C ZINC001094348914 841613639 /nfs/dbraw/zinc/61/36/39/841613639.db2.gz WDXIVIOVBXFHSE-UHFFFAOYSA-N 0 1 299.338 0.920 20 30 CCEDMN Cc1nc(CC(=O)NCCCNc2ccc(C#N)nc2)n[nH]1 ZINC001094402132 841628270 /nfs/dbraw/zinc/62/82/70/841628270.db2.gz XCHZBOPKOHKNLK-UHFFFAOYSA-N 0 1 299.338 0.541 20 30 CCEDMN C=C(C)CCN1CC(N2C[C@H](NC(=O)CC)CC2=O)C1 ZINC001094686000 841701451 /nfs/dbraw/zinc/70/14/51/841701451.db2.gz CWHYAQPZCFRODD-GFCCVEGCSA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@@H]1C[C@H](N[C@@H](C#N)C(N)=O)CN1Cc1ccccc1 ZINC001171731400 841754881 /nfs/dbraw/zinc/75/48/81/841754881.db2.gz AIXCORMUDMUTQE-XBFCOCLRSA-N 0 1 272.352 0.616 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1cccn1)C2 ZINC001095176650 842086517 /nfs/dbraw/zinc/08/65/17/842086517.db2.gz JWKWPYYWEUQHBF-RDBSUJKOSA-N 0 1 272.352 0.628 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nccnc1N)C2 ZINC001095245523 842118919 /nfs/dbraw/zinc/11/89/19/842118919.db2.gz BQKMDQNTWYTOSY-WOPDTQHZSA-N 0 1 287.367 0.970 20 30 CCEDMN CN1CCC(C#N)(N[C@H]2CCC[C@@H](n3ncnn3)C2)CC1 ZINC001173744141 842141364 /nfs/dbraw/zinc/14/13/64/842141364.db2.gz JOFKCYXQBBKUMW-QWHCGFSZSA-N 0 1 289.387 0.734 20 30 CCEDMN C[C@H](CCCCNCC#N)NC(=O)C1=NC(=O)N(C)C1 ZINC001175064992 842226003 /nfs/dbraw/zinc/22/60/03/842226003.db2.gz LDAAXONEEFQGQA-SNVBAGLBSA-N 0 1 279.344 0.527 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001110181275 842231646 /nfs/dbraw/zinc/23/16/46/842231646.db2.gz AICDSBBZLKARGW-AGIUHOORSA-N 0 1 268.357 0.293 20 30 CCEDMN CCC#C[C@H](C)N1CCCN(CC(=O)N(C)C)CC1 ZINC001176167210 842334281 /nfs/dbraw/zinc/33/42/81/842334281.db2.gz PCNULTDOXVVVSX-AWEZNQCLSA-N 0 1 265.401 0.884 20 30 CCEDMN Nc1ccccc1C(NO)=[NH+]c1cnn(CC(=O)[O-])c1 ZINC001176270885 842351159 /nfs/dbraw/zinc/35/11/59/842351159.db2.gz IYYSSHHQIMKWGI-UHFFFAOYSA-N 0 1 275.268 0.607 20 30 CCEDMN C=C[C@H]1C[C@@]1(NC(=O)Cc1cnc[nH]1)C(=O)OCC ZINC001176837002 842422691 /nfs/dbraw/zinc/42/26/91/842422691.db2.gz VTQWDMFPJCXBIP-ZANVPECISA-N 0 1 263.297 0.576 20 30 CCEDMN C=CCCCN1CCO[C@H]2CCN(C(=O)CC(N)=O)C[C@H]21 ZINC001176948695 842466313 /nfs/dbraw/zinc/46/63/13/842466313.db2.gz CSWHCOBNZCTGLM-OLZOCXBDSA-N 0 1 295.383 0.130 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3ncn[nH]3)CC2)cn1 ZINC001110200095 842506644 /nfs/dbraw/zinc/50/66/44/842506644.db2.gz RWZAZKIKTTZHGK-UHFFFAOYSA-N 0 1 283.295 0.446 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3nc[nH]n3)CC2)cn1 ZINC001110200095 842506649 /nfs/dbraw/zinc/50/66/49/842506649.db2.gz RWZAZKIKTTZHGK-UHFFFAOYSA-N 0 1 283.295 0.446 20 30 CCEDMN CO/N=C(\C(=O)N[C@H]1CCCN(C)C1)c1ccco1 ZINC001177352990 842563316 /nfs/dbraw/zinc/56/33/16/842563316.db2.gz CNXBWANWEBNWQT-RYPPMWICSA-N 0 1 265.313 0.841 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CC[C@H](NCC(=C)Cl)[C@@H]1C ZINC001178508376 842858088 /nfs/dbraw/zinc/85/80/88/842858088.db2.gz AWFOCLGJXJCICY-RYUDHWBXSA-N 0 1 297.786 0.457 20 30 CCEDMN CCc1ncc(CNC(=O)[C@]2(C#N)CCCOC2)[nH]1 ZINC001178669411 842894110 /nfs/dbraw/zinc/89/41/10/842894110.db2.gz IXIZDNXCMGLVTP-ZDUSSCGKSA-N 0 1 262.313 0.909 20 30 CCEDMN COCC#CCN1CCC(CCOC)(NC(C)=O)CC1 ZINC001150854057 842969162 /nfs/dbraw/zinc/96/91/62/842969162.db2.gz ASFLXFKICUFERI-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN COC(=O)[C@H](NC(=O)C(C)C#N)c1ccc(O)cc1 ZINC001179900308 843072043 /nfs/dbraw/zinc/07/20/43/843072043.db2.gz GZNUGMDCJWSYIG-GZMMTYOYSA-N 0 1 262.265 0.882 20 30 CCEDMN N=C(c1nonc1N)N(O)C(=O)C(=O)Cc1ccccc1 ZINC001181375273 843468867 /nfs/dbraw/zinc/46/88/67/843468867.db2.gz HFDKBPAIUJELGY-UHFFFAOYSA-N 0 1 289.251 0.007 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@H](NCc2ocnc2C)C1 ZINC001182504762 843847258 /nfs/dbraw/zinc/84/72/58/843847258.db2.gz JNEQUIFZXOWTSF-CHWSQXEVSA-N 0 1 291.351 0.712 20 30 CCEDMN C#CC(C)(C)C(=O)N1C[C@H]2CN(CC3CC3)C[C@@H](C1)O2 ZINC001182635205 843870320 /nfs/dbraw/zinc/87/03/20/843870320.db2.gz FJQXPMFSAVHUHQ-OKILXGFUSA-N 0 1 276.380 0.967 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)NC(=O)CC)C1 ZINC001182764153 843905395 /nfs/dbraw/zinc/90/53/95/843905395.db2.gz JUSJMOBIIBKEAA-WDEREUQCSA-N 0 1 287.791 0.844 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H]2CN(CC#C)C[C@H]21 ZINC001187882203 844805026 /nfs/dbraw/zinc/80/50/26/844805026.db2.gz KQNBIEPUNBOGMQ-HZSPNIEDSA-N 0 1 260.337 0.191 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)COCCOCC)C1 ZINC001188166081 844841149 /nfs/dbraw/zinc/84/11/49/844841149.db2.gz RTJBWXJGSYCGLN-GFCCVEGCSA-N 0 1 290.791 0.983 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@H](NCc2cnnn2C)C1 ZINC001188287839 844863961 /nfs/dbraw/zinc/86/39/61/844863961.db2.gz UVHPDHCWGPBZRH-GFCCVEGCSA-N 0 1 293.371 0.098 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)CC)C1 ZINC001188563833 844906383 /nfs/dbraw/zinc/90/63/83/844906383.db2.gz CKLUZOOSNHVOAR-NWDGAFQWSA-N 0 1 267.373 0.620 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)CC)C1 ZINC001188567042 844906689 /nfs/dbraw/zinc/90/66/89/844906689.db2.gz WUQNZOWTKPAHQO-NEPJUHHUSA-N 0 1 265.357 0.067 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)CCC)C1 ZINC001188641532 844945525 /nfs/dbraw/zinc/94/55/25/844945525.db2.gz HTNHJAPGLHAKMF-CHWSQXEVSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnccn2)C1 ZINC001188675358 844945786 /nfs/dbraw/zinc/94/57/86/844945786.db2.gz MAXLLDZAGNVBGK-LBPRGKRZSA-N 0 1 258.325 0.646 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCOCC)C1 ZINC001189032261 845027966 /nfs/dbraw/zinc/02/79/66/845027966.db2.gz SPUPQSRGPUTRIK-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@@H]2CCC(=O)NC2=O)C1 ZINC001189317958 845107956 /nfs/dbraw/zinc/10/79/56/845107956.db2.gz GOIRTDGPVMEPKG-VXGBXAGGSA-N 0 1 293.367 0.291 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)COC)C1 ZINC001189363066 845127490 /nfs/dbraw/zinc/12/74/90/845127490.db2.gz KWFDSWGNTYTXIG-OLZOCXBDSA-N 0 1 252.358 0.825 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COC(C)(C)C)C1 ZINC001189752860 845198846 /nfs/dbraw/zinc/19/88/46/845198846.db2.gz YCUHEKFTUVBHAJ-LBPRGKRZSA-N 0 1 252.358 0.967 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2cncnc2)C1 ZINC001189873501 845242243 /nfs/dbraw/zinc/24/22/43/845242243.db2.gz GNIVNKMKKROYGR-AWEZNQCLSA-N 0 1 272.352 0.575 20 30 CCEDMN C[C@H](C(=O)N(C)C)N(C)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001190035308 845314665 /nfs/dbraw/zinc/31/46/65/845314665.db2.gz CMDYLDNXMRGCNE-TZMCWYRMSA-N 0 1 291.395 0.409 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001190053060 845317833 /nfs/dbraw/zinc/31/78/33/845317833.db2.gz DDTFVBTXLURXOT-LLVKDONJSA-N 0 1 291.355 0.202 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001190053060 845317841 /nfs/dbraw/zinc/31/78/41/845317841.db2.gz DDTFVBTXLURXOT-LLVKDONJSA-N 0 1 291.355 0.202 20 30 CCEDMN CN([C@H]1CCN(C(=O)C#CC(C)(C)C)C1)[C@H]1CCNC1=O ZINC001190076996 845326681 /nfs/dbraw/zinc/32/66/81/845326681.db2.gz XPIWFOHQISWCKR-STQMWFEESA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCOCC2CC2)C1 ZINC001190145373 845331400 /nfs/dbraw/zinc/33/14/00/845331400.db2.gz DBRPXJRCMJHSKU-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN COc1ccc(C(=O)Nc2nc[nH]c2C#N)c(OC)n1 ZINC001190240273 845371474 /nfs/dbraw/zinc/37/14/74/845371474.db2.gz CNPYQIPESWNKFM-UHFFFAOYSA-N 0 1 273.252 0.946 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CC)C1 ZINC001191105533 845580992 /nfs/dbraw/zinc/58/09/92/845580992.db2.gz KXPKQKFWELIOQV-YNEHKIRRSA-N 0 1 270.373 0.396 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2csnn2)C1 ZINC001191587267 845686931 /nfs/dbraw/zinc/68/69/31/845686931.db2.gz SZOOANNZYLKZJF-SNVBAGLBSA-N 0 1 264.354 0.708 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001191839336 845748350 /nfs/dbraw/zinc/74/83/50/845748350.db2.gz QEWUEOQCGSPIAH-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001191850532 845749569 /nfs/dbraw/zinc/74/95/69/845749569.db2.gz VAPWEVYFJDGTAO-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1n[nH]c2c1COCC2 ZINC001151998654 845795958 /nfs/dbraw/zinc/79/59/58/845795958.db2.gz MKRSJMHQVNNNST-UHFFFAOYSA-N 0 1 258.241 0.329 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001192298366 845819355 /nfs/dbraw/zinc/81/93/55/845819355.db2.gz ORALMSVQIOKYEU-ZIAGYGMSSA-N 0 1 288.351 0.627 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)Cc2ccoc2)C1 ZINC001192420431 845839222 /nfs/dbraw/zinc/83/92/22/845839222.db2.gz SHDQITSNWGEEED-ZIAGYGMSSA-N 0 1 294.351 0.186 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccoc2)C1 ZINC001192420431 845839225 /nfs/dbraw/zinc/83/92/25/845839225.db2.gz SHDQITSNWGEEED-ZIAGYGMSSA-N 0 1 294.351 0.186 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC(=C)C)NC(C)=O)C1 ZINC001192688637 845893442 /nfs/dbraw/zinc/89/34/42/845893442.db2.gz WZQSDAPUHBHDCC-HUUCEWRRSA-N 0 1 291.395 0.623 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccnc(N2CCCC2)c1 ZINC001192943024 845930211 /nfs/dbraw/zinc/93/02/11/845930211.db2.gz GYIFVPZXHBKOCE-UHFFFAOYSA-N 0 1 266.326 0.947 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc2nc(C3CC3)nn2c1 ZINC001192952032 845934377 /nfs/dbraw/zinc/93/43/77/845934377.db2.gz VUCWLCHOJGNCMM-UHFFFAOYSA-N 0 1 277.309 0.872 20 30 CCEDMN N#CCS(=O)(=O)Nc1cncnc1Br ZINC001192935339 845941377 /nfs/dbraw/zinc/94/13/77/845941377.db2.gz OPNZWQZYFSWDSX-UHFFFAOYSA-N 0 1 277.103 0.504 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cc(=O)[nH]c(C2CC2)n1 ZINC001193105176 845978292 /nfs/dbraw/zinc/97/82/92/845978292.db2.gz RQEFPGGIPOYFCP-ZCFIWIBFSA-N 0 1 268.298 0.713 20 30 CCEDMN CCO[C@@H]1C[C@H]2CN(S(=O)(=O)[C@H](C)C#N)CCN2C1 ZINC001193121118 845985410 /nfs/dbraw/zinc/98/54/10/845985410.db2.gz DZBFOUYZMSTTNQ-GRYCIOLGSA-N 0 1 287.385 0.023 20 30 CCEDMN CCN(CCN1CCCC1)S(=O)(=O)[C@H](C)C#N ZINC001193127180 845990408 /nfs/dbraw/zinc/99/04/08/845990408.db2.gz MBKAPNJAZFMODW-LLVKDONJSA-N 0 1 259.375 0.646 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C[C@@H](C)COC)C1 ZINC001193195966 846015491 /nfs/dbraw/zinc/01/54/91/846015491.db2.gz SQWKDONWTLFLNO-CABCVRRESA-N 0 1 296.411 0.842 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COCCCOC)C1 ZINC001193339597 846058362 /nfs/dbraw/zinc/05/83/62/846058362.db2.gz NTPNSFBJGQJHGY-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COCCCOC)C1 ZINC001193339596 846058388 /nfs/dbraw/zinc/05/83/88/846058388.db2.gz NTPNSFBJGQJHGY-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCOCCCOC)C1 ZINC001193357687 846059645 /nfs/dbraw/zinc/05/96/45/846059645.db2.gz IQNRYKBJQAVFJU-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@H](O)[C@@H](CO)C2)ccc1O ZINC001193507294 846101604 /nfs/dbraw/zinc/10/16/04/846101604.db2.gz VBUPGNCDBUDVER-YPMHNXCESA-N 0 1 276.292 0.079 20 30 CCEDMN CC(=O)NC[C@H](C)CNC(=O)c1ccc(O)c(C#N)c1 ZINC001193515769 846102756 /nfs/dbraw/zinc/10/27/56/846102756.db2.gz RRSLWNWTOGYAJV-VIFPVBQESA-N 0 1 275.308 0.766 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCC(=O)NCCC)C1 ZINC001193551128 846117167 /nfs/dbraw/zinc/11/71/67/846117167.db2.gz MPECZPDYPWPVOU-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)c2ccccc2)C1 ZINC001193622703 846129093 /nfs/dbraw/zinc/12/90/93/846129093.db2.gz RIOMMIYSCODYHV-OAHLLOKOSA-N 0 1 299.374 0.582 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001193788024 846153646 /nfs/dbraw/zinc/15/36/46/846153646.db2.gz WFZFGJBIDPKKIC-ZIAGYGMSSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CNC(=O)CC(C)(C)C)C1 ZINC001194113248 846216463 /nfs/dbraw/zinc/21/64/63/846216463.db2.gz ITHWCFZOJQMQEW-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN CC#CC[NH+](C)[C@@H]1CCN(C(=O)[C@@H]2C[N@H+](CC)CCO2)C1 ZINC001194155593 846223682 /nfs/dbraw/zinc/22/36/82/846223682.db2.gz VXMRUSFKOJSBOK-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001194326309 846262621 /nfs/dbraw/zinc/26/26/21/846262621.db2.gz NPOFZYFASQIAQZ-LSDHHAIUSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCOCCOC)C1 ZINC001194419343 846288698 /nfs/dbraw/zinc/28/86/98/846288698.db2.gz GUSJIGQSWBCNDQ-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN C=CCCC(=O)N1CCCN(CCOCCO)CC1 ZINC001195225234 846483931 /nfs/dbraw/zinc/48/39/31/846483931.db2.gz CNBPLGHCFMWISY-UHFFFAOYSA-N 0 1 270.373 0.496 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCC(=O)NC)C2 ZINC001110469750 846526185 /nfs/dbraw/zinc/52/61/85/846526185.db2.gz QHJIDZKYJGGKMG-UPJWGTAASA-N 0 1 279.384 0.810 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2[C@H](CC)C(N)=O ZINC001273703123 846591788 /nfs/dbraw/zinc/59/17/88/846591788.db2.gz COHQXUQJTLPUIF-IJLUTSLNSA-N 0 1 265.357 0.502 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[N@H+]3CC[C@H]3C2)c([O-])c1 ZINC001195750236 846621111 /nfs/dbraw/zinc/62/11/11/846621111.db2.gz GPLHDJDAXWSKQD-NSHDSACASA-N 0 1 257.293 0.794 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H](C)OCC#C)CC1 ZINC001195792794 846624093 /nfs/dbraw/zinc/62/40/93/846624093.db2.gz ZUSQGUSLYSARFH-AWEZNQCLSA-N 0 1 262.353 0.582 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001195924046 846650247 /nfs/dbraw/zinc/65/02/47/846650247.db2.gz QXYCIACLVRJHKV-UMVBOHGHSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCc2cc(C)on2)C1 ZINC001196123159 846692565 /nfs/dbraw/zinc/69/25/65/846692565.db2.gz MRFOWWARVPAIJN-ZIAGYGMSSA-N 0 1 291.351 0.100 20 30 CCEDMN C#CC[NH+]1CCCN(C(=O)C(C)(C)[N@@H+](C)CC)CC1 ZINC001196350074 846727850 /nfs/dbraw/zinc/72/78/50/846727850.db2.gz SFFMWUNFJSFGHI-UHFFFAOYSA-N 0 1 265.401 0.884 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@H]1O ZINC001196474865 846752788 /nfs/dbraw/zinc/75/27/88/846752788.db2.gz NWTDMRPTKYQWSQ-BFHYXJOUSA-N 0 1 298.427 0.911 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@@H](C)CC(N)=O)CC1 ZINC001196763184 846796849 /nfs/dbraw/zinc/79/68/49/846796849.db2.gz VNCZVEIRYZUUCK-ZDUSSCGKSA-N 0 1 297.399 0.235 20 30 CCEDMN CC#CCN1CCCN(C(=O)CC(=O)NCC2CC2)CC1 ZINC001197729230 846956119 /nfs/dbraw/zinc/95/61/19/846956119.db2.gz GBCNNLXLXZLXDO-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)CCCC(=O)N(C)C)CC1 ZINC001197862570 847001378 /nfs/dbraw/zinc/00/13/78/847001378.db2.gz UFWQQCYUBFGCGN-UHFFFAOYSA-N 0 1 293.411 0.803 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)C(C)(F)F)C1 ZINC001198200672 847064225 /nfs/dbraw/zinc/06/42/25/847064225.db2.gz ZQJOOWGREUFTED-GHMZBOCLSA-N 0 1 288.338 0.852 20 30 CCEDMN COCCn1c(=O)cccc1C(=O)Nc1nc[nH]c1C#N ZINC001198684713 847153938 /nfs/dbraw/zinc/15/39/38/847153938.db2.gz HECWXPCJXUEDIG-UHFFFAOYSA-N 0 1 287.279 0.342 20 30 CCEDMN N#Cc1ccnc(CN2C[C@H](CO)[C@@H](CCO)C2)c1 ZINC001198751313 847160572 /nfs/dbraw/zinc/16/05/72/847160572.db2.gz CKEOWLDVSCTRHF-QWHCGFSZSA-N 0 1 261.325 0.376 20 30 CCEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2CC(=O)NC1CC1 ZINC001273829424 847617463 /nfs/dbraw/zinc/61/74/63/847617463.db2.gz CCXAQONZDNRMAC-ZIAGYGMSSA-N 0 1 291.395 0.906 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2C(C)(C)C(=O)NC)C1=O ZINC001273840351 847645777 /nfs/dbraw/zinc/64/57/77/847645777.db2.gz YGCIKOANUBPQGJ-OAHLLOKOSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)/C(C)=C/CC ZINC001273879975 847722390 /nfs/dbraw/zinc/72/23/90/847722390.db2.gz UPVVRUQLEZFXAV-GTTXMNNRSA-N 0 1 277.368 0.354 20 30 CCEDMN C=CCN1CC[C@]2(CCN(CC(=O)OC)C2)C1=O ZINC001273931950 847862356 /nfs/dbraw/zinc/86/23/56/847862356.db2.gz YGAFFHINAYOTEM-ZDUSSCGKSA-N 0 1 252.314 0.270 20 30 CCEDMN C=CCN1C(=O)C[C@]2(CCCN(CC(=O)CC)C2)C1=O ZINC001273952496 847928895 /nfs/dbraw/zinc/92/88/95/847928895.db2.gz YIBFKEXVFXNQAT-HNNXBMFYSA-N 0 1 278.352 0.993 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCO[C@]2(CCOC2)C1 ZINC001252460297 847974005 /nfs/dbraw/zinc/97/40/05/847974005.db2.gz CVUZWIHAIWMBLG-QWHCGFSZSA-N 0 1 257.330 0.041 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114348690 848022518 /nfs/dbraw/zinc/02/25/18/848022518.db2.gz PFIMTEIBXJSCSW-JYAVWHMHSA-N 0 1 279.384 0.477 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCc1cc(C)on1 ZINC001114455963 848071411 /nfs/dbraw/zinc/07/14/11/848071411.db2.gz MBYRCRQLVKTSBM-NHAGDIPZSA-N 0 1 289.335 0.179 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(Cc3nonc3C)C2)C1=O ZINC001273991083 848211574 /nfs/dbraw/zinc/21/15/74/848211574.db2.gz VOMURIUFEABKMA-CQSZACIVSA-N 0 1 276.340 0.988 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cncnc1)C2 ZINC001095365352 848266994 /nfs/dbraw/zinc/26/69/94/848266994.db2.gz GQEQTMAQRJPBJK-ILXRZTDVSA-N 0 1 284.363 0.764 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1COC(=O)N1)C2 ZINC001095373861 848271632 /nfs/dbraw/zinc/27/16/32/848271632.db2.gz GPVHEODUCWQGKS-YTWAJWBKSA-N 0 1 265.313 0.002 20 30 CCEDMN N#CCCCN1CCOC[C@]2(CC(=O)N(C3COC3)C2)C1 ZINC001274040950 848316758 /nfs/dbraw/zinc/31/67/58/848316758.db2.gz XMMBJBVAGCTVBW-OAHLLOKOSA-N 0 1 293.367 0.240 20 30 CCEDMN C#CCN1c2ccccc2[C@]2(CCN(CC(=O)NC)C2)C1=O ZINC001274071849 848341732 /nfs/dbraw/zinc/34/17/32/848341732.db2.gz JYFGGJJSAONUFG-QGZVFWFLSA-N 0 1 297.358 0.356 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccn(C)n1)C2 ZINC001095403697 848404023 /nfs/dbraw/zinc/40/40/23/848404023.db2.gz YLLHVEPZTNBXRH-RDBSUJKOSA-N 0 1 272.352 0.317 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1ccc(=O)[nH]n1 ZINC001274269900 848433766 /nfs/dbraw/zinc/43/37/66/848433766.db2.gz PHSXGFOWYYENCM-CHWSQXEVSA-N 0 1 288.351 0.934 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)OC)C(C)(C)C1 ZINC001274416139 848473796 /nfs/dbraw/zinc/47/37/96/848473796.db2.gz LQOIKWMGXAJMSP-RYUDHWBXSA-N 0 1 252.358 0.871 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cnn(C)n1 ZINC001274438168 848477402 /nfs/dbraw/zinc/47/74/02/848477402.db2.gz DUGKLRDHVBGAPW-ARJAWSKDSA-N 0 1 269.736 0.443 20 30 CCEDMN C=CCN1C[C@]2(CC1=O)CCCCN2C[C@H](O)C(=O)OC ZINC001274604297 848519020 /nfs/dbraw/zinc/51/90/20/848519020.db2.gz SGTJOODIEXHYTA-SWLSCSKDSA-N 0 1 296.367 0.163 20 30 CCEDMN Cc1cnc(CNC[C@H](C)NC(=O)C#CC2CC2)cn1 ZINC001275149937 848650133 /nfs/dbraw/zinc/65/01/33/848650133.db2.gz RUGIFJPGDKUHSB-LBPRGKRZSA-N 0 1 272.352 0.793 20 30 CCEDMN CCO[C@H](C)C(=O)NC[C@@H](C)N(C)CC#CCOC ZINC001275773813 848817871 /nfs/dbraw/zinc/81/78/71/848817871.db2.gz QKBIXWGEIHWCNB-CHWSQXEVSA-N 0 1 270.373 0.498 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@H]1COC(=O)C1 ZINC001275809179 848831073 /nfs/dbraw/zinc/83/10/73/848831073.db2.gz BZTZHMSOOGYNEK-VXGBXAGGSA-N 0 1 266.341 0.399 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)COc1cccnc1 ZINC001275956786 848874504 /nfs/dbraw/zinc/87/45/04/848874504.db2.gz XPETUQKDEQJMEP-GFCCVEGCSA-N 0 1 261.325 0.530 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnn(CC2CC2)c1 ZINC001275970050 848876727 /nfs/dbraw/zinc/87/67/27/848876727.db2.gz JQXLTPFDAYZGRZ-GFCCVEGCSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cnc(OCC)cn1 ZINC001276021464 848893216 /nfs/dbraw/zinc/89/32/16/848893216.db2.gz RNNTTXVXRHEYMC-LLVKDONJSA-N 0 1 276.340 0.559 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H]1CC12CCOCC2 ZINC001276021338 848893071 /nfs/dbraw/zinc/89/30/71/848893071.db2.gz OBSMPUSLMLNHPP-CHWSQXEVSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1nccn1)C2 ZINC001095472554 848935223 /nfs/dbraw/zinc/93/52/23/848935223.db2.gz FAYOIVPDCSVMFC-AGIUHOORSA-N 0 1 273.340 0.023 20 30 CCEDMN C#CCNC(=O)CN1CCCCC[C@H]1CNC(=O)CC ZINC001276276913 848982652 /nfs/dbraw/zinc/98/26/52/848982652.db2.gz FUXFGGWCUXCFNB-ZDUSSCGKSA-N 0 1 279.384 0.507 20 30 CCEDMN Cc1cc(C#N)ccc1CN1CCN(C)[C@H](CO)C1 ZINC000687593799 849120014 /nfs/dbraw/zinc/12/00/14/849120014.db2.gz MGOVVGRVVGZZFS-HNNXBMFYSA-N 0 1 259.353 0.975 20 30 CCEDMN CC(=O)NCCN1CCC(Nc2nccnc2C#N)CC1 ZINC001111309033 849161741 /nfs/dbraw/zinc/16/17/41/849161741.db2.gz AECDHCJIOFTZPQ-UHFFFAOYSA-N 0 1 288.355 0.361 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)COC ZINC001114568337 849247418 /nfs/dbraw/zinc/24/74/18/849247418.db2.gz NDTIIOFNHNKKTD-GDNZZTSVSA-N 0 1 250.342 0.339 20 30 CCEDMN C#CCCS(=O)(=O)N1[C@@H]2CC[C@H]1CCN(C)C2 ZINC000718955715 849281967 /nfs/dbraw/zinc/28/19/67/849281967.db2.gz JKWFGIBLEXAENE-NWDGAFQWSA-N 0 1 256.371 0.508 20 30 CCEDMN C#CCCCS(=O)(=O)N1[C@@H]2CC[C@H]1CCN(C)C2 ZINC000718956268 849282994 /nfs/dbraw/zinc/28/29/94/849282994.db2.gz SFOVFKNKHOCJLL-QWHCGFSZSA-N 0 1 270.398 0.898 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)c1cnn(C)c1 ZINC001114616704 849319570 /nfs/dbraw/zinc/31/95/70/849319570.db2.gz VNVSTIAEDKWJDP-YYWXWVFPSA-N 0 1 286.379 0.593 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](CNc2ncnc3[nH]cnc32)C1 ZINC001114748335 849384513 /nfs/dbraw/zinc/38/45/13/849384513.db2.gz CUYGKOWBVILJFO-GUBZILKMSA-N 0 1 299.338 0.771 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC(=O)N1C)C2 ZINC001095908345 849444698 /nfs/dbraw/zinc/44/46/98/849444698.db2.gz NULGQLYBYUSWFT-ZOBORPQBSA-N 0 1 289.379 0.352 20 30 CCEDMN C=C[C@@H](O)CN1Cc2cnn(C)c2[C@@H](COCC)C1 ZINC001253578333 849528787 /nfs/dbraw/zinc/52/87/87/849528787.db2.gz ZFHONQQFYSHWEQ-CHWSQXEVSA-N 0 1 265.357 0.903 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC12CCC2 ZINC001114888698 849574906 /nfs/dbraw/zinc/57/49/06/849574906.db2.gz MAYXDQSPUWAQOZ-BARDWOONSA-N 0 1 288.391 0.873 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(CC(=O)[O-])CC(C)C ZINC000380931085 849696793 /nfs/dbraw/zinc/69/67/93/849696793.db2.gz OFFFAHBQMQSOOW-UHFFFAOYSA-N 0 1 283.372 0.694 20 30 CCEDMN CO[C@@H](C)CN1CC[C@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038172018 849805148 /nfs/dbraw/zinc/80/51/48/849805148.db2.gz DTXFOXXSVFLPJX-JQWIXIFHSA-N 0 1 276.340 0.725 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]cn1 ZINC001038366170 849867048 /nfs/dbraw/zinc/86/70/48/849867048.db2.gz HNLPOBDIUBIEIB-YNEHKIRRSA-N 0 1 272.352 0.727 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cccc(C(N)=O)c1 ZINC001038418544 849887100 /nfs/dbraw/zinc/88/71/00/849887100.db2.gz NUKHBIBZAYDESY-AWEZNQCLSA-N 0 1 285.347 0.613 20 30 CCEDMN C=CCN1CC[C@@H]1CNC(=O)[C@H]1CCCCN1C ZINC001038420038 849889262 /nfs/dbraw/zinc/88/92/62/849889262.db2.gz YKEHTVYPCQYHBE-CHWSQXEVSA-N 0 1 251.374 0.847 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(N(C)C)nc1 ZINC001038437133 849898031 /nfs/dbraw/zinc/89/80/31/849898031.db2.gz DZAQEPHRSPWBOP-AWEZNQCLSA-N 0 1 286.379 0.975 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001038598231 849954719 /nfs/dbraw/zinc/95/47/19/849954719.db2.gz SRTIRYCCPVRDCW-SNVBAGLBSA-N 0 1 264.329 0.506 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1c[nH]c(=O)cc1C ZINC001038695401 849982994 /nfs/dbraw/zinc/98/29/94/849982994.db2.gz OYAQSUDPLOSNMK-GFCCVEGCSA-N 0 1 273.336 0.923 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001038702946 849989206 /nfs/dbraw/zinc/98/92/06/849989206.db2.gz SHEYQGJZZRNDBQ-STQMWFEESA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cccn2c(=O)[nH]nc12 ZINC001038916316 850080958 /nfs/dbraw/zinc/08/09/58/850080958.db2.gz XULCILKNYAJNEI-NSHDSACASA-N 0 1 299.334 0.262 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1[nH]cnc1CC ZINC001038969844 850106069 /nfs/dbraw/zinc/10/60/69/850106069.db2.gz NXMRCGRDXLGECC-LLVKDONJSA-N 0 1 260.341 0.800 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1c(C)nn2cccnc12 ZINC001039027398 850127587 /nfs/dbraw/zinc/12/75/87/850127587.db2.gz BUJOLTSCIRVRBU-CYBMUJFWSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001039049786 850138755 /nfs/dbraw/zinc/13/87/55/850138755.db2.gz YVZKBGGRLISPLV-OLZOCXBDSA-N 0 1 287.367 0.054 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(=O)NC1 ZINC001039398378 850183904 /nfs/dbraw/zinc/18/39/04/850183904.db2.gz VJWXHDCZKAVPNO-MJBXVCDLSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCC(=O)N1 ZINC001039451073 850194029 /nfs/dbraw/zinc/19/40/29/850194029.db2.gz GTRMKPUDVNNSOW-MCIONIFRSA-N 0 1 289.379 0.354 20 30 CCEDMN N#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CN1CCCC1 ZINC001039471122 850196758 /nfs/dbraw/zinc/19/67/58/850196758.db2.gz JCRZWOLNVPWJDG-KGLIPLIRSA-N 0 1 276.384 0.671 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](Nc2ncnc3[nH]cnc32)[C@@H]1C ZINC001040073963 850261447 /nfs/dbraw/zinc/26/14/47/850261447.db2.gz IPCGTEMPRFVSNM-GUBZILKMSA-N 0 1 299.338 0.914 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)c3cc(C)ncn3)C2)C1 ZINC001041219212 850405871 /nfs/dbraw/zinc/40/58/71/850405871.db2.gz MWDMVTNRWUVDOH-MRXNPFEDSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)c3cnon3)C2)C1 ZINC001041747978 850495558 /nfs/dbraw/zinc/49/55/58/850495558.db2.gz HPKADQOKTSCCLS-ZDUSSCGKSA-N 0 1 260.297 0.241 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccnn3C)C[C@@H]21 ZINC001041971853 850545106 /nfs/dbraw/zinc/54/51/06/850545106.db2.gz HIDNRJIKMCKJPP-OCCSQVGLSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3c(C)ncn3C)C[C@H]21 ZINC001042105582 850575874 /nfs/dbraw/zinc/57/58/74/850575874.db2.gz VJDXKZLFWATABV-ZIAGYGMSSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccnnc3C)C[C@H]21 ZINC001042132402 850582369 /nfs/dbraw/zinc/58/23/69/850582369.db2.gz CHUYBDXJZTVZPG-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cn[nH]n3)C[C@@H]21 ZINC001042131765 850583148 /nfs/dbraw/zinc/58/31/48/850583148.db2.gz WUTQRBUXAPFJMR-AAEUAGOBSA-N 0 1 273.340 0.364 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cncn3C)C[C@H]21 ZINC001042138091 850584360 /nfs/dbraw/zinc/58/43/60/850584360.db2.gz KRTNRHRUEJRVHQ-DZGCQCFKSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)Cn3ccc(C)n3)C[C@@H]21 ZINC001042241256 850601987 /nfs/dbraw/zinc/60/19/87/850601987.db2.gz VJMRHQYTLRVMLG-GJZGRUSLSA-N 0 1 286.379 0.748 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@H]2CCCCN2C)C1 ZINC001043100359 850814111 /nfs/dbraw/zinc/81/41/11/850814111.db2.gz XZJNMDQJFBTZLV-CQSZACIVSA-N 0 1 263.385 0.637 20 30 CCEDMN CN(C(=O)[C@H]1CCc2[nH]cnc2C1)C1CN(CC#N)C1 ZINC001044153563 851025022 /nfs/dbraw/zinc/02/50/22/851025022.db2.gz RYMZPJOPOLVWEB-JTQLQIEISA-N 0 1 273.340 0.181 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CCCC(=O)N2)CC1 ZINC001045472136 851259606 /nfs/dbraw/zinc/25/96/06/851259606.db2.gz NINNNMNPKCGBAB-GFCCVEGCSA-N 0 1 277.368 0.259 20 30 CCEDMN CN1CC(C(=O)N2CC[C@H]3CC[C@@H](C2)N3CC#N)=NC1=O ZINC001045841132 851322547 /nfs/dbraw/zinc/32/25/47/851322547.db2.gz KPGKGQJEZJMPBC-MNOVXSKESA-N 0 1 289.339 0.328 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C2CCOCC2)C1 ZINC001046099601 851374527 /nfs/dbraw/zinc/37/45/27/851374527.db2.gz OJJVRMAMRMYSAK-CQSZACIVSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cc(C)nn2C)C1 ZINC001046179235 851410086 /nfs/dbraw/zinc/41/00/86/851410086.db2.gz YDQMTIXTPKCMHD-CQSZACIVSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCOC2)C1 ZINC001046187274 851413447 /nfs/dbraw/zinc/41/34/47/851413447.db2.gz BJFKMHIJCLJDGF-TZMCWYRMSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCCN1CC[C@](C)(NC(=O)c2cc(OC)no2)C1 ZINC001046254035 851438926 /nfs/dbraw/zinc/43/89/26/851438926.db2.gz GZMUKTUWDHZFKU-AWEZNQCLSA-N 0 1 277.324 0.901 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001046325310 851466625 /nfs/dbraw/zinc/46/66/25/851466625.db2.gz VLOBNKGRWQFAGA-YUTCNCBUSA-N 0 1 250.342 0.790 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCCc3nccn32)C1 ZINC001046372944 851480117 /nfs/dbraw/zinc/48/01/17/851480117.db2.gz RQZVMJPSROOVTK-CJNGLKHVSA-N 0 1 286.379 0.974 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001046375266 851480319 /nfs/dbraw/zinc/48/03/19/851480319.db2.gz MQMKYGWXBJEIKZ-CXAGYDPISA-N 0 1 298.390 0.795 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cnn3ccncc23)C1 ZINC001046377872 851480392 /nfs/dbraw/zinc/48/03/92/851480392.db2.gz GDXKVYAKGLCOMU-OAHLLOKOSA-N 0 1 283.335 0.557 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001046399022 851488743 /nfs/dbraw/zinc/48/87/43/851488743.db2.gz ZXBHNPVGRRGJEY-CQSZACIVSA-N 0 1 274.324 0.400 20 30 CCEDMN N#Cc1cnccc1N[C@H]1C[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001046432236 851499646 /nfs/dbraw/zinc/49/96/46/851499646.db2.gz XJSXGUKWQSCRMX-JGZJWPJOSA-N 0 1 297.322 0.114 20 30 CCEDMN N#Cc1cnccc1N[C@H]1C[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001046432236 851499650 /nfs/dbraw/zinc/49/96/50/851499650.db2.gz XJSXGUKWQSCRMX-JGZJWPJOSA-N 0 1 297.322 0.114 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001046444009 851509573 /nfs/dbraw/zinc/50/95/73/851509573.db2.gz GWYFCHOSPGOJAP-SWLSCSKDSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001046499190 851527793 /nfs/dbraw/zinc/52/77/93/851527793.db2.gz QXWXOZRASPEVRG-IOASZLSFSA-N 0 1 286.379 0.737 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001046622301 851568725 /nfs/dbraw/zinc/56/87/25/851568725.db2.gz WKNBHFAHJMSMJP-SUNKGSAMSA-N 0 1 265.357 0.265 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](CNC(=O)c3cnn[nH]3)C2)nn1 ZINC001046655459 851575016 /nfs/dbraw/zinc/57/50/16/851575016.db2.gz XAYUVOWGWXMEQG-WAAGHKOSSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cccnc1N[C@H]1C[C@@H](CNC(=O)c2cnn[nH]2)C1 ZINC001046651303 851575857 /nfs/dbraw/zinc/57/58/57/851575857.db2.gz XXVBCFJSMIHQEY-JGZJWPJOSA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CC=C)nn1 ZINC001096124889 851606275 /nfs/dbraw/zinc/60/62/75/851606275.db2.gz COCYHWNWHSSRFL-DYEKYZERSA-N 0 1 287.367 0.985 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC001046829677 851622582 /nfs/dbraw/zinc/62/25/82/851622582.db2.gz MGJKXKSZJWYWPB-MRXNPFEDSA-N 0 1 285.347 0.613 20 30 CCEDMN C=CCS(=O)(=O)Nc1cc(OC)nc(OC)n1 ZINC001259922344 851647121 /nfs/dbraw/zinc/64/71/21/851647121.db2.gz BOMXVILEYBJIFE-UHFFFAOYSA-N 0 1 259.287 0.422 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)c3cnn[nH]3)C2)cn1 ZINC001047086640 851667993 /nfs/dbraw/zinc/66/79/93/851667993.db2.gz BVWUVIYWWKVTBX-OTCDBFHCSA-N 0 1 297.322 0.692 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CC2(C)C)C1 ZINC001047352048 851733645 /nfs/dbraw/zinc/73/36/45/851733645.db2.gz APOFDEQQHYMIEM-AGIUHOORSA-N 0 1 264.369 0.559 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2c[nH]nc2C)C1 ZINC001047478313 851779576 /nfs/dbraw/zinc/77/95/76/851779576.db2.gz SSFJKDWBWHVBCV-STQMWFEESA-N 0 1 278.356 0.411 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ncc[nH]1)C2 ZINC001096241808 851865148 /nfs/dbraw/zinc/86/51/48/851865148.db2.gz PXZZRESPBBZCLW-AGIUHOORSA-N 0 1 272.352 0.697 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001096337490 851935311 /nfs/dbraw/zinc/93/53/11/851935311.db2.gz CBHQVPVNPIBLCX-RKDXNWHRSA-N 0 1 285.311 0.207 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)[C@H]3CCCc4c[nH]nc43)C[C@@H]2C1 ZINC001048820079 852075085 /nfs/dbraw/zinc/07/50/85/852075085.db2.gz BJTPZOCVJQELSX-MJBXVCDLSA-N 0 1 299.378 0.743 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)C1=COCCO1 ZINC001049304560 852223745 /nfs/dbraw/zinc/22/37/45/852223745.db2.gz PQKOMTVZJRMUCW-STQMWFEESA-N 0 1 276.336 0.573 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1nccnc1N ZINC001049381770 852252572 /nfs/dbraw/zinc/25/25/72/852252572.db2.gz KYYZKKLCWGSEBO-NEPJUHHUSA-N 0 1 285.351 0.371 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1nnn(C)c1C ZINC001049393460 852258571 /nfs/dbraw/zinc/25/85/71/852258571.db2.gz DMLUEBCFWRAGJI-STQMWFEESA-N 0 1 287.367 0.436 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ncc[nH]1 ZINC001049472218 852291806 /nfs/dbraw/zinc/29/18/06/852291806.db2.gz RMOGKFPUXWVBJS-NEPJUHHUSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ncc[nH]1 ZINC001049472219 852292071 /nfs/dbraw/zinc/29/20/71/852292071.db2.gz RMOGKFPUXWVBJS-NWDGAFQWSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)[C@H]1CCC(=O)N1C ZINC001049670490 852343553 /nfs/dbraw/zinc/34/35/53/852343553.db2.gz XSFQFEIRRVDAME-HZSPNIEDSA-N 0 1 289.379 0.306 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cn(C)c(=O)[nH]1 ZINC001049688893 852350558 /nfs/dbraw/zinc/35/05/58/852350558.db2.gz GGURYCIHXAGUCA-STQMWFEESA-N 0 1 288.351 0.438 20 30 CCEDMN N#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)CN1CCCC1 ZINC001049893161 852390934 /nfs/dbraw/zinc/39/09/34/852390934.db2.gz BXXAXXWJCOSESL-KBPBESRZSA-N 0 1 276.384 0.671 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)Cn1ccccc1=O ZINC001049896058 852394203 /nfs/dbraw/zinc/39/42/03/852394203.db2.gz WRMYHYYCPTUPPH-HUUCEWRRSA-N 0 1 299.374 0.547 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)[C@@H](C)OC)[C@@H]2C1 ZINC001049989148 852414911 /nfs/dbraw/zinc/41/49/11/852414911.db2.gz DWTAJHVUZICHRA-HZSPNIEDSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3[nH]c(=O)[nH]c3C)[C@@H]2C1 ZINC001050070959 852422042 /nfs/dbraw/zinc/42/20/42/852422042.db2.gz ZTTPDRWDLOOMGO-NWDGAFQWSA-N 0 1 288.351 0.593 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1COCCO1)C2 ZINC001096825435 852454167 /nfs/dbraw/zinc/45/41/67/852454167.db2.gz CNPDIZJQRBGUOK-YIYPIFLZSA-N 0 1 280.368 0.699 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCOC1)C2 ZINC001096859109 852459119 /nfs/dbraw/zinc/45/91/19/852459119.db2.gz KNDYSLNYPMMZLA-LPWJVIDDSA-N 0 1 250.342 0.930 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)n1cncn1)C2 ZINC001096976656 852475034 /nfs/dbraw/zinc/47/50/34/852475034.db2.gz MHESSTAFGVSPCQ-LPWJVIDDSA-N 0 1 275.356 0.747 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCC(=O)NC1)C2 ZINC001097205007 852513825 /nfs/dbraw/zinc/51/38/25/852513825.db2.gz ONAPKMXQHSYSBF-SYQHCUMBSA-N 0 1 289.379 0.257 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCC(=O)NC1)C2 ZINC001097204828 852514046 /nfs/dbraw/zinc/51/40/46/852514046.db2.gz LVCVTMRYWBFUAC-SYQHCUMBSA-N 0 1 291.395 0.810 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cnn(C)c1)C2 ZINC001111450915 852543541 /nfs/dbraw/zinc/54/35/41/852543541.db2.gz GACTWGZMSKCGAK-KFWWJZLASA-N 0 1 286.379 0.707 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cnn(C)c1)C2 ZINC001111450915 852543550 /nfs/dbraw/zinc/54/35/50/852543550.db2.gz GACTWGZMSKCGAK-KFWWJZLASA-N 0 1 286.379 0.707 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CCO)cc1 ZINC001097626743 852551763 /nfs/dbraw/zinc/55/17/63/852551763.db2.gz TUXLJSJVQQGJLB-OAGGEKHMSA-N 0 1 284.359 0.995 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)c2[nH]ncc2F)CC1 ZINC001052688192 852641109 /nfs/dbraw/zinc/64/11/09/852641109.db2.gz NVJCWVOOPGIGQU-SECBINFHSA-N 0 1 265.292 0.657 20 30 CCEDMN C=CCN1CC2(C1)CN(C(=O)CCc1cnc[nH]1)CCO2 ZINC001053281766 852721671 /nfs/dbraw/zinc/72/16/71/852721671.db2.gz WBMXWNSLRNUQFL-UHFFFAOYSA-N 0 1 290.367 0.442 20 30 CCEDMN C#CCCN1CC2(C1)C[C@H](NC(=O)[C@H]1CCCN1C)CO2 ZINC001053758562 852808036 /nfs/dbraw/zinc/80/80/36/852808036.db2.gz URNFUQDNPDSATK-UONOGXRCSA-N 0 1 291.395 0.063 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)CN1CC[C@@H](C)C1)CO2 ZINC001053925486 852848787 /nfs/dbraw/zinc/84/87/87/852848787.db2.gz LMDZNCRNSOIAGG-KGLIPLIRSA-N 0 1 293.411 0.474 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCn2cncn2)C[C@H]1C ZINC001054391925 852942439 /nfs/dbraw/zinc/94/24/39/852942439.db2.gz LYIRSRNGRNXHMT-PWSUYJOCSA-N 0 1 297.790 0.857 20 30 CCEDMN CCc1cc(C(=O)N2C[C@H](C)[C@H](NCC#N)C2)n[nH]1 ZINC001054407081 852945734 /nfs/dbraw/zinc/94/57/34/852945734.db2.gz ABNIMDBJYAZLND-JOYOIKCWSA-N 0 1 261.329 0.546 20 30 CCEDMN C[C@@H]1CN(C(=O)C#CC2CC2)C[C@H]1NCc1cnns1 ZINC001054655747 852991476 /nfs/dbraw/zinc/99/14/76/852991476.db2.gz JAYXHOMWRNIGIY-ZWNOBZJWSA-N 0 1 290.392 0.888 20 30 CCEDMN CC(C)(C(=O)N1CCC[C@H](NCC#N)C1)c1c[nH]cn1 ZINC001000698988 853247213 /nfs/dbraw/zinc/24/72/13/853247213.db2.gz WNZMIJSUELAUMP-NSHDSACASA-N 0 1 275.356 0.791 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)CC(C)(F)F)CC1 ZINC001265263797 853286772 /nfs/dbraw/zinc/28/67/72/853286772.db2.gz AAKQXQFEBSCFNJ-UHFFFAOYSA-N 0 1 287.354 0.789 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cnn4c3CCC4)[C@@H]2C1 ZINC001050060660 853304195 /nfs/dbraw/zinc/30/41/95/853304195.db2.gz UONWJVTUIXDDCM-XJKSGUPXSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccnnc3)[C@@H]2C1 ZINC001050148023 853324537 /nfs/dbraw/zinc/32/45/37/853324537.db2.gz YDRDCXKXICGGSM-UONOGXRCSA-N 0 1 270.336 0.646 20 30 CCEDMN Cc1ncc(C(=O)N2CC3(C2)CCN(CC#N)CC3)[nH]1 ZINC001050461715 853378849 /nfs/dbraw/zinc/37/88/49/853378849.db2.gz IETNKNAXWPJESU-UHFFFAOYSA-N 0 1 273.340 0.780 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)Cc3ccn[nH]3)C2)CC1 ZINC001050470433 853380709 /nfs/dbraw/zinc/38/07/09/853380709.db2.gz ZOWVRPNDEOXBOC-UHFFFAOYSA-N 0 1 273.340 0.400 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC2(C1)CCN(CC(N)=O)CC2 ZINC001050645697 853406666 /nfs/dbraw/zinc/40/66/66/853406666.db2.gz VKBKIGUSENTRAM-OAHLLOKOSA-N 0 1 293.411 0.998 20 30 CCEDMN N#CCN1CCOC[C@@H]1CNC(=O)c1n[nH]c2ccccc21 ZINC001051235070 853550683 /nfs/dbraw/zinc/55/06/83/853550683.db2.gz LHNQADLOJWAVJJ-NSHDSACASA-N 0 1 299.334 0.517 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2cnn[nH]2)CCN1c1ccncc1C#N ZINC001051227300 853551440 /nfs/dbraw/zinc/55/14/40/853551440.db2.gz UPKUJGVIDIXWSM-KOLCDFICSA-N 0 1 297.322 0.469 20 30 CCEDMN N#Cc1ccc(NC2CC(CNC(=O)c3ncn[nH]3)C2)nc1 ZINC001051983304 853670141 /nfs/dbraw/zinc/67/01/41/853670141.db2.gz VEVARSPADYXXIT-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1ccc(NC2CC(CNC(=O)c3nc[nH]n3)C2)nc1 ZINC001051983304 853670145 /nfs/dbraw/zinc/67/01/45/853670145.db2.gz VEVARSPADYXXIT-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001052180539 853700705 /nfs/dbraw/zinc/70/07/05/853700705.db2.gz DETFXMYPTYCQCW-MNOVXSKESA-N 0 1 291.355 0.595 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001052180539 853700706 /nfs/dbraw/zinc/70/07/06/853700706.db2.gz DETFXMYPTYCQCW-MNOVXSKESA-N 0 1 291.355 0.595 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCCN(CC#N)CC2)[nH]n1 ZINC001052301474 853728445 /nfs/dbraw/zinc/72/84/45/853728445.db2.gz XERGCKURNKPUMR-LBPRGKRZSA-N 0 1 275.356 0.755 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)C2CS(=O)(=O)C2)CC1 ZINC001052412513 853748724 /nfs/dbraw/zinc/74/87/24/853748724.db2.gz XDNQIEQYTGQCHW-ZDUSSCGKSA-N 0 1 298.408 0.025 20 30 CCEDMN N#Cc1ccc(NC[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)nc1 ZINC001068618130 853908179 /nfs/dbraw/zinc/90/81/79/853908179.db2.gz FCYRHXKCSVGMSN-XYPYZODXSA-N 0 1 297.322 0.692 20 30 CCEDMN C[C@@H]1[C@@H](Nc2cncc(C#N)n2)CCN1C(=O)c1ccn[nH]1 ZINC001068748993 853921881 /nfs/dbraw/zinc/92/18/81/853921881.db2.gz AEKMHELSDGSXQW-KOLCDFICSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CC(C)C)C[C@@H]1n1ccnn1 ZINC001070128689 854028355 /nfs/dbraw/zinc/02/83/55/854028355.db2.gz ADQYGKGWGCLPMS-OLZOCXBDSA-N 0 1 275.356 0.299 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2occc2C)C[C@@H]1n1ccnn1 ZINC001070141200 854029437 /nfs/dbraw/zinc/02/94/37/854029437.db2.gz IMOVUBKHFIQBPR-OLZOCXBDSA-N 0 1 299.334 0.468 20 30 CCEDMN O=C(C#CC1CC1)N1C[C@@H](NCCF)[C@@H](n2ccnn2)C1 ZINC001070192889 854034514 /nfs/dbraw/zinc/03/45/14/854034514.db2.gz VCENRCAUAVOLAD-OLZOCXBDSA-N 0 1 291.330 0.003 20 30 CCEDMN C#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)c2ccon2)C1 ZINC001070398664 854056802 /nfs/dbraw/zinc/05/68/02/854056802.db2.gz WHFLTJMKNXCLJL-LLVKDONJSA-N 0 1 299.334 0.750 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001070460475 854061679 /nfs/dbraw/zinc/06/16/79/854061679.db2.gz NZHZBWKRXZZUAE-VHSXEESVSA-N 0 1 275.312 0.290 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCC)C[C@H]1c1cn(C)cn1 ZINC001070458833 854062092 /nfs/dbraw/zinc/06/20/92/854062092.db2.gz VFHANRFFMQVPAB-GXTWGEPZSA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cnco2)C[C@H]1c1cn(C)cn1 ZINC001070519571 854071527 /nfs/dbraw/zinc/07/15/27/854071527.db2.gz HBKKRWYNNZGCKR-WCQYABFASA-N 0 1 299.334 0.239 20 30 CCEDMN C=CCCN1C[C@@H]2[C@H](C1)OC(=O)N2[C@H]1CCN(C(C)=O)C1 ZINC001070899268 854099453 /nfs/dbraw/zinc/09/94/53/854099453.db2.gz SCQZPTJKGQPAHJ-MJBXVCDLSA-N 0 1 293.367 0.688 20 30 CCEDMN C=CCCN1CCNC(=O)CCN(C(=O)C2CC2)CC1 ZINC001070928984 854102468 /nfs/dbraw/zinc/10/24/68/854102468.db2.gz MRIRWHZKPJZNSU-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN(C(=O)[C@H]2CCCN2C)C1 ZINC001071074003 854118043 /nfs/dbraw/zinc/11/80/43/854118043.db2.gz KNOHUUBDCJUYNL-JHJVBQTASA-N 0 1 292.383 0.347 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)CSC)C[C@H](C)O2 ZINC001071132235 854127819 /nfs/dbraw/zinc/12/78/19/854127819.db2.gz SVUPKYDTSGTMCM-GXTWGEPZSA-N 0 1 282.409 0.674 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@@H]1C ZINC001071326309 854157854 /nfs/dbraw/zinc/15/78/54/854157854.db2.gz DURRGVXYJVGSFL-WDEREUQCSA-N 0 1 274.324 0.398 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cc[nH]n2)CC[C@@H]1C ZINC001071403727 854177456 /nfs/dbraw/zinc/17/74/56/854177456.db2.gz CJTVTPCVRZIQFP-AAEUAGOBSA-N 0 1 260.341 0.555 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cnn(C)c2N)CC[C@H]1C ZINC001071447503 854200761 /nfs/dbraw/zinc/20/07/61/854200761.db2.gz NYXFHMFQAPHROQ-GHMZBOCLSA-N 0 1 277.372 0.771 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN2CCCCC2=O)CC[C@H]1C ZINC001071632180 854253181 /nfs/dbraw/zinc/25/31/81/854253181.db2.gz VFWBAHFNUZXBTP-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001071787595 854290426 /nfs/dbraw/zinc/29/04/26/854290426.db2.gz QEVYSTWKXKMPEF-NXEZZACHSA-N 0 1 277.328 0.348 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001071787595 854290433 /nfs/dbraw/zinc/29/04/33/854290433.db2.gz QEVYSTWKXKMPEF-NXEZZACHSA-N 0 1 277.328 0.348 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)c2cnon2)CC[C@H]1C ZINC001071914700 854319445 /nfs/dbraw/zinc/31/94/45/854319445.db2.gz RYMLCTRMWYASSR-GHMZBOCLSA-N 0 1 262.313 0.676 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H](NC(=O)c2cnn[nH]2)[C@@H](C)C1 ZINC001072226322 854359913 /nfs/dbraw/zinc/35/99/13/854359913.db2.gz NHUFFGLBCBSTQA-JQWIXIFHSA-N 0 1 291.355 0.738 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC2(C1)CCN(Cc1cnn(C)c1)C2 ZINC001072550138 854414106 /nfs/dbraw/zinc/41/41/06/854414106.db2.gz NBEUTXDBGFMLPC-GFCCVEGCSA-N 0 1 287.367 0.614 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3c[nH]c(C)n3)C2)C1 ZINC001072550068 854414373 /nfs/dbraw/zinc/41/43/73/854414373.db2.gz IUBPJLSFIOMCEL-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CC2(C1)CCN(CC#N)C2 ZINC001072551878 854414656 /nfs/dbraw/zinc/41/46/56/854414656.db2.gz KELIRNQHDKLWPI-GFCCVEGCSA-N 0 1 262.357 0.138 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccnn3CC)C2)C1 ZINC001072661330 854441411 /nfs/dbraw/zinc/44/14/11/854441411.db2.gz WVHSMAMURJRMFM-UHFFFAOYSA-N 0 1 272.352 0.684 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCCO[C@@H]3C)C2)C1 ZINC001072670577 854442050 /nfs/dbraw/zinc/44/20/50/854442050.db2.gz ZCAVBTZTHDYNJP-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH]cn2)C[C@H]1C ZINC001072799931 854463666 /nfs/dbraw/zinc/46/36/66/854463666.db2.gz ZVABZOVRIAESEZ-DGCLKSJQSA-N 0 1 290.367 0.882 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)[C@@H]3C[C@H]3c3cnc[nH]3)C2)C1 ZINC001072828636 854474700 /nfs/dbraw/zinc/47/47/00/854474700.db2.gz JYQCADZPKDXFJE-VXGBXAGGSA-N 0 1 285.351 0.571 20 30 CCEDMN C#CC[N@@H+]1CCC2(CN(C(=O)c3cnc4nccn4c3)C2)C1 ZINC001072904240 854491312 /nfs/dbraw/zinc/49/13/12/854491312.db2.gz FZJFOXMRSLRRPV-UHFFFAOYSA-N 0 1 295.346 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnc4nccn4c3)C2)C1 ZINC001072904240 854491318 /nfs/dbraw/zinc/49/13/18/854491318.db2.gz FZJFOXMRSLRRPV-UHFFFAOYSA-N 0 1 295.346 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@]3(F)CCOC3)C2)C1 ZINC001072916668 854494519 /nfs/dbraw/zinc/49/45/19/854494519.db2.gz RCWUBNLJANOSRN-CQSZACIVSA-N 0 1 266.316 0.283 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)[C@@H]3CCC(=O)N3)C2)C1 ZINC001073005868 854513827 /nfs/dbraw/zinc/51/38/27/854513827.db2.gz IEXQPHFGPXOFMH-OLZOCXBDSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cn(CC)nc3C)C2)C1 ZINC001073021579 854516930 /nfs/dbraw/zinc/51/69/30/854516930.db2.gz IQRQHFFRQUIXHW-UHFFFAOYSA-N 0 1 286.379 0.993 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(N(C)C)ccn3)C2)C1 ZINC001073476854 854562336 /nfs/dbraw/zinc/56/23/36/854562336.db2.gz VVHIJWNRWNAXHQ-UHFFFAOYSA-N 0 1 298.390 0.929 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C2CC2)C1 ZINC001073512605 854572278 /nfs/dbraw/zinc/57/22/78/854572278.db2.gz MUFWGOLOYZIXPR-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)c2cccnc2)C1 ZINC001073517337 854572657 /nfs/dbraw/zinc/57/26/57/854572657.db2.gz MHNBWGGNOVBUIL-OAHLLOKOSA-N 0 1 287.363 0.926 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccon2)C1 ZINC001073540914 854584053 /nfs/dbraw/zinc/58/40/53/854584053.db2.gz CFCCXDXQVUKROD-LLVKDONJSA-N 0 1 265.313 0.681 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ncc[nH]2)C1 ZINC001073600002 854611432 /nfs/dbraw/zinc/61/14/32/854611432.db2.gz UKZSEQOOFRMFCR-NSHDSACASA-N 0 1 264.329 0.416 20 30 CCEDMN C=C(C)CN1CCCO[C@H](CNC(=O)c2n[nH]cc2F)C1 ZINC001073757722 854640241 /nfs/dbraw/zinc/64/02/41/854640241.db2.gz HNLXPYIUSUMRMP-LLVKDONJSA-N 0 1 296.346 0.946 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cccnn2)C1 ZINC001073833220 854651929 /nfs/dbraw/zinc/65/19/29/854651929.db2.gz YXPHRPSOUPYHGH-LBPRGKRZSA-N 0 1 276.340 0.483 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)c3cc(C)[nH]n3)C[C@H]21 ZINC001074184812 854690887 /nfs/dbraw/zinc/69/08/87/854690887.db2.gz RXSIMGDLZFIBNT-ZIAGYGMSSA-N 0 1 290.367 0.819 20 30 CCEDMN C#CCN1CCO[C@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@@H]21 ZINC001074193951 854693856 /nfs/dbraw/zinc/69/38/56/854693856.db2.gz FUOKGWGYXFTODL-KBPBESRZSA-N 0 1 288.351 0.267 20 30 CCEDMN C=C(C)CCN1CCO[C@@H]2CCN(C(=O)CC(N)=O)C[C@H]21 ZINC001074200363 854695958 /nfs/dbraw/zinc/69/59/58/854695958.db2.gz RUCZNFBLBDYAPK-CHWSQXEVSA-N 0 1 295.383 0.130 20 30 CCEDMN C[C@@H](CNC(=O)CCc1c[nH]nn1)Nc1ccc(C#N)nc1 ZINC001098294475 854855820 /nfs/dbraw/zinc/85/58/20/854855820.db2.gz SONWZVAIUOCUCS-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@@H](CNC(=O)CCc1cnn[nH]1)Nc1ccc(C#N)nc1 ZINC001098294475 854855832 /nfs/dbraw/zinc/85/58/32/854855832.db2.gz SONWZVAIUOCUCS-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN Cc1nc(N[C@H](C)CNC(=O)c2cnn[nH]2)ccc1C#N ZINC001098404023 854862574 /nfs/dbraw/zinc/86/25/74/854862574.db2.gz AUZAJBONTGQYNN-MRVPVSSYSA-N 0 1 285.311 0.610 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCC(=O)N(C)C1)C2 ZINC001098466837 854867070 /nfs/dbraw/zinc/86/70/70/854867070.db2.gz AVRWFMOPDCUMDZ-DGAVXFQQSA-N 0 1 291.395 0.762 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@@H](C)Nc1ncnc2[nH]cnc21 ZINC001098947991 854899531 /nfs/dbraw/zinc/89/95/31/854899531.db2.gz VLOMKRPWUPSKCT-RKDXNWHRSA-N 0 1 287.327 0.819 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cnccn2)C[C@H]1C(F)(F)F ZINC001099205318 854920926 /nfs/dbraw/zinc/92/09/26/854920926.db2.gz XRACWEGCQCBWDV-MWLCHTKSSA-N 0 1 298.268 0.702 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ncccn2)C[C@H]1C(F)(F)F ZINC001099323709 854927767 /nfs/dbraw/zinc/92/77/67/854927767.db2.gz BSAXBNCALAQWMS-NXEZZACHSA-N 0 1 298.268 0.702 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C(\C)CC)[C@H](O)C1 ZINC001099817730 855001814 /nfs/dbraw/zinc/00/18/14/855001814.db2.gz DPKSOZWCBCPHMU-YVAPMFQZSA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccncc2)[C@H](O)C1 ZINC001099903991 855025435 /nfs/dbraw/zinc/02/54/35/855025435.db2.gz OPPOFNOKPYYLGU-HUUCEWRRSA-N 0 1 289.379 0.752 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CC(NCc2cnns2)C1 ZINC001100196326 855116303 /nfs/dbraw/zinc/11/63/03/855116303.db2.gz GVRRSNPXZDLEGI-XNWIYYODSA-N 0 1 279.369 0.682 20 30 CCEDMN Cc1cc(CC(=O)NCC2CC(NCC#N)C2)[nH]n1 ZINC001100235305 855125728 /nfs/dbraw/zinc/12/57/28/855125728.db2.gz DDNGYDFMJLITGU-UHFFFAOYSA-N 0 1 261.329 0.269 20 30 CCEDMN Cn1ccnc1CNC1CC(CNC(=O)C#CC2CC2)C1 ZINC001100313654 855144646 /nfs/dbraw/zinc/14/46/46/855144646.db2.gz INTPSTAUHKYNCI-UHFFFAOYSA-N 0 1 286.379 0.818 20 30 CCEDMN CCN(CCNC(=O)Cc1nnc[nH]1)c1ncccc1C#N ZINC001100611728 855197429 /nfs/dbraw/zinc/19/74/29/855197429.db2.gz OBZPYGGVYVGBCY-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CCN(CCNC(=O)Cc1cnc[nH]1)c1ccnc(C#N)n1 ZINC001100734649 855215808 /nfs/dbraw/zinc/21/58/08/855215808.db2.gz NWVHVJBVCZWADE-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CN(CCNc1ccc(C#N)nn1)C(=O)c1ccn[nH]1 ZINC001101509645 855301937 /nfs/dbraw/zinc/30/19/37/855301937.db2.gz FWQJLGKMGCETFV-UHFFFAOYSA-N 0 1 271.284 0.255 20 30 CCEDMN CC[C@@H](C)C(=O)NC[C@@H]1CN(CC(=O)NCC#N)C[C@H]1C ZINC001101730158 855356460 /nfs/dbraw/zinc/35/64/60/855356460.db2.gz RHWDCBOBZZYLLU-JHJVBQTASA-N 0 1 294.399 0.356 20 30 CCEDMN CNC(=O)CN1C[C@@H](CNC(=O)C#CC(C)(C)C)[C@H](C)C1 ZINC001101954207 855397428 /nfs/dbraw/zinc/39/74/28/855397428.db2.gz VUSSNCRJDMPNDO-CHWSQXEVSA-N 0 1 293.411 0.466 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102219443 855420927 /nfs/dbraw/zinc/42/09/27/855420927.db2.gz HWWRKIMPQJKMOI-VXGBXAGGSA-N 0 1 281.400 0.758 20 30 CCEDMN CC[C@@H](CNC(=O)Cc1cnc[nH]1)Nc1ccncc1C#N ZINC001103334988 855512836 /nfs/dbraw/zinc/51/28/36/855512836.db2.gz RIXJIJHJLIDVJD-LBPRGKRZSA-N 0 1 298.350 0.648 20 30 CCEDMN Cc1nc(NC[C@H](C)CNC(=O)c2ncn[nH]2)ccc1C#N ZINC001104059660 855565176 /nfs/dbraw/zinc/56/51/76/855565176.db2.gz SJGUQACZOOCCHF-VIFPVBQESA-N 0 1 299.338 0.858 20 30 CCEDMN Cc1nc(NC[C@H](C)CNC(=O)c2nc[nH]n2)ccc1C#N ZINC001104059660 855565182 /nfs/dbraw/zinc/56/51/82/855565182.db2.gz SJGUQACZOOCCHF-VIFPVBQESA-N 0 1 299.338 0.858 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1ccn[nH]1)Nc1ccncc1C#N ZINC001115668023 855688905 /nfs/dbraw/zinc/68/89/05/855688905.db2.gz UGHIXTUPEVPFGB-LLVKDONJSA-N 0 1 298.350 0.600 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1ccn[nH]1)Nc1ccc(C#N)nn1 ZINC001115667465 855689309 /nfs/dbraw/zinc/68/93/09/855689309.db2.gz IENWWEVNNIZRSP-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@](C)(CO)C2)C1=O ZINC001116916636 855866657 /nfs/dbraw/zinc/86/66/57/855866657.db2.gz CKFITTPNBSYSCV-TZMCWYRMSA-N 0 1 252.358 0.868 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@@H]1C)C1CC1 ZINC001117589611 856021780 /nfs/dbraw/zinc/02/17/80/856021780.db2.gz BRTIHJSLRPAOAX-FZMZJTMJSA-N 0 1 291.395 0.762 20 30 CCEDMN Cn1cc(C=N[N-]c2[nH+]ncc(N)c2Cl)c(=O)[nH]1 ZINC001117697612 856051128 /nfs/dbraw/zinc/05/11/28/856051128.db2.gz ICEMSMKHESCTPZ-UHFFFAOYSA-N 0 1 267.680 0.597 20 30 CCEDMN C#CCN(C(=O)C(=O)c1cnn(C)c1)C1CCN(C)CC1 ZINC001119984017 856947875 /nfs/dbraw/zinc/94/78/75/856947875.db2.gz OIGPNKLGGCISOX-UHFFFAOYSA-N 0 1 288.351 0.159 20 30 CCEDMN C#CC1(O)CN(C(=O)NC[C@H](c2ccsc2)N(C)C)C1 ZINC001120015430 856962392 /nfs/dbraw/zinc/96/23/92/856962392.db2.gz WEUDZFANJLVKCC-GFCCVEGCSA-N 0 1 293.392 0.740 20 30 CCEDMN CCN1CC[C@H](NC2(CNC(=O)C#CC(C)C)CC2)C1=O ZINC001323437219 912345705 /nfs/dbraw/zinc/34/57/05/912345705.db2.gz FCGMZPIRKNHRSK-ZDUSSCGKSA-N 0 1 291.395 0.505 20 30 CCEDMN C[C@H]1CN(C2CC2)C[C@H]1NC(=O)C(=O)NCCCC#N ZINC001323549759 912407047 /nfs/dbraw/zinc/40/70/47/912407047.db2.gz FUKWXGJUZDRHDE-CMPLNLGQSA-N 0 1 278.356 0.005 20 30 CCEDMN C=CCOCC(=O)N(C)C1CN([C@@H](C)c2n[nH]c(C)n2)C1 ZINC001323898092 912571295 /nfs/dbraw/zinc/57/12/95/912571295.db2.gz HLJNAUROBNSQOS-JTQLQIEISA-N 0 1 293.371 0.519 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H]2CNC(=O)[C@H](C)C#N)n[nH]1 ZINC001393460125 912583698 /nfs/dbraw/zinc/58/36/98/912583698.db2.gz YKHKPGDPDYGBDT-PSASIEDQSA-N 0 1 275.312 0.209 20 30 CCEDMN Cc1nn(C)cc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001324433266 912842665 /nfs/dbraw/zinc/84/26/65/912842665.db2.gz LTWNHTDOLUUJQC-UHFFFAOYSA-N 0 1 264.314 0.160 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCCC(=O)NC)C1 ZINC001324609134 912938425 /nfs/dbraw/zinc/93/84/25/912938425.db2.gz UEWCOHQEEWQCAC-AWEZNQCLSA-N 0 1 265.357 0.117 20 30 CCEDMN CCn1ncnc1CNC[C@H]1C[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001394367855 913147473 /nfs/dbraw/zinc/14/74/73/913147473.db2.gz LPTAJNSAPMSYAX-IJLUTSLNSA-N 0 1 290.371 0.442 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)/C=C(/C)C2CC2)C1 ZINC001325071820 913189749 /nfs/dbraw/zinc/18/97/49/913189749.db2.gz ZCUOABTWWBJHNO-DDKJEQMHSA-N 0 1 276.380 0.919 20 30 CCEDMN COCC#CCN1CC[C@](O)(CNC(=O)[C@H](C)C2CC2)C1 ZINC001325085987 913199750 /nfs/dbraw/zinc/19/97/50/913199750.db2.gz NQQRLZQYPKKERJ-CJNGLKHVSA-N 0 1 294.395 0.235 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C[C@H]2CCCCO2)C1 ZINC001325087397 913205152 /nfs/dbraw/zinc/20/51/52/913205152.db2.gz UUSWUTUQIUVNOU-HIFRSBDPSA-N 0 1 280.368 0.132 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C2(F)CCCC2)C1 ZINC001325110982 913220081 /nfs/dbraw/zinc/22/00/81/913220081.db2.gz QTJWMTZTHPYIJZ-CQSZACIVSA-N 0 1 282.359 0.845 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCS(C)(=O)=O ZINC001394495875 913224257 /nfs/dbraw/zinc/22/42/57/913224257.db2.gz OKAPXODXDZNYSN-JTQLQIEISA-N 0 1 296.820 0.610 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CC2CC(C)(C)C2)C1 ZINC001325122734 913229789 /nfs/dbraw/zinc/22/97/89/913229789.db2.gz HZNBNNSMHJQKHZ-MRXNPFEDSA-N 0 1 278.396 0.999 20 30 CCEDMN CC#CCN1CC(O)(CNC(=O)c2n[nH]c3ccccc32)C1 ZINC001325311614 913334117 /nfs/dbraw/zinc/33/41/17/913334117.db2.gz LDJTVRYBBLMCJE-UHFFFAOYSA-N 0 1 298.346 0.363 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC001325474438 913423567 /nfs/dbraw/zinc/42/35/67/913423567.db2.gz JXJGELRDCIRYGD-IJLUTSLNSA-N 0 1 295.383 0.045 20 30 CCEDMN CC#CCCNC(=O)C(=O)N[C@H]1CN(C2CC2)C[C@@H]1C ZINC001325493937 913433454 /nfs/dbraw/zinc/43/34/54/913433454.db2.gz CYASWSDMQHMWEZ-AAEUAGOBSA-N 0 1 277.368 0.115 20 30 CCEDMN C=C[C@@H](COC)NC(=O)[C@@H](C)CN1CCOCC1 ZINC001325653313 913510118 /nfs/dbraw/zinc/51/01/18/913510118.db2.gz ATFLNRVCAYRHAG-RYUDHWBXSA-N 0 1 256.346 0.272 20 30 CCEDMN CCOC(=O)COc1ccc(C=NNC2=NCCN2)cc1 ZINC001325667234 913516111 /nfs/dbraw/zinc/51/61/11/913516111.db2.gz OONPOAZFYFRXSX-UHFFFAOYSA-N 0 1 290.323 0.511 20 30 CCEDMN C#CCCCC(=O)NCCN(C)CCN1CCCC1=O ZINC001480858355 891397243 /nfs/dbraw/zinc/39/72/43/891397243.db2.gz NAWMJHBGBIZPQN-UHFFFAOYSA-N 0 1 279.384 0.460 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001282397391 891432308 /nfs/dbraw/zinc/43/23/08/891432308.db2.gz FCQJUXPUHYPWTJ-OLZOCXBDSA-N 0 1 279.384 0.315 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)[C@@H]2CN3CCN2C[C@@H]3C)C1 ZINC001347303703 891559249 /nfs/dbraw/zinc/55/92/49/891559249.db2.gz ABJMUZORPMHYMP-MJBXVCDLSA-N 0 1 261.369 0.247 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)N1CCC[C@@H](C#N)C1 ZINC001349385486 891736163 /nfs/dbraw/zinc/73/61/63/891736163.db2.gz ZTNMICLNYOKCCH-AGIUHOORSA-N 0 1 262.357 0.137 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)c2cc(C)on2)C1 ZINC001325819954 913590177 /nfs/dbraw/zinc/59/01/77/913590177.db2.gz YQXBMKAZJXJLMI-OAHLLOKOSA-N 0 1 291.351 0.563 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)CO[C@@H](C)CC)C1 ZINC001325833063 913599889 /nfs/dbraw/zinc/59/98/89/913599889.db2.gz HBVKNIKOJLRRFG-DZGCQCFKSA-N 0 1 284.400 0.931 20 30 CCEDMN CC[C@H]1C[C@@H](C(=O)NCCN(C)CC#CCOC)CCO1 ZINC001480933297 891871736 /nfs/dbraw/zinc/87/17/36/891871736.db2.gz CJMWWQHRKLKGPK-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN(C)CCNC(=O)C[C@@H]1CCCOC1 ZINC001480947769 891924333 /nfs/dbraw/zinc/92/43/33/891924333.db2.gz ILNTYRVNQSBLJJ-ZDUSSCGKSA-N 0 1 252.358 0.874 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)Cc1ccn(C)n1 ZINC001480974149 891998111 /nfs/dbraw/zinc/99/81/11/891998111.db2.gz GHKCYEHLJIFCQO-UHFFFAOYSA-N 0 1 292.383 0.051 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H]1CCc2nccn2C1 ZINC001480984112 892014162 /nfs/dbraw/zinc/01/41/62/892014162.db2.gz UAJPYVLYDJBXBL-ZDUSSCGKSA-N 0 1 274.368 0.517 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCOC1 ZINC001481030505 892088657 /nfs/dbraw/zinc/08/86/57/892088657.db2.gz IILYLIDKXWGQNZ-CABCVRRESA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CNC(=O)c1ccccc1 ZINC001481066522 892125917 /nfs/dbraw/zinc/12/59/17/892125917.db2.gz FZVNZRPLLUOMPF-OAHLLOKOSA-N 0 1 299.374 0.630 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@@H]1CCOC1)C1CC1 ZINC001493355453 892199150 /nfs/dbraw/zinc/19/91/50/892199150.db2.gz VKIOMGUTUICWEF-CYBMUJFWSA-N 0 1 280.368 0.253 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCCN(CC#N)C1CC1 ZINC001481189588 892282608 /nfs/dbraw/zinc/28/26/08/892282608.db2.gz RGKSDLPQDITBNQ-LLVKDONJSA-N 0 1 275.356 0.692 20 30 CCEDMN C#CCN(CCNC(=O)c1cn(CC=C)nn1)C1CC1 ZINC001481189323 892285774 /nfs/dbraw/zinc/28/57/74/892285774.db2.gz ITSYQXRXTCMGQX-UHFFFAOYSA-N 0 1 273.340 0.292 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NC(=O)CN(C)C(C)=O)C1 ZINC001267910404 892508601 /nfs/dbraw/zinc/50/86/01/892508601.db2.gz ZLVYUUJDFZPWGQ-CQSZACIVSA-N 0 1 297.399 0.248 20 30 CCEDMN CN1CCC[C@@H](NC(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)C1 ZINC001325987065 913677312 /nfs/dbraw/zinc/67/73/12/913677312.db2.gz SSMIKLIMQWQEHV-QJPTWQEYSA-N 0 1 278.356 0.005 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CCCN(CCOCCO)C1 ZINC001481527608 892777290 /nfs/dbraw/zinc/77/72/90/892777290.db2.gz XIBAKODUQUGKCX-CYBMUJFWSA-N 0 1 284.400 0.788 20 30 CCEDMN C=CCC1(C(=O)N[C@H]2CCCN(CCO)C2)CCOCC1 ZINC001481538854 892783420 /nfs/dbraw/zinc/78/34/20/892783420.db2.gz MTGJBVGKBCRYJT-AWEZNQCLSA-N 0 1 296.411 0.932 20 30 CCEDMN Cc1nc([C@H](C)N2CC(CNC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001481617324 892910352 /nfs/dbraw/zinc/91/03/52/892910352.db2.gz DYMZIUYWZJWRQQ-BDAKNGLRSA-N 0 1 276.344 0.382 20 30 CCEDMN O=C(C#CC1CC1)NCC1CN(CCn2cccn2)C1 ZINC001481623878 892922677 /nfs/dbraw/zinc/92/26/77/892922677.db2.gz FEMAYFFNNXHMIU-UHFFFAOYSA-N 0 1 272.352 0.345 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCc2n[nH]nc2C1 ZINC001038918186 892933565 /nfs/dbraw/zinc/93/35/65/892933565.db2.gz WCWCIBYITJWCBN-RYUDHWBXSA-N 0 1 289.383 0.676 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H]1CCN(CCn2cccn2)C1 ZINC001481718440 893082537 /nfs/dbraw/zinc/08/25/37/893082537.db2.gz BIVDUJVYMNASBS-QWHCGFSZSA-N 0 1 275.356 0.575 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)COCCC=C)C1 ZINC001481753919 893113338 /nfs/dbraw/zinc/11/33/38/893113338.db2.gz DXAZUICZDXNLKX-ZDUSSCGKSA-N 0 1 250.342 0.745 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C2(C(=O)NC)CCC2)C1 ZINC001481749822 893114241 /nfs/dbraw/zinc/11/42/41/893114241.db2.gz WZFOBKGJPSRRLC-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H](C)C#N)NCc1nccn1C ZINC001481796675 893164924 /nfs/dbraw/zinc/16/49/24/893164924.db2.gz GPXNVOGOBFCDBN-MNOVXSKESA-N 0 1 263.345 0.564 20 30 CCEDMN C=C(Cl)CNC[C@@]1(NC(=O)C(N)=O)CCCC[C@H]1C ZINC001481963277 893290666 /nfs/dbraw/zinc/29/06/66/893290666.db2.gz VBUNJPXZQAPLON-RNCFNFMXSA-N 0 1 287.791 0.879 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CC[C@H](CNCc2cnon2)C1 ZINC001284173739 893329750 /nfs/dbraw/zinc/32/97/50/893329750.db2.gz KQOWEDGFFVQXIQ-QWHCGFSZSA-N 0 1 288.351 0.858 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H](C)CNCc1nonc1C ZINC001482101087 893395657 /nfs/dbraw/zinc/39/56/57/893395657.db2.gz KSTJWQOIFHLIPN-CMPLNLGQSA-N 0 1 294.355 0.258 20 30 CCEDMN C#CCOCCC(=O)NC[C@H]1CN(CCCC)CCO1 ZINC001482191456 893485341 /nfs/dbraw/zinc/48/53/41/893485341.db2.gz DDLWWZCCNQKNDH-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN Cc1cc(CNC[C@H](C)NC(=O)C#CC2CC2)ncn1 ZINC001268685972 893485665 /nfs/dbraw/zinc/48/56/65/893485665.db2.gz NYKQJQMEVDYUDW-LBPRGKRZSA-N 0 1 272.352 0.793 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@H]2C[C@H]2C(=O)OC)C1 ZINC001499643761 893622310 /nfs/dbraw/zinc/62/23/10/893622310.db2.gz XAFCJJGDHZQQJI-QWHCGFSZSA-N 0 1 280.368 0.904 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cnn(C)c2C)[C@H]1CC ZINC001087597344 894055350 /nfs/dbraw/zinc/05/53/50/894055350.db2.gz CHUSPSVHIGUYLB-LSDHHAIUSA-N 0 1 288.395 0.873 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C[C@@H]1CCC(=O)NC1 ZINC001482601995 894383379 /nfs/dbraw/zinc/38/33/79/894383379.db2.gz PTKGJXZVAHAKNO-ZDUSSCGKSA-N 0 1 279.384 0.316 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1conc1C ZINC001482624493 894423348 /nfs/dbraw/zinc/42/33/48/894423348.db2.gz OGOWWXQSDLGVPD-UHFFFAOYSA-N 0 1 279.340 0.637 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1[nH]ncc1Br ZINC001482636660 894434884 /nfs/dbraw/zinc/43/48/84/894434884.db2.gz ZNMPMPABVYSFCL-UHFFFAOYSA-N 0 1 299.172 0.809 20 30 CCEDMN C=C(Br)CNC[C@H](O)CNC(=O)C(C)(C)F ZINC001482703150 894506529 /nfs/dbraw/zinc/50/65/29/894506529.db2.gz RFUAJGGVMXZASQ-QMMMGPOBSA-N 0 1 297.168 0.710 20 30 CCEDMN N#Cc1ccccc1CNC[C@H](O)CNC(=O)c1ccc[nH]1 ZINC001482706320 894523736 /nfs/dbraw/zinc/52/37/36/894523736.db2.gz BPVIXNSKRDWJQZ-AWEZNQCLSA-N 0 1 298.346 0.767 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C[C@H]1CCCO1 ZINC001482710493 894525365 /nfs/dbraw/zinc/52/53/65/894525365.db2.gz ZGPWIEGLEDJPNO-WDEREUQCSA-N 0 1 276.764 0.375 20 30 CCEDMN Cc1nn(C)c(Cl)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC001326307351 913881473 /nfs/dbraw/zinc/88/14/73/913881473.db2.gz YLCFKGHKLXSRBO-YUMQZZPRSA-N 0 1 267.720 0.223 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)Cc1nnc[nH]1 ZINC001482961275 894727714 /nfs/dbraw/zinc/72/77/14/894727714.db2.gz DDNOTNRTNMKWGD-UHFFFAOYSA-N 0 1 271.752 0.538 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1nc(OC)cc(OC)n1 ZINC001483354729 895492176 /nfs/dbraw/zinc/49/21/76/895492176.db2.gz ZKPUFNRTUCNRLG-JTQLQIEISA-N 0 1 292.339 0.177 20 30 CCEDMN CCC1(C(=O)NC[C@@H](CO)NCC#CCOC)CCC1 ZINC001507224670 895492966 /nfs/dbraw/zinc/49/29/66/895492966.db2.gz GIUKMZJIHNHERB-ZDUSSCGKSA-N 0 1 282.384 0.283 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCC(=O)N1C ZINC001483376105 895513825 /nfs/dbraw/zinc/51/38/25/895513825.db2.gz CHXGPFGDLNWNBH-VXGBXAGGSA-N 0 1 265.357 0.067 20 30 CCEDMN CC#CC[N@@H+](C)C[C@H](C)NC(=O)[C@H](CC(C)C)NC(C)=O ZINC001483408225 895546687 /nfs/dbraw/zinc/54/66/87/895546687.db2.gz HBBNGIDZCKGDGP-ZFWWWQNUSA-N 0 1 295.427 0.997 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H](CC(C)C)NC(C)=O ZINC001483408225 895546695 /nfs/dbraw/zinc/54/66/95/895546695.db2.gz HBBNGIDZCKGDGP-ZFWWWQNUSA-N 0 1 295.427 0.997 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)/C(C)=C/CC)CC1 ZINC001483719298 895910092 /nfs/dbraw/zinc/91/00/92/895910092.db2.gz MZLLHAOMCFHKTL-BWODNOAJSA-N 0 1 291.395 0.719 20 30 CCEDMN CCc1nc([C@@H](C)N2CC(N(C)C(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001483857390 896079583 /nfs/dbraw/zinc/07/95/83/896079583.db2.gz VIRFYVMKJDQJJV-VHSXEESVSA-N 0 1 290.371 0.730 20 30 CCEDMN C=CCOCC(=O)NC1(C)CCN([C@H](CC)C(N)=O)CC1 ZINC001483962077 896135938 /nfs/dbraw/zinc/13/59/38/896135938.db2.gz FOZSWEUWPIHOEU-GFCCVEGCSA-N 0 1 297.399 0.424 20 30 CCEDMN C[C@]1(CNC(=O)CCc2nc[nH]n2)CCCN(CC#N)C1 ZINC001484155582 896211471 /nfs/dbraw/zinc/21/14/71/896211471.db2.gz XZQNYCVCJOPRRG-CQSZACIVSA-N 0 1 290.371 0.479 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CCCC(C)C)C1 ZINC001484236951 896267458 /nfs/dbraw/zinc/26/74/58/896267458.db2.gz MBZGYCALQNQEOF-OAHLLOKOSA-N 0 1 266.385 0.999 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)Cc2ccc[nH]2)C1 ZINC001484250174 896284157 /nfs/dbraw/zinc/28/41/57/896284157.db2.gz CNTBSKPSJNAANF-OAHLLOKOSA-N 0 1 275.352 0.134 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)COC(C)C)C1 ZINC001484429742 896399530 /nfs/dbraw/zinc/39/95/30/896399530.db2.gz DYOAJQFXSKFVQG-AWEZNQCLSA-N 0 1 270.373 0.541 20 30 CCEDMN C#CCOCCC(=O)N[C@@]1(CO)CCCN(CC=C)C1 ZINC001484433653 896407103 /nfs/dbraw/zinc/40/71/03/896407103.db2.gz BGPFDPAPNKPNQN-HNNXBMFYSA-N 0 1 280.368 0.155 20 30 CCEDMN C=C(C)CCC(=O)N(C)CCCN(C)CC(=O)NC ZINC001484745238 896574631 /nfs/dbraw/zinc/57/46/31/896574631.db2.gz XTGMOLDPMDJRKG-UHFFFAOYSA-N 0 1 269.389 0.869 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC[C@H](C)NCc1ncnn1C ZINC001484991952 896710126 /nfs/dbraw/zinc/71/01/26/896710126.db2.gz OCUSAGUHZGBKQB-SMDDNHRTSA-N 0 1 295.387 0.127 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCN1C(=O)CCc1c[nH]nn1 ZINC001485069320 896745976 /nfs/dbraw/zinc/74/59/76/896745976.db2.gz LZCOGRMIBXJYHO-LBPRGKRZSA-N 0 1 276.344 0.184 20 30 CCEDMN CN(CC#N)C[C@@H]1CCCN1C(=O)CCc1cnn[nH]1 ZINC001485069320 896745983 /nfs/dbraw/zinc/74/59/83/896745983.db2.gz LZCOGRMIBXJYHO-LBPRGKRZSA-N 0 1 276.344 0.184 20 30 CCEDMN N#CCNCC1CC(NC(=O)c2[nH]nc3c2CCC3)C1 ZINC001485097585 896778094 /nfs/dbraw/zinc/77/80/94/896778094.db2.gz MMDWQTVWZDNGQV-UHFFFAOYSA-N 0 1 273.340 0.520 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1snnc1C ZINC001485274626 896913832 /nfs/dbraw/zinc/91/38/32/896913832.db2.gz PGBSDWTXDDRUHK-QMMMGPOBSA-N 0 1 252.343 0.530 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cnn2ccncc12 ZINC001485311586 896933605 /nfs/dbraw/zinc/93/36/05/896933605.db2.gz COWFQHSCNOHTPU-LBPRGKRZSA-N 0 1 285.351 0.803 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C1(COCC)CC1 ZINC001485319379 896946185 /nfs/dbraw/zinc/94/61/85/896946185.db2.gz HMNMSZLPYSQTID-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN CCn1cnc(C(=O)NC[C@H](C)N(C)CC#CCOC)c1 ZINC001485378783 897011363 /nfs/dbraw/zinc/01/13/63/897011363.db2.gz ZHGFJJOEMNMZDX-ZDUSSCGKSA-N 0 1 292.383 0.603 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001485526246 897107538 /nfs/dbraw/zinc/10/75/38/897107538.db2.gz IBASKTUEEDYVKE-CMPLNLGQSA-N 0 1 265.357 0.278 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCCC2)C[C@H]1CNCC#N ZINC001106984240 897280637 /nfs/dbraw/zinc/28/06/37/897280637.db2.gz DYMHBAOMVTVYEU-CHWSQXEVSA-N 0 1 264.373 0.290 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC23CC3)C1 ZINC001077730966 897363172 /nfs/dbraw/zinc/36/31/72/897363172.db2.gz BIJSSNRWMWPRPZ-IJLUTSLNSA-N 0 1 250.342 0.524 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3ccon3)C2)C1=O ZINC001272756278 897537782 /nfs/dbraw/zinc/53/77/82/897537782.db2.gz COHIWANOWBJQQX-AWEZNQCLSA-N 0 1 259.309 0.732 20 30 CCEDMN C#CCN1C[C@@H](NC(C)=O)CC2(CCOCC2)C1 ZINC001089910710 897611993 /nfs/dbraw/zinc/61/19/93/897611993.db2.gz CKUMINGRVCIJGL-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)CCNC(=O)C1 ZINC001032473753 897615376 /nfs/dbraw/zinc/61/53/76/897615376.db2.gz BDOSJELFDYWKNF-XEZPLFJOSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@]1(C)CCNC(=O)C1 ZINC001032473810 897616104 /nfs/dbraw/zinc/61/61/04/897616104.db2.gz CSIKFOPLEFNCBD-XEZPLFJOSA-N 0 1 289.379 0.211 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1C[C@@H]2C[C@H]1CN2CC#N ZINC001032476958 897623129 /nfs/dbraw/zinc/62/31/29/897623129.db2.gz BESMFRIOCTVSLA-RWMBFGLXSA-N 0 1 262.357 0.279 20 30 CCEDMN C#CCC[NH+]1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCCC[N@H+]1C ZINC001032479067 897627758 /nfs/dbraw/zinc/62/77/58/897627758.db2.gz CQIFVXSAKVYYAR-SOUVJXGZSA-N 0 1 275.396 0.779 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cncn1C ZINC001032502486 897661187 /nfs/dbraw/zinc/66/11/87/897661187.db2.gz XACJYHABOMSHGZ-RYUDHWBXSA-N 0 1 260.341 0.895 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)CCc1cncnc1 ZINC001032519740 897692902 /nfs/dbraw/zinc/69/29/02/897692902.db2.gz NEPONKTZVWTYQJ-GJZGRUSLSA-N 0 1 284.363 0.718 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cncnc1 ZINC001032519740 897692907 /nfs/dbraw/zinc/69/29/07/897692907.db2.gz NEPONKTZVWTYQJ-GJZGRUSLSA-N 0 1 284.363 0.718 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1coc(C(N)=O)c1 ZINC001032539516 897721462 /nfs/dbraw/zinc/72/14/62/897721462.db2.gz CZOHVNHBCAZDOK-RYUDHWBXSA-N 0 1 289.335 0.853 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001326811160 914198334 /nfs/dbraw/zinc/19/83/34/914198334.db2.gz CDCXZIUZYPYNLF-OUAUKWLOSA-N 0 1 292.339 0.864 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)c1ncn[nH]1 ZINC001077832916 897892915 /nfs/dbraw/zinc/89/29/15/897892915.db2.gz LEUDRNKXOCTRSU-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)c1nc[nH]n1 ZINC001077832916 897892922 /nfs/dbraw/zinc/89/29/22/897892922.db2.gz LEUDRNKXOCTRSU-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCN1CCOCC1 ZINC001032684766 897935035 /nfs/dbraw/zinc/93/50/35/897935035.db2.gz UYJYFPKIEBCVPC-GJZGRUSLSA-N 0 1 291.395 0.017 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1CCOC1 ZINC001049360424 897976015 /nfs/dbraw/zinc/97/60/15/897976015.db2.gz OLQCLVLGJBLJGV-MGPQQGTHSA-N 0 1 262.353 0.721 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3[C@H](C)COC)cn1 ZINC001032739579 898051947 /nfs/dbraw/zinc/05/19/47/898051947.db2.gz GYKAGMXSWSYCTL-KCXAZCMYSA-N 0 1 299.374 0.997 20 30 CCEDMN C=CCN1CC[C@]2(CCN(CC(=O)OCC)C2)C1=O ZINC001272888804 898123967 /nfs/dbraw/zinc/12/39/67/898123967.db2.gz BKCLVXSOYHMLQD-AWEZNQCLSA-N 0 1 266.341 0.660 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(F)cc2F)C1 ZINC001078109802 898234854 /nfs/dbraw/zinc/23/48/54/898234854.db2.gz ZVMQDQRQPYTCEO-ZIAGYGMSSA-N 0 1 294.301 0.763 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCNC(=O)C1=NC(=O)N(C)C1 ZINC001078208070 898297612 /nfs/dbraw/zinc/29/76/12/898297612.db2.gz OJYPKIFKTFUILX-JTQLQIEISA-N 0 1 294.355 0.717 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)CCNC(=O)Cc1nnc[nH]1 ZINC001078596202 898425758 /nfs/dbraw/zinc/42/57/58/898425758.db2.gz OJIGLRXKZWMHFQ-NSHDSACASA-N 0 1 293.371 0.715 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cnc2n1[C@@H](C)CCC2 ZINC001485996944 898582855 /nfs/dbraw/zinc/58/28/55/898582855.db2.gz SABOUZUCNVEORF-NWDGAFQWSA-N 0 1 290.367 0.094 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](C)c1c(F)cccc1F ZINC001486017005 898589294 /nfs/dbraw/zinc/58/92/94/898589294.db2.gz OPQSAQJLFUKPPW-WDEREUQCSA-N 0 1 296.317 0.768 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)C[C@H](C)C1CC1 ZINC001486066562 898632894 /nfs/dbraw/zinc/63/28/94/898632894.db2.gz QJAWYKUSFPTFPK-JSGCOSHPSA-N 0 1 282.384 0.139 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1CCCN(CC#C)[C@H]1C ZINC001486174254 898695316 /nfs/dbraw/zinc/69/53/16/898695316.db2.gz SSAQYEIIDOPRPJ-KBPBESRZSA-N 0 1 262.353 0.629 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)COCCOC)[C@@H]1C ZINC001486169857 898696580 /nfs/dbraw/zinc/69/65/80/898696580.db2.gz CXOSYJSBNAUSIG-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1n[nH]cc1C ZINC001486275058 898758728 /nfs/dbraw/zinc/75/87/28/898758728.db2.gz SSBSZPDCNBNVPV-JTQLQIEISA-N 0 1 286.763 0.493 20 30 CCEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)NC(=O)C1=NC(=O)N(C)C1 ZINC001486667629 898957989 /nfs/dbraw/zinc/95/79/89/898957989.db2.gz YOFNSJLTZDMRHD-NXEZZACHSA-N 0 1 294.355 0.715 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CNC(=O)CN1CCC(C)CC1 ZINC001410278865 899312975 /nfs/dbraw/zinc/31/29/75/899312975.db2.gz MYVFRYWRRGZDKH-CHWSQXEVSA-N 0 1 294.399 0.499 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCCNC(=O)c1cnn[nH]1 ZINC001487480250 899800695 /nfs/dbraw/zinc/80/06/95/899800695.db2.gz XWHRCBUZOWISCQ-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001324647055 899812921 /nfs/dbraw/zinc/81/29/21/899812921.db2.gz KWYAKXYVSXGJOG-HIFRSBDPSA-N 0 1 279.384 0.505 20 30 CCEDMN C=CCCC(=O)N(C)C1CN(C(=O)c2cc(C)[nH]n2)C1 ZINC001299325660 899901922 /nfs/dbraw/zinc/90/19/22/899901922.db2.gz XIHDJEGKGZUYRB-UHFFFAOYSA-N 0 1 276.340 0.967 20 30 CCEDMN Cn1cnc(CNCCNc2ncccc2C#N)c1 ZINC001327137868 914394609 /nfs/dbraw/zinc/39/46/09/914394609.db2.gz ABUFYKHNWJEKAQ-UHFFFAOYSA-N 0 1 256.313 0.888 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@@H](C)COC)[C@@H]2C1 ZINC001187304832 900007520 /nfs/dbraw/zinc/00/75/20/900007520.db2.gz NDBIGVQQDNNZOB-SOUVJXGZSA-N 0 1 294.395 0.451 20 30 CCEDMN C=CCN1CC[C@H]([N@H+](C)CCCN(C)C(=O)CC)C1=O ZINC001327172980 914406214 /nfs/dbraw/zinc/40/62/14/914406214.db2.gz MHXGFKBXBOULJG-ZDUSSCGKSA-N 0 1 281.400 0.964 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCN(C)C(=O)CC)C1=O ZINC001327172980 914406223 /nfs/dbraw/zinc/40/62/23/914406223.db2.gz MHXGFKBXBOULJG-ZDUSSCGKSA-N 0 1 281.400 0.964 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N(C)C[C@H]1CCN1CC#N ZINC001488688655 900341413 /nfs/dbraw/zinc/34/14/13/900341413.db2.gz ITEMOGAAKIWJLL-UONOGXRCSA-N 0 1 294.399 0.011 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCn2ccnn2)C1 ZINC001490434940 900632016 /nfs/dbraw/zinc/63/20/16/900632016.db2.gz LJLQXABUQHYALN-ZDUSSCGKSA-N 0 1 275.356 0.272 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)CCCC(C)=O)CC1 ZINC001490480235 900636714 /nfs/dbraw/zinc/63/67/14/900636714.db2.gz BQLYTKGHMHFHRP-UHFFFAOYSA-N 0 1 293.411 0.503 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H]2CO[C@H](C)C2)CC1 ZINC001490494964 900639091 /nfs/dbraw/zinc/63/90/91/900639091.db2.gz PLQBBTIXVNVQHB-KGLIPLIRSA-N 0 1 281.400 0.331 20 30 CCEDMN COCCN1CCN(CCNC(=O)C#CC(C)C)CC1 ZINC001490491984 900640523 /nfs/dbraw/zinc/64/05/23/900640523.db2.gz ACIXLGRAAKHEPU-UHFFFAOYSA-N 0 1 281.400 0.026 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](NCc1nccn1C)C1CC1 ZINC001490645681 900675316 /nfs/dbraw/zinc/67/53/16/900675316.db2.gz WAOQBULLURDQEA-JQWIXIFHSA-N 0 1 275.356 0.564 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](CC)NC(C)=O ZINC001490800374 900764854 /nfs/dbraw/zinc/76/48/54/900764854.db2.gz VATCVFMGJBYUHE-QLFBSQMISA-N 0 1 291.395 0.600 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCNC(=O)C(C)(C)c1cnc[nH]1 ZINC001397980604 914483690 /nfs/dbraw/zinc/48/36/90/914483690.db2.gz LXVLDYUWEJARFU-JTQLQIEISA-N 0 1 291.355 0.422 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001294736400 900870238 /nfs/dbraw/zinc/87/02/38/900870238.db2.gz HFWBFHYGAPKWEI-NSHDSACASA-N 0 1 274.324 0.400 20 30 CCEDMN O=C(C#CC1CC1)NCCNC(=O)c1[nH]nc2c1CCC2 ZINC001292714434 900959910 /nfs/dbraw/zinc/95/99/10/900959910.db2.gz MGGNIHYSDHGSLF-UHFFFAOYSA-N 0 1 286.335 0.158 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)NCC#Cc2ccccc2)n[nH]1 ZINC001327379643 914508845 /nfs/dbraw/zinc/50/88/45/914508845.db2.gz QPXGSPUINFDBNT-UHFFFAOYSA-N 0 1 296.330 0.502 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCO[C@H]1CC ZINC001275607365 901146886 /nfs/dbraw/zinc/14/68/86/901146886.db2.gz OWGUYVJVZHFLHJ-XQQFMLRXSA-N 0 1 252.358 0.871 20 30 CCEDMN CCNC(=O)CN1CCC[C@@H](NC(=O)C#CC(C)C)CC1 ZINC001280732840 901188412 /nfs/dbraw/zinc/18/84/12/901188412.db2.gz HJUBMSGKNWKTPF-CQSZACIVSA-N 0 1 293.411 0.753 20 30 CCEDMN C[C@H](CNC(=O)c1csc(C#N)c1)N1CCN(C)CC1 ZINC001411763410 901236571 /nfs/dbraw/zinc/23/65/71/901236571.db2.gz GAUJWZKPTSTXAB-LLVKDONJSA-N 0 1 292.408 0.985 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H]2C[C@H](C)CO2)CC1 ZINC001328542706 915263822 /nfs/dbraw/zinc/26/38/22/915263822.db2.gz SAYBTNARIKMGSG-QWHCGFSZSA-N 0 1 282.384 0.541 20 30 CCEDMN CC(C)c1nc(CNC(=O)[C@]2(C#N)CCCOC2)n[nH]1 ZINC001412168282 901518948 /nfs/dbraw/zinc/51/89/48/901518948.db2.gz BZTMMFNFCSMMDI-ZDUSSCGKSA-N 0 1 277.328 0.865 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2nnc([C@@H]3CCOC3)[nH]2)o1 ZINC001412865931 902312201 /nfs/dbraw/zinc/31/22/01/902312201.db2.gz XWZNOKJPXDUOCJ-MRVPVSSYSA-N 0 1 287.279 0.703 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2n[nH]c([C@@H]3CCOC3)n2)o1 ZINC001412865931 902312217 /nfs/dbraw/zinc/31/22/17/902312217.db2.gz XWZNOKJPXDUOCJ-MRVPVSSYSA-N 0 1 287.279 0.703 20 30 CCEDMN CN(C(=O)c1ccc(C#N)[nH]1)C1CN(C[C@H]2CCOC2)C1 ZINC001042629056 902678745 /nfs/dbraw/zinc/67/87/45/902678745.db2.gz SCGKDTMFKIPRMT-LLVKDONJSA-N 0 1 288.351 0.679 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CCOC[C@H]2c2nn[nH]n2)cc1 ZINC001413387085 902921430 /nfs/dbraw/zinc/92/14/30/902921430.db2.gz MCPBOCUNOPJOSX-LBPRGKRZSA-N 0 1 298.306 0.214 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC(c3nn[nH]n3)CC2)nc1 ZINC001413426469 902940926 /nfs/dbraw/zinc/94/09/26/902940926.db2.gz LNYNRBNBMPGPPF-UHFFFAOYSA-N 0 1 283.295 0.486 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@@H](NC(=O)CSCC#N)C2)[nH]1 ZINC001490995075 903283860 /nfs/dbraw/zinc/28/38/60/903283860.db2.gz PILZZNOENWENGH-AOOOYVTPSA-N 0 1 294.384 0.107 20 30 CCEDMN Cc1conc1CN[C@H]1C[C@@H](NC(=O)[C@@H](C)C#N)C1 ZINC001491003088 903286659 /nfs/dbraw/zinc/28/66/59/903286659.db2.gz NANITSVCYRYDMW-INTQDDNPSA-N 0 1 262.313 0.880 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)CCn1cncn1 ZINC001491197713 903438278 /nfs/dbraw/zinc/43/82/78/903438278.db2.gz ZNDIYCPITCZYEV-ZDUSSCGKSA-N 0 1 275.356 0.272 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C[C@H](C)n1cccn1 ZINC001329592913 903812222 /nfs/dbraw/zinc/81/22/22/903812222.db2.gz VPEGUACFOVUCOH-QWHCGFSZSA-N 0 1 262.357 0.904 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C[C@@H](C)n1cccn1 ZINC001329592910 903812314 /nfs/dbraw/zinc/81/23/14/903812314.db2.gz VPEGUACFOVUCOH-CHWSQXEVSA-N 0 1 262.357 0.904 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCc2cnccn2)C1 ZINC001210067085 903818013 /nfs/dbraw/zinc/81/80/13/903818013.db2.gz UDYFSKFUCRCZLL-UKRRQHHQSA-N 0 1 286.379 0.869 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@H]2C[C@@H]2OCC)CC1 ZINC001281799616 903980462 /nfs/dbraw/zinc/98/04/62/903980462.db2.gz YETDPEDNFFAFFO-KBPBESRZSA-N 0 1 294.395 0.378 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cccc(C)c1 ZINC001331668013 904004959 /nfs/dbraw/zinc/00/49/59/904004959.db2.gz SNSKHILTOIMESD-AWEZNQCLSA-N 0 1 260.337 0.699 20 30 CCEDMN COCC#CCN1CCC[C@@H](NC(=O)c2cnon2)CC1 ZINC001280759401 904148121 /nfs/dbraw/zinc/14/81/21/904148121.db2.gz LEAJPZQKULNQDE-GFCCVEGCSA-N 0 1 292.339 0.304 20 30 CCEDMN C#CC[N@H+](C)CCCN(C)C(=O)c1ccc(C#N)[nH]1 ZINC001280801926 904157000 /nfs/dbraw/zinc/15/70/00/904157000.db2.gz BKBRLKAJUBMMKH-UHFFFAOYSA-N 0 1 258.325 0.913 20 30 CCEDMN CN(CC#N)CCCN(C)C(=O)c1cccc2nn[nH]c21 ZINC001280822850 904158524 /nfs/dbraw/zinc/15/85/24/904158524.db2.gz RXEQGAXWAQEEDY-UHFFFAOYSA-N 0 1 286.339 0.875 20 30 CCEDMN C=CCCC(=O)N(C)C[C@@H](C)NC(=O)CCc1c[nH]nn1 ZINC001280983152 904190406 /nfs/dbraw/zinc/19/04/06/904190406.db2.gz FNZNHDRKHKEYSH-LLVKDONJSA-N 0 1 293.371 0.667 20 30 CCEDMN C=CCCC(=O)N(C)C[C@@H](C)NC(=O)CCc1cnn[nH]1 ZINC001280983152 904190413 /nfs/dbraw/zinc/19/04/13/904190413.db2.gz FNZNHDRKHKEYSH-LLVKDONJSA-N 0 1 293.371 0.667 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccncn1 ZINC001281964392 904378691 /nfs/dbraw/zinc/37/86/91/904378691.db2.gz GBPLQTIGYLLYTA-LBPRGKRZSA-N 0 1 258.325 0.646 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)Cc1cnc[nH]1 ZINC001281955735 904380551 /nfs/dbraw/zinc/38/05/51/904380551.db2.gz QEOXABVODJFUQU-CQSZACIVSA-N 0 1 274.368 0.898 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)CC1OCCCO1 ZINC001282376435 904461408 /nfs/dbraw/zinc/46/14/08/904461408.db2.gz ASLSKHKVPIGHIK-CYBMUJFWSA-N 0 1 298.383 0.226 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CN1CCCCC1=O ZINC001282422909 904474482 /nfs/dbraw/zinc/47/44/82/904474482.db2.gz HREVNVGZEGNZOJ-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C[C@@H](CNC(=O)c1ccn(-c2ccncc2)n1)N(C)CC#N ZINC001282432251 904475262 /nfs/dbraw/zinc/47/52/62/904475262.db2.gz YYPDWXPCPVCENY-LBPRGKRZSA-N 0 1 298.350 0.841 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)Cc1nonc1C ZINC001282479068 904485760 /nfs/dbraw/zinc/48/57/60/904485760.db2.gz SZQOGGVQOSLGCY-JTQLQIEISA-N 0 1 264.329 0.380 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001282477432 904487140 /nfs/dbraw/zinc/48/71/40/904487140.db2.gz JJPNOIDVLDFXIQ-STQMWFEESA-N 0 1 288.395 0.974 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001282700632 904524455 /nfs/dbraw/zinc/52/44/55/904524455.db2.gz BGIGNYIERYQTBR-MLGOLLRUSA-N 0 1 278.396 0.997 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)CNC(=O)N2CCCC2)C1 ZINC001282713957 904527831 /nfs/dbraw/zinc/52/78/31/904527831.db2.gz BUZRKUIDKYJCQQ-LBPRGKRZSA-N 0 1 294.399 0.414 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@@]2(C1)CN(CC)CCO2 ZINC001282782935 904542478 /nfs/dbraw/zinc/54/24/78/904542478.db2.gz WYALSSSVBAVHJB-HNNXBMFYSA-N 0 1 282.384 0.902 20 30 CCEDMN C[C@H](CCCNCc1cn(C)nn1)NC(=O)C#CC1CC1 ZINC001283173000 904729685 /nfs/dbraw/zinc/72/96/85/904729685.db2.gz HRHSCELDBNKNJP-GFCCVEGCSA-N 0 1 289.383 0.603 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@H](C)NCc1nccn1C ZINC001283608818 904926667 /nfs/dbraw/zinc/92/66/67/904926667.db2.gz VQBJTAGILVHWPP-QWHCGFSZSA-N 0 1 292.383 0.395 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N(C)CCCNC(=O)c1ncn[nH]1 ZINC001283620029 904934227 /nfs/dbraw/zinc/93/42/27/904934227.db2.gz RNLNUCKOKPFXPR-CQSZACIVSA-N 0 1 293.371 0.985 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N(C)CCCNC(=O)c1nc[nH]n1 ZINC001283620029 904934232 /nfs/dbraw/zinc/93/42/32/904934232.db2.gz RNLNUCKOKPFXPR-CQSZACIVSA-N 0 1 293.371 0.985 20 30 CCEDMN C=C(Cl)CN(CCNC(=O)Cc1nnc[nH]1)C1CC1 ZINC001377558137 904992163 /nfs/dbraw/zinc/99/21/63/904992163.db2.gz NFWMSGRODGHLME-UHFFFAOYSA-N 0 1 283.763 0.680 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccnn1[C@H](C)CC ZINC001283765431 905003519 /nfs/dbraw/zinc/00/35/19/905003519.db2.gz UPDMOLUPSUSARZ-OLZOCXBDSA-N 0 1 292.383 0.558 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](CC)CC(F)F ZINC001283765409 905003736 /nfs/dbraw/zinc/00/37/36/905003736.db2.gz UDJPTMXSIGSURE-ZJUUUORDSA-N 0 1 262.300 0.368 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1(C)CCC(F)(F)CC1 ZINC001283770954 905007031 /nfs/dbraw/zinc/00/70/31/905007031.db2.gz MPRBFQMRSAFWBF-LLVKDONJSA-N 0 1 288.338 0.902 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1(CCOC)CCC1 ZINC001283823586 905040184 /nfs/dbraw/zinc/04/01/84/905040184.db2.gz HYWGHFCNNJJQGR-CYBMUJFWSA-N 0 1 282.384 0.283 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1c(C)onc1CC ZINC001283826770 905040299 /nfs/dbraw/zinc/04/02/99/905040299.db2.gz DDHITBYTWISIBI-NSHDSACASA-N 0 1 279.340 0.249 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001283844652 905059964 /nfs/dbraw/zinc/05/99/64/905059964.db2.gz DMUBOKFOYKHPHK-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@@H]1CCN(Cc2nccn2C)C1 ZINC001377666568 905084363 /nfs/dbraw/zinc/08/43/63/905084363.db2.gz AWLFKVYUVLWEAL-QWHCGFSZSA-N 0 1 289.383 0.908 20 30 CCEDMN CCn1cc(CNCC2CC(NC(=O)[C@H](C)C#N)C2)nn1 ZINC001377688392 905102332 /nfs/dbraw/zinc/10/23/32/905102332.db2.gz KWFCKVZGXUGUIG-VOMCLLRMSA-N 0 1 290.371 0.442 20 30 CCEDMN C=C(C)CCC(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001284094787 905149326 /nfs/dbraw/zinc/14/93/26/905149326.db2.gz SYFPMCZHNKCRNB-AOOOYVTPSA-N 0 1 277.328 0.538 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccco1 ZINC001284479449 905312180 /nfs/dbraw/zinc/31/21/80/905312180.db2.gz ZDGVPAUORWWNPH-LLVKDONJSA-N 0 1 250.298 0.278 20 30 CCEDMN C=C(Cl)CN(C)[C@H](C)CNC(=O)Cc1n[nH]c(C)n1 ZINC001378048457 905375966 /nfs/dbraw/zinc/37/59/66/905375966.db2.gz MQUUIEVQZSOHJF-SECBINFHSA-N 0 1 285.779 0.845 20 30 CCEDMN C=C(C)CCC(=O)N(CC)CCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001284612178 905399509 /nfs/dbraw/zinc/39/95/09/905399509.db2.gz WLSQVNCSJCQQGS-VXGBXAGGSA-N 0 1 297.355 0.973 20 30 CCEDMN C=CCN(CCNC(=O)[C@@H]1C[C@H](C)CO1)CCOC ZINC001284657487 905411419 /nfs/dbraw/zinc/41/14/19/905411419.db2.gz JNSNJELLGWRHSY-STQMWFEESA-N 0 1 270.373 0.662 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1CCCN1C(=O)c1ccn[nH]1 ZINC001284665279 905411982 /nfs/dbraw/zinc/41/19/82/905411982.db2.gz GXGCERZXHGTAPM-GFCCVEGCSA-N 0 1 288.351 0.790 20 30 CCEDMN C#CCN1CC=C(CNC(=O)CC2OCCCO2)CC1 ZINC001284909202 905485240 /nfs/dbraw/zinc/48/52/40/905485240.db2.gz OEPAFAGSWCKADA-UHFFFAOYSA-N 0 1 278.352 0.521 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@H]1CC(=O)N(C)C1 ZINC001378260029 905544283 /nfs/dbraw/zinc/54/42/83/905544283.db2.gz WDLNRODYZAIHJV-QWRGUYRKSA-N 0 1 287.791 0.702 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)CNC(=O)[C@H]1CCCN1C ZINC001285223427 905579145 /nfs/dbraw/zinc/57/91/45/905579145.db2.gz WEUUOLOASHYXRW-UONOGXRCSA-N 0 1 293.411 0.753 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](C)N(C)C(=O)Cc1ncn[nH]1 ZINC001285707238 905727397 /nfs/dbraw/zinc/72/73/97/905727397.db2.gz NLNCLVROWAIIJL-NSHDSACASA-N 0 1 293.371 0.667 20 30 CCEDMN C=CCCOCC(=O)N[C@@H](C)CNC(=O)[C@@H]1CCCN1C ZINC001285744346 905751448 /nfs/dbraw/zinc/75/14/48/905751448.db2.gz KJMMZPCFCOLJSL-STQMWFEESA-N 0 1 297.399 0.294 20 30 CCEDMN CC#CCN1C[C@@H](c2ccccc2)[C@H](NC(=O)CC(N)=O)C1 ZINC001316641036 905756575 /nfs/dbraw/zinc/75/65/75/905756575.db2.gz ASQOQHBUDODOIQ-LSDHHAIUSA-N 0 1 299.374 0.469 20 30 CCEDMN C=CCC1(C(=O)N[C@@H](C)CNC(=O)c2cnn[nH]2)CCC1 ZINC001285775813 905764280 /nfs/dbraw/zinc/76/42/80/905764280.db2.gz QBAIGNKJERUMAL-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H]1CN(C(=O)COCC)CCO1 ZINC001378756211 905800609 /nfs/dbraw/zinc/80/06/09/905800609.db2.gz GJELFOLGWBITHQ-NEPJUHHUSA-N 0 1 290.791 0.981 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](O)CNCc1cccc(F)c1F ZINC001379190494 906052665 /nfs/dbraw/zinc/05/26/65/906052665.db2.gz QHCWWEYWPKGLKQ-KOLCDFICSA-N 0 1 297.305 0.691 20 30 CCEDMN Cc1nnc(NN=Cc2cnc3n2CCOC3)n1C ZINC001334099060 906158963 /nfs/dbraw/zinc/15/89/63/906158963.db2.gz BSJKTMIQZMWGAE-UHFFFAOYSA-N 0 1 261.289 0.296 20 30 CCEDMN N#Cc1ccc(CN[C@@H](CO)CNC(=O)CCCF)cc1 ZINC001379460179 906256661 /nfs/dbraw/zinc/25/66/61/906256661.db2.gz FHEFDKQKMPLOLL-CQSZACIVSA-N 0 1 293.342 0.875 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1ccsn1 ZINC001379797888 906485549 /nfs/dbraw/zinc/48/55/49/906485549.db2.gz GPKOMTNRTLMYCJ-SECBINFHSA-N 0 1 289.788 0.918 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)CCNC(=O)Cc1nnc[nH]1 ZINC001295922280 906823308 /nfs/dbraw/zinc/82/33/08/906823308.db2.gz OJIGLRXKZWMHFQ-LLVKDONJSA-N 0 1 293.371 0.715 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CNC(=O)NC)C[C@@H]1C ZINC001381094855 907070664 /nfs/dbraw/zinc/07/06/64/907070664.db2.gz CLMIGPVDTVKCMD-WCBMZHEXSA-N 0 1 288.779 0.104 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)CCN1CC[C@@H](F)C1 ZINC001297802377 907116366 /nfs/dbraw/zinc/11/63/66/907116366.db2.gz OCLXJJYKBVBAOB-VXGBXAGGSA-N 0 1 258.337 0.864 20 30 CCEDMN N#C[C@H](CO)NC(=O)c1cccc(Br)c1O ZINC001297879545 907125572 /nfs/dbraw/zinc/12/55/72/907125572.db2.gz BBRPKZAPOHIWCO-ZCFIWIBFSA-N 0 1 285.097 0.769 20 30 CCEDMN C=CCCCC(=O)N1CC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001299061371 907378118 /nfs/dbraw/zinc/37/81/18/907378118.db2.gz RIQVKZOZJKCDDR-JTQLQIEISA-N 0 1 277.328 0.492 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001491578045 907416244 /nfs/dbraw/zinc/41/62/44/907416244.db2.gz AGVUZLQTSUPZNR-MRVPVSSYSA-N 0 1 284.747 0.574 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)C1(C(=O)NC)CCC1 ZINC001491645136 907479934 /nfs/dbraw/zinc/47/99/34/907479934.db2.gz CYCAGPQULXOCQC-SNVBAGLBSA-N 0 1 287.791 0.750 20 30 CCEDMN COc1nccc(CN[C@@H](C)CN(C)C(=O)[C@@H](C)C#N)n1 ZINC001382059269 907519707 /nfs/dbraw/zinc/51/97/07/907519707.db2.gz RGCUVPDHUFOLSL-QWRGUYRKSA-N 0 1 291.355 0.581 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCCC(N)=O ZINC001382165540 907580953 /nfs/dbraw/zinc/58/09/53/907580953.db2.gz SISIQLJOPILGNG-JTQLQIEISA-N 0 1 275.780 0.831 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H](C)CC(N)=O ZINC001382126759 907563158 /nfs/dbraw/zinc/56/31/58/907563158.db2.gz WLYCFGOMFWIPOA-WCBMZHEXSA-N 0 1 275.780 0.687 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCCN(C(=O)C(C)C)C1 ZINC001491908913 907631452 /nfs/dbraw/zinc/63/14/52/907631452.db2.gz JTNCFQSYAAIGAZ-KGLIPLIRSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CCC[N@H+](C)[C@@H]1CCCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001491922863 907640944 /nfs/dbraw/zinc/64/09/44/907640944.db2.gz LRNVIFYKVXMRKQ-GFCCVEGCSA-N 0 1 290.367 0.983 20 30 CCEDMN C=C[C@@H](O)C(=O)NC[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC001303494691 908088516 /nfs/dbraw/zinc/08/85/16/908088516.db2.gz XOZPQFXDOSQCEL-MELADBBJSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CC(C)(C)C(=O)NCCCN(C)CC(=O)NC1CC1 ZINC001316842124 908188432 /nfs/dbraw/zinc/18/84/32/908188432.db2.gz DWHPQHZZJUQIDL-UHFFFAOYSA-N 0 1 281.400 0.915 20 30 CCEDMN CCC(=O)N1CCC[C@@H](N(C)CC#CCOC)C1 ZINC001317373437 908189190 /nfs/dbraw/zinc/18/91/90/908189190.db2.gz CZYYTSRYRJWWAG-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)[C@H]2C[C@@H]2C)C1 ZINC001317527392 908596593 /nfs/dbraw/zinc/59/65/93/908596593.db2.gz LQYLPRDAHUVPNH-AVGNSLFASA-N 0 1 279.384 0.383 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CC[N@@H+](CCn2cccn2)C1 ZINC001316973726 908704442 /nfs/dbraw/zinc/70/44/42/908704442.db2.gz ILGNJQMKTYZBIS-ZDUSSCGKSA-N 0 1 278.356 0.276 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCN(CCn2cccn2)C1 ZINC001316973726 908704455 /nfs/dbraw/zinc/70/44/55/908704455.db2.gz ILGNJQMKTYZBIS-ZDUSSCGKSA-N 0 1 278.356 0.276 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)NC(=O)c1cccc2nc[nH]c21 ZINC001386460662 908753057 /nfs/dbraw/zinc/75/30/57/908753057.db2.gz YDQBSLZXDTVPJA-ZJUUUORDSA-N 0 1 299.334 0.957 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@]2(C)CCOC2)C1 ZINC001316745894 908758826 /nfs/dbraw/zinc/75/88/26/908758826.db2.gz KWLKOFYSIXFNOV-GDBMZVCRSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)CO[C@@H](C)CC)C1 ZINC001316751132 908764036 /nfs/dbraw/zinc/76/40/36/908764036.db2.gz YQFFSQKVLMZBFQ-GJZGRUSLSA-N 0 1 296.411 0.720 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(C(=O)NC)CC2)[C@H]1C ZINC001316795731 908775445 /nfs/dbraw/zinc/77/54/45/908775445.db2.gz UDZHWAQOBNRSNO-MNOVXSKESA-N 0 1 265.357 0.278 20 30 CCEDMN C#CC[N@H+](C)C[C@@H]1CCCCN1C(=O)[C@]12C[C@H]1COC2 ZINC001316802672 908776544 /nfs/dbraw/zinc/77/65/44/908776544.db2.gz OCCMFQSIEVQYHD-DZKIICNBSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)[C@]12C[C@H]1COC2 ZINC001316802672 908776552 /nfs/dbraw/zinc/77/65/52/908776552.db2.gz OCCMFQSIEVQYHD-DZKIICNBSA-N 0 1 276.380 0.969 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCCC[C@H]1C[N@@H+](C)CCO ZINC001316804797 908776925 /nfs/dbraw/zinc/77/69/25/908776925.db2.gz WMKQRTMUCRZMQG-ZNMIVQPWSA-N 0 1 296.411 0.883 20 30 CCEDMN CC[C@@H]1C[C@H]1C(=O)NCCCN(C)CC(=O)NCC#N ZINC001316849860 908806694 /nfs/dbraw/zinc/80/66/94/908806694.db2.gz PBLAWKFHKVUMHG-VXGBXAGGSA-N 0 1 280.372 0.110 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCN(CC)CC(N)=O)C1 ZINC001316900573 908828138 /nfs/dbraw/zinc/82/81/38/908828138.db2.gz IUQZGOSVDYCTCJ-UHFFFAOYSA-N 0 1 267.373 0.656 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@@H]2CCCCO2)CC1 ZINC001316956592 908868708 /nfs/dbraw/zinc/86/87/08/908868708.db2.gz SZUMJJFGPFJPFR-HNNXBMFYSA-N 0 1 293.411 0.313 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)C#CC2CC2)CC1 ZINC001316962315 908871253 /nfs/dbraw/zinc/87/12/53/908871253.db2.gz AAHNSAHIZIVHCI-UHFFFAOYSA-N 0 1 275.396 0.710 20 30 CCEDMN COCC#CCN1CCC[C@@H](CNC(=O)CCCOC)C1 ZINC001317127860 909002346 /nfs/dbraw/zinc/00/23/46/909002346.db2.gz JITIBRCMDZXHHI-HNNXBMFYSA-N 0 1 296.411 0.891 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](C)CC(N)=O ZINC001317253195 909095344 /nfs/dbraw/zinc/09/53/44/909095344.db2.gz CLRZHBVZGJLKGK-APPZFPTMSA-N 0 1 261.753 0.345 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccnc2[nH]cnc21 ZINC001317447055 909213617 /nfs/dbraw/zinc/21/36/17/909213617.db2.gz QXMZPDANVWKDQK-UHFFFAOYSA-N 0 1 271.324 0.643 20 30 CCEDMN CC#CCN(C)CCNC(=O)Cc1ccn(CC)n1 ZINC001317447489 909214677 /nfs/dbraw/zinc/21/46/77/909214677.db2.gz WURRMOJZWGAAAL-UHFFFAOYSA-N 0 1 262.357 0.517 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](C)[C@@H]1CCCO1 ZINC001317458863 909235576 /nfs/dbraw/zinc/23/55/76/909235576.db2.gz DVCUGEGGNCHXDU-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H](C)NC(=O)CC(C)(C)C ZINC001317464954 909246668 /nfs/dbraw/zinc/24/66/68/909246668.db2.gz DJROFJBQRAFAEV-GFCCVEGCSA-N 0 1 281.400 0.609 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N[C@H]2CCN(C)[C@@H]2C)cc1 ZINC001338479758 921480120 /nfs/dbraw/zinc/48/01/20/921480120.db2.gz PJPDXMGFGYHKDU-RISCZKNCSA-N 0 1 285.347 0.815 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)C[C@H]1CCNC1=O ZINC001317503405 909274161 /nfs/dbraw/zinc/27/41/61/909274161.db2.gz YJBSNRKQSOBGMS-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)CCc1cnn(C)n1 ZINC001317507923 909279126 /nfs/dbraw/zinc/27/91/26/909279126.db2.gz GLPPBRDHSLRJLL-AWEZNQCLSA-N 0 1 289.383 0.352 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCCn1cncn1 ZINC001317508799 909281625 /nfs/dbraw/zinc/28/16/25/909281625.db2.gz MLFJVZJUMDKSCH-ZDUSSCGKSA-N 0 1 275.356 0.272 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)CC(C)C)C1 ZINC001317518337 909283517 /nfs/dbraw/zinc/28/35/17/909283517.db2.gz RAJRSSBOEJVWJD-KGLIPLIRSA-N 0 1 293.411 0.609 20 30 CCEDMN COCC#CCN1CC[C@H](CNC(=O)c2cnccn2)C1 ZINC001317519636 909287090 /nfs/dbraw/zinc/28/70/90/909287090.db2.gz ZGZBUWJGFKBCGP-CYBMUJFWSA-N 0 1 288.351 0.178 20 30 CCEDMN C#CCN(CCNC(=O)c1cnc2c(cnn2C)c1)C1CC1 ZINC001317558733 909327898 /nfs/dbraw/zinc/32/78/98/909327898.db2.gz ZLKTWCGTQQIXNC-UHFFFAOYSA-N 0 1 297.362 0.796 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)Cc1cc[nH]n1 ZINC001317577930 909371218 /nfs/dbraw/zinc/37/12/18/909371218.db2.gz UMHOULMRPOBYSS-UHFFFAOYSA-N 0 1 256.737 0.753 20 30 CCEDMN C#CCN1CC[C@@H](N(CCC)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001317761041 909483514 /nfs/dbraw/zinc/48/35/14/909483514.db2.gz CRTJIZNOFVIMRT-GFCCVEGCSA-N 0 1 290.367 0.983 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@H]2CCCCO2)CC1 ZINC001317816591 909511443 /nfs/dbraw/zinc/51/14/43/909511443.db2.gz JNFQIEBCYUCOEL-OAHLLOKOSA-N 0 1 295.427 0.818 20 30 CCEDMN COC[C@@H](O)CN1CCC[C@H](NC(=O)C#CC(C)C)C1 ZINC001317969201 909555448 /nfs/dbraw/zinc/55/54/48/909555448.db2.gz PIVQBZVBHIBIGR-KBPBESRZSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001317961804 909555685 /nfs/dbraw/zinc/55/56/85/909555685.db2.gz RKYLPWFQJHWFNL-STQMWFEESA-N 0 1 265.357 0.929 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@@H]2CO[C@@H](C)C2)C1 ZINC001318130317 909635337 /nfs/dbraw/zinc/63/53/37/909635337.db2.gz UXUXYBRJVDHTNL-JSGCOSHPSA-N 0 1 282.384 0.662 20 30 CCEDMN COc1cc(C(=O)NC2(C#N)CCN(C)CC2)ccn1 ZINC001318359275 909721364 /nfs/dbraw/zinc/72/13/64/909721364.db2.gz PRZGKPNBRCLTDQ-UHFFFAOYSA-N 0 1 274.324 0.808 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCCN(CCn2cncn2)C1 ZINC001389362010 909848427 /nfs/dbraw/zinc/84/84/27/909848427.db2.gz LCSSLKSJIGGCMY-RYUDHWBXSA-N 0 1 276.344 0.018 20 30 CCEDMN C[C@@](CNCC#N)(NC(=O)c1[nH]ncc1F)C1CC1 ZINC001318715228 909869969 /nfs/dbraw/zinc/86/99/69/909869969.db2.gz UCFNGPILRSLYRQ-LBPRGKRZSA-N 0 1 265.292 0.560 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)Cn2cccn2)C1 ZINC001319295053 910077357 /nfs/dbraw/zinc/07/73/57/910077357.db2.gz JHDPAEUPHNUDHO-AWEZNQCLSA-N 0 1 292.383 0.666 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)Cc2cc[nH]n2)C1 ZINC001319296372 910082016 /nfs/dbraw/zinc/08/20/16/910082016.db2.gz CBIXJRYBNCULSW-CQSZACIVSA-N 0 1 292.383 0.735 20 30 CCEDMN C#CCOCCN(C)[C@H](C)C(=O)NCCCOC ZINC001319657444 910242246 /nfs/dbraw/zinc/24/22/46/910242246.db2.gz UXJANTMZLXFLOM-GFCCVEGCSA-N 0 1 256.346 0.109 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](C)NCc1cc2n(n1)CCC2 ZINC001390298083 910318312 /nfs/dbraw/zinc/31/83/12/910318312.db2.gz CCEDQDJDMVTDQN-QWRGUYRKSA-N 0 1 275.356 0.583 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccnc2ccnn21 ZINC001320008970 910411402 /nfs/dbraw/zinc/41/14/02/910411402.db2.gz PDKHEPMESRYIGJ-UHFFFAOYSA-N 0 1 271.324 0.366 20 30 CCEDMN C=CCCC(=O)NC[C@@H](O)CNCc1snnc1C ZINC001320203556 910514400 /nfs/dbraw/zinc/51/44/00/910514400.db2.gz NJZRNKVTFXMYDM-JTQLQIEISA-N 0 1 284.385 0.379 20 30 CCEDMN C=CCn1c(C2=NO[C@H](CO)C2)nnc1N1CCC[C@H]1C ZINC001338934735 921606159 /nfs/dbraw/zinc/60/61/59/921606159.db2.gz DBPAYORINMGEBF-MNOVXSKESA-N 0 1 291.355 0.938 20 30 CCEDMN Cc1ncc(CNC/C=C/CNC(=O)[C@@H](C)C#N)cn1 ZINC001320996368 910995843 /nfs/dbraw/zinc/99/58/43/910995843.db2.gz DBKNRAMFSGOIGO-UFFNRZRYSA-N 0 1 273.340 0.707 20 30 CCEDMN C=CCCC(=O)NC/C=C/CNCC(=O)N(C)C ZINC001320998493 910997129 /nfs/dbraw/zinc/99/71/29/910997129.db2.gz HKGIUMLTMWCJRH-VOTSOKGWSA-N 0 1 253.346 0.303 20 30 CCEDMN C#Cc1ccc(C(=O)NC/C=C/CN[C@H]2CCNC2=O)cc1 ZINC001321191902 911150510 /nfs/dbraw/zinc/15/05/10/911150510.db2.gz JUYIRDOJLVYBID-BWPKMQGJSA-N 0 1 297.358 0.432 20 30 CCEDMN CC#CCCCC(=O)NC/C=C/CNCC(=O)N(C)C ZINC001321199440 911154065 /nfs/dbraw/zinc/15/40/65/911154065.db2.gz HWHWFBVSXFZSEA-CMDGGOBGSA-N 0 1 279.384 0.530 20 30 CCEDMN C#Cc1ccc(C(=O)NC/C=C\CNCCF)nc1 ZINC001321369150 911262601 /nfs/dbraw/zinc/26/26/01/911262601.db2.gz QAUWDRDBBUURRM-ARJAWSKDSA-N 0 1 261.300 0.908 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1nnn(C(C)(C)C)n1 ZINC001322161462 911688710 /nfs/dbraw/zinc/68/87/10/911688710.db2.gz ULIGXTRXILACCI-JTQLQIEISA-N 0 1 278.360 0.111 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@]1(C)CCNC(=O)C1 ZINC001322183945 911699368 /nfs/dbraw/zinc/69/93/68/911699368.db2.gz WETCUVNWRMDWJZ-SWLSCSKDSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H]1OCC[C@H]1CC ZINC001322178655 911699692 /nfs/dbraw/zinc/69/96/92/911699692.db2.gz YGNHPLGCHNASGJ-XQQFMLRXSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cccn2c(=O)[nH]nc12 ZINC001322281250 911742226 /nfs/dbraw/zinc/74/22/26/911742226.db2.gz MVNQOVCURGZFOL-JTQLQIEISA-N 0 1 287.323 0.118 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)NCCCCC#N)n[nH]1 ZINC001322572554 911867142 /nfs/dbraw/zinc/86/71/42/911867142.db2.gz XXPLFVWGEFUDKX-UHFFFAOYSA-N 0 1 263.301 0.144 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cccn(C)c2=O)CC1 ZINC001322781874 911947385 /nfs/dbraw/zinc/94/73/85/911947385.db2.gz ZWQNHDGANPUQPQ-UHFFFAOYSA-N 0 1 274.324 0.103 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@](C)(O)C=C ZINC001323232130 912207662 /nfs/dbraw/zinc/20/76/62/912207662.db2.gz NQPRDYGKBYWMIE-GXTWGEPZSA-N 0 1 250.342 0.527 20 30 CCEDMN COCC#CC(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC001328209638 915031144 /nfs/dbraw/zinc/03/11/44/915031144.db2.gz PDHVDOYSMARBLT-UHFFFAOYSA-N 0 1 289.335 0.389 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2nocc2C)CC1 ZINC001328554602 915269292 /nfs/dbraw/zinc/26/92/92/915269292.db2.gz KRBMCWWNOOSOGP-UHFFFAOYSA-N 0 1 279.340 0.726 20 30 CCEDMN COCC#CC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC001328622933 915313848 /nfs/dbraw/zinc/31/38/48/915313848.db2.gz AXLLRWAYOSFGEW-ZDUSSCGKSA-N 0 1 268.357 0.109 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)CO[C@H]1CCOC1 ZINC001328720051 915383305 /nfs/dbraw/zinc/38/33/05/915383305.db2.gz LQWCFUGAMWTHOK-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCCN1CCNC(=O)C1CC1 ZINC001399830915 915401229 /nfs/dbraw/zinc/40/12/29/915401229.db2.gz MNPNSKFZSZRRSO-YPMHNXCESA-N 0 1 292.383 0.253 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](NCc2ncnn2CCF)C1 ZINC001418047658 921785409 /nfs/dbraw/zinc/78/54/09/921785409.db2.gz BJVYJFPKMQOYCA-GMTAPVOTSA-N 0 1 294.334 0.144 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@](C)(NC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001400928820 915988922 /nfs/dbraw/zinc/98/89/22/915988922.db2.gz PTTFPTQIEFXTDX-LKFCYVNXSA-N 0 1 289.339 0.599 20 30 CCEDMN Cc1nnc(CNC[C@@H](O)CC(C)(C)C#N)n1C ZINC001329570958 916023340 /nfs/dbraw/zinc/02/33/40/916023340.db2.gz KDRHBLPSQRRUTA-JTQLQIEISA-N 0 1 251.334 0.514 20 30 CCEDMN C#CC[C@@H]1NC(=O)N(Cc2n[nH]c([C@@H]3CCCO3)n2)C1=O ZINC001329871787 916244582 /nfs/dbraw/zinc/24/45/82/916244582.db2.gz WSOHTFLUWDXQGQ-IUCAKERBSA-N 0 1 289.295 0.100 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCc2nc[nH]c2C12CCOCC2 ZINC001329964499 916315945 /nfs/dbraw/zinc/31/59/45/916315945.db2.gz AJDMSDBLLCAPCW-NSHDSACASA-N 0 1 277.324 0.347 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001330022410 916361243 /nfs/dbraw/zinc/36/12/43/916361243.db2.gz RFUKIOHIGCBKDO-NHYWBVRUSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N2C[C@H](C)N[C@@H](C)C2)C1 ZINC001330200029 916472824 /nfs/dbraw/zinc/47/28/24/916472824.db2.gz DXLWPHSIHANPPZ-RWMBFGLXSA-N 0 1 279.384 0.620 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCOCCOC)C(C)(C)C1 ZINC001330260042 916513401 /nfs/dbraw/zinc/51/34/01/916513401.db2.gz ACIGUTBJBLLDSZ-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H](C)NC(C)=O)C1CC1 ZINC001401819980 916611839 /nfs/dbraw/zinc/61/18/39/916611839.db2.gz FDBWECPOMJBFNF-SKDRFNHKSA-N 0 1 287.791 0.748 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC001330518765 916677116 /nfs/dbraw/zinc/67/71/16/916677116.db2.gz GBQCKRYHXAYVOI-HBNTYKKESA-N 0 1 271.336 0.355 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC(C)(NC(=O)[C@H](C)C#N)CC1 ZINC001402082715 916795487 /nfs/dbraw/zinc/79/54/87/916795487.db2.gz XCJYGRAESKTISJ-MNOVXSKESA-N 0 1 280.372 0.381 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H](C)CCCNCC#N)n[nH]1 ZINC001330813403 916891529 /nfs/dbraw/zinc/89/15/29/916891529.db2.gz RQDHXNIFHJUJIM-VIFPVBQESA-N 0 1 264.333 0.054 20 30 CCEDMN CNC(=O)CN(C)C[C@@H]1CCCN(C(=O)[C@@H](C)C#N)C1 ZINC001402366116 916982192 /nfs/dbraw/zinc/98/21/92/916982192.db2.gz CBPILXGDYDYSRA-RYUDHWBXSA-N 0 1 280.372 0.062 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@@H](C)NCc1conc1C ZINC001331437873 917334438 /nfs/dbraw/zinc/33/44/38/917334438.db2.gz CXAUXNPSCIUCHG-DGCLKSJQSA-N 0 1 293.367 0.958 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)N(C)Cc2nnc[nH]2)C1 ZINC001331627875 917463222 /nfs/dbraw/zinc/46/32/22/917463222.db2.gz DMVYKIKXPMUVCN-JTQLQIEISA-N 0 1 277.328 0.188 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)/C=C\c1ccc[nH]1 ZINC001331707749 917535615 /nfs/dbraw/zinc/53/56/15/917535615.db2.gz OOOYWKKKKFGOGE-FWWRYZNZSA-N 0 1 261.325 0.118 20 30 CCEDMN C=C1CCC(C(=O)NC[C@@H](CO)N[C@H](CC)C(N)=O)CC1 ZINC001331787580 917607451 /nfs/dbraw/zinc/60/74/51/917607451.db2.gz KGRMDGWDSDYNCN-QWHCGFSZSA-N 0 1 297.399 0.063 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cccn2ccnc12 ZINC001331805376 917623168 /nfs/dbraw/zinc/62/31/68/917623168.db2.gz SNSXPJFSFXZMCN-LBPRGKRZSA-N 0 1 286.335 0.038 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc(OC)c1OC ZINC001331821333 917637100 /nfs/dbraw/zinc/63/71/00/917637100.db2.gz YLTKIJCUNKUCFS-NSHDSACASA-N 0 1 292.335 0.017 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C[C@@H](C)n1cccc1 ZINC001331824674 917641138 /nfs/dbraw/zinc/64/11/38/917641138.db2.gz JBFPKBBGMCRESD-CHWSQXEVSA-N 0 1 263.341 0.139 20 30 CCEDMN C=CC[C@H](NC(=O)CN1CCCC1)C(=O)OCC ZINC001332352593 918074783 /nfs/dbraw/zinc/07/47/83/918074783.db2.gz KELHENYBKVWHOX-NSHDSACASA-N 0 1 254.330 0.706 20 30 CCEDMN C=CC[C@@H]1NC(=O)N(Cc2n[nH]c(C(C)C)n2)C1=O ZINC001332463939 918178843 /nfs/dbraw/zinc/17/88/43/918178843.db2.gz XJEZQIQWBYMVPH-QMMMGPOBSA-N 0 1 263.301 0.925 20 30 CCEDMN C=C(C)CCC(=O)N(C)C[C@@H](O)CNCc1cnnn1C ZINC001332515778 918243763 /nfs/dbraw/zinc/24/37/63/918243763.db2.gz FFDQSXLKNMFWQC-ZDUSSCGKSA-N 0 1 295.387 0.080 20 30 CCEDMN CCC[C@H](C)C(=O)N(C)C[C@@H](O)CN(C)CC#CCOC ZINC001332621403 918321763 /nfs/dbraw/zinc/32/17/63/918321763.db2.gz UQUNWKLKFKZEAB-GJZGRUSLSA-N 0 1 298.427 0.824 20 30 CCEDMN C=CCN(CCNC(=O)C#CC(C)C)CCOC ZINC001332913437 918524953 /nfs/dbraw/zinc/52/49/53/918524953.db2.gz FMPCSBWGHUMDQF-UHFFFAOYSA-N 0 1 252.358 0.896 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@](C)(CNCc1nncn1C)C1CC1 ZINC001405440192 918768734 /nfs/dbraw/zinc/76/87/34/918768734.db2.gz JXNIQORCRDEJKR-IINYFYTJSA-N 0 1 290.371 0.349 20 30 CCEDMN C#CCN(CC)CCNC(=O)C1CCC(O)CC1 ZINC001492941670 918787976 /nfs/dbraw/zinc/78/79/76/918787976.db2.gz HIQKWFIBPOMQRJ-UHFFFAOYSA-N 0 1 252.358 0.609 20 30 CCEDMN CCCn1ncnc1CNC[C@@H](C)CNC(=O)[C@H](C)C#N ZINC001405638003 918838368 /nfs/dbraw/zinc/83/83/68/918838368.db2.gz IHWUCIDDOFNXLZ-VXGBXAGGSA-N 0 1 292.387 0.690 20 30 CCEDMN C#CCCCNC(=O)C[N@@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001333370899 918843174 /nfs/dbraw/zinc/84/31/74/918843174.db2.gz VNNSLSZSSYNLJH-LLVKDONJSA-N 0 1 252.314 0.313 20 30 CCEDMN C#CCN1CCC[C@H](Nc2ccc(C(N)=O)cn2)C1 ZINC001333958592 919274913 /nfs/dbraw/zinc/27/49/13/919274913.db2.gz CHRAMHKQIRTFNO-LBPRGKRZSA-N 0 1 258.325 0.690 20 30 CCEDMN N#CC[C@@H](O)CN1CCC2(CCNC(=O)O2)CC1 ZINC001334526402 919636171 /nfs/dbraw/zinc/63/61/71/919636171.db2.gz AKQYCLPZLJRBKS-SNVBAGLBSA-N 0 1 253.302 0.225 20 30 CCEDMN C#CCOCCN(C)C[C@H]1CN=C(c2cnn(C)c2)O1 ZINC001334642753 919688507 /nfs/dbraw/zinc/68/85/07/919688507.db2.gz FAYFVFNWKQBJSS-CYBMUJFWSA-N 0 1 276.340 0.147 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCCN(C(=O)c2ccn[nH]2)C1 ZINC001407941498 919962446 /nfs/dbraw/zinc/96/24/46/919962446.db2.gz MEGZKEDNNPVXCX-QWRGUYRKSA-N 0 1 289.339 0.538 20 30 CCEDMN C=CCCCN(CC)C(=O)C(=O)N(C)Cc1nnc[nH]1 ZINC001335169222 919993996 /nfs/dbraw/zinc/99/39/96/919993996.db2.gz JDCSAIFRXQNDLE-UHFFFAOYSA-N 0 1 279.344 0.578 20 30 CCEDMN CC#CCN(CC)CCNC(=O)C[C@H]1CCN(C)C1=O ZINC001492973436 920020566 /nfs/dbraw/zinc/02/05/66/920020566.db2.gz PGZPHRKREQQIQW-CYBMUJFWSA-N 0 1 279.384 0.316 20 30 CCEDMN C=CC[C@H]1NC(=O)N(Cc2n[nH]c([C@H]3CCCO3)n2)C1=O ZINC001335244973 920054285 /nfs/dbraw/zinc/05/42/85/920054285.db2.gz FZEHQRFCICXXTM-RKDXNWHRSA-N 0 1 291.311 0.653 20 30 CCEDMN C=CCO[C@H]1CC[N@@H+](Cc2cnc(C(=O)[O-])cn2)C1 ZINC001335365654 920146407 /nfs/dbraw/zinc/14/64/07/920146407.db2.gz BZHRVUSJHKDYLC-NSHDSACASA-N 0 1 263.297 0.952 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](Cc2cnc(C(=O)[O-])cn2)C1 ZINC001335365654 920146414 /nfs/dbraw/zinc/14/64/14/920146414.db2.gz BZHRVUSJHKDYLC-NSHDSACASA-N 0 1 263.297 0.952 20 30 CCEDMN C=CCCCCNC(=O)N1CCNC[C@H]1COC ZINC001335558359 920279202 /nfs/dbraw/zinc/27/92/02/920279202.db2.gz SJANFVZCGPPXLH-LBPRGKRZSA-N 0 1 255.362 0.973 20 30 CCEDMN C[C@H](C#N)CNC(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001414608019 920423523 /nfs/dbraw/zinc/42/35/23/920423523.db2.gz OSTPUZHRZICUFI-SECBINFHSA-N 0 1 285.311 0.826 20 30 CCEDMN C#CCOCCN(C)[C@@H](C)C(=O)NCc1ccco1 ZINC001336067053 920591778 /nfs/dbraw/zinc/59/17/78/920591778.db2.gz NEBBDFXNQNQOLZ-LBPRGKRZSA-N 0 1 264.325 0.866 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CN(C(=O)c2ccn3cncc3c2)C1 ZINC001416045310 920904850 /nfs/dbraw/zinc/90/48/50/920904850.db2.gz FIEYAJISVQIBPI-SNVBAGLBSA-N 0 1 297.318 0.435 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@@H]1CCOC)[C@H]1CCCO1 ZINC001336857329 921061019 /nfs/dbraw/zinc/06/10/19/921061019.db2.gz BLKUCVRQLSDKSR-MELADBBJSA-N 0 1 295.383 0.187 20 30 CCEDMN CCCNC(=O)CN1CCC[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001418119761 921844950 /nfs/dbraw/zinc/84/49/50/921844950.db2.gz DBYLAPMPIUNXSW-OLZOCXBDSA-N 0 1 294.399 0.500 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@H](c2ccccc2F)C1 ZINC001339908843 921875229 /nfs/dbraw/zinc/87/52/29/921875229.db2.gz NJEWWIVTNADOMW-AWEZNQCLSA-N 0 1 276.311 0.948 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)NC[C@H]1COCCN1 ZINC001340711444 922179438 /nfs/dbraw/zinc/17/94/38/922179438.db2.gz ZNJAVHONFIAYAE-LBPRGKRZSA-N 0 1 259.309 0.585 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C[C@@H](C)CC)C(=O)OC ZINC001341166986 922365489 /nfs/dbraw/zinc/36/54/89/922365489.db2.gz SXAYIISOYWTXKM-QWRGUYRKSA-N 0 1 254.330 0.303 20 30 CCEDMN C[C@H]1OC(=O)N[C@@H]1C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001418730600 922390960 /nfs/dbraw/zinc/39/09/60/922390960.db2.gz BOIIOGBJGCVJHU-LDWIPMOCSA-N 0 1 285.263 0.870 20 30 CCEDMN C#CCNCC(=O)NC[C@@](C)(CC(C)C)C(=O)OCC ZINC001341272631 922406842 /nfs/dbraw/zinc/40/68/42/922406842.db2.gz FFCGKPWXVPQSGP-OAHLLOKOSA-N 0 1 282.384 0.941 20 30 CCEDMN C=C(Br)CNC(=O)[C@@H](N)C(C)(C)O ZINC001341394338 922461428 /nfs/dbraw/zinc/46/14/28/922461428.db2.gz RTBWXQIJDJBFIY-ZCFIWIBFSA-N 0 1 251.124 0.109 20 30 CCEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC001418934391 922495657 /nfs/dbraw/zinc/49/56/57/922495657.db2.gz USWRPPCFALGJRV-SNVBAGLBSA-N 0 1 283.763 0.951 20 30 CCEDMN C#CCNCC(=O)NCc1c(CC)nn(C)c1CC ZINC001341791249 922674330 /nfs/dbraw/zinc/67/43/30/922674330.db2.gz HQSZPLGECIOFNH-UHFFFAOYSA-N 0 1 262.357 0.384 20 30 CCEDMN CC(=O)N1CC[C@@H](CN(C)CC(=O)NC2(C#N)CCC2)C1 ZINC001342006136 922758444 /nfs/dbraw/zinc/75/84/44/922758444.db2.gz YWBUXWRACFBGCW-ZDUSSCGKSA-N 0 1 292.383 0.349 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](NCc2ncc(Cl)n2C)C1 ZINC001419461138 922856391 /nfs/dbraw/zinc/85/63/91/922856391.db2.gz LRUIQOGWXLMWTB-LPEHRKFASA-N 0 1 295.774 0.970 20 30 CCEDMN C#CCNCC(=O)NCCOC1CCSCC1 ZINC001342291532 922897695 /nfs/dbraw/zinc/89/76/95/922897695.db2.gz IZSPXIIMNVVNDD-UHFFFAOYSA-N 0 1 256.371 0.238 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NCc2cn(C)nn2)CCCC1 ZINC001419589195 922937338 /nfs/dbraw/zinc/93/73/38/922937338.db2.gz FHEYMHRRIVMJQS-NSHDSACASA-N 0 1 290.371 0.493 20 30 CCEDMN C#CCNCC(=O)NCc1nn(C)cc1C(F)F ZINC001342391355 922942707 /nfs/dbraw/zinc/94/27/07/922942707.db2.gz DHJVHNUHDNBPLV-UHFFFAOYSA-N 0 1 256.256 0.197 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2COC[C@H]2C2CC2)CC1 ZINC001342666847 923047905 /nfs/dbraw/zinc/04/79/05/923047905.db2.gz SGRIWLKRJNMJRN-GJZGRUSLSA-N 0 1 291.395 0.808 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@H]1c1noc(C)n1 ZINC001343197529 923232839 /nfs/dbraw/zinc/23/28/39/923232839.db2.gz SNUHGQNMAHODTC-NSHDSACASA-N 0 1 262.313 0.654 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1cc[n+]([O-])cc1 ZINC001343367083 923286568 /nfs/dbraw/zinc/28/65/68/923286568.db2.gz QZOHOXDURNHHFI-NSHDSACASA-N 0 1 282.259 0.676 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2cccnc2n1 ZINC001343469289 923333430 /nfs/dbraw/zinc/33/34/30/923333430.db2.gz WJIMODUGTIBPNJ-CMPLNLGQSA-N 0 1 267.292 0.471 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC1CC1)[C@@H]1CCOC1 ZINC001343492100 923347738 /nfs/dbraw/zinc/34/77/38/923347738.db2.gz RFTRJROPOWIIOB-QRTLGDNMSA-N 0 1 266.297 0.025 20 30 CCEDMN CCC1(CC(=O)C(C#N)C(=O)NCCCOC)COC1 ZINC001343563059 923372887 /nfs/dbraw/zinc/37/28/87/923372887.db2.gz HXBFAUOLYBRHSL-NSHDSACASA-N 0 1 282.340 0.665 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@H](Oc2ccccc2)C1 ZINC001344058147 923541587 /nfs/dbraw/zinc/54/15/87/923541587.db2.gz OJSBGDQZAGDMBP-CQSZACIVSA-N 0 1 258.321 0.889 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1COc2cccc(C)c2C1 ZINC001344116858 923555301 /nfs/dbraw/zinc/55/53/01/923555301.db2.gz WNPZTDNFCHYDRO-LBPRGKRZSA-N 0 1 258.321 0.638 20 30 CCEDMN C#CCN1CCC(OC(=O)COC[C@@H]2CCCO2)CC1 ZINC001344373990 923587482 /nfs/dbraw/zinc/58/74/82/923587482.db2.gz YBHPHTXRTDIGNR-AWEZNQCLSA-N 0 1 281.352 0.823 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2CCC[C@H](C(N)=O)C2)CC1 ZINC001344373852 923588306 /nfs/dbraw/zinc/58/83/06/923588306.db2.gz WVUHRKIWUHPFKC-STQMWFEESA-N 0 1 292.379 0.919 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cnnn1CC ZINC001420411805 923637193 /nfs/dbraw/zinc/63/71/93/923637193.db2.gz BENDFVXXAAXVOE-VIFPVBQESA-N 0 1 271.752 0.758 20 30 CCEDMN C[C@H]1CCC[N@@H+](CCNC(=O)C(=O)NCCCC#N)C1 ZINC001345019065 923762514 /nfs/dbraw/zinc/76/25/14/923762514.db2.gz MJHSRPBRQANFPM-LBPRGKRZSA-N 0 1 280.372 0.254 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1nc(-c2cccs2)no1 ZINC001345255448 923840710 /nfs/dbraw/zinc/84/07/10/923840710.db2.gz PPPAQFDKWYFJCJ-UHFFFAOYSA-N 0 1 290.348 0.979 20 30 CCEDMN CC(C)[C@@H](C)NC(=O)CNC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001420920201 924024762 /nfs/dbraw/zinc/02/47/62/924024762.db2.gz KMRGRXCJVUMJKH-NWDGAFQWSA-N 0 1 294.399 0.545 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@H](C(C)(C)C)CC1 ZINC001346385096 924185643 /nfs/dbraw/zinc/18/56/43/924185643.db2.gz LXNTYYXASHPWEN-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)[C@@H]2CN3CCN2C[C@H]3C)C1 ZINC001347341949 924427482 /nfs/dbraw/zinc/42/74/82/924427482.db2.gz CYVOUSLOOXWQMC-MCIONIFRSA-N 0 1 263.385 0.799 20 30 CCEDMN C=CCOCCNC(=O)C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC001347348368 924428011 /nfs/dbraw/zinc/42/80/11/924428011.db2.gz OHZYYFSZYFONOL-SNVBAGLBSA-N 0 1 294.355 0.084 20 30 CCEDMN C=CCC1(O)CN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001347805226 924541919 /nfs/dbraw/zinc/54/19/19/924541919.db2.gz UBTAQHWUWLFJOB-SNVBAGLBSA-N 0 1 261.325 0.979 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CCC[N@H+]1C1CC1)C(=O)[O-] ZINC001348490371 924705147 /nfs/dbraw/zinc/70/51/47/924705147.db2.gz QYBLWQOPRCKBTF-NWDGAFQWSA-N 0 1 282.340 0.385 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)[nH]1 ZINC001348619670 924754769 /nfs/dbraw/zinc/75/47/69/924754769.db2.gz ZDZXVBMTDPBICI-QMMMGPOBSA-N 0 1 256.269 0.634 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCNC(=O)[C@H]1CCCN1C ZINC001349453487 924964193 /nfs/dbraw/zinc/96/41/93/924964193.db2.gz SWAXYZCUSAIMTP-QWHCGFSZSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCOCCN(C)[C@@H]1CCN(C2CCC2)C1=O ZINC001349646322 925012952 /nfs/dbraw/zinc/01/29/52/925012952.db2.gz IMMVCFUGXXCETO-CYBMUJFWSA-N 0 1 250.342 0.721 20 30 CCEDMN CC[C@H](C#N)C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001349811606 925067370 /nfs/dbraw/zinc/06/73/70/925067370.db2.gz MAQKMIKTBKJJBK-BDAKNGLRSA-N 0 1 263.301 0.822 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001349878241 925082519 /nfs/dbraw/zinc/08/25/19/925082519.db2.gz BPDWFGJTYHFUIE-KBPBESRZSA-N 0 1 298.431 0.106 20 30 CCEDMN C=CCC1(NC(=O)[C@@H]2CN3CCN2C[C@H]3C)CCOCC1 ZINC001350081479 925127029 /nfs/dbraw/zinc/12/70/29/925127029.db2.gz UNHXCPQRULZYJF-KGLIPLIRSA-N 0 1 293.411 0.616 20 30 CCEDMN C#C[C@@H](NC(=O)N(C)Cc1nnc[nH]1)C1CCOCC1 ZINC001350394001 925188048 /nfs/dbraw/zinc/18/80/48/925188048.db2.gz GTYNSBSPCUAWJT-LLVKDONJSA-N 0 1 277.328 0.375 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@H](CC(F)(F)F)C(=O)[O-] ZINC001350768872 925274950 /nfs/dbraw/zinc/27/49/50/925274950.db2.gz OEVKCWXXIYUKGM-BDAKNGLRSA-N 0 1 292.257 0.606 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](CC(F)(F)F)C(=O)[O-] ZINC001350768872 925274960 /nfs/dbraw/zinc/27/49/60/925274960.db2.gz OEVKCWXXIYUKGM-BDAKNGLRSA-N 0 1 292.257 0.606 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC001351129845 925365410 /nfs/dbraw/zinc/36/54/10/925365410.db2.gz QIAYINLZBFEXPL-LBPRGKRZSA-N 0 1 267.373 0.574 20 30 CCEDMN C=C(CC)C[NH+]1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001351665920 925453163 /nfs/dbraw/zinc/45/31/63/925453163.db2.gz ICURHOVFFLWGKX-CQSZACIVSA-N 0 1 268.357 0.814 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CC[C@@H](c2nn[nH]n2)C1 ZINC001352583948 925601922 /nfs/dbraw/zinc/60/19/22/925601922.db2.gz GRTNQHMRPZGYQD-VWYCJHECSA-N 0 1 277.328 0.497 20 30 CCEDMN COc1cc(C)cnc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC001352839356 925656206 /nfs/dbraw/zinc/65/62/06/925656206.db2.gz MYLYTXPCCSVDDB-VHSXEESVSA-N 0 1 260.297 0.240 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](C)NCc1cnnn1CC ZINC001480453639 925754597 /nfs/dbraw/zinc/75/45/97/925754597.db2.gz VZKKHMVITSGERD-FZMZJTMJSA-N 0 1 295.387 0.219 20 30 CCEDMN C[C@H](CC#N)NC[C@@H](O)CC1(C#N)CCOCC1 ZINC001353246426 925771815 /nfs/dbraw/zinc/77/18/15/925771815.db2.gz KAVJKOIWZIGEOS-NEPJUHHUSA-N 0 1 251.330 0.950 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC[C@H](C)[S@](C)=O)CC1 ZINC001353446957 925837045 /nfs/dbraw/zinc/83/70/45/925837045.db2.gz ATXOQFZLPYAWPW-YUNKPMOVSA-N 0 1 299.440 0.540 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1CCN(c2ccc(F)cc2)C1 ZINC001353508001 925855512 /nfs/dbraw/zinc/85/55/12/925855512.db2.gz HEMNSGHDPXZFSD-ZDUSSCGKSA-N 0 1 289.354 0.991 20 30 CCEDMN N#C[C@@H]1CCCN(C(=O)CN2CCC(CO)CC2)C1 ZINC001353810360 925937895 /nfs/dbraw/zinc/93/78/95/925937895.db2.gz GUSVSVVAFAKAAM-ZDUSSCGKSA-N 0 1 265.357 0.453 20 30 CCEDMN C=CCOC[C@@H](NC(=O)C[N@H+](C)CCC)C(=O)[O-] ZINC001354051220 925998622 /nfs/dbraw/zinc/99/86/22/925998622.db2.gz NJNHZZOLHNQHNU-SNVBAGLBSA-N 0 1 258.318 0.100 20 30 CCEDMN C=CCOCCCNC(=O)[C@H](N)Cc1ccccn1 ZINC001354271968 926066258 /nfs/dbraw/zinc/06/62/58/926066258.db2.gz FJIRIXISAVDVPR-CYBMUJFWSA-N 0 1 263.341 0.660 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(OC)c(C#N)c1 ZINC001354620589 926141464 /nfs/dbraw/zinc/14/14/64/926141464.db2.gz BUTWUFLDEORSRQ-UHFFFAOYSA-N 0 1 257.293 0.406 20 30 CCEDMN C#C[C@H]1CCCN(c2nnc(C3=NO[C@H](CO)C3)n2C)C1 ZINC001355583144 926288015 /nfs/dbraw/zinc/28/80/15/926288015.db2.gz NEOVFEOICFLTLL-QWRGUYRKSA-N 0 1 289.339 0.150 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN(C)C(=O)Cc1ncn[nH]1 ZINC001355803341 926315272 /nfs/dbraw/zinc/31/52/72/926315272.db2.gz DIGXHEJKXKALQD-UHFFFAOYSA-N 0 1 279.344 0.134 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)c1cn(C2CC2)nn1 ZINC001356567592 926401367 /nfs/dbraw/zinc/40/13/67/926401367.db2.gz QMUVYAADLCUKAD-SECBINFHSA-N 0 1 256.269 0.837 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)CNC(=O)c1[nH]ncc1F ZINC001357021445 926475854 /nfs/dbraw/zinc/47/58/54/926475854.db2.gz SVTKTNVXUKISLE-JTQLQIEISA-N 0 1 294.330 0.690 20 30 CCEDMN CC(C)[C@@H](CNC(=O)C#CC1CC1)NC(=O)c1cnn[nH]1 ZINC001357096422 926483858 /nfs/dbraw/zinc/48/38/58/926483858.db2.gz UNHZRZGCXLTUGG-LLVKDONJSA-N 0 1 289.339 0.089 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCNC[C@H]1COC ZINC001357515367 926552277 /nfs/dbraw/zinc/55/22/77/926552277.db2.gz OKPPNDCNYKGVDC-AVGNSLFASA-N 0 1 283.372 0.207 20 30 CCEDMN CC(C)C[N@H+]1CCC[C@@H]1C(=O)N[C@@H]1CCCN(O)C1=O ZINC001358264466 926664261 /nfs/dbraw/zinc/66/42/61/926664261.db2.gz HFEGLQHADJRJSA-VXGBXAGGSA-N 0 1 283.372 0.603 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H]1CNC(=O)Cc1cnc[nH]1 ZINC001358643906 926769709 /nfs/dbraw/zinc/76/97/09/926769709.db2.gz UQKXQAXLVPBAKN-CYBMUJFWSA-N 0 1 288.351 0.329 20 30 CCEDMN C#C[C@@H](C)N(C)c1nnc(C)n1CCc1nc(C)n[nH]1 ZINC001358873384 926862537 /nfs/dbraw/zinc/86/25/37/926862537.db2.gz IKCAQJARPWAZJC-SECBINFHSA-N 0 1 273.344 0.714 20 30 CCEDMN C#C[C@@H](C)N(C)c1nnc(C)n1CCc1nnc(C)[nH]1 ZINC001358873384 926862552 /nfs/dbraw/zinc/86/25/52/926862552.db2.gz IKCAQJARPWAZJC-SECBINFHSA-N 0 1 273.344 0.714 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)c1cc(C#N)cs1 ZINC001361496926 927103720 /nfs/dbraw/zinc/10/37/20/927103720.db2.gz DTLIFLSYCRGDIN-UHFFFAOYSA-N 0 1 276.281 0.350 20 30 CCEDMN CN1CCN(CCNC(=O)[C@]2(C#N)CC23CCCC3)CC1 ZINC001361749877 927301145 /nfs/dbraw/zinc/30/11/45/927301145.db2.gz AIJLOUJQRBSSGI-MRXNPFEDSA-N 0 1 290.411 0.824 20 30 CCEDMN N#CCCN(Cc1cccnc1)C(=O)CCc1nn[nH]n1 ZINC001361851255 927387666 /nfs/dbraw/zinc/38/76/66/927387666.db2.gz AHYBMJAVVSXHTP-UHFFFAOYSA-N 0 1 285.311 0.470 20 30 CCEDMN N#Cc1cnc(C(=O)NCCc2nc[nH]n2)c(Cl)c1 ZINC001361971018 927487510 /nfs/dbraw/zinc/48/75/10/927487510.db2.gz PDNYBPHCXJDOQU-UHFFFAOYSA-N 0 1 276.687 0.697 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)NC[C@@H]1CC[N@H+](C)C1 ZINC001362131466 927647700 /nfs/dbraw/zinc/64/77/00/927647700.db2.gz ATSIJQFGKJUFFN-JTQLQIEISA-N 0 1 274.324 0.649 20 30 CCEDMN Cc1onc(CC(=O)NCc2n[nH]c(C3CC3)n2)c1C#N ZINC001362486380 928082792 /nfs/dbraw/zinc/08/27/92/928082792.db2.gz QYMPHFYDTPOAQJ-UHFFFAOYSA-N 0 1 286.295 0.709 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCCN(C)CC(=O)N1CCC1 ZINC001424273204 928145418 /nfs/dbraw/zinc/14/54/18/928145418.db2.gz IZKRMFZELIJRTL-LBPRGKRZSA-N 0 1 280.372 0.159 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@@H](C)CNC(=O)[C@@H](C)C#N)[nH]1 ZINC001424291858 928150140 /nfs/dbraw/zinc/15/01/40/928150140.db2.gz NMHPFVDYTVKQTP-IUCAKERBSA-N 0 1 277.328 0.455 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001424328646 928161656 /nfs/dbraw/zinc/16/16/56/928161656.db2.gz GZSFKPMBITWPOW-ZJUUUORDSA-N 0 1 277.328 0.075 20 30 CCEDMN CC(C)[N@H+]1CCC[C@@H]1C(=O)Nc1nc(C#N)c(C#N)[n-]1 ZINC001362615101 928257217 /nfs/dbraw/zinc/25/72/17/928257217.db2.gz XHURNRZTRSUUPU-LLVKDONJSA-N 0 1 272.312 0.964 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC001426889858 928938878 /nfs/dbraw/zinc/93/88/78/928938878.db2.gz WUUGQUANENRGTI-XHNCKOQMSA-N 0 1 293.302 0.333 20 30 CCEDMN COc1cc(C(=O)NC2(c3nn[nH]n3)CCC2)ccc1C#N ZINC001363275996 929060782 /nfs/dbraw/zinc/06/07/82/929060782.db2.gz AFEFFOWEFNVDME-UHFFFAOYSA-N 0 1 298.306 0.889 20 30 CCEDMN N#CCc1ccsc1C(=O)Nn1ccc(=O)[nH]c1=O ZINC001363345595 929134766 /nfs/dbraw/zinc/13/47/66/929134766.db2.gz IAJGYHSXZWNNTN-UHFFFAOYSA-N 0 1 276.277 0.460 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)NC[C@@H](O)c2cnc[nH]2)cc1 ZINC001363416738 929203637 /nfs/dbraw/zinc/20/36/37/929203637.db2.gz QKPDZEMFHLJDMB-CHWSQXEVSA-N 0 1 286.291 0.165 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)[C@@H]3CCOC(=O)N3)[nH]c2c1 ZINC001363505655 929308104 /nfs/dbraw/zinc/30/81/04/929308104.db2.gz ABTMHMPRKPEOGE-VIFPVBQESA-N 0 1 285.263 0.872 20 30 CCEDMN Cc1nc(CC(=O)Nc2n[nH]c(C3CC3)c2C#N)n[nH]1 ZINC001363558605 929361542 /nfs/dbraw/zinc/36/15/42/929361542.db2.gz JYGFXMSAXPZNID-UHFFFAOYSA-N 0 1 271.284 0.767 20 30 CCEDMN C[C@@H](NC(=O)[C@H](C)n1cnc(C#N)n1)C1=NN(C)CC1=O ZINC001363664277 929466455 /nfs/dbraw/zinc/46/64/55/929466455.db2.gz JWUQMSUSFSJLAM-SFYZADRCSA-N 0 1 289.299 0.027 20 30 CCEDMN CCCN(CCNC(=O)[C@H]1CCCN1C)C(=O)[C@@H](C)C#N ZINC001429794330 929515511 /nfs/dbraw/zinc/51/55/11/929515511.db2.gz SWGLHKAELFTRQT-QWHCGFSZSA-N 0 1 294.399 0.595 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCN(C(=O)CCc2cnc[nH]2)C1 ZINC001372019236 929704333 /nfs/dbraw/zinc/70/43/33/929704333.db2.gz GQPHGEIGSLPZDI-CMPLNLGQSA-N 0 1 289.339 0.219 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)C[C@H]1CCC(=O)N1 ZINC001444014913 929765944 /nfs/dbraw/zinc/76/59/44/929765944.db2.gz SZMIQCYFWNQMIC-WDEREUQCSA-N 0 1 287.791 0.892 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCCN1CCn1cncn1 ZINC001372500142 929858239 /nfs/dbraw/zinc/85/82/39/929858239.db2.gz LHDAYVDNHRAHQH-NWDGAFQWSA-N 0 1 276.344 0.018 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H]1CN(C)C(=O)N1 ZINC001444656326 929927730 /nfs/dbraw/zinc/92/77/30/929927730.db2.gz QCLPBOZRPAGDAB-VHSXEESVSA-N 0 1 288.779 0.199 20 30 CCEDMN CCc1onc(C)c1CNC[C@@H](O)CNC(=O)[C@H](C)C#N ZINC001445162431 930056737 /nfs/dbraw/zinc/05/67/37/930056737.db2.gz SLVXEHVPTUECIX-MWLCHTKSSA-N 0 1 294.355 0.272 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)C[C@@H]1COC(=O)C1 ZINC001445350840 930108142 /nfs/dbraw/zinc/10/81/42/930108142.db2.gz CGPMRAUFCMQHFO-ZJUUUORDSA-N 0 1 274.748 0.786 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCN(CCc2cnn(C)c2)C1 ZINC001373588394 930258262 /nfs/dbraw/zinc/25/82/62/930258262.db2.gz OLEGXDWQTGAGDL-YPMHNXCESA-N 0 1 275.356 0.313 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CC(=O)N(CC)C2)CC1 ZINC001446175262 930361064 /nfs/dbraw/zinc/36/10/64/930361064.db2.gz MTELWVKISLFVPB-NSHDSACASA-N 0 1 299.802 0.846 20 30 CCEDMN Cc1cnc(CNC[C@@H](C)N(C)C(=O)[C@@H](C)C#N)cn1 ZINC001374206222 930455871 /nfs/dbraw/zinc/45/58/71/930455871.db2.gz MJBFRVOTIJSAKY-CMPLNLGQSA-N 0 1 275.356 0.881 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc(C)on1 ZINC001374584647 930573087 /nfs/dbraw/zinc/57/30/87/930573087.db2.gz VURCWWMLVUHXIW-SECBINFHSA-N 0 1 273.720 0.416 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](O)CNCc1cc(C2CC2)no1 ZINC001374589607 930577776 /nfs/dbraw/zinc/57/77/76/930577776.db2.gz IQOPCADUFVRPAS-GXSJLCMTSA-N 0 1 292.339 0.278 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1n[nH]cc1C ZINC001374604157 930587530 /nfs/dbraw/zinc/58/75/30/930587530.db2.gz XHYBYGLEMVPCES-VIFPVBQESA-N 0 1 272.736 0.151 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001374652484 930608449 /nfs/dbraw/zinc/60/84/49/930608449.db2.gz NPBRMMWQCOZYMS-QCNOEVLYSA-N 0 1 288.775 0.373 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cnoc1CC ZINC001374652939 930608597 /nfs/dbraw/zinc/60/85/97/930608597.db2.gz RLNAMQJHAZNLOO-VIFPVBQESA-N 0 1 287.747 0.670 20 30 CCEDMN Cc1nc([C@H](C)NC2(CNC(=O)[C@@H](C)C#N)CC2)n[nH]1 ZINC001375403954 930879682 /nfs/dbraw/zinc/87/96/82/930879682.db2.gz PBVAOHQJXPHMPU-IUCAKERBSA-N 0 1 276.344 0.572 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@H](NCc2ccn(C)n2)C1 ZINC001375523076 930906917 /nfs/dbraw/zinc/90/69/17/930906917.db2.gz KHCRSJRNJFNIQQ-GVXVVHGQSA-N 0 1 275.356 0.564 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H](CC)OC ZINC001449109578 931073798 /nfs/dbraw/zinc/07/37/98/931073798.db2.gz JCGNEMOHSIQMHI-QWRGUYRKSA-N 0 1 278.780 0.573 20 30 CCEDMN CCN(CCNC(=O)CN1CCCC1)C(=O)[C@H](C)C#N ZINC001449391555 931137505 /nfs/dbraw/zinc/13/75/05/931137505.db2.gz XZFYINAPWHLYND-GFCCVEGCSA-N 0 1 280.372 0.207 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](CC)NC(C)=O ZINC001376798586 931279186 /nfs/dbraw/zinc/27/91/86/931279186.db2.gz HWFWJQGJNQWASF-ONGXEEELSA-N 0 1 275.780 0.748 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1c[nH]c(C(=O)[O-])c1 ZINC000328034510 971442544 /nfs/dbraw/zinc/44/25/44/971442544.db2.gz VYARNTCLRAZTBE-UHFFFAOYSA-N 0 1 263.297 0.398 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)CCCCC(=O)[O-] ZINC000328178320 971452000 /nfs/dbraw/zinc/45/20/00/971452000.db2.gz MLJQYAKIXANEHC-UHFFFAOYSA-N 0 1 254.330 0.703 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000312793756 950769424 /nfs/dbraw/zinc/76/94/24/950769424.db2.gz GEUFRCBWYHVBSY-NXEZZACHSA-N 0 1 283.328 0.041 20 30 CCEDMN N#CC1(C[NH+]2CCC(N3C[C@@H](C(=O)[O-])CC3=O)CC2)CC1 ZINC001594604414 956161623 /nfs/dbraw/zinc/16/16/23/956161623.db2.gz STZVTZBDYCWNPT-NSHDSACASA-N 0 1 291.351 0.688 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](CCC(=O)[O-])C1 ZINC000043585679 957436984 /nfs/dbraw/zinc/43/69/84/957436984.db2.gz ORGZMELIQYGZRT-NWDGAFQWSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H]1CCCC[C@@H]1C(=O)OC ZINC001588423984 958210201 /nfs/dbraw/zinc/21/02/01/958210201.db2.gz IOCGTELIFFHKSY-NEPJUHHUSA-N 0 1 267.325 0.986 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H]1CCCC[C@@H]1C(=O)OC ZINC001588423984 958210217 /nfs/dbraw/zinc/21/02/17/958210217.db2.gz IOCGTELIFFHKSY-NEPJUHHUSA-N 0 1 267.325 0.986 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H](O)CCC1(O)CCC1 ZINC001588424158 958213300 /nfs/dbraw/zinc/21/33/00/958213300.db2.gz KKWMBPZXEIJXSS-NSHDSACASA-N 0 1 255.314 0.062 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H](O)CCC1(O)CCC1 ZINC001588424158 958213316 /nfs/dbraw/zinc/21/33/16/958213316.db2.gz KKWMBPZXEIJXSS-NSHDSACASA-N 0 1 255.314 0.062 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001588457109 958444380 /nfs/dbraw/zinc/44/43/80/958444380.db2.gz KRMSSOUVFXPAGU-AAEUAGOBSA-N 0 1 273.329 0.116 20 30 CCEDMN CO[C@@]1(C(=O)[O-])CC[N@@H+](C[C@@H](O)c2cccc(C#N)c2)C1 ZINC001571038245 960549002 /nfs/dbraw/zinc/54/90/02/960549002.db2.gz JFYNQSGEOHMHAG-HIFRSBDPSA-N 0 1 290.319 0.767 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H]2CCCN(C(=O)CNC(=O)[O-])[C@@H]2C1 ZINC001573325483 962798207 /nfs/dbraw/zinc/79/82/07/962798207.db2.gz KEUYXXWKWRNLNW-NWDGAFQWSA-N 0 1 281.356 0.753 20 30 CCEDMN C=C(Cl)C[NH+]1CCC(CNC(=O)CNC(=O)[O-])CC1 ZINC001573338787 962881992 /nfs/dbraw/zinc/88/19/92/962881992.db2.gz IYCBVIXMWXKVJL-UHFFFAOYSA-N 0 1 289.763 0.835 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@H]2CN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])C[C@H]2C1 ZINC001573344250 962914962 /nfs/dbraw/zinc/91/49/62/962914962.db2.gz QDBLNIRFUZUWHZ-KXNHARMFSA-N 0 1 298.770 0.850 20 30 CCEDMN N#CC1(C(=O)[O-])CC[NH+](Cc2cccnc2N)CC1 ZINC001570977085 963061706 /nfs/dbraw/zinc/06/17/06/963061706.db2.gz SMHFPGQRWHLSEG-UHFFFAOYSA-N 0 1 260.297 0.854 20 30 CCEDMN C[C@H](C(=O)[O-])[N@@H+](CCC(=O)N(C)CCC#N)C1CC1 ZINC001609708604 970489157 /nfs/dbraw/zinc/48/91/57/970489157.db2.gz SOLZIHINHPTXMP-SNVBAGLBSA-N 0 1 267.329 0.686 20 30 CCEDMN N#Cc1ccc(F)cc1C[N@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC000401924616 973537546 /nfs/dbraw/zinc/53/75/46/973537546.db2.gz QIJVBVNZCSHXDF-YPMHNXCESA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1ccc(F)cc1C[N@@H+]1C[C@H](C(=O)[O-])C[C@H](O)C1 ZINC000401924616 973537548 /nfs/dbraw/zinc/53/75/48/973537548.db2.gz QIJVBVNZCSHXDF-YPMHNXCESA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1cc(C[N@H+]2C[C@H](O)C[C@H](C(=O)[O-])C2)ccc1F ZINC000401924958 973539396 /nfs/dbraw/zinc/53/93/96/973539396.db2.gz QLTXVSLKMKTWDG-NWDGAFQWSA-N 0 1 278.283 0.965 20 30 CCEDMN CC[N@H+](CC(=O)N[C@@](C)(C#N)C1CC1)[C@@H](C)C(=O)[O-] ZINC001603724765 974163718 /nfs/dbraw/zinc/16/37/18/974163718.db2.gz WZQOKPXGVVXYBM-ZANVPECISA-N 0 1 267.329 0.590 20 30 CCEDMN CC[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)[C@@H](C)C(=O)[O-] ZINC001603724765 974163723 /nfs/dbraw/zinc/16/37/23/974163723.db2.gz WZQOKPXGVVXYBM-ZANVPECISA-N 0 1 267.329 0.590 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1CC[N@@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588369893 983318008 /nfs/dbraw/zinc/31/80/08/983318008.db2.gz ZTWAKGBOQOBEES-VXGBXAGGSA-N 0 1 281.356 0.446 20 30 CCEDMN C#CCC[N@H+]1C[C@H]2CN(C(=O)[C@H]3C[C@H]3C(=O)[O-])C[C@H]2C1 ZINC001588453755 983477451 /nfs/dbraw/zinc/47/74/51/983477451.db2.gz RMYJNQVXHYKJNH-QNWHQSFQSA-N 0 1 276.336 0.121 20 30 CCEDMN C#CC[N@H+](CC(=O)N[C@H](C(=O)[O-])[C@@H](C)CC)CC1CC1 ZINC001588465728 983496206 /nfs/dbraw/zinc/49/62/06/983496206.db2.gz DWJBVXPNDJZZFF-FZMZJTMJSA-N 0 1 280.368 0.947 20 30 CCEDMN CC[N@H+](CC(=O)[O-])C[C@H](O)COc1ccc(CC#N)cc1 ZINC001596224895 983615989 /nfs/dbraw/zinc/61/59/89/983615989.db2.gz ITNLTSHVQNMWFJ-ZDUSSCGKSA-N 0 1 292.335 0.899 20 30 CCEDMN C=C(C)C[C@H](NC(=O)c1csc(C[NH3+])n1)C(=O)[O-] ZINC001588548795 983625589 /nfs/dbraw/zinc/62/55/89/983625589.db2.gz UGKNGUPCJINIOU-ZETCQYMHSA-N 0 1 269.326 0.751 20 30 CCEDMN C=C(C)CC[N@@H+](C)CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001588559968 983641083 /nfs/dbraw/zinc/64/10/83/983641083.db2.gz WNBJZBMPPFRGBF-QWRGUYRKSA-N 0 1 254.330 0.721 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1C[C@@H](C)[N@H+](CCO)C[C@@H]1C ZINC001588612297 983702582 /nfs/dbraw/zinc/70/25/82/983702582.db2.gz LSXSCNOMZBZRHP-NEPJUHHUSA-N 0 1 284.356 0.321 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C)C(C)(C)CC(=O)[O-] ZINC001596299576 983724679 /nfs/dbraw/zinc/72/46/79/983724679.db2.gz PREKUGBIQWOXSX-UHFFFAOYSA-N 0 1 269.345 0.934 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@@](O)(C2(C(=O)OC)CCC2)C1 ZINC001588663769 983796498 /nfs/dbraw/zinc/79/64/98/983796498.db2.gz PIPKMVICEKFWTC-NHYWBVRUSA-N 0 1 297.351 0.796 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@](O)(C2(C(=O)OC)CCC2)C1 ZINC001588663771 983796812 /nfs/dbraw/zinc/79/68/12/983796812.db2.gz PIPKMVICEKFWTC-XHDPSFHLSA-N 0 1 297.351 0.796 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@H](NC(=O)NC2CC2)C1 ZINC001588729305 983988412 /nfs/dbraw/zinc/98/84/12/983988412.db2.gz PIGSDFUYBDVBHB-WDEREUQCSA-N 0 1 267.329 0.552 20 30 CCEDMN C=CCCC[C@H](NC(=O)C[N@H+](C)[C@@H]1CCC[C@H]1O)C(=O)[O-] ZINC001588788916 984194486 /nfs/dbraw/zinc/19/44/86/984194486.db2.gz RFKZHXDPSQGVGH-YNEHKIRRSA-N 0 1 298.383 0.757 20 30 CCEDMN C=CCC[N@H+]1C[C@H]2CN(C(=O)[C@@H]3C[C@@H]3C(=O)[O-])C[C@H]2C1 ZINC001588807676 984260712 /nfs/dbraw/zinc/26/07/12/984260712.db2.gz REPPNMHZLLLHLN-XQHKEYJVSA-N 0 1 278.352 0.673 20 30 CCEDMN C=CCC[N@H+]1C[C@H]2CN(C(=O)[C@H]3C[C@H]3C(=O)[O-])C[C@H]2C1 ZINC001588807674 984260734 /nfs/dbraw/zinc/26/07/34/984260734.db2.gz REPPNMHZLLLHLN-QNWHQSFQSA-N 0 1 278.352 0.673 20 30 CCEDMN C=CC[N@@H+](CCC)CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001588826534 984304159 /nfs/dbraw/zinc/30/41/59/984304159.db2.gz ITYYPMCBQLMUGD-QWRGUYRKSA-N 0 1 254.330 0.721 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001588868882 984435825 /nfs/dbraw/zinc/43/58/25/984435825.db2.gz FGMPIIPQHZEAMH-GHMZBOCLSA-N 0 1 271.313 0.527 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](C(=O)[O-])C[C@@H]1C(=O)OC ZINC001588868882 984435827 /nfs/dbraw/zinc/43/58/27/984435827.db2.gz FGMPIIPQHZEAMH-GHMZBOCLSA-N 0 1 271.313 0.527 20 30 CCEDMN C=C[C@H](CO)[NH2+]CC(=O)Nc1ccccc1C(=O)[O-] ZINC001588920056 984575824 /nfs/dbraw/zinc/57/58/24/984575824.db2.gz UEWQOTCFHNUPND-SECBINFHSA-N 0 1 264.281 0.460 20 30 CCEDMN C[N@@H+](CC(=O)N[C@@](C)(C#N)C1CC1)[C@H]1C[C@@H](C(=O)[O-])C1 ZINC001598384940 991600092 /nfs/dbraw/zinc/60/00/92/991600092.db2.gz QNFRGDIDOANKIO-PXWWUCIGSA-N 0 1 279.340 0.590 20 30 CCEDMN C[N@H+](CCOCCC#N)[C@@H](COC1CCC1)C(=O)[O-] ZINC001598497575 992385248 /nfs/dbraw/zinc/38/52/48/992385248.db2.gz JAUAXNAGQDRDQP-LBPRGKRZSA-N 0 1 270.329 0.871 20 30 CCEDMN C[N@@H+](CCOCCC#N)[C@@H](COC1CCC1)C(=O)[O-] ZINC001598497575 992385253 /nfs/dbraw/zinc/38/52/53/992385253.db2.gz JAUAXNAGQDRDQP-LBPRGKRZSA-N 0 1 270.329 0.871 20 30 CCEDMN C[N@@H+](CCOCCO)[C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001598497947 992389548 /nfs/dbraw/zinc/38/95/48/992389548.db2.gz LAZQCLHDGFXXHQ-ZDUSSCGKSA-N 0 1 278.308 0.625 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](C(=O)[O-])[N@@H+](CCO[C@H](C)C#N)C1 ZINC001598777414 995944464 /nfs/dbraw/zinc/94/44/64/995944464.db2.gz NMBBUJYCPCLLKJ-GMTAPVOTSA-N 0 1 284.312 0.253 20 30 CCEDMN CO[C@@H]1CC[N@@H+](CCOCCC#N)[C@@H](C(=O)[O-])C1 ZINC001599123134 997780077 /nfs/dbraw/zinc/78/00/77/997780077.db2.gz HNUWBLOTDQARHI-GHMZBOCLSA-N 0 1 256.302 0.481 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)[C@H]1C[C@@H](C(N)=O)C1 ZINC000599645997 361770133 /nfs/dbraw/zinc/77/01/33/361770133.db2.gz XOOCYMAAGJHDHV-WDMOLILDSA-N 0 1 280.372 0.237 20 30 CCEDMN Cc1nsc(NC(=O)NCCN2CC[C@H](O)C2)c1C#N ZINC000599785839 361814666 /nfs/dbraw/zinc/81/46/66/361814666.db2.gz BEEGJBOESVDEAQ-VIFPVBQESA-N 0 1 295.368 0.511 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CC[C@@H](N3CC[C@@H](O)C3)C2)C1 ZINC000599938062 361834821 /nfs/dbraw/zinc/83/48/21/361834821.db2.gz LOMXCWYZPQVCPY-DNCHLWJUSA-N 0 1 277.368 0.594 20 30 CCEDMN C#CC[N@H+](C)CCCN[C@@H](c1nnc[n-]1)c1cccnc1 ZINC000294971156 199275953 /nfs/dbraw/zinc/27/59/53/199275953.db2.gz JYBYCKLYRZMMRN-CQSZACIVSA-N 0 1 284.367 0.834 20 30 CCEDMN C#CC[N@@H+](C)CCCN[C@@H](c1nnc[n-]1)c1cccnc1 ZINC000294971156 199275955 /nfs/dbraw/zinc/27/59/55/199275955.db2.gz JYBYCKLYRZMMRN-CQSZACIVSA-N 0 1 284.367 0.834 20 30 CCEDMN CC[C@H](NCC(=O)NCC#N)c1c(C)nn(C)c1C ZINC000347929931 529587703 /nfs/dbraw/zinc/58/77/03/529587703.db2.gz SRNIPEODGHNQGD-NSHDSACASA-N 0 1 263.345 0.717 20 30 CCEDMN CCC[C@@H](C#N)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000600667389 362028103 /nfs/dbraw/zinc/02/81/03/362028103.db2.gz BXLOBWFORHTDKC-ZETCQYMHSA-N 0 1 287.301 0.299 20 30 CCEDMN N#Cc1ccc(C(=O)NCC[N@H+]2CC[C@@H](O)C2)cc1[O-] ZINC000600535658 362006534 /nfs/dbraw/zinc/00/65/34/362006534.db2.gz RXWBXZQVSISHMS-GFCCVEGCSA-N 0 1 275.308 0.060 20 30 CCEDMN N#Cc1ccc(C(=O)NCC[N@@H+]2CC[C@@H](O)C2)cc1[O-] ZINC000600535658 362006539 /nfs/dbraw/zinc/00/65/39/362006539.db2.gz RXWBXZQVSISHMS-GFCCVEGCSA-N 0 1 275.308 0.060 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)C(=O)N1CC[C@](C)(C#N)C1 ZINC000600798596 362061294 /nfs/dbraw/zinc/06/12/94/362061294.db2.gz VFPUQEHPWKSOAU-SWLSCSKDSA-N 0 1 292.383 0.205 20 30 CCEDMN N#Cc1cc2cccnc2nc1NC[C@@H]1COCCN1 ZINC000600953886 362098982 /nfs/dbraw/zinc/09/89/82/362098982.db2.gz FFAUTQYVUMZUTB-GFCCVEGCSA-N 0 1 269.308 0.902 20 30 CCEDMN N#Cc1ccccc1N1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC000601029328 362134539 /nfs/dbraw/zinc/13/45/39/362134539.db2.gz QXEACAVKHHJJQA-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN CNC(=O)CCN1CCN(c2ccccc2C#N)CC1 ZINC000101554403 136392954 /nfs/dbraw/zinc/39/29/54/136392954.db2.gz ZPJBELUKXULRDK-UHFFFAOYSA-N 0 1 272.352 0.816 20 30 CCEDMN CN(CC(=O)N[C@H](C#N)C1CC1)[C@H]1CCSC1 ZINC000331428195 529836818 /nfs/dbraw/zinc/83/68/18/529836818.db2.gz FJNASWZFWGDQLI-WDEREUQCSA-N 0 1 253.371 0.842 20 30 CCEDMN N#CCc1ccccc1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000601565542 362312625 /nfs/dbraw/zinc/31/26/25/362312625.db2.gz GZPUNWDVSJOYHH-HNNXBMFYSA-N 0 1 298.390 0.872 20 30 CCEDMN Cc1nnc(N2C[C@@H]3OCCN(C)[C@H]3C2)c(C#N)c1C ZINC000301638451 282327282 /nfs/dbraw/zinc/32/72/82/282327282.db2.gz FROLXSFRIVCMLL-STQMWFEESA-N 0 1 273.340 0.484 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CC[C@](F)(C#N)C1 ZINC000602137622 362517400 /nfs/dbraw/zinc/51/74/00/362517400.db2.gz ABBNKSXUDDWOPN-AWEZNQCLSA-N 0 1 283.347 0.809 20 30 CCEDMN COCCNC(=O)CN1CCN(C[C@@H](C)CCC#N)CC1 ZINC000602437950 362617648 /nfs/dbraw/zinc/61/76/48/362617648.db2.gz ZORLFRTWQFSOKP-AWEZNQCLSA-N 0 1 296.415 0.306 20 30 CCEDMN C[C@]1(C(=O)NCc2ccc(CC#N)cc2)CNCCO1 ZINC000602515097 362652038 /nfs/dbraw/zinc/65/20/38/362652038.db2.gz UCWVJUDAGAVEJC-OAHLLOKOSA-N 0 1 273.336 0.747 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2cccc(C#N)n2)CC1 ZINC000602464527 362631479 /nfs/dbraw/zinc/63/14/79/362631479.db2.gz YYBAFQOILUFSJS-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN COc1ccc(CN2CCN(C)C[C@@H]2CO)cc1C#N ZINC000602716964 362769128 /nfs/dbraw/zinc/76/91/28/362769128.db2.gz WHWRVRPYPARDLA-CQSZACIVSA-N 0 1 275.352 0.675 20 30 CCEDMN CO[C@@H](CN1CCN(CCC#N)CC1)C1CCOCC1 ZINC000602748685 362782050 /nfs/dbraw/zinc/78/20/50/362782050.db2.gz QFZVQWIKFMZNKH-HNNXBMFYSA-N 0 1 281.400 0.959 20 30 CCEDMN N#CCSCCCN1CCN(c2nc[nH]n2)CC1 ZINC000602868381 362850865 /nfs/dbraw/zinc/85/08/65/362850865.db2.gz AYKHYNJPTAENMG-UHFFFAOYSA-N 0 1 266.374 0.574 20 30 CCEDMN Cc1noc(CNS(=O)(=O)c2ccc(C#N)o2)n1 ZINC000344761103 137211884 /nfs/dbraw/zinc/21/18/84/137211884.db2.gz OZRRMXYDZDPNKZ-UHFFFAOYSA-N 0 1 268.254 0.321 20 30 CCEDMN CNC(=O)CCN1CCN(c2cc(C#N)ccn2)CC1 ZINC000025941270 348093167 /nfs/dbraw/zinc/09/31/67/348093167.db2.gz ZNLDTJUKKDMRTR-UHFFFAOYSA-N 0 1 273.340 0.211 20 30 CCEDMN C[C@H](O)CN(CC(F)F)C(=O)N=c1[nH]n(C)cc1C#N ZINC000602884108 362862807 /nfs/dbraw/zinc/86/28/07/362862807.db2.gz ZRBUIJDMEXQUHC-ZETCQYMHSA-N 0 1 287.270 0.193 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCC[C@H]2CCOC2)[nH]1 ZINC000602883672 362862954 /nfs/dbraw/zinc/86/29/54/362862954.db2.gz WYKIGJMKAUERAN-JTQLQIEISA-N 0 1 277.328 0.652 20 30 CCEDMN C[C@@H](O)CN(CC(F)F)C(=O)N=c1[nH]n(C)cc1C#N ZINC000602884099 362863568 /nfs/dbraw/zinc/86/35/68/362863568.db2.gz ZRBUIJDMEXQUHC-SSDOTTSWSA-N 0 1 287.270 0.193 20 30 CCEDMN COCCC1CCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000602889700 362867384 /nfs/dbraw/zinc/86/73/84/362867384.db2.gz ZPOPBUIELBUMOJ-UHFFFAOYSA-N 0 1 291.355 0.994 20 30 CCEDMN CCN1C[C@@H](C)N(C(=O)Cn2cnc(C#N)n2)C[C@H]1C ZINC000345970114 137262739 /nfs/dbraw/zinc/26/27/39/137262739.db2.gz UFPACISYVUVLEE-GHMZBOCLSA-N 0 1 276.344 0.091 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N(CCC#N)CCC#N)[C@H](CO)C1 ZINC000602930817 362892356 /nfs/dbraw/zinc/89/23/56/362892356.db2.gz JKCPINXMXIETKX-KGLIPLIRSA-N 0 1 292.383 0.735 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](OC)C[C@@]1(C)CO ZINC000602963994 362910751 /nfs/dbraw/zinc/91/07/51/362910751.db2.gz LPQYBXXJXXDMQN-IPYPFGDCSA-N 0 1 297.399 0.466 20 30 CCEDMN CCS(=O)(=O)NCCCN(C)[C@H]1CCC[C@H]1C#N ZINC000602980831 362920457 /nfs/dbraw/zinc/92/04/57/362920457.db2.gz WFPUIGRAWKKEOQ-RYUDHWBXSA-N 0 1 273.402 0.940 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2ccc3nnn(C)c3c2)[nH]1 ZINC000347557881 137342829 /nfs/dbraw/zinc/34/28/29/137342829.db2.gz HIKDMLYRPGBVIF-UHFFFAOYSA-N 0 1 281.279 0.248 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCC[C@H](CCO)C1)C1CC1 ZINC000051896102 348500371 /nfs/dbraw/zinc/50/03/71/348500371.db2.gz GMKUTDVPZMFBNN-DOMZBBRYSA-N 0 1 279.384 0.889 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(OCC)CC1 ZINC000060484763 348645252 /nfs/dbraw/zinc/64/52/52/348645252.db2.gz XEZPOBSCQLTZJF-NSHDSACASA-N 0 1 283.372 0.888 20 30 CCEDMN C[C@@H]1CN(Cc2ccccc2)CCN1CC(=O)NCC#N ZINC000076803023 349091954 /nfs/dbraw/zinc/09/19/54/349091954.db2.gz MCKMVYLBBSMKRX-CQSZACIVSA-N 0 1 286.379 0.832 20 30 CCEDMN N#Cc1ccc(NC(=O)C(=O)N[C@H]2CCN(C3CC3)C2)cc1 ZINC000077208780 349102238 /nfs/dbraw/zinc/10/22/38/349102238.db2.gz VVGWNYPZFZTJEL-ZDUSSCGKSA-N 0 1 298.346 0.850 20 30 CCEDMN CCN(CC(=O)NCc1cccc(C#N)c1)[C@H](C)CO ZINC000339625542 215275401 /nfs/dbraw/zinc/27/54/01/215275401.db2.gz JNSLQJXTGCREMM-GFCCVEGCSA-N 0 1 275.352 0.877 20 30 CCEDMN CC1(C)CNCCN1S(=O)(=O)c1ccccc1C#N ZINC000088139569 349357373 /nfs/dbraw/zinc/35/73/73/349357373.db2.gz HXYHLDROPCJGSX-UHFFFAOYSA-N 0 1 279.365 0.931 20 30 CCEDMN C[C@@H](CO)CN1CCN(c2nccnc2C#N)C[C@H]1C ZINC000121203345 350027612 /nfs/dbraw/zinc/02/76/12/350027612.db2.gz IHENVPVESSFPHO-VXGBXAGGSA-N 0 1 275.356 0.487 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)NCCc1nc[nH]n1 ZINC000125878003 350132065 /nfs/dbraw/zinc/13/20/65/350132065.db2.gz FSCGUOVMRVAOSP-UHFFFAOYSA-N 0 1 255.281 0.957 20 30 CCEDMN CS(=O)(=O)NCCNCc1ccc(C#N)s1 ZINC000135498132 350398319 /nfs/dbraw/zinc/39/83/19/350398319.db2.gz RYTSSRYCLFOHCK-UHFFFAOYSA-N 0 1 259.356 0.259 20 30 CCEDMN Cc1cc(NC(=O)N[C@H]2CCC[N@H+](C)C2)ncc1C#N ZINC000603119488 362968490 /nfs/dbraw/zinc/96/84/90/362968490.db2.gz YOYDJUXXMKSULO-LBPRGKRZSA-N 0 1 273.340 0.899 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC(OCCCO)CC1 ZINC000154827278 350601262 /nfs/dbraw/zinc/60/12/62/350601262.db2.gz BWRQYXCOKZDXHL-ZDUSSCGKSA-N 0 1 297.399 0.610 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(OCCCO)CC1 ZINC000154990198 350606310 /nfs/dbraw/zinc/60/63/10/350606310.db2.gz NSZXMSRLHNKGNP-UHFFFAOYSA-N 0 1 297.399 0.612 20 30 CCEDMN N#Cc1ccc(CNC[C@H]2CS(=O)(=O)CCO2)cc1 ZINC000540620778 290911372 /nfs/dbraw/zinc/91/13/72/290911372.db2.gz CAFXKGZBYZWFTH-ZDUSSCGKSA-N 0 1 280.349 0.461 20 30 CCEDMN CN[C@H](C)c1cn(CC(=O)N[C@@](C)(C#N)C(C)C)nn1 ZINC000603171938 362981975 /nfs/dbraw/zinc/98/19/75/362981975.db2.gz PUYUVOCNAZFUTR-MFKMUULPSA-N 0 1 278.360 0.613 20 30 CCEDMN CN1CCN(CCNc2nccc(C#N)c2[N+](=O)[O-])CC1 ZINC000167488800 350810313 /nfs/dbraw/zinc/81/03/13/350810313.db2.gz PKAWAZHEOXYTSA-UHFFFAOYSA-N 0 1 290.327 0.521 20 30 CCEDMN C[C@@H](C#N)CNC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000171805150 350934732 /nfs/dbraw/zinc/93/47/32/350934732.db2.gz BDZOBZNDNPMFPL-JTQLQIEISA-N 0 1 299.334 0.959 20 30 CCEDMN C[NH+](C)C[C@H]1CN(C(=O)c2ccc(C#N)c([O-])c2)CCO1 ZINC000188514422 351602853 /nfs/dbraw/zinc/60/28/53/351602853.db2.gz FNSZAJAUPDPKTI-ZDUSSCGKSA-N 0 1 289.335 0.666 20 30 CCEDMN CSC[C@@H](O)CN1CCN(c2ccc(C#N)cn2)CC1 ZINC000187841466 351559243 /nfs/dbraw/zinc/55/92/43/351559243.db2.gz YHGSMYDBYNYPSU-ZDUSSCGKSA-N 0 1 292.408 0.799 20 30 CCEDMN C[C@@H](O)CN1CCN(CCOc2ccc(C#N)cc2)CC1 ZINC000189371491 351673111 /nfs/dbraw/zinc/67/31/11/351673111.db2.gz LVHVXBRQQDQAHE-CQSZACIVSA-N 0 1 289.379 0.935 20 30 CCEDMN CO[C@H](C)CCC(=O)NC1(C#N)CCN(C)CC1 ZINC000227972877 352063746 /nfs/dbraw/zinc/06/37/46/352063746.db2.gz HNKNOWPTZRFGKO-LLVKDONJSA-N 0 1 253.346 0.906 20 30 CCEDMN Cc1nc(CNS(=O)(=O)c2cccnc2C#N)no1 ZINC000235939580 352190367 /nfs/dbraw/zinc/19/03/67/352190367.db2.gz KVVDCNSLPCFALS-UHFFFAOYSA-N 0 1 279.281 0.123 20 30 CCEDMN N#Cc1ccccc1OCCN1C[C@H](O)C[C@H]1CO ZINC000245750209 352336461 /nfs/dbraw/zinc/33/64/61/352336461.db2.gz XOCGTZXIMCHNJN-QWHCGFSZSA-N 0 1 262.309 0.365 20 30 CCEDMN C=CC[C@H](C)NC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000265328757 352631478 /nfs/dbraw/zinc/63/14/78/352631478.db2.gz VGABTFOAWWNCSS-RYUDHWBXSA-N 0 1 282.388 0.590 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@@H]1CCCc2c1cnn2C ZINC000272933305 353106897 /nfs/dbraw/zinc/10/68/97/353106897.db2.gz ZWQOZNDJMZDJGW-GFCCVEGCSA-N 0 1 283.397 0.988 20 30 CCEDMN CC#CCCCC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000274337477 353121024 /nfs/dbraw/zinc/12/10/24/353121024.db2.gz LDFIWDJYORAXMY-CYBMUJFWSA-N 0 1 279.384 0.636 20 30 CCEDMN C=CCCCCNC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000274611939 353145853 /nfs/dbraw/zinc/14/58/53/353145853.db2.gz UQNASPXGGBGTLU-ZDUSSCGKSA-N 0 1 296.415 0.982 20 30 CCEDMN CC[C@@H]1CN(c2nc(C)cc(C#N)n2)CCN1C[C@@H](C)O ZINC000281080928 353389332 /nfs/dbraw/zinc/38/93/32/353389332.db2.gz BUYSQUHULXTSAG-TZMCWYRMSA-N 0 1 289.383 0.938 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1ccc(C#N)o1 ZINC000286529206 353593515 /nfs/dbraw/zinc/59/35/15/353593515.db2.gz BLBOIXKNXCKQJW-QMMMGPOBSA-N 0 1 257.315 0.380 20 30 CCEDMN N#Cc1cccnc1N1CCN(Cc2c[nH]nn2)CC1 ZINC000284335800 353554624 /nfs/dbraw/zinc/55/46/24/353554624.db2.gz QSJZTMNWTNIWSN-UHFFFAOYSA-N 0 1 269.312 0.394 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ccc([N+](=O)[O-])c([O-])c1 ZINC000294087765 353867589 /nfs/dbraw/zinc/86/75/89/353867589.db2.gz XIGPXRPFQNVCSV-UHFFFAOYSA-N 0 1 291.307 0.985 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccc(C#N)nn2)[C@H](C)C1 ZINC000302393142 354081805 /nfs/dbraw/zinc/08/18/05/354081805.db2.gz CJTAFNYWSIHKAO-MNOVXSKESA-N 0 1 261.329 0.240 20 30 CCEDMN C[C@@H](O)[C@@H]1CCCCN1CC(=O)N(CCC#N)CCC#N ZINC000303973809 354100669 /nfs/dbraw/zinc/10/06/69/354100669.db2.gz AKCYBTVHHSYMRH-KGLIPLIRSA-N 0 1 292.383 0.878 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CC1)[C@H](O)C[C@@H]2OCC ZINC000304389912 354116405 /nfs/dbraw/zinc/11/64/05/354116405.db2.gz UDLZKSKERKDBAL-MJBXVCDLSA-N 0 1 296.411 0.929 20 30 CCEDMN Cn1cc(N2CC[C@H](N[C@H]3CC[C@H](C#N)C3)C2=O)cn1 ZINC000329899603 354270440 /nfs/dbraw/zinc/27/04/40/354270440.db2.gz FPMGRYVTHBFVFL-GVXVVHGQSA-N 0 1 273.340 0.807 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1ccc(C#N)cc1F ZINC000557853388 291046012 /nfs/dbraw/zinc/04/60/12/291046012.db2.gz ZSSPWKXJRANRIU-VIFPVBQESA-N 0 1 285.344 0.926 20 30 CCEDMN N#Cc1cccc(C2(NCC(=O)N3CCOCC3)CC2)c1 ZINC000271794505 191331643 /nfs/dbraw/zinc/33/16/43/191331643.db2.gz OAECQFMRCYINNJ-UHFFFAOYSA-N 0 1 285.347 0.996 20 30 CCEDMN C[C@H](C(=O)N1[C@H](C)CN(C)C[C@H]1C)n1cnc(C#N)n1 ZINC000336990840 355241749 /nfs/dbraw/zinc/24/17/49/355241749.db2.gz LBCJSQYAECGGSN-GMTAPVOTSA-N 0 1 276.344 0.262 20 30 CCEDMN C[C@@H](C(=O)Nc1nc(C2CCC2)n[nH]1)n1cnc(C#N)n1 ZINC000337056943 355258644 /nfs/dbraw/zinc/25/86/44/355258644.db2.gz RIDRACIPCHNGPH-ZETCQYMHSA-N 0 1 286.299 0.735 20 30 CCEDMN C[C@@H](C(=O)Nc1n[nH]c(C2CCC2)n1)n1cnc(C#N)n1 ZINC000337056943 355258648 /nfs/dbraw/zinc/25/86/48/355258648.db2.gz RIDRACIPCHNGPH-ZETCQYMHSA-N 0 1 286.299 0.735 20 30 CCEDMN CCc1nc(NC(=O)[C@@H](C)n2cnc(C#N)n2)n[nH]1 ZINC000337069624 355261263 /nfs/dbraw/zinc/26/12/63/355261263.db2.gz WIFHDVQXSIAQQS-ZCFIWIBFSA-N 0 1 260.261 0.030 20 30 CCEDMN CCc1n[nH]c(NC(=O)[C@@H](C)n2cnc(C#N)n2)n1 ZINC000337069624 355261264 /nfs/dbraw/zinc/26/12/64/355261264.db2.gz WIFHDVQXSIAQQS-ZCFIWIBFSA-N 0 1 260.261 0.030 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NC[C@H]1CCCN1C ZINC000337956372 355308414 /nfs/dbraw/zinc/30/84/14/355308414.db2.gz VGVORTPBQPKCBC-GHMZBOCLSA-N 0 1 274.390 0.149 20 30 CCEDMN C=CCOCCN1CCC[C@@H](S(=O)(=O)N(C)C)C1 ZINC000339183561 355500053 /nfs/dbraw/zinc/50/00/53/355500053.db2.gz AYYLZXUYEPEFEY-GFCCVEGCSA-N 0 1 276.402 0.545 20 30 CCEDMN N#CCNC(=O)CNC(=O)c1[nH]nc2c1CCCCC2 ZINC000344155860 355786862 /nfs/dbraw/zinc/78/68/62/355786862.db2.gz RLIUPKAQYMMTDC-UHFFFAOYSA-N 0 1 275.312 0.048 20 30 CCEDMN COc1cc(CN(C)[C@H]2COC[C@H]2O)ccc1C#N ZINC000348639354 355958447 /nfs/dbraw/zinc/95/84/47/355958447.db2.gz DIXCNCIOBKYLHG-QWHCGFSZSA-N 0 1 262.309 0.758 20 30 CCEDMN N#Cc1ncn(CC(=O)Nc2nc(C3CCC3)n[nH]2)n1 ZINC000354327291 356391434 /nfs/dbraw/zinc/39/14/34/356391434.db2.gz NJKHVQICYVNYKU-UHFFFAOYSA-N 0 1 272.272 0.174 20 30 CCEDMN N#Cc1ncn(CC(=O)Nc2n[nH]c(C3CCC3)n2)n1 ZINC000354327291 356391437 /nfs/dbraw/zinc/39/14/37/356391437.db2.gz NJKHVQICYVNYKU-UHFFFAOYSA-N 0 1 272.272 0.174 20 30 CCEDMN C[C@H](CN(C)C(=O)c1cccc(C#N)c1)c1nn[nH]n1 ZINC000273596985 192224086 /nfs/dbraw/zinc/22/40/86/192224086.db2.gz WQCISIVIHBUGJO-SECBINFHSA-N 0 1 270.296 0.947 20 30 CCEDMN CCc1nnc(NC(=O)Cc2noc(C)c2C#N)[nH]1 ZINC000354619938 356455158 /nfs/dbraw/zinc/45/51/58/356455158.db2.gz KFDHGCDVDBBWQG-UHFFFAOYSA-N 0 1 260.257 0.716 20 30 CCEDMN CCc1n[nH]c(NC(=O)Cc2noc(C)c2C#N)n1 ZINC000354619938 356455161 /nfs/dbraw/zinc/45/51/61/356455161.db2.gz KFDHGCDVDBBWQG-UHFFFAOYSA-N 0 1 260.257 0.716 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H]2C(=O)NCc2nn[nH]n2)cc1 ZINC000609531896 363502779 /nfs/dbraw/zinc/50/27/79/363502779.db2.gz ANHAXZFAIZIYHV-LBPRGKRZSA-N 0 1 297.322 0.357 20 30 CCEDMN C=CCn1c(S[C@@H](C=C)C(=O)OC)n[nH]c1=O ZINC000619689565 366322522 /nfs/dbraw/zinc/32/25/22/366322522.db2.gz OMBIKNOFWAPOGK-ZETCQYMHSA-N 0 1 255.299 0.989 20 30 CCEDMN Cc1cc(CNS(=O)(=O)N(C)[C@@H](C)CC#N)n[nH]1 ZINC000619750322 366348005 /nfs/dbraw/zinc/34/80/05/366348005.db2.gz ZWBDBLLBUNWKHK-VIFPVBQESA-N 0 1 271.346 0.287 20 30 CCEDMN C[C@@H](CNC(=O)N=c1[nH]n(C)cc1C#N)Cn1cccn1 ZINC000610527960 363572623 /nfs/dbraw/zinc/57/26/23/363572623.db2.gz CDIKPSVNAGIDAO-JTQLQIEISA-N 0 1 287.327 0.368 20 30 CCEDMN COC[C@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610528264 363574197 /nfs/dbraw/zinc/57/41/97/363574197.db2.gz ZRRFAZOEUAVNJT-JTQLQIEISA-N 0 1 277.328 0.604 20 30 CCEDMN Cc1nc(C(C)(C)NC(=O)N=c2[nH]n(C)cc2C#N)no1 ZINC000610562983 363577875 /nfs/dbraw/zinc/57/78/75/363577875.db2.gz NKDFCDJENHUDRN-UHFFFAOYSA-N 0 1 289.299 0.462 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2COc3ccccc3C2)[nH]1 ZINC000610561528 363575970 /nfs/dbraw/zinc/57/59/70/363575970.db2.gz MENRWEWDZMHNMI-GFCCVEGCSA-N 0 1 297.318 0.839 20 30 CCEDMN CN(CCn1cccn1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610562146 363576739 /nfs/dbraw/zinc/57/67/39/363576739.db2.gz JKNMAIWPLIFSAQ-UHFFFAOYSA-N 0 1 273.300 0.074 20 30 CCEDMN CO[C@H](CNC(=O)N=c1[nH]n(C)cc1C#N)C(C)C ZINC000610569874 363580284 /nfs/dbraw/zinc/58/02/84/363580284.db2.gz FGTJHLCBRIZKLE-SNVBAGLBSA-N 0 1 265.317 0.506 20 30 CCEDMN N#Cc1ccc(CN2CCC(S(N)(=O)=O)CC2)cc1 ZINC000376725481 357496246 /nfs/dbraw/zinc/49/62/46/357496246.db2.gz CGOYFSWBMHOPHY-UHFFFAOYSA-N 0 1 279.365 0.811 20 30 CCEDMN COc1cc(C#N)ccc1OCCN1C[C@H](O)C[C@H](O)C1 ZINC000610604246 363583787 /nfs/dbraw/zinc/58/37/87/363583787.db2.gz JNYIIZWOEYIYNQ-BETUJISGSA-N 0 1 292.335 0.373 20 30 CCEDMN N#CC1(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)CCCC1 ZINC000405268190 357823683 /nfs/dbraw/zinc/82/36/83/357823683.db2.gz SKMRWPMORYLFIS-NWDGAFQWSA-N 0 1 263.341 0.348 20 30 CCEDMN N#Cc1ccncc1C(=O)NC[C@@H]1CCCCN1CCO ZINC000452799964 236262062 /nfs/dbraw/zinc/26/20/62/236262062.db2.gz QSRSVEIKJCMBGX-ZDUSSCGKSA-N 0 1 288.351 0.530 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCc3c[nH]nc3C2)[nH]1 ZINC000610718292 363604761 /nfs/dbraw/zinc/60/47/61/363604761.db2.gz KQQLQJJFSRLGOB-JTQLQIEISA-N 0 1 285.311 0.116 20 30 CCEDMN N#Cc1ccc(O[C@@H]2CCN([C@@H]3COC[C@H]3O)C2)nc1 ZINC000562379157 291148230 /nfs/dbraw/zinc/14/82/30/291148230.db2.gz VKTKDGBWAJHWER-JHJVBQTASA-N 0 1 275.308 0.166 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2cscc2C#N)C1 ZINC000567924741 358614867 /nfs/dbraw/zinc/61/48/67/358614867.db2.gz QMNGCQJVKXHDDR-LLVKDONJSA-N 0 1 278.381 0.595 20 30 CCEDMN N#Cc1cc(C(=O)NCCCc2nc[nH]n2)ccn1 ZINC000573573739 358980006 /nfs/dbraw/zinc/98/00/06/358980006.db2.gz KTZAXJRDVADSJJ-UHFFFAOYSA-N 0 1 256.269 0.434 20 30 CCEDMN Cc1ccc(C)c(OC[C@H](O)CNCC#CCO)c1 ZINC000580833744 359098907 /nfs/dbraw/zinc/09/89/07/359098907.db2.gz BPSPYGQNDFIMAQ-CQSZACIVSA-N 0 1 263.337 0.628 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000579869546 359058049 /nfs/dbraw/zinc/05/80/49/359058049.db2.gz FCQQBADAILABQS-BXKDBHETSA-N 0 1 262.317 0.846 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000579869549 359058539 /nfs/dbraw/zinc/05/85/39/359058539.db2.gz FCQQBADAILABQS-SKDRFNHKSA-N 0 1 262.317 0.846 20 30 CCEDMN C[C@@H](O)C[C@H]1CCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000611050231 363654010 /nfs/dbraw/zinc/65/40/10/363654010.db2.gz QINUCSKBBVVHSV-MWLCHTKSSA-N 0 1 277.328 0.481 20 30 CCEDMN COCC[N@H+](C)CCCNC(=O)c1cc([O-])cc(C#N)c1 ZINC000589168601 359364778 /nfs/dbraw/zinc/36/47/78/359364778.db2.gz FNILYSMEHLLOLJ-UHFFFAOYSA-N 0 1 291.351 0.962 20 30 CCEDMN COCC[N@@H+](C)CCCNC(=O)c1cc([O-])cc(C#N)c1 ZINC000589168601 359364782 /nfs/dbraw/zinc/36/47/82/359364782.db2.gz FNILYSMEHLLOLJ-UHFFFAOYSA-N 0 1 291.351 0.962 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N=c2cc(C3CC3)n(C)[nH]2)[nH]1 ZINC000589244556 359374052 /nfs/dbraw/zinc/37/40/52/359374052.db2.gz KVIONHRIGMTABL-UHFFFAOYSA-N 0 1 285.311 0.390 20 30 CCEDMN C[C@H]1CSC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000588258682 359319797 /nfs/dbraw/zinc/31/97/97/359319797.db2.gz BLAPRMMQTUBDKH-IONNQARKSA-N 0 1 265.342 0.587 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000588650825 359328434 /nfs/dbraw/zinc/32/84/34/359328434.db2.gz UZCYNRUVNTZBBE-TUAOUCFPSA-N 0 1 268.361 0.243 20 30 CCEDMN CC1(C)CN(C(=O)NCCCC#N)CCN1CCO ZINC000588652397 359328563 /nfs/dbraw/zinc/32/85/63/359328563.db2.gz WOKLKFHNCPUJTG-UHFFFAOYSA-N 0 1 268.361 0.388 20 30 CCEDMN CC1(C)CN(C(=O)NCC2(CC#N)CC2)CCN1CCO ZINC000588667921 359329598 /nfs/dbraw/zinc/32/95/98/359329598.db2.gz HEPRNXCSLMEEOC-UHFFFAOYSA-N 0 1 294.399 0.778 20 30 CCEDMN COC1CCN(CCNC(=O)c2cnccc2C#N)CC1 ZINC000588755766 359335365 /nfs/dbraw/zinc/33/53/65/359335365.db2.gz YXIRTWDNONETFW-UHFFFAOYSA-N 0 1 288.351 0.794 20 30 CCEDMN C[N@H+]1CC[C@H](C(=O)N=c2[nH][n-]c(C3CC3)c2C#N)C1 ZINC000588767531 359335856 /nfs/dbraw/zinc/33/58/56/359335856.db2.gz PZXSNGYFNHVCMO-VIFPVBQESA-N 0 1 259.313 0.471 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)C(=O)c1ccc(C#N)cc1 ZINC000588849079 359340601 /nfs/dbraw/zinc/34/06/01/359340601.db2.gz IOWQTZBCLXFJHJ-UHFFFAOYSA-N 0 1 258.237 0.919 20 30 CCEDMN N#CC1(C(=O)N2CC[C@H](N3CC[C@H](O)C3)C2)CCCC1 ZINC000588860028 359343328 /nfs/dbraw/zinc/34/33/28/359343328.db2.gz BGNIJZCOLZBAAA-STQMWFEESA-N 0 1 277.368 0.738 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(CC)[C@H](C)C1 ZINC000611092461 363658921 /nfs/dbraw/zinc/65/89/21/363658921.db2.gz UVBXSKMKSCSILX-LLVKDONJSA-N 0 1 268.361 0.024 20 30 CCEDMN C[C@@H]1CN(C(=O)NC2CCN(CC#N)CC2)C[C@H](C)N1C ZINC000590804720 359523576 /nfs/dbraw/zinc/52/35/76/359523576.db2.gz WRTFJVZKGMLMBJ-BETUJISGSA-N 0 1 293.415 0.708 20 30 CCEDMN N#Cc1c2c(cnc1NCCc1nc[nH]n1)CCC2 ZINC000563018795 291182011 /nfs/dbraw/zinc/18/20/11/291182011.db2.gz WDWREQPHFHWOHQ-UHFFFAOYSA-N 0 1 254.297 0.637 20 30 CCEDMN CO[C@@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)C12CCC2 ZINC000590957441 359542904 /nfs/dbraw/zinc/54/29/04/359542904.db2.gz JCPGZDMQAXJGPU-GHMZBOCLSA-N 0 1 289.339 0.793 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(Cc2ccncc2C#N)C1 ZINC000592125388 359759869 /nfs/dbraw/zinc/75/98/69/359759869.db2.gz VSFUINYVUYBSKQ-AWEZNQCLSA-N 0 1 288.351 0.490 20 30 CCEDMN CCNC(=O)C(C)(C)NCc1cnc2c(C#N)cnn2c1 ZINC000563151817 291199614 /nfs/dbraw/zinc/19/96/14/291199614.db2.gz XKEZPBQCXAIJSM-UHFFFAOYSA-N 0 1 286.339 0.605 20 30 CCEDMN Cc1cc(NC(=O)CCN2CC[C@](O)(CC#N)C2)no1 ZINC000592147210 359766182 /nfs/dbraw/zinc/76/61/82/359766182.db2.gz JNZQOGYMFGXKNN-CYBMUJFWSA-N 0 1 278.312 0.084 20 30 CCEDMN Cn1nnc2cc(CN3CC[C@@](O)(CC#N)C3)ccc21 ZINC000592149514 359769258 /nfs/dbraw/zinc/76/92/58/359769258.db2.gz QJGINWHCIXLFPF-AWEZNQCLSA-N 0 1 271.324 0.819 20 30 CCEDMN COC(=O)[C@H]1CCN(Cc2cnc3c(C#N)cnn3c2)[C@H]1C ZINC000592509343 359865281 /nfs/dbraw/zinc/86/52/81/359865281.db2.gz KGOKWXOWBXIDCK-GWCFXTLKSA-N 0 1 299.334 0.984 20 30 CCEDMN COC(=O)[C@@H]1CN(Cc2cnc3c(C#N)cnn3c2)C[C@H]1C ZINC000592515622 359868895 /nfs/dbraw/zinc/86/88/95/359868895.db2.gz LKDMFNHEJVELDU-ZWNOBZJWSA-N 0 1 299.334 0.842 20 30 CCEDMN CC(C)(CO)N1CCN(c2ccc(C#N)nn2)CC1 ZINC000611240819 363693336 /nfs/dbraw/zinc/69/33/36/363693336.db2.gz CBFLNLKUNNUWQW-UHFFFAOYSA-N 0 1 261.329 0.241 20 30 CCEDMN CCn1nccc1C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592655836 359910255 /nfs/dbraw/zinc/91/02/55/359910255.db2.gz YLHMPJYYMFJUPY-UHFFFAOYSA-N 0 1 258.285 0.491 20 30 CCEDMN C=CCOCCCC(=O)N1CCCNC[C@H]1C(=O)OC ZINC000592556434 359886581 /nfs/dbraw/zinc/88/65/81/359886581.db2.gz SXSGSYYUGAOGDF-LBPRGKRZSA-N 0 1 284.356 0.333 20 30 CCEDMN CC[C@@H](C#N)C(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000593476468 360095476 /nfs/dbraw/zinc/09/54/76/360095476.db2.gz ZVHGHUPJHIDDDB-UONOGXRCSA-N 0 1 294.399 0.059 20 30 CCEDMN C[C@H](C(=O)N1[C@H](C)CN(C)[C@H](C)[C@@H]1C)n1cnc(C#N)n1 ZINC000593189475 360051471 /nfs/dbraw/zinc/05/14/71/360051471.db2.gz QOMSTHKTTYFDQT-WISYIIOYSA-N 0 1 290.371 0.650 20 30 CCEDMN CC1(C)CN(Cc2ccc(C#N)cn2)CCN1CCO ZINC000593975224 360225043 /nfs/dbraw/zinc/22/50/43/360225043.db2.gz ITNILKRFLAXBMJ-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN C[C@H]1CCN(CC(=O)N[C@]2(C#N)CCSC2)C1 ZINC000594257328 360264827 /nfs/dbraw/zinc/26/48/27/360264827.db2.gz IFHPAMRIPAOQCF-JQWIXIFHSA-N 0 1 253.371 0.844 20 30 CCEDMN CCN(CC)C(=O)CN1CCC(NC(=O)[C@@H](C)C#N)CC1 ZINC000594358215 360281442 /nfs/dbraw/zinc/28/14/42/360281442.db2.gz SNPNXPNQTILGLK-LBPRGKRZSA-N 0 1 294.399 0.595 20 30 CCEDMN CC(C)[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000611503745 363728201 /nfs/dbraw/zinc/72/82/01/363728201.db2.gz BNRUBXKRUCJZAL-NSHDSACASA-N 0 1 277.328 0.602 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@](C)(C(=O)OC)C1 ZINC000177440684 189051509 /nfs/dbraw/zinc/05/15/09/189051509.db2.gz HMTSVNCIZSIESK-GXFFZTMASA-N 0 1 252.314 0.009 20 30 CCEDMN C[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H]1F ZINC000611503771 363728495 /nfs/dbraw/zinc/72/84/95/363728495.db2.gz IVEMQVADRAXALH-WCBMZHEXSA-N 0 1 265.292 0.925 20 30 CCEDMN CCN(C(=O)C(=O)N(C)C[C@@H](C)C#N)[C@H]1CCN(C)C1 ZINC000595205359 360450929 /nfs/dbraw/zinc/45/09/29/360450929.db2.gz ZYBFEGICOIDWJJ-RYUDHWBXSA-N 0 1 280.372 0.157 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCN2CCCC[C@@H]2C1 ZINC000177754170 189067134 /nfs/dbraw/zinc/06/71/34/189067134.db2.gz STIMJOPAKDIAQL-QWHCGFSZSA-N 0 1 294.399 0.557 20 30 CCEDMN CCOC(=O)c1c(C)[nH]nc1CN1CCOC[C@H]1C#N ZINC000595384180 360515138 /nfs/dbraw/zinc/51/51/38/360515138.db2.gz BESAKUBNUVTALC-SNVBAGLBSA-N 0 1 278.312 0.619 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N2CC[C@@](C)(C#N)C2)C1 ZINC000595518092 360558692 /nfs/dbraw/zinc/55/86/92/360558692.db2.gz ZASCKWLACDVBPB-OCCSQVGLSA-N 0 1 280.372 0.652 20 30 CCEDMN N#Cc1sccc1N1CCN(C[C@@H]2CNC(=O)C2)CC1 ZINC000595630414 360602106 /nfs/dbraw/zinc/60/21/06/360602106.db2.gz XNDPZHNSCMNALM-NSHDSACASA-N 0 1 290.392 0.878 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H]2COC[C@]2(C)C1 ZINC000595757572 360642284 /nfs/dbraw/zinc/64/22/84/360642284.db2.gz KRXHNCCUSHBGSV-IPYPFGDCSA-N 0 1 279.384 0.963 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@](O)(C2CC2)C1 ZINC000595752583 360639872 /nfs/dbraw/zinc/63/98/72/360639872.db2.gz UHSPJBYCEORESX-OAHLLOKOSA-N 0 1 279.384 0.985 20 30 CCEDMN C[C@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@H](C)C1O ZINC000595750099 360640112 /nfs/dbraw/zinc/64/01/12/360640112.db2.gz NVDINMYXKJQZKK-STQMWFEESA-N 0 1 292.383 0.591 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1cccc(C#N)c1 ZINC000595863904 360683193 /nfs/dbraw/zinc/68/31/93/360683193.db2.gz KMLIZMUDOIFEOZ-CYBMUJFWSA-N 0 1 259.309 0.311 20 30 CCEDMN C=CCCSCCNC(=O)[C@@H](N)CC(=O)OC ZINC000595939115 360715647 /nfs/dbraw/zinc/71/56/47/360715647.db2.gz DGIXHLFXAGHVTA-VIFPVBQESA-N 0 1 260.359 0.302 20 30 CCEDMN CCN1CCN([C@H]2CCN(c3c(C#N)cnn3C)C2)CC1 ZINC000596120906 360802345 /nfs/dbraw/zinc/80/23/45/360802345.db2.gz FKJAVADHWPRNBY-AWEZNQCLSA-N 0 1 288.399 0.508 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)nn2)C[C@H](C)N1CCO ZINC000596257789 360855643 /nfs/dbraw/zinc/85/56/43/360855643.db2.gz LUPCDLKMVBZAPI-PHIMTYICSA-N 0 1 261.329 0.240 20 30 CCEDMN CCc1nc(C#N)cc(N2C[C@@H](C)N(CCO)C[C@H]2C)n1 ZINC000596275187 360863986 /nfs/dbraw/zinc/86/39/86/360863986.db2.gz ORQLGMRNHWJQSN-VXGBXAGGSA-N 0 1 289.383 0.802 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1ccc(C#N)nn1 ZINC000596275333 360864414 /nfs/dbraw/zinc/86/44/14/360864414.db2.gz QCTSYLXRNOGDAD-WDEREUQCSA-N 0 1 261.329 0.240 20 30 CCEDMN COCc1nc(CNc2nc(C#N)c(Cl)s2)n[nH]1 ZINC000596435735 360895123 /nfs/dbraw/zinc/89/51/23/360895123.db2.gz HQAUKOFFBFTQQB-UHFFFAOYSA-N 0 1 284.732 0.967 20 30 CCEDMN COCc1nnc(CNc2nc(C#N)c(Cl)s2)[nH]1 ZINC000596435735 360895124 /nfs/dbraw/zinc/89/51/24/360895124.db2.gz HQAUKOFFBFTQQB-UHFFFAOYSA-N 0 1 284.732 0.967 20 30 CCEDMN CN(CC1CN(C)C1)c1ncc([N+](=O)[O-])cc1C#N ZINC000596452369 360899047 /nfs/dbraw/zinc/89/90/47/360899047.db2.gz CFGFIOXGXGOQAT-UHFFFAOYSA-N 0 1 261.285 0.859 20 30 CCEDMN CCc1nc(CNC(=O)N2CC[C@](C)(C#N)C2)n[nH]1 ZINC000596610830 360929237 /nfs/dbraw/zinc/92/92/37/360929237.db2.gz JATMRDFFFKSGPT-GFCCVEGCSA-N 0 1 262.317 0.812 20 30 CCEDMN N#C[C@@]1(NC(=O)[C@H]2CCCc3n[nH]nc32)CCSC1 ZINC000597036245 360998658 /nfs/dbraw/zinc/99/86/58/360998658.db2.gz OWJQCCNVOZMWHL-UFBFGSQYSA-N 0 1 277.353 0.740 20 30 CCEDMN CCN(CC#N)C(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000596917349 360978352 /nfs/dbraw/zinc/97/83/52/360978352.db2.gz YTSRWHCVXZRXBE-UHFFFAOYSA-N 0 1 283.309 0.025 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC000597219120 361033264 /nfs/dbraw/zinc/03/32/64/361033264.db2.gz YBGYBAPKVGMNSP-LBPRGKRZSA-N 0 1 299.440 0.574 20 30 CCEDMN N#CCCCCC(=O)NC[C@H]1CN2CCN1CCC2 ZINC000597627766 361166773 /nfs/dbraw/zinc/16/67/73/361166773.db2.gz XRUGGLSMBKDZIL-ZDUSSCGKSA-N 0 1 264.373 0.576 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N2CC(CC#N)C2)cn1 ZINC000598022626 361316467 /nfs/dbraw/zinc/31/64/67/361316467.db2.gz FJEBBWOUHXUEQW-UHFFFAOYSA-N 0 1 270.296 0.796 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCOCC3(CC3)C2)[nH]1 ZINC000611820796 363789205 /nfs/dbraw/zinc/78/92/05/363789205.db2.gz LSUULYKPVSHLBW-UHFFFAOYSA-N 0 1 275.312 0.358 20 30 CCEDMN Cn1cnnc1N1CCN(C[C@H](O)CC(C)(C)C#N)CC1 ZINC000598622435 361463340 /nfs/dbraw/zinc/46/33/40/361463340.db2.gz KDOAKFFVXSICEJ-GFCCVEGCSA-N 0 1 292.387 0.238 20 30 CCEDMN CN(Cc1n[nH]c(=O)o1)C(=O)c1cc(C#N)cn1C ZINC000598743490 361506729 /nfs/dbraw/zinc/50/67/29/361506729.db2.gz BJQHCTAMGBRFKZ-UHFFFAOYSA-N 0 1 261.241 0.258 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@@H](C(=O)N2CC[C@@](O)(CC#N)C2)C1 ZINC000598941251 361535057 /nfs/dbraw/zinc/53/50/57/361535057.db2.gz LXLBBDXHTCXGFY-GMXABZIVSA-N 0 1 288.351 0.953 20 30 CCEDMN CC[C@H](CC#N)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000599276969 361627919 /nfs/dbraw/zinc/62/79/19/361627919.db2.gz CRXQCKBKOITWRP-SSDOTTSWSA-N 0 1 287.297 0.432 20 30 CCEDMN CN1CCN(C[C@H](O)CC2(C#N)CCOCC2)CCC1=O ZINC000599470260 361711696 /nfs/dbraw/zinc/71/16/96/361711696.db2.gz ZYKZNMYNTMVZHY-CYBMUJFWSA-N 0 1 295.383 0.222 20 30 CCEDMN Cc1ccc(CNC2(C(N)=O)CCOCC2)cc1C#N ZINC000599468988 361712555 /nfs/dbraw/zinc/71/25/55/361712555.db2.gz CFZSTOZOYNZYRS-UHFFFAOYSA-N 0 1 273.336 0.991 20 30 CCEDMN COCCN(CCC#N)C(=O)[C@H](C)CN1CCOCC1 ZINC000611992786 363826043 /nfs/dbraw/zinc/82/60/43/363826043.db2.gz FXJXOLOFUWPLCC-CYBMUJFWSA-N 0 1 283.372 0.343 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N(C)C[C@@H](C)c1nn[nH]n1 ZINC000613473207 364260021 /nfs/dbraw/zinc/26/00/21/364260021.db2.gz XYVBZGUGAQFWBI-MWLCHTKSSA-N 0 1 294.359 0.233 20 30 CCEDMN C=CCn1cc(C(=O)N2CCC3(CN(C)C3)C2)nn1 ZINC000614033966 364467129 /nfs/dbraw/zinc/46/71/29/364467129.db2.gz RUPNJODDGGSZEW-UHFFFAOYSA-N 0 1 261.329 0.242 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000614475347 364621682 /nfs/dbraw/zinc/62/16/82/364621682.db2.gz MXNAZKWONKZJIN-LBPRGKRZSA-N 0 1 267.285 0.418 20 30 CCEDMN CCOCCOCCN(C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000615842393 365104070 /nfs/dbraw/zinc/10/40/70/365104070.db2.gz SSJASNDZNHZCSW-UHFFFAOYSA-N 0 1 295.343 0.230 20 30 CCEDMN COC(=O)CC1(NCc2cnc3c(C#N)cnn3c2)CC1 ZINC000565084753 291343711 /nfs/dbraw/zinc/34/37/11/291343711.db2.gz GBJFMMTXAQOFLQ-UHFFFAOYSA-N 0 1 285.307 0.786 20 30 CCEDMN C[C@@H]1C[NH2+]CC[C@@H]1[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000565247323 291366321 /nfs/dbraw/zinc/36/63/21/291366321.db2.gz UYQFPOXGXUQHMR-SCZZXKLOSA-N 0 1 269.326 0.428 20 30 CCEDMN CC1(C)CN(Cc2cnc3c(C#N)cnn3c2)C[C@H]1O ZINC000566241954 291382255 /nfs/dbraw/zinc/38/22/55/291382255.db2.gz LMCCMMHIBSBZJL-GFCCVEGCSA-N 0 1 271.324 0.804 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@H](C)C(=O)NC2(C#N)CCC2)[C@@H]1C ZINC000346649151 284068216 /nfs/dbraw/zinc/06/82/16/284068216.db2.gz GMGZYTWWXNZMIK-IJLUTSLNSA-N 0 1 293.367 0.821 20 30 CCEDMN C[C@H]1CN(C(=O)CNc2ccc(C#N)cn2)[C@@H](C)CN1C ZINC000330617362 203044121 /nfs/dbraw/zinc/04/41/21/203044121.db2.gz WYVUVCRQXHWORV-RYUDHWBXSA-N 0 1 287.367 0.338 20 30 CCEDMN C=CCCOCCNC(=O)C(=O)N1CCNC[C@H]1C(C)C ZINC000627306706 368950085 /nfs/dbraw/zinc/95/00/85/368950085.db2.gz JCOQYLRVWRUTGR-ZDUSSCGKSA-N 0 1 297.399 0.152 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN(C)C2CCC2)C1=O ZINC000629989176 369875175 /nfs/dbraw/zinc/87/51/75/369875175.db2.gz PHKRCQCLVQZXSG-CYBMUJFWSA-N 0 1 279.384 0.716 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNS(=O)(=O)c1ncc[nH]1 ZINC000632482150 371097416 /nfs/dbraw/zinc/09/74/16/371097416.db2.gz UAOOVRLFYQBRHZ-IUCAKERBSA-N 0 1 257.315 0.279 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)[C@@H]1CCN1C1CCCC1 ZINC000636111664 373372667 /nfs/dbraw/zinc/37/26/67/373372667.db2.gz NRGBGTFUIYJFGA-JSGCOSHPSA-N 0 1 264.369 0.894 20 30 CCEDMN C[C@]1(CO)C[C@H](O)CN1CC#Cc1ccc(F)cc1 ZINC000639851808 376071765 /nfs/dbraw/zinc/07/17/65/376071765.db2.gz BMJVZCALEUVZMK-LSDHHAIUSA-N 0 1 263.312 0.995 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)n1cc(CNC)nn1 ZINC000640923050 376618703 /nfs/dbraw/zinc/61/87/03/376618703.db2.gz CNWMSFHEHRDNKK-NSHDSACASA-N 0 1 265.361 0.983 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCCN(CCN2CCOCC2)C1 ZINC000641668318 377376725 /nfs/dbraw/zinc/37/67/25/377376725.db2.gz ZUDPSJMKDCYIEU-HNNXBMFYSA-N 0 1 295.427 0.865 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[N@@H+](CCO)C(C)(C)C2)C1=O ZINC000685004964 545785702 /nfs/dbraw/zinc/78/57/02/545785702.db2.gz ZQDZZDUBVBWDLF-CYBMUJFWSA-N 0 1 281.400 0.162 20 30 CCEDMN C=CCNC(=O)N1CC[C@@H](N2CCN(CC)CC2)C1 ZINC000106511135 261848386 /nfs/dbraw/zinc/84/83/86/261848386.db2.gz CIPKYLGOIHHERN-CYBMUJFWSA-N 0 1 266.389 0.594 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NCCc1nc(C)n[nH]1 ZINC000279098557 194283944 /nfs/dbraw/zinc/28/39/44/194283944.db2.gz UPLOCMYISLANKP-ZYHUDNBSSA-N 0 1 264.329 0.753 20 30 CCEDMN C=CCCn1cc(CNC[C@@]2(O)CCOC2)nn1 ZINC000657417587 412984906 /nfs/dbraw/zinc/98/49/06/412984906.db2.gz QILOKIVMGYXRMD-LBPRGKRZSA-N 0 1 252.318 0.095 20 30 CCEDMN C=CCCn1cc(CNCC[C@]2(O)CCOC2)nn1 ZINC000657500046 413009811 /nfs/dbraw/zinc/00/98/11/413009811.db2.gz FRRIYZSXFBRRRC-ZDUSSCGKSA-N 0 1 266.345 0.485 20 30 CCEDMN C=CCCn1cc(CNC[C@@H](OC)[C@@H]2CCOC2)nn1 ZINC000657540119 413019460 /nfs/dbraw/zinc/01/94/60/413019460.db2.gz CFWGPOFWNLEUQJ-TZMCWYRMSA-N 0 1 280.372 0.995 20 30 CCEDMN CN(C)c1ccncc1C(=O)NCCn1cnc(C#N)n1 ZINC000287014254 196759260 /nfs/dbraw/zinc/75/92/60/196759260.db2.gz VDBANKJHXQGKBM-UHFFFAOYSA-N 0 1 285.311 0.041 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)NCc1cn[nH]c1C ZINC000283715817 388769486 /nfs/dbraw/zinc/76/94/86/388769486.db2.gz AQIRGGWJDXOBJW-UHFFFAOYSA-N 0 1 264.329 0.759 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)CC1(CO)CC1 ZINC000186790369 388852350 /nfs/dbraw/zinc/85/23/50/388852350.db2.gz HPPCQNKPWYFXSK-JTQLQIEISA-N 0 1 269.345 0.091 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000287520930 388853650 /nfs/dbraw/zinc/85/36/50/388853650.db2.gz IDRWZOPMWLCVPK-SNVBAGLBSA-N 0 1 278.356 0.800 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC000288898028 388866016 /nfs/dbraw/zinc/86/60/16/388866016.db2.gz JGDQLKCWQRNIQX-RYUDHWBXSA-N 0 1 279.384 0.762 20 30 CCEDMN CCN1CCC[C@@H]1CNS(=O)(=O)c1cncc(C#N)c1 ZINC000289679434 388881960 /nfs/dbraw/zinc/88/19/60/388881960.db2.gz HQVAAHHCKDYQHQ-GFCCVEGCSA-N 0 1 294.380 0.716 20 30 CCEDMN C[C@H]1CN(CCOc2ccccc2C#N)CCN1CCO ZINC000187738812 388894641 /nfs/dbraw/zinc/89/46/41/388894641.db2.gz PIXVNBJTCFCVBG-AWEZNQCLSA-N 0 1 289.379 0.935 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000291400590 388922342 /nfs/dbraw/zinc/92/23/42/388922342.db2.gz IPPQRTXLKJVGBU-UFBFGSQYSA-N 0 1 252.318 0.871 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC[C@@H]1CO ZINC000050116433 388925291 /nfs/dbraw/zinc/92/52/91/388925291.db2.gz ONIWKBPQSKWIBZ-GFCCVEGCSA-N 0 1 264.329 0.099 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@H](n3cccn3)C2)C1=O ZINC000291678477 388933041 /nfs/dbraw/zinc/93/30/41/388933041.db2.gz GUDRWDVSQHCQFA-STQMWFEESA-N 0 1 260.341 0.917 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](n3cccn3)C2)C1=O ZINC000291678477 388933044 /nfs/dbraw/zinc/93/30/44/388933044.db2.gz GUDRWDVSQHCQFA-STQMWFEESA-N 0 1 260.341 0.917 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(C(=O)N(C)OC)CC1 ZINC000291724290 388935153 /nfs/dbraw/zinc/93/51/53/388935153.db2.gz JFAMOAJUENCXSV-NSHDSACASA-N 0 1 283.372 0.409 20 30 CCEDMN C#CCNC(=O)CC[N@H+](C)Cc1ccc(OC)c(O)c1 ZINC000292718284 388954753 /nfs/dbraw/zinc/95/47/53/388954753.db2.gz FPZXIUXDOPVCNU-UHFFFAOYSA-N 0 1 276.336 0.972 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@H](O)CC1 ZINC000293092943 388978774 /nfs/dbraw/zinc/97/87/74/388978774.db2.gz KMPOKYFHIIFYGR-MNOVXSKESA-N 0 1 269.345 0.234 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N(C)C[C@@H]1CCCO1 ZINC000076299461 388986177 /nfs/dbraw/zinc/98/61/77/388986177.db2.gz UBRBIASYLRBQLZ-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2ncc(C)s2)CC1 ZINC000293693189 388986383 /nfs/dbraw/zinc/98/63/83/388986383.db2.gz CAYSQRVBXCRNSM-UHFFFAOYSA-N 0 1 292.408 0.713 20 30 CCEDMN C#CCNC(=O)CCN(CC(=O)OC)[C@H](C)CC ZINC000293963281 388990462 /nfs/dbraw/zinc/99/04/62/388990462.db2.gz CPLYZCBWCCBBDY-LLVKDONJSA-N 0 1 254.330 0.399 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ccc([N+](=O)[O-])cc1[O-] ZINC000294100471 388991136 /nfs/dbraw/zinc/99/11/36/388991136.db2.gz YFJUPRBAHLNOQN-UHFFFAOYSA-N 0 1 291.307 0.985 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N[C@H]1CCCN(C)[C@@H]1C ZINC000336896120 389028742 /nfs/dbraw/zinc/02/87/42/389028742.db2.gz NFWIXWOHBFJHDC-NEPJUHHUSA-N 0 1 288.417 0.539 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)N[C@@H]1CCN(C)C1 ZINC000336919294 389031650 /nfs/dbraw/zinc/03/16/50/389031650.db2.gz PXQCYOZZSSNOPF-WDEREUQCSA-N 0 1 274.390 0.006 20 30 CCEDMN C=CCN1CC[C@H](N2CCS[C@H]3COCC[C@@H]32)C1=O ZINC000337165410 389048805 /nfs/dbraw/zinc/04/88/05/389048805.db2.gz YPTJRCFFHXIYRP-AVGNSLFASA-N 0 1 282.409 0.980 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C[C@@H]3CCOC3)CC2)C1=O ZINC000337168413 389050114 /nfs/dbraw/zinc/05/01/14/389050114.db2.gz DBUYBQSLWFJDGK-GJZGRUSLSA-N 0 1 293.411 0.427 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCN2CCOC(C)(C)C2)C1=O ZINC000337262833 389057673 /nfs/dbraw/zinc/05/76/73/389057673.db2.gz WSJLVQYAPJXUKK-AWEZNQCLSA-N 0 1 295.427 0.816 20 30 CCEDMN COC(=O)[C@@]1(C)CN(CC(=O)NC2(C#N)CCC2)C[C@H]1C ZINC000337439326 389060869 /nfs/dbraw/zinc/06/08/69/389060869.db2.gz JAXZUBFDLJTKIO-RISCZKNCSA-N 0 1 293.367 0.680 20 30 CCEDMN COC(=O)[C@]1(C)CN(CC(=O)NC2(C#N)CCC2)C[C@H]1C ZINC000337439324 389061186 /nfs/dbraw/zinc/06/11/86/389061186.db2.gz JAXZUBFDLJTKIO-BXUZGUMPSA-N 0 1 293.367 0.680 20 30 CCEDMN COC(=O)[C@@]1(C)CN(CC(=O)NC2(C#N)CCC2)C[C@@H]1C ZINC000337439325 389061262 /nfs/dbraw/zinc/06/12/62/389061262.db2.gz JAXZUBFDLJTKIO-FZMZJTMJSA-N 0 1 293.367 0.680 20 30 CCEDMN Cc1ccc(N2CCN(C[C@@H](C)O)CC2)nc1C#N ZINC000302774361 389077785 /nfs/dbraw/zinc/07/77/85/389077785.db2.gz OAZZAPDSTSXXBC-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000305060396 389083848 /nfs/dbraw/zinc/08/38/48/389083848.db2.gz GIPULGKBTOYQAT-DZGCQCFKSA-N 0 1 295.427 0.598 20 30 CCEDMN C=CCN(C)[C@H](C)C(=O)N1CCC(C(N)=O)CC1 ZINC000121321963 389146833 /nfs/dbraw/zinc/14/68/33/389146833.db2.gz XCCDXGPTIPAUFA-SNVBAGLBSA-N 0 1 253.346 0.217 20 30 CCEDMN C[C@@H](C#N)CN(CCCC(=O)NC(N)=O)C1CC1 ZINC000338379248 389110564 /nfs/dbraw/zinc/11/05/64/389110564.db2.gz KECGDPMUFXVGFA-VIFPVBQESA-N 0 1 252.318 0.586 20 30 CCEDMN N#CC(C(=O)c1cncc(-n2ccnn2)c1)c1ccncn1 ZINC000338649940 389114279 /nfs/dbraw/zinc/11/42/79/389114279.db2.gz ZLPZROCFUGXWEM-GFCCVEGCSA-N 0 1 291.274 0.942 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)N2CCOC[C@H]2C)C1 ZINC000328601771 389128374 /nfs/dbraw/zinc/12/83/74/389128374.db2.gz GCFTWCFUHQZUGI-KGLIPLIRSA-N 0 1 299.415 0.978 20 30 CCEDMN C=CCN(C)[C@@H](C)C(=O)N1CCN(C(=O)OCC)CC1 ZINC000121524472 389149248 /nfs/dbraw/zinc/14/92/48/389149248.db2.gz AWTXULYEDURLKZ-LBPRGKRZSA-N 0 1 283.372 0.793 20 30 CCEDMN C=CCN1CC[C@H](N[C@H](C(=O)NCC)C(C)C)C1=O ZINC000339335657 389130532 /nfs/dbraw/zinc/13/05/32/389130532.db2.gz YTZVERQSMSPTAJ-RYUDHWBXSA-N 0 1 267.373 0.524 20 30 CCEDMN CN(C)C(=O)C(C)(C)NCC(=O)N[C@](C)(C#N)C1CC1 ZINC000114458190 389130706 /nfs/dbraw/zinc/13/07/06/389130706.db2.gz HARXHWDCRQPJBJ-CQSZACIVSA-N 0 1 280.372 0.251 20 30 CCEDMN Cc1ccc2c([n-]cc(C(=O)NCC(=O)NCC#N)c2=O)[nH+]1 ZINC000359976883 389187417 /nfs/dbraw/zinc/18/74/17/389187417.db2.gz MUNVNKAFYDJYJN-UHFFFAOYSA-N 0 1 299.290 0.013 20 30 CCEDMN C[C@@H]1OCC[C@]1(C)NC([O-])=[NH+][C@H]1CCc2ncnn2C1 ZINC000329687491 389188405 /nfs/dbraw/zinc/18/84/05/389188405.db2.gz ANOLXUYPQNBWCY-KWBADKCTSA-N 0 1 279.344 0.664 20 30 CCEDMN C[C@@H]1OCC[C@]1(C)NC(=O)N[C@H]1CCc2ncnn2C1 ZINC000329687491 389188408 /nfs/dbraw/zinc/18/84/08/389188408.db2.gz ANOLXUYPQNBWCY-KWBADKCTSA-N 0 1 279.344 0.664 20 30 CCEDMN Cc1noc(CNC([O-])=[NH+][C@H]2CCc3cn[nH]c3C2)n1 ZINC000329847810 389206282 /nfs/dbraw/zinc/20/62/82/389206282.db2.gz REDHGNJEUZUVOX-VIFPVBQESA-N 0 1 276.300 0.662 20 30 CCEDMN Cc1noc(CNC(=O)N[C@H]2CCc3c[nH]nc3C2)n1 ZINC000329847810 389206285 /nfs/dbraw/zinc/20/62/85/389206285.db2.gz REDHGNJEUZUVOX-VIFPVBQESA-N 0 1 276.300 0.662 20 30 CCEDMN C[C@@H]1C[C@H](NS(=O)(=O)CCCC#N)CCN1C ZINC000360654732 389201274 /nfs/dbraw/zinc/20/12/74/389201274.db2.gz VXXWDSFEWYCWCS-GHMZBOCLSA-N 0 1 259.375 0.692 20 30 CCEDMN Cc1nn(C)c(C)c1CNC(=O)N[C@H](C)c1nnc[nH]1 ZINC000329282809 389154261 /nfs/dbraw/zinc/15/42/61/389154261.db2.gz NBCKHRZDECYAMN-MRVPVSSYSA-N 0 1 277.332 0.920 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2ccc(F)cc2O)CCO1 ZINC000123714207 389157087 /nfs/dbraw/zinc/15/70/87/389157087.db2.gz QZIQMMPVJLXVEC-SECBINFHSA-N 0 1 250.229 0.896 20 30 CCEDMN C[C@H]([NH+]=C([O-])N[C@@H]1CCc2ncnn2C1)[C@@H]1CCCOC1 ZINC000329345611 389158226 /nfs/dbraw/zinc/15/82/26/389158226.db2.gz QYXQBSLWHASXBE-QJPTWQEYSA-N 0 1 293.371 0.912 20 30 CCEDMN C[C@H](NC(=O)N[C@@H]1CCc2ncnn2C1)[C@@H]1CCCOC1 ZINC000329345611 389158229 /nfs/dbraw/zinc/15/82/29/389158229.db2.gz QYXQBSLWHASXBE-QJPTWQEYSA-N 0 1 293.371 0.912 20 30 CCEDMN Cc1ccn(C)c(=O)c1NC(=O)N[C@H](C)c1nnc[nH]1 ZINC000329342219 389158298 /nfs/dbraw/zinc/15/82/98/389158298.db2.gz BAUOTYMBTOHEER-MRVPVSSYSA-N 0 1 276.300 0.899 20 30 CCEDMN C=CCN(CCc1ccccc1)C(=O)CNC(=O)CN ZINC000124682934 389162329 /nfs/dbraw/zinc/16/23/29/389162329.db2.gz GHQZOQMGOSJVBM-UHFFFAOYSA-N 0 1 275.352 0.319 20 30 CCEDMN C[C@@H]1CC[C@H](C)N1CC(=O)N[C@]1(CO)CCOC1 ZINC000329415941 389163019 /nfs/dbraw/zinc/16/30/19/389163019.db2.gz GBNROKIURHBQTH-LOWVWBTDSA-N 0 1 256.346 0.967 20 30 CCEDMN CC[C@H]1CN(C)CCCN1S(=O)(=O)[C@@H](C)C#N ZINC000234106829 389163518 /nfs/dbraw/zinc/16/35/18/389163518.db2.gz DOUCYMJNCGMKPN-QWRGUYRKSA-N 0 1 259.375 0.644 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N(C)C1CC(O)C1)CC2 ZINC000329440284 389164352 /nfs/dbraw/zinc/16/43/52/389164352.db2.gz ADBUFRRVNZBYRC-KPPDAEKUSA-N 0 1 279.344 0.270 20 30 CCEDMN C[C@H](NC(=O)Nc1ccc(C2CC2)nn1)c1nnc[nH]1 ZINC000329462958 389164815 /nfs/dbraw/zinc/16/48/15/389164815.db2.gz UEOFMCHDYYCEFF-ZETCQYMHSA-N 0 1 273.300 0.981 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC[C@@H]2CCOC2)[C@H](C)C1 ZINC000329553140 389170612 /nfs/dbraw/zinc/17/06/12/389170612.db2.gz PSYMDRNKVODJBM-HZSPNIEDSA-N 0 1 299.415 0.714 20 30 CCEDMN C#CCNC(=O)NCCN1CCc2ccccc2C1 ZINC000127882504 389175626 /nfs/dbraw/zinc/17/56/26/389175626.db2.gz FIELXJHPVXUHLH-UHFFFAOYSA-N 0 1 257.337 0.977 20 30 CCEDMN CCN1CCN(CC(=O)N[C@H](C#N)C(C)C)CC1 ZINC000343621222 389267867 /nfs/dbraw/zinc/26/78/67/389267867.db2.gz HISZHBSNNQGMAR-GFCCVEGCSA-N 0 1 252.362 0.288 20 30 CCEDMN CCN1CCC[C@H](NC(=O)C(=O)N2CCC(C#N)CC2)C1 ZINC000343108063 389258008 /nfs/dbraw/zinc/25/80/08/389258008.db2.gz XUFRUMMPHBHDGY-ZDUSSCGKSA-N 0 1 292.383 0.349 20 30 CCEDMN C[C@H]1CCN(C)CCN1C(=O)C(=O)NCCCCC#N ZINC000343160807 389260220 /nfs/dbraw/zinc/26/02/20/389260220.db2.gz MXZMEZPIEMZENM-LBPRGKRZSA-N 0 1 280.372 0.349 20 30 CCEDMN CC(=O)NC[C@@H]1CCCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000255266702 389268448 /nfs/dbraw/zinc/26/84/48/389268448.db2.gz GIEKVZRLJRFTPV-OCCSQVGLSA-N 0 1 294.399 0.595 20 30 CCEDMN [O-]C(NC[C@H]1CCc2nccn2C1)=[NH+][C@@H]1C=C[C@H](CO)C1 ZINC000329913364 389210525 /nfs/dbraw/zinc/21/05/25/389210525.db2.gz ORZXDPYVHSBTJG-YNEHKIRRSA-N 0 1 290.367 0.886 20 30 CCEDMN CN1CCC[C@H]1CNS(=O)(=O)CCCCC#N ZINC000342341190 389210882 /nfs/dbraw/zinc/21/08/82/389210882.db2.gz JTZDAHZXZIOLSI-NSHDSACASA-N 0 1 259.375 0.694 20 30 CCEDMN C[C@H]1CN(CC(=O)N(CCC#N)CCC#N)C[C@@H]1CO ZINC000362054504 389228559 /nfs/dbraw/zinc/22/85/59/389228559.db2.gz KNSZZDSNASLADN-QWHCGFSZSA-N 0 1 278.356 0.203 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1C[C@H](CO)[C@H](C)C1 ZINC000362085571 389230164 /nfs/dbraw/zinc/23/01/64/389230164.db2.gz GQEVCJJGBAEEBK-YRGRVCCFSA-N 0 1 267.373 0.601 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CC[C@H](O)C1(C)C)C1CC1 ZINC000331691386 389311230 /nfs/dbraw/zinc/31/12/30/389311230.db2.gz XEINEKSXNCKSCK-FZMZJTMJSA-N 0 1 265.357 0.640 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NC[C@H](C)N(C)C1CC1 ZINC000331798129 389312489 /nfs/dbraw/zinc/31/24/89/389312489.db2.gz WNQIXABUXHXYDN-QWRGUYRKSA-N 0 1 288.417 0.537 20 30 CCEDMN N#CC1(CCCN2CCN3C(=O)NC[C@H]3C2)CCOCC1 ZINC000407955460 260041970 /nfs/dbraw/zinc/04/19/70/260041970.db2.gz IHGAMTMRIVYXPW-ZDUSSCGKSA-N 0 1 292.383 0.796 20 30 CCEDMN CC(C)(O)[C@@H]1CCCN1CC(=O)NC1(C#N)CCC1 ZINC000346982815 389343067 /nfs/dbraw/zinc/34/30/67/389343067.db2.gz MZCTZMPQENGTCH-NSHDSACASA-N 0 1 265.357 0.784 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)[C@@H]2CCSC2)CC1 ZINC000155872298 389320868 /nfs/dbraw/zinc/32/08/68/389320868.db2.gz XKRZXVAETANOKM-CYBMUJFWSA-N 0 1 281.425 0.201 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@H](CO)C1 ZINC000346245502 389324392 /nfs/dbraw/zinc/32/43/92/389324392.db2.gz CUORXDXKMGJGIO-QWRGUYRKSA-N 0 1 251.330 0.252 20 30 CCEDMN C=CCOCC(=O)NC1(c2nn[nH]n2)CCCC1 ZINC000346324801 389326999 /nfs/dbraw/zinc/32/69/99/389326999.db2.gz JREQXNWTPIWFPC-UHFFFAOYSA-N 0 1 251.290 0.288 20 30 CCEDMN C=C1CCN(CCN2C(=O)NC(C)(C)C2=O)CC1 ZINC000264450934 389329324 /nfs/dbraw/zinc/32/93/24/389329324.db2.gz HZWHUKLDFWGWFL-UHFFFAOYSA-N 0 1 251.330 0.969 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H]([C@H](C)O)C1 ZINC000346517054 389330640 /nfs/dbraw/zinc/33/06/40/389330640.db2.gz DFURODAMVFBWGD-GRYCIOLGSA-N 0 1 265.357 0.640 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC000346527126 389330857 /nfs/dbraw/zinc/33/08/57/389330857.db2.gz KEROONPKBKNTEF-OCCSQVGLSA-N 0 1 291.395 0.786 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](C(=O)OC)[C@H]1C ZINC000346677433 389334837 /nfs/dbraw/zinc/33/48/37/389334837.db2.gz ZQIHFOZHXIPHME-UPJWGTAASA-N 0 1 295.383 0.878 20 30 CCEDMN C[C@H](C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N)n1cncn1 ZINC000330977507 389270052 /nfs/dbraw/zinc/27/00/52/389270052.db2.gz IJGYYYXFDOUBGL-SECBINFHSA-N 0 1 287.327 0.723 20 30 CCEDMN CC(C)CNC(=O)NC(=O)CN1CCN(C)C[C@@H]1C(C)C ZINC000331015089 389283753 /nfs/dbraw/zinc/28/37/53/389283753.db2.gz XUSTWSHAGQOXCX-CYBMUJFWSA-N 0 1 298.431 0.945 20 30 CCEDMN CCCCCCCCN1CCN2C(=O)C(=O)NC[C@@H]2C1 ZINC000152949076 389294494 /nfs/dbraw/zinc/29/44/94/389294494.db2.gz DVRKMFKHCQQOJT-CYBMUJFWSA-N 0 1 281.400 0.990 20 30 CCEDMN CC(C)C[N@H+]1CCO[C@H](CNC(=O)NCCC#N)C1 ZINC000331144781 389299652 /nfs/dbraw/zinc/29/96/52/389299652.db2.gz LRCOJBXWXBOKST-GFCCVEGCSA-N 0 1 268.361 0.760 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)NCCC#N)C1 ZINC000331144781 389299654 /nfs/dbraw/zinc/29/96/54/389299654.db2.gz LRCOJBXWXBOKST-GFCCVEGCSA-N 0 1 268.361 0.760 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1ccc2[nH]nnc2c1 ZINC000348800936 389392851 /nfs/dbraw/zinc/39/28/51/389392851.db2.gz QTEGULHPURXAHD-UHFFFAOYSA-N 0 1 273.252 0.097 20 30 CCEDMN CCC(N)=NOCc1noc(CCCC(=O)OC)n1 ZINC000264726458 389350951 /nfs/dbraw/zinc/35/09/51/389350951.db2.gz PWZPEZLWRUOTFO-UHFFFAOYSA-N 0 1 270.289 0.764 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@](C)(C(=O)OC)C1 ZINC000412091947 389476019 /nfs/dbraw/zinc/47/60/19/389476019.db2.gz CPJCGTYNHGPYHT-FZMZJTMJSA-N 0 1 268.357 0.952 20 30 CCEDMN C=C[C@@H](CO)NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000349811254 389439483 /nfs/dbraw/zinc/43/94/83/389439483.db2.gz NFUCNTQXTWQIDZ-ZETCQYMHSA-N 0 1 281.255 0.929 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(C[C@@H](C)O)[C@H](C)C1 ZINC000334090402 389455595 /nfs/dbraw/zinc/45/55/95/389455595.db2.gz NWFIWZULEWJYSD-SYQHCUMBSA-N 0 1 297.399 0.426 20 30 CCEDMN O=C1NC(=O)[C@@H]2CN(CC#Cc3ccc(F)cc3)C[C@H]12 ZINC000334701437 389502235 /nfs/dbraw/zinc/50/22/35/389502235.db2.gz MPSKTRVDLZGOHR-BETUJISGSA-N 0 1 272.279 0.382 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H]2NC(=O)OC[C@H]2C1 ZINC000281325743 389614316 /nfs/dbraw/zinc/61/43/16/389614316.db2.gz LOJFXXLQDKPXSJ-AXFHLTTASA-N 0 1 267.329 0.107 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(C(=O)CCCC#N)C1 ZINC000413890807 389576658 /nfs/dbraw/zinc/57/66/58/389576658.db2.gz DVIGWMWYUPIAKH-LLVKDONJSA-N 0 1 267.329 0.243 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN1C[C@]2(CCCO2)[C@H]1C1CC1 ZINC000414128217 389601520 /nfs/dbraw/zinc/60/15/20/389601520.db2.gz RVWGVMSUTHRHMT-ARFHVFGLSA-N 0 1 293.411 0.836 20 30 CCEDMN N#Cc1ccc(N2CCN([C@@H]3CCC[C@@H]3O)CC2)nc1 ZINC000375777567 389711841 /nfs/dbraw/zinc/71/18/41/389711841.db2.gz UCXDAKCOWRYYOS-KGLIPLIRSA-N 0 1 272.352 0.989 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@H](C(=O)OC)C2)C1=O ZINC000282070488 389653661 /nfs/dbraw/zinc/65/36/61/389653661.db2.gz SVVRAYASLFMREM-NEPJUHHUSA-N 0 1 266.341 0.658 20 30 CCEDMN COCCO[C@H]1CCC[N@H+]([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000179349277 389653633 /nfs/dbraw/zinc/65/36/33/389653633.db2.gz XGBMWSWIIJAULF-KBPBESRZSA-N 0 1 297.399 0.874 20 30 CCEDMN COCCO[C@H]1CCCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000179349277 389653636 /nfs/dbraw/zinc/65/36/36/389653636.db2.gz XGBMWSWIIJAULF-KBPBESRZSA-N 0 1 297.399 0.874 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1C[C@@H](C)[C@H](O)C1 ZINC000351957672 389724504 /nfs/dbraw/zinc/72/45/04/389724504.db2.gz HOZNFJQRYIYLJY-HKUMRIAESA-N 0 1 267.373 0.742 20 30 CCEDMN CC[C@H](C#N)S(=O)(=O)Nc1cc(C(=O)OC)n(C)c1 ZINC000416959023 389785189 /nfs/dbraw/zinc/78/51/89/389785189.db2.gz PQODIQFEZRWVGI-SECBINFHSA-N 0 1 285.325 0.856 20 30 CCEDMN C[C@H]1CN(C(=O)CCCC#N)[C@@H](C)CN1CCO ZINC000416307031 389731105 /nfs/dbraw/zinc/73/11/05/389731105.db2.gz KHKNDFUUQHWUOY-RYUDHWBXSA-N 0 1 253.346 0.594 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC(C)(C)CCC#N)C1 ZINC000353088476 389844965 /nfs/dbraw/zinc/84/49/65/389844965.db2.gz OFWSDNMVXMWACT-CYBMUJFWSA-N 0 1 295.431 0.861 20 30 CCEDMN C[C@H]1CN(c2cccc(C#N)n2)[C@@H](C)CN1CCO ZINC000418994431 389886064 /nfs/dbraw/zinc/88/60/64/389886064.db2.gz BSTQLHRCSAPUHO-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1 ZINC000419159755 389888206 /nfs/dbraw/zinc/88/82/06/389888206.db2.gz XWBDYEPADDDJJP-UTUOFQBUSA-N 0 1 267.373 0.666 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000444390241 389905766 /nfs/dbraw/zinc/90/57/66/389905766.db2.gz UARFVMDEBVOVOC-GHMZBOCLSA-N 0 1 253.346 0.450 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1cncc(C#N)n1 ZINC000420649850 390020420 /nfs/dbraw/zinc/02/04/20/390020420.db2.gz GWRPGANWNWEFAK-WDEREUQCSA-N 0 1 261.329 0.240 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC[C@@H]2CCCCO2)CC1 ZINC000491638137 390115278 /nfs/dbraw/zinc/11/52/78/390115278.db2.gz NEJHJJZWKQVLOO-HNNXBMFYSA-N 0 1 293.411 0.313 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1)C(C)C ZINC000491746894 390116387 /nfs/dbraw/zinc/11/63/87/390116387.db2.gz RGJCAYLOKCUVNC-OLZOCXBDSA-N 0 1 295.383 0.390 20 30 CCEDMN Cc1nc2c(cccc2C(=O)N2CC(O)(CC#N)C2)[nH]1 ZINC000579146366 390147909 /nfs/dbraw/zinc/14/79/09/390147909.db2.gz CUCZADSPGBJPHH-UHFFFAOYSA-N 0 1 270.292 0.972 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CCC[C@H](c3nn[nH]n3)C2)C1 ZINC000537123814 390173479 /nfs/dbraw/zinc/17/34/79/390173479.db2.gz JTSOYHLTTXYLIM-QUNCOHTASA-N 0 1 274.328 0.846 20 30 CCEDMN N#CCC1CCN(CCN2CCCS2(=O)=O)CC1 ZINC000541951185 390255241 /nfs/dbraw/zinc/25/52/41/390255241.db2.gz BBMSGFCXNPCMCH-UHFFFAOYSA-N 0 1 271.386 0.648 20 30 CCEDMN CO[C@H]1C[C@@H](CN(C)c2nccnc2C#N)N(C)C1 ZINC000583617567 390297576 /nfs/dbraw/zinc/29/75/76/390297576.db2.gz XJIZUSPZSKIPEF-QWRGUYRKSA-N 0 1 261.329 0.504 20 30 CCEDMN N#Cc1ccc(NCC(=O)Nc2nc(C3CCC3)n[nH]2)nc1 ZINC000545451002 390329318 /nfs/dbraw/zinc/32/93/18/390329318.db2.gz HAEAMCCOFJLAGG-UHFFFAOYSA-N 0 1 297.322 0.811 20 30 CCEDMN N#Cc1ccc(NCC(=O)Nc2n[nH]c(C3CCC3)n2)nc1 ZINC000545451002 390329319 /nfs/dbraw/zinc/32/93/19/390329319.db2.gz HAEAMCCOFJLAGG-UHFFFAOYSA-N 0 1 297.322 0.811 20 30 CCEDMN CCc1nc(C)c(CNC[C@H](O)CN(C)CCC#N)o1 ZINC000563001575 390492085 /nfs/dbraw/zinc/49/20/85/390492085.db2.gz WXIFTWBALLHTNP-LBPRGKRZSA-N 0 1 280.372 0.841 20 30 CCEDMN C=CCCCCCNC(=O)C(=O)N1CCNC[C@H]1C ZINC000519642414 390522451 /nfs/dbraw/zinc/52/24/51/390522451.db2.gz CPLCNQVQISEMGN-GFCCVEGCSA-N 0 1 267.373 0.669 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(C(C)(C)CO)CC1 ZINC000649313828 390572475 /nfs/dbraw/zinc/57/24/75/390572475.db2.gz ANQWAYWAUWMNDK-CQSZACIVSA-N 0 1 270.373 0.229 20 30 CCEDMN N#Cc1nccc(NC[C@@H]2CCCC[N@@H+]2CCO)n1 ZINC000566483553 390620232 /nfs/dbraw/zinc/62/02/32/390620232.db2.gz VIQBKHVZIDEUJF-NSHDSACASA-N 0 1 261.329 0.029 20 30 CCEDMN N#CCc1cccc(NC(=O)NC[C@@H]2COCCN2)c1 ZINC000528695218 390769513 /nfs/dbraw/zinc/76/95/13/390769513.db2.gz IMUTZPZOXHHEAC-CYBMUJFWSA-N 0 1 274.324 0.863 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CCC[C@@]2(C)C(=O)[O-])C1=O ZINC000530205082 390875873 /nfs/dbraw/zinc/87/58/73/390875873.db2.gz CVDQAWICVIEQPB-MFKMUULPSA-N 0 1 252.314 0.712 20 30 CCEDMN Cc1cc(N2CCN(C(=O)CN(C)C)CC2)cc(C#N)n1 ZINC000341741267 130014803 /nfs/dbraw/zinc/01/48/03/130014803.db2.gz FEJYWEPYGKSTRE-UHFFFAOYSA-N 0 1 287.367 0.472 20 30 CCEDMN C[C@H]1CN(c2nccnc2C#N)CCN1Cc1cnc[nH]1 ZINC000091936684 135074301 /nfs/dbraw/zinc/07/43/01/135074301.db2.gz RSQZPKADGDACEW-NSHDSACASA-N 0 1 283.339 0.782 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2ccc(C#N)cc2)C[C@@H]1O ZINC000351776683 397462623 /nfs/dbraw/zinc/46/26/23/397462623.db2.gz CSNXIISVCRKCJG-FZMZJTMJSA-N 0 1 273.336 0.487 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cnccc2C#N)C1 ZINC000358717214 144175387 /nfs/dbraw/zinc/17/53/87/144175387.db2.gz QXENTUOTDILXIW-LBPRGKRZSA-N 0 1 274.324 0.404 20 30 CCEDMN CNC(=O)CN1CCCN(Cc2cccc(C#N)c2)CC1 ZINC000368828643 144245888 /nfs/dbraw/zinc/24/58/88/144245888.db2.gz GWPZZJPPSCBVTN-UHFFFAOYSA-N 0 1 286.379 0.812 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000649537604 397630463 /nfs/dbraw/zinc/63/04/63/397630463.db2.gz AMUANFDIENOUGG-JTQLQIEISA-N 0 1 251.286 0.604 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCN(CCOCCOC)CC1 ZINC000649896178 397681262 /nfs/dbraw/zinc/68/12/62/397681262.db2.gz GHKHOALGJXNWTG-CQSZACIVSA-N 0 1 272.389 0.204 20 30 CCEDMN C=CCNc1ncc(C(=O)N2CC[C@@H]3CN(C)C[C@@H]32)s1 ZINC000650321892 397713117 /nfs/dbraw/zinc/71/31/17/397713117.db2.gz MWJZTYZLYOMSIF-MNOVXSKESA-N 0 1 292.408 0.939 20 30 CCEDMN CO[C@H]1CCN(C(=O)N[C@@H]2CCc3nc[nH]c3C2)C1 ZINC000329755982 286046675 /nfs/dbraw/zinc/04/66/75/286046675.db2.gz YKMGVACERLWRKV-ZJUUUORDSA-N 0 1 264.329 0.902 20 30 CCEDMN CO[C@H]1CCN(C([O-])=[NH+][C@@H]2CCc3nc[nH]c3C2)C1 ZINC000329755982 286046678 /nfs/dbraw/zinc/04/66/78/286046678.db2.gz YKMGVACERLWRKV-ZJUUUORDSA-N 0 1 264.329 0.902 20 30 CCEDMN CO[C@H]1CCN(C([O-])=[NH+][C@@H]2CCc3[nH]cnc3C2)C1 ZINC000329755982 286046680 /nfs/dbraw/zinc/04/66/80/286046680.db2.gz YKMGVACERLWRKV-ZJUUUORDSA-N 0 1 264.329 0.902 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NCCN1CCN(C)CC1 ZINC000549486998 286093938 /nfs/dbraw/zinc/09/39/38/286093938.db2.gz LBBNNEJDEURQCZ-UHFFFAOYSA-N 0 1 287.367 0.239 20 30 CCEDMN N#Cc1cccc(NC(=O)CCN2CCC[C@@H]2C(N)=O)c1 ZINC000020294696 171135545 /nfs/dbraw/zinc/13/55/45/171135545.db2.gz CHLZEZUOHDTVPU-CYBMUJFWSA-N 0 1 286.335 0.837 20 30 CCEDMN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1cc(C#N)ccn1 ZINC000284686428 196112569 /nfs/dbraw/zinc/11/25/69/196112569.db2.gz GOTTZLLZINVJMT-QMMMGPOBSA-N 0 1 282.325 0.172 20 30 CCEDMN Cn1c(CNCCn2cnc(C#N)n2)nc2ccccc21 ZINC000285457868 196290384 /nfs/dbraw/zinc/29/03/84/196290384.db2.gz JPXARDCHWTXAAO-UHFFFAOYSA-N 0 1 281.323 0.826 20 30 CCEDMN C=CCCCCCNC(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC000659725467 413822121 /nfs/dbraw/zinc/82/21/21/413822121.db2.gz BTSOIRNURBXKRR-VXGBXAGGSA-N 0 1 285.363 0.747 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](OC)[C@H]2CCOC2)C1=O ZINC000660463329 414337469 /nfs/dbraw/zinc/33/74/69/414337469.db2.gz ARDIXJGVZDWKEX-MELADBBJSA-N 0 1 282.384 0.757 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(c3nc(N)n[nH]3)CC2)C1=O ZINC000660566920 414394199 /nfs/dbraw/zinc/39/41/99/414394199.db2.gz LCKXYTYGOAXDBK-NSHDSACASA-N 0 1 290.371 0.353 20 30 CCEDMN CN(CC(=O)N1CCNCC1)Cc1cccc(C#N)c1 ZINC000038031051 174025069 /nfs/dbraw/zinc/02/50/69/174025069.db2.gz KKTNQILMMQMLCZ-UHFFFAOYSA-N 0 1 272.352 0.422 20 30 CCEDMN CN(CC(=O)N1CCNCC1)Cc1ccccc1C#N ZINC000038031318 174025330 /nfs/dbraw/zinc/02/53/30/174025330.db2.gz AOTJUDRNKOYMKC-UHFFFAOYSA-N 0 1 272.352 0.422 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCc1c(F)cccc1F ZINC000662166700 414695280 /nfs/dbraw/zinc/69/52/80/414695280.db2.gz DOAKTSIJUQGVQA-SNVBAGLBSA-N 0 1 284.306 0.760 20 30 CCEDMN C=CC[C@H]1CCCN(C(=O)C(=O)N2CC[C@@H](F)[C@H](N)C2)C1 ZINC000662968699 414922288 /nfs/dbraw/zinc/92/22/88/414922288.db2.gz GKOYYIHCYUUWQX-YNEHKIRRSA-N 0 1 297.374 0.699 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CCC(F)(C#N)CC2)C1 ZINC000345030992 225253239 /nfs/dbraw/zinc/25/32/39/225253239.db2.gz UESOQCMCXPNXLJ-LBPRGKRZSA-N 0 1 298.362 0.744 20 30 CCEDMN N#CC1(NC(=O)CN(CCO)[C@H]2CCCSC2)CCC1 ZINC000575197698 335079959 /nfs/dbraw/zinc/07/99/59/335079959.db2.gz WMTCLUSOGNNXEL-LBPRGKRZSA-N 0 1 297.424 0.739 20 30 CCEDMN C[C@H](Cn1ccnc1)NC(=O)N1CCNC(=O)CC1 ZINC000329104579 227299905 /nfs/dbraw/zinc/29/99/05/227299905.db2.gz GQKFGACYLOPWGM-SNVBAGLBSA-N 0 1 265.317 0.848 20 30 CCEDMN [O-]C(=[NH+]CCn1ccnc1)N1CC2(C1)CCOCC2 ZINC000330177656 228056379 /nfs/dbraw/zinc/05/63/79/228056379.db2.gz KXYGHVGUCNJBQP-UHFFFAOYSA-N 0 1 264.329 0.910 20 30 CCEDMN Cn1ccc(CNC(=O)N2CC[C@]3(CC[N@@H+](C)C3)C2)n1 ZINC000330205720 228065354 /nfs/dbraw/zinc/06/53/54/228065354.db2.gz DRJIDWBCLWEFIT-AWEZNQCLSA-N 0 1 277.372 0.862 20 30 CCEDMN CN(C(=O)NCc1nnn(C)n1)C1Cc2ccccc2C1 ZINC000330248092 228078627 /nfs/dbraw/zinc/07/86/27/228078627.db2.gz ZDMSCXSFZALDJY-UHFFFAOYSA-N 0 1 286.339 0.723 20 30 CCEDMN CCNC(=O)CN1CCCN(C(=O)Cc2ccn[nH]2)CC1 ZINC000329617487 545024446 /nfs/dbraw/zinc/02/44/46/545024446.db2.gz FXIJHYLKPQEVQK-UHFFFAOYSA-N 0 1 293.371 0.463 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCCC[C@H]1CN1CCOCC1 ZINC000363665714 260026554 /nfs/dbraw/zinc/02/65/54/260026554.db2.gz KNPJICBSZOKMGU-GJZGRUSLSA-N 0 1 295.427 0.864 20 30 CCEDMN CCN(CCC#N)C(=O)CSc1nc(C)n[nH]1 ZINC000048598519 260201550 /nfs/dbraw/zinc/20/15/50/260201550.db2.gz JHPXFNVTVJWEJY-UHFFFAOYSA-N 0 1 253.331 0.967 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN[C@@H]1CCCc2c[nH]nc21 ZINC000516862503 260193895 /nfs/dbraw/zinc/19/38/95/260193895.db2.gz FMBRYKYVZCXECS-CHWSQXEVSA-N 0 1 277.372 0.583 20 30 CCEDMN CCN(CCC#N)C(=O)CSc1nnc(C)[nH]1 ZINC000048598519 260201549 /nfs/dbraw/zinc/20/15/49/260201549.db2.gz JHPXFNVTVJWEJY-UHFFFAOYSA-N 0 1 253.331 0.967 20 30 CCEDMN C=CCN(C(=O)[C@H]1CCN(C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000521071513 260395535 /nfs/dbraw/zinc/39/55/35/260395535.db2.gz QNIGHGHXKCROMZ-RYUDHWBXSA-N 0 1 286.397 0.140 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@H]2CCC[C@H]2O)CC1 ZINC000490899326 261240892 /nfs/dbraw/zinc/24/08/92/261240892.db2.gz OHJDSQBQZMWJLG-HUUCEWRRSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)C[C@@H](O)Cc1ccccc1 ZINC000491240683 261384452 /nfs/dbraw/zinc/38/44/52/261384452.db2.gz BVPAYEVSKWAYDJ-HIFRSBDPSA-N 0 1 274.364 0.660 20 30 CCEDMN C#CCNC(=O)N[C@@H](c1nnc[nH]1)c1ccccc1 ZINC000364027436 262107084 /nfs/dbraw/zinc/10/70/84/262107084.db2.gz SFYZRRQCQXASFX-LLVKDONJSA-N 0 1 255.281 0.827 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@H](C)c1cnn(C)c1 ZINC000273369937 263016257 /nfs/dbraw/zinc/01/62/57/263016257.db2.gz KUDZJJBLJSDIRB-LLVKDONJSA-N 0 1 263.345 0.833 20 30 CCEDMN CC[C@@H](CC#N)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000273899175 263020333 /nfs/dbraw/zinc/02/03/33/263020333.db2.gz VRBSCZQWGNUIAS-VIFPVBQESA-N 0 1 287.327 0.598 20 30 CCEDMN CN(C)c1ccc(C(N)=NOC[C@@H]2CNC(=O)O2)cc1 ZINC000282365949 263076267 /nfs/dbraw/zinc/07/62/67/263076267.db2.gz XTSWQQGZYOKSJM-NSHDSACASA-N 0 1 278.312 0.498 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(F)(F)C[C@@H]2CO)CC1 ZINC000366672592 263114291 /nfs/dbraw/zinc/11/42/91/263114291.db2.gz BYUYEBMMBOUQEQ-GFCCVEGCSA-N 0 1 286.322 0.560 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)C1(C#N)CCCC1 ZINC000340590349 263214539 /nfs/dbraw/zinc/21/45/39/263214539.db2.gz UAGJBVCJFXSIKJ-NSHDSACASA-N 0 1 290.323 0.694 20 30 CCEDMN CN(CC(=O)N[C@@H](C#N)C1CC1)[C@@H]1CCSC1 ZINC000119780897 263279534 /nfs/dbraw/zinc/27/95/34/263279534.db2.gz FJNASWZFWGDQLI-MNOVXSKESA-N 0 1 253.371 0.842 20 30 CCEDMN CCCCNC(=O)NC(=O)CN1CCN(C)CC1(C)C ZINC000330578406 263979253 /nfs/dbraw/zinc/97/92/53/263979253.db2.gz SBAUIPJWOOOPDK-UHFFFAOYSA-N 0 1 284.404 0.843 20 30 CCEDMN COc1ccc(C(=O)NC[C@H]2CN(C)CCN2C)cn1 ZINC000330680430 264003052 /nfs/dbraw/zinc/00/30/52/264003052.db2.gz NVUGZZMRKGEUPK-LBPRGKRZSA-N 0 1 278.356 0.641 20 30 CCEDMN C=CCNC(=O)CNC(=O)CN1[C@@H](C)CC[C@@H]1C ZINC000330697752 264005725 /nfs/dbraw/zinc/00/57/25/264005725.db2.gz KWFKVUOCRDUFSY-QWRGUYRKSA-N 0 1 253.346 0.278 20 30 CCEDMN CCCCNC(=O)NC(=O)CN1CCN(C)C[C@H]1C ZINC000330676335 264014757 /nfs/dbraw/zinc/01/47/57/264014757.db2.gz UEGPFIORWWPJLG-LLVKDONJSA-N 0 1 270.377 0.453 20 30 CCEDMN COC[C@H]1CCN(C([O-])=[NH+]CCn2cccn2)C1 ZINC000330808330 264044046 /nfs/dbraw/zinc/04/40/46/264044046.db2.gz ITVHORCINSSJIE-NSHDSACASA-N 0 1 252.318 0.765 20 30 CCEDMN C[C@H](C[S@](C)=O)NC(=O)N(C)CCN1CCCC1 ZINC000330858018 264054808 /nfs/dbraw/zinc/05/48/08/264054808.db2.gz ZWVLLCRWCOUVHW-ZMZPIMSZSA-N 0 1 275.418 0.695 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)CCCC#N)C[C@@H]1C ZINC000330886861 264063579 /nfs/dbraw/zinc/06/35/79/264063579.db2.gz GUVSFNHXGIWPDO-NWDGAFQWSA-N 0 1 253.346 0.594 20 30 CCEDMN C[C@H](Cn1cccn1)[NH+]=C([O-])N1CC[C@@H](CCO)C1 ZINC000330934979 264079719 /nfs/dbraw/zinc/07/97/19/264079719.db2.gz LBBBSURJPPWISW-NEPJUHHUSA-N 0 1 266.345 0.890 20 30 CCEDMN [O-]C(=[NH+]CCn1ccnc1)N1CC[C@@H](CCO)C1 ZINC000330922023 264093966 /nfs/dbraw/zinc/09/39/66/264093966.db2.gz ASLNNPBZTBTZMO-NSHDSACASA-N 0 1 252.318 0.501 20 30 CCEDMN C[S@](=O)CCC[NH+]=C([O-])N[C@H]1CCc2c[nH]nc2C1 ZINC000331018930 264098305 /nfs/dbraw/zinc/09/83/05/264098305.db2.gz ZIEMDABXDKUBOJ-OVWNDWIMSA-N 0 1 284.385 0.539 20 30 CCEDMN C[S@](=O)CCCNC(=O)N[C@H]1CCc2c[nH]nc2C1 ZINC000331018930 264098308 /nfs/dbraw/zinc/09/83/08/264098308.db2.gz ZIEMDABXDKUBOJ-OVWNDWIMSA-N 0 1 284.385 0.539 20 30 CCEDMN CCOC(C)(C)CNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000331218362 264165226 /nfs/dbraw/zinc/16/52/26/264165226.db2.gz HMFMVPOHAUGCBO-GFCCVEGCSA-N 0 1 286.420 0.551 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](SC)C1 ZINC000331290213 264196964 /nfs/dbraw/zinc/19/69/64/264196964.db2.gz BAOFMZVZTRMPKB-SECBINFHSA-N 0 1 257.359 0.640 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](C(C)(C)C)[C@@H](O)C1 ZINC000334042933 264234603 /nfs/dbraw/zinc/23/46/03/264234603.db2.gz USPZHNOPPUTJFZ-NEPJUHHUSA-N 0 1 297.399 0.727 20 30 CCEDMN COC[C@H]1CN(CCC(=O)N(C)CCC#N)C[C@@H](C)O1 ZINC000189374087 264294677 /nfs/dbraw/zinc/29/46/77/264294677.db2.gz ZTTCZIGWFGURCF-CHWSQXEVSA-N 0 1 283.372 0.484 20 30 CCEDMN C=CCN(C(=O)c1cc(CC)[nH]n1)[C@H]1CCS(=O)(=O)C1 ZINC000098100950 265008261 /nfs/dbraw/zinc/00/82/61/265008261.db2.gz XYZVETCYIGYCAM-NSHDSACASA-N 0 1 297.380 0.787 20 30 CCEDMN CCc1nc(CSc2nnc(CC#N)n2C)n[nH]1 ZINC000357061187 266240821 /nfs/dbraw/zinc/24/08/21/266240821.db2.gz WKFDUFFUXBOTBY-UHFFFAOYSA-N 0 1 263.330 0.854 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](N2CCOCC2)C[C@H]1C ZINC000367603885 267102942 /nfs/dbraw/zinc/10/29/42/267102942.db2.gz PHIVIOADRREOQT-OLZOCXBDSA-N 0 1 267.373 0.084 20 30 CCEDMN C[C@@H]1[C@H](C)[S@@](=O)CCN1C(=O)NC[C@@H]1CCCN1C ZINC000329591644 278095450 /nfs/dbraw/zinc/09/54/50/278095450.db2.gz NWQGVMNUXVRPOV-FAQIYOQMSA-N 0 1 287.429 0.836 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@@]2(CCSC2)C1 ZINC000575284937 335090647 /nfs/dbraw/zinc/09/06/47/335090647.db2.gz XGYANXCQMSZZJN-AWEZNQCLSA-N 0 1 297.424 0.956 20 30 CCEDMN C[C@H](C(=O)N1C[C@@H](C)N(C)C[C@@H]1C)n1cnc(C#N)n1 ZINC000336998431 289308679 /nfs/dbraw/zinc/30/86/79/289308679.db2.gz PWSLOCVRPPDFME-OUAUKWLOSA-N 0 1 276.344 0.262 20 30 CCEDMN CN1CC[C@H](N2CCC(NC(=O)[C@H]3CCCO3)CC2)C1=O ZINC000329195031 290197231 /nfs/dbraw/zinc/19/72/31/290197231.db2.gz TYXYZHVPDOMIFI-QWHCGFSZSA-N 0 1 295.383 0.817 20 30 CCEDMN [O-]C(=[NH+][C@H]1CCc2[nH]cnc2C1)N1CCn2cncc2C1 ZINC000329741071 295387815 /nfs/dbraw/zinc/38/78/15/295387815.db2.gz OIGODAPJWXYQBR-JTQLQIEISA-N 0 1 286.339 0.893 20 30 CCEDMN C=C(C)CN(C)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000352135475 526488024 /nfs/dbraw/zinc/48/80/24/526488024.db2.gz QCLYZFWJEMQPCD-GFCCVEGCSA-N 0 1 254.378 0.450 20 30 CCEDMN C=CCn1cc(CNC(=O)CN2CC[C@@H](C)C2)nn1 ZINC000563408300 328061573 /nfs/dbraw/zinc/06/15/73/328061573.db2.gz JFDLJMZKXNYXOS-LLVKDONJSA-N 0 1 263.345 0.422 20 30 CCEDMN N#CCCNCCN1CCN(C(=O)C2CCC2)CC1 ZINC000571074451 328084237 /nfs/dbraw/zinc/08/42/37/328084237.db2.gz SUMYANQXAFWNIC-UHFFFAOYSA-N 0 1 264.373 0.434 20 30 CCEDMN C=CCCCCCNC(=O)NCC(=O)N1CCNCC1 ZINC000571418391 328120700 /nfs/dbraw/zinc/12/07/00/328120700.db2.gz UMFQGVIICBQLQH-UHFFFAOYSA-N 0 1 282.388 0.464 20 30 CCEDMN C=C(C)CCNC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000413577275 328149855 /nfs/dbraw/zinc/14/98/55/328149855.db2.gz ALLLMWYHYXWVPN-LBPRGKRZSA-N 0 1 283.372 0.699 20 30 CCEDMN Cc1cc(CNC[C@H](O)CN(C)CCC#N)on1 ZINC000582780351 329183713 /nfs/dbraw/zinc/18/37/13/329183713.db2.gz LYIMDCULBVRHMC-NSHDSACASA-N 0 1 252.318 0.279 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(CC(=O)N(C)C)CC1 ZINC000182209939 328506919 /nfs/dbraw/zinc/50/69/19/328506919.db2.gz JBBVXIGCTOWJIN-LBPRGKRZSA-N 0 1 279.384 0.315 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2C[C@@H](C)N[C@H](C)C2)CC1 ZINC000335190451 328572809 /nfs/dbraw/zinc/57/28/09/328572809.db2.gz FVXPSTCAJIEVOV-VXGBXAGGSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCCn1cc(C(=O)NCC2=CC[N@@H+](C)CC2)nn1 ZINC000584227904 327069218 /nfs/dbraw/zinc/06/92/18/327069218.db2.gz QTYABJKNSLVVAC-UHFFFAOYSA-N 0 1 275.356 0.846 20 30 CCEDMN CCNC(=O)N1CC[C@H](NC[C@H](C#N)CCC#N)C1 ZINC000558703399 327115903 /nfs/dbraw/zinc/11/59/03/327115903.db2.gz OJQVTFCNXOKJLF-RYUDHWBXSA-N 0 1 263.345 0.823 20 30 CCEDMN CC1CC(C#N)(C(=O)NCCN2CCN(C)CC2)C1 ZINC000569446891 327178487 /nfs/dbraw/zinc/17/84/87/327178487.db2.gz YLKAAHZVWQPQGY-UHFFFAOYSA-N 0 1 264.373 0.290 20 30 CCEDMN CNC(=O)NC(=O)[C@H](C)N1CCC(CCCO)CC1 ZINC000330806354 327368759 /nfs/dbraw/zinc/36/87/59/327368759.db2.gz MFOWUYRJVDULCD-JTQLQIEISA-N 0 1 271.361 0.519 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC([C@@H]2CCOC2)CC1 ZINC000374623632 328995689 /nfs/dbraw/zinc/99/56/89/328995689.db2.gz OJPVSEAVGURNFE-TZMCWYRMSA-N 0 1 264.369 0.873 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@H](CC(N)=O)C1 ZINC000377497720 329016689 /nfs/dbraw/zinc/01/66/89/329016689.db2.gz WXVNILRPWRTFBA-RISCZKNCSA-N 0 1 280.372 0.238 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C[C@@H](C)C(=O)NC ZINC000176352389 329174786 /nfs/dbraw/zinc/17/47/86/329174786.db2.gz HGYYHNJBPRNZHD-LLVKDONJSA-N 0 1 268.361 0.062 20 30 CCEDMN COCC(C)(C)N1CCN(C(=O)NC[C@H](C)C#N)CC1 ZINC000582961019 329290032 /nfs/dbraw/zinc/29/00/32/329290032.db2.gz LNJBYSJZYURRKT-GFCCVEGCSA-N 0 1 282.388 0.898 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000189930988 329390468 /nfs/dbraw/zinc/39/04/68/329390468.db2.gz ZUCNOWFAONRIDA-LBPRGKRZSA-N 0 1 269.389 0.066 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000192135908 329429392 /nfs/dbraw/zinc/42/93/92/329429392.db2.gz LVEVTOPMNUETGX-CQSZACIVSA-N 0 1 264.369 0.971 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1CCNC(C)(C)C1 ZINC000423458371 330203733 /nfs/dbraw/zinc/20/37/33/330203733.db2.gz GUEVZRSKRCADED-UHFFFAOYSA-N 0 1 253.346 0.231 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCO[C@@H]([C@H]3CCCO3)C2)CC1 ZINC000528401376 330262330 /nfs/dbraw/zinc/26/23/30/330262330.db2.gz BFYBDGVUSDPJDP-BFHYXJOUSA-N 0 1 280.368 0.921 20 30 CCEDMN C=CCNC(=O)CN1CCC(OC[C@@H]2CCCO2)CC1 ZINC000083408215 330357799 /nfs/dbraw/zinc/35/77/99/330357799.db2.gz YQXNIVCGMMEKIT-AWEZNQCLSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCNc1ncc(C(=O)N2C[C@@H](C)N[C@H](C)C2)s1 ZINC000572481132 330627966 /nfs/dbraw/zinc/62/79/66/330627966.db2.gz RVYZWCCDXXWHBO-NXEZZACHSA-N 0 1 280.397 0.985 20 30 CCEDMN C=CCCCNC(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000448001424 330882611 /nfs/dbraw/zinc/88/26/11/330882611.db2.gz UJOJQIPBRRZDGC-UHFFFAOYSA-N 0 1 265.361 0.954 20 30 CCEDMN CCN(C)CCNS(=O)(=O)CC(C)(C)CC#N ZINC000451130488 331016832 /nfs/dbraw/zinc/01/68/32/331016832.db2.gz MBFYZGFQZIQJFW-UHFFFAOYSA-N 0 1 261.391 0.797 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](CCCO)C1 ZINC000452127265 331055216 /nfs/dbraw/zinc/05/52/16/331055216.db2.gz KYVKYKXAXLVATA-LLVKDONJSA-N 0 1 269.345 0.093 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)NCC(C)(C)CC#N)[nH]1 ZINC000459417728 331280563 /nfs/dbraw/zinc/28/05/63/331280563.db2.gz USBBAZHXQXUITG-UHFFFAOYSA-N 0 1 292.387 0.928 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2nnc(C)n2C)CC1 ZINC000490852646 332120702 /nfs/dbraw/zinc/12/07/02/332120702.db2.gz MJJGUUAMWDJZMU-UHFFFAOYSA-N 0 1 276.340 0.512 20 30 CCEDMN C#CCN1CCC(C(=O)OCC[C@H]2CCOC2=O)CC1 ZINC000490852810 332120925 /nfs/dbraw/zinc/12/09/25/332120925.db2.gz NYGJRCTWDVJVEO-ZDUSSCGKSA-N 0 1 279.336 0.828 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)CCCC(=O)NCC ZINC000490875801 332124180 /nfs/dbraw/zinc/12/41/80/332124180.db2.gz SAASLFIEJMESRC-CYBMUJFWSA-N 0 1 280.368 0.934 20 30 CCEDMN C#CCNC(=O)CCN[C@H](c1nncn1C)C(C)C ZINC000491210842 332167434 /nfs/dbraw/zinc/16/74/34/332167434.db2.gz ZKYMHUGORHBPLT-LBPRGKRZSA-N 0 1 263.345 0.241 20 30 CCEDMN C#C[C@@H](NC(=O)CN1CCN(CC)CC1)C(C)(C)C ZINC000491264038 332176165 /nfs/dbraw/zinc/17/61/65/332176165.db2.gz DLKCARKRQRCJFU-CYBMUJFWSA-N 0 1 265.401 0.788 20 30 CCEDMN C#CCN(C(=O)C(=O)N(C)Cc1cnc[nH]1)C1CCCC1 ZINC000491417552 332208017 /nfs/dbraw/zinc/20/80/17/332208017.db2.gz NVRVSOLDKCIREK-UHFFFAOYSA-N 0 1 288.351 0.773 20 30 CCEDMN C#CCN(C)CCCNC(=O)N1CC[S@](=O)[C@H](C)[C@@H]1C ZINC000491427849 332209747 /nfs/dbraw/zinc/20/97/47/332209747.db2.gz MJJXULKUEREWLS-RDXCRGQUSA-N 0 1 299.440 0.492 20 30 CCEDMN C#CCN(C)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000491627679 332251076 /nfs/dbraw/zinc/25/10/76/332251076.db2.gz MQVIRGVNRXULIS-AWEZNQCLSA-N 0 1 280.416 0.533 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CC[C@](C)(COC)C2)C1=O ZINC000491642320 332261419 /nfs/dbraw/zinc/26/14/19/332261419.db2.gz BDHNYBJAXFZLJA-RISCZKNCSA-N 0 1 264.325 0.106 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)COc1ccc(C)cc1C ZINC000491694281 332290305 /nfs/dbraw/zinc/29/03/05/332290305.db2.gz VRZTUMVMBSUFHZ-KBPBESRZSA-N 0 1 263.337 0.627 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@H](C)C2)CC1 ZINC000491731446 332313047 /nfs/dbraw/zinc/31/30/47/332313047.db2.gz GQBRZFBDGATKLI-LBPRGKRZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000491768233 332335663 /nfs/dbraw/zinc/33/56/63/332335663.db2.gz HSHSPRYDIWOEEQ-OKILXGFUSA-N 0 1 280.416 0.676 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1COC(=O)C1 ZINC000491820030 332369994 /nfs/dbraw/zinc/36/99/94/332369994.db2.gz WMYMBMIQKOKIIT-QWRGUYRKSA-N 0 1 251.282 0.190 20 30 CCEDMN C#CCNC(=O)[C@H](C)ON=C(N)CN1CCCC[C@@H]1C ZINC000178172795 333068882 /nfs/dbraw/zinc/06/88/82/333068882.db2.gz JOITVTDQHAYAQG-RYUDHWBXSA-N 0 1 280.372 0.288 20 30 CCEDMN Cn1nnc2cc(C(=O)NC3(C#N)CCN(C)CC3)ccc21 ZINC000517580355 333102921 /nfs/dbraw/zinc/10/29/21/333102921.db2.gz QLAFVMRAKSKSCH-UHFFFAOYSA-N 0 1 298.350 0.686 20 30 CCEDMN C=CCCn1cc(C(=O)Nc2[nH]cnc2C(=O)OC)nn1 ZINC000576238157 335175939 /nfs/dbraw/zinc/17/59/39/335175939.db2.gz WLRHZMGGQHUZHD-UHFFFAOYSA-N 0 1 290.283 0.616 20 30 CCEDMN CN(C)CC(=O)N1CCN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000135165283 334118554 /nfs/dbraw/zinc/11/85/54/334118554.db2.gz UKFYMMXDLAHCBJ-LLVKDONJSA-N 0 1 296.371 0.519 20 30 CCEDMN CNS(=O)(=O)[C@@H]1CCN([C@H]2CC[C@H](C#N)C2)C1 ZINC000549915256 334208387 /nfs/dbraw/zinc/20/83/87/334208387.db2.gz CPYQGVIALUEEKA-GARJFASQSA-N 0 1 257.359 0.302 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](OCC(C)C)C1 ZINC000247026352 334274161 /nfs/dbraw/zinc/27/41/61/334274161.db2.gz DKPINGLITRWKIB-CHWSQXEVSA-N 0 1 252.358 0.871 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H]([C@@H](C)O)C1 ZINC000089933083 334353836 /nfs/dbraw/zinc/35/38/36/334353836.db2.gz NTZSUUZKICGCEX-MNOVXSKESA-N 0 1 269.345 0.091 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000182840806 335846202 /nfs/dbraw/zinc/84/62/02/335846202.db2.gz TZGXXSPTMKOJNW-KBPBESRZSA-N 0 1 294.399 0.900 20 30 CCEDMN N#Cc1csc(CNCCN2CCCOCC2)n1 ZINC000581856131 336151877 /nfs/dbraw/zinc/15/18/77/336151877.db2.gz DITZIYBMYXHMDL-UHFFFAOYSA-N 0 1 266.370 0.827 20 30 CCEDMN C[C@@H]1C[C@H]([NH+]=C([O-])N[C@H]2CCc3ncnn3C2)CCO1 ZINC000329112438 533761842 /nfs/dbraw/zinc/76/18/42/533761842.db2.gz RIPBXXVIGBSPCX-MXWKQRLJSA-N 0 1 279.344 0.664 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)N[C@H]2CCc3ncnn3C2)CCO1 ZINC000329112438 533761850 /nfs/dbraw/zinc/76/18/50/533761850.db2.gz RIPBXXVIGBSPCX-MXWKQRLJSA-N 0 1 279.344 0.664 20 30 CCEDMN C[C@](O)(CNC([O-])=[NH+][C@@H]1CCn2ccnc2C1)C1CC1 ZINC000330301254 534156159 /nfs/dbraw/zinc/15/61/59/534156159.db2.gz NQJJTRTWOPZCLX-RISCZKNCSA-N 0 1 278.356 0.863 20 30 CCEDMN C[C@](O)(C[NH+]=C([O-])N[C@@H]1CCn2ccnc2C1)C1CC1 ZINC000330301254 534156164 /nfs/dbraw/zinc/15/61/64/534156164.db2.gz NQJJTRTWOPZCLX-RISCZKNCSA-N 0 1 278.356 0.863 20 30 CCEDMN C[C@@H]1[C@H](C)N(CC(=O)NCC(=O)N2CCCC2)CCN1C ZINC000329093843 534250746 /nfs/dbraw/zinc/25/07/46/534250746.db2.gz BAOVRSAUJRWNLI-OLZOCXBDSA-N 0 1 296.415 0.590 20 30 CCEDMN CN(C)c1cc(C#N)cc(C(=O)NC(C)(C)c2nn[nH]n2)c1 ZINC000340731101 522112923 /nfs/dbraw/zinc/11/29/23/522112923.db2.gz ALQILUOECGXBNS-UHFFFAOYSA-N 0 1 299.338 0.802 20 30 CCEDMN C=CCN(CCOC)C(=O)C(=O)N[C@@H]1CCCN(C)[C@H]1C ZINC000347760959 526281895 /nfs/dbraw/zinc/28/18/95/526281895.db2.gz VMLGSROTWWEHJH-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN N#Cc1ccc([C@@H](NCCC2(O)CCC2)C(N)=O)cc1 ZINC000340617997 526688432 /nfs/dbraw/zinc/68/84/32/526688432.db2.gz GSJWXCMFFSRWLR-CYBMUJFWSA-N 0 1 273.336 0.979 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@H]1CCCN(C)[C@H]1C ZINC000347823139 527603221 /nfs/dbraw/zinc/60/32/21/527603221.db2.gz ZNBMRTFAPHZYGY-STQMWFEESA-N 0 1 279.384 0.786 20 30 CCEDMN CC1(CNC(=O)N[C@H]2CCc3ncnn3C2)CCOCC1 ZINC000329063288 527902316 /nfs/dbraw/zinc/90/23/16/527902316.db2.gz ODPJYPVVTSERJH-NSHDSACASA-N 0 1 293.371 0.913 20 30 CCEDMN CC1(C[NH+]=C([O-])N[C@H]2CCc3ncnn3C2)CCOCC1 ZINC000329063288 527902324 /nfs/dbraw/zinc/90/23/24/527902324.db2.gz ODPJYPVVTSERJH-NSHDSACASA-N 0 1 293.371 0.913 20 30 CCEDMN CCC[N@H+]1CCC[C@H]1C(=O)NCc1n[nH]c(=O)[n-]1 ZINC000330053084 528227138 /nfs/dbraw/zinc/22/71/38/528227138.db2.gz PZCKYZIBJPZALP-QMMMGPOBSA-N 0 1 253.306 0.841 20 30 CCEDMN CCC[N@H+]1CC[C@@H](CNC(=O)N=c2ccc(OC)n[n-]2)C1 ZINC000329103274 528248178 /nfs/dbraw/zinc/24/81/78/528248178.db2.gz DEHMBMXBUJUSHC-NSHDSACASA-N 0 1 293.371 0.965 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000673011026 545277923 /nfs/dbraw/zinc/27/79/23/545277923.db2.gz SLPHGFXFBKJCQF-OLZOCXBDSA-N 0 1 285.388 0.286 20 30 CCEDMN CCO[C@H]1C[C@H](O)C12CCN(CC#CCOC)CC2 ZINC000677133462 545358215 /nfs/dbraw/zinc/35/82/15/545358215.db2.gz XCZRCMWHPXWZKQ-KBPBESRZSA-N 0 1 267.369 0.888 20 30 CCEDMN CCCNC(=O)C1CCN(CC#CCOC)CC1 ZINC000677133493 545358488 /nfs/dbraw/zinc/35/84/88/545358488.db2.gz ZEBYTULSJHICDD-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN COCC#CCN1CCN(C[C@H]2CCCO2)CC1 ZINC000677817545 545373426 /nfs/dbraw/zinc/37/34/26/545373426.db2.gz PUXISZIZXXAYCW-CQSZACIVSA-N 0 1 252.358 0.433 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(CC2CC2)CC1 ZINC000679629757 545412661 /nfs/dbraw/zinc/41/26/61/545412661.db2.gz FEDPNBQVJSKJHE-UHFFFAOYSA-N 0 1 267.373 0.926 20 30 CCEDMN C=C(C)[C@@H](CO)N1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000680637841 545434219 /nfs/dbraw/zinc/43/42/19/545434219.db2.gz TVRRXWJVALAAIS-VXGBXAGGSA-N 0 1 253.346 0.459 20 30 CCEDMN CC#CCCNC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000681542555 545455387 /nfs/dbraw/zinc/45/53/87/545455387.db2.gz OCDMAAMRIVTNDN-ZIAGYGMSSA-N 0 1 279.384 0.640 20 30 CCEDMN C=CCN(CCOC)C(=O)N[C@@H]1CCCN(C)C1 ZINC000682849248 545491429 /nfs/dbraw/zinc/49/14/29/545491429.db2.gz MYBIBDYLOQDBOO-GFCCVEGCSA-N 0 1 255.362 0.925 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC([C@@H](O)c2ncc[nH]2)CC1 ZINC000788473794 581112591 /nfs/dbraw/zinc/11/25/91/581112591.db2.gz WSVGXWJOPNZNKF-WCQYABFASA-N 0 1 292.383 0.846 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC([C@H](O)c2ncc[nH]2)CC1 ZINC000788473789 581112865 /nfs/dbraw/zinc/11/28/65/581112865.db2.gz WSVGXWJOPNZNKF-AAEUAGOBSA-N 0 1 292.383 0.846 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)CC1OCCO1 ZINC000777713897 581130892 /nfs/dbraw/zinc/13/08/92/581130892.db2.gz LSXWNNIZRAXMAE-UHFFFAOYSA-N 0 1 265.317 0.101 20 30 CCEDMN N#CCNC(=O)COC(=O)c1[nH]nc2c1CCCCC2 ZINC000789174577 581136078 /nfs/dbraw/zinc/13/60/78/581136078.db2.gz VILABWSMBCWOEC-UHFFFAOYSA-N 0 1 276.296 0.475 20 30 CCEDMN CCOC1CC(CC(=O)C(C#N)C(=O)NCCOC)C1 ZINC000789341456 581144262 /nfs/dbraw/zinc/14/42/62/581144262.db2.gz GDYDZLVWTRTYCK-HTAVTVPLSA-N 0 1 282.340 0.663 20 30 CCEDMN C=CCOc1ccc(CNC[C@@H]2CC(C(N)=O)=NO2)cc1 ZINC000789560635 581151920 /nfs/dbraw/zinc/15/19/20/581151920.db2.gz LGLULOCUHMHIKL-ZDUSSCGKSA-N 0 1 289.335 0.971 20 30 CCEDMN C=CCNC(=O)CNCc1cnc2c(c1)c(C)nn2C ZINC000393833231 581185395 /nfs/dbraw/zinc/18/53/95/581185395.db2.gz MBMCIMYDASEGCB-UHFFFAOYSA-N 0 1 273.340 0.669 20 30 CCEDMN COc1cccc(C=NNC2=NC[C@@H](C)N2)c1OC ZINC000779791542 581205123 /nfs/dbraw/zinc/20/51/23/581205123.db2.gz KFWYTRKEMVNHDF-SECBINFHSA-N 0 1 262.313 0.975 20 30 CCEDMN CC(=NNC1=NC[C@H](C)N1)c1ccc2c(c1)CC(=O)N2 ZINC000779793538 581205605 /nfs/dbraw/zinc/20/56/05/581205605.db2.gz UWYRSYMPHPGYOJ-QMMMGPOBSA-N 0 1 271.324 0.843 20 30 CCEDMN COC(=O)[C@H](C)n1cccc1C=NNC1=NC[C@H](C)N1 ZINC000779794243 581205628 /nfs/dbraw/zinc/20/56/28/581205628.db2.gz FKMYDHGACSYLKL-UWVGGRQHSA-N 0 1 277.328 0.493 20 30 CCEDMN C[C@H]1CN=C(NN=C2CCN(Cc3ccccc3)C2=O)N1 ZINC000779793685 581205653 /nfs/dbraw/zinc/20/56/53/581205653.db2.gz XCJKMBKATPFNSS-NSHDSACASA-N 0 1 285.351 0.712 20 30 CCEDMN C=C(CO)C(=O)NCC1(CN2CCOCC2)CCCCC1 ZINC000791382333 581218897 /nfs/dbraw/zinc/21/88/97/581218897.db2.gz YDVZHVNALKBWOU-UHFFFAOYSA-N 0 1 296.411 0.934 20 30 CCEDMN N#CCCNC(=O)CN1CCC[C@@H]1[C@@H]1CCCO1 ZINC000792923734 581276328 /nfs/dbraw/zinc/27/63/28/581276328.db2.gz GAYXUIKMUDGDIT-NEPJUHHUSA-N 0 1 251.330 0.660 20 30 CCEDMN COc1nccnc1C=NNCCN1CCCCC1 ZINC000792977914 581278701 /nfs/dbraw/zinc/27/87/01/581278701.db2.gz OUZKOKSRXRXESZ-UHFFFAOYSA-N 0 1 263.345 0.895 20 30 CCEDMN COc1nccnc1C=NNC1=N[C@@H]2CCCC[C@H]2N1 ZINC000793033899 581281427 /nfs/dbraw/zinc/28/14/27/581281427.db2.gz FOIWHXGEPLDOTL-NXEZZACHSA-N 0 1 274.328 0.679 20 30 CCEDMN CC[C@H](N[NH+]=Cc1cnc(N2CCOCC2)s1)C(=O)[O-] ZINC000793078055 581283532 /nfs/dbraw/zinc/28/35/32/581283532.db2.gz LDVLLBLKRFDNQF-JTQLQIEISA-N 0 1 298.368 0.766 20 30 CCEDMN COc1ccccc1NC(=O)C(C#N)C(=O)c1cnn(C)n1 ZINC000793126440 581284853 /nfs/dbraw/zinc/28/48/53/581284853.db2.gz SKSYWCDCUJFQOO-SECBINFHSA-N 0 1 299.290 0.785 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(=O)N(C)C[C@H]2C)CC1 ZINC000782866978 581323205 /nfs/dbraw/zinc/32/32/05/581323205.db2.gz IBAFJDRWDVIUIR-GFCCVEGCSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H]2CCC[C@@H]2NC(=O)[O-])CC1 ZINC000738457727 581332210 /nfs/dbraw/zinc/33/22/10/581332210.db2.gz VHXOMFBNTSPLMQ-STQMWFEESA-N 0 1 293.367 0.590 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738461155 581333873 /nfs/dbraw/zinc/33/38/73/581333873.db2.gz OMBKLBUCKPDSND-MNOVXSKESA-N 0 1 267.329 0.199 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738461155 581333876 /nfs/dbraw/zinc/33/38/76/581333876.db2.gz OMBKLBUCKPDSND-MNOVXSKESA-N 0 1 267.329 0.199 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)C1CCN(C)CC1 ZINC000783618108 581353265 /nfs/dbraw/zinc/35/32/65/581353265.db2.gz MOEDWNKGDBVJGS-UHFFFAOYSA-N 0 1 274.386 0.045 20 30 CCEDMN Cc1nn(-c2ccncc2)cc1C=NN1CC(=O)N(C)C1=O ZINC000783863954 581365820 /nfs/dbraw/zinc/36/58/20/581365820.db2.gz OAFZPVULKGAPSS-UHFFFAOYSA-N 0 1 298.306 0.804 20 30 CCEDMN C#CCOCCNC(=O)NCc1cc(CC)[nH]n1 ZINC000784330395 581380521 /nfs/dbraw/zinc/38/05/21/581380521.db2.gz KVVHPPZEKDNQSW-UHFFFAOYSA-N 0 1 250.302 0.421 20 30 CCEDMN C#CCOCCNC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000784560288 581388703 /nfs/dbraw/zinc/38/87/03/581388703.db2.gz XZGPFYSBCKHCPW-AWEZNQCLSA-N 0 1 297.399 0.292 20 30 CCEDMN C(=NNc1cncnc1)c1cn(Cc2cccnc2)nn1 ZINC000794979186 581393857 /nfs/dbraw/zinc/39/38/57/581393857.db2.gz DECDHECSQRHACM-UHFFFAOYSA-N 0 1 280.295 0.957 20 30 CCEDMN CC[C@H](C)[C@@H](OC)C(=O)C(C#N)C(=O)NCCCOC ZINC000796634146 581446605 /nfs/dbraw/zinc/44/66/05/581446605.db2.gz XMLDDDGOWOSGLM-GMXVVIOVSA-N 0 1 284.356 0.909 20 30 CCEDMN C#CCCNC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC000733641851 581516185 /nfs/dbraw/zinc/51/61/85/581516185.db2.gz PZLOVEYQNRFMOC-UHFFFAOYSA-N 0 1 266.389 0.335 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000739171631 581516197 /nfs/dbraw/zinc/51/61/97/581516197.db2.gz CNXFOACEVQKBJB-GRYCIOLGSA-N 0 1 296.371 0.725 20 30 CCEDMN C=CCNC(=O)COC(=O)c1ccc2c(c1)n[nH]c2C=O ZINC000766187633 581557060 /nfs/dbraw/zinc/55/70/60/581557060.db2.gz IDOVPWRMNVRRCI-UHFFFAOYSA-N 0 1 287.275 0.834 20 30 CCEDMN N=C(N)NN=C1c2cc(C(F)(F)F)ccc2NC1=O ZINC000754253338 581582995 /nfs/dbraw/zinc/58/29/95/581582995.db2.gz DBUHVRPQJCKVJU-UHFFFAOYSA-N 0 1 271.202 0.845 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)NC(C)C)CC1 ZINC000735939287 581673392 /nfs/dbraw/zinc/67/33/92/581673392.db2.gz CBNAQRNJFZTMKL-UHFFFAOYSA-N 0 1 266.341 0.399 20 30 CCEDMN C[C@@H]1CC(N=Nc2ccc(C(N)=O)nn2)CN1C1CC1 ZINC000756840350 581680042 /nfs/dbraw/zinc/68/00/42/581680042.db2.gz ZKVJJZXNDHGBCG-MRVPVSSYSA-N 0 1 274.328 0.600 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C(C)(C)NC(=O)[O-])CC1 ZINC000736077858 581695426 /nfs/dbraw/zinc/69/54/26/581695426.db2.gz YNTRIVPLOKMELQ-UHFFFAOYSA-N 0 1 267.329 0.200 20 30 CCEDMN CC[C@H](C(=O)OCC(=O)NCC#N)N(CC)CC ZINC000741033130 581728633 /nfs/dbraw/zinc/72/86/33/581728633.db2.gz NYNAJAOSFNVEGA-SNVBAGLBSA-N 0 1 255.318 0.290 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(CCOC)C2)cn1 ZINC000730790468 581734597 /nfs/dbraw/zinc/73/45/97/581734597.db2.gz XGWMBCJFWSQTBR-AWEZNQCLSA-N 0 1 273.336 0.513 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(CCOC)C2)cn1 ZINC000730790471 581734639 /nfs/dbraw/zinc/73/46/39/581734639.db2.gz XGWMBCJFWSQTBR-CQSZACIVSA-N 0 1 273.336 0.513 20 30 CCEDMN CN(C)CC(=O)N[C@@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000758755827 581767659 /nfs/dbraw/zinc/76/76/59/581767659.db2.gz VFMPPIHNSBXWDK-GHMZBOCLSA-N 0 1 296.371 0.423 20 30 CCEDMN CN(C)CC(=O)N[C@H]1CN(C(=O)OC(C)(C)C)C[C@H]1C#N ZINC000758755828 581767727 /nfs/dbraw/zinc/76/77/27/581767727.db2.gz VFMPPIHNSBXWDK-MNOVXSKESA-N 0 1 296.371 0.423 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(C)[C@@H](C)[C@H]1C ZINC000771405678 581802787 /nfs/dbraw/zinc/80/27/87/581802787.db2.gz MFFLGTIOOMAORA-MJBXVCDLSA-N 0 1 263.385 0.635 20 30 CCEDMN C#CCNC(=O)CCN[C@H]1CCN(c2ccc(C)cc2)C1=O ZINC000743474988 581813613 /nfs/dbraw/zinc/81/36/13/581813613.db2.gz WTBDKYJQIWKRLW-HNNXBMFYSA-N 0 1 299.374 0.829 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000736673555 581815354 /nfs/dbraw/zinc/81/53/54/581815354.db2.gz QTONJNJZAHLPLS-PSASIEDQSA-N 0 1 256.269 0.565 20 30 CCEDMN CCNC(=O)CCCC(=O)C(C#N)C(=O)NC1CC1 ZINC000744030630 581830541 /nfs/dbraw/zinc/83/05/41/581830541.db2.gz JQKPEJZJYQEVMG-SNVBAGLBSA-N 0 1 265.313 0.280 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCN(C2CC2)[C@@H](C#N)C1 ZINC000732678641 581842005 /nfs/dbraw/zinc/84/20/05/581842005.db2.gz IQCTWXQWUZFMAU-QWHCGFSZSA-N 0 1 262.357 0.279 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](C(=O)N(C)C)C(C)C)CC1 ZINC000772109451 581843620 /nfs/dbraw/zinc/84/36/20/581843620.db2.gz FJQJAVWMSGJYHF-CQSZACIVSA-N 0 1 294.395 0.988 20 30 CCEDMN C#CCCNC(=O)NCCCN1C[C@H](C)O[C@@H](C)C1 ZINC000733337696 581901764 /nfs/dbraw/zinc/90/17/64/581901764.db2.gz RMWIBJQRQYBOFK-STQMWFEESA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCNCC(=O)NCc1ccccc1CN1CCCC1=O ZINC000746513086 581917405 /nfs/dbraw/zinc/91/74/05/581917405.db2.gz DJKQNTMNRKGGOM-UHFFFAOYSA-N 0 1 299.374 0.648 20 30 CCEDMN C#CC(=O)N[C@H]1CN(Cc2ccccc2)CC[C@H]1CO ZINC000761980614 581927384 /nfs/dbraw/zinc/92/73/84/581927384.db2.gz JNPWNKGVPQQYJM-GJZGRUSLSA-N 0 1 272.348 0.619 20 30 CCEDMN CN1CCC[C@@H](NC(=O)C#Cc2ccc3c(c2)OCO3)C1 ZINC000762200280 581935407 /nfs/dbraw/zinc/93/54/07/581935407.db2.gz ILCLTMQAWLPNBJ-CYBMUJFWSA-N 0 1 286.331 0.977 20 30 CCEDMN C[C@@H]1CC(N=Nc2nccc(N3CCOCC3)n2)CN1C ZINC000762602770 581953859 /nfs/dbraw/zinc/95/38/59/581953859.db2.gz IWUKDHAZPVYTRY-LLVKDONJSA-N 0 1 290.371 0.805 20 30 CCEDMN C#C[C@H](NC(=O)CCSc1nnc(C)[nH]1)[C@@H]1CCCO1 ZINC000775328802 582018563 /nfs/dbraw/zinc/01/85/63/582018563.db2.gz KADDDZSJLDVMNC-QWRGUYRKSA-N 0 1 294.380 0.892 20 30 CCEDMN C#C[C@@H](NC(=O)CN(CC)C1CC1)[C@H]1CCCO1 ZINC000775347410 582020588 /nfs/dbraw/zinc/02/05/88/582020588.db2.gz MBUCCOJJFITJEH-CHWSQXEVSA-N 0 1 250.342 0.768 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(CCCCO)CC1 ZINC000750053142 582032098 /nfs/dbraw/zinc/03/20/98/582032098.db2.gz LWZIQLWATRSTQX-UHFFFAOYSA-N 0 1 296.415 0.139 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)CCCNC(=O)[O-] ZINC000738459919 582053263 /nfs/dbraw/zinc/05/32/63/582053263.db2.gz HUJQOHUMGXIEMD-LLVKDONJSA-N 0 1 268.313 0.675 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](C(=O)N2CCN(C(=O)[O-])CC2)C1 ZINC000738503085 582057262 /nfs/dbraw/zinc/05/72/62/582057262.db2.gz MJOCBYIWRQDONB-ZDUSSCGKSA-N 0 1 293.367 0.544 20 30 CCEDMN C[C@@H]1C[C@H](C(=O)C(C#N)C(=O)NC2CC2)CCO1 ZINC000776515601 582075412 /nfs/dbraw/zinc/07/54/12/582075412.db2.gz IPDWGZBJDDBXNW-KKZNHRDASA-N 0 1 250.298 0.789 20 30 CCEDMN CN(CC(=O)NCCC#N)CC(C)(C)CN1CCOCC1 ZINC000752089458 582100601 /nfs/dbraw/zinc/10/06/01/582100601.db2.gz WJYKDLGWDJAIMU-UHFFFAOYSA-N 0 1 296.415 0.306 20 30 CCEDMN C=C(Br)CNC(=O)[C@@H](N)COC(C)C ZINC000852797402 613237916 /nfs/dbraw/zinc/23/79/16/613237916.db2.gz RFNYLUOGDKVZOC-QMMMGPOBSA-N 0 1 265.151 0.764 20 30 CCEDMN COC(=O)C1C[C@@H](C)N(C[C@H](O)CC#N)[C@H](C)C1 ZINC000931338436 616469266 /nfs/dbraw/zinc/46/92/66/616469266.db2.gz QTRWJYUVUCHYJW-CKYFFXLPSA-N 0 1 254.330 0.923 20 30 CCEDMN COC(=O)[C@H](C[C@@H]1CCCO1)NC(=O)C(C)C#N ZINC000924864585 616605375 /nfs/dbraw/zinc/60/53/75/616605375.db2.gz ICMNFTUJXVQEPJ-UTLUCORTSA-N 0 1 254.286 0.373 20 30 CCEDMN Cc1[nH+]ccn1CCOC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000821196751 597616704 /nfs/dbraw/zinc/61/67/04/597616704.db2.gz MKUHGHLDXZVFLQ-LBPRGKRZSA-N 0 1 265.269 0.739 20 30 CCEDMN C[C@H](CNC(=O)[C@](C)(C#N)CC(=O)[O-])C[NH+]1CCOCC1 ZINC000820095966 597648808 /nfs/dbraw/zinc/64/88/08/597648808.db2.gz XRVFQYGFTMRSHY-RISCZKNCSA-N 0 1 297.355 0.075 20 30 CCEDMN N#Cc1cc(C(=O)OC[C@@H]2CCC[N@@H+]2CC(=O)[O-])c[nH]1 ZINC000821330053 598213187 /nfs/dbraw/zinc/21/31/87/598213187.db2.gz TYZCAUWFTUCXKT-NSHDSACASA-N 0 1 277.280 0.592 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NCc2cc[nH]c2)o1 ZINC000822424236 599664322 /nfs/dbraw/zinc/66/43/22/599664322.db2.gz UTBVRZCOEFPZNQ-UHFFFAOYSA-N 0 1 258.245 0.937 20 30 CCEDMN C#CCSCC(=O)N1CCO[C@@H]2CCN(CC)C[C@@H]21 ZINC000891179442 613010498 /nfs/dbraw/zinc/01/04/98/613010498.db2.gz XNIARYHKJIZWDB-QWHCGFSZSA-N 0 1 282.409 0.674 20 30 CCEDMN C#CCSCC(=O)NCCN1CCC(OC)CC1 ZINC000896884665 613011996 /nfs/dbraw/zinc/01/19/96/613011996.db2.gz UFJHHUWIWRISBH-UHFFFAOYSA-N 0 1 270.398 0.580 20 30 CCEDMN C#CC1(F)CN(C(=O)NCc2nc(CSC)n[nH]2)C1 ZINC000891087440 612947783 /nfs/dbraw/zinc/94/77/83/612947783.db2.gz ADCXLWTVZWQILS-UHFFFAOYSA-N 0 1 283.332 0.534 20 30 CCEDMN C#CC1(F)CN(C(=O)NCc2nnc(CSC)[nH]2)C1 ZINC000891087440 612947784 /nfs/dbraw/zinc/94/77/84/612947784.db2.gz ADCXLWTVZWQILS-UHFFFAOYSA-N 0 1 283.332 0.534 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC000964573728 649920109 /nfs/dbraw/zinc/92/01/09/649920109.db2.gz CSAFLPFMJKEZGZ-NXEZZACHSA-N 0 1 290.327 0.075 20 30 CCEDMN N#CCSCCC[N@H+]1CCO[C@@H](CC(=O)[O-])C1 ZINC000833068364 601455075 /nfs/dbraw/zinc/45/50/75/601455075.db2.gz WXXYYYIPECPCQH-JTQLQIEISA-N 0 1 258.343 0.809 20 30 CCEDMN C[C@@H]1CN(c2nccnc2C#N)CC[N@H+]1CCC(=O)[O-] ZINC000818112676 601664218 /nfs/dbraw/zinc/66/42/18/601664218.db2.gz HJEJEEOMMLWJJY-SNVBAGLBSA-N 0 1 275.312 0.333 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H](NC(=O)c2cnn[nH]2)CC1 ZINC000965010427 649927797 /nfs/dbraw/zinc/92/77/97/649927797.db2.gz DOTREPSXSVHPLZ-NXEZZACHSA-N 0 1 290.327 0.075 20 30 CCEDMN N#Cc1ccc([C@@H](O)C[N@@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000819095845 602016780 /nfs/dbraw/zinc/01/67/80/602016780.db2.gz MUEMAEKSRDSEJK-STQMWFEESA-N 0 1 276.292 0.377 20 30 CCEDMN N#Cc1ccc([C@@H](O)C[N@H+]2CCO[C@H](C(=O)[O-])C2)cc1 ZINC000819095845 602016781 /nfs/dbraw/zinc/01/67/81/602016781.db2.gz MUEMAEKSRDSEJK-STQMWFEESA-N 0 1 276.292 0.377 20 30 CCEDMN C=CCCC[N@H+](C)CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O ZINC000823496548 603604179 /nfs/dbraw/zinc/60/41/79/603604179.db2.gz LGQJMWSHPLVWOF-GFCCVEGCSA-N 0 1 296.371 0.942 20 30 CCEDMN C=CCCC[N@H+](C)CN1C[C@@H]2CN(C(=O)[O-])CCN2C1=O ZINC000823496549 603604414 /nfs/dbraw/zinc/60/44/14/603604414.db2.gz LGQJMWSHPLVWOF-LBPRGKRZSA-N 0 1 296.371 0.942 20 30 CCEDMN CN(C[C@H]1CCC[N@@H+]1CC(=O)NCCC#N)C(=O)[O-] ZINC000828222566 604094470 /nfs/dbraw/zinc/09/44/70/604094470.db2.gz CKRHUFKGXQTLND-SNVBAGLBSA-N 0 1 268.317 0.090 20 30 CCEDMN CN(C[C@H]1CCC[N@H+]1CC(=O)NCCC#N)C(=O)[O-] ZINC000828222566 604094472 /nfs/dbraw/zinc/09/44/72/604094472.db2.gz CKRHUFKGXQTLND-SNVBAGLBSA-N 0 1 268.317 0.090 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[NH2+][C@@](C)(COC)C(=O)[O-] ZINC000328487049 604616286 /nfs/dbraw/zinc/61/62/86/604616286.db2.gz MLOLFZJQYUPMOD-ZDUSSCGKSA-N 0 1 272.345 0.490 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)N(C)CCC#N)C[C@@H]1C(=O)[O-] ZINC000833626316 604842771 /nfs/dbraw/zinc/84/27/71/604842771.db2.gz ZQFHOBCUZZXDKP-MNOVXSKESA-N 0 1 267.329 0.401 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC000854545857 613057385 /nfs/dbraw/zinc/05/73/85/613057385.db2.gz RLNVKCGUNBDTOL-SSDOTTSWSA-N 0 1 275.230 0.851 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000798440151 613061937 /nfs/dbraw/zinc/06/19/37/613061937.db2.gz KAUBGQZUVPMCDY-GWCFXTLKSA-N 0 1 278.356 0.723 20 30 CCEDMN C#C[C@@H](CC)NC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935629984 613083087 /nfs/dbraw/zinc/08/30/87/613083087.db2.gz BIDFDSZCOKBDRP-NSHDSACASA-N 0 1 263.341 0.903 20 30 CCEDMN C#C[C@@H](CO)NC(=O)[C@H](c1ccccc1)N(C)CC ZINC000854767996 613088704 /nfs/dbraw/zinc/08/87/04/613088704.db2.gz ICTCLJQNQYPYFT-KBPBESRZSA-N 0 1 260.337 0.790 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)CC1(C#N)CC1 ZINC000922024315 613098048 /nfs/dbraw/zinc/09/80/48/613098048.db2.gz OXUNLPFAMAUMSG-UHFFFAOYSA-N 0 1 295.408 0.649 20 30 CCEDMN C#CCN(CC(=O)N1CCC[C@@H](NC(C)=O)C1)C(C)(C)C ZINC000932465744 613102184 /nfs/dbraw/zinc/10/21/84/613102184.db2.gz XSNPPHARTPZMBW-CQSZACIVSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC[C@@H](OC(C)C)C2)C1=O ZINC000844274035 613109367 /nfs/dbraw/zinc/10/93/67/613109367.db2.gz YNYGPKGFXSPHBF-OLZOCXBDSA-N 0 1 278.352 0.637 20 30 CCEDMN C#C[C@@](C)(CC)NS(=O)(=O)c1cc(C(=O)OC)no1 ZINC000867322403 613113064 /nfs/dbraw/zinc/11/30/64/613113064.db2.gz OCUZKJZSJDAKMR-NSHDSACASA-N 0 1 286.309 0.541 20 30 CCEDMN COc1cc(OC)cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834512078 617211213 /nfs/dbraw/zinc/21/12/13/617211213.db2.gz RNAUZJANVBWNRW-GXFFZTMASA-N 0 1 275.308 0.545 20 30 CCEDMN C#CCC1(NC(=O)NCc2nnc(COC)[nH]2)CCC1 ZINC000923250425 612969542 /nfs/dbraw/zinc/96/95/42/612969542.db2.gz YSBKMQKJVFXTPU-UHFFFAOYSA-N 0 1 277.328 0.696 20 30 CCEDMN CC(=O)N[C@H](CCC(C)C)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000852827320 614116194 /nfs/dbraw/zinc/11/61/94/614116194.db2.gz GLSMWRUTXCBZRF-XQQFMLRXSA-N 0 1 280.372 0.155 20 30 CCEDMN CC(C)(CO)[C@@H](NCC(=O)NCCC#N)c1cccnc1 ZINC000852091275 614124987 /nfs/dbraw/zinc/12/49/87/614124987.db2.gz GSUBVLDAYZOHPL-AWEZNQCLSA-N 0 1 290.367 0.761 20 30 CCEDMN CC(C)[C@@H]1OCC[C@H]1C(=O)NCC#CCN(C)C ZINC000913521887 614179355 /nfs/dbraw/zinc/17/93/55/614179355.db2.gz RKKJFKMSWNCCDH-OLZOCXBDSA-N 0 1 252.358 0.729 20 30 CCEDMN C#CCCC[N@@H+]1CC[C@@H](C(=O)OC)C[C@H]1C(=O)[O-] ZINC000833362371 612978489 /nfs/dbraw/zinc/97/84/89/612978489.db2.gz OIKOROZAPGNMAP-MNOVXSKESA-N 0 1 253.298 0.738 20 30 CCEDMN CC(CS(=O)(=O)N(C)C)=NNCCN1CCCCC1 ZINC000884418863 614381927 /nfs/dbraw/zinc/38/19/27/614381927.db2.gz YLCKUPPUHUVSJS-UHFFFAOYSA-N 0 1 290.433 0.329 20 30 CCEDMN CC(C)C#CC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000829730698 614325452 /nfs/dbraw/zinc/32/54/52/614325452.db2.gz QVLAXRUJBVNCCH-CQSZACIVSA-N 0 1 264.369 0.827 20 30 CCEDMN CC(C)c1nn(C)cc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834499073 614331660 /nfs/dbraw/zinc/33/16/60/614331660.db2.gz FANTUUHQKGUVRS-MWLCHTKSSA-N 0 1 261.329 0.385 20 30 CCEDMN COc1cccc(C(=O)NCC#CCN(C)C)c1[N+](=O)[O-] ZINC000913521741 617291115 /nfs/dbraw/zinc/29/11/15/617291115.db2.gz LCMTZPZNZAYOEB-UHFFFAOYSA-N 0 1 291.307 0.898 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@](C)(NC(=O)C(F)(F)F)C1 ZINC000830820256 617293625 /nfs/dbraw/zinc/29/36/25/617293625.db2.gz DRWKEGOFFDCMAQ-XVKPBYJWSA-N 0 1 277.246 0.816 20 30 CCEDMN COc1cccc(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834494985 617306311 /nfs/dbraw/zinc/30/63/11/617306311.db2.gz RLGWTZWSWBJVOJ-GXFFZTMASA-N 0 1 275.308 0.302 20 30 CCEDMN C#CC1CCN(CC(=O)N2C[C@@H](C)O[C@@H](C)C2)CC1 ZINC000830305107 612964311 /nfs/dbraw/zinc/96/43/11/612964311.db2.gz GICAHDHLHIPISK-BETUJISGSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CC1CCN(CC(=O)NCC(=O)OC(C)(C)C)CC1 ZINC000830345939 612964422 /nfs/dbraw/zinc/96/44/22/612964422.db2.gz ZYCVLZGPLRSSKV-UHFFFAOYSA-N 0 1 280.368 0.790 20 30 CCEDMN C#CCC1(NC(=O)NCc2n[nH]c(COC)n2)CCC1 ZINC000923250425 612969540 /nfs/dbraw/zinc/96/95/40/612969540.db2.gz YSBKMQKJVFXTPU-UHFFFAOYSA-N 0 1 277.328 0.696 20 30 CCEDMN C#CCC1(NC(=O)N[C@H](C)CN2CCN(C)CC2)CCC1 ZINC000917228144 612969802 /nfs/dbraw/zinc/96/98/02/612969802.db2.gz MMAGTZUVTYPYML-CQSZACIVSA-N 0 1 292.427 0.868 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@@H]1COC(=O)N1 ZINC000960265066 612971301 /nfs/dbraw/zinc/97/13/01/612971301.db2.gz PQFVDXAOKQZCNO-HZSPNIEDSA-N 0 1 299.330 0.100 20 30 CCEDMN C#CCCCCNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000152589630 612977426 /nfs/dbraw/zinc/97/74/26/612977426.db2.gz XEIZZKPBJPGMML-UHFFFAOYSA-N 0 1 281.400 0.888 20 30 CCEDMN C#CCOCCOC(=O)c1ccccc1NS(C)(=O)=O ZINC000853885658 612990920 /nfs/dbraw/zinc/99/09/20/612990920.db2.gz DMMLSYAGZDXAQW-UHFFFAOYSA-N 0 1 297.332 0.865 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000823297901 612996467 /nfs/dbraw/zinc/99/64/67/612996467.db2.gz LFBYIUYKAOLMJE-LSDHHAIUSA-N 0 1 295.427 0.413 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1C[N@H+]2CCC[C@@H]2CO1 ZINC000822878566 613001468 /nfs/dbraw/zinc/00/14/68/613001468.db2.gz JEVFSUXZNIXEPW-JHJVBQTASA-N 0 1 266.341 0.004 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cn(C)ccc2=O)CC1 ZINC000981597161 613006335 /nfs/dbraw/zinc/00/63/35/613006335.db2.gz WUQJQXLTIAZAML-UHFFFAOYSA-N 0 1 287.363 0.557 20 30 CCEDMN C#CCOc1ccccc1CNC(=O)Cc1n[nH]c(C)n1 ZINC000827832946 613007792 /nfs/dbraw/zinc/00/77/92/613007792.db2.gz SPPPIJMUFGVPMZ-UHFFFAOYSA-N 0 1 284.319 0.984 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)CC2(NC(=O)[O-])CCC2)CC1 ZINC000823445245 613009110 /nfs/dbraw/zinc/00/91/10/613009110.db2.gz WPHHQYAGGYXYRX-UHFFFAOYSA-N 0 1 293.367 0.734 20 30 CCEDMN C#CCCN1CCN(c2ccnc(COC)n2)CC1 ZINC000892082578 613012510 /nfs/dbraw/zinc/01/25/10/613012510.db2.gz CTBMHYZJCPDUAX-UHFFFAOYSA-N 0 1 260.341 0.768 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1[nH]nc2c1CCCCC2 ZINC000820059320 613029920 /nfs/dbraw/zinc/02/99/20/613029920.db2.gz ASDZIKMZYLIQHM-JTQLQIEISA-N 0 1 261.325 0.793 20 30 CCEDMN C#CC[C@@H](CO)NCc1ccnn1CCCOC ZINC000883124308 613030475 /nfs/dbraw/zinc/03/04/75/613030475.db2.gz CYUXLMVFIDHPKN-LBPRGKRZSA-N 0 1 251.330 0.393 20 30 CCEDMN C#CCCOC(=O)N1CCN(C2CCOCC2)CC1 ZINC000859048742 613049185 /nfs/dbraw/zinc/04/91/85/613049185.db2.gz CNKWVRRUGQKQRY-UHFFFAOYSA-N 0 1 266.341 0.943 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1nnc2ccccc2c1O ZINC000799080784 613059269 /nfs/dbraw/zinc/05/92/69/613059269.db2.gz AIPTWXHKCGMPKB-SNVBAGLBSA-N 0 1 285.303 0.840 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)NCc1cc(N(C)C)ccn1 ZINC000924668947 613061544 /nfs/dbraw/zinc/06/15/44/613061544.db2.gz STQIQVJEUXEINF-AWEZNQCLSA-N 0 1 290.367 0.721 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCCN1CC=CCC1 ZINC000875930046 613063187 /nfs/dbraw/zinc/06/31/87/613063187.db2.gz YFYOZZHPJCJNOY-CYBMUJFWSA-N 0 1 265.357 0.586 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCc1n[nH]c(C2CC2)n1 ZINC000875630780 613063815 /nfs/dbraw/zinc/06/38/15/613063815.db2.gz JNPNYXQMLKSLQD-SNVBAGLBSA-N 0 1 277.328 0.520 20 30 CCEDMN C#CCN(C(=O)c1cc(C=O)c[nH]1)C1CCN(C)CC1 ZINC000886575727 613069706 /nfs/dbraw/zinc/06/97/06/613069706.db2.gz HQILXAMYIPLVNJ-UHFFFAOYSA-N 0 1 273.336 0.997 20 30 CCEDMN C#CC[C@H](NCCS(=O)(=O)NC)c1ccccc1 ZINC000856734089 613070761 /nfs/dbraw/zinc/07/07/61/613070761.db2.gz NSUGNAOMUGWSEG-ZDUSSCGKSA-N 0 1 266.366 0.890 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)NCc2n[nH]c(=O)n2C)C1 ZINC000889568469 613072289 /nfs/dbraw/zinc/07/22/89/613072289.db2.gz BCPIWBGVDKQOLX-JTQLQIEISA-N 0 1 277.328 0.466 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000854664208 613078038 /nfs/dbraw/zinc/07/80/38/613078038.db2.gz QAWVWNYIPDEPAZ-UHFFFAOYSA-N 0 1 288.307 0.613 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)[C@H](O)c2c[nH]cn2)n1 ZINC000867929366 613078494 /nfs/dbraw/zinc/07/84/94/613078494.db2.gz ABSAMPFIQFXWQV-CYBMUJFWSA-N 0 1 287.323 0.322 20 30 CCEDMN C#CCn1ccc(CN(CC)C[C@]2(O)CCCOC2)n1 ZINC000851996492 613078567 /nfs/dbraw/zinc/07/85/67/613078567.db2.gz SLIWGYBZCISJIC-OAHLLOKOSA-N 0 1 277.368 0.880 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NC[C@H]1CC[N@H+]1C1CCOCC1 ZINC000927636754 613079134 /nfs/dbraw/zinc/07/91/34/613079134.db2.gz GQUGPQLCMCTWEO-TZMCWYRMSA-N 0 1 279.384 0.903 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000820401309 613081069 /nfs/dbraw/zinc/08/10/69/613081069.db2.gz YBSMZMCGGAQAKP-UTUOFQBUSA-N 0 1 253.346 0.105 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@H]2CCCO2)C1 ZINC000971111090 613081585 /nfs/dbraw/zinc/08/15/85/613081585.db2.gz XYKABXJNAJFACL-CHWSQXEVSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2ccccc2C(N)=O)C1 ZINC000972059059 613081735 /nfs/dbraw/zinc/08/17/35/613081735.db2.gz OTPFVKPXTUAJSA-CQSZACIVSA-N 0 1 299.374 0.494 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)Cc2cnn(C)c2)C1 ZINC000972637274 613082522 /nfs/dbraw/zinc/08/25/22/613082522.db2.gz JUOBULMNATVJSD-DZGCQCFKSA-N 0 1 288.395 0.765 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCOC2)C1 ZINC000971177424 613084165 /nfs/dbraw/zinc/08/41/65/613084165.db2.gz PFIZKBVKYSIRJV-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2[nH]c(C)nc2C)C1 ZINC000972362138 613084378 /nfs/dbraw/zinc/08/43/78/613084378.db2.gz KICHUVHVHIPHOE-GFCCVEGCSA-N 0 1 260.341 0.806 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(C(N)=O)co2)C1 ZINC000972300642 613084868 /nfs/dbraw/zinc/08/48/68/613084868.db2.gz MGAKHMWIJQGUFV-LLVKDONJSA-N 0 1 275.308 0.158 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc3n(n2)CCO3)C1 ZINC000971690704 613085687 /nfs/dbraw/zinc/08/56/87/613085687.db2.gz VCSVCFCZNULODB-LLVKDONJSA-N 0 1 274.324 0.055 20 30 CCEDMN C#C[C@@H](CO)NC(=O)CSc1n[nH]c(=S)s1 ZINC000854772898 613086739 /nfs/dbraw/zinc/08/67/39/613086739.db2.gz BWEVTTUYOKRXFS-YFKPBYRVSA-N 0 1 275.380 0.029 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2conc2COC)C1 ZINC000972710317 613088061 /nfs/dbraw/zinc/08/80/61/613088061.db2.gz KNYNNZSBMOUJFO-LLVKDONJSA-N 0 1 277.324 0.601 20 30 CCEDMN C#C[C@@H](CO)NC(=O)c1c(C)n[nH]c1C(F)(F)F ZINC000854772825 613089180 /nfs/dbraw/zinc/08/91/80/613089180.db2.gz AXERSRKUBGARAW-LURJTMIESA-N 0 1 261.203 0.461 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2CC(OCC)C2)C1 ZINC000971485699 613089389 /nfs/dbraw/zinc/08/93/89/613089389.db2.gz BLDAKLIWELLGDU-MOKVOYLWSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCCn3nccc32)C1 ZINC000971812905 613091922 /nfs/dbraw/zinc/09/19/22/613091922.db2.gz FQOZBXAKIQPTEE-UONOGXRCSA-N 0 1 286.379 0.926 20 30 CCEDMN C#C[C@@H](NC(=O)NC[C@H]1COCCN1)c1ccc(F)cc1 ZINC000861684114 613096521 /nfs/dbraw/zinc/09/65/21/613096521.db2.gz JITQSJQMZVXWED-UONOGXRCSA-N 0 1 291.326 0.788 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn3ncccc23)C1 ZINC000971697700 613096728 /nfs/dbraw/zinc/09/67/28/613096728.db2.gz UGPUAUWKBIYMAS-LBPRGKRZSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)Cc1ccn[nH]1 ZINC000855493344 613103814 /nfs/dbraw/zinc/10/38/14/613103814.db2.gz AAMHOVPWGIFRSD-UHFFFAOYSA-N 0 1 277.324 0.756 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)CN2CCN(CC)CC2)CC1 ZINC000874864284 613107273 /nfs/dbraw/zinc/10/72/73/613107273.db2.gz XOUPAMZNHIZHRK-SHTZXODSSA-N 0 1 277.412 0.932 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC([C@@H]3CCOC3)CC2)C1=O ZINC000821772061 613109554 /nfs/dbraw/zinc/10/95/54/613109554.db2.gz WVYMZQCUONKXGO-ZIAGYGMSSA-N 0 1 290.363 0.496 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000950089853 613110121 /nfs/dbraw/zinc/11/01/21/613110121.db2.gz ORQDEYLDQUXHAO-CYBMUJFWSA-N 0 1 286.379 0.996 20 30 CCEDMN C#C[C@H](CC)NC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000875594709 613120747 /nfs/dbraw/zinc/12/07/47/613120747.db2.gz XCESCQUXUJQRNZ-NEPJUHHUSA-N 0 1 280.372 0.037 20 30 CCEDMN C#C[C@H](NC(=O)C1CN([C@H]2CCOC2)C1)C(C)(C)C ZINC000897774518 613132701 /nfs/dbraw/zinc/13/27/01/613132701.db2.gz GDGQRSQMTMOVIM-STQMWFEESA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCNC(=O)OC)CC1 ZINC000928655207 613132742 /nfs/dbraw/zinc/13/27/42/613132742.db2.gz NKDZLJVJEHUVHH-UHFFFAOYSA-N 0 1 281.356 0.336 20 30 CCEDMN C#CCN1CCC(NC(=O)N2CC[C@@H](COC)C2)CC1 ZINC000921437815 613133416 /nfs/dbraw/zinc/13/34/16/613133416.db2.gz HNVQMHHGNZNHIQ-CYBMUJFWSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2noc(C)c2C#N)CC1 ZINC000928654004 613133458 /nfs/dbraw/zinc/13/34/58/613133458.db2.gz JSKAIIGSUIJYEF-UHFFFAOYSA-N 0 1 286.335 0.611 20 30 CCEDMN C#CCN1CCC(NC(=O)COC[C@H]2CCCO2)CC1 ZINC000928655316 613133605 /nfs/dbraw/zinc/13/36/05/613133605.db2.gz PMGLIPLTLWJEGE-CQSZACIVSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)C(OC)OC)CC1 ZINC000923646756 613134322 /nfs/dbraw/zinc/13/43/22/613134322.db2.gz RNENQNUUAAJBBU-NSHDSACASA-N 0 1 283.372 0.391 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cc[nH]n2)CC1 ZINC000914214926 613134382 /nfs/dbraw/zinc/13/43/82/613134382.db2.gz XRAKEVHYPVVZPU-UHFFFAOYSA-N 0 1 261.329 0.307 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2noc(C)n2)CC1 ZINC000920397384 613134460 /nfs/dbraw/zinc/13/44/60/613134460.db2.gz ATAOLHAGEDCRQH-UHFFFAOYSA-N 0 1 277.328 0.275 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2ccon2)CC1 ZINC000921250828 613134556 /nfs/dbraw/zinc/13/45/56/613134556.db2.gz LKIGBEFHYBSRMV-UHFFFAOYSA-N 0 1 262.313 0.571 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)COCCOC)CC1 ZINC000920487928 613134704 /nfs/dbraw/zinc/13/47/04/613134704.db2.gz IRNBIJQBGFILOV-CYBMUJFWSA-N 0 1 297.399 0.435 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)CC1 ZINC000897907013 613135052 /nfs/dbraw/zinc/13/50/52/613135052.db2.gz JIKMWYMGFONRKN-SEBNEYGDSA-N 0 1 289.379 0.559 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCO[C@@H]2C)CC1 ZINC000921704773 613135056 /nfs/dbraw/zinc/13/50/56/613135056.db2.gz DJXHCMCCWKSAFM-DGCLKSJQSA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCC[C@H](C(N)=O)C2)CC1 ZINC000928653016 613135687 /nfs/dbraw/zinc/13/56/87/613135687.db2.gz VKVASJADDLWIFA-QWHCGFSZSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)[C@H](O)CC)CC1 ZINC000928647945 613135869 /nfs/dbraw/zinc/13/58/69/613135869.db2.gz BQBAYUFQJRHPQI-WCQYABFASA-N 0 1 252.358 0.607 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C)C(C)(C)C1)[C@H]1CCCO1 ZINC000883580966 613136140 /nfs/dbraw/zinc/13/61/40/613136140.db2.gz NJIPLOYBMCWUBA-QWHCGFSZSA-N 0 1 279.384 0.903 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN(C)C[C@H]1CC)[C@H]1CCCO1 ZINC000838560697 613136289 /nfs/dbraw/zinc/13/62/89/613136289.db2.gz ZBSYDCHZZAIUFE-HZSPNIEDSA-N 0 1 279.384 0.903 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](OCC)[C@H]2CCOC2)CC1 ZINC000928660191 613136585 /nfs/dbraw/zinc/13/65/85/613136585.db2.gz LPMABWICGNNAMM-DZGCQCFKSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@]2(C)CCOC2)CC1 ZINC000928654068 613136701 /nfs/dbraw/zinc/13/67/01/613136701.db2.gz MELXUSZPSHNQDP-CQSZACIVSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCc3c[nH]nc3C2)CC1 ZINC000928656047 613136905 /nfs/dbraw/zinc/13/69/05/613136905.db2.gz JAKVKHXJLPUACU-LBPRGKRZSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc3nc[nH]c3n2)CC1 ZINC000928653988 613137143 /nfs/dbraw/zinc/13/71/43/613137143.db2.gz JCDBUORIGKZLTK-UHFFFAOYSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(CC)nn2C)CC1 ZINC000928661244 613137217 /nfs/dbraw/zinc/13/72/17/613137217.db2.gz YTEYDZFLDCMMTP-UHFFFAOYSA-N 0 1 274.368 0.810 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(OC)nn2)CC1 ZINC000928654944 613137347 /nfs/dbraw/zinc/13/73/47/613137347.db2.gz IMJHNPTXHHMONM-UHFFFAOYSA-N 0 1 274.324 0.313 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn(C)c2Cl)CC1 ZINC000928651086 613137573 /nfs/dbraw/zinc/13/75/73/613137573.db2.gz MAQGMJRGMCYIIN-UHFFFAOYSA-N 0 1 280.759 0.901 20 30 CCEDMN C#CCN1CCC(Nc2ccc3nc(C)nn3n2)CC1 ZINC000895808005 613138436 /nfs/dbraw/zinc/13/84/36/613138436.db2.gz GMJDNKFITKDZFC-UHFFFAOYSA-N 0 1 270.340 0.942 20 30 CCEDMN C#CCN1CCC(Nc2ncncc2C(=O)OC)CC1 ZINC000895808017 613138924 /nfs/dbraw/zinc/13/89/24/613138924.db2.gz GVNLZHPXXCGSLR-UHFFFAOYSA-N 0 1 274.324 0.773 20 30 CCEDMN C#CCN1CCC(Nc2ncnc3c2cnn3C)CC1 ZINC000905012950 613138997 /nfs/dbraw/zinc/13/89/97/613138997.db2.gz HWOYJKPDBDSGPQ-UHFFFAOYSA-N 0 1 270.340 0.873 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@@H](C)OC)CCO2 ZINC000949040733 613139367 /nfs/dbraw/zinc/13/93/67/613139367.db2.gz BAVHCKFWWWGXEM-CYBMUJFWSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)C(C)(F)F)CCO2 ZINC000949003543 613139406 /nfs/dbraw/zinc/13/94/06/613139406.db2.gz QETUOSAMVHARDU-UHFFFAOYSA-N 0 1 286.322 0.968 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)CC(C)(C)O)CCO2 ZINC000949083924 613139606 /nfs/dbraw/zinc/13/96/06/613139606.db2.gz SEUIEYSWRJXSRK-UHFFFAOYSA-N 0 1 294.395 0.474 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)c1cc[nH]c1)CCO2 ZINC000949102198 613140082 /nfs/dbraw/zinc/14/00/82/613140082.db2.gz FMDPETPCYPKCHG-UHFFFAOYSA-N 0 1 287.363 0.955 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CC3OCCCO3)C2)C1 ZINC000981733504 613140543 /nfs/dbraw/zinc/14/05/43/613140543.db2.gz YDPNMZYIKRGCQR-UHFFFAOYSA-N 0 1 292.379 0.697 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3OCCO[C@H]3C)C2)C1 ZINC000981820783 613143630 /nfs/dbraw/zinc/14/36/30/613143630.db2.gz JQRHQZJDCJEKGA-KBPBESRZSA-N 0 1 292.379 0.348 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)C1=COCCO1 ZINC000968729775 613145558 /nfs/dbraw/zinc/14/55/58/613145558.db2.gz OOUOUGRRYAKGIJ-CYBMUJFWSA-N 0 1 278.352 0.869 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1CN(C)C(=O)N1 ZINC000968982338 613146051 /nfs/dbraw/zinc/14/60/51/613146051.db2.gz HICVFFIRGGGSKR-CHWSQXEVSA-N 0 1 292.383 0.004 20 30 CCEDMN C#C[C@H](NS(=O)(=O)c1ncccc1[N+](=O)[O-])C(C)C ZINC000849390892 613152945 /nfs/dbraw/zinc/15/29/45/613152945.db2.gz COPCSONKGIPJCO-VIFPVBQESA-N 0 1 283.309 0.926 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)NCCCc2nc[nH]n2)C1 ZINC000923129851 613157060 /nfs/dbraw/zinc/15/70/60/613157060.db2.gz YGZHXDDLPSLTER-NSHDSACASA-N 0 1 261.329 0.792 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)NCc2n[nH]c(CC)n2)C1 ZINC000847377040 613157462 /nfs/dbraw/zinc/15/74/62/613157462.db2.gz CLKJUWVGKWOHJO-JTQLQIEISA-N 0 1 261.329 0.922 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC000854916833 613161679 /nfs/dbraw/zinc/16/16/79/613161679.db2.gz JCDAKAOITLXQJH-QWRGUYRKSA-N 0 1 259.309 0.375 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1cccc2[nH]c(CO)nc21 ZINC000909925209 613162177 /nfs/dbraw/zinc/16/21/77/613162177.db2.gz ZFZMQKDPXCWPSF-JTQLQIEISA-N 0 1 285.303 0.529 20 30 CCEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)N(C)CC(=O)NC1CC1 ZINC000852102799 613165032 /nfs/dbraw/zinc/16/50/32/613165032.db2.gz QFXJKDMEXWRTFM-IAQYHMDHSA-N 0 1 279.384 0.503 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2cncc(-n3cncn3)n2)C1 ZINC000884612824 613176353 /nfs/dbraw/zinc/17/63/53/613176353.db2.gz VFDDPWALAJDKLQ-GFCCVEGCSA-N 0 1 283.339 0.567 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@]1(CC)CCNC1=O ZINC000870194759 613177222 /nfs/dbraw/zinc/17/72/22/613177222.db2.gz SDOFUCXYNDFHEZ-IUODEOHRSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C/[C@H]2CCCO2)C1 ZINC000923554862 613181047 /nfs/dbraw/zinc/18/10/47/613181047.db2.gz LYEXDIHSEKAZSP-JYASZMECSA-N 0 1 262.353 0.935 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)[C@@H](C)Cc2cnc[nH]2)C1 ZINC000923556649 613188654 /nfs/dbraw/zinc/18/86/54/613188654.db2.gz YDXQHIWDWJGYDL-STQMWFEESA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1CCN(CCC=C)CC1 ZINC000948825882 613196000 /nfs/dbraw/zinc/19/60/00/613196000.db2.gz WPINDYRDDQFDDK-HNNXBMFYSA-N 0 1 275.396 0.804 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC1CC(C(=O)[O-])C1 ZINC000910339254 613198025 /nfs/dbraw/zinc/19/80/25/613198025.db2.gz ZNYORWQMGDMZNL-ILDUYXDCSA-N 0 1 250.298 0.063 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@H](CC(=O)[O-])C1CC1 ZINC000909966766 613199130 /nfs/dbraw/zinc/19/91/30/613199130.db2.gz WFMRKCOTAXTRAT-OLZOCXBDSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@H](CC(=O)[O-])C1CC1 ZINC000909966766 613199132 /nfs/dbraw/zinc/19/91/32/613199132.db2.gz WFMRKCOTAXTRAT-OLZOCXBDSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CCN1CCN(C(=O)[C@H](C)Cc2cnc[nH]2)CC1 ZINC000847490030 613207493 /nfs/dbraw/zinc/20/74/93/613207493.db2.gz XKGPHVHVGNRXBH-GFCCVEGCSA-N 0 1 260.341 0.366 20 30 CCEDMN C#Cc1cccnc1N1C[C@@H](C)N(CCO)[C@@H](C)C1 ZINC000853666928 613208805 /nfs/dbraw/zinc/20/88/05/613208805.db2.gz UYAONYXLQSFXMM-BETUJISGSA-N 0 1 259.353 0.954 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCN(C[C@@H]3CCOC3)CC2)c1 ZINC000798173864 613213650 /nfs/dbraw/zinc/21/36/50/613213650.db2.gz ITPUBJWSULYAKB-HNNXBMFYSA-N 0 1 299.374 0.857 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cncn2C)C1 ZINC000968533333 613214129 /nfs/dbraw/zinc/21/41/29/613214129.db2.gz IVNHQWIVAIYTKQ-OCCSQVGLSA-N 0 1 274.368 0.422 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CCOC2)C(C)(C)C1 ZINC000940611034 613229536 /nfs/dbraw/zinc/22/95/36/613229536.db2.gz VKPHURWRDOCTRC-QWHCGFSZSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C[C@@H]1C ZINC000948045423 613231195 /nfs/dbraw/zinc/23/11/95/613231195.db2.gz ZEWTXZJPMDTYCJ-CMPLNLGQSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)nc2OC)C[C@H]1C ZINC000947615941 613240079 /nfs/dbraw/zinc/24/00/79/613240079.db2.gz MTKGMJIRUXILEC-VXGBXAGGSA-N 0 1 290.367 0.645 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CC)nn2)C[C@H]1C ZINC000947866683 613240487 /nfs/dbraw/zinc/24/04/87/613240487.db2.gz DXOPLUDQYUBEIC-VXGBXAGGSA-N 0 1 275.356 0.514 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CC=C)nn2)[C@@H](C)C1 ZINC000943483580 613240915 /nfs/dbraw/zinc/24/09/15/613240915.db2.gz YEKBWSYSRSHPER-QWHCGFSZSA-N 0 1 287.367 0.538 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000819256009 613241692 /nfs/dbraw/zinc/24/16/92/613241692.db2.gz GLKGJZWIWLXBPR-SECBINFHSA-N 0 1 265.317 0.702 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)n2)C[C@H]1C ZINC000947802261 613242214 /nfs/dbraw/zinc/24/22/14/613242214.db2.gz WUBPFVHJFRUIQR-GHMZBOCLSA-N 0 1 261.329 0.031 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2N)C(C)(C)C1 ZINC000940702543 613242404 /nfs/dbraw/zinc/24/24/04/613242404.db2.gz GCTBTLORQVTFQP-GFCCVEGCSA-N 0 1 289.383 0.466 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnns2)[C@H](C)C1 ZINC000941853629 613242740 /nfs/dbraw/zinc/24/27/40/613242740.db2.gz ICUVAHAPPTYTKE-NXEZZACHSA-N 0 1 264.354 0.612 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC000964957794 613246763 /nfs/dbraw/zinc/24/67/63/613246763.db2.gz BPSKGODXZAQFQS-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC000957791949 613259352 /nfs/dbraw/zinc/25/93/52/613259352.db2.gz YKYMREIFTUYKRW-CQSZACIVSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2COC(=O)C2)C(C)(C)C1 ZINC000940678658 613259384 /nfs/dbraw/zinc/25/93/84/613259384.db2.gz VSBDOYCGCNYDTB-STQMWFEESA-N 0 1 292.379 0.790 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2ccnn2C)C(C)(C)C1 ZINC000940715907 613261280 /nfs/dbraw/zinc/26/12/80/613261280.db2.gz YKIODJHECMJUAQ-AWEZNQCLSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCCNC2=O)C(C)(C)C1 ZINC000940813255 613262830 /nfs/dbraw/zinc/26/28/30/613262830.db2.gz UXPJQWJGNXZLMY-OLZOCXBDSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]c(=O)cn2)C(C)(C)C1 ZINC000940985749 613264754 /nfs/dbraw/zinc/26/47/54/613264754.db2.gz CBCUBNNVBQVPFS-LBPRGKRZSA-N 0 1 288.351 0.233 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)o2)C[C@@H]1C ZINC000947529732 613267766 /nfs/dbraw/zinc/26/77/66/613267766.db2.gz UOBBRZCBNNBKEM-QWRGUYRKSA-N 0 1 289.335 0.594 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)C[C@@H]1C ZINC000947370494 613270185 /nfs/dbraw/zinc/27/01/85/613270185.db2.gz UNLINMWVGBDTEV-QWRGUYRKSA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnnc2C)[C@@H](C)C1 ZINC000942285359 613272694 /nfs/dbraw/zinc/27/26/94/613272694.db2.gz CQWTYHKZIPZMKF-FZMZJTMJSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncnc2C)[C@@H](C)C1 ZINC000941858189 613275397 /nfs/dbraw/zinc/27/53/97/613275397.db2.gz PKFVHWYZVOZZTB-FZMZJTMJSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2csnn2)C[C@@H]1C ZINC000947571813 613277502 /nfs/dbraw/zinc/27/75/02/613277502.db2.gz IDANDLYZHARIAH-UWVGGRQHSA-N 0 1 264.354 0.754 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncc[nH]2)C(C)(C)C1 ZINC000940732492 613278262 /nfs/dbraw/zinc/27/82/62/613278262.db2.gz JMMFULXNXXZLFJ-NSHDSACASA-N 0 1 260.341 0.873 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974668770 613280418 /nfs/dbraw/zinc/28/04/18/613280418.db2.gz CGSLWPVNVALPFC-CHWSQXEVSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cnn(C)c2C)C(C)(C)C1 ZINC000974662328 613280970 /nfs/dbraw/zinc/28/09/70/613280970.db2.gz GZWMBBUFDWTKKG-CQSZACIVSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2CCCN2C(C)=O)C(C)(C)C1 ZINC000977634804 613282426 /nfs/dbraw/zinc/28/24/26/613282426.db2.gz IXFPRQQLSHCXRT-ZIAGYGMSSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc[nH]c2C2CC2)C1 ZINC000958354588 613286284 /nfs/dbraw/zinc/28/62/84/613286284.db2.gz IQHXOANUBFRAOU-GXTWGEPZSA-N 0 1 287.363 0.548 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc3c([nH]2)CCC3)C1 ZINC000958574019 613286348 /nfs/dbraw/zinc/28/63/48/613286348.db2.gz MOADSMCBGDRHGG-SWLSCSKDSA-N 0 1 287.363 0.159 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccoc2C2CC2)C1 ZINC000958349169 613287124 /nfs/dbraw/zinc/28/71/24/613287124.db2.gz FTMRSXJYMAOXRB-GXTWGEPZSA-N 0 1 288.347 0.813 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cncc(Cl)c2)C1 ZINC000958485205 613287202 /nfs/dbraw/zinc/28/72/02/613287202.db2.gz HZUSJNCIRRZLRW-WCQYABFASA-N 0 1 293.754 0.391 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2nccc3occc32)C1 ZINC000958281214 613296352 /nfs/dbraw/zinc/29/63/52/613296352.db2.gz IRCXPZFCYDJUSY-AAEUAGOBSA-N 0 1 299.330 0.484 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ocnc2C(F)F)C1 ZINC000958395480 613296565 /nfs/dbraw/zinc/29/65/65/613296565.db2.gz VIBUSYMPNSEXSB-IUCAKERBSA-N 0 1 299.277 0.268 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2ccc(C)n2)C(C)(C)C1 ZINC000975039947 613297872 /nfs/dbraw/zinc/29/78/72/613297872.db2.gz KJJHUPYLTOEFAY-ZDUSSCGKSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccn2C(C)C)C1 ZINC000958682792 613303768 /nfs/dbraw/zinc/30/37/68/613303768.db2.gz CUKCUPWGXOPZNM-HIFRSBDPSA-N 0 1 289.379 0.725 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CN2CCCNC2=O)CC1 ZINC000981539539 613308406 /nfs/dbraw/zinc/30/84/06/613308406.db2.gz TWOWAYVAOGZQLW-UHFFFAOYSA-N 0 1 294.399 0.512 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC000982944664 613312174 /nfs/dbraw/zinc/31/21/74/613312174.db2.gz OQIBKOTVMYHEKI-UHFFFAOYSA-N 0 1 290.367 0.838 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C(F)(F)F)no1 ZINC000960405493 613318132 /nfs/dbraw/zinc/31/81/32/613318132.db2.gz SKRYOYIIWYVBTC-VROVMSAKSA-N 0 1 299.252 0.987 20 30 CCEDMN C=C(C)CN1CCN(C(=O)[C@H](C)CN2CCOCC2)CC1 ZINC000957667603 613318562 /nfs/dbraw/zinc/31/85/62/613318562.db2.gz IKQAWJMIFSHXQE-OAHLLOKOSA-N 0 1 295.427 0.675 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2[nH]ccc2n1 ZINC000961066983 613319083 /nfs/dbraw/zinc/31/90/83/613319083.db2.gz YGHXWFTWYJROFV-HBYGRHMLSA-N 0 1 280.331 0.856 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2c(n1)C[C@@H](C)CC2 ZINC000960544214 613320711 /nfs/dbraw/zinc/32/07/11/613320711.db2.gz AXKZUMIXIULCEH-BCUIYNNISA-N 0 1 298.390 0.759 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncnc1C ZINC000958279547 613321605 /nfs/dbraw/zinc/32/16/05/613321605.db2.gz LIUSGYZQXNBFBK-ITGUQSILSA-N 0 1 256.309 0.078 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1conc1COC ZINC000962263730 613321981 /nfs/dbraw/zinc/32/19/81/613321981.db2.gz WBMQFUYMUXPCOT-IWIIMEHWSA-N 0 1 275.308 0.114 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1oc(CC)nc1C ZINC000962201050 613322541 /nfs/dbraw/zinc/32/25/41/613322541.db2.gz BPAZIZREIYUVGJ-PJXYFTJBSA-N 0 1 273.336 0.839 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1oc(C)nc1C ZINC000958475453 613322681 /nfs/dbraw/zinc/32/26/81/613322681.db2.gz WCDPJXGLGPMULM-GDNZZTSVSA-N 0 1 259.309 0.585 20 30 CCEDMN C=C(C)CN1CC[C@@H](C)[C@H](NC(=O)c2nnn(C)n2)C1 ZINC000967748663 613326578 /nfs/dbraw/zinc/32/65/78/613326578.db2.gz OJIJGLMYEYKXKT-GHMZBOCLSA-N 0 1 278.360 0.226 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](n2ccnn2)CC1 ZINC000878939054 613328958 /nfs/dbraw/zinc/32/89/58/613328958.db2.gz KGNCZMZRNGNQDS-GFCCVEGCSA-N 0 1 261.329 0.055 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@H](C)N(C)C)C(=O)OCC ZINC000881755878 613355990 /nfs/dbraw/zinc/35/59/90/613355990.db2.gz HOKBEBLJOXJPPO-WDEREUQCSA-N 0 1 256.346 0.951 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(CCN2CCSCC2)C1=O ZINC000925286687 613356920 /nfs/dbraw/zinc/35/69/20/613356920.db2.gz RSQRRPOQDUOLTL-NSHDSACASA-N 0 1 283.397 0.922 20 30 CCEDMN C=C(C)C[C@H](NC(=O)Cc1cnc[nH]1)C(=O)OCC ZINC000916704292 613358657 /nfs/dbraw/zinc/35/86/57/613358657.db2.gz IZYVHFTTWUZPLU-NSHDSACASA-N 0 1 265.313 0.966 20 30 CCEDMN C=C(C)C[C@H](NC(=O)NCC[N@H+](C)CCOC)C(=O)[O-] ZINC000908919947 613358814 /nfs/dbraw/zinc/35/88/14/613358814.db2.gz FXHFALCWCGBZBQ-NSHDSACASA-N 0 1 287.360 0.283 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000910292256 613358900 /nfs/dbraw/zinc/35/89/00/613358900.db2.gz NZYSQMKMSWBQFZ-QWRGUYRKSA-N 0 1 270.329 0.243 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000910292256 613358901 /nfs/dbraw/zinc/35/89/01/613358901.db2.gz NZYSQMKMSWBQFZ-QWRGUYRKSA-N 0 1 270.329 0.243 20 30 CCEDMN C=C(C)C[C@H](NC(=O)C1=NC(=O)N(C)C1)C(=O)OCC ZINC000916717922 613359146 /nfs/dbraw/zinc/35/91/46/613359146.db2.gz ZYWKSIZEURFWEY-VIFPVBQESA-N 0 1 281.312 0.753 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NC[C@@H]1CCN1CC ZINC000893873506 613359336 /nfs/dbraw/zinc/35/93/36/613359336.db2.gz QJGQYEJHAYNVLA-STQMWFEESA-N 0 1 267.373 0.668 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC(N2CCCS2(=O)=O)CC1 ZINC000930708378 613360456 /nfs/dbraw/zinc/36/04/56/613360456.db2.gz KYYLCVZSXAZDJU-CYBMUJFWSA-N 0 1 288.413 0.423 20 30 CCEDMN C=C(C)[C@@H](CO)n1cc(CNC(=O)C(F)(F)F)nn1 ZINC000881319420 613360488 /nfs/dbraw/zinc/36/04/88/613360488.db2.gz BTLOUHFQSIFKGS-MRVPVSSYSA-N 0 1 278.234 0.566 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000839751017 613362756 /nfs/dbraw/zinc/36/27/56/613362756.db2.gz SUYTULBSUPRDPG-GWOFURMSSA-N 0 1 254.286 0.749 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC000969466197 613384942 /nfs/dbraw/zinc/38/49/42/613384942.db2.gz SJBAIOLMQGMJMJ-NWDGAFQWSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@]23C[C@H]2COC3)C1 ZINC000968748325 613385266 /nfs/dbraw/zinc/38/52/66/613385266.db2.gz BLEHCMRAYLOQIR-LOWVWBTDSA-N 0 1 270.760 0.966 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC000969673531 613385340 /nfs/dbraw/zinc/38/53/40/613385340.db2.gz AQDHYHIERSHZJJ-BXUZGUMPSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC000970823795 613385366 /nfs/dbraw/zinc/38/53/66/613385366.db2.gz IWMPFGFNTIJGNY-CHWSQXEVSA-N 0 1 299.802 0.940 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N[C@H](C)CCS(C)(=O)=O ZINC000876693038 613388326 /nfs/dbraw/zinc/38/83/26/613388326.db2.gz NTJVZVAWNTUYKY-RKDXNWHRSA-N 0 1 282.793 0.396 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N1CCS(=O)(=O)C[C@H](C)C1 ZINC000876911214 613389459 /nfs/dbraw/zinc/38/94/59/613389459.db2.gz YEEADGTXUUETEZ-SCZZXKLOSA-N 0 1 294.804 0.349 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N[C@H]1CC[C@@H](C)S(=O)(=O)C1 ZINC000876965395 613390532 /nfs/dbraw/zinc/39/05/32/613390532.db2.gz GSXLBKDITCVZLA-UTLUCORTSA-N 0 1 294.804 0.538 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)C1 ZINC000973438590 613399524 /nfs/dbraw/zinc/39/95/24/613399524.db2.gz DSCQWUBCVFXTIJ-MGCOHNPYSA-N 0 1 289.339 0.538 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2CCN(CC(=O)NC)C[C@@H]2C)C1 ZINC000942602670 613400356 /nfs/dbraw/zinc/40/03/56/613400356.db2.gz LZRXCSOVFLMIKM-STQMWFEESA-N 0 1 293.411 0.915 20 30 CCEDMN C=CC[C@@H](CO)NCc1cn(C[C@@H]2CCCO2)nn1 ZINC000865527175 613405069 /nfs/dbraw/zinc/40/50/69/613405069.db2.gz HWRWWICFNGUALR-AAEUAGOBSA-N 0 1 266.345 0.484 20 30 CCEDMN C=C1CCN(C(=O)N[C@H](C)CN2CCN(C)CC2)CC1 ZINC000892867939 613415110 /nfs/dbraw/zinc/41/51/10/613415110.db2.gz BFISCOXHWAOCPC-CQSZACIVSA-N 0 1 280.416 0.984 20 30 CCEDMN C=CCC(F)(F)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000854353395 613454927 /nfs/dbraw/zinc/45/49/27/613454927.db2.gz YEMXRYSCDJVPCO-LLVKDONJSA-N 0 1 273.327 0.704 20 30 CCEDMN C=CCCCCCCNC(=O)NCC(=O)N1CCNCC1 ZINC000841902392 613465319 /nfs/dbraw/zinc/46/53/19/613465319.db2.gz AIAMKGAIAKVRJZ-UHFFFAOYSA-N 0 1 296.415 0.854 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NOCCCC(=O)OC ZINC000832156283 613465690 /nfs/dbraw/zinc/46/56/90/613465690.db2.gz FJWWQZHVHUVKCG-ZJUUUORDSA-N 0 1 257.286 0.579 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC000910918327 613474423 /nfs/dbraw/zinc/47/44/23/613474423.db2.gz YAJWVODGBYTOGR-WDEREUQCSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCCC[C@H](NC(=O)[C@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC000910918327 613474424 /nfs/dbraw/zinc/47/44/24/613474424.db2.gz YAJWVODGBYTOGR-WDEREUQCSA-N 0 1 270.329 0.243 20 30 CCEDMN C=C[C@@](C)(O)c1cn([C@@H](C)c2n[nH]c(CC)n2)nn1 ZINC000881385672 613481161 /nfs/dbraw/zinc/48/11/61/613481161.db2.gz UBEIZDKEMFJAEV-QPUJVOFHSA-N 0 1 262.317 0.961 20 30 CCEDMN C=C[C@H](O)C(=O)Nc1ccc(N2CCN(C)CC2)cc1 ZINC000854259174 613497842 /nfs/dbraw/zinc/49/78/42/613497842.db2.gz IHLNWHHQQVCGAP-AWEZNQCLSA-N 0 1 275.352 0.924 20 30 CCEDMN C=CCCN1CCN(C(=O)Cn2nc(C)ccc2=O)CC1 ZINC000957409755 613505328 /nfs/dbraw/zinc/50/53/28/613505328.db2.gz WGMUOSSAZAMUSI-UHFFFAOYSA-N 0 1 290.367 0.272 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCc3n[nH]nc3C2)CC1 ZINC000957319822 613506827 /nfs/dbraw/zinc/50/68/27/613506827.db2.gz YXQAQPQFUZQXDM-GFCCVEGCSA-N 0 1 289.383 0.630 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H]2CCNC(=O)CC2)CC1 ZINC000949488377 613508533 /nfs/dbraw/zinc/50/85/33/613508533.db2.gz ALQQNZCVSGQIBH-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCO2)C1 ZINC000969261883 613530802 /nfs/dbraw/zinc/53/08/02/613530802.db2.gz XGJZKHSXEZNXIN-YPMHNXCESA-N 0 1 250.342 0.625 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2ccc(C(N)=O)cc2)C1 ZINC000970866583 613538412 /nfs/dbraw/zinc/53/84/12/613538412.db2.gz ZARNMMSLPDIYCH-LBPRGKRZSA-N 0 1 299.374 0.859 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)Cn3cncn3)C2)C1 ZINC000982141372 613542320 /nfs/dbraw/zinc/54/23/20/613542320.db2.gz AVIIDWUKCQLJIE-UHFFFAOYSA-N 0 1 287.367 0.226 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](C)OC)C2)C1 ZINC000981650974 613542811 /nfs/dbraw/zinc/54/28/11/613542811.db2.gz APMHNUQYSVJPIP-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN1CCCN(C(=O)Cc2cc(C)n[nH]2)CC1 ZINC000980952932 613549592 /nfs/dbraw/zinc/54/95/92/613549592.db2.gz GFIQYIXPVMJQRC-UHFFFAOYSA-N 0 1 274.368 0.818 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCN(C)CCN(C)CC1 ZINC000888994204 613577804 /nfs/dbraw/zinc/57/78/04/613577804.db2.gz YMDPIPGYYFEZEG-AWEZNQCLSA-N 0 1 283.416 0.673 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CC[N@@H+](CCC(=O)[O-])[C@@H](C)C1 ZINC000833373805 613578835 /nfs/dbraw/zinc/57/88/35/613578835.db2.gz DJCSDJCWCANRNW-QWHCGFSZSA-N 0 1 298.383 0.975 20 30 CCEDMN C=CCC[C@@H](CC)NCc1nnc2n1CCNC2=O ZINC000883302903 613583067 /nfs/dbraw/zinc/58/30/67/613583067.db2.gz KGIBEXLBGUCTGP-SNVBAGLBSA-N 0 1 263.345 0.856 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000837080322 613583280 /nfs/dbraw/zinc/58/32/80/613583280.db2.gz AZDQLTMEEJZCKH-QMMMGPOBSA-N 0 1 296.289 0.887 20 30 CCEDMN C=CCC[C@@H](NC(=O)C[N@H+](C)[C@@H]1CCSC1)C(=O)[O-] ZINC000909712773 613584457 /nfs/dbraw/zinc/58/44/57/613584457.db2.gz AABQXXVALWSITL-GHMZBOCLSA-N 0 1 286.397 0.959 20 30 CCEDMN C=CCC[C@@H](O)C[N@@H+]1CCN(CCC(=O)[O-])C[C@H]1C ZINC000833373607 613585950 /nfs/dbraw/zinc/58/59/50/613585950.db2.gz UKBALTZDGODTAC-CHWSQXEVSA-N 0 1 270.373 0.794 20 30 CCEDMN C=CCC[C@@H](O)CN1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC000833373607 613585951 /nfs/dbraw/zinc/58/59/51/613585951.db2.gz UKBALTZDGODTAC-CHWSQXEVSA-N 0 1 270.373 0.794 20 30 CCEDMN C=CCC[C@@H](O)CN1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833373607 613585953 /nfs/dbraw/zinc/58/59/53/613585953.db2.gz UKBALTZDGODTAC-CHWSQXEVSA-N 0 1 270.373 0.794 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2nnn(C)n2)C(C)(C)C1 ZINC000940962568 613589228 /nfs/dbraw/zinc/58/92/28/613589228.db2.gz ZMKKEJVPDOSIHR-LLVKDONJSA-N 0 1 290.371 0.064 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1C[C@@H](C(=O)N(C)C)CC[C@@H]1C(=O)[O-] ZINC000923264470 613590909 /nfs/dbraw/zinc/59/09/09/613590909.db2.gz IJGKTJHBVPEYJZ-RWMBFGLXSA-N 0 1 298.383 0.567 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC000833373451 613590995 /nfs/dbraw/zinc/59/09/95/613590995.db2.gz PQFSNNYHWKHJGJ-WOPDTQHZSA-N 0 1 285.340 0.652 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CC[C@@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC000833373451 613590996 /nfs/dbraw/zinc/59/09/96/613590996.db2.gz PQFSNNYHWKHJGJ-WOPDTQHZSA-N 0 1 285.340 0.652 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(=O)[nH]n2)C1 ZINC000964900930 613591658 /nfs/dbraw/zinc/59/16/58/613591658.db2.gz FTYCDKPEPLXRGC-AAEUAGOBSA-N 0 1 288.351 0.646 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977344830 613608306 /nfs/dbraw/zinc/60/83/06/613608306.db2.gz GYWUUTUCYZJQMF-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NC[C@H]1CCN1C1CCOCC1 ZINC000932956727 613608576 /nfs/dbraw/zinc/60/85/76/613608576.db2.gz HEUXPULEAWBVLB-CYBMUJFWSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)C(C)(C)C1 ZINC000972790332 613611186 /nfs/dbraw/zinc/61/11/86/613611186.db2.gz YCUKHLJRNHVCNC-GFCCVEGCSA-N 0 1 288.351 0.646 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccoc2C)C1 ZINC000957851125 613613856 /nfs/dbraw/zinc/61/38/56/613613856.db2.gz GFWBOXUXWXXJTN-GXTWGEPZSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccc(CC)o2)[C@H](O)C1 ZINC000958191041 613614921 /nfs/dbraw/zinc/61/49/21/613614921.db2.gz RJOLPYBKCCLJJM-TZMCWYRMSA-N 0 1 290.363 0.888 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cscn2)[C@H](O)C1 ZINC000957919930 613615366 /nfs/dbraw/zinc/61/53/66/613615366.db2.gz YEDGMOWMKBFYAT-ZYHUDNBSSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccn(C)c2C)[C@H](O)C1 ZINC000958377541 613615788 /nfs/dbraw/zinc/61/57/88/613615788.db2.gz BFJYMCQJWIEZQH-UKRRQHHQSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cccs2)[C@H](O)C1 ZINC000957839305 613615809 /nfs/dbraw/zinc/61/58/09/613615809.db2.gz XEGLYPVYRPVEAR-VXGBXAGGSA-N 0 1 278.377 0.794 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ncccc2C)C1 ZINC000957974676 613619135 /nfs/dbraw/zinc/61/91/35/613619135.db2.gz HODBSLJNPIVYER-KBPBESRZSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cnccc2C)C1 ZINC000957957334 613619187 /nfs/dbraw/zinc/61/91/87/613619187.db2.gz LDJUPAQPWFGWNY-ZFWWWQNUSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CC2OCCCO2)C(C)(C)C1 ZINC000974507069 613620644 /nfs/dbraw/zinc/62/06/44/613620644.db2.gz OWEBDCSHAAHYKV-ZDUSSCGKSA-N 0 1 294.395 0.989 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H]2CN(C)CCO2)C(C)(C)C1 ZINC000972990790 613621620 /nfs/dbraw/zinc/62/16/20/613621620.db2.gz AVRCRFALQDRGNV-KBPBESRZSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ncccc2F)C1 ZINC000958521236 613625919 /nfs/dbraw/zinc/62/59/19/613625919.db2.gz FHWAVWFNSKNWSS-YPMHNXCESA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@]1(F)CCOC1 ZINC000961598652 613636541 /nfs/dbraw/zinc/63/65/41/613636541.db2.gz OTABPQWCLABURN-OWTLIXCDSA-N 0 1 266.316 0.185 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)nn(C)c1F ZINC000962417341 613638776 /nfs/dbraw/zinc/63/87/76/613638776.db2.gz BIMSQVVGEACUPG-PJXYFTJBSA-N 0 1 290.342 0.551 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnnc(C)c1 ZINC000958687464 613642105 /nfs/dbraw/zinc/64/21/05/613642105.db2.gz YEKFYQIDIDGZGH-WDNDVIMCSA-N 0 1 270.336 0.468 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@H]1CC[N@@H+](CC2CC2)C1 ZINC000908938701 613643076 /nfs/dbraw/zinc/64/30/76/613643076.db2.gz SEUDWLSWDBUDBM-LBPRGKRZSA-N 0 1 281.356 0.753 20 30 CCEDMN C=CCN(CC(=O)[O-])c1cc[nH+]c(N2CCOCC2)n1 ZINC000910396123 613644488 /nfs/dbraw/zinc/64/44/88/613644488.db2.gz RXASNOSNLKFLHL-UHFFFAOYSA-N 0 1 278.312 0.390 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CC[C@@](O)(C(=O)OC)C1 ZINC000880560156 613648482 /nfs/dbraw/zinc/64/84/82/613648482.db2.gz LTGOKAHAJXZMFM-WFASDCNBSA-N 0 1 296.367 0.185 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc3n(n2)CCO3)C1 ZINC000969852232 613664756 /nfs/dbraw/zinc/66/47/56/613664756.db2.gz LWINLANNFQWVQC-SNVBAGLBSA-N 0 1 276.340 0.512 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC000970970408 613671027 /nfs/dbraw/zinc/67/10/27/613671027.db2.gz ZNJRSXPQOQJJLH-JTQLQIEISA-N 0 1 288.351 0.417 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc3n(n2)CCCO3)C1 ZINC000970125159 613671143 /nfs/dbraw/zinc/67/11/43/613671143.db2.gz PMABEUHJHLZDCT-NSHDSACASA-N 0 1 290.367 0.902 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cnn(CCOC)c2)C1 ZINC000970813337 613673398 /nfs/dbraw/zinc/67/33/98/613673398.db2.gz ITSORQQPOVBMDA-LBPRGKRZSA-N 0 1 292.383 0.766 20 30 CCEDMN C=CCN1CCC(NC(=O)[C@H]2[C@@H]3C(=O)CC[C@H]23)CC1 ZINC000906593948 613675882 /nfs/dbraw/zinc/67/58/82/613675882.db2.gz WARXTWKJDNKODD-FPMFFAJLSA-N 0 1 262.353 0.978 20 30 CCEDMN C=CCN1CCN(C(=O)[C@H]2CCc3[nH]nnc3C2)CC1 ZINC000957311471 613713294 /nfs/dbraw/zinc/71/32/94/613713294.db2.gz LYQQLIMBKCGRIL-NSHDSACASA-N 0 1 275.356 0.240 20 30 CCEDMN C=CCN1CCN(C(=O)[C@H]2CCc3nn[nH]c3C2)CC1 ZINC000957311471 613713297 /nfs/dbraw/zinc/71/32/97/613713297.db2.gz LYQQLIMBKCGRIL-NSHDSACASA-N 0 1 275.356 0.240 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2[nH]nnc2C)C1 ZINC000967700678 613728876 /nfs/dbraw/zinc/72/88/76/613728876.db2.gz JEDVGWOGGJJCQV-KOLCDFICSA-N 0 1 263.345 0.739 20 30 CCEDMN CC(=O)NCC[N@@H+](CC1(C#N)CC1)[C@@H](C)C(=O)[O-] ZINC000819770157 613736680 /nfs/dbraw/zinc/73/66/80/613736680.db2.gz ILCRCLIEAFHPNR-VIFPVBQESA-N 0 1 253.302 0.201 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)CN2CCOCC2)C1 ZINC000965921763 613732783 /nfs/dbraw/zinc/73/27/83/613732783.db2.gz OHPCJHKJXDHXQK-ZIAGYGMSSA-N 0 1 281.400 0.331 20 30 CCEDMN CC(=O)NCC[N@H+](CC1(C#N)CC1)[C@@H](C)C(=O)[O-] ZINC000819770157 613736677 /nfs/dbraw/zinc/73/66/77/613736677.db2.gz ILCRCLIEAFHPNR-VIFPVBQESA-N 0 1 253.302 0.201 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2nccnc2N)C1 ZINC000965698286 613736707 /nfs/dbraw/zinc/73/67/07/613736707.db2.gz YUFKBNLHUBARCP-GHMZBOCLSA-N 0 1 275.356 0.685 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC000968336795 613768483 /nfs/dbraw/zinc/76/84/83/613768483.db2.gz XCDYNJMGRSEORT-GWCFXTLKSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2ccc(=O)n(C)n2)C1 ZINC000968614297 613773430 /nfs/dbraw/zinc/77/34/30/613773430.db2.gz JYJSEJLMMUUIIW-WCQYABFASA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@H]2c2nnc[nH]2)C1=O ZINC000931140792 613778332 /nfs/dbraw/zinc/77/83/32/613778332.db2.gz ZCVBLHBSYCHMLQ-QWRGUYRKSA-N 0 1 261.329 0.729 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C(=O)N2CC[C@H](C)C2)C(C)(C)C1 ZINC000977438583 613805827 /nfs/dbraw/zinc/80/58/27/613805827.db2.gz JBMZTROODAGRSU-QWHCGFSZSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCNC(=O)C2)C(C)(C)C1 ZINC000973014551 613808430 /nfs/dbraw/zinc/80/84/30/613808430.db2.gz DDBSBQWLKWGLOC-NWDGAFQWSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cnnn2CC)C(C)(C)C1 ZINC000974571733 613811385 /nfs/dbraw/zinc/81/13/85/613811385.db2.gz KTHNOEZEUULNKL-GFCCVEGCSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CN(C)C(=O)N2)C(C)(C)C1 ZINC000974900881 613827513 /nfs/dbraw/zinc/82/75/13/613827513.db2.gz IRWYQWFZZRNOOA-QWRGUYRKSA-N 0 1 280.372 0.023 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cnnn2CC)C(C)(C)C1 ZINC000974571737 613829821 /nfs/dbraw/zinc/82/98/21/613829821.db2.gz KTHNOEZEUULNKL-LBPRGKRZSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CCC[C@@H]([C@@]2(C)COC(=O)N2)C1 ZINC000932028817 613845240 /nfs/dbraw/zinc/84/52/40/613845240.db2.gz HZSSMWZDKNJJGB-BXUZGUMPSA-N 0 1 281.356 0.499 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H]([C@@]2(C)COC(=O)N2)C1 ZINC000932028817 613845242 /nfs/dbraw/zinc/84/52/42/613845242.db2.gz HZSSMWZDKNJJGB-BXUZGUMPSA-N 0 1 281.356 0.499 20 30 CCEDMN C=CCNC(=O)CN[C@@]1(c2ccccc2)C[C@@H](O)C1 ZINC000880225878 613847222 /nfs/dbraw/zinc/84/72/22/613847222.db2.gz ZQCFPBKYIDVFMR-OTVXOJSOSA-N 0 1 260.337 0.928 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@@H](C)[C@H](CC(=O)OC)C1 ZINC000932110162 613853395 /nfs/dbraw/zinc/85/33/95/613853395.db2.gz BEZOIJFBUJPDSF-IJLUTSLNSA-N 0 1 268.357 0.808 20 30 CCEDMN C=CCNC(=O)C(C#N)C(=O)CC1CCOCC1 ZINC000117532327 613854481 /nfs/dbraw/zinc/85/44/81/613854481.db2.gz QOGHRSRTUFKKCP-LLVKDONJSA-N 0 1 250.298 0.814 20 30 CCEDMN C=CCNc1ncc(C(=O)NCC[N@@H+](C)CCO)s1 ZINC000899735259 613876809 /nfs/dbraw/zinc/87/68/09/613876809.db2.gz ZHDAHASYKYPCBG-UHFFFAOYSA-N 0 1 284.385 0.395 20 30 CCEDMN C=CCONC(=O)[C@@H]1CC(=O)N(C)[C@H]1c1cccnc1 ZINC000837046387 613884420 /nfs/dbraw/zinc/88/44/20/613884420.db2.gz HROFJSGWQRDACI-YPMHNXCESA-N 0 1 275.308 0.835 20 30 CCEDMN C=CCONC(=O)[C@@H]1CC(=O)N(C)[C@@H]1c1cccnc1 ZINC000837046382 613884460 /nfs/dbraw/zinc/88/44/60/613884460.db2.gz HROFJSGWQRDACI-DGCLKSJQSA-N 0 1 275.308 0.835 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@@H]1[C@H](C(=O)OC)C1(F)F ZINC000894436607 613885719 /nfs/dbraw/zinc/88/57/19/613885719.db2.gz IUCXXKXBNWVBFV-RKDXNWHRSA-N 0 1 297.323 0.231 20 30 CCEDMN CC(C)(C)OC(=O)Cn1cnc(-c2nn[nH]n2)c1C#N ZINC000824098857 613970258 /nfs/dbraw/zinc/97/02/58/613970258.db2.gz CARZOABANSRHPE-UHFFFAOYSA-N 0 1 275.272 0.277 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CC[C@@H](NCC#N)C1 ZINC000969086352 614089754 /nfs/dbraw/zinc/08/97/54/614089754.db2.gz JYTKDSLXOVLHRK-CYBMUJFWSA-N 0 1 294.399 0.059 20 30 CCEDMN CC(=O)N[C@H](C(=O)NCC#CCN(C)C)C(C)(C)C ZINC000913523323 614092542 /nfs/dbraw/zinc/09/25/42/614092542.db2.gz VNFZRNPLDXKWTR-GFCCVEGCSA-N 0 1 267.373 0.218 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1C[C@@H]2CC[C@H](O)[C@@H]2C1 ZINC000125455000 614180163 /nfs/dbraw/zinc/18/01/63/614180163.db2.gz XZQGYJDMFIOKIY-SFDCQRBFSA-N 0 1 279.384 0.744 20 30 CCEDMN CC(=O)Nc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)ccc1C ZINC000834521938 614198019 /nfs/dbraw/zinc/19/80/19/614198019.db2.gz ZKEBLDNUHUEWRB-GXTWGEPZSA-N 0 1 286.335 0.795 20 30 CCEDMN CC(C)Cc1ncc(NC(=O)N[C@H]2CNC[C@@H]2C#N)cn1 ZINC000841223638 614233992 /nfs/dbraw/zinc/23/39/92/614233992.db2.gz GXOVBJVSSYTMGI-JQWIXIFHSA-N 0 1 288.355 0.908 20 30 CCEDMN CC(C)[C@H](NCC(F)(F)F)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000852828646 614249396 /nfs/dbraw/zinc/24/93/96/614249396.db2.gz ZXMHZFBKFHRZMM-GUBZILKMSA-N 0 1 292.305 0.391 20 30 CCEDMN CCC(C#N)(CC)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000859278276 614470168 /nfs/dbraw/zinc/47/01/68/614470168.db2.gz OGYJGSAFGQRHIR-SECBINFHSA-N 0 1 278.316 0.430 20 30 CCEDMN CCCCn1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1C ZINC000834480079 614494463 /nfs/dbraw/zinc/49/44/63/614494463.db2.gz KWBOYTPIDGDVHY-DGCLKSJQSA-N 0 1 275.356 0.833 20 30 CCEDMN CCCn1nc(C)c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1C ZINC000843460702 614543107 /nfs/dbraw/zinc/54/31/07/614543107.db2.gz QLCIJVCDGPCWHE-VXGBXAGGSA-N 0 1 275.356 0.751 20 30 CCEDMN CC1(C)CNC(=O)[C@H]1NCc1cnc2ccc(C#N)cn12 ZINC000895317519 614698459 /nfs/dbraw/zinc/69/84/59/614698459.db2.gz XKZSQHFLDYEVSB-CYBMUJFWSA-N 0 1 283.335 0.820 20 30 CCEDMN CC1(C)CO[C@H](CC(=O)N[C@@H]2CNC[C@H]2C#N)C1 ZINC000884249447 614732975 /nfs/dbraw/zinc/73/29/75/614732975.db2.gz LZYAKMFWHHCGAT-GMTAPVOTSA-N 0 1 251.330 0.419 20 30 CCEDMN CCN(CC(=O)N(CC#N)CCC(=O)OC)C1CC1 ZINC000871342414 614926210 /nfs/dbraw/zinc/92/62/10/614926210.db2.gz NBVUINOQLHJPCR-UHFFFAOYSA-N 0 1 267.329 0.386 20 30 CCEDMN Cc1[nH][nH]c(=O)c1Sc1nc(C)nc(C)c1C#N ZINC000916563487 614973689 /nfs/dbraw/zinc/97/36/89/614973689.db2.gz YBPLLPYYTHPQKI-VIFPVBQESA-N 0 1 261.310 0.932 20 30 CCEDMN CC1=NO[C@@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000826692807 614978451 /nfs/dbraw/zinc/97/84/51/614978451.db2.gz JPEOIZYSEZZCQK-SNVBAGLBSA-N 0 1 250.302 0.255 20 30 CCEDMN CC1CC(C#N)(C(=O)NC[C@@H]2C[N@H+]3CCC[C@@H]3CO2)C1 ZINC000124142525 615010950 /nfs/dbraw/zinc/01/09/50/615010950.db2.gz BPYUMXNWUCODOP-DNCHLWJUSA-N 0 1 277.368 0.906 20 30 CCEDMN CCN(CCC(=O)NC)CC(=O)N(CC)CCC#N ZINC000933866322 615030511 /nfs/dbraw/zinc/03/05/11/615030511.db2.gz OOHFGEIMIYQZJQ-UHFFFAOYSA-N 0 1 268.361 0.207 20 30 CCEDMN CCN(CCO)N=Cc1ccnn1-c1ccncc1 ZINC000853367217 615055419 /nfs/dbraw/zinc/05/54/19/615055419.db2.gz YUAODMREPBNEIQ-UHFFFAOYSA-N 0 1 259.313 0.915 20 30 CCEDMN CCNC(=O)NC(=O)C[N@@H+](C)CCc1cccc(C#N)c1 ZINC000879435725 615056847 /nfs/dbraw/zinc/05/68/47/615056847.db2.gz RETSLRZGRMDUQC-UHFFFAOYSA-N 0 1 288.351 0.878 20 30 CCEDMN CCNC(=O)NC(=O)CN(C)CCc1cccc(C#N)c1 ZINC000879435725 615056848 /nfs/dbraw/zinc/05/68/48/615056848.db2.gz RETSLRZGRMDUQC-UHFFFAOYSA-N 0 1 288.351 0.878 20 30 CCEDMN CCN1CC(N2CCN(C(=O)C#CC3CC3)CC2)C1 ZINC000941401161 615086187 /nfs/dbraw/zinc/08/61/87/615086187.db2.gz VODFAUIKXRJSAW-UHFFFAOYSA-N 0 1 261.369 0.248 20 30 CCEDMN CCN1CCCN(C(=O)[C@@]2(C#N)CCCOC2)CC1 ZINC000865619073 615119819 /nfs/dbraw/zinc/11/98/19/615119819.db2.gz AGROXKBFHXMJIX-CQSZACIVSA-N 0 1 265.357 0.861 20 30 CCEDMN CC[N@H+]1CCC[C@@H]1CN(C)C(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820348544 615130807 /nfs/dbraw/zinc/13/08/07/615130807.db2.gz YDYQQBTXSRHUNE-BXUZGUMPSA-N 0 1 281.356 0.934 20 30 CCEDMN CCOC(=O)N1CCC(C(=O)N[C@H]2CNC[C@@H]2C#N)CC1 ZINC000834504696 615224906 /nfs/dbraw/zinc/22/49/06/615224906.db2.gz DMZPXYPJPRSRBJ-RYUDHWBXSA-N 0 1 294.355 0.083 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)C#Cc1ccc2c(c1)OCO2 ZINC000893874024 615239658 /nfs/dbraw/zinc/23/96/58/615239658.db2.gz UBJJISJQJYALLH-CYBMUJFWSA-N 0 1 286.331 0.977 20 30 CCEDMN CCN1CC[C@@H]1CNc1cccc(S(N)(=O)=O)c1C#N ZINC000882959581 615260269 /nfs/dbraw/zinc/26/02/69/615260269.db2.gz KASBRCXPELNCTF-SNVBAGLBSA-N 0 1 294.380 0.712 20 30 CCEDMN CCOC(=O)[C@@H]1[C@H](C)C[C@H](C)N(CC(=O)NCC#N)[C@H]1C ZINC000934161551 615388701 /nfs/dbraw/zinc/38/87/01/615388701.db2.gz DMFQHNJMUNNENX-OWTLIXCDSA-N 0 1 295.383 0.924 20 30 CCEDMN CCO[C@H](CNC(=O)c1cc([O-])cc(C#N)c1)C[NH+](C)C ZINC000831731739 615483167 /nfs/dbraw/zinc/48/31/67/615483167.db2.gz SOQVLJAUGLNUNW-CQSZACIVSA-N 0 1 291.351 0.960 20 30 CCEDMN CCOc1ccccc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834512292 615518036 /nfs/dbraw/zinc/51/80/36/615518036.db2.gz UOJAXXIYXXTFSB-JQWIXIFHSA-N 0 1 259.309 0.927 20 30 CCEDMN CC[C@H](C#N)C(=O)N[C@@H](c1nn[nH]n1)c1ccccc1 ZINC000846632219 615599903 /nfs/dbraw/zinc/59/99/03/615599903.db2.gz KHQNZIIJQDGQEA-MWLCHTKSSA-N 0 1 270.296 0.955 20 30 CCEDMN CCc1c(C(=O)N[C@H]2CNC[C@H]2C#N)cnn1CC(C)C ZINC000834480692 615606921 /nfs/dbraw/zinc/60/69/21/615606921.db2.gz UWTHOXPZTKWDOJ-YPMHNXCESA-N 0 1 289.383 0.943 20 30 CCEDMN CCc1cc(CNS(=O)(=O)N(C)[C@@H](C)CC#N)n[nH]1 ZINC000800914218 615650856 /nfs/dbraw/zinc/65/08/56/615650856.db2.gz UADPYQMFUIFOFN-VIFPVBQESA-N 0 1 285.373 0.540 20 30 CCEDMN CCc1cc(CNS(=O)(=O)c2ccc(C#N)o2)n[nH]1 ZINC000805010120 615650918 /nfs/dbraw/zinc/65/09/18/615650918.db2.gz UWMYYACIYCCGAU-UHFFFAOYSA-N 0 1 280.309 0.915 20 30 CCEDMN CCn1nc(C)c(CNC[C@@H](O)CC2(C#N)CC2)n1 ZINC000863556092 615664344 /nfs/dbraw/zinc/66/43/44/615664344.db2.gz AXUXSZFWENXRCU-NSHDSACASA-N 0 1 263.345 0.751 20 30 CCEDMN CCc1ccccc1OCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834511519 615688602 /nfs/dbraw/zinc/68/86/02/615688602.db2.gz LXEURLJMDXZQKO-CHWSQXEVSA-N 0 1 273.336 0.856 20 30 CCEDMN CCc1ncsc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834483840 615791507 /nfs/dbraw/zinc/79/15/07/615791507.db2.gz AGZHTAMZUOXRKR-APPZFPTMSA-N 0 1 250.327 0.547 20 30 CCEDMN CN(C)C(=O)c1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834493154 615834692 /nfs/dbraw/zinc/83/46/92/615834692.db2.gz BBXNSTQVYRULNW-STQMWFEESA-N 0 1 286.335 0.230 20 30 CCEDMN CN(C)CC#CCNC(=O)CC1CCC2(CC1)OCCO2 ZINC000913521167 615857938 /nfs/dbraw/zinc/85/79/38/615857938.db2.gz NHJIIRYYTLHLLN-UHFFFAOYSA-N 0 1 294.395 0.991 20 30 CCEDMN CN(C)CC#CCNC(=O)CNC(=O)c1ccsc1 ZINC000913520930 615858226 /nfs/dbraw/zinc/85/82/26/615858226.db2.gz DQNIBJCQQIYCNS-UHFFFAOYSA-N 0 1 279.365 0.159 20 30 CCEDMN CN(C)CC#CCNC(=O)COc1ccccc1F ZINC000913514521 615858302 /nfs/dbraw/zinc/85/83/02/615858302.db2.gz SNMLTFDXEFEPOG-UHFFFAOYSA-N 0 1 264.300 0.886 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cccnc1-n1cncn1 ZINC000930069182 615858787 /nfs/dbraw/zinc/85/87/87/615858787.db2.gz OQLBFWIJAWZGNB-UHFFFAOYSA-N 0 1 299.338 0.349 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccnc(OCCO)c1 ZINC000931967723 615859090 /nfs/dbraw/zinc/85/90/90/615859090.db2.gz JRSVBBUQIUSVQK-UHFFFAOYSA-N 0 1 292.339 0.139 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCCN1c1ncccn1 ZINC000913516491 615859415 /nfs/dbraw/zinc/85/94/15/615859415.db2.gz SBNZHSJIDSQQQZ-ZDUSSCGKSA-N 0 1 287.367 0.127 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c2c(ccc1F)OCO2 ZINC000827971681 615860079 /nfs/dbraw/zinc/86/00/79/615860079.db2.gz DWDCPVGLJODJFN-UHFFFAOYSA-N 0 1 278.283 0.849 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc2ncccn2n1 ZINC000913522293 615860700 /nfs/dbraw/zinc/86/07/00/615860700.db2.gz CRHWMOUHHBXEOL-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(C(N)=S)cc1 ZINC000913516757 615860760 /nfs/dbraw/zinc/86/07/60/615860760.db2.gz DSUPOFFSXZFBLA-UHFFFAOYSA-N 0 1 275.377 0.616 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2[nH]c(=O)oc2c1 ZINC000913517894 615861540 /nfs/dbraw/zinc/86/15/40/615861540.db2.gz ULZSRUNSXBAWBQ-UHFFFAOYSA-N 0 1 273.292 0.828 20 30 CCEDMN C[NH+](C)CC#CCNC(=O)c1nc2ccccc2c(=O)[n-]1 ZINC000905152003 615861835 /nfs/dbraw/zinc/86/18/35/615861835.db2.gz AULGBWNDIKTVGR-UHFFFAOYSA-N 0 1 284.319 0.218 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn2ccccc12 ZINC000913518751 615862097 /nfs/dbraw/zinc/86/20/97/615862097.db2.gz ZLJDSJUXSATHKV-UHFFFAOYSA-N 0 1 256.309 0.629 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(C)c1C(F)F ZINC000913518394 615862108 /nfs/dbraw/zinc/86/21/08/615862108.db2.gz NJEJVSRPBLAKAT-UHFFFAOYSA-N 0 1 270.283 0.653 20 30 CCEDMN CN(C(=O)c1ccc(C#N)[nH]1)C1CN(C(=O)c2ccn[nH]2)C1 ZINC000954079956 615900849 /nfs/dbraw/zinc/90/08/49/615900849.db2.gz OCXMEICJEBLKFX-UHFFFAOYSA-N 0 1 298.306 0.206 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1ccc(CCC#N)cc1 ZINC000884872150 615904475 /nfs/dbraw/zinc/90/44/75/615904475.db2.gz HTYHQQRUECQTNP-UHFFFAOYSA-N 0 1 281.381 0.983 20 30 CCEDMN CCn1cc(C=NNCCN2CCCCC2)nn1 ZINC000901169664 615963027 /nfs/dbraw/zinc/96/30/27/615963027.db2.gz IZRHHPDVJGFVBI-UHFFFAOYSA-N 0 1 250.350 0.707 20 30 CCEDMN CN(C)c1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834512461 616020709 /nfs/dbraw/zinc/02/07/09/616020709.db2.gz XJGJRWAFGIWSIL-WCQYABFASA-N 0 1 258.325 0.594 20 30 CCEDMN CN(C)c1ncc(C=NNCC(C)(C)CO)cn1 ZINC000814922316 616035574 /nfs/dbraw/zinc/03/55/74/616035574.db2.gz CPEUNOIOHOYGMP-UHFFFAOYSA-N 0 1 251.334 0.485 20 30 CCEDMN C[N@@H+](CC(=O)N[C@@]1(C#N)CCSC1)C1CCC1 ZINC000863858383 616049049 /nfs/dbraw/zinc/04/90/49/616049049.db2.gz XLMAHCAUIRZWPN-GFCCVEGCSA-N 0 1 253.371 0.986 20 30 CCEDMN CN(CC(=O)N[C@@]1(C#N)CCSC1)C1CCC1 ZINC000863858383 616049050 /nfs/dbraw/zinc/04/90/50/616049050.db2.gz XLMAHCAUIRZWPN-GFCCVEGCSA-N 0 1 253.371 0.986 20 30 CCEDMN CN(CCC#N)c1ccc(C=NNC2=NCCN2)cc1 ZINC000841660186 616068083 /nfs/dbraw/zinc/06/80/83/616068083.db2.gz DRRHJJFIOFATCK-UHFFFAOYSA-N 0 1 270.340 0.919 20 30 CCEDMN CN(C[C@H](O)CC#N)C[C@@H](O)c1cccc(C#N)c1 ZINC000930846227 616133166 /nfs/dbraw/zinc/13/31/66/616133166.db2.gz XXMGGBBRUJBLDS-ZIAGYGMSSA-N 0 1 259.309 0.798 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC000939749702 616211862 /nfs/dbraw/zinc/21/18/62/616211862.db2.gz GSEFPMOLYYTTQU-KGLIPLIRSA-N 0 1 289.379 0.211 20 30 CCEDMN CNc1snc(C)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834499430 616279618 /nfs/dbraw/zinc/27/96/18/616279618.db2.gz IIDQAYLEVJRGIA-YUMQZZPRSA-N 0 1 265.342 0.335 20 30 CCEDMN COCCN1CC[C@H](C)[C@@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000965851448 616407503 /nfs/dbraw/zinc/40/75/03/616407503.db2.gz KORPXNMNTXZKKI-FZMZJTMJSA-N 0 1 290.367 0.973 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N1CCC[C@H](CC#N)C1 ZINC000912293306 616470278 /nfs/dbraw/zinc/47/02/78/616470278.db2.gz ZMMGTKCFSHBMGC-BZPMIXESSA-N 0 1 265.357 0.594 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N1CC[C@](C)(C#N)C1 ZINC000912706932 616471613 /nfs/dbraw/zinc/47/16/13/616471613.db2.gz DQUXCTXUBWTJEA-RTXFEEFZSA-N 0 1 251.330 0.204 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCC(C)(C)CC#N ZINC000909881813 616496766 /nfs/dbraw/zinc/49/67/66/616496766.db2.gz GACYENYBSZYQEH-ZWNOBZJWSA-N 0 1 253.346 0.498 20 30 CCEDMN COC(=O)[C@@H]1OCC[C@@H]1NCc1cncc(C#N)c1 ZINC000930965299 616498210 /nfs/dbraw/zinc/49/82/10/616498210.db2.gz SLBFXNMXZRXHCB-NWDGAFQWSA-N 0 1 261.281 0.373 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000908563591 616502858 /nfs/dbraw/zinc/50/28/58/616502858.db2.gz NMRPIKFKNIDNMZ-CIQGVGRVSA-N 0 1 265.357 0.640 20 30 CCEDMN COCC#CCN(C)Cc1cccc(-c2nn[nH]n2)c1 ZINC000826146796 616527589 /nfs/dbraw/zinc/52/75/89/616527589.db2.gz DEZOXCLRCHBVIQ-UHFFFAOYSA-N 0 1 271.324 0.948 20 30 CCEDMN COCC#CCN1CCC([C@H](O)c2ccnn2C)CC1 ZINC000856799848 616527795 /nfs/dbraw/zinc/52/77/95/616527795.db2.gz UOTUUXSSYTZSOC-HNNXBMFYSA-N 0 1 277.368 0.815 20 30 CCEDMN COC(=O)[C@@](C)(Cn1cccn1)NC(=O)C(C)C#N ZINC000890954770 616535018 /nfs/dbraw/zinc/53/50/18/616535018.db2.gz PGDSTVJSUQXZIP-JOYOIKCWSA-N 0 1 264.285 0.091 20 30 CCEDMN COC(=O)CCN(CC(=O)OC(C)(C)C)C(=O)[C@H](C)C#N ZINC000882884515 616550475 /nfs/dbraw/zinc/55/04/75/616550475.db2.gz MNPSALZTTPJBCK-SNVBAGLBSA-N 0 1 298.339 0.879 20 30 CCEDMN COC(=O)CCN(CC(=O)OC(C)(C)C)C(=O)[C@@H](C)C#N ZINC000882884514 616550515 /nfs/dbraw/zinc/55/05/15/616550515.db2.gz MNPSALZTTPJBCK-JTQLQIEISA-N 0 1 298.339 0.879 20 30 CCEDMN COCCOc1ccccc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834493107 616596777 /nfs/dbraw/zinc/59/67/77/616596777.db2.gz AOJKDJLJSHIMLI-AAEUAGOBSA-N 0 1 289.335 0.553 20 30 CCEDMN COC[C@H](C)OC[C@@H](O)CNCc1ccc(C#N)cn1 ZINC000819310520 616624279 /nfs/dbraw/zinc/62/42/79/616624279.db2.gz BSVTVASMFJMPNA-FZMZJTMJSA-N 0 1 279.340 0.455 20 30 CCEDMN CNC(=O)CN1C[C@]2(C)CN(C(=O)[C@@H](C)C#N)C[C@]2(C)C1 ZINC000982237145 616633240 /nfs/dbraw/zinc/63/32/40/616633240.db2.gz WXFLGCOUDQXNHB-TUKIKUTGSA-N 0 1 292.383 0.062 20 30 CCEDMN COCCc1cc(NC(=O)NCC#CCN(C)C)n(C)n1 ZINC000930435020 616696764 /nfs/dbraw/zinc/69/67/64/616696764.db2.gz HIRQOUQOQGAJLA-UHFFFAOYSA-N 0 1 293.371 0.296 20 30 CCEDMN COC[C@H](O)CNCc1cc(C#N)cnc1Cl ZINC000877504858 616743461 /nfs/dbraw/zinc/74/34/61/616743461.db2.gz MDIADGYHLMUNJL-SNVBAGLBSA-N 0 1 255.705 0.704 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCCC2(CN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000981669515 616782984 /nfs/dbraw/zinc/78/29/84/616782984.db2.gz OCKLPPMHJYTWIW-NWDGAFQWSA-N 0 1 292.383 0.205 20 30 CCEDMN COC(=O)c1cc(CNCCn2cnc(C#N)n2)cs1 ZINC000894750627 616854580 /nfs/dbraw/zinc/85/45/80/616854580.db2.gz XGVXIVRNHLQLIJ-UHFFFAOYSA-N 0 1 291.336 0.788 20 30 CCEDMN COC(=O)c1ccc(C=NNc2nnc(C)n2C)nc1 ZINC000901265462 616891190 /nfs/dbraw/zinc/89/11/90/616891190.db2.gz YYFAAPAIMZVUTD-UHFFFAOYSA-N 0 1 274.284 0.751 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@H](C)CO1 ZINC000892462137 616900981 /nfs/dbraw/zinc/90/09/81/616900981.db2.gz OLNUHKARHIVZQE-AXFHLTTASA-N 0 1 268.313 0.273 20 30 CCEDMN COC(=O)c1ccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000841040216 616914133 /nfs/dbraw/zinc/91/41/33/616914133.db2.gz IRQWCJDHMJOOJM-ZYHUDNBSSA-N 0 1 288.307 0.706 20 30 CCEDMN COCc1cncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000852828378 616914769 /nfs/dbraw/zinc/91/47/69/616914769.db2.gz WXDDLEULGDRQHV-NWDGAFQWSA-N 0 1 260.297 0.069 20 30 CCEDMN COc1c(F)ccc(F)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834480991 617146981 /nfs/dbraw/zinc/14/69/81/617146981.db2.gz YRYXOMMPOCSDGK-XVKPBYJWSA-N 0 1 281.262 0.815 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@H](CC)C1 ZINC001026136680 625341034 /nfs/dbraw/zinc/34/10/34/625341034.db2.gz JLHKEFFBCNQVBS-ZSAUSMIDSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001008171963 625416303 /nfs/dbraw/zinc/41/63/03/625416303.db2.gz UKACELZOVZCQAC-ZDUSSCGKSA-N 0 1 298.350 0.342 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001008171963 625416304 /nfs/dbraw/zinc/41/63/04/625416304.db2.gz UKACELZOVZCQAC-ZDUSSCGKSA-N 0 1 298.350 0.342 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NC[C@H](C)[N@@H+](C)C1CC1 ZINC000220017434 625444464 /nfs/dbraw/zinc/44/44/64/625444464.db2.gz MQRDZMQIVREJCG-JTQLQIEISA-N 0 1 269.345 0.751 20 30 CCEDMN C=CC[C@H]1CCN1CC(=O)N(C)CC(=O)OCC ZINC000934469508 622712690 /nfs/dbraw/zinc/71/26/90/622712690.db2.gz UWDZGFVTQHEWLN-NSHDSACASA-N 0 1 254.330 0.658 20 30 CCEDMN NC(=[NH2+])NN=Cc1ccc([O-])c(Br)c1 ZINC000003765020 622975757 /nfs/dbraw/zinc/97/57/57/622975757.db2.gz QZIJFEZMYWBIIS-UHFFFAOYSA-N 0 1 257.091 0.972 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001024309909 623281216 /nfs/dbraw/zinc/28/12/16/623281216.db2.gz ARLWSKSKRQSDBR-NSHDSACASA-N 0 1 276.340 0.953 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1COC(=O)N1 ZINC001024422379 623319098 /nfs/dbraw/zinc/31/90/98/623319098.db2.gz OKIGSJBUVIZKKD-MNOVXSKESA-N 0 1 267.329 0.252 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001024467144 623331242 /nfs/dbraw/zinc/33/12/42/623331242.db2.gz BYPLLAHTRWXHQE-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001024467147 623331603 /nfs/dbraw/zinc/33/16/03/623331603.db2.gz BYPLLAHTRWXHQE-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001024497633 623339184 /nfs/dbraw/zinc/33/91/84/623339184.db2.gz NOEHFCCVTAWPEN-QWHCGFSZSA-N 0 1 279.384 0.812 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)CC1 ZINC001024580075 623376103 /nfs/dbraw/zinc/37/61/03/623376103.db2.gz QMTPZZBADIWMMR-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001024603402 623392045 /nfs/dbraw/zinc/39/20/45/623392045.db2.gz DGSYCRXOPOUBGU-BYNSBNAKSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)c1cn(C)c(=O)[nH]1 ZINC001024633294 623404517 /nfs/dbraw/zinc/40/45/17/623404517.db2.gz HUSKQMDDUOUGJC-LBPRGKRZSA-N 0 1 290.367 0.733 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cnon1 ZINC001024722974 623424763 /nfs/dbraw/zinc/42/47/63/623424763.db2.gz KHYHZCLHAGRVOD-JTQLQIEISA-N 0 1 250.302 0.840 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCC(=O)N2)C1 ZINC001006919687 623489729 /nfs/dbraw/zinc/48/97/29/623489729.db2.gz LVGISHSRQIJIHK-GHMZBOCLSA-N 0 1 251.330 0.032 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]([C@H](C)NC(=O)c2ncc[nH]2)C1 ZINC001025416897 623772078 /nfs/dbraw/zinc/77/20/78/623772078.db2.gz LWMNTTRGHKOZBX-RYUDHWBXSA-N 0 1 260.341 0.873 20 30 CCEDMN CC(=NNC(=N)N)c1ccc(S(=O)(=O)N(C)C)cc1 ZINC000311843242 623805809 /nfs/dbraw/zinc/80/58/09/623805809.db2.gz MXZTZRLTKODYIU-UHFFFAOYSA-N 0 1 283.357 0.144 20 30 CCEDMN C[C@@H](NC(=O)C1=NC(=O)N(C)C1)[C@H]1CCCN(CC#N)C1 ZINC001025525481 623822491 /nfs/dbraw/zinc/82/24/91/623822491.db2.gz OPMATTDWUPFINR-MNOVXSKESA-N 0 1 291.355 0.480 20 30 CCEDMN C[C@]1(NC(=O)CSCC#N)CN2CCC1CC2 ZINC000892787976 623838790 /nfs/dbraw/zinc/83/87/90/623838790.db2.gz DXRKCIVLHFRBMN-LBPRGKRZSA-N 0 1 253.371 0.844 20 30 CCEDMN C[C@@]1(NC(=O)CSCC#N)CN2CCC1CC2 ZINC000892787975 623839000 /nfs/dbraw/zinc/83/90/00/623839000.db2.gz DXRKCIVLHFRBMN-GFCCVEGCSA-N 0 1 253.371 0.844 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@H]1CCC[C@@H](C(=O)[O-])C1 ZINC000328231540 623870744 /nfs/dbraw/zinc/87/07/44/623870744.db2.gz DGWXGUXMPLPFCI-QWHCGFSZSA-N 0 1 280.368 0.949 20 30 CCEDMN C[C@H](C#N)CNS(=O)(=O)N=S1(=O)CCCC1 ZINC000866895141 625691429 /nfs/dbraw/zinc/69/14/29/625691429.db2.gz OVKBNZNYJMAZPX-MRVPVSSYSA-N 0 1 265.360 0.242 20 30 CCEDMN C[C@H](NC(=O)[C@H]1CCCc2[nH]cnc21)C1CN(CC#N)C1 ZINC000970407713 624684084 /nfs/dbraw/zinc/68/40/84/624684084.db2.gz NTXJRMCIFRIWAW-JQWIXIFHSA-N 0 1 287.367 0.790 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](N(C)Cc2cnn(C)c2)C1 ZINC000971219161 624828720 /nfs/dbraw/zinc/82/87/20/624828720.db2.gz WZLIDBSNSFUSDO-WCQYABFASA-N 0 1 275.356 0.612 20 30 CCEDMN Cn1cc(CN[C@H]2C[C@@H](NC(=O)c3ccc(C#N)[nH]3)C2)nn1 ZINC001021981121 624858429 /nfs/dbraw/zinc/85/84/29/624858429.db2.gz MUZIDKDMYUOKIJ-PHIMTYICSA-N 0 1 299.338 0.065 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@H]1C[C@@H](NCC#N)C1 ZINC001022290202 624911400 /nfs/dbraw/zinc/91/14/00/624911400.db2.gz IFTRFBWOLJLJMU-TUAOUCFPSA-N 0 1 250.346 0.231 20 30 CCEDMN C[C@@H](NCCOCCS(C)(=O)=O)c1cc(C#N)ccn1 ZINC000902872897 634478227 /nfs/dbraw/zinc/47/82/27/634478227.db2.gz QNQHWVXBYUASAP-LLVKDONJSA-N 0 1 297.380 0.665 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2[C@H]3CN(CCF)C[C@H]32)c[nH]1 ZINC000978027018 630775438 /nfs/dbraw/zinc/77/54/38/630775438.db2.gz FDGLQIWNXIDNCX-XYYAHUGASA-N 0 1 276.315 0.763 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)c3[nH]nc4c3CCCC4)[C@@H]2C1 ZINC000978399050 630806793 /nfs/dbraw/zinc/80/67/93/630806793.db2.gz KLASSLWLABXTIR-XYYAHUGASA-N 0 1 299.378 0.720 20 30 CCEDMN C[C@H]1CCN(CC#N)C[C@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC000967154061 649991198 /nfs/dbraw/zinc/99/11/98/649991198.db2.gz HGQLYNBZQQTJGP-GXFFZTMASA-N 0 1 287.367 0.862 20 30 CCEDMN Cc1cc(C#N)nc(N2CCN(CCO)C[C@H]2C)c1 ZINC000894131583 631548521 /nfs/dbraw/zinc/54/85/21/631548521.db2.gz UKKLXGZHABHHGK-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)NCCN2CCCOCC2)CCO1 ZINC000895046476 631898263 /nfs/dbraw/zinc/89/82/63/631898263.db2.gz JQOAVHYUVJIJSW-CQSZACIVSA-N 0 1 296.371 0.033 20 30 CCEDMN CSC1(CN2CCN(C(=O)CC#N)CC2)CC1 ZINC000895065639 631914915 /nfs/dbraw/zinc/91/49/15/631914915.db2.gz FMZHMAYMTZBWHE-UHFFFAOYSA-N 0 1 253.371 0.940 20 30 CCEDMN O=C1[C@@H](NCc2ccc3c(c2)OCCO3)CCCN1O ZINC000895167477 632002324 /nfs/dbraw/zinc/00/23/24/632002324.db2.gz ZOSISBUNRGEGRI-NSHDSACASA-N 0 1 278.308 0.928 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2nnn(C)c2C)C1 ZINC001006999394 632326107 /nfs/dbraw/zinc/32/61/07/632326107.db2.gz XUANPYHFCSXODF-GFCCVEGCSA-N 0 1 275.356 0.341 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CN(C)CCO2)C1 ZINC001006995213 650060813 /nfs/dbraw/zinc/06/08/13/650060813.db2.gz DCVJCZBLYWZJNC-QWHCGFSZSA-N 0 1 267.373 0.084 20 30 CCEDMN C=C(Cl)CN(C)C1CCN(C(=O)CC(N)=O)CC1 ZINC000985343002 632441772 /nfs/dbraw/zinc/44/17/72/632441772.db2.gz XTLHEBOQKSEDSE-UHFFFAOYSA-N 0 1 273.764 0.537 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CC2OCCCO2)C1 ZINC001007204588 650070833 /nfs/dbraw/zinc/07/08/33/650070833.db2.gz LQBLBMDYGXDAPF-CYBMUJFWSA-N 0 1 280.368 0.743 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001007464838 650079401 /nfs/dbraw/zinc/07/94/01/650079401.db2.gz QAUDMPJVUCISMC-NSHDSACASA-N 0 1 298.350 0.570 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CS(C)(=O)=O)[C@H]1C ZINC000986044894 632698031 /nfs/dbraw/zinc/69/80/31/632698031.db2.gz VCGZFQYULRNBGB-UWVGGRQHSA-N 0 1 294.804 0.363 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2ccc3nnnn3c2)C1 ZINC001007917886 650097308 /nfs/dbraw/zinc/09/73/08/650097308.db2.gz GDRVHHGFQZQGCU-CYBMUJFWSA-N 0 1 298.350 0.342 20 30 CCEDMN COc1nccc(CNCCNc2ccc(C#N)cn2)n1 ZINC000897397165 632779511 /nfs/dbraw/zinc/77/95/11/632779511.db2.gz WEJUCQXGEMIBEA-UHFFFAOYSA-N 0 1 284.323 0.954 20 30 CCEDMN C=C(C)C[N@H+]1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001007801099 650094641 /nfs/dbraw/zinc/09/46/41/650094641.db2.gz NUQAXWDOGPXBQW-VIFPVBQESA-N 0 1 293.327 0.053 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001007862377 650095592 /nfs/dbraw/zinc/09/55/92/650095592.db2.gz IUWLERYHXLBXFH-QWHCGFSZSA-N 0 1 279.384 0.812 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2nc[nH]n2)[C@@H]1C ZINC000987186921 632840017 /nfs/dbraw/zinc/84/00/17/632840017.db2.gz CXFQYRIWGOIWIU-BDAKNGLRSA-N 0 1 269.736 0.750 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001008244624 650106914 /nfs/dbraw/zinc/10/69/14/650106914.db2.gz AOLQIHFFDSVAOC-RYUDHWBXSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CCn2cncn2)C1 ZINC000988147709 632953531 /nfs/dbraw/zinc/95/35/31/632953531.db2.gz RTLOPSAOTDHFSE-NWDGAFQWSA-N 0 1 297.790 1.000 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001008157745 650103215 /nfs/dbraw/zinc/10/32/15/650103215.db2.gz LLOXUGVLIIVUHU-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001008205885 650105761 /nfs/dbraw/zinc/10/57/61/650105761.db2.gz XHYIAWFSMGGWQU-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000841001745 633016026 /nfs/dbraw/zinc/01/60/26/633016026.db2.gz UFEMJZORNKVXSA-LDYMZIIASA-N 0 1 275.268 0.828 20 30 CCEDMN C#CC[NH2+][C@@H]1C[C@@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC000988685154 633082806 /nfs/dbraw/zinc/08/28/06/633082806.db2.gz OUUHLWUDODDMHE-GHMZBOCLSA-N 0 1 259.309 0.613 20 30 CCEDMN N#CC1(CNC(=O)C2CN([C@@H]3CCOC3)C2)CCCC1 ZINC000898269649 633292888 /nfs/dbraw/zinc/29/28/88/633292888.db2.gz KHZADLWDUBZTHI-CYBMUJFWSA-N 0 1 277.368 0.907 20 30 CCEDMN C#CC[N@H+]1CC[C@]2(NC(=O)[C@@H]3COCCO3)CCC[C@H]12 ZINC000989964287 633392656 /nfs/dbraw/zinc/39/26/56/633392656.db2.gz YIKXWSHYTXWPSQ-KCQAQPDRSA-N 0 1 278.352 0.148 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@H]3COCCO3)CCC[C@H]12 ZINC000989964287 633392660 /nfs/dbraw/zinc/39/26/60/633392660.db2.gz YIKXWSHYTXWPSQ-KCQAQPDRSA-N 0 1 278.352 0.148 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2n[nH]cc2F)C1 ZINC001008447817 650115471 /nfs/dbraw/zinc/11/54/71/650115471.db2.gz HYLOKAWXIZEFST-SNVBAGLBSA-N 0 1 264.304 0.766 20 30 CCEDMN CC1CCN(CC(=O)N[C@H]2CCCN(CC#N)C2)CC1 ZINC001008942913 650133769 /nfs/dbraw/zinc/13/37/69/650133769.db2.gz GOGWXTXXTQJREB-AWEZNQCLSA-N 0 1 278.400 0.822 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@H]2CCCN(CCO)C2)nn1 ZINC001008823588 650128812 /nfs/dbraw/zinc/12/88/12/650128812.db2.gz GUYNNEYPOFCQOM-LBPRGKRZSA-N 0 1 293.371 0.041 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N(CC#N)CC#N ZINC000900463951 634026121 /nfs/dbraw/zinc/02/61/21/634026121.db2.gz IZKURWCXILERQJ-BXKDBHETSA-N 0 1 257.297 0.951 20 30 CCEDMN C[C@@H](NC(=O)c1cnccc1C#N)[C@H]1CN(C)CCN1C ZINC000900811892 634098336 /nfs/dbraw/zinc/09/83/36/634098336.db2.gz PDZHCVLTFRVHDM-BXUZGUMPSA-N 0 1 287.367 0.317 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001009259952 650141472 /nfs/dbraw/zinc/14/14/72/650141472.db2.gz LPGKQZOEPYVIFT-CYBMUJFWSA-N 0 1 287.363 0.603 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@@H]1CCCN(CCO)C1 ZINC001009350350 650143476 /nfs/dbraw/zinc/14/34/76/650143476.db2.gz DIHWGFSIBDJHCQ-MRXNPFEDSA-N 0 1 286.375 0.783 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2ccc(=O)n(C)n2)C1 ZINC001009414266 650144501 /nfs/dbraw/zinc/14/45/01/650144501.db2.gz KGKBMFHHWJNODY-GFCCVEGCSA-N 0 1 290.367 0.551 20 30 CCEDMN Cc1nc(Br)c(C=NN[C@H](C)CO)[nH]1 ZINC000901193764 634204809 /nfs/dbraw/zinc/20/48/09/634204809.db2.gz DQYATFJUNUSVHR-RXMQYKEDSA-N 0 1 261.123 0.785 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)Cn2cc[nH+]c2C)C1 ZINC001009517290 650147188 /nfs/dbraw/zinc/14/71/88/650147188.db2.gz BKQSSEGWJPTLBL-AWEZNQCLSA-N 0 1 274.368 0.795 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001009731567 650157061 /nfs/dbraw/zinc/15/70/61/650157061.db2.gz XWSXJLJJSIRSRH-LBPRGKRZSA-N 0 1 288.351 0.561 20 30 CCEDMN CN(C)C[C@@H]1CCCN1S(=O)(=O)c1ccc(C#N)cn1 ZINC000907051338 635067348 /nfs/dbraw/zinc/06/73/48/635067348.db2.gz CTBQPCPEZIXZPQ-LBPRGKRZSA-N 0 1 294.380 0.668 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001010005881 650176870 /nfs/dbraw/zinc/17/68/70/650176870.db2.gz RWFCPWZNLVUQGW-CYBMUJFWSA-N 0 1 261.325 0.700 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cc(C)on2)C1 ZINC001010388952 650215818 /nfs/dbraw/zinc/21/58/18/650215818.db2.gz DTWXGRIFAOJNEK-GFCCVEGCSA-N 0 1 261.325 0.739 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(NC(=O)Cc2n[nH]c(C)n2)C1 ZINC000991278009 635829927 /nfs/dbraw/zinc/82/99/27/635829927.db2.gz HBDGMDBOBFGIHV-UHFFFAOYSA-N 0 1 291.355 0.195 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H]2CCN(CCn3cccn3)C2)[nH]1 ZINC001010654362 650250019 /nfs/dbraw/zinc/25/00/19/650250019.db2.gz GGHYPJNXDNFWEH-CYBMUJFWSA-N 0 1 298.350 0.587 20 30 CCEDMN Cc1ccc2nc(C(=O)NCC#CCN(C)C)cn2c1 ZINC000913524602 636833702 /nfs/dbraw/zinc/83/37/02/636833702.db2.gz UKAHFYQMJGQMFB-UHFFFAOYSA-N 0 1 270.336 0.938 20 30 CCEDMN C[C@H]1O[C@@H](C)[C@H](C)[C@@H]1C(=O)NCC#CCN(C)C ZINC000913524454 636833861 /nfs/dbraw/zinc/83/38/61/636833861.db2.gz NCIRHKMLDHNSQG-RVMXOQNASA-N 0 1 252.358 0.727 20 30 CCEDMN Cc1nn(C)c(C)c1[C@H](C)C(=O)NCC#CCN(C)C ZINC000913517887 636835066 /nfs/dbraw/zinc/83/50/66/636835066.db2.gz UELNFULXBYWUPV-NSHDSACASA-N 0 1 276.384 0.822 20 30 CCEDMN N#CC1(CS(=O)(=O)NC[C@H]2CN3CCC[C@@H]3CO2)CC1 ZINC000164971123 636891429 /nfs/dbraw/zinc/89/14/29/636891429.db2.gz ZBGGKSWFZJTCDK-NEPJUHHUSA-N 0 1 299.396 0.073 20 30 CCEDMN CC#CCN1CC[C@@]2(NC(=O)c3cnon3)CCC[C@@H]12 ZINC000992342228 637371768 /nfs/dbraw/zinc/37/17/68/637371768.db2.gz HNAWSOZGYKTEQS-OCCSQVGLSA-N 0 1 274.324 0.820 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cn(CC)nn3)CCC[C@H]12 ZINC000992394305 637381489 /nfs/dbraw/zinc/38/14/89/637381489.db2.gz PPSDNWSUADBSGP-DZGCQCFKSA-N 0 1 287.367 0.658 20 30 CCEDMN C[C@@H](CC#N)C(=O)N1CCN(CCCCCO)CC1 ZINC000913695368 637410079 /nfs/dbraw/zinc/41/00/79/637410079.db2.gz CQRYJGUSWXZMEB-ZDUSSCGKSA-N 0 1 267.373 0.843 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ccn(C)n3)CCC[C@@H]12 ZINC000992511729 637459311 /nfs/dbraw/zinc/45/93/11/637459311.db2.gz ZHBFLKIDEABNLO-HIFRSBDPSA-N 0 1 272.352 0.780 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3nonc3C)CCC[C@H]12 ZINC000992530817 637464502 /nfs/dbraw/zinc/46/45/02/637464502.db2.gz JZIRBDMPHNAWMC-FZMZJTMJSA-N 0 1 274.324 0.738 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CN2CCCC2=O)[C@@H]1C ZINC000993034010 637673160 /nfs/dbraw/zinc/67/31/60/637673160.db2.gz KNJGKFUEVJFIGO-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC000993243937 637755851 /nfs/dbraw/zinc/75/58/51/637755851.db2.gz FFQVZZBTXQKHMA-BXUZGUMPSA-N 0 1 279.384 0.620 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cn(C)nn2)[C@H]1C ZINC000993294804 637767208 /nfs/dbraw/zinc/76/72/08/637767208.db2.gz HGKAVGDVGFWVMP-VXGBXAGGSA-N 0 1 277.372 0.974 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2nnc(C)o2)[C@H]1C ZINC000993293874 637767652 /nfs/dbraw/zinc/76/76/52/637767652.db2.gz GFABLMKCCGLQQM-DGCLKSJQSA-N 0 1 290.367 0.913 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CC2OCCCO2)[C@H]1C ZINC000993302785 637771167 /nfs/dbraw/zinc/77/11/67/637771167.db2.gz OTPZZNFYBDRBBU-CHWSQXEVSA-N 0 1 280.368 0.742 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2ccn(C)n2)[C@@H]1C ZINC000993318841 637779598 /nfs/dbraw/zinc/77/95/98/637779598.db2.gz VIVMZEAZSJGORQ-ZFWWWQNUSA-N 0 1 288.395 0.955 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cn2nccn2)[C@@H]1C ZINC000993393984 637799956 /nfs/dbraw/zinc/79/99/56/637799956.db2.gz MIVSISAYDBPTOY-QWHCGFSZSA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@@H]1C ZINC000993774421 637847192 /nfs/dbraw/zinc/84/71/92/637847192.db2.gz MCMXDHIRWJHFBA-WDEREUQCSA-N 0 1 276.340 0.342 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2cnon2)[C@@H]1C ZINC000994046165 637863297 /nfs/dbraw/zinc/86/32/97/637863297.db2.gz XCGUEQDSEUIAPH-QWRGUYRKSA-N 0 1 262.313 0.676 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnon2)[C@@H]1C ZINC000994046165 637863298 /nfs/dbraw/zinc/86/32/98/637863298.db2.gz XCGUEQDSEUIAPH-QWRGUYRKSA-N 0 1 262.313 0.676 20 30 CCEDMN C#C[C@@H]1COCCN1S(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000916274491 637895592 /nfs/dbraw/zinc/89/55/92/637895592.db2.gz DLBVQKSYPXYDMY-KGLIPLIRSA-N 0 1 298.408 0.136 20 30 CCEDMN Cc1cc(CNC(=O)N2C[C@@H](C)N(CC#N)[C@H](C)C2)n[nH]1 ZINC000919565569 638085916 /nfs/dbraw/zinc/08/59/16/638085916.db2.gz PATLKWOEVQLXJE-VXGBXAGGSA-N 0 1 290.371 0.846 20 30 CCEDMN CN1CC[C@@H]2CC[C@H](C1)N2S(=O)(=O)CCCC#N ZINC000919853583 638111905 /nfs/dbraw/zinc/11/19/05/638111905.db2.gz LSMPETRKRSISSJ-NWDGAFQWSA-N 0 1 271.386 0.788 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](N(C)C(=O)CN2CCCC2)C1 ZINC000939406754 638360766 /nfs/dbraw/zinc/36/07/66/638360766.db2.gz UQKVZCHCHRRZLJ-OLZOCXBDSA-N 0 1 292.383 0.301 20 30 CCEDMN C#CCN1CCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC000184777916 638719134 /nfs/dbraw/zinc/71/91/34/638719134.db2.gz HOOWEAONJGOOHX-UHFFFAOYSA-N 0 1 275.356 0.295 20 30 CCEDMN CC1(C)CN(C(=O)CCc2nc[nH]n2)C[C@H]1NCC#N ZINC000995622822 638781487 /nfs/dbraw/zinc/78/14/87/638781487.db2.gz ACGXZGKDKKVQSQ-SNVBAGLBSA-N 0 1 276.344 0.087 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnn(CC)n2)C1 ZINC001014367065 650442270 /nfs/dbraw/zinc/44/22/70/650442270.db2.gz DUJMAORNRYWTFJ-NSHDSACASA-N 0 1 261.329 0.125 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)C(=O)N2CCC[C@@H]2CC)C1 ZINC001015963829 638823193 /nfs/dbraw/zinc/82/31/93/638823193.db2.gz OOKVVLNNOFEQIR-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CCC(NCC#N)CC1 ZINC000997308169 638862681 /nfs/dbraw/zinc/86/26/81/638862681.db2.gz CAWCPFMDRQTKTN-ZDUSSCGKSA-N 0 1 294.399 0.059 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC(NC(=O)CN2CCC(C)CC2)C1 ZINC000998354992 638911844 /nfs/dbraw/zinc/91/18/44/638911844.db2.gz OQCFCXUQSJWEPJ-GFCCVEGCSA-N 0 1 292.383 0.205 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](NCc2cnns2)C1 ZINC000998755916 638939709 /nfs/dbraw/zinc/93/97/09/638939709.db2.gz RWNIPDGKXRSJHH-ZJUUUORDSA-N 0 1 279.369 0.778 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2CCNC(=O)C2)C1 ZINC000998919285 638949625 /nfs/dbraw/zinc/94/96/25/638949625.db2.gz YJJBXIMVJGAZOR-NWDGAFQWSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2cnn(C)c2N)C1 ZINC000998988582 638953346 /nfs/dbraw/zinc/95/33/46/638953346.db2.gz GVXGOCMRKGQDOK-SNVBAGLBSA-N 0 1 297.790 0.949 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)c2ncn(C)n2)C1 ZINC000999081980 638958034 /nfs/dbraw/zinc/95/80/34/638958034.db2.gz HACSDGXPNUGOHQ-SNVBAGLBSA-N 0 1 283.763 0.762 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC000999789758 639000555 /nfs/dbraw/zinc/00/05/55/639000555.db2.gz IOMYJKKBAONALO-FZMZJTMJSA-N 0 1 299.802 0.846 20 30 CCEDMN C#CCN1CC[C@@H](n2cc(CNC(=O)[C@@H]3CC34CC4)nn2)C1 ZINC001000029822 639035990 /nfs/dbraw/zinc/03/59/90/639035990.db2.gz IMMALXQQTKCZBF-KGLIPLIRSA-N 0 1 299.378 0.574 20 30 CCEDMN CS(=O)(=O)CCOCCNCc1csc(C#N)c1 ZINC000921779813 639090117 /nfs/dbraw/zinc/09/01/17/639090117.db2.gz MDGQWQSBRAMZAU-UHFFFAOYSA-N 0 1 288.394 0.771 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cnsn2)CC1 ZINC001000476490 639094604 /nfs/dbraw/zinc/09/46/04/639094604.db2.gz PEJJQWKHLUJVIH-UHFFFAOYSA-N 0 1 276.365 0.923 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CCc3cncn3C2)CC1 ZINC001000859691 639178763 /nfs/dbraw/zinc/17/87/63/639178763.db2.gz DHDMCHFFBPEQHX-HNNXBMFYSA-N 0 1 298.390 0.827 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2COCCN2CC)CC1 ZINC001000871196 639180651 /nfs/dbraw/zinc/18/06/51/639180651.db2.gz SWIKOCVRTIQBRM-HNNXBMFYSA-N 0 1 291.395 0.089 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2C[C@@H](C)CO2)CC1 ZINC001000963290 639205949 /nfs/dbraw/zinc/20/59/49/639205949.db2.gz BGIMKFHPDUNZBD-TZMCWYRMSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001014695519 650479206 /nfs/dbraw/zinc/47/92/06/650479206.db2.gz SEBDJRZDMZGRCJ-GFCCVEGCSA-N 0 1 275.356 0.434 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2oncc2C)CC1 ZINC001001333083 639281644 /nfs/dbraw/zinc/28/16/44/639281644.db2.gz USFRZERMYMEDCI-UHFFFAOYSA-N 0 1 259.309 0.978 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn3ccncc23)C1 ZINC001014743102 650484753 /nfs/dbraw/zinc/48/47/53/650484753.db2.gz ZMVUBXOXOAOPFD-LLVKDONJSA-N 0 1 271.324 0.719 20 30 CCEDMN N#CCN1CCC(CNC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC001001500782 639308626 /nfs/dbraw/zinc/30/86/26/639308626.db2.gz FTQWDSLHBRRWEI-CHWSQXEVSA-N 0 1 287.367 0.865 20 30 CCEDMN CCc1n[nH]c(C(=O)N[C@H]2CCN(CC#N)C2)c1Cl ZINC001014757726 650485906 /nfs/dbraw/zinc/48/59/06/650485906.db2.gz PPYOWMLFAHZNCS-QMMMGPOBSA-N 0 1 281.747 0.953 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001014789155 650488658 /nfs/dbraw/zinc/48/86/58/650488658.db2.gz MDNWMDJXDUXXEX-AVGNSLFASA-N 0 1 268.357 0.557 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnc3n2CCOC3)C1 ZINC001014808762 650489758 /nfs/dbraw/zinc/48/97/58/650489758.db2.gz YCUMBHJESQZLMJ-LBPRGKRZSA-N 0 1 290.367 0.794 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCCO2)CC1 ZINC001002273412 639387468 /nfs/dbraw/zinc/38/74/68/639387468.db2.gz QJTNVAQEEJCSQN-ZDUSSCGKSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnsn2)CC1 ZINC001002406850 639408395 /nfs/dbraw/zinc/40/83/95/639408395.db2.gz AZHPITXPMDIRPA-UHFFFAOYSA-N 0 1 264.354 0.756 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H]2COC(=O)C2)CC1 ZINC001002611055 639433752 /nfs/dbraw/zinc/43/37/52/639433752.db2.gz UHBGUBZEGXQAHO-LLVKDONJSA-N 0 1 264.325 0.153 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cnn(C)c2N)CC1 ZINC001002652261 639438311 /nfs/dbraw/zinc/43/83/11/639438311.db2.gz MGVWCTYHAJYNSK-UHFFFAOYSA-N 0 1 275.356 0.220 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H]2CC(=O)N(CC)C2)CC1 ZINC001002956959 639483606 /nfs/dbraw/zinc/48/36/06/639483606.db2.gz DDOYRTJQCCRAQD-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CC(=O)N[C@H](CC)C2)CC1 ZINC001003365544 639535407 /nfs/dbraw/zinc/53/54/07/639535407.db2.gz BETVMWQSLMDGOL-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCNC(=O)CC2)CC1 ZINC001003380907 639536300 /nfs/dbraw/zinc/53/63/00/639536300.db2.gz OGOXBHLPQUJWNP-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001014969071 650508625 /nfs/dbraw/zinc/50/86/25/650508625.db2.gz RNCIUUOOQBUTIX-NWDGAFQWSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCOc1ncccc1C(=O)NC1CCN(CC#C)CC1 ZINC001003992838 639663087 /nfs/dbraw/zinc/66/30/87/639663087.db2.gz RWWYJZFHFPMQRH-UHFFFAOYSA-N 0 1 297.358 0.921 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCN(C(C)=O)C2)CC1 ZINC001004058664 639669737 /nfs/dbraw/zinc/66/97/37/639669737.db2.gz MSBQUOAXBGGUKS-CYBMUJFWSA-N 0 1 277.368 0.069 20 30 CCEDMN N#C[C@H]1CCCN(C(=O)NCCN2CCCOCC2)C1 ZINC000922607783 639747080 /nfs/dbraw/zinc/74/70/80/639747080.db2.gz LLHBGEASPMVEAQ-CYBMUJFWSA-N 0 1 280.372 0.654 20 30 CCEDMN C#CCC[NH+]1CCC(N(C)C(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001005263050 639893919 /nfs/dbraw/zinc/89/39/19/639893919.db2.gz POSMHEWRJUVZMB-UHFFFAOYSA-N 0 1 290.367 0.983 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnnc(C)c2)CC1 ZINC001005314573 639899034 /nfs/dbraw/zinc/89/90/34/639899034.db2.gz WVPJYOQCAFSMHX-UHFFFAOYSA-N 0 1 272.352 0.955 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001015167839 650534144 /nfs/dbraw/zinc/53/41/44/650534144.db2.gz QJXXJIDVPPQOFT-KBPBESRZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnn3cccnc23)CC1 ZINC001005807382 639969498 /nfs/dbraw/zinc/96/94/98/639969498.db2.gz HDMJJMGNWNESOV-UHFFFAOYSA-N 0 1 297.362 0.899 20 30 CCEDMN N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CCC[C@H]1C(=O)[O-] ZINC000923223194 640056512 /nfs/dbraw/zinc/05/65/12/640056512.db2.gz VOXSHYQSGAAKHT-STQMWFEESA-N 0 1 290.319 0.847 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2nc(C)c[nH]2)CC1 ZINC001006110077 640164931 /nfs/dbraw/zinc/16/49/31/640164931.db2.gz ZNXADPYBLKWIEB-UHFFFAOYSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2conc2COC)CC1 ZINC001006281063 640216204 /nfs/dbraw/zinc/21/62/04/640216204.db2.gz IGNSSKXOJVHNIZ-UHFFFAOYSA-N 0 1 291.351 0.991 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccccc2C(N)=O)C1 ZINC001015487906 650572079 /nfs/dbraw/zinc/57/20/79/650572079.db2.gz NSZZABULHOVAPD-CYBMUJFWSA-N 0 1 287.363 0.705 20 30 CCEDMN C[C@H]1CC(NC(=O)c2ccc(S(C)(=O)=O)o2)=NO1 ZINC000765381841 640383007 /nfs/dbraw/zinc/38/30/07/640383007.db2.gz TXVXKUKNLQDBQH-LURJTMIESA-N 0 1 272.282 0.535 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001015500437 650574481 /nfs/dbraw/zinc/57/44/81/650574481.db2.gz RTXYLDCZHHJTPF-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001015590779 650586210 /nfs/dbraw/zinc/58/62/10/650586210.db2.gz COJKLXOBLJMGIH-NSHDSACASA-N 0 1 274.324 0.318 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001015595930 650587283 /nfs/dbraw/zinc/58/72/83/650587283.db2.gz VLRGCLDVWBAMBU-SNVBAGLBSA-N 0 1 262.313 0.481 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)C2=NC(=O)N(C)C2)C1 ZINC001015657286 650602534 /nfs/dbraw/zinc/60/25/34/650602534.db2.gz NXVIDBJIMQHKHU-VIFPVBQESA-N 0 1 284.747 0.682 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001015692260 650610615 /nfs/dbraw/zinc/61/06/15/650610615.db2.gz ZLBCZRXIFZPQED-WDEREUQCSA-N 0 1 273.340 0.544 20 30 CCEDMN C=CCOCC(=O)O[C@@H]1CCCN(c2ccnc(N)n2)C1 ZINC000810762441 640948638 /nfs/dbraw/zinc/94/86/38/640948638.db2.gz LLNYWPAWONJJSN-LLVKDONJSA-N 0 1 292.339 0.773 20 30 CCEDMN Cn1cc(C=NNc2ccccc2S(C)(=O)=O)c(=O)[nH]1 ZINC000814221449 641060191 /nfs/dbraw/zinc/06/01/91/641060191.db2.gz DAZKOYKHIXSCPX-UHFFFAOYSA-N 0 1 294.336 0.975 20 30 CCEDMN C[C@@H]1C[N@@H+](Cc2ccccn2)CCC1=NNCC(=O)[O-] ZINC000814958332 641084357 /nfs/dbraw/zinc/08/43/57/641084357.db2.gz MUUDPKVSALWRKL-LLVKDONJSA-N 0 1 276.340 0.954 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cc(C)nc(C)n2)C1 ZINC001015838263 650637867 /nfs/dbraw/zinc/63/78/67/650637867.db2.gz KDWITUCUCYMBHL-ZDUSSCGKSA-N 0 1 272.352 0.921 20 30 CCEDMN C[C@H](C(=O)N[C@H]1CCCN(O)C1=O)N1CCCCCC1 ZINC000816759169 641215913 /nfs/dbraw/zinc/21/59/13/641215913.db2.gz GXPVWSKYXSIARH-NEPJUHHUSA-N 0 1 283.372 0.747 20 30 CCEDMN N#CCNC(=O)CNC[C@H](O)c1cccc(Cl)c1 ZINC000819310153 641400151 /nfs/dbraw/zinc/40/01/51/641400151.db2.gz FVCWIIRJVAOZBE-NSHDSACASA-N 0 1 267.716 0.603 20 30 CCEDMN O=C(Cc1[nH]nc2ccccc21)N[C@H]1CCN(O)C1=O ZINC000820175475 641479582 /nfs/dbraw/zinc/47/95/82/641479582.db2.gz YPOLPTPKPOSNNN-JTQLQIEISA-N 0 1 274.280 0.212 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001016009739 650674440 /nfs/dbraw/zinc/67/44/40/650674440.db2.gz MOEUOJIWBXYYEN-LBPRGKRZSA-N 0 1 287.367 0.370 20 30 CCEDMN N#Cc1ccc(OCC(=O)OCc2c[nH]nn2)cc1 ZINC000821586624 641611650 /nfs/dbraw/zinc/61/16/50/641611650.db2.gz HVXVWIPAPSPNQV-UHFFFAOYSA-N 0 1 258.237 0.799 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CCN(C(N)=O)CC2)C1 ZINC001016215927 650698723 /nfs/dbraw/zinc/69/87/23/650698723.db2.gz KVGCPCZBDOXUDV-LBPRGKRZSA-N 0 1 280.372 0.154 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1ccccc1 ZINC000826383281 641930292 /nfs/dbraw/zinc/93/02/92/641930292.db2.gz SHAYSALSHMRRQA-UHFFFAOYSA-N 0 1 251.253 0.983 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001016331429 650718634 /nfs/dbraw/zinc/71/86/34/650718634.db2.gz JENKJBPKWYBFJU-CHWSQXEVSA-N 0 1 287.367 0.054 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001016353517 650721139 /nfs/dbraw/zinc/72/11/39/650721139.db2.gz KPUCEUQADHULRD-LBPRGKRZSA-N 0 1 296.334 0.799 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001016400158 650726039 /nfs/dbraw/zinc/72/60/39/650726039.db2.gz TVLMMZGKYBKVFS-NSHDSACASA-N 0 1 274.324 0.171 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2Cc3ccc(C#N)cc3C2)n[nH]1 ZINC000829486857 642166991 /nfs/dbraw/zinc/16/69/91/642166991.db2.gz ZYMMBIUMYIPHKE-ZDUSSCGKSA-N 0 1 281.319 0.811 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc(OCC)cn2)C1 ZINC001016420823 650729568 /nfs/dbraw/zinc/72/95/68/650729568.db2.gz RLLUTGKVKXWYDL-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN N#CCCOCCN1CC[C@H]2COC(=O)N[C@@H]2C1 ZINC000830905285 642299183 /nfs/dbraw/zinc/29/91/83/642299183.db2.gz GCSSDHZOSGSJLG-WDEREUQCSA-N 0 1 253.302 0.347 20 30 CCEDMN Cc1nc2ccccc2n1CC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834480278 642572688 /nfs/dbraw/zinc/57/26/88/642572688.db2.gz NNAGFIVDFZSVJV-AAEUAGOBSA-N 0 1 283.335 0.573 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CNc1ccccc1Cl ZINC000834481003 642573463 /nfs/dbraw/zinc/57/34/63/642573463.db2.gz YXRBXXIHAHTTAS-SKDRFNHKSA-N 0 1 278.743 0.980 20 30 CCEDMN COc1ccsc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834484794 642574360 /nfs/dbraw/zinc/57/43/60/642574360.db2.gz NGMIVHZVGGVXFG-HTQZYQBOSA-N 0 1 251.311 0.598 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ncn(-c2ccccc2)n1 ZINC000834484810 642575510 /nfs/dbraw/zinc/57/55/10/642575510.db2.gz NMNGOEPMHZZXDD-CMPLNLGQSA-N 0 1 282.307 0.109 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@H]2C#N)cnn1CC(C)C ZINC000834484896 642576497 /nfs/dbraw/zinc/57/64/97/642576497.db2.gz OWZYREVRDZRCNM-YPMHNXCESA-N 0 1 275.356 0.689 20 30 CCEDMN Cc1cc2c(cc1C)O[C@H](C(=O)N[C@H]1CNC[C@H]1C#N)C2 ZINC000834489797 642579863 /nfs/dbraw/zinc/57/98/63/642579863.db2.gz POOULHSFCQQRAS-IPYPFGDCSA-N 0 1 285.347 0.835 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CN1CCCCCCC1=O ZINC000834490136 642580051 /nfs/dbraw/zinc/58/00/51/642580051.db2.gz SBJTZHGQLJPQEP-NWDGAFQWSA-N 0 1 278.356 0.007 20 30 CCEDMN C[C@@H]1CCCC[C@@H]1OCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834489503 642580359 /nfs/dbraw/zinc/58/03/59/642580359.db2.gz NAPCYIRZFMVLKL-XQHKEYJVSA-N 0 1 265.357 0.809 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccn(-c2cccc(F)c2)n1 ZINC000834494609 642585333 /nfs/dbraw/zinc/58/53/33/642585333.db2.gz ORDADKDKWADGEK-IINYFYTJSA-N 0 1 299.309 0.853 20 30 CCEDMN COc1ccccc1C1(C(=O)N[C@H]2CNC[C@H]2C#N)CC1 ZINC000834494796 642585949 /nfs/dbraw/zinc/58/59/49/642585949.db2.gz PJMOZLHILGPRFG-YPMHNXCESA-N 0 1 285.347 0.955 20 30 CCEDMN Cc1[nH]nc2nc(C)cc(C(=O)N[C@H]3CNC[C@H]3C#N)c12 ZINC000834494782 642586063 /nfs/dbraw/zinc/58/60/63/642586063.db2.gz PHPSQKGDSWRBSZ-KOLCDFICSA-N 0 1 284.323 0.416 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(-c2nc[nH]n2)cc1 ZINC000834498996 642587718 /nfs/dbraw/zinc/58/77/18/642587718.db2.gz CXDSWTUYKBRKPD-VXGBXAGGSA-N 0 1 282.307 0.313 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1coc(C2CCOCC2)n1 ZINC000834500553 642588845 /nfs/dbraw/zinc/58/88/45/642588845.db2.gz UNUAECBTAXBJEG-MNOVXSKESA-N 0 1 290.323 0.410 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc2nccnc21 ZINC000834500569 642588862 /nfs/dbraw/zinc/58/88/62/642588862.db2.gz UTCPKILFEDWSNO-CABZTGNLSA-N 0 1 267.292 0.471 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1coc(C2CCOCC2)n1 ZINC000834500552 642589268 /nfs/dbraw/zinc/58/92/68/642589268.db2.gz UNUAECBTAXBJEG-GHMZBOCLSA-N 0 1 290.323 0.410 20 30 CCEDMN Cc1nc(C(=O)N[C@@H]2CNC[C@H]2C#N)c2ccccn12 ZINC000834500588 642589818 /nfs/dbraw/zinc/58/98/18/642589818.db2.gz UVPFNZCFCWTMGO-GHMZBOCLSA-N 0 1 269.308 0.484 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1=Cc2ccccc2OC1 ZINC000834500687 642590356 /nfs/dbraw/zinc/59/03/56/642590356.db2.gz WDORIYTTZJHVAF-CHWSQXEVSA-N 0 1 269.304 0.690 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@H]1CCCN1c1nccs1 ZINC000834505151 642592277 /nfs/dbraw/zinc/59/22/77/642592277.db2.gz IRHPDBRUCKRQDS-GMTAPVOTSA-N 0 1 291.380 0.340 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(N2CCCC2=O)cc1 ZINC000834506216 642593978 /nfs/dbraw/zinc/59/39/78/642593978.db2.gz QTGLZQCURJUZBX-GXTWGEPZSA-N 0 1 298.346 0.655 20 30 CCEDMN COc1ccccc1CCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834506719 642594030 /nfs/dbraw/zinc/59/40/30/642594030.db2.gz VKUGDFSEDZLBEQ-QWHCGFSZSA-N 0 1 273.336 0.856 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCNC(=O)c1cccs1 ZINC000834511937 642596547 /nfs/dbraw/zinc/59/65/47/642596547.db2.gz QBGPZTMWSJFUFY-UWVGGRQHSA-N 0 1 292.364 0.096 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccccc1[N+](=O)[O-] ZINC000834511362 642596918 /nfs/dbraw/zinc/59/69/18/642596918.db2.gz KVGRKSBQYZJLSB-QWRGUYRKSA-N 0 1 274.280 0.365 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1COc2ccccc2C1 ZINC000834511910 642597236 /nfs/dbraw/zinc/59/72/36/642597236.db2.gz PQTHIYMSUZJQAT-YNEHKIRRSA-N 0 1 271.320 0.465 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(-c2ccccc2)n[nH]1 ZINC000834511922 642597249 /nfs/dbraw/zinc/59/72/49/642597249.db2.gz QACCBLXQLDXHTE-RISCZKNCSA-N 0 1 281.319 0.918 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccnc(Cl)c1 ZINC000834515902 642598113 /nfs/dbraw/zinc/59/81/13/642598113.db2.gz DDYSTXSBSLZDPN-DTWKUNHWSA-N 0 1 250.689 0.576 20 30 CCEDMN Cc1nn(C)c(C)c1CCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834515710 642598532 /nfs/dbraw/zinc/59/85/32/642598532.db2.gz BEPWAONPQCJKNV-WCQYABFASA-N 0 1 275.356 0.197 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(Cn2cncn2)cc1 ZINC000834516677 642599951 /nfs/dbraw/zinc/59/99/51/642599951.db2.gz KOPSJLBQVGSTHX-KGLIPLIRSA-N 0 1 296.334 0.168 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1nc(-c2ccccc2)no1 ZINC000841191113 642806892 /nfs/dbraw/zinc/80/68/92/642806892.db2.gz UIHTYEJTMZLEPD-WDEREUQCSA-N 0 1 298.306 0.970 20 30 CCEDMN Clc1cccc2c1C(=NNC1=NCCN1)CO2 ZINC000841658645 642828469 /nfs/dbraw/zinc/82/84/69/642828469.db2.gz CPUOAWGPSCQDPH-UHFFFAOYSA-N 0 1 250.689 0.985 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COCCO1 ZINC001017371185 650796974 /nfs/dbraw/zinc/79/69/74/650796974.db2.gz MJIYRUIZJWZDPS-MJBXVCDLSA-N 0 1 280.368 0.653 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1C[C@H]1C(=O)OC ZINC001017412068 650800725 /nfs/dbraw/zinc/80/07/25/650800725.db2.gz MPXFKEXGQKGPBZ-RFQIPJPRSA-N 0 1 290.363 0.494 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1ccnn1 ZINC001017507442 650807145 /nfs/dbraw/zinc/80/71/45/650807145.db2.gz XOQSDNLJBKIKTA-OKILXGFUSA-N 0 1 287.367 0.367 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cc(F)c(F)c(F)c1 ZINC000843459171 643063673 /nfs/dbraw/zinc/06/36/73/643063673.db2.gz CLGPWUGLQVFZAC-GMSGAONNSA-N 0 1 269.226 0.945 20 30 CCEDMN C=CCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001017546817 650810281 /nfs/dbraw/zinc/81/02/81/650810281.db2.gz UMHMGGABBFGQPD-WRWGMCAJSA-N 0 1 265.313 0.513 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CSc1ccc(O)cc1 ZINC000843461193 643065301 /nfs/dbraw/zinc/06/53/01/643065301.db2.gz SGMKNBXQOASJTO-BXKDBHETSA-N 0 1 277.349 0.712 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccc([N+](=O)[O-])cc1 ZINC000843463483 643068097 /nfs/dbraw/zinc/06/80/97/643068097.db2.gz WQTXIJUYHHTNJL-JQWIXIFHSA-N 0 1 274.280 0.365 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC(=O)N(C)C1 ZINC001017599108 650812798 /nfs/dbraw/zinc/81/27/98/650812798.db2.gz NQBRQOKTOBVQID-MELADBBJSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cn1ccccc1=O ZINC001018255571 650850319 /nfs/dbraw/zinc/85/03/19/650850319.db2.gz JHVKTVYRIMPPIQ-GASCZTMLSA-N 0 1 299.374 0.547 20 30 CCEDMN N#Cc1nccc(N2CCC(c3nc[nH]n3)CC2)n1 ZINC000848667965 643666606 /nfs/dbraw/zinc/66/66/06/643666606.db2.gz QIQAYBCDJXLFRF-UHFFFAOYSA-N 0 1 255.285 0.850 20 30 CCEDMN C=C(C)CN(CCNC(=O)OC(C)(C)C)C[C@H](O)CO ZINC000852180723 643939088 /nfs/dbraw/zinc/93/90/88/643939088.db2.gz XLXGOVQHGVACKG-LBPRGKRZSA-N 0 1 288.388 0.742 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc(Cn2ccnn2)c1 ZINC000852827792 644013905 /nfs/dbraw/zinc/01/39/05/644013905.db2.gz PRJTZJQNCMVXLI-KBPBESRZSA-N 0 1 296.334 0.168 20 30 CCEDMN Cn1ncc(C2CCC2)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000852874862 644036523 /nfs/dbraw/zinc/03/65/23/644036523.db2.gz DEEABAPTROYBNR-ZYHUDNBSSA-N 0 1 273.340 0.529 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ncnc2ccsc21 ZINC000852875144 644036678 /nfs/dbraw/zinc/03/66/78/644036678.db2.gz FMSVPUUOEIBNSP-CBAPKCEASA-N 0 1 273.321 0.533 20 30 CCEDMN CS(=O)(=O)CCNN=Cc1ccnn1-c1ccncc1 ZINC000853308189 644097375 /nfs/dbraw/zinc/09/73/75/644097375.db2.gz ZZJJRZRXNMUXGK-UHFFFAOYSA-N 0 1 293.352 0.235 20 30 CCEDMN C#CC[N@H+](Cc1cc(=O)n2[n-]c(N)nc2n1)C1CCCC1 ZINC000856728143 644430467 /nfs/dbraw/zinc/43/04/67/644430467.db2.gz KYBNVVQUUNKLDW-UHFFFAOYSA-N 0 1 286.339 0.378 20 30 CCEDMN C[C@H]1C(=O)N(N=Cc2cc(O)ccc2[N+](=O)[O-])C(=O)N1C ZINC000857215272 644482853 /nfs/dbraw/zinc/48/28/53/644482853.db2.gz RXQHVIYGIGXTGG-ZETCQYMHSA-N 0 1 292.251 0.917 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn[nH]c(=O)c2)C1 ZINC001019007218 650929251 /nfs/dbraw/zinc/92/92/51/650929251.db2.gz IJSPOCHNXUAHND-JTQLQIEISA-N 0 1 282.731 0.739 20 30 CCEDMN N#CCOc1cccc(NC(=O)NC[C@H]2COCCN2)c1 ZINC000859632879 644716491 /nfs/dbraw/zinc/71/64/91/644716491.db2.gz RVEHDESJKKIJMQ-LBPRGKRZSA-N 0 1 290.323 0.699 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001019227627 650949303 /nfs/dbraw/zinc/94/93/03/650949303.db2.gz KYUNPMZUXMUEIB-ZDUSSCGKSA-N 0 1 296.334 0.799 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001019263036 650953551 /nfs/dbraw/zinc/95/35/51/650953551.db2.gz YIXWWBVLUUAEQJ-QWRGUYRKSA-N 0 1 285.775 0.408 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)C1=NC(=O)N(C)C1 ZINC000863780597 645131480 /nfs/dbraw/zinc/13/14/80/645131480.db2.gz DSPZDZILFXBUMW-SECBINFHSA-N 0 1 251.286 0.284 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cn2ccccc2=O)C1 ZINC001019756692 651003136 /nfs/dbraw/zinc/00/31/36/651003136.db2.gz XNPXLYYPQOACBV-LBPRGKRZSA-N 0 1 295.770 0.791 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccnn1CC1CCC1 ZINC000868196661 645730570 /nfs/dbraw/zinc/73/05/70/645730570.db2.gz VSIGNGAOBYEPAR-RYUDHWBXSA-N 0 1 288.355 0.916 20 30 CCEDMN C[C@@H](N[C@@H](C)c1ccnn1C)C(=O)N(C)CCC#N ZINC000871927714 646197128 /nfs/dbraw/zinc/19/71/28/646197128.db2.gz OXUOBARSTLRILI-WDEREUQCSA-N 0 1 263.345 0.831 20 30 CCEDMN C[C@](O)(C[C@@H](O)CNCc1ccc(C#N)cn1)C1CC1 ZINC000872208344 646221372 /nfs/dbraw/zinc/22/13/72/646221372.db2.gz IRRXNWGGTHUVOH-CABCVRRESA-N 0 1 275.352 0.955 20 30 CCEDMN Cc1cnccc1NN=Cc1cn(C[C@H]2CCOC2)nn1 ZINC000872392548 646254481 /nfs/dbraw/zinc/25/44/81/646254481.db2.gz OSEOQBKRDUYGEZ-GFCCVEGCSA-N 0 1 286.339 0.886 20 30 CCEDMN C[C@H](C(=O)N1CCN(C2CC2)[C@H](C#N)C1)N(C)C ZINC000874461035 646467867 /nfs/dbraw/zinc/46/78/67/646467867.db2.gz PZGBBXWSMZGDIK-ZYHUDNBSSA-N 0 1 250.346 0.135 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccnn1CC1CCC1 ZINC000876801770 646668942 /nfs/dbraw/zinc/66/89/42/646668942.db2.gz INKVDOMQVUPNSM-RYUDHWBXSA-N 0 1 273.340 0.525 20 30 CCEDMN Cc1ccc2n[nH]cc2c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000876801661 646669095 /nfs/dbraw/zinc/66/90/95/646669095.db2.gz HGHHLFPSGAFVOS-JOYOIKCWSA-N 0 1 269.308 0.713 20 30 CCEDMN Cc1cc(C(F)(F)F)nc(C(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000876801411 646669214 /nfs/dbraw/zinc/66/92/14/646669214.db2.gz BWYXQEMTUWBWQS-YUMQZZPRSA-N 0 1 299.256 0.645 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)c2nccn2c1 ZINC000876803480 646670358 /nfs/dbraw/zinc/67/03/58/646670358.db2.gz WQZJBLWCSJQQPI-ZYHUDNBSSA-N 0 1 269.308 0.484 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H](O)CC2(O)CCCCC2)C1 ZINC000878761567 646974811 /nfs/dbraw/zinc/97/48/11/646974811.db2.gz NPYWJPCEDLYKLG-ZFWWWQNUSA-N 0 1 282.384 0.783 20 30 CCEDMN N#Cc1ccc(N2CCN(CCn3cncn3)CC2)cc1 ZINC000879585515 647078925 /nfs/dbraw/zinc/07/89/25/647078925.db2.gz GWALHSOTSOBFFD-UHFFFAOYSA-N 0 1 282.351 0.972 20 30 CCEDMN Cn1cc(C2C[C@H]3CC[C@@H](C2)N3CC(=O)NCC#N)cn1 ZINC000880518118 647216900 /nfs/dbraw/zinc/21/69/00/647216900.db2.gz ACRUXBBQODLSCN-QXMXGUDHSA-N 0 1 287.367 0.770 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN([C@@H]3CCC[C@H]3O)CC2)n1 ZINC000881914745 647352112 /nfs/dbraw/zinc/35/21/12/647352112.db2.gz BIQFLSKYBYGOTN-ZIAGYGMSSA-N 0 1 287.367 0.692 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)c1[nH]nc2c1C[C@@H](C)CC2 ZINC000885881809 647882542 /nfs/dbraw/zinc/88/25/42/647882542.db2.gz JQBNASCZLLOIKU-VHSXEESVSA-N 0 1 289.335 0.829 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC000885881810 647882607 /nfs/dbraw/zinc/88/26/07/647882607.db2.gz JQBNASCZLLOIKU-ZJUUUORDSA-N 0 1 289.335 0.829 20 30 CCEDMN N#CC1(C[C@H](O)CN2CC[C@](F)(CO)C2)CCC1 ZINC000886194211 647916385 /nfs/dbraw/zinc/91/63/85/647916385.db2.gz LCQLBMWOGLKIIJ-WCQYABFASA-N 0 1 256.321 0.838 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CCO1 ZINC000887688084 648142650 /nfs/dbraw/zinc/14/26/50/648142650.db2.gz BQSIHCPHGCNKPR-YGRLFVJLSA-N 0 1 274.324 0.656 20 30 CCEDMN C[C@@H]1CN(C(=O)NC[C@H]2CCN2C)C[C@H](C)N1CC#N ZINC000925427839 648432934 /nfs/dbraw/zinc/43/29/34/648432934.db2.gz IYFMVWCJSGQWBZ-FRRDWIJNSA-N 0 1 279.388 0.318 20 30 CCEDMN C[C@@H](c1ccccc1)[C@@H](NC[C@H](O)CC#N)C(N)=O ZINC000930541462 648873348 /nfs/dbraw/zinc/87/33/48/648873348.db2.gz NETHKQILOJZBGB-CYZMBNFOSA-N 0 1 261.325 0.508 20 30 CCEDMN C[C@@H]1CN(C[C@@H]2C[C@@H]3COC[C@@H]3O2)C[C@@H](C)N1CC#N ZINC000930829199 648911665 /nfs/dbraw/zinc/91/16/65/648911665.db2.gz QOSGFZKEHHNUOQ-ZSAUSMIDSA-N 0 1 279.384 0.708 20 30 CCEDMN C[C@H]1C[C@@H](NC(=O)c2[nH]ncc2F)CCN1CC#N ZINC000947698299 649347702 /nfs/dbraw/zinc/34/77/02/649347702.db2.gz NIMFGGCSMIHGBK-IUCAKERBSA-N 0 1 265.292 0.655 20 30 CCEDMN CCNC(=O)CON=C(N)CN1CCCCCC1 ZINC000078639594 649489867 /nfs/dbraw/zinc/48/98/67/649489867.db2.gz QTHMJECZJBZBGU-UHFFFAOYSA-N 0 1 256.350 0.287 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCn1cncn1 ZINC001017360027 649752167 /nfs/dbraw/zinc/75/21/67/649752167.db2.gz CUISYHSQVUGOQH-OKILXGFUSA-N 0 1 287.367 0.367 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncnc(Cl)c3C#N)C[C@@H]21 ZINC001164672138 719380176 /nfs/dbraw/zinc/38/01/76/719380176.db2.gz YNZZBSCIPQXIQJ-UWVGGRQHSA-N 0 1 279.731 0.521 20 30 CCEDMN Cc1ccnc(N2C[C@@H]3[C@H](C2)OCCN3C)c1C#N ZINC000408181134 719375211 /nfs/dbraw/zinc/37/52/11/719375211.db2.gz BBHZOHLAIBRAQG-OLZOCXBDSA-N 0 1 258.325 0.781 20 30 CCEDMN Cc1cc(N2C[C@@H]3OCCN(C)[C@H]3C2)nc(C#N)n1 ZINC001164666973 719399140 /nfs/dbraw/zinc/39/91/40/719399140.db2.gz MGSVVBDIMCITEO-QWRGUYRKSA-N 0 1 259.313 0.176 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1nc(=O)n(C)c2[nH]cnc21 ZINC001230002296 805572773 /nfs/dbraw/zinc/57/27/73/805572773.db2.gz MCVHERSRIHNESQ-YFKPBYRVSA-N 0 1 276.252 0.206 20 30 CCEDMN C#C[C@@H](Oc1nc[nH]c(=O)c1Cl)C(=O)OCC ZINC001230017327 805575168 /nfs/dbraw/zinc/57/51/68/805575168.db2.gz KKQLLLQZIYVNTI-ZCFIWIBFSA-N 0 1 256.645 0.779 20 30 CCEDMN C=CCCOCC(=O)NC1CCN([C@H](C)C(=O)NC)CC1 ZINC001230411742 805600400 /nfs/dbraw/zinc/60/04/00/805600400.db2.gz QUAVYGSIOZJHQC-GFCCVEGCSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001266246388 790322472 /nfs/dbraw/zinc/32/24/72/790322472.db2.gz ORCYWPQSZYRWEF-ZIAGYGMSSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCCCN1C(=O)CC ZINC001266277240 790383616 /nfs/dbraw/zinc/38/36/16/790383616.db2.gz TVDNLEZZSKOYNU-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)CO[C@H]2CCOC2)C1 ZINC001266319971 790499350 /nfs/dbraw/zinc/49/93/50/790499350.db2.gz WKPYNENLJAVCQF-KGLIPLIRSA-N 0 1 298.383 0.185 20 30 CCEDMN CC#CCN(C)CCNC(=O)CNC(=O)CC1CCCC1 ZINC001266337219 790536707 /nfs/dbraw/zinc/53/67/07/790536707.db2.gz AYBVMBSXFIVVRE-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038174919 790589750 /nfs/dbraw/zinc/58/97/50/790589750.db2.gz WJOMZEKBNINUFD-GFCCVEGCSA-N 0 1 256.309 0.714 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnn(C)c1-n1cccc1 ZINC001266405910 790646902 /nfs/dbraw/zinc/64/69/02/790646902.db2.gz LKQPJXBSPSULJB-UHFFFAOYSA-N 0 1 299.378 0.896 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001266410945 790654789 /nfs/dbraw/zinc/65/47/89/790654789.db2.gz LGOYFNJDVJOZQG-ITGUQSILSA-N 0 1 250.342 0.340 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCCCNC(N)=O ZINC001266471095 790764853 /nfs/dbraw/zinc/76/48/53/790764853.db2.gz IDXLVKCNPYRSKY-GFCCVEGCSA-N 0 1 280.372 0.039 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)/C=C(\C)CC)C1 ZINC001266510411 790828315 /nfs/dbraw/zinc/82/83/15/790828315.db2.gz BLHLGJDFESPVRC-SSUFTNFISA-N 0 1 291.395 0.530 20 30 CCEDMN CCO[C@H]1C[C@@H]1C(=O)NCCN(CC#CCOC)C1CC1 ZINC001266583945 790983450 /nfs/dbraw/zinc/98/34/50/790983450.db2.gz PHULJJLTAZFVRB-GJZGRUSLSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN(CCNC(=O)Cc1cnn(C)c1C)C1CC1 ZINC001266594957 790998914 /nfs/dbraw/zinc/99/89/14/790998914.db2.gz VMLLIMSENGQHQG-UHFFFAOYSA-N 0 1 274.368 0.485 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)CCCNC(=O)COC ZINC001230960434 805699938 /nfs/dbraw/zinc/69/99/38/805699938.db2.gz LOEDOODLMLOIFQ-UHFFFAOYSA-N 0 1 297.399 0.272 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@@H+](C)CCCNC(=O)CC1CC1 ZINC001231153122 805740715 /nfs/dbraw/zinc/74/07/15/805740715.db2.gz FRWNNVCHOJEMMT-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CC1CC1 ZINC001231153122 805740718 /nfs/dbraw/zinc/74/07/18/805740718.db2.gz FRWNNVCHOJEMMT-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CC[N@@H+]1[C@@H](C)COC ZINC001231247793 805759927 /nfs/dbraw/zinc/75/99/27/805759927.db2.gz RIVWUEHNYOMESW-STQMWFEESA-N 0 1 270.373 0.757 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CCN1[C@@H](C)COC ZINC001231247793 805759933 /nfs/dbraw/zinc/75/99/33/805759933.db2.gz RIVWUEHNYOMESW-STQMWFEESA-N 0 1 270.373 0.757 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1ncccc1F ZINC001277528000 805813190 /nfs/dbraw/zinc/81/31/90/805813190.db2.gz FJZLYGYXMSNOAG-UHFFFAOYSA-N 0 1 293.342 0.922 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@@H+]2CCc3nncn3CC2)c1 ZINC001232676077 805969331 /nfs/dbraw/zinc/96/93/31/805969331.db2.gz YDBREVWLIRPQPK-UHFFFAOYSA-N 0 1 269.308 0.914 20 30 CCEDMN COCC#CCN1CCC[C@H](CNC(=O)c2cn[nH]c2)C1 ZINC001266740160 791203922 /nfs/dbraw/zinc/20/39/22/791203922.db2.gz LGDNFHTVCRTXHA-CYBMUJFWSA-N 0 1 290.367 0.501 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C3CCOCC3)C[C@H]21 ZINC001041892511 791264472 /nfs/dbraw/zinc/26/44/72/791264472.db2.gz XTCCCXHVVJHARG-UKRRQHHQSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnccn3)C[C@@H]21 ZINC001041911776 791319615 /nfs/dbraw/zinc/31/96/15/791319615.db2.gz YHCMTEUZVKTRAM-OCCSQVGLSA-N 0 1 270.336 0.646 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NC)C[C@H]21 ZINC001266903324 791331775 /nfs/dbraw/zinc/33/17/75/791331775.db2.gz ZJBXSOLZWQLMAS-WSGDXGOYSA-N 0 1 293.411 0.770 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)NCc1ccn(C)n1 ZINC001266937242 791373135 /nfs/dbraw/zinc/37/31/35/791373135.db2.gz FAPAYORAKMRHFB-LLVKDONJSA-N 0 1 260.341 0.428 20 30 CCEDMN CCC(C#N)(CC)C(=O)NCc1n[nH]c(CO)n1 ZINC001167496797 791424123 /nfs/dbraw/zinc/42/41/23/791424123.db2.gz VIXNSADXGVJYAW-UHFFFAOYSA-N 0 1 251.290 0.243 20 30 CCEDMN CCC(C#N)(CC)C(=O)NCc1nnc(CO)[nH]1 ZINC001167496797 791424130 /nfs/dbraw/zinc/42/41/30/791424130.db2.gz VIXNSADXGVJYAW-UHFFFAOYSA-N 0 1 251.290 0.243 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038139212 791526341 /nfs/dbraw/zinc/52/63/41/791526341.db2.gz HDOYSJKSFSXJPJ-LBPRGKRZSA-N 0 1 256.309 0.714 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)COC[C@H]1CCCO1 ZINC001267150652 791594553 /nfs/dbraw/zinc/59/45/53/791594553.db2.gz YJYUWYFGLMBTGM-GFCCVEGCSA-N 0 1 290.791 0.983 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cn(CCOC)nn1 ZINC001038241483 791620756 /nfs/dbraw/zinc/62/07/56/791620756.db2.gz VWIDVDPTMHCLJO-GFCCVEGCSA-N 0 1 293.371 0.305 20 30 CCEDMN C=CCC[C@@H](C)N(C)CCNC(=O)[C@@H](C)S(C)(=O)=O ZINC001267202609 791666671 /nfs/dbraw/zinc/66/66/71/791666671.db2.gz DDHJMZVSEVEHRU-VXGBXAGGSA-N 0 1 290.429 0.822 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cnoc1-c1cnn(C)c1 ZINC001267209988 791678042 /nfs/dbraw/zinc/67/80/42/791678042.db2.gz VHOVHQRLWRPBEG-UHFFFAOYSA-N 0 1 287.323 0.370 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1nocc1C ZINC001267225479 791701922 /nfs/dbraw/zinc/70/19/22/791701922.db2.gz WWEIJGOROSJWSV-UHFFFAOYSA-N 0 1 265.313 0.294 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CCC(C)C ZINC001233723166 806110824 /nfs/dbraw/zinc/11/08/24/806110824.db2.gz WYQNAEYBXUNTSS-CQSZACIVSA-N 0 1 295.427 0.999 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)c1ccc[nH]1 ZINC001233888723 806143117 /nfs/dbraw/zinc/14/31/17/806143117.db2.gz VGKLWQCVGYOTAT-LBPRGKRZSA-N 0 1 292.383 0.757 20 30 CCEDMN COCCC(C)(C)CNC(=O)NCC#CCN(C)C ZINC001233951160 806156396 /nfs/dbraw/zinc/15/63/96/806156396.db2.gz AXJQXNSQQZMVPR-UHFFFAOYSA-N 0 1 269.389 0.913 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H](C)SC ZINC001234269076 806216116 /nfs/dbraw/zinc/21/61/16/806216116.db2.gz LMXOEBDOPJNCON-NSHDSACASA-N 0 1 287.429 0.478 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@H](C)C#N)C[C@H](C)O2 ZINC001098574621 793233035 /nfs/dbraw/zinc/23/30/35/793233035.db2.gz PQBMFFURWVBPIK-KGLIPLIRSA-N 0 1 289.379 0.861 20 30 CCEDMN C=C(C)C(=O)NCCCNc1cc2c(nn1)CNC2 ZINC001167666348 793233224 /nfs/dbraw/zinc/23/32/24/793233224.db2.gz HTJDNEHONARXTR-UHFFFAOYSA-N 0 1 261.329 0.574 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001267255799 793252812 /nfs/dbraw/zinc/25/28/12/793252812.db2.gz DJUVOPWMFQWALP-GFCCVEGCSA-N 0 1 274.368 0.586 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)/C(C)=C\CC ZINC001234925307 806314849 /nfs/dbraw/zinc/31/48/49/806314849.db2.gz WGBHVVQYVVPXHW-JYRVWZFOSA-N 0 1 279.384 0.530 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C[C@H](C)OC ZINC001235418897 806420087 /nfs/dbraw/zinc/42/00/87/806420087.db2.gz RZYDBHGWWSKBPP-QWHCGFSZSA-N 0 1 299.415 0.540 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)NC(C)C ZINC001235436203 806422371 /nfs/dbraw/zinc/42/23/71/806422371.db2.gz HMHIVYGGEHUUIH-UHFFFAOYSA-N 0 1 269.389 0.915 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)N(C)C ZINC001235437076 806422537 /nfs/dbraw/zinc/42/25/37/806422537.db2.gz UAUFJNPWVSTMKS-UHFFFAOYSA-N 0 1 255.362 0.479 20 30 CCEDMN C=CCCC(=O)NCCCN(C)[C@H](C)C(=O)N(C)C ZINC001235451503 806425062 /nfs/dbraw/zinc/42/50/62/806425062.db2.gz KWQJUSIFIZOIHT-GFCCVEGCSA-N 0 1 269.389 0.867 20 30 CCEDMN CC[C@@H](C)c1nc([C@@H](C)NC(=O)NCC#CCO)n[nH]1 ZINC001235580354 806461389 /nfs/dbraw/zinc/46/13/89/806461389.db2.gz FJEKLUZBSAKQSP-NXEZZACHSA-N 0 1 279.344 0.674 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)C(C)(C)C(N)=O ZINC001235588560 806464955 /nfs/dbraw/zinc/46/49/55/806464955.db2.gz LMPCMDJLGHGVSK-NSHDSACASA-N 0 1 267.373 0.607 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)CC(=O)NCC1CC1 ZINC001235697638 806494623 /nfs/dbraw/zinc/49/46/23/806494623.db2.gz BNKZNLADAHXKQT-UHFFFAOYSA-N 0 1 297.399 0.153 20 30 CCEDMN O=C(CC1CC1)NC[C@H](CO)NCC#Cc1ccccc1 ZINC001278041791 806628458 /nfs/dbraw/zinc/62/84/58/806628458.db2.gz RZJMXTZBRCQDDR-MRXNPFEDSA-N 0 1 286.375 0.905 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1c(C)ccn1C ZINC001278072620 806651309 /nfs/dbraw/zinc/65/13/09/806651309.db2.gz UFFGFQDVRHUPHN-GFCCVEGCSA-N 0 1 263.341 0.037 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(C(C)C)nc1 ZINC001278094591 806661190 /nfs/dbraw/zinc/66/11/90/806661190.db2.gz YABMYKXOHFACMF-CYBMUJFWSA-N 0 1 275.352 0.519 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(C)n(CC)c1C ZINC001278111565 806680336 /nfs/dbraw/zinc/68/03/36/806680336.db2.gz CAMGRPBCAXIFJN-AWEZNQCLSA-N 0 1 291.395 0.828 20 30 CCEDMN C=CCOCc1nn(C)c2c1CN(Cc1nnc[nH]1)CC2 ZINC001237598989 806693515 /nfs/dbraw/zinc/69/35/15/806693515.db2.gz FRBMOGBXJKOWGB-UHFFFAOYSA-N 0 1 288.355 0.799 20 30 CCEDMN C#CCNCC(=O)N1CCc2nc(C(C)(C)C)ncc2C1 ZINC001122086963 799139354 /nfs/dbraw/zinc/13/93/54/799139354.db2.gz KVPGGWYELZPDDB-UHFFFAOYSA-N 0 1 286.379 0.882 20 30 CCEDMN C#CCNCC(=O)N1C[C@]23COC[C@@]2(C1)CCCC3 ZINC001122156466 799156617 /nfs/dbraw/zinc/15/66/17/799156617.db2.gz WTQNXAAIAFCEDE-GASCZTMLSA-N 0 1 262.353 0.628 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(C)cc(F)c1 ZINC001278154473 806725993 /nfs/dbraw/zinc/72/59/93/806725993.db2.gz FJGNXQDIEGIRGK-CYBMUJFWSA-N 0 1 264.300 0.448 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](CCC)NC(N)=O ZINC001149095241 799186898 /nfs/dbraw/zinc/18/68/98/799186898.db2.gz XDZHEZQDDIEKDS-SECBINFHSA-N 0 1 276.768 0.282 20 30 CCEDMN COc1ccc(C#N)cc1CNCCNC(=O)c1ccn[nH]1 ZINC001123891687 799496615 /nfs/dbraw/zinc/49/66/15/799496615.db2.gz REHFESLKDBLGJA-UHFFFAOYSA-N 0 1 299.334 0.810 20 30 CCEDMN C=C(CC)C(=O)N1CC(N2CCN(CC)CC2)C1 ZINC001123965384 799517708 /nfs/dbraw/zinc/51/77/08/799517708.db2.gz ZBTZBJGBEMYHQJ-UHFFFAOYSA-N 0 1 251.374 0.801 20 30 CCEDMN CN1C(=O)CN(CC(=O)Nc2cc(C#N)ccc2O)C1=O ZINC001137934201 799695378 /nfs/dbraw/zinc/69/53/78/799695378.db2.gz MVBWSVCUVJRGNN-UHFFFAOYSA-N 0 1 288.263 0.096 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](C)c1cccc(OC)c1 ZINC001278201539 806770620 /nfs/dbraw/zinc/77/06/20/806770620.db2.gz GWYFEXCLXLSBSV-OCCSQVGLSA-N 0 1 290.363 0.499 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](C)Cc1ccc(C)cc1 ZINC001278203014 806771223 /nfs/dbraw/zinc/77/12/23/806771223.db2.gz XQVZTBLGUWENRW-HOCLYGCPSA-N 0 1 288.391 0.874 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H]1CCCCC1(C)C ZINC001278202855 806771936 /nfs/dbraw/zinc/77/19/36/806771936.db2.gz VKCKBVNHERMMTN-CHWSQXEVSA-N 0 1 266.385 0.903 20 30 CCEDMN N#C[C@H]1CN(Cc2cn3ccncc3n2)CCC1=O ZINC001139697302 799831306 /nfs/dbraw/zinc/83/13/06/799831306.db2.gz YKBVNZQAWUEXGZ-JTQLQIEISA-N 0 1 255.281 0.644 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C(C)(C)c1ccccc1C ZINC001278212187 806775468 /nfs/dbraw/zinc/77/54/68/806775468.db2.gz IJPYJYMQGBHBLI-AWEZNQCLSA-N 0 1 288.391 0.973 20 30 CCEDMN COc1cc(CN2CC[C@H](CO)[C@@H](O)C2)ccc1C#N ZINC001238409869 806781479 /nfs/dbraw/zinc/78/14/79/806781479.db2.gz KHYVVSMMHIYBNU-KGLIPLIRSA-N 0 1 276.336 0.742 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccc2c(c1)CCO2 ZINC000841037235 800067289 /nfs/dbraw/zinc/06/72/89/800067289.db2.gz RIJZGVVSJSPHLW-PWSUYJOCSA-N 0 1 272.308 0.855 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H](C)OC)C1 ZINC001149309788 800246336 /nfs/dbraw/zinc/24/63/36/800246336.db2.gz IXTOQNPQQITCTC-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@@H](CC)OC)C1 ZINC001149349220 800251097 /nfs/dbraw/zinc/25/10/97/800251097.db2.gz MFNJADHWDWDHPN-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H](C)COC)C1 ZINC001149391247 800254370 /nfs/dbraw/zinc/25/43/70/800254370.db2.gz IHYSUGTVNLSMMN-KBPBESRZSA-N 0 1 282.384 0.499 20 30 CCEDMN N#CCc1ccnc(NC(=O)c2cnncc2O)c1 ZINC001149721776 800294666 /nfs/dbraw/zinc/29/46/66/800294666.db2.gz ZKHKGULEISOJBG-UHFFFAOYSA-N 0 1 255.237 0.896 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C1CCN(C(N)=O)CC1 ZINC001150508623 800342231 /nfs/dbraw/zinc/34/22/31/800342231.db2.gz CAWMLQFQQGLLEY-UHFFFAOYSA-N 0 1 288.779 0.235 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2[nH]cnc2C)C1 ZINC001150663587 800356394 /nfs/dbraw/zinc/35/63/94/800356394.db2.gz MOBOTAYIHXVZPA-ZDUSSCGKSA-N 0 1 292.383 0.654 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)Cn2ccnc2)C1 ZINC001150824169 800369741 /nfs/dbraw/zinc/36/97/41/800369741.db2.gz HOGZPQQZPOTRNE-AWEZNQCLSA-N 0 1 290.367 0.114 20 30 CCEDMN CCN(CC#CCOC)[C@H](C)CNC(=O)C1=COCCO1 ZINC001151698826 800448638 /nfs/dbraw/zinc/44/86/38/800448638.db2.gz XHEPPKAXPLDLLE-CYBMUJFWSA-N 0 1 296.367 0.351 20 30 CCEDMN N#CCCN1CCN(C(=O)c2[nH]nc3ccncc32)CC1 ZINC001152097568 800490364 /nfs/dbraw/zinc/49/03/64/800490364.db2.gz LLPQEMRCKNOOLS-UHFFFAOYSA-N 0 1 284.323 0.629 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2[nH]nc3ccncc32)CCO1 ZINC001152098376 800490506 /nfs/dbraw/zinc/49/05/06/800490506.db2.gz LOQUBYGCRNAHQW-QMMMGPOBSA-N 0 1 257.253 0.322 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)C1CCC1 ZINC001152303781 800508226 /nfs/dbraw/zinc/50/82/26/800508226.db2.gz CACQVDKQKCKBRW-UHFFFAOYSA-N 0 1 273.764 0.361 20 30 CCEDMN Cn1cc(NC(=O)c2cnc3nc[nH]c3c2)c(C#N)n1 ZINC001153725063 800656521 /nfs/dbraw/zinc/65/65/21/800656521.db2.gz NVXWDKRZQYSIRD-UHFFFAOYSA-N 0 1 267.252 0.815 20 30 CCEDMN C=C(C)C[N@H+](CC)[C@H](C)CNC(=O)c1n[nH]c(=O)[n-]c1=O ZINC001154179212 800734804 /nfs/dbraw/zinc/73/48/04/800734804.db2.gz YJNIRPWRRZHPBR-SECBINFHSA-N 0 1 295.343 0.299 20 30 CCEDMN Cn1cnc(NC(=O)c2cc(=O)c3cc(C#N)ccc3[nH]2)n1 ZINC001154552603 800798643 /nfs/dbraw/zinc/79/86/43/800798643.db2.gz YIFYNHGSMCSLFV-UHFFFAOYSA-N 0 1 294.274 0.781 20 30 CCEDMN CN(C)CCNC(=O)c1cc(=O)c2cc(C#N)ccc2[nH]1 ZINC001154552204 800799321 /nfs/dbraw/zinc/79/93/21/800799321.db2.gz MKJMRBJKDLNBMO-UHFFFAOYSA-N 0 1 284.319 0.691 20 30 CCEDMN CCOC(=O)C1=C=CC(=NCCC(O)N(C)C2CC2)N=C1 ZINC001155150593 800918878 /nfs/dbraw/zinc/91/88/78/800918878.db2.gz YVKWZDRCWCGZHF-UHFFFAOYSA-N 0 1 289.335 0.588 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2cncc(CC#N)n2)CC1 ZINC001155160675 800921539 /nfs/dbraw/zinc/92/15/39/800921539.db2.gz YGRJIDLRSSZUDT-CYBMUJFWSA-N 0 1 289.339 0.259 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)[C@H](CC)NC(C)=O ZINC001155387756 800963948 /nfs/dbraw/zinc/96/39/48/800963948.db2.gz IRQQWZYJFZYBBY-YPMHNXCESA-N 0 1 267.373 0.361 20 30 CCEDMN N#Cc1cnc(Cl)nc1NCc1noc2c1CNCC2 ZINC001155497095 800991022 /nfs/dbraw/zinc/99/10/22/800991022.db2.gz FVGWBSHPPXTPBF-UHFFFAOYSA-N 0 1 290.714 0.669 20 30 CCEDMN N#Cc1ncc(N[C@H]2CN3CCC2CC3)nc1C#N ZINC001155667388 801034449 /nfs/dbraw/zinc/03/44/49/801034449.db2.gz IXZSWMVLRMGKSK-LBPRGKRZSA-N 0 1 254.297 0.726 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1ncnn1CCC ZINC001155725586 801044197 /nfs/dbraw/zinc/04/41/97/801044197.db2.gz WHARKWMRSKBVIG-UHFFFAOYSA-N 0 1 295.387 0.487 20 30 CCEDMN C#CC[N@H+](CC)[C@H](C)CNC(=O)C[C@@H](C)N1CCCC1=O ZINC001156335458 801152352 /nfs/dbraw/zinc/15/23/52/801152352.db2.gz IZWBUKJXELRCKY-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN Cc1cnc(-n2c(C)ncc(CN)c2=N)c(C#N)n1 ZINC001156830607 801245004 /nfs/dbraw/zinc/24/50/04/801245004.db2.gz OZYZDZZOPHODFJ-UHFFFAOYSA-N 0 1 255.285 0.089 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1cn(C)nn1 ZINC001157170158 801317558 /nfs/dbraw/zinc/31/75/58/801317558.db2.gz CASUOEINFFGIOG-UHFFFAOYSA-N 0 1 263.345 0.215 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1ncc(C)cn1 ZINC001157189850 801321518 /nfs/dbraw/zinc/32/15/18/801321518.db2.gz SOAUTQDWVHUKBB-HNNXBMFYSA-N 0 1 292.383 0.708 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1ccc(=O)[nH]n1 ZINC001157493618 801400553 /nfs/dbraw/zinc/40/05/53/801400553.db2.gz NBXCNFWJMSKNCB-GFCCVEGCSA-N 0 1 288.351 0.742 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CN1CCCC1=O ZINC001157510310 801402724 /nfs/dbraw/zinc/40/27/24/801402724.db2.gz NGWXBVAMJHPXKS-CQSZACIVSA-N 0 1 291.395 0.555 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)CNC(=O)OC ZINC001157607915 801431761 /nfs/dbraw/zinc/43/17/61/801431761.db2.gz MZZRGGOHYHGTDT-CYBMUJFWSA-N 0 1 295.383 0.679 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)COCCOC ZINC001157717745 801462416 /nfs/dbraw/zinc/46/24/16/801462416.db2.gz DUATVTFDHAZKAN-CQSZACIVSA-N 0 1 282.384 0.596 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)CCCCc1cn[nH]n1 ZINC001157759529 801473177 /nfs/dbraw/zinc/47/31/77/801473177.db2.gz BAQXYOHVVYDKNW-LBPRGKRZSA-N 0 1 266.345 0.961 20 30 CCEDMN N#Cc1cnc2cnc(Cl)cc2c1-n1nnnc1CN ZINC001158074618 801562593 /nfs/dbraw/zinc/56/25/93/801562593.db2.gz KLDOIYBJHYVVLM-UHFFFAOYSA-N 0 1 286.686 0.589 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1N1CCN2CC[C@H]2C1 ZINC001158432550 801649195 /nfs/dbraw/zinc/64/91/95/801649195.db2.gz WZTIVNKRZQPHAG-JTQLQIEISA-N 0 1 268.324 0.677 20 30 CCEDMN CN(CC#N)C[C@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001158437813 801650169 /nfs/dbraw/zinc/65/01/69/801650169.db2.gz FOIXRKSJVPUGAY-LLVKDONJSA-N 0 1 291.355 0.576 20 30 CCEDMN CN(C1CN(c2ncc(Cl)nc2C#N)C1)[C@H]1CCOC1 ZINC001158727332 801695197 /nfs/dbraw/zinc/69/51/97/801695197.db2.gz XKEINQDJYPYGGC-VIFPVBQESA-N 0 1 293.758 0.911 20 30 CCEDMN COC(=O)n1ncc(C#N)c1Nc1ncc2ncn(C)c2n1 ZINC001158965577 801728951 /nfs/dbraw/zinc/72/89/51/801728951.db2.gz VDENGYUAOMXXKP-UHFFFAOYSA-N 0 1 298.266 0.790 20 30 CCEDMN C=C(C)CCC(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001159032725 801743375 /nfs/dbraw/zinc/74/33/75/801743375.db2.gz RHBVLARCMSGPFS-NSHDSACASA-N 0 1 280.376 0.723 20 30 CCEDMN C=C(C)CCC(=O)NCCCN[C@H](C)c1nnnn1C ZINC001159032724 801743529 /nfs/dbraw/zinc/74/35/29/801743529.db2.gz RHBVLARCMSGPFS-LLVKDONJSA-N 0 1 280.376 0.723 20 30 CCEDMN CCOCC(=O)NCCC1=CCN(CC#CCOC)CC1 ZINC001159143776 801764904 /nfs/dbraw/zinc/76/49/04/801764904.db2.gz DTOMXIVYINFAFW-UHFFFAOYSA-N 0 1 294.395 0.811 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cnccn2)CC1 ZINC001159161773 801766383 /nfs/dbraw/zinc/76/63/83/801766383.db2.gz NEAWZFGFGPYFPF-UHFFFAOYSA-N 0 1 270.336 0.862 20 30 CCEDMN CCOC(=O)c1cc(C)nc(N[C@@](N)(CC)CO)c1C#N ZINC001159743710 801858274 /nfs/dbraw/zinc/85/82/74/801858274.db2.gz KXLLPCJBTFYYCA-AWEZNQCLSA-N 0 1 292.339 0.908 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@H]2[C@H](CCN2C(=O)C(C)C)C1 ZINC001159782452 801868511 /nfs/dbraw/zinc/86/85/11/801868511.db2.gz QXUSAQRYIWZHDC-IOASZLSFSA-N 0 1 291.395 0.442 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCc2onc(Cn3cccn3)c2C1 ZINC001160128006 801908827 /nfs/dbraw/zinc/90/88/27/801908827.db2.gz IMPVLWPWOSODJU-HNNXBMFYSA-N 0 1 299.334 0.155 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1CCOC[C@H]1C#N ZINC001160934138 802049363 /nfs/dbraw/zinc/04/93/63/802049363.db2.gz WVUNGNXXIYMMKN-KGLIPLIRSA-N 0 1 284.319 0.179 20 30 CCEDMN CCC(=O)NCC1(COC)CCN(CC#CCOC)CC1 ZINC001162036234 802267948 /nfs/dbraw/zinc/26/79/48/802267948.db2.gz JZPCIHKBYGMJIH-UHFFFAOYSA-N 0 1 296.411 0.891 20 30 CCEDMN CS(=O)(=O)c1cnc(N=C2CCCC(=O)C2)nc1 ZINC001163453190 802560345 /nfs/dbraw/zinc/56/03/45/802560345.db2.gz SKKVCJSWYRDEGH-UHFFFAOYSA-N 0 1 267.310 0.929 20 30 CCEDMN C[C@H](C#N)C(=O)NCCC1(CNCc2cn(C)nn2)CC1 ZINC001163859705 802625887 /nfs/dbraw/zinc/62/58/87/802625887.db2.gz JZGANDOMPPDJTQ-LLVKDONJSA-N 0 1 290.371 0.351 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1ncc(C)cc1C#N ZINC001165437583 802840878 /nfs/dbraw/zinc/84/08/78/802840878.db2.gz UDZARPZMQOWQEE-LLVKDONJSA-N 0 1 260.297 0.213 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCCNCc1nnnn1C ZINC001165921482 802875225 /nfs/dbraw/zinc/87/52/25/802875225.db2.gz MKMCVRKVKXCJBC-CYBMUJFWSA-N 0 1 280.376 0.408 20 30 CCEDMN C#CCONC(=O)Cc1csc(NCCOC)n1 ZINC001166327907 802900172 /nfs/dbraw/zinc/90/01/72/802900172.db2.gz MHLQPADHUKJEMQ-UHFFFAOYSA-N 0 1 269.326 0.425 20 30 CCEDMN C=C(C)CN1CCC[C@@H]1CNC(=O)c1cnn(C)n1 ZINC001028198389 812355000 /nfs/dbraw/zinc/35/50/00/812355000.db2.gz GMWFNBCVIMMIGP-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H](COC)OC)C1 ZINC001276431456 803055483 /nfs/dbraw/zinc/05/54/83/803055483.db2.gz DNJUKUKVUBWRIX-DGCLKSJQSA-N 0 1 268.357 0.108 20 30 CCEDMN N#CCNCC1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC001205490771 803142579 /nfs/dbraw/zinc/14/25/79/803142579.db2.gz YEXAKBXHMGHRQI-CHWSQXEVSA-N 0 1 287.367 0.865 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC(CNCC#N)CC1 ZINC001205838059 803178018 /nfs/dbraw/zinc/17/80/18/803178018.db2.gz CFKPZYNDMOUTLK-UHFFFAOYSA-N 0 1 262.317 0.079 20 30 CCEDMN C=CCOCCN1C[C@@H](C)[C@H](NC(=O)c2ccon2)C1 ZINC001206267188 803222258 /nfs/dbraw/zinc/22/22/58/803222258.db2.gz HAUHQFCHNIHSPO-DGCLKSJQSA-N 0 1 279.340 0.927 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C(CC)CC)C1 ZINC001271121667 814163275 /nfs/dbraw/zinc/16/32/75/814163275.db2.gz ULVSSAHSYIJRQS-AWEZNQCLSA-N 0 1 252.358 0.609 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@]2(C1)CN(CCC)CCO2 ZINC001276533025 803400402 /nfs/dbraw/zinc/40/04/02/803400402.db2.gz RBMLWAYQXNMQSS-HNNXBMFYSA-N 0 1 282.384 0.902 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@H](NCc2cnnn2C)C1 ZINC001207995629 803476776 /nfs/dbraw/zinc/47/67/76/803476776.db2.gz MOXMECHZFDBVFR-ZDUSSCGKSA-N 0 1 289.383 0.555 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(COC)CCOC2)C1 ZINC001208190041 803497187 /nfs/dbraw/zinc/49/71/87/803497187.db2.gz VAIYALFCJLVISN-IIAWOOMASA-N 0 1 294.395 0.499 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N1CCC[C@@H](NCC#N)C1 ZINC001208264037 803501054 /nfs/dbraw/zinc/50/10/54/803501054.db2.gz HWNHGYXCWBEESU-CHWSQXEVSA-N 0 1 284.379 0.770 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3OCC[C@H]3CC)[C@@H]2C1 ZINC001075904603 814946181 /nfs/dbraw/zinc/94/61/81/814946181.db2.gz CGYNDNYNXMFJDL-BARDWOONSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCCC(=O)N(C)C)C1 ZINC001210400488 803649128 /nfs/dbraw/zinc/64/91/28/803649128.db2.gz DGUGRPCIPDFMGK-CHWSQXEVSA-N 0 1 279.384 0.315 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCCOCC)C1 ZINC001211291905 803675440 /nfs/dbraw/zinc/67/54/40/803675440.db2.gz DVNMLYDPSJXCRQ-MGPQQGTHSA-N 0 1 282.384 0.498 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCC=C)C1 ZINC001211422555 803680531 /nfs/dbraw/zinc/68/05/31/803680531.db2.gz NWTXDKVZMFKUCA-JHJVBQTASA-N 0 1 250.342 0.647 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCOCCOC)C1 ZINC001211433584 803682009 /nfs/dbraw/zinc/68/20/09/803682009.db2.gz MYOQPWYGQGRRRG-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001213310746 803690446 /nfs/dbraw/zinc/69/04/46/803690446.db2.gz SBDCJRSIUFZKHV-ZIAGYGMSSA-N 0 1 282.384 0.378 20 30 CCEDMN C=CCCCCCN1C[C@@H](NC(=O)C(N)=O)[C@H](OC)C1 ZINC001213353016 803691405 /nfs/dbraw/zinc/69/14/05/803691405.db2.gz NHVLSXGIRWRGPE-VXGBXAGGSA-N 0 1 283.372 0.034 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(C)C2)[C@H]1C ZINC001088603085 814967935 /nfs/dbraw/zinc/96/79/35/814967935.db2.gz ZJYAWZQDIANCLW-AGIUHOORSA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ccoc1CC(N)=O ZINC001272093698 814973128 /nfs/dbraw/zinc/97/31/28/814973128.db2.gz SYNIWAONAKUAGP-UHFFFAOYSA-N 0 1 291.351 0.335 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN([C@@H](C)COC)C[C@H]1OC ZINC001212034567 814981336 /nfs/dbraw/zinc/98/13/36/814981336.db2.gz XTDFFNPIPLUSAQ-YNEHKIRRSA-N 0 1 286.372 0.039 20 30 CCEDMN N=C(c1nonc1N)N([O-])C(=O)CCCCCCC[NH3+] ZINC001218519207 803982360 /nfs/dbraw/zinc/98/23/60/803982360.db2.gz ZLVIWSVJOZXCAA-UHFFFAOYSA-N 0 1 284.320 0.494 20 30 CCEDMN Cc1[nH]c(NC(=O)C[C@@H]2COCCN2)c(C#N)c1C ZINC001218554965 804001637 /nfs/dbraw/zinc/00/16/37/804001637.db2.gz CEWKFIRJBIFJRA-SNVBAGLBSA-N 0 1 262.313 0.820 20 30 CCEDMN C#C[C@](C)(N)C(=O)Nc1nc(Cl)nc2[nH]cnc21 ZINC001218590041 804024179 /nfs/dbraw/zinc/02/41/79/804024179.db2.gz ZEIXOPUKYHJVFG-JTQLQIEISA-N 0 1 264.676 0.295 20 30 CCEDMN Cc1ncc(C#N)cc1NC(=O)C[C@@H]1COCCN1 ZINC001218658617 804068703 /nfs/dbraw/zinc/06/87/03/804068703.db2.gz GTCPANYFLMZHRV-LLVKDONJSA-N 0 1 260.297 0.579 20 30 CCEDMN N=C(c1ccccc1N)N([O-])C(=O)C1CC[NH2+]CC1 ZINC001218965585 804167733 /nfs/dbraw/zinc/16/77/33/804167733.db2.gz LPRDNIUMODNUPB-UHFFFAOYSA-N 0 1 262.313 0.812 20 30 CCEDMN C=C(C)C[N@H+]1C[C@H]2OCCN(C(=O)C[NH+](C)C(C)C)[C@H]2C1 ZINC001219054965 804188293 /nfs/dbraw/zinc/18/82/93/804188293.db2.gz PWYOGEFNJOAUNQ-LSDHHAIUSA-N 0 1 295.427 0.814 20 30 CCEDMN C=CCC[C@H](C)N1C[C@@H](NC(=O)c2cnns2)[C@@H](O)C1 ZINC001219259392 804250253 /nfs/dbraw/zinc/25/02/53/804250253.db2.gz DMKCXRUNLCUOIC-AXFHLTTASA-N 0 1 296.396 0.668 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CCc2ccccc2)C[C@@H]1O ZINC001219378945 804290672 /nfs/dbraw/zinc/29/06/72/804290672.db2.gz JOQUCKSGKVWWJW-YUELXQCFSA-N 0 1 287.363 0.550 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C\C(C)(C)C)[C@@H](O)C1 ZINC001219385637 804294640 /nfs/dbraw/zinc/29/46/40/804294640.db2.gz RRSADUCFVWHWCT-XRMAHGQMSA-N 0 1 264.369 0.773 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)/C=C\C2CC2)[C@@H](O)C1 ZINC001219420095 804305419 /nfs/dbraw/zinc/30/54/19/804305419.db2.gz LRZIEMZHYCSGFQ-QLQVPFRHSA-N 0 1 250.342 0.690 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C/C2CC2)[C@H](OC)C1 ZINC001212090194 815007925 /nfs/dbraw/zinc/00/79/25/815007925.db2.gz OLIMIPLKKXXYBU-GODNBWANSA-N 0 1 262.353 0.791 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2CC2(C)C)[C@@H](O)C1 ZINC001219467376 804323843 /nfs/dbraw/zinc/32/38/43/804323843.db2.gz JEYFUXZZGVZNHK-XQQFMLRXSA-N 0 1 282.384 0.396 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCC#C)C[C@@H]1O ZINC001219482028 804326828 /nfs/dbraw/zinc/32/68/28/804326828.db2.gz ICSOPEFTRVXFMV-KGLIPLIRSA-N 0 1 262.353 0.365 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](C)CC)[C@@H](O)C1 ZINC001219529716 804338303 /nfs/dbraw/zinc/33/83/03/804338303.db2.gz WNOSEFRIKTXRIX-XQQFMLRXSA-N 0 1 252.358 0.607 20 30 CCEDMN CC(=O)OC[C@H](N)C(=O)N[C@H](C#N)c1ccccc1 ZINC001219557763 804348327 /nfs/dbraw/zinc/34/83/27/804348327.db2.gz CTUNPIHIUMGRGV-NWDGAFQWSA-N 0 1 261.281 0.258 20 30 CCEDMN C=CCN(C(=O)Nc1nn[nH]c1C(=O)NC)C1CC1 ZINC001219983175 804465158 /nfs/dbraw/zinc/46/51/58/804465158.db2.gz HFHMQEWOBRXYKE-UHFFFAOYSA-N 0 1 264.289 0.347 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(CCF)CC2)[C@@H](O)C1 ZINC001220056779 804488416 /nfs/dbraw/zinc/48/84/16/804488416.db2.gz FLAAUCOIJAOWFU-NEPJUHHUSA-N 0 1 268.332 0.311 20 30 CCEDMN C=CCC[C@H](C)[N@H+]1C[C@@H](NC(=O)c2[n-]nnc2C)[C@@H](O)C1 ZINC001220118986 804504714 /nfs/dbraw/zinc/50/47/14/804504714.db2.gz ZWVCBGCGEJLIFC-WCQGTBRESA-N 0 1 293.371 0.243 20 30 CCEDMN C=CCC[C@H](C)[N@@H+]1C[C@@H](NC(=O)c2[n-]nnc2C)[C@@H](O)C1 ZINC001220118986 804504717 /nfs/dbraw/zinc/50/47/17/804504717.db2.gz ZWVCBGCGEJLIFC-WCQGTBRESA-N 0 1 293.371 0.243 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@H](N)Cc1ccccc1F ZINC001220124796 804506508 /nfs/dbraw/zinc/50/65/08/804506508.db2.gz LFMCBBLQYPPOFR-CYBMUJFWSA-N 0 1 294.326 0.931 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)Cn1nccc1C ZINC001272125863 815022502 /nfs/dbraw/zinc/02/25/02/815022502.db2.gz OJWJFUGYVSWKTH-UHFFFAOYSA-N 0 1 292.383 0.232 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1C[N@H+](CCn2cccn2)C[C@@H]1O ZINC001220239605 804543805 /nfs/dbraw/zinc/54/38/05/804543805.db2.gz LPEWAWVDWMYHHK-KGLIPLIRSA-N 0 1 292.383 0.401 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCn2cccn2)C[C@@H]1O ZINC001220239605 804543811 /nfs/dbraw/zinc/54/38/11/804543811.db2.gz LPEWAWVDWMYHHK-KGLIPLIRSA-N 0 1 292.383 0.401 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2CCC)[C@@H](O)C1 ZINC001220309757 804570067 /nfs/dbraw/zinc/57/00/67/804570067.db2.gz XXHRFINTPZGZGO-TUVASFSCSA-N 0 1 296.411 0.787 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H]1Cc2cc(C)c(OC)cc2C1 ZINC001220379524 804587433 /nfs/dbraw/zinc/58/74/33/804587433.db2.gz WPBWNCBVLLQFHO-CJNGLKHVSA-N 0 1 272.348 0.938 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001220434379 804604532 /nfs/dbraw/zinc/60/45/32/804604532.db2.gz HPKPCRBNVFFRLI-NEPJUHHUSA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2nccs2)[C@@H](O)C1 ZINC001220593780 804634910 /nfs/dbraw/zinc/63/49/10/804634910.db2.gz FKPAWJQVOFUPJD-MNOVXSKESA-N 0 1 281.381 0.884 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)CC(C)C)[C@@H](O)C1 ZINC001220628562 804638806 /nfs/dbraw/zinc/63/88/06/804638806.db2.gz FJWUEEIYHHOANH-MJBXVCDLSA-N 0 1 266.385 0.853 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](C)c2ccco2)[C@@H](O)C1 ZINC001220778061 804666058 /nfs/dbraw/zinc/66/60/58/804666058.db2.gz PESNHGZUSMDXIW-MCIONIFRSA-N 0 1 290.363 0.958 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(CCOC)CCC2)[C@@H](O)C1 ZINC001220858782 804682670 /nfs/dbraw/zinc/68/26/70/804682670.db2.gz GTKJSWKBRKNWRP-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN N#Cc1ccc(CNC(=O)[C@@H](N)Cc2cccc(O)c2)cn1 ZINC001221089022 804728195 /nfs/dbraw/zinc/72/81/95/804728195.db2.gz DQIRFLDSBGAELR-HNNXBMFYSA-N 0 1 296.330 0.845 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](NC(=O)COCCC=C)[C@@H](O)C1 ZINC001221141693 804733338 /nfs/dbraw/zinc/73/33/38/804733338.db2.gz WTRIUJJVFOZTSQ-KGLIPLIRSA-N 0 1 282.384 0.707 20 30 CCEDMN N#CC1(NC(=O)C[C@H]2COCCN2)Cc2ccccc2C1 ZINC001221367410 804789785 /nfs/dbraw/zinc/78/97/85/804789785.db2.gz QPLDYPPTKDORKW-AWEZNQCLSA-N 0 1 285.347 0.542 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1c[nH]cc1C ZINC001272173189 815037287 /nfs/dbraw/zinc/03/72/87/815037287.db2.gz YGKNRZIYMXXNHC-UHFFFAOYSA-N 0 1 277.368 0.977 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(CC)n(C)n1 ZINC001272185424 815040304 /nfs/dbraw/zinc/04/03/04/815040304.db2.gz MMQORMACURRWQU-UHFFFAOYSA-N 0 1 262.357 0.620 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(CNC(=O)COC)CC1 ZINC001222189842 804878758 /nfs/dbraw/zinc/87/87/58/804878758.db2.gz SBXUNTXLQPKPFY-LBPRGKRZSA-N 0 1 297.399 0.152 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)CCC)CC1 ZINC001222232482 804884553 /nfs/dbraw/zinc/88/45/53/804884553.db2.gz GCIBNXJKQYJVJY-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)[C@@H](C)OC)CC1 ZINC001222505559 804909628 /nfs/dbraw/zinc/90/96/28/804909628.db2.gz DYCLVDDOJLWYNQ-CYBMUJFWSA-N 0 1 282.384 0.499 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)[C@H](C)COC)CC1 ZINC001222624095 804922085 /nfs/dbraw/zinc/92/20/85/804922085.db2.gz IBUWPKXHRHNKSZ-CQSZACIVSA-N 0 1 296.411 0.747 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CC1(O)CCC1 ZINC001276841403 804935426 /nfs/dbraw/zinc/93/54/26/804935426.db2.gz CSBARUIWRYDKQK-LBPRGKRZSA-N 0 1 250.342 0.505 20 30 CCEDMN C[C@H](C(N)=O)N1CCC(CNC(=O)C#CC(C)(C)C)CC1 ZINC001223143528 804957957 /nfs/dbraw/zinc/95/79/57/804957957.db2.gz USPAAYDQYTZLNA-GFCCVEGCSA-N 0 1 293.411 0.738 20 30 CCEDMN COCCN1CC2(C[C@@H]2C(=O)N2CC(CC#N)C2)C1 ZINC001276897860 804979196 /nfs/dbraw/zinc/97/91/96/804979196.db2.gz YTTLISJQTXMUKS-GFCCVEGCSA-N 0 1 263.341 0.327 20 30 CCEDMN C=CCCCCC[N@H+]1CC[C@@H]1CNC(=O)[C@H]1CNC(=O)N1 ZINC001276909767 804984457 /nfs/dbraw/zinc/98/44/57/804984457.db2.gz SMAQJFGQHXNQMS-CHWSQXEVSA-N 0 1 294.399 0.605 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)c2cscn2)CC1 ZINC001277013590 805104487 /nfs/dbraw/zinc/10/44/87/805104487.db2.gz PJCZALMKTQCASD-UHFFFAOYSA-N 0 1 294.380 0.297 20 30 CCEDMN CCCCNC(=O)CNC1(CNC(=O)[C@H](C)C#N)CC1 ZINC001277020360 805107500 /nfs/dbraw/zinc/10/75/00/805107500.db2.gz PBMGGSPZMFLNMQ-LLVKDONJSA-N 0 1 280.372 0.301 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)c2ccn(C)c2)CC1 ZINC001277035822 805122963 /nfs/dbraw/zinc/12/29/63/805122963.db2.gz FEQFRYGAMPZVBU-UHFFFAOYSA-N 0 1 290.367 0.179 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C=C2CCC2)CC1 ZINC001277037656 805124342 /nfs/dbraw/zinc/12/43/42/805124342.db2.gz ZCADZWPFKMSMFB-UHFFFAOYSA-N 0 1 275.352 0.085 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)C(C)=C2CCC2)CC1 ZINC001277055398 805141548 /nfs/dbraw/zinc/14/15/48/805141548.db2.gz PIJKHQPYJMKVQR-UHFFFAOYSA-N 0 1 289.379 0.475 20 30 CCEDMN C[N+](C)(C)C[C@@H](CC#N)Oc1cc(=O)[n-]c(=S)[nH]1 ZINC001225765285 805160426 /nfs/dbraw/zinc/16/04/26/805160426.db2.gz LAXUFHMWMMBYAH-MRVPVSSYSA-O 0 1 269.350 0.838 20 30 CCEDMN CC(=O)c1c[n-]c(=O)nc1O[C@H](CC#N)C[N+](C)(C)C ZINC001226236863 805209300 /nfs/dbraw/zinc/20/93/00/805209300.db2.gz FDIRKSBQPCSQIF-SNVBAGLBSA-O 0 1 279.320 0.752 20 30 CCEDMN C=CCCC(=O)NC1CCN([C@H](C)C(=O)NC)CC1 ZINC001226535933 805242584 /nfs/dbraw/zinc/24/25/84/805242584.db2.gz ZAZYETMUWNRLDO-LLVKDONJSA-N 0 1 267.373 0.668 20 30 CCEDMN N#CCCN1CC(Oc2nc[nH]c(=O)c2Br)C1 ZINC001226721980 805272001 /nfs/dbraw/zinc/27/20/01/805272001.db2.gz MFKYFNFEZHYCOY-UHFFFAOYSA-N 0 1 299.128 0.921 20 30 CCEDMN C#CCNC(=O)CC(=O)NC1CCN(C/C=C/Cl)CC1 ZINC001226993789 805306396 /nfs/dbraw/zinc/30/63/96/805306396.db2.gz LVMUGCBGWPONMO-ZZXKWVIFSA-N 0 1 297.786 0.459 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC1CCN([C@H](C)C(=O)NC)CC1 ZINC001227124293 805324519 /nfs/dbraw/zinc/32/45/19/805324519.db2.gz PYHDZSDUMTZVJI-ABAIWWIYSA-N 0 1 297.399 0.029 20 30 CCEDMN CC#CCN1CCC(NC(=O)CC2(O)CCC2)CC1 ZINC001227273562 805338600 /nfs/dbraw/zinc/33/86/00/805338600.db2.gz SMWJNBXOAYXQQY-UHFFFAOYSA-N 0 1 264.369 0.895 20 30 CCEDMN C#CCOCCC(=O)NC1CCN(CC#CCOC)CC1 ZINC001227322873 805342012 /nfs/dbraw/zinc/34/20/12/805342012.db2.gz FTDQPULZZCISEK-UHFFFAOYSA-N 0 1 292.379 0.257 20 30 CCEDMN CC#CCN1CCC(NC(=O)CO[C@H]2CCOC2)CC1 ZINC001227380691 805345686 /nfs/dbraw/zinc/34/56/86/805345686.db2.gz LQMOEVNWVJBNDL-AWEZNQCLSA-N 0 1 280.368 0.396 20 30 CCEDMN C[C@@H](CCCNCC#N)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001277279878 805422352 /nfs/dbraw/zinc/42/23/52/805422352.db2.gz KCKUPDNCILILAR-WDEREUQCSA-N 0 1 290.371 0.623 20 30 CCEDMN CC#CCCCC(=O)NC1CCN([C@@H](C)C(=O)NC)CC1 ZINC001228779909 805479198 /nfs/dbraw/zinc/47/91/98/805479198.db2.gz VDDVMFYIUCSTBO-ZDUSSCGKSA-N 0 1 293.411 0.895 20 30 CCEDMN CCn1cccc(CNC(=O)NCC#CCN(C)C)c1=O ZINC001248137110 807507381 /nfs/dbraw/zinc/50/73/81/807507381.db2.gz XVQMVOWWEIOMFE-UHFFFAOYSA-N 0 1 290.367 0.232 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnc3nccn3c2)[C@H]1C ZINC001088746083 815124789 /nfs/dbraw/zinc/12/47/89/815124789.db2.gz JSLVHQKQDYGBQA-OCCSQVGLSA-N 0 1 297.362 0.945 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@H]1C=C[C@H]2C[C@@H]1CO2 ZINC001248570724 807518461 /nfs/dbraw/zinc/51/84/61/807518461.db2.gz DEAZLOUSTXVFGM-MJBXVCDLSA-N 0 1 277.368 0.442 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CCCN(CCOCCO)[C@H]1C ZINC001278511512 807536748 /nfs/dbraw/zinc/53/67/48/807536748.db2.gz WKNPWDLJWUQLAR-GJZGRUSLSA-N 0 1 296.411 0.624 20 30 CCEDMN CN1CCC(C#N)(NC[C@H](O)C(F)(F)F)CC1 ZINC001250999394 807632002 /nfs/dbraw/zinc/63/20/02/807632002.db2.gz JBWAJEVSFYKUDJ-QMMMGPOBSA-N 0 1 251.252 0.487 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3ccnc(OC)c3)[C@@H]2C1 ZINC001075978453 815136477 /nfs/dbraw/zinc/13/64/77/815136477.db2.gz MKFUPRCEEIKLNH-UONOGXRCSA-N 0 1 285.347 0.870 20 30 CCEDMN Cc1n[nH]c(C(=O)NCC[C@H](C)NC(=O)[C@H](C)C#N)c1C ZINC001075978848 815137905 /nfs/dbraw/zinc/13/79/05/815137905.db2.gz BCFZPIIILCJTNY-BDAKNGLRSA-N 0 1 291.355 0.811 20 30 CCEDMN CC[C@H]1C(=O)N(CC)CCN1C(=O)NCC#CCN(C)C ZINC001251708875 807696572 /nfs/dbraw/zinc/69/65/72/807696572.db2.gz ZSDCXNPOBKNRFD-ZDUSSCGKSA-N 0 1 294.399 0.204 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(C)C[C@H]1c1ccccc1 ZINC001251821391 807709136 /nfs/dbraw/zinc/70/91/36/807709136.db2.gz NOUXWRMVTUHLBR-IRXDYDNUSA-N 0 1 288.391 0.986 20 30 CCEDMN C#CCOC[C@H](O)CN1CC2CC1(C(=O)N1CCCC1)C2 ZINC001251844557 807716780 /nfs/dbraw/zinc/71/67/80/807716780.db2.gz SWSBKMOMHYJJCF-NPCAHTBFSA-N 0 1 292.379 0.084 20 30 CCEDMN C#CCOC[C@H](O)CN1CCC[C@H]1c1cnccn1 ZINC001251847836 807717922 /nfs/dbraw/zinc/71/79/22/807717922.db2.gz NRDGJMQDNVBYQF-OCCSQVGLSA-N 0 1 261.325 0.624 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ccnc(OC2CCC2)n1 ZINC001251900088 807741367 /nfs/dbraw/zinc/74/13/67/807741367.db2.gz JCLVUUNWEUAUTL-ZDUSSCGKSA-N 0 1 291.351 0.508 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCN(c2cccnc2)CC1 ZINC001252461332 807872220 /nfs/dbraw/zinc/87/22/20/807872220.db2.gz PCZLJKGRIMXAIK-HNNXBMFYSA-N 0 1 277.368 0.767 20 30 CCEDMN C=CCOC[C@@H](O)CN1CC2CC1(C(=O)N1CCCC1)C2 ZINC001252463031 807874006 /nfs/dbraw/zinc/87/40/06/807874006.db2.gz LMSYSMHXOYPNLT-BBBYJDLNSA-N 0 1 294.395 0.637 20 30 CCEDMN C=CCOCc1[nH]nc2c1CN(C[C@H](O)COCC=C)C2 ZINC001252463020 807874855 /nfs/dbraw/zinc/87/48/55/807874855.db2.gz KZFHUXJPOGJSIS-LBPRGKRZSA-N 0 1 293.367 0.991 20 30 CCEDMN C=CCOC[C@H](O)CN1CC(N2CCC(F)CC2)C1 ZINC001252465262 807877127 /nfs/dbraw/zinc/87/71/27/807877127.db2.gz DSNHQYXZUISPFY-CQSZACIVSA-N 0 1 272.364 0.668 20 30 CCEDMN C=CCOC[C@H](O)CN1CC2(C1)CCOC[C@H]2C(=O)OC ZINC001252469950 807879043 /nfs/dbraw/zinc/87/90/43/807879043.db2.gz FMAUXISXGMWURU-OLZOCXBDSA-N 0 1 299.367 0.061 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)c2cncn2C)C1 ZINC001278667869 807881404 /nfs/dbraw/zinc/88/14/04/807881404.db2.gz JOKLGAVTHCLLGH-OAHLLOKOSA-N 0 1 274.368 0.885 20 30 CCEDMN C=CCOC[C@H](O)CN[C@@H](CC(=O)OC)c1ccccn1 ZINC001252489970 807891346 /nfs/dbraw/zinc/89/13/46/807891346.db2.gz BLLBHWBYIHEETE-OCCSQVGLSA-N 0 1 294.351 0.839 20 30 CCEDMN C=CCOC[C@H](O)CNCc1cn(CCF)cn1 ZINC001252495851 807893762 /nfs/dbraw/zinc/89/37/62/807893762.db2.gz RZGULKHARUGBNZ-GFCCVEGCSA-N 0 1 257.309 0.506 20 30 CCEDMN C=CCOC[C@H](O)CN[C@H](CO)c1cccnc1 ZINC001252497745 807896743 /nfs/dbraw/zinc/89/67/43/807896743.db2.gz YAPSEMJIHKSWHY-CHWSQXEVSA-N 0 1 252.314 0.268 20 30 CCEDMN C=C[C@](C)(O)CNCc1[nH]cnc1C(=O)OCC ZINC001252569411 807912317 /nfs/dbraw/zinc/91/23/17/807912317.db2.gz RQAMXLPWLGBMNM-LBPRGKRZSA-N 0 1 253.302 0.613 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(c2ncncn2)CC1 ZINC001252604216 807918854 /nfs/dbraw/zinc/91/88/54/807918854.db2.gz PBFDYGQNTCHABV-GFCCVEGCSA-N 0 1 263.345 0.321 20 30 CCEDMN C=C[C@@H](O)CNc1nc[nH]c(=O)c1Br ZINC001253573918 808081431 /nfs/dbraw/zinc/08/14/31/808081431.db2.gz KQLREHXMVMZLLL-RXMQYKEDSA-N 0 1 260.091 0.904 20 30 CCEDMN C=C[C@H](O)CNCc1ccnc(OCCOC)n1 ZINC001253608770 808095633 /nfs/dbraw/zinc/09/56/33/808095633.db2.gz GWYOTACCZQDROQ-NSHDSACASA-N 0 1 253.302 0.138 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CCC(F)(F)C2)[C@@H](O)C1 ZINC001083511310 815186424 /nfs/dbraw/zinc/18/64/24/815186424.db2.gz MPMDYJBTULXADU-TUAOUCFPSA-N 0 1 286.322 0.606 20 30 CCEDMN C=C[C@H]1C[C@]1(NC(=O)C1CN(C)C1)C(=O)OCC ZINC001142600942 815190496 /nfs/dbraw/zinc/19/04/96/815190496.db2.gz RBIGJMUISMNHMK-GXFFZTMASA-N 0 1 252.314 0.172 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)[C@H](C)NC(C)=O)C1 ZINC001278833544 808307435 /nfs/dbraw/zinc/30/74/35/808307435.db2.gz QBHDTRIMXSQLSQ-RYUDHWBXSA-N 0 1 297.399 0.029 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1ccc(OC)o1 ZINC001278878510 808418462 /nfs/dbraw/zinc/41/84/62/808418462.db2.gz OUMGQXGJZCFVEU-LBPRGKRZSA-N 0 1 294.351 0.676 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001038495967 815204262 /nfs/dbraw/zinc/20/42/62/815204262.db2.gz FPQTVZDDRILWQY-SNVBAGLBSA-N 0 1 284.323 0.180 20 30 CCEDMN CC#CCN(C)CCN(C(=O)c1cnn(C)n1)C(C)C ZINC001278922940 808486623 /nfs/dbraw/zinc/48/66/23/808486623.db2.gz ZAACONIQRSPFAR-UHFFFAOYSA-N 0 1 277.372 0.621 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@H]2CCCc3n[nH]nc32)CCN1CC#N ZINC001088824156 815213219 /nfs/dbraw/zinc/21/32/19/815213219.db2.gz RPRFEAWWYSXDOU-VWYCJHECSA-N 0 1 288.355 0.327 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCOC[C@@H]1[C@H]1CCCO1 ZINC001256585915 808537113 /nfs/dbraw/zinc/53/71/13/808537113.db2.gz YFQORPDRJLABHN-ZIAGYGMSSA-N 0 1 295.383 0.141 20 30 CCEDMN CC(=O)NC[C@@H]1CCCCN1C(=O)NCC#CCN(C)C ZINC001256584521 808538030 /nfs/dbraw/zinc/53/80/30/808538030.db2.gz FTBJGHMRYZJYBP-AWEZNQCLSA-N 0 1 294.399 0.252 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCC[C@@H]1CNCc1cnon1 ZINC001272244811 815219420 /nfs/dbraw/zinc/21/94/20/815219420.db2.gz TUODAZYOVHZEOV-DGCLKSJQSA-N 0 1 294.355 0.647 20 30 CCEDMN CCOC(=O)c1cc(C#N)c(NS(N)(=O)=O)c(C)c1O ZINC001260080299 808846303 /nfs/dbraw/zinc/84/63/03/808846303.db2.gz HSXYAGUFRYIIRY-UHFFFAOYSA-N 0 1 299.308 0.364 20 30 CCEDMN Cc1nc(C#N)ccc1NS(=O)(=O)CS(C)(=O)=O ZINC001260584453 808868718 /nfs/dbraw/zinc/86/87/18/808868718.db2.gz HCMRCVSJLGPUOP-UHFFFAOYSA-N 0 1 289.338 0.006 20 30 CCEDMN C=C(C)C(=O)OC[C@@H](C)OCCN1CCN(C)CC1 ZINC001224552749 815258905 /nfs/dbraw/zinc/25/89/05/815258905.db2.gz SNAQGQKIZVXTKL-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3nccn4ccnc34)[C@@H]2C1 ZINC001076257286 815268159 /nfs/dbraw/zinc/26/81/59/815268159.db2.gz ODFMDPYLJGNQLF-QWHCGFSZSA-N 0 1 295.346 0.509 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3c3cnn(C)c3)[C@@H]2C1 ZINC001076269002 815269945 /nfs/dbraw/zinc/26/99/45/815269945.db2.gz RFPVYSOYQVREIN-ARLBYUKCSA-N 0 1 298.390 0.690 20 30 CCEDMN C=CCOC[C@H](NC(=O)N[C@@H]1CC[N@H+](C2CC2)C1)C(=O)[O-] ZINC001262176555 809221453 /nfs/dbraw/zinc/22/14/53/809221453.db2.gz RGXNZFUIIZGSFC-PWSUYJOCSA-N 0 1 297.355 0.178 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCN(CCCCCO)CC1 ZINC001262211719 809245875 /nfs/dbraw/zinc/24/58/75/809245875.db2.gz PKHGLUXQHWULDL-UHFFFAOYSA-N 0 1 294.403 0.534 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)C(=O)NCc1cc(C)[nH]n1 ZINC001262235345 809254684 /nfs/dbraw/zinc/25/46/84/809254684.db2.gz BFXKSXFBJZXQOI-GFCCVEGCSA-N 0 1 274.324 0.349 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](CNC(=O)CCC)C1 ZINC001263079897 809434911 /nfs/dbraw/zinc/43/49/11/809434911.db2.gz GATRBNOTULJWGY-CYBMUJFWSA-N 0 1 279.384 0.364 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C)Oc1cccc(F)c1 ZINC001263088093 809438516 /nfs/dbraw/zinc/43/85/16/809438516.db2.gz AHBCHZGPWKLGFC-NSHDSACASA-N 0 1 264.300 0.932 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)c2ccnc(CN)c2)CCO1 ZINC001263241670 809492127 /nfs/dbraw/zinc/49/21/27/809492127.db2.gz QNPXVUSUPSRKDT-CYBMUJFWSA-N 0 1 260.297 0.295 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)CCC=C)C1 ZINC001264074408 809626519 /nfs/dbraw/zinc/62/65/19/809626519.db2.gz BUUVSWRZBLBSQB-AWEZNQCLSA-N 0 1 264.369 0.871 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCCC(=O)N1 ZINC001264365501 809643189 /nfs/dbraw/zinc/64/31/89/809643189.db2.gz YUWHXPHCRQSOMY-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN CC#CCN(CCO)[C@@H]1CCCN(C(=O)C#CC(C)C)C1 ZINC001264630225 809666655 /nfs/dbraw/zinc/66/66/55/809666655.db2.gz NPDLRWUIWKPNDX-MRXNPFEDSA-N 0 1 290.407 0.954 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCn2cncn2)C1 ZINC001265203448 809722423 /nfs/dbraw/zinc/72/24/23/809722423.db2.gz JPVQMIYWQXXGLD-ZDUSSCGKSA-N 0 1 275.356 0.272 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)C=C(C)C)CC1 ZINC001265238400 809729933 /nfs/dbraw/zinc/72/99/33/809729933.db2.gz CRDFDUGHZSFHJB-UHFFFAOYSA-N 0 1 263.385 0.710 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2cccn2C)CC1 ZINC001265255859 809732241 /nfs/dbraw/zinc/73/22/41/809732241.db2.gz DBICCGBTSISFBP-UHFFFAOYSA-N 0 1 290.411 0.949 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C[C@](C)(O)C=C)CC1 ZINC001265258481 809733233 /nfs/dbraw/zinc/73/32/33/809733233.db2.gz JFLKHLAEFAQRRP-OAHLLOKOSA-N 0 1 281.400 0.233 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCc2ccnc(C)n2)C1 ZINC001265295791 809750965 /nfs/dbraw/zinc/75/09/65/809750965.db2.gz ZSTDNARZQCPLOU-CQSZACIVSA-N 0 1 272.352 0.541 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCc2cccnc2)C1 ZINC001265314540 809754859 /nfs/dbraw/zinc/75/48/59/809754859.db2.gz DBPYBNYWDRKXIW-CQSZACIVSA-N 0 1 275.352 0.975 20 30 CCEDMN CC(C)C#CC(=O)NCCCN(C)[C@@H](C)C(=O)NC1CC1 ZINC001265843357 809872703 /nfs/dbraw/zinc/87/27/03/809872703.db2.gz ZPGZXYDDYCBQCF-ZDUSSCGKSA-N 0 1 293.411 0.751 20 30 CCEDMN CCN(CCCNC(=O)c1ccc[nH]1)CC(=O)NCC#N ZINC001266019984 809919704 /nfs/dbraw/zinc/91/97/04/809919704.db2.gz ZIKJHQZVSUWSTI-UHFFFAOYSA-N 0 1 291.355 0.096 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)[C@H]2CC2(F)F)C1 ZINC001279478748 809982098 /nfs/dbraw/zinc/98/20/98/809982098.db2.gz CGFJERGVEZBARL-ZYHUDNBSSA-N 0 1 274.311 0.771 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2occc2C)C1 ZINC001076746220 815359469 /nfs/dbraw/zinc/35/94/69/815359469.db2.gz QOXGEOMRXKXTAU-VXGBXAGGSA-N 0 1 264.325 0.939 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)n2cccn2)C1 ZINC001076765962 815366625 /nfs/dbraw/zinc/36/66/25/815366625.db2.gz XMGFFBGDUGJNRC-JHJVBQTASA-N 0 1 278.356 0.182 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2cnsn2)C1 ZINC001076775724 815370662 /nfs/dbraw/zinc/37/06/62/815370662.db2.gz YEVHFYMDPPRABX-GHMZBOCLSA-N 0 1 282.369 0.279 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2[C@@H]3CCC[C@@H]32)C1 ZINC001076852560 815396678 /nfs/dbraw/zinc/39/66/78/815396678.db2.gz CQDRBTGTXRFQFA-ITGHMWBKSA-N 0 1 262.353 0.217 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cscc2C)C1 ZINC001076856707 815398247 /nfs/dbraw/zinc/39/82/47/815398247.db2.gz CVRBDNOXTMIVCU-CHWSQXEVSA-N 0 1 278.377 0.855 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC2(C)C)C1 ZINC001076895541 815407331 /nfs/dbraw/zinc/40/73/31/815407331.db2.gz MOXUBYLNYFHZNX-IJLUTSLNSA-N 0 1 252.358 0.770 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cn(C)cn1 ZINC001125676379 811072074 /nfs/dbraw/zinc/07/20/74/811072074.db2.gz QVMCQZCRBRZYAS-UHFFFAOYSA-N 0 1 287.161 0.648 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)C(C)(C)NC(C)=O ZINC001267277983 811086283 /nfs/dbraw/zinc/08/62/83/811086283.db2.gz DGWHFGYLTKKZMY-LBPRGKRZSA-N 0 1 267.373 0.668 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)Cn2cccn2)C1 ZINC001267285262 811097611 /nfs/dbraw/zinc/09/76/11/811097611.db2.gz FNIMSOVICCGBMT-CYBMUJFWSA-N 0 1 260.341 0.345 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@H](C)CNc2nccnc2C#N)n[nH]1 ZINC001104486555 811130049 /nfs/dbraw/zinc/13/00/49/811130049.db2.gz HXAGRKNHIBDCKH-SNVBAGLBSA-N 0 1 299.338 0.952 20 30 CCEDMN C=CCCOCC(=O)NC[C@H]1CCN([C@@H](C)C(=O)NC)C1 ZINC001267310107 811132798 /nfs/dbraw/zinc/13/27/98/811132798.db2.gz QEIJVOLSSUVCKB-QWHCGFSZSA-N 0 1 297.399 0.152 20 30 CCEDMN Cc1cnc(-n2c(C)ncc(CN)c2=N)cc1C#N ZINC001167672992 811149147 /nfs/dbraw/zinc/14/91/47/811149147.db2.gz FGRLOXHYFHRAOZ-UHFFFAOYSA-N 0 1 254.297 0.694 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nn1)N(C)C(=O)Cc1ccn[nH]1 ZINC001104499708 811178927 /nfs/dbraw/zinc/17/89/27/811178927.db2.gz QWJOPAIVJUALJQ-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCNCc1ocnc1C ZINC001267372400 811228823 /nfs/dbraw/zinc/22/88/23/811228823.db2.gz OUVVAGGDGRBWHX-GFCCVEGCSA-N 0 1 279.340 0.569 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cccnc3)[C@@H]2C1 ZINC001075492472 811362893 /nfs/dbraw/zinc/36/28/93/811362893.db2.gz SSFLWEMCOXUPNZ-UONOGXRCSA-N 0 1 255.321 0.861 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](CCNC(=O)[C@H](C)CC)C1 ZINC001267507974 811384288 /nfs/dbraw/zinc/38/42/88/811384288.db2.gz HVOVJBGDHDLLCT-KGLIPLIRSA-N 0 1 293.411 0.610 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@H](COC)OC)c1ccccc1 ZINC001267523179 811401702 /nfs/dbraw/zinc/40/17/02/811401702.db2.gz QHMVOFYOTAXRKL-GJZGRUSLSA-N 0 1 290.363 0.728 20 30 CCEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CCOC)C1 ZINC001267540648 811421518 /nfs/dbraw/zinc/42/15/18/811421518.db2.gz WPHOFNNWVPJUSL-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)c2cnco2)CC1 ZINC001267608832 811558158 /nfs/dbraw/zinc/55/81/58/811558158.db2.gz DMFVCXGYQORLJP-UHFFFAOYSA-N 0 1 290.367 0.388 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@H]1CCN(C(=O)/C(C)=C\C)C1 ZINC001267638880 811600646 /nfs/dbraw/zinc/60/06/46/811600646.db2.gz UJOSTYXSMQFJSK-CNTYAEFGSA-N 0 1 291.395 0.482 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001267667809 811631682 /nfs/dbraw/zinc/63/16/82/811631682.db2.gz MCEQPIYEIZWPDJ-DGCLKSJQSA-N 0 1 296.415 0.979 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)C#CC2CC2)C1 ZINC001267680957 811646491 /nfs/dbraw/zinc/64/64/91/811646491.db2.gz DIITZFOTVCLIEN-UHFFFAOYSA-N 0 1 262.353 0.650 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2nc(C)c[nH]2)C1 ZINC001267694933 811659287 /nfs/dbraw/zinc/65/92/87/811659287.db2.gz UYPFOCJJVJVPND-UHFFFAOYSA-N 0 1 278.356 0.582 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN([C@H]2CCCN(C)C2=O)C1 ZINC001267723165 811688830 /nfs/dbraw/zinc/68/88/30/811688830.db2.gz YHRJWSQPBSYOKJ-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CCN(CCOCC(C)C)C1 ZINC001267741692 811724901 /nfs/dbraw/zinc/72/49/01/811724901.db2.gz JBLHFQXBETVGKG-LSDHHAIUSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3[nH]cnc3C)[C@H]2C1 ZINC001083293242 811759940 /nfs/dbraw/zinc/75/99/40/811759940.db2.gz UPVLRUQAEPKBAL-QWHCGFSZSA-N 0 1 288.351 0.267 20 30 CCEDMN C=CCOCCN1CC[C@@H](N(C)C(=O)c2csnn2)C1 ZINC001267767887 811765098 /nfs/dbraw/zinc/76/50/98/811765098.db2.gz KFMJNDFVNPTUIP-LLVKDONJSA-N 0 1 296.396 0.887 20 30 CCEDMN CC[C@H](CNC(=O)C(N)=O)NCC#Cc1ccccc1 ZINC001267817084 811813358 /nfs/dbraw/zinc/81/33/58/811813358.db2.gz JCULTIUWSNLXIC-CYBMUJFWSA-N 0 1 273.336 0.008 20 30 CCEDMN N#CCNCCC1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001267917490 811868653 /nfs/dbraw/zinc/86/86/53/811868653.db2.gz IWOWTFRLTRXHNX-UHFFFAOYSA-N 0 1 276.344 0.089 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2ccc(C)c(F)c2)C1 ZINC001077338071 815482131 /nfs/dbraw/zinc/48/21/31/815482131.db2.gz GUIAGYHOKIMYRH-HUUCEWRRSA-N 0 1 290.338 0.932 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C)c(F)c2)C1 ZINC001077338071 815482132 /nfs/dbraw/zinc/48/21/32/815482132.db2.gz GUIAGYHOKIMYRH-HUUCEWRRSA-N 0 1 290.338 0.932 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@@H]1CCCN(CCOCCO)C1 ZINC001267930800 811874981 /nfs/dbraw/zinc/87/49/81/811874981.db2.gz AKQVPBRNCACGPF-CQSZACIVSA-N 0 1 296.411 0.625 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)CNCc1cnn(C)n1 ZINC001267999493 811936293 /nfs/dbraw/zinc/93/62/93/811936293.db2.gz DPGJTHIVJUNOJT-ZDUSSCGKSA-N 0 1 291.399 0.851 20 30 CCEDMN N#Cc1cc(C(=O)NCCNCc2ccns2)c[nH]1 ZINC001125994373 811971014 /nfs/dbraw/zinc/97/10/14/811971014.db2.gz ADAQLCISYGRDAX-UHFFFAOYSA-N 0 1 275.337 0.862 20 30 CCEDMN CCNC(=O)CC(=O)NCCNCC#Cc1ccccc1 ZINC001126003426 811974292 /nfs/dbraw/zinc/97/42/92/811974292.db2.gz VNDJOGSMFQETJO-UHFFFAOYSA-N 0 1 287.363 0.270 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2ccccc2)[C@@H](O)C1 ZINC001083576381 815494246 /nfs/dbraw/zinc/49/42/46/815494246.db2.gz WCZDEZUVBKBDRY-CABCVRRESA-N 0 1 272.348 0.414 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)c1cccc([N+](=O)[O-])c1 ZINC000092492661 812048886 /nfs/dbraw/zinc/04/88/86/812048886.db2.gz COAIRYFNMWXGMV-JTQLQIEISA-N 0 1 261.281 0.995 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CC(=O)N(CCC)C1 ZINC001038758474 812052673 /nfs/dbraw/zinc/05/26/73/812052673.db2.gz XROYOAFGQBGIAS-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cnnn1CC ZINC001027871588 812109499 /nfs/dbraw/zinc/10/94/99/812109499.db2.gz NQFYLIPGADQRCN-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cnnn1CC ZINC001027871588 812109504 /nfs/dbraw/zinc/10/95/04/812109504.db2.gz NQFYLIPGADQRCN-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H]1CNC(=O)c1ccc2nn[n-]c2n1 ZINC001027921093 812141969 /nfs/dbraw/zinc/14/19/69/812141969.db2.gz NSQHEKCKLHVNGI-LLVKDONJSA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc2n[nH]nc2n1 ZINC001027921093 812141979 /nfs/dbraw/zinc/14/19/79/812141979.db2.gz NSQHEKCKLHVNGI-LLVKDONJSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001038829729 812172839 /nfs/dbraw/zinc/17/28/39/812172839.db2.gz NKIOZCMJHAGDOA-LBPRGKRZSA-N 0 1 260.341 0.854 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1c(C)n[nH]c1C ZINC001038829729 812172842 /nfs/dbraw/zinc/17/28/42/812172842.db2.gz NKIOZCMJHAGDOA-LBPRGKRZSA-N 0 1 260.341 0.854 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](Nc3cnc(C#N)cn3)C2)[nH]1 ZINC001058848679 812190335 /nfs/dbraw/zinc/19/03/35/812190335.db2.gz LLDNPHFQUARMFZ-JTQLQIEISA-N 0 1 297.322 0.706 20 30 CCEDMN Cn1oc(C(=O)NCCNCC#Cc2ccccc2)cc1=O ZINC001126292142 812207773 /nfs/dbraw/zinc/20/77/73/812207773.db2.gz LSZIDJWWOLIDMZ-UHFFFAOYSA-N 0 1 299.330 0.349 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnc2nccn2c1 ZINC001028028060 812224096 /nfs/dbraw/zinc/22/40/96/812224096.db2.gz UEARNEGZIHHUMU-CYBMUJFWSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001028048690 812232837 /nfs/dbraw/zinc/23/28/37/812232837.db2.gz YOCGCRMUXZOYLJ-LJISPDSOSA-N 0 1 292.379 0.252 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCN(C)c2cncc(C#N)n2)[nH]1 ZINC001105353496 812246937 /nfs/dbraw/zinc/24/69/37/812246937.db2.gz XEZONWPRJGVYIR-UHFFFAOYSA-N 0 1 299.338 0.588 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCN(C)C1=O ZINC001028085198 812262052 /nfs/dbraw/zinc/26/20/52/812262052.db2.gz GBARHEIDBJKRSD-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ccnc(N(C)C)c1 ZINC001028176409 812332358 /nfs/dbraw/zinc/33/23/58/812332358.db2.gz FDWNCJWZHVWWAZ-CQSZACIVSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccnc(N(C)C)c1 ZINC001028176409 812332369 /nfs/dbraw/zinc/33/23/69/812332369.db2.gz FDWNCJWZHVWWAZ-CQSZACIVSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCCN(C)C1=O ZINC001038917254 812340932 /nfs/dbraw/zinc/34/09/32/812340932.db2.gz BOBVLYHFZYNPSL-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001268223897 812379493 /nfs/dbraw/zinc/37/94/93/812379493.db2.gz CWYSOUSEDUWATL-QWHCGFSZSA-N 0 1 267.373 0.668 20 30 CCEDMN CCC[C@@H](OC)C(=O)N(C)CCN(C)CC#CCOC ZINC001268244397 812412661 /nfs/dbraw/zinc/41/26/61/812412661.db2.gz BQECXKLDJUJHIT-CQSZACIVSA-N 0 1 284.400 0.842 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnc2c(cnn2C)c1 ZINC001028225221 812430750 /nfs/dbraw/zinc/43/07/50/812430750.db2.gz VSRUDWFGCWSLNP-CQSZACIVSA-N 0 1 297.362 0.796 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](O)CNCc1cnc(C)o1 ZINC001268306647 812470026 /nfs/dbraw/zinc/47/00/26/812470026.db2.gz OVYZWRZXCROOTK-GFCCVEGCSA-N 0 1 281.356 0.906 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001038976914 812520727 /nfs/dbraw/zinc/52/07/27/812520727.db2.gz RUTNFUDJZAZYIL-CHWSQXEVSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cccn(C)c1=O ZINC001028269802 812534184 /nfs/dbraw/zinc/53/41/84/812534184.db2.gz AQKYSESPHXDVLV-LBPRGKRZSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001028291294 812590059 /nfs/dbraw/zinc/59/00/59/812590059.db2.gz RUCVAYXFZWNBLE-ZIAGYGMSSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cncc(C(N)=O)c1 ZINC001028323218 812617889 /nfs/dbraw/zinc/61/78/89/812617889.db2.gz PSKSOUPMKQNTFK-ZDUSSCGKSA-N 0 1 288.351 0.561 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCN1C(C)=O ZINC001028339800 812630580 /nfs/dbraw/zinc/63/05/80/812630580.db2.gz CMIIKXVDAJQFKR-HUUCEWRRSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)cn1 ZINC001028346801 812634127 /nfs/dbraw/zinc/63/41/27/812634127.db2.gz HFCAWFJDJQESEO-GFCCVEGCSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001028484864 812754073 /nfs/dbraw/zinc/75/40/73/812754073.db2.gz FDKMLIIBSKTCIN-SNVBAGLBSA-N 0 1 262.313 0.109 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN[C@@H](C)c1n[nH]c(C)n1 ZINC001126377988 812810930 /nfs/dbraw/zinc/81/09/30/812810930.db2.gz HLDMVCMGNYHLHT-ZANVPECISA-N 0 1 281.360 0.207 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cncs1 ZINC001126379189 812825052 /nfs/dbraw/zinc/82/50/52/812825052.db2.gz ZHAXZKRWQSLGQC-LBPRGKRZSA-N 0 1 269.370 0.676 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001028542440 812829730 /nfs/dbraw/zinc/82/97/30/812829730.db2.gz QEROEYMJUKCJKS-OCCSQVGLSA-N 0 1 286.379 0.901 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001028616768 812978565 /nfs/dbraw/zinc/97/85/65/812978565.db2.gz ZNQLMNAYESNWDH-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)Cc1cnn(C)c1C ZINC001268732973 813049069 /nfs/dbraw/zinc/04/90/69/813049069.db2.gz BHZYTCROXHDBNH-GFCCVEGCSA-N 0 1 276.384 0.731 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001268758609 813068137 /nfs/dbraw/zinc/06/81/37/813068137.db2.gz YBRBMOGYVGGGTD-QWHCGFSZSA-N 0 1 288.395 0.974 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC1CN(CCOC2CCC2)C1 ZINC001268828692 813106180 /nfs/dbraw/zinc/10/61/80/813106180.db2.gz JPGKRBNMGAEUGB-ZDUSSCGKSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H](OC)[C@H]2CCOC2)C1 ZINC001268859156 813118732 /nfs/dbraw/zinc/11/87/32/813118732.db2.gz XZMMFGPLUWTKCI-STQMWFEESA-N 0 1 268.357 0.272 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)CCCCN2C(=O)c1cnc[nH]1 ZINC001268867302 813124597 /nfs/dbraw/zinc/12/45/97/813124597.db2.gz GPSHWHAYTJWSPB-HNNXBMFYSA-N 0 1 286.335 0.640 20 30 CCEDMN C=CCN1CC(CNC(=O)CNC(=O)c2cccs2)C1 ZINC001268905798 813139750 /nfs/dbraw/zinc/13/97/50/813139750.db2.gz HLUFEPQYZHZTFT-UHFFFAOYSA-N 0 1 293.392 0.712 20 30 CCEDMN C#CC(=O)N1CCC[C@]2(CCN(CC(=O)N(C)C)C2)C1 ZINC001268941437 813151634 /nfs/dbraw/zinc/15/16/34/813151634.db2.gz AIGHWOXVWWBEKD-OAHLLOKOSA-N 0 1 277.368 0.022 20 30 CCEDMN C#CCOCCC(=O)NCCN[C@@H](C)c1cnccn1 ZINC001127031464 815580631 /nfs/dbraw/zinc/58/06/31/815580631.db2.gz CHZZQGQEBXQTDC-LBPRGKRZSA-N 0 1 276.340 0.283 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2C(=O)CN(C)CC)C1=O ZINC001269093490 813213346 /nfs/dbraw/zinc/21/33/46/813213346.db2.gz ZNTVTQQMJBPUIL-OAHLLOKOSA-N 0 1 277.368 0.165 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCCO3)[C@@H]2C1 ZINC001075689036 813271084 /nfs/dbraw/zinc/27/10/84/813271084.db2.gz GIGUCICOSMSXFG-MJBXVCDLSA-N 0 1 262.353 0.721 20 30 CCEDMN N#CC1(NC(=O)[C@H]2CC23CN(C(=O)c2ccn[nH]2)C3)CCC1 ZINC001269604022 813442660 /nfs/dbraw/zinc/44/26/60/813442660.db2.gz RWNSAPAJJIPOJC-SNVBAGLBSA-N 0 1 299.334 0.434 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc2nc[nH]c2n1 ZINC001038406233 813494875 /nfs/dbraw/zinc/49/48/75/813494875.db2.gz SQNNEWXKMWXDMP-LLVKDONJSA-N 0 1 283.335 0.785 20 30 CCEDMN C=C(C)CN1CC[C@]2(CCN(C(=O)[C@H](C)N(C)C)C2)C1=O ZINC001269796661 813510052 /nfs/dbraw/zinc/51/00/52/813510052.db2.gz IKOJIINKWLPMHJ-XJKSGUPXSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)Cn2ncnn2)C1 ZINC001269794076 813510889 /nfs/dbraw/zinc/51/08/89/813510889.db2.gz NEWYTNDZJYPBFG-LLVKDONJSA-N 0 1 278.360 0.170 20 30 CCEDMN C=CCNC(=O)[C@H]1CC12CCN(C(=O)[C@H](C)N(C)C)CC2 ZINC001269797369 813514562 /nfs/dbraw/zinc/51/45/62/813514562.db2.gz WBPDFMORIFEICR-QWHCGFSZSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001269875655 813541633 /nfs/dbraw/zinc/54/16/33/813541633.db2.gz LYFWDDVUWCHVEI-YPMHNXCESA-N 0 1 279.384 0.762 20 30 CCEDMN C=CCN1CC(N(C)C(=O)[C@H](C)CN2CCOCC2)C1 ZINC001269886780 813546206 /nfs/dbraw/zinc/54/62/06/813546206.db2.gz MUBAJLCKGKWQRD-CYBMUJFWSA-N 0 1 281.400 0.283 20 30 CCEDMN C#CCC[N@H+]1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001075722393 813593326 /nfs/dbraw/zinc/59/33/26/813593326.db2.gz RAJVWGYTISZMKP-QWHCGFSZSA-N 0 1 285.347 0.957 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2CCN(C(=O)c3ncccc3[O-])[C@@H]2C1 ZINC001075722393 813593330 /nfs/dbraw/zinc/59/33/30/813593330.db2.gz RAJVWGYTISZMKP-QWHCGFSZSA-N 0 1 285.347 0.957 20 30 CCEDMN CC(C)(O)[C@H]1CCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000721787806 813736931 /nfs/dbraw/zinc/73/69/31/813736931.db2.gz JTKOXTUATZQLML-SWLSCSKDSA-N 0 1 279.384 0.888 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)CN[C@@H](C)c1nncn1C ZINC001270401928 813751015 /nfs/dbraw/zinc/75/10/15/813751015.db2.gz LGWLRNVEJLBVMU-STQMWFEESA-N 0 1 291.399 0.877 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)CN[C@@H](C)c1nncn1C ZINC001270401926 813751120 /nfs/dbraw/zinc/75/11/20/813751120.db2.gz LGWLRNVEJLBVMU-OLZOCXBDSA-N 0 1 291.399 0.877 20 30 CCEDMN C=CCCOCC(=O)N1CC(n2cc(CNCC)nn2)C1 ZINC001105380588 813807847 /nfs/dbraw/zinc/80/78/47/813807847.db2.gz AKXICBJKCHEKPF-UHFFFAOYSA-N 0 1 293.371 0.364 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001270600061 813832292 /nfs/dbraw/zinc/83/22/92/813832292.db2.gz SLSITXLLWGIWOT-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@@H](F)CCN1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@@H](O)C1 ZINC001083413245 814030038 /nfs/dbraw/zinc/03/00/38/814030038.db2.gz FNNRORJHSRDJSU-WQAKAFBOSA-N 0 1 294.330 0.409 20 30 CCEDMN CC#CC(=O)N1Cc2n[nH]c(C(=O)N3CCCCO3)c2C1 ZINC001271095311 814148895 /nfs/dbraw/zinc/14/88/95/814148895.db2.gz QTHLXAXPDCEMQA-UHFFFAOYSA-N 0 1 288.307 0.443 20 30 CCEDMN C/C=C(\C)C(=O)NC[C@@]1(O)CCN(CC#CCOC)C1 ZINC001271136492 814196616 /nfs/dbraw/zinc/19/66/16/814196616.db2.gz AWVMCXXSCJXKLJ-IEWBSGLLSA-N 0 1 280.368 0.155 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@]34C[C@H]3COC4)[C@@H]2C1 ZINC001075817979 814197078 /nfs/dbraw/zinc/19/70/78/814197078.db2.gz WIYUYSSAHOIKOV-XPCVCDNBSA-N 0 1 260.337 0.189 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)CC)[C@H](OC)C1 ZINC001211879970 814224868 /nfs/dbraw/zinc/22/48/68/814224868.db2.gz JKMGXVKLKSAWIR-YNEHKIRRSA-N 0 1 252.358 0.871 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC12CC(C(N)=O)(C1)C2 ZINC001271157249 814232210 /nfs/dbraw/zinc/23/22/10/814232210.db2.gz HLFZNDXCDAQIQJ-SQNUNTJVSA-N 0 1 289.360 0.955 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2C[C@@H]2C(=O)OC)C1 ZINC001042533464 814321043 /nfs/dbraw/zinc/32/10/43/814321043.db2.gz PMPZQPVCLFTJKW-STQMWFEESA-N 0 1 280.368 0.904 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(C[C@H]2C(=O)NCc2cnc[nH]2)C1 ZINC001271220674 814339354 /nfs/dbraw/zinc/33/93/54/814339354.db2.gz JEUQIKRTGMVPMX-ONGXEEELSA-N 0 1 287.323 0.034 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2C(=O)c2cc(C)[nH]n2)C1=O ZINC001271314005 814377046 /nfs/dbraw/zinc/37/70/46/814377046.db2.gz CKGAFSKMQSBULW-OAHLLOKOSA-N 0 1 286.335 0.558 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2C(=O)c2cc(C)[nH]n2)C1=O ZINC001271314004 814377362 /nfs/dbraw/zinc/37/73/62/814377362.db2.gz CKGAFSKMQSBULW-HNNXBMFYSA-N 0 1 286.335 0.558 20 30 CCEDMN CS[C@H](C)CC(=O)NCCN1CCC(NCC#N)CC1 ZINC001271904790 814627618 /nfs/dbraw/zinc/62/76/18/814627618.db2.gz HSSFSRKQYWVLFT-GFCCVEGCSA-N 0 1 298.456 0.822 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cnn(C)c2)[C@H]1C ZINC001088485510 814737218 /nfs/dbraw/zinc/73/72/18/814737218.db2.gz NVQFOWZGWLAWIA-YPMHNXCESA-N 0 1 260.341 0.175 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CC2OCCCO2)[C@H]1C ZINC001088539092 814859711 /nfs/dbraw/zinc/85/97/11/814859711.db2.gz IJYNIXOQVLHPGV-NEPJUHHUSA-N 0 1 266.341 0.352 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCNc1ncnc2[nH]cnc21 ZINC001101544154 814865221 /nfs/dbraw/zinc/86/52/21/814865221.db2.gz NVTYYCYMWBOHAN-QMMMGPOBSA-N 0 1 273.300 0.334 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1ncn(C)n1 ZINC001126678259 814877048 /nfs/dbraw/zinc/87/70/48/814877048.db2.gz QJXZAMSWOFJFNQ-UHFFFAOYSA-N 0 1 288.149 0.043 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cn2c(n1)COCC2 ZINC001272049767 814882665 /nfs/dbraw/zinc/88/26/65/814882665.db2.gz ULPAIMYGEPKUBE-UHFFFAOYSA-N 0 1 290.367 0.440 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CCO[C@H]2C2CC2)[C@@H](O)C1 ZINC001083656959 815622428 /nfs/dbraw/zinc/62/24/28/815622428.db2.gz XYILYELIXIBOJT-KBXIAJHMSA-N 0 1 294.395 0.539 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@@H]2Cc3ccccc3CN2)CCO1 ZINC000093934142 815767424 /nfs/dbraw/zinc/76/74/24/815767424.db2.gz NZSIMHZYQQIEEH-KGLIPLIRSA-N 0 1 271.320 0.452 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@@H](CNCC#N)[C@H](C)C2)[nH]1 ZINC001105938677 816014558 /nfs/dbraw/zinc/01/45/58/816014558.db2.gz WXZHQBXESWVRJD-MWLCHTKSSA-N 0 1 261.329 0.539 20 30 CCEDMN C#CCN1CC(NC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001030415951 816025004 /nfs/dbraw/zinc/02/50/04/816025004.db2.gz SDAQXNOSHCCIOA-UHFFFAOYSA-N 0 1 281.319 0.519 20 30 CCEDMN C#CCN1CC(NC(=O)c2ccc3cncn3c2C)C1 ZINC001030586397 816127798 /nfs/dbraw/zinc/12/77/98/816127798.db2.gz LNEZKPUOLZCBIZ-UHFFFAOYSA-N 0 1 268.320 0.690 20 30 CCEDMN C=C(Br)CN1CC(NC(=O)c2cnn[nH]2)C1 ZINC001030598110 816135440 /nfs/dbraw/zinc/13/54/40/816135440.db2.gz LOPGQVCKEKUWHO-UHFFFAOYSA-N 0 1 286.133 0.127 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2nccc2C)[C@H]1C ZINC001088890973 816162641 /nfs/dbraw/zinc/16/26/41/816162641.db2.gz WRGOAONXPUXXNO-OLZOCXBDSA-N 0 1 260.341 0.404 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(=O)N2CC[C@H](C)C2)[C@H]1C ZINC001088967541 816198010 /nfs/dbraw/zinc/19/80/10/816198010.db2.gz LWAVGBCNHIBPPQ-XQQFMLRXSA-N 0 1 279.384 0.620 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2CCc3n[nH]nc3C2)[C@H]1C ZINC001088978384 816200052 /nfs/dbraw/zinc/20/00/52/816200052.db2.gz MJIGNKLRIIRONG-UTUOFQBUSA-N 0 1 287.367 0.122 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)[C@H]1C ZINC001089009483 816211982 /nfs/dbraw/zinc/21/19/82/816211982.db2.gz JWLXAECLZFMUTG-YUELXQCFSA-N 0 1 291.395 0.457 20 30 CCEDMN Cc1n[nH]c(C(F)(F)F)c1C(=O)NC1CN(CC#N)C1 ZINC001030688317 816221263 /nfs/dbraw/zinc/22/12/63/816221263.db2.gz ZVPDAISFNXLCRK-UHFFFAOYSA-N 0 1 287.245 0.675 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)N2CCCCC2=O)[C@H]1C ZINC001089055927 816226214 /nfs/dbraw/zinc/22/62/14/816226214.db2.gz IGJTTYYEISANGK-RDBSUJKOSA-N 0 1 291.395 0.600 20 30 CCEDMN C=CCNC(=O)[C@H]1CC12CCN(CC(=O)N(C)C)CC2 ZINC001272534452 816558446 /nfs/dbraw/zinc/55/84/46/816558446.db2.gz IYSXZZGNYCELJH-GFCCVEGCSA-N 0 1 279.384 0.479 20 30 CCEDMN C=C(C)CN1CC[C@]2(CCCN2Cc2c[nH]nn2)C1=O ZINC001272568838 816589628 /nfs/dbraw/zinc/58/96/28/816589628.db2.gz HDZCKROPJPQWLQ-CQSZACIVSA-N 0 1 275.356 0.948 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1c[nH]nn1 ZINC001272568492 816590196 /nfs/dbraw/zinc/59/01/96/816590196.db2.gz FWADMWQUSDOVCF-VXGBXAGGSA-N 0 1 259.313 0.003 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCN(C(C)=O)C2)C1 ZINC001046462046 816597391 /nfs/dbraw/zinc/59/73/91/816597391.db2.gz SUDMJUKSJDFWEI-GOEBONIOSA-N 0 1 291.395 0.459 20 30 CCEDMN O=C(NC1CN(CC#Cc2ccccc2)C1)c1ncn[nH]1 ZINC001031123026 816669017 /nfs/dbraw/zinc/66/90/17/816669017.db2.gz DKUIRMZKICNOFE-UHFFFAOYSA-N 0 1 281.319 0.270 20 30 CCEDMN O=C(NC1CN(CC#Cc2ccccc2)C1)c1nnc[nH]1 ZINC001031123026 816669019 /nfs/dbraw/zinc/66/90/19/816669019.db2.gz DKUIRMZKICNOFE-UHFFFAOYSA-N 0 1 281.319 0.270 20 30 CCEDMN O=C(NC1C[NH+](CC#Cc2ccccc2)C1)c1nnc[n-]1 ZINC001031123026 816669021 /nfs/dbraw/zinc/66/90/21/816669021.db2.gz DKUIRMZKICNOFE-UHFFFAOYSA-N 0 1 281.319 0.270 20 30 CCEDMN C[C@H](CCNc1ccc(C#N)nc1)NC(=O)Cc1nnc[nH]1 ZINC001106436050 816770119 /nfs/dbraw/zinc/77/01/19/816770119.db2.gz XMAJFKHYALWRID-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCCN1CC(NC(=O)c2ccc(-c3nnc[nH]3)cc2)C1 ZINC001031197081 816782434 /nfs/dbraw/zinc/78/24/34/816782434.db2.gz UMUFZHARNMPUNL-UHFFFAOYSA-N 0 1 295.346 0.909 20 30 CCEDMN C[C@@H](CCNc1ccncc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001106598914 816821049 /nfs/dbraw/zinc/82/10/49/816821049.db2.gz TVMWILYLDBUIEF-NSHDSACASA-N 0 1 298.350 0.648 20 30 CCEDMN C[C@@H](CCNc1cnc(C#N)cn1)NC(=O)Cc1cnc[nH]1 ZINC001106598959 816821114 /nfs/dbraw/zinc/82/11/14/816821114.db2.gz USBSUQUMRRHYJL-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccnc2C)[C@@H](O)C1 ZINC001083739831 816905282 /nfs/dbraw/zinc/90/52/82/816905282.db2.gz ZIZOPGULCWUBEF-KGLIPLIRSA-N 0 1 273.336 0.188 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@@H]2O)CC1 ZINC001083754282 817003750 /nfs/dbraw/zinc/00/37/50/817003750.db2.gz JRVPOPAOLGUGMN-BNOWGMLFSA-N 0 1 282.384 0.275 20 30 CCEDMN COC[C@H](C)N1C[C@@H](NC(=O)C#CC(C)C)[C@H](OC)C1 ZINC001212241712 817179844 /nfs/dbraw/zinc/17/98/44/817179844.db2.gz WPQKEYPRHUSXDC-BFHYXJOUSA-N 0 1 282.384 0.496 20 30 CCEDMN N#Cc1cc(C(=O)NCC2CN(CCn3cccn3)C2)c[nH]1 ZINC001031621636 817192524 /nfs/dbraw/zinc/19/25/24/817192524.db2.gz LKYSSJNBGXNPAX-UHFFFAOYSA-N 0 1 298.350 0.445 20 30 CCEDMN CCNCc1cn([C@H]2CCN(C(=O)C#CC3CC3)C2)nn1 ZINC001089583916 817213342 /nfs/dbraw/zinc/21/33/42/817213342.db2.gz HNBLPXREVAYXGQ-AWEZNQCLSA-N 0 1 287.367 0.574 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccc(C)nn2)C1 ZINC001031660337 817226298 /nfs/dbraw/zinc/22/62/98/817226298.db2.gz HDNOILSIKNOUCZ-UHFFFAOYSA-N 0 1 258.325 0.470 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC2CC(OCC)C2)C1 ZINC001077554347 817230012 /nfs/dbraw/zinc/23/00/12/817230012.db2.gz JNTKCTXAQVLSLX-NEXFUWMNSA-N 0 1 294.395 0.376 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ncccc2NC(C)=O)C1 ZINC001031719332 817285929 /nfs/dbraw/zinc/28/59/29/817285929.db2.gz CIEYUHAZVAVWDR-UHFFFAOYSA-N 0 1 288.351 0.888 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCCc3nccn32)C1 ZINC001031730738 817295543 /nfs/dbraw/zinc/29/55/43/817295543.db2.gz XAHNGGYMYFOGNG-CYBMUJFWSA-N 0 1 274.368 0.995 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)c1[nH]nnc1C ZINC001089678637 817309901 /nfs/dbraw/zinc/30/99/01/817309901.db2.gz UEWVXPCGANQLAG-LBPRGKRZSA-N 0 1 289.383 0.967 20 30 CCEDMN C#CCCCCC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000180158068 817322742 /nfs/dbraw/zinc/32/27/42/817322742.db2.gz UJTKAAYIGDHUAW-AWEZNQCLSA-N 0 1 265.401 0.932 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cn3c(n2)COCC3)C1 ZINC001031767822 817351047 /nfs/dbraw/zinc/35/10/47/817351047.db2.gz MZQJITSXZPOLBT-UHFFFAOYSA-N 0 1 290.367 0.651 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)NC(=O)CC)[C@H](OC)C1 ZINC001212312998 817364124 /nfs/dbraw/zinc/36/41/24/817364124.db2.gz AZTIDCLRTBZUJW-YNEHKIRRSA-N 0 1 297.399 0.293 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(OC)ccn2)C1 ZINC001031894115 817447882 /nfs/dbraw/zinc/44/78/82/817447882.db2.gz NNNMRTMASDPADT-UHFFFAOYSA-N 0 1 261.325 0.938 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccoc2CC(N)=O)C1 ZINC001031927558 817470462 /nfs/dbraw/zinc/47/04/62/817470462.db2.gz JBCSDKIMNVJHDW-UHFFFAOYSA-N 0 1 291.351 0.545 20 30 CCEDMN C=C1CCC(C(=O)NCC2CN(CCO)C2)CC1 ZINC001031949750 817488432 /nfs/dbraw/zinc/48/84/32/817488432.db2.gz GNYKKYKIBAEGQL-UHFFFAOYSA-N 0 1 252.358 0.773 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001031993645 817529793 /nfs/dbraw/zinc/52/97/93/817529793.db2.gz QYQHZMFBGNZXSI-UONOGXRCSA-N 0 1 274.368 0.758 20 30 CCEDMN N#C[C@H]1CN(Cc2cccc(C(N)=O)c2)CCC1=O ZINC001237695142 817543007 /nfs/dbraw/zinc/54/30/07/817543007.db2.gz VVSLYFUJHTXXAT-LBPRGKRZSA-N 0 1 257.293 0.700 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001038599743 817552793 /nfs/dbraw/zinc/55/27/93/817552793.db2.gz KPPCHCHYWZURCC-NDBYEHHHSA-N 0 1 250.342 0.645 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2c(C)nn(C)c2OC)C1 ZINC001032020866 817555266 /nfs/dbraw/zinc/55/52/66/817555266.db2.gz XMAFYZGFDRMNFU-UHFFFAOYSA-N 0 1 292.383 0.975 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn(C(F)F)c2)C1 ZINC001032073789 817605779 /nfs/dbraw/zinc/60/57/79/817605779.db2.gz HUJPOPYGQGRZIL-UHFFFAOYSA-N 0 1 282.294 0.963 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(Cc1ccnn1C)CC2 ZINC001272734726 817621273 /nfs/dbraw/zinc/62/12/73/817621273.db2.gz DHSHAVFBKZZAEV-CQSZACIVSA-N 0 1 286.379 0.772 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccc(C#C)cn2)C1 ZINC001032136805 817662372 /nfs/dbraw/zinc/66/23/72/817662372.db2.gz HPLJWMRRJASIBB-UHFFFAOYSA-N 0 1 267.332 0.748 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ncccc2OC)C1 ZINC001032148890 817665640 /nfs/dbraw/zinc/66/56/40/817665640.db2.gz KLKJLWGYODSNCI-UHFFFAOYSA-N 0 1 261.325 0.938 20 30 CCEDMN N#CCN1CC(CNC(=O)c2[nH]nc3c2CCCCC3)C1 ZINC001032187355 817686095 /nfs/dbraw/zinc/68/60/95/817686095.db2.gz OJSCYTLVBVFNMW-UHFFFAOYSA-N 0 1 287.367 0.864 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@]2(CNC(C)=O)CCC[C@@H]12 ZINC001107251417 817821805 /nfs/dbraw/zinc/82/18/05/817821805.db2.gz ALTWCPWYXLXRKY-UKRRQHHQSA-N 0 1 279.384 0.669 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cnn(C)c1 ZINC001032310635 817838383 /nfs/dbraw/zinc/83/83/83/817838383.db2.gz YBGJKLITLSSKNR-KBPBESRZSA-N 0 1 274.368 0.824 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccnc2C)C1 ZINC001077598075 817858799 /nfs/dbraw/zinc/85/87/99/817858799.db2.gz ZIZOPGULCWUBEF-ZIAGYGMSSA-N 0 1 273.336 0.188 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)Cc2occc2C)C1 ZINC001077646476 817913021 /nfs/dbraw/zinc/91/30/21/817913021.db2.gz BRXKZDHZIOQPAV-CHWSQXEVSA-N 0 1 278.352 0.868 20 30 CCEDMN Cc1cc(CC(=O)N[C@H](C)CNc2ccncc2C#N)[nH]n1 ZINC001107670865 817929497 /nfs/dbraw/zinc/92/94/97/817929497.db2.gz GCVKGGAXRINYLJ-LLVKDONJSA-N 0 1 298.350 0.566 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H](C)C#N)CC2(CCOCC2)C1 ZINC001099571520 817930849 /nfs/dbraw/zinc/93/08/49/817930849.db2.gz JIOIHGUZNPZGNL-ZIAGYGMSSA-N 0 1 289.379 0.767 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@H](C)NC(C)=O)C1 ZINC001107714739 817959916 /nfs/dbraw/zinc/95/99/16/817959916.db2.gz GFJBQTFZZLDNAN-SWLSCSKDSA-N 0 1 297.399 0.294 20 30 CCEDMN CCCN1CCO[C@@](C)(CNC(=O)CSCC#N)C1 ZINC001107753612 817984440 /nfs/dbraw/zinc/98/44/40/817984440.db2.gz IVNVVVKPKOBUAQ-ZDUSSCGKSA-N 0 1 285.413 0.860 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)CNC(=O)OC)C1 ZINC001107772942 818000181 /nfs/dbraw/zinc/00/01/81/818000181.db2.gz GGTJYQQGVFTNFM-CQSZACIVSA-N 0 1 299.371 0.126 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCNCCS(C)(=O)=O ZINC001128241655 818128371 /nfs/dbraw/zinc/12/83/71/818128371.db2.gz AFLACIAUELPYQU-UHFFFAOYSA-N 0 1 276.402 0.339 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2ccco2)[C@@H](O)C1 ZINC001090021207 818281901 /nfs/dbraw/zinc/28/19/01/818281901.db2.gz SNDHRZHTVWAOPI-MNOVXSKESA-N 0 1 250.298 0.631 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccco2)[C@@H](O)C1 ZINC001090021207 818281907 /nfs/dbraw/zinc/28/19/07/818281907.db2.gz SNDHRZHTVWAOPI-MNOVXSKESA-N 0 1 250.298 0.631 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cnsn2)C1 ZINC001032915676 818358797 /nfs/dbraw/zinc/35/87/97/818358797.db2.gz GBSHTAJDPFRRTC-SECBINFHSA-N 0 1 252.343 0.870 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)no2)[C@@H](O)C1 ZINC001090058265 818415229 /nfs/dbraw/zinc/41/52/29/818415229.db2.gz PBQGGEOTROYXHU-MNOVXSKESA-N 0 1 265.313 0.334 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cn(C)cn2)C1 ZINC001033001828 818415983 /nfs/dbraw/zinc/41/59/83/818415983.db2.gz KOJUYYOESFMYCH-LBPRGKRZSA-N 0 1 260.341 0.590 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2Cc2cn(C)nc2C)C1=O ZINC001273019129 818433920 /nfs/dbraw/zinc/43/39/20/818433920.db2.gz PZPYLXZPSZTSID-MRXNPFEDSA-N 0 1 286.379 0.929 20 30 CCEDMN CN(C(=O)c1c[nH]c(C#N)c1)[C@H]1CC[N@@H+](CCCO)C1 ZINC001033024709 818434929 /nfs/dbraw/zinc/43/49/29/818434929.db2.gz CDHIGUFMMYCVOK-ZDUSSCGKSA-N 0 1 276.340 0.415 20 30 CCEDMN C#CCC[N@H+]1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033036577 818451316 /nfs/dbraw/zinc/45/13/16/818451316.db2.gz RIHRRPGVZPEERY-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033036577 818451322 /nfs/dbraw/zinc/45/13/22/818451322.db2.gz RIHRRPGVZPEERY-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C2CC(OCC)C2)C1 ZINC001033041820 818454675 /nfs/dbraw/zinc/45/46/75/818454675.db2.gz MFQJHVIDTSAQJA-ROKHWSDSSA-N 0 1 264.369 0.967 20 30 CCEDMN N#CCCCN1CC2(CN(Cc3cnc[nH]3)C2)OCC1=O ZINC001273024275 818461693 /nfs/dbraw/zinc/46/16/93/818461693.db2.gz VWHMXVBAJJNBRC-UHFFFAOYSA-N 0 1 289.339 0.127 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2occc2C)[C@H](O)C1 ZINC001090078584 818470406 /nfs/dbraw/zinc/47/04/06/818470406.db2.gz KMSUNYSHXCBSJE-NWDGAFQWSA-N 0 1 264.325 0.939 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnn(CC)n2)C1 ZINC001033061475 818478513 /nfs/dbraw/zinc/47/85/13/818478513.db2.gz MNQLMQLYQHMWSD-NSHDSACASA-N 0 1 263.345 0.630 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(CC)n[nH]2)[C@H](O)C1 ZINC001090097955 818507724 /nfs/dbraw/zinc/50/77/24/818507724.db2.gz CMZSZTPEDDKORT-GXTWGEPZSA-N 0 1 290.367 0.160 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)n[nH]2)[C@@H](O)C1 ZINC001090106232 818589060 /nfs/dbraw/zinc/58/90/60/818589060.db2.gz ZVYXCMCHCNKZNO-PWSUYJOCSA-N 0 1 264.329 0.069 20 30 CCEDMN C=CCN1C(=O)COCC12CN(CC1(C)CCOCC1)C2 ZINC001273094180 818595196 /nfs/dbraw/zinc/59/51/96/818595196.db2.gz VUDJCFWJJMQKJA-UHFFFAOYSA-N 0 1 294.395 0.902 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033192627 818638605 /nfs/dbraw/zinc/63/86/05/818638605.db2.gz PPOFYOFADURKGC-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCNC(=O)[C@@H]1CC12CCN(Cc1ccncn1)CC2 ZINC001273115760 818654414 /nfs/dbraw/zinc/65/44/14/818654414.db2.gz BYBUMKLEBFTBKC-AWEZNQCLSA-N 0 1 284.363 0.828 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](N(C)C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001033230901 818665219 /nfs/dbraw/zinc/66/52/19/818665219.db2.gz WFWRUZNEWRODIA-SECBINFHSA-N 0 1 293.327 0.005 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cn2nc(C)cc2C)C1 ZINC001033243119 818672069 /nfs/dbraw/zinc/67/20/69/818672069.db2.gz PNKRFJHUEZOZSX-CQSZACIVSA-N 0 1 274.368 0.666 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C)n(C)n2)[C@@H](O)C1 ZINC001090131110 818693221 /nfs/dbraw/zinc/69/32/21/818693221.db2.gz YOGDNLAWESDUMY-OCCSQVGLSA-N 0 1 292.383 0.470 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001033298640 818698344 /nfs/dbraw/zinc/69/83/44/818698344.db2.gz KUAMVCYCJXOARG-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCc3nc[nH]c32)C1 ZINC001033416000 818764775 /nfs/dbraw/zinc/76/47/75/818764775.db2.gz LJKOMFZJTBOIBV-QWHCGFSZSA-N 0 1 286.379 0.996 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C(=O)N2CCC(C)CC2)C1 ZINC001033478813 818791569 /nfs/dbraw/zinc/79/15/69/818791569.db2.gz FVOFMQHNKCMIFH-CQSZACIVSA-N 0 1 293.411 0.964 20 30 CCEDMN N#Cc1ccc([C@@H](O)CN2CC[C@H](NC(N)=O)C2)cc1 ZINC000700681053 818823841 /nfs/dbraw/zinc/82/38/41/818823841.db2.gz QNOGMOPVQIIJOG-STQMWFEESA-N 0 1 274.324 0.334 20 30 CCEDMN N#Cc1ccc([C@H](O)CN2CC[C@@H](NC(N)=O)C2)cc1 ZINC000700681050 818824354 /nfs/dbraw/zinc/82/43/54/818824354.db2.gz QNOGMOPVQIIJOG-CHWSQXEVSA-N 0 1 274.324 0.334 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC001033565183 818828239 /nfs/dbraw/zinc/82/82/39/818828239.db2.gz CVVTZJNGHKNNJO-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001033577986 818836954 /nfs/dbraw/zinc/83/69/54/818836954.db2.gz SJZIDADRCPLKTE-RYUDHWBXSA-N 0 1 287.367 0.076 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@H]2CCN(CCO)C2)nc1 ZINC001033596990 818842991 /nfs/dbraw/zinc/84/29/91/818842991.db2.gz PWYIJEPVFGORNU-ZDUSSCGKSA-N 0 1 273.336 0.202 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@@H]2CCN(CCOC)C2)nc1 ZINC001033595681 818844239 /nfs/dbraw/zinc/84/42/39/818844239.db2.gz GAMVBRQNKUCBPX-CQSZACIVSA-N 0 1 287.363 0.856 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001033618539 818854312 /nfs/dbraw/zinc/85/43/12/818854312.db2.gz FYOCHBSDWWYPLS-HUUCEWRRSA-N 0 1 291.395 0.411 20 30 CCEDMN N#Cc1cscc1C(=O)Nc1nn[nH]c1C(N)=O ZINC001128700860 818858647 /nfs/dbraw/zinc/85/86/47/818858647.db2.gz HRMAYJKBIMRLHT-UHFFFAOYSA-N 0 1 262.254 0.089 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001033863061 818971563 /nfs/dbraw/zinc/97/15/63/818971563.db2.gz SCMXAMMWRZXQPM-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001033908246 818988653 /nfs/dbraw/zinc/98/86/53/818988653.db2.gz OKYMZGPJRWUUPC-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCNC2=O)C1 ZINC001033923816 818990485 /nfs/dbraw/zinc/99/04/85/818990485.db2.gz UJQXBKXDIWALIO-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2c[nH]c(=O)cn2)C1 ZINC001033936819 819004196 /nfs/dbraw/zinc/00/41/96/819004196.db2.gz VBHYNRNHFQVNKP-LLVKDONJSA-N 0 1 276.340 0.492 20 30 CCEDMN CCN(C(=O)C1=NC(=O)N(C)C1)[C@H]1CCN(CC#N)C1 ZINC001033942048 819014224 /nfs/dbraw/zinc/01/42/24/819014224.db2.gz WBMONYRBBLGLBO-JTQLQIEISA-N 0 1 277.328 0.186 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)C2CC(=O)NC(=O)C2)C1 ZINC001033986341 819021899 /nfs/dbraw/zinc/02/18/99/819021899.db2.gz PMIANSCEZHAJIW-GFCCVEGCSA-N 0 1 293.367 0.148 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)C2CC(=O)NC(=O)C2)C1 ZINC001033986341 819021907 /nfs/dbraw/zinc/02/19/07/819021907.db2.gz PMIANSCEZHAJIW-GFCCVEGCSA-N 0 1 293.367 0.148 20 30 CCEDMN C#Cc1ccc(C(=O)N(CC)[C@@H]2CCN(CCO)C2)nc1 ZINC001034022774 819036438 /nfs/dbraw/zinc/03/64/38/819036438.db2.gz KEZRHKUIDUDGGU-CQSZACIVSA-N 0 1 287.363 0.592 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(C#N)c[nH]2)[C@H](O)C1 ZINC001090180847 819038508 /nfs/dbraw/zinc/03/85/08/819038508.db2.gz VGVLVPXREVPONS-GXTWGEPZSA-N 0 1 286.335 0.075 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC001034100459 819073650 /nfs/dbraw/zinc/07/36/50/819073650.db2.gz PCWPTBCNWCOHFT-CQSZACIVSA-N 0 1 291.395 0.603 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001034231546 819130236 /nfs/dbraw/zinc/13/02/36/819130236.db2.gz NQMILAONDGKXAA-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001034244407 819138980 /nfs/dbraw/zinc/13/89/80/819138980.db2.gz APMMEWCQZVOVKR-OAHLLOKOSA-N 0 1 288.395 0.875 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001034274139 819149573 /nfs/dbraw/zinc/14/95/73/819149573.db2.gz ANOZLIMHWYBFKB-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@@H](NC(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001034340793 819172122 /nfs/dbraw/zinc/17/21/22/819172122.db2.gz VGDXODZQLZZGEM-CHWSQXEVSA-N 0 1 292.383 0.004 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CN(C)C(=O)N2)C1 ZINC001034340793 819172126 /nfs/dbraw/zinc/17/21/26/819172126.db2.gz VGDXODZQLZZGEM-CHWSQXEVSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001034361687 819185646 /nfs/dbraw/zinc/18/56/46/819185646.db2.gz CFSZVAXHJQHCNE-CZUORRHYSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090214883 819195182 /nfs/dbraw/zinc/19/51/82/819195182.db2.gz AKLCDCAZXUZQOW-ZYHUDNBSSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CC[N@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](O)C1 ZINC001090214883 819195184 /nfs/dbraw/zinc/19/51/84/819195184.db2.gz AKLCDCAZXUZQOW-ZYHUDNBSSA-N 0 1 277.324 0.138 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2coc(CC)n2)[C@@H](O)C1 ZINC001090212042 819197350 /nfs/dbraw/zinc/19/73/50/819197350.db2.gz ZBWFZJABIJIZJK-AAEUAGOBSA-N 0 1 293.367 0.978 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001034419135 819201180 /nfs/dbraw/zinc/20/11/80/819201180.db2.gz KMLUIFOCJDYKJZ-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001034459988 819212535 /nfs/dbraw/zinc/21/25/35/819212535.db2.gz ZLGZVRROGBPXSO-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001034459988 819212543 /nfs/dbraw/zinc/21/25/43/819212543.db2.gz ZLGZVRROGBPXSO-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001034505027 819223640 /nfs/dbraw/zinc/22/36/40/819223640.db2.gz IBNOYLUDBDQTQM-CABCVRRESA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001034505028 819224205 /nfs/dbraw/zinc/22/42/05/819224205.db2.gz IBNOYLUDBDQTQM-GJZGRUSLSA-N 0 1 291.395 0.459 20 30 CCEDMN N#CCN[C@H]1CC[C@H](NC(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001034643939 819269970 /nfs/dbraw/zinc/26/99/70/819269970.db2.gz VPJKRCOIZYDBME-GWOFURMSSA-N 0 1 266.301 0.192 20 30 CCEDMN CN(C(=O)CCNc1ccnc(CC#N)c1)C1CC1 ZINC001162629454 819327503 /nfs/dbraw/zinc/32/75/03/819327503.db2.gz JCCYEHYANSPGEZ-UHFFFAOYSA-N 0 1 258.325 0.992 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)C2=COCCO2)C1 ZINC001035276456 819443754 /nfs/dbraw/zinc/44/37/54/819443754.db2.gz PFBZFZQPMZAPQN-ZDUSSCGKSA-N 0 1 296.367 0.658 20 30 CCEDMN N#CCN1CCO[C@@H](CNC(=O)c2ccn3cncc3c2)C1 ZINC001035431963 819522026 /nfs/dbraw/zinc/52/20/26/819522026.db2.gz MHTTZEKXGLOMTI-AWEZNQCLSA-N 0 1 299.334 0.288 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1cc(C)n[nH]1)CC2 ZINC001035682212 819602738 /nfs/dbraw/zinc/60/27/38/819602738.db2.gz IHVMRMIFFNVTGM-UHFFFAOYSA-N 0 1 286.379 0.818 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](OC)C1CC1)CC2 ZINC001035696735 819609679 /nfs/dbraw/zinc/60/96/79/819609679.db2.gz ADEZPGCSBODNHN-AWEZNQCLSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)CO[C@H]1CCOC1)CC2 ZINC001035705900 819611760 /nfs/dbraw/zinc/61/17/60/819611760.db2.gz GUPRTEYANJYZEF-AWEZNQCLSA-N 0 1 292.379 0.350 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)C[C@H]1CCNC1=O)CC2 ZINC001035720983 819614869 /nfs/dbraw/zinc/61/48/69/819614869.db2.gz SWTDAZVROCOFJI-CYBMUJFWSA-N 0 1 289.379 0.070 20 30 CCEDMN CN1CC[C@H]1CNC(=O)c1cccc(C#CCO)c1 ZINC000710098291 819820877 /nfs/dbraw/zinc/82/08/77/819820877.db2.gz DLJIMDVHMVNEKE-AWEZNQCLSA-N 0 1 258.321 0.464 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@H]1CC[N@H+](CCC)C1 ZINC000710308556 819824924 /nfs/dbraw/zinc/82/49/24/819824924.db2.gz FNDLTVJPUUBAOX-LBPRGKRZSA-N 0 1 258.387 0.804 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NC(=O)Cc2cnc[nH]2)CCC1 ZINC001064309419 820192804 /nfs/dbraw/zinc/19/28/04/820192804.db2.gz WCDIITQHJJYDGF-SNVBAGLBSA-N 0 1 289.339 0.267 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)[nH]nc2Cl)[C@H](O)C1 ZINC001090313133 820246828 /nfs/dbraw/zinc/24/68/28/820246828.db2.gz PPRMLUHDWNHMOM-NXEZZACHSA-N 0 1 298.774 0.723 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cnn(C)c2C)[C@H](O)C1 ZINC001090312618 820249215 /nfs/dbraw/zinc/24/92/15/820249215.db2.gz KPYRPPRGFLHOAQ-UONOGXRCSA-N 0 1 292.383 0.008 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cnn(C)c2C)[C@@H](O)C1 ZINC001090312616 820249602 /nfs/dbraw/zinc/24/96/02/820249602.db2.gz KPYRPPRGFLHOAQ-KBPBESRZSA-N 0 1 292.383 0.008 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cnc(C)o2)[C@@H](O)C1 ZINC001090321147 820256533 /nfs/dbraw/zinc/25/65/33/820256533.db2.gz CMBNOKJMYQMBLY-STQMWFEESA-N 0 1 279.340 0.263 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2nn(C)cc2C)C1 ZINC001079681468 820450437 /nfs/dbraw/zinc/45/04/37/820450437.db2.gz NONXDAVHAJMVLC-ZYHUDNBSSA-N 0 1 262.357 0.965 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001080100787 820514854 /nfs/dbraw/zinc/51/48/54/820514854.db2.gz QRGGYCNXZSRDOY-DGCLKSJQSA-N 0 1 288.351 0.559 20 30 CCEDMN C=CCCC(=O)N1CC(N(CC)C(=O)c2[nH]nnc2C)C1 ZINC001080205865 820527469 /nfs/dbraw/zinc/52/74/69/820527469.db2.gz UVJCKLQJTPMPRN-UHFFFAOYSA-N 0 1 291.355 0.752 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001080201439 820530519 /nfs/dbraw/zinc/53/05/19/820530519.db2.gz HDKWKCOMPADDOG-HZSPNIEDSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3cccnc23)C1 ZINC001080243401 820533313 /nfs/dbraw/zinc/53/33/13/820533313.db2.gz FZRPECAYYBIBHL-TZMCWYRMSA-N 0 1 297.362 0.803 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001080252426 820538763 /nfs/dbraw/zinc/53/87/63/820538763.db2.gz QYJNKESCERCDPG-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001080304234 820543215 /nfs/dbraw/zinc/54/32/15/820543215.db2.gz BOCCQQKRUUKCBU-ZYHUDNBSSA-N 0 1 288.351 0.564 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2Cc3ccncc3C2)C1 ZINC001080452252 820574710 /nfs/dbraw/zinc/57/47/10/820574710.db2.gz HBYJQEXVGOWJQC-IVMMDQJWSA-N 0 1 283.375 0.866 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)CN1CC[C@H](C)C1 ZINC001080446997 820578795 /nfs/dbraw/zinc/57/87/95/820578795.db2.gz TUJIEUZAKYANBT-YNEHKIRRSA-N 0 1 264.373 0.288 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001080479378 820582373 /nfs/dbraw/zinc/58/23/73/820582373.db2.gz KXDSFOJANPJTQZ-FAAHXZRKSA-N 0 1 286.379 0.593 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001080531901 820589547 /nfs/dbraw/zinc/58/95/47/820589547.db2.gz MKYDIEDXZATFPN-HZSPNIEDSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnc3cccnn32)C1 ZINC001080576386 820594870 /nfs/dbraw/zinc/59/48/70/820594870.db2.gz KFPNSRKQTAMLMU-VXGBXAGGSA-N 0 1 285.351 0.965 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)CN1CCC(C)CC1 ZINC001080659275 820611135 /nfs/dbraw/zinc/61/11/35/820611135.db2.gz BBICYDNSTACZJY-ZIAGYGMSSA-N 0 1 278.400 0.678 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@]2(C)CCOC2)C1 ZINC001080674555 820618957 /nfs/dbraw/zinc/61/89/57/820618957.db2.gz KBYHORPIIDDFPQ-UMVBOHGHSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cc3c([nH]c2=O)CCC3)C1 ZINC001080944468 820658003 /nfs/dbraw/zinc/65/80/03/820658003.db2.gz LRPBFYOHNHNKDT-IAQYHMDHSA-N 0 1 299.374 0.959 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc(CC)n[nH]2)[C@H](OC)C1 ZINC001081387478 820750834 /nfs/dbraw/zinc/75/08/34/820750834.db2.gz UDPPQRRHJGZICV-ZIAGYGMSSA-N 0 1 292.383 0.977 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COC(C)C)[C@@H](O)C1 ZINC001099725777 820782386 /nfs/dbraw/zinc/78/23/86/820782386.db2.gz YXLOUGNKMOKDDV-NEPJUHHUSA-N 0 1 256.346 0.149 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2=CCOCC2)[C@H](OC)C1 ZINC001081573454 820789771 /nfs/dbraw/zinc/78/97/71/820789771.db2.gz FGDSJHMGUUGJKV-ZIAGYGMSSA-N 0 1 278.352 0.172 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccnn2CC)[C@H](OC)C1 ZINC001081584833 820791354 /nfs/dbraw/zinc/79/13/54/820791354.db2.gz CUFRQELQASLOEY-TZMCWYRMSA-N 0 1 290.367 0.355 20 30 CCEDMN C=CCCC(=O)N[C@H]1CC[N@H+](CCCF)C[C@H]1O ZINC001099728205 820821096 /nfs/dbraw/zinc/82/10/96/820821096.db2.gz WBBHKWZQBQVWJA-NWDGAFQWSA-N 0 1 258.337 0.864 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccn3cncc3c2)[C@H](OC)C1 ZINC001081899966 820857408 /nfs/dbraw/zinc/85/74/08/820857408.db2.gz SVAZQASLLZLKGO-HUUCEWRRSA-N 0 1 298.346 0.397 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2C)[C@H](OC)C1 ZINC001082026833 820877909 /nfs/dbraw/zinc/87/79/09/820877909.db2.gz AGDWVPXRPCDFSO-FDYHWXHSSA-N 0 1 250.342 0.481 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](C)NC(C)=O ZINC001098874496 820955825 /nfs/dbraw/zinc/95/58/25/820955825.db2.gz XSGFMYGAPLUIGF-JSGCOSHPSA-N 0 1 293.411 0.751 20 30 CCEDMN CO[C@H](CN1CCN(CC#N)CC1)C1CCOCC1 ZINC001119237544 821064256 /nfs/dbraw/zinc/06/42/56/821064256.db2.gz WKJRCOLICODAMF-CQSZACIVSA-N 0 1 267.373 0.569 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)C3CC3)[C@H]2C1 ZINC001082973189 821081291 /nfs/dbraw/zinc/08/12/91/821081291.db2.gz LIIFEEOSPJCSOH-QWHCGFSZSA-N 0 1 250.342 0.884 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H]3CCCOC3)[C@H]2C1 ZINC001083023240 821112026 /nfs/dbraw/zinc/11/20/26/821112026.db2.gz VABAHFPSFXVXSD-SOUVJXGZSA-N 0 1 292.379 0.348 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@@H](C)C#N)[C@H]2C1 ZINC001083024168 821113917 /nfs/dbraw/zinc/11/39/17/821113917.db2.gz CXBCUPLDBOKROM-RWMBFGLXSA-N 0 1 263.341 0.634 20 30 CCEDMN CCN1C[C@H]2OCCN(C(=O)c3c[nH]c(C#N)c3)[C@H]2C1 ZINC001083057200 821129394 /nfs/dbraw/zinc/12/93/94/821129394.db2.gz WWBCOJZLTBZRJU-QWHCGFSZSA-N 0 1 274.324 0.431 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@H]3COCCO3)[C@@H]2C1 ZINC001084202824 821233695 /nfs/dbraw/zinc/23/36/95/821233695.db2.gz ZJSFCTOJPKSXRH-MGPQQGTHSA-N 0 1 280.368 0.511 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3COCCO3)[C@@H]2C1 ZINC001084204193 821235571 /nfs/dbraw/zinc/23/55/71/821235571.db2.gz PLGTUOYKGWRFLG-JHJVBQTASA-N 0 1 266.341 0.121 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3ccon3)[C@@H]2C1 ZINC001084238035 821248877 /nfs/dbraw/zinc/24/88/77/821248877.db2.gz IHCWQURSHSHOSH-DGCLKSJQSA-N 0 1 261.325 0.936 20 30 CCEDMN COCCN1CC[C@@H]2CN(C(=O)c3ccc(C#N)[nH]3)[C@@H]2C1 ZINC001084284101 821261345 /nfs/dbraw/zinc/26/13/45/821261345.db2.gz XASVHGJDENOWMG-BXUZGUMPSA-N 0 1 288.351 0.679 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H]3CC(=O)N(CC)C3)[C@@H]2C1 ZINC001084386014 821278431 /nfs/dbraw/zinc/27/84/31/821278431.db2.gz YBHFZZJDIPZHFR-HZSPNIEDSA-N 0 1 291.395 0.574 20 30 CCEDMN C#CCSCC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC001119593447 821381044 /nfs/dbraw/zinc/38/10/44/821381044.db2.gz STMRLUUVITYCQT-ZDUSSCGKSA-N 0 1 284.425 0.826 20 30 CCEDMN C=CC[N@H+]1CC[C@@H]2CN(C(=O)c3cnn4cc[nH]c34)[C@@H]2C1 ZINC001084797091 821393725 /nfs/dbraw/zinc/39/37/25/821393725.db2.gz GQAIZXBOQDATBZ-DGCLKSJQSA-N 0 1 285.351 0.995 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cnn4cc[nH]c34)[C@@H]2C1 ZINC001084797091 821393737 /nfs/dbraw/zinc/39/37/37/821393737.db2.gz GQAIZXBOQDATBZ-DGCLKSJQSA-N 0 1 285.351 0.995 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H]3CC(=O)N(C)C3)C[C@@H]21 ZINC001084952350 821438933 /nfs/dbraw/zinc/43/89/33/821438933.db2.gz MTXQRPQYLLTCSB-MCIONIFRSA-N 0 1 289.379 0.021 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccncc1F ZINC000823674859 821530144 /nfs/dbraw/zinc/53/01/44/821530144.db2.gz DUAPTZJJRAAPAW-UHFFFAOYSA-N 0 1 250.277 0.329 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(C)n(C)n1 ZINC001085505125 821748323 /nfs/dbraw/zinc/74/83/23/821748323.db2.gz MWLMXNIGBBTGDF-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(C)n(C)n1 ZINC001085505124 821749552 /nfs/dbraw/zinc/74/95/52/821749552.db2.gz MWLMXNIGBBTGDF-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)CC)[C@H](O)C1 ZINC001099984006 821778790 /nfs/dbraw/zinc/77/87/90/821778790.db2.gz URLPRZMZXCIZAL-QWHCGFSZSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CC(=O)N(C)C1 ZINC001085625861 821862666 /nfs/dbraw/zinc/86/26/66/821862666.db2.gz ATTZJBGRSSBOCA-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H]1CC(=O)N(C)C1 ZINC001085625861 821862674 /nfs/dbraw/zinc/86/26/74/821862674.db2.gz ATTZJBGRSSBOCA-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1[nH]c(=O)[nH]c1C ZINC001085658432 821876756 /nfs/dbraw/zinc/87/67/56/821876756.db2.gz QZTUDUUNYVSUCX-LLVKDONJSA-N 0 1 276.340 0.593 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)c1cc(=O)n(C)cn1 ZINC001085648001 821877856 /nfs/dbraw/zinc/87/78/56/821877856.db2.gz ACPYPVYFDRQVBC-GFCCVEGCSA-N 0 1 290.367 0.503 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CN(C)C(=O)N1 ZINC001085761465 821934112 /nfs/dbraw/zinc/93/41/12/821934112.db2.gz KQUNFZSJIGZRLK-NWDGAFQWSA-N 0 1 280.372 0.119 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCC(=O)N1C ZINC001085767446 821938743 /nfs/dbraw/zinc/93/87/43/821938743.db2.gz INVVKCVCNLBVHC-OLZOCXBDSA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@H]1CCNC1=O ZINC001085763768 821943976 /nfs/dbraw/zinc/94/39/76/821943976.db2.gz JNWJAKDISDPFQC-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H]1CCN1CCO ZINC001085827618 821969158 /nfs/dbraw/zinc/96/91/58/821969158.db2.gz XXGOCIVRKZXESW-UPJWGTAASA-N 0 1 268.357 0.103 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001085987826 822051600 /nfs/dbraw/zinc/05/16/00/822051600.db2.gz NNHPLPOTCPTHKU-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCN1C(N)=O ZINC001086001699 822062967 /nfs/dbraw/zinc/06/29/67/822062967.db2.gz OGVDWWXLDWBBNX-STQMWFEESA-N 0 1 292.383 0.086 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC[C@H]1C[C@@H](NCC#N)C1 ZINC001086045492 822089615 /nfs/dbraw/zinc/08/96/15/822089615.db2.gz KYCVRKFJVNCUSR-TUAOUCFPSA-N 0 1 250.346 0.089 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)CCOC)[C@H](O)C1 ZINC001100058080 822187510 /nfs/dbraw/zinc/18/75/10/822187510.db2.gz MQIOAQSXBOZXDJ-QWHCGFSZSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C(C)CCC(=O)N[C@H]1CCCN(CC(N)=O)CC1 ZINC001273417466 822188085 /nfs/dbraw/zinc/18/80/85/822188085.db2.gz HVFHPFHTNNYLOM-LBPRGKRZSA-N 0 1 267.373 0.799 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCCN(C(=O)c2ccn[nH]2)CC1 ZINC001066474889 822196871 /nfs/dbraw/zinc/19/68/71/822196871.db2.gz KVTHSJGKJHDZRF-QWRGUYRKSA-N 0 1 289.339 0.680 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCC[C@@H](NC(=O)C#CC2CC2)CC1 ZINC001273419543 822199936 /nfs/dbraw/zinc/19/99/36/822199936.db2.gz WRYLICBBDOBSJL-TZMCWYRMSA-N 0 1 291.395 0.505 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001086372940 822226826 /nfs/dbraw/zinc/22/68/26/822226826.db2.gz DTRHTAHIMOFBHG-MGCOHNPYSA-N 0 1 284.323 0.579 20 30 CCEDMN CCn1ncnc1CNCCCNC(=O)[C@@H](C)C#N ZINC001155836225 822257764 /nfs/dbraw/zinc/25/77/64/822257764.db2.gz LNRHYEDIDXHOBA-JTQLQIEISA-N 0 1 264.333 0.054 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNCc1ncnn1CCF ZINC001155836515 822258242 /nfs/dbraw/zinc/25/82/42/822258242.db2.gz OVPPPHQCUIWOLZ-SNVBAGLBSA-N 0 1 282.323 0.003 20 30 CCEDMN C[C@@H](CNc1ccncc1C#N)NC(=O)[C@@H]1CCCN1C ZINC001108311811 822355164 /nfs/dbraw/zinc/35/51/64/822355164.db2.gz QHHBHUTYKYDWBC-FZMZJTMJSA-N 0 1 287.367 0.386 20 30 CCEDMN CCO[C@H](CC)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001113977017 837392112 /nfs/dbraw/zinc/39/21/12/837392112.db2.gz OHEZHBBZWHKFSE-BARDWOONSA-N 0 1 294.395 0.498 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN(CC(=O)N(CC)CC)C[C@H]21 ZINC001114006352 837401451 /nfs/dbraw/zinc/40/14/51/837401451.db2.gz YWYUKJGAARUYOL-VIKVFOODSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCC=C ZINC001114024988 837404374 /nfs/dbraw/zinc/40/43/74/837404374.db2.gz HLJBJARCXIJJNO-RMRHIDDWSA-N 0 1 289.379 0.137 20 30 CCEDMN Cc1cc(CN2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@@H](C)C#N)ncn1 ZINC001114067010 837420781 /nfs/dbraw/zinc/42/07/81/837420781.db2.gz LQDZCMMHVQRATN-OLRMPSLUSA-N 0 1 285.351 0.491 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC(F)(F)C1 ZINC001114119585 837440429 /nfs/dbraw/zinc/44/04/29/837440429.db2.gz AOQAHRRGSCDIBV-ITGUQSILSA-N 0 1 298.333 0.728 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CO[C@@H](C)CC ZINC001114144793 837454788 /nfs/dbraw/zinc/45/47/88/837454788.db2.gz CUHMTRNBXIOBPS-CIQGVGRVSA-N 0 1 250.342 0.481 20 30 CCEDMN CC[C@H](C)OCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114153638 837456479 /nfs/dbraw/zinc/45/64/79/837456479.db2.gz PKYCCBGCHWHUGW-TTZDDIAXSA-N 0 1 294.395 0.498 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CC)SC ZINC001114151067 837456669 /nfs/dbraw/zinc/45/66/69/837456669.db2.gz CXRGXJDCLIGPRB-WHOHXGKFSA-N 0 1 252.383 0.808 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H](C)SC ZINC001114154944 837457892 /nfs/dbraw/zinc/45/78/92/837457892.db2.gz DAUVCDLKCVVINS-DCQANWLSSA-N 0 1 252.383 0.808 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1[C@H]2CN([C@@H](CC)C(N)=O)C[C@H]21 ZINC001114201088 837470433 /nfs/dbraw/zinc/47/04/33/837470433.db2.gz JCNOZLMRVSSCAA-KZVDOYCCSA-N 0 1 277.368 0.100 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)c2ccc[nH]2)C[C@@H]1n1ccnn1 ZINC001129573637 837530644 /nfs/dbraw/zinc/53/06/44/837530644.db2.gz RHYBFUYHPARUGN-KGLIPLIRSA-N 0 1 298.350 0.285 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1c(C)nc[nH]c1=O ZINC001130766543 837921619 /nfs/dbraw/zinc/92/16/19/837921619.db2.gz LVRDHXLUQSOCKA-UHFFFAOYSA-N 0 1 298.774 0.882 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)c1cn(C)nn1 ZINC001157902610 837666354 /nfs/dbraw/zinc/66/63/54/837666354.db2.gz KLFGQRKKECLVRZ-CYBMUJFWSA-N 0 1 289.383 0.765 20 30 CCEDMN CN(C)C1CN(C(=O)[C@H](C#N)Cc2ccc(O)cc2)C1 ZINC001183603530 844052646 /nfs/dbraw/zinc/05/26/46/844052646.db2.gz MATHDTPHEASPFD-LBPRGKRZSA-N 0 1 273.336 0.847 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2cc(Cl)n(C)n2)[C@@H](O)C1 ZINC001090418394 835977741 /nfs/dbraw/zinc/97/77/41/835977741.db2.gz XXCVCIBMTSCVGJ-KOLCDFICSA-N 0 1 298.774 0.425 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(Cl)n(C)n2)[C@@H](O)C1 ZINC001090418394 835977750 /nfs/dbraw/zinc/97/77/50/835977750.db2.gz XXCVCIBMTSCVGJ-KOLCDFICSA-N 0 1 298.774 0.425 20 30 CCEDMN N#Cc1c(Cl)nc(Cl)nc1-n1nnnc1CN ZINC001168917039 836047153 /nfs/dbraw/zinc/04/71/53/836047153.db2.gz AGYPMWQFSJQJIV-UHFFFAOYSA-N 0 1 271.071 0.089 20 30 CCEDMN N#Cc1ccc2[nH]c(NC(=O)Cc3nc[nH]n3)nc2c1 ZINC001169189502 836157372 /nfs/dbraw/zinc/15/73/72/836157372.db2.gz HPAOGZWZNIPXQG-UHFFFAOYSA-N 0 1 267.252 0.734 20 30 CCEDMN C=CCN1CCN([C@H]2CC[C@@H](C(=O)OCC)OC2)CC1 ZINC001169391648 836260997 /nfs/dbraw/zinc/26/09/97/836260997.db2.gz ISDODOBSBBTNJZ-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN1CCN([C@H]2CC[C@H](C(=O)OCC)OC2)CC1 ZINC001169391650 836262256 /nfs/dbraw/zinc/26/22/56/836262256.db2.gz ISDODOBSBBTNJZ-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCCCN1CC(N2C[C@H](NC(C)=O)CC2=O)C1 ZINC001108495215 836313678 /nfs/dbraw/zinc/31/36/78/836313678.db2.gz RXCVOUAFAOGEEB-GFCCVEGCSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CC[C@@H](Nc1ncnc2c1CNCC2)C(=O)OC ZINC001169833661 836453919 /nfs/dbraw/zinc/45/39/19/836453919.db2.gz BMINMFZPNCGVPE-LLVKDONJSA-N 0 1 262.313 0.652 20 30 CCEDMN C[C@@H](CNc1ccncc1C#N)NC(=O)CCc1cnc[nH]1 ZINC001108762729 836520653 /nfs/dbraw/zinc/52/06/53/836520653.db2.gz AZSLSJFQXYOOOG-NSHDSACASA-N 0 1 298.350 0.648 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CN(C)c1ncnc2[nH]cnc21 ZINC001108880356 836566485 /nfs/dbraw/zinc/56/64/85/836566485.db2.gz PZRJAARBSNAXEJ-IUCAKERBSA-N 0 1 287.327 0.453 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN(C)C(C)=O)C2 ZINC001108957819 836583189 /nfs/dbraw/zinc/58/31/89/836583189.db2.gz VEJXFCNQGSZXRM-RDBSUJKOSA-N 0 1 277.368 0.210 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCC(=O)NCC)C2 ZINC001109061331 836604959 /nfs/dbraw/zinc/60/49/59/836604959.db2.gz KOYGBTOPKWNHCU-AGIUHOORSA-N 0 1 277.368 0.257 20 30 CCEDMN CN(CCCNc1ccc(C#N)cn1)C(=O)Cc1ncn[nH]1 ZINC001109516745 836690867 /nfs/dbraw/zinc/69/08/67/836690867.db2.gz QEBNWQYXCWXEKH-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)CC(N)=O)C2 ZINC001109597243 836702813 /nfs/dbraw/zinc/70/28/13/836702813.db2.gz FFQVAOKHFLAYFA-WYUUTHIRSA-N 0 1 265.357 0.405 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C(N)=O)CC1)C2 ZINC001109659047 836710672 /nfs/dbraw/zinc/71/06/72/836710672.db2.gz PKKYXHDJVHKWAL-VWYCJHECSA-N 0 1 263.341 0.159 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](CC)NC(C)=O)C2 ZINC001109749930 836724602 /nfs/dbraw/zinc/72/46/02/836724602.db2.gz PZKQXUJCJATPLO-QPSCCSFWSA-N 0 1 291.395 0.646 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC(=O)NCC)C2 ZINC001109993619 836754954 /nfs/dbraw/zinc/75/49/54/836754954.db2.gz PCNWAHKXRMSDAG-RDBSUJKOSA-N 0 1 291.395 0.648 20 30 CCEDMN Cc1nc(Cl)c(C#N)c(NC[C@H]2COCCN2)n1 ZINC001170035929 836758673 /nfs/dbraw/zinc/75/86/73/836758673.db2.gz QQMIAPCEEXFOJO-QMMMGPOBSA-N 0 1 267.720 0.710 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1cncn1C)C2 ZINC001110051838 836774734 /nfs/dbraw/zinc/77/47/34/836774734.db2.gz BVKWIRPPFIOPCL-SNPRPXQTSA-N 0 1 286.379 0.707 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(F)c[nH]2)[C@H](O)C1 ZINC001090436668 836787388 /nfs/dbraw/zinc/78/73/88/836787388.db2.gz BLUYPMXHIGTEEF-WCQYABFASA-N 0 1 279.315 0.342 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1nnnn1C(C)C ZINC001157164626 836844473 /nfs/dbraw/zinc/84/44/73/836844473.db2.gz WONHETZFCIZNGY-UHFFFAOYSA-N 0 1 292.387 0.653 20 30 CCEDMN COCC#CCN1CCN(C(=O)c2cnc(C)[nH]2)CC1 ZINC001112698832 836866926 /nfs/dbraw/zinc/86/69/26/836866926.db2.gz HQOQHOCOYYGGPE-UHFFFAOYSA-N 0 1 276.340 0.126 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(C[C@@H]2CCCOC2)CC1 ZINC001112814303 836924645 /nfs/dbraw/zinc/92/46/45/836924645.db2.gz NACQOFPYJGBYIX-GOEBONIOSA-N 0 1 296.411 0.884 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CCC2CC2)CC1 ZINC001112843747 836940840 /nfs/dbraw/zinc/94/08/40/836940840.db2.gz DZYZXFWGLBMKIV-UHFFFAOYSA-N 0 1 264.369 0.971 20 30 CCEDMN C[C@@H](NC(=O)Cc1nnc[nH]1)[C@@H](C)Nc1ccc(C#N)nc1 ZINC001113151104 837039024 /nfs/dbraw/zinc/03/90/24/837039024.db2.gz VGMHWBBDSKBYAT-NXEZZACHSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@H]2CCNC2=O)CC1 ZINC001113189758 837049399 /nfs/dbraw/zinc/04/93/99/837049399.db2.gz YSAVBMHJTWBLDO-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCCCN1CCN(C(=O)COc2cnn(C)c2)CC1 ZINC001113260846 837069010 /nfs/dbraw/zinc/06/90/10/837069010.db2.gz PUPDUKNPHKINFC-UHFFFAOYSA-N 0 1 292.383 0.909 20 30 CCEDMN C[C@@H](Nc1cnc(C#N)cn1)[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001113313189 837084339 /nfs/dbraw/zinc/08/43/39/837084339.db2.gz DUVCQIRKGUJLSD-ZJUUUORDSA-N 0 1 299.338 0.619 20 30 CCEDMN C[C@@H](Nc1ccc(C#N)nc1)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001113356264 837096083 /nfs/dbraw/zinc/09/60/83/837096083.db2.gz DJUXLTAJBLQLBY-BDAKNGLRSA-N 0 1 285.311 0.690 20 30 CCEDMN COCC(=O)N[C@@H]1[C@H]2CN(Cc3cccc(C#N)c3)C[C@H]21 ZINC001113750197 837214074 /nfs/dbraw/zinc/21/40/74/837214074.db2.gz PLFSNNNYCXHXJF-FOLVSLTJSA-N 0 1 285.347 0.751 20 30 CCEDMN C[C@@H]1CN(C(=O)CCc2cnc[nH]2)CC[C@H]1CNCC#N ZINC001184532476 844253785 /nfs/dbraw/zinc/25/37/85/844253785.db2.gz ABBDNMBUAVFKEY-OLZOCXBDSA-N 0 1 289.383 0.940 20 30 CCEDMN CCOC(=O)[C@H](C#N)Nc1nc2nc[nH]c2c(C)n1 ZINC001170368700 837314260 /nfs/dbraw/zinc/31/42/60/837314260.db2.gz PCUGYOUQZIYPBF-ZETCQYMHSA-N 0 1 260.257 0.480 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)SC ZINC001113916847 837368163 /nfs/dbraw/zinc/36/81/63/837368163.db2.gz QMECBGFAZRBKHP-WUHRBBMRSA-N 0 1 282.409 0.434 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CN2CCOC2=O)c1 ZINC001137934478 837867873 /nfs/dbraw/zinc/86/78/73/837867873.db2.gz ZDTPKGCGHFOQBT-UHFFFAOYSA-N 0 1 261.237 0.655 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1cc([N+](=O)[O-])ccc1C#N ZINC001184656560 844270814 /nfs/dbraw/zinc/27/08/14/844270814.db2.gz HZLAIQGNJPJJQN-UHFFFAOYSA-N 0 1 298.324 0.306 20 30 CCEDMN COC(=O)c1cc2cc(N[C@H](C#N)C(N)=O)cnc2[nH]1 ZINC001170535802 837981839 /nfs/dbraw/zinc/98/18/39/837981839.db2.gz JXRBAWFHSLHLDC-SECBINFHSA-N 0 1 273.252 0.139 20 30 CCEDMN CC#CCN1CC[C@]2(C1)CN(C(=O)CCOC)C[C@@H](C)O2 ZINC001131616290 838157899 /nfs/dbraw/zinc/15/78/99/838157899.db2.gz LDUROQKJHIQHET-ZBFHGGJFSA-N 0 1 294.395 0.738 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)C2CC2)[C@@H](O)C1 ZINC001090502883 838217926 /nfs/dbraw/zinc/21/79/26/838217926.db2.gz BCUITIIRMNCVTL-KGLIPLIRSA-N 0 1 278.396 0.997 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CC(=O)NC)CC[C@@H]1C ZINC001132010594 838298011 /nfs/dbraw/zinc/29/80/11/838298011.db2.gz UOVGLYZBSPLXRV-NWDGAFQWSA-N 0 1 265.357 0.115 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1cnco1 ZINC001132026871 838305210 /nfs/dbraw/zinc/30/52/10/838305210.db2.gz STOLWNSPEBYGMU-UHFFFAOYSA-N 0 1 274.118 0.903 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN(C)C(=O)C(C)C)CC[C@H]1C ZINC001132083780 838313926 /nfs/dbraw/zinc/31/39/26/838313926.db2.gz WPQQUCGDMQTZBD-ZIAGYGMSSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN(C)C(=O)C(C)C)CC[C@@H]1C ZINC001132083777 838315405 /nfs/dbraw/zinc/31/54/05/838315405.db2.gz WPQQUCGDMQTZBD-KBPBESRZSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CC[NH2+][C@@H]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001086678984 838343313 /nfs/dbraw/zinc/34/33/13/838343313.db2.gz VDILQRMYEKKBEA-NWDGAFQWSA-N 0 1 273.336 0.909 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2cnn(C)n2)CC[C@H]1C ZINC001132317803 838362309 /nfs/dbraw/zinc/36/23/09/838362309.db2.gz JIZONOLHDIKKNZ-OCCSQVGLSA-N 0 1 289.383 0.350 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCCC(N)=O)CC[C@H]1C ZINC001132536544 838429147 /nfs/dbraw/zinc/42/91/47/838429147.db2.gz CDOJPFODSXCXJS-CHWSQXEVSA-N 0 1 279.384 0.634 20 30 CCEDMN C[C@@H]1CCN(C(=O)c2ccn[nH]2)C[C@@H]1CNCC#N ZINC001132655548 838459208 /nfs/dbraw/zinc/45/92/08/838459208.db2.gz PFQTXDVUWPDNMU-MNOVXSKESA-N 0 1 261.329 0.621 20 30 CCEDMN C[C@H]1CCN(C(=O)CCc2cnc[nH]2)C[C@@H]1CNCC#N ZINC001132874593 838511737 /nfs/dbraw/zinc/51/17/37/838511737.db2.gz JZJXKQFIGYFKQT-STQMWFEESA-N 0 1 289.383 0.940 20 30 CCEDMN C=C[C@@H](CO)Nc1ncccc1C(=O)N1CCNCC1 ZINC001158814743 838572288 /nfs/dbraw/zinc/57/22/88/838572288.db2.gz PEJOATSETDFLCI-NSHDSACASA-N 0 1 276.340 0.086 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC001133721664 838700323 /nfs/dbraw/zinc/70/03/23/838700323.db2.gz QOWHDFJGPPSJCD-WISYIIOYSA-N 0 1 265.382 0.842 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)CSCC#N)NCc1cnon1 ZINC001133737098 838705267 /nfs/dbraw/zinc/70/52/67/838705267.db2.gz WCAAMQZHLLNSDP-ZJUUUORDSA-N 0 1 297.384 0.699 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@H](C)NCc1cnn(C)n1 ZINC001133909048 838773021 /nfs/dbraw/zinc/77/30/21/838773021.db2.gz GKQTUXUWJZTOMI-RYUDHWBXSA-N 0 1 295.387 0.391 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)[C@H]1CCC(=O)N1 ZINC001134111657 838869452 /nfs/dbraw/zinc/86/94/52/838869452.db2.gz XTNXNNYSTOSDLW-NXEZZACHSA-N 0 1 273.764 0.359 20 30 CCEDMN C#CC[NH2+][C@H](C)C[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001134188809 838901439 /nfs/dbraw/zinc/90/14/39/838901439.db2.gz DZPGFYFOGRLRRX-GHMZBOCLSA-N 0 1 261.325 0.907 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)C[C@@H](C)NCc1ncnn1C ZINC001134200100 838909140 /nfs/dbraw/zinc/90/91/40/838909140.db2.gz ATYPSVVZDLAXFL-OLZOCXBDSA-N 0 1 291.399 0.992 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ncccc2F)[C@@H](O)C1 ZINC001090517977 838932034 /nfs/dbraw/zinc/93/20/34/838932034.db2.gz AGTISVSKWHUCKX-OCCSQVGLSA-N 0 1 293.342 0.501 20 30 CCEDMN CCCCN(CC#N)C(=O)[C@@H]1CN(C)CCN1C ZINC001185258100 844381619 /nfs/dbraw/zinc/38/16/19/844381619.db2.gz PVLTZIGKAVSRRR-LBPRGKRZSA-N 0 1 252.362 0.384 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C[C@@H](C)NCc1cnn(C)n1 ZINC001134317462 838943918 /nfs/dbraw/zinc/94/39/18/838943918.db2.gz JNPRRSQBNXZWAX-CHWSQXEVSA-N 0 1 291.399 0.847 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COC1CCOCC1 ZINC001134636277 839026445 /nfs/dbraw/zinc/02/64/45/839026445.db2.gz HRABEHNOEOYICR-UHFFFAOYSA-N 0 1 276.764 0.640 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)c1[nH]nc2c1CCC2)NCC#N ZINC001134830598 839084300 /nfs/dbraw/zinc/08/43/00/839084300.db2.gz PQXZXARWMXCMFV-NXEZZACHSA-N 0 1 275.356 0.908 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc2cccnn21 ZINC001134945475 839125152 /nfs/dbraw/zinc/12/51/52/839125152.db2.gz XVBJGBQRJYEFHJ-UHFFFAOYSA-N 0 1 279.731 0.801 20 30 CCEDMN C=CCn1cc(C(=O)NCCNCc2conc2C)nn1 ZINC001134973277 839127953 /nfs/dbraw/zinc/12/79/53/839127953.db2.gz KWBLLTVPWYLVEC-UHFFFAOYSA-N 0 1 290.327 0.280 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)CCCCc1cn[nH]n1)NCC#N ZINC001135077494 839156440 /nfs/dbraw/zinc/15/64/40/839156440.db2.gz PEOBBVSTZSFQAQ-VXGBXAGGSA-N 0 1 292.387 0.914 20 30 CCEDMN N#C[C@H](Nc1nc[nH]c2nc(=S)sc1-2)C(N)=O ZINC001159474264 839254212 /nfs/dbraw/zinc/25/42/12/839254212.db2.gz CXTKEMFLCYTYDL-VKHMYHEASA-N 0 1 266.311 0.164 20 30 CCEDMN O=C(NCCNCC#Cc1ccc(F)cc1)c1ncccn1 ZINC001135602658 839316140 /nfs/dbraw/zinc/31/61/40/839316140.db2.gz ZYULPBRWNWWAGH-UHFFFAOYSA-N 0 1 298.321 0.987 20 30 CCEDMN CC[C@@](N)(CO)Nc1ncnc2ccc(C#N)cc21 ZINC001159743803 839563622 /nfs/dbraw/zinc/56/36/22/839563622.db2.gz LTKPEPHYXYKFLD-ZDUSSCGKSA-N 0 1 257.297 0.971 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001110367521 844452322 /nfs/dbraw/zinc/45/23/22/844452322.db2.gz KKMJSNZCYIPCOB-DGAVXFQQSA-N 0 1 282.384 0.681 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2=CCOCC2)[C@H](O)C1 ZINC001090542872 839629764 /nfs/dbraw/zinc/62/97/64/839629764.db2.gz IMSJFUQVAPKSCJ-UONOGXRCSA-N 0 1 280.368 0.461 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccn(C(C)C)n2)[C@H](O)C1 ZINC001090617425 839681762 /nfs/dbraw/zinc/68/17/62/839681762.db2.gz UUSHBXCFFLDOBJ-TZMCWYRMSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nc(C)c[nH]2)[C@H](O)C1 ZINC001090631512 839689128 /nfs/dbraw/zinc/68/91/28/839689128.db2.gz STMPGAMEGXTTFG-GHMZBOCLSA-N 0 1 264.329 0.069 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H](C)OC)[C@@H]2C1 ZINC001187218000 844682537 /nfs/dbraw/zinc/68/25/37/844682537.db2.gz WXIQJIJZICSBRL-MELADBBJSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCCNCc1cn([C@@H]2CCCN(C(=O)CC)C2)nn1 ZINC001185833384 844464533 /nfs/dbraw/zinc/46/45/33/844464533.db2.gz KUWYTLVOQSMDNO-CQSZACIVSA-N 0 1 289.383 0.965 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](CC)NC(C)=O)C1 ZINC001185806529 844463059 /nfs/dbraw/zinc/46/30/59/844463059.db2.gz LPLUOXZMDMETJC-NEPJUHHUSA-N 0 1 287.791 0.844 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ncccn2)[C@@H](O)C1 ZINC001090663388 839712657 /nfs/dbraw/zinc/71/26/57/839712657.db2.gz AHCDOJHLPAOHBP-RYUDHWBXSA-N 0 1 276.340 0.218 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nc(C)oc2C)[C@H](O)C1 ZINC001090673242 839728361 /nfs/dbraw/zinc/72/83/61/839728361.db2.gz JTGHDNSQSJMIHD-VXGBXAGGSA-N 0 1 279.340 0.642 20 30 CCEDMN C#CCOc1ccc(NC(=O)Cc2nc[nH]n2)cc1 ZINC001136769059 839754925 /nfs/dbraw/zinc/75/49/25/839754925.db2.gz YOYFFRNCINOCAZ-UHFFFAOYSA-N 0 1 256.265 0.998 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(CC=C)C[C@H]2O)cn1 ZINC001090738001 839764228 /nfs/dbraw/zinc/76/42/28/839764228.db2.gz VMDMUQCCDIUFPW-HUUCEWRRSA-N 0 1 285.347 0.414 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]cnc2CC)[C@@H](O)C1 ZINC001090767316 839787564 /nfs/dbraw/zinc/78/75/64/839787564.db2.gz IOHMLMOWGVODAL-STQMWFEESA-N 0 1 290.367 0.160 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)n(C)c2C)[C@@H](O)C1 ZINC001090797187 839815679 /nfs/dbraw/zinc/81/56/79/839815679.db2.gz VJDWTBHHEDQYAV-GJZGRUSLSA-N 0 1 291.395 0.993 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)noc2CC)[C@H](O)C1 ZINC001090802551 839822291 /nfs/dbraw/zinc/82/22/91/839822291.db2.gz PGRLNABCMLYBCQ-NWDGAFQWSA-N 0 1 293.367 0.896 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc(C)n2)[C@@H](O)C1 ZINC001090819960 839833668 /nfs/dbraw/zinc/83/36/68/839833668.db2.gz KGOJCYHRQQFMNE-AAEUAGOBSA-N 0 1 276.340 0.136 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccc[nH]2)[C@@H](O)C1 ZINC001090824400 839838876 /nfs/dbraw/zinc/83/88/76/839838876.db2.gz PORANUAEEPVUSM-KBPBESRZSA-N 0 1 275.352 0.132 20 30 CCEDMN C#CC(=O)N1CCc2n[nH]c(C(=O)N3CCC[C@H]3C)c2C1 ZINC001143906925 839890199 /nfs/dbraw/zinc/89/01/99/839890199.db2.gz DCRYEBQGFOAKSN-SNVBAGLBSA-N 0 1 286.335 0.552 20 30 CCEDMN C[C@@H](Cc1cccc(C#N)c1)n1cnc(C[C@H](N)C(N)=O)c1 ZINC001171203862 840110017 /nfs/dbraw/zinc/11/00/17/840110017.db2.gz QEBDWMLUZOZNGF-NHYWBVRUSA-N 0 1 297.362 0.914 20 30 CCEDMN N#Cc1cccc(ONC(=O)[C@@H]2CCCN(C(N)=O)C2)c1 ZINC001171247065 840191673 /nfs/dbraw/zinc/19/16/73/840191673.db2.gz MRWGLBJOFIYXHK-LLVKDONJSA-N 0 1 288.307 0.759 20 30 CCEDMN N=C(Nc1ccc(N)nc1)c1ccc(C(N)=O)cc1 ZINC001171257249 840220799 /nfs/dbraw/zinc/22/07/99/840220799.db2.gz WELFTBOYULXUTO-UHFFFAOYSA-N 0 1 255.281 0.800 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)CCN1CC[C@H](F)C1 ZINC001146518182 840320097 /nfs/dbraw/zinc/32/00/97/840320097.db2.gz ZIMZCMZKBOHGLD-QWRGUYRKSA-N 0 1 255.293 0.171 20 30 CCEDMN C#CCCCC(=O)N1CC2(C1)CCN([C@H](CC)C(N)=O)C2 ZINC001147435605 840584154 /nfs/dbraw/zinc/58/41/54/840584154.db2.gz WHMYKUCEHXSQMT-CYBMUJFWSA-N 0 1 291.395 0.588 20 30 CCEDMN CN(C)[C@@](C)(C(=O)NCCNCC#N)c1ccccc1 ZINC001147409437 840586314 /nfs/dbraw/zinc/58/63/14/840586314.db2.gz DFSGRGOTBXFPJO-OAHLLOKOSA-N 0 1 274.368 0.693 20 30 CCEDMN N#Cc1cc(C(=O)NCCCN2CCC[C@H]2C(N)=O)co1 ZINC001147898731 840717207 /nfs/dbraw/zinc/71/72/07/840717207.db2.gz ZROUCBQEXNLVMF-LBPRGKRZSA-N 0 1 290.323 0.221 20 30 CCEDMN C=CCN1CC[C@H]2CN(C(=O)C3CS(=O)(=O)C3)CC[C@@H]21 ZINC001036724679 841145135 /nfs/dbraw/zinc/14/51/35/841145135.db2.gz KIIZVNXFWFTCRF-AAEUAGOBSA-N 0 1 298.408 0.140 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)CCc3c[nH]nn3)CC[C@@H]21 ZINC001036824551 841284520 /nfs/dbraw/zinc/28/45/20/841284520.db2.gz ZATHDHXBBQXTIH-AAEUAGOBSA-N 0 1 288.355 0.184 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)CCc3cnn[nH]3)CC[C@@H]21 ZINC001036824551 841284524 /nfs/dbraw/zinc/28/45/24/841284524.db2.gz ZATHDHXBBQXTIH-AAEUAGOBSA-N 0 1 288.355 0.184 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCNc2cncc(C#N)n2)c1C ZINC001093535667 841316957 /nfs/dbraw/zinc/31/69/57/841316957.db2.gz IPOPDKDVNQDLHA-UHFFFAOYSA-N 0 1 285.311 0.530 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCNc2ccc(C#N)nn2)c1C ZINC001093536435 841318567 /nfs/dbraw/zinc/31/85/67/841318567.db2.gz YAOSDXHBBDZXRL-UHFFFAOYSA-N 0 1 285.311 0.530 20 30 CCEDMN N#Cc1cnc(NCCNC(=O)c2[nH]ncc2F)cn1 ZINC001094104034 841546842 /nfs/dbraw/zinc/54/68/42/841546842.db2.gz WQUPBGSVGMFXRT-UHFFFAOYSA-N 0 1 275.247 0.052 20 30 CCEDMN CN(CC(=O)NCCNc1ccc(C#N)nc1)C1CCC1 ZINC001094221888 841559146 /nfs/dbraw/zinc/55/91/46/841559146.db2.gz SRZTXXIWDRPWPU-UHFFFAOYSA-N 0 1 287.367 0.966 20 30 CCEDMN N#Cc1cncc(NCCCNC(=O)CCc2cnc[nH]2)n1 ZINC001094856280 841776234 /nfs/dbraw/zinc/77/62/34/841776234.db2.gz VQSNZUBABKHHLP-UHFFFAOYSA-N 0 1 299.338 0.622 20 30 CCEDMN CC1(C#N)CCC(N2CCO[C@H](C(N)=O)C2)CC1 ZINC001173598138 842115211 /nfs/dbraw/zinc/11/52/11/842115211.db2.gz NCGBNUSACDUTAA-AKJDGMEZSA-N 0 1 251.330 0.645 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnn(C)c1)C2 ZINC001095231793 842118479 /nfs/dbraw/zinc/11/84/79/842118479.db2.gz UCPINIITMFMJIM-ILXRZTDVSA-N 0 1 286.379 0.707 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+]C1CCN(CC(F)(F)F)CC1 ZINC001173660681 842125337 /nfs/dbraw/zinc/12/53/37/842125337.db2.gz AZZDDVXJQUZFHR-WPRPVWTQSA-N 0 1 295.305 0.839 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1cn(CC)nn1 ZINC001177273627 842543025 /nfs/dbraw/zinc/54/30/25/842543025.db2.gz UORUHMRNSVGZAR-GFCCVEGCSA-N 0 1 295.387 0.485 20 30 CCEDMN CO/N=C(\C(=O)N1CCc2nc[nH]c2C1)c1ccco1 ZINC001177351250 842562497 /nfs/dbraw/zinc/56/24/97/842562497.db2.gz UUSDWAOMAOZIGA-VBKFSLOCSA-N 0 1 274.280 0.938 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CC[C@@H](C(N)=O)C1 ZINC001177909401 842705934 /nfs/dbraw/zinc/70/59/34/842705934.db2.gz LKDZYFOHVRNEHU-ZJUUUORDSA-N 0 1 277.349 0.764 20 30 CCEDMN C=C[C@H](O)C(=O)Nc1cc(C(=O)OC(C)C)[nH]n1 ZINC001179082055 842965019 /nfs/dbraw/zinc/96/50/19/842965019.db2.gz IFLODPLAWYDPJX-QMMMGPOBSA-N 0 1 253.258 0.460 20 30 CCEDMN CC#CC(=O)N(Cc1noc2c1CNCC2)c1ncccn1 ZINC001179750643 843046920 /nfs/dbraw/zinc/04/69/20/843046920.db2.gz QBKGIIVORRISSR-UHFFFAOYSA-N 0 1 297.318 0.667 20 30 CCEDMN C[C@H](C#N)C(=O)Nc1nc(N(C)C)[nH]c(=O)c1N=O ZINC001179889414 843065726 /nfs/dbraw/zinc/06/57/26/843065726.db2.gz XVHWZYFZIRFBFP-RXMQYKEDSA-N 0 1 264.245 0.744 20 30 CCEDMN COC(=O)[C@@](C)(NC(=O)C(C)C#N)C(F)(F)F ZINC001179901771 843079402 /nfs/dbraw/zinc/07/94/02/843079402.db2.gz CCGRPANSVHLYKD-SVGQVSJJSA-N 0 1 252.192 0.756 20 30 CCEDMN CC(C)N1CCN(CC(=O)N2CCC[C@@H](C#N)C2)CC1 ZINC001180347483 843148707 /nfs/dbraw/zinc/14/87/07/843148707.db2.gz UXWGKDWCBYJZDF-AWEZNQCLSA-N 0 1 278.400 0.775 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)[C@@H](C)N1CCCC1 ZINC001180581136 843196911 /nfs/dbraw/zinc/19/69/11/843196911.db2.gz AIAABQCGYKDCBZ-NXEZZACHSA-N 0 1 253.302 0.042 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](C)[C@](C)(CNCc2cnon2)C1 ZINC001180914824 843337157 /nfs/dbraw/zinc/33/71/57/843337157.db2.gz VJAQMPLVLKBAOP-JTNHKYCSSA-N 0 1 291.355 0.803 20 30 CCEDMN COC(=O)CCC(=O)NC1(C#N)CCN(C)CC1 ZINC000071574625 843587994 /nfs/dbraw/zinc/58/79/94/843587994.db2.gz XMYJJTQGCOWFQB-UHFFFAOYSA-N 0 1 253.302 0.044 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@@H](CO)c1ccccc1 ZINC001181921960 843646648 /nfs/dbraw/zinc/64/66/48/843646648.db2.gz GILIHSMIVJBGSD-JTQLQIEISA-N 0 1 256.265 0.996 20 30 CCEDMN C=CCN1C(=O)COCC12CN(CC(C)(C)OCC)C2 ZINC001273473271 843685815 /nfs/dbraw/zinc/68/58/15/843685815.db2.gz RRHBOOGHMNLOBN-UHFFFAOYSA-N 0 1 282.384 0.901 20 30 CCEDMN Cc1ccc(C(=NC(=O)[C@H]2CN3CCC2CC3)NO)nc1 ZINC001182015509 843693746 /nfs/dbraw/zinc/69/37/46/843693746.db2.gz BDRINLIVGXIWQV-LBPRGKRZSA-N 0 1 288.351 0.984 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@H](NCc2conc2C)C1 ZINC001182496349 843845953 /nfs/dbraw/zinc/84/59/53/843845953.db2.gz IPPWFBSTJUBSFR-CQSZACIVSA-N 0 1 291.351 0.713 20 30 CCEDMN CC(C)(C)OC(=O)NC[C@H](O)C(=O)Nc1nc[nH]c1C#N ZINC001182627582 843867118 /nfs/dbraw/zinc/86/71/18/843867118.db2.gz MDPLLEVRDXTKJL-QMMMGPOBSA-N 0 1 295.299 0.105 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)Cc1ccc2nc[nH]c2c1 ZINC001182845288 843919868 /nfs/dbraw/zinc/91/98/68/843919868.db2.gz YJQCBWHBBYGSQZ-NSHDSACASA-N 0 1 270.292 0.856 20 30 CCEDMN CC(C)C1OCC(C(=O)Nc2nc[nH]c2C#N)CO1 ZINC001183173339 843971792 /nfs/dbraw/zinc/97/17/92/843971792.db2.gz QWKGRUSKIGUROQ-UHFFFAOYSA-N 0 1 264.285 0.865 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](C)NC(=O)CC)[C@@H]2C1 ZINC001187991938 844814691 /nfs/dbraw/zinc/81/46/91/844814691.db2.gz ZKKYJAPFHFFOAV-RWMBFGLXSA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001188972747 845013172 /nfs/dbraw/zinc/01/31/72/845013172.db2.gz BRZSNGCFUOKQEV-LBPRGKRZSA-N 0 1 265.382 0.799 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)CCOC(C)C)C1 ZINC001188992039 845017184 /nfs/dbraw/zinc/01/71/84/845017184.db2.gz JVKONXSGFCUGFS-HNNXBMFYSA-N 0 1 296.411 0.984 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cncs2)C1 ZINC001189116865 845040524 /nfs/dbraw/zinc/04/05/24/845040524.db2.gz ZHJMFLXXKRUXNZ-GFCCVEGCSA-N 0 1 293.392 0.939 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)CCCOC)C1 ZINC001189076392 845042743 /nfs/dbraw/zinc/04/27/43/845042743.db2.gz GVRCSSOZNYULKU-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN CCO[C@H](CC)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189196014 845073027 /nfs/dbraw/zinc/07/30/27/845073027.db2.gz GGAFNZGZFWLMSE-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN COc1cc(OC)nc(C(=O)Nc2oc(C)nc2C#N)n1 ZINC001189218747 845090642 /nfs/dbraw/zinc/09/06/42/845090642.db2.gz OKUJUBQRTYLZGF-UHFFFAOYSA-N 0 1 289.251 0.914 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2c[nH]c(C)n2)C1 ZINC001189372238 845118448 /nfs/dbraw/zinc/11/84/48/845118448.db2.gz VULVKKVKHADPKC-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@H](N(C)[C@@H](C)C(=O)NC)C1 ZINC001189744658 845198262 /nfs/dbraw/zinc/19/82/62/845198262.db2.gz WRIJNUXAVBCQJG-UONOGXRCSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@H](N(C)[C@@H]2CCNC2=O)C1 ZINC001189743582 845199750 /nfs/dbraw/zinc/19/97/50/845199750.db2.gz HAXPUTFWKJDMKN-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@@H](N(C)CC#CC)C1 ZINC001189917064 845267798 /nfs/dbraw/zinc/26/77/98/845267798.db2.gz CHERPWADQOPKLN-HIFRSBDPSA-N 0 1 264.369 0.870 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](N(C)[C@@H]2CCN(C)C2=O)C1 ZINC001190055049 845319098 /nfs/dbraw/zinc/31/90/98/845319098.db2.gz VSOGNTNQCACELK-ZIAGYGMSSA-N 0 1 291.395 0.409 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001190104249 845320755 /nfs/dbraw/zinc/32/07/55/845320755.db2.gz FDNLIGCOROIAFA-HUUCEWRRSA-N 0 1 292.379 0.207 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)NC(=O)CC)C1 ZINC001190307800 845382529 /nfs/dbraw/zinc/38/25/29/845382529.db2.gz MBOBBNDPPBBSOT-VXGBXAGGSA-N 0 1 265.357 0.067 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCCn2ccnn2)C1 ZINC001190621235 845436622 /nfs/dbraw/zinc/43/66/22/845436622.db2.gz HFPOGLBETKZDKN-CQSZACIVSA-N 0 1 289.383 0.614 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)c2cnc3n2CCC3)C1 ZINC001190724244 845477705 /nfs/dbraw/zinc/47/77/05/845477705.db2.gz LHYIVTBODNQVPT-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnc3n2CCC3)C1 ZINC001190724244 845477712 /nfs/dbraw/zinc/47/77/12/845477712.db2.gz LHYIVTBODNQVPT-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN C#Cc1ccc(CNS(=O)(=O)c2ncc[nH]2)cc1 ZINC001190747980 845487613 /nfs/dbraw/zinc/48/76/13/845487613.db2.gz QMZVOTRHZSFADI-UHFFFAOYSA-N 0 1 261.306 0.870 20 30 CCEDMN C=CCC1(S(=O)(=O)NC2(CO)CCN(C)CC2)CC1 ZINC001190845264 845519058 /nfs/dbraw/zinc/51/90/58/845519058.db2.gz LPEYKRSDKDAKBS-UHFFFAOYSA-N 0 1 288.413 0.471 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C=C(C)C)C1 ZINC001190871289 845530174 /nfs/dbraw/zinc/53/01/74/845530174.db2.gz VRCUECOYSMRUIW-CHWSQXEVSA-N 0 1 268.357 0.317 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ccnnc2C)C1 ZINC001191162740 845598811 /nfs/dbraw/zinc/59/88/11/845598811.db2.gz PSEJRFDVHAPJEM-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cn3cccnc3n2)C1 ZINC001191136034 845605372 /nfs/dbraw/zinc/60/53/72/845605372.db2.gz IUFPRLHSZVBVBY-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN COCC#CC[N@@H+](C)[C@@H]1CCN(C(=O)C2(COC)CC2)C1 ZINC001191368708 845641708 /nfs/dbraw/zinc/64/17/08/845641708.db2.gz RDEYWKSZECDNNV-CQSZACIVSA-N 0 1 294.395 0.596 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C2(COC)CC2)C1 ZINC001191368708 845641716 /nfs/dbraw/zinc/64/17/16/845641716.db2.gz RDEYWKSZECDNNV-CQSZACIVSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)/C=C(/C)C2CC2)C1 ZINC001191415755 845646570 /nfs/dbraw/zinc/64/65/70/845646570.db2.gz JLAZQSLHPBQJKY-PUCGFSNJSA-N 0 1 294.395 0.707 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001192054428 845783090 /nfs/dbraw/zinc/78/30/90/845783090.db2.gz URVMBUMMQLSENB-CHWSQXEVSA-N 0 1 288.363 0.490 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)SC)C1 ZINC001192313289 845818157 /nfs/dbraw/zinc/81/81/57/845818157.db2.gz QRKBYEGDDSHLPJ-IJLUTSLNSA-N 0 1 270.398 0.313 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)SC)C1 ZINC001192313289 845818164 /nfs/dbraw/zinc/81/81/64/845818164.db2.gz QRKBYEGDDSHLPJ-IJLUTSLNSA-N 0 1 270.398 0.313 20 30 CCEDMN CCCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001192298372 845818192 /nfs/dbraw/zinc/81/81/92/845818192.db2.gz OUOOFSQNBFNYDW-ZIAGYGMSSA-N 0 1 290.367 0.851 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)[C@H]2OCC[C@H]2C)C1 ZINC001192416150 845838850 /nfs/dbraw/zinc/83/88/50/845838850.db2.gz KJQIMUPVCDDHML-MCIONIFRSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2OCC[C@H]2C)C1 ZINC001192416150 845838854 /nfs/dbraw/zinc/83/88/54/845838854.db2.gz KJQIMUPVCDDHML-MCIONIFRSA-N 0 1 264.369 0.967 20 30 CCEDMN CN1Cc2ccc(NS(=O)(=O)CC#N)cc2C1=O ZINC001192979076 845961688 /nfs/dbraw/zinc/96/16/88/845961688.db2.gz XFKZEWWQPOTPTM-UHFFFAOYSA-N 0 1 265.294 0.537 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N[C@@H](C)CN1CCC(C)CC1 ZINC001193170877 845994169 /nfs/dbraw/zinc/99/41/69/845994169.db2.gz UTDNJPASHOZYRH-NWDGAFQWSA-N 0 1 273.402 0.938 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1ccc(CO)cc1F ZINC001193146679 846004489 /nfs/dbraw/zinc/00/44/89/846004489.db2.gz QKWPOFLWMWALCM-SSDOTTSWSA-N 0 1 258.274 0.972 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccc2c(c1)nnn2C ZINC001193146716 846004748 /nfs/dbraw/zinc/00/47/48/846004748.db2.gz RMMZHYCBUYZTSS-ZETCQYMHSA-N 0 1 265.298 0.622 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2C=CS(=O)(=O)C2)ccc1O ZINC001193511676 846101971 /nfs/dbraw/zinc/10/19/71/846101971.db2.gz FWMIGDGOHGIFLC-SNVBAGLBSA-N 0 1 278.289 0.304 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(C(C)C)C[C@H]2O)CCOCC1 ZINC001193729278 846146289 /nfs/dbraw/zinc/14/62/89/846146289.db2.gz WVQMTCQBINFQEA-ZIAGYGMSSA-N 0 1 294.395 0.376 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2nonc2C)C1 ZINC001194472066 846300994 /nfs/dbraw/zinc/30/09/94/846300994.db2.gz PZTUGEIUJLUERQ-LBPRGKRZSA-N 0 1 292.339 0.174 20 30 CCEDMN C=CCN1CCCN(C(=O)CNC(=O)OC)CC1 ZINC001194999674 846438613 /nfs/dbraw/zinc/43/86/13/846438613.db2.gz MPASTDMRXPHIRH-UHFFFAOYSA-N 0 1 255.318 0.063 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCc2nc(C)no2)C1 ZINC001195158452 846475539 /nfs/dbraw/zinc/47/55/39/846475539.db2.gz ZOFBBANEBKCUCG-VXGBXAGGSA-N 0 1 294.355 0.048 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@H](C)C#N)CC1 ZINC001195313801 846504156 /nfs/dbraw/zinc/50/41/56/846504156.db2.gz DHGVBUNESCZKLU-CYBMUJFWSA-N 0 1 265.357 0.883 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCOC(C)C)C[C@H]1O ZINC001195725047 846601541 /nfs/dbraw/zinc/60/15/41/846601541.db2.gz HQYZATXVKUJMQS-ZIAGYGMSSA-N 0 1 284.400 0.929 20 30 CCEDMN CS(=O)(=O)CCNC(=O)c1ccc(C#N)cc1O ZINC001195733455 846604511 /nfs/dbraw/zinc/60/45/11/846604511.db2.gz OZBWTPZEHNSEDK-UHFFFAOYSA-N 0 1 268.294 0.038 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCc2c[nH][nH]c2=O)c(O)c1 ZINC001195765202 846613470 /nfs/dbraw/zinc/61/34/70/846613470.db2.gz XCJMYGUIKBRNJP-SNVBAGLBSA-N 0 1 286.291 0.506 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC23CCC3)C1 ZINC001196444823 846746397 /nfs/dbraw/zinc/74/63/97/846746397.db2.gz BGYJQWAWRYBXCS-MGPQQGTHSA-N 0 1 294.395 0.541 20 30 CCEDMN CCOC(=O)c1cn[nH]c1CNC(=O)c1ncccc1C#N ZINC001196563586 846766564 /nfs/dbraw/zinc/76/65/64/846766564.db2.gz HADCJENWZQMRAF-UHFFFAOYSA-N 0 1 299.290 0.783 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cncnc1OC ZINC001196547839 846772868 /nfs/dbraw/zinc/77/28/68/846772868.db2.gz QRVVYTQFOVOYFA-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)Cc2ccco2)C1 ZINC001196876203 846807039 /nfs/dbraw/zinc/80/70/39/846807039.db2.gz NGWCOOJQVOIYGI-BPLDGKMQSA-N 0 1 290.363 0.643 20 30 CCEDMN C=CCOCC[N@@H+]1CCCN(C(=O)c2cnn(C)n2)CC1 ZINC001197436094 846911884 /nfs/dbraw/zinc/91/18/84/846911884.db2.gz VZGLXWXUQZPWEI-UHFFFAOYSA-N 0 1 293.371 0.166 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2cnn(C)n2)CC1 ZINC001197436094 846911888 /nfs/dbraw/zinc/91/18/88/846911888.db2.gz VZGLXWXUQZPWEI-UHFFFAOYSA-N 0 1 293.371 0.166 20 30 CCEDMN C=CCN1CCCN(C(=O)COCc2ncnn2C)CC1 ZINC001197653900 846942629 /nfs/dbraw/zinc/94/26/29/846942629.db2.gz OKNOPGGRAFAIGG-UHFFFAOYSA-N 0 1 293.371 0.052 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CCOC ZINC001110496046 847001437 /nfs/dbraw/zinc/00/14/37/847001437.db2.gz SFURDRDWLXCQCW-GUIRCDHDSA-N 0 1 282.384 0.681 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2ccc(C)nc2)cc[nH]1 ZINC001198270168 847084007 /nfs/dbraw/zinc/08/40/07/847084007.db2.gz UCPIXSLKRLNYGS-UHFFFAOYSA-N 0 1 273.317 0.989 20 30 CCEDMN C#Cc1cc(NS(=O)(=O)c2ccc(C)nc2)ccn1 ZINC001198270168 847084021 /nfs/dbraw/zinc/08/40/21/847084021.db2.gz UCPIXSLKRLNYGS-UHFFFAOYSA-N 0 1 273.317 0.989 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CCCN(C[C@@H](C)O)CC1 ZINC001198344223 847091315 /nfs/dbraw/zinc/09/13/15/847091315.db2.gz RRFHDAGYPHLWSC-CHWSQXEVSA-N 0 1 270.373 0.493 20 30 CCEDMN C#CCOC[C@H](O)CN1CCc2cc(C#N)ccc2C1 ZINC001251832997 847189174 /nfs/dbraw/zinc/18/91/74/847189174.db2.gz WSWNJGCPCZNHBR-MRXNPFEDSA-N 0 1 270.332 0.927 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCCn2cccc2)C1 ZINC001198970716 847201529 /nfs/dbraw/zinc/20/15/29/847201529.db2.gz SYKCWAFEGPFSLR-HUUCEWRRSA-N 0 1 289.379 0.453 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1C[N@@H+](CCC(=C)C)C[C@H]1O ZINC001199292305 847285264 /nfs/dbraw/zinc/28/52/64/847285264.db2.gz GVNKMSOQKWCYKH-ZIAGYGMSSA-N 0 1 282.384 0.707 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1cnccc1N(C)C ZINC001199353807 847295919 /nfs/dbraw/zinc/29/59/19/847295919.db2.gz SETXNJDGMWSFIY-VIFPVBQESA-N 0 1 283.353 0.349 20 30 CCEDMN C=CCOCC(=O)N1CCC(NCc2ncnn2C)CC1 ZINC001199787615 847433509 /nfs/dbraw/zinc/43/35/09/847433509.db2.gz BXQXISXBKUUGNM-UHFFFAOYSA-N 0 1 293.371 0.098 20 30 CCEDMN C=CCOC[C@@H]1CCC[C@@]12CN(C[C@H](O)CO)CCO2 ZINC001252059671 847736612 /nfs/dbraw/zinc/73/66/12/847736612.db2.gz HDXJNOQCGMNCRN-SOUVJXGZSA-N 0 1 285.384 0.413 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2Cc2cccc(=O)n2C)C1=O ZINC001273944055 847894335 /nfs/dbraw/zinc/89/43/35/847894335.db2.gz DXICESGGRBWGIT-QGZVFWFLSA-N 0 1 299.374 0.585 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001110616610 847922051 /nfs/dbraw/zinc/92/20/51/847922051.db2.gz LRYFQCMDIQDLPQ-RQJABVFESA-N 0 1 296.390 0.911 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCN[C@H](C)c1n[nH]c(CC)n1 ZINC001153149003 847935951 /nfs/dbraw/zinc/93/59/51/847935951.db2.gz QDDAVUACHFCAKX-MNOVXSKESA-N 0 1 295.387 0.725 20 30 CCEDMN C=CCOC[C@@H](O)C[N@H+]1CCc2[n-][nH]c(=O)c2C1 ZINC001252473413 847977960 /nfs/dbraw/zinc/97/79/60/847977960.db2.gz KTXXLSKZFHWTHX-VIFPVBQESA-N 0 1 253.302 0.037 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccncn1 ZINC001114345735 848021020 /nfs/dbraw/zinc/02/10/20/848021020.db2.gz LANWGUYZCCHPOX-NHAGDIPZSA-N 0 1 270.336 0.089 20 30 CCEDMN C=CCNC(=O)N1CCC(O)=C(C(=O)OCC)CC1 ZINC001202566884 848178075 /nfs/dbraw/zinc/17/80/75/848178075.db2.gz FCWIRKAAZJQRBD-JTQLQIEISA-N 0 1 268.313 0.726 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC/C=C\CNCc1ccnn1C ZINC001274017064 848303776 /nfs/dbraw/zinc/30/37/76/848303776.db2.gz QMWVMXCMHGQSCC-CFHLNLSMSA-N 0 1 290.367 0.220 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC/C=C/CNCc1cnn(C)c1 ZINC001274016931 848304174 /nfs/dbraw/zinc/30/41/74/848304174.db2.gz PTPWUUICXXKBMK-URWSZGRFSA-N 0 1 290.367 0.220 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@H]1CCC(=O)NC1 ZINC001274087471 848346200 /nfs/dbraw/zinc/34/62/00/848346200.db2.gz AKJNQLRHPZCXQQ-QAVQXKDTSA-N 0 1 299.802 0.917 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)C[C@H]1CCNC1=O ZINC001274090001 848347303 /nfs/dbraw/zinc/34/73/03/848347303.db2.gz UFRTYDXLSSXHFI-KXMPLOMGSA-N 0 1 285.775 0.527 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H](NCc2cnnn2C)[C@@H](C)C1 ZINC001274199986 848372234 /nfs/dbraw/zinc/37/22/34/848372234.db2.gz WOKVYKMCWDTTIB-JSGCOSHPSA-N 0 1 289.383 0.411 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1cccc(C)c1=O)C2 ZINC001095408339 848404277 /nfs/dbraw/zinc/40/42/77/848404277.db2.gz OJBBHZVJZOMNAV-ILXRZTDVSA-N 0 1 299.374 0.511 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](Cc1ccccc1)OC ZINC001115267647 848419082 /nfs/dbraw/zinc/41/90/82/848419082.db2.gz IDSGVOCWYPAYAE-VVLHAWIVSA-N 0 1 298.386 0.924 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)C(C)(C)C(=C)C ZINC001274252350 848431188 /nfs/dbraw/zinc/43/11/88/848431188.db2.gz CNIPRWGJNITZEK-BQYQJAHWSA-N 0 1 291.395 0.600 20 30 CCEDMN C=CCNC(=O)[C@H]1CC12CCN(Cc1nnc[nH]1)CC2 ZINC001274354461 848456921 /nfs/dbraw/zinc/45/69/21/848456921.db2.gz KKXAILWBXKWIMF-LLVKDONJSA-N 0 1 275.356 0.709 20 30 CCEDMN C=CCN1C(=O)COCC12CN(Cc1cn[nH]c1CC)C2 ZINC001274380422 848463815 /nfs/dbraw/zinc/46/38/15/848463815.db2.gz BGVVYKGMRBNLGK-UHFFFAOYSA-N 0 1 290.367 0.571 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H](C)n2cccn2)CC1 ZINC001274891309 848585804 /nfs/dbraw/zinc/58/58/04/848585804.db2.gz BCNVNACYTLFPRU-ZDUSSCGKSA-N 0 1 290.367 0.020 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)c2ccccc2)CC1 ZINC001274891365 848586150 /nfs/dbraw/zinc/58/61/50/848586150.db2.gz DPMBLZRGSYNMET-UHFFFAOYSA-N 0 1 272.348 0.877 20 30 CCEDMN C=CCOCC(=O)NC1(CO)CCN(CC#CC)CC1 ZINC001274898282 848586849 /nfs/dbraw/zinc/58/68/49/848586849.db2.gz ZHZGVQAPXXFSDG-UHFFFAOYSA-N 0 1 280.368 0.155 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)c2cnccc2C)CC1 ZINC001274896174 848586915 /nfs/dbraw/zinc/58/69/15/848586915.db2.gz DPEOCTQKOHXGIG-UHFFFAOYSA-N 0 1 287.363 0.580 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)[C@H](C)[C@@H]2CCCO2)CC1 ZINC001274930139 848595970 /nfs/dbraw/zinc/59/59/70/848595970.db2.gz SBUQULYLFHBEDJ-KGLIPLIRSA-N 0 1 294.395 0.378 20 30 CCEDMN NC(=O)C(=O)N1CCCC[C@H]1CNCC#Cc1ccccc1 ZINC001275024900 848617630 /nfs/dbraw/zinc/61/76/30/848617630.db2.gz XHWYFWNJHIURJR-HNNXBMFYSA-N 0 1 299.374 0.494 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)CNCc1nccn1C ZINC001275312843 848688757 /nfs/dbraw/zinc/68/87/57/848688757.db2.gz IQKSLSOAPLZUHQ-XQQFMLRXSA-N 0 1 292.383 0.606 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001275366208 848701531 /nfs/dbraw/zinc/70/15/31/848701531.db2.gz MPSAAIWXJNIETM-UWVGGRQHSA-N 0 1 281.360 0.057 20 30 CCEDMN C=CCCC(=O)N[C@H](C)[C@@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001275366207 848701541 /nfs/dbraw/zinc/70/15/41/848701541.db2.gz MPSAAIWXJNIETM-NXEZZACHSA-N 0 1 281.360 0.057 20 30 CCEDMN C[C@@H](CN(C)[C@@H]1CCCNC1=O)NC(=O)C#CC1CC1 ZINC001275551175 848760585 /nfs/dbraw/zinc/76/05/85/848760585.db2.gz FQFXARDTPWEEGW-WCQYABFASA-N 0 1 277.368 0.115 20 30 CCEDMN N#CCNC[C@@H]1CCCC[C@@H]1NC(=O)c1[nH]ncc1F ZINC001275793986 848826334 /nfs/dbraw/zinc/82/63/34/848826334.db2.gz RAPDVIFONITYRV-ONGXEEELSA-N 0 1 279.319 0.951 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001275977436 848879708 /nfs/dbraw/zinc/87/97/08/848879708.db2.gz RQYFIJMEGLNALV-NWDGAFQWSA-N 0 1 274.368 0.584 20 30 CCEDMN CC(C)C#CC(=O)N(C)CCCNCc1ncnn1C ZINC001275996842 848885827 /nfs/dbraw/zinc/88/58/27/848885827.db2.gz UYFIAZZBUHGLAI-UHFFFAOYSA-N 0 1 277.372 0.413 20 30 CCEDMN C=CCCN1CC(CCO)(NC(=O)[C@H]2CCCCN2C)C1 ZINC001276061784 848912835 /nfs/dbraw/zinc/91/28/35/848912835.db2.gz BCXPFQZMNMNAHQ-CQSZACIVSA-N 0 1 295.427 0.600 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]c(=O)[nH]c1C)C2 ZINC001095578989 848992275 /nfs/dbraw/zinc/99/22/75/848992275.db2.gz IGVCMRYFVOMAIU-VWYCJHECSA-N 0 1 276.340 0.945 20 30 CCEDMN CN(CCCNC(=O)c1ncn[nH]1)c1ccncc1C#N ZINC001095608541 848995708 /nfs/dbraw/zinc/99/57/08/848995708.db2.gz GQJFZOCFEACKFA-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN CN(CCCNC(=O)c1nc[nH]n1)c1ccncc1C#N ZINC001095608541 848995717 /nfs/dbraw/zinc/99/57/17/848995717.db2.gz GQJFZOCFEACKFA-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN CN(CCCNC(=O)Cc1nnc[nH]1)c1ccc(C#N)cn1 ZINC001095636702 849001770 /nfs/dbraw/zinc/00/17/70/849001770.db2.gz HHEUPCXLMGJBCK-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CN1CCCNC1=O)C2 ZINC001095682401 849006332 /nfs/dbraw/zinc/00/63/32/849006332.db2.gz HTGCSHKJEJTBSU-AGIUHOORSA-N 0 1 292.383 0.309 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cnn(C)n1)C2 ZINC001111028050 849048498 /nfs/dbraw/zinc/04/84/98/849048498.db2.gz FWTTUFOXZYOYHZ-MCIONIFRSA-N 0 1 289.383 0.655 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccc(OC)nc1 ZINC001114555881 849239746 /nfs/dbraw/zinc/23/97/46/849239746.db2.gz JWPRUTWEIPAHDU-HALDLXJZSA-N 0 1 299.374 0.702 20 30 CCEDMN CC[C@@H](F)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114700436 849369227 /nfs/dbraw/zinc/36/92/27/849369227.db2.gz ULDVJBAKQXNWOA-XQHKEYJVSA-N 0 1 268.332 0.431 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](CC(C)C)OC ZINC001114803918 849397525 /nfs/dbraw/zinc/39/75/25/849397525.db2.gz PVXNYOJXMIELMU-RFQIPJPRSA-N 0 1 264.369 0.727 20 30 CCEDMN CCN(CCCNC(=O)c1ncn[nH]1)c1ccc(C#N)nc1 ZINC001095906199 849437552 /nfs/dbraw/zinc/43/75/52/849437552.db2.gz CBIZPBQLZLWBAU-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN CCN(CCCNC(=O)c1nc[nH]n1)c1ccc(C#N)nc1 ZINC001095906199 849437558 /nfs/dbraw/zinc/43/75/58/849437558.db2.gz CBIZPBQLZLWBAU-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN([C@H](C)C(=O)N(C)C)C[C@H]1C ZINC001206614577 849447170 /nfs/dbraw/zinc/44/71/70/849447170.db2.gz VONRYIMOHZMEFN-JHJVBQTASA-N 0 1 297.399 0.102 20 30 CCEDMN CC[C@@H]1CN(C(=O)c2[nH]nnc2C)CC[C@@H]1NCC#N ZINC001037890474 849601730 /nfs/dbraw/zinc/60/17/30/849601730.db2.gz JDPSNNUMUGRKJW-MNOVXSKESA-N 0 1 276.344 0.467 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCN(C)C1=O ZINC001038618776 849964965 /nfs/dbraw/zinc/96/49/65/849964965.db2.gz DOWXBWCBMLVKJT-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1nnc2ccccc2c1O ZINC001038765049 850008931 /nfs/dbraw/zinc/00/89/31/850008931.db2.gz VBMJGHMJRCKZHK-JTQLQIEISA-N 0 1 297.318 0.663 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC001038827346 850030750 /nfs/dbraw/zinc/03/07/50/850030750.db2.gz XHMIHWPXCTVOPD-MRVPVSSYSA-N 0 1 255.709 0.361 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001038827346 850030760 /nfs/dbraw/zinc/03/07/60/850030760.db2.gz XHMIHWPXCTVOPD-MRVPVSSYSA-N 0 1 255.709 0.361 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CC(C)(C)O ZINC001039367274 850180655 /nfs/dbraw/zinc/18/06/55/850180655.db2.gz WNRDONHSADFMSZ-OLZOCXBDSA-N 0 1 264.369 0.846 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@]1(C)CNC(=O)C1 ZINC001039410196 850186064 /nfs/dbraw/zinc/18/60/64/850186064.db2.gz YHLIMWBGJKQHGF-DVOMOZLQSA-N 0 1 291.395 0.764 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cc[n+]([O-])cc3)C[C@H]21 ZINC001041921114 850529563 /nfs/dbraw/zinc/52/95/63/850529563.db2.gz RTEFSKDTFMVZJC-GDBMZVCRSA-N 0 1 299.374 0.880 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccon3)C[C@@H]21 ZINC001041951376 850537253 /nfs/dbraw/zinc/53/72/53/850537253.db2.gz CAMBJXZMNXPLRG-AAEUAGOBSA-N 0 1 259.309 0.844 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc(C)n[nH]3)C[C@@H]21 ZINC001041960938 850543106 /nfs/dbraw/zinc/54/31/06/850543106.db2.gz IKQHGOFFIXYWNJ-JSGCOSHPSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cocn3)C[C@H]21 ZINC001041972105 850545214 /nfs/dbraw/zinc/54/52/14/850545214.db2.gz JMMOQLLNIMKWDZ-DGCLKSJQSA-N 0 1 259.309 0.844 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnnc(C)c3)C[C@H]21 ZINC001042030344 850560788 /nfs/dbraw/zinc/56/07/88/850560788.db2.gz ABOVSDNKWKOBHW-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)C3CN(C(C)=O)C3)C[C@H]21 ZINC001042031447 850561646 /nfs/dbraw/zinc/56/16/46/850561646.db2.gz GFWJEWPYESWXHZ-DZGCQCFKSA-N 0 1 289.379 0.021 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cn(C)nn3)C[C@H]21 ZINC001042046952 850566488 /nfs/dbraw/zinc/56/64/88/850566488.db2.gz RKQPLCBUUKKMBV-GXTWGEPZSA-N 0 1 287.367 0.375 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C#CC3CC3)C[C@@H]21 ZINC001042057118 850571775 /nfs/dbraw/zinc/57/17/75/850571775.db2.gz GMORUTGWUSEMSO-CABCVRRESA-N 0 1 256.349 0.956 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cccnn3)C[C@@H]21 ZINC001042284330 850609150 /nfs/dbraw/zinc/60/91/50/850609150.db2.gz UYYPAZABZPVRMF-OCCSQVGLSA-N 0 1 270.336 0.646 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)CN3CCCC3)C[C@H]21 ZINC001042358293 850619760 /nfs/dbraw/zinc/61/97/60/850619760.db2.gz QAGGXTLCELTDHL-ZIAGYGMSSA-N 0 1 276.384 0.529 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC001042888507 850775058 /nfs/dbraw/zinc/77/50/58/850775058.db2.gz POHQELDVTJPWIV-UHFFFAOYSA-N 0 1 286.257 0.818 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001043287973 850847168 /nfs/dbraw/zinc/84/71/68/850847168.db2.gz BSWFOUTYTRHYLS-LBPRGKRZSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cccc3n[nH]cc32)C1 ZINC001043502625 850885643 /nfs/dbraw/zinc/88/56/43/850885643.db2.gz GNSCGYNCVODDQG-UHFFFAOYSA-N 0 1 268.320 0.952 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC001043961578 850972326 /nfs/dbraw/zinc/97/23/26/850972326.db2.gz GBWBMDKVEHEZGZ-UHFFFAOYSA-N 0 1 258.325 0.677 20 30 CCEDMN CN(C(=O)c1n[nH]cc1Br)C1CN(CC#N)C1 ZINC001044063082 850995216 /nfs/dbraw/zinc/99/52/16/850995216.db2.gz TWNDVBGJAXURBJ-UHFFFAOYSA-N 0 1 298.144 0.452 20 30 CCEDMN CN(C(=O)c1[nH]nc2ccccc21)C1CN(CC#N)C1 ZINC001044252534 851053804 /nfs/dbraw/zinc/05/38/04/851053804.db2.gz JOAPZMSQLOPPKR-UHFFFAOYSA-N 0 1 269.308 0.843 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)Cn2ccccc2=O)C1 ZINC001044280807 851059809 /nfs/dbraw/zinc/05/98/09/851059809.db2.gz ZLAGPEJDCBRVCJ-UHFFFAOYSA-N 0 1 289.379 0.957 20 30 CCEDMN C[C@H]1C[C@@H](NCC#N)CCN1C(=O)Cc1ccn[nH]1 ZINC001044518225 851116272 /nfs/dbraw/zinc/11/62/72/851116272.db2.gz POCHMQMZWJOOCV-QWRGUYRKSA-N 0 1 261.329 0.445 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnn(CC)n2)CC1 ZINC001045431945 851259013 /nfs/dbraw/zinc/25/90/13/851259013.db2.gz LXFVLXSZMLLGJG-UHFFFAOYSA-N 0 1 275.356 0.516 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN([C@@H]2CCN(CCF)C2)CC1 ZINC001046012061 851352034 /nfs/dbraw/zinc/35/20/34/851352034.db2.gz KJGCLDWHQCKWNE-QWHCGFSZSA-N 0 1 282.363 0.334 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN([C@H]2CCN(CCF)C2)CC1 ZINC001046012060 851352807 /nfs/dbraw/zinc/35/28/07/851352807.db2.gz KJGCLDWHQCKWNE-OLZOCXBDSA-N 0 1 282.363 0.334 20 30 CCEDMN O=C(C#CC1CC1)N1CCN([C@H]2CCN(CCF)C2)CC1 ZINC001046026917 851354852 /nfs/dbraw/zinc/35/48/52/851354852.db2.gz HEKLCYOOSKVOFQ-HNNXBMFYSA-N 0 1 293.386 0.588 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCOC2)C1 ZINC001046182632 851411936 /nfs/dbraw/zinc/41/19/36/851411936.db2.gz IIWMHTWMHPBZIQ-OCCSQVGLSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cnn(C)c2N)C1 ZINC001046262474 851444654 /nfs/dbraw/zinc/44/46/54/851444654.db2.gz SKWROEIEBXWAQW-CYBMUJFWSA-N 0 1 263.345 0.383 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2nnn(C(C)(C)C)n2)C1 ZINC001046329949 851468045 /nfs/dbraw/zinc/46/80/45/851468045.db2.gz DFOYKBJQYOJZAM-CQSZACIVSA-N 0 1 292.387 0.808 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001046375275 851479348 /nfs/dbraw/zinc/47/93/48/851479348.db2.gz MQMKYGWXBJEIKZ-SUMWQHHRSA-N 0 1 298.390 0.795 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C1 ZINC001046385083 851481476 /nfs/dbraw/zinc/48/14/76/851481476.db2.gz JYPFZQPTQFKKKT-LALPHHSUSA-N 0 1 272.352 0.727 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C1 ZINC001046385083 851481480 /nfs/dbraw/zinc/48/14/80/851481480.db2.gz JYPFZQPTQFKKKT-LALPHHSUSA-N 0 1 272.352 0.727 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C2CC(OC)C2)C1 ZINC001046405022 851490805 /nfs/dbraw/zinc/49/08/05/851490805.db2.gz MAEMDRDMDABHRB-ORHYLEIMSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001046434565 851502639 /nfs/dbraw/zinc/50/26/39/851502639.db2.gz NWGKSELYAVMOOL-BBRMVZONSA-N 0 1 286.379 0.659 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001046453834 851511788 /nfs/dbraw/zinc/51/17/88/851511788.db2.gz KPTCZLJGYMUICM-ZDUSSCGKSA-N 0 1 293.327 0.053 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001046625934 851570187 /nfs/dbraw/zinc/57/01/87/851570187.db2.gz LNTPSEPQSLFBGB-CQSZACIVSA-N 0 1 276.340 0.494 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001046640199 851572264 /nfs/dbraw/zinc/57/22/64/851572264.db2.gz DUWXCERLILVYNU-SQWLQELKSA-N 0 1 286.379 0.737 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cc(C)on2)C1 ZINC001047327273 851719321 /nfs/dbraw/zinc/71/93/21/851719321.db2.gz KNPGNJMGUMQICN-KBPBESRZSA-N 0 1 293.367 0.605 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2coc(C)c2)C1 ZINC001047361644 851737385 /nfs/dbraw/zinc/73/73/85/851737385.db2.gz PUCXDGQQOWRPNT-KBPBESRZSA-N 0 1 276.336 0.728 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccnn2C)C1 ZINC001047368391 851739319 /nfs/dbraw/zinc/73/93/19/851739319.db2.gz ZEPJJLWNQSLOOI-KBPBESRZSA-N 0 1 292.383 0.042 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2(F)CCCC2)C1 ZINC001047438147 851763413 /nfs/dbraw/zinc/76/34/13/851763413.db2.gz MDMJJAWIAGEYKX-STQMWFEESA-N 0 1 282.359 0.796 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2CC(C)(C)CO2)C1 ZINC001047454141 851770219 /nfs/dbraw/zinc/77/02/19/851770219.db2.gz YPGDMIRIFJFHCU-RDBSUJKOSA-N 0 1 296.411 0.881 20 30 CCEDMN CN(C(=O)c1cccc2c[nH]nc21)[C@H]1CN(CC#N)C[C@@H]1O ZINC001047479277 851777780 /nfs/dbraw/zinc/77/77/80/851777780.db2.gz HSEWNKVPPOVNPN-STQMWFEESA-N 0 1 299.334 0.204 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2C[C@@H]2C)C1 ZINC001047491574 851785457 /nfs/dbraw/zinc/78/54/57/851785457.db2.gz OOHODFQSQLYEDR-CYDGBPFRSA-N 0 1 250.342 0.169 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cscn2)C1 ZINC001047553238 851812326 /nfs/dbraw/zinc/81/23/26/851812326.db2.gz UXYYGNNHYDZFHM-STQMWFEESA-N 0 1 293.392 0.212 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2nccs2)C1 ZINC001047575943 851821045 /nfs/dbraw/zinc/82/10/45/851821045.db2.gz LUWCMCJERHQQOK-QWRGUYRKSA-N 0 1 281.381 0.836 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccn(C)n2)C1 ZINC001047625791 851837255 /nfs/dbraw/zinc/83/72/55/851837255.db2.gz MPFOFTKAGNMQJV-STQMWFEESA-N 0 1 278.356 0.113 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1[n-]nnc1C)C2 ZINC001048550904 851973866 /nfs/dbraw/zinc/97/38/66/851973866.db2.gz JBGGQGDULCEONS-CLLJXQQHSA-N 0 1 287.367 0.719 20 30 CCEDMN C#CC[N@@H+]1[C@@H]2CC[C@H]1C[C@@H](CNC(=O)c1[n-]nnc1C)C2 ZINC001048550904 851973870 /nfs/dbraw/zinc/97/38/70/851973870.db2.gz JBGGQGDULCEONS-CLLJXQQHSA-N 0 1 287.367 0.719 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1C[C@H]2CN([C@@H](C)C(N)=O)C[C@H]2C1 ZINC001049033964 852144246 /nfs/dbraw/zinc/14/42/46/852144246.db2.gz BRRUIMZXPNHSST-JFILPPLUSA-N 0 1 293.411 0.853 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnccn1 ZINC001049303165 852223560 /nfs/dbraw/zinc/22/35/60/852223560.db2.gz QYYCHTRHCMUMNT-ZIAGYGMSSA-N 0 1 270.336 0.789 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)CN1CCCC1=O ZINC001049317677 852226185 /nfs/dbraw/zinc/22/61/85/852226185.db2.gz DNHCCCQFCRMYSL-KBPBESRZSA-N 0 1 289.379 0.307 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccn[nH]1 ZINC001049347860 852237511 /nfs/dbraw/zinc/23/75/11/852237511.db2.gz WBHZAEBOPPMRHP-CHWSQXEVSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cc[nH]n1 ZINC001049347860 852237529 /nfs/dbraw/zinc/23/75/29/852237529.db2.gz WBHZAEBOPPMRHP-CHWSQXEVSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccn[nH]1 ZINC001049347860 852237536 /nfs/dbraw/zinc/23/75/36/852237536.db2.gz WBHZAEBOPPMRHP-CHWSQXEVSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1ccon1 ZINC001049353458 852237623 /nfs/dbraw/zinc/23/76/23/852237623.db2.gz LCHSDWPPGGZNJL-QWHCGFSZSA-N 0 1 259.309 0.987 20 30 CCEDMN N#CCN1CC[C@H]2[C@H]1CCCN2C(=O)Cc1ccn[nH]1 ZINC001049388305 852254635 /nfs/dbraw/zinc/25/46/35/852254635.db2.gz SLNIRGPRZNFEHT-OLZOCXBDSA-N 0 1 273.340 0.541 20 30 CCEDMN CC#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cn(C)nn1 ZINC001049462034 852287066 /nfs/dbraw/zinc/28/70/66/852287066.db2.gz NDMAMXBTMTZBRD-KBPBESRZSA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1csnn1 ZINC001049628270 852323472 /nfs/dbraw/zinc/32/34/72/852323472.db2.gz WZNNQBQABGKWAZ-NWDGAFQWSA-N 0 1 276.365 0.850 20 30 CCEDMN C#CC[N@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049835045 852382559 /nfs/dbraw/zinc/38/25/59/852382559.db2.gz NQUKPOVUBONILP-RYUDHWBXSA-N 0 1 273.340 0.046 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2[C@@H]1CCCN2C(=O)Cc1nnc[n-]1 ZINC001049835045 852382571 /nfs/dbraw/zinc/38/25/71/852382571.db2.gz NQUKPOVUBONILP-RYUDHWBXSA-N 0 1 273.340 0.046 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCNC(=O)C1)C2 ZINC001096947826 852477654 /nfs/dbraw/zinc/47/76/54/852477654.db2.gz KNHIPHVRFBQOIN-DGAVXFQQSA-N 0 1 289.379 0.257 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1COCCN1C)C2 ZINC001096980573 852483985 /nfs/dbraw/zinc/48/39/85/852483985.db2.gz BUNFLGHMVNPJMO-APIJFGDWSA-N 0 1 291.395 0.062 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncn(C)n1)C2 ZINC001097036235 852493216 /nfs/dbraw/zinc/49/32/16/852493216.db2.gz JFHUITAGFSNFOT-MXWKQRLJSA-N 0 1 261.329 0.336 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@]1(C)CNC(=O)C1)C2 ZINC001097575010 852547564 /nfs/dbraw/zinc/54/75/64/852547564.db2.gz NHUSEHCYKWSICP-MCYUEQNJSA-N 0 1 277.368 0.420 20 30 CCEDMN CC#CCN1CC2(C1)CN(C(=O)c1cnc(C)[nH]1)CCO2 ZINC001053186272 852705485 /nfs/dbraw/zinc/70/54/85/852705485.db2.gz YBJRALDRFGXDKZ-UHFFFAOYSA-N 0 1 288.351 0.268 20 30 CCEDMN C=CCN1CC2(C1)CC[C@H](CNC(=O)[C@H]1CCCN1C)O2 ZINC001053582439 852765291 /nfs/dbraw/zinc/76/52/91/852765291.db2.gz FLXQFNXUUATBMC-ZIAGYGMSSA-N 0 1 293.411 0.616 20 30 CCEDMN C=CCN1CC2(C1)CC[C@H](CNC(=O)[C@@H]1CCCN1C)O2 ZINC001053582437 852765959 /nfs/dbraw/zinc/76/59/59/852765959.db2.gz FLXQFNXUUATBMC-KGLIPLIRSA-N 0 1 293.411 0.616 20 30 CCEDMN CC#CCN1CC2(C1)C[C@H](NC(=O)c1[nH]ncc1F)CO2 ZINC001053920024 852847599 /nfs/dbraw/zinc/84/75/99/852847599.db2.gz YUNHOPHKPVXOIR-JTQLQIEISA-N 0 1 292.314 0.145 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1c[nH]cn1)C2 ZINC001097935744 852898823 /nfs/dbraw/zinc/89/88/23/852898823.db2.gz KHTNJRPMNZLKCY-UPJWGTAASA-N 0 1 258.325 0.307 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cc2nnc(C)[nH]2)C[C@@H]1C ZINC001054634678 852984585 /nfs/dbraw/zinc/98/45/85/852984585.db2.gz KHMBRVXBQVDTJP-KWQFWETISA-N 0 1 297.790 0.845 20 30 CCEDMN C[C@@H]1CN(C(=O)C#CC2CC2)C[C@H]1NCc1cnnn1C ZINC001054640355 852986451 /nfs/dbraw/zinc/98/64/51/852986451.db2.gz YJJMQBORQOCLDB-BXUZGUMPSA-N 0 1 287.367 0.165 20 30 CCEDMN Cc1cc(C(=O)N2CCN(c3ccnc(C#N)n3)CC2)n[nH]1 ZINC001055738535 853110929 /nfs/dbraw/zinc/11/09/29/853110929.db2.gz ONBQFJLOCGGZQS-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](Nc2ccncc2C#N)C1 ZINC001056748503 853201045 /nfs/dbraw/zinc/20/10/45/853201045.db2.gz VCDHUKALNQPRBM-LLVKDONJSA-N 0 1 297.322 0.128 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cc3nnc(C)o3)[C@@H]2C1 ZINC001050040197 853300897 /nfs/dbraw/zinc/30/08/97/853300897.db2.gz FINLCRLACFKABV-QWHCGFSZSA-N 0 1 288.351 0.477 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CC3(O)CCC3)[C@@H]2C1 ZINC001050045865 853303565 /nfs/dbraw/zinc/30/35/65/853303565.db2.gz MVGIHKOGOVQGQU-UONOGXRCSA-N 0 1 276.380 0.848 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cc4n(n3)CCC4)[C@@H]2C1 ZINC001050060780 853305768 /nfs/dbraw/zinc/30/57/68/853305768.db2.gz YRUJTTXWYUVZMN-XJKSGUPXSA-N 0 1 298.390 0.999 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)C3CC(OC)C3)[C@@H]2C1 ZINC001050071183 853309798 /nfs/dbraw/zinc/30/97/98/853309798.db2.gz KEFCLXKKQZQTRX-VXGQWTEUSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H]3CCN(C)C3=O)[C@@H]2C1 ZINC001050116775 853316404 /nfs/dbraw/zinc/31/64/04/853316404.db2.gz CLXZMUILZOYCJR-BFHYXJOUSA-N 0 1 289.379 0.021 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)Cn3nccc3C)[C@@H]2C1 ZINC001050153173 853324172 /nfs/dbraw/zinc/32/41/72/853324172.db2.gz QBRGQFYVTVWNJC-LSDHHAIUSA-N 0 1 286.379 0.748 20 30 CCEDMN CCN1CCN([C@H]2CCN(C(=O)C#CC3CC3)C2)CC1 ZINC001052026492 853676788 /nfs/dbraw/zinc/67/67/88/853676788.db2.gz PTDKTTIJHRGTOM-HNNXBMFYSA-N 0 1 275.396 0.638 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)[C@@H]3C[C@H]3C)C2)CC1 ZINC001052062477 853679645 /nfs/dbraw/zinc/67/96/45/853679645.db2.gz KJONQECPFWKIFN-BZUAXINKSA-N 0 1 289.423 0.884 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cn(-c3cccnc3)nn2)=NO1 ZINC000827497639 853793995 /nfs/dbraw/zinc/79/39/95/853793995.db2.gz YEPGIKGOEPUEAG-QMMMGPOBSA-N 0 1 272.268 0.514 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001058315044 853823965 /nfs/dbraw/zinc/82/39/65/853823965.db2.gz WSPDEZRMVKHFCW-ZIAGYGMSSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1CCN(c2ncccc2C#N)C1 ZINC001058315044 853823975 /nfs/dbraw/zinc/82/39/75/853823975.db2.gz WSPDEZRMVKHFCW-ZIAGYGMSSA-N 0 1 299.378 0.742 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](NC(=O)CN3CCCC3)C2)nc1 ZINC001058371763 853833911 /nfs/dbraw/zinc/83/39/11/853833911.db2.gz GPCAYKJGCXVHDC-CQSZACIVSA-N 0 1 299.378 0.744 20 30 CCEDMN C#CCCCCNC(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001137508668 853844754 /nfs/dbraw/zinc/84/47/54/853844754.db2.gz DHMFCEZFPGHTNB-CHWSQXEVSA-N 0 1 252.358 0.751 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001067888149 853864919 /nfs/dbraw/zinc/86/49/19/853864919.db2.gz JCUPSHVTMWLVQT-VIFPVBQESA-N 0 1 292.343 0.193 20 30 CCEDMN C[C@H](CNC(=O)Cc1nnc[nH]1)Nc1ccc(C#N)nc1 ZINC001098056145 853878175 /nfs/dbraw/zinc/87/81/75/853878175.db2.gz FRMDDDCOQBCXMH-SECBINFHSA-N 0 1 285.311 0.231 20 30 CCEDMN CC1CCN(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CC#N)CC1 ZINC001098096970 853968007 /nfs/dbraw/zinc/96/80/07/853968007.db2.gz NXGOGSJFBTYJTG-KFWWJZLASA-N 0 1 290.411 0.963 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2CC=CC2)C[C@@H]1n1ccnn1 ZINC001070163016 854031783 /nfs/dbraw/zinc/03/17/83/854031783.db2.gz LYVFMZNNCMLLND-KGLIPLIRSA-N 0 1 285.351 0.219 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)Cc2ccoc2)C[C@@H]1n1ccnn1 ZINC001070181835 854033222 /nfs/dbraw/zinc/03/32/22/854033222.db2.gz FUQMJNGIYWZCJL-KGLIPLIRSA-N 0 1 299.334 0.089 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001070535503 854072200 /nfs/dbraw/zinc/07/22/00/854072200.db2.gz WHNPUUREQUTIQF-SNVBAGLBSA-N 0 1 277.328 0.492 20 30 CCEDMN C=CCCC(=O)N1CCC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001070535503 854072206 /nfs/dbraw/zinc/07/22/06/854072206.db2.gz WHNPUUREQUTIQF-SNVBAGLBSA-N 0 1 277.328 0.492 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)c1cnon1)C[C@H](C)O2 ZINC001071221489 854138253 /nfs/dbraw/zinc/13/82/53/854138253.db2.gz QNOIOBXTOJGYGI-SMDDNHRTSA-N 0 1 292.339 0.561 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)Cc2cnn(C)c2)CC[C@@H]1C ZINC001071383704 854172236 /nfs/dbraw/zinc/17/22/36/854172236.db2.gz LSTBUTRKVLVKBL-GXTWGEPZSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cnn(C)c2)CC[C@@H]1C ZINC001071383704 854172238 /nfs/dbraw/zinc/17/22/38/854172238.db2.gz LSTBUTRKVLVKBL-GXTWGEPZSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nc(C)c2[O-])CC[C@@H]1C ZINC001071440855 854197347 /nfs/dbraw/zinc/19/73/47/854197347.db2.gz UUDHKRBCFWKPMN-GXSJLCMTSA-N 0 1 276.340 0.640 20 30 CCEDMN C#CC[N@@H+]1C[C@H](NC(=O)c2[nH]nc(C)c2[O-])CC[C@H]1C ZINC001071440857 854197542 /nfs/dbraw/zinc/19/75/42/854197542.db2.gz UUDHKRBCFWKPMN-MWLCHTKSSA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2CN(C(C)=O)C2)CC[C@@H]1C ZINC001071459424 854206258 /nfs/dbraw/zinc/20/62/58/854206258.db2.gz DAYWQIQGLIRNHL-FZMZJTMJSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2nnc(C)[nH]2)CC[C@@H]1C ZINC001071497159 854221318 /nfs/dbraw/zinc/22/13/18/854221318.db2.gz CYCHKADUXZEUMG-CMPLNLGQSA-N 0 1 275.356 0.258 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2ccn(C)c(=O)c2)CC[C@H]1C ZINC001071619857 854250799 /nfs/dbraw/zinc/25/07/99/854250799.db2.gz VUBBDUAUGKMFQA-OCCSQVGLSA-N 0 1 287.363 0.601 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1C ZINC001071652365 854258185 /nfs/dbraw/zinc/25/81/85/854258185.db2.gz TUQCAMKZMODECA-CMPLNLGQSA-N 0 1 276.340 0.953 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)CC[C@@H]1C ZINC001072076499 854344461 /nfs/dbraw/zinc/34/44/61/854344461.db2.gz QARBYWZNWYNEDS-CMPLNLGQSA-N 0 1 290.367 0.882 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cn2ccnc2)CC[C@H]1C ZINC001072122902 854350055 /nfs/dbraw/zinc/35/00/55/854350055.db2.gz LKJOPKSHABXVDJ-KGLIPLIRSA-N 0 1 274.368 0.876 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cccnc3)C2)C1 ZINC001072400242 854378563 /nfs/dbraw/zinc/37/85/63/854378563.db2.gz PULXKULWVYTFFB-UHFFFAOYSA-N 0 1 255.321 0.863 20 30 CCEDMN C=CCCC(=O)N[C@H]1CN(C(=O)c2[nH]nnc2C)C[C@H]1C ZINC001072493145 854399007 /nfs/dbraw/zinc/39/90/07/854399007.db2.gz KALHUAIAJYXMKD-KOLCDFICSA-N 0 1 291.355 0.656 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ncoc3C)C2)C1 ZINC001072526863 854406680 /nfs/dbraw/zinc/40/66/80/854406680.db2.gz BRBAHAMYJHBVAI-UHFFFAOYSA-N 0 1 259.309 0.764 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc[nH]c(=O)c3)C2)C1 ZINC001072615731 854428342 /nfs/dbraw/zinc/42/83/42/854428342.db2.gz ZVAOGAJKLXUDSQ-UHFFFAOYSA-N 0 1 271.320 0.568 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3ccoc3)C2)C1 ZINC001072650739 854437837 /nfs/dbraw/zinc/43/78/37/854437837.db2.gz VAJMQAOPMKICJW-UHFFFAOYSA-N 0 1 258.321 0.990 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CC(C)(C)O)C2)C1 ZINC001072676042 854445013 /nfs/dbraw/zinc/44/50/13/854445013.db2.gz MXBMVGRITJHHOA-UHFFFAOYSA-N 0 1 250.342 0.315 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnn4ccncc34)C2)C1 ZINC001072816888 854472461 /nfs/dbraw/zinc/47/24/61/854472461.db2.gz FKZDWJTVPRNZOP-UHFFFAOYSA-N 0 1 295.346 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cncc(OC)n3)C2)C1 ZINC001073038214 854519090 /nfs/dbraw/zinc/51/90/90/854519090.db2.gz YOAIWZYTWLOZJL-UHFFFAOYSA-N 0 1 286.335 0.266 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3nonc3C)C2)C1 ZINC001073096093 854529858 /nfs/dbraw/zinc/52/98/58/854529858.db2.gz PMIBQJLXULSCDR-UHFFFAOYSA-N 0 1 274.324 0.088 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCN(C(C)=O)C3)C2)C1 ZINC001073154835 854539406 /nfs/dbraw/zinc/53/94/06/854539406.db2.gz DMMJOCGEIHMFNR-AWEZNQCLSA-N 0 1 289.379 0.022 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnccn2)C1 ZINC001073523409 854575806 /nfs/dbraw/zinc/57/58/06/854575806.db2.gz YLUNAPOZOXAGQY-LBPRGKRZSA-N 0 1 276.340 0.483 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H]2CCCO2)C1 ZINC001073523430 854577367 /nfs/dbraw/zinc/57/73/67/854577367.db2.gz ZFFLNSRWLNCSJM-CHWSQXEVSA-N 0 1 268.357 0.559 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H]2CCCCO2)C1 ZINC001073575508 854598746 /nfs/dbraw/zinc/59/87/46/854598746.db2.gz HFNYPWADSDGAOU-CABCVRRESA-N 0 1 294.395 0.786 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC001073651662 854624150 /nfs/dbraw/zinc/62/41/50/854624150.db2.gz BTXDQDFTZLHXTD-NSHDSACASA-N 0 1 292.339 0.189 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnco2)C1 ZINC001073717220 854634064 /nfs/dbraw/zinc/63/40/64/854634064.db2.gz ZGJKMOSPJYVDCZ-LLVKDONJSA-N 0 1 265.313 0.681 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2conc2C)C1 ZINC001073752146 854639958 /nfs/dbraw/zinc/63/99/58/854639958.db2.gz QLGBYBGKAJFLRJ-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN C=C(C)CN1CCO[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001074167856 854685271 /nfs/dbraw/zinc/68/52/71/854685271.db2.gz XJUDINORCIMMIX-ZIAGYGMSSA-N 0 1 290.367 0.901 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@H]21 ZINC001074194206 854693661 /nfs/dbraw/zinc/69/36/61/854693661.db2.gz GXTVBPDJZSPUCR-ZIAGYGMSSA-N 0 1 290.367 0.819 20 30 CCEDMN Cc1ccc(C#N)c(N[C@@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001098405481 854865652 /nfs/dbraw/zinc/86/56/52/854865652.db2.gz ZXMUBOVOQOAHOC-VIFPVBQESA-N 0 1 285.311 0.610 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCSC)[C@@H](O)C1 ZINC001099654625 854958082 /nfs/dbraw/zinc/95/80/82/854958082.db2.gz PUSMDPADEQJSCP-QWRGUYRKSA-N 0 1 258.387 0.477 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCOCC(C)C)[C@@H](O)C1 ZINC001099698635 854971249 /nfs/dbraw/zinc/97/12/49/854971249.db2.gz RLDFKALOWAHAJX-CABCVRRESA-N 0 1 296.411 0.624 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)CC2(OC)CCC2)[C@H](O)C1 ZINC001099807884 854998478 /nfs/dbraw/zinc/99/84/78/854998478.db2.gz XGVHXHQMCASMRO-UONOGXRCSA-N 0 1 294.395 0.520 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2(OC)CCC2)[C@H](O)C1 ZINC001099807884 854998481 /nfs/dbraw/zinc/99/84/81/854998481.db2.gz XGVHXHQMCASMRO-UONOGXRCSA-N 0 1 294.395 0.520 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CCN(CCOC(C)C)C[C@@H]1O ZINC001099820327 855001849 /nfs/dbraw/zinc/00/18/49/855001849.db2.gz CWACNNPQEYPHGG-GJZGRUSLSA-N 0 1 296.411 0.622 20 30 CCEDMN C=CCCCC(=O)N[C@H]1CCN(CC#CC)C[C@H]1O ZINC001099974337 855058515 /nfs/dbraw/zinc/05/85/15/855058515.db2.gz QGKIEUAIPIDNDC-UONOGXRCSA-N 0 1 264.369 0.917 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)C(F)F)[C@H](O)C1 ZINC001100000934 855066646 /nfs/dbraw/zinc/06/66/46/855066646.db2.gz MVMISDCHDWLADW-WDEREUQCSA-N 0 1 288.338 0.852 20 30 CCEDMN CC(C)C#CC(=O)NCCN(C)c1ncnc2[nH]cnc21 ZINC001100054889 855086785 /nfs/dbraw/zinc/08/67/85/855086785.db2.gz CPZSFRGMTBOETH-UHFFFAOYSA-N 0 1 286.339 0.565 20 30 CCEDMN CCCN(CCNC(=O)c1cnn[nH]1)c1ncccc1C#N ZINC001101267014 855278063 /nfs/dbraw/zinc/27/80/63/855278063.db2.gz IFJMFWUMTHYPDB-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN CN(CCNc1ncccc1C#N)C(=O)c1ccn[nH]1 ZINC001101509979 855301875 /nfs/dbraw/zinc/30/18/75/855301875.db2.gz PSMHZXPILJJHLK-UHFFFAOYSA-N 0 1 270.296 0.860 20 30 CCEDMN COCC#CC[N@@H+]1C[C@@H](CNC(=O)c2ccc[nH]2)[C@H](C)C1 ZINC001101714718 855350796 /nfs/dbraw/zinc/35/07/96/855350796.db2.gz MNSVAXWLZNZGKY-ZIAGYGMSSA-N 0 1 289.379 0.962 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCNc1ccc(C#N)c(C)n1 ZINC001101885741 855386828 /nfs/dbraw/zinc/38/68/28/855386828.db2.gz NWTCNZXZHUXYHI-UHFFFAOYSA-N 0 1 299.338 0.872 20 30 CCEDMN C[C@H](CNC(=O)c1ncn[nH]1)CNc1ccc(C#N)nc1 ZINC001104059584 855564594 /nfs/dbraw/zinc/56/45/94/855564594.db2.gz PFHUASVIMUXROO-VIFPVBQESA-N 0 1 285.311 0.549 20 30 CCEDMN C[C@H](CNC(=O)c1nc[nH]n1)CNc1ccc(C#N)nc1 ZINC001104059584 855564597 /nfs/dbraw/zinc/56/45/97/855564597.db2.gz PFHUASVIMUXROO-VIFPVBQESA-N 0 1 285.311 0.549 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)COCCOC)C1 ZINC001111670207 855587446 /nfs/dbraw/zinc/58/74/46/855587446.db2.gz FKZMEBBBGDOQBK-ZFWWWQNUSA-N 0 1 280.368 0.253 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCc1cccnc1 ZINC001115178675 855650208 /nfs/dbraw/zinc/65/02/08/855650208.db2.gz NEFPCBCBHPWOQJ-QLPKVWCKSA-N 0 1 299.374 0.668 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COc1ccc(F)cc1 ZINC001115225512 855652724 /nfs/dbraw/zinc/65/27/24/855652724.db2.gz QSSMKSFPLUWCNJ-FOLVSLTJSA-N 0 1 288.322 0.884 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001115330477 855662550 /nfs/dbraw/zinc/66/25/50/855662550.db2.gz NJRSLYAVBSYVRT-FOLVSLTJSA-N 0 1 292.379 0.275 20 30 CCEDMN N#CCSCC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC001116744886 855824908 /nfs/dbraw/zinc/82/49/08/855824908.db2.gz WNAQWLKCWWTBBO-ZETCQYMHSA-N 0 1 284.370 0.073 20 30 CCEDMN C=Cn1cc(C=Nn2c(=O)c(C)n[nH]c2=S)cn1 ZINC001116817045 855840263 /nfs/dbraw/zinc/84/02/63/855840263.db2.gz OVIBWZRQHOQRJR-UHFFFAOYSA-N 0 1 262.298 0.415 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC001117375583 855958892 /nfs/dbraw/zinc/95/88/92/855958892.db2.gz QTYUJYJYVRYVJT-OCCSQVGLSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC001117384350 855961984 /nfs/dbraw/zinc/96/19/84/855961984.db2.gz WGGZUUNTYKMKIZ-MNOVXSKESA-N 0 1 292.339 0.221 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC001117978031 856125404 /nfs/dbraw/zinc/12/54/04/856125404.db2.gz FOXMSTXXVFNATA-RWMBFGLXSA-N 0 1 285.388 0.284 20 30 CCEDMN C[C@H](c1nc([C@@H]2CCCN2)no1)n1cnc(C#N)n1 ZINC001118172368 856185851 /nfs/dbraw/zinc/18/58/51/856185851.db2.gz OHWKFAYIGFXHSI-SFYZADRCSA-N 0 1 259.273 0.567 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001118374905 856278227 /nfs/dbraw/zinc/27/82/27/856278227.db2.gz YXFHGFPKOKEMHQ-UWVGGRQHSA-N 0 1 277.328 0.819 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)C(=O)N(C)Cc2nnc[nH]2)C1 ZINC001118447115 856300395 /nfs/dbraw/zinc/30/03/95/856300395.db2.gz RMUXHZMBOYRYHG-LLVKDONJSA-N 0 1 289.339 0.025 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)C(=O)N1CCC(C#N)CC1 ZINC001118904732 856485421 /nfs/dbraw/zinc/48/54/21/856485421.db2.gz KYWWXHUPVJUAHO-CYBMUJFWSA-N 0 1 292.383 0.205 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2cn3c(n2)COCC3)NO1 ZINC001118908204 856486722 /nfs/dbraw/zinc/48/67/22/856486722.db2.gz QRDFHSACAKMAAZ-SSDOTTSWSA-N 0 1 250.258 0.265 20 30 CCEDMN C#CC[C@H](CO)NCc1ccc(C(=O)OCC)o1 ZINC001119450154 856689412 /nfs/dbraw/zinc/68/94/12/856689412.db2.gz NKNOXJSSYPCILW-SNVBAGLBSA-N 0 1 251.282 0.930 20 30 CCEDMN CC(C)(C)N1CC[C@@H]1C(=O)N1CCOC[C@H]1C#N ZINC001119454703 856690752 /nfs/dbraw/zinc/69/07/52/856690752.db2.gz LDTAOILYOZCQFZ-GHMZBOCLSA-N 0 1 251.330 0.610 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1CCC[N@H+]1C1CC1)C(=O)[O-] ZINC001119582458 856754616 /nfs/dbraw/zinc/75/46/16/856754616.db2.gz XRMMXGVXUUDFJX-MNOVXSKESA-N 0 1 250.298 0.206 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)c2cccnc2)CC1 ZINC001323370182 912298715 /nfs/dbraw/zinc/29/87/15/912298715.db2.gz IGDWHGMNVQFAJF-UHFFFAOYSA-N 0 1 288.351 0.236 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001323372289 912300838 /nfs/dbraw/zinc/30/08/38/912300838.db2.gz UHJYRZKCVRCJCH-CZUORRHYSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@@H]1COCCO1 ZINC001393034012 912342580 /nfs/dbraw/zinc/34/25/80/912342580.db2.gz VKMRKPFHOYLIQL-RYUDHWBXSA-N 0 1 288.775 0.735 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C#CC(C)C)CC1 ZINC001323438132 912345166 /nfs/dbraw/zinc/34/51/66/912345166.db2.gz VMXIQJZVPSJNFW-UHFFFAOYSA-N 0 1 277.368 0.186 20 30 CCEDMN C[C@@H]1CN(C2CC2)C[C@H]1NC(=O)C(=O)NCCCC#N ZINC001323549762 912407203 /nfs/dbraw/zinc/40/72/03/912407203.db2.gz FUKWXGJUZDRHDE-ZYHUDNBSSA-N 0 1 278.356 0.005 20 30 CCEDMN C#CCNC(=O)[C@H](C)NC1(CNC(=O)[C@@H](C)C(C)C)CC1 ZINC001323556141 912412551 /nfs/dbraw/zinc/41/25/51/912412551.db2.gz UFFMIXFHCLBHFG-STQMWFEESA-N 0 1 293.411 0.655 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1C[C@H]2CCC[C@@H](C1)N2CCCO ZINC001323775222 912515420 /nfs/dbraw/zinc/51/54/20/912515420.db2.gz HLMLGEWQXZLIDV-IJEWVQPXSA-N 0 1 296.411 0.761 20 30 CCEDMN N#Cc1ccncc1C(=O)N1CCc2[nH]nnc2C1 ZINC001324046793 912646180 /nfs/dbraw/zinc/64/61/80/912646180.db2.gz PWACGEMRQMVHOG-UHFFFAOYSA-N 0 1 254.253 0.270 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H]3[C@H](CO)[C@H]3C2)CC1 ZINC001324290728 912762013 /nfs/dbraw/zinc/76/20/13/912762013.db2.gz VSIZKGMBOWSFRO-WDNDVIMCSA-N 0 1 262.353 0.028 20 30 CCEDMN C#CCOCC[N@H+](C)CC[C@@H]1CCS(=O)(=O)C1 ZINC001324516633 912886591 /nfs/dbraw/zinc/88/65/91/912886591.db2.gz SVJPGXWZSOZRJT-GFCCVEGCSA-N 0 1 259.371 0.393 20 30 CCEDMN C#CCOCCN(C)CC[C@@H]1CCS(=O)(=O)C1 ZINC001324516633 912886609 /nfs/dbraw/zinc/88/66/09/912886609.db2.gz SVJPGXWZSOZRJT-GFCCVEGCSA-N 0 1 259.371 0.393 20 30 CCEDMN COCC#CCN1CC[C@](C)(NC(=O)Cc2ncc[nH]2)C1 ZINC001324662521 912971285 /nfs/dbraw/zinc/97/12/85/912971285.db2.gz VKJSMQBMJBOEMK-HNNXBMFYSA-N 0 1 290.367 0.183 20 30 CCEDMN C#CCN(CC1CC1)C(=O)NCc1n[nH]c(CC)n1 ZINC001324696967 912986462 /nfs/dbraw/zinc/98/64/62/912986462.db2.gz XLCRBGJGVSCFOA-UHFFFAOYSA-N 0 1 261.329 0.922 20 30 CCEDMN CC#CCCCC(=O)NCC1(C)CCN(CC(N)=O)CC1 ZINC001324880979 913080047 /nfs/dbraw/zinc/08/00/47/913080047.db2.gz SSHSZXHMJKYCKG-UHFFFAOYSA-N 0 1 293.411 0.884 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccsc2C)C1 ZINC001325084372 913199969 /nfs/dbraw/zinc/19/99/69/913199969.db2.gz WIXPZFOEABBTMA-AWEZNQCLSA-N 0 1 278.377 0.856 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ocnc2C(F)F)C1 ZINC001325125291 913235013 /nfs/dbraw/zinc/23/50/13/913235013.db2.gz CFBUUFPWFWKKSM-CYBMUJFWSA-N 0 1 299.277 0.412 20 30 CCEDMN CC[C@H](C)CN1CC(O)(CNC(=O)[C@@H](C)C#N)C1 ZINC001325188672 913265698 /nfs/dbraw/zinc/26/56/98/913265698.db2.gz DAQFQJCYTOEVBD-QWRGUYRKSA-N 0 1 253.346 0.355 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@@H](C)OCC ZINC001394725123 913385258 /nfs/dbraw/zinc/38/52/58/913385258.db2.gz FDJTUAZGDDLXHH-MNOVXSKESA-N 0 1 278.780 0.573 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cc(C)sn1 ZINC001480859462 891400986 /nfs/dbraw/zinc/40/09/86/891400986.db2.gz JSYPDDFTUPMCQR-UHFFFAOYSA-N 0 1 281.381 0.763 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1nnn(C(C)C)c1C ZINC001282479706 891454027 /nfs/dbraw/zinc/45/40/27/891454027.db2.gz ZXXPFSCUXFUODT-LLVKDONJSA-N 0 1 277.372 0.851 20 30 CCEDMN CCCN(CCNC(=O)c1cc(C#N)c[nH]1)[C@@H](C)C(N)=O ZINC001493282278 891777984 /nfs/dbraw/zinc/77/79/84/891777984.db2.gz HFPPUZULJQUXTA-JTQLQIEISA-N 0 1 291.355 0.202 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001481073247 892130504 /nfs/dbraw/zinc/13/05/04/892130504.db2.gz ITAKDHFUGYEIAM-XJKSGUPXSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](CNC(=O)CCC=C)C1 ZINC001481082177 892141333 /nfs/dbraw/zinc/14/13/33/892141333.db2.gz FSJVXOZFIXZMBB-UONOGXRCSA-N 0 1 291.395 0.529 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)C2CC=CC2)C1 ZINC001481090864 892153774 /nfs/dbraw/zinc/15/37/74/892153774.db2.gz YCWQHSYAAHCGKN-ZDUSSCGKSA-N 0 1 291.395 0.693 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)[C@@H]1CCCOC1 ZINC001481132317 892212561 /nfs/dbraw/zinc/21/25/61/892212561.db2.gz KIPZWNNLZDTQAX-OAHLLOKOSA-N 0 1 296.411 0.891 20 30 CCEDMN C#CCN(CCNC(=O)[C@H]1CCc2nccn2C1)C1CC1 ZINC001481175757 892275058 /nfs/dbraw/zinc/27/50/58/892275058.db2.gz UORNVSIVTLVNPT-ZDUSSCGKSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)COCCCOC)[C@@H]2C1 ZINC001325956937 913656891 /nfs/dbraw/zinc/65/68/91/913656891.db2.gz VOORXSMKOAKJSH-LSDHHAIUSA-N 0 1 294.395 0.596 20 30 CCEDMN CCn1nnc(C)c1CNCCN(C)C(=O)C#CC1CC1 ZINC001481228304 892398591 /nfs/dbraw/zinc/39/85/91/892398591.db2.gz ZKHQDCZSFFCWGR-UHFFFAOYSA-N 0 1 289.383 0.568 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(CCC)C(=O)c2ncn[n-]2)C1 ZINC001481407166 892618353 /nfs/dbraw/zinc/61/83/53/892618353.db2.gz PWRNLRXIEWICRW-NSHDSACASA-N 0 1 261.329 0.364 20 30 CCEDMN C#CCN1CC[C@H](N(CCC)C(=O)c2nc[nH]n2)C1 ZINC001481407166 892618357 /nfs/dbraw/zinc/61/83/57/892618357.db2.gz PWRNLRXIEWICRW-NSHDSACASA-N 0 1 261.329 0.364 20 30 CCEDMN Cc1ccnc(CNC[C@@H](C)CNC(=O)[C@H](C)C#N)n1 ZINC001421672718 892705962 /nfs/dbraw/zinc/70/59/62/892705962.db2.gz FLPGPABGEFFTGF-GHMZBOCLSA-N 0 1 275.356 0.787 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)C2CNCCS2(=O)=O)C1 ZINC001342203350 892716222 /nfs/dbraw/zinc/71/62/22/892716222.db2.gz LYUXHUDCTRZNGZ-VXGBXAGGSA-N 0 1 286.397 0.188 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2CCCN(CCOCCO)C2)C1 ZINC001481537068 892784432 /nfs/dbraw/zinc/78/44/32/892784432.db2.gz ASCVQRJZVZOOCC-AWEZNQCLSA-N 0 1 296.411 0.932 20 30 CCEDMN C=CCN1CC(NC(=O)[C@@H](F)CN(CC)CC)C1 ZINC001481562971 892837718 /nfs/dbraw/zinc/83/77/18/892837718.db2.gz KRRSJDMLZNXGSZ-LBPRGKRZSA-N 0 1 257.353 0.653 20 30 CCEDMN C#CCN1CC(CNC(=O)CCCCc2cn[nH]n2)C1 ZINC001481636010 892942256 /nfs/dbraw/zinc/94/22/56/892942256.db2.gz AWGHAWDCWIIHLD-UHFFFAOYSA-N 0 1 275.356 0.199 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)Cc2ncc[nH]2)C1 ZINC001481778985 893139126 /nfs/dbraw/zinc/13/91/26/893139126.db2.gz YHBVYMGFKONVKE-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C=C(Cl)CN1CCC(CCNC(=O)C(N)=O)CC1 ZINC001482047865 893328508 /nfs/dbraw/zinc/32/85/08/893328508.db2.gz ZOXVIHOIDDEMIP-UHFFFAOYSA-N 0 1 273.764 0.443 20 30 CCEDMN CCn1ncc(CNC[C@H](C)CNC(=O)[C@@H](C)C#N)n1 ZINC001482086075 893376376 /nfs/dbraw/zinc/37/63/76/893376376.db2.gz WGJDFLQZICHVAL-QWRGUYRKSA-N 0 1 278.360 0.300 20 30 CCEDMN CN1CC2(C1)CCCN(C(=O)C#Cc1ccccn1)C2 ZINC001270346556 893759182 /nfs/dbraw/zinc/75/91/82/893759182.db2.gz OLSVTWJYNONMCK-UHFFFAOYSA-N 0 1 269.348 0.987 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@]2(CC)CCNC2=O)CC1 ZINC001284927154 893768117 /nfs/dbraw/zinc/76/81/17/893768117.db2.gz AGIRTTGPHCZCIG-MRXNPFEDSA-N 0 1 289.379 0.284 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]23C[C@H]2COC3)[C@H]1CC ZINC001087579048 894050644 /nfs/dbraw/zinc/05/06/44/894050644.db2.gz DRZXOLHWYYXTAK-XPCVCDNBSA-N 0 1 262.353 0.625 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H](C)OC ZINC001482582128 894284799 /nfs/dbraw/zinc/28/47/99/894284799.db2.gz HQTBTYZUQNZEDK-GFCCVEGCSA-N 0 1 256.346 0.061 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@]1(C)CCNC(=O)C1 ZINC001482606907 894392180 /nfs/dbraw/zinc/39/21/80/894392180.db2.gz YSLABERCQYPLEZ-OAHLLOKOSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H]2CN(C(=O)C3CC3)CC[C@H]21 ZINC001482654939 894455020 /nfs/dbraw/zinc/45/50/20/894455020.db2.gz FYPQQVUZCHAGRP-ZIAGYGMSSA-N 0 1 289.379 0.069 20 30 CCEDMN N#CCNC[C@H]1CCC[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC001482698136 894495016 /nfs/dbraw/zinc/49/50/16/894495016.db2.gz OIWWYWLUABVEIO-VXGBXAGGSA-N 0 1 298.350 0.970 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CC1CCOCC1 ZINC001482715205 894512542 /nfs/dbraw/zinc/51/25/42/894512542.db2.gz ITLBLLNQBDQYNG-LBPRGKRZSA-N 0 1 290.791 0.622 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C[C@@H]1CCCO1 ZINC001482710491 894526108 /nfs/dbraw/zinc/52/61/08/894526108.db2.gz ZGPWIEGLEDJPNO-MNOVXSKESA-N 0 1 276.764 0.375 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CCNCc1nncn1C ZINC001482828752 894632128 /nfs/dbraw/zinc/63/21/28/894632128.db2.gz SCYHXVOAGLWUTI-RISCZKNCSA-N 0 1 295.387 0.127 20 30 CCEDMN N#CCNC(=O)CNC/C=C\CNC(=O)C[C@@H]1C=CCC1 ZINC001482980599 894753547 /nfs/dbraw/zinc/75/35/47/894753547.db2.gz GJRXSCUQRMFKFO-DHCBQETCSA-N 0 1 290.367 0.244 20 30 CCEDMN COc1cccc(CNC[C@@H](C)NC(=O)[C@H](C)C#N)n1 ZINC001483115597 894937277 /nfs/dbraw/zinc/93/72/77/894937277.db2.gz OAFMYDQCIWQFHB-GHMZBOCLSA-N 0 1 276.340 0.844 20 30 CCEDMN C=CCC[NH2+]C[C@@H](C)NC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001483183211 895069236 /nfs/dbraw/zinc/06/92/36/895069236.db2.gz BRCGRZPKWQVITR-MRVPVSSYSA-N 0 1 296.327 0.180 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](C)NCc1ccn(C)n1 ZINC001507063918 895154484 /nfs/dbraw/zinc/15/44/84/895154484.db2.gz UEXGOHFZRGQXTK-MNOVXSKESA-N 0 1 263.345 0.516 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)CC[C@H]1CCOC1 ZINC001483326677 895456115 /nfs/dbraw/zinc/45/61/15/895456115.db2.gz HKKDIOVNNMGSGU-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCOCC[N@H+](C)C[C@@H](C)NC(=O)c1[nH]nc(C)c1[O-] ZINC001483325165 895456502 /nfs/dbraw/zinc/45/65/02/895456502.db2.gz FQTXZDNZLNKLNB-SNVBAGLBSA-N 0 1 296.371 0.676 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccnc2c1nnn2C ZINC001483377745 895517645 /nfs/dbraw/zinc/51/76/45/895517645.db2.gz KWMOFDMPEVNVNJ-SNVBAGLBSA-N 0 1 286.339 0.047 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C[C@@](C)(O)C1CC1 ZINC001496964827 895631456 /nfs/dbraw/zinc/63/14/56/895631456.db2.gz LBSQIMIWAGKLQS-WCQYABFASA-N 0 1 290.791 0.357 20 30 CCEDMN C#CCOCCC(=O)NCC1(NCc2ccnn2C)CC1 ZINC001483733091 895936170 /nfs/dbraw/zinc/93/61/70/895936170.db2.gz SGUQQUBNGRSJSC-UHFFFAOYSA-N 0 1 290.367 0.198 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CCOCCOC ZINC001483812876 896066060 /nfs/dbraw/zinc/06/60/60/896066060.db2.gz UZMFXKGOGRGFHN-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCCC(=O)N1CC[C@]2(CCN(CC(=O)NC)C2)C1 ZINC001483844504 896068887 /nfs/dbraw/zinc/06/88/87/896068887.db2.gz XDFMPYNFBOHWOG-HNNXBMFYSA-N 0 1 279.384 0.623 20 30 CCEDMN CC1(NC(=O)C#CC2CC2)CCN([C@@H]2CCNC2=O)CC1 ZINC001483966912 896138233 /nfs/dbraw/zinc/13/82/33/896138233.db2.gz FEIJLXNPUFKPLT-CYBMUJFWSA-N 0 1 289.379 0.259 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN(CCC=C)C[C@@H]2O)c1 ZINC001083765842 896216087 /nfs/dbraw/zinc/21/60/87/896216087.db2.gz XWEYEGNVTFGDIR-CABCVRRESA-N 0 1 285.347 0.414 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CC(C)(F)F)C1 ZINC001484238365 896270745 /nfs/dbraw/zinc/27/07/45/896270745.db2.gz IHDFYZKJJOYUCK-GFCCVEGCSA-N 0 1 260.284 0.218 20 30 CCEDMN C[C@H]1CO[C@@H](C(=O)NCCN2CCC(NCC#N)CC2)C1 ZINC001484420155 896386744 /nfs/dbraw/zinc/38/67/44/896386744.db2.gz UEGPPLNTHNWKMK-TZMCWYRMSA-N 0 1 294.399 0.105 20 30 CCEDMN CC(C)OCCN1CCC[C@@](CO)(NC(=O)[C@@H](C)C#N)C1 ZINC001484429780 896398199 /nfs/dbraw/zinc/39/81/99/896398199.db2.gz FLUZOVVWABWWMG-DZGCQCFKSA-N 0 1 297.399 0.514 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCn2cncn2)C(C)(C)C1 ZINC001484934617 896671356 /nfs/dbraw/zinc/67/13/56/896671356.db2.gz SLRUREPFFNISHL-CYBMUJFWSA-N 0 1 289.383 0.518 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CCN([C@@H](C)C(N)=O)CC1(C)C ZINC001484935558 896671946 /nfs/dbraw/zinc/67/19/46/896671946.db2.gz WBFKCNMJJFVICE-STQMWFEESA-N 0 1 293.411 0.880 20 30 CCEDMN CCOCCC(=O)N1CCC[C@H]1CN(C)CC#CCOC ZINC001485051029 896736691 /nfs/dbraw/zinc/73/66/91/896736691.db2.gz QWBABTKRWGGTJI-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1cnc[nH]c1=O ZINC001485064050 896751338 /nfs/dbraw/zinc/75/13/38/896751338.db2.gz XTYFBKJMDSPQII-NSHDSACASA-N 0 1 274.324 0.352 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cccc(C(N)=O)c1 ZINC001485319015 896945362 /nfs/dbraw/zinc/94/53/62/896945362.db2.gz DJQKMVPZJAKAIG-LLVKDONJSA-N 0 1 273.336 0.469 20 30 CCEDMN CCCC[C@H](CNCC#N)NC(=O)[C@@H]1CCCN1C ZINC001485462455 897058594 /nfs/dbraw/zinc/05/85/94/897058594.db2.gz WNTXVPJVYQPPSX-OLZOCXBDSA-N 0 1 266.389 0.869 20 30 CCEDMN C[C@H](NC(=O)CN(C)C1CCC1)C1CN(CC#N)C1 ZINC001485508397 897095902 /nfs/dbraw/zinc/09/59/02/897095902.db2.gz DDAAMUGBIGHYMR-NSHDSACASA-N 0 1 264.373 0.431 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)C(=O)N2CCC[C@@H](C)C2)C1 ZINC001485527162 897108752 /nfs/dbraw/zinc/10/87/52/897108752.db2.gz QMEOIFQYURJZQV-CHWSQXEVSA-N 0 1 293.411 0.867 20 30 CCEDMN CCCN1CCO[C@@]2(CCN(C(=O)CSCC#N)C2)C1 ZINC001485554809 897115198 /nfs/dbraw/zinc/11/51/98/897115198.db2.gz WOBHIHAKCASKGN-AWEZNQCLSA-N 0 1 297.424 0.956 20 30 CCEDMN CCn1ncc(CNC[C@H]2C[C@H](NC(=O)[C@H](C)C#N)C2)n1 ZINC001485679709 897177512 /nfs/dbraw/zinc/17/75/12/897177512.db2.gz YJAXINSVEHZUSG-IJLUTSLNSA-N 0 1 290.371 0.442 20 30 CCEDMN CC(C)C#CC(=O)NCCOCCCNCc1cnon1 ZINC001485794062 897250318 /nfs/dbraw/zinc/25/03/18/897250318.db2.gz IFYPFJCLPZALGX-UHFFFAOYSA-N 0 1 294.355 0.342 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cncnc1 ZINC001032378334 897365678 /nfs/dbraw/zinc/36/56/78/897365678.db2.gz ZKXRYXWBOCIZPT-KBPBESRZSA-N 0 1 272.352 0.880 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC23CCC3)C1 ZINC001077752042 897412539 /nfs/dbraw/zinc/41/25/39/897412539.db2.gz GYWKPAUWQGPREU-YNEHKIRRSA-N 0 1 262.353 0.361 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@]1(C)CN(CC2CC2)CCO1 ZINC001107902304 897471039 /nfs/dbraw/zinc/47/10/39/897471039.db2.gz HBHONWCYEDZVBQ-HZPDHXFCSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCOCC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001326781075 914162974 /nfs/dbraw/zinc/16/29/74/914162974.db2.gz KVXZPZOOQCTUBX-JTQLQIEISA-N 0 1 280.328 0.865 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](C)c1cncnc1 ZINC001032585625 897780027 /nfs/dbraw/zinc/78/00/27/897780027.db2.gz PENMNXKRQOJCOT-QEJZJMRPSA-N 0 1 284.363 0.889 20 30 CCEDMN C=CC[N@@H+](CC(=O)[O-])C[C@@H](O)COC[C@@H]1CCCO1 ZINC001326818354 914202450 /nfs/dbraw/zinc/20/24/50/914202450.db2.gz KNQMCRZLFZICJO-NEPJUHHUSA-N 0 1 273.329 0.116 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001032719233 897967367 /nfs/dbraw/zinc/96/73/67/897967367.db2.gz BCEBYNYHXNLGIV-RDBSUJKOSA-N 0 1 298.390 0.823 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2nc[nH]c2C1 ZINC001032719233 897967372 /nfs/dbraw/zinc/96/73/72/897967372.db2.gz BCEBYNYHXNLGIV-RDBSUJKOSA-N 0 1 298.390 0.823 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2CC(OC)OC)C1=O ZINC001272862063 898089483 /nfs/dbraw/zinc/08/94/83/898089483.db2.gz RKNJKGPABMZBQJ-AWEZNQCLSA-N 0 1 266.341 0.305 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1[nH]cnc1CC ZINC001032758270 898111224 /nfs/dbraw/zinc/11/12/24/898111224.db2.gz KMXHLNADAYMLQE-RYUDHWBXSA-N 0 1 272.352 0.894 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CCC)C[C@H]2O)nc1 ZINC001078032567 898193287 /nfs/dbraw/zinc/19/32/87/898193287.db2.gz VERDVGGFGKFOED-ZIAGYGMSSA-N 0 1 273.336 0.248 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccnc(C)n2)C1 ZINC001078195751 898287875 /nfs/dbraw/zinc/28/78/75/898287875.db2.gz CNEVAYMAZHVZPO-CHWSQXEVSA-N 0 1 276.340 0.136 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1sc(Cl)nc1C ZINC001486000411 898562305 /nfs/dbraw/zinc/56/23/05/898562305.db2.gz RKYDVBSOTKLNEL-MRVPVSSYSA-N 0 1 287.772 0.418 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](CO)N[C@H](C)c1n[nH]c(C)n1 ZINC001486000232 898563707 /nfs/dbraw/zinc/56/37/07/898563707.db2.gz NODQRCPZRYBRCM-ZYHUDNBSSA-N 0 1 295.387 0.597 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1CCCC2(CC2)C1 ZINC001485996697 898583629 /nfs/dbraw/zinc/58/36/29/898583629.db2.gz IJQROGPUUZOSEJ-OLZOCXBDSA-N 0 1 264.369 0.657 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cnn2ccccc12 ZINC001486043526 898608632 /nfs/dbraw/zinc/60/86/32/898608632.db2.gz LNYXIEZZPVPBOM-GFCCVEGCSA-N 0 1 286.335 0.038 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1c(F)cc(OC)cc1F ZINC001486035515 898623508 /nfs/dbraw/zinc/62/35/08/898623508.db2.gz AUKQRNJOUCUDMO-SECBINFHSA-N 0 1 298.289 0.287 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@H](NC(=O)CC(N)=O)[C@H]1C ZINC001486169222 898689678 /nfs/dbraw/zinc/68/96/78/898689678.db2.gz GGEUCSQMWAWTRQ-NEPJUHHUSA-N 0 1 283.372 0.034 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)CCCOC ZINC001486325988 898787427 /nfs/dbraw/zinc/78/74/27/898787427.db2.gz HDICROOPDJGIAZ-ZDUSSCGKSA-N 0 1 270.373 0.187 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@H](OC)C1CCCC1 ZINC001486357763 898814032 /nfs/dbraw/zinc/81/40/32/898814032.db2.gz UAFVYNDZRMFGOV-LSDHHAIUSA-N 0 1 296.411 0.576 20 30 CCEDMN C#CCCCC(=O)NCC1=CCN([C@H](CC)C(N)=O)CC1 ZINC001486505898 898909398 /nfs/dbraw/zinc/90/93/98/898909398.db2.gz JGTMKRJAPCLRSY-CQSZACIVSA-N 0 1 291.395 0.802 20 30 CCEDMN C#CCN1CC=C(CNC(=O)Cn2ccccc2=O)CC1 ZINC001486520128 898920912 /nfs/dbraw/zinc/92/09/12/898920912.db2.gz FIMHTQZIAQXDTC-UHFFFAOYSA-N 0 1 285.347 0.230 20 30 CCEDMN CCC[N@@H+](C)CC(=O)NC1CC(CNC(=O)[C@H](C)C#N)C1 ZINC001411326122 899905494 /nfs/dbraw/zinc/90/54/94/899905494.db2.gz GQZFXKFAJKOONB-PNESKVBLSA-N 0 1 294.399 0.499 20 30 CCEDMN CC#CCCCC(=O)N1CCCN(CCO)CC1 ZINC001196839651 900058533 /nfs/dbraw/zinc/05/85/33/900058533.db2.gz ZMULIUFZEIRQRQ-UHFFFAOYSA-N 0 1 252.358 0.707 20 30 CCEDMN CCOC(=O)c1cc(NS(=O)(=O)[C@@H](C)C#N)ccn1 ZINC001193106602 900031832 /nfs/dbraw/zinc/03/18/32/900031832.db2.gz HKXNCEYDSSFUIQ-QMMMGPOBSA-N 0 1 283.309 0.334 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCCN1C(=O)[C@H](C)NC(C)=O ZINC001489315770 900464037 /nfs/dbraw/zinc/46/40/37/900464037.db2.gz CIFDULHJSTZHPW-ZFWWWQNUSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)c1[nH]c(=O)[nH]c1C ZINC001489321701 900468125 /nfs/dbraw/zinc/46/81/25/900468125.db2.gz BQHNKAYQJDJXBL-LBPRGKRZSA-N 0 1 290.367 0.983 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN(C)Cc1ccnn1C ZINC001490164177 900548768 /nfs/dbraw/zinc/54/87/68/900548768.db2.gz IHFCXZGFMZAIOY-NSHDSACASA-N 0 1 263.345 0.518 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@]12C[C@H]1CCC2 ZINC001490228158 900568448 /nfs/dbraw/zinc/56/84/48/900568448.db2.gz AYRMXJWVORMVKD-CZUORRHYSA-N 0 1 291.395 0.364 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN([C@@H](C)C(=O)N(C)C)C1CC1 ZINC001490368277 900610406 /nfs/dbraw/zinc/61/04/06/900610406.db2.gz YUKKGRAMRWPBIZ-RYUDHWBXSA-N 0 1 294.399 0.593 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1CCCN(CC=C)C1 ZINC001490436869 900630829 /nfs/dbraw/zinc/63/08/29/900630829.db2.gz MUTQMKKJPMGUKB-OLZOCXBDSA-N 0 1 250.342 0.791 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cc(OC)no2)CC1 ZINC001490488087 900640997 /nfs/dbraw/zinc/64/09/97/900640997.db2.gz WYIZGIDPGIKQCZ-UHFFFAOYSA-N 0 1 294.355 0.217 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC001490530730 900645832 /nfs/dbraw/zinc/64/58/32/900645832.db2.gz IVINUEARYSJTAH-LBPRGKRZSA-N 0 1 293.371 0.130 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCc2cnccn2)C1 ZINC001490568135 900667795 /nfs/dbraw/zinc/66/77/95/900667795.db2.gz YCDLSURFSINNHM-CYBMUJFWSA-N 0 1 258.325 0.233 20 30 CCEDMN Cc1cc(C(=O)NC[C@@H]2CN(C)CCN2C)ncc1C#N ZINC001411781048 901251740 /nfs/dbraw/zinc/25/17/40/901251740.db2.gz APSKYGOVIICHJY-CYBMUJFWSA-N 0 1 287.367 0.237 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cn1ccc2ccccc21 ZINC001327449529 914547193 /nfs/dbraw/zinc/54/71/93/914547193.db2.gz QBEBBCFSVSJVIH-OLZOCXBDSA-N 0 1 268.320 0.869 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2cc(C#N)[nH]c2C)C1 ZINC001412568922 901832255 /nfs/dbraw/zinc/83/22/55/901832255.db2.gz AJLCEZIOWNEYDS-LLVKDONJSA-N 0 1 276.340 0.645 20 30 CCEDMN N#Cc1cccc([C@H](O)CN2CCC(NC(N)=O)CC2)c1 ZINC001412950327 902381137 /nfs/dbraw/zinc/38/11/37/902381137.db2.gz PMNGOXCKZRIOEB-CQSZACIVSA-N 0 1 288.351 0.724 20 30 CCEDMN C[N@@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)CC1(CO)CC1 ZINC001412975855 902421893 /nfs/dbraw/zinc/42/18/93/902421893.db2.gz AKMAOOOALGPSJU-UHFFFAOYSA-N 0 1 287.323 0.511 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)c1cnon1)C1CC1 ZINC001398447421 914659924 /nfs/dbraw/zinc/65/99/24/914659924.db2.gz OEJQDIXQYNCUCU-VIFPVBQESA-N 0 1 270.720 0.920 20 30 CCEDMN Cc1cc(C[C@H](C)NS(=O)(=O)N(C)[C@H](C)CC#N)n[nH]1 ZINC001413362698 902903982 /nfs/dbraw/zinc/90/39/82/902903982.db2.gz WIKGUZVSSCEFNU-WDEREUQCSA-N 0 1 299.400 0.718 20 30 CCEDMN C[C@@H](C(=O)N(C)C)S(=O)(=O)Nc1cccc(CC#N)n1 ZINC001413461724 902965876 /nfs/dbraw/zinc/96/58/76/902965876.db2.gz YOPNCVTWKIPVIV-VIFPVBQESA-N 0 1 296.352 0.366 20 30 CCEDMN N#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)CCc1c[nH]nn1 ZINC001049741495 903050207 /nfs/dbraw/zinc/05/02/07/903050207.db2.gz PMSXHIXQOLFQKB-STQMWFEESA-N 0 1 288.355 0.326 20 30 CCEDMN N#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)CCc1cnn[nH]1 ZINC001049741495 903050220 /nfs/dbraw/zinc/05/02/20/903050220.db2.gz PMSXHIXQOLFQKB-STQMWFEESA-N 0 1 288.355 0.326 20 30 CCEDMN C#CCCCC(=O)N[C@H]1C[C@H](N[C@@H](C)c2nncn2C)C1 ZINC001490887222 903115855 /nfs/dbraw/zinc/11/58/55/903115855.db2.gz VRNSJQFSRZHXLW-AVGNSLFASA-N 0 1 289.383 0.916 20 30 CCEDMN C[C@@H](CC#N)NC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001413676270 903168444 /nfs/dbraw/zinc/16/84/44/903168444.db2.gz GFYJHUGLRUNBFW-LURJTMIESA-N 0 1 277.288 0.121 20 30 CCEDMN O=C(C#CC1CC1)NC[C@@H]1CCCN([C@H]2CCNC2=O)C1 ZINC001491105800 903367075 /nfs/dbraw/zinc/36/70/75/903367075.db2.gz XINNTNZEOYVHDV-KBPBESRZSA-N 0 1 289.379 0.117 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@]1(C)CCNC1=O ZINC001491222673 903450082 /nfs/dbraw/zinc/45/00/82/903450082.db2.gz SBSLQNPBICIYPE-DOMZBBRYSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)CC ZINC001491321445 903496397 /nfs/dbraw/zinc/49/63/97/903496397.db2.gz YODQHUICOAQNII-IEECTRCBSA-N 0 1 297.374 0.472 20 30 CCEDMN Cc1nonc1CNC[C@@H](C)CNC(=O)[C@@H](C)C#N ZINC001495654286 903526303 /nfs/dbraw/zinc/52/63/03/903526303.db2.gz FCMDKLFASLQZFT-BDAKNGLRSA-N 0 1 265.317 0.380 20 30 CCEDMN Cc1nnsc1CN[C@H](C)CNC(=O)C#CC1CC1 ZINC001491449273 903602568 /nfs/dbraw/zinc/60/25/68/903602568.db2.gz XFBKEYGLYQSWKF-SECBINFHSA-N 0 1 278.381 0.854 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](C)NC(=O)CC ZINC001491460676 903609938 /nfs/dbraw/zinc/60/99/38/903609938.db2.gz JEBOYFNEBOTHNY-VHSXEESVSA-N 0 1 275.780 0.748 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001150435532 903760397 /nfs/dbraw/zinc/76/03/97/903760397.db2.gz KCIHOTMJNHTUTG-CHWSQXEVSA-N 0 1 295.383 0.048 20 30 CCEDMN C=CC[N@H+]1C[C@@H](NC(=O)[C@H](C)Cc2c[nH]cn2)[C@H](OC)C1 ZINC001213559629 903837953 /nfs/dbraw/zinc/83/79/53/903837953.db2.gz NOZSHDFAZGVDJZ-MRVWCRGKSA-N 0 1 292.383 0.590 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H](C)Cc2cnc[nH]2)[C@H](OC)C1 ZINC001213559888 903838697 /nfs/dbraw/zinc/83/86/97/903838697.db2.gz ZKLWOHTXZAQKDA-IACUBPJLSA-N 0 1 290.367 0.037 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)NCc1nncn1C ZINC001281713310 904334317 /nfs/dbraw/zinc/33/43/17/904334317.db2.gz UETSJFDIIMQJGK-LBPRGKRZSA-N 0 1 277.372 0.459 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@]1(F)CCOC1 ZINC001281951118 904374237 /nfs/dbraw/zinc/37/42/37/904374237.db2.gz DWWFSMPWHLDGNK-JSGCOSHPSA-N 0 1 268.332 0.671 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@]1(C)CCC(=O)N1 ZINC001281956982 904377012 /nfs/dbraw/zinc/37/70/12/904377012.db2.gz NXUKVKBRPXNSOZ-BBRMVZONSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)c1nonc1C ZINC001281968862 904380388 /nfs/dbraw/zinc/38/03/88/904380388.db2.gz QOICBSJJRQGIEN-GFCCVEGCSA-N 0 1 276.340 0.938 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1ccnc(F)c1 ZINC001282364861 904457800 /nfs/dbraw/zinc/45/78/00/904457800.db2.gz RHMAKUSKBKSUII-GFCCVEGCSA-N 0 1 293.342 0.921 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)N(C)[C@H](CC)C(N)=O ZINC001282379020 904464178 /nfs/dbraw/zinc/46/41/78/904464178.db2.gz YMYCZNGEHNTWFT-NWDGAFQWSA-N 0 1 267.373 0.490 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H](OCC)C1CCOCC1 ZINC001282432951 904475165 /nfs/dbraw/zinc/47/51/65/904475165.db2.gz KGACABBOYYDADW-UKRRQHHQSA-N 0 1 296.411 0.888 20 30 CCEDMN C=CCCN1CC(CCO)(NC(=O)[C@H]2CCCN2C)C1 ZINC001282510502 904499126 /nfs/dbraw/zinc/49/91/26/904499126.db2.gz ZBBKLAAURODZNO-CYBMUJFWSA-N 0 1 281.400 0.210 20 30 CCEDMN C[C@H](NC(=O)C#CC(C)(C)C)C1CN(CCO)C1 ZINC001282715407 904526327 /nfs/dbraw/zinc/52/63/27/904526327.db2.gz UTYLEZWLINDKOC-NSHDSACASA-N 0 1 252.358 0.465 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)COCC(F)F)C1 ZINC001282727126 904530232 /nfs/dbraw/zinc/53/02/32/904530232.db2.gz ZCFWADQJEVGENS-SNVBAGLBSA-N 0 1 274.311 0.728 20 30 CCEDMN N#Cc1ccc(CC[N@@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC001327913247 914854228 /nfs/dbraw/zinc/85/42/28/914854228.db2.gz MDJSYSOBGGRHIH-CYBMUJFWSA-N 0 1 260.293 0.886 20 30 CCEDMN N#Cc1ccc(CC[N@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC001327913247 914854247 /nfs/dbraw/zinc/85/42/47/914854247.db2.gz MDJSYSOBGGRHIH-CYBMUJFWSA-N 0 1 260.293 0.886 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N(C)CCCNC(=O)c1ncn[nH]1 ZINC001283619489 904934332 /nfs/dbraw/zinc/93/43/32/904934332.db2.gz MUGWCUGSDQXZCW-UHFFFAOYSA-N 0 1 293.371 0.985 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N(C)CCCNC(=O)c1nc[nH]n1 ZINC001283619489 904934336 /nfs/dbraw/zinc/93/43/36/904934336.db2.gz MUGWCUGSDQXZCW-UHFFFAOYSA-N 0 1 293.371 0.985 20 30 CCEDMN Cc1nc(C(=O)Nc2n[nH]c3ncnn23)ccc1C#N ZINC001327918136 914858911 /nfs/dbraw/zinc/85/89/11/914858911.db2.gz URZJVYPQNQECDO-UHFFFAOYSA-N 0 1 268.240 0.280 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccnn1[C@@H](C)CC ZINC001283765632 905002865 /nfs/dbraw/zinc/00/28/65/905002865.db2.gz UPDMOLUPSUSARZ-QWHCGFSZSA-N 0 1 292.383 0.558 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(C(=O)Cc2ncn[nH]2)C1 ZINC001284036731 905127790 /nfs/dbraw/zinc/12/77/90/905127790.db2.gz RNXMLMHACGILTD-JTQLQIEISA-N 0 1 277.328 0.031 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cnc(C2CC2)o1 ZINC001284502360 905337164 /nfs/dbraw/zinc/33/71/64/905337164.db2.gz NXHKORIKTKOSAI-LBPRGKRZSA-N 0 1 291.351 0.550 20 30 CCEDMN CC#CC[N@H+](C)C[C@@H](O)CN(C)C(=O)[C@@H]1CCCCN1C ZINC001284501413 905337806 /nfs/dbraw/zinc/33/78/06/905337806.db2.gz DHKLDKRTHGIZFV-CABCVRRESA-N 0 1 295.427 0.245 20 30 CCEDMN CC#CCN(C)CCN(C(=O)[C@H](COC)OC)C(C)C ZINC001284545258 905365317 /nfs/dbraw/zinc/36/53/17/905365317.db2.gz UGFVYJBADYWYEK-AWEZNQCLSA-N 0 1 284.400 0.840 20 30 CCEDMN C#CCCCCC(=O)N(C)CCNC(=O)[C@H]1CCCN1C ZINC001284567174 905375925 /nfs/dbraw/zinc/37/59/25/905375925.db2.gz ASJHFGPOTNPTNF-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H](CNCc1nccn1C)C1CC1 ZINC001378983090 905912561 /nfs/dbraw/zinc/91/25/61/905912561.db2.gz BIYAOOSHFHBBPO-AAEUAGOBSA-N 0 1 289.383 0.906 20 30 CCEDMN C=CCCCC(=O)NC[C@H](CO)NC(=O)[C@@H]1CCCN1C ZINC001287835750 905974597 /nfs/dbraw/zinc/97/45/97/905974597.db2.gz ZFCGHMASBHROSV-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)[C@@H]1C ZINC001287868153 905984423 /nfs/dbraw/zinc/98/44/23/905984423.db2.gz YQGXUFVBHSSDFC-UWVGGRQHSA-N 0 1 277.328 0.490 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)[C@@H]1C ZINC001287868153 905984432 /nfs/dbraw/zinc/98/44/32/905984432.db2.gz YQGXUFVBHSSDFC-UWVGGRQHSA-N 0 1 277.328 0.490 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CSC(C)C ZINC001379168770 906034824 /nfs/dbraw/zinc/03/48/24/906034824.db2.gz LKGZKPAHAOVBSE-SNVBAGLBSA-N 0 1 280.821 0.947 20 30 CCEDMN N#CCn1cc(NC(=O)c2cnc3nc[nH]c3c2)cn1 ZINC001292206320 906198999 /nfs/dbraw/zinc/19/89/99/906198999.db2.gz NUAPBMALLWTGGL-UHFFFAOYSA-N 0 1 267.252 0.930 20 30 CCEDMN N#Cc1ccc(CN[C@H](CO)CNC(=O)c2cc[nH]c2)cc1 ZINC001379399904 906213403 /nfs/dbraw/zinc/21/34/03/906213403.db2.gz OKPBKQJDKDJCSL-HNNXBMFYSA-N 0 1 298.346 0.767 20 30 CCEDMN C#Cc1cnc(N[C@H](C)[C@H]2CN(C)CCN2C)nc1 ZINC001337266331 921226229 /nfs/dbraw/zinc/22/62/29/921226229.db2.gz BWAUXICEXADZEN-DGCLKSJQSA-N 0 1 259.357 0.504 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001380070186 906622877 /nfs/dbraw/zinc/62/28/77/906622877.db2.gz BNJQWMQTKUMYMZ-SNVBAGLBSA-N 0 1 285.775 0.504 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](NC(=O)c1cnn[nH]1)C1CC1 ZINC001294887826 906649495 /nfs/dbraw/zinc/64/94/95/906649495.db2.gz KWIDNTUNHZPSCN-LLVKDONJSA-N 0 1 289.339 0.089 20 30 CCEDMN C[C@@H](CNC(=O)CSCC#N)NC(=O)c1[nH]ncc1F ZINC001295425904 906720746 /nfs/dbraw/zinc/72/07/46/906720746.db2.gz WKUSEMNHBIYIRH-ZETCQYMHSA-N 0 1 299.331 0.040 20 30 CCEDMN C#CCO[C@@H](C)C(=O)Nc1ccc(O)c(S(N)(=O)=O)c1 ZINC001295841947 906815075 /nfs/dbraw/zinc/81/50/75/906815075.db2.gz BHHHZDPKFQUYAY-QMMMGPOBSA-N 0 1 298.320 0.016 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@H](C)CCNC(=O)c1cnn[nH]1 ZINC001295882714 906818262 /nfs/dbraw/zinc/81/82/62/906818262.db2.gz HREXAWKFAVYORZ-NXEZZACHSA-N 0 1 295.343 0.020 20 30 CCEDMN C#CCCCCCC(=O)N(C)CCNC(=O)c1ncn[nH]1 ZINC001296192692 906873509 /nfs/dbraw/zinc/87/35/09/906873509.db2.gz SSHWBUSOVNMRHJ-UHFFFAOYSA-N 0 1 291.355 0.577 20 30 CCEDMN C#CCCCCCC(=O)N(C)CCNC(=O)c1nc[nH]n1 ZINC001296192692 906873524 /nfs/dbraw/zinc/87/35/24/906873524.db2.gz SSHWBUSOVNMRHJ-UHFFFAOYSA-N 0 1 291.355 0.577 20 30 CCEDMN C=CCCC(=O)NC[C@@H](CC)NC(=O)c1cnn[nH]1 ZINC001297368259 907060807 /nfs/dbraw/zinc/06/08/07/907060807.db2.gz QUGGQFYODLODOI-SECBINFHSA-N 0 1 265.317 0.396 20 30 CCEDMN C#CCCCC(=O)N[C@@H](CC)CNC(=O)Cc1cnc[nH]1 ZINC001297497201 907085544 /nfs/dbraw/zinc/08/55/44/907085544.db2.gz ZDNJDLZUCVKYPK-LBPRGKRZSA-N 0 1 290.367 0.767 20 30 CCEDMN C=CC[C@@H](NC(=O)CCc1nc[nH]n1)C(=O)OCC ZINC001337826780 921295958 /nfs/dbraw/zinc/29/59/58/921295958.db2.gz RVSKSQZDEHVXND-SECBINFHSA-N 0 1 266.301 0.361 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001298642399 907291218 /nfs/dbraw/zinc/29/12/18/907291218.db2.gz BUTSBXAKYNPIDN-NXEZZACHSA-N 0 1 279.344 0.642 20 30 CCEDMN C=CCC1(C(=O)N[C@H](C)CNC(=O)c2ncn[nH]2)CCC1 ZINC001298698430 907303813 /nfs/dbraw/zinc/30/38/13/907303813.db2.gz DVLXMUWVSJJNKW-SNVBAGLBSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCC1(C(=O)N[C@H](C)CNC(=O)c2nc[nH]n2)CCC1 ZINC001298698430 907303829 /nfs/dbraw/zinc/30/38/29/907303829.db2.gz DVLXMUWVSJJNKW-SNVBAGLBSA-N 0 1 291.355 0.786 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)c1n[nH]nc1C ZINC001382121371 907557939 /nfs/dbraw/zinc/55/79/39/907557939.db2.gz NDVPWGOBGDCXIJ-MRVPVSSYSA-N 0 1 271.752 0.916 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCc1cn(C)nn1 ZINC001382161521 907582212 /nfs/dbraw/zinc/58/22/12/907582212.db2.gz CCRNNHZDWKSWRY-LLVKDONJSA-N 0 1 299.806 0.937 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC[C@@H](C)NCc1ncccn1 ZINC001492022747 907693690 /nfs/dbraw/zinc/69/36/90/907693690.db2.gz YHANZFOGISWTPU-CHWSQXEVSA-N 0 1 290.367 0.499 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)CCNC(=O)[C@@H]1CCCN1C ZINC001495911266 907755492 /nfs/dbraw/zinc/75/54/92/907755492.db2.gz DASWGBZHUHKUAM-LBPRGKRZSA-N 0 1 281.400 0.867 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccnn1CC1CCC1 ZINC001492252808 907803841 /nfs/dbraw/zinc/80/38/41/907803841.db2.gz OMIYGLSEVGYCOC-UHFFFAOYSA-N 0 1 274.368 0.978 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cn(C)nc1C(F)F ZINC001492285060 907830504 /nfs/dbraw/zinc/83/05/04/907830504.db2.gz VIPPJUHRSPTTCR-UHFFFAOYSA-N 0 1 270.283 0.653 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cncc2[nH]ccc21 ZINC001492320845 907849514 /nfs/dbraw/zinc/84/95/14/907849514.db2.gz FHAMEYQUKSQFIJ-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN C=CCCC(=O)NCCCN(C)C(=O)c1[nH]nnc1C ZINC001492296038 907864917 /nfs/dbraw/zinc/86/49/17/907864917.db2.gz GDPIMVIWQRPCQJ-UHFFFAOYSA-N 0 1 279.344 0.658 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C1CCC(OC)CC1 ZINC001492392712 907899121 /nfs/dbraw/zinc/89/91/21/907899121.db2.gz GYQLQPHJTMBFTM-UHFFFAOYSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CC[C@H](COC)NC(=O)Cc1n[nH]c(C2CC2)n1 ZINC001301823234 907993036 /nfs/dbraw/zinc/99/30/36/907993036.db2.gz VIRDZTFUKMONBB-SNVBAGLBSA-N 0 1 262.313 0.379 20 30 CCEDMN N#Cc1cccc(ONC(=O)CCc2nn[nH]n2)c1 ZINC001302373356 908017437 /nfs/dbraw/zinc/01/74/37/908017437.db2.gz GJIKLBOOVNDCBJ-UHFFFAOYSA-N 0 1 258.241 0.114 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H](C)NCc1ncccn1 ZINC001317386341 908192614 /nfs/dbraw/zinc/19/26/14/908192614.db2.gz DSNDCJYQANAFEO-QWRGUYRKSA-N 0 1 261.329 0.621 20 30 CCEDMN COC1([C@@H](C)NC(=O)NCC#CCN(C)C)CCOCC1 ZINC001312888226 908639825 /nfs/dbraw/zinc/63/98/25/908639825.db2.gz UJDBOJUNCYUKPD-CYBMUJFWSA-N 0 1 297.399 0.435 20 30 CCEDMN C[C@H]1CN(C(=O)NCC#CCN(C)C)C[C@@H](C2CC2)O1 ZINC001313439572 908667384 /nfs/dbraw/zinc/66/73/84/908667384.db2.gz NLJVXXKSTYCXDM-JSGCOSHPSA-N 0 1 279.384 0.760 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cncc(C)c2)CC1 ZINC001316963785 908701918 /nfs/dbraw/zinc/70/19/18/908701918.db2.gz LKSHIQYOEINBMM-UHFFFAOYSA-N 0 1 288.395 0.923 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H]2CN(C(=O)CCC)C[C@@H]2C1 ZINC001316749786 908765421 /nfs/dbraw/zinc/76/54/21/908765421.db2.gz WPSFKPZDWNVFQM-KGLIPLIRSA-N 0 1 293.411 0.869 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@@H](NC(C)=O)CC(C)(C)C1 ZINC001316808155 908777359 /nfs/dbraw/zinc/77/73/59/908777359.db2.gz QHHSABJCUSKRAI-TZMCWYRMSA-N 0 1 293.411 0.751 20 30 CCEDMN CC1(C(=O)NCCCN(CC(=O)NCC#N)C2CC2)CC1 ZINC001316916558 908841937 /nfs/dbraw/zinc/84/19/37/908841937.db2.gz LSVPLZKUEIUXAJ-UHFFFAOYSA-N 0 1 292.383 0.397 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H](CCCC)NC(N)=O)C1 ZINC001316955213 908867237 /nfs/dbraw/zinc/86/72/37/908867237.db2.gz YVMPXKNVNYWCKU-OLZOCXBDSA-N 0 1 296.415 0.980 20 30 CCEDMN C=CCC[C@H](C)N1C[C@H]2CC[C@@H](C1)N2C(=O)C(N)=O ZINC001317049621 908940012 /nfs/dbraw/zinc/94/00/12/908940012.db2.gz SSZVRTIPULTSSX-SDDRHHMPSA-N 0 1 265.357 0.502 20 30 CCEDMN C#CCCCC(=O)NC[C@@H]1CCCN([C@H](CC)C(N)=O)C1 ZINC001317132110 909009334 /nfs/dbraw/zinc/00/93/34/909009334.db2.gz VWBROTPKDFKMKD-UONOGXRCSA-N 0 1 293.411 0.882 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)C(=O)c1ccc2cncn2c1 ZINC001387078945 909027298 /nfs/dbraw/zinc/02/72/98/909027298.db2.gz CRKLYFMIJBXJGI-NSHDSACASA-N 0 1 299.334 0.682 20 30 CCEDMN C#CCOCCC(=O)NC[C@H](C)NCc1cncs1 ZINC001317243858 909083304 /nfs/dbraw/zinc/08/33/04/909083304.db2.gz YDPNECJPYVNSFA-NSHDSACASA-N 0 1 281.381 0.777 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001317454286 909226876 /nfs/dbraw/zinc/22/68/76/909226876.db2.gz BCMZGSVQJPNCGM-MELADBBJSA-N 0 1 280.368 0.109 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccnn1CC(F)F ZINC001317478852 909248972 /nfs/dbraw/zinc/24/89/72/909248972.db2.gz ZTSANRBAJNBBDH-UHFFFAOYSA-N 0 1 284.310 0.833 20 30 CCEDMN CC[C@H](C(N)=O)N(CC)CCNC(=O)C#CC(C)(C)C ZINC001317480767 909249847 /nfs/dbraw/zinc/24/98/47/909249847.db2.gz XOFADMNBGFASPL-GFCCVEGCSA-N 0 1 281.400 0.738 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@@H]1CCNC1=O ZINC001317503408 909275841 /nfs/dbraw/zinc/27/58/41/909275841.db2.gz YJBSNRKQSOBGMS-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C#Cc1ccc(NC(=O)C(=O)N[C@@H]2CCN(C)[C@H]2C)cc1 ZINC001338479760 921480986 /nfs/dbraw/zinc/48/09/86/921480986.db2.gz PJPDXMGFGYHKDU-SMDDNHRTSA-N 0 1 285.347 0.815 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1ccc(OC)nn1 ZINC001317649859 909443115 /nfs/dbraw/zinc/44/31/15/909443115.db2.gz LIYSWLDJSYYUPT-UHFFFAOYSA-N 0 1 284.747 0.899 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2C[C@@H](C)OC ZINC001317735635 909480925 /nfs/dbraw/zinc/48/09/25/909480925.db2.gz VVORPURZZZEXSC-BARDWOONSA-N 0 1 294.395 0.735 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)CCCOC)C1 ZINC001318389397 909735166 /nfs/dbraw/zinc/73/51/66/909735166.db2.gz PVZVIOSAIPRTEA-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCOCC(F)(F)F)C1 ZINC001318342123 909716844 /nfs/dbraw/zinc/71/68/44/909716844.db2.gz MXAFZCKWHJPCFR-SNVBAGLBSA-N 0 1 278.274 0.779 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CNC(=O)c1[nH]nc2c1CCC2 ZINC001389492948 909937685 /nfs/dbraw/zinc/93/76/85/909937685.db2.gz WLSAOYQCQGHDJP-BDAKNGLRSA-N 0 1 289.339 0.293 20 30 CCEDMN C=CCOCCCNC(=O)N1CCNC[C@@H]1CCOC ZINC001338653146 921539481 /nfs/dbraw/zinc/53/94/81/921539481.db2.gz CUHHSVQZDIFSQX-ZDUSSCGKSA-N 0 1 285.388 0.599 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)CNCc1cnn(C)n1 ZINC001319224031 910043924 /nfs/dbraw/zinc/04/39/24/910043924.db2.gz DCSZEWGUBPIUNJ-LLVKDONJSA-N 0 1 279.388 0.869 20 30 CCEDMN C#CCOCCC(=O)N(C)[C@@H](C)CNCc1cnns1 ZINC001319456263 910162402 /nfs/dbraw/zinc/16/24/02/910162402.db2.gz YKHKOOXCFZYXBP-NSHDSACASA-N 0 1 296.396 0.515 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cccnc1OC ZINC001320042967 910432420 /nfs/dbraw/zinc/43/24/20/910432420.db2.gz OZNGTCGSSDQDIA-UHFFFAOYSA-N 0 1 261.325 0.727 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1nc(C)oc1C ZINC001320068270 910448579 /nfs/dbraw/zinc/44/85/79/910448579.db2.gz XSBJRFAVOIUAFT-UHFFFAOYSA-N 0 1 293.367 0.945 20 30 CCEDMN C=C(C)CCC(=O)N1CC[C@@H]2[C@@H](CCN2CC(N)=O)C1 ZINC001320104321 910466113 /nfs/dbraw/zinc/46/61/13/910466113.db2.gz QOFXUKBRVZSLGJ-QWHCGFSZSA-N 0 1 279.384 0.751 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](O)CNCc1ccccc1C#N ZINC001320215652 910529935 /nfs/dbraw/zinc/52/99/35/910529935.db2.gz UBGIXVGPFCHQQT-INIZCTEOSA-N 0 1 299.374 0.928 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H]1CC(=O)OCC ZINC001320454361 910681662 /nfs/dbraw/zinc/68/16/62/910681662.db2.gz AUMQUDHARASWPZ-LLVKDONJSA-N 0 1 297.355 0.416 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H]3C[C@]3(CO)C2)CC1 ZINC001320882604 910917848 /nfs/dbraw/zinc/91/78/48/910917848.db2.gz YIHCLENRMXKSFQ-DZGCQCFKSA-N 0 1 262.353 0.172 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@H](OCC=C)C2)CC1 ZINC001320897167 910920097 /nfs/dbraw/zinc/92/00/97/910920097.db2.gz BLHZQIJHXIGQDI-HNNXBMFYSA-N 0 1 291.395 0.041 20 30 CCEDMN Cc1nocc1CNC/C=C\CNC(=O)[C@@H](C)C#N ZINC001320997423 910998463 /nfs/dbraw/zinc/99/84/63/910998463.db2.gz IXEGTUVRXLNBGO-XOULXFPDSA-N 0 1 262.313 0.905 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN([C@H](C)CCC)CC1 ZINC001321238554 911184274 /nfs/dbraw/zinc/18/42/74/911184274.db2.gz CFZHROAXCJTAFX-GFCCVEGCSA-N 0 1 273.402 0.260 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@](C)(CNCc1ccn(C)n1)C1CC1 ZINC001391790886 911404443 /nfs/dbraw/zinc/40/44/43/911404443.db2.gz XNBAXEJNUCXGAS-ABAIWWIYSA-N 0 1 289.383 0.954 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C(=O)NCC1CC1 ZINC001322032767 911629173 /nfs/dbraw/zinc/62/91/73/911629173.db2.gz LAUZOEDLSQRGJV-VIFPVBQESA-N 0 1 273.764 0.359 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)CN1CCCCC1=O ZINC001322194367 911701892 /nfs/dbraw/zinc/70/18/92/911701892.db2.gz RVEWMYBASMQPAW-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)COCCC)CC2)C1 ZINC001328114466 914978954 /nfs/dbraw/zinc/97/89/54/914978954.db2.gz RTPYJHCDAZLYCG-UHFFFAOYSA-N 0 1 295.427 0.818 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N(CC)[C@@H]2CCN(C)C2)CC1 ZINC001328281184 915076449 /nfs/dbraw/zinc/07/64/49/915076449.db2.gz JNURCKIXZXRVIG-CQSZACIVSA-N 0 1 293.411 0.964 20 30 CCEDMN C#C[C@@H](C)N(C)c1nnc(C2=NO[C@@H](CO)C2)n1CC ZINC001339568043 921762349 /nfs/dbraw/zinc/76/23/49/921762349.db2.gz YGBYDQYOTJWHEF-NXEZZACHSA-N 0 1 277.328 0.241 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H](C)OC ZINC001328707495 915373663 /nfs/dbraw/zinc/37/36/63/915373663.db2.gz QAUAZDISYNFBIP-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@]1(CC)CCNC1=O ZINC001328733352 915396367 /nfs/dbraw/zinc/39/63/67/915396367.db2.gz OQXGBPWRVDQTIU-CZUORRHYSA-N 0 1 291.395 0.459 20 30 CCEDMN CCN(CC)C(=O)CN1CC[C@@H](CNC(=O)[C@H](C)C#N)C1 ZINC001399889289 915424171 /nfs/dbraw/zinc/42/41/71/915424171.db2.gz ANZDOERJOKXCPL-OLZOCXBDSA-N 0 1 294.399 0.453 20 30 CCEDMN CC(=O)N1CCC[C@H]([C@H]2CCN(CC(=O)NCC#N)C2)C1 ZINC001328806216 915443649 /nfs/dbraw/zinc/44/36/49/915443649.db2.gz ICNPTULERDCUCG-KBPBESRZSA-N 0 1 292.383 0.207 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC001329120099 915686879 /nfs/dbraw/zinc/68/68/79/915686879.db2.gz YPHIMSRWJLQXCP-CBAPKCEASA-N 0 1 253.306 0.674 20 30 CCEDMN CC(C)n1ncnc1CNC1CCN(CC#N)CC1 ZINC001329348214 915831371 /nfs/dbraw/zinc/83/13/71/915831371.db2.gz CEWBXXQAZGFWHY-UHFFFAOYSA-N 0 1 262.361 0.937 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@H](C)SC ZINC001329392027 915873039 /nfs/dbraw/zinc/87/30/39/915873039.db2.gz IPTWIJKRKAZQFZ-RYUDHWBXSA-N 0 1 272.414 0.824 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H]2CCN(CC)C2=O)CC1 ZINC001329411407 915890330 /nfs/dbraw/zinc/89/03/30/915890330.db2.gz XJHRRPNYXPHHKH-CYBMUJFWSA-N 0 1 278.352 0.496 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N(CCC)[C@@H]1CCN(C)C1 ZINC001329458279 915932571 /nfs/dbraw/zinc/93/25/71/915932571.db2.gz ZBHUFBDTCWGXHW-CYBMUJFWSA-N 0 1 281.400 0.964 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C1(CCOC)CC1 ZINC001329460757 915937300 /nfs/dbraw/zinc/93/73/00/915937300.db2.gz RWSURRZBJLSIHY-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@]1(CC)CCNC1=O ZINC001329471872 915945013 /nfs/dbraw/zinc/94/50/13/915945013.db2.gz NDYYBDHCHZQOTI-SWLSCSKDSA-N 0 1 279.384 0.363 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1conc1C ZINC001401565977 916330942 /nfs/dbraw/zinc/33/09/42/916330942.db2.gz XDXHZPNCYCJMMP-SECBINFHSA-N 0 1 273.720 0.416 20 30 CCEDMN COCC#CC(=O)N1CCN(C2CCCC2)CC1 ZINC001330142627 916431957 /nfs/dbraw/zinc/43/19/57/916431957.db2.gz PAGFWOKASIOLCE-UHFFFAOYSA-N 0 1 250.342 0.723 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@]2(C1)CN(C(C)C)CCO2 ZINC001330162359 916444293 /nfs/dbraw/zinc/44/42/93/916444293.db2.gz VIVSMQFRRLCVEF-INIZCTEOSA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@]2(CC)CCNC2=O)C(C)(C)C1 ZINC001330209577 916480067 /nfs/dbraw/zinc/48/00/67/916480067.db2.gz KAJLMQUYGJDIRM-LRDDRELGSA-N 0 1 293.411 0.915 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)OCc1nnc[nH]1 ZINC001330282174 916525055 /nfs/dbraw/zinc/52/50/55/916525055.db2.gz BHCMPWXBGXSXHP-VIFPVBQESA-N 0 1 252.274 0.319 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@H]1CNC(=O)N1 ZINC001402062129 916783465 /nfs/dbraw/zinc/78/34/65/916783465.db2.gz TUFQWAMKEAEYHX-NXEZZACHSA-N 0 1 286.763 0.001 20 30 CCEDMN Cc1nonc1CNC[C@]1(C)CCN(C(=O)[C@H](C)C#N)C1 ZINC001402163613 916845679 /nfs/dbraw/zinc/84/56/79/916845679.db2.gz RNORFICPDYTZEP-YGRLFVJLSA-N 0 1 291.355 0.866 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CN2CCCC2=O)CCC1 ZINC001402523586 917065681 /nfs/dbraw/zinc/06/56/81/917065681.db2.gz DBJHHUWEBDJXCN-UHFFFAOYSA-N 0 1 299.802 0.990 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)CC(C)(C)O)CCO1 ZINC001402610436 917125766 /nfs/dbraw/zinc/12/57/66/917125766.db2.gz ZPXUMLHYOMAPOK-LLVKDONJSA-N 0 1 290.791 0.717 20 30 CCEDMN CC(C)NC(=O)CN1CCC[C@@H](NC(=O)[C@H](C)C#N)CC1 ZINC001402613042 917131776 /nfs/dbraw/zinc/13/17/76/917131776.db2.gz XSBFVFVPDGCTHW-CHWSQXEVSA-N 0 1 294.399 0.641 20 30 CCEDMN Cc1nc(CN2CCC[C@@H](CNC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001402660989 917159439 /nfs/dbraw/zinc/15/94/39/917159439.db2.gz FWFIMRXYBGFDQE-PWSUYJOCSA-N 0 1 290.371 0.601 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CNC(=O)C1CC1 ZINC001402850685 917286029 /nfs/dbraw/zinc/28/60/29/917286029.db2.gz XNFWKHKCYYEVIE-VIFPVBQESA-N 0 1 273.764 0.359 20 30 CCEDMN C=CC[C@@H](OC(=O)CCc1nc[nH]n1)C(=O)OC ZINC001331542689 917407803 /nfs/dbraw/zinc/40/78/03/917407803.db2.gz NVAUQGVWPIAFFH-MRVPVSSYSA-N 0 1 253.258 0.398 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc(COC)c1 ZINC001331740611 917565250 /nfs/dbraw/zinc/56/52/50/917565250.db2.gz QNDVURAYOZGSDL-AWEZNQCLSA-N 0 1 276.336 0.147 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCc1ccc(Cl)cc1 ZINC001331759561 917578436 /nfs/dbraw/zinc/57/84/36/917578436.db2.gz TZHFVYHSPOYPHS-AWEZNQCLSA-N 0 1 294.782 0.973 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc2nccn2c1 ZINC001331826642 917643480 /nfs/dbraw/zinc/64/34/80/917643480.db2.gz VFZKZTGYTAMEBH-CYBMUJFWSA-N 0 1 286.335 0.038 20 30 CCEDMN C#CCC(C)(C)C(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001331905991 917706103 /nfs/dbraw/zinc/70/61/03/917706103.db2.gz GVYSNPZVCIQVTJ-JTQLQIEISA-N 0 1 276.340 0.974 20 30 CCEDMN C#CCC(C)(C)C(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001331905991 917706108 /nfs/dbraw/zinc/70/61/08/917706108.db2.gz GVYSNPZVCIQVTJ-JTQLQIEISA-N 0 1 276.340 0.974 20 30 CCEDMN C=C(Cl)CNC[C@H](C1CC1)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001403496974 917743836 /nfs/dbraw/zinc/74/38/36/917743836.db2.gz KLUMXWZVSRNDKM-VXGBXAGGSA-N 0 1 299.802 0.844 20 30 CCEDMN COCCC(=O)NC[C@H](CO)NCc1cccc(C#N)c1 ZINC001403615779 917848080 /nfs/dbraw/zinc/84/80/80/917848080.db2.gz DIXZRTFJDJFTBU-CQSZACIVSA-N 0 1 291.351 0.161 20 30 CCEDMN CC(C)(F)C(=O)NC[C@H](CO)NCc1cccc(C#N)c1 ZINC001403658691 917900324 /nfs/dbraw/zinc/90/03/24/917900324.db2.gz VPYLQZHXVSHFKS-CYBMUJFWSA-N 0 1 293.342 0.873 20 30 CCEDMN N#CCCCS(=O)(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC001332247237 917990306 /nfs/dbraw/zinc/99/03/06/917990306.db2.gz UHOMANOHDQIOBS-SECBINFHSA-N 0 1 269.330 0.228 20 30 CCEDMN CC(C)(C#N)CNC[C@@H](O)CC1(O)CCOCC1 ZINC001332288456 918027070 /nfs/dbraw/zinc/02/70/70/918027070.db2.gz RBPBNOKBRCAFOG-NSHDSACASA-N 0 1 256.346 0.418 20 30 CCEDMN C=C(Br)CNC[C@H](O)CN(C)C(=O)C1CC1 ZINC001403835400 918049051 /nfs/dbraw/zinc/04/90/51/918049051.db2.gz VJOMHPZGJDJVPS-JTQLQIEISA-N 0 1 291.189 0.714 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H]1CCCCO1 ZINC001403839831 918054950 /nfs/dbraw/zinc/05/49/50/918054950.db2.gz LVTCGQTXUVKEAI-VXGBXAGGSA-N 0 1 290.791 0.717 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1c(C)noc1C ZINC001332616747 918314746 /nfs/dbraw/zinc/31/47/46/918314746.db2.gz OFHRUALAJKSSCT-LBPRGKRZSA-N 0 1 279.340 0.289 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cnccc1C ZINC001332617438 918317475 /nfs/dbraw/zinc/31/74/75/918317475.db2.gz FEGQCPHPUNZLLM-CYBMUJFWSA-N 0 1 275.352 0.388 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1ccc[nH]1 ZINC001332617888 918317709 /nfs/dbraw/zinc/31/77/09/918317709.db2.gz XBKXQXMDTOQJKS-LBPRGKRZSA-N 0 1 263.341 0.403 20 30 CCEDMN C=C(Cl)CN(C)C[C@H]1CCN(C(=O)c2ncn(C)n2)C1 ZINC001404592537 918402232 /nfs/dbraw/zinc/40/22/32/918402232.db2.gz KLFWHJBORUHHQR-LLVKDONJSA-N 0 1 297.790 0.962 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1CCC(=O)N1 ZINC001405365576 918744824 /nfs/dbraw/zinc/74/48/24/918744824.db2.gz ZALXTBDFHYSDNI-UWVGGRQHSA-N 0 1 273.764 0.502 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)CCn2cncn2)CC1 ZINC001333291643 918780388 /nfs/dbraw/zinc/78/03/88/918780388.db2.gz QSCXIRGXCMZWIU-UHFFFAOYSA-N 0 1 287.367 0.440 20 30 CCEDMN CC(C)[C@H](CNC(=O)[C@@H](C)C#N)NCc1nncn1C ZINC001406016658 918997194 /nfs/dbraw/zinc/99/71/94/918997194.db2.gz MYQDMTXKJJXUBE-QWRGUYRKSA-N 0 1 278.360 0.205 20 30 CCEDMN C#CCC1(NC(=O)CCCc2nn[nH]n2)CCOCC1 ZINC001333597274 919030292 /nfs/dbraw/zinc/03/02/92/919030292.db2.gz OJAUIJZJAUATQR-UHFFFAOYSA-N 0 1 277.328 0.211 20 30 CCEDMN N#Cc1ccc(CNC[C@H](O)CNC(=O)C2CC2)c(F)c1 ZINC001406183017 919093568 /nfs/dbraw/zinc/09/35/68/919093568.db2.gz CXCMVHJWDQELDL-ZDUSSCGKSA-N 0 1 291.326 0.674 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@H](OC)C1CC1 ZINC001406221331 919122721 /nfs/dbraw/zinc/12/27/21/919122721.db2.gz UJSDXLJSCKLMQW-WDEREUQCSA-N 0 1 276.764 0.231 20 30 CCEDMN C=CCCN(C)C(=O)NCc1n[nH]c(COC)n1 ZINC001333798681 919171298 /nfs/dbraw/zinc/17/12/98/919171298.db2.gz BNNGXQWWHSBXPW-UHFFFAOYSA-N 0 1 253.306 0.669 20 30 CCEDMN C=CCCN(C)C(=O)NCc1nnc(COC)[nH]1 ZINC001333798681 919171315 /nfs/dbraw/zinc/17/13/15/919171315.db2.gz BNNGXQWWHSBXPW-UHFFFAOYSA-N 0 1 253.306 0.669 20 30 CCEDMN C=CCC[C@@H](C)[NH2+]Cc1nc(CS(C)(=O)=O)n[n-]1 ZINC001333827483 919192771 /nfs/dbraw/zinc/19/27/71/919192771.db2.gz UEKWXRFGSZSGJY-SECBINFHSA-N 0 1 272.374 0.794 20 30 CCEDMN C=CCCCn1nnnc1N1CC[N@@H+](CCO)C(C)(C)C1 ZINC001334185072 919406814 /nfs/dbraw/zinc/40/68/14/919406814.db2.gz PYQAFTUDOFJSGJ-UHFFFAOYSA-N 0 1 294.403 0.532 20 30 CCEDMN C=CCCCn1nnnc1N1CCN(CCO)C(C)(C)C1 ZINC001334185072 919406853 /nfs/dbraw/zinc/40/68/53/919406853.db2.gz PYQAFTUDOFJSGJ-UHFFFAOYSA-N 0 1 294.403 0.532 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NCC(=O)NCC(C)(C)C)CC1 ZINC001406907245 919531489 /nfs/dbraw/zinc/53/14/89/919531489.db2.gz HCQWRUNKHWDYER-NSHDSACASA-N 0 1 294.399 0.547 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)[C@H]1CCN1C(C)(C)C ZINC001334603801 919675670 /nfs/dbraw/zinc/67/56/70/919675670.db2.gz DHASDVHJVWKMGU-NWDGAFQWSA-N 0 1 252.358 0.750 20 30 CCEDMN N#Cc1csc(CNC[C@]2(O)CCS(=O)(=O)C2)c1 ZINC001414081262 919977215 /nfs/dbraw/zinc/97/72/15/919977215.db2.gz CKRSWEBQMFJWFQ-LLVKDONJSA-N 0 1 286.378 0.259 20 30 CCEDMN N#CCC1(O)CN(C(=O)c2cc(F)cc(F)c2O)C1 ZINC001335273315 920084256 /nfs/dbraw/zinc/08/42/56/920084256.db2.gz QKFAVPIYHQWDCZ-UHFFFAOYSA-N 0 1 268.219 0.771 20 30 CCEDMN CCOC(=O)c1[nH]nc(NC(=O)C#CCOC)c1C ZINC001335344567 920130875 /nfs/dbraw/zinc/13/08/75/920130875.db2.gz DPIKGADUJBETLN-UHFFFAOYSA-N 0 1 265.269 0.483 20 30 CCEDMN COC(=O)[C@H]1CCCC[C@@H]1Cn1c(=O)[nH]cc(C#N)c1=O ZINC001413929538 920216915 /nfs/dbraw/zinc/21/69/15/920216915.db2.gz KWQGVRFLLIHDHQ-KOLCDFICSA-N 0 1 291.307 0.388 20 30 CCEDMN C=CCCCCNC(=O)N1CCNC[C@@H]1COC ZINC001335558358 920276982 /nfs/dbraw/zinc/27/69/82/920276982.db2.gz SJANFVZCGPPXLH-GFCCVEGCSA-N 0 1 255.362 0.973 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)c1cnncc1O ZINC001335981573 920541489 /nfs/dbraw/zinc/54/14/89/920541489.db2.gz JZTSLNUMBICIAQ-UHFFFAOYSA-N 0 1 291.307 0.599 20 30 CCEDMN C#C[C@@H](NC(=O)N1CCNC[C@H]1CCOC)C(C)C ZINC001336099431 920608164 /nfs/dbraw/zinc/60/81/64/920608164.db2.gz HXTDNEABPFGXJA-CHWSQXEVSA-N 0 1 267.373 0.664 20 30 CCEDMN C=CCCCN(CC)C(=O)NC[C@H]1COCCN1 ZINC001336151095 920635338 /nfs/dbraw/zinc/63/53/38/920635338.db2.gz PVCOBJYTDGGNIQ-LBPRGKRZSA-N 0 1 255.362 0.973 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCCNC(=O)Cc1cnc[nH]1 ZINC001336321711 920719989 /nfs/dbraw/zinc/71/99/89/920719989.db2.gz YLNIZGCHCFHORW-MNOVXSKESA-N 0 1 291.355 0.513 20 30 CCEDMN C#CCNCC(=O)N(Cc1cnn(CC)c1)C(C)C ZINC001340685908 922165726 /nfs/dbraw/zinc/16/57/26/922165726.db2.gz YAEPJUGZFVOMTD-UHFFFAOYSA-N 0 1 262.357 0.863 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)[C@H](N)Cc1ccccn1 ZINC001340954540 922292637 /nfs/dbraw/zinc/29/26/37/922292637.db2.gz YBLMXHYJOLVCKH-CYBMUJFWSA-N 0 1 291.351 0.529 20 30 CCEDMN CCc1nc([C@H](C)NCCN(C)C(=O)[C@H](C)C#N)n[nH]1 ZINC001418816371 922435320 /nfs/dbraw/zinc/43/53/20/922435320.db2.gz QDSCNAPHNIQCIC-ZJUUUORDSA-N 0 1 278.360 0.636 20 30 CCEDMN COC[C@H](N)C(=O)N(C)Cc1cc(C#N)cs1 ZINC001341359651 922444997 /nfs/dbraw/zinc/44/49/97/922444997.db2.gz MADNXDCHHWMHRJ-JTQLQIEISA-N 0 1 253.327 0.552 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCN(C(=O)C2CCCC2)C1 ZINC001341596679 922573714 /nfs/dbraw/zinc/57/37/14/922573714.db2.gz ZGHDXOPVSYLKOS-ZDUSSCGKSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCNCC(=O)N1CCN(CC(F)(F)C(F)F)CC1 ZINC001341699211 922635461 /nfs/dbraw/zinc/63/54/61/922635461.db2.gz DPSFWLQLASIYQS-UHFFFAOYSA-N 0 1 295.280 0.254 20 30 CCEDMN CC(C)c1nnsc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC001341748573 922654115 /nfs/dbraw/zinc/65/41/15/922654115.db2.gz QNFBWVQKZZUQNB-JGVFFNPUSA-N 0 1 265.342 0.503 20 30 CCEDMN CC(C)CN(Cc1nn[nH]n1)C(=O)c1ccc(C#N)o1 ZINC001419137830 922657914 /nfs/dbraw/zinc/65/79/14/922657914.db2.gz ONRAGVATHSMMQW-UHFFFAOYSA-N 0 1 274.284 0.963 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1cncnc1 ZINC001341803762 922682532 /nfs/dbraw/zinc/68/25/32/922682532.db2.gz FEIAHWFCCOVDMS-JTQLQIEISA-N 0 1 267.248 0.833 20 30 CCEDMN C#CCNCC(=O)N1CCC(Oc2ncc(C)cn2)CC1 ZINC001342172897 922846254 /nfs/dbraw/zinc/84/62/54/922846254.db2.gz KRGKEHDNTSWHCV-UHFFFAOYSA-N 0 1 288.351 0.378 20 30 CCEDMN N#CC(C(=O)C[C@@H]1CCNC1=O)c1cccc(F)n1 ZINC001342653246 923042722 /nfs/dbraw/zinc/04/27/22/923042722.db2.gz RUGMXGRHOYEAAD-IUCAKERBSA-N 0 1 261.256 0.923 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)COc1ccccc1F ZINC001343178724 923223895 /nfs/dbraw/zinc/22/38/95/923223895.db2.gz DZEXMRNERDDSLB-NSHDSACASA-N 0 1 264.300 0.932 20 30 CCEDMN N#CC(C(=O)CO[C@@H]1CCOC1)C(=O)NC1CCCC1 ZINC001343348147 923280547 /nfs/dbraw/zinc/28/05/47/923280547.db2.gz PNZMOQKNHNSNHJ-VXGBXAGGSA-N 0 1 280.324 0.560 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc(OC)no1 ZINC001420103442 923313170 /nfs/dbraw/zinc/31/31/70/923313170.db2.gz FEAPYWHJZJFGRY-QMMMGPOBSA-N 0 1 289.719 0.116 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccc(OC)nc1 ZINC001420157366 923356768 /nfs/dbraw/zinc/35/67/68/923356768.db2.gz MASLFJGPPSJOQE-NSHDSACASA-N 0 1 299.758 0.523 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CSC1CC1 ZINC001343564148 923371615 /nfs/dbraw/zinc/37/16/15/923371615.db2.gz SETJDZADRWMBFK-JTQLQIEISA-N 0 1 270.354 0.744 20 30 CCEDMN C#CCNCC(=O)N[C@@H](COC)c1ccc(F)c(F)c1 ZINC001343593036 923386369 /nfs/dbraw/zinc/38/63/69/923386369.db2.gz LMWDOQBEFCICBW-ZDUSSCGKSA-N 0 1 282.290 0.991 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@]1(C)CCCOC1 ZINC001420189541 923390073 /nfs/dbraw/zinc/39/00/73/923390073.db2.gz XDKJXADWDRSWHC-DGCLKSJQSA-N 0 1 290.791 0.622 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)Cc1ccc(C(N)=O)cc1 ZINC001420191200 923391444 /nfs/dbraw/zinc/39/14/44/923391444.db2.gz KFYSBZWHTXLFGL-LLVKDONJSA-N 0 1 288.351 0.493 20 30 CCEDMN CCN(CCN[C@H](C)c1n[nH]c(C)n1)C(=O)[C@H](C)C#N ZINC001420244548 923453267 /nfs/dbraw/zinc/45/32/67/923453267.db2.gz XCFLZOAXJXEXLZ-NXEZZACHSA-N 0 1 278.360 0.772 20 30 CCEDMN C#CCN1CCC(OC(=O)CO[C@H]2CCOC2)CC1 ZINC001344373820 923584673 /nfs/dbraw/zinc/58/46/73/923584673.db2.gz VSISYLYJXXYLRI-ZDUSSCGKSA-N 0 1 267.325 0.433 20 30 CCEDMN Cc1nc(CNC(=O)[C@@H](C#N)Cc2ccc(C#N)cc2)n[nH]1 ZINC001420452601 923676498 /nfs/dbraw/zinc/67/64/98/923676498.db2.gz QWCOSPIIHUEDBN-CYBMUJFWSA-N 0 1 294.318 0.983 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)c1ccc([N+](=O)[O-])cc1 ZINC001344789326 923689513 /nfs/dbraw/zinc/68/95/13/923689513.db2.gz GWBYFUMQEHFMIY-SNVBAGLBSA-N 0 1 261.281 0.995 20 30 CCEDMN C#CCNCC(=O)NC[C@]1(C(F)(F)F)CCCN1 ZINC001344930302 923735477 /nfs/dbraw/zinc/73/54/77/923735477.db2.gz GJRDNSJSMNBUBN-JTQLQIEISA-N 0 1 263.263 0.010 20 30 CCEDMN Cc1cnc(CNC[C@@H](NC(=O)[C@H](C)C#N)C2CC2)cn1 ZINC001420715760 923893796 /nfs/dbraw/zinc/89/37/96/923893796.db2.gz GRLHQGBLLHJHQP-QMTHXVAHSA-N 0 1 287.367 0.929 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)CCCCC#N)C1 ZINC001346453475 924221094 /nfs/dbraw/zinc/22/10/94/924221094.db2.gz VODODHXMOYIKHA-LBPRGKRZSA-N 0 1 252.362 0.432 20 30 CCEDMN C#CC1CCN(C(=O)C(=O)N(CC)[C@@H]2CCN(C)C2)CC1 ZINC001347004261 924348831 /nfs/dbraw/zinc/34/88/31/924348831.db2.gz OGFAASVYFYJIDR-CQSZACIVSA-N 0 1 291.395 0.411 20 30 CCEDMN CCN(C(=O)C(=O)N(C)CC(C)(C)C#N)[C@H]1CCN(C)C1 ZINC001347001176 924349495 /nfs/dbraw/zinc/34/94/95/924349495.db2.gz ACDCQNRKYFOFHU-LBPRGKRZSA-N 0 1 294.399 0.547 20 30 CCEDMN C#CC1CCN(C(=O)C(=O)N(CC)[C@H]2CCN(C)C2)CC1 ZINC001347004260 924349639 /nfs/dbraw/zinc/34/96/39/924349639.db2.gz OGFAASVYFYJIDR-AWEZNQCLSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCCCc1nc[nH]n1 ZINC001347272203 924410852 /nfs/dbraw/zinc/41/08/52/924410852.db2.gz XDJNSBIKLTWWCP-SECBINFHSA-N 0 1 253.306 0.238 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@@H](c3nc[nH]n3)C2)cn1 ZINC001348618281 924753323 /nfs/dbraw/zinc/75/33/23/924753323.db2.gz SSLSZWIPHMMRRB-LLVKDONJSA-N 0 1 267.292 0.811 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCNC(=O)[C@H]1CCCN1C ZINC001348648543 924762463 /nfs/dbraw/zinc/76/24/63/924762463.db2.gz OXAFFZPWRCRAKB-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN CC#CCCCC(=O)NCCNC(=O)CN(C)C1CCC1 ZINC001349200617 924905510 /nfs/dbraw/zinc/90/55/10/924905510.db2.gz HMNDXCJBRAUNRV-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001349468793 924968521 /nfs/dbraw/zinc/96/85/21/924968521.db2.gz WPUQACIZPBZNPW-XQQFMLRXSA-N 0 1 266.389 0.284 20 30 CCEDMN C=C(CC(C)C)C(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC001352486362 925585674 /nfs/dbraw/zinc/58/56/74/925585674.db2.gz NXLQOIXGQHWXOX-SNVBAGLBSA-N 0 1 265.317 0.702 20 30 CCEDMN C#CCNCC(=O)NC[C@H](c1c(F)cccc1F)N(C)C ZINC001353559724 925870019 /nfs/dbraw/zinc/87/00/19/925870019.db2.gz MHBLJDNCBOKVQH-CYBMUJFWSA-N 0 1 295.333 0.907 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001353735934 925922042 /nfs/dbraw/zinc/92/20/42/925922042.db2.gz FSQJZMKZOMLKFC-PHIMTYICSA-N 0 1 276.340 0.682 20 30 CCEDMN C=CCC[C@H](NC(=O)[C@H](C)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001354046575 925997104 /nfs/dbraw/zinc/99/71/04/925997104.db2.gz FGQZYSHFVIHHGB-RYUDHWBXSA-N 0 1 283.372 0.158 20 30 CCEDMN C=CCCC[C@H](NC(=O)C[C@H]1COCCN1)C(=O)OC ZINC001354840516 926173777 /nfs/dbraw/zinc/17/37/77/926173777.db2.gz JXGCDVCMAKKZJH-RYUDHWBXSA-N 0 1 284.356 0.379 20 30 CCEDMN C#CCCCCC(=O)NCCN(C)C(=O)Cc1ncn[nH]1 ZINC001355554547 926280911 /nfs/dbraw/zinc/28/09/11/926280911.db2.gz YASCXISSFZHGDF-UHFFFAOYSA-N 0 1 291.355 0.115 20 30 CCEDMN Cn1ccnc1[C@H](C#N)C(=O)[C@H]1CCCN(C2CC2)C1=O ZINC001356568038 926402114 /nfs/dbraw/zinc/40/21/14/926402114.db2.gz YPAMNBCINBUYCO-VXGBXAGGSA-N 0 1 286.335 0.997 20 30 CCEDMN C#CCCCC(=O)NC[C@H](NC(=O)c1cnn[nH]1)C(C)C ZINC001357094911 926483350 /nfs/dbraw/zinc/48/33/50/926483350.db2.gz QDGSKIWDVUSCNL-NSHDSACASA-N 0 1 291.355 0.479 20 30 CCEDMN C#CCNCC(=O)NC[C@H](CC(C)C)NC(=O)OCC ZINC001357194986 926496097 /nfs/dbraw/zinc/49/60/97/926496097.db2.gz YGWBUAARAHXVLF-LBPRGKRZSA-N 0 1 283.372 0.486 20 30 CCEDMN C#CCNCC(=O)N[C@@H](COC)Cc1ccccc1 ZINC001357291827 926507709 /nfs/dbraw/zinc/50/77/09/926507709.db2.gz PZJRHVSKYWZSPK-CQSZACIVSA-N 0 1 260.337 0.583 20 30 CCEDMN C#CCNCC(=O)N1C[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC001357303653 926509800 /nfs/dbraw/zinc/50/98/00/926509800.db2.gz TWRZKGCROIVEQJ-DTWKUNHWSA-N 0 1 264.247 0.387 20 30 CCEDMN C=C1CCC(CNC(=O)NCC(=O)N2CCNCC2)CC1 ZINC001357436405 926532657 /nfs/dbraw/zinc/53/26/57/926532657.db2.gz SQXBAASTWJWSSD-UHFFFAOYSA-N 0 1 294.399 0.464 20 30 CCEDMN C#CCNCC(=O)NCC(C)(C)[C@H]1CCCCO1 ZINC001357776705 926596260 /nfs/dbraw/zinc/59/62/60/926596260.db2.gz NSEVLECGJFLDDU-GFCCVEGCSA-N 0 1 252.358 0.921 20 30 CCEDMN C/C(=C\C(=O)NC1(C#N)CCN(C)CC1)c1cnccn1 ZINC001358276316 926666235 /nfs/dbraw/zinc/66/62/35/926666235.db2.gz YTTBJOWBUZQEMQ-FMIVXFBMSA-N 0 1 285.351 0.984 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1c[nH]c(=O)n1C ZINC001421438063 926750347 /nfs/dbraw/zinc/75/03/47/926750347.db2.gz MYSDQVNQHAZBLO-SECBINFHSA-N 0 1 286.763 0.976 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN1C(=O)Cc1c[nH]cn1 ZINC001358591287 926759178 /nfs/dbraw/zinc/75/91/78/926759178.db2.gz KHDDPPIFVOESAB-GFCCVEGCSA-N 0 1 276.340 0.636 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(CNCc2ncnn2C)CCCC1 ZINC001421508471 926783504 /nfs/dbraw/zinc/78/35/04/926783504.db2.gz QNTPJHOSVWEFSB-LLVKDONJSA-N 0 1 290.371 0.493 20 30 CCEDMN C#CCCCCC(=O)NC1(CNC(=O)c2cnn[nH]2)CC1 ZINC001358675398 926787612 /nfs/dbraw/zinc/78/76/12/926787612.db2.gz NAMDAFQQJJXYPY-UHFFFAOYSA-N 0 1 289.339 0.377 20 30 CCEDMN C=CCCC(=O)NC1(CNC(=O)CCc2c[nH]nn2)CC1 ZINC001358694720 926791852 /nfs/dbraw/zinc/79/18/52/926791852.db2.gz QBFZAXBBXOETRZ-UHFFFAOYSA-N 0 1 291.355 0.469 20 30 CCEDMN C=CCCC(=O)NC1(CNC(=O)CCc2cnn[nH]2)CC1 ZINC001358694720 926791858 /nfs/dbraw/zinc/79/18/58/926791858.db2.gz QBFZAXBBXOETRZ-UHFFFAOYSA-N 0 1 291.355 0.469 20 30 CCEDMN C[C@H](NC[C@H](O)CC#N)c1cccc(S(N)(=O)=O)c1 ZINC001421656477 926832407 /nfs/dbraw/zinc/83/24/07/926832407.db2.gz UVWBXIOBNAKIQA-GXSJLCMTSA-N 0 1 283.353 0.259 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)[C@H]2CCCO2)CCO1 ZINC001421789456 926911919 /nfs/dbraw/zinc/91/19/19/926911919.db2.gz UYZQCFWYBYASDZ-VXGBXAGGSA-N 0 1 288.775 0.735 20 30 CCEDMN C[N@@H+](Cc1cc(=O)n2[n-]cc(C#N)c2n1)CC1(C)COC1 ZINC001422059838 927054123 /nfs/dbraw/zinc/05/41/23/927054123.db2.gz RKJPWQLTIGIQDL-UHFFFAOYSA-N 0 1 287.323 0.775 20 30 CCEDMN COc1cc(CNC(=O)C2=NC(=O)N(C)C2)ccc1C#N ZINC001361643417 927215014 /nfs/dbraw/zinc/21/50/14/927215014.db2.gz KMVOKUJAGBDVES-UHFFFAOYSA-N 0 1 286.291 0.936 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1c[nH]c(=O)cn1 ZINC001422374144 927248719 /nfs/dbraw/zinc/24/87/19/927248719.db2.gz FSMXUMMUCSXCBD-RKDXNWHRSA-N 0 1 284.747 0.619 20 30 CCEDMN COc1cc(CNC[C@@H](C)NC(=O)[C@@H](C)C#N)sn1 ZINC001422471228 927294901 /nfs/dbraw/zinc/29/49/01/927294901.db2.gz QKRODPGNLISGFD-DTWKUNHWSA-N 0 1 282.369 0.906 20 30 CCEDMN COc1cccc(CNC[C@H](C)NC(=O)[C@H](C)C#N)n1 ZINC001422632394 927429164 /nfs/dbraw/zinc/42/91/64/927429164.db2.gz OAFMYDQCIWQFHB-MNOVXSKESA-N 0 1 276.340 0.844 20 30 CCEDMN C[C@@H](CC#N)NC(=O)c1nc[nH]c(=O)c1Br ZINC001361950081 927470570 /nfs/dbraw/zinc/47/05/70/927470570.db2.gz PJHZHZBZOBZORZ-YFKPBYRVSA-N 0 1 285.101 0.977 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)N1CCC[N@H+](C)CC1 ZINC001362000165 927511779 /nfs/dbraw/zinc/51/17/79/927511779.db2.gz LGJOEKJSJGUKAT-UHFFFAOYSA-N 0 1 274.324 0.745 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CN1CCCC1=O ZINC001423021144 927630287 /nfs/dbraw/zinc/63/02/87/927630287.db2.gz FROCYZKGNYCEJK-LLVKDONJSA-N 0 1 287.791 0.798 20 30 CCEDMN C=C(Cl)CNC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC001362184344 927705921 /nfs/dbraw/zinc/70/59/21/927705921.db2.gz OAEFOPJJZPKINE-UHFFFAOYSA-N 0 1 290.728 0.522 20 30 CCEDMN CCC(CC)(NC(=O)Cc1ccc(C#N)nc1)c1nn[nH]n1 ZINC001362494259 928098482 /nfs/dbraw/zinc/09/84/82/928098482.db2.gz GRWNXJZTDFVAOV-UHFFFAOYSA-N 0 1 299.338 0.841 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CCNC(=O)NC ZINC001424757096 928279605 /nfs/dbraw/zinc/27/96/05/928279605.db2.gz IQXVISFOZIUTHN-UWVGGRQHSA-N 0 1 290.795 0.541 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CN(C)C(C)=O ZINC001424771434 928287121 /nfs/dbraw/zinc/28/71/21/928287121.db2.gz OBMHUJCFANMXBT-UWVGGRQHSA-N 0 1 275.780 0.700 20 30 CCEDMN N#Cc1cc(C(=O)N2CCSC[C@H]2c2nn[nH]n2)co1 ZINC001362667323 928328085 /nfs/dbraw/zinc/32/80/85/928328085.db2.gz GSNYMCFTLULYGK-VIFPVBQESA-N 0 1 290.308 0.595 20 30 CCEDMN Cc1csc(CNC[C@H](O)CN(C)C(=O)[C@@H](C)C#N)n1 ZINC001425978144 928723244 /nfs/dbraw/zinc/72/32/44/928723244.db2.gz CMLJAEFILWVKDT-ONGXEEELSA-N 0 1 296.396 0.520 20 30 CCEDMN N#Cc1nc(NC(=O)CC[N@@H+]2CC[C@@H](F)C2)[n-]c1C#N ZINC001363161321 928934917 /nfs/dbraw/zinc/93/49/17/928934917.db2.gz RDQNVNVTLRDKBV-MRVPVSSYSA-N 0 1 276.275 0.525 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)NC[C@H](O)c2cnc[nH]2)cc1 ZINC001363416749 929205181 /nfs/dbraw/zinc/20/51/81/929205181.db2.gz QKPDZEMFHLJDMB-QWHCGFSZSA-N 0 1 286.291 0.165 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CNCc1n[nH]c(CO)n1 ZINC001363429777 929216994 /nfs/dbraw/zinc/21/69/94/929216994.db2.gz UGFBNQXHJIKTAV-UHFFFAOYSA-N 0 1 286.339 0.524 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H]2CN(C)C(=O)[C@H](C)C#N)[nH]1 ZINC001429037217 929366891 /nfs/dbraw/zinc/36/68/91/929366891.db2.gz ONSMVQYCGAYKJK-KOLCDFICSA-N 0 1 289.339 0.551 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1CCC(=O)N1C ZINC001443967262 929754066 /nfs/dbraw/zinc/75/40/66/929754066.db2.gz NLYBBAOYNJTTLO-QWRGUYRKSA-N 0 1 287.791 0.844 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](O)CNCc1c(F)cccc1F ZINC001445149803 930051938 /nfs/dbraw/zinc/05/19/38/930051938.db2.gz HFZHMIBEYOQTNU-NXEZZACHSA-N 0 1 297.305 0.691 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cnc(OC)nc1 ZINC001445471213 930141552 /nfs/dbraw/zinc/14/15/52/930141552.db2.gz TWJCXOKJKLWADN-SECBINFHSA-N 0 1 284.747 0.946 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H](C)NC(=O)Cc1n[nH]c(C)n1 ZINC001445721403 930230039 /nfs/dbraw/zinc/23/00/39/930230039.db2.gz VOUSLGTYLZXKTA-SECBINFHSA-N 0 1 285.779 0.845 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)CNC(=O)OC)C1CC1 ZINC001445934695 930290731 /nfs/dbraw/zinc/29/07/31/930290731.db2.gz LHSFIKYFIVLHDZ-JTQLQIEISA-N 0 1 289.763 0.579 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@H](C)C#N)NCc1cnns1 ZINC001374414233 930503396 /nfs/dbraw/zinc/50/33/96/930503396.db2.gz ILZNTCCUVXEPMN-MWLCHTKSSA-N 0 1 281.385 0.928 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ncoc1C1CC1 ZINC001374663985 930610539 /nfs/dbraw/zinc/61/05/39/930610539.db2.gz WHWYTGFCVMJKKB-JTQLQIEISA-N 0 1 299.758 0.985 20 30 CCEDMN CC(C)c1cc(C(=O)N2CC(NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001374751223 930645415 /nfs/dbraw/zinc/64/54/15/930645415.db2.gz QPZNOALUCWFFPN-SECBINFHSA-N 0 1 289.339 0.633 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cn(C)c(=O)[nH]1 ZINC001374812196 930675693 /nfs/dbraw/zinc/67/56/93/930675693.db2.gz VKRCHXORWGJPJL-MRVPVSSYSA-N 0 1 272.736 0.586 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)CCn1ccnn1)C1CC1 ZINC001375216971 930821233 /nfs/dbraw/zinc/82/12/33/930821233.db2.gz JCMHMJITRAFYTP-LBPRGKRZSA-N 0 1 297.790 0.905 20 30 CCEDMN CC1(C(=O)NC[C@H](CO)NCc2ccc(C#N)s2)CC1 ZINC001448828231 931000191 /nfs/dbraw/zinc/00/01/91/931000191.db2.gz VCPGZOKTYJFIMJ-SNVBAGLBSA-N 0 1 293.392 0.987 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N(C)CCNC(=O)[C@@H](C)C#N ZINC001449198005 931100228 /nfs/dbraw/zinc/10/02/28/931100228.db2.gz XHCMJSFKUKAPFO-MNOVXSKESA-N 0 1 291.355 0.323 20 30 CCEDMN Cc1nc(CN(C)CCN(C(=O)[C@H](C)C#N)C(C)C)n[nH]1 ZINC001449253961 931112147 /nfs/dbraw/zinc/11/21/47/931112147.db2.gz ARIJKXJQBJVIEB-LLVKDONJSA-N 0 1 292.387 0.942 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](C)NC(C)=O)C1 ZINC001376304513 931116203 /nfs/dbraw/zinc/11/62/03/931116203.db2.gz ILNUVVILFDEGCL-KOLCDFICSA-N 0 1 273.764 0.454 20 30 CCEDMN CCc1nc([C@H](C)N(C)CCNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001377129342 931396181 /nfs/dbraw/zinc/39/61/81/931396181.db2.gz KGCMJAXNXBIAQI-UWVGGRQHSA-N 0 1 278.360 0.636 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001451589463 931458190 /nfs/dbraw/zinc/45/81/90/931458190.db2.gz ICDPFBWZPSXNJQ-CQSZACIVSA-N 0 1 287.323 0.810 20 30 CCEDMN C[N@H+]1CCC[C@@H](CCNC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC001610950812 970802747 /nfs/dbraw/zinc/80/27/47/970802747.db2.gz VTXOESMTCYTEJO-FZMZJTMJSA-N 0 1 281.356 0.839 20 30 CCEDMN C#C[C@@H]1CCC[N@@H+](CN2C[C@H](C(=O)[O-])CC2=O)C1 ZINC001602675353 971247782 /nfs/dbraw/zinc/24/77/82/971247782.db2.gz RUVLQVJXNMEGKG-GHMZBOCLSA-N 0 1 250.298 0.222 20 30 CCEDMN C#C[C@@H]1CCC[N@H+](CN2C[C@H](C(=O)[O-])CC2=O)C1 ZINC001602675353 971247784 /nfs/dbraw/zinc/24/77/84/971247784.db2.gz RUVLQVJXNMEGKG-GHMZBOCLSA-N 0 1 250.298 0.222 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCC[N@@H+]1CCC[C@@H](C)C1 ZINC001602685915 971284485 /nfs/dbraw/zinc/28/44/85/971284485.db2.gz LCOZBEDMCGTYCW-GFCCVEGCSA-N 0 1 281.356 0.448 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]([C@@H](C)C(=O)[O-])C2CC2)C1=O ZINC001602722679 971388226 /nfs/dbraw/zinc/38/82/26/971388226.db2.gz GCMSOZNYWJLXKL-ONGXEEELSA-N 0 1 252.314 0.711 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]([C@@H](C)C(=O)[O-])C2CC2)C1=O ZINC001602722679 971388229 /nfs/dbraw/zinc/38/82/29/971388229.db2.gz GCMSOZNYWJLXKL-ONGXEEELSA-N 0 1 252.314 0.711 20 30 CCEDMN C[C@H]1[C@H](Nc2cnc(-c3nnn[n-]3)c(C#N)n2)CC[N@H+]1C ZINC001575922930 950653473 /nfs/dbraw/zinc/65/34/73/950653473.db2.gz AZFVQDSSEILIMB-JGVFFNPUSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@H]1[C@H](Nc2cnc(-c3nn[n-]n3)c(C#N)n2)CC[N@@H+]1C ZINC001575922930 950653505 /nfs/dbraw/zinc/65/35/05/950653505.db2.gz AZFVQDSSEILIMB-JGVFFNPUSA-N 0 1 285.315 0.033 20 30 CCEDMN C[C@H]1[C@H](Nc2cnc(-c3nn[n-]n3)c(C#N)n2)CC[N@H+]1C ZINC001575922930 950653519 /nfs/dbraw/zinc/65/35/19/950653519.db2.gz AZFVQDSSEILIMB-JGVFFNPUSA-N 0 1 285.315 0.033 20 30 CCEDMN CC[N@@H+]1[C@H](C)CN(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@@H]1C ZINC001589882598 950696260 /nfs/dbraw/zinc/69/62/60/950696260.db2.gz QSXIRWCFWQFQQJ-WDMOLILDSA-N 0 1 281.356 0.932 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1C[C@@H](C)C[C@H](C(=O)[O-])C1 ZINC000399055096 951630309 /nfs/dbraw/zinc/63/03/09/951630309.db2.gz HNZVWPZADHXMRG-DCAQKATOSA-N 0 1 252.314 0.167 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC001589280157 953491066 /nfs/dbraw/zinc/49/10/66/953491066.db2.gz WPMIFBHUTDTBOM-WOPDTQHZSA-N 0 1 293.367 0.980 20 30 CCEDMN N#CCNC(=O)C[N@H+]1C[C@@H](c2ccccc2)[C@H](C(=O)[O-])C1 ZINC001594610023 956198736 /nfs/dbraw/zinc/19/87/36/956198736.db2.gz OZVCGQRNOXEBOZ-QWHCGFSZSA-N 0 1 287.319 0.426 20 30 CCEDMN COCC[N@@H+](C)CCCNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC001593995522 956887820 /nfs/dbraw/zinc/88/78/20/956887820.db2.gz FQWHVFSCZNCKIQ-CYBMUJFWSA-N 0 1 285.344 0.075 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@H](C)C[N@H+]1CCC[C@@H](C)C1 ZINC001588407644 958100911 /nfs/dbraw/zinc/10/09/11/958100911.db2.gz SOIGULKCLJTUJI-CHWSQXEVSA-N 0 1 295.383 0.836 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC[C@H]1CCCC[N@H+]1C1CC1 ZINC001588408639 958114564 /nfs/dbraw/zinc/11/45/64/958114564.db2.gz WFLRUCKLWCCPKR-CYBMUJFWSA-N 0 1 293.367 0.733 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N(C)CCC(=O)[O-])C1 ZINC001588430582 958257309 /nfs/dbraw/zinc/25/73/09/958257309.db2.gz NZFJESBDWPCXMZ-NSHDSACASA-N 0 1 267.329 0.200 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N(C)CCC(=O)[O-])C1 ZINC001588430582 958257332 /nfs/dbraw/zinc/25/73/32/958257332.db2.gz NZFJESBDWPCXMZ-NSHDSACASA-N 0 1 267.329 0.200 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)C2(C(=O)[O-])CCOCC2)CC1 ZINC001588430808 958259973 /nfs/dbraw/zinc/25/99/73/958259973.db2.gz OZCDTSNWPUPSJX-UHFFFAOYSA-N 0 1 294.351 0.035 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)C2(C(=O)[O-])CCOCC2)CC1 ZINC001588430808 958259984 /nfs/dbraw/zinc/25/99/84/958259984.db2.gz OZCDTSNWPUPSJX-UHFFFAOYSA-N 0 1 294.351 0.035 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)NC[C@@H](C)C(=O)[O-])C1 ZINC001588431530 958270675 /nfs/dbraw/zinc/27/06/75/958270675.db2.gz ZWEBMBWKVFQOCH-MNOVXSKESA-N 0 1 267.329 0.104 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)NC[C@@H](C)C(=O)[O-])C1 ZINC001588431530 958270690 /nfs/dbraw/zinc/27/06/90/958270690.db2.gz ZWEBMBWKVFQOCH-MNOVXSKESA-N 0 1 267.329 0.104 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC[N@H+]1C ZINC001588963347 962636797 /nfs/dbraw/zinc/63/67/97/962636797.db2.gz POVPFNLIDWNERW-RISCZKNCSA-N 0 1 281.356 0.790 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H]2CN(C(=O)CNC(=O)[O-])CC[C@H]21 ZINC001573302089 962644135 /nfs/dbraw/zinc/64/41/35/962644135.db2.gz PYGSILNBKRIWOC-NWDGAFQWSA-N 0 1 279.340 0.200 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)CNC(=O)[O-])[C@H]1C ZINC001573302690 962659133 /nfs/dbraw/zinc/65/91/33/962659133.db2.gz ZCHRMDCCJWTESC-GHMZBOCLSA-N 0 1 267.329 0.246 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[N@H+](C)CC1(C)C ZINC001573332978 962847631 /nfs/dbraw/zinc/84/76/31/962847631.db2.gz UUWYOUYAXNHKDP-UHFFFAOYSA-N 0 1 254.330 0.960 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@H]2[C@H]1C(=O)[O-] ZINC000299994095 962960486 /nfs/dbraw/zinc/96/04/86/962960486.db2.gz MNWLXCOBMOZDTD-UMNHJUIQSA-N 0 1 252.314 0.474 20 30 CCEDMN C=CCC[C@@H](C)[N@@H+](C)CCNC(=O)CNC(=O)[O-] ZINC001573353520 963003633 /nfs/dbraw/zinc/00/36/33/963003633.db2.gz XUQXUJBUWDPDIY-SNVBAGLBSA-N 0 1 257.334 0.657 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2CC[C@H](NC(=O)CNC(=O)[O-])C[C@H]2C1 ZINC001573369033 963090651 /nfs/dbraw/zinc/09/06/51/963090651.db2.gz VOSLONLUPNXUGY-SRVKXCTJSA-N 0 1 281.356 0.657 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001604127436 972536579 /nfs/dbraw/zinc/53/65/79/972536579.db2.gz WLFSPSNQJAYXNO-NSHDSACASA-N 0 1 267.329 0.545 20 30 CCEDMN C#CC[C@@H]1CCC[N@H+](CC(=O)N[C@H](C(=O)[O-])C(C)C)C1 ZINC001588434886 983473454 /nfs/dbraw/zinc/47/34/54/983473454.db2.gz XASXMTKKQORUBP-OCCSQVGLSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CC[N@@H+](CC1CC1)[C@@H](CCC(=O)[O-])C(=O)OC ZINC001588467967 983499466 /nfs/dbraw/zinc/49/94/66/983499466.db2.gz JEHRKQLPUBWXHS-NSHDSACASA-N 0 1 253.298 0.738 20 30 CCEDMN C#CC[N@@H+](CCC)CCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001588469721 983502897 /nfs/dbraw/zinc/50/28/97/983502897.db2.gz ORFNYJRTRKJLLC-MNOVXSKESA-N 0 1 252.314 0.169 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1[C@H]2C[C@@H](C(=O)[O-])O[C@H]2CC[C@H]1C ZINC001588479286 983518848 /nfs/dbraw/zinc/51/88/48/983518848.db2.gz IGBXYHLSKAPUFR-VOAKCMCISA-N 0 1 294.351 0.221 20 30 CCEDMN C=C(C)C[C@H](CO)[NH2+]C1CCN(CC(=O)[O-])CC1 ZINC001588537658 983601154 /nfs/dbraw/zinc/60/11/54/983601154.db2.gz VDSOMFWIFUKQKO-GFCCVEGCSA-N 0 1 256.346 0.452 20 30 CCEDMN C=C(C)C[NH+]1CCN(C(=O)[C@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588585418 983663656 /nfs/dbraw/zinc/66/36/56/983663656.db2.gz QNZIIURZWFETAQ-QWRGUYRKSA-N 0 1 252.314 0.427 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC(CN2CC[NH+](C)CC2)C1 ZINC001588612229 983702320 /nfs/dbraw/zinc/70/23/20/983702320.db2.gz LFTOYEPGFCXZDS-UHFFFAOYSA-N 0 1 295.383 0.113 20 30 CCEDMN CC[N@@H+](CCC(=O)N(C)CCC#N)C(C)(C)C(=O)[O-] ZINC001596299867 983726931 /nfs/dbraw/zinc/72/69/31/983726931.db2.gz GPRJQRCXQPEZGD-UHFFFAOYSA-N 0 1 269.345 0.934 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NCc1c[nH+]c2n1CCC2 ZINC001588629528 983737780 /nfs/dbraw/zinc/73/77/80/983737780.db2.gz DNLNXBFYKNHEDV-UHFFFAOYSA-N 0 1 263.297 0.867 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](C(=O)NCC2CC2)C1 ZINC001588662545 983789704 /nfs/dbraw/zinc/78/97/04/983789704.db2.gz BMFROKOKUUUXSQ-RYUDHWBXSA-N 0 1 266.341 0.864 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CC[C@@](C(N)=O)(c2ccccc2)C1 ZINC001588663608 983796215 /nfs/dbraw/zinc/79/62/15/983796215.db2.gz OMIAYSRIQRZSAL-WFASDCNBSA-N 0 1 274.320 0.755 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001588663845 983796974 /nfs/dbraw/zinc/79/69/74/983796974.db2.gz QSISXBQPPSMNHN-MWLCHTKSSA-N 0 1 252.314 0.616 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[NH+]1CCN(C(=O)CN2CCCC2)CC1 ZINC001588729794 983993796 /nfs/dbraw/zinc/99/37/96/983993796.db2.gz NFIOHZGZRINAQA-CYBMUJFWSA-N 0 1 295.383 0.256 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@H](CS(=O)(=O)NC)C1 ZINC001588731101 984004872 /nfs/dbraw/zinc/00/48/72/984004872.db2.gz ZCRRYWYVDGCXII-WDEREUQCSA-N 0 1 290.385 0.277 20 30 CCEDMN C=CC[C@H](C(=O)OC)[NH+]1CCC([C@@H](O)C(=O)[O-])CC1 ZINC001588732012 984015436 /nfs/dbraw/zinc/01/54/36/984015436.db2.gz UFZQZMPXFMXJOE-GHMZBOCLSA-N 0 1 271.313 0.262 20 30 CCEDMN C=CCCC[NH+]1CC(CCO)(NC(=O)[C@H]2C[C@H]2C(=O)[O-])C1 ZINC001588801469 984245402 /nfs/dbraw/zinc/24/54/02/984245402.db2.gz MJNYAERZBUOEQR-NWDGAFQWSA-N 0 1 296.367 0.226 20 30 CCEDMN C=CCCC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001588801717 984247090 /nfs/dbraw/zinc/24/70/90/984247090.db2.gz WECLTPPNDYYQRE-FHUSYTEZSA-N 0 1 278.352 0.720 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001588808532 984262280 /nfs/dbraw/zinc/26/22/80/984262280.db2.gz HHGGWCDULSTJQX-MWLCHTKSSA-N 0 1 281.312 0.503 20 30 CCEDMN C=CC[N@@H+](CCc1ccco1)[C@@H](C)C(=O)NCC(=O)[O-] ZINC001588829181 984312579 /nfs/dbraw/zinc/31/25/79/984312579.db2.gz HIKGHLIKECUTRS-NSHDSACASA-N 0 1 280.324 0.899 20 30 CCEDMN C=CC[N@H+](CCc1ccco1)[C@@H](C)C(=O)NCC(=O)[O-] ZINC001588829181 984312582 /nfs/dbraw/zinc/31/25/82/984312582.db2.gz HIKGHLIKECUTRS-NSHDSACASA-N 0 1 280.324 0.899 20 30 CCEDMN C=CCN1CC[C@H]([N@H+](CC(=O)[O-])[C@H](C)CC)C1=O ZINC001588838471 984338233 /nfs/dbraw/zinc/33/82/33/984338233.db2.gz GAQMBXMORHDDBZ-MNOVXSKESA-N 0 1 254.330 0.958 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)C1=O ZINC001588839029 984343853 /nfs/dbraw/zinc/34/38/53/984343853.db2.gz NGONJKXPVNUJKK-BXUZGUMPSA-N 0 1 293.323 0.079 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CC[C@@]3(CC(C(=O)[O-])=NO3)C2)C1=O ZINC001588839029 984343861 /nfs/dbraw/zinc/34/38/61/984343861.db2.gz NGONJKXPVNUJKK-BXUZGUMPSA-N 0 1 293.323 0.079 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CC[C@@](F)(C(=O)[O-])C2)C1=O ZINC001588840114 984351956 /nfs/dbraw/zinc/35/19/56/984351956.db2.gz ZSXKGFUXYWNHEM-SKDRFNHKSA-N 0 1 256.277 0.272 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CC[C@@](F)(C(=O)[O-])C2)C1=O ZINC001588840114 984351963 /nfs/dbraw/zinc/35/19/63/984351963.db2.gz ZSXKGFUXYWNHEM-SKDRFNHKSA-N 0 1 256.277 0.272 20 30 CCEDMN C=CCONC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC001588868530 984433102 /nfs/dbraw/zinc/43/31/02/984433102.db2.gz JFQVDCUQDPOZCS-SNVBAGLBSA-N 0 1 271.317 0.294 20 30 CCEDMN C=CCOCC[N@@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001588869001 984436936 /nfs/dbraw/zinc/43/69/36/984436936.db2.gz JYGYXPIVNKWCNA-UHFFFAOYSA-N 0 1 251.286 0.669 20 30 CCEDMN C=CCOCC[N@H+]1CCc2[nH]nc(C(=O)[O-])c2C1 ZINC001588869001 984436938 /nfs/dbraw/zinc/43/69/38/984436938.db2.gz JYGYXPIVNKWCNA-UHFFFAOYSA-N 0 1 251.286 0.669 20 30 CCEDMN C[C@H](C(=O)NCC(=O)[O-])[N@@H+]1CCC[C@@H](CC#N)C1 ZINC001589396656 986524420 /nfs/dbraw/zinc/52/44/20/986524420.db2.gz IYGRSLFYEFUVIH-ZJUUUORDSA-N 0 1 253.302 0.201 20 30 CCEDMN C[C@H](C(=O)NCC(=O)[O-])[N@H+]1CCC[C@@H](CC#N)C1 ZINC001589396656 986524427 /nfs/dbraw/zinc/52/44/27/986524427.db2.gz IYGRSLFYEFUVIH-ZJUUUORDSA-N 0 1 253.302 0.201 20 30 CCEDMN C[N@@H+](CCNC(=O)c1cc(C#N)ccc1F)CC(=O)[O-] ZINC001598492368 992314977 /nfs/dbraw/zinc/31/49/77/992314977.db2.gz KMCWZPSTXVEYBZ-UHFFFAOYSA-N 0 1 279.271 0.444 20 30 CCEDMN C[N@H+]1CCN(C(=O)N[C@@H]2CC[C@H](C#N)C2)C[C@H](C(=O)[O-])C1 ZINC001598611843 993791128 /nfs/dbraw/zinc/79/11/28/993791128.db2.gz ZVJAWYBFJPKQST-QJPTWQEYSA-N 0 1 294.355 0.336 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](CCC(C)(C)C#N)C[C@H]1C(=O)[O-] ZINC001598824339 996365679 /nfs/dbraw/zinc/36/56/79/996365679.db2.gz ZRVMMUPXVIFVAP-ZJUUUORDSA-N 0 1 268.313 0.732 20 30 CCEDMN COC[C@]1(C(=O)[O-])CCC[N@H+]1CCC(=O)N(C)CCC#N ZINC001599193433 997170582 /nfs/dbraw/zinc/17/05/82/997170582.db2.gz MHPJMNINOVZCIB-AWEZNQCLSA-N 0 1 297.355 0.314 20 30 CCEDMN CO[C@@H]1CC[N@@H+](CCO[C@H](C)C#N)[C@H](C(=O)[O-])C1 ZINC001599124982 997823921 /nfs/dbraw/zinc/82/39/21/997823921.db2.gz XOTYFUONOWSANC-MXWKQRLJSA-N 0 1 256.302 0.479 20 30 CCEDMN COC[C@]1(C)CN(CCC(=O)N(C)CCC#N)CCO1 ZINC000599692848 361789677 /nfs/dbraw/zinc/78/96/77/361789677.db2.gz DTNNFXXAYIFATB-AWEZNQCLSA-N 0 1 283.372 0.486 20 30 CCEDMN N#Cc1cc(F)ccc1NC(=O)NCCN1CC[C@@H](O)C1 ZINC000599756261 361806457 /nfs/dbraw/zinc/80/64/57/361806457.db2.gz AWXGGMRMFGOJPE-GFCCVEGCSA-N 0 1 292.314 0.885 20 30 CCEDMN N#Cc1ccc(NC(=O)NCCN2CC[C@H](O)C2)cc1F ZINC000599788677 361814458 /nfs/dbraw/zinc/81/44/58/361814458.db2.gz QYAKLAJDOCKTIK-LBPRGKRZSA-N 0 1 292.314 0.885 20 30 CCEDMN CN(Cc1cc(C#N)cs1)C[C@@H](O)CN1CCOCC1 ZINC000093584035 349546831 /nfs/dbraw/zinc/54/68/31/349546831.db2.gz KCTYOZMQTUDHLJ-CYBMUJFWSA-N 0 1 295.408 0.745 20 30 CCEDMN N#Cc1ccc(CCNC(=O)NC[C@H]2COCCN2)cc1 ZINC000599937579 361834900 /nfs/dbraw/zinc/83/49/00/361834900.db2.gz GHOMVYBZLKCNGO-AWEZNQCLSA-N 0 1 288.351 0.388 20 30 CCEDMN COC(=O)[C@H](C)NC(=O)[C@H](C)NCc1cccc(C#N)c1 ZINC000601147082 362160243 /nfs/dbraw/zinc/16/02/43/362160243.db2.gz UMWVFCCYYZXACO-QWRGUYRKSA-N 0 1 289.335 0.714 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)[C@@H]1CCC[C@@H]1O ZINC000352670855 529826322 /nfs/dbraw/zinc/82/63/22/529826322.db2.gz GYRQILYYGHXQJC-OLZOCXBDSA-N 0 1 278.356 0.488 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CN1CC[C@@H](C)C1)C(=O)OC ZINC000601782570 362391119 /nfs/dbraw/zinc/39/11/19/362391119.db2.gz QKYNAFHXDQPYGP-VXGBXAGGSA-N 0 1 268.357 0.952 20 30 CCEDMN C[C@H](C#N)C(=O)Nc1cc(N2CCN(C)CC2)ccn1 ZINC000601805993 362398076 /nfs/dbraw/zinc/39/80/76/362398076.db2.gz PEDRQTFOKFLQJC-LLVKDONJSA-N 0 1 273.340 0.932 20 30 CCEDMN CCN1CCN(CC(=O)N(C)[C@@H]2CCC[C@H]2C#N)CC1 ZINC000601808914 362398900 /nfs/dbraw/zinc/39/89/00/362398900.db2.gz PORPMHWIGWUPKP-UONOGXRCSA-N 0 1 278.400 0.775 20 30 CCEDMN Cc1[nH]n(CCCN2CCOCC2)c(=O)c1CCC#N ZINC000601947030 362433672 /nfs/dbraw/zinc/43/36/72/362433672.db2.gz DMSKHDVQYQEYHN-CYBMUJFWSA-N 0 1 278.356 0.847 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCC(C)(C)CCC#N)C1 ZINC000353088477 530070421 /nfs/dbraw/zinc/07/04/21/530070421.db2.gz OFWSDNMVXMWACT-ZDUSSCGKSA-N 0 1 295.431 0.861 20 30 CCEDMN C[C@]1(C(=O)NCCc2ccc(C#N)cc2)CNCCO1 ZINC000602517986 362653595 /nfs/dbraw/zinc/65/35/95/362653595.db2.gz IZBGASPEONGAME-OAHLLOKOSA-N 0 1 273.336 0.595 20 30 CCEDMN C[C@@H]1OCC[C@H]1N(CCO)Cc1cccc(C#N)n1 ZINC000602683368 362746252 /nfs/dbraw/zinc/74/62/52/362746252.db2.gz VJPDRLAYSXZIIB-SMDDNHRTSA-N 0 1 261.325 0.925 20 30 CCEDMN CNS(=O)(=O)C1CCN(CC2(CC#N)CC2)CC1 ZINC000602781614 362797728 /nfs/dbraw/zinc/79/77/28/362797728.db2.gz DUDJWKBGCNWUTF-UHFFFAOYSA-N 0 1 271.386 0.694 20 30 CCEDMN C[C@@H]1CN(CCN2C[C@H](C)N(CC#N)[C@@H](C)C2)CCO1 ZINC000602855728 362841893 /nfs/dbraw/zinc/84/18/93/362841893.db2.gz WICAQCPTJPFEDF-SOUVJXGZSA-N 0 1 280.416 0.625 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCOC(C)(C)C1 ZINC000036232475 348161709 /nfs/dbraw/zinc/16/17/09/348161709.db2.gz QTXVGETZSDFOJX-UHFFFAOYSA-N 0 1 253.346 0.859 20 30 CCEDMN N#Cc1ccc(OCC(=O)NCc2nnc[nH]2)cc1 ZINC000037920869 348219264 /nfs/dbraw/zinc/21/92/64/348219264.db2.gz RVWJLDLAKDQJTD-UHFFFAOYSA-N 0 1 257.253 0.372 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)[C@@H](C)O1 ZINC000602884170 362863511 /nfs/dbraw/zinc/86/35/11/362863511.db2.gz VNTOYGDCPFIWKU-MRTMQBJTSA-N 0 1 263.301 0.401 20 30 CCEDMN C[C@@H](CO)C1CCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000602886113 362864123 /nfs/dbraw/zinc/86/41/23/362864123.db2.gz JGUINHSNWRMXGD-JTQLQIEISA-N 0 1 291.355 0.586 20 30 CCEDMN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000602885038 362864377 /nfs/dbraw/zinc/86/43/77/362864377.db2.gz YFASGURBAJUQAJ-PKIKSRDPSA-N 0 1 277.328 0.647 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@H]3COC[C@H]3C2)[nH]1 ZINC000602885522 362864929 /nfs/dbraw/zinc/86/49/29/362864929.db2.gz FVRXXUWVCRJJLP-MWLCHTKSSA-N 0 1 275.312 0.214 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCc3nccnc3C2)[nH]1 ZINC000602888390 362867429 /nfs/dbraw/zinc/86/74/29/362867429.db2.gz UKMQLXMWTQABTC-UHFFFAOYSA-N 0 1 283.295 0.094 20 30 CCEDMN Cc1nc(C(=O)NC2(c3nn[nH]n3)CCCC2)ccc1C#N ZINC000346317094 137278713 /nfs/dbraw/zinc/27/87/13/137278713.db2.gz BYYVJHPLZSRAGV-UHFFFAOYSA-N 0 1 297.322 0.974 20 30 CCEDMN C[C@H](CN(C)C(=O)c1ccc(C#N)o1)c1nn[nH]n1 ZINC000346561341 137293351 /nfs/dbraw/zinc/29/33/51/137293351.db2.gz ZWFAMCNORYEQAP-SSDOTTSWSA-N 0 1 260.257 0.540 20 30 CCEDMN COC[C@](C)(CO)NCC(=O)NCc1ccc(C#N)cc1 ZINC000602931076 362892861 /nfs/dbraw/zinc/89/28/61/362892861.db2.gz FTOIKVSROTVJQH-HNNXBMFYSA-N 0 1 291.351 0.161 20 30 CCEDMN COC[C@@](C)(CO)NCC(=O)N[C@](C)(C#N)C(C)C ZINC000602932144 362893669 /nfs/dbraw/zinc/89/36/69/362893669.db2.gz MYFRIIOTBGXQDB-CHWSQXEVSA-N 0 1 271.361 0.028 20 30 CCEDMN CCCN(CC(=O)NC(N)=O)Cc1ccc(C#N)cc1 ZINC000047740341 348387354 /nfs/dbraw/zinc/38/73/54/348387354.db2.gz NZQXJPWXDJDLGL-UHFFFAOYSA-N 0 1 274.324 0.965 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N[C@H](C)c1cnn(C)c1 ZINC000273392346 192136665 /nfs/dbraw/zinc/13/66/65/192136665.db2.gz PCPQLOXPCCEUSL-NXEZZACHSA-N 0 1 279.344 0.471 20 30 CCEDMN C[C@@H](CO)CN1CCN(c2ncccc2C#N)CC1 ZINC000120503551 349989353 /nfs/dbraw/zinc/98/93/53/349989353.db2.gz POJYKKSIQVXUHP-GFCCVEGCSA-N 0 1 260.341 0.704 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](O)[C@@H]2CCCC[C@@H]21 ZINC000602998073 362929202 /nfs/dbraw/zinc/92/92/02/362929202.db2.gz WWJJGONLFISTJJ-AGIUHOORSA-N 0 1 295.383 0.624 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)N1CCC(C(N)=O)CC1 ZINC000069875751 348864123 /nfs/dbraw/zinc/86/41/23/348864123.db2.gz FALVMIKUPWJCOX-XHDPSFHLSA-N 0 1 294.399 0.627 20 30 CCEDMN Cc1ccc(C#N)cc1S(=O)(=O)NCCN(C)C ZINC000070431761 348889008 /nfs/dbraw/zinc/88/90/08/348889008.db2.gz ZOKJXYFOLQEASC-UHFFFAOYSA-N 0 1 267.354 0.707 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@H]1[C@H](O)CC ZINC000074287431 348982514 /nfs/dbraw/zinc/98/25/14/348982514.db2.gz JPIBCTLXPAGDPT-NWDGAFQWSA-N 0 1 283.372 0.624 20 30 CCEDMN C=CCCCN(C)CC(=O)N[C@@H](C)C(=O)N(C)C ZINC000076737703 349090643 /nfs/dbraw/zinc/09/06/43/349090643.db2.gz VNCWCIGZOCLKAF-NSHDSACASA-N 0 1 255.362 0.477 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2ccccc2C#N)[C@@H](C)CN1 ZINC000086468788 349339774 /nfs/dbraw/zinc/33/97/74/349339774.db2.gz JRRWMVKXHGKAFY-MNOVXSKESA-N 0 1 279.365 0.929 20 30 CCEDMN CCOCCNCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000147744255 350470250 /nfs/dbraw/zinc/47/02/50/350470250.db2.gz FFAVUQASKVVWFP-UHFFFAOYSA-N 0 1 282.365 0.958 20 30 CCEDMN Cc1cc(C(=O)N2C[C@@H](C)N(CC#N)[C@H](C)C2)n[nH]1 ZINC000603099920 362963042 /nfs/dbraw/zinc/96/30/42/362963042.db2.gz NCGXSGGKBNEZNI-GHMZBOCLSA-N 0 1 261.329 0.777 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CCCCN2C)C[C@H](C)N1CC#N ZINC000603099727 362963097 /nfs/dbraw/zinc/96/30/97/362963097.db2.gz LALZVBCVFXRXIS-MJBXVCDLSA-N 0 1 278.400 0.915 20 30 CCEDMN CCOCC[C@H](O)CN1CCN([C@@H](C#N)C(C)C)CC1 ZINC000618289740 365974565 /nfs/dbraw/zinc/97/45/65/365974565.db2.gz UTZAYTVRPXEPAJ-GJZGRUSLSA-N 0 1 283.416 0.940 20 30 CCEDMN CNS(=O)(=O)CCN[C@H](C)c1cccc(C#N)c1 ZINC000187197854 351533980 /nfs/dbraw/zinc/53/39/80/351533980.db2.gz WRJVDTDIJBTOQV-SNVBAGLBSA-N 0 1 267.354 0.758 20 30 CCEDMN COc1ccc(CN2C[C@H](O)C[C@H]2CO)cc1C#N ZINC000248654962 352451738 /nfs/dbraw/zinc/45/17/38/352451738.db2.gz WAFFUBHYEFRCIT-QWHCGFSZSA-N 0 1 262.309 0.494 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H](C)[C@H](OC)C1 ZINC000252020670 352512850 /nfs/dbraw/zinc/51/28/50/352512850.db2.gz YRUIPOGBRUWYAP-SDDRHHMPSA-N 0 1 283.372 0.744 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](N3CC[C@H](CO)C3)C2=O)cc1 ZINC000266951631 352735457 /nfs/dbraw/zinc/73/54/57/352735457.db2.gz UIUBORRETIXDQO-ZFWWWQNUSA-N 0 1 285.347 0.978 20 30 CCEDMN C#CC[C@@H](NCc1cn(C)c(=O)n(C)c1=O)c1ccccc1 ZINC000270153638 352906552 /nfs/dbraw/zinc/90/65/52/352906552.db2.gz DGXAEASOKNXSJF-OAHLLOKOSA-N 0 1 297.358 0.938 20 30 CCEDMN CCN(CC(=O)NCc1ccc(C#N)cc1)[C@H](C)CO ZINC000292258181 198221370 /nfs/dbraw/zinc/22/13/70/198221370.db2.gz RGPPTQNKTNFXEJ-GFCCVEGCSA-N 0 1 275.352 0.877 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1ccc(C#N)cc1)c1nn[nH]n1 ZINC000274770373 353160723 /nfs/dbraw/zinc/16/07/23/353160723.db2.gz LVULSGUIFJBPHB-VIFPVBQESA-N 0 1 270.296 0.947 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N[C@H](C)c1cn[nH]c1 ZINC000275259108 353199902 /nfs/dbraw/zinc/19/99/02/353199902.db2.gz ZUBYXMAXOIIJQZ-RKDXNWHRSA-N 0 1 265.317 0.461 20 30 CCEDMN C[C@@H](O)CCNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000154303164 188134907 /nfs/dbraw/zinc/13/49/07/188134907.db2.gz JORBPANALKQHDT-SSDOTTSWSA-N 0 1 290.291 0.886 20 30 CCEDMN Cc1nsc(N2CCN(Cc3c[nH]nn3)CC2)c1C#N ZINC000295166248 199383626 /nfs/dbraw/zinc/38/36/26/199383626.db2.gz FNZMPJFVLIPPAG-UHFFFAOYSA-N 0 1 289.368 0.764 20 30 CCEDMN CCN1CCC[C@@H]1CNS(=O)(=O)c1ccc(C#N)o1 ZINC000286530872 353593688 /nfs/dbraw/zinc/59/36/88/353593688.db2.gz NYZPYETZZDAGAI-SNVBAGLBSA-N 0 1 283.353 0.914 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NCCC(=O)N[C@H](C)CC ZINC000296394303 353945631 /nfs/dbraw/zinc/94/56/31/353945631.db2.gz QQYQMBCSLSXWEA-CYBMUJFWSA-N 0 1 296.415 0.546 20 30 CCEDMN C[C@H](O)[C@H]1CCCCN1CC(=O)N(CCC#N)CCC#N ZINC000303973811 354100573 /nfs/dbraw/zinc/10/05/73/354100573.db2.gz AKCYBTVHHSYMRH-UONOGXRCSA-N 0 1 292.383 0.878 20 30 CCEDMN COc1cc(CN2CCC(O)(CO)CC2)ccc1C#N ZINC000313672581 354218576 /nfs/dbraw/zinc/21/85/76/354218576.db2.gz GZCGETJVNUKABR-UHFFFAOYSA-N 0 1 276.336 0.886 20 30 CCEDMN CN1CC[C@@H]2OCCN(C(=O)c3cnccc3C#N)[C@H]2C1 ZINC000332206765 354629201 /nfs/dbraw/zinc/62/92/01/354629201.db2.gz HPSUIVIVWXMQHC-KBPBESRZSA-N 0 1 286.335 0.498 20 30 CCEDMN C=CCn1c(SCC(=O)c2ccnn2C)n[nH]c1=O ZINC000655491882 412596208 /nfs/dbraw/zinc/59/62/08/412596208.db2.gz MBZFWAVRFBXSKJ-UHFFFAOYSA-N 0 1 279.325 0.878 20 30 CCEDMN C=CCNc1ncc(C(=O)NN2CC(=O)N(C)C2=O)s1 ZINC000271778657 191321399 /nfs/dbraw/zinc/32/13/99/191321399.db2.gz DBTYHSFSMQEIOZ-UHFFFAOYSA-N 0 1 295.324 0.280 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)NC[C@H]1CCCN1C ZINC000336916161 355225758 /nfs/dbraw/zinc/22/57/58/355225758.db2.gz MKXGIYRXPNGVER-WDEREUQCSA-N 0 1 274.390 0.006 20 30 CCEDMN CCCN1CCN(C(=O)[C@H](C)n2cnc(C#N)n2)CC1 ZINC000336927310 355227823 /nfs/dbraw/zinc/22/78/23/355227823.db2.gz XYVVMTFDSXMOCT-NSHDSACASA-N 0 1 276.344 0.265 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N(C)CCOCCO ZINC000337489641 355303302 /nfs/dbraw/zinc/30/33/02/355303302.db2.gz LXJSVWUORRPEHV-OCCSQVGLSA-N 0 1 285.388 0.370 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)NC[C@@H]1CCCN1C ZINC000337956373 355308389 /nfs/dbraw/zinc/30/83/89/355308389.db2.gz VGVORTPBQPKCBC-MNOVXSKESA-N 0 1 274.390 0.149 20 30 CCEDMN N#Cc1ccccc1N1CCCN([C@@H]2COC[C@H]2O)CC1 ZINC000559794555 291088183 /nfs/dbraw/zinc/08/81/83/291088183.db2.gz YXBSNCNIGFFCNO-HZPDHXFCSA-N 0 1 287.363 0.830 20 30 CCEDMN C[C@@H](O)CN1CCN(Cc2ccnc(C#N)c2)[C@@H](C)C1 ZINC000619721739 366341566 /nfs/dbraw/zinc/34/15/66/366341566.db2.gz KLWQFJIEZBHJFD-QWHCGFSZSA-N 0 1 274.368 0.840 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCC[C@H](CN2CCOCC2)C1 ZINC000619782493 366350892 /nfs/dbraw/zinc/35/08/92/366350892.db2.gz YFOSAIGUMILRBN-KGLIPLIRSA-N 0 1 282.384 0.758 20 30 CCEDMN N#Cc1csc(C(=O)NC[C@H]2CN3CCN2CCC3)c1 ZINC000619873343 366367328 /nfs/dbraw/zinc/36/73/28/366367328.db2.gz FBCOSKDEIYMORX-LBPRGKRZSA-N 0 1 290.392 0.739 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@H]1CCCN(CC#N)C1 ZINC000610485970 363565418 /nfs/dbraw/zinc/56/54/18/363565418.db2.gz UDHNSGNTHFLBNL-KGLIPLIRSA-N 0 1 278.400 0.822 20 30 CCEDMN C=C(CC)CN1CCC(NS(=O)(=O)NC)CC1 ZINC000361380914 357046692 /nfs/dbraw/zinc/04/66/92/357046692.db2.gz ZDOGBUYZBLHDST-UHFFFAOYSA-N 0 1 261.391 0.471 20 30 CCEDMN Cc1cccnc1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610527857 363572923 /nfs/dbraw/zinc/57/29/23/363572923.db2.gz SXABVPZXNDSZAX-UHFFFAOYSA-N 0 1 270.296 0.739 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CC[N@H+]3CCCC[C@H]23)[n-]1 ZINC000610564234 363579847 /nfs/dbraw/zinc/57/98/47/363579847.db2.gz MKEVUMDFUPSWCV-NWDGAFQWSA-N 0 1 288.355 0.462 20 30 CCEDMN C=CCOCCNC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000368428341 357332060 /nfs/dbraw/zinc/33/20/60/357332060.db2.gz FAEKCOFRGKAXSW-UONOGXRCSA-N 0 1 297.399 0.430 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](n3cncn3)C2)C1=O ZINC000374172455 357396519 /nfs/dbraw/zinc/39/65/19/357396519.db2.gz NKVFYASTRAKREH-RYUDHWBXSA-N 0 1 261.329 0.312 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H](OC)CC1 ZINC000374692670 357401486 /nfs/dbraw/zinc/40/14/86/357401486.db2.gz IBVPMTRSDBMCBX-LLVKDONJSA-N 0 1 269.345 0.499 20 30 CCEDMN CO[C@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)C1(C)C ZINC000610652611 363590578 /nfs/dbraw/zinc/59/05/78/363590578.db2.gz ZHPPRIMRBDUTKU-ZJUUUORDSA-N 0 1 277.328 0.649 20 30 CCEDMN Cc1cc(C(=O)N2CCN(c3cnccc3C#N)CC2)n[nH]1 ZINC000561429608 291129614 /nfs/dbraw/zinc/12/96/14/291129614.db2.gz PTRVGPDTLOASSW-UHFFFAOYSA-N 0 1 296.334 0.947 20 30 CCEDMN Cn1cnc(=NC(=O)N2CC(Oc3ccc(C#N)cc3)C2)[nH]1 ZINC000455584232 236345224 /nfs/dbraw/zinc/34/52/24/236345224.db2.gz KGXZZXJCBVERID-UHFFFAOYSA-N 0 1 298.306 0.404 20 30 CCEDMN C#CCNC(=O)CCNCc1nccn1CC(C)C ZINC000491672143 358296710 /nfs/dbraw/zinc/29/67/10/358296710.db2.gz SUWYERQHNNTCJT-UHFFFAOYSA-N 0 1 262.357 0.768 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC(C)(O)CC2)[nH]1 ZINC000610744226 363606644 /nfs/dbraw/zinc/60/66/44/363606644.db2.gz XKOQJJYVYGIYEL-UHFFFAOYSA-N 0 1 263.301 0.092 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2ccn3ccnc3c2)[nH]1 ZINC000610773233 363612520 /nfs/dbraw/zinc/61/25/20/363612520.db2.gz PTBGNXNKXUPYIE-UHFFFAOYSA-N 0 1 295.306 0.683 20 30 CCEDMN CC[C@H](CO)N(C)Cc1cnc2c(C#N)cnn2c1 ZINC000567888800 358605807 /nfs/dbraw/zinc/60/58/07/358605807.db2.gz RWGAPVFSNDVBGN-GFCCVEGCSA-N 0 1 259.313 0.804 20 30 CCEDMN CC[C@@H](CO)N(C)Cc1cnc2c(C#N)cnn2c1 ZINC000567888801 358605991 /nfs/dbraw/zinc/60/59/91/358605991.db2.gz RWGAPVFSNDVBGN-LBPRGKRZSA-N 0 1 259.313 0.804 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2cscc2C#N)C1 ZINC000567924742 358614996 /nfs/dbraw/zinc/61/49/96/358614996.db2.gz QMNGCQJVKXHDDR-NSHDSACASA-N 0 1 278.381 0.595 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)N1CCN[C@H](C)C1 ZINC000569938644 358806893 /nfs/dbraw/zinc/80/68/93/358806893.db2.gz ITVVIRHMTRZEND-LLVKDONJSA-N 0 1 289.335 0.766 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNc2ccc(C#N)c(N)n2)C1 ZINC000570343218 358848708 /nfs/dbraw/zinc/84/87/08/358848708.db2.gz ICNMERNQRJZETQ-ZDUSSCGKSA-N 0 1 289.383 0.726 20 30 CCEDMN CO[C@@H](CS(=O)(=O)Nc1ccc(C#N)cn1)C1CC1 ZINC000573490542 358973083 /nfs/dbraw/zinc/97/30/83/358973083.db2.gz NYTMWNKRHRBYSQ-NSHDSACASA-N 0 1 281.337 0.542 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@@H]2CN(CC(C)C)CCO2)n1 ZINC000573784133 358998089 /nfs/dbraw/zinc/99/80/89/358998089.db2.gz MWANKOASMDLVKQ-CQSZACIVSA-N 0 1 289.383 0.847 20 30 CCEDMN C[C@H]1CCN(CC(=O)N2CCSC[C@@H]2C#N)C1 ZINC000573932233 359013083 /nfs/dbraw/zinc/01/30/83/359013083.db2.gz GPSDZDGVDJYPFU-QWRGUYRKSA-N 0 1 253.371 0.796 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cc([N+](=O)[O-])n[nH]2)CC1 ZINC000586775717 359288918 /nfs/dbraw/zinc/28/89/18/359288918.db2.gz IUIHKAIBOUKMFK-UHFFFAOYSA-N 0 1 278.272 0.036 20 30 CCEDMN CC[C@H](CNC(=O)N=c1[nH]n(C)cc1C#N)OC ZINC000589332348 359379048 /nfs/dbraw/zinc/37/90/48/359379048.db2.gz CMISISQRFLXDFR-SECBINFHSA-N 0 1 251.290 0.260 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)c2cnccc2C#N)C1 ZINC000588753859 359335070 /nfs/dbraw/zinc/33/50/70/359335070.db2.gz QZSSMUZRDCAHJW-CYBMUJFWSA-N 0 1 288.351 0.794 20 30 CCEDMN N#CC1(C(=O)N2CC[C@H](N3CC[C@@H](O)C3)C2)CCCC1 ZINC000588860027 359343243 /nfs/dbraw/zinc/34/32/43/359343243.db2.gz BGNIJZCOLZBAAA-QWHCGFSZSA-N 0 1 277.368 0.738 20 30 CCEDMN CN1C[C@@H]2CCN(S(=O)(=O)c3cncc(C#N)c3)[C@@H]2C1 ZINC000589928833 359410135 /nfs/dbraw/zinc/41/01/35/359410135.db2.gz GDFYNHNSCMZTND-WCQYABFASA-N 0 1 292.364 0.278 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)CCCC#N)[C@H]2C1 ZINC000589930095 359410470 /nfs/dbraw/zinc/41/04/70/359410470.db2.gz SFCRRKNQRQJBQO-MNOVXSKESA-N 0 1 257.359 0.256 20 30 CCEDMN CCN1CCN([C@H](C)C(=O)N(C)CCC#N)C[C@@H]1C ZINC000611092555 363659899 /nfs/dbraw/zinc/65/98/99/363659899.db2.gz ZFVPDICGDUBPAP-QWHCGFSZSA-N 0 1 266.389 0.773 20 30 CCEDMN CN(C[C@@H]1CCC[C@H]1O)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611112030 363661041 /nfs/dbraw/zinc/66/10/41/363661041.db2.gz RZYHEUXVTUEEDE-GXSJLCMTSA-N 0 1 277.328 0.338 20 30 CCEDMN COCC1(NC(=O)N=c2[nH]n(C)cc2C#N)CCOCC1 ZINC000590121431 359425550 /nfs/dbraw/zinc/42/55/50/359425550.db2.gz KXQMFYKYBLZKHI-UHFFFAOYSA-N 0 1 293.327 0.031 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@H]2CCc3nc[nH]c3C2)n1 ZINC000589455302 359384063 /nfs/dbraw/zinc/38/40/63/359384063.db2.gz LVJOXKLFSPIHOV-VIFPVBQESA-N 0 1 267.292 0.964 20 30 CCEDMN N#CC1(CC(=O)N2CCN(C[C@@H]3CCOC3)CC2)CC1 ZINC000590294400 359436160 /nfs/dbraw/zinc/43/61/60/359436160.db2.gz QGBDLAUDHIQMOK-ZDUSSCGKSA-N 0 1 277.368 0.861 20 30 CCEDMN N#CC1CN(C(=O)CCN2CCN(c3ccccn3)CC2)C1 ZINC000590483825 359474210 /nfs/dbraw/zinc/47/42/10/359474210.db2.gz VHERTFANHQJHSF-UHFFFAOYSA-N 0 1 299.378 0.576 20 30 CCEDMN N#CCCN(CC1CC1)S(=O)(=O)c1ncc[nH]1 ZINC000590591031 359491602 /nfs/dbraw/zinc/49/16/02/359491602.db2.gz GZKRWEVBTXTLDS-UHFFFAOYSA-N 0 1 254.315 0.724 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2COCC2(C)C)[nH]1 ZINC000590819894 359527252 /nfs/dbraw/zinc/52/72/52/359527252.db2.gz ZKVHRUGSMJXAED-VIFPVBQESA-N 0 1 263.301 0.260 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)CS1 ZINC000591338147 359579446 /nfs/dbraw/zinc/57/94/46/359579446.db2.gz NHBDBZNYRIFHTJ-APPZFPTMSA-N 0 1 265.342 0.729 20 30 CCEDMN CN(C[C@H]1CCC[N@H+]1C)C(=O)N=c1[n-]n(C)cc1C#N ZINC000591483826 359597973 /nfs/dbraw/zinc/59/79/73/359597973.db2.gz DHXINVWHJSDEIG-LLVKDONJSA-N 0 1 276.344 0.272 20 30 CCEDMN CNC(=O)NC(=O)CN1CCC(CCC#N)CC1 ZINC000592135754 359762452 /nfs/dbraw/zinc/76/24/52/359762452.db2.gz IETIDWJILYRVCV-UHFFFAOYSA-N 0 1 252.318 0.458 20 30 CCEDMN Cc1noc(C)c1CCn1c(=O)[nH]cc(C#N)c1=O ZINC000592354384 359823296 /nfs/dbraw/zinc/82/32/96/359823296.db2.gz HZIJOYOHWJHHFV-UHFFFAOYSA-N 0 1 260.253 0.256 20 30 CCEDMN CC[C@@H](O)CNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000592429241 359836386 /nfs/dbraw/zinc/83/63/86/359836386.db2.gz APXXKNNRDODRIN-LLVKDONJSA-N 0 1 283.353 0.087 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H](c2nn[nH]n2)c2ccccc2)[nH]1 ZINC000611201588 363680802 /nfs/dbraw/zinc/68/08/02/363680802.db2.gz NGXWDTINJAFGCE-GFCCVEGCSA-N 0 1 293.290 0.919 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000611283189 363700675 /nfs/dbraw/zinc/70/06/75/363700675.db2.gz LIUXTFNANAVGDR-SCZZXKLOSA-N 0 1 263.301 0.403 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1CC[C@H]2CCN(C)C[C@H]21 ZINC000297858492 200292832 /nfs/dbraw/zinc/29/28/32/200292832.db2.gz OFKZENITCRCNNE-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN CCc1[nH]nc(C(=O)N2CC[C@@](O)(CC#N)C2)c1[N+](=O)[O-] ZINC000592841191 359950690 /nfs/dbraw/zinc/95/06/90/359950690.db2.gz SVROHNAMWJNNLC-LBPRGKRZSA-N 0 1 293.283 0.371 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC([C@@H](C)CO)CC1 ZINC000593085352 360031231 /nfs/dbraw/zinc/03/12/31/360031231.db2.gz UZJSBTFWJYHQSC-NWDGAFQWSA-N 0 1 297.399 0.727 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCC2(C#N)CCCC2)C1 ZINC000593341930 360069016 /nfs/dbraw/zinc/06/90/16/360069016.db2.gz ZTKHGTCWWRNOLT-ZDUSSCGKSA-N 0 1 293.415 0.615 20 30 CCEDMN C[C@@H](O)CN[C@H]1CC(=O)N(c2ccc(C#N)cc2)C1=O ZINC000593921165 360216277 /nfs/dbraw/zinc/21/62/77/360216277.db2.gz PZINIUBBUYAAAK-SKDRFNHKSA-N 0 1 273.292 0.161 20 30 CCEDMN Cn1cncc1CCCN1CCN(CCC#N)CC1 ZINC000593969181 360225134 /nfs/dbraw/zinc/22/51/34/360225134.db2.gz WYIDTICRLZEAQJ-UHFFFAOYSA-N 0 1 261.373 0.884 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C[C@@H](C)O)C1CC1 ZINC000594064284 360244507 /nfs/dbraw/zinc/24/45/07/360244507.db2.gz MJQRCOPQHIWKOR-NWDGAFQWSA-N 0 1 267.373 0.840 20 30 CCEDMN CCN(CC)C(=O)CN1CCC(NC(=O)[C@H](C)C#N)CC1 ZINC000594358214 360281965 /nfs/dbraw/zinc/28/19/65/360281965.db2.gz SNPNXPNQTILGLK-GFCCVEGCSA-N 0 1 294.399 0.595 20 30 CCEDMN C=CCn1c(=O)[nH]nc1SCC(=O)C1(C(=O)OC)CC1 ZINC000594449140 360315442 /nfs/dbraw/zinc/31/54/42/360315442.db2.gz VMWPKMDUCVOKJD-UHFFFAOYSA-N 0 1 297.336 0.784 20 30 CCEDMN Cc1cc(CNC(=O)Cc2noc(C)c2C#N)n[nH]1 ZINC000611528409 363734773 /nfs/dbraw/zinc/73/47/73/363734773.db2.gz PABTZYRZKNMPBM-UHFFFAOYSA-N 0 1 259.269 0.745 20 30 CCEDMN C=CCCn1cc(CNC2CCN(CC#N)CC2)nn1 ZINC000594921552 360415762 /nfs/dbraw/zinc/41/57/62/360415762.db2.gz CMYFLWPVDUUZPZ-UHFFFAOYSA-N 0 1 274.372 0.932 20 30 CCEDMN C[C@H]1CNCCN1C(=O)C(=O)NCC1(C#N)CCCCC1 ZINC000595278701 360476484 /nfs/dbraw/zinc/47/64/84/360476484.db2.gz SGGUEHMNSGJYLM-LBPRGKRZSA-N 0 1 292.383 0.397 20 30 CCEDMN N#Cc1ccc(N2CCN(C[C@@H]3CNC(=O)C3)CC2)nc1 ZINC000595294648 360486073 /nfs/dbraw/zinc/48/60/73/360486073.db2.gz ALZHACSTZQUVNM-ZDUSSCGKSA-N 0 1 285.351 0.211 20 30 CCEDMN C[C@@H]1CN(CCN2CCN(C(=O)CC#N)CC2)C[C@H](C)O1 ZINC000595513653 360558145 /nfs/dbraw/zinc/55/81/45/360558145.db2.gz YJYPTTMPYASAGN-OKILXGFUSA-N 0 1 294.399 0.153 20 30 CCEDMN CNC(=O)NC(=O)CNC(C)(C)c1cccc(C#N)c1 ZINC000595636555 360603135 /nfs/dbraw/zinc/60/31/35/360603135.db2.gz QHEVJBPFJQRYCK-UHFFFAOYSA-N 0 1 274.324 0.839 20 30 CCEDMN C=C1C[C@H]2CC[C@@H](C1)N2CC(=O)NCC(=O)OC ZINC000595842760 360676651 /nfs/dbraw/zinc/67/66/51/360676651.db2.gz UZGDQLMYTVHJKQ-PHIMTYICSA-N 0 1 252.314 0.459 20 30 CCEDMN C[C@@H](CC#N)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000596998713 360992103 /nfs/dbraw/zinc/99/21/03/360992103.db2.gz PLCZEOWCQJXYSS-ZETCQYMHSA-N 0 1 283.309 0.072 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)C2(N(C)C)CC2)CC1 ZINC000597048348 361000931 /nfs/dbraw/zinc/00/09/31/361000931.db2.gz DGDZAIVLYXBFTK-ZDUSSCGKSA-N 0 1 278.400 0.773 20 30 CCEDMN C=CCCSCCNC(=O)N=c1ncn(C)[nH]1 ZINC000596918107 360978901 /nfs/dbraw/zinc/97/89/01/360978901.db2.gz CGQOZFZVVLSHJX-UHFFFAOYSA-N 0 1 255.347 0.668 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc(CO)cc2)CC1 ZINC000597168480 361022120 /nfs/dbraw/zinc/02/21/20/361022120.db2.gz ZZTVDOKAENXMQC-UHFFFAOYSA-N 0 1 273.336 0.897 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)[C@@H](C)CC#N)CC2)n[nH]1 ZINC000597262221 361044542 /nfs/dbraw/zinc/04/45/42/361044542.db2.gz XVKKELGCDDTYRM-JTQLQIEISA-N 0 1 276.344 0.307 20 30 CCEDMN CCN(C(=O)C(=O)NCC(C)(C)CC#N)[C@H]1CCN(C)C1 ZINC000597341927 361066331 /nfs/dbraw/zinc/06/63/31/361066331.db2.gz ICCZTOXLIQIGMZ-LBPRGKRZSA-N 0 1 294.399 0.595 20 30 CCEDMN N#CCCCNC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597493796 361113697 /nfs/dbraw/zinc/11/36/97/361113697.db2.gz FNAMUCPOMRGVER-UHFFFAOYSA-N 0 1 283.309 0.073 20 30 CCEDMN CCN1CCN(CC(=O)N2CCC[C@@H](CC#N)C2)CC1 ZINC000597909614 361281493 /nfs/dbraw/zinc/28/14/93/361281493.db2.gz OGXFLGSFFDQJRN-AWEZNQCLSA-N 0 1 278.400 0.776 20 30 CCEDMN COC[C@]1(C(=O)OC)CCCN1C(=O)C(C)C#N ZINC000597957855 361291219 /nfs/dbraw/zinc/29/12/19/361291219.db2.gz KHIXFKUIOKRPCR-CABZTGNLSA-N 0 1 254.286 0.327 20 30 CCEDMN CCN(C(=O)CSc1nnc(C)[nH]1)[C@@H](C)C#N ZINC000598360082 361395943 /nfs/dbraw/zinc/39/59/43/361395943.db2.gz ROFJSQNGEHZMII-ZETCQYMHSA-N 0 1 253.331 0.966 20 30 CCEDMN CCN(C(=O)CSc1nc(C)n[nH]1)[C@@H](C)C#N ZINC000598360082 361395947 /nfs/dbraw/zinc/39/59/47/361395947.db2.gz ROFJSQNGEHZMII-ZETCQYMHSA-N 0 1 253.331 0.966 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CC[C@@](C)(C#N)C1 ZINC000598418350 361409331 /nfs/dbraw/zinc/40/93/31/361409331.db2.gz UQKOMHCRIJNAKR-OCCSQVGLSA-N 0 1 265.357 0.717 20 30 CCEDMN CC(C#N)C(=O)N=c1ccn(CC(=O)OC(C)(C)C)[nH]1 ZINC000598549184 361444392 /nfs/dbraw/zinc/44/43/92/361444392.db2.gz SVZCCEBTGYFOEG-SECBINFHSA-N 0 1 278.312 0.745 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@@H](C)[C@H](CO)C1 ZINC000598646776 361471748 /nfs/dbraw/zinc/47/17/48/361471748.db2.gz ZEMBCULYMBECEO-IPYPFGDCSA-N 0 1 281.400 0.991 20 30 CCEDMN C[C@@H]1CCN(C[C@@H](O)CC(C)(C)C#N)[C@H](C(N)=O)C1 ZINC000598609118 361458060 /nfs/dbraw/zinc/45/80/60/361458060.db2.gz YQEHWGIHGPCKIX-WOPDTQHZSA-N 0 1 267.373 0.873 20 30 CCEDMN COC(=O)c1cc(S(=O)(=O)N[C@@H]2CC[C@H](C#N)C2)on1 ZINC000599331553 361654343 /nfs/dbraw/zinc/65/43/43/361654343.db2.gz GTKKMNOOZCAUQE-JGVFFNPUSA-N 0 1 299.308 0.432 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nn1)N1CCN(C)CC1 ZINC000302002519 201294129 /nfs/dbraw/zinc/29/41/29/201294129.db2.gz RAPLGCLDUQNOJE-NSHDSACASA-N 0 1 260.345 0.396 20 30 CCEDMN CN(C[C@@H](O)COc1ccccc1C#N)C(C)(C)C(N)=O ZINC000599430844 361697542 /nfs/dbraw/zinc/69/75/42/361697542.db2.gz BASQTLNIOUAVDU-GFCCVEGCSA-N 0 1 291.351 0.494 20 30 CCEDMN C=CC[C@H]1CCN(Cc2cn(CC(=O)OC)nn2)C1 ZINC000599529237 361727542 /nfs/dbraw/zinc/72/75/42/361727542.db2.gz KPRYFSCWPJDOOC-NSHDSACASA-N 0 1 264.329 0.849 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC[C@@H](c2n[nH]c(C3CC3)n2)C1 ZINC000613150360 364131701 /nfs/dbraw/zinc/13/17/01/364131701.db2.gz AZKMCOMTPVVTDY-GHMZBOCLSA-N 0 1 276.340 0.935 20 30 CCEDMN CC(C)(C)[C@H](O)CN1CCN(C(=O)CC#N)CC1 ZINC000613937973 364444812 /nfs/dbraw/zinc/44/48/12/364444812.db2.gz USQBUZLLRADZOE-LLVKDONJSA-N 0 1 253.346 0.451 20 30 CCEDMN C=C[C@H](O)C(=O)NCCN(CC)CCC(F)(F)F ZINC000613974001 364452471 /nfs/dbraw/zinc/45/24/71/364452471.db2.gz GZLOTRQHZAWOJI-VIFPVBQESA-N 0 1 268.279 0.924 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000614387305 364591042 /nfs/dbraw/zinc/59/10/42/364591042.db2.gz AFUWJCQTDIANCQ-YUMQZZPRSA-N 0 1 253.258 0.028 20 30 CCEDMN Cc1onc(CC(=O)NCCN2CCCOCC2)c1C#N ZINC000615144615 364862426 /nfs/dbraw/zinc/86/24/26/364862426.db2.gz OFWMPEGVTRIBJS-UHFFFAOYSA-N 0 1 292.339 0.236 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CC[C@](F)(C#N)C2)C1 ZINC000615540608 364997735 /nfs/dbraw/zinc/99/77/35/364997735.db2.gz VTSQTTNOQGSHRH-AAEUAGOBSA-N 0 1 284.335 0.354 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N1CC[C@](F)(C#N)C1 ZINC000615825910 365097614 /nfs/dbraw/zinc/09/76/14/365097614.db2.gz OPQOETGPBZCLBY-YPMHNXCESA-N 0 1 269.320 0.419 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C(C)(C)c2c[nH]cn2)C1=O ZINC000621991163 366971485 /nfs/dbraw/zinc/97/14/85/366971485.db2.gz IHWJWQWPJFXESH-NSHDSACASA-N 0 1 290.367 0.933 20 30 CCEDMN C[C@H](O)[C@H]1CCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000566243378 291382799 /nfs/dbraw/zinc/38/27/99/291382799.db2.gz ULPNCAYJNPFIDN-JQWIXIFHSA-N 0 1 271.324 0.804 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2nccnn2)CC1 ZINC000625639647 368281907 /nfs/dbraw/zinc/28/19/07/368281907.db2.gz OMIOUUGMFWVUKY-LBPRGKRZSA-N 0 1 263.345 0.321 20 30 CCEDMN C=CC[C@H]1CCN(CC(=O)NCC(=O)NC(C)(C)C)C1 ZINC000625704046 368300087 /nfs/dbraw/zinc/30/00/87/368300087.db2.gz NBNIHPIRGSYIDP-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)NC[C@@H]2COCCN2)C1 ZINC000627191838 368862445 /nfs/dbraw/zinc/86/24/45/368862445.db2.gz WZICRNIQYROFRF-VXGBXAGGSA-N 0 1 253.346 0.582 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC(N2CCOCC2)CC1 ZINC000628122308 369186104 /nfs/dbraw/zinc/18/61/04/369186104.db2.gz RQUWDWXRKXOMNK-CQSZACIVSA-N 0 1 268.357 0.247 20 30 CCEDMN C=CCC1(C(=O)NCCN2CC[C@@H](O)C2)CCC1 ZINC000629170687 369546869 /nfs/dbraw/zinc/54/68/69/369546869.db2.gz WVPRQSKZUHJIJQ-GFCCVEGCSA-N 0 1 252.358 0.916 20 30 CCEDMN C=CC[C@@H]1CC[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000629500103 369684097 /nfs/dbraw/zinc/68/40/97/369684097.db2.gz VRYTXRGKMIHOPG-GHMZBOCLSA-N 0 1 252.314 0.570 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCN(Cc3nnc[nH]3)CC2)C1 ZINC000633579235 371606991 /nfs/dbraw/zinc/60/69/91/371606991.db2.gz XPNSBPRKQRIJDI-UHFFFAOYSA-N 0 1 275.356 0.805 20 30 CCEDMN COC(=O)[C@H](Cc1ccncc1)NC(=O)C(C)C#N ZINC000574531915 335027723 /nfs/dbraw/zinc/02/77/23/335027723.db2.gz UPLMMDJQOGJAFP-KOLCDFICSA-N 0 1 261.281 0.442 20 30 CCEDMN COC(=O)[C@@H](Cc1ccncc1)NC(=O)C(C)C#N ZINC000574531917 335027839 /nfs/dbraw/zinc/02/78/39/335027839.db2.gz UPLMMDJQOGJAFP-MWLCHTKSSA-N 0 1 261.281 0.442 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NCc1ccc(S(N)(=O)=O)o1 ZINC000639245804 375662829 /nfs/dbraw/zinc/66/28/29/375662829.db2.gz JKFCUDRPACPRBU-ZJUUUORDSA-N 0 1 272.326 0.360 20 30 CCEDMN C(#Cc1ccccc1)CN1CCN(Cc2nnc[nH]2)CC1 ZINC000639720182 375946761 /nfs/dbraw/zinc/94/67/61/375946761.db2.gz CWZTWQBUCICKHX-UHFFFAOYSA-N 0 1 281.363 0.974 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CCC[C@@H](c2n[n-]c(=N)o2)C1 ZINC000639822740 376031484 /nfs/dbraw/zinc/03/14/84/376031484.db2.gz XAOYDDUULUDJAB-MNOVXSKESA-N 0 1 266.345 0.989 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NCc1n[nH]c(=O)n1C)OCC ZINC000640652106 376487050 /nfs/dbraw/zinc/48/70/50/376487050.db2.gz QPEXOFNGXDHOSP-SECBINFHSA-N 0 1 268.317 0.508 20 30 CCEDMN CN(CCN(C)S(=O)(=O)c1cncc(C#N)c1)C1CC1 ZINC000289390258 197325143 /nfs/dbraw/zinc/32/51/43/197325143.db2.gz DJTAOJDHBOEVPO-UHFFFAOYSA-N 0 1 294.380 0.668 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N[C@H](C)c1cnn(C)c1C ZINC000183403642 388754470 /nfs/dbraw/zinc/75/44/70/388754470.db2.gz GHUIMIQWSPDUSI-NXEZZACHSA-N 0 1 293.371 0.779 20 30 CCEDMN C#CCN(CCCS(N)(=O)=O)Cc1ccccc1 ZINC000285453696 388793443 /nfs/dbraw/zinc/79/34/43/388793443.db2.gz ADLCPDHLQOLFDM-UHFFFAOYSA-N 0 1 266.366 0.800 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1cnccc1N(C)C ZINC000184315456 388771752 /nfs/dbraw/zinc/77/17/52/388771752.db2.gz GXMGQFMPTLBYMK-UHFFFAOYSA-N 0 1 262.313 0.180 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@](C)(C(N)=O)C(C)C ZINC000289307597 388869058 /nfs/dbraw/zinc/86/90/58/388869058.db2.gz IYJCNDDIOQZKME-AWEZNQCLSA-N 0 1 269.389 0.901 20 30 CCEDMN CC(C)N(CCN(C)C)S(=O)(=O)c1cncc(C#N)c1 ZINC000289582198 388874689 /nfs/dbraw/zinc/87/46/89/388874689.db2.gz WNMPPMRTOCIXPC-UHFFFAOYSA-N 0 1 296.396 0.914 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCO[C@H](c2n[nH]c(CC)n2)C1 ZINC000290875526 388914555 /nfs/dbraw/zinc/91/45/55/388914555.db2.gz PRVOFTXBLZVRMG-IINYFYTJSA-N 0 1 294.355 0.594 20 30 CCEDMN CCn1cc(C#N)c(=O)n(Cc2n[nH]c(C(C)C)n2)c1=O ZINC000291554187 388927328 /nfs/dbraw/zinc/92/73/28/388927328.db2.gz BJTLEJBFIDDKPH-UHFFFAOYSA-N 0 1 288.311 0.191 20 30 CCEDMN C#C[C@@H](NC(=O)[C@H](Cc1cnc[nH]1)NC(C)=O)C(C)(C)C ZINC000291673163 388932631 /nfs/dbraw/zinc/93/26/31/388932631.db2.gz GTIVXBQEUHNKSF-QWHCGFSZSA-N 0 1 290.367 0.621 20 30 CCEDMN C=CCCCS(=O)(=O)N[C@@H](CF)C(=O)OC ZINC000292312666 388947105 /nfs/dbraw/zinc/94/71/05/388947105.db2.gz NKLVNIVLURTMSI-QMMMGPOBSA-N 0 1 253.295 0.383 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(=O)C(C)C)CC1 ZINC000298559124 389029554 /nfs/dbraw/zinc/02/95/54/389029554.db2.gz HNTJFHZWOVKZQG-LBPRGKRZSA-N 0 1 281.400 0.914 20 30 CCEDMN CCN(CCC(=O)Nc1sccc1C#N)CC(N)=O ZINC000191707219 389014951 /nfs/dbraw/zinc/01/49/51/389014951.db2.gz POMYCADIWHYULZ-UHFFFAOYSA-N 0 1 280.353 0.756 20 30 CCEDMN CCN(CCO)CC(=O)NCc1ccc(C#N)cc1 ZINC000298459189 389021481 /nfs/dbraw/zinc/02/14/81/389021481.db2.gz LHTHCNVZLQLBME-UHFFFAOYSA-N 0 1 261.325 0.489 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(CC(=O)N(C)C)CC2)C1=O ZINC000337208401 389056045 /nfs/dbraw/zinc/05/60/45/389056045.db2.gz QMXGQMFBJVRPHT-CQSZACIVSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCN2CCO[C@@H](C)C2)C1=O ZINC000337447776 389061593 /nfs/dbraw/zinc/06/15/93/389061593.db2.gz CXJFJZILEXUDEV-KBPBESRZSA-N 0 1 281.400 0.426 20 30 CCEDMN CN(CC#N)C(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000337549241 389063453 /nfs/dbraw/zinc/06/34/53/389063453.db2.gz QKZPPYVFNFXHQD-UHFFFAOYSA-N 0 1 298.302 0.240 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N[C@H]1CCCN(C)[C@@H]1C ZINC000337779290 389068349 /nfs/dbraw/zinc/06/83/49/389068349.db2.gz RWXPLBBRMNOYGH-UTUOFQBUSA-N 0 1 288.417 0.537 20 30 CCEDMN CC(C)N(CCN(C)C)S(=O)(=O)N(C)[C@H](C)CC#N ZINC000337777421 389068620 /nfs/dbraw/zinc/06/86/20/389068620.db2.gz QWYCHVDXDCWJFM-GFCCVEGCSA-N 0 1 290.433 0.737 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N1CCN(C)CC[C@@H]1C ZINC000337967961 389072077 /nfs/dbraw/zinc/07/20/77/389072077.db2.gz UNUQPRNTEUWIME-RYUDHWBXSA-N 0 1 288.417 0.491 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCCC[C@@H]1CO ZINC000303108097 389080507 /nfs/dbraw/zinc/08/05/07/389080507.db2.gz HEYLBFTUNYGYAG-QWHCGFSZSA-N 0 1 267.373 0.841 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCCC[C@H]1[C@H](C)O ZINC000303994128 389081171 /nfs/dbraw/zinc/08/11/71/389081171.db2.gz OHDZNPAPAGWEQG-STQMWFEESA-N 0 1 267.373 0.984 20 30 CCEDMN CCN(CCC#N)C[C@H](O)CN1C[C@@H](C)O[C@H](C)C1 ZINC000304734521 389083022 /nfs/dbraw/zinc/08/30/22/389083022.db2.gz RVXLRVDVRXGDKW-MCIONIFRSA-N 0 1 269.389 0.692 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H](C)[C@H]1CO ZINC000305262424 389086148 /nfs/dbraw/zinc/08/61/48/389086148.db2.gz SQBJRXWJIHQBQH-CHWSQXEVSA-N 0 1 267.373 0.841 20 30 CCEDMN C[C@@H]1c2nncn2CCN1C(=O)NCCC1(O)CCC1 ZINC000328881494 389143379 /nfs/dbraw/zinc/14/33/79/389143379.db2.gz MHFZTNZWIQXHJD-SNVBAGLBSA-N 0 1 279.344 0.874 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)[C@H]2COCCN2C)n[nH]1 ZINC000328937807 389146618 /nfs/dbraw/zinc/14/66/18/389146618.db2.gz DBGVCBMGCYAYJU-DTWKUNHWSA-N 0 1 267.333 0.715 20 30 CCEDMN CNC(=O)[C@H]1CCCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000111265677 389103809 /nfs/dbraw/zinc/10/38/09/389103809.db2.gz PSMQZHVGRHMBLQ-NHYWBVRUSA-N 0 1 292.383 0.253 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC[C@@](O)(CO)C1 ZINC000313648400 389115502 /nfs/dbraw/zinc/11/55/02/389115502.db2.gz YQIDDJXDKLJUMU-AWEZNQCLSA-N 0 1 268.357 0.006 20 30 CCEDMN CC(C)N(CCOCCO)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000314543615 389117257 /nfs/dbraw/zinc/11/72/57/389117257.db2.gz RFZYFQUZGLLIQL-OAHLLOKOSA-N 0 1 297.399 0.514 20 30 CCEDMN N#CCCOCCN1CCC[C@@H]1C(=O)N1CCSCC1 ZINC000338957801 389119208 /nfs/dbraw/zinc/11/92/08/389119208.db2.gz LORPCXLEVXHJKH-CYBMUJFWSA-N 0 1 297.424 0.956 20 30 CCEDMN N#CCCOCCN1CC[C@@H](NC(=O)NC2CC2)C1 ZINC000339092798 389124870 /nfs/dbraw/zinc/12/48/70/389124870.db2.gz CSVLNGYQPXANTI-GFCCVEGCSA-N 0 1 266.345 0.453 20 30 CCEDMN C=CCN1CC[C@@H](N[C@H](C(=O)NCC)C(C)C)C1=O ZINC000339335653 389130476 /nfs/dbraw/zinc/13/04/76/389130476.db2.gz YTZVERQSMSPTAJ-NEPJUHHUSA-N 0 1 267.373 0.524 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NCCN1CCC1)CC2 ZINC000328718556 389133575 /nfs/dbraw/zinc/13/35/75/389133575.db2.gz PWODWNWYMSLISN-LLVKDONJSA-N 0 1 278.360 0.111 20 30 CCEDMN O=C(NCCn1cccn1)[C@H]1CCc2[nH]nnc2C1 ZINC000328747051 389135539 /nfs/dbraw/zinc/13/55/39/389135539.db2.gz AVNMJCNETLABCJ-VIFPVBQESA-N 0 1 260.301 0.763 20 30 CCEDMN O=C(NCCn1cccn1)[C@H]1CCc2nn[nH]c2C1 ZINC000328747051 389135542 /nfs/dbraw/zinc/13/55/42/389135542.db2.gz AVNMJCNETLABCJ-VIFPVBQESA-N 0 1 260.301 0.763 20 30 CCEDMN CC1(C)SC[C@@H]1NC([O-])=[NH+]CCn1cncn1 ZINC000328759825 389136208 /nfs/dbraw/zinc/13/62/08/389136208.db2.gz XBLDZAAONQTDAC-QMMMGPOBSA-N 0 1 255.347 0.676 20 30 CCEDMN CC1(C)SC[C@@H]1NC(=O)NCCn1cncn1 ZINC000328759825 389136211 /nfs/dbraw/zinc/13/62/11/389136211.db2.gz XBLDZAAONQTDAC-QMMMGPOBSA-N 0 1 255.347 0.676 20 30 CCEDMN O=C(NCC1(O)CCC1)[C@H]1CCc2[nH]nnc2C1 ZINC000328790707 389137905 /nfs/dbraw/zinc/13/79/05/389137905.db2.gz QLWLLYHBEGQGSZ-QMMMGPOBSA-N 0 1 250.302 0.781 20 30 CCEDMN O=C(NCC1(O)CCC1)[C@H]1CCc2nn[nH]c2C1 ZINC000328790707 389137906 /nfs/dbraw/zinc/13/79/06/389137906.db2.gz QLWLLYHBEGQGSZ-QMMMGPOBSA-N 0 1 250.302 0.781 20 30 CCEDMN CC[C@@H]1CN(CC(=O)NCc2cccc(C#N)c2)C[C@H]1O ZINC000341005470 389179545 /nfs/dbraw/zinc/17/95/45/389179545.db2.gz FKQZZXJOYVZHQG-HUUCEWRRSA-N 0 1 287.363 0.877 20 30 CCEDMN CC[C@H]1CN(CC(=O)NCc2cccc(C#N)c2)C[C@H]1O ZINC000341005472 389179806 /nfs/dbraw/zinc/17/98/06/389179806.db2.gz FKQZZXJOYVZHQG-LSDHHAIUSA-N 0 1 287.363 0.877 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC(CCCO)CC1 ZINC000360088240 389190046 /nfs/dbraw/zinc/19/00/46/389190046.db2.gz KQRNGGAQULUTLV-UHFFFAOYSA-N 0 1 283.372 0.483 20 30 CCEDMN O=C(NC[C@H](O)C1CC1)c1cccn2c(O)nnc12 ZINC000329332700 389157419 /nfs/dbraw/zinc/15/74/19/389157419.db2.gz JUEMKPBWZMFNOZ-VIFPVBQESA-N 0 1 262.269 0.510 20 30 CCEDMN O=C(NCC[C@@H]1CCCCO1)N1CCn2cnnc2C1 ZINC000329362493 389159422 /nfs/dbraw/zinc/15/94/22/389159422.db2.gz ZAVRWFQPXQWFRI-NSHDSACASA-N 0 1 279.344 0.967 20 30 CCEDMN C=CCN(CCOC)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000358917878 389163525 /nfs/dbraw/zinc/16/35/25/389163525.db2.gz XERJHRIGVFXQKV-ZDUSSCGKSA-N 0 1 285.388 0.551 20 30 CCEDMN CN1C[C@@H](C(=O)NC2(c3nnc[nH]3)CCC2)CC1=O ZINC000329527683 389168677 /nfs/dbraw/zinc/16/86/77/389168677.db2.gz UJBJSWVUZGCEKE-QMMMGPOBSA-N 0 1 263.301 0.619 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@@H]1CNC(=O)C1 ZINC000329610100 389175415 /nfs/dbraw/zinc/17/54/15/389175415.db2.gz BXEXQEAALABORU-ZJUUUORDSA-N 0 1 255.318 0.640 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@](C)(O)C1 ZINC000248326063 389244785 /nfs/dbraw/zinc/24/47/85/389244785.db2.gz BQXOJVKATVBIOQ-YPMHNXCESA-N 0 1 253.346 0.451 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N2CCC[C@@H](OC)C2)C1 ZINC000330604279 389245810 /nfs/dbraw/zinc/24/58/10/389245810.db2.gz LGVQHPPWKJZKEI-QWHCGFSZSA-N 0 1 285.388 0.732 20 30 CCEDMN C=CCCC(=O)NC1CCN([C@H]2CCN(C)C2=O)CC1 ZINC000330607765 389245858 /nfs/dbraw/zinc/24/58/58/389245858.db2.gz KJNWVQIQRWIPEC-ZDUSSCGKSA-N 0 1 279.384 0.764 20 30 CCEDMN CN(C)C(=O)NCCN(C)C(=O)[C@H]1CCCc2[nH]ncc21 ZINC000330648808 389248778 /nfs/dbraw/zinc/24/87/78/389248778.db2.gz WEROXHVGSJPIHV-JTQLQIEISA-N 0 1 293.371 0.764 20 30 CCEDMN CN(C)C1CN(C(=O)CNc2ccc(C#N)cc2)C1 ZINC000134894301 389250076 /nfs/dbraw/zinc/25/00/76/389250076.db2.gz XHZWNOBWUIOGKH-UHFFFAOYSA-N 0 1 258.325 0.743 20 30 CCEDMN CCN(CCCO)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000135419139 389257350 /nfs/dbraw/zinc/25/73/50/389257350.db2.gz JNOPZTOPIMXZNJ-CYBMUJFWSA-N 0 1 253.346 0.499 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@@H]1CCCN(CC)C1 ZINC000343090426 389257577 /nfs/dbraw/zinc/25/75/77/389257577.db2.gz FAZCONXWRVQCGQ-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN CCN1CCC[C@@H](NC(=O)C(=O)N2CCC(C#N)CC2)C1 ZINC000343108062 389258067 /nfs/dbraw/zinc/25/80/67/389258067.db2.gz XUFRUMMPHBHDGY-CYBMUJFWSA-N 0 1 292.383 0.349 20 30 CCEDMN COC(=O)[C@H](NC(=O)C(C)C#N)c1cccc(O)c1 ZINC000343136934 389258814 /nfs/dbraw/zinc/25/88/14/389258814.db2.gz YXSWGKPWBPVKER-LDYMZIIASA-N 0 1 262.265 0.882 20 30 CCEDMN N#Cc1cnccc1N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000364324658 389258975 /nfs/dbraw/zinc/25/89/75/389258975.db2.gz MHOAWVPVKKBLKK-CABCVRRESA-N 0 1 272.352 0.989 20 30 CCEDMN CNC(=O)[C@@H](CCSC)NCc1cc(C#N)n(C)c1 ZINC000342308932 389209166 /nfs/dbraw/zinc/20/91/66/389209166.db2.gz HBGHJFUZJRLHTM-GFCCVEGCSA-N 0 1 280.397 0.854 20 30 CCEDMN CO[C@]1(C(=O)NC[C@@H](C)N2CCN(C)CC2)CCOC1 ZINC000329929327 389212398 /nfs/dbraw/zinc/21/23/98/389212398.db2.gz NIKSDPNFEJNHIJ-TZMCWYRMSA-N 0 1 285.388 0.384 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@@](C)(C(N)=O)C1 ZINC000361558391 389218978 /nfs/dbraw/zinc/21/89/78/389218978.db2.gz WTPNVIZHMMMCEK-KGLIPLIRSA-N 0 1 280.372 0.238 20 30 CCEDMN C=CCN1CC[C@H](N2CCC([C@H](O)C(=O)OC)CC2)C1=O ZINC000330410607 389225807 /nfs/dbraw/zinc/22/58/07/389225807.db2.gz YUUMTWFOXZOGED-STQMWFEESA-N 0 1 296.367 0.019 20 30 CCEDMN COC(=O)[C@@]1(C)CCN([C@@H](C)C(=O)N(C)CCC#N)C1 ZINC000246384526 389227786 /nfs/dbraw/zinc/22/77/86/389227786.db2.gz HRQGGKVSAQLIIU-FZMZJTMJSA-N 0 1 281.356 0.632 20 30 CCEDMN C[C@@H]1CN(CC(=O)NC2(C#N)CCCCC2)C[C@@H]1CO ZINC000362083936 389229235 /nfs/dbraw/zinc/22/92/35/389229235.db2.gz BYPXCGGVLXLCCX-CHWSQXEVSA-N 0 1 279.384 0.889 20 30 CCEDMN CO[C@H]1C[C@@H](CN(C)S(=O)(=O)CCCC#N)N(C)C1 ZINC000330517827 389237928 /nfs/dbraw/zinc/23/79/28/389237928.db2.gz OIRDYLIRFSDNFE-RYUDHWBXSA-N 0 1 289.401 0.271 20 30 CCEDMN N#Cc1ccc(CNC(=O)CN2CCC[C@H]2CO)cc1 ZINC000264255154 389315673 /nfs/dbraw/zinc/31/56/73/389315673.db2.gz GHLBNJKYNWFKAX-AWEZNQCLSA-N 0 1 273.336 0.631 20 30 CCEDMN C[C@@H](C#N)C(=O)NCc1ccnc(N2CCN(C)CC2)c1 ZINC000345821021 389315671 /nfs/dbraw/zinc/31/56/71/389315671.db2.gz VGVDDCDPUSZDSM-LBPRGKRZSA-N 0 1 287.367 0.609 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)Nc2cccc(C#N)c2)C1 ZINC000264654896 389343139 /nfs/dbraw/zinc/34/31/39/389343139.db2.gz PMUPZKJLGXEOJY-CQSZACIVSA-N 0 1 287.367 0.926 20 30 CCEDMN C=C(C)COCCNC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000155635087 389320076 /nfs/dbraw/zinc/32/00/76/389320076.db2.gz FMKRQXUYPJDTGC-UHFFFAOYSA-N 0 1 254.246 0.640 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCOC[C@@H](C)C1 ZINC000332055127 389328122 /nfs/dbraw/zinc/32/81/22/389328122.db2.gz WZDREFAFKMEZJK-WDEREUQCSA-N 0 1 269.345 0.355 20 30 CCEDMN COC(=O)[C@@]1(C)CCN([C@H](C)C(=O)NC2(C#N)CCC2)C1 ZINC000346408402 389328426 /nfs/dbraw/zinc/32/84/26/389328426.db2.gz GZJBGKNGLHDQPZ-RISCZKNCSA-N 0 1 293.367 0.822 20 30 CCEDMN CS(=O)(=O)N[C@@H]1CCN([C@@H]2CC[C@H](C#N)C2)C1 ZINC000408380623 389343936 /nfs/dbraw/zinc/34/39/36/389343936.db2.gz BEBCVZQCLHYKPB-HBNTYKKESA-N 0 1 257.359 0.302 20 30 CCEDMN COC(=O)[C@@H](C)CN(C)CC(=O)NC1(C#N)CCC1 ZINC000346824105 389338730 /nfs/dbraw/zinc/33/87/30/389338730.db2.gz LOJBKVGGKOTYDF-JTQLQIEISA-N 0 1 267.329 0.290 20 30 CCEDMN CCc1nc2n(n1)C[C@H](NC(=O)NC[C@@H](C)CCO)CC2 ZINC000330998385 389277452 /nfs/dbraw/zinc/27/74/52/389277452.db2.gz YWFXMLSRAGYWQF-WDEREUQCSA-N 0 1 295.387 0.677 20 30 CCEDMN CCc1nc2n(n1)C[C@H](NC([O-])=[NH+]C[C@@H](C)CCO)CC2 ZINC000330998385 389277454 /nfs/dbraw/zinc/27/74/54/389277454.db2.gz YWFXMLSRAGYWQF-WDEREUQCSA-N 0 1 295.387 0.677 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)N1CC[C@H](CO)C1 ZINC000331014981 389283988 /nfs/dbraw/zinc/28/39/88/389283988.db2.gz XOJSLIRNKGFADM-DYEKYZERSA-N 0 1 267.373 0.744 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N([C@@H]2CCc3nc[nH]c3C2)C1=O ZINC000348474171 389378704 /nfs/dbraw/zinc/37/87/04/389378704.db2.gz OMPLNEQZGURVRU-BXKDBHETSA-N 0 1 290.323 0.390 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000410496702 389383518 /nfs/dbraw/zinc/38/35/18/389383518.db2.gz GSQVRKJPSITIKQ-ZDUSSCGKSA-N 0 1 279.384 0.716 20 30 CCEDMN Cc1nnc(SCC(=O)NC2(C#N)CCC2)[nH]1 ZINC000266738493 389366730 /nfs/dbraw/zinc/36/67/30/389366730.db2.gz JMVWWMLXHNBFPZ-UHFFFAOYSA-N 0 1 251.315 0.768 20 30 CCEDMN Cc1n[nH]c(SCC(=O)NC2(C#N)CCC2)n1 ZINC000266738493 389366733 /nfs/dbraw/zinc/36/67/33/389366733.db2.gz JMVWWMLXHNBFPZ-UHFFFAOYSA-N 0 1 251.315 0.768 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@H](O)C(C)(C)C2)C1=O ZINC000334250370 389463654 /nfs/dbraw/zinc/46/36/54/389463654.db2.gz HTFJEDCOFMKAGI-NEPJUHHUSA-N 0 1 252.358 0.866 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1C[C@H](O)C[C@H](C)C1 ZINC000412022976 389473208 /nfs/dbraw/zinc/47/32/08/389473208.db2.gz BFDPQXRYLHQSQZ-SDDRHHMPSA-N 0 1 265.357 0.640 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@H](O)[C@@H](C)C1 ZINC000167209834 389445080 /nfs/dbraw/zinc/44/50/80/389445080.db2.gz DANINUZZRDRNSY-STQMWFEESA-N 0 1 252.358 0.890 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](CCC(N)=O)C1 ZINC000170734246 389460095 /nfs/dbraw/zinc/46/00/95/389460095.db2.gz HUSRYSPZGNSIRG-NWDGAFQWSA-N 0 1 265.357 0.102 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](CCC(N)=O)C1 ZINC000170734249 389460167 /nfs/dbraw/zinc/46/01/67/389460167.db2.gz HUSRYSPZGNSIRG-VXGBXAGGSA-N 0 1 265.357 0.102 20 30 CCEDMN N#CCC1(CNC(=O)[C@@H]2C[N@H+]3CCN2CCC3)CC1 ZINC000373819836 389518188 /nfs/dbraw/zinc/51/81/88/389518188.db2.gz OTXBCNSYTGVPJW-LBPRGKRZSA-N 0 1 262.357 0.186 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000335045048 389533706 /nfs/dbraw/zinc/53/37/06/389533706.db2.gz WKVVXIGDCVAPBY-RYUDHWBXSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCCO[C@H](CC)C1 ZINC000281332060 389614533 /nfs/dbraw/zinc/61/45/33/389614533.db2.gz JKNIJRNFMYJINB-VXGBXAGGSA-N 0 1 283.372 0.888 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H](CC)N(C)C)C1=O ZINC000281727041 389624149 /nfs/dbraw/zinc/62/41/49/389624149.db2.gz NTVGKEPKARTGTN-NEPJUHHUSA-N 0 1 267.373 0.572 20 30 CCEDMN C=CCCn1cc(C(=O)N(C)C[C@@H](C)c2nn[nH]n2)nn1 ZINC000424780522 389632727 /nfs/dbraw/zinc/63/27/27/389632727.db2.gz MZVIVKDTZTUUFU-SECBINFHSA-N 0 1 290.331 0.243 20 30 CCEDMN C[C@@H](C#N)CNC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000182402611 389708243 /nfs/dbraw/zinc/70/82/43/389708243.db2.gz LIRYMFVMRSPLEO-RWMBFGLXSA-N 0 1 282.388 0.945 20 30 CCEDMN C=CCN1CCC(NC(=O)N[C@@H](C)C[S@@](C)=O)CC1 ZINC000182727830 389715474 /nfs/dbraw/zinc/71/54/74/389715474.db2.gz OQKUHOLSSNNNPA-JEOXALJRSA-N 0 1 287.429 0.703 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000182840792 389718154 /nfs/dbraw/zinc/71/81/54/389718154.db2.gz TZGXXSPTMKOJNW-KGLIPLIRSA-N 0 1 294.399 0.900 20 30 CCEDMN C=C(C)COCCNC(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC000425711642 389655330 /nfs/dbraw/zinc/65/53/30/389655330.db2.gz OOAOKNDULRMQCS-NSHDSACASA-N 0 1 279.344 0.896 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](C(=O)OC)C2)C1=O ZINC000282132180 389657769 /nfs/dbraw/zinc/65/77/69/389657769.db2.gz YWASHBWEUCRSET-QWRGUYRKSA-N 0 1 252.314 0.268 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2CO)CC1 ZINC000179618717 389660045 /nfs/dbraw/zinc/66/00/45/389660045.db2.gz FOKIGQHTJNPXPH-CYBMUJFWSA-N 0 1 250.342 0.315 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2ccc(C#N)cc2F)CCN1 ZINC000415417562 389682007 /nfs/dbraw/zinc/68/20/07/389682007.db2.gz QAQXBTRHKKBERJ-SECBINFHSA-N 0 1 290.298 0.456 20 30 CCEDMN CN(CCC#N)S(=O)(=O)NCc1ccc2cncn2c1 ZINC000416548643 389754189 /nfs/dbraw/zinc/75/41/89/389754189.db2.gz PRWPPGVLSSNKQG-UHFFFAOYSA-N 0 1 293.352 0.514 20 30 CCEDMN C=CCn1c(=O)[nH]nc1S(=O)(=O)CCCc1cn[nH]c1 ZINC000429911438 389775269 /nfs/dbraw/zinc/77/52/69/389775269.db2.gz RRUMAKAIVWUGBX-UHFFFAOYSA-N 0 1 297.340 0.299 20 30 CCEDMN C=CCn1c(S(=O)(=O)CCCCOC)n[nH]c1=O ZINC000429910005 389775394 /nfs/dbraw/zinc/77/53/94/389775394.db2.gz MIRYBCCSILYUBN-UHFFFAOYSA-N 0 1 275.330 0.370 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCc2n[nH]nc2C1 ZINC000429288280 389749519 /nfs/dbraw/zinc/74/95/19/389749519.db2.gz WGNZKNIOXHMRTE-JTQLQIEISA-N 0 1 276.344 0.171 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCC2(CC#N)CC2)C1 ZINC000430673510 389817071 /nfs/dbraw/zinc/81/70/71/389817071.db2.gz DEVDBEOHNIUZHD-LBPRGKRZSA-N 0 1 279.388 0.225 20 30 CCEDMN CC1CCN(CC(=O)NC2(C#N)CCOCC2)CC1 ZINC000408300520 389840740 /nfs/dbraw/zinc/84/07/40/389840740.db2.gz HXMLFQODBZXFCZ-UHFFFAOYSA-N 0 1 265.357 0.907 20 30 CCEDMN CC(C)N1CCC[C@@H](NS(=O)(=O)N(C)CCC#N)C1 ZINC000408320325 389842387 /nfs/dbraw/zinc/84/23/87/389842387.db2.gz KNCVQKRBEOQOIN-GFCCVEGCSA-N 0 1 288.417 0.539 20 30 CCEDMN C=CCNC(=O)CN[C@H]1CCO[C@@H]1c1cnn(C)c1C ZINC000431960060 389870071 /nfs/dbraw/zinc/87/00/71/389870071.db2.gz YXALJIANIBYWMM-GXTWGEPZSA-N 0 1 278.356 0.450 20 30 CCEDMN C=C(CC)CN1CCC[C@H]1C(=O)NCC(=O)OC ZINC000419359791 389891803 /nfs/dbraw/zinc/89/18/03/389891803.db2.gz QYQBAWUJGPNYCY-NSHDSACASA-N 0 1 254.330 0.706 20 30 CCEDMN C[C@@H]1CN(C(=O)NCC(C)(C)C#N)[C@@H](C)CN1CCO ZINC000419481128 389892153 /nfs/dbraw/zinc/89/21/53/389892153.db2.gz QXSMGNDWXGFJMG-NEPJUHHUSA-N 0 1 282.388 0.633 20 30 CCEDMN COCCN(CC(=O)NCC#N)[C@H]1CCCSC1 ZINC000433185607 389904003 /nfs/dbraw/zinc/90/40/03/389904003.db2.gz FMUBXNOYBPNXMO-NSHDSACASA-N 0 1 271.386 0.470 20 30 CCEDMN CCN1CCN(CC(=O)NCC2(CC#N)CC2)CC1 ZINC000435955521 389968023 /nfs/dbraw/zinc/96/80/23/389968023.db2.gz GFYBVASJVHOSAG-UHFFFAOYSA-N 0 1 264.373 0.434 20 30 CCEDMN N#Cc1cccc(NC(=O)CN[C@@H](CO)[C@@H]2CCCO2)c1 ZINC000447906797 389969718 /nfs/dbraw/zinc/96/97/18/389969718.db2.gz PLVKLPYYPZZNTN-KBPBESRZSA-N 0 1 289.335 0.626 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](CO)C[C@H](C)C1 ZINC000420936038 390038938 /nfs/dbraw/zinc/03/89/38/390038938.db2.gz IDWRIMYZYKLVMO-QWHCGFSZSA-N 0 1 267.373 0.699 20 30 CCEDMN C=C[C@H](C)NC(=O)c1cc(S(=O)(=O)N(C)C)ccc1O ZINC000436772261 389984956 /nfs/dbraw/zinc/98/49/56/389984956.db2.gz DMBFUXNGDMMVCQ-VIFPVBQESA-N 0 1 298.364 0.947 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)N=c1ccn(CCN(C)C)[nH]1 ZINC000438713766 390010056 /nfs/dbraw/zinc/01/00/56/390010056.db2.gz ZVYHSZCSHQIBLH-NSHDSACASA-N 0 1 278.360 0.490 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(CCO)C1CCC1 ZINC000439272196 390017637 /nfs/dbraw/zinc/01/76/37/390017637.db2.gz KTKWFDKYPLNIHU-LBPRGKRZSA-N 0 1 267.373 0.841 20 30 CCEDMN C[C@H]1[C@@H](CO)CCN1CC(=O)NCc1ccc(C#N)cc1 ZINC000488770241 390096257 /nfs/dbraw/zinc/09/62/57/390096257.db2.gz UPIKAFWEXMJVNF-SWLSCSKDSA-N 0 1 287.363 0.877 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1cc(C)ccc1C ZINC000491452186 390113578 /nfs/dbraw/zinc/11/35/78/390113578.db2.gz JMKHFLWAIQMWRA-ZIAGYGMSSA-N 0 1 263.337 0.627 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)C1 ZINC000537123815 390173679 /nfs/dbraw/zinc/17/36/79/390173679.db2.gz JTSOYHLTTXYLIM-RUETXSTFSA-N 0 1 274.328 0.846 20 30 CCEDMN Cc1cc(C(=O)NC2(C#N)CCN(C)CC2)cc(=O)[nH]1 ZINC000540773851 390223187 /nfs/dbraw/zinc/22/31/87/390223187.db2.gz WOQMTMPOWMGDGD-UHFFFAOYSA-N 0 1 274.324 0.813 20 30 CCEDMN C[C@H]1CN(Cc2ccc(C#N)cn2)[C@@H](C)CN1CCO ZINC000580213163 390230816 /nfs/dbraw/zinc/23/08/16/390230816.db2.gz IOVOUHQMBIUWOQ-STQMWFEESA-N 0 1 274.368 0.840 20 30 CCEDMN CO[C@@H](CS(=O)(=O)Nc1ccc(C#N)c(C)n1)C1CC1 ZINC000582062472 390256945 /nfs/dbraw/zinc/25/69/45/390256945.db2.gz KXCDNFIQBDBWEW-LBPRGKRZSA-N 0 1 295.364 0.850 20 30 CCEDMN CC(=O)c1ncc(C(=O)N=c2[nH]n(C)c(C)c2C#N)s1 ZINC000648434627 390372365 /nfs/dbraw/zinc/37/23/65/390372365.db2.gz SRGKWQXOKXIQCI-UHFFFAOYSA-N 0 1 289.320 0.934 20 30 CCEDMN N#Cc1c(N)c([N+](=O)[O-])ccc1N1CC[N@H+]2CC[C@H]1C2 ZINC000603385682 390380061 /nfs/dbraw/zinc/38/00/61/390380061.db2.gz FSVWXDJDOLCFAO-VIFPVBQESA-N 0 1 273.296 0.943 20 30 CCEDMN C=CCCn1cc(C(=O)N[C@@H](C)c2nnc[nH]2)nn1 ZINC000564861443 390497572 /nfs/dbraw/zinc/49/75/72/390497572.db2.gz DVEYVWODPYWPDL-QMMMGPOBSA-N 0 1 261.289 0.463 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000565303376 390502033 /nfs/dbraw/zinc/50/20/33/390502033.db2.gz NJHXWWLSRWPVLQ-DCAQKATOSA-N 0 1 297.355 0.429 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1ccc(CN(C)C)nc1 ZINC000649181808 390512085 /nfs/dbraw/zinc/51/20/85/390512085.db2.gz JIDJHFPFFJLKCR-AWEZNQCLSA-N 0 1 275.352 0.647 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1ccc(CN(C)C)nc1 ZINC000649181809 390512111 /nfs/dbraw/zinc/51/21/11/390512111.db2.gz JIDJHFPFFJLKCR-CQSZACIVSA-N 0 1 275.352 0.647 20 30 CCEDMN CC[C@H](CO)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000565975909 390564289 /nfs/dbraw/zinc/56/42/89/390564289.db2.gz HEJSNAWOAKZUFU-LLVKDONJSA-N 0 1 283.353 0.087 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)c2c(O)cccc2F)CC1 ZINC000566806622 390650933 /nfs/dbraw/zinc/65/09/33/390650933.db2.gz VEEMVTQZXNBSFN-UHFFFAOYSA-N 0 1 291.282 0.729 20 30 CCEDMN C[C@@H]1CN(Cc2ccc(C#N)cn2)CCN1CCO ZINC000566417268 390600779 /nfs/dbraw/zinc/60/07/79/390600779.db2.gz WQXGUFHOVFDWBQ-GFCCVEGCSA-N 0 1 260.341 0.452 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2C[C@@H](C(=O)[O-])CC[C@H]2C)C1=O ZINC000567733854 390711033 /nfs/dbraw/zinc/71/10/33/390711033.db2.gz VZJFVFMYEGYEME-WOPDTQHZSA-N 0 1 266.341 0.958 20 30 CCEDMN C=CCNc1ncc(C(=O)N2CCN[C@@H](C)C2)s1 ZINC000646332909 390666148 /nfs/dbraw/zinc/66/61/48/390666148.db2.gz WNKZMESVPWBAMT-VIFPVBQESA-N 0 1 266.370 0.597 20 30 CCEDMN N#Cc1ccc(NCC(=O)NCCN2CC=CCC2)nc1 ZINC000527268535 390699285 /nfs/dbraw/zinc/69/92/85/390699285.db2.gz KYQLSKIFBJAOHX-UHFFFAOYSA-N 0 1 285.351 0.165 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N(C)C1CC(O)C1 ZINC000530337243 390876520 /nfs/dbraw/zinc/87/65/20/390876520.db2.gz JHFJIWDNNSCRJQ-KPPDAEKUSA-N 0 1 251.330 0.392 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCN2CCCC2=O)C1=O ZINC000532209944 390878992 /nfs/dbraw/zinc/87/89/92/390878992.db2.gz SSHBZDJTXDKUIJ-ZDUSSCGKSA-N 0 1 279.384 0.718 20 30 CCEDMN C[C@@H](c1nc(C2(N)CCCC2)no1)n1cnc(C#N)n1 ZINC000578442415 390884404 /nfs/dbraw/zinc/88/44/04/390884404.db2.gz PPJSKMJXIRCEQK-QMMMGPOBSA-N 0 1 273.300 0.870 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H](C)Cc1cnc[nH]1)C(=O)OC ZINC000578667218 390897724 /nfs/dbraw/zinc/89/77/24/390897724.db2.gz JVRMWLCQEQZAFU-ZYHUDNBSSA-N 0 1 295.339 0.449 20 30 CCEDMN COc1ccc(=NC(=O)N[C@@H]2CC[N@H+]3CCCC[C@@H]23)[n-]n1 ZINC000329131367 533253441 /nfs/dbraw/zinc/25/34/41/533253441.db2.gz OTQHYFBITJHGGA-MNOVXSKESA-N 0 1 291.355 0.860 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@@H](C)[C@H](C(=O)[O-])C2)C1=O ZINC000578891023 390906576 /nfs/dbraw/zinc/90/65/76/390906576.db2.gz CVENJQHHZJUARK-UTUOFQBUSA-N 0 1 266.341 0.816 20 30 CCEDMN COC[C@@](C)(CC(=O)OC)NCC(=O)NC1(C#N)CCC1 ZINC000346978446 533376988 /nfs/dbraw/zinc/37/69/88/533376988.db2.gz JHTHTCQSJCUDJZ-CYBMUJFWSA-N 0 1 297.355 0.107 20 30 CCEDMN COCCN(CCOC)Cc1cc(C#N)n(C)c1 ZINC000191162116 130193977 /nfs/dbraw/zinc/19/39/77/130193977.db2.gz GFXWPSYMROLWDO-UHFFFAOYSA-N 0 1 251.330 0.992 20 30 CCEDMN C[C@@H](CNC(=O)c1cccc(C#N)c1)N1CCN(C)CC1 ZINC000263810643 131336671 /nfs/dbraw/zinc/33/66/71/131336671.db2.gz WKZRWZYBNDFCQE-ZDUSSCGKSA-N 0 1 286.379 0.924 20 30 CCEDMN C=C[C@H](CO)NCc1cccc(NS(C)(=O)=O)c1 ZINC000657791321 413061200 /nfs/dbraw/zinc/06/12/00/413061200.db2.gz BIWYJMHBIJCKTO-LLVKDONJSA-N 0 1 270.354 0.695 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2cc(C#N)ncn2)CC1 ZINC000530462096 285615539 /nfs/dbraw/zinc/61/55/39/285615539.db2.gz JOHQMFURAVCSTH-LBPRGKRZSA-N 0 1 261.329 0.241 20 30 CCEDMN CO[C@@H]1COC[C@@H]1NCC(=O)N(C)C1(C#N)CCCCC1 ZINC000333692238 285795629 /nfs/dbraw/zinc/79/56/29/285795629.db2.gz CDQURDPZKICDGO-QWHCGFSZSA-N 0 1 295.383 0.675 20 30 CCEDMN N#Cc1cnn2cc(CNCCNC(=O)C3CC3)cnc12 ZINC000550153378 286104590 /nfs/dbraw/zinc/10/45/90/286104590.db2.gz FJIYDZNNYDENNT-UHFFFAOYSA-N 0 1 284.323 0.217 20 30 CCEDMN C=CCCn1cc(CN[C@H]2CCC[C@H]2C(=O)NC)nn1 ZINC000653640611 412329225 /nfs/dbraw/zinc/32/92/25/412329225.db2.gz UPGORZZGQFHQHG-OLZOCXBDSA-N 0 1 277.372 0.859 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@H]2CCC[C@H](O)C2)CC1 ZINC000659811346 413881685 /nfs/dbraw/zinc/88/16/85/413881685.db2.gz RSOQCZJZUOCADU-CABCVRRESA-N 0 1 295.427 0.457 20 30 CCEDMN COCc1ccc(CNCCn2cnc(C#N)n2)o1 ZINC000285599152 196339056 /nfs/dbraw/zinc/33/90/56/196339056.db2.gz VBUMDBFYBNSURK-UHFFFAOYSA-N 0 1 261.285 0.679 20 30 CCEDMN CN(CC(=O)N1CCNCC1)c1ccc(C#N)cc1F ZINC000038031683 174027182 /nfs/dbraw/zinc/02/71/82/174027182.db2.gz FKOSFFUGNOQGNH-UHFFFAOYSA-N 0 1 276.315 0.565 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H]2NC(=O)CC[C@H]2C1 ZINC000661604822 414651148 /nfs/dbraw/zinc/65/11/48/414651148.db2.gz BYJRMGZVDKKHNG-SRVKXCTJSA-N 0 1 265.357 0.278 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1cccc(COC)c1 ZINC000662166921 414695388 /nfs/dbraw/zinc/69/53/88/414695388.db2.gz LNYJDBXPRWKVQG-CQSZACIVSA-N 0 1 278.352 0.586 20 30 CCEDMN C=CCNC(=O)Nc1ccc(NC(=O)C2(O)CNC2)cc1 ZINC000662462282 414720859 /nfs/dbraw/zinc/72/08/59/414720859.db2.gz HZKHZXIURVVFFH-UHFFFAOYSA-N 0 1 290.323 0.267 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@H]2CCO)CCC1 ZINC000347203401 226083680 /nfs/dbraw/zinc/08/36/80/226083680.db2.gz PKZLERNUNVWMLP-NSHDSACASA-N 0 1 251.330 0.396 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)[C@]2(O)CCSC2)n1 ZINC000329102272 227298248 /nfs/dbraw/zinc/29/82/48/227298248.db2.gz NGKGCVLTGJQEHX-JTQLQIEISA-N 0 1 256.331 0.480 20 30 CCEDMN O=C(NCCn1cccn1)N[C@@H]1CCO[C@]2(CCOC2)C1 ZINC000329136632 227319669 /nfs/dbraw/zinc/31/96/69/227319669.db2.gz HCQBKKJEMQCABC-TZMCWYRMSA-N 0 1 294.355 0.725 20 30 CCEDMN [O-]C(N[C@@H]1CCO[C@]2(CCOC2)C1)=[NH+]CCn1cccn1 ZINC000329136632 227319676 /nfs/dbraw/zinc/31/96/76/227319676.db2.gz HCQBKKJEMQCABC-TZMCWYRMSA-N 0 1 294.355 0.725 20 30 CCEDMN C[C@]1(NC([O-])=[NH+][C@@H]2CCc3ncnn3C2)CCCOC1 ZINC000329150054 227328215 /nfs/dbraw/zinc/32/82/15/227328215.db2.gz RELQMUYVZXDFMI-MFKMUULPSA-N 0 1 279.344 0.666 20 30 CCEDMN C[C@]1(NC(=O)N[C@@H]2CCc3ncnn3C2)CCCOC1 ZINC000329150054 227328220 /nfs/dbraw/zinc/32/82/20/227328220.db2.gz RELQMUYVZXDFMI-MFKMUULPSA-N 0 1 279.344 0.666 20 30 CCEDMN O=C(NCCN1CCCCC1)N[C@@H]1CC[S@@](=O)C1 ZINC000329986207 228007005 /nfs/dbraw/zinc/00/70/05/228007005.db2.gz DYMMQRCSSYQGKL-ZMZPIMSZSA-N 0 1 273.402 0.497 20 30 CCEDMN O=C(CN1CCn2c(=O)[nH]nc2C1)NCC1CC1 ZINC000329989629 228008910 /nfs/dbraw/zinc/00/89/10/228008910.db2.gz IFOJEZRNTZUVTM-UHFFFAOYSA-N 0 1 251.290 0.166 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@H]1C(N)=O ZINC000331701555 228119236 /nfs/dbraw/zinc/11/92/36/228119236.db2.gz WLJLANJCMNTGAY-GLXFQSAKSA-N 0 1 278.356 0.898 20 30 CCEDMN [O-]C(N[C@@H]1CCc2ncnn2C1)=[NH+]CC1(CCO)CC1 ZINC000330276199 228089667 /nfs/dbraw/zinc/08/96/67/228089667.db2.gz MNNASAOYHQHPJT-SNVBAGLBSA-N 0 1 279.344 0.259 20 30 CCEDMN O=C(NCC1(CCO)CC1)N[C@@H]1CCc2ncnn2C1 ZINC000330276199 228089669 /nfs/dbraw/zinc/08/96/69/228089669.db2.gz MNNASAOYHQHPJT-SNVBAGLBSA-N 0 1 279.344 0.259 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N1CC[C@@H](N2CC=CC2)C1 ZINC000332415541 228158566 /nfs/dbraw/zinc/15/85/66/228158566.db2.gz QDUPZPOZVWUICS-QWHCGFSZSA-N 0 1 298.412 0.269 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N1CC[C@H](N2CC=CC2)C1 ZINC000332415543 228158656 /nfs/dbraw/zinc/15/86/56/228158656.db2.gz QDUPZPOZVWUICS-STQMWFEESA-N 0 1 298.412 0.269 20 30 CCEDMN CN(C)CC(=O)N1CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC000407988063 260151365 /nfs/dbraw/zinc/15/13/65/260151365.db2.gz CABQSPKRHXMKBK-CHWSQXEVSA-N 0 1 264.373 0.384 20 30 CCEDMN N#CC1(C(=O)NC[C@@H]2C[N@H+]3CCC[C@@H]3CO2)CCC1 ZINC000083798081 260190442 /nfs/dbraw/zinc/19/04/42/260190442.db2.gz QBVYJRDAAUNLJI-VXGBXAGGSA-N 0 1 263.341 0.660 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000491780333 262039072 /nfs/dbraw/zinc/03/90/72/262039072.db2.gz KGULGDNJIFOULW-STQMWFEESA-N 0 1 266.389 0.285 20 30 CCEDMN CC(C)(C)[C@@H](NCC(=O)N[C@](C)(C#N)C1CC1)C(N)=O ZINC000109330291 262197622 /nfs/dbraw/zinc/19/76/22/262197622.db2.gz KWFKKZMFCDNIOK-SMDDNHRTSA-N 0 1 280.372 0.284 20 30 CCEDMN C=C(C)COCCNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000354489432 262268048 /nfs/dbraw/zinc/26/80/48/262268048.db2.gz BQZMSHRZIFFLEX-CQSZACIVSA-N 0 1 298.431 0.514 20 30 CCEDMN CCN1CCN([C@H]2CCN(C(=O)CSCC#N)C2)CC1 ZINC000365213472 262351843 /nfs/dbraw/zinc/35/18/43/262351843.db2.gz ROQVQLXSWBXDFY-ZDUSSCGKSA-N 0 1 296.440 0.482 20 30 CCEDMN N#CCSCCCN1CCN2C(=O)CC[C@@H]2C1 ZINC000336702882 262382145 /nfs/dbraw/zinc/38/21/45/262382145.db2.gz APRZHZCTNAASDS-LLVKDONJSA-N 0 1 253.371 0.940 20 30 CCEDMN CCN(C)C(=O)CON=C(N)c1ccc(N(C)C)cc1 ZINC000273600633 263018310 /nfs/dbraw/zinc/01/83/10/263018310.db2.gz YDYCBCHVPOISOF-UHFFFAOYSA-N 0 1 278.356 0.868 20 30 CCEDMN CCc1[nH]nc(C(=O)N2CC(C)(C#N)C2)c1[N+](=O)[O-] ZINC000280374593 263060857 /nfs/dbraw/zinc/06/08/57/263060857.db2.gz DZLVNUPAHBLPDB-UHFFFAOYSA-N 0 1 263.257 0.866 20 30 CCEDMN C=CCn1c(C)nnc1N1CCN(CCOC)[C@H](C)C1 ZINC000282272235 263075482 /nfs/dbraw/zinc/07/54/82/263075482.db2.gz MFURWHROHUAVSF-GFCCVEGCSA-N 0 1 279.388 0.929 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000282292008 263075768 /nfs/dbraw/zinc/07/57/68/263075768.db2.gz KXWAZGMDQBVZLF-KOLCDFICSA-N 0 1 297.384 0.855 20 30 CCEDMN CCS(=O)(=O)c1ccc(O)c(NC(=O)/C=N/OC)c1 ZINC000283094092 263081061 /nfs/dbraw/zinc/08/10/61/263081061.db2.gz BTZKXSBGRBOLCA-KPKJPENVSA-N 0 1 286.309 0.757 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2ccc3cncn3c2)CCO1 ZINC000283963950 263085204 /nfs/dbraw/zinc/08/52/04/263085204.db2.gz LUPLQBNJUPQFJJ-GFCCVEGCSA-N 0 1 256.265 0.699 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)CC(=O)OC(C)(C)C)C1 ZINC000340215761 263095968 /nfs/dbraw/zinc/09/59/68/263095968.db2.gz SSCWPVHZISRSRX-RYUDHWBXSA-N 0 1 270.373 0.491 20 30 CCEDMN C=CCC[C@H](O)CN1CCCC[C@H]1c1nc(=O)[nH][nH]1 ZINC000289041078 263107206 /nfs/dbraw/zinc/10/72/06/263107206.db2.gz BOFPLKVRGVAYCL-QWRGUYRKSA-N 0 1 266.345 0.952 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@@H](n2cccn2)C1 ZINC000291735045 263125238 /nfs/dbraw/zinc/12/52/38/263125238.db2.gz JSGPCFRQQZPXLL-CHWSQXEVSA-N 0 1 275.356 0.890 20 30 CCEDMN CNC(=O)CCN(C)[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000340690180 263296741 /nfs/dbraw/zinc/29/67/41/263296741.db2.gz JTWXIPLVLSEVFR-RISCZKNCSA-N 0 1 282.388 0.497 20 30 CCEDMN C=C(C)CNS(=O)(=O)c1scnc1C(=O)OC ZINC000122513537 263302406 /nfs/dbraw/zinc/30/24/06/263302406.db2.gz BKSUTFOWUUQNDR-UHFFFAOYSA-N 0 1 276.339 0.784 20 30 CCEDMN C=C[C@@H](C)NC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000340823851 263307628 /nfs/dbraw/zinc/30/76/28/263307628.db2.gz RYSJIDSJKKCLIG-SNVBAGLBSA-N 0 1 285.307 0.318 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@H]1CCN(CCOC)C1 ZINC000340954815 263313591 /nfs/dbraw/zinc/31/35/91/263313591.db2.gz GIWGTLAEXMLTGR-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN CC(=O)N1CC(C(=O)NCCc2nc(C)n[nH]2)C1 ZINC000328652593 263833523 /nfs/dbraw/zinc/83/35/23/263833523.db2.gz KDSWTFPIVLKDSP-UHFFFAOYSA-N 0 1 251.290 0.090 20 30 CCEDMN C[C@@H](NC(=O)NCCCC(=O)N(C)C)c1nnc[nH]1 ZINC000330724245 264025762 /nfs/dbraw/zinc/02/57/62/264025762.db2.gz YVFTVJIWBOBRMB-MRVPVSSYSA-N 0 1 268.321 0.238 20 30 CCEDMN CC[C@H](CC#N)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000330883219 264062308 /nfs/dbraw/zinc/06/23/08/264062308.db2.gz OUILHCNOFXGNDQ-NWDGAFQWSA-N 0 1 253.346 0.763 20 30 CCEDMN Cc1nc(CN2CCN(C(=O)CCCC#N)CC2)n[nH]1 ZINC000330912749 264076276 /nfs/dbraw/zinc/07/62/76/264076276.db2.gz ZXPZJZFNPHQGBT-UHFFFAOYSA-N 0 1 276.344 0.451 20 30 CCEDMN CNC(=O)N[C@H]1CCN(CCC(=O)OC(C)(C)C)C1 ZINC000330942758 264084470 /nfs/dbraw/zinc/08/44/70/264084470.db2.gz GWVNTUQJOAENTN-JTQLQIEISA-N 0 1 271.361 0.926 20 30 CCEDMN COCC[C@H](C)NC(=O)N1CCOC[C@H]1c1nnc[nH]1 ZINC000331227180 264150520 /nfs/dbraw/zinc/15/05/20/264150520.db2.gz DFVMASYCVINWBI-UWVGGRQHSA-N 0 1 283.332 0.517 20 30 CCEDMN CN1CC[C@H](N2CCC(NC(=O)CCCC#N)CC2)C1=O ZINC000331249728 264180251 /nfs/dbraw/zinc/18/02/51/264180251.db2.gz YTBFDNKHHLWPKC-ZDUSSCGKSA-N 0 1 292.383 0.492 20 30 CCEDMN COCCCCNC(=O)N1CCn2nc(C)nc2C1 ZINC000331257749 264183046 /nfs/dbraw/zinc/18/30/46/264183046.db2.gz DMXGABXIXQMESO-UHFFFAOYSA-N 0 1 267.333 0.743 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](Cc2cnn(C)c2)C1 ZINC000370014327 267284412 /nfs/dbraw/zinc/28/44/12/267284412.db2.gz YPEREQIYVCTYBM-LBPRGKRZSA-N 0 1 262.357 0.587 20 30 CCEDMN C#CCC(CC#C)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000370534026 267327130 /nfs/dbraw/zinc/32/71/30/267327130.db2.gz QOUDKJFHSIKNPK-UHFFFAOYSA-N 0 1 288.391 0.972 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)N1CCN[C@H](C)C1 ZINC000418128438 267358262 /nfs/dbraw/zinc/35/82/62/267358262.db2.gz BMAPZGMJLJIFBT-JHJVBQTASA-N 0 1 267.373 0.971 20 30 CCEDMN N#CCC(=O)NC[C@@H]1C[C@H](O)CN1Cc1ccccc1 ZINC000376923151 268030858 /nfs/dbraw/zinc/03/08/58/268030858.db2.gz OQQUVNQKKIQGRE-KBPBESRZSA-N 0 1 273.336 0.652 20 30 CCEDMN N#Cc1c2c(cnc1N1CCCN(CCO)CC1)CCC2 ZINC000521033673 268169082 /nfs/dbraw/zinc/16/90/82/268169082.db2.gz WDKBWVQRJFZGEU-UHFFFAOYSA-N 0 1 286.379 0.946 20 30 CCEDMN N#Cc1cnccc1N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000364324663 274930760 /nfs/dbraw/zinc/93/07/60/274930760.db2.gz MHOAWVPVKKBLKK-GJZGRUSLSA-N 0 1 272.352 0.989 20 30 CCEDMN CCN(CC(=O)NC[C@H]1CCCN(CC#N)C1)C1CC1 ZINC000343660153 276313715 /nfs/dbraw/zinc/31/37/15/276313715.db2.gz UASFJVGYJRRFPF-CYBMUJFWSA-N 0 1 278.400 0.822 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2ccc(C#N)cc2)[C@@H](C)CN1 ZINC000086468960 288239715 /nfs/dbraw/zinc/23/97/15/288239715.db2.gz LEIDWIYQAXYTJE-MNOVXSKESA-N 0 1 279.365 0.929 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](C(=O)NCC2CC2)C1 ZINC000047980691 328032405 /nfs/dbraw/zinc/03/24/05/328032405.db2.gz BNJKPASIZREIPK-JSGCOSHPSA-N 0 1 291.395 0.363 20 30 CCEDMN Cc1csc(CNC[C@H](O)CN(C)CCC#N)n1 ZINC000567377858 328065470 /nfs/dbraw/zinc/06/54/70/328065470.db2.gz GDSGPLMDYCEYME-NSHDSACASA-N 0 1 268.386 0.748 20 30 CCEDMN C[C@H](C#N)CNC(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000535048473 328380697 /nfs/dbraw/zinc/38/06/97/328380697.db2.gz VCKHQRUBAHHWGJ-GFCCVEGCSA-N 0 1 287.367 0.723 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H](CC)N(C)C)C1=O ZINC000281727048 328506402 /nfs/dbraw/zinc/50/64/02/328506402.db2.gz NTVGKEPKARTGTN-RYUDHWBXSA-N 0 1 267.373 0.572 20 30 CCEDMN C=CCCC[C@H](C)NC(=O)NCC(=O)N1CCNCC1 ZINC000569459421 327180689 /nfs/dbraw/zinc/18/06/89/327180689.db2.gz JOOIVNAVMXMVLV-LBPRGKRZSA-N 0 1 282.388 0.462 20 30 CCEDMN N#CCC(=O)N1CCN(C[C@@H]2CCCC[C@@H]2O)CC1 ZINC000563969505 328842234 /nfs/dbraw/zinc/84/22/34/328842234.db2.gz XFTXEEHMPXQKCL-STQMWFEESA-N 0 1 265.357 0.595 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCCC[C@@H]1CN1CCOCC1 ZINC000174177717 329154991 /nfs/dbraw/zinc/15/49/91/329154991.db2.gz QINMDMRTHUDJBR-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCC(C)(C#N)CC2)C[C@H](C)N1 ZINC000423389629 330200406 /nfs/dbraw/zinc/20/04/06/330200406.db2.gz VGPLHODIJIYBDT-TXEJJXNPSA-N 0 1 292.383 0.347 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000423550907 330211566 /nfs/dbraw/zinc/21/15/66/330211566.db2.gz BEGUHAXAHIFMSR-MJBXVCDLSA-N 0 1 291.395 0.906 20 30 CCEDMN C=CCNC(=O)N1CCN(C2CCOCC2)CC1 ZINC000083019812 330356073 /nfs/dbraw/zinc/35/60/73/330356073.db2.gz FVPCAKOZUCDMLS-UHFFFAOYSA-N 0 1 253.346 0.679 20 30 CCEDMN CCN(C)C(=O)[C@@H](NCC(=O)N(CC)CCC#N)C(C)C ZINC000451306986 331023902 /nfs/dbraw/zinc/02/39/02/331023902.db2.gz BEOHYMXUYXYMGK-AWEZNQCLSA-N 0 1 296.415 0.841 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@@H]1CCCCN1CCO ZINC000451672762 331038314 /nfs/dbraw/zinc/03/83/14/331038314.db2.gz TYOWPNNZKNHJRG-LBPRGKRZSA-N 0 1 276.402 0.329 20 30 CCEDMN CCO[C@@H]1COC[C@H]1N[C@@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000451944836 331047903 /nfs/dbraw/zinc/04/79/03/331047903.db2.gz QYHBMYJPSCQDSM-JLNYLFASSA-N 0 1 297.399 0.823 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NC[C@H]1CCCC[N@H+]1CCO ZINC000452368143 331063307 /nfs/dbraw/zinc/06/33/07/331063307.db2.gz CFJISKWIHGFJRM-OCCSQVGLSA-N 0 1 267.373 0.889 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NC[C@H]1CCCCN1CCO ZINC000452368143 331063308 /nfs/dbraw/zinc/06/33/08/331063308.db2.gz CFJISKWIHGFJRM-OCCSQVGLSA-N 0 1 267.373 0.889 20 30 CCEDMN C=CCC[C@@H](NC(=O)c1cnn[nH]1)C(=O)OCC ZINC000456636786 331197920 /nfs/dbraw/zinc/19/79/20/331197920.db2.gz YMSPVJWDFDDCHX-MRVPVSSYSA-N 0 1 252.274 0.432 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](CO)C2)CC1 ZINC000490575001 332092847 /nfs/dbraw/zinc/09/28/47/332092847.db2.gz JJXMKXJKFYVBAJ-ZDUSSCGKSA-N 0 1 264.369 0.563 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](C)CN2CCOCC2)CC1 ZINC000490714714 332107452 /nfs/dbraw/zinc/10/74/52/332107452.db2.gz QLGJMFZDBADEBD-AWEZNQCLSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCNC(=O)c1ccc(N2C[C@H](C)N(C)C[C@@H]2C)nc1 ZINC000490717638 332108182 /nfs/dbraw/zinc/10/81/82/332108182.db2.gz DRMXBOFUNPLYLV-STQMWFEESA-N 0 1 286.379 0.973 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](C)[C@@H]2CO)CC1 ZINC000490717459 332108208 /nfs/dbraw/zinc/10/82/08/332108208.db2.gz CZOSQPDSIRHIQM-JSGCOSHPSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000490819586 332117571 /nfs/dbraw/zinc/11/75/71/332117571.db2.gz JFQGFTXNONLMTL-UHFFFAOYSA-N 0 1 256.233 0.748 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOCC23CC3)CC1 ZINC000491154004 332157532 /nfs/dbraw/zinc/15/75/32/332157532.db2.gz GEBLHOYZBLGGRV-UHFFFAOYSA-N 0 1 262.353 0.723 20 30 CCEDMN C#C[C@H](NC(=O)CN1CCN(CC)CC1)C(C)(C)C ZINC000491264039 332176125 /nfs/dbraw/zinc/17/61/25/332176125.db2.gz DLKCARKRQRCJFU-ZDUSSCGKSA-N 0 1 265.401 0.788 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1ccc2cncn2c1 ZINC000491338938 332192967 /nfs/dbraw/zinc/19/29/67/332192967.db2.gz MQJKNEILXKTEST-GFCCVEGCSA-N 0 1 255.277 0.809 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)C[C@](C)(O)c1ccccc1 ZINC000491483520 332219245 /nfs/dbraw/zinc/21/92/45/332219245.db2.gz CCRHRDURTIASHN-CJNGLKHVSA-N 0 1 274.364 0.964 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)N[C@H]1CCCc2cn[nH]c21 ZINC000491507852 332225870 /nfs/dbraw/zinc/22/58/70/332225870.db2.gz XRELRONTRHSJLD-NEPJUHHUSA-N 0 1 274.324 0.831 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000491615061 332242678 /nfs/dbraw/zinc/24/26/78/332242678.db2.gz ANVATZYSSQWNDV-QWHCGFSZSA-N 0 1 266.389 0.333 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@H](OC)C(C)(C)C2)C1=O ZINC000491618155 332244650 /nfs/dbraw/zinc/24/46/50/332244650.db2.gz CBDYLUCWSFTRJY-RYUDHWBXSA-N 0 1 278.352 0.494 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CC[C@H](c3cccnc3)C2)C1=O ZINC000491664469 332273320 /nfs/dbraw/zinc/27/33/20/332273320.db2.gz SZAIVFLBXDHFDT-UONOGXRCSA-N 0 1 283.331 0.632 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)CCCCc1cn[nH]n1 ZINC000491691343 332288110 /nfs/dbraw/zinc/28/81/10/332288110.db2.gz DSJSLLFPLQNPKM-GFCCVEGCSA-N 0 1 262.313 0.378 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)NC2CCCC2)CC1 ZINC000491735022 332315662 /nfs/dbraw/zinc/31/56/62/332315662.db2.gz OQBSOEFXAUNKAW-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN C#C[C@@H](C)N(C)CN1C[C@]2(CN3CCC2CC3)OC1=O ZINC000491803911 332357680 /nfs/dbraw/zinc/35/76/80/332357680.db2.gz JVVAUGIYSAPMEQ-DOMZBBRYSA-N 0 1 277.368 0.814 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000491812403 332364445 /nfs/dbraw/zinc/36/44/45/332364445.db2.gz FDNLZVCMCCGNQF-ZETCQYMHSA-N 0 1 264.247 0.924 20 30 CCEDMN CC[C@@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@H]1O ZINC000341023601 335165711 /nfs/dbraw/zinc/16/57/11/335165711.db2.gz SMICLKDJBNNAEO-MPKXVKKWSA-N 0 1 265.357 0.498 20 30 CCEDMN N#Cc1ccc(OCCN[C@@]2(C(N)=O)CCOC2)cc1 ZINC000576169312 335164020 /nfs/dbraw/zinc/16/40/20/335164020.db2.gz FFLXXLPVQMXADU-AWEZNQCLSA-N 0 1 275.308 0.171 20 30 CCEDMN CCN(CC(=O)NCC1CCN(CC#N)CC1)C1CC1 ZINC000132844652 334007699 /nfs/dbraw/zinc/00/76/99/334007699.db2.gz CNRHOGCINIQLKD-UHFFFAOYSA-N 0 1 278.400 0.822 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(C(=O)N(C)C)CC1 ZINC000076581609 334084907 /nfs/dbraw/zinc/08/49/07/334084907.db2.gz VMKGBXIONCZYTQ-UHFFFAOYSA-N 0 1 294.399 0.549 20 30 CCEDMN N#C[C@@]1(NC(=O)[C@H]2CCCc3[nH]ncc32)CCOC1 ZINC000547488535 334093120 /nfs/dbraw/zinc/09/31/20/334093120.db2.gz HWQHPFWPXNXWDV-ZANVPECISA-N 0 1 260.297 0.628 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)CCSC)CC1 ZINC000138271342 334204581 /nfs/dbraw/zinc/20/45/81/334204581.db2.gz PFMIPBBMGKPVNC-UHFFFAOYSA-N 0 1 269.414 0.059 20 30 CCEDMN CCc1cc(C(=O)NC2(C#N)CCN(C)CC2)[nH]n1 ZINC000555457862 334478954 /nfs/dbraw/zinc/47/89/54/334478954.db2.gz SMDZUQXIXNGAEW-UHFFFAOYSA-N 0 1 261.329 0.690 20 30 CCEDMN COc1cnccc1[C@@H](C)NC[C@@H](O)CN(C)CCC#N ZINC000568620041 334832830 /nfs/dbraw/zinc/83/28/30/334832830.db2.gz FYKPSSUUHAFBSE-CHWSQXEVSA-N 0 1 292.383 0.947 20 30 CCEDMN CC[C@H](CO)N(C)CC(=O)N[C@](C)(C#N)C(C)C ZINC000345826594 335336984 /nfs/dbraw/zinc/33/69/84/335336984.db2.gz GKAWGQFHWIGMAM-DGCLKSJQSA-N 0 1 255.362 0.744 20 30 CCEDMN C[C@@H]1CN(CC(C)(C)O)CCN1c1cc(C#N)ncn1 ZINC000577459609 335354498 /nfs/dbraw/zinc/35/44/98/335354498.db2.gz ROPIYMPMHZHZGP-LLVKDONJSA-N 0 1 275.356 0.630 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1cnc(C2CC2)o1 ZINC000577502666 335360635 /nfs/dbraw/zinc/36/06/35/335360635.db2.gz DNAHWWNPXRNRAQ-LBPRGKRZSA-N 0 1 278.356 0.848 20 30 CCEDMN CN(CC(=O)Nc1sccc1C#N)Cc1nnc[nH]1 ZINC000177144355 335367064 /nfs/dbraw/zinc/36/70/64/335367064.db2.gz NIKJQFQBKDGJFS-UHFFFAOYSA-N 0 1 276.325 0.808 20 30 CCEDMN CCc1cc(CNC[C@H](O)CN(C)CCC#N)on1 ZINC000581808977 336146688 /nfs/dbraw/zinc/14/66/88/336146688.db2.gz DLWGVFINOZNEQR-LBPRGKRZSA-N 0 1 266.345 0.533 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)N(C)[C@@H](C)CC#N ZINC000344878285 533734602 /nfs/dbraw/zinc/73/46/02/533734602.db2.gz AYLLTXPLVNETPU-UWVGGRQHSA-N 0 1 262.379 0.005 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)Cn2ncn(C)c2=O)n[nH]1 ZINC000329107545 533753715 /nfs/dbraw/zinc/75/37/15/533753715.db2.gz PEAJSJUKXGRRLI-MRVPVSSYSA-N 0 1 278.316 0.201 20 30 CCEDMN C[C@@H](CNS(=O)(=O)Cc1cccc(C#N)c1)N(C)C ZINC000451940325 534070732 /nfs/dbraw/zinc/07/07/32/534070732.db2.gz XPCRWNMWUDTHCK-NSHDSACASA-N 0 1 281.381 0.928 20 30 CCEDMN CC1(C#N)CCN(C(=O)NC[C@H]2COCCN2)CC1 ZINC000529375611 517505174 /nfs/dbraw/zinc/50/51/74/517505174.db2.gz KGNZXXZEERRBFF-NSHDSACASA-N 0 1 266.345 0.310 20 30 CCEDMN C[C@@H]1CN(C(=O)NCCOc2ccc(C#N)cc2)CCN1 ZINC000417757488 534312828 /nfs/dbraw/zinc/31/28/28/534312828.db2.gz FUSXRVDTOMVNED-GFCCVEGCSA-N 0 1 288.351 0.940 20 30 CCEDMN CCc1nc(NC(=O)CNC(=O)c2ccc(C#N)cc2)n[nH]1 ZINC000155771481 522130700 /nfs/dbraw/zinc/13/07/00/522130700.db2.gz IGQBLJLBTSDLRJ-UHFFFAOYSA-N 0 1 298.306 0.607 20 30 CCEDMN CCc1n[nH]c(NC(=O)CNC(=O)c2ccc(C#N)cc2)n1 ZINC000155771481 522130708 /nfs/dbraw/zinc/13/07/08/522130708.db2.gz IGQBLJLBTSDLRJ-UHFFFAOYSA-N 0 1 298.306 0.607 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N(C)C[C@H]1CCOC1)CC2 ZINC000330182749 534703807 /nfs/dbraw/zinc/70/38/07/534703807.db2.gz HMXFVMKBBZOUKF-VXGBXAGGSA-N 0 1 293.371 0.784 20 30 CCEDMN C=CCN1CCC(NC(=O)C(=O)c2cccn2C)CC1 ZINC000353317539 526420109 /nfs/dbraw/zinc/42/01/09/526420109.db2.gz WEINKMNAMYGMKA-UHFFFAOYSA-N 0 1 275.352 0.974 20 30 CCEDMN C=CCCNC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000665946133 545110460 /nfs/dbraw/zinc/11/04/60/545110460.db2.gz UBBZFUASPNICNV-OLZOCXBDSA-N 0 1 267.373 0.803 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC([C@@H](C)O)CC1 ZINC000111288311 526602263 /nfs/dbraw/zinc/60/22/63/526602263.db2.gz ANSDOFRAEFJXGH-GHMZBOCLSA-N 0 1 283.372 0.480 20 30 CCEDMN CCN(CC(=O)N[C@@](C)(C#N)C1CC1)C[C@H](C)O ZINC000352222900 527916476 /nfs/dbraw/zinc/91/64/76/527916476.db2.gz SUNCARCCOLICIF-GWCFXTLKSA-N 0 1 253.346 0.498 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)CC1(O)CCC1 ZINC000353482995 528353905 /nfs/dbraw/zinc/35/39/05/528353905.db2.gz GSKLVVRNGRIZOD-UHFFFAOYSA-N 0 1 253.346 0.595 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)NCc1cc(C)[nH]n1 ZINC000672541313 545270152 /nfs/dbraw/zinc/27/01/52/545270152.db2.gz GXLASACNJYRSQL-UHFFFAOYSA-N 0 1 250.302 0.369 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000352246463 529350618 /nfs/dbraw/zinc/35/06/18/529350618.db2.gz PYGSBPAXPPCRGG-DGCLKSJQSA-N 0 1 253.346 0.763 20 30 CCEDMN CC(C)(O)CCN[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000358665910 535292689 /nfs/dbraw/zinc/29/26/89/535292689.db2.gz WNONNAJZPNOMDM-GFCCVEGCSA-N 0 1 261.325 0.835 20 30 CCEDMN COCC#CCN(C)[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000677816845 545373086 /nfs/dbraw/zinc/37/30/86/545373086.db2.gz DLKFNIFWBBDUGR-LBPRGKRZSA-N 0 1 296.392 0.977 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000679631147 545412788 /nfs/dbraw/zinc/41/27/88/545412788.db2.gz YHCOIVQGZMKOTD-UHFFFAOYSA-N 0 1 285.388 0.287 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1ccc(C#N)cc1 ZINC000319065312 536695720 /nfs/dbraw/zinc/69/57/20/536695720.db2.gz OOOPDEKDKTUHGF-ZDUSSCGKSA-N 0 1 298.302 0.795 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777089185 581106959 /nfs/dbraw/zinc/10/69/59/581106959.db2.gz WLIGZTQTNDPLCX-JTQLQIEISA-N 0 1 289.335 0.512 20 30 CCEDMN C#CCOCCNC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777228891 581111034 /nfs/dbraw/zinc/11/10/34/581111034.db2.gz RWTYLDXIPZQOFB-UHFFFAOYSA-N 0 1 261.325 0.597 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CC[C@@H](CNC(=O)[O-])C1 ZINC000739414208 581133110 /nfs/dbraw/zinc/13/31/10/581133110.db2.gz GJLWZZUFPHZWJK-NSHDSACASA-N 0 1 282.344 0.338 20 30 CCEDMN Cc1[nH]c(=O)c(C#N)c(C)c1CCC(=O)Nc1c[nH]nn1 ZINC000789926988 581164195 /nfs/dbraw/zinc/16/41/95/581164195.db2.gz MIOZIIYWMPFQCD-UHFFFAOYSA-N 0 1 286.295 0.965 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cc3c(cn2)nc[nH]c3=O)=NO1 ZINC000779040355 581173244 /nfs/dbraw/zinc/17/32/44/581173244.db2.gz VAZVZOWLYMPWKP-LURJTMIESA-N 0 1 273.252 0.583 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)[C@H](C)N(C)C)n1 ZINC000790117911 581177163 /nfs/dbraw/zinc/17/71/63/581177163.db2.gz IIMGOHJQIFXLAN-LBPRGKRZSA-N 0 1 262.357 0.815 20 30 CCEDMN CN(C)C(=O)c1ccc(C=N[N-]c2[nH+]ccn2C)[nH]1 ZINC000790305643 581183328 /nfs/dbraw/zinc/18/33/28/581183328.db2.gz LSNVWODPCDJPCN-UHFFFAOYSA-N 0 1 260.301 0.896 20 30 CCEDMN COc1cccc(OC)c1C=NNC1=NC[C@H](C)N1 ZINC000779788784 581205091 /nfs/dbraw/zinc/20/50/91/581205091.db2.gz YLLKPWUDBBXJDI-VIFPVBQESA-N 0 1 262.313 0.975 20 30 CCEDMN C#CCOc1ccc(C=NNC2=NC[C@@H](C)N2)cc1 ZINC000779799538 581206611 /nfs/dbraw/zinc/20/66/11/581206611.db2.gz UWSIOIYJAHNVGF-LLVKDONJSA-N 0 1 256.309 0.970 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)[C@@H](O)c1ccc(C#N)cc1 ZINC000780905962 581249047 /nfs/dbraw/zinc/24/90/47/581249047.db2.gz HTUXTMVUPUZRNI-ZDUSSCGKSA-N 0 1 270.292 0.940 20 30 CCEDMN COC(=O)c1cc(C(=O)NC2=NO[C@H](C)C2)on1 ZINC000781431121 581268256 /nfs/dbraw/zinc/26/82/56/581268256.db2.gz LMDADYUEBFZLQL-RXMQYKEDSA-N 0 1 253.214 0.313 20 30 CCEDMN COc1ccc(NC(=O)C(C#N)C(=O)c2cnn(C)n2)cc1 ZINC000793124045 581284581 /nfs/dbraw/zinc/28/45/81/581284581.db2.gz IXCLSCOADYOLPQ-LLVKDONJSA-N 0 1 299.290 0.785 20 30 CCEDMN COC[C@H](C#N)OC(=O)CCCCc1cn[nH]n1 ZINC000782316991 581297064 /nfs/dbraw/zinc/29/70/64/581297064.db2.gz ZBKUIHNXQIJXTC-JTQLQIEISA-N 0 1 252.274 0.599 20 30 CCEDMN N#CCCNC(=O)COC(=O)CCCCc1cn[nH]n1 ZINC000782312986 581297101 /nfs/dbraw/zinc/29/71/01/581297101.db2.gz BSCWHJCHDNTBRP-UHFFFAOYSA-N 0 1 279.300 0.091 20 30 CCEDMN N#CCOc1ccc(C[N@@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000738426153 581317738 /nfs/dbraw/zinc/31/77/38/581317738.db2.gz NDURZDRVKQPYJW-CYBMUJFWSA-N 0 1 276.292 0.874 20 30 CCEDMN N#CCOc1ccc(C[N@H+]2CCO[C@@H](C(=O)[O-])C2)cc1 ZINC000738426153 581317739 /nfs/dbraw/zinc/31/77/39/581317739.db2.gz NDURZDRVKQPYJW-CYBMUJFWSA-N 0 1 276.292 0.874 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cccc3nn[nH]c32)CCN1C1CC1 ZINC000783630090 581354086 /nfs/dbraw/zinc/35/40/86/581354086.db2.gz RTTYYPZVTULOLD-LLVKDONJSA-N 0 1 296.334 0.770 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@@H](CNC(=O)[O-])C1 ZINC000738489860 581360062 /nfs/dbraw/zinc/36/00/62/581360062.db2.gz JGGCPTMUFMVOAQ-LBPRGKRZSA-N 0 1 281.356 0.777 20 30 CCEDMN N#C[C@@]1(F)CCN(CCCNC(=O)C(F)(F)F)C1 ZINC000786437511 581440575 /nfs/dbraw/zinc/44/05/75/581440575.db2.gz RDODDTLKZFWEGK-VIFPVBQESA-N 0 1 267.226 0.993 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCN(C)[C@H](C)C1 ZINC000787271404 581463269 /nfs/dbraw/zinc/46/32/69/581463269.db2.gz LXJSLZBEZCKIRN-VXGBXAGGSA-N 0 1 264.373 0.573 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2COC)CC1 ZINC000752745724 581514810 /nfs/dbraw/zinc/51/48/10/581514810.db2.gz SZWOTZZRDBHPLN-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN O=C1N=C(N=NC2CCCC[C@H]2[NH+]2CCCCC2)[N-]C1=O ZINC000765594088 581528149 /nfs/dbraw/zinc/52/81/49/581528149.db2.gz RKOLXPWNOFREDT-LLVKDONJSA-N 0 1 291.355 0.373 20 30 CCEDMN CCC[N@@H+](CC(=O)NCCC#N)[C@@H]1CCN(C(=O)[O-])C1 ZINC000739355203 581539878 /nfs/dbraw/zinc/53/98/78/581539878.db2.gz WEVLMXIENHRZOM-LLVKDONJSA-N 0 1 282.344 0.481 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H]1C[C@H](O)c1cnn(C)c1 ZINC000766947687 581588981 /nfs/dbraw/zinc/58/89/81/581588981.db2.gz ZWBZTIXJHNYLOD-KGLIPLIRSA-N 0 1 290.367 0.057 20 30 CCEDMN N#Cc1ccc([C@H]([NH2+][C@H]2CCN(C(=O)[O-])C2)C(N)=O)cc1 ZINC000740312307 581664479 /nfs/dbraw/zinc/66/44/79/581664479.db2.gz DLLMZXHYYRZYCJ-RYUDHWBXSA-N 0 1 288.307 0.427 20 30 CCEDMN C#CCNC(=O)[C@H](C)N[C@H](CCO)c1cccs1 ZINC000754386623 581741889 /nfs/dbraw/zinc/74/18/89/581741889.db2.gz LAQYSHXEAZJMJW-WDEREUQCSA-N 0 1 266.366 0.899 20 30 CCEDMN C=CCNC(=O)[C@@H](C)OC(=O)c1cc(=O)[nH]c(OC)n1 ZINC000770519431 581750126 /nfs/dbraw/zinc/75/01/26/581750126.db2.gz QCSZBEQXOCEKGC-SSDOTTSWSA-N 0 1 281.268 0.038 20 30 CCEDMN C[C@@H]1CC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)CC[N@@H+]1C ZINC000741463519 581753797 /nfs/dbraw/zinc/75/37/97/581753797.db2.gz CXLCAJSVVPJEFK-SSDOTTSWSA-N 0 1 275.316 0.314 20 30 CCEDMN C[C@@H]1CC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)CC[N@H+]1C ZINC000741463519 581753800 /nfs/dbraw/zinc/75/38/00/581753800.db2.gz CXLCAJSVVPJEFK-SSDOTTSWSA-N 0 1 275.316 0.314 20 30 CCEDMN COc1cc(C=NN2C(=O)CNC2=O)cc([N+](=O)[O-])c1O ZINC000770615243 581756231 /nfs/dbraw/zinc/75/62/31/581756231.db2.gz BIANDRJWNUKUJN-UHFFFAOYSA-N 0 1 294.223 0.195 20 30 CCEDMN NC(=O)CNN=CC1(CN2CCOCC2)CCCCC1 ZINC000758896585 581774677 /nfs/dbraw/zinc/77/46/77/581774677.db2.gz MOCYYEAZTKCQAL-UHFFFAOYSA-N 0 1 282.388 0.330 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC(N)=O)C2CCCC2)CC1 ZINC000742337840 581780017 /nfs/dbraw/zinc/78/00/17/581780017.db2.gz ZOWFDBUVUREMCD-UHFFFAOYSA-N 0 1 291.395 0.588 20 30 CCEDMN N#CCc1ccc(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)cc1 ZINC000759028284 581781008 /nfs/dbraw/zinc/78/10/08/581781008.db2.gz OHIWYKLRGISJRP-LBPRGKRZSA-N 0 1 298.306 0.479 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CN(C)CCN2C)cn1 ZINC000732149000 581806246 /nfs/dbraw/zinc/80/62/46/581806246.db2.gz ABKFHAPGJRGAKK-CQSZACIVSA-N 0 1 272.352 0.039 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H]1CC12CCC2 ZINC000759835719 581826232 /nfs/dbraw/zinc/82/62/32/581826232.db2.gz UIEJAEFUNWPNMP-NXEZZACHSA-N 0 1 250.298 0.648 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cn(CC3(C)COC3)nn2)=NO1 ZINC000760005230 581834894 /nfs/dbraw/zinc/83/48/94/581834894.db2.gz KHFLTXCKKONJKS-QMMMGPOBSA-N 0 1 279.300 0.167 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)NCC2CCC2)CC1 ZINC000772111016 581843030 /nfs/dbraw/zinc/84/30/30/581843030.db2.gz YNEBUEVPXHXBOF-UHFFFAOYSA-N 0 1 292.379 0.791 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](CC)C(=O)OC)CC1 ZINC000772110901 581843339 /nfs/dbraw/zinc/84/33/39/581843339.db2.gz WXTUZLJMFQRYTM-LBPRGKRZSA-N 0 1 267.325 0.826 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H]2CCN(C(C)C)C2=O)CC1 ZINC000772112772 581843764 /nfs/dbraw/zinc/84/37/64/581843764.db2.gz FLFYPMGWAMLMKN-CQSZACIVSA-N 0 1 292.379 0.884 20 30 CCEDMN COc1cc(C=NN2CC(=O)NC2=O)cc([N+](=O)[O-])c1O ZINC000744810578 581853671 /nfs/dbraw/zinc/85/36/71/581853671.db2.gz SKBZHLWMSUSTKO-UHFFFAOYSA-N 0 1 294.223 0.195 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCCC=C)CC1 ZINC000761027999 581883248 /nfs/dbraw/zinc/88/32/48/581883248.db2.gz DABRMXNQCSHEIL-UHFFFAOYSA-N 0 1 271.386 0.038 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@@H](COCC)N(C)C2=O)CC1 ZINC000761255118 581900146 /nfs/dbraw/zinc/90/01/46/581900146.db2.gz VNFADDOGGWIZIN-CYBMUJFWSA-N 0 1 295.383 0.936 20 30 CCEDMN O=C(NCCNCc1ccco1)C1N=CC=CC1=O ZINC000733334128 581901189 /nfs/dbraw/zinc/90/11/89/581901189.db2.gz AZHOTKYZQDWOCW-SEYXRHQNSA-N 0 1 261.281 0.896 20 30 CCEDMN CC(N=Nc1cnn(C)c1)c1ccc(S(N)(=O)=O)cc1 ZINC000761860633 581923053 /nfs/dbraw/zinc/92/30/53/581923053.db2.gz MSDIUULIOJIPRA-UHFFFAOYSA-N 0 1 293.352 0.904 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)C#Cc2ccccc2)C1 ZINC000747382850 581938551 /nfs/dbraw/zinc/93/85/51/581938551.db2.gz DYQXMOUOKUEAEH-OAHLLOKOSA-N 0 1 272.348 0.875 20 30 CCEDMN C=CCN(CCNS(=O)(=O)CCCOC)C(C)C ZINC000747524315 581944075 /nfs/dbraw/zinc/94/40/75/581944075.db2.gz HJSHUNZDAILFNJ-UHFFFAOYSA-N 0 1 278.418 0.839 20 30 CCEDMN CCOC(=O)[C@]1(C(C)C)CCN(CC(=O)NCCC#N)C1 ZINC000773921615 581947211 /nfs/dbraw/zinc/94/72/11/581947211.db2.gz LOXCZDGHUKBOQL-OAHLLOKOSA-N 0 1 295.383 0.927 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[NH+]1CCC(N(C)C(=O)[O-])CC1 ZINC000737492739 581965884 /nfs/dbraw/zinc/96/58/84/581965884.db2.gz OYQHLWOMSKTXOJ-SNVBAGLBSA-N 0 1 267.329 0.199 20 30 CCEDMN CS(=O)(=O)CCC(=O)Nc1cc(C#N)ccc1O ZINC000748410928 581974115 /nfs/dbraw/zinc/97/41/15/581974115.db2.gz GVGZJGNSLUYMSE-UHFFFAOYSA-N 0 1 268.294 0.637 20 30 CCEDMN C=CC[N@@H+](CCCCC(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000737534502 581975100 /nfs/dbraw/zinc/97/51/00/581975100.db2.gz PBQZIUYOEQMAFT-LLVKDONJSA-N 0 1 275.370 0.916 20 30 CCEDMN C=CC[N@H+](CCCCC(=O)[O-])[C@@H]1CCS(=O)(=O)C1 ZINC000737534502 581975103 /nfs/dbraw/zinc/97/51/03/581975103.db2.gz PBQZIUYOEQMAFT-LLVKDONJSA-N 0 1 275.370 0.916 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCNc1ccc(C#N)cn1 ZINC000748557970 581980148 /nfs/dbraw/zinc/98/01/48/581980148.db2.gz MNWAIDMYGFDXMC-GFCCVEGCSA-N 0 1 273.340 0.576 20 30 CCEDMN COC[C@@H](NCC(=O)NCCC#N)c1ccccc1 ZINC000775304777 582017578 /nfs/dbraw/zinc/01/75/78/582017578.db2.gz KGKQPICTOMMTQB-CYBMUJFWSA-N 0 1 261.325 0.994 20 30 CCEDMN C[C@@H](NCC(=O)NCC#N)c1ccc2c(c1)CC(=O)N2C ZINC000775350681 582020466 /nfs/dbraw/zinc/02/04/66/582020466.db2.gz JKJPUHVTYFDBII-SNVBAGLBSA-N 0 1 286.335 0.496 20 30 CCEDMN C#C[C@H](N[C@@H](C)C(=O)N1CCN(CC)CC1)[C@H]1CCCO1 ZINC000775793489 582039108 /nfs/dbraw/zinc/03/91/08/582039108.db2.gz DFKJUJMMAXTPEH-SOUVJXGZSA-N 0 1 293.411 0.309 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@@H](CNC(=O)[O-])C1 ZINC000738460363 582052918 /nfs/dbraw/zinc/05/29/18/582052918.db2.gz JZBPAWBECSOISZ-STQMWFEESA-N 0 1 293.367 0.590 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](CNC(=O)[O-])C1 ZINC000738462089 582053221 /nfs/dbraw/zinc/05/32/21/582053221.db2.gz WLONQSDREUFFEP-NEPJUHHUSA-N 0 1 279.340 0.200 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)[N@@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000738490486 582055609 /nfs/dbraw/zinc/05/56/09/582055609.db2.gz VQIMEULDPINAHN-NWDGAFQWSA-N 0 1 281.356 0.917 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000738490486 582055611 /nfs/dbraw/zinc/05/56/11/582055611.db2.gz VQIMEULDPINAHN-NWDGAFQWSA-N 0 1 281.356 0.917 20 30 CCEDMN O=C(C#Cc1ccc2c(c1)OCO2)N1CCc2[nH]nnc2C1 ZINC000776509047 582075608 /nfs/dbraw/zinc/07/56/08/582075608.db2.gz ZTLYLSVBWJCFOW-UHFFFAOYSA-N 0 1 296.286 0.470 20 30 CCEDMN N#Cc1ccc([C@H](C(=O)[O-])N2C[C@@H]3C[NH2+]C[C@H]3C2)cc1 ZINC000739104572 582102764 /nfs/dbraw/zinc/10/27/64/582102764.db2.gz HDWLYQQXJDIBTF-MELADBBJSA-N 0 1 271.320 0.835 20 30 CCEDMN O=C1N=C(NN=Cc2cc(Cl)cc(F)c2O)NC1=O ZINC000768366515 582105927 /nfs/dbraw/zinc/10/59/27/582105927.db2.gz GUMIZOSLKAWRFD-UHFFFAOYSA-N 0 1 284.634 0.121 20 30 CCEDMN C#CCN1CCN(Cc2cc3c(cc2O)OC[C@@H]3O)CC1 ZINC000768442328 582106683 /nfs/dbraw/zinc/10/66/83/582106683.db2.gz ROFGWHLGLJLIME-HNNXBMFYSA-N 0 1 288.347 0.569 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000054298978 597085687 /nfs/dbraw/zinc/08/56/87/597085687.db2.gz NNWZSCQFGVSHGV-WDEREUQCSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC000805032466 612985565 /nfs/dbraw/zinc/98/55/65/612985565.db2.gz MELJSWBBACHXDB-YPMHNXCESA-N 0 1 270.398 0.802 20 30 CCEDMN C[C@@H](C(=O)[O-])[N@@H+](C)C[C@H](O)COc1ccc(CC#N)cc1 ZINC000817772342 597492937 /nfs/dbraw/zinc/49/29/37/597492937.db2.gz VGHHJTYOQGRHRF-AAEUAGOBSA-N 0 1 292.335 0.897 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N[C@@H]1CC[N@H+](C2CC2)C1 ZINC000819821611 597637720 /nfs/dbraw/zinc/63/77/20/597637720.db2.gz IUPYZVRFBNRSAM-NOZJJQNGSA-N 0 1 265.313 0.344 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC2CC2)CC1 ZINC000822620920 612995119 /nfs/dbraw/zinc/99/51/19/612995119.db2.gz FODKAMBMQTVMFZ-LBPRGKRZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCN1CC[N@@H+](C)C(C)(C)C1 ZINC000831243585 613001266 /nfs/dbraw/zinc/00/12/66/613001266.db2.gz PTKSXWBGKSOIJG-CYBMUJFWSA-N 0 1 281.400 0.167 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@H](OC)C2CC2)CC1 ZINC000981075656 613003448 /nfs/dbraw/zinc/00/34/48/613003448.db2.gz LPILOXCGFCYGRI-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCOc1ccc(CNC[C@H](O)CS(C)(=O)=O)cc1 ZINC000922821305 613006507 /nfs/dbraw/zinc/00/65/07/613006507.db2.gz KLTYSKFMRMJZNY-ZDUSSCGKSA-N 0 1 297.376 0.194 20 30 CCEDMN C#CCNC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000905150734 612947030 /nfs/dbraw/zinc/94/70/30/612947030.db2.gz OEHIOHWBIXVJES-MNOVXSKESA-N 0 1 253.371 0.499 20 30 CCEDMN CS(=O)(=O)NCC[C@@H]1CCCCN1C[C@H](O)CC#N ZINC000809572027 617175751 /nfs/dbraw/zinc/17/57/51/617175751.db2.gz GXIUNUJNAVHMTL-NWDGAFQWSA-N 0 1 289.401 0.055 20 30 CCEDMN C#CCCN1CCN(C[C@@H]2COC(C)(C)O2)CC1 ZINC000851873327 613011397 /nfs/dbraw/zinc/01/13/97/613011397.db2.gz VHIWBOJOBSUFBK-CYBMUJFWSA-N 0 1 252.358 0.779 20 30 CCEDMN C#CCSCC(=O)NCc1n[nH]c(C(C)C)n1 ZINC000897880405 613012806 /nfs/dbraw/zinc/01/28/06/613012806.db2.gz QEECEDKYJFDZMU-UHFFFAOYSA-N 0 1 252.343 0.911 20 30 CCEDMN CN1CC(C(=O)NC2CC3(CC(NCC#N)C3)C2)=NC1=O ZINC000964902933 649926396 /nfs/dbraw/zinc/92/63/96/649926396.db2.gz ZFWLNBLPPURMBU-UHFFFAOYSA-N 0 1 289.339 0.280 20 30 CCEDMN C#CC1(F)CN(C(=O)c2ccc(NS(C)(=O)=O)nc2)C1 ZINC000881936910 612949189 /nfs/dbraw/zinc/94/91/89/612949189.db2.gz JQBICAVXQWPHAR-UHFFFAOYSA-N 0 1 297.311 0.250 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCN(CCCF)CC1 ZINC000860013284 612950117 /nfs/dbraw/zinc/95/01/17/612950117.db2.gz NMNCTPXMKKXTSD-LBPRGKRZSA-N 0 1 255.337 0.102 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NCCN1CCC1 ZINC000875918538 613020542 /nfs/dbraw/zinc/02/05/42/613020542.db2.gz SNAYYIXNPURPPW-LBPRGKRZSA-N 0 1 253.346 0.420 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000885262735 612951015 /nfs/dbraw/zinc/95/10/15/612951015.db2.gz PRFFSQVCNWKXJI-KWBADKCTSA-N 0 1 289.335 0.757 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@@H+](C)CC(C)(C)CNC(=O)[O-] ZINC000823654895 603626978 /nfs/dbraw/zinc/62/69/78/603626978.db2.gz NLAOMSLFAMENPF-LLVKDONJSA-N 0 1 298.387 0.973 20 30 CCEDMN C#CC[C@@H](NC(=O)CN1CCC(C)CC1)C(=O)OC ZINC000837945207 613039658 /nfs/dbraw/zinc/03/96/58/613039658.db2.gz XSSVQWFAABVHPW-GFCCVEGCSA-N 0 1 266.341 0.399 20 30 CCEDMN C#CC[C@@H](NC(=O)CN(C)[C@@H]1CCSC1)C(=O)OC ZINC000837949980 613039671 /nfs/dbraw/zinc/03/96/71/613039671.db2.gz GSQSBIHITKHPSL-GHMZBOCLSA-N 0 1 284.381 0.105 20 30 CCEDMN C#CCNCC(=O)N(CCCC)[C@@H]1CCS(=O)(=O)C1 ZINC000105964403 612956328 /nfs/dbraw/zinc/95/63/28/612956328.db2.gz GTORJRCAENLMKD-GFCCVEGCSA-N 0 1 286.397 0.025 20 30 CCEDMN C#CCNCC(=O)NC1CCN(C(=O)C(CC)CC)CC1 ZINC000912418474 612956301 /nfs/dbraw/zinc/95/63/01/612956301.db2.gz HEVKUIZETGRWQE-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCNCC(=O)N(CCOC)CCc1ccccc1 ZINC000912490003 612956479 /nfs/dbraw/zinc/95/64/79/612956479.db2.gz QWFYMUGIUNXUIW-UHFFFAOYSA-N 0 1 274.364 0.927 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CN2CCCCC2=O)C1 ZINC000971856467 613080789 /nfs/dbraw/zinc/08/07/89/613080789.db2.gz RVNXBEHVBCAWDH-CYBMUJFWSA-N 0 1 277.368 0.165 20 30 CCEDMN N#Cc1cccc(Cn2cnc(-c3nn[nH]n3)n2)c1F ZINC000826386565 608670123 /nfs/dbraw/zinc/67/01/23/608670123.db2.gz JVGSMGZLWBTSBE-UHFFFAOYSA-N 0 1 270.231 0.517 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)[C@@]2(C)C[C@H](O)CN2C)C1 ZINC000912046822 613105348 /nfs/dbraw/zinc/10/53/48/613105348.db2.gz INUIFVYMFZVPAT-MBNYWOFBSA-N 0 1 250.342 0.313 20 30 CCEDMN C#CCN(CCCN1CCOC1=O)C1CSC1 ZINC000878913963 613107836 /nfs/dbraw/zinc/10/78/36/613107836.db2.gz HZPXYAGAMKHALN-UHFFFAOYSA-N 0 1 254.355 0.879 20 30 CCEDMN C#CCN(C[C@@H](O)COC[C@H]1CCCO1)C1CSC1 ZINC000878913558 613108332 /nfs/dbraw/zinc/10/83/32/613108332.db2.gz UMRTWBHTVJDYMT-ZIAGYGMSSA-N 0 1 285.409 0.594 20 30 CCEDMN C#CC1CCN(CC(=O)N2CCN(C(C)=O)CC2)CC1 ZINC000830305102 612964515 /nfs/dbraw/zinc/96/45/15/612964515.db2.gz GBVUAQWQIPJOOX-UHFFFAOYSA-N 0 1 277.368 0.022 20 30 CCEDMN CC(C)CCSCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834495397 614058715 /nfs/dbraw/zinc/05/87/15/614058715.db2.gz VGFJTSIKRDHAOJ-MNOVXSKESA-N 0 1 255.387 0.993 20 30 CCEDMN C#CCCCC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907480910 612974765 /nfs/dbraw/zinc/97/47/65/612974765.db2.gz XEFWLLNLNRDSHI-SECBINFHSA-N 0 1 265.342 0.620 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@H](c2[nH]ncc2N)C1 ZINC000907413546 612978846 /nfs/dbraw/zinc/97/88/46/612978846.db2.gz VYIOUMTYGRSGCD-JTQLQIEISA-N 0 1 262.313 0.348 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)c(F)c1 ZINC000834510471 617235011 /nfs/dbraw/zinc/23/50/11/617235011.db2.gz BKCXTPHSEYCQDM-PELKAZGASA-N 0 1 263.272 0.676 20 30 CCEDMN CSCCCn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000821969751 617235675 /nfs/dbraw/zinc/23/56/75/617235675.db2.gz DXAOILOERNOCFK-UHFFFAOYSA-N 0 1 264.318 0.270 20 30 CCEDMN COc1ccc(C(C)=NNC2=NCCN2)cc1OC ZINC000841661005 617236784 /nfs/dbraw/zinc/23/67/84/617236784.db2.gz IJKLYPQSUMTYIU-UHFFFAOYSA-N 0 1 262.313 0.977 20 30 CCEDMN COc1ccc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834507255 617241979 /nfs/dbraw/zinc/24/19/79/617241979.db2.gz ZBMCAPXVUGPJSQ-WCQYABFASA-N 0 1 259.309 0.465 20 30 CCEDMN C#CC[NH2+][C@@H]1CCN(C(=O)c2cnc(C3CC3)[n-]c2=O)C1 ZINC000970197201 612967151 /nfs/dbraw/zinc/96/71/51/612967151.db2.gz QRMCQLCSBJJFNT-LLVKDONJSA-N 0 1 286.335 0.497 20 30 CCEDMN C#CCC1(NCc2ncnn2CCF)CCOCC1 ZINC000887122091 612971070 /nfs/dbraw/zinc/97/10/70/612971070.db2.gz KNAVMHVEIYNZJW-UHFFFAOYSA-N 0 1 266.320 0.910 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC000960295871 612971127 /nfs/dbraw/zinc/97/11/27/612971127.db2.gz MTRHIUNEFTZOCF-BPKGMFCQSA-N 0 1 296.370 0.884 20 30 CCEDMN C#CCC1(O)CCN(C[C@@H]2CN(C3CC3)C(=O)O2)CC1 ZINC000880482746 612973554 /nfs/dbraw/zinc/97/35/54/612973554.db2.gz PYJQBPDZCQMZSL-CYBMUJFWSA-N 0 1 278.352 0.820 20 30 CCEDMN C#CCCCCCCN1CCN2[C@H](CNS2(=O)=O)C1 ZINC000933094667 612976659 /nfs/dbraw/zinc/97/66/59/612976659.db2.gz WQESABJYZVUZTO-CYBMUJFWSA-N 0 1 285.413 0.404 20 30 CCEDMN C#CCOCCC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829257529 612977243 /nfs/dbraw/zinc/97/72/43/612977243.db2.gz JZMJUQMUOCYQKH-UHFFFAOYSA-N 0 1 294.395 0.597 20 30 CCEDMN C#CCCCCNC(=O)NCc1n[nH]c(=O)n1C ZINC000888562711 612977897 /nfs/dbraw/zinc/97/78/97/612977897.db2.gz OLIQNDWIOBMLSL-UHFFFAOYSA-N 0 1 251.290 0.123 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC000806917092 612980603 /nfs/dbraw/zinc/98/06/03/612980603.db2.gz YKKQDOTZAVJSME-VXGBXAGGSA-N 0 1 277.368 0.257 20 30 CCEDMN C#CCOCCC(=O)Nc1n[nH]c(C(=O)OCC)c1C ZINC000888131834 612981041 /nfs/dbraw/zinc/98/10/41/612981041.db2.gz CAKYITJULUUCRW-UHFFFAOYSA-N 0 1 279.296 0.873 20 30 CCEDMN C#CCOCCNC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000811903622 612988139 /nfs/dbraw/zinc/98/81/39/612988139.db2.gz UVPIDVSSWWWRPZ-JTQLQIEISA-N 0 1 262.313 0.216 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(C2CCOCC2)C[C@H]1C ZINC000830696675 612995087 /nfs/dbraw/zinc/99/50/87/612995087.db2.gz JYXRIUUKCIDWKM-KGLIPLIRSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCO[C@@H](C)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000835072423 612998759 /nfs/dbraw/zinc/99/87/59/612998759.db2.gz FVKKLHYWIHDLBN-CMPLNLGQSA-N 0 1 262.309 0.792 20 30 CCEDMN C#CCOc1ccc(C(=O)NCCN2CC[C@@H](O)C2)cc1 ZINC000863321918 613005241 /nfs/dbraw/zinc/00/52/41/613005241.db2.gz IKAAIRAWLCVAHK-CQSZACIVSA-N 0 1 288.347 0.495 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cncnc2C)CC1 ZINC000980759569 613006414 /nfs/dbraw/zinc/00/64/14/613006414.db2.gz WCNRQQGFEZSAFS-UHFFFAOYSA-N 0 1 272.352 0.956 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)c2cncc(C(=O)[O-])c2)CC1 ZINC000833362360 613011177 /nfs/dbraw/zinc/01/11/77/613011177.db2.gz OCLKKBVJSMEYNR-UHFFFAOYSA-N 0 1 287.319 0.561 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000863781949 613022217 /nfs/dbraw/zinc/02/22/17/613022217.db2.gz QDBVFTOBFWHBHV-QWRGUYRKSA-N 0 1 276.340 0.769 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@@H](NC(=O)c2cncnc2)C1 ZINC000965936131 613023566 /nfs/dbraw/zinc/02/35/66/613023566.db2.gz MXSXOMVFHFTRHD-JSGCOSHPSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CC[C@@H](CO)NCc1cc(C(F)(F)F)nn1C ZINC000883125347 613030301 /nfs/dbraw/zinc/03/03/01/613030301.db2.gz GJRNPSOQOUWDDI-QMMMGPOBSA-N 0 1 261.247 0.913 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N(C)Cc1cnc[nH]1 ZINC000859037996 613032892 /nfs/dbraw/zinc/03/28/92/613032892.db2.gz HPPBWONOKPCBJZ-JTQLQIEISA-N 0 1 250.302 0.589 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N1CCN(C)C(C)(C)C1 ZINC000883747787 613033932 /nfs/dbraw/zinc/03/39/32/613033932.db2.gz QPNSIPWHKQUREE-LBPRGKRZSA-N 0 1 267.373 0.760 20 30 CCEDMN C#CCCN1C[C@H](NC(=O)[C@@]2(F)CCOC2)C(C)(C)C1 ZINC000974978056 613037916 /nfs/dbraw/zinc/03/79/16/613037916.db2.gz YGPBLRKQDZPVAJ-SWLSCSKDSA-N 0 1 282.359 0.965 20 30 CCEDMN C#CC[C@@H](Cc1ccccc1)NC(=O)CCc1nn[nH]n1 ZINC000868277940 613039263 /nfs/dbraw/zinc/03/92/63/613039263.db2.gz WUEPOJGZDRXUCM-ZDUSSCGKSA-N 0 1 283.335 0.883 20 30 CCEDMN C#CC[C@@H]1CCN(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC000888251994 613046131 /nfs/dbraw/zinc/04/61/31/613046131.db2.gz VDTZGRKYLUOFJK-KGLIPLIRSA-N 0 1 278.400 0.287 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@H]1CCN1C1CCCC1 ZINC000859347503 613054349 /nfs/dbraw/zinc/05/43/49/613054349.db2.gz QRXGUOZWBKEKAV-CYBMUJFWSA-N 0 1 270.398 0.946 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H]1CN(C2CC2)C[C@@H]1C ZINC000808301500 613054997 /nfs/dbraw/zinc/05/49/97/613054997.db2.gz QCQWKPVMOJOXFK-CMPLNLGQSA-N 0 1 256.371 0.412 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000875929678 613061902 /nfs/dbraw/zinc/06/19/02/613061902.db2.gz UBCFAVDEULYEOA-BFHYXJOUSA-N 0 1 297.399 0.121 20 30 CCEDMN C#CCN(C(=O)CCc1nn[nH]n1)C1CCCCC1 ZINC000865038777 613065660 /nfs/dbraw/zinc/06/56/60/613065660.db2.gz VFECCKRTDPAPOT-UHFFFAOYSA-N 0 1 261.329 0.927 20 30 CCEDMN C#CC[C@H](NC(=O)C[N@@H+](C)CCc1ccccc1)C(=O)[O-] ZINC000910049960 613067765 /nfs/dbraw/zinc/06/77/65/613067765.db2.gz BAAOPGDGKWISHP-AWEZNQCLSA-N 0 1 288.347 0.754 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1C[C@H]1c1cnc[nH]1)C(=O)OC ZINC000823519293 613069031 /nfs/dbraw/zinc/06/90/31/613069031.db2.gz LVLHKWBUUBXRIM-BBBLOLIVSA-N 0 1 261.281 0.194 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CCc2c[nH+]c(C)n2C1)C(=O)[O-] ZINC000910049574 613069086 /nfs/dbraw/zinc/06/90/86/613069086.db2.gz XYFRKZJMEWNOTG-PWSUYJOCSA-N 0 1 275.308 0.347 20 30 CCEDMN C#CC[C@H]1CCN(Cc2cn(CC(=O)OC)nn2)C1 ZINC000862447224 613074218 /nfs/dbraw/zinc/07/42/18/613074218.db2.gz JUHWMNAZBNMEKH-NSHDSACASA-N 0 1 262.313 0.296 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NC[C@@H]1CC[N@H+]1C1CCOCC1 ZINC000927636752 613079302 /nfs/dbraw/zinc/07/93/02/613079302.db2.gz GQUGPQLCMCTWEO-OCCSQVGLSA-N 0 1 279.384 0.903 20 30 CCEDMN C#C[C@@H](C)NC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC000875719371 613081605 /nfs/dbraw/zinc/08/16/05/613081605.db2.gz JEOZDBQGRGPNKI-SECBINFHSA-N 0 1 277.328 0.520 20 30 CCEDMN C#C[C@@H](CO)NC(=O)c1ccc(CN2CCCCC2)o1 ZINC000821288317 613089554 /nfs/dbraw/zinc/08/95/54/613089554.db2.gz IWVXSUZNEAARHR-LBPRGKRZSA-N 0 1 276.336 0.989 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COc2cc(C)on2)C1 ZINC000971721435 613089883 /nfs/dbraw/zinc/08/98/83/613089883.db2.gz BLHPAKDISYTVIA-LBPRGKRZSA-N 0 1 277.324 0.528 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)N2CCCCC2=O)C1 ZINC000980468162 613091315 /nfs/dbraw/zinc/09/13/15/613091315.db2.gz VDIQETTUJDYTBW-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC000971777247 613092476 /nfs/dbraw/zinc/09/24/76/613092476.db2.gz CNPPLEFCYZFHGH-WFASDCNBSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc(N(C)C)ccn2)C1 ZINC000980520091 613094397 /nfs/dbraw/zinc/09/43/97/613094397.db2.gz CLKRNVSUMKARRU-AWEZNQCLSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cccc(C(=O)NC)c2)C1 ZINC000980440760 613095342 /nfs/dbraw/zinc/09/53/42/613095342.db2.gz SMLIBGKDKSQFKG-HNNXBMFYSA-N 0 1 299.374 0.826 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2snnc2C)C1 ZINC000971059186 613097537 /nfs/dbraw/zinc/09/75/37/613097537.db2.gz MYYLWYZJZRHZFR-JTQLQIEISA-N 0 1 264.354 0.626 20 30 CCEDMN C#CC[N@@H+](CC)CCCN1C(=O)CS/C1=C\C(=O)[O-] ZINC000825614754 613106477 /nfs/dbraw/zinc/10/64/77/613106477.db2.gz XDZDBIVNIMFQNP-XFXZXTDPSA-N 0 1 282.365 0.833 20 30 CCEDMN C#CCN(C[C@@H](O)Cn1cccn1)C1CSC1 ZINC000878914552 613108155 /nfs/dbraw/zinc/10/81/55/613108155.db2.gz WWFDLHKWGFZQSB-GFCCVEGCSA-N 0 1 251.355 0.295 20 30 CCEDMN C#CCN1C(=O)C[C@@H](N2CCC3(CCCCO3)CC2)C1=O ZINC000887304894 613109557 /nfs/dbraw/zinc/10/95/57/613109557.db2.gz QOPKSPFVVGLYIV-CYBMUJFWSA-N 0 1 290.363 0.782 20 30 CCEDMN COc1ccccc1OCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834511894 617316546 /nfs/dbraw/zinc/31/65/46/617316546.db2.gz PKJWJMPFTGWQRZ-WDEREUQCSA-N 0 1 275.308 0.302 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)c2cc(CC)[nH]n2)C1 ZINC000949696784 613112300 /nfs/dbraw/zinc/11/23/00/613112300.db2.gz QOPLQROAKSVLDK-UHFFFAOYSA-N 0 1 260.341 0.752 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCc2sc(CN)nc2C1 ZINC000892879589 613116467 /nfs/dbraw/zinc/11/64/67/613116467.db2.gz QMVHTIJRVVXVTF-QMMMGPOBSA-N 0 1 264.354 0.691 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000854076847 613120100 /nfs/dbraw/zinc/12/01/00/613120100.db2.gz CKIAVPANTQLDSN-GFCCVEGCSA-N 0 1 267.373 0.496 20 30 CCEDMN C#CC[NH+]1CC([C@@H](C)NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000969505779 613122394 /nfs/dbraw/zinc/12/23/94/613122394.db2.gz FWXIVIHDGRNKGP-MRVPVSSYSA-N 0 1 262.313 0.107 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CC2(C)COC2)CC1 ZINC000862762316 613128680 /nfs/dbraw/zinc/12/86/80/613128680.db2.gz AFPJTZRJXCAMAF-UHFFFAOYSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](NC(=O)OC)C2)CC1 ZINC000894753217 613129463 /nfs/dbraw/zinc/12/94/63/613129463.db2.gz QXMQMRDSCIGNDI-ZDUSSCGKSA-N 0 1 293.367 0.289 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H]3C[C@H](O)CC[C@@H]3C2)CC1 ZINC000855335676 613130045 /nfs/dbraw/zinc/13/00/45/613130045.db2.gz DBCODHHBIBUGIT-OWCLPIDISA-N 0 1 290.407 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C2/SCC(=O)N2C)CC1 ZINC000928649309 613132325 /nfs/dbraw/zinc/13/23/25/613132325.db2.gz YWNQZFRNPBENHV-NTEUORMPSA-N 0 1 293.392 0.247 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C/[C@@H]2CCCO2)CC1 ZINC000928649120 613132487 /nfs/dbraw/zinc/13/24/87/613132487.db2.gz GLVIKYLGLQMSGP-GJBLVYBDSA-N 0 1 262.353 0.935 20 30 CCEDMN C#CCN1CCC(NC(=O)N(C)C2CCOCC2)CC1 ZINC000921438266 613133266 /nfs/dbraw/zinc/13/32/66/613133266.db2.gz WDGHSJZFZHDKPP-UHFFFAOYSA-N 0 1 279.384 0.904 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H]2CCCO2)CC1 ZINC000928657008 613133448 /nfs/dbraw/zinc/13/34/48/613133448.db2.gz MEVVURKVIIJDRN-ZDUSSCGKSA-N 0 1 250.342 0.769 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC2(CC)COC2)CC1 ZINC000922750923 613133988 /nfs/dbraw/zinc/13/39/88/613133988.db2.gz HVWAXTFXYJUJFF-UHFFFAOYSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCn2ccnc2)CC1 ZINC000905145735 613134000 /nfs/dbraw/zinc/13/40/00/613134000.db2.gz QADYKBWFETVXNV-UHFFFAOYSA-N 0 1 275.356 0.280 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCOC[C@@H]2C)CC1 ZINC000922201742 613135032 /nfs/dbraw/zinc/13/50/32/613135032.db2.gz MJCLNFGKHYUBOV-GXTWGEPZSA-N 0 1 279.384 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCOC2)CC1 ZINC000921618365 613135062 /nfs/dbraw/zinc/13/50/62/613135062.db2.gz MHQZTXKVROCKSY-GFCCVEGCSA-N 0 1 251.330 0.172 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCO[C@H]2C)CC1 ZINC000928654339 613135680 /nfs/dbraw/zinc/13/56/80/613135680.db2.gz XGUKQGPSIWWYRD-WCQYABFASA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)OCCOCC)CC1 ZINC000928656708 613135847 /nfs/dbraw/zinc/13/58/47/613135847.db2.gz CAITZWDKXOMVNA-CYBMUJFWSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)c2cncnc2)CC1 ZINC000928653040 613136172 /nfs/dbraw/zinc/13/61/72/613136172.db2.gz WPWZNIDPUNNAJB-GFCCVEGCSA-N 0 1 272.352 0.794 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@H]2C(=O)OCC)CC1 ZINC000830163121 613136187 /nfs/dbraw/zinc/13/61/87/613136187.db2.gz UKGRBSDNAPXJCG-CHWSQXEVSA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc3c(c2)nnn3C)CC1 ZINC000928657894 613137035 /nfs/dbraw/zinc/13/70/35/613137035.db2.gz DHERMUWUXFJDAN-UHFFFAOYSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc3n[nH]nc3c2)CC1 ZINC000928659572 613137107 /nfs/dbraw/zinc/13/71/07/613137107.db2.gz RWGPZTAKLVXRBK-UHFFFAOYSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cncc(C#C)c2)CC1 ZINC000928653254 613137709 /nfs/dbraw/zinc/13/77/09/613137709.db2.gz GBNWABSXSAWHDJ-UHFFFAOYSA-N 0 1 267.332 0.890 20 30 CCEDMN C#CCN1CCC(NC(=O)c2n[nH]c3c2CCC3)CC1 ZINC000928657618 613138160 /nfs/dbraw/zinc/13/81/60/613138160.db2.gz QECUUXMPKJGNPW-UHFFFAOYSA-N 0 1 272.352 0.726 20 30 CCEDMN C#CCN1CCC(Nc2cncc(OCCO)n2)CC1 ZINC000895809141 613138706 /nfs/dbraw/zinc/13/87/06/613138706.db2.gz ZKYVHOHPQFBUCF-UHFFFAOYSA-N 0 1 276.340 0.357 20 30 CCEDMN C#C[C@H](NC(=O)NCCCc1nc[nH]n1)C1CCOCC1 ZINC000823159435 613139486 /nfs/dbraw/zinc/13/94/86/613139486.db2.gz SXASJYHKTZUFFT-LBPRGKRZSA-N 0 1 291.355 0.465 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@@H](C)C#N)CCO2 ZINC000949051271 613139598 /nfs/dbraw/zinc/13/95/98/613139598.db2.gz CRLBZXDYDYRPEL-ZDUSSCGKSA-N 0 1 275.352 0.473 20 30 CCEDMN C#C[C@H](NC(=O)NCc1cc(C)[nH]n1)C1CCOCC1 ZINC000852129707 613141479 /nfs/dbraw/zinc/14/14/79/613141479.db2.gz APRGWUQJPZXGPX-ZDUSSCGKSA-N 0 1 276.340 0.946 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3ncc[nH]3)C2)C1 ZINC000982082941 613141522 /nfs/dbraw/zinc/14/15/22/613141522.db2.gz CHAGPPJINBJRPH-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3cnc[nH]3)C2)C1 ZINC000982036333 613141548 /nfs/dbraw/zinc/14/15/48/613141548.db2.gz FLLYCHLNXAKVGA-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@]3(C)CCNC3=O)C2)C1 ZINC000982009078 613142476 /nfs/dbraw/zinc/14/24/76/613142476.db2.gz YUAZHWDIPSNMFP-HNNXBMFYSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC000968980174 613145202 /nfs/dbraw/zinc/14/52/02/613145202.db2.gz SQJOIWHYEPUQLK-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CC[N@@H+]1CCCC2(CN(C(=O)c3n[nH]c(C)c3[O-])C2)C1 ZINC000981719717 613145572 /nfs/dbraw/zinc/14/55/72/613145572.db2.gz FWKBNPXHSXRMLC-UHFFFAOYSA-N 0 1 288.351 0.595 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC000968998338 613148202 /nfs/dbraw/zinc/14/82/02/613148202.db2.gz SQJOIWHYEPUQLK-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C#C[C@H](NC[C@H]1CN(CC)C(=O)O1)[C@@H]1CCCO1 ZINC000846856465 613151239 /nfs/dbraw/zinc/15/12/39/613151239.db2.gz BUOQFIMCDCRQIH-SRVKXCTJSA-N 0 1 252.314 0.598 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N2CCO[C@@H](C)C2)C1 ZINC000847032612 613158768 /nfs/dbraw/zinc/15/87/68/613158768.db2.gz XQWIUAQKPRTFEC-STQMWFEESA-N 0 1 250.342 0.579 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)NCCCN2CCCC2=O)C1 ZINC000847029703 613158896 /nfs/dbraw/zinc/15/88/96/613158896.db2.gz NIHCAPAFADFEFN-AWEZNQCLSA-N 0 1 291.395 0.460 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1nnc2ccccc2c1O ZINC000838269474 613162476 /nfs/dbraw/zinc/16/24/76/613162476.db2.gz NSJVLYFKMDDZFW-JTQLQIEISA-N 0 1 283.287 0.810 20 30 CCEDMN C#C[C@](C)(CC)N[C@H](C)C(=O)N[C@@H]1CCS(=O)(=O)C1 ZINC000852098754 613164746 /nfs/dbraw/zinc/16/47/46/613164746.db2.gz VBZXYNQKPKMWGS-NQBHXWOUSA-N 0 1 286.397 0.070 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCOC[C@@H]2c2nn[nH]n2)cc1 ZINC000913499363 613170453 /nfs/dbraw/zinc/17/04/53/613170453.db2.gz OFUHKTXUIGQJON-GFCCVEGCSA-N 0 1 283.291 0.395 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@@H](N(C)[C@H](C)C(N)=O)C2)cc1 ZINC000972058128 613171655 /nfs/dbraw/zinc/17/16/55/613171655.db2.gz FWGAYGJMGHLLNF-IUODEOHRSA-N 0 1 299.374 0.688 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C/c2cnccn2)C1 ZINC000923583522 613181148 /nfs/dbraw/zinc/18/11/48/613181148.db2.gz CYOQJXBGCRAXST-GJBLVYBDSA-N 0 1 270.336 0.704 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC(=O)[C@@H](C)N(C)C)c1 ZINC000874098377 613204302 /nfs/dbraw/zinc/20/43/02/613204302.db2.gz GRNPFHHFHUSZSM-LLVKDONJSA-N 0 1 273.336 0.673 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC(F)CC2)CC1 ZINC000880242302 613205279 /nfs/dbraw/zinc/20/52/79/613205279.db2.gz TWFLXDCQLHSFHG-UHFFFAOYSA-N 0 1 267.348 0.198 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000913498298 613205345 /nfs/dbraw/zinc/20/53/45/613205345.db2.gz NZYFLOXVJGIMFK-ZDUSSCGKSA-N 0 1 297.318 0.324 20 30 CCEDMN C#CCN1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CC1 ZINC000874467402 613206960 /nfs/dbraw/zinc/20/69/60/613206960.db2.gz MUTJHNBOAHVIOL-VXGBXAGGSA-N 0 1 258.325 0.291 20 30 CCEDMN C#CCN1CCN(c2nnc(Cc3cc(C)n[nH]3)n2C)CC1 ZINC000871024239 613209502 /nfs/dbraw/zinc/20/95/02/613209502.db2.gz NXVNKMSESWUPRQ-UHFFFAOYSA-N 0 1 299.382 0.193 20 30 CCEDMN C#CCN1CCO[C@@]2(CCN(C(=O)c3cnc(C)[nH]3)C2)C1 ZINC000972300035 613211831 /nfs/dbraw/zinc/21/18/31/613211831.db2.gz FBFIZLBOSUYJGW-HNNXBMFYSA-N 0 1 288.351 0.268 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2ccc(C)n2)C1 ZINC000968340337 613214433 /nfs/dbraw/zinc/21/44/33/613214433.db2.gz NRFFBYCIYCWQGM-OCCSQVGLSA-N 0 1 274.368 0.651 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966884639 613216856 /nfs/dbraw/zinc/21/68/56/613216856.db2.gz OPVRAQFZSPQKNI-PWSUYJOCSA-N 0 1 274.324 0.256 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@@H]2[C@H]3CN(CCF)C[C@H]32)c1 ZINC000978701860 613218491 /nfs/dbraw/zinc/21/84/91/613218491.db2.gz TWSOWNOUQAKMPX-QKDCVEJESA-N 0 1 287.338 0.940 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000967718841 613221364 /nfs/dbraw/zinc/22/13/64/613221364.db2.gz FQJTYLVDPVTEOJ-GHMZBOCLSA-N 0 1 276.340 0.199 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(COC)on2)[C@H](C)C1 ZINC000943464437 613233838 /nfs/dbraw/zinc/23/38/38/613233838.db2.gz GYYSTYCLDZGPEC-DGCLKSJQSA-N 0 1 291.351 0.894 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(OC)no2)C[C@H]1C ZINC000947210640 613233907 /nfs/dbraw/zinc/23/39/07/613233907.db2.gz JBAJCSBQPFWPLR-GHMZBOCLSA-N 0 1 277.324 0.899 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc(OC)nn2)C[C@H]1C ZINC000947894928 613236648 /nfs/dbraw/zinc/23/66/48/613236648.db2.gz DMXVGRDTYRQDTO-VXGBXAGGSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cccnn2)C(C)(C)C1 ZINC000941120806 613238303 /nfs/dbraw/zinc/23/83/03/613238303.db2.gz XJYHNEBLFCOWKO-CYBMUJFWSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2C)C[C@@H]1C ZINC000947061251 613242354 /nfs/dbraw/zinc/24/23/54/613242354.db2.gz NOYHHCWRHLVZFA-WCQYABFASA-N 0 1 274.368 0.944 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nonc2C)[C@H](C)C1 ZINC000945946234 613244402 /nfs/dbraw/zinc/24/44/02/613244402.db2.gz ZSEZXZOFZYNSNJ-MWLCHTKSSA-N 0 1 262.313 0.451 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@@H]2CCNC2=O)C1 ZINC000951137667 613245181 /nfs/dbraw/zinc/24/51/81/613245181.db2.gz LBSWEQUMRMZBPS-CYBMUJFWSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965911438 613249863 /nfs/dbraw/zinc/24/98/63/613249863.db2.gz SUMLVEWFNGNDIQ-ONGXEEELSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965911438 613249864 /nfs/dbraw/zinc/24/98/64/613249864.db2.gz SUMLVEWFNGNDIQ-ONGXEEELSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccnn2C)C1 ZINC000965963171 613251561 /nfs/dbraw/zinc/25/15/61/613251561.db2.gz GXPUFWXGMWDFIX-GXTWGEPZSA-N 0 1 274.368 0.422 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CCc2cn(C)nn2)CC1 ZINC000957933640 613257886 /nfs/dbraw/zinc/25/78/86/613257886.db2.gz XPPPQUKKPHFFEH-UHFFFAOYSA-N 0 1 291.399 0.858 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC000950545759 613262094 /nfs/dbraw/zinc/26/20/94/613262094.db2.gz VOKOKMUIHUPFDA-UHFFFAOYSA-N 0 1 290.367 0.838 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2nccn2)C(C)(C)C1 ZINC000940777584 613262835 /nfs/dbraw/zinc/26/28/35/613262835.db2.gz WDVYEGFVDWDDME-LBPRGKRZSA-N 0 1 275.356 0.128 20 30 CCEDMN C=C(C)CCN1CCO[C@@]2(CCN(C(=O)CC(N)=O)C2)C1 ZINC000972302038 613263069 /nfs/dbraw/zinc/26/30/69/613263069.db2.gz QMKGBBLPQZZMNG-HNNXBMFYSA-N 0 1 295.383 0.131 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)[C@H](C)C1 ZINC000942106630 613269747 /nfs/dbraw/zinc/26/97/47/613269747.db2.gz PQMUUBUNTKNRGX-MNOVXSKESA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3nnnn3c2)[C@@H](C)C1 ZINC000942468745 613270249 /nfs/dbraw/zinc/27/02/49/613270249.db2.gz ZYRAFUOFTUCAHS-AAEUAGOBSA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc(C)n2)[C@H](C)C1 ZINC000944995624 613272099 /nfs/dbraw/zinc/27/20/99/613272099.db2.gz SQXNLTCRGXBPQO-YPMHNXCESA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nc2C)[C@H](C)C1 ZINC000941889874 613273352 /nfs/dbraw/zinc/27/33/52/613273352.db2.gz CDRPPJUOIIMIED-RISCZKNCSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CCC)nn2)[C@H](C)C1 ZINC000943797318 613273878 /nfs/dbraw/zinc/27/38/78/613273878.db2.gz HIAQDFFRRGEIDP-OLZOCXBDSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(CC)c2)[C@@H](C)C1 ZINC000941900063 613275686 /nfs/dbraw/zinc/27/56/86/613275686.db2.gz PGXLXQNLSDOHQZ-JSGCOSHPSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnnn2CC)[C@H](C)C1 ZINC000942023279 613275825 /nfs/dbraw/zinc/27/58/25/613275825.db2.gz YQUQTNGSQROGMS-NEPJUHHUSA-N 0 1 275.356 0.371 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H](C)c2ccnn2C)C(C)(C)C1 ZINC000974603698 613281081 /nfs/dbraw/zinc/28/10/81/613281081.db2.gz PMBVBLYKZFDLML-GXTWGEPZSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ncc[nH]2)C(C)(C)C1 ZINC000977398568 613281128 /nfs/dbraw/zinc/28/11/28/613281128.db2.gz ZEIAVUOKOYQMLZ-LLVKDONJSA-N 0 1 260.341 0.412 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cn(C)nc2C)C(C)(C)C1 ZINC000974677948 613281252 /nfs/dbraw/zinc/28/12/52/613281252.db2.gz YMVVLINRSUYBIV-CQSZACIVSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C(C)(C)C1 ZINC000974671363 613281686 /nfs/dbraw/zinc/28/16/86/613281686.db2.gz CCMFUNRCVQZKCK-YRGRVCCFSA-N 0 1 286.379 0.973 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CCC3(CC3)CC2)C1 ZINC000958272311 613285821 /nfs/dbraw/zinc/28/58/21/613285821.db2.gz LNLJFKYVMDHJTN-LSDHHAIUSA-N 0 1 290.407 0.999 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc(F)c2F)C1 ZINC000958345039 613286524 /nfs/dbraw/zinc/28/65/24/613286524.db2.gz KZKNXCIEEYCADP-GXFFZTMASA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccoc2CCC)C1 ZINC000958590358 613287130 /nfs/dbraw/zinc/28/71/30/613287130.db2.gz AFYBEESYZQWAEF-GXTWGEPZSA-N 0 1 290.363 0.888 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2[nH]c(C)cc2C)[C@H](O)C1 ZINC000958186523 613288564 /nfs/dbraw/zinc/28/85/64/613288564.db2.gz BYMXASALNRGHHH-CHWSQXEVSA-N 0 1 275.352 0.287 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cnc3[nH]ccc3c2)[C@H](O)C1 ZINC000958160909 613288585 /nfs/dbraw/zinc/28/85/85/613288585.db2.gz GLSKMTRUYNQSPE-ZIAGYGMSSA-N 0 1 298.346 0.170 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2CC(C)(C)C2)[C@H](O)C1 ZINC000958042229 613288609 /nfs/dbraw/zinc/28/86/09/613288609.db2.gz RKUWTTLZPGVACY-CHWSQXEVSA-N 0 1 264.369 0.465 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cnc(CC)s2)[C@H](O)C1 ZINC000958731203 613290097 /nfs/dbraw/zinc/29/00/97/613290097.db2.gz BKLDLWRGBRXYBC-GHMZBOCLSA-N 0 1 293.392 0.361 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@@H]1COCC[C@H]1OC ZINC000934042774 613293439 /nfs/dbraw/zinc/29/34/39/613293439.db2.gz ATKKZRPGJHMHMO-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C=C(C)CN(CC)C(=O)NCc1n[nH]c(=O)n1C ZINC000889595571 613293506 /nfs/dbraw/zinc/29/35/06/613293506.db2.gz WINMNKXEVDMSHR-UHFFFAOYSA-N 0 1 253.306 0.628 20 30 CCEDMN C#CCN1C[C@]2(C)CN(C(=O)c3cnn(C)n3)C[C@]2(C)C1 ZINC000982647369 613293864 /nfs/dbraw/zinc/29/38/64/613293864.db2.gz YADKPXRADFTRCR-GASCZTMLSA-N 0 1 287.367 0.232 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)C2CCC(=C)CC2)C1 ZINC000958371198 613294070 /nfs/dbraw/zinc/29/40/70/613294070.db2.gz YHNYSYLCBSPMNN-GJZGRUSLSA-N 0 1 276.380 0.775 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C(C)(C)C1 ZINC000974592907 613298880 /nfs/dbraw/zinc/29/88/80/613298880.db2.gz HTSYIFWUDAWOFY-UPJWGTAASA-N 0 1 291.395 0.361 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc3[nH]ccc3n2)C1 ZINC000958258305 613302791 /nfs/dbraw/zinc/30/27/91/613302791.db2.gz PCVGFAHFCOCRDA-ABAIWWIYSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C)nc2C)C1 ZINC000958718439 613302900 /nfs/dbraw/zinc/30/29/00/613302900.db2.gz UTRCLTNXORCQKW-HIFRSBDPSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc(C)c2)C1 ZINC000957830997 613303774 /nfs/dbraw/zinc/30/37/74/613303774.db2.gz SQSBEEMCZWNMAA-CABCVRRESA-N 0 1 272.348 0.651 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2occc2C)C1 ZINC000957855405 613304626 /nfs/dbraw/zinc/30/46/26/613304626.db2.gz NDDYCMILYHCTTN-NEPJUHHUSA-N 0 1 262.309 0.244 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ncoc2C(C)C)C1 ZINC000958572557 613304678 /nfs/dbraw/zinc/30/46/78/613304678.db2.gz CACRKBSHTBSGMX-NEPJUHHUSA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cn1cnc2c1CCCC2 ZINC000961175827 613314850 /nfs/dbraw/zinc/31/48/50/613314850.db2.gz AQLXCIHNPAXCIP-LAQFHYBYSA-N 0 1 298.390 0.442 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1[nH]nc2c1CCCC2 ZINC000959892148 613314990 /nfs/dbraw/zinc/31/49/90/613314990.db2.gz GUVUGLLSLXWSQY-LAQFHYBYSA-N 0 1 298.390 0.511 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)cnn1C ZINC000961503496 613317476 /nfs/dbraw/zinc/31/74/76/613317476.db2.gz WKWLDZPIPIVHOQ-GDNZZTSVSA-N 0 1 258.325 0.022 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2c(c1)N(C)CC2 ZINC000962438264 613319606 /nfs/dbraw/zinc/31/96/06/613319606.db2.gz NSXMJXCQCVEQMN-QLPKVWCKSA-N 0 1 295.386 0.972 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2cnccc2n1 ZINC000961891344 613319839 /nfs/dbraw/zinc/31/98/39/613319839.db2.gz VGQGOCFYFFWFBF-VIKVFOODSA-N 0 1 292.342 0.923 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(F)c1 ZINC000958369008 613320038 /nfs/dbraw/zinc/32/00/38/613320038.db2.gz FRUHQNPWCWNLPU-PJXYFTJBSA-N 0 1 259.284 0.514 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C(C)(C)C)nn1 ZINC000960218589 613320651 /nfs/dbraw/zinc/32/06/51/613320651.db2.gz RWSMZUAXQYABFH-PJXYFTJBSA-N 0 1 287.367 0.326 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc2c(s1)CCC2 ZINC000961099606 613322084 /nfs/dbraw/zinc/32/20/84/613322084.db2.gz CTUXQAUYWMETKA-IWIIMEHWSA-N 0 1 287.388 0.925 20 30 CCEDMN C#CCNC(=O)C1CCN(C/C=C\C(=O)OCC)CC1 ZINC000929418790 613323198 /nfs/dbraw/zinc/32/31/98/613323198.db2.gz WQBCSILUSGREAV-WAYWQWQTSA-N 0 1 278.352 0.567 20 30 CCEDMN C#CCNC(=O)CCNCC(=O)N(CC)c1ccccc1 ZINC000905519114 613326394 /nfs/dbraw/zinc/32/63/94/613326394.db2.gz RIYXRMPNUBLLEB-UHFFFAOYSA-N 0 1 287.363 0.769 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H]1[C@@H](O)c1ccccc1 ZINC000879239476 613329087 /nfs/dbraw/zinc/32/90/87/613329087.db2.gz BKTAWKZXZJNMAA-HOCLYGCPSA-N 0 1 272.348 0.934 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](O)[C@H](C2CCCC2)C1 ZINC000839199398 613329980 /nfs/dbraw/zinc/32/99/80/613329980.db2.gz KQXSAFZZTYOEBM-UONOGXRCSA-N 0 1 264.369 0.609 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H]2CN(c3ccccc3)C[C@H]2C1 ZINC000916739660 613330442 /nfs/dbraw/zinc/33/04/42/613330442.db2.gz FNNKVZWLXVXNCP-HUUCEWRRSA-N 0 1 283.375 0.804 20 30 CCEDMN C#CCNC(=O)CN1C[C@H]2CN(c3ccccc3)C[C@@H]2C1 ZINC000916739659 613330768 /nfs/dbraw/zinc/33/07/68/613330768.db2.gz FNNKVZWLXVXNCP-GJZGRUSLSA-N 0 1 283.375 0.804 20 30 CCEDMN C=C(C)CO[N-]C(=O)C[N@@H+]1CCC[C@@H](C(=O)OCC)C1 ZINC000911901649 613352457 /nfs/dbraw/zinc/35/24/57/613352457.db2.gz KZGQAHBBXRLBJE-GFCCVEGCSA-N 0 1 284.356 0.885 20 30 CCEDMN C=C(C)C[C@@H](CO)NS(=O)(=O)N=S1(=O)CCCC1 ZINC000882847036 613355622 /nfs/dbraw/zinc/35/56/22/613355622.db2.gz ZUXVXGILYMLJNI-JTQLQIEISA-N 0 1 296.414 0.410 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)C1=NC(=O)N(C)C1)C(=O)OC ZINC000870546014 613356152 /nfs/dbraw/zinc/35/61/52/613356152.db2.gz RQLHZJAAPCMSSN-MRVPVSSYSA-N 0 1 267.285 0.363 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(CC[N@H+](C)CCOC)C1=O ZINC000865301326 613356869 /nfs/dbraw/zinc/35/68/69/613356869.db2.gz JOMIQYARJNRTHR-NSHDSACASA-N 0 1 269.345 0.451 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897639920 613361817 /nfs/dbraw/zinc/36/18/17/613361817.db2.gz JZTHPUMCVFEWTD-SNPRPXQTSA-N 0 1 294.395 0.805 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000929875883 613362346 /nfs/dbraw/zinc/36/23/46/613362346.db2.gz DCUKULWRUOBMMS-RYUDHWBXSA-N 0 1 276.402 0.185 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC[C@](O)(Cc2nc(CC)no2)C1 ZINC000856676901 613362459 /nfs/dbraw/zinc/36/24/59/613362459.db2.gz LLRUBMIICVKASY-WFASDCNBSA-N 0 1 295.383 0.938 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000839751018 613363193 /nfs/dbraw/zinc/36/31/93/613363193.db2.gz SUYTULBSUPRDPG-LNFKQOIKSA-N 0 1 254.286 0.749 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC000969013536 613384761 /nfs/dbraw/zinc/38/47/61/613384761.db2.gz YKDCTQZRLGAMJO-NWDGAFQWSA-N 0 1 299.802 0.798 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC000968556180 613384994 /nfs/dbraw/zinc/38/49/94/613384994.db2.gz POGSGRKZHCIKCE-BDAKNGLRSA-N 0 1 273.720 0.038 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)S(C)(=O)=O)C1 ZINC000969103041 613385260 /nfs/dbraw/zinc/38/52/60/613385260.db2.gz XYCKHBQHMKJZJK-VHSXEESVSA-N 0 1 294.804 0.363 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnn(CC)n2)C1 ZINC000968583423 613386149 /nfs/dbraw/zinc/38/61/49/613386149.db2.gz JJRKJEGULNJFCH-SNVBAGLBSA-N 0 1 283.763 0.855 20 30 CCEDMN C=C(Cl)C[C@@H](NC(=O)[C@@H]1CCC[N@@H+]1C)C(=O)[O-] ZINC000910267483 613388643 /nfs/dbraw/zinc/38/86/43/613388643.db2.gz TUJAYSDBSNGCBF-BDAKNGLRSA-N 0 1 260.721 0.793 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCC2(CNC(=O)C2)CC1 ZINC000878154709 613410492 /nfs/dbraw/zinc/41/04/92/613410492.db2.gz AXAKVPXFLRBZDZ-LLVKDONJSA-N 0 1 266.341 0.706 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCC(CNC(C)=O)CC1 ZINC000877994267 613410586 /nfs/dbraw/zinc/41/05/86/613410586.db2.gz PTUZJAPCOUYUBL-CYBMUJFWSA-N 0 1 268.357 0.952 20 30 CCEDMN C=C1CN(C(=O)CN2CCC[C@H](C(=O)OCC)C2)C1 ZINC000912445762 613415405 /nfs/dbraw/zinc/41/54/05/613415405.db2.gz FVYXVZRKFYFMET-LBPRGKRZSA-N 0 1 266.341 0.660 20 30 CCEDMN C=CCn1c(SC(COC)COC)n[nH]c1=O ZINC000901510399 613424613 /nfs/dbraw/zinc/42/46/13/613424613.db2.gz YMHHIEBALBQYLX-UHFFFAOYSA-N 0 1 259.331 0.923 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)[C@@H](CC)C(N)=O)C1 ZINC000972081126 613438884 /nfs/dbraw/zinc/43/88/84/613438884.db2.gz YYIPGOPTDHCVNR-RYUDHWBXSA-N 0 1 281.400 0.995 20 30 CCEDMN C=C[C@@H](C)ON1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000843314015 613442779 /nfs/dbraw/zinc/44/27/79/613442779.db2.gz AWRZCMDJJFDZMV-APPZFPTMSA-N 0 1 250.258 0.379 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000845622703 613444599 /nfs/dbraw/zinc/44/45/99/613444599.db2.gz MSKKVBYEMQXLJR-VXGBXAGGSA-N 0 1 285.388 0.979 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CC[C@](C)(NC(=O)C(F)(F)F)C1 ZINC000830796222 613450515 /nfs/dbraw/zinc/45/05/15/613450515.db2.gz YAUQXZZUVVWBPT-XCBNKYQSSA-N 0 1 280.246 0.203 20 30 CCEDMN C=C[C@@H](O)C(=O)OCC1=Nc2ccccc2S(=O)(=O)N1 ZINC000814549623 613453930 /nfs/dbraw/zinc/45/39/30/613453930.db2.gz GKMPMVJNFNSAAS-SECBINFHSA-N 0 1 296.304 0.099 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CNC[C@H]2C#N)CCOCC1 ZINC000834484628 613456608 /nfs/dbraw/zinc/45/66/08/613456608.db2.gz LBIBQTHQIOLDSM-VXGBXAGGSA-N 0 1 263.341 0.587 20 30 CCEDMN C=CCC1(NC(=O)[C@@H]2CC2[N+](=O)[O-])CCOCC1 ZINC000855690003 613457360 /nfs/dbraw/zinc/45/73/60/613457360.db2.gz YDSZTWKHSXEFKJ-NXEZZACHSA-N 0 1 254.286 0.893 20 30 CCEDMN C=CCCC(C)(C)CNC(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC000884855263 613463763 /nfs/dbraw/zinc/46/37/63/613463763.db2.gz BGEINFBQYWVNGN-VXGBXAGGSA-N 0 1 299.390 0.993 20 30 CCEDMN C=CCCC[C@H](NC(=O)C[C@]1(O)CCC[N@H+](C)C1)C(=O)[O-] ZINC000910925648 613473313 /nfs/dbraw/zinc/47/33/13/613473313.db2.gz MWDQWBOQCCFBLY-SWLSCSKDSA-N 0 1 298.383 0.759 20 30 CCEDMN C=C[C@H](CO)N[C@@H]1CCCn2nc(C(=O)OC)cc21 ZINC000886639942 613492801 /nfs/dbraw/zinc/49/28/01/613492801.db2.gz ODVNVTWKXNGSQG-NXEZZACHSA-N 0 1 265.313 0.641 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC000938450497 613504233 /nfs/dbraw/zinc/50/42/33/613504233.db2.gz NKGNQURBNVBHQB-IINYFYTJSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC000938450497 613504236 /nfs/dbraw/zinc/50/42/36/613504236.db2.gz NKGNQURBNVBHQB-IINYFYTJSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@](C)(O)C(=O)NCc1nc(CSC)n[nH]1 ZINC000899474020 613508060 /nfs/dbraw/zinc/50/80/60/613508060.db2.gz VVNITDQLKYLLGM-JTQLQIEISA-N 0 1 256.331 0.221 20 30 CCEDMN C=C[C@](C)(O)C(=O)NCc1nnc(CSC)[nH]1 ZINC000899474020 613508063 /nfs/dbraw/zinc/50/80/63/613508063.db2.gz VVNITDQLKYLLGM-JTQLQIEISA-N 0 1 256.331 0.221 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)c3cc[nH]n3)C2)C1 ZINC000972277914 613513762 /nfs/dbraw/zinc/51/37/62/613513762.db2.gz XLJDKZVFGDBOSU-HNNXBMFYSA-N 0 1 290.367 0.903 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)[C@@H]3CCOC3)C2)C1 ZINC000972290907 613513896 /nfs/dbraw/zinc/51/38/96/613513896.db2.gz IVDYVGQUOKTBFT-ZBFHGGJFSA-N 0 1 294.395 0.902 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)[C@H]3CCOC3)C2)C1 ZINC000972290905 613514290 /nfs/dbraw/zinc/51/42/90/613514290.db2.gz IVDYVGQUOKTBFT-GOEBONIOSA-N 0 1 294.395 0.902 20 30 CCEDMN CC#CCCN1CC[C@@]2(CC(C(=O)OC)=NO2)C1 ZINC000879484317 613521098 /nfs/dbraw/zinc/52/10/98/613521098.db2.gz RZMUFDLMWNEHAA-CYBMUJFWSA-N 0 1 250.298 0.794 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC000970288993 613536089 /nfs/dbraw/zinc/53/60/89/613536089.db2.gz BGWKGJXMPOPJEN-AAEUAGOBSA-N 0 1 277.368 0.067 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2[nH]cnc2C)C1 ZINC000970992888 613537030 /nfs/dbraw/zinc/53/70/30/613537030.db2.gz KWJJPSIKTUAGJI-JTQLQIEISA-N 0 1 260.341 0.792 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@H]3[C@@H]4COC[C@@H]43)C2)C1 ZINC000981947714 613542817 /nfs/dbraw/zinc/54/28/17/613542817.db2.gz YUTITJZLFUMUHT-FICVDOATSA-N 0 1 288.391 0.827 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965995080 613575136 /nfs/dbraw/zinc/57/51/36/613575136.db2.gz CHDPLLYSFGWILE-DGCLKSJQSA-N 0 1 290.367 0.770 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC000966888301 613575841 /nfs/dbraw/zinc/57/58/41/613575841.db2.gz PZLLAUKIDCVMDL-DGCLKSJQSA-N 0 1 288.351 0.646 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@H]1CC[N@H+]1C1CCOCC1 ZINC000921908204 613581695 /nfs/dbraw/zinc/58/16/95/613581695.db2.gz LLVVKUFKJFDXJM-CYBMUJFWSA-N 0 1 288.413 0.735 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@H]1CCN1C1CCOCC1 ZINC000921908204 613581696 /nfs/dbraw/zinc/58/16/96/613581696.db2.gz LLVVKUFKJFDXJM-CYBMUJFWSA-N 0 1 288.413 0.735 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966884861 613593949 /nfs/dbraw/zinc/59/39/49/613593949.db2.gz STODZZCKANBIKG-CMPLNLGQSA-N 0 1 290.367 0.887 20 30 CCEDMN C=CCCn1cc(CN2CCN(CCCCO)CC2)nn1 ZINC000846829949 613598708 /nfs/dbraw/zinc/59/87/08/613598708.db2.gz WCLIKYXCKLRXPA-UHFFFAOYSA-N 0 1 293.415 0.744 20 30 CCEDMN C=CCCn1cc(CN[C@H]2C[C@H](O)C23CCOCC3)nn1 ZINC000923020171 613599380 /nfs/dbraw/zinc/59/93/80/613599380.db2.gz QQEKIKXDJSOEQI-KBPBESRZSA-N 0 1 292.383 0.874 20 30 CCEDMN C=CCN(C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1)[C@@H](C)COC ZINC000909955000 613604764 /nfs/dbraw/zinc/60/47/64/613604764.db2.gz PSWGBCMXYYHEKX-STQMWFEESA-N 0 1 298.383 0.833 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nonc2C)C[C@@H]1C ZINC000948094953 613606818 /nfs/dbraw/zinc/60/68/18/613606818.db2.gz RGIBCPNHCFRYBC-JQWIXIFHSA-N 0 1 276.340 0.984 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2c[nH]cn2)C(C)(C)C1 ZINC000975081310 613609254 /nfs/dbraw/zinc/60/92/54/613609254.db2.gz GDQRIESIINJWAO-CYBMUJFWSA-N 0 1 274.368 0.802 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2oncc2C)[C@H](O)C1 ZINC000958563284 613616368 /nfs/dbraw/zinc/61/63/68/613616368.db2.gz LKLIJARGELVYNS-VXGBXAGGSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(Cl)c[nH]2)C1 ZINC000957869967 613618914 /nfs/dbraw/zinc/61/89/14/613618914.db2.gz YNWRVMUMGPZHFP-GWCFXTLKSA-N 0 1 295.770 0.714 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccoc2C)C1 ZINC000957851127 613619510 /nfs/dbraw/zinc/61/95/10/613619510.db2.gz GFWBOXUXWXXJTN-JSGCOSHPSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2conc2C)C1 ZINC000958368725 613619712 /nfs/dbraw/zinc/61/97/12/613619712.db2.gz CTGVUXPXQRVWMN-AAEUAGOBSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)C2CC(C)(C)C2)C1 ZINC000958048047 613624727 /nfs/dbraw/zinc/62/47/27/613624727.db2.gz YVYAPZVYSKKWQE-KGLIPLIRSA-N 0 1 278.396 0.855 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)C2CC(F)(F)C2)C1 ZINC000957948576 613625220 /nfs/dbraw/zinc/62/52/20/613625220.db2.gz RCNRNEMAFYODSW-NEPJUHHUSA-N 0 1 286.322 0.464 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC1CCOCC1 ZINC000958420040 613633363 /nfs/dbraw/zinc/63/33/63/613633363.db2.gz SUWODGLUBVPUCN-FOLVSLTJSA-N 0 1 276.380 0.873 20 30 CCEDMN C=CCN(C)[C@H]1CCN(C(=O)c2cc(=O)c(OC)c[nH]2)C1 ZINC000971946440 613634872 /nfs/dbraw/zinc/63/48/72/613634872.db2.gz MUYHTRKVFZVFLG-NSHDSACASA-N 0 1 291.351 0.716 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCC(=O)N1C ZINC000962432109 613635317 /nfs/dbraw/zinc/63/53/17/613635317.db2.gz QGSXSENBZXYPCZ-SFDCQRBFSA-N 0 1 289.379 0.067 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnc1OC ZINC000961662498 613641458 /nfs/dbraw/zinc/64/14/58/613641458.db2.gz JEZBKUUDUHKLCW-WDNDVIMCSA-N 0 1 285.347 0.774 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2nccn2c1 ZINC000961113625 613642279 /nfs/dbraw/zinc/64/22/79/613642279.db2.gz NLXRYPDHVQECCR-WDNDVIMCSA-N 0 1 295.346 0.413 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@H]1C(=O)NCC1(C)C ZINC000931666898 613647009 /nfs/dbraw/zinc/64/70/09/613647009.db2.gz HJWPHTYMWUAYHL-LBPRGKRZSA-N 0 1 265.357 0.301 20 30 CCEDMN C=CCN(CCOC)C(=O)NC[C@H]1CCN1CC ZINC000890063980 613649586 /nfs/dbraw/zinc/64/95/86/613649586.db2.gz NZOVSLFOPLFHLK-GFCCVEGCSA-N 0 1 255.362 0.925 20 30 CCEDMN CC(=[NH+]NCC(=O)[O-])c1ccc(N)c([N+](=O)[O-])c1 ZINC000814960364 613654479 /nfs/dbraw/zinc/65/44/79/613654479.db2.gz PZIPUMJGNCDJKZ-UHFFFAOYSA-N 0 1 252.230 0.575 20 30 CCEDMN CC(=NNCCS(C)(=O)=O)C1CCN(C)CC1 ZINC000814848390 613655578 /nfs/dbraw/zinc/65/55/78/613655578.db2.gz UAACSNVBYHKICW-UHFFFAOYSA-N 0 1 261.391 0.338 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC000970767956 613668665 /nfs/dbraw/zinc/66/86/65/613668665.db2.gz ZSLLJCRKZKKGIG-FZMZJTMJSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2csnn2)C1 ZINC000970221898 613673745 /nfs/dbraw/zinc/67/37/45/613673745.db2.gz AYJZNBMTFJGOFP-QMMMGPOBSA-N 0 1 252.343 0.774 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2coc(OC)n2)C1 ZINC000970220491 613673857 /nfs/dbraw/zinc/67/38/57/613673857.db2.gz NNFXNIHJBMALCW-VIFPVBQESA-N 0 1 265.313 0.919 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H](C)n2cncn2)CC1 ZINC000981005849 613693354 /nfs/dbraw/zinc/69/33/54/613693354.db2.gz WWXBKHZAQUTCPZ-LBPRGKRZSA-N 0 1 263.345 0.559 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@]2(C)CCNC2=O)CC1 ZINC000981945763 613695638 /nfs/dbraw/zinc/69/56/38/613695638.db2.gz RLTGHTKTMKORDM-CQSZACIVSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCN1CCO[C@@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1 ZINC000972311853 613723919 /nfs/dbraw/zinc/72/39/19/613723919.db2.gz PSTFRDDTJMYZOB-HNNXBMFYSA-N 0 1 290.367 0.442 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2ccc(=O)n(C)n2)C1 ZINC000968614299 613729611 /nfs/dbraw/zinc/72/96/11/613729611.db2.gz JYJSEJLMMUUIIW-YPMHNXCESA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)CN2CCCC2=O)C1 ZINC000964961421 613732726 /nfs/dbraw/zinc/73/27/26/613732726.db2.gz IXQBMNFJQVCQPH-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000968661253 613733514 /nfs/dbraw/zinc/73/35/14/613733514.db2.gz WLTNYJIVYNQDAK-ZWNOBZJWSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1CC[C@@H](N[C@H]2C(=O)NCC2(CC)CC)C1=O ZINC000933285461 613763743 /nfs/dbraw/zinc/76/37/43/613763743.db2.gz JVKOZNVFSLVYAZ-NEPJUHHUSA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cn2cccn2)C1 ZINC000965153774 613772389 /nfs/dbraw/zinc/77/23/89/613772389.db2.gz ROZSJYKTRFHLCO-QWHCGFSZSA-N 0 1 262.357 0.896 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H]2CCN(C(=O)CC)C2)C1=O ZINC000970907631 613777693 /nfs/dbraw/zinc/77/76/93/613777693.db2.gz MLJDPFIWKUMQTE-STQMWFEESA-N 0 1 279.384 0.716 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCC(=O)N2)C(C)(C)C1 ZINC000977515318 613807776 /nfs/dbraw/zinc/80/77/76/613807776.db2.gz QQAQKKKFNVUMIC-WDEREUQCSA-N 0 1 265.357 0.278 20 30 CCEDMN C=CC[N@H+]1C[C@@H](NC(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)C(C)(C)C1 ZINC000974761063 613808333 /nfs/dbraw/zinc/80/83/33/613808333.db2.gz YLKMOWBTBSFLDY-MGPQQGTHSA-N 0 1 295.427 0.718 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cnn(CC)n2)C(C)(C)C1 ZINC000974576756 613811816 /nfs/dbraw/zinc/81/18/16/613811816.db2.gz UALPIPCAUMHNAD-GFCCVEGCSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(Cl)no2)C1 ZINC000958603179 613814488 /nfs/dbraw/zinc/81/44/88/613814488.db2.gz SAFBHHIWPNPAQZ-DTWKUNHWSA-N 0 1 285.731 0.537 20 30 CCEDMN C=CCN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3CCCS3(=O)=O)[C@@H]2C1 ZINC000979076632 613834420 /nfs/dbraw/zinc/83/44/20/613834420.db2.gz ZFVYBCIMMHOJFW-RVMXOQNASA-N 0 1 298.408 0.044 20 30 CCEDMN C=CCNC(=O)CNCc1cn2ccnc2s1 ZINC000893320336 613847180 /nfs/dbraw/zinc/84/71/80/613847180.db2.gz YERLAZLAJZIWQN-UHFFFAOYSA-N 0 1 250.327 0.788 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H](C)[C@H](CC(=O)OC)C1 ZINC000932112798 613850007 /nfs/dbraw/zinc/85/00/07/613850007.db2.gz QNCHEBILZYFLDT-GHMZBOCLSA-N 0 1 297.355 0.129 20 30 CCEDMN C=CCOCC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907478698 613879253 /nfs/dbraw/zinc/87/92/53/613879253.db2.gz YFSURCQHEGXXKY-QMMMGPOBSA-N 0 1 269.330 0.019 20 30 CCEDMN C=CCOCCNC(=O)Cc1cc(=O)n(CC(C)C)[nH]1 ZINC000935305751 613880691 /nfs/dbraw/zinc/88/06/91/613880691.db2.gz ZFXYVRPWRMDRQM-UHFFFAOYSA-N 0 1 281.356 0.694 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H]1CCCC[N@H+]1C)C(=O)[O-] ZINC000909765293 613881843 /nfs/dbraw/zinc/88/18/43/613881843.db2.gz BAJFUBJRXMEFNB-GHMZBOCLSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H]1CCCC[N@@H+]1C)C(=O)[O-] ZINC000909765293 613881844 /nfs/dbraw/zinc/88/18/44/613881844.db2.gz BAJFUBJRXMEFNB-GHMZBOCLSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCS(=O)(=O)CCNC[C@H](O)c1ccccn1 ZINC000853103991 613885619 /nfs/dbraw/zinc/88/56/19/613885619.db2.gz FHZMTRQVYWTTSH-LBPRGKRZSA-N 0 1 270.354 0.305 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C[C@@H](O)C2(O)CCCC2)CC1 ZINC000930116280 614187414 /nfs/dbraw/zinc/18/74/14/614187414.db2.gz VFGYHGCFHRYJLZ-LSDHHAIUSA-N 0 1 295.427 0.818 20 30 CCEDMN CC(=O)Nc1ccc(F)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834495623 614201463 /nfs/dbraw/zinc/20/14/63/614201463.db2.gz WVGBPBBJIYUDNZ-TVQRCGJNSA-N 0 1 290.298 0.625 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N(C)[C@H](C)C#N)cc1=O ZINC000905728767 614235102 /nfs/dbraw/zinc/23/51/02/614235102.db2.gz PMJCHOHVRPYXPC-SNVBAGLBSA-N 0 1 264.329 0.745 20 30 CCEDMN CC(C)Cn1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)cn1 ZINC000834499551 614238171 /nfs/dbraw/zinc/23/81/71/614238171.db2.gz JPQHLTCPCSLPIP-ZYHUDNBSSA-N 0 1 261.329 0.380 20 30 CCEDMN CC(=O)c1ccccc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000843462741 614258623 /nfs/dbraw/zinc/25/86/23/614258623.db2.gz SGJCBMMTJMQVBD-MFKMUULPSA-N 0 1 257.293 0.731 20 30 CCEDMN CC(=O)c1cncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000884249755 614268047 /nfs/dbraw/zinc/26/80/47/614268047.db2.gz QVIUWHBXUVWBDT-NWDGAFQWSA-N 0 1 258.281 0.126 20 30 CCEDMN CC(C)(C#N)CNC(=O)NCCN1CCC(O)CC1 ZINC000894114213 614285709 /nfs/dbraw/zinc/28/57/09/614285709.db2.gz UMQCAJCTLJMGBZ-UHFFFAOYSA-N 0 1 268.361 0.292 20 30 CCEDMN CC(C)n1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1Cl ZINC000876801865 614370604 /nfs/dbraw/zinc/37/06/04/614370604.db2.gz JYCUEIZNZINRHC-PSASIEDQSA-N 0 1 281.747 0.959 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])CC(=O)N(CC)C[C@@H](C)C#N ZINC000829473062 614560287 /nfs/dbraw/zinc/56/02/87/614560287.db2.gz LOSVCDBFCOHZCW-NSHDSACASA-N 0 1 269.345 0.791 20 30 CCEDMN CCC[N@@H+](CC(=O)[O-])CC(=O)N(CC)C[C@@H](C)C#N ZINC000829473062 614560290 /nfs/dbraw/zinc/56/02/90/614560290.db2.gz LOSVCDBFCOHZCW-NSHDSACASA-N 0 1 269.345 0.791 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])C[C@@H]1CNc2c(C#N)cnn2C1 ZINC000829472898 614560402 /nfs/dbraw/zinc/56/04/02/614560402.db2.gz CUPIVOCFKAAXTH-JTQLQIEISA-N 0 1 277.328 0.593 20 30 CCEDMN CCCN(CCC)C(=O)CCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834484950 614575214 /nfs/dbraw/zinc/57/52/14/614575214.db2.gz PWDZVNPHMBWRSZ-CHWSQXEVSA-N 0 1 294.399 0.643 20 30 CCEDMN CCCN(CCC)C(=O)CCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834484951 614575575 /nfs/dbraw/zinc/57/55/75/614575575.db2.gz PWDZVNPHMBWRSZ-OLZOCXBDSA-N 0 1 294.399 0.643 20 30 CCEDMN CCCCCNC(=O)C(C#N)C(=O)[C@@H]1CC[C@H](C(N)=O)O1 ZINC000860464304 614923415 /nfs/dbraw/zinc/92/34/15/614923415.db2.gz SVVUTNFWMOBPRF-GARJFASQSA-N 0 1 295.339 0.035 20 30 CCEDMN CCCCCc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)[nH]n1 ZINC000852828014 614945972 /nfs/dbraw/zinc/94/59/72/614945972.db2.gz SQPRXDVNUBKFGC-GWCFXTLKSA-N 0 1 275.356 0.984 20 30 CCEDMN CCN(CC)C(=O)CN1CCC(NC(=O)C=NOC)CC1 ZINC000816595839 614965825 /nfs/dbraw/zinc/96/58/25/614965825.db2.gz CKQUOZQTFJXFHN-UHFFFAOYSA-N 0 1 298.387 0.068 20 30 CCEDMN CCC[C@H](C)N1C[C@H](C(=O)NCC#CCN(C)C)CC1=O ZINC000913517577 615038869 /nfs/dbraw/zinc/03/88/69/615038869.db2.gz HDOKTIFHSIZPAL-UONOGXRCSA-N 0 1 293.411 0.705 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000827020551 615061434 /nfs/dbraw/zinc/06/14/34/615061434.db2.gz WQHXFUSPQLRFNJ-NWDGAFQWSA-N 0 1 296.371 0.679 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000827020551 615061435 /nfs/dbraw/zinc/06/14/35/615061435.db2.gz WQHXFUSPQLRFNJ-NWDGAFQWSA-N 0 1 296.371 0.679 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000895858618 615061473 /nfs/dbraw/zinc/06/14/73/615061473.db2.gz QSFKINDWPHFUCM-AAEUAGOBSA-N 0 1 265.357 0.715 20 30 CCEDMN CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820348428 615113418 /nfs/dbraw/zinc/11/34/18/615113418.db2.gz VAMXVEASUCYPFA-RISCZKNCSA-N 0 1 281.356 0.982 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC000962764141 615115451 /nfs/dbraw/zinc/11/54/51/615115451.db2.gz OYLNNTZPIFXLPF-RFQIPJPRSA-N 0 1 276.384 0.431 20 30 CCEDMN CCNc1nc(C(=O)NCC#CCN(C)C)cs1 ZINC000913523922 615140164 /nfs/dbraw/zinc/14/01/64/615140164.db2.gz RPOADCNRMPKLDT-UHFFFAOYSA-N 0 1 266.370 0.870 20 30 CCEDMN CCOC1CC2(C[C@@H]2C(=O)N[C@H]2CNC[C@H]2C#N)C1 ZINC000870941123 615221709 /nfs/dbraw/zinc/22/17/09/615221709.db2.gz REQIQFRIGMVUPT-FNOJUICISA-N 0 1 263.341 0.419 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@@H]1CC1(F)F ZINC000835057964 615244651 /nfs/dbraw/zinc/24/46/51/615244651.db2.gz HVDTXTJUEDPEHV-IUCAKERBSA-N 0 1 274.267 0.893 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)[C@@H](O)c1ccc(C#N)cc1 ZINC000922157841 615322854 /nfs/dbraw/zinc/32/28/54/615322854.db2.gz FDSQZCCXEDBFIJ-KBPBESRZSA-N 0 1 273.336 0.802 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)c1cnn(CCC#N)c1C ZINC000893871126 615325716 /nfs/dbraw/zinc/32/57/16/615325716.db2.gz DARXKDVKMBKNNG-LBPRGKRZSA-N 0 1 275.356 0.929 20 30 CCEDMN CCO[C@H](C(=O)N[C@@H]1CNC[C@H]1C#N)C1CCCC1 ZINC000876803000 615472783 /nfs/dbraw/zinc/47/27/83/615472783.db2.gz UAABHKUOSYHGHR-UPJWGTAASA-N 0 1 265.357 0.809 20 30 CCEDMN CC[C@H](C)[N@@H+](C)CCNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000820266125 615668812 /nfs/dbraw/zinc/66/88/12/615668812.db2.gz AOWRXFPXFCEBQV-GXFFZTMASA-N 0 1 269.345 0.837 20 30 CCEDMN CCc1c[nH]c(=O)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000852827179 615710532 /nfs/dbraw/zinc/71/05/32/615710532.db2.gz CLGCWHQJCSQRCN-GXSJLCMTSA-N 0 1 260.297 0.191 20 30 CCEDMN CCc1nc(C#N)cc(NCC[N@@H+](C)CCOCCO)n1 ZINC000882950928 615724564 /nfs/dbraw/zinc/72/45/64/615724564.db2.gz GVEUQLZRWVGQEZ-UHFFFAOYSA-N 0 1 293.371 0.263 20 30 CCEDMN CC[C@H](CNS(C)(=O)=O)NCC#Cc1ccccc1 ZINC000894693246 615727346 /nfs/dbraw/zinc/72/73/46/615727346.db2.gz BKFZKHNBFGMZJT-CQSZACIVSA-N 0 1 280.393 0.956 20 30 CCEDMN CCn1ncn(N=Cc2[nH]c(C)nc2Br)c1=O ZINC000901245875 615729971 /nfs/dbraw/zinc/72/99/71/615729971.db2.gz QCYFYBISTSTRCQ-UHFFFAOYSA-N 0 1 299.132 0.741 20 30 CCEDMN CCn1ncnc1C=NNC1=N[C@H]2CCCC[C@@H]2N1 ZINC000848407408 615734999 /nfs/dbraw/zinc/73/49/99/615734999.db2.gz PEOKWMCIGYBNMZ-UWVGGRQHSA-N 0 1 261.333 0.492 20 30 CCEDMN CCc1ncc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)cn1 ZINC000841151995 615775266 /nfs/dbraw/zinc/77/52/66/615775266.db2.gz DLTUQKPSKBOCDX-WCBMZHEXSA-N 0 1 260.301 0.272 20 30 CCEDMN CCc1nn(C)c(OC)c1CN=Nc1nccn(C)c1=O ZINC000814862128 615794542 /nfs/dbraw/zinc/79/45/42/615794542.db2.gz DOYOENOJVFQGHX-UHFFFAOYSA-N 0 1 290.327 0.531 20 30 CCEDMN CN(C(=O)C1CN([C@@H]2CCOC2)C1)[C@@H]1CCC[C@H]1C#N ZINC000864078024 615805273 /nfs/dbraw/zinc/80/52/73/615805273.db2.gz KNSXDNLCSUQKDU-IACUBPJLSA-N 0 1 277.368 0.858 20 30 CCEDMN CN(C(=O)Cc1c[nH]cn1)C1CN(C(=O)C#CC2CC2)C1 ZINC000955445077 615827286 /nfs/dbraw/zinc/82/72/86/615827286.db2.gz SXAAISKULMPMEH-UHFFFAOYSA-N 0 1 286.335 0.035 20 30 CCEDMN CN(C(=O)Cc1ncn[nH]1)C1CCC(NCC#N)CC1 ZINC000964152503 615828431 /nfs/dbraw/zinc/82/84/31/615828431.db2.gz INHISZLKFBNUAU-UHFFFAOYSA-N 0 1 276.344 0.230 20 30 CCEDMN CC[C@H](O)[C@H](C)C(=O)NC1(C#N)CCN(C)CC1 ZINC000900608303 615840607 /nfs/dbraw/zinc/84/06/07/615840607.db2.gz LAZAPEBVRWCRGW-QWRGUYRKSA-N 0 1 253.346 0.498 20 30 CCEDMN CN(C)CC#CCNC(=O)CCCn1ccccc1=O ZINC000913518824 615857928 /nfs/dbraw/zinc/85/79/28/615857928.db2.gz CCXWJOYVEQVPAF-UHFFFAOYSA-N 0 1 275.352 0.310 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cccc2nonc21 ZINC000931271014 615858858 /nfs/dbraw/zinc/85/88/58/615858858.db2.gz AZSOMBDSRLVDLW-UHFFFAOYSA-N 0 1 273.296 0.909 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1Cc2cc(F)ccc2O1 ZINC000913519009 615859572 /nfs/dbraw/zinc/85/95/72/615859572.db2.gz JMMRZYVEMAOFHJ-AWEZNQCLSA-N 0 1 276.311 0.810 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(-c2cnn(C)c2)o1 ZINC000913522830 615860950 /nfs/dbraw/zinc/86/09/50/615860950.db2.gz ANWIMEMJIWFYGX-UHFFFAOYSA-N 0 1 286.335 0.975 20 30 CCEDMN C[NH+](C)CC#CCNC(=O)c1ccc2oc(=O)nc-2[n-]1 ZINC000913522729 615861392 /nfs/dbraw/zinc/86/13/92/615861392.db2.gz WLWGGMYCIJLURU-UHFFFAOYSA-N 0 1 274.280 0.223 20 30 CCEDMN CN(C)CC#CCNC(=O)c1nc2cnccc2s1 ZINC000913522586 615861761 /nfs/dbraw/zinc/86/17/61/615861761.db2.gz PVCDWHSNPLLSEN-UHFFFAOYSA-N 0 1 274.349 0.986 20 30 CCEDMN CN(C)CC#CCNC(=O)c1n[nH]c2c1CCCCC2 ZINC000913521154 615862159 /nfs/dbraw/zinc/86/21/59/615862159.db2.gz MWXRZBHJVWJVLF-UHFFFAOYSA-N 0 1 274.368 0.973 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ocnc1C(F)F ZINC000913524107 615862635 /nfs/dbraw/zinc/86/26/35/615862635.db2.gz ABBLGXSRASVGNO-UHFFFAOYSA-N 0 1 257.240 0.907 20 30 CCEDMN CN(C)Cc1ccc(C(=O)N2CCO[C@](C)(C#N)C2)cn1 ZINC000887687015 615953458 /nfs/dbraw/zinc/95/34/58/615953458.db2.gz TZZRPCJZJLCAEZ-OAHLLOKOSA-N 0 1 288.351 0.898 20 30 CCEDMN CN(C)[C@@H](CNC(=O)NCC#CCO)c1ccc(F)cc1 ZINC000923785756 615973850 /nfs/dbraw/zinc/97/38/50/615973850.db2.gz MRJVSSWMWXQIJM-AWEZNQCLSA-N 0 1 293.342 0.723 20 30 CCEDMN CN(C)[C@H](CNC(=O)NCC#CCO)c1cccs1 ZINC000923787961 615989945 /nfs/dbraw/zinc/98/99/45/615989945.db2.gz ACORUTYIIZCECM-LLVKDONJSA-N 0 1 281.381 0.646 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN[C@H]1CCS(=O)(=O)C1 ZINC000865404696 616011789 /nfs/dbraw/zinc/01/17/89/616011789.db2.gz RTWZVSAMVNENAI-ZDUSSCGKSA-N 0 1 293.392 0.901 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CNCCS(C)(=O)=O ZINC000865435355 616012036 /nfs/dbraw/zinc/01/20/36/616012036.db2.gz HCNOFYROXIQLGM-UHFFFAOYSA-N 0 1 281.381 0.758 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC000971539094 616041252 /nfs/dbraw/zinc/04/12/52/616041252.db2.gz JTDAGPMDONMPQX-QWHCGFSZSA-N 0 1 287.367 0.886 20 30 CCEDMN CN(CC(=O)NCCC#N)[C@H](c1nnc[nH]1)c1ccccc1 ZINC000852155107 616047109 /nfs/dbraw/zinc/04/71/09/616047109.db2.gz YULATVLRTZSYGZ-AWEZNQCLSA-N 0 1 298.350 0.856 20 30 CCEDMN CN(CC(=O)N[C@@H]1CCCN(O)C1=O)CC(C)(C)C ZINC000823161908 616048557 /nfs/dbraw/zinc/04/85/57/616048557.db2.gz WIZUEEVYSWIFLI-SNVBAGLBSA-N 0 1 271.361 0.461 20 30 CCEDMN CN(CC(C)(C)C#N)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000897842926 616050870 /nfs/dbraw/zinc/05/08/70/616050870.db2.gz ILNPZVPHORSGQB-LBPRGKRZSA-N 0 1 265.357 0.715 20 30 CCEDMN CN(CCN1CC2(C1)CCOCC2)c1cnc(C#N)cn1 ZINC000866257346 616085797 /nfs/dbraw/zinc/08/57/97/616085797.db2.gz YKIAODMBJDDKHY-UHFFFAOYSA-N 0 1 287.367 0.897 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H]1CCCCCN1CC#N ZINC000968780351 616193156 /nfs/dbraw/zinc/19/31/56/616193156.db2.gz DFOACJBWWYKVJL-ZIAGYGMSSA-N 0 1 278.400 0.965 20 30 CCEDMN CN1CCN(c2ccc(C=[NH+]NCCC(=O)[O-])cc2)CC1 ZINC000820625978 616247035 /nfs/dbraw/zinc/24/70/35/616247035.db2.gz FPKGWXHWMMOGOE-UHFFFAOYSA-N 0 1 290.367 0.837 20 30 CCEDMN CN(C[C@H]1CC[N@@H+](C)C1)C(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820620209 616396675 /nfs/dbraw/zinc/39/66/75/616396675.db2.gz JAKWFGDSCHRAJX-GWCFXTLKSA-N 0 1 267.329 0.401 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N1CCC(C)(C#N)CC1 ZINC000907257617 616469094 /nfs/dbraw/zinc/46/90/94/616469094.db2.gz FVIORWNBIRIJKK-RISCZKNCSA-N 0 1 265.357 0.594 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N1CC[C@@](C)(C#N)C1 ZINC000912706933 616472223 /nfs/dbraw/zinc/47/22/23/616472223.db2.gz DQUXCTXUBWTJEA-WXHSDQCUSA-N 0 1 251.330 0.204 20 30 CCEDMN CN1C[C@H](O)C[C@H]1CNC(=O)c1ccsc1C#N ZINC000924988962 616490518 /nfs/dbraw/zinc/49/05/18/616490518.db2.gz JULJTPBMNUOTII-DTWKUNHWSA-N 0 1 265.338 0.415 20 30 CCEDMN COCCNC(=O)[C@@H](C#N)C(=O)C(C)=Cc1cccnc1 ZINC000847623459 616491162 /nfs/dbraw/zinc/49/11/62/616491162.db2.gz KREFFKKWDBZEMI-ZWXCPPHNSA-N 0 1 287.319 0.956 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)C[C@@H]1CC[C@H]2C[C@H]21 ZINC000835063004 616495954 /nfs/dbraw/zinc/49/59/54/616495954.db2.gz YHNVDPGHEFQFNP-NNYUYHANSA-N 0 1 264.325 0.894 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCCc1ccc(C#N)cc1 ZINC000912292269 616498459 /nfs/dbraw/zinc/49/84/59/616498459.db2.gz KOLLDZDCGIYTGA-GDBMZVCRSA-N 0 1 287.363 0.672 20 30 CCEDMN COC(=O)CCCN1CCC(=NN(C)C[C@@H](C)O)CC1 ZINC000841653588 616530399 /nfs/dbraw/zinc/53/03/99/616530399.db2.gz REVNOSSWUVRGAF-GFCCVEGCSA-N 0 1 285.388 0.704 20 30 CCEDMN COC(=O)CCN(CC#N)Cc1n[nH]c(C(C)(C)C)n1 ZINC000879472264 616548457 /nfs/dbraw/zinc/54/84/57/616548457.db2.gz VRQMAALEIXQCOK-UHFFFAOYSA-N 0 1 279.344 0.991 20 30 CCEDMN COC(=O)[C@@]1(O)CCN(Cc2ccc(C)c(C#N)c2)C1 ZINC000880567304 616560653 /nfs/dbraw/zinc/56/06/53/616560653.db2.gz FXRCDDVCHDBVLH-OAHLLOKOSA-N 0 1 274.320 0.977 20 30 CCEDMN COCC(COC)N1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000930827512 616730358 /nfs/dbraw/zinc/73/03/58/616730358.db2.gz DOXDTPVMIASKPF-RYUDHWBXSA-N 0 1 255.362 0.566 20 30 CCEDMN COCC(COC)NN=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000863196103 616736821 /nfs/dbraw/zinc/73/68/21/616736821.db2.gz XLYMQMPSNDHNDC-UHFFFAOYSA-N 0 1 283.284 0.885 20 30 CCEDMN COCC(COC)NN=Cc1cnccc1N(C)C ZINC000863194151 616737357 /nfs/dbraw/zinc/73/73/57/616737357.db2.gz BVXSBPDXEGWMCB-UHFFFAOYSA-N 0 1 266.345 0.733 20 30 CCEDMN CNC(=O)Nc1ccc(C(=O)NCC#CCN(C)C)cc1 ZINC000827972118 616766539 /nfs/dbraw/zinc/76/65/39/616766539.db2.gz ROCZLTWSGLVLSO-UHFFFAOYSA-N 0 1 288.351 0.733 20 30 CCEDMN CNC(=O)[C@H](C#N)C(=O)C1=Cc2cc(OC)ccc2OC1 ZINC000120118533 616837915 /nfs/dbraw/zinc/83/79/15/616837915.db2.gz YVAACEGXGNBUKZ-GFCCVEGCSA-N 0 1 286.287 0.926 20 30 CCEDMN COC(=O)c1cccc(NC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000841040913 616930617 /nfs/dbraw/zinc/93/06/17/616930617.db2.gz CNGDDHWXLAQWJB-PWSUYJOCSA-N 0 1 288.307 0.706 20 30 CCEDMN COC(=O)c1ccnc(C(=O)NCC#CCN(C)C)c1 ZINC000913523434 616946923 /nfs/dbraw/zinc/94/69/23/616946923.db2.gz ZRNSEWGYJNGDNC-UHFFFAOYSA-N 0 1 275.308 0.163 20 30 CCEDMN CNc1c(C(=O)NCC#CCN(C)C)cccc1[N+](=O)[O-] ZINC000913520878 617054385 /nfs/dbraw/zinc/05/43/85/617054385.db2.gz BIZCPSGITQINPT-UHFFFAOYSA-N 0 1 290.323 0.931 20 30 CCEDMN CON(C)C(=O)[C@H](C)NCc1cnc2ccc(C#N)cn12 ZINC000862539509 617070062 /nfs/dbraw/zinc/07/00/62/617070062.db2.gz NSINNQXZWBGHPR-JTQLQIEISA-N 0 1 287.323 0.704 20 30 CCEDMN CON=CC(=O)N1CCC(N2CCOC[C@H]2C)CC1 ZINC000816681980 617103433 /nfs/dbraw/zinc/10/34/33/617103433.db2.gz MAFTZKDUWQJECC-LLVKDONJSA-N 0 1 269.345 0.330 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCO[C@@H](CC)C1 ZINC001026136678 625341104 /nfs/dbraw/zinc/34/11/04/625341104.db2.gz JLHKEFFBCNQVBS-SEBNEYGDSA-N 0 1 276.380 0.871 20 30 CCEDMN CN1CC(C(=O)N2C[C@@H]3CC[C@H](NCC#N)[C@@H]3C2)=NC1=O ZINC001026349458 625408865 /nfs/dbraw/zinc/40/88/65/625408865.db2.gz FZEAIOIIUBZANZ-AXFHLTTASA-N 0 1 289.339 0.089 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CNCc2nncs2)C1 ZINC001026732747 625579693 /nfs/dbraw/zinc/57/96/93/625579693.db2.gz MZSOVRIMVHDXAR-VHSXEESVSA-N 0 1 279.369 0.636 20 30 CCEDMN Cc1nnc(CNC[C@H]2CCN(C(=O)[C@H](C)C#N)C2)s1 ZINC001026732946 625580085 /nfs/dbraw/zinc/58/00/85/625580085.db2.gz PKRFVEKZZOSHJV-MWLCHTKSSA-N 0 1 293.396 0.944 20 30 CCEDMN O=C(N[C@H]1CC[N@H+](C2CC2)C1)c1cccc(C#CCO)c1 ZINC000044679119 622697208 /nfs/dbraw/zinc/69/72/08/622697208.db2.gz NIRQDHVTFUMVSM-HNNXBMFYSA-N 0 1 284.359 0.997 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@]3(C2)CN(CC#N)CCO3)n[nH]1 ZINC000972280905 622743670 /nfs/dbraw/zinc/74/36/70/622743670.db2.gz CGPPXLJDVZEVPU-AWEZNQCLSA-N 0 1 289.339 0.159 20 30 CCEDMN O=c1ccc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)c[nH]1 ZINC000841631387 622889189 /nfs/dbraw/zinc/88/91/89/622889189.db2.gz DNFVBXSCURDDOJ-GHMZBOCLSA-N 0 1 259.313 0.981 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2snnc2C)C1 ZINC001023225821 622961347 /nfs/dbraw/zinc/96/13/47/622961347.db2.gz QJTUQMZKOBCLPV-NSHDSACASA-N 0 1 278.381 0.922 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H](CNC(=O)c2nnn(C)c2C)C1 ZINC001023288109 622984062 /nfs/dbraw/zinc/98/40/62/622984062.db2.gz XGFYKYJFBNBMJQ-GFCCVEGCSA-N 0 1 277.372 0.751 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](CNC(=O)c2c[nH]c(=O)n2C)C1 ZINC001023532775 623053100 /nfs/dbraw/zinc/05/31/00/623053100.db2.gz YFAVDIBQHJFCEI-GFCCVEGCSA-N 0 1 290.367 0.591 20 30 CCEDMN Cn1ncnc1CNC[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001026835313 625635011 /nfs/dbraw/zinc/63/50/11/625635011.db2.gz UMVOTAYBXCQTFA-ZDUSSCGKSA-N 0 1 287.367 0.167 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024390893 623308935 /nfs/dbraw/zinc/30/89/35/623308935.db2.gz MSMJPFRMRUBGRS-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024390893 623308942 /nfs/dbraw/zinc/30/89/42/623308942.db2.gz MSMJPFRMRUBGRS-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1cnn(C)c1N ZINC001024406120 623314208 /nfs/dbraw/zinc/31/42/08/623314208.db2.gz KLCAFGFSCGANSO-LLVKDONJSA-N 0 1 277.372 0.773 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@@H]1COC(=O)N1 ZINC001024422380 623319433 /nfs/dbraw/zinc/31/94/33/623319433.db2.gz OKIGSJBUVIZKKD-QWRGUYRKSA-N 0 1 267.329 0.252 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001024495222 623338901 /nfs/dbraw/zinc/33/89/01/623338901.db2.gz HSDFICXKBSSSQE-LBPRGKRZSA-N 0 1 274.324 0.400 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001024511522 623344525 /nfs/dbraw/zinc/34/45/25/623344525.db2.gz HRZYEENZXPIROL-AWEZNQCLSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001024511522 623344531 /nfs/dbraw/zinc/34/45/31/623344531.db2.gz HRZYEENZXPIROL-AWEZNQCLSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N(C)C1 ZINC001024817717 623453379 /nfs/dbraw/zinc/45/33/79/623453379.db2.gz FNIWGIYLXCHSDX-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NC(CC)(CC)C(=O)[O-] ZINC000328140211 623866920 /nfs/dbraw/zinc/86/69/20/623866920.db2.gz ZVUXJGVWPXVNPH-UHFFFAOYSA-N 0 1 283.372 0.884 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cc(C(=O)[O-])co1 ZINC000328257815 623873210 /nfs/dbraw/zinc/87/32/10/623873210.db2.gz KQVPWIOUXFBLRH-UHFFFAOYSA-N 0 1 264.281 0.663 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCO[C@@H]1C ZINC001025757380 623879289 /nfs/dbraw/zinc/87/92/89/623879289.db2.gz CKKNIMMBUTUVOI-SEBNEYGDSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCOC[C@H]1OC ZINC001025811689 623886619 /nfs/dbraw/zinc/88/66/19/623886619.db2.gz CJZVOJRALKRXGP-SSZWKKLZSA-N 0 1 292.379 0.108 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCOC[C@H]1OC ZINC001025811689 623886620 /nfs/dbraw/zinc/88/66/20/623886620.db2.gz CJZVOJRALKRXGP-SSZWKKLZSA-N 0 1 292.379 0.108 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC[C@H](OC)C1 ZINC001025835972 623891927 /nfs/dbraw/zinc/89/19/27/623891927.db2.gz QTMLTYRUAPCZRJ-BTFPBAQTSA-N 0 1 276.380 0.871 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2cccc3c[nH]nc32)C1 ZINC000969539584 624516037 /nfs/dbraw/zinc/51/60/37/624516037.db2.gz SVXYBBIOVRLZBB-LLVKDONJSA-N 0 1 269.308 0.891 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)NCc1nc(CSC)n[nH]1 ZINC000891088271 624718252 /nfs/dbraw/zinc/71/82/52/624718252.db2.gz ULVBBCADFJFEKW-SECBINFHSA-N 0 1 295.368 0.211 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)NCc1nnc(CSC)[nH]1 ZINC000891088271 624718262 /nfs/dbraw/zinc/71/82/62/624718262.db2.gz ULVBBCADFJFEKW-SECBINFHSA-N 0 1 295.368 0.211 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H](CCCN2C(=O)c2nonc2C)C1 ZINC001021750326 624819149 /nfs/dbraw/zinc/81/91/49/624819149.db2.gz LFHNSSJZCALKDQ-STQMWFEESA-N 0 1 288.351 0.938 20 30 CCEDMN CCC#C[C@H](C)N[C@@H]1C(=O)NCC12CCOCC2 ZINC000933035021 624822048 /nfs/dbraw/zinc/82/20/48/624822048.db2.gz MSYIKFHDCSIFQH-NWDGAFQWSA-N 0 1 250.342 0.673 20 30 CCEDMN COC(=O)[C@@H]1CCC[C@@H](C(=O)C(C#N)C(=O)NC2CC2)C1 ZINC000176019540 624870618 /nfs/dbraw/zinc/87/06/18/624870618.db2.gz RGSLFPFSJAZBFY-CKYFFXLPSA-N 0 1 292.335 0.953 20 30 CCEDMN C=CC[N@H+](C)[C@@H]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001027479340 625909864 /nfs/dbraw/zinc/90/98/64/625909864.db2.gz CRBPMOYYOBZLLG-SECBINFHSA-N 0 1 293.327 0.005 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001009736381 625928406 /nfs/dbraw/zinc/92/84/06/625928406.db2.gz QZGBIVJZJWQDAR-RYUDHWBXSA-N 0 1 280.372 0.296 20 30 CCEDMN N#Cc1ccc(CNC[C@@H](O)C[C@]2(O)CCOC2)c(F)c1 ZINC000905097164 634802112 /nfs/dbraw/zinc/80/21/12/634802112.db2.gz YSLKHQIURRLKMP-DZGCQCFKSA-N 0 1 294.326 0.689 20 30 CCEDMN COc1ncccc1NC(=O)NCC#CCN(C)C ZINC000905153508 634803082 /nfs/dbraw/zinc/80/30/82/634803082.db2.gz PIWTUOWSGFQKLW-UHFFFAOYSA-N 0 1 262.313 0.777 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC001027736575 630884856 /nfs/dbraw/zinc/88/48/56/630884856.db2.gz CPSIWGPRAAJXBN-LLVKDONJSA-N 0 1 274.324 0.400 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1ncccc1[O-] ZINC001027844595 630973951 /nfs/dbraw/zinc/97/39/51/630973951.db2.gz VUTRBLOFSBGZKD-LLVKDONJSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ncccc1O ZINC001027844595 630973955 /nfs/dbraw/zinc/97/39/55/630973955.db2.gz VUTRBLOFSBGZKD-LLVKDONJSA-N 0 1 259.309 0.615 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCN(CCc2ccnn2C)CC1 ZINC000980849758 631067807 /nfs/dbraw/zinc/06/78/07/631067807.db2.gz NCXMKMFVHBCZHC-CYBMUJFWSA-N 0 1 289.383 0.657 20 30 CCEDMN N#CCN1CCCC2(CN(C(=O)c3ccn[nH]3)C2)C1 ZINC000981635653 631298623 /nfs/dbraw/zinc/29/86/23/631298623.db2.gz RGUVLZLVKUVWNY-UHFFFAOYSA-N 0 1 259.313 0.471 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H]2CCN2C(=O)[C@@H](C)C#N)c1C ZINC000949158065 631391227 /nfs/dbraw/zinc/39/12/27/631391227.db2.gz JPLCGNDRLBNAHF-KWQFWETISA-N 0 1 289.339 0.517 20 30 CCEDMN Cc1cc(CN[C@@H]2CCN(C(=O)C#CC3CC3)C2)ncn1 ZINC000968627175 650024702 /nfs/dbraw/zinc/02/47/02/650024702.db2.gz QEHLUPJJMBMXCZ-CQSZACIVSA-N 0 1 284.363 0.889 20 30 CCEDMN N#CCN1CCCCC[C@H]1CNC(=O)c1cnn[nH]1 ZINC000968931046 650034153 /nfs/dbraw/zinc/03/41/53/650034153.db2.gz DYRBPVIDJJRFLQ-JTQLQIEISA-N 0 1 262.317 0.303 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC000968976720 650034780 /nfs/dbraw/zinc/03/47/80/650034780.db2.gz LMKJXJJJFTWCAM-GMTAPVOTSA-N 0 1 259.313 0.227 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)N[C@H]2CCc3nc[nH]c3C2)CCO1 ZINC000894954574 631886436 /nfs/dbraw/zinc/88/64/36/631886436.db2.gz MRYDNNLPPIJRNV-HZMBPMFUSA-N 0 1 289.339 0.591 20 30 CCEDMN C[C@@]1(C#N)CN(C(=O)N[C@H]2CCc3nc[nH]c3C2)CCO1 ZINC000894954576 631887295 /nfs/dbraw/zinc/88/72/95/631887295.db2.gz MRYDNNLPPIJRNV-IINYFYTJSA-N 0 1 289.339 0.591 20 30 CCEDMN N#Cc1cc(CN2CC(N3CC[C@@H](O)C3)C2)cs1 ZINC000895102240 631939595 /nfs/dbraw/zinc/93/95/95/631939595.db2.gz VRMPZVPFRBFAMP-GFCCVEGCSA-N 0 1 263.366 0.871 20 30 CCEDMN N#Cc1cccc(CN[C@@H]2C(=O)NCC23CCOCC3)c1 ZINC000895774214 632152094 /nfs/dbraw/zinc/15/20/94/632152094.db2.gz XFIVWAQJMFXOFM-CQSZACIVSA-N 0 1 285.347 0.943 20 30 CCEDMN N#Cc1cnc(Cl)c(CNCCN2CCNC2=O)c1 ZINC000895813926 632160159 /nfs/dbraw/zinc/16/01/59/632160159.db2.gz KVKFJUGYCWSAHJ-UHFFFAOYSA-N 0 1 279.731 0.721 20 30 CCEDMN C[C@@H](NC(=O)C1(C#N)CC2(CC2)C1)[C@H]1CN(C)CCN1C ZINC000896528336 632260770 /nfs/dbraw/zinc/26/07/70/632260770.db2.gz RZXPABXZJBIAGD-CHWSQXEVSA-N 0 1 290.411 0.821 20 30 CCEDMN C[C@@H](NC(=O)c1ccnc(C#N)c1)[C@@H]1CN(C)CCN1C ZINC000896546894 632276417 /nfs/dbraw/zinc/27/64/17/632276417.db2.gz ZUMOVIPLFUWKHI-RISCZKNCSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@H](NC(=O)c1sccc1C#N)[C@H]1CN(C)CCN1C ZINC000896563282 632288285 /nfs/dbraw/zinc/28/82/85/632288285.db2.gz JQTLDHNOUGERPL-CMPLNLGQSA-N 0 1 292.408 0.984 20 30 CCEDMN Cc1[nH]ncc1CN1C[C@@H]2CS(=O)(=O)C[C@]2(C#N)C1 ZINC000897061830 632384735 /nfs/dbraw/zinc/38/47/35/632384735.db2.gz MVRHTFCWVZAGBT-NEPJUHHUSA-N 0 1 280.353 0.088 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001007464156 650079291 /nfs/dbraw/zinc/07/92/91/650079291.db2.gz MGCPWTJBTQVYIQ-SNVBAGLBSA-N 0 1 286.339 0.733 20 30 CCEDMN C=CCN(C)C1CCN(C(=O)[C@H](C)S(C)(=O)=O)CC1 ZINC000985500022 632522228 /nfs/dbraw/zinc/52/22/28/632522228.db2.gz YONDVSOANYKOJM-NSHDSACASA-N 0 1 288.413 0.528 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001007340196 650075081 /nfs/dbraw/zinc/07/50/81/650075081.db2.gz UMCKBEBKRHRBHF-VXGBXAGGSA-N 0 1 287.367 0.934 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC(N(C)CC(N)=O)CC1 ZINC000985573496 632564905 /nfs/dbraw/zinc/56/49/05/632564905.db2.gz HMJBHBZJELINHG-UHFFFAOYSA-N 0 1 267.373 0.607 20 30 CCEDMN N#CC[C@@H](NC(=O)C1CN([C@H]2CCOC2)C1)C(F)(F)F ZINC000928799289 632590787 /nfs/dbraw/zinc/59/07/87/632590787.db2.gz AHAHGAGLDVVBFN-VHSXEESVSA-N 0 1 291.273 0.668 20 30 CCEDMN C=C(C)C[N@@H+]1CCC[C@H](NC(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)C1 ZINC001007625271 650086708 /nfs/dbraw/zinc/08/67/08/650086708.db2.gz SDVYSHONKLEVCM-QLFBSQMISA-N 0 1 295.427 0.862 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCn2cncn2)[C@H]1C ZINC000986003080 632691166 /nfs/dbraw/zinc/69/11/66/632691166.db2.gz NWIFMYPMUBZACS-NWDGAFQWSA-N 0 1 297.790 1.000 20 30 CCEDMN C#CC[NH2+][C@H]1CCN(C(=O)c2cccc3nn[n-]c32)[C@@H]1C ZINC000986314950 632743091 /nfs/dbraw/zinc/74/30/91/632743091.db2.gz NREAFJIWYBQBDM-PWSUYJOCSA-N 0 1 283.335 0.784 20 30 CCEDMN C[C@@H]1C[C@@H](NCc2ccn(C)n2)CN1C(=O)C#CC1CC1 ZINC000988824046 633117188 /nfs/dbraw/zinc/11/71/88/633117188.db2.gz FBEXMIOGELDQIN-IUODEOHRSA-N 0 1 286.379 0.913 20 30 CCEDMN C#CC[NH2+][C@@H]1C[C@H](C)N(C(=O)c2cccc3nn[n-]c32)C1 ZINC000988873736 633123546 /nfs/dbraw/zinc/12/35/46/633123546.db2.gz CKTGOFARJCNRPM-WDEREUQCSA-N 0 1 283.335 0.784 20 30 CCEDMN Cc1nc(CNC(=O)N2CCC(CC#N)CC2)n[nH]1 ZINC000898361094 633311923 /nfs/dbraw/zinc/31/19/23/633311923.db2.gz VKKVMYVASDPAJG-UHFFFAOYSA-N 0 1 262.317 0.948 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)Cc2nnc[nH]2)C1 ZINC000989658554 633340490 /nfs/dbraw/zinc/34/04/90/633340490.db2.gz ZLXLTAORMCATPN-VHSXEESVSA-N 0 1 283.763 0.679 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3nccnc3N)CCC[C@@H]12 ZINC000990067537 633439851 /nfs/dbraw/zinc/43/98/51/633439851.db2.gz KVEQNYCUBYKUHO-IAQYHMDHSA-N 0 1 285.351 0.419 20 30 CCEDMN CC#CCN1CC[C@]2(NC(=O)c3cn(C)nn3)CCC[C@@H]12 ZINC000990510971 633547112 /nfs/dbraw/zinc/54/71/12/633547112.db2.gz AEVBUXHPNMRYJH-UKRRQHHQSA-N 0 1 287.367 0.565 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001008670159 650122012 /nfs/dbraw/zinc/12/20/12/650122012.db2.gz IBCYOJHKEAXJMW-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cc2c[nH]cn2)C1 ZINC001008670159 650122016 /nfs/dbraw/zinc/12/20/16/650122016.db2.gz IBCYOJHKEAXJMW-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@]34C[C@H]3COC4)CCC[C@@H]12 ZINC000990790862 633833937 /nfs/dbraw/zinc/83/39/37/633833937.db2.gz MFNWHNKTMYCFSX-XRGAULLZSA-N 0 1 274.364 0.769 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cn(CC=C)nn2)C1 ZINC001008793358 650127583 /nfs/dbraw/zinc/12/75/83/650127583.db2.gz IWCKWQZNQPVXIZ-CYBMUJFWSA-N 0 1 287.367 0.682 20 30 CCEDMN N#CC1(C[C@H](O)COCCc2c[nH]nn2)CCC1 ZINC000899888838 633916883 /nfs/dbraw/zinc/91/68/83/633916883.db2.gz OCYRNRXOTBDLIK-NSHDSACASA-N 0 1 250.302 0.809 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cc2nonc2C)C1 ZINC001009038465 650136300 /nfs/dbraw/zinc/13/63/00/650136300.db2.gz PBGKHXANIGBULV-LLVKDONJSA-N 0 1 264.329 0.687 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cccnc2C(N)=O)C1 ZINC001009607403 650151553 /nfs/dbraw/zinc/15/15/53/650151553.db2.gz COWRXZIZXAELAW-NSHDSACASA-N 0 1 288.351 0.561 20 30 CCEDMN N#Cc1ccc(F)c(CNC[C@H](O)C[C@@]2(O)CCOC2)c1 ZINC000905519814 634874189 /nfs/dbraw/zinc/87/41/89/634874189.db2.gz XIDUHBCZOYLCCO-HIFRSBDPSA-N 0 1 294.326 0.689 20 30 CCEDMN C[C@H](CN(C)C(=O)c1cccc(C#CCO)c1)c1nn[nH]n1 ZINC000907350761 635109964 /nfs/dbraw/zinc/10/99/64/635109964.db2.gz VCEMFJPOVFLNEO-LLVKDONJSA-N 0 1 299.334 0.419 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)[C@H]3OCCO[C@H]3C)CCC[C@H]12 ZINC000991120604 635300325 /nfs/dbraw/zinc/30/03/25/635300325.db2.gz RJSXQQULQAXNMQ-YXWQFLTLSA-N 0 1 292.379 0.537 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C[C@@H]2CCOC2)C1 ZINC001010413377 650221271 /nfs/dbraw/zinc/22/12/71/650221271.db2.gz SQMFIVYRZYABMG-QWHCGFSZSA-N 0 1 250.342 0.627 20 30 CCEDMN N#CC1(C(=O)NCC(=O)N2CCNCC2)CCCCC1 ZINC000911702186 636354943 /nfs/dbraw/zinc/35/49/43/636354943.db2.gz KGDLJTFNIFQIOJ-UHFFFAOYSA-N 0 1 278.356 0.008 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnnc(C)c2)C1 ZINC001010769238 650263376 /nfs/dbraw/zinc/26/33/76/650263376.db2.gz XJMMWHXTLFOFQH-ZDUSSCGKSA-N 0 1 258.325 0.612 20 30 CCEDMN C[C@H](c1ccccc1F)[C@@H](O)C(=O)NCC#CCN(C)C ZINC000913525189 636833721 /nfs/dbraw/zinc/83/37/21/636833721.db2.gz NHIJCHIWCMJKNY-IUODEOHRSA-N 0 1 292.354 0.971 20 30 CCEDMN Cc1nn2cccnc2c1C(=O)NCC#CCN(C)C ZINC000913516758 636834479 /nfs/dbraw/zinc/83/44/79/636834479.db2.gz DUCBHAAKDOPOOC-UHFFFAOYSA-N 0 1 271.324 0.333 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(NC(=O)CN2CCCC2)C1 ZINC000991756991 637044180 /nfs/dbraw/zinc/04/41/80/637044180.db2.gz PUEGRPVCEFODQA-UHFFFAOYSA-N 0 1 279.384 0.621 20 30 CCEDMN N#Cc1ccc(NC(=O)C[N@@H+]2CC[C@H](C(=O)[O-])C2)cc1 ZINC000062134502 637151885 /nfs/dbraw/zinc/15/18/85/637151885.db2.gz CNAVIVVMZDGGOW-NSHDSACASA-N 0 1 273.292 0.903 20 30 CCEDMN N#CCN1CC[C@]2(NC(=O)CN3CCCC3)CCC[C@H]12 ZINC000992484857 637448494 /nfs/dbraw/zinc/44/84/94/637448494.db2.gz HTMKYTOGICRNOE-DZGCQCFKSA-N 0 1 276.384 0.719 20 30 CCEDMN C[C@H](CNS(=O)(=O)CC(C)(C)C#N)N(C)C1CC1 ZINC000914056740 637499200 /nfs/dbraw/zinc/49/92/00/637499200.db2.gz RDMQXDXPAVKEBO-SNVBAGLBSA-N 0 1 273.402 0.938 20 30 CCEDMN CN(CCN1CCCC1)S(=O)(=O)CC(C)(C)C#N ZINC000914061115 637500191 /nfs/dbraw/zinc/50/01/91/637500191.db2.gz KFFJAURLZONQPA-UHFFFAOYSA-N 0 1 273.402 0.894 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1C[C@@H]2CCC[C@@H](NCC#N)[C@@H]2C1 ZINC000992775283 637571781 /nfs/dbraw/zinc/57/17/81/637571781.db2.gz HHDAQSJWOARHPS-QJPTWQEYSA-N 0 1 288.355 0.467 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@@H]1C ZINC000993006336 637661649 /nfs/dbraw/zinc/66/16/49/637661649.db2.gz UCEBUKRFIVFQJW-QWRGUYRKSA-N 0 1 276.340 0.951 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cn2cccn2)[C@@H]1C ZINC000993040213 637674792 /nfs/dbraw/zinc/67/47/92/637674792.db2.gz QQDVMBIGBVICCY-UONOGXRCSA-N 0 1 274.368 0.876 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CN2CCOCC2)[C@H]1C ZINC000993237585 637757410 /nfs/dbraw/zinc/75/74/10/637757410.db2.gz QVMQEVWGJMEBOJ-HUUCEWRRSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cn(C)nn2)[C@H]1C ZINC000993261854 637760062 /nfs/dbraw/zinc/76/00/62/637760062.db2.gz SJMQHZZQDGAEEF-GHMZBOCLSA-N 0 1 263.345 0.584 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)c2cnc[nH]c2=O)[C@H]1C ZINC000993462022 637810723 /nfs/dbraw/zinc/81/07/23/637810723.db2.gz AENQCWPTWGZEPS-PWSUYJOCSA-N 0 1 274.324 0.398 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@H]1C ZINC000993817939 637848582 /nfs/dbraw/zinc/84/85/82/637848582.db2.gz DKBOUCXCPGEVSV-MNOVXSKESA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cn2nccc2C)[C@H]1C ZINC000993892496 637853108 /nfs/dbraw/zinc/85/31/08/637853108.db2.gz RLBZMIZRYPBDBC-ZIAGYGMSSA-N 0 1 274.368 0.794 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(CCO)[C@H]2C)nc1 ZINC000994237408 637878574 /nfs/dbraw/zinc/87/85/74/637878574.db2.gz FXLOFNLGSUPMLX-GXTWGEPZSA-N 0 1 287.363 0.638 20 30 CCEDMN CS(=O)(=O)CC(=O)C(C#N)C(=O)NCc1ccccc1 ZINC000920108012 638124734 /nfs/dbraw/zinc/12/47/34/638124734.db2.gz LHUDFBSELCLRHH-LLVKDONJSA-N 0 1 294.332 0.056 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2CCN(CC#N)C[C@H]2C)c1C ZINC000941894434 638579649 /nfs/dbraw/zinc/57/96/49/638579649.db2.gz FHGBKOPBLYALFR-BXKDBHETSA-N 0 1 275.356 0.990 20 30 CCEDMN N#CCNC1CCN(C(=O)CCc2cnc[nH]2)CC1 ZINC000996652088 638826211 /nfs/dbraw/zinc/82/62/11/638826211.db2.gz TXYYIKLVUYNUQD-UHFFFAOYSA-N 0 1 261.329 0.446 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(CNCc2cnn(C)n2)CC1 ZINC000997616774 638878457 /nfs/dbraw/zinc/87/84/57/638878457.db2.gz FUHPLANIKUZZOW-LLVKDONJSA-N 0 1 290.371 0.303 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nnn(C(C)(C)C)n2)C1 ZINC001014494209 650455681 /nfs/dbraw/zinc/45/56/81/650455681.db2.gz LJACWODILCPTOB-LLVKDONJSA-N 0 1 292.387 0.808 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC(NC(=O)c2cccc3nc[nH]c32)C1 ZINC000998279620 638902797 /nfs/dbraw/zinc/90/27/97/638902797.db2.gz KDKIHPJUIBZKLW-VIFPVBQESA-N 0 1 297.318 0.663 20 30 CCEDMN CCn1nncc1CN[C@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC000998745752 638938596 /nfs/dbraw/zinc/93/85/96/638938596.db2.gz JQQFYJJBYFEVQB-NEPJUHHUSA-N 0 1 290.371 0.538 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)Cn2ncnn2)C1 ZINC000999159979 638963670 /nfs/dbraw/zinc/96/36/70/638963670.db2.gz CEYAHMHYGDIEEX-SNVBAGLBSA-N 0 1 284.751 0.006 20 30 CCEDMN C=C1CC(C)(C(=O)NC2CN(C(=O)c3ccn[nH]3)C2)C1 ZINC000999231824 638968480 /nfs/dbraw/zinc/96/84/80/638968480.db2.gz FLNSWLSGNDGTKT-UHFFFAOYSA-N 0 1 274.324 0.707 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC1(C2CCN(CC#N)CC2)CC1 ZINC001000102350 639038357 /nfs/dbraw/zinc/03/83/57/639038357.db2.gz UKTZQSOJONAOPI-AWEZNQCLSA-N 0 1 290.411 0.965 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cncnc2C)CC1 ZINC001000465580 639092942 /nfs/dbraw/zinc/09/29/42/639092942.db2.gz NJSVYSGCARWPRC-UHFFFAOYSA-N 0 1 270.336 0.780 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccnn2C)CC1 ZINC001000504622 639098137 /nfs/dbraw/zinc/09/81/37/639098137.db2.gz HUASSGXGYKJSFQ-UHFFFAOYSA-N 0 1 258.325 0.415 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2ncc[nH]2)CC1 ZINC001000654100 639148617 /nfs/dbraw/zinc/14/86/17/639148617.db2.gz HVDMRTWBJRMICD-UHFFFAOYSA-N 0 1 258.325 0.795 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)[C@H]2CCC(=O)N2C)CC1 ZINC001001082333 639231620 /nfs/dbraw/zinc/23/16/20/639231620.db2.gz STGIGEIBPTXMIP-CQSZACIVSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2CCC(=O)N2C)CC1 ZINC001001082333 639231626 /nfs/dbraw/zinc/23/16/26/639231626.db2.gz STGIGEIBPTXMIP-CQSZACIVSA-N 0 1 289.379 0.379 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn(CC)nn2)CC1 ZINC001001316292 639281063 /nfs/dbraw/zinc/28/10/63/639281063.db2.gz SQTZWLFTPAUTRJ-UHFFFAOYSA-N 0 1 273.340 0.293 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCc3nnc(C)n3C2)C1 ZINC001016326775 639448394 /nfs/dbraw/zinc/44/83/94/639448394.db2.gz GDMLLILNFRNHDF-CHWSQXEVSA-N 0 1 289.383 0.525 20 30 CCEDMN C=C(Cl)CN1CCC(NC(=O)c2cnn[nH]2)CC1 ZINC001003233169 639520136 /nfs/dbraw/zinc/52/01/36/639520136.db2.gz RFYUYQDLNROYBH-UHFFFAOYSA-N 0 1 269.736 0.751 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cn(C)nc2OC)CC1 ZINC001003419931 639541477 /nfs/dbraw/zinc/54/14/77/639541477.db2.gz LPFONECAIGSHIL-UHFFFAOYSA-N 0 1 290.367 0.646 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC001004000822 639663396 /nfs/dbraw/zinc/66/33/96/639663396.db2.gz AOWMNMBXSYACBZ-HNNXBMFYSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001015024755 650515798 /nfs/dbraw/zinc/51/57/98/650515798.db2.gz DBGUEKNUCAFPBN-RUXDESIVSA-N 0 1 291.395 0.492 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3c(n2)OCCO3)C1 ZINC001015094515 650527316 /nfs/dbraw/zinc/52/73/16/650527316.db2.gz RKFDDFSYDRMXAO-NSHDSACASA-N 0 1 289.335 0.843 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@]23C[C@H]2COC3)CC1 ZINC001005417804 639908552 /nfs/dbraw/zinc/90/85/52/639908552.db2.gz GHTHYNKBDBWAEK-WFASDCNBSA-N 0 1 262.353 0.579 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2ccn(-c3ccncc3)n2)C1 ZINC001015214245 650539849 /nfs/dbraw/zinc/53/98/49/650539849.db2.gz COOAQIWBRIKPBL-GFCCVEGCSA-N 0 1 296.334 0.595 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(C)ccc2=O)C1 ZINC001015219857 650540684 /nfs/dbraw/zinc/54/06/84/650540684.db2.gz PGIIHGRQDGMCKL-LBPRGKRZSA-N 0 1 273.336 0.213 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H](C)[C@H]2CCC(=O)N2)CC1 ZINC001006029332 640073762 /nfs/dbraw/zinc/07/37/62/640073762.db2.gz RPJYVNCFQWPDLO-GXTWGEPZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H](C)[C@@H]2CCC(=O)N2)CC1 ZINC001006029333 640074167 /nfs/dbraw/zinc/07/41/67/640074167.db2.gz RPJYVNCFQWPDLO-JSGCOSHPSA-N 0 1 291.395 0.457 20 30 CCEDMN N#Cc1ccc(CN2CCN[C@H]3CS(=O)(=O)C[C@H]32)cc1 ZINC000923522807 640178626 /nfs/dbraw/zinc/17/86/26/640178626.db2.gz QNHXREUGLFWZNV-UONOGXRCSA-N 0 1 291.376 0.129 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cnc3n[nH]cc3c2)C1 ZINC001014883299 640185471 /nfs/dbraw/zinc/18/54/71/640185471.db2.gz AOATVKBVPTYGQK-CYBMUJFWSA-N 0 1 283.335 0.785 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccccc2C(N)=O)C1 ZINC001015487910 650572494 /nfs/dbraw/zinc/57/24/94/650572494.db2.gz NSZZABULHOVAPD-ZDUSSCGKSA-N 0 1 287.363 0.705 20 30 CCEDMN C=CCCOCCNS(=O)(=O)N=S1(=O)CCCC1 ZINC000867017097 640353666 /nfs/dbraw/zinc/35/36/66/640353666.db2.gz DWLATSDYPPGIMS-UHFFFAOYSA-N 0 1 296.414 0.675 20 30 CCEDMN Cc1ccc(C(=O)NC[C@H]2CN(C)CCN2C)cc1C#N ZINC000799626961 640588517 /nfs/dbraw/zinc/58/85/17/640588517.db2.gz LAOWWKSCLYURRD-HNNXBMFYSA-N 0 1 286.379 0.842 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccc3[nH]c(=O)[nH]c3n2)C1 ZINC001015617618 650593935 /nfs/dbraw/zinc/59/39/35/650593935.db2.gz FRZAGMCQJYDSTL-SECBINFHSA-N 0 1 287.323 0.654 20 30 CCEDMN C[C@@H](C#N)OCCN1CCC[C@@H](N2CCNC2=O)C1 ZINC000801921383 640674914 /nfs/dbraw/zinc/67/49/14/640674914.db2.gz BJJQZUCJFXAGJS-NWDGAFQWSA-N 0 1 266.345 0.405 20 30 CCEDMN C[C@H](C#N)OCC[N@H+]1C[C@@H]2CCC[C@H]2[C@@H]1C(N)=O ZINC000801950133 640679530 /nfs/dbraw/zinc/67/95/30/640679530.db2.gz VZBLXAXJDCEUMA-WRWGMCAJSA-N 0 1 251.330 0.501 20 30 CCEDMN C[C@H](C#N)OCCN1C[C@@H]2CCC[C@H]2[C@@H]1C(N)=O ZINC000801950133 640679562 /nfs/dbraw/zinc/67/95/62/640679562.db2.gz VZBLXAXJDCEUMA-WRWGMCAJSA-N 0 1 251.330 0.501 20 30 CCEDMN C[C@H](C#N)OCC[N@@H+](C)CCN1C[C@@H](C)O[C@@H](C)C1 ZINC000801952432 640680072 /nfs/dbraw/zinc/68/00/72/640680072.db2.gz WSACSUQCOODNPB-MCIONIFRSA-N 0 1 269.389 0.956 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001015706957 650613312 /nfs/dbraw/zinc/61/33/12/650613312.db2.gz LGBVMAUUORTENW-GRYCIOLGSA-N 0 1 265.357 0.087 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)C1 ZINC001015734575 650619215 /nfs/dbraw/zinc/61/92/15/650619215.db2.gz YCCSEPYYFJIMFA-MELADBBJSA-N 0 1 274.368 0.900 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)nn(C)c2OC)C1 ZINC001015784005 650629735 /nfs/dbraw/zinc/62/97/35/650629735.db2.gz YAMMXLPOKOKDEA-LLVKDONJSA-N 0 1 278.356 0.727 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccn(CC)n2)C1 ZINC001015794196 650630400 /nfs/dbraw/zinc/63/04/00/650630400.db2.gz GLBJGJMSJQBVRL-LBPRGKRZSA-N 0 1 260.341 0.730 20 30 CCEDMN O=C(N[C@H]1CCN(O)C1=O)c1c[nH]c2ccccc2c1=O ZINC000816695839 641200087 /nfs/dbraw/zinc/20/00/87/641200087.db2.gz MDYVZBCNMNCSSJ-NSHDSACASA-N 0 1 287.275 0.248 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](Oc2ccc(C#N)cn2)C1 ZINC000816836797 641219755 /nfs/dbraw/zinc/21/97/55/641219755.db2.gz AHCQTTFDFPULTL-NSHDSACASA-N 0 1 298.306 0.673 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc(C)nc2C)C1 ZINC001015868890 650642339 /nfs/dbraw/zinc/64/23/39/650642339.db2.gz FJLQAJLIPZZBIN-ZDUSSCGKSA-N 0 1 272.352 0.921 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@]2(C)CCN(C(C)=O)C2)C1 ZINC001015961610 650664036 /nfs/dbraw/zinc/66/40/36/650664036.db2.gz HXWCEMCLLNLEEZ-HIFRSBDPSA-N 0 1 279.384 0.621 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N[C@H]2CCN(CC#N)C2)cn1 ZINC001015971170 650666897 /nfs/dbraw/zinc/66/68/97/650666897.db2.gz ZBAFGKKAWGBVBV-NSHDSACASA-N 0 1 299.338 0.138 20 30 CCEDMN N#Cc1cncc(N2CCN(CCCCO)CC2)n1 ZINC000825775803 641908166 /nfs/dbraw/zinc/90/81/66/641908166.db2.gz DBEQWOQKRRNJNA-UHFFFAOYSA-N 0 1 261.329 0.243 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC2CCOCC2)CC1 ZINC000825940563 641913139 /nfs/dbraw/zinc/91/31/39/641913139.db2.gz BAGZQNQTKRDQEN-CQSZACIVSA-N 0 1 294.395 0.596 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CN(CC)CCO2)C1 ZINC001016304456 650714519 /nfs/dbraw/zinc/71/45/19/650714519.db2.gz HMLNHXQMOALUIC-OLZOCXBDSA-N 0 1 267.373 0.084 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCCCC=C)CC1 ZINC000828529923 642102509 /nfs/dbraw/zinc/10/25/09/642102509.db2.gz ZFHOYPLVQPCUSJ-UHFFFAOYSA-N 0 1 285.413 0.428 20 30 CCEDMN C#CC[C@H]1CCCN(CC(=O)NC(=O)N[C@H](C)COC)C1 ZINC000829769067 642190043 /nfs/dbraw/zinc/19/00/43/642190043.db2.gz OBGNPMYYHJQXAH-OLZOCXBDSA-N 0 1 295.383 0.582 20 30 CCEDMN C#CC[C@@H]1CCCN(CC(=O)NC(=O)NCC)C1 ZINC000829768812 642190502 /nfs/dbraw/zinc/19/05/02/642190502.db2.gz KISRSTMPFRWJDN-LLVKDONJSA-N 0 1 251.330 0.567 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccn(C2CCCC2)n1 ZINC000834479715 642572924 /nfs/dbraw/zinc/57/29/24/642572924.db2.gz HWQDASVZFKWFDL-GXFFZTMASA-N 0 1 273.340 0.840 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnn(-c2cccnc2)c1 ZINC000834480644 642573574 /nfs/dbraw/zinc/57/35/74/642573574.db2.gz UBBFACGQNWASEP-GWCFXTLKSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccc(F)c(F)c1 ZINC000834481050 642574294 /nfs/dbraw/zinc/57/42/94/642574294.db2.gz ZMZMFAHNMHXDQD-CABZTGNLSA-N 0 1 265.263 0.735 20 30 CCEDMN Cn1nc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)c2ccccc21 ZINC000834484158 642574817 /nfs/dbraw/zinc/57/48/17/642574817.db2.gz FJWKNBIWTSNGDW-GXFFZTMASA-N 0 1 283.335 0.344 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(N)cc1Cl ZINC000834485599 642578632 /nfs/dbraw/zinc/57/86/32/642578632.db2.gz ZBLZCAQJFAPWKS-CPCISQLKSA-N 0 1 264.716 0.764 20 30 CCEDMN Cn1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(-c2ccncc2)n1 ZINC000834493234 642582952 /nfs/dbraw/zinc/58/29/52/642582952.db2.gz BYXBBYBFQDRWGX-WCQYABFASA-N 0 1 296.334 0.323 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COCCc1ccccc1 ZINC000834493573 642583362 /nfs/dbraw/zinc/58/33/62/642583362.db2.gz FJZAZFWPMJTTAE-KBPBESRZSA-N 0 1 273.336 0.473 20 30 CCEDMN Cc1cc2c[nH]nc2c(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834493629 642583724 /nfs/dbraw/zinc/58/37/24/642583724.db2.gz FZEGBXWSDLUFMX-ZYHUDNBSSA-N 0 1 269.308 0.713 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1CCOc2ccccc21 ZINC000834494117 642584044 /nfs/dbraw/zinc/58/40/44/642584044.db2.gz KHRCCKQSPHSGFF-WXHSDQCUSA-N 0 1 271.320 0.780 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(N)nc(Cl)c1 ZINC000834494269 642584063 /nfs/dbraw/zinc/58/40/63/642584063.db2.gz MNBAYBLMZWGTQK-SFYZADRCSA-N 0 1 265.704 0.159 20 30 CCEDMN Cc1nn(C(F)F)c(C)c1CC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834495430 642585748 /nfs/dbraw/zinc/58/57/48/642585748.db2.gz VMPUQGHGTIGNBM-MWLCHTKSSA-N 0 1 297.309 0.665 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ncccc1Br ZINC000834500946 642590052 /nfs/dbraw/zinc/59/00/52/642590052.db2.gz YJWKADHOBJTHDY-APPZFPTMSA-N 0 1 295.140 0.685 20 30 CCEDMN Cc1nn(C)c2ncc(C(=O)N[C@H]3CNC[C@@H]3C#N)cc12 ZINC000834501049 642590612 /nfs/dbraw/zinc/59/06/12/642590612.db2.gz ZBRRWRWHQVSCFU-JQWIXIFHSA-N 0 1 284.323 0.118 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccc(Cl)cn1 ZINC000834506809 642593411 /nfs/dbraw/zinc/59/34/11/642593411.db2.gz WDSKQXMDSQGUNW-KCJUWKMLSA-N 0 1 264.716 0.505 20 30 CCEDMN Cc1ccc(C)c(OCC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834511663 642596758 /nfs/dbraw/zinc/59/67/58/642596758.db2.gz NLFAAUHBPMKTSN-STQMWFEESA-N 0 1 273.336 0.910 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)ccc1[N+](=O)[O-] ZINC000834511652 642597037 /nfs/dbraw/zinc/59/70/37/642597037.db2.gz NHUPCEMSZSDOHU-GHMZBOCLSA-N 0 1 274.280 0.745 20 30 CCEDMN Cc1cccc(OCC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834511563 642597049 /nfs/dbraw/zinc/59/70/49/642597049.db2.gz MJVKKMZFRUFRLJ-AAEUAGOBSA-N 0 1 259.309 0.602 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(Cl)nc1 ZINC000834512184 642597859 /nfs/dbraw/zinc/59/78/59/642597859.db2.gz SPTRURYSSLKFJG-RKDXNWHRSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc2c[nH]nc21 ZINC000834515660 642598511 /nfs/dbraw/zinc/59/85/11/642598511.db2.gz AREQHOAYVUDGCD-ONGXEEELSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COc1ccc(F)cc1F ZINC000834517521 642600339 /nfs/dbraw/zinc/60/03/39/642600339.db2.gz WPQHQMPWJJRSIU-GZMMTYOYSA-N 0 1 281.262 0.571 20 30 CCEDMN Cc1nn(C)c2sc(C(=O)N[C@H]3CNC[C@H]3C#N)cc12 ZINC000834517374 642600715 /nfs/dbraw/zinc/60/07/15/642600715.db2.gz UUCYIIQLWHBDFL-SCZZXKLOSA-N 0 1 289.364 0.785 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cn(-c2ccccc2)nn1 ZINC000834516659 642600988 /nfs/dbraw/zinc/60/09/88/642600988.db2.gz KJGRAPFMUWBMQI-ZYHUDNBSSA-N 0 1 282.307 0.109 20 30 CCEDMN Cc1sc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1[N+](=O)[O-] ZINC000834517836 642601303 /nfs/dbraw/zinc/60/13/03/642601303.db2.gz ZXZLYTLVIHQBJG-JGVFFNPUSA-N 0 1 280.309 0.806 20 30 CCEDMN COc1cccc(COCC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834521721 642601506 /nfs/dbraw/zinc/60/15/06/642601506.db2.gz QZJUQQAVRXWICT-TZMCWYRMSA-N 0 1 289.335 0.440 20 30 CCEDMN O=C(C#CC1CC1)N1CCN(CC2CCOCC2)CC1 ZINC000837426915 642743738 /nfs/dbraw/zinc/74/37/38/642743738.db2.gz LKWNAXNJWSTEOG-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1cccc(Cl)n1 ZINC000841137336 642804554 /nfs/dbraw/zinc/80/45/54/642804554.db2.gz HONYKVXAEMWBQN-YUMQZZPRSA-N 0 1 265.704 0.968 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccc(Cl)cn1 ZINC000841195704 642807247 /nfs/dbraw/zinc/80/72/47/642807247.db2.gz CGLKGUMABIMLQR-CBAPKCEASA-N 0 1 265.704 0.968 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1cnc(CC2CC2)nc1 ZINC000841233919 642808130 /nfs/dbraw/zinc/80/81/30/642808130.db2.gz BYHPEMQTDFLLJV-JQWIXIFHSA-N 0 1 286.339 0.662 20 30 CCEDMN CCn1ncc(C=NNc2ccc(C(=O)OC)cn2)n1 ZINC000841633939 642827886 /nfs/dbraw/zinc/82/78/86/642827886.db2.gz YFOPYIKWLRHBJV-UHFFFAOYSA-N 0 1 274.284 0.926 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1c(N)cccc1[N+](=O)[O-] ZINC000843459631 643063583 /nfs/dbraw/zinc/06/35/83/643063583.db2.gz HEWKWLJGPQYUJV-VXNVDRBHSA-N 0 1 275.268 0.018 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCOc1cccc(F)c1 ZINC000843461541 643065949 /nfs/dbraw/zinc/06/59/49/643065949.db2.gz LSQKLFZRJWMOPV-GWCFXTLKSA-N 0 1 277.299 0.822 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1(c2ccccc2)CCOCC1 ZINC000843463391 643068018 /nfs/dbraw/zinc/06/80/18/643068018.db2.gz WJUXHEGCAIAKAT-HIFRSBDPSA-N 0 1 299.374 0.963 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(=O)NC1 ZINC001017699232 650818063 /nfs/dbraw/zinc/81/80/63/650818063.db2.gz QKOFOBYSYDVILE-MELADBBJSA-N 0 1 289.379 0.211 20 30 CCEDMN Cc1[nH]nc2ncc(C(=O)N[C@@H]3CNC[C@H]3C#N)cc12 ZINC000844195210 643179634 /nfs/dbraw/zinc/17/96/34/643179634.db2.gz SJAMJWGCLOPRDQ-MWLCHTKSSA-N 0 1 270.296 0.108 20 30 CCEDMN C[C@H](Oc1ccccc1C#N)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000846677391 643441261 /nfs/dbraw/zinc/44/12/61/643441261.db2.gz MLKWDDKTIWZBOP-UHTWSYAYSA-N 0 1 284.319 0.553 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCC(=O)N1 ZINC001018056697 650841506 /nfs/dbraw/zinc/84/15/06/650841506.db2.gz FYTACRUXPXZVEF-MELADBBJSA-N 0 1 291.395 0.906 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CC[C@@H]2C(N)=O)c1 ZINC000847615548 643537752 /nfs/dbraw/zinc/53/77/52/643537752.db2.gz GRIFKQBGBOZRRQ-IUCAKERBSA-N 0 1 259.265 0.714 20 30 CCEDMN C[C@H](CC(=O)Nc1cc(C#N)ccc1O)NC(N)=O ZINC000847616530 643538303 /nfs/dbraw/zinc/53/83/03/643538303.db2.gz PCHZGSFLODTRTG-SSDOTTSWSA-N 0 1 262.269 0.649 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C[C@@H]1OCc2ccccc21 ZINC000848418986 643634533 /nfs/dbraw/zinc/63/45/33/643634533.db2.gz PGDWWKSIPJFGOB-BNOWGMLFSA-N 0 1 271.320 0.876 20 30 CCEDMN CN(C)c1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1Cl ZINC000848418896 643634790 /nfs/dbraw/zinc/63/47/90/643634790.db2.gz OGSHXTQIDSPMQW-MWLCHTKSSA-N 0 1 293.758 0.642 20 30 CCEDMN C=CCN(CCOC)C[C@](O)(C(N)=O)c1ccccc1 ZINC000851980221 643907126 /nfs/dbraw/zinc/90/71/26/643907126.db2.gz QTLBYEWVKVFKNT-OAHLLOKOSA-N 0 1 278.352 0.494 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCc1cccnc1Cl ZINC000852827636 644013861 /nfs/dbraw/zinc/01/38/61/644013861.db2.gz MEDQBXINKKJAGY-MNOVXSKESA-N 0 1 278.743 0.895 20 30 CCEDMN COc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)ccc1C#N ZINC000852827449 644014339 /nfs/dbraw/zinc/01/43/39/644014339.db2.gz JFLAEJZBVKTTFC-NWDGAFQWSA-N 0 1 270.292 0.408 20 30 CCEDMN Cc1ncc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)n1C ZINC000853325735 644098538 /nfs/dbraw/zinc/09/85/38/644098538.db2.gz YRUKTAVRASRQMZ-VXGBXAGGSA-N 0 1 260.345 0.922 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC2(CN(C(=O)c3ccn[nH]3)C2)C1 ZINC001018812493 650910985 /nfs/dbraw/zinc/91/09/85/650910985.db2.gz DJHUPWFWNOKHQZ-JTQLQIEISA-N 0 1 287.323 0.244 20 30 CCEDMN C[C@@H]1C(=O)N(N=Cc2cc(O)ccc2[N+](=O)[O-])C(=O)N1C ZINC000857215271 644482612 /nfs/dbraw/zinc/48/26/12/644482612.db2.gz RXQHVIYGIGXTGG-SSDOTTSWSA-N 0 1 292.251 0.917 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001019008788 650929273 /nfs/dbraw/zinc/92/92/73/650929273.db2.gz YKDCTQZRLGAMJO-RYUDHWBXSA-N 0 1 299.802 0.798 20 30 CCEDMN N#Cc1ccccc1NC(=S)NCCN1CC[C@@H](O)C1 ZINC000863236069 645071274 /nfs/dbraw/zinc/07/12/74/645071274.db2.gz FSDLVAYORRAEJG-GFCCVEGCSA-N 0 1 290.392 0.911 20 30 CCEDMN N#Cc1ccccc1CN[C@H]1CCN(C(=O)c2nc[nH]n2)C1 ZINC001019533347 650983577 /nfs/dbraw/zinc/98/35/77/650983577.db2.gz NYRDRMKDDNPRRT-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2nonc2C)C1 ZINC001019589166 650990625 /nfs/dbraw/zinc/99/06/25/650990625.db2.gz GXQDACWLMIDKNR-JTQLQIEISA-N 0 1 284.747 0.864 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@@H](NC(=O)C[C@@H]1COCCN1)C2 ZINC000868038678 645709330 /nfs/dbraw/zinc/70/93/30/645709330.db2.gz YXNZJZZIWGKEMT-LSDHHAIUSA-N 0 1 285.347 0.520 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CCC[N@@H+]2CCCO)co1 ZINC000868630550 645796087 /nfs/dbraw/zinc/79/60/87/645796087.db2.gz ZQZJJLPBOZVONN-GFCCVEGCSA-N 0 1 277.324 0.728 20 30 CCEDMN Cc1ccn(C[C@H](C)C(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000870939951 646054028 /nfs/dbraw/zinc/05/40/28/646054028.db2.gz DJDAVKPDXPDMLT-ZMLRMANQSA-N 0 1 261.329 0.055 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnn(CC2CC2)c1 ZINC000870940715 646054456 /nfs/dbraw/zinc/05/44/56/646054456.db2.gz LSFMJJZCBIEKSP-ZYHUDNBSSA-N 0 1 259.313 0.134 20 30 CCEDMN Cn1cc(-c2ccc(C(=O)N[C@H]3CNC[C@@H]3C#N)cc2)cn1 ZINC000870941513 646055448 /nfs/dbraw/zinc/05/54/48/646055448.db2.gz WNXGYSMQNHQXBD-ZFWWWQNUSA-N 0 1 295.346 0.928 20 30 CCEDMN C[C@@H]1CCn2cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)nc2C1 ZINC000870941247 646055731 /nfs/dbraw/zinc/05/57/31/646055731.db2.gz SRGVKVHYDDDEOS-OUAUKWLOSA-N 0 1 273.340 0.307 20 30 CCEDMN Cn1nc(C(=O)N[C@H]2CNC[C@@H]2C#N)c2ccccc21 ZINC000876801856 646669148 /nfs/dbraw/zinc/66/91/48/646669148.db2.gz JTUGUJZQQALILS-ONGXEEELSA-N 0 1 269.308 0.415 20 30 CCEDMN N#CCCN1CCN(C[C@H](O)CC2(CO)CCCC2)CC1 ZINC000878313348 646891554 /nfs/dbraw/zinc/89/15/54/646891554.db2.gz YHTBQRKYDXZJMJ-OAHLLOKOSA-N 0 1 295.427 0.821 20 30 CCEDMN C[C@@H]1CC[C@H](CO)N1CC(=O)N(CCC#N)CCC#N ZINC000880441122 647201441 /nfs/dbraw/zinc/20/14/41/647201441.db2.gz QTYDBCWDFHQUON-CHWSQXEVSA-N 0 1 278.356 0.488 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccc(=O)[nH]c2)CC1 ZINC001006363898 647575277 /nfs/dbraw/zinc/57/52/77/647575277.db2.gz KTJPXMKDDUTOPA-UHFFFAOYSA-N 0 1 273.336 0.957 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CC(F)(F)C(F)F ZINC000884249217 647679450 /nfs/dbraw/zinc/67/94/50/647679450.db2.gz GMTJPZPIXQHQIJ-WDSKDSINSA-N 0 1 253.199 0.505 20 30 CCEDMN Cn1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(Br)n1 ZINC000884249900 647681043 /nfs/dbraw/zinc/68/10/43/647681043.db2.gz TXJDRSKLMYDTKK-XPUUQOCRSA-N 0 1 298.144 0.024 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ncsc1C1CC1 ZINC000884251243 647682561 /nfs/dbraw/zinc/68/25/61/647682561.db2.gz YTOUWBPFHZAJLO-RKDXNWHRSA-N 0 1 262.338 0.862 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN3CCOC[C@H]3C2)CCC1 ZINC000886131959 647905374 /nfs/dbraw/zinc/90/53/74/647905374.db2.gz LAUQOLPAAJBNBH-ZIAGYGMSSA-N 0 1 279.384 0.448 20 30 CCEDMN C#CC[C@@H]1CCN([C@@H]2CCN(c3cnn(C)c3)C2=O)C1 ZINC000886358618 647968628 /nfs/dbraw/zinc/96/86/28/647968628.db2.gz YMSIMGMLMWMFDH-TZMCWYRMSA-N 0 1 272.352 0.871 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1C[C@@H]2CC[C@H](O)[C@@H]2C1)C1CC1 ZINC000125429121 648316728 /nfs/dbraw/zinc/31/67/28/648316728.db2.gz CEJUFJQXYXHWJO-QJZXMWHDSA-N 0 1 277.368 0.498 20 30 CCEDMN N#CC[C@@H](O)CN1CC[C@@](O)(c2ccc(F)cc2)C1 ZINC000930241710 648838548 /nfs/dbraw/zinc/83/85/48/648838548.db2.gz OIICQHGPDXYRGE-KGLIPLIRSA-N 0 1 264.300 0.994 20 30 CCEDMN N#CCNC(=O)CN1C[C@@H]2C[C@H]1CN2Cc1ccccc1 ZINC000931489360 648995683 /nfs/dbraw/zinc/99/56/83/648995683.db2.gz HGRJEOBWCFOTSL-GJZGRUSLSA-N 0 1 284.363 0.585 20 30 CCEDMN C[C@H](NC(=O)NCC#CCN(C)C)C(F)(F)F ZINC000931789325 649028844 /nfs/dbraw/zinc/02/88/44/649028844.db2.gz LZSKFSUHCFENIT-QMMMGPOBSA-N 0 1 251.252 0.801 20 30 CCEDMN C[C@]1(C(=O)NCCNC(O)=C2N=CC=CC2=O)C=CCC1 ZINC000932530754 649079486 /nfs/dbraw/zinc/07/94/86/649079486.db2.gz JIYSDMXMPMDAFF-LLNWESEBSA-N 0 1 289.335 0.985 20 30 CCEDMN C=C(Br)CNC(=O)c1[nH]nc2c1CNCC2 ZINC000188453093 649350671 /nfs/dbraw/zinc/35/06/71/649350671.db2.gz VTHMWJQERXMGSV-UHFFFAOYSA-N 0 1 285.145 0.694 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(NC(=O)[C@H]2CCCN2C)CC1 ZINC000948097240 649363609 /nfs/dbraw/zinc/36/36/09/649363609.db2.gz YPDDGAMKVPZTTF-WCQYABFASA-N 0 1 292.383 0.347 20 30 CCEDMN C#CCNC(=O)CN1CCN(Cc2ccccc2)C[C@H]1C ZINC000076802888 649395767 /nfs/dbraw/zinc/39/57/67/649395767.db2.gz IVLLFTXKDSTFDR-OAHLLOKOSA-N 0 1 285.391 0.942 20 30 CCEDMN CN(Cc1nnc[nH]1)S(=O)(=O)c1cccc(F)c1C#N ZINC000080528235 649554686 /nfs/dbraw/zinc/55/46/86/649554686.db2.gz IRXFPCUHXOOITN-UHFFFAOYSA-N 0 1 295.299 0.636 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@H]1C ZINC000249743876 649752322 /nfs/dbraw/zinc/75/23/22/649752322.db2.gz YUKXWSSAXFOKBP-OUAUKWLOSA-N 0 1 252.314 0.894 20 30 CCEDMN C[C@H](OC[C@H]1CCCCO1)C(=O)C(C#N)C(=O)NC1CC1 ZINC000249826940 649752911 /nfs/dbraw/zinc/75/29/11/649752911.db2.gz KXZUZVSHQSBJJK-UHTWSYAYSA-N 0 1 294.351 0.948 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncnc4[nH]cc(C#N)c43)C[C@H]21 ZINC001164665742 719369422 /nfs/dbraw/zinc/36/94/22/719369422.db2.gz CJJXREULHGZEIJ-GHMZBOCLSA-N 0 1 284.323 0.349 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncnc4[nH]cc(C#N)c43)C[C@@H]21 ZINC001164665753 719369862 /nfs/dbraw/zinc/36/98/62/719369862.db2.gz CJJXREULHGZEIJ-WDEREUQCSA-N 0 1 284.323 0.349 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncc([N+](=O)[O-])cc3C#N)C[C@@H]21 ZINC000377962716 719387989 /nfs/dbraw/zinc/38/79/89/719387989.db2.gz DJEARYRQQAZAMZ-NWDGAFQWSA-N 0 1 289.295 0.381 20 30 CCEDMN CCc1nc(C#N)c(C#N)nc1N1C[C@@H]2OCCN(C)[C@H]2C1 ZINC001164667988 719476599 /nfs/dbraw/zinc/47/65/99/719476599.db2.gz NLUIAXDRBWHPNY-KBPBESRZSA-N 0 1 298.350 0.302 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCCC(=O)NCC)CC1 ZINC001230071421 805580845 /nfs/dbraw/zinc/58/08/45/805580845.db2.gz JVKQPIHHQVRBGD-UHFFFAOYSA-N 0 1 293.411 0.897 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCN2CCCC2=O)CC1 ZINC001230288492 805591877 /nfs/dbraw/zinc/59/18/77/805591877.db2.gz RTDLDPADBRZUKQ-UHFFFAOYSA-N 0 1 291.395 0.603 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)CC(C)C)CC1 ZINC001266256923 790339551 /nfs/dbraw/zinc/33/95/51/790339551.db2.gz NYHLYVLOECQEBA-UHFFFAOYSA-N 0 1 265.401 0.790 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001266281390 790392680 /nfs/dbraw/zinc/39/26/80/790392680.db2.gz NCKYFBNZIRPACQ-LBPRGKRZSA-N 0 1 250.346 0.066 20 30 CCEDMN CC#CCN(C)CCNC(=O)C1(COCC)CC1 ZINC001266288999 790423015 /nfs/dbraw/zinc/42/30/15/790423015.db2.gz XTBJUZUZTRQKOU-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](OC)c1cnn(C)c1 ZINC001266304351 790472577 /nfs/dbraw/zinc/47/25/77/790472577.db2.gz RPTUQIXGVCHBOS-CYBMUJFWSA-N 0 1 278.356 0.179 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001039049046 790477379 /nfs/dbraw/zinc/47/73/79/790477379.db2.gz DHWMFLCAQLBLIS-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCC[N@@H+](C)CCNC(=O)CCCn1ccccc1=O ZINC001266306390 790478229 /nfs/dbraw/zinc/47/82/29/790478229.db2.gz PQYCZFGQFIPKQV-UHFFFAOYSA-N 0 1 289.379 0.700 20 30 CCEDMN C#CCC[N@@H+](C)CCNC(=O)c1c(C)c(C)n[nH]c1=O ZINC001266306480 790478439 /nfs/dbraw/zinc/47/84/39/790478439.db2.gz UIGIFAASLIDDQE-UHFFFAOYSA-N 0 1 276.340 0.484 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cnnn2CC)C1 ZINC001266316613 790492975 /nfs/dbraw/zinc/49/29/75/790492975.db2.gz HBDZWZYFDDVOLR-GFCCVEGCSA-N 0 1 293.371 0.305 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(CCOCC)C2)nc1 ZINC001266352949 790570310 /nfs/dbraw/zinc/57/03/10/790570310.db2.gz LODOEPWFXPQODM-CQSZACIVSA-N 0 1 287.363 0.904 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1CCN(CCn2cccn2)C1 ZINC001266364559 790594837 /nfs/dbraw/zinc/59/48/37/790594837.db2.gz YEFZZKLIMMRGOW-AWEZNQCLSA-N 0 1 292.383 0.666 20 30 CCEDMN C=CCCC(=O)NCCN(CC)[C@H]1CCN(C)C1=O ZINC001266380850 790615657 /nfs/dbraw/zinc/61/56/57/790615657.db2.gz RFDWQPSJNLEXAI-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN CC[C@@H]1CCO[C@@H]1C(=O)NCCN(CC)CC#CCOC ZINC001266396148 790629364 /nfs/dbraw/zinc/62/93/64/790629364.db2.gz BNVGRAKBXFASPK-CABCVRRESA-N 0 1 296.411 0.889 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cc(OCC)n[nH]1 ZINC001266396545 790631088 /nfs/dbraw/zinc/63/10/88/790631088.db2.gz ISXAPCBLRPXXGH-UHFFFAOYSA-N 0 1 278.356 0.883 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@]1(C)CCN(C(C)=O)C1 ZINC001266428030 790682958 /nfs/dbraw/zinc/68/29/58/790682958.db2.gz IUOJGAVQUMOYBG-MRXNPFEDSA-N 0 1 293.411 0.706 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@H]1CNC(=O)CC ZINC001266443521 790721926 /nfs/dbraw/zinc/72/19/26/790721926.db2.gz PBIKWHGJGUJJBI-RYUDHWBXSA-N 0 1 267.373 0.668 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)CC(N)=O ZINC001266491198 790784151 /nfs/dbraw/zinc/78/41/51/790784151.db2.gz GXCVZIVJCLZXKK-RWMBFGLXSA-N 0 1 277.368 0.196 20 30 CCEDMN CCCCNC(=O)CN1CC[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001266505784 790812396 /nfs/dbraw/zinc/81/23/96/790812396.db2.gz PXTVKFKFMHLFRD-CHWSQXEVSA-N 0 1 294.399 0.500 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001266517843 790854582 /nfs/dbraw/zinc/85/45/82/790854582.db2.gz OGYHEBBQSANHHH-LLVKDONJSA-N 0 1 293.371 0.805 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001266517843 790854597 /nfs/dbraw/zinc/85/45/97/790854597.db2.gz OGYHEBBQSANHHH-LLVKDONJSA-N 0 1 293.371 0.805 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)[C@H](C)COC)C1 ZINC001279470884 791065790 /nfs/dbraw/zinc/06/57/90/791065790.db2.gz JAPNULRMNGXTMX-UKRRQHHQSA-N 0 1 284.400 0.788 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)c2ccnn2C)C1 ZINC001279471467 791074125 /nfs/dbraw/zinc/07/41/25/791074125.db2.gz ONIJFOQTERKRKU-HNNXBMFYSA-N 0 1 292.383 0.553 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)C(F)F)C[C@H](C)O2 ZINC001098547711 791100625 /nfs/dbraw/zinc/10/06/25/791100625.db2.gz BNWXTAWHPUWOPG-NSHDSACASA-N 0 1 286.322 0.967 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCNCc1cnc(C)o1 ZINC001266696277 791150653 /nfs/dbraw/zinc/15/06/53/791150653.db2.gz CDKCYRIBAHGQQW-NSHDSACASA-N 0 1 279.340 0.569 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCNCc1cnc(C)o1 ZINC001266696276 791150910 /nfs/dbraw/zinc/15/09/10/791150910.db2.gz CDKCYRIBAHGQQW-LLVKDONJSA-N 0 1 279.340 0.569 20 30 CCEDMN N#Cc1ccc([O-])c(C[N@H+]2CCN3C(=O)COC[C@@H]3C2)c1 ZINC001232678908 805969796 /nfs/dbraw/zinc/96/97/96/805969796.db2.gz UNYNBNDJRZLWPI-ZDUSSCGKSA-N 0 1 287.319 0.307 20 30 CCEDMN C[C@H](C(N)=O)N1CCC[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001266749199 791208277 /nfs/dbraw/zinc/20/82/77/791208277.db2.gz FQSYGUHVFSQENC-OLZOCXBDSA-N 0 1 293.411 0.738 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCc1ccn(C)n1 ZINC001266842474 791282838 /nfs/dbraw/zinc/28/28/38/791282838.db2.gz OIYTXKWFIGIMRU-OAHLLOKOSA-N 0 1 288.395 0.957 20 30 CCEDMN C[C@H](CNC(=O)c1ccc(-c2nnc[nH]2)cc1)NCC#N ZINC001266984940 791420227 /nfs/dbraw/zinc/42/02/27/791420227.db2.gz YIUJIQFBQWSEGN-SNVBAGLBSA-N 0 1 284.323 0.703 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1nonc1C ZINC001266995416 791429508 /nfs/dbraw/zinc/42/95/08/791429508.db2.gz HNDQWTHANKKYKE-ZETCQYMHSA-N 0 1 258.709 0.838 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1c[nH]cc1C ZINC001267230453 791708601 /nfs/dbraw/zinc/70/86/01/791708601.db2.gz OLXOJJIVQMKVBD-UHFFFAOYSA-N 0 1 263.341 0.635 20 30 CCEDMN N#CCCN1CC(Oc2n[nH]c(=O)cc2Cl)C1 ZINC001233589721 806088776 /nfs/dbraw/zinc/08/87/76/806088776.db2.gz LGXSVZOIIWAURY-UHFFFAOYSA-N 0 1 254.677 0.812 20 30 CCEDMN CC#CC[N@@H+](CC)CCNC(=O)c1ccc(=O)[nH]n1 ZINC001267234495 791717716 /nfs/dbraw/zinc/71/77/16/791717716.db2.gz IANYRAHBTAYHQK-UHFFFAOYSA-N 0 1 262.313 0.257 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1ccc(=O)[nH]n1 ZINC001267234495 791717720 /nfs/dbraw/zinc/71/77/20/791717720.db2.gz IANYRAHBTAYHQK-UHFFFAOYSA-N 0 1 262.313 0.257 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@H]1COC(=O)C1 ZINC001233609815 806092398 /nfs/dbraw/zinc/09/23/98/806092398.db2.gz ASTBCCHGGUQXQV-NEPJUHHUSA-N 0 1 266.341 0.658 20 30 CCEDMN C#CCCCCC(=O)N(C)C[C@@H]1CCN1CCOCCO ZINC001233760121 806116061 /nfs/dbraw/zinc/11/60/61/806116061.db2.gz ACHJOMZQONTHHB-HNNXBMFYSA-N 0 1 296.411 0.722 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](O)C(C)C ZINC001234069206 806178264 /nfs/dbraw/zinc/17/82/64/806178264.db2.gz SCQANLFFKHCCCB-CHWSQXEVSA-N 0 1 252.358 0.559 20 30 CCEDMN C[C@@H](O)CN1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234177363 806196447 /nfs/dbraw/zinc/19/64/47/806196447.db2.gz AXTMQWKDCIDAQN-DGCLKSJQSA-N 0 1 250.342 0.313 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cnnn3C)C[C@H]21 ZINC001042039681 793218857 /nfs/dbraw/zinc/21/88/57/793218857.db2.gz GTQPEUAPSDBWEN-GXTWGEPZSA-N 0 1 287.367 0.375 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cnnn3C)C[C@@H]21 ZINC001042039684 793219725 /nfs/dbraw/zinc/21/97/25/793219725.db2.gz GTQPEUAPSDBWEN-OCCSQVGLSA-N 0 1 287.367 0.375 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1nn(C)cc1C ZINC001267241318 793229635 /nfs/dbraw/zinc/22/96/35/793229635.db2.gz RYXVEYATEBECIZ-UHFFFAOYSA-N 0 1 292.383 0.430 20 30 CCEDMN C#CCN(CC)CCNC(=O)CN1CCCCC1=O ZINC001267245202 793236451 /nfs/dbraw/zinc/23/64/51/793236451.db2.gz FKVZLSKODPTSPY-UHFFFAOYSA-N 0 1 265.357 0.070 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ncn(C(C)(C)C)n1 ZINC001267250050 793243278 /nfs/dbraw/zinc/24/32/78/793243278.db2.gz DAQWAPHBDGXSHE-UHFFFAOYSA-N 0 1 277.372 0.718 20 30 CCEDMN CCN(CC#N)CCNC(=O)c1ccc2[nH]nnc2c1 ZINC001267256485 793254383 /nfs/dbraw/zinc/25/43/83/793254383.db2.gz YKBKIRMYUMDKHM-UHFFFAOYSA-N 0 1 272.312 0.533 20 30 CCEDMN COC[C@@H](C)N1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038142285 793254918 /nfs/dbraw/zinc/25/49/18/793254918.db2.gz CKIOEAUMUPFZGX-ZYHUDNBSSA-N 0 1 276.340 0.725 20 30 CCEDMN C#C[C@@H](C)Oc1[nH]c(=O)nc2ccc(C(N)=O)cc21 ZINC001234516888 806266002 /nfs/dbraw/zinc/26/60/02/806266002.db2.gz YZXSWNDASOJTGR-SSDOTTSWSA-N 0 1 257.249 0.835 20 30 CCEDMN N#Cc1ccc2nc(=O)[nH]c(OC3COCOC3)c2c1 ZINC001234530123 806267572 /nfs/dbraw/zinc/26/75/72/806267572.db2.gz HZINIXUFXNIQBR-UHFFFAOYSA-N 0 1 273.248 0.959 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)/C(C)=C/CC ZINC001234984390 806327762 /nfs/dbraw/zinc/32/77/62/806327762.db2.gz PPXINZZCBIOTJS-SSUFTNFISA-N 0 1 293.411 0.919 20 30 CCEDMN Cc1cc(N[C@H]2C[C@@H](NC(=O)c3cnn[nH]3)C2)c(C#N)cn1 ZINC001059880622 814930625 /nfs/dbraw/zinc/93/06/25/814930625.db2.gz UEUMZHCRVCAGRA-PHIMTYICSA-N 0 1 297.322 0.175 20 30 CCEDMN CC#CCN1CC[C@H]1CN(C)C(=O)CCc1cnc[nH]1 ZINC001235143692 806376952 /nfs/dbraw/zinc/37/69/52/806376952.db2.gz UUVBRPTUQLUNSA-AWEZNQCLSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCC1(C(=O)N(C)C[C@@H]2CCN2CCO)CCOCC1 ZINC001235208876 806387117 /nfs/dbraw/zinc/38/71/17/806387117.db2.gz HQDZWOGBNARTRS-AWEZNQCLSA-N 0 1 294.395 0.332 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCN(C)CC(=O)NCC ZINC001235245733 806395203 /nfs/dbraw/zinc/39/52/03/806395203.db2.gz NZKRUEMTDPWNBG-ZDUSSCGKSA-N 0 1 299.415 0.542 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@H]1CCOC1 ZINC001235246306 806395465 /nfs/dbraw/zinc/39/54/65/806395465.db2.gz PLHMOYRFINWZMW-OLZOCXBDSA-N 0 1 297.399 0.152 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCNC1=O ZINC001235280477 806400001 /nfs/dbraw/zinc/40/00/01/806400001.db2.gz KJMIQFKNXCTLSX-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)N1CCOCC1 ZINC001235436027 806422249 /nfs/dbraw/zinc/42/22/49/806422249.db2.gz CXFXQURFWSTWDF-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)NCC1CC1 ZINC001235479467 806428510 /nfs/dbraw/zinc/42/85/10/806428510.db2.gz DJUCJIRFISAEEW-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN CCC(CC)C(=O)NC[C@H](CO)NCC#CCOC ZINC001278049226 806637912 /nfs/dbraw/zinc/63/79/12/806637912.db2.gz WFHYGWCQKXUAFR-CYBMUJFWSA-N 0 1 270.373 0.139 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N[C@H](CO)CNC(=O)CC(C)(C)C ZINC001278049463 806639170 /nfs/dbraw/zinc/63/91/70/806639170.db2.gz ZUZZTCAPUMLEQR-NEPJUHHUSA-N 0 1 299.415 0.180 20 30 CCEDMN C#CCNCC(=O)N[C@H]1C[C@H](OCC)C12CCC2 ZINC001121886998 799083837 /nfs/dbraw/zinc/08/38/37/799083837.db2.gz HKBVRKBBKRIOLX-RYUDHWBXSA-N 0 1 250.342 0.673 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCCc1ccccc1 ZINC001278148222 806717815 /nfs/dbraw/zinc/71/78/15/806717815.db2.gz SCPBCMPEZPLTGH-OAHLLOKOSA-N 0 1 274.364 0.709 20 30 CCEDMN C=CCC1(NC(=O)C2CNCCS2(=O)=O)CCCC1 ZINC001122069388 799135223 /nfs/dbraw/zinc/13/52/23/799135223.db2.gz MUCLRIABAAQPCX-LLVKDONJSA-N 0 1 286.397 0.378 20 30 CCEDMN C#CCN(C(=O)c1cnc[nH]c1=O)C1CCN(CC#C)CC1 ZINC001278157812 806728889 /nfs/dbraw/zinc/72/88/89/806728889.db2.gz WDZFWYLTIQXRIO-UHFFFAOYSA-N 0 1 298.346 0.355 20 30 CCEDMN N#CC(C(=O)[C@@H]1CCNC(=O)C1)c1cccc(F)n1 ZINC001122631609 799277638 /nfs/dbraw/zinc/27/76/38/799277638.db2.gz HHHKJOJUNXAYRE-RKDXNWHRSA-N 0 1 261.256 0.923 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001123494060 799420171 /nfs/dbraw/zinc/42/01/71/799420171.db2.gz FPSVRLJSPRGWKB-QWHCGFSZSA-N 0 1 251.374 0.799 20 30 CCEDMN C#CCN(CC1CC1)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001123795997 799476019 /nfs/dbraw/zinc/47/60/19/799476019.db2.gz UNPKRYKZYUXNIN-HIFRSBDPSA-N 0 1 292.427 0.676 20 30 CCEDMN C#Cc1ccc(CNC(=O)[C@H]2CN3CCN2C[C@@H]3C)cc1 ZINC001123894318 799497754 /nfs/dbraw/zinc/49/77/54/799497754.db2.gz YSGAQUKMNCDDHU-XJKSGUPXSA-N 0 1 283.375 0.672 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001123915353 799503204 /nfs/dbraw/zinc/50/32/04/799503204.db2.gz UEXUQGFFYWUEQN-RYUDHWBXSA-N 0 1 293.371 0.962 20 30 CCEDMN C[C@@H](NCCNC(=O)[C@@H](C)C#N)C(=O)Nc1ccccc1 ZINC001124907916 799645688 /nfs/dbraw/zinc/64/56/88/799645688.db2.gz BXVAUPJLSXMRQT-NWDGAFQWSA-N 0 1 288.351 0.879 20 30 CCEDMN COCCN(CC#N)C(=O)CCN1CC[C@@H](F)C1 ZINC001141804081 800036167 /nfs/dbraw/zinc/03/61/67/800036167.db2.gz NKUODLSOVMLOCM-LLVKDONJSA-N 0 1 257.309 0.419 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(C(=O)C2CN(C)C2)C1 ZINC001142595521 800135559 /nfs/dbraw/zinc/13/55/59/800135559.db2.gz CNFZSQLRMFASFO-GFCCVEGCSA-N 0 1 281.356 0.451 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnn(CCOC)c1 ZINC001149334245 800248713 /nfs/dbraw/zinc/24/87/13/800248713.db2.gz ZFJPMCSDPJKIIG-UHFFFAOYSA-N 0 1 286.763 0.601 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c(C)nn(C)c1F ZINC001149370189 800253291 /nfs/dbraw/zinc/25/32/91/800253291.db2.gz VUGZVHLUFSKKAY-UHFFFAOYSA-N 0 1 274.727 0.940 20 30 CCEDMN CCOCCN1CCCO[C@H](CNC(=O)C#CC(C)C)C1 ZINC001149713982 800292888 /nfs/dbraw/zinc/29/28/88/800292888.db2.gz AJWIPAOSHQTBRE-OAHLLOKOSA-N 0 1 296.411 0.889 20 30 CCEDMN Cc1cnc2c(C(=O)Nc3nc[nH]c3C#N)cnn2c1 ZINC001151135667 800402375 /nfs/dbraw/zinc/40/23/75/800402375.db2.gz DPIDQFRYTREECD-UHFFFAOYSA-N 0 1 267.252 0.885 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CS(=O)(=O)C(C)C ZINC001151394679 800421332 /nfs/dbraw/zinc/42/13/32/800421332.db2.gz JPUAVYMKBSIIOZ-UHFFFAOYSA-N 0 1 282.793 0.268 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)NC(=O)c1ccco1 ZINC001151659288 800445111 /nfs/dbraw/zinc/44/51/11/800445111.db2.gz GSJCMRSMGZEHLK-JTQLQIEISA-N 0 1 299.758 0.856 20 30 CCEDMN Cc1nn(C)cc1CN1C[C@@H](C)[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001206641656 800457128 /nfs/dbraw/zinc/45/71/28/800457128.db2.gz XRUURDCLHZVZKJ-JTNHKYCSSA-N 0 1 289.383 0.825 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1nnc2cnccn21 ZINC001152032514 800485186 /nfs/dbraw/zinc/48/51/86/800485186.db2.gz SBPMTRCPGLFQNV-NSHDSACASA-N 0 1 286.339 0.505 20 30 CCEDMN N#Cc1cnc(NC(=O)c2cc3c[nH]cnc-3n2)cn1 ZINC001152986288 800577126 /nfs/dbraw/zinc/57/71/26/800577126.db2.gz PCZROUHJCOVTEP-UHFFFAOYSA-N 0 1 265.236 0.823 20 30 CCEDMN CC[N@@H+](CC#CCOC)[C@H](C)CNC(=O)[C@H](OC)C1CC1 ZINC001153040931 800586047 /nfs/dbraw/zinc/58/60/47/800586047.db2.gz HMHZCNJNAHPOGU-UKRRQHHQSA-N 0 1 296.411 0.888 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1cnc(C)nc1 ZINC001153086278 800594685 /nfs/dbraw/zinc/59/46/85/800594685.db2.gz VITVRESJTAGCRL-UHFFFAOYSA-N 0 1 278.356 0.584 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001153085585 800595253 /nfs/dbraw/zinc/59/52/53/800595253.db2.gz DWHZLYOSFAWBAJ-UHFFFAOYSA-N 0 1 293.371 0.481 20 30 CCEDMN Cn1cc(NC(=O)c2cc(=O)c3cc(C#N)ccc3[nH]2)nn1 ZINC001154552669 800799075 /nfs/dbraw/zinc/79/90/75/800799075.db2.gz ZJNOJWIJQQHYEC-UHFFFAOYSA-N 0 1 294.274 0.781 20 30 CCEDMN N#C[C@H]1CN(c2nc(Cl)nc3c2CCNC3)CCO1 ZINC001155322798 800951560 /nfs/dbraw/zinc/95/15/60/800951560.db2.gz NEGIEFGPWCOUAR-QMMMGPOBSA-N 0 1 279.731 0.504 20 30 CCEDMN N#Cc1cnc(NCc2nnc3n2CCCNC3)c(F)c1 ZINC001155431011 800974424 /nfs/dbraw/zinc/97/44/24/800974424.db2.gz VIBGXVXZOPQXDI-UHFFFAOYSA-N 0 1 287.302 0.789 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1nonc1C ZINC001155724615 801044128 /nfs/dbraw/zinc/04/41/28/801044128.db2.gz BLCWSDJGUXGJJU-UHFFFAOYSA-N 0 1 268.317 0.177 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNCc1nnc2n1CCCC2 ZINC001155835618 801060930 /nfs/dbraw/zinc/06/09/30/801060930.db2.gz CUUGWWRSVYIAKC-NSHDSACASA-N 0 1 290.371 0.370 20 30 CCEDMN CC(C)n1ncnc1CNCCCNC(=O)[C@@H](C)C#N ZINC001155836728 801062722 /nfs/dbraw/zinc/06/27/22/801062722.db2.gz RBCGBTLKKBMDFV-NSHDSACASA-N 0 1 278.360 0.615 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN[C@H](C)c1ncccn1 ZINC001155849462 801066939 /nfs/dbraw/zinc/06/69/39/801066939.db2.gz YPPHZDVVOPTPOA-GHMZBOCLSA-N 0 1 261.329 0.793 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)C(=O)N1CCC[C@H]1CC ZINC001156254554 801139748 /nfs/dbraw/zinc/13/97/48/801139748.db2.gz URUPTGKLVMDKJI-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN N#CNC(=Nc1nccc2c1C(=O)NC2)c1ccncc1 ZINC001156322485 801149923 /nfs/dbraw/zinc/14/99/23/801149923.db2.gz DAUZLVNEFFSUBB-UHFFFAOYSA-N 0 1 278.275 0.869 20 30 CCEDMN Cc1ccnc(CNCCCNC(=O)c2ccc(C#N)[nH]2)n1 ZINC001156703542 801216402 /nfs/dbraw/zinc/21/64/02/801216402.db2.gz UEEPCAXORAACLC-UHFFFAOYSA-N 0 1 298.350 0.895 20 30 CCEDMN C=C(C)C(=O)NCCCNc1ncnc2c1CCNC2 ZINC001156820804 801241846 /nfs/dbraw/zinc/24/18/46/801241846.db2.gz DKHFJTYXTOGFSI-UHFFFAOYSA-N 0 1 275.356 0.617 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1nc(Cl)ncc1C#N ZINC001156825568 801243973 /nfs/dbraw/zinc/24/39/73/801243973.db2.gz QKJLYHTUAIRQCE-UHFFFAOYSA-N 0 1 275.703 0.434 20 30 CCEDMN Cc1ccc(CC#N)c(-n2c(C)ncc(CN)c2=N)n1 ZINC001156830525 801245241 /nfs/dbraw/zinc/24/52/41/801245241.db2.gz KSZZQOKBBQEWAO-UHFFFAOYSA-N 0 1 268.324 0.888 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1nncn1C ZINC001157164569 801317715 /nfs/dbraw/zinc/31/77/15/801317715.db2.gz TYNUHSRZFUOHHB-UHFFFAOYSA-N 0 1 263.345 0.215 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1cnn(CC)n1 ZINC001157190049 801321165 /nfs/dbraw/zinc/32/11/65/801321165.db2.gz YSVJAGLAYLVALC-CQSZACIVSA-N 0 1 295.387 0.221 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1nnc(C)s1 ZINC001157189890 801321839 /nfs/dbraw/zinc/32/18/39/801321839.db2.gz UEYQSSKYYURBFT-ZDUSSCGKSA-N 0 1 298.412 0.770 20 30 CCEDMN COCC#CCN(C)C[C@H]1CCCCN1C(=O)CCOC ZINC001157450815 801386788 /nfs/dbraw/zinc/38/67/88/801386788.db2.gz ZYKVQZRJTBHDQK-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](C)NC(N)=O ZINC001157871704 801507449 /nfs/dbraw/zinc/50/74/49/801507449.db2.gz MVOWEZGLRFKVIE-QWHCGFSZSA-N 0 1 294.399 0.379 20 30 CCEDMN N#CC1(c2ccc(-n3nnnc3CN)nc2)CCC1 ZINC001158075432 801564663 /nfs/dbraw/zinc/56/46/63/801564663.db2.gz ZHYPQGZAKQMDAV-UHFFFAOYSA-N 0 1 255.285 0.461 20 30 CCEDMN C=CCC[C@H](NC(=O)c1cnncc1O)C(=O)OCC ZINC001158287376 801614027 /nfs/dbraw/zinc/61/40/27/801614027.db2.gz BAADBVANCKEONY-JTQLQIEISA-N 0 1 279.296 0.810 20 30 CCEDMN CN(C1CN(c2nc(Cl)cnc2C#N)C1)[C@H]1CCOC1 ZINC001158726962 801696128 /nfs/dbraw/zinc/69/61/28/801696128.db2.gz VPKNGHCURSUQDA-VIFPVBQESA-N 0 1 293.758 0.911 20 30 CCEDMN C=CCCC(=O)NCCC1=CCN([C@H](C)C(N)=O)CC1 ZINC001159538932 801830779 /nfs/dbraw/zinc/83/07/79/801830779.db2.gz SJCOSPSMDNZMKZ-GFCCVEGCSA-N 0 1 279.384 0.965 20 30 CCEDMN C#CCC1(C(=O)NCCCNCc2nnc(C)[nH]2)CCC1 ZINC001159644027 801841774 /nfs/dbraw/zinc/84/17/74/801841774.db2.gz DZSLKEDVLMVNLN-UHFFFAOYSA-N 0 1 289.383 0.903 20 30 CCEDMN C#CC[N@@H+]1CC=C(CCNC(=O)CCNC(=O)NC)CC1 ZINC001160021475 801899200 /nfs/dbraw/zinc/89/92/00/801899200.db2.gz PFSBDLSEXZCRNN-UHFFFAOYSA-N 0 1 292.383 0.077 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)CCNC(=O)NC)CC1 ZINC001160021475 801899212 /nfs/dbraw/zinc/89/92/12/801899212.db2.gz PFSBDLSEXZCRNN-UHFFFAOYSA-N 0 1 292.383 0.077 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCN(c2ccc3n[nH]cc3c2)CC1 ZINC001160674639 801996661 /nfs/dbraw/zinc/99/66/61/801996661.db2.gz QIXXSZCZQMQURA-INIZCTEOSA-N 0 1 297.362 0.562 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCCc2cc(O)c(O)cc2C1 ZINC001160924451 802047974 /nfs/dbraw/zinc/04/79/74/802047974.db2.gz JLXSXKWEVFLJTF-HNNXBMFYSA-N 0 1 274.320 0.723 20 30 CCEDMN C=CCCCC(=O)NC[C@@]1(O)CCN(CC#CCOC)C1 ZINC001278800451 808267697 /nfs/dbraw/zinc/26/76/97/808267697.db2.gz NTNGTLAOSZSHHE-INIZCTEOSA-N 0 1 294.395 0.546 20 30 CCEDMN CC[C@H](CC#N)N1C[C@@H]2C(=O)N(CCOC)C[C@@H]2C1 ZINC001255170494 808325399 /nfs/dbraw/zinc/32/53/99/808325399.db2.gz SWNKZSNGJHKTFO-UPJWGTAASA-N 0 1 265.357 0.715 20 30 CCEDMN COCCS(=O)(=O)Nc1cc(C#N)cc(C(=O)OC)c1 ZINC001259972766 808833139 /nfs/dbraw/zinc/83/31/39/808833139.db2.gz XFJZUTKKPIZIHW-UHFFFAOYSA-N 0 1 298.320 0.733 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](CC)NC(C)=O ZINC001279577443 809986812 /nfs/dbraw/zinc/98/68/12/809986812.db2.gz SUMWUVMDOPPEGS-RBSFLKMASA-N 0 1 291.395 0.600 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NCCCN[C@H](C)c1nnnn1C ZINC001164346571 802726822 /nfs/dbraw/zinc/72/68/22/802726822.db2.gz SRQDEWWGWZRIDZ-VXGBXAGGSA-N 0 1 294.403 0.969 20 30 CCEDMN CN(C1CN(c2cnc(C#N)cn2)C1)[C@@H]1CCOC1 ZINC001165203809 802794590 /nfs/dbraw/zinc/79/45/90/802794590.db2.gz HEDWPKVUYOYLHT-LLVKDONJSA-N 0 1 259.313 0.258 20 30 CCEDMN CN(C1CN(c2cncc(CC#N)n2)C1)[C@@H]1CCOC1 ZINC001165205296 802796077 /nfs/dbraw/zinc/79/60/77/802796077.db2.gz LFOMVLYQUBLWQR-GFCCVEGCSA-N 0 1 273.340 0.452 20 30 CCEDMN CC(C)c1ccc(C#N)c(N2CCNC(=O)C23CNC3)n1 ZINC001165297985 802818315 /nfs/dbraw/zinc/81/83/15/802818315.db2.gz NPSZYRNPVXHCAC-UHFFFAOYSA-N 0 1 285.351 0.355 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1nc(C)ccc1C#N ZINC001165436869 802840633 /nfs/dbraw/zinc/84/06/33/802840633.db2.gz LRECYGBAOOXLTF-NSHDSACASA-N 0 1 260.297 0.213 20 30 CCEDMN COCc1nn(-c2ncc(C#N)nc2C)c2c1CNC2 ZINC001165634591 802859055 /nfs/dbraw/zinc/85/90/55/802859055.db2.gz USQXLYLPWKVCFS-UHFFFAOYSA-N 0 1 270.296 0.592 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCNCc2ncnn2C)C1 ZINC001166249567 802896685 /nfs/dbraw/zinc/89/66/85/802896685.db2.gz WHAUDOUALCUPOF-UHFFFAOYSA-N 0 1 277.372 0.767 20 30 CCEDMN N#Cc1ncc(N2CC[C@@H](N)C(F)(F)C2)nc1C#N ZINC001166962329 802996764 /nfs/dbraw/zinc/99/67/64/802996764.db2.gz WVDGLJGBLHUTEV-SECBINFHSA-N 0 1 264.239 0.393 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)CC2CC2)C1 ZINC001205715670 803164919 /nfs/dbraw/zinc/16/49/19/803164919.db2.gz WTALTDHPNJOJJF-TZMCWYRMSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCOCCN1C[C@@H](C)[C@H](NC(=O)c2cocn2)C1 ZINC001206551654 803265788 /nfs/dbraw/zinc/26/57/88/803265788.db2.gz MMBKRTWWVHATOT-VXGBXAGGSA-N 0 1 279.340 0.927 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)COC)C1 ZINC001206606660 803273410 /nfs/dbraw/zinc/27/34/10/803273410.db2.gz GSQOIZFPMIWEDO-FRRDWIJNSA-N 0 1 252.358 0.729 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)CC[C@H](C)OC)C1 ZINC001206895984 803300552 /nfs/dbraw/zinc/30/05/52/803300552.db2.gz RAGOEDKUSOHXDS-QLFBSQMISA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CC[C@@H](C)OC)C1 ZINC001206894464 803300841 /nfs/dbraw/zinc/30/08/41/803300841.db2.gz KASPBEINIOKBHV-JHJVBQTASA-N 0 1 252.358 0.871 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ccoc2)C1 ZINC001206896936 803302939 /nfs/dbraw/zinc/30/29/39/803302939.db2.gz AYLPHCXOFIWIEY-UKRRQHHQSA-N 0 1 290.363 0.908 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(CCOCCO)C[C@H]1C ZINC001206945963 803313723 /nfs/dbraw/zinc/31/37/23/803313723.db2.gz CNWZEDDUJUZMHV-ZIAGYGMSSA-N 0 1 282.384 0.091 20 30 CCEDMN COCCOCCN1C[C@@H](C)[C@H](NC(=O)C#CC2CC2)C1 ZINC001206948403 803317240 /nfs/dbraw/zinc/31/72/40/803317240.db2.gz JAASDBVLKSETQT-UKRRQHHQSA-N 0 1 294.395 0.499 20 30 CCEDMN Cn1cc(CN2CC[C@@H](O)[C@@](C)(CO)C2)cc1C#N ZINC001207103584 803354180 /nfs/dbraw/zinc/35/41/80/803354180.db2.gz YBYJPZKWKMEQAT-ZIAGYGMSSA-N 0 1 263.341 0.462 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CNC(=O)OC)C1 ZINC001207541871 803417418 /nfs/dbraw/zinc/41/74/18/803417418.db2.gz QUMSPLBUASOADH-SNVBAGLBSA-N 0 1 289.763 0.676 20 30 CCEDMN COCCOC[C@H](C)NCC1(C#N)CCOCC1 ZINC001207776739 803449200 /nfs/dbraw/zinc/44/92/00/803449200.db2.gz YPYUPWBRWPAJFS-LBPRGKRZSA-N 0 1 256.346 0.948 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COCC(F)F)C1 ZINC001208081620 803487346 /nfs/dbraw/zinc/48/73/46/803487346.db2.gz PBBOVVOSDGIUOA-NXEZZACHSA-N 0 1 260.284 0.338 20 30 CCEDMN N#Cc1nccnc1N1CCN(CCCn2cccn2)CC1 ZINC001208080768 803487395 /nfs/dbraw/zinc/48/73/95/803487395.db2.gz JZVOGLYWPZCVGG-UHFFFAOYSA-N 0 1 297.366 0.757 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)NC(=O)C(C)(C)C)C1 ZINC001208266654 803501340 /nfs/dbraw/zinc/50/13/40/803501340.db2.gz SVIRGNSSRCFSLT-FRRDWIJNSA-N 0 1 293.411 0.607 20 30 CCEDMN COCOCCCN1CCC(C#N)(C(=O)OC)CC1 ZINC001209030815 803565669 /nfs/dbraw/zinc/56/56/69/803565669.db2.gz CDNGPFKFTGDNEZ-UHFFFAOYSA-N 0 1 270.329 0.776 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCCOC)C1 ZINC001209099460 803573514 /nfs/dbraw/zinc/57/35/14/803573514.db2.gz VLUHSSOHEGHILQ-JHJVBQTASA-N 0 1 268.357 0.108 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H]2[C@@H](CNC(C)=O)[C@@H]2C1 ZINC001276634676 803597558 /nfs/dbraw/zinc/59/75/58/803597558.db2.gz VVTBHMLHYLNMOH-QKDCVEJESA-N 0 1 291.395 0.501 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CNC(=O)[C@H](C)CC)C1 ZINC001211077380 803666843 /nfs/dbraw/zinc/66/68/43/803666843.db2.gz UOAYVWVLQZDGOQ-MGPQQGTHSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)Nc1cnn(-c2ccncc2)c1 ZINC001215420618 803807827 /nfs/dbraw/zinc/80/78/27/803807827.db2.gz DYGJYIDASZYFMU-NSHDSACASA-N 0 1 285.307 0.773 20 30 CCEDMN C#Cc1cnc(NC2=CCS(=O)(=O)CC2)c(C#C)n1 ZINC001216564429 803844358 /nfs/dbraw/zinc/84/43/58/803844358.db2.gz ZEBOTJNWXZWIQI-UHFFFAOYSA-N 0 1 273.317 0.554 20 30 CCEDMN CO[C@@H]1CN(CCOC(C)C)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212045622 814984907 /nfs/dbraw/zinc/98/49/07/814984907.db2.gz SEVSCZRMLPWLTA-JHJVBQTASA-N 0 1 283.372 0.386 20 30 CCEDMN N#Cc1c(N)nn(C(=O)[C@@H](N)Cc2ccccc2C#N)c1N ZINC001218659749 804068844 /nfs/dbraw/zinc/06/88/44/804068844.db2.gz KVKHYJWPGFFQFU-NSHDSACASA-N 0 1 295.306 0.001 20 30 CCEDMN N=C(c1ccccc1N)N([O-])C(=O)[C@H]1[C@@H]2C[NH2+]C[C@@H]21 ZINC001218965528 804168185 /nfs/dbraw/zinc/16/81/85/804168185.db2.gz KNLNSSMKBQMVLL-JZYVYDRUSA-N 0 1 260.297 0.277 20 30 CCEDMN N#Cc1cc(NC(=O)[C@@H](N)CSCC[NH3+])c([O-])cc1F ZINC001219068319 804189339 /nfs/dbraw/zinc/18/93/39/804189339.db2.gz PBSWVFQRSHHISH-VIFPVBQESA-N 0 1 298.343 0.361 20 30 CCEDMN C[C@@H](F)CCN1C[C@@H](NC(=O)CSCC#N)[C@@H](O)C1 ZINC001219216734 804241654 /nfs/dbraw/zinc/24/16/54/804241654.db2.gz VLNXIQYTVUMUDZ-MXWKQRLJSA-N 0 1 289.376 0.153 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2cnsn2)[C@@H](O)C1 ZINC001219256601 804251503 /nfs/dbraw/zinc/25/15/03/804251503.db2.gz MLBLRTUITUSFTG-MNOVXSKESA-N 0 1 282.369 0.279 20 30 CCEDMN C=CCOCC(=O)NCC[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001075919800 815004345 /nfs/dbraw/zinc/00/43/45/815004345.db2.gz CHONCYNTUAJNNU-NSHDSACASA-N 0 1 294.355 0.166 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)NC2)[C@H]1C ZINC001088617388 815005155 /nfs/dbraw/zinc/00/51/55/815005155.db2.gz JSNGYEVFYUBFBI-MCIONIFRSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(F)=C(C)C)[C@@H](O)C1 ZINC001219464000 804322764 /nfs/dbraw/zinc/32/27/64/804322764.db2.gz XYCOWEDGLZCEPK-MNOVXSKESA-N 0 1 254.305 0.434 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](C)SC)[C@@H](O)C1 ZINC001219484407 804329522 /nfs/dbraw/zinc/32/95/22/804329522.db2.gz QRKBYEGDDSHLPJ-UTUOFQBUSA-N 0 1 270.398 0.313 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(C[C@@H]2CCCCO2)C[C@@H]1O ZINC001219539262 804341591 /nfs/dbraw/zinc/34/15/91/804341591.db2.gz COOSQBNRQIKLBV-ZNMIVQPWSA-N 0 1 294.395 0.520 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)c2[nH]ccc2C)[C@@H](O)C1 ZINC001219542141 804343028 /nfs/dbraw/zinc/34/30/28/804343028.db2.gz ZPDYYRAHJPLRHF-OLZOCXBDSA-N 0 1 293.367 0.301 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(CCCF)C[C@@H]2O)CCC1 ZINC001219785311 804424276 /nfs/dbraw/zinc/42/42/76/804424276.db2.gz JOOQZDSXYFERNM-OLZOCXBDSA-N 0 1 282.359 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cnc(C)o2)[C@H]1C ZINC001088619194 815017954 /nfs/dbraw/zinc/01/79/54/815017954.db2.gz CAARURKNDVRZOQ-MFKMUULPSA-N 0 1 261.325 0.738 20 30 CCEDMN Cc1cc(CNC(=O)[C@@H]([NH3+])Cc2ccccc2C#N)n[nH]1 ZINC001219943601 804453985 /nfs/dbraw/zinc/45/39/85/804453985.db2.gz QPIBRLOYKZKNGJ-AWEZNQCLSA-N 0 1 283.335 0.776 20 30 CCEDMN Cc1cc(CNC(=O)[C@@H](N)Cc2ccccc2C#N)[nH]n1 ZINC001219943601 804453990 /nfs/dbraw/zinc/45/39/90/804453990.db2.gz QPIBRLOYKZKNGJ-AWEZNQCLSA-N 0 1 283.335 0.776 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)Nc1nn[nH]c1C(=O)NC ZINC001219983368 804464492 /nfs/dbraw/zinc/46/44/92/804464492.db2.gz NBVODIUXULKMBR-SSDOTTSWSA-N 0 1 266.305 0.641 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@@H]1O ZINC001220133836 804507267 /nfs/dbraw/zinc/50/72/67/804507267.db2.gz OVUMMRPKIBNNOE-UPJWGTAASA-N 0 1 284.400 0.785 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN([C@@H](C)COC)C[C@@H]1O ZINC001220133525 804508630 /nfs/dbraw/zinc/50/86/30/804508630.db2.gz CWFKAEYFDCJEKV-XQQFMLRXSA-N 0 1 284.400 0.785 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@@H]1O ZINC001220141413 804511044 /nfs/dbraw/zinc/51/10/44/804511044.db2.gz RTVMBAGRYGVJOH-UTUOFQBUSA-N 0 1 270.373 0.395 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001220140821 804511747 /nfs/dbraw/zinc/51/17/47/804511747.db2.gz AKOZTJAMNQIRFJ-MNOVXSKESA-N 0 1 256.346 0.006 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN([C@H](C)COC)C[C@@H]1O ZINC001220147820 804514922 /nfs/dbraw/zinc/51/49/22/804514922.db2.gz OXAUUXHKJUCOSN-UTUOFQBUSA-N 0 1 270.373 0.395 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(C[C@H](C)O)C[C@@H]1O ZINC001220146676 804515291 /nfs/dbraw/zinc/51/52/91/804515291.db2.gz MKFCITKMEQKGPN-MJBXVCDLSA-N 0 1 282.384 0.112 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@@H]1O ZINC001220185795 804531757 /nfs/dbraw/zinc/53/17/57/804531757.db2.gz OFBOBPQJELUITK-KFWWJZLASA-N 0 1 296.411 0.502 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@@H]1O ZINC001220247459 804548800 /nfs/dbraw/zinc/54/88/00/804548800.db2.gz CQFRIXFBNCQHHF-UPJWGTAASA-N 0 1 270.373 0.539 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCOCC)C[C@@H]2O)CCC1 ZINC001220280666 804560300 /nfs/dbraw/zinc/56/03/00/804560300.db2.gz QXKDYMWQFHNWKO-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@H](N)Cc1ccc2ccccc2n1 ZINC001220392763 804594476 /nfs/dbraw/zinc/59/44/76/804594476.db2.gz KTTZYIQGOGPCLQ-GXTWGEPZSA-N 0 1 285.347 0.768 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C[C@@H](C)n2ccnc2)[C@@H](O)C1 ZINC001220441408 804603740 /nfs/dbraw/zinc/60/37/40/804603740.db2.gz ASGUHOLFWHQJMM-MCIONIFRSA-N 0 1 292.383 0.572 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)C(C)C)[C@@H](O)C1 ZINC001220461468 804608719 /nfs/dbraw/zinc/60/87/19/804608719.db2.gz TXUIQZJCMBFNAO-XQQFMLRXSA-N 0 1 252.358 0.463 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)Cc2c[nH]cn2)[C@@H](O)C1 ZINC001220519800 804618514 /nfs/dbraw/zinc/61/85/14/804618514.db2.gz CHFYSTTXSWBLHQ-YUTCNCBUSA-N 0 1 292.383 0.326 20 30 CCEDMN COC(=O)c1ccc(C(N)=NC(=O)C23CC(C2)CN3)cc1 ZINC001220577036 804629299 /nfs/dbraw/zinc/62/92/99/804629299.db2.gz AZLCHVKPESVVCD-UHFFFAOYSA-N 0 1 287.319 0.457 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCC(=O)NCCC)[C@@H](O)C1 ZINC001220783763 804667876 /nfs/dbraw/zinc/66/78/76/804667876.db2.gz ZSMIAPISKPFEOY-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1conc1COC ZINC001272152283 815030375 /nfs/dbraw/zinc/03/03/75/815030375.db2.gz SIORIHFLGFSAAQ-UHFFFAOYSA-N 0 1 279.340 0.848 20 30 CCEDMN N#CC1(NC(=O)[C@@H](N)c2ccccc2)CCOCC1 ZINC001220967152 804710666 /nfs/dbraw/zinc/71/06/66/804710666.db2.gz UEWNMNSRPZGIMD-LBPRGKRZSA-N 0 1 259.309 0.875 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCCOC)[C@@H]2C1 ZINC001221318360 804775840 /nfs/dbraw/zinc/77/58/40/804775840.db2.gz MAJSJJLZJBFODY-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H]1CCc2nncn2C1 ZINC001272178729 815038407 /nfs/dbraw/zinc/03/84/07/815038407.db2.gz PIBFAUZQNAKRGF-ZDUSSCGKSA-N 0 1 289.383 0.254 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@H](COC)OC)[C@@H]2C1 ZINC001221804342 804848071 /nfs/dbraw/zinc/84/80/71/804848071.db2.gz HBBPBMUSQZKYIF-UPJWGTAASA-N 0 1 268.357 0.367 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)C2CCC2)CC1 ZINC001222185753 804878383 /nfs/dbraw/zinc/87/83/83/804878383.db2.gz MCHJQNKTIDPSLH-UHFFFAOYSA-N 0 1 291.395 0.364 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)[C@H]2CCCO2)CC1 ZINC001222293465 804888509 /nfs/dbraw/zinc/88/85/09/804888509.db2.gz JTIAHIAGVWVLBX-OAHLLOKOSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCCC(=O)NCC1CCN([C@@H]2CCNC2=O)CC1 ZINC001222579375 804917455 /nfs/dbraw/zinc/91/74/55/804917455.db2.gz UEIDKLSDPCFOOE-CYBMUJFWSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCCCC(=O)NCC1CCN([C@H](C)C(N)=O)CC1 ZINC001222993309 804944991 /nfs/dbraw/zinc/94/49/91/804944991.db2.gz GSRVVNLPMJXNFN-GFCCVEGCSA-N 0 1 279.384 0.492 20 30 CCEDMN CC(C)(C)C#CC(=O)NCC1CCN(CC(N)=O)CC1 ZINC001223143074 804956371 /nfs/dbraw/zinc/95/63/71/804956371.db2.gz PGPDKCQBHBBOGE-UHFFFAOYSA-N 0 1 279.384 0.349 20 30 CCEDMN C=C1CCC(C(=O)NC[C@H]2CCN2C[C@@H](O)COC)CC1 ZINC001276916112 804988467 /nfs/dbraw/zinc/98/84/67/804988467.db2.gz JBWVFJJTHIJRCA-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN2CCCO)C1 ZINC001276923945 804993485 /nfs/dbraw/zinc/99/34/85/804993485.db2.gz QRWZQRFKDAEJQK-GFCCVEGCSA-N 0 1 252.358 0.916 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H](CC(=C)C)NC(C)=O ZINC001276942666 805006378 /nfs/dbraw/zinc/00/63/78/805006378.db2.gz ZKLKBZKICLKNRE-CABCVRRESA-N 0 1 291.395 0.671 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](CNCc2ccon2)C1 ZINC001276957192 805016285 /nfs/dbraw/zinc/01/62/85/805016285.db2.gz VNTUQDYENUOBJG-NHCYSSNCSA-N 0 1 262.313 0.819 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)c2ccsc2)CC1 ZINC001276997505 805077293 /nfs/dbraw/zinc/07/72/93/805077293.db2.gz PDNBIYPSBJDXCC-UHFFFAOYSA-N 0 1 292.364 0.240 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCC1CCN([C@@H](C)C(N)=O)CC1 ZINC001225631326 805145628 /nfs/dbraw/zinc/14/56/28/805145628.db2.gz MEDLJZWVQGVTJG-NWDGAFQWSA-N 0 1 297.399 0.280 20 30 CCEDMN C[N@@H+]1CCO[C@@H](COc2[n-]c(=O)c(F)cc2C#N)C1 ZINC001226008576 805185593 /nfs/dbraw/zinc/18/55/93/805185593.db2.gz JEJPFIVZYVMULZ-SECBINFHSA-N 0 1 267.260 0.507 20 30 CCEDMN C[N@H+]1CCO[C@@H](COc2[n-]c(=O)c(F)cc2C#N)C1 ZINC001226008576 805185599 /nfs/dbraw/zinc/18/55/99/805185599.db2.gz JEJPFIVZYVMULZ-SECBINFHSA-N 0 1 267.260 0.507 20 30 CCEDMN CC#CCNC1(CNC(=O)C(=O)Nc2ccccc2)CC1 ZINC001277102249 805196566 /nfs/dbraw/zinc/19/65/66/805196566.db2.gz SCUZJNFHUHECRH-UHFFFAOYSA-N 0 1 285.347 0.887 20 30 CCEDMN Cc1cc(=O)[n-]c(OC[C@H]2C[N@H+](C)CCO2)c1C#N ZINC001226222850 805207292 /nfs/dbraw/zinc/20/72/92/805207292.db2.gz IJXLNWGEZTYRCZ-SNVBAGLBSA-N 0 1 263.297 0.677 20 30 CCEDMN Cc1cc(=O)[n-]c(OC[C@H]2C[N@@H+](C)CCO2)c1C#N ZINC001226222850 805207293 /nfs/dbraw/zinc/20/72/93/805207293.db2.gz IJXLNWGEZTYRCZ-SNVBAGLBSA-N 0 1 263.297 0.677 20 30 CCEDMN C=C(C)CCN1CCC(NC(=O)CS(C)(=O)=O)CC1 ZINC001226352327 805223449 /nfs/dbraw/zinc/22/34/49/805223449.db2.gz PXCVMSUSRRAISW-UHFFFAOYSA-N 0 1 288.413 0.578 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC1CCN(CC#CCOC)CC1 ZINC001227341823 805341210 /nfs/dbraw/zinc/34/12/10/805341210.db2.gz DDARCIJEEIYSHE-CQSZACIVSA-N 0 1 292.379 0.255 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ccns2)CC1 ZINC001227588160 805366319 /nfs/dbraw/zinc/36/63/19/805366319.db2.gz GKDRJWXAOJHKRA-UHFFFAOYSA-N 0 1 293.392 0.987 20 30 CCEDMN C[N+](C)(C)C[C@H](O)CC(=O)Oc1cc([O-])ccc1C#N ZINC001228005253 805406803 /nfs/dbraw/zinc/40/68/03/805406803.db2.gz JWWJTADPTYYEMT-GFCCVEGCSA-O 0 1 279.316 0.626 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001228613536 805460305 /nfs/dbraw/zinc/46/03/05/805460305.db2.gz GPGRNVFRFDGTTB-MBNYWOFBSA-N 0 1 293.411 0.914 20 30 CCEDMN COc1cc(=O)[n-]c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@H+]3C)n1 ZINC001228917575 805489396 /nfs/dbraw/zinc/48/93/96/805489396.db2.gz GJHUHKXRKUJAAP-NTBMCGCHSA-N 0 1 279.296 0.182 20 30 CCEDMN COc1cc(=O)[n-]c(O[C@@H]2C[C@@H]3[C@H]4O[C@H]4[C@H](C2)[N@@H+]3C)n1 ZINC001228917575 805489404 /nfs/dbraw/zinc/48/94/04/805489404.db2.gz GJHUHKXRKUJAAP-NTBMCGCHSA-N 0 1 279.296 0.182 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ncccn2)CC1 ZINC001229686134 805548351 /nfs/dbraw/zinc/54/83/51/805548351.db2.gz PQLZOOLBGZNSJM-UHFFFAOYSA-N 0 1 288.351 0.321 20 30 CCEDMN C#CCCCC(=O)N[C@]1(C)CCN([C@H]2CCCNC2=O)C1 ZINC001278388604 807059997 /nfs/dbraw/zinc/05/99/97/807059997.db2.gz MKXNSKGYSIPJNM-XJKSGUPXSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)CN2CCOCC2=O)C1 ZINC001278403907 807075663 /nfs/dbraw/zinc/07/56/63/807075663.db2.gz DEGSLPVXUZEIKD-OAHLLOKOSA-N 0 1 295.383 0.002 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)[C@H]1C ZINC001088708224 815109439 /nfs/dbraw/zinc/10/94/39/815109439.db2.gz LDJGKKHCSAIJEE-BDAKNGLRSA-N 0 1 293.327 0.052 20 30 CCEDMN C=CCN1CCC[C@@H](NC[C@@H](O)C(F)(F)F)C1=O ZINC001250993331 807631442 /nfs/dbraw/zinc/63/14/42/807631442.db2.gz SFDUCJIQSOLDRW-RKDXNWHRSA-N 0 1 266.263 0.676 20 30 CCEDMN COc1ccc(C#N)c(NS(=O)(=O)N(C)C)c1 ZINC001251086858 807662666 /nfs/dbraw/zinc/66/26/66/807662666.db2.gz DPHKBBTVOWLOIY-UHFFFAOYSA-N 0 1 255.299 0.785 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)C[C@@H]1CCC[C@@H]1O ZINC001251707810 807695681 /nfs/dbraw/zinc/69/56/81/807695681.db2.gz GTLHASGQRMOPCE-STQMWFEESA-N 0 1 267.373 0.354 20 30 CCEDMN CC[C@@H]1CN(C(=O)NCC#CCN(C)C)CCCO1 ZINC001251708859 807697048 /nfs/dbraw/zinc/69/70/48/807697048.db2.gz ZAZGQZCSVYRLOG-CYBMUJFWSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1nc2ccccc2o1 ZINC001251821182 807709103 /nfs/dbraw/zinc/70/91/03/807709103.db2.gz IOOMPFQLVWWETR-NSHDSACASA-N 0 1 260.293 0.928 20 30 CCEDMN C#CCOC[C@@H](O)CNc1ccnn1-c1ccncc1 ZINC001251832222 807714288 /nfs/dbraw/zinc/71/42/88/807714288.db2.gz FFETUEDCCCAZOT-ZDUSSCGKSA-N 0 1 272.308 0.690 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(c2cccc(O)c2)CC1 ZINC001251854919 807721329 /nfs/dbraw/zinc/72/13/29/807721329.db2.gz ONNKXAQCSOTLHI-INIZCTEOSA-N 0 1 290.363 0.525 20 30 CCEDMN C#CCOC[C@H](O)CN1CCc2ncc(C)cc2C1 ZINC001251857624 807724821 /nfs/dbraw/zinc/72/48/21/807724821.db2.gz HEMUHVASOJVAIF-CQSZACIVSA-N 0 1 260.337 0.759 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2ccncc2)[C@@H](O)C1 ZINC001083472986 815143256 /nfs/dbraw/zinc/14/32/56/815143256.db2.gz BHHPLDKOFGZHRK-JJXSEGSLSA-N 0 1 299.374 0.370 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(OC2CCC2)ncn1 ZINC001251900278 807742189 /nfs/dbraw/zinc/74/21/89/807742189.db2.gz MWKAFMVSRQZUIG-ZDUSSCGKSA-N 0 1 291.351 0.508 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cc(OCC2CC2)ncn1 ZINC001251900375 807742549 /nfs/dbraw/zinc/74/25/49/807742549.db2.gz PKZPQHZRNFKESW-AWEZNQCLSA-N 0 1 291.351 0.366 20 30 CCEDMN C=CCOCc1[nH]nc2c1CN(C[C@H](O)COCC)C2 ZINC001252024762 807765234 /nfs/dbraw/zinc/76/52/34/807765234.db2.gz NEDQZWIMNWBNMN-NSHDSACASA-N 0 1 281.356 0.825 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCN(Cc2cccnc2)CC1 ZINC001252453183 807868188 /nfs/dbraw/zinc/86/81/88/807868188.db2.gz GZYUVDMBDDHFQR-INIZCTEOSA-N 0 1 291.395 0.763 20 30 CCEDMN C=CCOC[C@H](O)CN1CCOC2(CCOCC2)C1 ZINC001252470669 807881810 /nfs/dbraw/zinc/88/18/10/807881810.db2.gz NBJCXDJZKOWAFM-CYBMUJFWSA-N 0 1 271.357 0.431 20 30 CCEDMN C=C[C@@H](O)CN1CCN(Cc2ccccc2)[C@H](CO)C1 ZINC001253567007 808080747 /nfs/dbraw/zinc/08/07/47/808080747.db2.gz JGZUOWZIWMFYSS-JKSUJKDBSA-N 0 1 276.380 0.712 20 30 CCEDMN C=C[C@H](O)CN1CC[C@@H]2[C@H]1CC(=O)N2c1cnn(C)c1 ZINC001253578122 808085525 /nfs/dbraw/zinc/08/55/25/808085525.db2.gz VWRZKNIIDOEPJN-YNEHKIRRSA-N 0 1 276.340 0.147 20 30 CCEDMN C=C[C@H](O)CN1CC[C@H]2[C@H]1CC(=O)N2c1cnn(C)c1 ZINC001253577510 808086011 /nfs/dbraw/zinc/08/60/11/808086011.db2.gz VWRZKNIIDOEPJN-RWMBFGLXSA-N 0 1 276.340 0.147 20 30 CCEDMN C=C[C@@H](O)CN1Cc2cnn(CC)c2[C@@H](COC)C1 ZINC001253578199 808087140 /nfs/dbraw/zinc/08/71/40/808087140.db2.gz XBGXPBQSLCUGHF-CHWSQXEVSA-N 0 1 265.357 0.996 20 30 CCEDMN C=C[C@@H](O)CN1CC2(C1)CN(c1ccccc1)C2=O ZINC001253580062 808087906 /nfs/dbraw/zinc/08/79/06/808087906.db2.gz VZDMTZFWUDLLIW-CYBMUJFWSA-N 0 1 258.321 0.882 20 30 CCEDMN C=C[C@@H](O)CN1CCN(c2ncnc3[nH]ccc32)CC1 ZINC001253586140 808091546 /nfs/dbraw/zinc/09/15/46/808091546.db2.gz HFGBPZYPIMNJAX-LLVKDONJSA-N 0 1 273.340 0.627 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2cc[nH]c2C)C1 ZINC001278770557 808198739 /nfs/dbraw/zinc/19/87/39/808198739.db2.gz ALNVTKDAGXJQSL-OAHLLOKOSA-N 0 1 275.352 0.513 20 30 CCEDMN CN1CC(C(=O)N(CCC#N)Cc2cccnc2)C1 ZINC001142593918 815186627 /nfs/dbraw/zinc/18/66/27/815186627.db2.gz PNKVCVQTVSMVKN-UHFFFAOYSA-N 0 1 258.325 0.885 20 30 CCEDMN CCOC(=O)[C@@H](C#N)N[C@@H]1CC2CCN(CC2)C1 ZINC001254847177 808286622 /nfs/dbraw/zinc/28/66/22/808286622.db2.gz FBVZENFDERRZEP-VXGBXAGGSA-N 0 1 251.330 0.516 20 30 CCEDMN CC[C@@H](CC#N)NCCCN1CCS(=O)(=O)CC1 ZINC001255158253 808323796 /nfs/dbraw/zinc/32/37/96/808323796.db2.gz GHBYGOIHJBPCEU-LBPRGKRZSA-N 0 1 273.402 0.389 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@H]1[C@@H]2CCCC[C@@H]21 ZINC001278851841 808335079 /nfs/dbraw/zinc/33/50/79/808335079.db2.gz VWYGYRHNZLSANY-BYNSBNAKSA-N 0 1 278.396 0.807 20 30 CCEDMN COC(=O)[C@H](Cc1ccc(C#N)cc1)N[C@@H]1CCN(C)C1 ZINC001255425487 808359538 /nfs/dbraw/zinc/35/95/38/808359538.db2.gz GOVFVFCUNUYGAS-CABCVRRESA-N 0 1 287.363 0.936 20 30 CCEDMN C=CCCN1CC(O)(CNC(=O)CN2CCC(C)CC2)C1 ZINC001278919787 808474370 /nfs/dbraw/zinc/47/43/70/808474370.db2.gz YZNPDJFLLLDNRP-UHFFFAOYSA-N 0 1 295.427 0.457 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@@H]1CCN(C)C1=O)C(C)C ZINC001278918771 808475962 /nfs/dbraw/zinc/47/59/62/808475962.db2.gz VPDJLDWEOBOREU-CYBMUJFWSA-N 0 1 279.384 0.267 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)[C@@H](O)C1 ZINC001083539901 815237067 /nfs/dbraw/zinc/23/70/67/815237067.db2.gz ZDZSQDPTKISBTA-OXHZDVMGSA-N 0 1 290.367 0.251 20 30 CCEDMN C=CCS(=O)(=O)Nc1cncc(N2CCN(C)CC2)c1 ZINC001259923706 808805472 /nfs/dbraw/zinc/80/54/72/808805472.db2.gz XOOILGLVACIUHU-UHFFFAOYSA-N 0 1 296.396 0.761 20 30 CCEDMN COCCS(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001259966986 808830803 /nfs/dbraw/zinc/83/08/03/808830803.db2.gz FZNBUOZTDNMELZ-SECBINFHSA-N 0 1 277.367 0.237 20 30 CCEDMN C#C[C@H]1CCCN(CC(=O)N2CCC(C(N)=O)CC2)C1 ZINC001261545479 809015028 /nfs/dbraw/zinc/01/50/28/809015028.db2.gz DUKGVYVZQYWQMI-LBPRGKRZSA-N 0 1 277.368 0.056 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)OCCN1CCN(C)CC1 ZINC001224550793 815255186 /nfs/dbraw/zinc/25/51/86/815255186.db2.gz ZTWYRKXDGLATHN-ZDUSSCGKSA-N 0 1 268.357 0.205 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ncccc3OC)[C@@H]2C1 ZINC001076178325 815255469 /nfs/dbraw/zinc/25/54/69/815255469.db2.gz VRZJBMOFIBTUAG-DZGCQCFKSA-N 0 1 299.374 0.799 20 30 CCEDMN CC(C)C#CC(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001261690862 809056014 /nfs/dbraw/zinc/05/60/14/809056014.db2.gz XKHORMPLIWVWTP-UHFFFAOYSA-N 0 1 265.401 0.788 20 30 CCEDMN C#CCNCC(=O)N[C@H](c1nc(C2CC2)no1)C(C)C ZINC001263081600 809435371 /nfs/dbraw/zinc/43/53/71/809435371.db2.gz IQSIYNGZSKTPSB-LBPRGKRZSA-N 0 1 276.340 0.983 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1onc2c1CCCC2 ZINC001263105527 809446673 /nfs/dbraw/zinc/44/66/73/809446673.db2.gz QRURORBPUIUUNI-GZMMTYOYSA-N 0 1 260.297 0.395 20 30 CCEDMN C#CCNCC(=O)N(CCC(=O)OCC)C(C)C ZINC001263152464 809461619 /nfs/dbraw/zinc/46/16/19/809461619.db2.gz JJSNECYHJQXRMY-UHFFFAOYSA-N 0 1 254.330 0.399 20 30 CCEDMN C=CC[C@H](C)NC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001263778608 809569204 /nfs/dbraw/zinc/56/92/04/809569204.db2.gz NYXFRWACETWJKD-AVGNSLFASA-N 0 1 268.405 0.885 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](C)NC(C)=O)[C@H]1CC ZINC001264044404 809624970 /nfs/dbraw/zinc/62/49/70/809624970.db2.gz QVJHBLWJMANFTQ-FPMFFAJLSA-N 0 1 279.384 0.503 20 30 CCEDMN CC#CCN(CCO)[C@H]1CCCN(C(=O)[C@H](C)OCC)C1 ZINC001264073078 809627340 /nfs/dbraw/zinc/62/73/40/809627340.db2.gz IVPDHQUVNFAMNH-GJZGRUSLSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C(C)(C)CNC(C)=O ZINC001264374841 809646703 /nfs/dbraw/zinc/64/67/03/809646703.db2.gz OZJUZDIJZXXAMG-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)CC[C@H](C)OC)C1 ZINC001264630807 809666511 /nfs/dbraw/zinc/66/65/11/809666511.db2.gz VJWWBLCAMHMKLG-GJZGRUSLSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)/C(C)=C\C)CC1 ZINC001265241871 809730423 /nfs/dbraw/zinc/73/04/23/809730423.db2.gz JHGGRTOSDFQXIR-RZNTYIFUSA-N 0 1 263.385 0.710 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)c2cnsn2)CC1 ZINC001265256169 809732055 /nfs/dbraw/zinc/73/20/55/809732055.db2.gz OAGNZRMASWPTTM-UHFFFAOYSA-N 0 1 295.412 0.462 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)[C@@H](CCC)OC)CC1 ZINC001265261670 809735336 /nfs/dbraw/zinc/73/53/36/809735336.db2.gz PJTGVLZEJLUWTN-OAHLLOKOSA-N 0 1 295.427 0.559 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@H](C)n2cccn2)C1 ZINC001265311023 809755699 /nfs/dbraw/zinc/75/56/99/809755699.db2.gz ZPFCOTCZEPKEEI-QWHCGFSZSA-N 0 1 260.341 0.658 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ccnc(C)n2)C1 ZINC001265317489 809757043 /nfs/dbraw/zinc/75/70/43/809757043.db2.gz PXEVFRYCLIBTNO-CYBMUJFWSA-N 0 1 290.367 0.792 20 30 CCEDMN CCO[C@@H]1C[C@@H]1C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001265710559 809830156 /nfs/dbraw/zinc/83/01/56/809830156.db2.gz CJGGXMLECRLZHW-VQJWOFKYSA-N 0 1 292.379 0.108 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H](C)NCc1ocnc1C ZINC001265774762 809849369 /nfs/dbraw/zinc/84/93/69/809849369.db2.gz IKZJRMNSVPVEHN-ZYHUDNBSSA-N 0 1 279.340 0.616 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN(C)[C@H](C)C(=O)N(C)C ZINC001265815290 809860968 /nfs/dbraw/zinc/86/09/68/809860968.db2.gz VCCYCACJUQYALY-GHMZBOCLSA-N 0 1 268.361 0.061 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN(C)CC(=O)NCC(C)(C)C ZINC001265814993 809861455 /nfs/dbraw/zinc/86/14/55/809861455.db2.gz LMOUFGINQHKMIJ-LBPRGKRZSA-N 0 1 296.415 0.746 20 30 CCEDMN N#CCNC[C@@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC001265980181 809912295 /nfs/dbraw/zinc/91/22/95/809912295.db2.gz ZPXRERSHKVSTIA-JTQLQIEISA-N 0 1 284.323 0.533 20 30 CCEDMN COCC#CCN(C)[C@H]1CCCN(C(=O)CCCOC)C1 ZINC001266090874 809933847 /nfs/dbraw/zinc/93/38/47/809933847.db2.gz UHHXLTHCFZQZBK-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001279489365 809983972 /nfs/dbraw/zinc/98/39/72/809983972.db2.gz DYNHSHGTOJRTQB-AWEZNQCLSA-N 0 1 294.355 0.166 20 30 CCEDMN CC#CCCCC(=O)N[C@]1(CO)CCCN(CCO)C1 ZINC001279509819 809985004 /nfs/dbraw/zinc/98/50/04/809985004.db2.gz NXBYGCGTXNTDBC-OAHLLOKOSA-N 0 1 282.384 0.115 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccsc2)C1 ZINC001076706364 815350583 /nfs/dbraw/zinc/35/05/83/815350583.db2.gz FAVFUAACSGMDIH-CHWSQXEVSA-N 0 1 278.377 0.475 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cncnc2C)C1 ZINC001076766881 815368578 /nfs/dbraw/zinc/36/85/78/815368578.db2.gz GQBAHXGFNHWDAL-CHWSQXEVSA-N 0 1 276.340 0.136 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cnc(C)s2)C1 ZINC001076799357 815375185 /nfs/dbraw/zinc/37/51/85/815375185.db2.gz UJMDQXSOMAYKRH-GHMZBOCLSA-N 0 1 281.381 0.803 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2cocn2)C1 ZINC001076807070 815380010 /nfs/dbraw/zinc/38/00/10/815380010.db2.gz IJNWXWXXIQZXHR-ZYHUDNBSSA-N 0 1 265.313 0.416 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C2CC2)C1 ZINC001076850188 815390158 /nfs/dbraw/zinc/39/01/58/815390158.db2.gz QGGGXRFJYUYQHV-CYZMBNFOSA-N 0 1 250.342 0.217 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C2CC2)C1 ZINC001076850188 815390163 /nfs/dbraw/zinc/39/01/63/815390163.db2.gz QGGGXRFJYUYQHV-CYZMBNFOSA-N 0 1 250.342 0.217 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2[nH]ccc2C)C1 ZINC001076951624 815420263 /nfs/dbraw/zinc/42/02/63/815420263.db2.gz ULDINYIREOHWHG-VXGBXAGGSA-N 0 1 261.325 0.121 20 30 CCEDMN C=C(C)CN1CC[C@@H](CNC(=O)CS(C)(=O)=O)C1 ZINC001267289736 811102997 /nfs/dbraw/zinc/10/29/97/811102997.db2.gz TZBCUVSGYZJASL-NSHDSACASA-N 0 1 274.386 0.045 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN(CCC)[C@@H]1CCNC1=O ZINC001267312592 811138599 /nfs/dbraw/zinc/13/85/99/811138599.db2.gz HCSOIEMEJRSBTD-IUODEOHRSA-N 0 1 297.399 0.030 20 30 CCEDMN Cc1ncc(CNCCN(C)C(=O)C#CC2CC2)o1 ZINC001267371931 811228404 /nfs/dbraw/zinc/22/84/04/811228404.db2.gz ZYWSWSDYBVRTQH-UHFFFAOYSA-N 0 1 261.325 0.944 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1O ZINC001077017527 815432836 /nfs/dbraw/zinc/43/28/36/815432836.db2.gz FVWHOWKJSRHBIR-TUVASFSCSA-N 0 1 294.395 0.705 20 30 CCEDMN C#CCN1CCC[C@@H]2[C@H](NC(=O)c3cnon3)C(C)(C)[C@H]21 ZINC001087309420 811401784 /nfs/dbraw/zinc/40/17/84/811401784.db2.gz GWGIJBZKDJRGPB-WXHSDQCUSA-N 0 1 288.351 0.922 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@@H]1CCN(CC(N)=O)C1 ZINC001267561820 811449952 /nfs/dbraw/zinc/44/99/52/811449952.db2.gz QTDOUBIIHMFFJI-LLVKDONJSA-N 0 1 267.373 0.512 20 30 CCEDMN C=CCN1C[C@@H](O)[C@H](NC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001077150756 815452488 /nfs/dbraw/zinc/45/24/88/815452488.db2.gz NUACOPMBWLDGPV-NXEZZACHSA-N 0 1 298.774 0.586 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc3cc[nH]c3n2)C1 ZINC001077179749 815455229 /nfs/dbraw/zinc/45/52/29/815455229.db2.gz SRCTYQAANCMVJM-ZIAGYGMSSA-N 0 1 298.346 0.361 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)[C@H]2CC2(F)F)CC1 ZINC001267607568 811553903 /nfs/dbraw/zinc/55/39/03/811553903.db2.gz MZSAVTDFBNJRTD-GFCCVEGCSA-N 0 1 287.354 0.904 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)Nc1[nH]ccc1C#N ZINC001218655060 811603935 /nfs/dbraw/zinc/60/39/35/811603935.db2.gz QSSVQDMMUSXTHD-VIFPVBQESA-N 0 1 250.302 0.967 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC2CC(F)(F)C2)C1 ZINC001077252858 815466385 /nfs/dbraw/zinc/46/63/85/815466385.db2.gz JHUQDIAORZAKGN-VXGBXAGGSA-N 0 1 286.322 0.606 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)[C@H](C)CNc1ccc(C#N)nc1 ZINC001104751928 811676844 /nfs/dbraw/zinc/67/68/44/811676844.db2.gz HCVJKBDSTQHDEE-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCNC(=O)C(C)(C)C)C1 ZINC001267728260 811696194 /nfs/dbraw/zinc/69/61/94/811696194.db2.gz ZEVHYRILAVIXDM-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ccnn2CC)C1 ZINC001267740923 811722291 /nfs/dbraw/zinc/72/22/91/811722291.db2.gz ZRPAALQTWTWFDU-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN COCCOCCN1CC[C@H](N(C)C(=O)[C@H](C)C#N)C1 ZINC001267760534 811756686 /nfs/dbraw/zinc/75/66/86/811756686.db2.gz ULAOAIVMWDXQIL-OLZOCXBDSA-N 0 1 283.372 0.342 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C(C)(C)C(N)=O)C1 ZINC001267766273 811764193 /nfs/dbraw/zinc/76/41/93/811764193.db2.gz GWKCGVRUQBONLX-JTQLQIEISA-N 0 1 253.346 0.217 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CCn2cncn2)C1 ZINC001267779373 811781287 /nfs/dbraw/zinc/78/12/87/811781287.db2.gz COZWRKVOVHMATN-ZDUSSCGKSA-N 0 1 275.356 0.224 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)C3CCC3)C2)nn1 ZINC001105129408 811850196 /nfs/dbraw/zinc/85/01/96/811850196.db2.gz SSZBFBRFVYYOIO-UHFFFAOYSA-N 0 1 287.367 0.574 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1ncn[nH]1)Nc1ncccc1C#N ZINC001105212131 811864264 /nfs/dbraw/zinc/86/42/64/811864264.db2.gz XYBDNZMNCBBEOB-LLVKDONJSA-N 0 1 299.338 0.938 20 30 CCEDMN CC(C)[C@@H](CNC(=O)c1nc[nH]n1)Nc1ncccc1C#N ZINC001105212131 811864270 /nfs/dbraw/zinc/86/42/70/811864270.db2.gz XYBDNZMNCBBEOB-LLVKDONJSA-N 0 1 299.338 0.938 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@H](CC(=O)OCC)[C@@H](C)C1 ZINC001161500611 811925027 /nfs/dbraw/zinc/92/50/27/811925027.db2.gz RSBGCYGVTMRINH-ZOWXZIJZSA-N 0 1 280.368 0.775 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCC(CNC(=O)[C@H](C)C#N)CC1 ZINC001222657978 811963463 /nfs/dbraw/zinc/96/34/63/811963463.db2.gz IRAAODUKXBADNG-GHMZBOCLSA-N 0 1 280.372 0.109 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H]1C[N@@H+](CCCC)CCO1 ZINC001268021028 811968716 /nfs/dbraw/zinc/96/87/16/811968716.db2.gz APLJBZCKXAIRBC-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H]1CN(CCCC)CCO1 ZINC001268021028 811968718 /nfs/dbraw/zinc/96/87/18/811968718.db2.gz APLJBZCKXAIRBC-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnn2ncccc12 ZINC001027935317 812151260 /nfs/dbraw/zinc/15/12/60/812151260.db2.gz JGPYGXPPZJYVRH-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]cn1 ZINC001027943863 812156002 /nfs/dbraw/zinc/15/60/02/812156002.db2.gz ZBFRRPHJAOELOH-JHJVBQTASA-N 0 1 272.352 0.727 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001027952686 812161948 /nfs/dbraw/zinc/16/19/48/812161948.db2.gz CGPGQRKOORDWTL-LLVKDONJSA-N 0 1 276.340 0.641 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CCc2nccn2C1 ZINC001027973678 812183281 /nfs/dbraw/zinc/18/32/81/812183281.db2.gz WWDSFTSKZFQHGE-KBPBESRZSA-N 0 1 286.379 0.659 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001027974907 812183926 /nfs/dbraw/zinc/18/39/26/812183926.db2.gz LXMKPLGQBWDONX-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(N(C)C)nc1 ZINC001027989745 812197166 /nfs/dbraw/zinc/19/71/66/812197166.db2.gz OFUCTYRAZPPDIT-AWEZNQCLSA-N 0 1 286.379 0.975 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cn2cccnc2n1 ZINC001027994234 812202483 /nfs/dbraw/zinc/20/24/83/812202483.db2.gz LFKVAXXSRCXVDU-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cccc2ncnn21 ZINC001028002276 812207814 /nfs/dbraw/zinc/20/78/14/812207814.db2.gz UXJAWFNSWNBEOH-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnc2nccn2c1 ZINC001028025776 812220679 /nfs/dbraw/zinc/22/06/79/812220679.db2.gz REWYKNXOFJBYAM-AWEZNQCLSA-N 0 1 297.362 0.947 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)c1cccc(C#CCO)c1 ZINC000692209177 812270657 /nfs/dbraw/zinc/27/06/57/812270657.db2.gz ZDIIVOLTXDICSJ-UHFFFAOYSA-N 0 1 272.348 0.664 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001038156979 812299627 /nfs/dbraw/zinc/29/96/27/812299627.db2.gz HDIJLTPGYDOYOM-VXGBXAGGSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)[nH]1 ZINC001028137879 812303566 /nfs/dbraw/zinc/30/35/66/812303566.db2.gz OJFJSDMQFMROIE-SNVBAGLBSA-N 0 1 276.340 0.494 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cn[nH]c1 ZINC001268233890 812395335 /nfs/dbraw/zinc/39/53/35/812395335.db2.gz RFECRPCUABHZTP-UHFFFAOYSA-N 0 1 264.329 0.063 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CC[C@H]2CN(CC#N)C[C@H]21 ZINC001075613606 812403504 /nfs/dbraw/zinc/40/35/04/812403504.db2.gz IRCGJCJUIZVYJQ-YNEHKIRRSA-N 0 1 262.357 0.137 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@@H]2CN(C)CCO2)C1 ZINC001268263538 812429647 /nfs/dbraw/zinc/42/96/47/812429647.db2.gz CKXUNOYHOAUDSP-OCCSQVGLSA-N 0 1 281.400 0.472 20 30 CCEDMN C#CCCCC(=O)NC[C@H](O)CNCc1cnc(C)o1 ZINC001268304557 812463690 /nfs/dbraw/zinc/46/36/90/812463690.db2.gz OMAPFJRSNOUCAD-GFCCVEGCSA-N 0 1 279.340 0.353 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1nccn2cc(C)nc12 ZINC001028245000 812481228 /nfs/dbraw/zinc/48/12/28/812481228.db2.gz BJQOYFZKRPMAHO-CYBMUJFWSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCCCC(=O)NCCNCc1nccnc1C ZINC001126343929 812530826 /nfs/dbraw/zinc/53/08/26/812530826.db2.gz LLBWYTRVWNMQEJ-UHFFFAOYSA-N 0 1 260.341 0.794 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)C1CN(C(C)=O)C1 ZINC001268447120 812574658 /nfs/dbraw/zinc/57/46/58/812574658.db2.gz WOMUNSVIOCXXJL-UHFFFAOYSA-N 0 1 287.791 0.655 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cc(C)on2)[C@@H](O)C1 ZINC001083327652 812592047 /nfs/dbraw/zinc/59/20/47/812592047.db2.gz COYURBYZLAIBJJ-NEPJUHHUSA-N 0 1 265.313 0.334 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cc(OC)ccn1 ZINC001126361046 812634291 /nfs/dbraw/zinc/63/42/91/812634291.db2.gz VGDLMUDVFOWVBN-OAHLLOKOSA-N 0 1 293.367 0.623 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1ccc(C(N)=O)nc1 ZINC001028348345 812635731 /nfs/dbraw/zinc/63/57/31/812635731.db2.gz QDFBNGZJEHAUAL-GFCCVEGCSA-N 0 1 288.351 0.561 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN[C@H](C)c1cnc(C)cn1 ZINC001126365395 812676922 /nfs/dbraw/zinc/67/69/22/812676922.db2.gz JFGIQBVKLMDRJU-DOMZBBRYSA-N 0 1 292.383 0.879 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1ncccc1C ZINC001126366070 812682926 /nfs/dbraw/zinc/68/29/26/812682926.db2.gz QKFVNBVAFUINJI-HNNXBMFYSA-N 0 1 277.368 0.923 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1ccc(F)cn1 ZINC001126366165 812682942 /nfs/dbraw/zinc/68/29/42/812682942.db2.gz RRBZJZGISMCKMV-AWEZNQCLSA-N 0 1 281.331 0.754 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC(C)C)[C@H](OC)C1 ZINC001211793343 812736387 /nfs/dbraw/zinc/73/63/87/812736387.db2.gz RHBWDQBWQHJATE-CHWSQXEVSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)c1ccoc1C ZINC001268510698 812742899 /nfs/dbraw/zinc/74/28/99/812742899.db2.gz NKHYKWJCTSMRRE-SNAWJCMRSA-N 0 1 289.335 0.213 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](NC(=O)COCC)[C@H](OC)C1 ZINC001211804016 812744150 /nfs/dbraw/zinc/74/41/50/812744150.db2.gz CVCYGLKROLGUFF-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN Cn1cc(CNC/C=C\CNC(=O)c2cc(C#N)c[nH]2)cn1 ZINC001268524295 812767562 /nfs/dbraw/zinc/76/75/62/812767562.db2.gz DHAUXHVYKIAXMT-IHWYPQMZSA-N 0 1 298.350 0.696 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)[C@@H]1CCCCO1 ZINC001268529112 812771544 /nfs/dbraw/zinc/77/15/44/812771544.db2.gz PJRZXEWNNZIAKE-ZFDPJTLLSA-N 0 1 295.383 0.120 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001028500955 812780081 /nfs/dbraw/zinc/78/00/81/812780081.db2.gz TXPUEWRLZJGFIS-GFCCVEGCSA-N 0 1 273.336 0.862 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1cnc(C)cn1 ZINC001126379187 812825025 /nfs/dbraw/zinc/82/50/25/812825025.db2.gz ZFVPSOSXNJFNQJ-CQSZACIVSA-N 0 1 278.356 0.318 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)CC(C)=C(C)C ZINC001268576494 812854090 /nfs/dbraw/zinc/85/40/90/812854090.db2.gz WDVQZUNGIRMZBT-SREVYHEPSA-N 0 1 291.395 0.744 20 30 CCEDMN CC1(C(=O)NC/C=C/CNCC(=O)NCC#N)CC=CC1 ZINC001268588733 812876671 /nfs/dbraw/zinc/87/66/71/812876671.db2.gz UKBNYOWTKWTOJJ-SNAWJCMRSA-N 0 1 290.367 0.244 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C)nc2)[C@@H](O)C1 ZINC001083343828 812892359 /nfs/dbraw/zinc/89/23/59/812892359.db2.gz NOODZSPMOGVWPY-KGLIPLIRSA-N 0 1 273.336 0.188 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)CCc1cnn(C)n1 ZINC001268593514 812894295 /nfs/dbraw/zinc/89/42/95/812894295.db2.gz ZDJYZKHADPYILN-ARJAWSKDSA-N 0 1 297.790 0.762 20 30 CCEDMN C#CCCCC(=O)N1C[C@H]2C[N@H+]([C@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001101217772 812908200 /nfs/dbraw/zinc/90/82/00/812908200.db2.gz GWIWJZCBQFHHCU-IOASZLSFSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCCCC(=O)N1C[C@H]2CN([C@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001101217772 812908204 /nfs/dbraw/zinc/90/82/04/812908204.db2.gz GWIWJZCBQFHHCU-IOASZLSFSA-N 0 1 291.395 0.444 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cscn2)[C@@H](O)C1 ZINC001083369314 812913442 /nfs/dbraw/zinc/91/34/42/812913442.db2.gz RXAVXGFRGUXXIX-KOLCDFICSA-N 0 1 267.354 0.494 20 30 CCEDMN CN(CCN(C)c1ccc(C#N)nn1)C(=O)Cc1ccn[nH]1 ZINC001105373563 812917101 /nfs/dbraw/zinc/91/71/01/812917101.db2.gz COENLMOTZPPBKF-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)C2CC2)[C@@H](O)C1 ZINC001083389949 812932498 /nfs/dbraw/zinc/93/24/98/812932498.db2.gz WZLKULWOAQUWAY-UHTWSYAYSA-N 0 1 252.358 0.770 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)C[C@@H](C)OC ZINC001268725887 813042512 /nfs/dbraw/zinc/04/25/12/813042512.db2.gz VTXZWGWYFWKPLH-CHWSQXEVSA-N 0 1 270.373 0.498 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CN(C)CC#CC ZINC001268730634 813045621 /nfs/dbraw/zinc/04/56/21/813045621.db2.gz VZNXSHZKRUHFNS-TZMCWYRMSA-N 0 1 252.358 0.773 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ncnc2[nH]ccc21 ZINC001268736101 813055601 /nfs/dbraw/zinc/05/56/01/813055601.db2.gz BMRQZEOXBBLLCA-SNVBAGLBSA-N 0 1 271.324 0.593 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)CCc1cnn(C)n1 ZINC001268748582 813057366 /nfs/dbraw/zinc/05/73/66/813057366.db2.gz ZQJRECYSPAXRMC-LBPRGKRZSA-N 0 1 277.372 0.208 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2cnn(C)c2Cl)C1 ZINC001028636510 813072446 /nfs/dbraw/zinc/07/24/46/813072446.db2.gz PLRINWMBZBQGBH-SNVBAGLBSA-N 0 1 280.759 0.758 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)Cc2cccnc2)C1 ZINC001268818823 813101426 /nfs/dbraw/zinc/10/14/26/813101426.db2.gz UXGAMLHTYFAZAL-UHFFFAOYSA-N 0 1 289.379 0.875 20 30 CCEDMN CN1CCN(C(=O)CCS)C[C@@]2(CCCC(=O)N2)C1 ZINC001268959769 813161905 /nfs/dbraw/zinc/16/19/05/813161905.db2.gz KAAOZHCXIVJWNQ-CYBMUJFWSA-N 0 1 285.413 0.119 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cn(C)cn3)[C@@H]2C1 ZINC001075686179 813201543 /nfs/dbraw/zinc/20/15/43/813201543.db2.gz HPNCBDLBMIBRBS-WCQYABFASA-N 0 1 258.325 0.200 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCn2cc(C)cn2)C1 ZINC001269097208 813214030 /nfs/dbraw/zinc/21/40/30/813214030.db2.gz VJAGLSQTAJIDGP-ZDUSSCGKSA-N 0 1 260.341 0.405 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCN[C@H](C)c1n[nH]c(CC)n1 ZINC001127046170 815602273 /nfs/dbraw/zinc/60/22/73/815602273.db2.gz NDRQQEXARSKLLP-MNOVXSKESA-N 0 1 293.371 0.172 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001269129468 813230802 /nfs/dbraw/zinc/23/08/02/813230802.db2.gz KMAQPEJCVXPADD-QWHCGFSZSA-N 0 1 297.399 0.294 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCc2cncn2C)C1 ZINC001269192004 813258638 /nfs/dbraw/zinc/25/86/38/813258638.db2.gz IBAZVQOJZPWMGZ-GFCCVEGCSA-N 0 1 260.341 0.176 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN1C[C@H](O)COC ZINC001269206525 813265544 /nfs/dbraw/zinc/26/55/44/813265544.db2.gz YNCRQQJPQWJWLC-NEPJUHHUSA-N 0 1 256.346 0.150 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CO[C@@H]1CCOC1 ZINC001269235351 813281869 /nfs/dbraw/zinc/28/18/69/813281869.db2.gz KBUQMZUNZKNMDO-QWHCGFSZSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)CN(C)C(=O)C1CC1 ZINC001269240537 813284792 /nfs/dbraw/zinc/28/47/92/813284792.db2.gz LSSKOHWAWNMYSM-ZDUSSCGKSA-N 0 1 277.368 0.069 20 30 CCEDMN N#CCC1CN(C(=O)[C@]23C[C@H]2CCN3C(=O)c2cnc[nH]2)C1 ZINC001269414561 813362496 /nfs/dbraw/zinc/36/24/96/813362496.db2.gz RPQPFNCCROZOFF-ABAIWWIYSA-N 0 1 299.334 0.386 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1(NCC(=O)NC2CC2)CC1 ZINC001269464686 813380477 /nfs/dbraw/zinc/38/04/77/813380477.db2.gz MQRLSBWRCISUQW-UHFFFAOYSA-N 0 1 279.384 0.716 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2[nH]ncc2F)C1 ZINC001028780547 813493402 /nfs/dbraw/zinc/49/34/02/813493402.db2.gz KWMSMCFZVYEGQS-VIFPVBQESA-N 0 1 250.277 0.234 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)c2ncn(C)n2)C1 ZINC001269780360 813506301 /nfs/dbraw/zinc/50/63/01/813506301.db2.gz GFILQNIYKVDYTD-NSHDSACASA-N 0 1 277.372 0.926 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCC1CCN(CC(N)=O)CC1 ZINC001270111617 813640273 /nfs/dbraw/zinc/64/02/73/813640273.db2.gz RKGKEBMZRSFGSV-HNNXBMFYSA-N 0 1 297.399 0.017 20 30 CCEDMN C=CCOCC(=O)NC1(C)CCN([C@@H]2CCNC2=O)CC1 ZINC001270212981 813680600 /nfs/dbraw/zinc/68/06/00/813680600.db2.gz NYEJGBXXVBURKL-GFCCVEGCSA-N 0 1 295.383 0.048 20 30 CCEDMN C=CCOCC(=O)N[C@@]1(C)CCN([C@@H]2CCCNC2=O)C1 ZINC001270556156 813810931 /nfs/dbraw/zinc/81/09/31/813810931.db2.gz AZNLMEQGMAETMN-DOMZBBRYSA-N 0 1 295.383 0.048 20 30 CCEDMN C=CCCC(=O)N[C@@]1(C)CCN([C@@H](C)C(=O)NC)C1 ZINC001270557487 813811621 /nfs/dbraw/zinc/81/16/21/813811621.db2.gz LINHXTYBSPLJAE-FZMZJTMJSA-N 0 1 267.373 0.668 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001029240990 814011991 /nfs/dbraw/zinc/01/19/91/814011991.db2.gz CJXGUECDRHQJDE-XQQFMLRXSA-N 0 1 262.357 0.279 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cn3cccc(C)c3=O)[C@@H]2C1 ZINC001075787358 814016107 /nfs/dbraw/zinc/01/61/07/814016107.db2.gz KEOFKLUCBLGPKQ-LSDHHAIUSA-N 0 1 299.374 0.323 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCc4cn[nH]c43)[C@@H]2C1 ZINC001075792654 814036944 /nfs/dbraw/zinc/03/69/44/814036944.db2.gz NUVZCULFGFPOEW-SOUVJXGZSA-N 0 1 298.390 0.996 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)[C@@H](O)C1 ZINC001083414860 814041243 /nfs/dbraw/zinc/04/12/43/814041243.db2.gz YXLMWQOTXGHFIQ-OLZOCXBDSA-N 0 1 274.324 0.237 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nnn(C)c2C)[C@H]1CC ZINC001087529120 814071057 /nfs/dbraw/zinc/07/10/57/814071057.db2.gz QPZRKOSUJDKXCC-QWHCGFSZSA-N 0 1 289.383 0.730 20 30 CCEDMN CC#CC(=O)N1CCc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001271095491 814150234 /nfs/dbraw/zinc/15/02/34/814150234.db2.gz XDXBBLZGLVUDQD-UHFFFAOYSA-N 0 1 260.297 0.020 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H]2C[C@H](NC(=O)c3cnn[nH]3)C2)n1 ZINC001059458693 814317779 /nfs/dbraw/zinc/31/77/79/814317779.db2.gz QJTMDZGDOODCFS-KYZUINATSA-N 0 1 298.310 0.148 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cncc(Cl)c2)C1 ZINC001271201668 814330516 /nfs/dbraw/zinc/33/05/16/814330516.db2.gz VDZDVIUQAGJWBP-CQSZACIVSA-N 0 1 293.754 0.535 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)[C@H]2CCOC2)C1 ZINC001271254785 814353523 /nfs/dbraw/zinc/35/35/23/814353523.db2.gz NDCBEYWKYFMMNW-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3C[C@H](C)O)cn1 ZINC001029558483 814423657 /nfs/dbraw/zinc/42/36/57/814423657.db2.gz HXAOAINURDZFPB-VBNZEHGJSA-N 0 1 299.374 0.733 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3ccnn3C)[C@@H]2C1 ZINC001075844734 814438522 /nfs/dbraw/zinc/43/85/22/814438522.db2.gz FNXFVIBJLXMKMI-DZGCQCFKSA-N 0 1 286.379 0.519 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H]2C[C@H]2C2CC2)[C@@H](O)C1 ZINC001083654954 815620256 /nfs/dbraw/zinc/62/02/56/815620256.db2.gz NWEFKASVKZABPV-XQLPTFJDSA-N 0 1 276.380 0.607 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)CCCC#N)CC2 ZINC001271769400 814571018 /nfs/dbraw/zinc/57/10/18/814571018.db2.gz QXKHQMDJMBDUEB-UHFFFAOYSA-N 0 1 289.339 0.690 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)[C@H](C)NC(C)=O)C1 ZINC001271941119 814637413 /nfs/dbraw/zinc/63/74/13/814637413.db2.gz MBLVOMUOQFAVPJ-WFASDCNBSA-N 0 1 297.399 0.030 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCCCN(C(=O)C(N)=O)C1 ZINC001088370544 814644316 /nfs/dbraw/zinc/64/43/16/814644316.db2.gz MWHVCLDFYFBSHQ-SNVBAGLBSA-N 0 1 273.764 0.585 20 30 CCEDMN CCOCCN1CCC[C@](CO)(NC(=O)C#CC2CC2)C1 ZINC001271959631 814652794 /nfs/dbraw/zinc/65/27/94/814652794.db2.gz TXBKWXVYSNLOBJ-INIZCTEOSA-N 0 1 294.395 0.379 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)C[C@H]3CCNC3=O)[C@@H]2C1 ZINC001075876597 814661806 /nfs/dbraw/zinc/66/18/06/814661806.db2.gz SSIUQLMCTZMIBM-HZSPNIEDSA-N 0 1 289.379 0.069 20 30 CCEDMN N#Cc1cnccc1N[C@H]1C[C@@H](NC(=O)CN2CCCC2)C1 ZINC001059649861 814679987 /nfs/dbraw/zinc/67/99/87/814679987.db2.gz QAVOQJBSFOYWNT-OKILXGFUSA-N 0 1 299.378 0.530 20 30 CCEDMN N#CCN[C@H]1CCCCCN(C(=O)CN2CCCC2)C1 ZINC001088425987 814682668 /nfs/dbraw/zinc/68/26/68/814682668.db2.gz IRNLBBNUSBZUSO-AWEZNQCLSA-N 0 1 278.400 0.967 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H](C)n2cccn2)[C@H]1C ZINC001088476453 814726752 /nfs/dbraw/zinc/72/67/52/814726752.db2.gz PQUHHIAGEFBBQI-AGIUHOORSA-N 0 1 260.341 0.656 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCCOC2)[C@H]1C ZINC001088486816 814738157 /nfs/dbraw/zinc/73/81/57/814738157.db2.gz CREVWRVEFLEJDF-AGIUHOORSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H]1C ZINC001088524011 814851254 /nfs/dbraw/zinc/85/12/54/814851254.db2.gz AODICEBBBSIFKY-MNOVXSKESA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@@H]2CCCN(C(C)=O)[C@@H]2C1 ZINC001272052935 814885917 /nfs/dbraw/zinc/88/59/17/814885917.db2.gz HROCWOPGFONJJY-KWCYVHTRSA-N 0 1 277.368 0.067 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@H]2CCN(CC#N)[C@@H]2C)C1 ZINC001088859692 816089510 /nfs/dbraw/zinc/08/95/10/816089510.db2.gz JNCIQIGWWBGHSK-UPJWGTAASA-N 0 1 264.373 0.431 20 30 CCEDMN C=CCN1CC(NC(=O)[C@@H]2CCc3[nH]c(C)nc3C2)C1 ZINC001030553562 816104453 /nfs/dbraw/zinc/10/44/53/816104453.db2.gz ACOAGNWMUGDOTE-LLVKDONJSA-N 0 1 274.368 0.809 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)C[C@@H]2CCC(=O)N2)[C@H]1C ZINC001088915938 816173131 /nfs/dbraw/zinc/17/31/31/816173131.db2.gz AHSLJKWVAUUFHV-AGIUHOORSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c(C)n[nH]c2C)[C@H]1C ZINC001088925888 816177426 /nfs/dbraw/zinc/17/74/26/816177426.db2.gz XJJDUIDIFAKUMR-NEPJUHHUSA-N 0 1 260.341 0.852 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2nonc2C)[C@H]1C ZINC001088950553 816190151 /nfs/dbraw/zinc/19/01/51/816190151.db2.gz WQRIRFKDYTVISR-MNOVXSKESA-N 0 1 264.329 0.686 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(OC)nn2)[C@H]1C ZINC001088960264 816194598 /nfs/dbraw/zinc/19/45/98/816194598.db2.gz XMKWLVZFSKENNG-MNOVXSKESA-N 0 1 276.340 0.864 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c(OC)cc[nH]c2=O)[C@H]1C ZINC001088984337 816203711 /nfs/dbraw/zinc/20/37/11/816203711.db2.gz VJYYOHONIBUKLW-MNOVXSKESA-N 0 1 289.335 0.622 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(=O)[nH]c2)[C@H]1C ZINC001089019141 816213052 /nfs/dbraw/zinc/21/30/52/816213052.db2.gz WJRFYGADBKTIOL-PWSUYJOCSA-N 0 1 259.309 0.613 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cocc2C)C1 ZINC001077523099 816533674 /nfs/dbraw/zinc/53/36/74/816533674.db2.gz YYPZFHYQYPTATP-CHWSQXEVSA-N 0 1 264.325 0.939 20 30 CCEDMN C=C(C)CN1CC[C@@]2(CCCN2C[C@@H]2CCC(=O)N2)C1=O ZINC001272525267 816549448 /nfs/dbraw/zinc/54/94/48/816549448.db2.gz QVGVSNRGFULBND-BBRMVZONSA-N 0 1 291.395 0.908 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001046594539 816662487 /nfs/dbraw/zinc/66/24/87/816662487.db2.gz JIDIHNSTZIUWPI-CQSZACIVSA-N 0 1 276.340 0.343 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H](COC)OC ZINC001099220226 816746781 /nfs/dbraw/zinc/74/67/81/816746781.db2.gz JUGAAUVKPCHOTH-KBPBESRZSA-N 0 1 296.411 0.888 20 30 CCEDMN CCN(CCNc1nccnc1C#N)C(=O)Cc1ccn[nH]1 ZINC001106713356 816862966 /nfs/dbraw/zinc/86/29/66/816862966.db2.gz KMMCYILOIOYBAY-UHFFFAOYSA-N 0 1 299.338 0.574 20 30 CCEDMN C[C@H]1COC[C@@H]1NCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000699811520 816903762 /nfs/dbraw/zinc/90/37/62/816903762.db2.gz KLQARWWQGXDGGE-FZMZJTMJSA-N 0 1 294.376 0.956 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1[nH]cnc1C ZINC001272662469 816992105 /nfs/dbraw/zinc/99/21/05/816992105.db2.gz YWQKIEOMTDPZAJ-ZIAGYGMSSA-N 0 1 272.352 0.917 20 30 CCEDMN C#CCN1CC(NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001031375264 817008280 /nfs/dbraw/zinc/00/82/80/817008280.db2.gz VZCLWFWBITWEGK-UHFFFAOYSA-N 0 1 255.281 0.005 20 30 CCEDMN C=C(Cl)CN1CC(CNC(=O)[C@@H]2CCCN2C)C1 ZINC001031577334 817157157 /nfs/dbraw/zinc/15/71/57/817157157.db2.gz LIZBWLQMQSOTKU-LBPRGKRZSA-N 0 1 271.792 0.881 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001031711582 817276148 /nfs/dbraw/zinc/27/61/48/817276148.db2.gz IPJHYNJAMBQTTD-GFCCVEGCSA-N 0 1 265.357 0.089 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cc3n(n2)CCO3)C1 ZINC001031734610 817299336 /nfs/dbraw/zinc/29/93/36/817299336.db2.gz XQAUARFNLAEAFA-UHFFFAOYSA-N 0 1 276.340 0.513 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](C)c1ccnn1C ZINC001127576201 817314902 /nfs/dbraw/zinc/31/49/02/817314902.db2.gz KVERRUSQQVOCQW-JTQLQIEISA-N 0 1 270.764 0.982 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc3n[nH]cc3c2)C1 ZINC001031759878 817345461 /nfs/dbraw/zinc/34/54/61/817345461.db2.gz LUUBENLLOMYUAE-UHFFFAOYSA-N 0 1 271.324 0.806 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc3ccccn3c2=O)C1 ZINC001031771652 817352417 /nfs/dbraw/zinc/35/24/17/817352417.db2.gz FFOQEVANKFDLSN-UHFFFAOYSA-N 0 1 298.346 0.542 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2CCCO2)C1 ZINC001047312878 817370291 /nfs/dbraw/zinc/37/02/91/817370291.db2.gz KQKBRBOJOLLDEV-IHRRRGAJSA-N 0 1 282.384 0.635 20 30 CCEDMN Cc1cnc(CNCC[C@@H](C)NC(=O)[C@@H](C)C#N)nc1 ZINC001272688518 817387549 /nfs/dbraw/zinc/38/75/49/817387549.db2.gz MUPBBMWAJDUSNH-NWDGAFQWSA-N 0 1 275.356 0.929 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCCN(C(N)=O)C2)C1 ZINC001031826637 817398691 /nfs/dbraw/zinc/39/86/91/817398691.db2.gz PITYYYCREWCRRS-ZDUSSCGKSA-N 0 1 294.399 0.401 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(OC)n(C)n2)C1 ZINC001031834660 817404171 /nfs/dbraw/zinc/40/41/71/817404171.db2.gz PIHONVJFOCRWKH-UHFFFAOYSA-N 0 1 264.329 0.276 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2coc(OC)n2)C1 ZINC001031879231 817435623 /nfs/dbraw/zinc/43/56/23/817435623.db2.gz LKNWOZYHEKKQJJ-UHFFFAOYSA-N 0 1 263.297 0.368 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001031901641 817451434 /nfs/dbraw/zinc/45/14/34/817451434.db2.gz LDJCUAVEBCNVNR-LBPRGKRZSA-N 0 1 265.357 0.231 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCNCc1cnc(C)nc1 ZINC001124488446 817488380 /nfs/dbraw/zinc/48/83/80/817488380.db2.gz OPNYKVJDVINKSB-LBPRGKRZSA-N 0 1 292.383 0.972 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCNCc1cc(C)ncn1 ZINC001124488255 817488583 /nfs/dbraw/zinc/48/85/83/817488583.db2.gz MMGFSIJHSQNBQM-CYBMUJFWSA-N 0 1 292.383 0.972 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001031969314 817506726 /nfs/dbraw/zinc/50/67/26/817506726.db2.gz GTWRVOJFURYVFU-ZDUSSCGKSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CC(CNC(=O)c2nccn3ccnc23)C1 ZINC001031984656 817522224 /nfs/dbraw/zinc/52/22/24/817522224.db2.gz RPPMHQTYLYDNCX-UHFFFAOYSA-N 0 1 271.324 0.577 20 30 CCEDMN C=C(Cl)C[NH+]1CC(CNC(=O)c2ncn[n-]2)C1 ZINC001032060428 817589520 /nfs/dbraw/zinc/58/95/20/817589520.db2.gz SCLSZCSDTVZRMT-UHFFFAOYSA-N 0 1 255.709 0.219 20 30 CCEDMN C=C(Cl)CN1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001032060428 817589530 /nfs/dbraw/zinc/58/95/30/817589530.db2.gz SCLSZCSDTVZRMT-UHFFFAOYSA-N 0 1 255.709 0.219 20 30 CCEDMN C=C(Cl)CN1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001032060428 817589511 /nfs/dbraw/zinc/58/95/11/817589511.db2.gz SCLSZCSDTVZRMT-UHFFFAOYSA-N 0 1 255.709 0.219 20 30 CCEDMN CCc1nocc1CNCCNC(=O)[C@H](C)C#N ZINC001124889844 817617995 /nfs/dbraw/zinc/61/79/95/817617995.db2.gz UNGJTTYVEZCWGL-SECBINFHSA-N 0 1 250.302 0.602 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3ccn(C)n3)C2)C1=O ZINC001272734524 817622131 /nfs/dbraw/zinc/62/21/31/817622131.db2.gz WLDIUMJNBKDOTH-HNNXBMFYSA-N 0 1 272.352 0.478 20 30 CCEDMN C#CCN1CC(CNC(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC001032111376 817640169 /nfs/dbraw/zinc/64/01/69/817640169.db2.gz DZWIGUMFUVRWTM-LBPRGKRZSA-N 0 1 272.352 0.196 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001032208186 817704062 /nfs/dbraw/zinc/70/40/62/817704062.db2.gz OGEZCFJEYCHBJS-GFCCVEGCSA-N 0 1 265.357 0.089 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cnco1 ZINC001272742847 817721841 /nfs/dbraw/zinc/72/18/41/817721841.db2.gz RGBZQNUBLRPMJG-CHWSQXEVSA-N 0 1 259.309 0.873 20 30 CCEDMN Cc1c(C(=O)NCCNCC#Cc2ccccc2)nnn1C ZINC001125175475 817722739 /nfs/dbraw/zinc/72/27/39/817722739.db2.gz VOJOYRTUJRKNBP-UHFFFAOYSA-N 0 1 297.362 0.495 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn(C)c2C2CC2)C1 ZINC001032226058 817728566 /nfs/dbraw/zinc/72/85/66/817728566.db2.gz UAFLVKRWEMQVCG-UHFFFAOYSA-N 0 1 286.379 0.982 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cn1cccn1 ZINC001032279020 817777840 /nfs/dbraw/zinc/77/78/40/817777840.db2.gz WBCXTCPWJHZOJX-STQMWFEESA-N 0 1 260.341 0.744 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H](C)CCNCc1cn(C)nn1 ZINC001272747499 817829014 /nfs/dbraw/zinc/82/90/14/817829014.db2.gz MXRNGCRUPBQPCS-FZMZJTMJSA-N 0 1 295.387 0.127 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2CCC(OC)CC2)C1 ZINC001077593045 817857231 /nfs/dbraw/zinc/85/72/31/817857231.db2.gz WEQZPDUYNZXESB-NEXFUWMNSA-N 0 1 296.411 0.929 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)C[C@@H]1COC(=O)C1 ZINC001032356899 817976367 /nfs/dbraw/zinc/97/63/67/817976367.db2.gz YYBVAXICUOWJBI-AVGNSLFASA-N 0 1 278.352 0.801 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)Cn2cccn2)C1 ZINC001107737992 817976832 /nfs/dbraw/zinc/97/68/32/817976832.db2.gz MZIITPXLVHAGEQ-OAHLLOKOSA-N 0 1 292.383 0.666 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)C(N)=O)CCCCC1 ZINC001115703750 818030054 /nfs/dbraw/zinc/03/00/54/818030054.db2.gz NULMSAXOOFGJME-UHFFFAOYSA-N 0 1 273.764 0.633 20 30 CCEDMN C=C(C)CN1CCO[C@@](C)(CNC(=O)[C@@H]2CCCN2C)C1 ZINC001107817631 818031522 /nfs/dbraw/zinc/03/15/22/818031522.db2.gz VAUKQFLTVBQIDX-HOCLYGCPSA-N 0 1 295.427 0.864 20 30 CCEDMN N#CCN[C@@H]1C[C@@H](NC(=O)CN2CCCC2)C12CCC2 ZINC001078813257 818055238 /nfs/dbraw/zinc/05/52/38/818055238.db2.gz YBOVLBFNHNWWKW-CHWSQXEVSA-N 0 1 276.384 0.623 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001043203736 818060495 /nfs/dbraw/zinc/06/04/95/818060495.db2.gz RVOMANHPOLJXCA-UHFFFAOYSA-N 0 1 295.342 0.918 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cnsn1 ZINC001038085217 818178792 /nfs/dbraw/zinc/17/87/92/818178792.db2.gz CXWFOVRLNZMSSP-VIFPVBQESA-N 0 1 252.343 0.918 20 30 CCEDMN C#CCN1CCN(C(=O)c2ccn3cncc3c2)CC1 ZINC000132088647 818179194 /nfs/dbraw/zinc/17/91/94/818179194.db2.gz FWIFRXKISGAITH-UHFFFAOYSA-N 0 1 268.320 0.725 20 30 CCEDMN C=C(C)CCC(=O)NCCNCc1cnnn1CC ZINC001128405083 818220730 /nfs/dbraw/zinc/22/07/30/818220730.db2.gz NITQWFFUKBRHKT-UHFFFAOYSA-N 0 1 265.361 0.860 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CCCC2)[C@@H](O)C1 ZINC001090007850 818262827 /nfs/dbraw/zinc/26/28/27/818262827.db2.gz YRIZLCHDOLCRGJ-OLZOCXBDSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)on2)[C@H](O)C1 ZINC001090032775 818296889 /nfs/dbraw/zinc/29/68/89/818296889.db2.gz SRNWRKVAVWQNIY-ZYHUDNBSSA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)CN2CCCC2=O)C1 ZINC001032894275 818344179 /nfs/dbraw/zinc/34/41/79/818344179.db2.gz VNHMOAANCLQQDE-GFCCVEGCSA-N 0 1 265.357 0.328 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2cnsn2)C1 ZINC001032933006 818375353 /nfs/dbraw/zinc/37/53/53/818375353.db2.gz ZDIHELGNYRBLAJ-JTQLQIEISA-N 0 1 264.354 0.708 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cccc(=O)[nH]2)C1 ZINC001032979206 818403160 /nfs/dbraw/zinc/40/31/60/818403160.db2.gz NIWOYKHAGMMZGK-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc[nH]2)[C@H](O)C1 ZINC001090077270 818469035 /nfs/dbraw/zinc/46/90/35/818469035.db2.gz QFKVTJQADDBUFX-WCQYABFASA-N 0 1 261.325 0.203 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cc2nnc(C)o2)C1 ZINC001033058655 818474556 /nfs/dbraw/zinc/47/45/56/818474556.db2.gz RAGQQNYPNBGCQI-LLVKDONJSA-N 0 1 264.329 0.639 20 30 CCEDMN Cc1nnc(NC(=N)N2CCN(C)CC2)c(C#N)c1C ZINC001168736026 818541147 /nfs/dbraw/zinc/54/11/47/818541147.db2.gz IJZLPIYDUYMUBT-UHFFFAOYSA-N 0 1 273.344 0.559 20 30 CCEDMN COc1cnc(NC(=[NH2+])N2CCN(C)CC2)c(C#N)c1 ZINC001168736423 818547121 /nfs/dbraw/zinc/54/71/21/818547121.db2.gz LUXZCCGBMGVOSJ-UHFFFAOYSA-N 0 1 274.328 0.556 20 30 CCEDMN COc1cnc(NC(=N)N2CCN(C)CC2)c(C#N)c1 ZINC001168736423 818547125 /nfs/dbraw/zinc/54/71/25/818547125.db2.gz LUXZCCGBMGVOSJ-UHFFFAOYSA-N 0 1 274.328 0.556 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001033124629 818551860 /nfs/dbraw/zinc/55/18/60/818551860.db2.gz OXZLFRDLJFRZAR-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2cnn(C)c2C)C1 ZINC001033141969 818565369 /nfs/dbraw/zinc/56/53/69/818565369.db2.gz JNHAUXSZMHVBOX-HNNXBMFYSA-N 0 1 288.395 0.827 20 30 CCEDMN C=CCn1cccc1C(=O)N(C)[C@H]1CC[N@@H+](CCO)C1 ZINC001033144750 818569198 /nfs/dbraw/zinc/56/91/98/818569198.db2.gz BHNJFPIVAGLGPR-ZDUSSCGKSA-N 0 1 277.368 0.813 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001033169385 818599152 /nfs/dbraw/zinc/59/91/52/818599152.db2.gz MQLGPJQTJFGEBB-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C[C@H]1C[C@@H](NCC#N)CCN1C(=O)CCc1cnc[nH]1 ZINC001044627261 818612153 /nfs/dbraw/zinc/61/21/53/818612153.db2.gz OMARNPYYBHWHKS-RYUDHWBXSA-N 0 1 275.356 0.835 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2C2CC2)[C@@H](O)C1 ZINC001083849464 818660135 /nfs/dbraw/zinc/66/01/35/818660135.db2.gz HDDGZXCYZYVABX-DGAVXFQQSA-N 0 1 262.353 0.217 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cccc3ncnn32)C1 ZINC001033236099 818668278 /nfs/dbraw/zinc/66/82/78/818668278.db2.gz XWIKHVSBUYTMHO-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cn2ncc3cccnc32)C1 ZINC001033275731 818687595 /nfs/dbraw/zinc/68/75/95/818687595.db2.gz OLPZSDWHCCFLAP-CQSZACIVSA-N 0 1 297.362 0.597 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cocn2)[C@@H](O)C1 ZINC001090130536 818692347 /nfs/dbraw/zinc/69/23/47/818692347.db2.gz VBGODHUQXRVAAX-KOLCDFICSA-N 0 1 251.286 0.026 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCNC(=O)CC2)C1 ZINC001033298639 818698461 /nfs/dbraw/zinc/69/84/61/818698461.db2.gz KUAMVCYCJXOARG-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cnn3cccnc23)C1 ZINC001033322513 818709148 /nfs/dbraw/zinc/70/91/48/818709148.db2.gz MNLJWUHMNROQGJ-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2nccn3ccnc23)C1 ZINC001033433205 818769142 /nfs/dbraw/zinc/76/91/42/818769142.db2.gz VYUXQBQTURYVSP-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CC(=O)N(CCC)C2)C1 ZINC001033463589 818786887 /nfs/dbraw/zinc/78/68/87/818786887.db2.gz QXGFEOLOOCRIIR-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cn2ccc(C)cc2=O)C1 ZINC001033471427 818790477 /nfs/dbraw/zinc/79/04/77/818790477.db2.gz UTRVVGVJXBVFQY-AWEZNQCLSA-N 0 1 287.363 0.323 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001033612033 818851810 /nfs/dbraw/zinc/85/18/10/818851810.db2.gz BVTHIDNVJSZRQA-HUUCEWRRSA-N 0 1 283.375 0.962 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)C2CCN(C(C)=O)CC2)C1 ZINC001033648502 818873031 /nfs/dbraw/zinc/87/30/31/818873031.db2.gz LSHDSSQBSPFPED-OAHLLOKOSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@@H]2CCN(CC#N)C2)CC1 ZINC001033688481 818888874 /nfs/dbraw/zinc/88/88/74/818888874.db2.gz QXVNZUAHHJYLAC-OAHLLOKOSA-N 0 1 288.395 0.388 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CN(C)CCO2)C1 ZINC001033788860 818936679 /nfs/dbraw/zinc/93/66/79/818936679.db2.gz KPENPZMAYOWZRV-CABCVRRESA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033794797 818942884 /nfs/dbraw/zinc/94/28/84/818942884.db2.gz HLUYVRDCDCVFTG-GFCCVEGCSA-N 0 1 290.367 0.983 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033794797 818942889 /nfs/dbraw/zinc/94/28/89/818942889.db2.gz HLUYVRDCDCVFTG-GFCCVEGCSA-N 0 1 290.367 0.983 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001033878355 818973072 /nfs/dbraw/zinc/97/30/72/818973072.db2.gz JDXFSMQHBHBIOP-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC2CCOCC2)[C@H](O)C1 ZINC001090151760 818990150 /nfs/dbraw/zinc/99/01/50/818990150.db2.gz UGLOGSHEHGLHGF-LSDHHAIUSA-N 0 1 294.395 0.378 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](NC(=O)c2oc(C)nc2C)[C@H](O)C1 ZINC001090164824 819002900 /nfs/dbraw/zinc/00/29/00/819002900.db2.gz XEMGKYCULFAGHU-VXGBXAGGSA-N 0 1 279.340 0.642 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2oc(C)nc2C)[C@H](O)C1 ZINC001090164824 819002908 /nfs/dbraw/zinc/00/29/08/819002908.db2.gz XEMGKYCULFAGHU-VXGBXAGGSA-N 0 1 279.340 0.642 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncccc2C)[C@H](O)C1 ZINC001090166514 819006137 /nfs/dbraw/zinc/00/61/37/819006137.db2.gz QOIDSSBAQZCJTL-QWHCGFSZSA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2CCC2)[C@@H](O)C1 ZINC001090166038 819006404 /nfs/dbraw/zinc/00/64/04/819006404.db2.gz NWRKVBIPJLWUIU-STQMWFEESA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)c2cccc(=O)n2C)C1 ZINC001033947833 819009095 /nfs/dbraw/zinc/00/90/95/819009095.db2.gz WKQWXIWCTCFIEH-ZDUSSCGKSA-N 0 1 287.363 0.555 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001033951961 819010225 /nfs/dbraw/zinc/01/02/25/819010225.db2.gz MZGKWWALMSHPEQ-NSHDSACASA-N 0 1 290.367 0.836 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001033953458 819013027 /nfs/dbraw/zinc/01/30/27/819013027.db2.gz CFBMXPBVRMHTOR-MCIONIFRSA-N 0 1 291.395 0.267 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001033939831 819014268 /nfs/dbraw/zinc/01/42/68/819014268.db2.gz CUNNMGMYUWWYPQ-LLVKDONJSA-N 0 1 276.340 0.295 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001034084340 819066530 /nfs/dbraw/zinc/06/65/30/819066530.db2.gz NFGBLCLUUAODAD-OAHLLOKOSA-N 0 1 287.363 0.928 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCOC2)C1 ZINC001034124729 819072557 /nfs/dbraw/zinc/07/25/57/819072557.db2.gz WOJIVGGLWCMFNG-OLZOCXBDSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2COC(=O)N2)C1 ZINC001034191730 819112980 /nfs/dbraw/zinc/11/29/80/819112980.db2.gz RCBKSZPLIKNEND-NEPJUHHUSA-N 0 1 279.340 0.089 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(C)n2)[C@@H](O)C1 ZINC001090206074 819163033 /nfs/dbraw/zinc/16/30/33/819163033.db2.gz MSRGVYVZXXJCGX-PWSUYJOCSA-N 0 1 265.313 0.334 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@H]2CCNC2=O)C1 ZINC001034344661 819178552 /nfs/dbraw/zinc/17/85/52/819178552.db2.gz KELIEBBYVHUJPD-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H](NC(=O)c2cncnc2)[C@@H](O)C1 ZINC001090210486 819185878 /nfs/dbraw/zinc/18/58/78/819185878.db2.gz ODTOLHHLOCKIMJ-OLZOCXBDSA-N 0 1 276.340 0.218 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cncnc2)[C@@H](O)C1 ZINC001090210486 819185880 /nfs/dbraw/zinc/18/58/80/819185880.db2.gz ODTOLHHLOCKIMJ-OLZOCXBDSA-N 0 1 276.340 0.218 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)Cn2ccnc2)C1 ZINC001034548789 819240375 /nfs/dbraw/zinc/24/03/75/819240375.db2.gz GNQNFEFHXFBIFS-AWEZNQCLSA-N 0 1 274.368 0.877 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc[nH]c2CC)[C@@H](O)C1 ZINC001090242712 819295886 /nfs/dbraw/zinc/29/58/86/819295886.db2.gz AUTAERCHVGXKRE-CABCVRRESA-N 0 1 289.379 0.765 20 30 CCEDMN COc1cc(CNC[C@H]2CCCN2C(=O)[C@@H](C)C#N)on1 ZINC001034886608 819356284 /nfs/dbraw/zinc/35/62/84/819356284.db2.gz LNFADNCLHNVNIM-WDEREUQCSA-N 0 1 292.339 0.923 20 30 CCEDMN C=C(C)CN1CCO[C@@H](CNC(=O)[C@@H]2CCCN2C)C1 ZINC001035308100 819459893 /nfs/dbraw/zinc/45/98/93/819459893.db2.gz UPRZDHZDEBAARB-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN C=C(C)CC[N@H+]1CCO[C@@H](CNC(=O)c2nnc[nH]2)C1 ZINC001035548806 819562484 /nfs/dbraw/zinc/56/24/84/819562484.db2.gz BMLUZASULYHDMX-NSHDSACASA-N 0 1 279.344 0.202 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2ccn(C)n2)C1 ZINC001035610434 819589417 /nfs/dbraw/zinc/58/94/17/819589417.db2.gz STTAMPUIDBHRCU-ZDUSSCGKSA-N 0 1 292.383 0.817 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CCCOC1)CC2 ZINC001035665309 819607103 /nfs/dbraw/zinc/60/71/03/819607103.db2.gz DDBPYHFIXSVTDT-AWEZNQCLSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1OCCO[C@H]1C)CC2 ZINC001035726135 819614828 /nfs/dbraw/zinc/61/48/28/819614828.db2.gz MEHPQKWKQCDMJO-KBPBESRZSA-N 0 1 292.379 0.348 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCC2(CN(CC#N)C2)CC1 ZINC001035732713 819615885 /nfs/dbraw/zinc/61/58/85/819615885.db2.gz IYOZWYYWPHGTOH-CQSZACIVSA-N 0 1 290.411 0.919 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CCCC(=O)N1)CC2 ZINC001035727846 819616506 /nfs/dbraw/zinc/61/65/06/819616506.db2.gz VNRKRCJEGHOQFI-CYBMUJFWSA-N 0 1 289.379 0.213 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1[C@@H]3COC[C@@H]31)CC2 ZINC001035776458 819627132 /nfs/dbraw/zinc/62/71/32/819627132.db2.gz ISLASXRVBHXGOC-FICVDOATSA-N 0 1 288.391 0.827 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccn(C)n1)CC2 ZINC001035851147 819633109 /nfs/dbraw/zinc/63/31/09/819633109.db2.gz BMSDTBSEXJMTNM-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN C#CC[NH2+][C@@H]1CCN(C(=O)c2ncccc2[O-])C[C@@H]1C ZINC001036004919 819670838 /nfs/dbraw/zinc/67/08/38/819670838.db2.gz ZEWKNEQRCVQRFX-NWDGAFQWSA-N 0 1 273.336 0.861 20 30 CCEDMN C[C@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC[C@H]1NCC#N ZINC001036169132 819696856 /nfs/dbraw/zinc/69/68/56/819696856.db2.gz UUNGWQPFPZNJHK-VHSXEESVSA-N 0 1 277.328 0.089 20 30 CCEDMN C#CC1(O)CCN([C@@H](C)C(=O)N[C@H](C)CC)CC1 ZINC000708158471 819761499 /nfs/dbraw/zinc/76/14/99/819761499.db2.gz KFNDGSLUHYJQHF-NEPJUHHUSA-N 0 1 252.358 0.750 20 30 CCEDMN COCCN(CCC#N)C(=O)C1=NC(=O)N(C)C1 ZINC000708408448 819775324 /nfs/dbraw/zinc/77/53/24/819775324.db2.gz LRICRLYVVGVRPT-UHFFFAOYSA-N 0 1 252.274 0.128 20 30 CCEDMN C#CCCCS(=O)(=O)NCCN(CC)C1CC1 ZINC000710261741 819824386 /nfs/dbraw/zinc/82/43/86/819824386.db2.gz SFSVGUBPJKDSMR-UHFFFAOYSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCCS(=O)(=O)N(C)[C@H]1CN2CCC1CC2 ZINC000711015708 819874403 /nfs/dbraw/zinc/87/44/03/819874403.db2.gz HVKJKJHYRSWXPU-LBPRGKRZSA-N 0 1 256.371 0.366 20 30 CCEDMN C=CCCCCCN1CCO[C@](C)(CNC(=O)C(N)=O)C1 ZINC001108172530 820021107 /nfs/dbraw/zinc/02/11/07/820021107.db2.gz DZZSTGRRDHSUKZ-OAHLLOKOSA-N 0 1 297.399 0.425 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC001036696020 820035465 /nfs/dbraw/zinc/03/54/65/820035465.db2.gz MVFUOIMNQPBOMK-OLZOCXBDSA-N 0 1 285.347 0.957 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3ncccc3[O-])CC[C@@H]21 ZINC001036696020 820035475 /nfs/dbraw/zinc/03/54/75/820035475.db2.gz MVFUOIMNQPBOMK-OLZOCXBDSA-N 0 1 285.347 0.957 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn3c(n2)CCC3)[C@H](O)C1 ZINC001090275558 820051419 /nfs/dbraw/zinc/05/14/19/820051419.db2.gz ZVENEOIKLGYFDG-DGCLKSJQSA-N 0 1 290.367 0.180 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)c2ncccc2F)[C@@H](O)C1 ZINC001083889810 820099452 /nfs/dbraw/zinc/09/94/52/820099452.db2.gz CGXIHYDQOTXZAL-OLZOCXBDSA-N 0 1 293.342 0.962 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NC(=O)[C@H]2CCCN2C)CCC1 ZINC001064302476 820195469 /nfs/dbraw/zinc/19/54/69/820195469.db2.gz KWBWYZVSDYODRL-NWDGAFQWSA-N 0 1 292.383 0.395 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cnccc2C)[C@@H](O)C1 ZINC001090334791 820272897 /nfs/dbraw/zinc/27/28/97/820272897.db2.gz SMYOCBJNPVJEIU-GJZGRUSLSA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2onc(C)c2C)[C@H](O)C1 ZINC001090348404 820287117 /nfs/dbraw/zinc/28/71/17/820287117.db2.gz CNCVPNHBDLSKAW-NWDGAFQWSA-N 0 1 279.340 0.642 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001079572129 820426947 /nfs/dbraw/zinc/42/69/47/820426947.db2.gz MMXDURZEKMLWLJ-IJLUTSLNSA-N 0 1 264.325 0.009 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C2CC(OCC)C2)C1 ZINC001079641523 820448086 /nfs/dbraw/zinc/44/80/86/820448086.db2.gz NMOOLBVEXSVVPU-BLYZHGLHSA-N 0 1 264.369 0.871 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001079923081 820489665 /nfs/dbraw/zinc/48/96/65/820489665.db2.gz PJFYZONKBXHOSD-MGPQQGTHSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCNC(=O)C2)C1 ZINC001079946259 820491622 /nfs/dbraw/zinc/49/16/22/820491622.db2.gz JSRZOHIZBSCGCU-JMSVASOKSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001080102354 820515685 /nfs/dbraw/zinc/51/56/85/820515685.db2.gz QVQFNMDSTNUOON-MWLCHTKSSA-N 0 1 286.339 0.589 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCSCC)[C@H](O)C1 ZINC001099708402 820519060 /nfs/dbraw/zinc/51/90/60/820519060.db2.gz ZXEJDBWWKOJUGO-VXGBXAGGSA-N 0 1 272.414 0.867 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2ncccc2F)C1 ZINC001080333489 820551609 /nfs/dbraw/zinc/55/16/09/820551609.db2.gz PXMPZIYLQBRCHM-BXUZGUMPSA-N 0 1 275.327 0.833 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1[nH]ncc1F ZINC001080397483 820563845 /nfs/dbraw/zinc/56/38/45/820563845.db2.gz ALHWMOHRKKRLNP-VXNVDRBHSA-N 0 1 251.265 0.122 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC001080529494 820589180 /nfs/dbraw/zinc/58/91/80/820589180.db2.gz KCJNGVVHBOFLDI-DGCLKSJQSA-N 0 1 294.786 0.996 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CN(CC#CC)C[C@H]2C)nn1 ZINC001080578998 820593971 /nfs/dbraw/zinc/59/39/71/820593971.db2.gz JKQZBKLUDOFIOG-CHWSQXEVSA-N 0 1 287.367 0.538 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCCNCc1cnn(C)n1 ZINC001164223134 820671158 /nfs/dbraw/zinc/67/11/58/820671158.db2.gz PXIBUJGATSRDFZ-NEPJUHHUSA-N 0 1 279.388 0.869 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2C2CC2)C1 ZINC001081040452 820671962 /nfs/dbraw/zinc/67/19/62/820671962.db2.gz DWUXSJKGMMGNOT-BXUZGUMPSA-N 0 1 286.379 0.981 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2cnn(C)c2C2CC2)C1 ZINC001081040452 820671966 /nfs/dbraw/zinc/67/19/66/820671966.db2.gz DWUXSJKGMMGNOT-BXUZGUMPSA-N 0 1 286.379 0.981 20 30 CCEDMN C=CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2nn(CC)nc2C)C1 ZINC001081050877 820674258 /nfs/dbraw/zinc/67/42/58/820674258.db2.gz ABFBMQXJIGXRJA-ZYHUDNBSSA-N 0 1 277.372 0.843 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2nn(CC)nc2C)C1 ZINC001081050877 820674267 /nfs/dbraw/zinc/67/42/67/820674267.db2.gz ABFBMQXJIGXRJA-ZYHUDNBSSA-N 0 1 277.372 0.843 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc[nH]c(=O)c2)[C@H](OC)C1 ZINC001081519563 820782541 /nfs/dbraw/zinc/78/25/41/820782541.db2.gz FNLRKOOWBXHUMH-CHWSQXEVSA-N 0 1 289.335 0.240 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)c2cc[nH]c2)[C@H](OC)C1 ZINC001081718121 820820700 /nfs/dbraw/zinc/82/07/00/820820700.db2.gz YKEFKDWKLXGARQ-CHWSQXEVSA-N 0 1 261.325 0.467 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc[nH]c2)[C@H](OC)C1 ZINC001081718121 820820713 /nfs/dbraw/zinc/82/07/13/820820713.db2.gz YKEFKDWKLXGARQ-CHWSQXEVSA-N 0 1 261.325 0.467 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H](C)[C@H](NCc2ccn(C)n2)C1 ZINC001082450595 820972813 /nfs/dbraw/zinc/97/28/13/820972813.db2.gz AVCYNDSWSSMIGF-NQBHXWOUSA-N 0 1 275.356 0.516 20 30 CCEDMN Cc1cnc(CN[C@@H]2CN(C(=O)[C@H](C)C#N)C[C@H]2C)cn1 ZINC001082451275 820972999 /nfs/dbraw/zinc/97/29/99/820972999.db2.gz VFMDJFBIWJZOMJ-JTNHKYCSSA-N 0 1 287.367 0.881 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC001118957331 821007306 /nfs/dbraw/zinc/00/73/06/821007306.db2.gz DNENUAGFWNOKHK-VWYCJHECSA-N 0 1 297.355 0.429 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3cnccn3)[C@H]2C1 ZINC001082984854 821092825 /nfs/dbraw/zinc/09/28/25/821092825.db2.gz YXPFQLVZOXLIDN-UONOGXRCSA-N 0 1 288.351 0.578 20 30 CCEDMN CC#CCN1C[C@H]2OCCN(C(=O)c3cnc(C)[nH]3)[C@H]2C1 ZINC001083023435 821113186 /nfs/dbraw/zinc/11/31/86/821113186.db2.gz BWGQKQWYMHHFLI-UONOGXRCSA-N 0 1 288.351 0.267 20 30 CCEDMN O=C(C#CC1CC1)N1CCO[C@@H]2CN(CCCF)C[C@@H]21 ZINC001083076812 821123847 /nfs/dbraw/zinc/12/38/47/821123847.db2.gz FIEZPYSCPYBHMM-UONOGXRCSA-N 0 1 280.343 0.671 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3cn(C)cn3)[C@H]2C1 ZINC001083050658 821126513 /nfs/dbraw/zinc/12/65/13/821126513.db2.gz UZZGNXFBRAGDGX-UONOGXRCSA-N 0 1 290.367 0.521 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)c2cccnc2)[C@@H](O)C1 ZINC001083962008 821173579 /nfs/dbraw/zinc/17/35/79/821173579.db2.gz RPCPMLRUUCALOO-YUELXQCFSA-N 0 1 289.379 0.923 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@@H](N)COC(C)C)C(=O)OCC ZINC001119366592 821206500 /nfs/dbraw/zinc/20/65/00/821206500.db2.gz HMFINXZSAJDSJN-NWDGAFQWSA-N 0 1 286.372 0.753 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)CSC)[C@@H]2C1 ZINC001084174099 821225569 /nfs/dbraw/zinc/22/55/69/821225569.db2.gz NCCYNJCBADECES-VXGBXAGGSA-N 0 1 252.383 0.905 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])[C@@H]2C1 ZINC001084283131 821260939 /nfs/dbraw/zinc/26/09/39/821260939.db2.gz WEVLOAARFQWKBG-VXGBXAGGSA-N 0 1 288.351 0.593 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCn3ccnn3)[C@@H]2C1 ZINC001084306219 821263348 /nfs/dbraw/zinc/26/33/48/821263348.db2.gz LZSDMXVBTVWMKU-ZIAGYGMSSA-N 0 1 287.367 0.224 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@@H]3CC(=O)N(C)C3)[C@@H]2C1 ZINC001084385513 821276833 /nfs/dbraw/zinc/27/68/33/821276833.db2.gz JANRIALWEQIKPI-MGPQQGTHSA-N 0 1 289.379 0.021 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3ccc(=O)n(C)c3)[C@@H]2C1 ZINC001084480854 821297547 /nfs/dbraw/zinc/29/75/47/821297547.db2.gz GXPGCMCHLUDOEH-UKRRQHHQSA-N 0 1 299.374 0.555 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3n[nH]nc3C)[C@@H]2C1 ZINC001084567975 821314426 /nfs/dbraw/zinc/31/44/26/821314426.db2.gz SCSWOMVCBYGQRR-VXGBXAGGSA-N 0 1 273.340 0.283 20 30 CCEDMN C=CCn1cc(C(=O)N2C[C@H]3CCN(CC=C)C[C@H]32)nn1 ZINC001084709126 821355653 /nfs/dbraw/zinc/35/56/53/821355653.db2.gz MFFSXZBFZLHJEF-TZMCWYRMSA-N 0 1 287.367 0.796 20 30 CCEDMN CO[C@H](C)CN1CC[C@H]1CN(C)C(=O)CSCC#N ZINC001230814965 821452032 /nfs/dbraw/zinc/45/20/32/821452032.db2.gz JGKUKWHRICQDOK-NEPJUHHUSA-N 0 1 285.413 0.811 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(C(N)=O)s1 ZINC000823674854 821530407 /nfs/dbraw/zinc/53/04/07/821530407.db2.gz DPKQGKRDZIRQGX-UHFFFAOYSA-N 0 1 265.338 0.142 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CCN(CC#CC)C[C@@H]1O ZINC001099961998 821658159 /nfs/dbraw/zinc/65/81/59/821658159.db2.gz HEIUJDIMXCGSBY-CABCVRRESA-N 0 1 276.380 0.755 20 30 CCEDMN Cc1nnc(CNCCCNC(=O)CSCC#N)s1 ZINC001154803297 821669624 /nfs/dbraw/zinc/66/96/24/821669624.db2.gz XREPZQIQOISUGN-UHFFFAOYSA-N 0 1 299.425 0.699 20 30 CCEDMN N#CCSCC(=O)NCCCNCc1ncccn1 ZINC001154803348 821670416 /nfs/dbraw/zinc/67/04/16/821670416.db2.gz ZHTGHRRLVRQQNW-UHFFFAOYSA-N 0 1 279.369 0.329 20 30 CCEDMN CC(C)(CC#N)CNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000826703596 821670440 /nfs/dbraw/zinc/67/04/40/821670440.db2.gz JPEOHHWNHOEBNA-LBPRGKRZSA-N 0 1 265.357 0.763 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1c[nH]c(C)n1 ZINC001085520703 821766659 /nfs/dbraw/zinc/76/66/59/821766659.db2.gz VUCVPOKEAUZVCL-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cc(C)ncn1 ZINC001085551009 821785423 /nfs/dbraw/zinc/78/54/23/821785423.db2.gz LRFVFXIQHOBIPT-ZDUSSCGKSA-N 0 1 272.352 0.955 20 30 CCEDMN C[C@H](O)CN1CC[C@@H]1CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001085556567 821789155 /nfs/dbraw/zinc/78/91/55/821789155.db2.gz UOQPEOBHVLKLTJ-GXFFZTMASA-N 0 1 276.340 0.413 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1nccc(C)n1 ZINC001085574084 821822588 /nfs/dbraw/zinc/82/25/88/821822588.db2.gz NCCYHKKVGXKBNI-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCN1CC[C@H]1CN(C)C(=O)c1cccc2nn[nH]c21 ZINC001085613839 821850425 /nfs/dbraw/zinc/85/04/25/821850425.db2.gz ZMJUMTCELYEGFB-NSHDSACASA-N 0 1 283.335 0.737 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)c1cn[nH]c(=O)c1 ZINC001085656828 821876314 /nfs/dbraw/zinc/87/63/14/821876314.db2.gz ADHHTJIVCJNDEH-LLVKDONJSA-N 0 1 262.313 0.515 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001085732423 821923967 /nfs/dbraw/zinc/92/39/67/821923967.db2.gz AUCQOEVHSNNCEP-AYCBFJCHSA-N 0 1 288.391 0.966 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1c[nH]c(=O)n1C ZINC001085764734 821943524 /nfs/dbraw/zinc/94/35/24/821943524.db2.gz DJGOBOFQRCIXKH-LLVKDONJSA-N 0 1 276.340 0.295 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1c[nH]c(=O)n1C ZINC001085764734 821943528 /nfs/dbraw/zinc/94/35/28/821943528.db2.gz DJGOBOFQRCIXKH-LLVKDONJSA-N 0 1 276.340 0.295 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)c1cc(C(N)=O)c[nH]1 ZINC001085892797 822002455 /nfs/dbraw/zinc/00/24/55/822002455.db2.gz IXOWQASKNRQSDX-LBPRGKRZSA-N 0 1 290.367 0.836 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cn(CC)nn1 ZINC001085897393 822008080 /nfs/dbraw/zinc/00/80/80/822008080.db2.gz CRWBIORZABSFNL-LBPRGKRZSA-N 0 1 275.356 0.468 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@H]2CCN2CCOC)cn1 ZINC001085932825 822022863 /nfs/dbraw/zinc/02/28/63/822022863.db2.gz JJHLFQLHHCGRGQ-OAHLLOKOSA-N 0 1 287.363 0.856 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001085934108 822023143 /nfs/dbraw/zinc/02/31/43/822023143.db2.gz SBVSFOVOHZGFIC-NEPJUHHUSA-N 0 1 265.357 0.374 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCN1C(N)=O ZINC001086002053 822066111 /nfs/dbraw/zinc/06/61/11/822066111.db2.gz XTMKNCOKRDRNAI-STQMWFEESA-N 0 1 294.399 0.638 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCn2cccc2)[C@@H](O)C1 ZINC001100050315 822171549 /nfs/dbraw/zinc/17/15/49/822171549.db2.gz GJPWSRNKXFQVAK-KGLIPLIRSA-N 0 1 277.368 0.616 20 30 CCEDMN Cc1nn(C)cc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C#N ZINC001114067818 837422156 /nfs/dbraw/zinc/42/21/56/837422156.db2.gz XHJRMLYAGPGIST-OLRMPSLUSA-N 0 1 287.367 0.435 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)CC(C)C)C[C@@H]1n1ccnn1 ZINC001129540760 837528905 /nfs/dbraw/zinc/52/89/05/837528905.db2.gz CGLJAVGUTCZNDV-KGLIPLIRSA-N 0 1 289.383 0.689 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NCCNCc1cc(C)no1 ZINC001129762065 837554343 /nfs/dbraw/zinc/55/43/43/837554343.db2.gz FVPXDDXTNFSROT-AWEZNQCLSA-N 0 1 290.367 0.286 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)/C=C(\C)CC)C[C@@H]1n1ccnn1 ZINC001129811233 837562523 /nfs/dbraw/zinc/56/25/23/837562523.db2.gz DXKIUHMGXWERDJ-NEKXEHSPSA-N 0 1 287.367 0.609 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)c2cc[nH]c2)C[C@@H]1n1ccnn1 ZINC001129864144 837569940 /nfs/dbraw/zinc/56/99/40/837569940.db2.gz QMRNZJWYXBIFNQ-KGLIPLIRSA-N 0 1 298.350 0.285 20 30 CCEDMN CC(C)(C(N)=O)C(=O)NCCNCC#Cc1ccccc1 ZINC001129931253 837589077 /nfs/dbraw/zinc/58/90/77/837589077.db2.gz NIHQWARIXUVDSM-UHFFFAOYSA-N 0 1 287.363 0.255 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@@H]1C=CN=C2N=CC=C21 ZINC001183583641 844045594 /nfs/dbraw/zinc/04/55/94/844045594.db2.gz ZISNWYQIXJHSBP-MRVPVSSYSA-N 0 1 252.237 0.773 20 30 CCEDMN CC1(C(=O)Nc2nc[nH]c2C#N)CCS(=O)(=O)CC1 ZINC001183619927 844058014 /nfs/dbraw/zinc/05/80/14/844058014.db2.gz PDALUWBMNMBFHM-UHFFFAOYSA-N 0 1 282.325 0.435 20 30 CCEDMN C[C@H]1CN(C(=O)Cc2ccn[nH]2)CC[C@@H]1CNCC#N ZINC001184112440 844152970 /nfs/dbraw/zinc/15/29/70/844152970.db2.gz QALBTEAPUZHBLP-NWDGAFQWSA-N 0 1 275.356 0.550 20 30 CCEDMN C=CCOCc1nn([C@@H]2CCN(C(C)=O)C2)c2c1CNC2 ZINC001169547468 836368098 /nfs/dbraw/zinc/36/80/98/836368098.db2.gz BPRSSGRSNGNCQV-GFCCVEGCSA-N 0 1 290.367 0.982 20 30 CCEDMN C=CC[C@H](Nc1ncc2c(n1)CNCC2)C(=O)OC ZINC001169834489 836454665 /nfs/dbraw/zinc/45/46/65/836454665.db2.gz RVIQQYGZHVRPSF-JTQLQIEISA-N 0 1 262.313 0.652 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+][C@H]1CCCC2(C1)OCCO2 ZINC001169915665 836479450 /nfs/dbraw/zinc/47/94/50/836479450.db2.gz IJMDSZBXNITUBK-DCAQKATOSA-N 0 1 270.329 0.498 20 30 CCEDMN C#CCNC(=O)CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001109168330 836626514 /nfs/dbraw/zinc/62/65/14/836626514.db2.gz UAOPIBHUIBYSLX-AGIUHOORSA-N 0 1 275.352 0.033 20 30 CCEDMN Cc1nc(N(C)C[C@@H](C)NC(=O)c2cnn[nH]2)ccc1C#N ZINC001109318138 836656054 /nfs/dbraw/zinc/65/60/54/836656054.db2.gz ADNZKLVYHNHCOE-SECBINFHSA-N 0 1 299.338 0.635 20 30 CCEDMN Cc1cc(C(=O)N(C)CCCNc2cnc(C#N)cn2)n[nH]1 ZINC001109376641 836666441 /nfs/dbraw/zinc/66/64/41/836666441.db2.gz BIYLAEOYMMOEPD-UHFFFAOYSA-N 0 1 299.338 0.954 20 30 CCEDMN COc1ccc(NC[C@H]2COCCN2)nc1CC#N ZINC001170042691 836777535 /nfs/dbraw/zinc/77/75/35/836777535.db2.gz SFUKXWSZMXFMBD-JTQLQIEISA-N 0 1 262.313 0.557 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCCNCc1ncnn1CC ZINC001157189484 836856233 /nfs/dbraw/zinc/85/62/33/836856233.db2.gz MBZJFAAFFLWINL-CQSZACIVSA-N 0 1 295.387 0.221 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCN(CCCCC)CC1 ZINC001112779317 836908942 /nfs/dbraw/zinc/90/89/42/836908942.db2.gz ULXKGRXGBHIUHB-UHFFFAOYSA-N 0 1 279.384 0.460 20 30 CCEDMN C=CCCCN1CCN(C(=O)CCn2ccnn2)CC1 ZINC001112792415 836914428 /nfs/dbraw/zinc/91/44/28/836914428.db2.gz TXBSQVCVNQVACM-UHFFFAOYSA-N 0 1 277.372 0.779 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC001112978597 836985736 /nfs/dbraw/zinc/98/57/36/836985736.db2.gz NVQZOEMNBCKQJL-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN C[C@@H](NC(=O)Cc1nnc[nH]1)[C@@H](C)Nc1ccc(C#N)cn1 ZINC001113150112 837035173 /nfs/dbraw/zinc/03/51/73/837035173.db2.gz HKILPPQLRDJXMT-NXEZZACHSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCCCN1CCN(C(=O)Cn2cncn2)CC1 ZINC001113612759 837175365 /nfs/dbraw/zinc/17/53/65/837175365.db2.gz RFYBZZHNFSYTSO-UHFFFAOYSA-N 0 1 263.345 0.389 20 30 CCEDMN C=CCCN1CCN(C(=O)CNC(=O)C(C)(C)C)CC1 ZINC001113626300 837182300 /nfs/dbraw/zinc/18/23/00/837182300.db2.gz FMLWNSVTOKUIPF-UHFFFAOYSA-N 0 1 281.400 0.869 20 30 CCEDMN C[C@@H](CNC(=O)Cc1nnc[nH]1)N(C)c1ccc(C#N)nc1 ZINC001113714756 837204526 /nfs/dbraw/zinc/20/45/26/837204526.db2.gz OAJRFRRSUHWASG-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](CCCC)NC(N)=O)CC1 ZINC001113722002 837205618 /nfs/dbraw/zinc/20/56/18/837205618.db2.gz HZBZRRIDRPXVBE-ZDUSSCGKSA-N 0 1 296.415 0.934 20 30 CCEDMN C=C(C)CN1C[C@@H](c2ccccc2)[C@H](NC(=O)C(N)=O)C1 ZINC001086606653 837210507 /nfs/dbraw/zinc/21/05/07/837210507.db2.gz POIRGUKCXQCOIZ-UONOGXRCSA-N 0 1 287.363 0.632 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(OC)n2)[C@H](O)C1 ZINC001090461289 837232100 /nfs/dbraw/zinc/23/21/00/837232100.db2.gz ZPKHYPWWWWPELA-MWLCHTKSSA-N 0 1 281.312 0.034 20 30 CCEDMN Cc1nnc([C@@H](C)NCCCNC(=O)C#CC2CC2)[nH]1 ZINC001157682758 837235060 /nfs/dbraw/zinc/23/50/60/837235060.db2.gz OXKRZKWADYBYEX-SNVBAGLBSA-N 0 1 275.356 0.683 20 30 CCEDMN CCCC(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001113784642 837238032 /nfs/dbraw/zinc/23/80/32/837238032.db2.gz GSCRTJPMPPSXIF-IMRBUKKESA-N 0 1 250.342 0.483 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)nn(C)c2C)[C@@H](O)C1 ZINC001090472192 837375735 /nfs/dbraw/zinc/37/57/35/837375735.db2.gz KDKYWFAISQMKRF-OLZOCXBDSA-N 0 1 292.383 0.388 20 30 CCEDMN C#CCN1CCOC[C@H]1c1nc(CNC(=O)CCC)n[nH]1 ZINC001130822443 837931702 /nfs/dbraw/zinc/93/17/02/837931702.db2.gz JAOAZYDAKSRPLJ-NSHDSACASA-N 0 1 291.355 0.228 20 30 CCEDMN C#CCN1CCOC[C@H]1c1nnc(CNC(=O)CCC)[nH]1 ZINC001130822443 837931705 /nfs/dbraw/zinc/93/17/05/837931705.db2.gz JAOAZYDAKSRPLJ-NSHDSACASA-N 0 1 291.355 0.228 20 30 CCEDMN C=CCCC(=O)NCC1CC(NCc2ncnn2C)C1 ZINC001100171857 837994754 /nfs/dbraw/zinc/99/47/54/837994754.db2.gz NQCUDFQAECVGKU-UHFFFAOYSA-N 0 1 277.372 0.766 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CNC(=O)C2CC2)CC[C@@H]1C ZINC001131830647 838242741 /nfs/dbraw/zinc/24/27/41/838242741.db2.gz UQBZOLBOFILLLE-WCQYABFASA-N 0 1 277.368 0.115 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](OC)c1cnn(C)c1 ZINC001131874296 838252698 /nfs/dbraw/zinc/25/26/98/838252698.db2.gz UPBSHTGOFFCOFU-LLVKDONJSA-N 0 1 286.763 0.566 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CNC(=O)CC)CC[C@H]1C ZINC001131907204 838265061 /nfs/dbraw/zinc/26/50/61/838265061.db2.gz ABEHYZDZQXKBBJ-NEPJUHHUSA-N 0 1 265.357 0.115 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CNC(=O)CCC)CC[C@@H]1C ZINC001131917044 838267814 /nfs/dbraw/zinc/26/78/14/838267814.db2.gz BFBXXOQDPMDAED-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CC(=O)NCC)CC[C@@H]1C ZINC001131980376 838282243 /nfs/dbraw/zinc/28/22/43/838282243.db2.gz MAOVRVOKIXXUJS-RYUDHWBXSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCC(=O)NC)CC[C@H]1C ZINC001131984743 838290028 /nfs/dbraw/zinc/29/00/28/838290028.db2.gz OOCJFJLGTSMWDO-CHWSQXEVSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CCCCC=C)C2 ZINC001273495265 844318165 /nfs/dbraw/zinc/31/81/65/844318165.db2.gz RYVTWHKIVLOFGP-UHFFFAOYSA-N 0 1 262.353 0.889 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2(C(=O)NC)CC2)CC[C@@H]1C ZINC001132349283 838370341 /nfs/dbraw/zinc/37/03/41/838370341.db2.gz ZCVSGSYBLJGWTD-NWDGAFQWSA-N 0 1 277.368 0.115 20 30 CCEDMN COCC#CC[N@H+]1C[C@H](NC(=O)c2ncn[n-]2)CC[C@H]1C ZINC001132368611 838374715 /nfs/dbraw/zinc/37/47/15/838374715.db2.gz OSFBOBLKRJPSTG-VXGBXAGGSA-N 0 1 291.355 0.037 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2csc(=O)[nH]2)[C@@H](O)C1 ZINC001090504575 838388990 /nfs/dbraw/zinc/38/89/90/838388990.db2.gz QMCAXNQUERUWAF-SCZZXKLOSA-N 0 1 283.353 0.200 20 30 CCEDMN CC#CCCCC(=O)NCCNCC(=O)Nc1ccon1 ZINC001132596587 838446807 /nfs/dbraw/zinc/44/68/07/838446807.db2.gz GBUVMYBCFZZIDB-UHFFFAOYSA-N 0 1 292.339 0.513 20 30 CCEDMN C[C@@H]1CN(C(=O)C2=NC(=O)N(C)C2)CC[C@@H]1CNCC#N ZINC001185086719 844333593 /nfs/dbraw/zinc/33/35/93/844333593.db2.gz RQGOZNCKRKSBNM-GHMZBOCLSA-N 0 1 291.355 0.337 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCCCC(N)=O ZINC001158714066 838497979 /nfs/dbraw/zinc/49/79/79/838497979.db2.gz GUXNIUCPVZIUDJ-CQSZACIVSA-N 0 1 293.411 0.978 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)Nc2cccc(CC#N)c2)C1 ZINC001185254259 844380460 /nfs/dbraw/zinc/38/04/60/844380460.db2.gz BXLDKLQHQCZMGI-AWEZNQCLSA-N 0 1 272.352 0.937 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)C[C@@H](C)NCc1ncnn1C ZINC001134340624 838945375 /nfs/dbraw/zinc/94/53/75/838945375.db2.gz GYOMYAFTOAZZKN-OLZOCXBDSA-N 0 1 291.399 0.847 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)NCC2(C#N)CCC2)C1 ZINC001185262827 844386961 /nfs/dbraw/zinc/38/69/61/844386961.db2.gz QJJFHZAANVJGKR-LLVKDONJSA-N 0 1 250.346 0.042 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)CCc1cnc[nH]1)NCC#N ZINC001134544323 839001927 /nfs/dbraw/zinc/00/19/27/839001927.db2.gz FRNDCLVOGACBHL-GHMZBOCLSA-N 0 1 263.345 0.739 20 30 CCEDMN C[C@H](C[C@H](C)NCC#N)NC(=O)c1[nH]ncc1F ZINC001135407110 839242555 /nfs/dbraw/zinc/24/25/55/839242555.db2.gz DIXYNHPTRVWZAD-JGVFFNPUSA-N 0 1 253.281 0.559 20 30 CCEDMN C=C(Br)CNCCNC(=O)Cc1ncc[nH]1 ZINC001135760130 839393960 /nfs/dbraw/zinc/39/39/60/839393960.db2.gz ITXAXOQCSVMSSZ-UHFFFAOYSA-N 0 1 287.161 0.567 20 30 CCEDMN CCOC(=O)c1cnc(N[C@@](N)(CC)CO)c(C#N)c1 ZINC001170865306 839459832 /nfs/dbraw/zinc/45/98/32/839459832.db2.gz LYICQIGXXWQUME-ZDUSSCGKSA-N 0 1 278.312 0.599 20 30 CCEDMN N#CCNC(=O)CNC[C@H](O)c1cccc(F)c1 ZINC000819311135 839563529 /nfs/dbraw/zinc/56/35/29/839563529.db2.gz KWKAQOZIYUOGBO-NSHDSACASA-N 0 1 251.261 0.088 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccnc2C)[C@H](O)C1 ZINC001090531779 839586921 /nfs/dbraw/zinc/58/69/21/839586921.db2.gz NONNNALHXZMASY-ZIAGYGMSSA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn(C)c2C)[C@H](O)C1 ZINC001090555580 839639414 /nfs/dbraw/zinc/63/94/14/839639414.db2.gz MFFRHBSNUAEWCK-UONOGXRCSA-N 0 1 277.368 0.685 20 30 CCEDMN C#CCNC(=O)c1ccccc1NC(=O)Cc1nc[nH]n1 ZINC001136509799 839641909 /nfs/dbraw/zinc/64/19/09/839641909.db2.gz BQZKYVXDKGWIMD-UHFFFAOYSA-N 0 1 283.291 0.349 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CC3(CC3)C2)[C@@H](O)C1 ZINC001090561152 839646187 /nfs/dbraw/zinc/64/61/87/839646187.db2.gz ZHBVAXMHKXFYCX-OLZOCXBDSA-N 0 1 264.369 0.914 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn(C(C)C)n2)[C@H](O)C1 ZINC001090617422 839682004 /nfs/dbraw/zinc/68/20/04/839682004.db2.gz UUSHBXCFFLDOBJ-GXTWGEPZSA-N 0 1 292.383 0.815 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnoc2C2CC2)[C@@H](O)C1 ZINC001090696615 839745733 /nfs/dbraw/zinc/74/57/33/839745733.db2.gz WOHGQUXUHHVSRV-OLZOCXBDSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccc(C)nc2)[C@H](O)C1 ZINC001090812130 839829133 /nfs/dbraw/zinc/82/91/33/839829133.db2.gz CFIRBFGJIWGPLS-HUUCEWRRSA-N 0 1 289.379 0.670 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccnc(C)n2)[C@@H](O)C1 ZINC001090819502 839835520 /nfs/dbraw/zinc/83/55/20/839835520.db2.gz BUBSOPACBKRIJZ-OCCSQVGLSA-N 0 1 290.367 0.526 20 30 CCEDMN COC(=O)[C@@]12COC[C@@H]1CN(Cc1ccc(C#N)cn1)C2 ZINC001144153916 839961822 /nfs/dbraw/zinc/96/18/22/839961822.db2.gz RHTBRBHDSAYWNP-WFASDCNBSA-N 0 1 287.319 0.575 20 30 CCEDMN Cn1cc(NC(=O)c2[nH]nc3ncccc32)c(C#N)n1 ZINC001149396156 840219528 /nfs/dbraw/zinc/21/95/28/840219528.db2.gz POQUZKWPFVAEDX-UHFFFAOYSA-N 0 1 267.252 0.815 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)c3cc[nH]n3)C[C@]2(C)C1 ZINC001091500226 840240764 /nfs/dbraw/zinc/24/07/64/840240764.db2.gz SMPBLBDYGWQKBH-RISCZKNCSA-N 0 1 258.325 0.437 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@H]2CN(CC(=O)N(C)C)C[C@@]2(C)C1 ZINC001091543920 840263839 /nfs/dbraw/zinc/26/38/39/840263839.db2.gz QKBPQOYYNIKMIZ-JMSVASOKSA-N 0 1 292.383 0.015 20 30 CCEDMN C[C@H](C[C@@H](C)NC(=O)c1ccc2[nH]nnc2c1)NCC#N ZINC001146250423 840274778 /nfs/dbraw/zinc/27/47/78/840274778.db2.gz LUGFHSVXTJWTNC-NXEZZACHSA-N 0 1 286.339 0.968 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCOC[C@@H]1c1cccnc1 ZINC001160949981 840287858 /nfs/dbraw/zinc/28/78/58/840287858.db2.gz ADDFHTZJNSTLET-OCCSQVGLSA-N 0 1 259.309 0.332 20 30 CCEDMN C[C@@]12CN(CC#N)C[C@@H]1CN(C(=O)CCc1nc[nH]n1)C2 ZINC001091579297 840331251 /nfs/dbraw/zinc/33/12/51/840331251.db2.gz WGEGKHMWOZJDNW-RISCZKNCSA-N 0 1 288.355 0.041 20 30 CCEDMN N#Cc1nccnc1N1CCN(C(=O)c2cnc[nH]2)CC1 ZINC001147254821 840531165 /nfs/dbraw/zinc/53/11/65/840531165.db2.gz VFXMNBBCDFXELD-UHFFFAOYSA-N 0 1 283.295 0.034 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC2(C1)CCN(CCF)C2 ZINC001147530471 840615676 /nfs/dbraw/zinc/61/56/76/840615676.db2.gz NYJDJCXSTPGRBX-GFCCVEGCSA-N 0 1 268.332 0.529 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@@H](C)N1CCCC1=O ZINC001147605774 840631830 /nfs/dbraw/zinc/63/18/30/840631830.db2.gz DCIHCXQIQVDIHU-LLVKDONJSA-N 0 1 287.791 0.846 20 30 CCEDMN CCc1nc(CNc2cnc(C#N)c(C#N)n2)n[nH]1 ZINC001186550093 844572997 /nfs/dbraw/zinc/57/29/97/844572997.db2.gz GGFUUIJMRGUAOG-UHFFFAOYSA-N 0 1 254.257 0.513 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN[C@H](C)c2n[nH]c(C)n2)cn1 ZINC001148383218 840799005 /nfs/dbraw/zinc/79/90/05/840799005.db2.gz YQXHWKTYMVQUOI-SNVBAGLBSA-N 0 1 298.350 0.570 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@@H]1CCC(=O)N1 ZINC001148417737 840806197 /nfs/dbraw/zinc/80/61/97/840806197.db2.gz JFHLFBBNTNTZDF-AWEZNQCLSA-N 0 1 285.347 0.023 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COCc3cccnc3)C2)C1 ZINC001148685445 840875479 /nfs/dbraw/zinc/87/54/79/840875479.db2.gz VGBBECRARYRBJT-UHFFFAOYSA-N 0 1 299.374 0.766 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)CCOC)C2)C1 ZINC001148783226 840885777 /nfs/dbraw/zinc/88/57/77/840885777.db2.gz DWMROBUAWYGQMF-CYBMUJFWSA-N 0 1 264.369 0.827 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)CCOC)C1 ZINC001148962010 840910516 /nfs/dbraw/zinc/91/05/16/840910516.db2.gz NYNNAKRMIUWWBM-ZDUSSCGKSA-N 0 1 268.357 0.253 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CN(C(=O)c3nc[nH]n3)C[C@]2(C)C1 ZINC001092109453 840989624 /nfs/dbraw/zinc/98/96/24/840989624.db2.gz PIPQPHJYYIMEBR-RISCZKNCSA-N 0 1 273.340 0.222 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCC(=O)NCC)C2 ZINC001110384024 844597754 /nfs/dbraw/zinc/59/77/54/844597754.db2.gz KOYGBTOPKWNHCU-UPJWGTAASA-N 0 1 277.368 0.257 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)COC)[C@H](O)C1 ZINC001099921250 841085350 /nfs/dbraw/zinc/08/53/50/841085350.db2.gz MFEHHTCTOOFFNP-NWDGAFQWSA-N 0 1 270.373 0.396 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@@H]2CCC[C@]2(NC(=O)CC)C1 ZINC001111557389 841153159 /nfs/dbraw/zinc/15/31/59/841153159.db2.gz DCUFXFAORQHUGV-XEZPLFJOSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)c3cn(C)ccc3=O)CC[C@@H]21 ZINC001036768268 841186615 /nfs/dbraw/zinc/18/66/15/841186615.db2.gz CTKRROVAAMFEAL-HIFRSBDPSA-N 0 1 299.374 0.555 20 30 CCEDMN N#Cc1ccccc1OCC(=O)NC12CCN(CC1)C2 ZINC000715508525 841359858 /nfs/dbraw/zinc/35/98/58/841359858.db2.gz PYIXPPZLAPQRPX-UHFFFAOYSA-N 0 1 271.320 0.901 20 30 CCEDMN N#Cc1ccc(NCCNC(=O)CN2CCCC2)cn1 ZINC001093652775 841404456 /nfs/dbraw/zinc/40/44/56/841404456.db2.gz XSSDQTWPCZDEBD-UHFFFAOYSA-N 0 1 273.340 0.577 20 30 CCEDMN N#Cc1cncc(NCCNC(=O)c2[nH]ncc2F)n1 ZINC001094104699 841546933 /nfs/dbraw/zinc/54/69/33/841546933.db2.gz QZKDDAVTIQNGEB-UHFFFAOYSA-N 0 1 275.247 0.052 20 30 CCEDMN Cc1cc(NCCNC(=O)Cc2cnc[nH]2)c(C#N)cn1 ZINC001094150380 841549418 /nfs/dbraw/zinc/54/94/18/841549418.db2.gz CLCJRXRNLHNOIP-UHFFFAOYSA-N 0 1 284.323 0.178 20 30 CCEDMN N#Cc1nccnc1NCCCNC(=O)CN1CCCC1 ZINC001094434765 841635776 /nfs/dbraw/zinc/63/57/76/841635776.db2.gz QHBLAKXXWYVKDV-UHFFFAOYSA-N 0 1 288.355 0.362 20 30 CCEDMN Cc1nsc(NCCCNC(=O)c2ncn[nH]2)c1C#N ZINC001094532988 841659205 /nfs/dbraw/zinc/65/92/05/841659205.db2.gz APLZWNXABQEDQR-UHFFFAOYSA-N 0 1 291.340 0.673 20 30 CCEDMN Cc1nsc(NCCCNC(=O)c2nc[nH]n2)c1C#N ZINC001094532988 841659210 /nfs/dbraw/zinc/65/92/10/841659210.db2.gz APLZWNXABQEDQR-UHFFFAOYSA-N 0 1 291.340 0.673 20 30 CCEDMN C#CC[C@H](COC)NCc1cc(C(N)=O)cs1 ZINC000716857197 841687432 /nfs/dbraw/zinc/68/74/32/841687432.db2.gz PNJMWWQKPIAZRV-SNVBAGLBSA-N 0 1 252.339 0.975 20 30 CCEDMN C=C(C)CCN1CC(N2C[C@@H](NC(=O)C3CC3)CC2=O)C1 ZINC001094691599 841705035 /nfs/dbraw/zinc/70/50/35/841705035.db2.gz ILYXNKCODHZNNM-ZDUSSCGKSA-N 0 1 291.395 0.764 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1=COCCO1)C2 ZINC001095140103 842057396 /nfs/dbraw/zinc/05/73/96/842057396.db2.gz WGKYBPQXFAMEQF-AGIUHOORSA-N 0 1 276.336 0.619 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc[n+]([O-])cc1)C2 ZINC001095140944 842073306 /nfs/dbraw/zinc/07/33/06/842073306.db2.gz PRZJMWNKZGFHOJ-RDBSUJKOSA-N 0 1 273.336 0.841 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc[n+]([O-])cc1)C2 ZINC001095140944 842073316 /nfs/dbraw/zinc/07/33/16/842073316.db2.gz PRZJMWNKZGFHOJ-RDBSUJKOSA-N 0 1 273.336 0.841 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@H](CNC(=O)[C@]2(F)CCOC2)C1 ZINC001150179152 842073423 /nfs/dbraw/zinc/07/34/23/842073423.db2.gz HLMVFJVLESYSFF-HIFRSBDPSA-N 0 1 298.358 0.345 20 30 CCEDMN C#CCN(C)[C@H]1C[C@@H](C(=O)OC)[C@@H](C(=O)OC)C1 ZINC001173583779 842112911 /nfs/dbraw/zinc/11/29/11/842112911.db2.gz TZZWJSKZVRVJQY-JGPRNRPPSA-N 0 1 253.298 0.292 20 30 CCEDMN CC1(C#N)CCC(N2CC(N3CCNC(=O)C3)C2)CC1 ZINC001173596710 842115043 /nfs/dbraw/zinc/11/50/43/842115043.db2.gz BEUNCHAWFSAXBT-UHFFFAOYSA-N 0 1 276.384 0.575 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1nccnc1N)C2 ZINC001095251628 842131351 /nfs/dbraw/zinc/13/13/51/842131351.db2.gz NURWVRZTTUQHMH-WOPDTQHZSA-N 0 1 285.351 0.417 20 30 CCEDMN C[C@@H](CCCCNCC#N)NC(=O)c1nc[nH]n1 ZINC001175787669 842280052 /nfs/dbraw/zinc/28/00/52/842280052.db2.gz WGYARVSZABNXCI-VIFPVBQESA-N 0 1 250.306 0.206 20 30 CCEDMN C[C@@H](CCCCNCC#N)NC(=O)c1ncn[nH]1 ZINC001175787669 842280062 /nfs/dbraw/zinc/28/00/62/842280062.db2.gz WGYARVSZABNXCI-VIFPVBQESA-N 0 1 250.306 0.206 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCC(N)=O)C2 ZINC001110199181 842474101 /nfs/dbraw/zinc/47/41/01/842474101.db2.gz UYOHJSKVBLYYFI-WOPDTQHZSA-N 0 1 265.357 0.550 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001177172991 842511394 /nfs/dbraw/zinc/51/13/94/842511394.db2.gz PFRNVFPOQNGSNJ-ZETCQYMHSA-N 0 1 256.269 0.748 20 30 CCEDMN C#CCN1CCO[C@@H]2CCN(C(=O)C[N@H+](C)CCC)C[C@@H]21 ZINC001177139694 842511604 /nfs/dbraw/zinc/51/16/04/842511604.db2.gz TYFRXUMSGBJQLU-LSDHHAIUSA-N 0 1 293.411 0.263 20 30 CCEDMN CCOC(=O)CO/N=C(\C(=O)N(C)C(C)C)c1cc[nH]n1 ZINC001177254204 842534120 /nfs/dbraw/zinc/53/41/20/842534120.db2.gz CUDDSMNAHGNJFN-VBKFSLOCSA-N 0 1 296.327 0.560 20 30 CCEDMN CCOC(=O)CO/N=C(\C(=O)N1CCCC1)c1cc[nH]n1 ZINC001177254524 842534210 /nfs/dbraw/zinc/53/42/10/842534210.db2.gz RDGYAYSRHOXNTJ-VBKFSLOCSA-N 0 1 294.311 0.316 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1ncnn1CC ZINC001177269819 842540767 /nfs/dbraw/zinc/54/07/67/842540767.db2.gz WKUTWVZTXUURCQ-GFCCVEGCSA-N 0 1 295.387 0.485 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1ccon1 ZINC001177269427 842541692 /nfs/dbraw/zinc/54/16/92/842541692.db2.gz MBGKDFBAXGBQHM-NSHDSACASA-N 0 1 267.329 0.862 20 30 CCEDMN CO/N=C(\C(=O)N1CCN2CCC1CC2)c1ccco1 ZINC001177350422 842560733 /nfs/dbraw/zinc/56/07/33/842560733.db2.gz PZJYSJXOAZNFSJ-SQFISAMPSA-N 0 1 277.324 0.937 20 30 CCEDMN CO/N=C(\C(=O)NCc1n[nH]c(C)n1)c1ccco1 ZINC001177356063 842564391 /nfs/dbraw/zinc/56/43/91/842564391.db2.gz GFRBMIRTUBGSSC-YBEGLDIGSA-N 0 1 263.257 0.373 20 30 CCEDMN CC(=O)N1CC(NC(=O)C(C#N)Cc2cccs2)C1 ZINC001177916495 842708350 /nfs/dbraw/zinc/70/83/50/842708350.db2.gz WXJATGUXFKESNT-SNVBAGLBSA-N 0 1 277.349 0.777 20 30 CCEDMN C=CCNC(=O)CNCc1cn(C)nc1-c1cccnc1 ZINC001178322460 842822769 /nfs/dbraw/zinc/82/27/69/842822769.db2.gz VRHDFVKHXAVGRF-UHFFFAOYSA-N 0 1 285.351 0.874 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC(=O)NC)[C@H]1C ZINC001178541808 842871181 /nfs/dbraw/zinc/87/11/81/842871181.db2.gz XREWOQXYFWSRCB-UWVGGRQHSA-N 0 1 273.764 0.454 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](COC)OC)[C@H]1C ZINC001179502766 843008868 /nfs/dbraw/zinc/00/88/68/843008868.db2.gz AIZAYSLBWMYDAE-SRVKXCTJSA-N 0 1 290.791 0.979 20 30 CCEDMN CC#CC(=O)N1CC[N@H+]2C[C@H](OCc3cccnc3)C[C@H]2C1 ZINC001179751275 843047227 /nfs/dbraw/zinc/04/72/27/843047227.db2.gz DYLADJISDBTBJK-JKSUJKDBSA-N 0 1 299.374 0.907 20 30 CCEDMN CC#CC(=O)N1CCN2C[C@H](OCc3cccnc3)C[C@H]2C1 ZINC001179751275 843047234 /nfs/dbraw/zinc/04/72/34/843047234.db2.gz DYLADJISDBTBJK-JKSUJKDBSA-N 0 1 299.374 0.907 20 30 CCEDMN CC(C)C[C@H](NC(=O)[C@@H](C)N1CCCC1)C(=O)NO ZINC001180586655 843221315 /nfs/dbraw/zinc/22/13/15/843221315.db2.gz SYEFENBYLODGCW-MNOVXSKESA-N 0 1 271.361 0.507 20 30 CCEDMN CC(=O)N[C@@H](CC(F)(F)F)C(=O)Nc1nc[nH]c1C#N ZINC001181333351 843450828 /nfs/dbraw/zinc/45/08/28/843450828.db2.gz NFGOVZVDCPFEBO-LURJTMIESA-N 0 1 289.217 0.677 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)COCCOC)C1 ZINC001181698699 843587474 /nfs/dbraw/zinc/58/74/74/843587474.db2.gz MPXKQMWJHWLFEG-LLVKDONJSA-N 0 1 276.764 0.592 20 30 CCEDMN COCC#CC(=O)Nc1ccc2cn[nH]c2c1C(=O)OC ZINC001187131714 844655908 /nfs/dbraw/zinc/65/59/08/844655908.db2.gz SBCHQLKKLHGRCR-UHFFFAOYSA-N 0 1 287.275 0.938 20 30 CCEDMN COCC#CC(=O)N1CCCc2ccc(CN)nc21 ZINC001187136447 844657357 /nfs/dbraw/zinc/65/73/57/844657357.db2.gz PXPXWGGJQHUPEB-UHFFFAOYSA-N 0 1 259.309 0.469 20 30 CCEDMN CC[C@H](OC)C(=O)N1CC[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001187151143 844658812 /nfs/dbraw/zinc/65/88/12/844658812.db2.gz KFDZTCBUTMRGGT-ZNMIVQPWSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COCCC)[C@@H]2C1 ZINC001187175329 844669869 /nfs/dbraw/zinc/66/98/69/844669869.db2.gz NLNYQJWRNSZSSQ-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)COCCOC)C2 ZINC001110402790 844987190 /nfs/dbraw/zinc/98/71/90/844987190.db2.gz CYKPMACGEBQFTQ-UPJWGTAASA-N 0 1 268.357 0.557 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C(C)C)C1 ZINC001188914474 844990562 /nfs/dbraw/zinc/99/05/62/844990562.db2.gz IRZMQWVVPZDJPE-OLZOCXBDSA-N 0 1 279.384 0.313 20 30 CCEDMN CCO[C@@H](C)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189021256 845024788 /nfs/dbraw/zinc/02/47/88/845024788.db2.gz GKMJUNWTWAVWCZ-KBPBESRZSA-N 0 1 282.384 0.594 20 30 CCEDMN COc1cc(C(=O)Nc2nc[nH]c2C#N)c(OC)nn1 ZINC001189061954 845031845 /nfs/dbraw/zinc/03/18/45/845031845.db2.gz LDWXKMGXYJRSIB-UHFFFAOYSA-N 0 1 274.240 0.341 20 30 CCEDMN COc1cc(C(=O)Nc2nc[nH]c2C#N)nc(OC)n1 ZINC001189083151 845045192 /nfs/dbraw/zinc/04/51/92/845045192.db2.gz GSLIJYDXDZOVNC-UHFFFAOYSA-N 0 1 274.240 0.341 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H](CC)OCC)C1 ZINC001189188694 845070669 /nfs/dbraw/zinc/07/06/69/845070669.db2.gz NKVRTQLRUBVETP-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COC(C)C)C1 ZINC001189224826 845080889 /nfs/dbraw/zinc/08/08/89/845080889.db2.gz XYNDFUOCRGXTRB-ZDUSSCGKSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)CCC=C)C1 ZINC001189319272 845107091 /nfs/dbraw/zinc/10/70/91/845107091.db2.gz VWACCFSADUDMCQ-UONOGXRCSA-N 0 1 291.395 0.623 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)[C@H]2CCN(C)C2=O)C1 ZINC001189319441 845107262 /nfs/dbraw/zinc/10/72/62/845107262.db2.gz ZRDLSXVSYZVNRL-OLZOCXBDSA-N 0 1 279.384 0.716 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)CCC=C)C1 ZINC001189319273 845108079 /nfs/dbraw/zinc/10/80/79/845108079.db2.gz VWACCFSADUDMCQ-ZIAGYGMSSA-N 0 1 291.395 0.623 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)COCCCC)C1 ZINC001189480712 845155091 /nfs/dbraw/zinc/15/50/91/845155091.db2.gz NSNAPTJPJWVOMP-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@H](N(C)[C@H]2CCNC2=O)C1 ZINC001189743580 845198825 /nfs/dbraw/zinc/19/88/25/845198825.db2.gz HAXPUTFWKJDMKN-KGLIPLIRSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@H](N(C)[C@H](CC)C(N)=O)C1 ZINC001189744580 845199698 /nfs/dbraw/zinc/19/96/98/845199698.db2.gz VVAZRGBFOKCCNJ-ZIAGYGMSSA-N 0 1 293.411 0.977 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnnc(C)c2)C1 ZINC001189827933 845220134 /nfs/dbraw/zinc/22/01/34/845220134.db2.gz LVCISXDOXGEJMR-AWEZNQCLSA-N 0 1 272.352 0.955 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)[C@@H]2CCCNC2=O)C1 ZINC001189909127 845261918 /nfs/dbraw/zinc/26/19/18/845261918.db2.gz BBLNKXYZURVWIX-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C#CC(C)C)C1 ZINC001190053129 845317110 /nfs/dbraw/zinc/31/71/10/845317110.db2.gz FDJYOQXWXBVEAN-HNNXBMFYSA-N 0 1 276.380 0.828 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001190454918 845406220 /nfs/dbraw/zinc/40/62/20/845406220.db2.gz UESULBDJRORCGN-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1cnc(-c2ccccn2)[nH]c1=O ZINC001190629909 845449930 /nfs/dbraw/zinc/44/99/30/845449930.db2.gz ZWJFVBOQEGXNEW-VIFPVBQESA-N 0 1 286.291 0.521 20 30 CCEDMN N#C[C@H]1CCCN(S(=O)(=O)c2ncc[nH]2)CC1 ZINC001190707934 845459135 /nfs/dbraw/zinc/45/91/35/845459135.db2.gz SITRLIKAIRGXOE-VIFPVBQESA-N 0 1 254.315 0.724 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001190974453 845556074 /nfs/dbraw/zinc/55/60/74/845556074.db2.gz ZCBHJCWFIJZANT-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC[C@H]2CCCO2)C1 ZINC001191178056 845610603 /nfs/dbraw/zinc/61/06/03/845610603.db2.gz KONQEVBTCLJMDX-MGPQQGTHSA-N 0 1 282.384 0.683 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)/C(C)=C/CC)C1 ZINC001191492816 845661557 /nfs/dbraw/zinc/66/15/57/845661557.db2.gz WVSWBXFGYGNCOZ-BARLUBHISA-N 0 1 282.384 0.707 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@]2(COC)CCOC2)C1 ZINC001191569866 845695500 /nfs/dbraw/zinc/69/55/00/845695500.db2.gz SJARWLUOMHOVTD-ZBFHGGJFSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCN(C(=O)[C@H](CCC)OC)C1 ZINC001191694067 845719195 /nfs/dbraw/zinc/71/91/95/845719195.db2.gz AANOWPZPARLAGF-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](CCC)OC)C1 ZINC001191694067 845719199 /nfs/dbraw/zinc/71/91/99/845719199.db2.gz AANOWPZPARLAGF-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ccncn2)C1 ZINC001192932794 845939605 /nfs/dbraw/zinc/93/96/05/845939605.db2.gz DHPLBNDGIZLCSS-CYBMUJFWSA-N 0 1 288.351 0.273 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2ncccn2)C1 ZINC001193008836 845944771 /nfs/dbraw/zinc/94/47/71/845944771.db2.gz SKLXWCDJNISHRP-LBPRGKRZSA-N 0 1 258.325 0.646 20 30 CCEDMN COC(=O)c1cc(NS(=O)(=O)CC#N)ccc1F ZINC001192978903 845961050 /nfs/dbraw/zinc/96/10/50/845961050.db2.gz MULMMWKEGOWCPZ-UHFFFAOYSA-N 0 1 272.257 0.878 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@]2(C)CCOC2)C1 ZINC001193047606 845963329 /nfs/dbraw/zinc/96/33/29/845963329.db2.gz GYNFEMBVLCDVDA-ZFWWWQNUSA-N 0 1 264.369 0.969 20 30 CCEDMN COC(=O)[C@H](COC(C)(C)C)NS(=O)(=O)[C@@H](C)C#N ZINC001193168509 846011007 /nfs/dbraw/zinc/01/10/07/846011007.db2.gz CMOVNFUQUNTNTA-IUCAKERBSA-N 0 1 292.357 0.175 20 30 CCEDMN C=CC[N@H+]1CCC[C@H]1CNC(=O)c1cc(=O)[nH][n-]1 ZINC001193475195 846088043 /nfs/dbraw/zinc/08/80/43/846088043.db2.gz VGQXHQJDTOHEJD-VIFPVBQESA-N 0 1 250.302 0.496 20 30 CCEDMN COCCN1CCN(C(=O)c2ccc(O)c(C#N)c2)CC1 ZINC001193505093 846101217 /nfs/dbraw/zinc/10/12/17/846101217.db2.gz CQVZBLPGEASWKZ-UHFFFAOYSA-N 0 1 289.335 0.668 20 30 CCEDMN CC(=O)N1C[C@@H]2C[C@]2(NC(=O)c2cccc(C#N)c2O)C1 ZINC001193658091 846139519 /nfs/dbraw/zinc/13/95/19/846139519.db2.gz SFRIUNWTWIIEDX-NHYWBVRUSA-N 0 1 285.303 0.614 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1cccc(C#N)c1O ZINC001193657851 846140264 /nfs/dbraw/zinc/14/02/64/846140264.db2.gz IUIZSJZHYMIJAZ-SNVBAGLBSA-N 0 1 273.248 0.449 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@@H]2CCCNC(=O)C2)c1O ZINC001193659245 846140612 /nfs/dbraw/zinc/14/06/12/846140612.db2.gz KGPUCDGUSHZWOW-SNVBAGLBSA-N 0 1 273.292 0.662 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1ccc(F)c(O)c1F ZINC001193792830 846153316 /nfs/dbraw/zinc/15/33/16/846153316.db2.gz FYLANQHSOZLNQT-MRVPVSSYSA-N 0 1 284.218 0.855 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(=O)[nH]c2)C1 ZINC001193824357 846159957 /nfs/dbraw/zinc/15/99/57/846159957.db2.gz DVUHCYVPPUEEFQ-CYBMUJFWSA-N 0 1 273.336 0.957 20 30 CCEDMN C[C@H](C#N)N(C)C(=O)c1cncc(N2CCN(C)CC2)c1 ZINC001193944762 846193557 /nfs/dbraw/zinc/19/35/57/846193557.db2.gz HAUYWSYAIDCMCV-GFCCVEGCSA-N 0 1 287.367 0.817 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)OCCOCC)C1 ZINC001194239214 846246031 /nfs/dbraw/zinc/24/60/31/846246031.db2.gz WRIMQGGQXXSWQB-CABCVRRESA-N 0 1 296.411 0.984 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCCCC(=O)NC)C1 ZINC001194388168 846284132 /nfs/dbraw/zinc/28/41/32/846284132.db2.gz NKLSXOSRAUOBGW-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001194554507 846326331 /nfs/dbraw/zinc/32/63/31/846326331.db2.gz AQAMUURILOQRJL-ZIAGYGMSSA-N 0 1 293.411 0.690 20 30 CCEDMN N#Cc1cnc(NS(=O)(=O)C2CCC(=O)CC2)cn1 ZINC001194750062 846371339 /nfs/dbraw/zinc/37/13/39/846371339.db2.gz TWKYCHZJLZJLAN-UHFFFAOYSA-N 0 1 280.309 0.602 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)CN(C)C(C)=O)CC1 ZINC001194936476 846420979 /nfs/dbraw/zinc/42/09/79/846420979.db2.gz RHEFUDDMYPCOIQ-UHFFFAOYSA-N 0 1 297.399 0.202 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1O ZINC001195312418 846502674 /nfs/dbraw/zinc/50/26/74/846502674.db2.gz OXAUUXHKJUCOSN-IJLUTSLNSA-N 0 1 270.373 0.395 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2ccc(OC)nc2)cc[nH]1 ZINC001195682053 846598269 /nfs/dbraw/zinc/59/82/69/846598269.db2.gz BLCCBSVUUGCRTE-UHFFFAOYSA-N 0 1 289.316 0.689 20 30 CCEDMN C#Cc1cc(NS(=O)(=O)c2ccc(OC)nc2)ccn1 ZINC001195682053 846598270 /nfs/dbraw/zinc/59/82/70/846598270.db2.gz BLCCBSVUUGCRTE-UHFFFAOYSA-N 0 1 289.316 0.689 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCOCC2CC2)C[C@H]1O ZINC001195726045 846601744 /nfs/dbraw/zinc/60/17/44/846601744.db2.gz RBOPVEWPQSQZQY-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN COc1ccc(CNC(=O)c2ccc(C#N)cc2O)nn1 ZINC001195764325 846613692 /nfs/dbraw/zinc/61/36/92/846613692.db2.gz JWPQJCVXOKCLFM-UHFFFAOYSA-N 0 1 284.275 0.992 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](F)CN1C(=O)c1ccc(C#N)cc1O ZINC001195741659 846619485 /nfs/dbraw/zinc/61/94/85/846619485.db2.gz VVDSUDISDSGASD-ONGXEEELSA-N 0 1 292.266 0.989 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN(CCCO)C[C@H]1O ZINC001196452749 846752528 /nfs/dbraw/zinc/75/25/28/846752528.db2.gz LSFPZEQSUQBOQQ-VXGBXAGGSA-N 0 1 270.373 0.132 20 30 CCEDMN C[C@H](C#N)OCCN1CCC[C@@H](c2nnc(N)o2)C1 ZINC001196526336 846761209 /nfs/dbraw/zinc/76/12/09/846761209.db2.gz ORXFQPHLMNWHDX-NXEZZACHSA-N 0 1 265.317 0.760 20 30 CCEDMN C[N@@H+](C1CN(C(=O)c2ncccc2C#N)C1)[C@H]1CCOC1 ZINC001196552963 846774267 /nfs/dbraw/zinc/77/42/67/846774267.db2.gz GQYKEVHQAWHDPN-LBPRGKRZSA-N 0 1 286.335 0.498 20 30 CCEDMN CN(C1CN(C(=O)c2ncccc2C#N)C1)[C@H]1CCOC1 ZINC001196552963 846774270 /nfs/dbraw/zinc/77/42/70/846774270.db2.gz GQYKEVHQAWHDPN-LBPRGKRZSA-N 0 1 286.335 0.498 20 30 CCEDMN CC[C@@H]1CO[C@@H](C)CN1C(=O)NCC#CCN(C)C ZINC001251703778 847027565 /nfs/dbraw/zinc/02/75/65/847027565.db2.gz DPUFUYJZIQBWQU-QWHCGFSZSA-N 0 1 267.373 0.760 20 30 CCEDMN Cc1ncc(S(=O)(=O)Nc2cnc(C#N)nc2)n1C ZINC001198355851 847102659 /nfs/dbraw/zinc/10/26/59/847102659.db2.gz GXPBMAPQBAOBTH-UHFFFAOYSA-N 0 1 278.297 0.191 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)C2CC2)C1 ZINC001199163807 847238676 /nfs/dbraw/zinc/23/86/76/847238676.db2.gz MQMLEJFLWNKBAZ-MRVWCRGKSA-N 0 1 264.369 0.607 20 30 CCEDMN CCOC(=O)c1c[nH]c(NC(=O)c2cnc(C#N)cn2)n1 ZINC001199661875 847387808 /nfs/dbraw/zinc/38/78/08/847387808.db2.gz LSPPNROOTMEJQT-UHFFFAOYSA-N 0 1 286.251 0.500 20 30 CCEDMN Nc1nonc1C(=Nc1cc(O)cc([N+](=O)[O-])c1)NO ZINC001199801722 847437619 /nfs/dbraw/zinc/43/76/19/847437619.db2.gz HUJXZYVOSIARJG-UHFFFAOYSA-N 0 1 280.200 0.323 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001110543887 847475333 /nfs/dbraw/zinc/47/53/33/847475333.db2.gz MRTLAHVBUKXGQK-UPJWGTAASA-N 0 1 264.369 0.750 20 30 CCEDMN C#CCCCC(=O)N1CCC(NCc2nncn2C)CC1 ZINC001200317360 847604482 /nfs/dbraw/zinc/60/44/82/847604482.db2.gz SABATRGVLFBFOC-UHFFFAOYSA-N 0 1 289.383 0.699 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)c1cc[n+]([O-])cc1 ZINC001273824574 847604942 /nfs/dbraw/zinc/60/49/42/847604942.db2.gz ZQQMUVKVKYIFIX-IHWYPQMZSA-N 0 1 281.743 0.948 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2ncc[nH]2)CCCO1 ZINC001200429585 847636670 /nfs/dbraw/zinc/63/66/70/847636670.db2.gz UXJDJJYMHIFMBF-NSHDSACASA-N 0 1 298.774 0.983 20 30 CCEDMN C=C[C@H](O)CN1CCC[C@]12CCN(CC(F)F)C2=O ZINC001273883653 847731735 /nfs/dbraw/zinc/73/17/35/847731735.db2.gz AJNBIVBKPJXCEU-GXFFZTMASA-N 0 1 274.311 0.865 20 30 CCEDMN C=CCNC(=O)CNCc1cn(C)nc1-c1ccncc1 ZINC001201685496 847807762 /nfs/dbraw/zinc/80/77/62/847807762.db2.gz SPHCCNADBUGWPI-UHFFFAOYSA-N 0 1 285.351 0.874 20 30 CCEDMN N#CCNC1CCN(C(=O)CCCCc2cn[nH]n2)CC1 ZINC001201818733 847815456 /nfs/dbraw/zinc/81/54/56/847815456.db2.gz RYXCDQLIINLAFN-UHFFFAOYSA-N 0 1 290.371 0.622 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1cccc(OC)n1 ZINC001153135679 847931164 /nfs/dbraw/zinc/93/11/64/847931164.db2.gz XUKGEBDSGXSJKI-LBPRGKRZSA-N 0 1 293.367 0.887 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCN[C@H](C)c1n[nH]c(CC)n1 ZINC001153149002 847935515 /nfs/dbraw/zinc/93/55/15/847935515.db2.gz QDDAVUACHFCAKX-GHMZBOCLSA-N 0 1 295.387 0.725 20 30 CCEDMN C=CCC[C@H](O)CN1C[C@](O)(C(F)(F)F)C[C@H]1CO ZINC001252594225 848030161 /nfs/dbraw/zinc/03/01/61/848030161.db2.gz VADKMDPFLQGACR-DCAQKATOSA-N 0 1 283.290 0.674 20 30 CCEDMN C=CCNC(=O)NC1(C(=O)OCC)CCN(C)CC1 ZINC001202576174 848181285 /nfs/dbraw/zinc/18/12/85/848181285.db2.gz JOFMNFYVXGVFIK-UHFFFAOYSA-N 0 1 269.345 0.499 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN([C@@H](C)C(C)=O)CC2 ZINC001273984024 848197857 /nfs/dbraw/zinc/19/78/57/848197857.db2.gz NXIIPBAPQXNKRJ-WCQYABFASA-N 0 1 262.353 0.815 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC1OCCCO1)C2 ZINC001095354375 848263264 /nfs/dbraw/zinc/26/32/64/848263264.db2.gz OKBDQNCWTLWOQW-RDBSUJKOSA-N 0 1 292.379 0.884 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)COCCN(CCCC#N)C2 ZINC001274038706 848315549 /nfs/dbraw/zinc/31/55/49/848315549.db2.gz MOBCCRVVUXGNTQ-HNNXBMFYSA-N 0 1 275.352 0.474 20 30 CCEDMN C=CC(C)(C)CC(=O)NC/C=C\CN[C@@H]1CCNC1=O ZINC001274069482 848338193 /nfs/dbraw/zinc/33/81/93/848338193.db2.gz SECREDRIXXXAQC-FOQNGQEVSA-N 0 1 279.384 0.739 20 30 CCEDMN CCCC#CC(=O)N1Cc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001274701507 848546958 /nfs/dbraw/zinc/54/69/58/848546958.db2.gz BQHMFRRQTGLGML-UHFFFAOYSA-N 0 1 274.324 0.757 20 30 CCEDMN C#C[C@@H](CO)NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000718123755 848578975 /nfs/dbraw/zinc/57/89/75/848578975.db2.gz UFWNUDANFIPEAZ-ZETCQYMHSA-N 0 1 279.239 0.376 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)CC2CC2)CC1 ZINC001274891794 848585603 /nfs/dbraw/zinc/58/56/03/848585603.db2.gz QKLCFIGFEWLSIE-UHFFFAOYSA-N 0 1 252.358 0.916 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)C2CCC2)CC1 ZINC001274891456 848585785 /nfs/dbraw/zinc/58/57/85/848585785.db2.gz HNSKHMRSUNALGL-UHFFFAOYSA-N 0 1 264.369 0.753 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)c2[nH]c(C)cc2C)CC1 ZINC001274916544 848593266 /nfs/dbraw/zinc/59/32/66/848593266.db2.gz IGKOJAXJBYFXIP-UHFFFAOYSA-N 0 1 289.379 0.821 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccn(C)n1 ZINC001275022641 848615793 /nfs/dbraw/zinc/61/57/93/848615793.db2.gz KCOIDSONCTXBNU-SECBINFHSA-N 0 1 256.737 0.881 20 30 CCEDMN CCn1nncc1CN[C@@H](C)[C@H](C)NC(=O)C#CC1CC1 ZINC001275394362 848709122 /nfs/dbraw/zinc/70/91/22/848709122.db2.gz LBRGBARASGQHJI-RYUDHWBXSA-N 0 1 289.383 0.694 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H](C)Oc1cccnc1 ZINC001275648599 848783066 /nfs/dbraw/zinc/78/30/66/848783066.db2.gz MHHCTPSESSYYJF-QWHCGFSZSA-N 0 1 275.352 0.919 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cccn(C)c1=O ZINC001275697348 848795332 /nfs/dbraw/zinc/79/53/32/848795332.db2.gz HKUOBUAYUYOISP-LBPRGKRZSA-N 0 1 275.352 0.459 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1ccoc1 ZINC001275766001 848813517 /nfs/dbraw/zinc/81/35/17/848813517.db2.gz YPLZZUPFQUAOTQ-GFCCVEGCSA-N 0 1 264.325 0.980 20 30 CCEDMN CCO[C@@H](C)C(=O)NC[C@@H](C)N(C)CC#CCOC ZINC001275773814 848817614 /nfs/dbraw/zinc/81/76/14/848817614.db2.gz QKBIXWGEIHWCNB-OLZOCXBDSA-N 0 1 270.373 0.498 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCC(=O)N1C(C)C ZINC001275911887 848864594 /nfs/dbraw/zinc/86/45/94/848864594.db2.gz HOVNUIWPWIOZBV-KBPBESRZSA-N 0 1 293.411 0.846 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H](C)N(C)[C@H]2CCNC2=O)C1 ZINC001275928678 848869706 /nfs/dbraw/zinc/86/97/06/848869706.db2.gz QCQXBKWBXTXPQC-RYUDHWBXSA-N 0 1 279.384 0.668 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H](NC(C)=O)C(C)C ZINC001275976335 848880066 /nfs/dbraw/zinc/88/00/66/848880066.db2.gz BYBMYLHKYWCRKF-WCQYABFASA-N 0 1 267.373 0.217 20 30 CCEDMN N#Cc1cc(C(=O)N2C[C@H]3C[C@@]3(C(N)=O)C2)ccc1O ZINC001276156354 848942023 /nfs/dbraw/zinc/94/20/23/848942023.db2.gz RHHXVXJOBRYLPF-QMTHXVAHSA-N 0 1 271.276 0.211 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCN(C)C(=O)C1)C2 ZINC001095632893 849002270 /nfs/dbraw/zinc/00/22/70/849002270.db2.gz TXEGVINWAAJFKR-MQYQWHSLSA-N 0 1 291.395 0.762 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCN(C)C(=O)C1)C2 ZINC001095632893 849002277 /nfs/dbraw/zinc/00/22/77/849002277.db2.gz TXEGVINWAAJFKR-MQYQWHSLSA-N 0 1 291.395 0.762 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn3nnnc3c1)C2 ZINC001095863264 849205643 /nfs/dbraw/zinc/20/56/43/849205643.db2.gz FQZAVFMSLUKSRI-AGIUHOORSA-N 0 1 298.350 0.645 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1[C@H]2CN(Cc3n[nH]c(C)n3)C[C@H]21 ZINC001114686147 849363987 /nfs/dbraw/zinc/36/39/87/849363987.db2.gz HGWUSXVTQZTPTE-PJXYFTJBSA-N 0 1 289.383 0.872 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OC)C(C)C ZINC001114785436 849394096 /nfs/dbraw/zinc/39/40/96/849394096.db2.gz OJUKUUHTYWFOGJ-ZOBORPQBSA-N 0 1 264.369 0.727 20 30 CCEDMN C=C[C@@H](O)CNc1ncnc2[nH]cc(C(=O)OC)c21 ZINC001253570935 849454661 /nfs/dbraw/zinc/45/46/61/849454661.db2.gz DAUSJKAHBSXFMC-SSDOTTSWSA-N 0 1 262.269 0.655 20 30 CCEDMN CC[C@@H]1CN(C(=O)Cc2ncn[nH]2)CC[C@@H]1NCC#N ZINC001037954531 849612598 /nfs/dbraw/zinc/61/25/98/849612598.db2.gz SJTIBRNCIBYWML-MNOVXSKESA-N 0 1 276.344 0.087 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnn2ccncc12 ZINC001038341433 849857419 /nfs/dbraw/zinc/85/74/19/849857419.db2.gz KZBPJXLZBRNANB-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1cnc[nH]c1=O ZINC001038381231 849874120 /nfs/dbraw/zinc/87/41/20/849874120.db2.gz DWZDQBQVFJGHBZ-JTQLQIEISA-N 0 1 262.313 0.562 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccn(C)c(=O)c1 ZINC001038414623 849886160 /nfs/dbraw/zinc/88/61/60/849886160.db2.gz AXJMWRTWSMXSEC-ZDUSSCGKSA-N 0 1 273.336 0.213 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cc(OC)n(C)n1 ZINC001038507568 849925714 /nfs/dbraw/zinc/92/57/14/849925714.db2.gz QMCRATOCFRDOKH-NSHDSACASA-N 0 1 278.356 0.809 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@H]2CCN2C[C@@H](C)OC)nn1 ZINC001038790961 850017044 /nfs/dbraw/zinc/01/70/44/850017044.db2.gz NGDJKGIAKLPNKM-VXGBXAGGSA-N 0 1 293.371 0.303 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C1CCN(C(C)=O)CC1 ZINC001039004672 850116496 /nfs/dbraw/zinc/11/64/96/850116496.db2.gz DPAUCYGMUMVVMF-HNNXBMFYSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCc2nncn2C1 ZINC001039049153 850140154 /nfs/dbraw/zinc/14/01/54/850140154.db2.gz WQAGXKFCOPPJAV-QWHCGFSZSA-N 0 1 289.383 0.607 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COCCN1C ZINC001039359386 850178736 /nfs/dbraw/zinc/17/87/36/850178736.db2.gz NZYQPHVVBFIXTD-ILXRZTDVSA-N 0 1 293.411 0.568 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]12C[C@H]1COC2 ZINC001039380563 850182271 /nfs/dbraw/zinc/18/22/71/850182271.db2.gz VHXODIYOERSPKJ-FQLMCAECSA-N 0 1 274.364 0.721 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)[C@H](C)n3cncn3)C2)C1 ZINC001041225342 850405935 /nfs/dbraw/zinc/40/59/35/850405935.db2.gz VOJRJXOCSLKZDB-ZFWWWQNUSA-N 0 1 287.367 0.397 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnc(C)cn3)C[C@@H]21 ZINC001041912261 850527865 /nfs/dbraw/zinc/52/78/65/850527865.db2.gz ITLABJJQLFKJER-HIFRSBDPSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc(C)n[nH]3)C[C@H]21 ZINC001041960937 850541929 /nfs/dbraw/zinc/54/19/29/850541929.db2.gz IKQHGOFFIXYWNJ-GXTWGEPZSA-N 0 1 272.352 0.888 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)Cc3ccon3)C[C@@H]21 ZINC001041975274 850546994 /nfs/dbraw/zinc/54/69/94/850546994.db2.gz MCXOYNJJZPRVGU-OCCSQVGLSA-N 0 1 273.336 0.773 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cccnn3)C[C@H]21 ZINC001042284331 850610107 /nfs/dbraw/zinc/61/01/07/850610107.db2.gz UYYPAZABZPVRMF-TZMCWYRMSA-N 0 1 270.336 0.646 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnn4cc[nH]c34)C[C@@H]21 ZINC001042325758 850615348 /nfs/dbraw/zinc/61/53/48/850615348.db2.gz IMSPZMASPGLUII-JSGCOSHPSA-N 0 1 297.362 0.832 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ccn(C)n3)C[C@H]21 ZINC001042359245 850619718 /nfs/dbraw/zinc/61/97/18/850619718.db2.gz YJPMRROGRITUDE-TZMCWYRMSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cnc(CC(C)C)[nH]2)C1 ZINC001042720474 850742512 /nfs/dbraw/zinc/74/25/12/850742512.db2.gz HSWAWTKYBRBBBT-UHFFFAOYSA-N 0 1 274.368 0.998 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001043113406 850816112 /nfs/dbraw/zinc/81/61/12/850816112.db2.gz OGXGFVUVYNVIOG-ZDUSSCGKSA-N 0 1 293.411 0.964 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)N(C)C1CN(CC#N)C1)C2 ZINC001043139180 850821430 /nfs/dbraw/zinc/82/14/30/850821430.db2.gz FKPAUWNCFNUHKX-LLVKDONJSA-N 0 1 287.367 0.489 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001043193336 850831303 /nfs/dbraw/zinc/83/13/03/850831303.db2.gz UXKRXWLYAUAQIA-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN CN(C(=O)c1ccn2cncc2c1)C1CN(CC#N)C1 ZINC001043230819 850839594 /nfs/dbraw/zinc/83/95/94/850839594.db2.gz FCNXLQITWHQKET-UHFFFAOYSA-N 0 1 269.308 0.614 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001044178689 851032125 /nfs/dbraw/zinc/03/21/25/851032125.db2.gz QKDCHVHUTPBZBR-NSHDSACASA-N 0 1 287.367 0.076 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001044178689 851032133 /nfs/dbraw/zinc/03/21/33/851032133.db2.gz QKDCHVHUTPBZBR-NSHDSACASA-N 0 1 287.367 0.076 20 30 CCEDMN C=CCN1CCC[C@H](NC[C@H](O)C(=O)OC(C)(C)C)C1=O ZINC001253706034 851046022 /nfs/dbraw/zinc/04/60/22/851046022.db2.gz HNLHCNZDGKRAEK-RYUDHWBXSA-N 0 1 298.383 0.456 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnn(C)c2OC)CC1 ZINC001045630413 851290982 /nfs/dbraw/zinc/29/09/82/851290982.db2.gz BGCRHXFKOCAWQS-UHFFFAOYSA-N 0 1 290.367 0.646 20 30 CCEDMN C#CC[N@H+]1CC[C@@](C)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001046245860 851436880 /nfs/dbraw/zinc/43/68/80/851436880.db2.gz FKCBCNSRJCSSHJ-CYBMUJFWSA-N 0 1 262.313 0.251 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001046245860 851436884 /nfs/dbraw/zinc/43/68/84/851436884.db2.gz FKCBCNSRJCSSHJ-CYBMUJFWSA-N 0 1 262.313 0.251 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cnn3ncccc23)C1 ZINC001046380017 851481392 /nfs/dbraw/zinc/48/13/92/851481392.db2.gz NEBXWTSWFHKKTP-OAHLLOKOSA-N 0 1 283.335 0.557 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@@H]2CCCNC2=O)C1 ZINC001046397935 851487456 /nfs/dbraw/zinc/48/74/56/851487456.db2.gz PUPSOEQJFISPMF-DOMZBBRYSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccn(C)c(=O)c2)C1 ZINC001046428650 851499968 /nfs/dbraw/zinc/49/99/68/851499968.db2.gz LPLZBKHEURVAGG-HNNXBMFYSA-N 0 1 273.336 0.213 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001046444010 851511182 /nfs/dbraw/zinc/51/11/82/851511182.db2.gz GWYFCHOSPGOJAP-WFASDCNBSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001046473765 851520353 /nfs/dbraw/zinc/52/03/53/851520353.db2.gz PDMHUAXERINDAP-HNNXBMFYSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CCC[N@@H+]1CC[C@](C)(NC(=O)c2cn(C)nc2OC)C1 ZINC001046539539 851539852 /nfs/dbraw/zinc/53/98/52/851539852.db2.gz XZFDNQFADPCMRI-HNNXBMFYSA-N 0 1 290.367 0.646 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2c[nH]c(=O)n2C)C1 ZINC001046542004 851540420 /nfs/dbraw/zinc/54/04/20/851540420.db2.gz HSCGHZMTQJPIII-AWEZNQCLSA-N 0 1 276.340 0.343 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001046558962 851549279 /nfs/dbraw/zinc/54/92/79/851549279.db2.gz WMKNNTHVUBMIDX-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001046566750 851549872 /nfs/dbraw/zinc/54/98/72/851549872.db2.gz IYOMQANQJNKBGA-HNNXBMFYSA-N 0 1 288.351 0.708 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccoc2CC(N)=O)C1 ZINC001046575365 851552085 /nfs/dbraw/zinc/55/20/85/851552085.db2.gz PFMSHSISULMEML-HNNXBMFYSA-N 0 1 291.351 0.688 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@]2(C)CCN(CC#CC)C2)nn1 ZINC001046682108 851583548 /nfs/dbraw/zinc/58/35/48/851583548.db2.gz VYBXOQLRZRTCGY-HNNXBMFYSA-N 0 1 287.367 0.682 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cc(CC)nn2C)C1 ZINC001046727666 851598360 /nfs/dbraw/zinc/59/83/60/851598360.db2.gz BHHIKLACYRIYBW-HNNXBMFYSA-N 0 1 274.368 0.810 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@](C)(NC(=O)c2cn(CCC)nn2)C1 ZINC001046735944 851602581 /nfs/dbraw/zinc/60/25/81/851602581.db2.gz ZFQABPHOUVZLBU-OAHLLOKOSA-N 0 1 289.383 0.906 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc(OC)nn2)C1 ZINC001046752966 851604198 /nfs/dbraw/zinc/60/41/98/851604198.db2.gz IAEUWAYZZPMJQI-HNNXBMFYSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccc(OC)nn2)C1 ZINC001046752969 851604597 /nfs/dbraw/zinc/60/45/97/851604597.db2.gz IAEUWAYZZPMJQI-OAHLLOKOSA-N 0 1 288.351 0.703 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@]2(C)CC[N@@H+](CCCO)C2)cn1 ZINC001046779345 851612206 /nfs/dbraw/zinc/61/22/06/851612206.db2.gz RPOAFZPRFNRTPQ-INIZCTEOSA-N 0 1 287.363 0.640 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CN(CC)CCO2)C1 ZINC001046846384 851628031 /nfs/dbraw/zinc/62/80/31/851628031.db2.gz USUGQCMDROLQMI-ZBFHGGJFSA-N 0 1 293.411 0.311 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001046863020 851634177 /nfs/dbraw/zinc/63/41/77/851634177.db2.gz ULFICNAXBRLROC-ZBFHGGJFSA-N 0 1 291.395 0.601 20 30 CCEDMN N#CCN1CCC(F)(F)[C@H](CNC(=O)c2cnn[nH]2)C1 ZINC001046950671 851649453 /nfs/dbraw/zinc/64/94/53/851649453.db2.gz LBEIOFGPHPRJQH-MRVPVSSYSA-N 0 1 284.270 0.015 20 30 CCEDMN C#CCC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)c2ccsc2)C1 ZINC001047293148 851700992 /nfs/dbraw/zinc/70/09/92/851700992.db2.gz WFEYFLXBXNMEIW-STQMWFEESA-N 0 1 278.377 0.889 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccsc2)C1 ZINC001047293148 851701001 /nfs/dbraw/zinc/70/10/01/851701001.db2.gz WFEYFLXBXNMEIW-STQMWFEESA-N 0 1 278.377 0.889 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)nn2C)C1 ZINC001047316055 851711285 /nfs/dbraw/zinc/71/12/85/851711285.db2.gz DQWCDDOORIFFET-KBPBESRZSA-N 0 1 292.383 0.422 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)[nH]n2)C1 ZINC001047315932 851711231 /nfs/dbraw/zinc/71/12/31/851711231.db2.gz YQSIESQMALRKQL-RYUDHWBXSA-N 0 1 264.329 0.021 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cccc(=O)[nH]2)C1 ZINC001047341292 851726497 /nfs/dbraw/zinc/72/64/97/851726497.db2.gz ZXEPSOQRZGOZJU-RYUDHWBXSA-N 0 1 277.324 0.090 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ncccc2F)C1 ZINC001047579059 851822189 /nfs/dbraw/zinc/82/21/89/851822189.db2.gz NHGHWYSVUOLDSJ-STQMWFEESA-N 0 1 291.326 0.361 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cn(C)cn1 ZINC001049418433 852265115 /nfs/dbraw/zinc/26/51/15/852265115.db2.gz IYORBHYUQKQWNX-UONOGXRCSA-N 0 1 272.352 0.732 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C(C)(C)C(N)=O ZINC001049530981 852303458 /nfs/dbraw/zinc/30/34/58/852303458.db2.gz GLSNNRRGOCYCNL-CHWSQXEVSA-N 0 1 291.395 0.587 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1COCCO1)C2 ZINC001096826398 852453897 /nfs/dbraw/zinc/45/38/97/852453897.db2.gz OJPVDQNLHUMFTM-NDBYEHHHSA-N 0 1 266.341 0.309 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnn(C)c1)C2 ZINC001096861815 852463626 /nfs/dbraw/zinc/46/36/26/852463626.db2.gz FTBWQEBWMLBXOO-MCIONIFRSA-N 0 1 274.368 0.870 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCNC(=O)C1)C2 ZINC001096935913 852469920 /nfs/dbraw/zinc/46/99/20/852469920.db2.gz NJPZJUNSCOVIBT-LOWDOPEQSA-N 0 1 277.368 0.420 20 30 CCEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn[nH]1)C2 ZINC001097412279 852537094 /nfs/dbraw/zinc/53/70/94/852537094.db2.gz QBZLGMKWXFNZQJ-KKZNHRDASA-N 0 1 281.747 0.892 20 30 CCEDMN C[C@H](CNC(=O)[C@@H]1CCCN1C)Nc1ccc(C#N)cn1 ZINC001097729183 852592796 /nfs/dbraw/zinc/59/27/96/852592796.db2.gz GQWFVBNFTUJNAC-YPMHNXCESA-N 0 1 287.367 0.964 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H](C)Nc2cncc(C#N)n2)c1C ZINC001097760944 852601862 /nfs/dbraw/zinc/60/18/62/852601862.db2.gz XTPQGVXHLIQHCX-QMMMGPOBSA-N 0 1 299.338 0.919 20 30 CCEDMN C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[NH+]1CC[C@H](C)C1)C2 ZINC001097852014 852630734 /nfs/dbraw/zinc/63/07/34/852630734.db2.gz QOQLCQYXJYWCHN-YJNKXOJESA-N 0 1 275.396 0.683 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CC#N)C1 ZINC001097852217 852631694 /nfs/dbraw/zinc/63/16/94/852631694.db2.gz ZMZASZTYKVJJQT-SYQHCUMBSA-N 0 1 276.384 0.573 20 30 CCEDMN C[C@H](CNC(=O)CN1CCCC1)Nc1ccc(C#N)cn1 ZINC001097863189 852634574 /nfs/dbraw/zinc/63/45/74/852634574.db2.gz IECURALCEHSOTE-GFCCVEGCSA-N 0 1 287.367 0.966 20 30 CCEDMN C[C@H](CNC(=O)CN1CCCC1)Nc1nccnc1C#N ZINC001097863275 852635193 /nfs/dbraw/zinc/63/51/93/852635193.db2.gz JYMXNAKNOGCYEE-LLVKDONJSA-N 0 1 288.355 0.361 20 30 CCEDMN C#CCN1CC2(C1)CC[C@@H](CNC(=O)[C@@H]1CCCN1C)O2 ZINC001053582180 852765594 /nfs/dbraw/zinc/76/55/94/852765594.db2.gz AYCONOAYCAIMEJ-KBPBESRZSA-N 0 1 291.395 0.063 20 30 CCEDMN C=C(C)CN1CC2(C1)C[C@@H](NC(=O)Cc1cnc[nH]1)CO2 ZINC001053938903 852851030 /nfs/dbraw/zinc/85/10/30/852851030.db2.gz BWHILCZZEUAYMK-CYBMUJFWSA-N 0 1 290.367 0.488 20 30 CCEDMN N#CCN1CC[C@]2(C1)CCCN(C(=O)CN1CCCC1)C2 ZINC001054238938 852897610 /nfs/dbraw/zinc/89/76/10/852897610.db2.gz CDQLDHOKTSDJOU-INIZCTEOSA-N 0 1 290.411 0.920 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CCn2cncn2)C[C@H]1C ZINC001054391926 852942853 /nfs/dbraw/zinc/94/28/53/852942853.db2.gz LYIRSRNGRNXHMT-ZYHUDNBSSA-N 0 1 297.790 0.857 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CN2CN=NC2=O)C[C@@H]1C ZINC001054582125 852974226 /nfs/dbraw/zinc/97/42/26/852974226.db2.gz RBALUKJGGQKGOM-WPRPVWTQSA-N 0 1 299.762 0.173 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2cc(=O)n(C)o2)C[C@H]1C ZINC001054601310 852977364 /nfs/dbraw/zinc/97/73/64/852977364.db2.gz OBHQUVTVMAPKEP-PSASIEDQSA-N 0 1 299.758 0.781 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccc3cncn3c2)C[C@H]1NCC#N ZINC001054905356 853035268 /nfs/dbraw/zinc/03/52/68/853035268.db2.gz DITFADHCMOWFFL-SMDDNHRTSA-N 0 1 283.335 0.908 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2nnn(C)n2)C[C@H]1C ZINC001054981285 853049187 /nfs/dbraw/zinc/04/91/87/853049187.db2.gz MFNKSOZTUBWNKM-APPZFPTMSA-N 0 1 284.751 0.013 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(c2ncnc3[nH]cnc32)CC1 ZINC001055741985 853112332 /nfs/dbraw/zinc/11/23/32/853112332.db2.gz IQTBTJUIUMCYFM-VIFPVBQESA-N 0 1 285.311 0.161 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](Nc2nccnc2C#N)C1 ZINC001056748452 853200237 /nfs/dbraw/zinc/20/02/37/853200237.db2.gz RPUHFHKDNMRCQG-SECBINFHSA-N 0 1 298.310 0.101 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cn(C)cn3)[C@@H]2C1 ZINC001050021065 853294416 /nfs/dbraw/zinc/29/44/16/853294416.db2.gz PVKCPTDSQXCXSI-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN N#Cc1ccc(NC2CCN(C(=O)c3ccn[nH]3)CC2)nn1 ZINC001057277600 853324617 /nfs/dbraw/zinc/32/46/17/853324617.db2.gz AULQRZWAFWBQJF-UHFFFAOYSA-N 0 1 297.322 0.788 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001098019898 853351474 /nfs/dbraw/zinc/35/14/74/853351474.db2.gz TULARSANXZOQMH-SEBNEYGDSA-N 0 1 294.395 0.681 20 30 CCEDMN C#CCN1CCOC[C@H]1CNC(=O)c1cccc2nc[nH]c21 ZINC001051183319 853543300 /nfs/dbraw/zinc/54/33/00/853543300.db2.gz JDJVASXESTUNOF-GFCCVEGCSA-N 0 1 298.346 0.627 20 30 CCEDMN Cc1cc(CNC[C@H]2CN(C(=O)[C@H](C)C#N)CCO2)on1 ZINC001051495780 853596570 /nfs/dbraw/zinc/59/65/70/853596570.db2.gz YBQSCXOKTTYUPY-MFKMUULPSA-N 0 1 292.339 0.460 20 30 CCEDMN Cc1cc(CNC[C@@H]2CN(C(=O)[C@H](C)C#N)CCO2)on1 ZINC001051495781 853597049 /nfs/dbraw/zinc/59/70/49/853597049.db2.gz YBQSCXOKTTYUPY-ZWNOBZJWSA-N 0 1 292.339 0.460 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)[C@@H](C)OC)C2)CC1 ZINC001051992500 853672139 /nfs/dbraw/zinc/67/21/39/853672139.db2.gz YTFZWNJVUWSKLL-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2coc(C)c2)C[C@@H]1n1ccnn1 ZINC001070182812 854034738 /nfs/dbraw/zinc/03/47/38/854034738.db2.gz AJXJWYYLPJGOGC-KGLIPLIRSA-N 0 1 299.334 0.468 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCN(C(=O)CN2CCCC2)C1 ZINC001070756556 854092879 /nfs/dbraw/zinc/09/28/79/854092879.db2.gz SSXCUEFXSOAIBN-CHWSQXEVSA-N 0 1 292.383 0.349 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)C(C)C)C[C@@H](C)O2 ZINC001071134833 854127190 /nfs/dbraw/zinc/12/71/90/854127190.db2.gz YGSXXDFASFFGBG-UKRRQHHQSA-N 0 1 264.369 0.967 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@]2(CCN(CC#N)C2)O1 ZINC001071139443 854129279 /nfs/dbraw/zinc/12/92/79/854129279.db2.gz UYWCNDYOGWAQQW-SMDDNHRTSA-N 0 1 289.339 0.239 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cnn(C)c2N)CC[C@H]1C ZINC001071447254 854200390 /nfs/dbraw/zinc/20/03/90/854200390.db2.gz KLCFACYNWRDOME-VXGBXAGGSA-N 0 1 289.383 0.608 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2cnnn2C)CC[C@@H]1C ZINC001071481728 854215832 /nfs/dbraw/zinc/21/58/32/854215832.db2.gz NNLSYKSKZIBJNN-NWDGAFQWSA-N 0 1 277.372 0.974 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cnc[nH]c2=O)CC[C@@H]1C ZINC001071600349 854246907 /nfs/dbraw/zinc/24/69/07/854246907.db2.gz KGWCZIBAQCVUGB-RYUDHWBXSA-N 0 1 288.351 0.788 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2ncc[nH]2)CC[C@@H]1C ZINC001071939194 854323100 /nfs/dbraw/zinc/32/31/00/854323100.db2.gz RFNDMFYGTYZTNW-QWHCGFSZSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCCCC(=O)N1C[C@H](NC(=O)c2ncn[nH]2)[C@@H](C)C1 ZINC001071988038 854331344 /nfs/dbraw/zinc/33/13/44/854331344.db2.gz KQUBIFQFOOIEEE-QWRGUYRKSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCCCC(=O)N1C[C@H](NC(=O)c2nc[nH]n2)[C@@H](C)C1 ZINC001071988038 854331353 /nfs/dbraw/zinc/33/13/53/854331353.db2.gz KQUBIFQFOOIEEE-QWRGUYRKSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)n3cccn3)C2)C1 ZINC001072495899 854400692 /nfs/dbraw/zinc/40/06/92/854400692.db2.gz PBSMCKPMJJXNED-ZDUSSCGKSA-N 0 1 272.352 0.612 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(C)n(C)n3)C2)C1 ZINC001072520738 854405379 /nfs/dbraw/zinc/40/53/79/854405379.db2.gz TWNSVDQTDDJOFD-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3n[nH]c(C)c3C)C2)C1 ZINC001072559840 854415761 /nfs/dbraw/zinc/41/57/61/854415761.db2.gz VPBBRPACYFWXKS-UHFFFAOYSA-N 0 1 272.352 0.808 20 30 CCEDMN CC#CC[N@H+]1CCC2(CN(C(=O)CC3OCCCO3)C2)C1 ZINC001072665992 854440394 /nfs/dbraw/zinc/44/03/94/854440394.db2.gz VMFZCPXNUFAIMA-UHFFFAOYSA-N 0 1 292.379 0.697 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3CC(OCC)C3)C2)C1 ZINC001072690256 854446421 /nfs/dbraw/zinc/44/64/21/854446421.db2.gz CEOFKBQMIAYMCH-UHFFFAOYSA-N 0 1 276.380 0.969 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)c3cccc4nn[nH]c43)C2)C1 ZINC001072776814 854460839 /nfs/dbraw/zinc/46/08/39/854460839.db2.gz KAPBWWARQDDFIK-UHFFFAOYSA-N 0 1 296.334 0.629 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCn4cncc4C3)C2)C1 ZINC001072864810 854481922 /nfs/dbraw/zinc/48/19/22/854481922.db2.gz SLOFUVHBOLAVFQ-AWEZNQCLSA-N 0 1 298.390 0.613 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnn(C)c3Cl)C2)C1 ZINC001072854664 854481996 /nfs/dbraw/zinc/48/19/96/854481996.db2.gz WKFSNZVPTMAXCF-UHFFFAOYSA-N 0 1 292.770 0.855 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CO[C@H](C)C3)C2)C1 ZINC001072871424 854484186 /nfs/dbraw/zinc/48/41/86/854484186.db2.gz IFYHEZALCPMGTJ-OLZOCXBDSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CC[C@@H](C(N)=O)C3)C2)C1 ZINC001072986192 854509527 /nfs/dbraw/zinc/50/95/27/854509527.db2.gz LHGWUAWDBLMGCC-OLZOCXBDSA-N 0 1 289.379 0.056 20 30 CCEDMN C=CCn1cc(C(=O)N2CC3(C2)CCN(CC#CC)C3)nn1 ZINC001073042668 854519101 /nfs/dbraw/zinc/51/91/01/854519101.db2.gz FMUCNPNJTGFVRG-UHFFFAOYSA-N 0 1 299.378 0.635 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CC2(C1)CCN(CC#N)C2 ZINC001073045141 854520641 /nfs/dbraw/zinc/52/06/41/854520641.db2.gz VIHGLNCKOKZEQH-LBPRGKRZSA-N 0 1 287.367 0.646 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CC2(C1)CCN(CC#N)C2 ZINC001073131644 854536758 /nfs/dbraw/zinc/53/67/58/854536758.db2.gz RNAORMDNLHUZCI-UHFFFAOYSA-N 0 1 299.378 0.819 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001073736307 854637076 /nfs/dbraw/zinc/63/70/76/854637076.db2.gz PAFVEMNNQYUHIY-QWHCGFSZSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2oncc2C)C1 ZINC001073838661 854654130 /nfs/dbraw/zinc/65/41/30/854654130.db2.gz CXKXQKYHVXJPER-LBPRGKRZSA-N 0 1 279.340 0.990 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2ccc(=O)[nH]n2)C1 ZINC001098713918 854877069 /nfs/dbraw/zinc/87/70/69/854877069.db2.gz YCDGTAJSNYIVDK-NHYWBVRUSA-N 0 1 286.335 0.400 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)Cc2ccon2)C1 ZINC001098758942 854882936 /nfs/dbraw/zinc/88/29/36/854882936.db2.gz YUYKKGFYGGNURH-WFASDCNBSA-N 0 1 273.336 0.821 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cn(C)nn2)C1 ZINC001098824838 854891031 /nfs/dbraw/zinc/89/10/31/854891031.db2.gz XLLQAQGSBIOKGP-WFASDCNBSA-N 0 1 287.367 0.423 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2cccc(=O)n2C)C1 ZINC001099020169 854905037 /nfs/dbraw/zinc/90/50/37/854905037.db2.gz PJBMOYRSTWJJNE-GUYCJALGSA-N 0 1 299.374 0.603 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cccc(=O)n2C)C1 ZINC001099020169 854905039 /nfs/dbraw/zinc/90/50/39/854905039.db2.gz PJBMOYRSTWJJNE-GUYCJALGSA-N 0 1 299.374 0.603 20 30 CCEDMN C=C(C)CN[C@@H]1CN(C(=O)C(N)=O)C[C@H]1C(F)(F)F ZINC001099297951 854926014 /nfs/dbraw/zinc/92/60/14/854926014.db2.gz SBFHYTMDQHAWMB-HTQZYQBOSA-N 0 1 279.262 0.027 20 30 CCEDMN C[C@@H](CCNC(=O)c1ncn[nH]1)Nc1cncc(C#N)n1 ZINC001099382732 854930361 /nfs/dbraw/zinc/93/03/61/854930361.db2.gz SABKGDLXOXLYHT-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CCNC(=O)c1nc[nH]n1)Nc1cncc(C#N)n1 ZINC001099382732 854930363 /nfs/dbraw/zinc/93/03/63/854930363.db2.gz SABKGDLXOXLYHT-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOC(C)C)[C@H](O)C1 ZINC001099693229 854971056 /nfs/dbraw/zinc/97/10/56/854971056.db2.gz NYBPBLIGJWNZNS-QWHCGFSZSA-N 0 1 270.373 0.539 20 30 CCEDMN C[C@@H](CCNC(=O)Cc1cnc[nH]1)Nc1ccc(C#N)nn1 ZINC001099712677 854975223 /nfs/dbraw/zinc/97/52/23/854975223.db2.gz GCXCNDZTWDEBPW-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCN(CC#CC)C[C@@H]1O ZINC001099725746 854976733 /nfs/dbraw/zinc/97/67/33/854976733.db2.gz YIXYKMNSNLDRIC-OLZOCXBDSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(CCO)C[C@H]1O ZINC001099847151 855009825 /nfs/dbraw/zinc/00/98/25/855009825.db2.gz IDYJHSOOOXKGIL-VXGBXAGGSA-N 0 1 270.373 0.132 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(COC)CCC2)[C@@H](O)C1 ZINC001099925241 855032638 /nfs/dbraw/zinc/03/26/38/855032638.db2.gz ALACVJZJQXYREO-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCC(F)F)[C@H](O)C1 ZINC001099923147 855033066 /nfs/dbraw/zinc/03/30/66/855033066.db2.gz AZSQZSPETPXKPS-WDEREUQCSA-N 0 1 274.311 0.606 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2nccn2C)[C@H](O)C1 ZINC001099927410 855034489 /nfs/dbraw/zinc/03/44/89/855034489.db2.gz BGHKEXGBJCMPOP-CHWSQXEVSA-N 0 1 292.383 0.090 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2nccn2C)[C@@H](O)C1 ZINC001099927413 855035029 /nfs/dbraw/zinc/03/50/29/855035029.db2.gz BGHKEXGBJCMPOP-STQMWFEESA-N 0 1 292.383 0.090 20 30 CCEDMN CN(CCNC(=O)[C@H]1CCCN1C)c1ccc(C#N)nc1 ZINC001099965888 855054167 /nfs/dbraw/zinc/05/41/67/855054167.db2.gz CMQSHHVBYSSKJR-CQSZACIVSA-N 0 1 287.367 0.600 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CCN(CCO)C[C@@H]2O)CCC1 ZINC001099988490 855061947 /nfs/dbraw/zinc/06/19/47/855061947.db2.gz IXYLIXMRKHXJLU-OLZOCXBDSA-N 0 1 282.384 0.277 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cncn2C)[C@@H](O)C1 ZINC001100094725 855097458 /nfs/dbraw/zinc/09/74/58/855097458.db2.gz REJPDFALBIGLTO-KBPBESRZSA-N 0 1 292.383 0.090 20 30 CCEDMN C=CCCC(=O)NCC1CC(NCc2cn(C)nn2)C1 ZINC001100176746 855110935 /nfs/dbraw/zinc/11/09/35/855110935.db2.gz CJPBRZDLMOZZDT-UHFFFAOYSA-N 0 1 277.372 0.766 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)/C(C)=C/C)[C@H](C)C1 ZINC001101862056 855380363 /nfs/dbraw/zinc/38/03/63/855380363.db2.gz RBOPUPKTTIBYHO-BARLUBHISA-N 0 1 293.411 0.939 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1CCNCC#N ZINC001103914031 855550790 /nfs/dbraw/zinc/55/07/90/855550790.db2.gz ZRWHJXDTKFYDSL-GHMZBOCLSA-N 0 1 261.329 0.621 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H](CCNCc2cnon2)C1 ZINC001104005026 855560029 /nfs/dbraw/zinc/56/00/29/855560029.db2.gz RJNBOLGIXJFGSO-QJPTWQEYSA-N 0 1 291.355 0.803 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H]1CCNCC#N ZINC001104027311 855562626 /nfs/dbraw/zinc/56/26/26/855562626.db2.gz QZTCRWDCKRAANI-VXGBXAGGSA-N 0 1 275.356 0.550 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)COC ZINC001115052888 855637613 /nfs/dbraw/zinc/63/76/13/855637613.db2.gz KNFXLKCWIKRETK-TTZDDIAXSA-N 0 1 294.395 0.355 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](NC(C)=O)C(C)C ZINC001115150028 855646790 /nfs/dbraw/zinc/64/67/90/855646790.db2.gz MMOSZYYKTHKIAQ-BARDWOONSA-N 0 1 291.395 0.217 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCc1cccnc1 ZINC001115181214 855651278 /nfs/dbraw/zinc/65/12/78/855651278.db2.gz YHYKEIXAJOCCFE-FOLVSLTJSA-N 0 1 285.347 0.278 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1c(C)noc1C ZINC001115225387 855652558 /nfs/dbraw/zinc/65/25/58/855652558.db2.gz OFCHNYJIMZFZIW-FOLVSLTJSA-N 0 1 287.363 0.904 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1[C@H]2CN(CC#CC)C[C@H]21 ZINC001115332008 855662745 /nfs/dbraw/zinc/66/27/45/855662745.db2.gz HSJLYHZDQSQRNI-IGQOVBAYSA-N 0 1 262.353 0.647 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCn1ccnc1 ZINC001115338502 855662818 /nfs/dbraw/zinc/66/28/18/855662818.db2.gz MCTUXCWTIVXLIT-NHAGDIPZSA-N 0 1 272.352 0.343 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CCN(CCCCO)CC1 ZINC001116345979 855749999 /nfs/dbraw/zinc/74/99/99/855749999.db2.gz JVIGJWVHLNCJDS-CQSZACIVSA-N 0 1 296.415 0.137 20 30 CCEDMN N#CCCC[C@@H](N)C(=O)N1CC(=O)Nc2cnccc21 ZINC001118221896 856211922 /nfs/dbraw/zinc/21/19/22/856211922.db2.gz LQVCJFYGXAJTRJ-SECBINFHSA-N 0 1 273.296 0.388 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)N(CC)[C@@H]1CCN(C)C1 ZINC001118705722 856404314 /nfs/dbraw/zinc/40/43/14/856404314.db2.gz XMSHHVDUZGHFSU-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN CCn1ncc(Cl)c1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC001118806586 856450602 /nfs/dbraw/zinc/45/06/02/856450602.db2.gz DLAOOIHTJUPCNI-CBAPKCEASA-N 0 1 267.720 0.398 20 30 CCEDMN N#Cc1csc(CNCC[C@H]2CNC(=O)C2)n1 ZINC001119615161 856778794 /nfs/dbraw/zinc/77/87/94/856778794.db2.gz QMOGKQCEDGZVQJ-MRVPVSSYSA-N 0 1 250.327 0.631 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(N2CCN(C)CC2)C1 ZINC001119630166 856792130 /nfs/dbraw/zinc/79/21/30/856792130.db2.gz OWSLTXCXXPRJGN-UHFFFAOYSA-N 0 1 251.374 0.657 20 30 CCEDMN Cc1nn(C)c(C)c1NC(=O)NCC#CCN(C)C ZINC001119872723 856902342 /nfs/dbraw/zinc/90/23/42/856902342.db2.gz PUXDVSIMNDHYNR-UHFFFAOYSA-N 0 1 263.345 0.723 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)[C@@H](COC)OC ZINC001323301611 912259654 /nfs/dbraw/zinc/25/96/54/912259654.db2.gz JITZWSVCSMFKMW-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)C2(C)CC2)CC1 ZINC001323444255 912341471 /nfs/dbraw/zinc/34/14/71/912341471.db2.gz RWRALLMAXRYZAJ-UHFFFAOYSA-N 0 1 265.357 0.327 20 30 CCEDMN N#CCc1ccnc(NC(=O)CCc2nc[nH]n2)c1 ZINC001323958191 912603236 /nfs/dbraw/zinc/60/32/36/912603236.db2.gz BPKDKYIXKQZABC-UHFFFAOYSA-N 0 1 256.269 0.837 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@@H]2CCNC2=O)C1 ZINC001323965117 912605519 /nfs/dbraw/zinc/60/55/19/912605519.db2.gz YYTVIMCBHCJPBP-GFCCVEGCSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCS(=O)(=O)[N-]C(=O)[C@H]1CCCC[N@@H+]1CCC ZINC001324192060 912714170 /nfs/dbraw/zinc/71/41/70/912714170.db2.gz GQYSVGIRTBBIBN-GFCCVEGCSA-N 0 1 286.397 0.720 20 30 CCEDMN C#CCN(C(=O)Cn1cc(C)cn1)C1CCN(CC#C)CC1 ZINC001324373908 912809150 /nfs/dbraw/zinc/80/91/50/912809150.db2.gz JPCCTBZVAOPBCA-UHFFFAOYSA-N 0 1 298.390 0.751 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)Cc2cc(C)on2)C1 ZINC001324589359 912923659 /nfs/dbraw/zinc/92/36/59/912923659.db2.gz OESXRVMBQRCYII-AWEZNQCLSA-N 0 1 261.325 0.739 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cc2nonc2C)C1 ZINC001324660272 912969783 /nfs/dbraw/zinc/96/97/83/912969783.db2.gz GROTWHJNUOLSKT-CYBMUJFWSA-N 0 1 262.313 0.134 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)C(=O)Nc2cccc(C#N)c2)n[nH]1 ZINC001324974283 913126920 /nfs/dbraw/zinc/12/69/20/913126920.db2.gz ZWVOVLRSMRNDHN-QMMMGPOBSA-N 0 1 298.306 0.801 20 30 CCEDMN COCC#CCN1CC[C@@](O)(CNC(=O)c2ccoc2)C1 ZINC001325069587 913183518 /nfs/dbraw/zinc/18/35/18/913183518.db2.gz TWKBDWSOBHRMFB-OAHLLOKOSA-N 0 1 292.335 0.096 20 30 CCEDMN COCC#CCN1CC[C@](O)(CNC(=O)[C@@H](C)C2CC2)C1 ZINC001325085986 913199035 /nfs/dbraw/zinc/19/90/35/913199035.db2.gz NQQRLZQYPKKERJ-BBRMVZONSA-N 0 1 294.395 0.235 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccn(C(F)F)n2)C1 ZINC001325097989 913211521 /nfs/dbraw/zinc/21/15/21/913211521.db2.gz PIANKVXGRSBWEV-CYBMUJFWSA-N 0 1 298.293 0.078 20 30 CCEDMN N#Cc1ccc(CN[C@H](CO)CNC(=O)C2CC2)cc1 ZINC001394564429 913261069 /nfs/dbraw/zinc/26/10/69/913261069.db2.gz MFWQRVXIGQCQTG-AWEZNQCLSA-N 0 1 273.336 0.535 20 30 CCEDMN Cc1ncsc1CN[C@H](CO)CNC(=O)[C@@H](C)C#N ZINC001394577719 913286053 /nfs/dbraw/zinc/28/60/53/913286053.db2.gz JSUOIKAAULYRQP-WPRPVWTQSA-N 0 1 282.369 0.178 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N2CCc3ccc(C#N)cc32)CCN1 ZINC001325330511 913348235 /nfs/dbraw/zinc/34/82/35/913348235.db2.gz GNOLDVNNYKFQGS-LLVKDONJSA-N 0 1 298.346 0.268 20 30 CCEDMN C=C[C@H](COC)NC(=O)[C@@H](C)CN1CCOCC1 ZINC001325653311 913511192 /nfs/dbraw/zinc/51/11/92/913511192.db2.gz ATFLNRVCAYRHAG-NWDGAFQWSA-N 0 1 256.346 0.272 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CC(C)(C)CNC(=O)c1ncn[nH]1 ZINC001395020983 913514942 /nfs/dbraw/zinc/51/49/42/913514942.db2.gz OAMONJOLMWLODT-VIFPVBQESA-N 0 1 292.343 0.179 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CC(C)(C)CNC(=O)c1nc[nH]n1 ZINC001395020983 913514956 /nfs/dbraw/zinc/51/49/56/913514956.db2.gz OAMONJOLMWLODT-VIFPVBQESA-N 0 1 292.343 0.179 20 30 CCEDMN C[C@H](C(N)=O)N1C[C@@H]2CN(C(=O)C#CC(C)(C)C)C[C@@H]2C1 ZINC001325735779 913549328 /nfs/dbraw/zinc/54/93/28/913549328.db2.gz KKVYMXPNVRLYJJ-UPJWGTAASA-N 0 1 291.395 0.300 20 30 CCEDMN C#CCN(C)CCNC(=O)c1occ2c1CCOC2 ZINC001480896376 891703623 /nfs/dbraw/zinc/70/36/23/891703623.db2.gz PTYHGXZVBTYJHA-UHFFFAOYSA-N 0 1 262.309 0.647 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccc2ncn(C)c2n1 ZINC001480914105 891764896 /nfs/dbraw/zinc/76/48/96/891764896.db2.gz STCMQZXVBRWLGA-UHFFFAOYSA-N 0 1 285.351 0.653 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N(C)C(=O)Cc2ccn[nH]2)C1 ZINC001283370192 891768943 /nfs/dbraw/zinc/76/89/43/891768943.db2.gz GWRUFGBIUJMTGY-CYBMUJFWSA-N 0 1 290.367 0.978 20 30 CCEDMN COc1cncc(CNCCN(C)C(=O)C#CC(C)C)n1 ZINC001267370772 891891482 /nfs/dbraw/zinc/89/14/82/891891482.db2.gz QIPDSRXBZUYQTO-UHFFFAOYSA-N 0 1 290.367 0.693 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H](C)c1cccnc1 ZINC001480937525 891899082 /nfs/dbraw/zinc/89/90/82/891899082.db2.gz GUNGQMOQYSDZEL-AWEZNQCLSA-N 0 1 289.379 0.883 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H](C)Cc1c(C)n[nH]c1C ZINC001480948952 891930078 /nfs/dbraw/zinc/93/00/78/891930078.db2.gz RRJKXPZBBPCIRT-NSHDSACASA-N 0 1 276.384 0.886 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)COCC=C ZINC001325884271 913623092 /nfs/dbraw/zinc/62/30/92/913623092.db2.gz VUDBFODVEGRNGQ-UONOGXRCSA-N 0 1 262.353 0.888 20 30 CCEDMN CC#CCN(CCNC(=O)Cc1ccon1)C1CC1 ZINC001481163119 892256337 /nfs/dbraw/zinc/25/63/37/892256337.db2.gz FNTTWBDDAGWRPM-UHFFFAOYSA-N 0 1 261.325 0.821 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@]12C[C@H]1COC2)C1CC1 ZINC001481169278 892265192 /nfs/dbraw/zinc/26/51/92/892265192.db2.gz MOQHHNOWIVLCEX-BBRMVZONSA-N 0 1 292.379 0.253 20 30 CCEDMN C=C(Br)CNCCN(CCO)C(=O)C1CC1 ZINC001325957842 913655551 /nfs/dbraw/zinc/65/55/51/913655551.db2.gz RXATWVAFJOTYIM-UHFFFAOYSA-N 0 1 291.189 0.716 20 30 CCEDMN Cc1cnc(CNCCN(C)C(=O)C#CC2CC2)cn1 ZINC001481229145 892397964 /nfs/dbraw/zinc/39/79/64/892397964.db2.gz KSJCFVYRWQOUKX-UHFFFAOYSA-N 0 1 272.352 0.746 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)CCCOC)CC1 ZINC001481467265 892712586 /nfs/dbraw/zinc/71/25/86/892712586.db2.gz ZWWMEHCDRAPAJC-UHFFFAOYSA-N 0 1 295.427 0.512 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)c2cncn2C)C1 ZINC001481535996 892784345 /nfs/dbraw/zinc/78/43/45/892784345.db2.gz UESBWHYCNIJTRJ-ZDUSSCGKSA-N 0 1 292.383 0.817 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001481700442 893039267 /nfs/dbraw/zinc/03/92/67/893039267.db2.gz DYBQDVIVSQUWAB-CYBMUJFWSA-N 0 1 288.351 0.893 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCc2ccncn2)C1 ZINC001481727482 893100066 /nfs/dbraw/zinc/10/00/66/893100066.db2.gz IFXWWUNPSVYONZ-CQSZACIVSA-N 0 1 272.352 0.575 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCc2cn[nH]c2)C1 ZINC001481743010 893108349 /nfs/dbraw/zinc/10/83/49/893108349.db2.gz AMMUQHNYTUMKOE-CYBMUJFWSA-N 0 1 260.341 0.508 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H](C)CC(N)=O)C1 ZINC001481770754 893133542 /nfs/dbraw/zinc/13/35/42/893133542.db2.gz HTNSIEJBSWUBKU-NEPJUHHUSA-N 0 1 267.373 0.607 20 30 CCEDMN CNC(=O)CN1CCC(CCNC(=O)[C@H](C)C#N)CC1 ZINC001482041052 893322919 /nfs/dbraw/zinc/32/29/19/893322919.db2.gz XEURVORMHLDCEO-LLVKDONJSA-N 0 1 280.372 0.110 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cc[nH]c1CC ZINC001284491416 893575422 /nfs/dbraw/zinc/57/54/22/893575422.db2.gz GELQSGUINMPEDM-LBPRGKRZSA-N 0 1 277.368 0.575 20 30 CCEDMN C=CCCC(=O)NCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001075883779 894325678 /nfs/dbraw/zinc/32/56/78/894325678.db2.gz TVZXAUYOGDWEST-VIFPVBQESA-N 0 1 265.317 0.396 20 30 CCEDMN C=CCCC(=O)NCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001075883779 894325693 /nfs/dbraw/zinc/32/56/93/894325693.db2.gz TVZXAUYOGDWEST-VIFPVBQESA-N 0 1 265.317 0.396 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)C#CC1CC1 ZINC001482595168 894353023 /nfs/dbraw/zinc/35/30/23/894353023.db2.gz KADVKSCLNFBEOD-UHFFFAOYSA-N 0 1 262.353 0.440 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H]1C ZINC001396407034 913872681 /nfs/dbraw/zinc/87/26/81/913872681.db2.gz MDNUKEFXLIRSOE-CKYFFXLPSA-N 0 1 289.339 0.075 20 30 CCEDMN N#CCNC(=O)CNC/C=C/CNC(=O)C[C@H]1C=CCC1 ZINC001482980607 894753329 /nfs/dbraw/zinc/75/33/29/894753329.db2.gz GJRXSCUQRMFKFO-OOPCZODUSA-N 0 1 290.367 0.244 20 30 CCEDMN CC/C=C(\C)C(=O)NC/C=C/CNCC(=O)NCC#N ZINC001482989867 894774668 /nfs/dbraw/zinc/77/46/68/894774668.db2.gz QVULTOXNOUEEMW-ICLXBRQCSA-N 0 1 278.356 0.244 20 30 CCEDMN C[C@H](C#N)C(=O)NC/C=C/CNCC(=O)Nc1ccon1 ZINC001482990991 894781570 /nfs/dbraw/zinc/78/15/70/894781570.db2.gz KWSQFJYNDZDIAG-VMZHVLLKSA-N 0 1 291.311 0.035 20 30 CCEDMN C[C@@H](C#N)C(=O)NC/C=C\CNCC(=O)Nc1ccon1 ZINC001482990992 894782554 /nfs/dbraw/zinc/78/25/54/894782554.db2.gz KWSQFJYNDZDIAG-ZMWSMAPVSA-N 0 1 291.311 0.035 20 30 CCEDMN C[C@@H](C#N)C(=O)NC/C=C/CNCC(=O)N1CCCCC1 ZINC001482991077 894782711 /nfs/dbraw/zinc/78/27/11/894782711.db2.gz LZSAZQWTRKRJKE-OOPCZODUSA-N 0 1 292.383 0.421 20 30 CCEDMN N#CCNCC[C@H]1CCN(C(=O)CCc2c[nH]nn2)C1 ZINC001326371938 913917067 /nfs/dbraw/zinc/91/70/67/913917067.db2.gz TULWBXYJWODQDX-NSHDSACASA-N 0 1 276.344 0.089 20 30 CCEDMN N#CCNCC[C@H]1CCN(C(=O)CCc2cnn[nH]2)C1 ZINC001326371938 913917081 /nfs/dbraw/zinc/91/70/81/913917081.db2.gz TULWBXYJWODQDX-NSHDSACASA-N 0 1 276.344 0.089 20 30 CCEDMN Cc1ncoc1CNC[C@H](C)NC(=O)[C@H](C)C#N ZINC001483215986 895121737 /nfs/dbraw/zinc/12/17/37/895121737.db2.gz XRMVHTGENZGWDT-BDAKNGLRSA-N 0 1 250.302 0.737 20 30 CCEDMN Cc1nnc(C[NH2+]C[C@H](C)NC(=O)C#CC(C)C)[nH]1 ZINC001483225009 895137861 /nfs/dbraw/zinc/13/78/61/895137861.db2.gz SOOGHXYWXVJMNS-JTQLQIEISA-N 0 1 263.345 0.367 20 30 CCEDMN CC(C)N(CCN(C)CCn1cncn1)C(=O)[C@@H](C)C#N ZINC001426102517 895222040 /nfs/dbraw/zinc/22/20/40/895222040.db2.gz GIEYMSZAFLLRCQ-ZDUSSCGKSA-N 0 1 292.387 0.607 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@H]2[C@H](CCN2CC(N)=O)C1 ZINC001496860506 895350175 /nfs/dbraw/zinc/35/01/75/895350175.db2.gz VEEACPNUQWOATQ-KGLIPLIRSA-N 0 1 291.395 0.588 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@H](NC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001396862060 913972970 /nfs/dbraw/zinc/97/29/70/913972970.db2.gz HTYGHPHTEXXABH-KOLCDFICSA-N 0 1 289.339 0.599 20 30 CCEDMN COCCC(=O)NC[C@H](CO)NCc1ccc(C#N)s1 ZINC001507163974 895437278 /nfs/dbraw/zinc/43/72/78/895437278.db2.gz AAEXIEWCBLSXOE-SNVBAGLBSA-N 0 1 297.380 0.223 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1ncccc1F ZINC001483402276 895543025 /nfs/dbraw/zinc/54/30/25/895543025.db2.gz WECQSILXKAKDBO-GFCCVEGCSA-N 0 1 293.342 0.921 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1c(C)nn(C)c1F ZINC001483416803 895549338 /nfs/dbraw/zinc/54/93/38/895549338.db2.gz XRIRBGGQKMVBPS-VIFPVBQESA-N 0 1 266.320 0.551 20 30 CCEDMN C=CCCC(=O)N(C)C[C@@H](O)CNCc1cnc(C)o1 ZINC001507470177 895601501 /nfs/dbraw/zinc/60/15/01/895601501.db2.gz FKIXITMZOGYKEC-LBPRGKRZSA-N 0 1 281.356 0.858 20 30 CCEDMN C=C1CC(C)(C(=O)NCC2(NCC(=O)NC3CC3)CC2)C1 ZINC001483766781 896030719 /nfs/dbraw/zinc/03/07/19/896030719.db2.gz OYSGIFJTVYWTCT-UHFFFAOYSA-N 0 1 291.395 0.860 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)Cc2ccc[nH]2)CC1 ZINC001483793218 896058648 /nfs/dbraw/zinc/05/86/48/896058648.db2.gz XTYIEBFFTBUIQM-UHFFFAOYSA-N 0 1 290.367 0.098 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H]2CCC(=O)N2)C1 ZINC001483856993 896079037 /nfs/dbraw/zinc/07/90/37/896079037.db2.gz LYFWDDVUWCHVEI-WCQYABFASA-N 0 1 279.384 0.762 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@@H](NCc2ccn(C)n2)C1 ZINC001397054140 914032072 /nfs/dbraw/zinc/03/20/72/914032072.db2.gz KHCRSJRNJFNIQQ-GMXVVIOVSA-N 0 1 275.356 0.564 20 30 CCEDMN C#CCN(C(=O)[C@]1(F)CCOC1)C1CCN(CC#C)CC1 ZINC001483986327 896144202 /nfs/dbraw/zinc/14/42/02/896144202.db2.gz CEGQGWYVBYDPMP-INIZCTEOSA-N 0 1 292.354 0.674 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001484040819 896168985 /nfs/dbraw/zinc/16/89/85/896168985.db2.gz OEWFTNBQMZGAKI-SWLSCSKDSA-N 0 1 278.352 0.544 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2[nH]ccc2C)C1 ZINC001484216293 896246506 /nfs/dbraw/zinc/24/65/06/896246506.db2.gz LFAGSUWBENYFIV-OAHLLOKOSA-N 0 1 275.352 0.513 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](O)(CNC(=O)c2ncc(F)cc2F)C1 ZINC001484221999 896252775 /nfs/dbraw/zinc/25/27/75/896252775.db2.gz ABAHLQYBDXSXGX-CQSZACIVSA-N 0 1 295.289 0.160 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ncc(F)cc2F)C1 ZINC001484221999 896252788 /nfs/dbraw/zinc/25/27/88/896252788.db2.gz ABAHLQYBDXSXGX-CQSZACIVSA-N 0 1 295.289 0.160 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H]2Cc3ccccc32)C1 ZINC001484233268 896270063 /nfs/dbraw/zinc/27/00/63/896270063.db2.gz ANDXUYRWQSVMOD-NVXWUHKLSA-N 0 1 284.359 0.513 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)[C@]2(C)CCOC2)C1 ZINC001484304055 896334322 /nfs/dbraw/zinc/33/43/22/896334322.db2.gz SCYLLLGHBPNENM-UKRRQHHQSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H]2CN(C(=O)/C(C)=C/C)C[C@@H]2C1 ZINC001484404909 896380765 /nfs/dbraw/zinc/38/07/65/896380765.db2.gz UMOJUJHTINOIHE-ZTTPKUNYSA-N 0 1 289.379 0.092 20 30 CCEDMN CNC(=O)CN1CCC2(CN(C(=O)C#CC(C)C)C2)CC1 ZINC001484577342 896478175 /nfs/dbraw/zinc/47/81/75/896478175.db2.gz DEKZOHNJWLICFG-UHFFFAOYSA-N 0 1 291.395 0.316 20 30 CCEDMN C=C(C)CN1CCOC[C@H]1CNC(=O)CCc1c[nH]nn1 ZINC001326658605 914081555 /nfs/dbraw/zinc/08/15/55/914081555.db2.gz NCODCFYCKPXBNY-CYBMUJFWSA-N 0 1 293.371 0.130 20 30 CCEDMN C=C(C)CN1CCOC[C@H]1CNC(=O)CCc1cnn[nH]1 ZINC001326658605 914081573 /nfs/dbraw/zinc/08/15/73/914081573.db2.gz NCODCFYCKPXBNY-CYBMUJFWSA-N 0 1 293.371 0.130 20 30 CCEDMN C=C(Cl)CN[C@@]1(CO)CCCN(C(=O)c2ccon2)C1 ZINC001484839099 896620119 /nfs/dbraw/zinc/62/01/19/896620119.db2.gz YZYVZSSLEIZBTA-ZDUSSCGKSA-N 0 1 299.758 0.984 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](NC(C)=O)C[C@@H]1C ZINC001484969101 896687446 /nfs/dbraw/zinc/68/74/46/896687446.db2.gz KWZNLIINTHZFKG-JSGCOSHPSA-N 0 1 294.399 0.738 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)COC2CCCC2)CC1 ZINC001485015191 896719713 /nfs/dbraw/zinc/71/97/13/896719713.db2.gz XOFXARSJSZUMJB-UHFFFAOYSA-N 0 1 294.395 0.522 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001485014630 896720606 /nfs/dbraw/zinc/72/06/06/896720606.db2.gz ZRSKXPKNRNBEGE-STQMWFEESA-N 0 1 264.369 0.609 20 30 CCEDMN CC[C@@H](OC)C(=O)N1CCC[C@H]1CN(C)CC#CCOC ZINC001485052122 896738498 /nfs/dbraw/zinc/73/84/98/896738498.db2.gz VYPVLQLOUDLFKT-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CN(C)CCO1 ZINC001485053395 896741221 /nfs/dbraw/zinc/74/12/21/896741221.db2.gz ASUNJSJDCSHSLW-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN C=CCCC(=O)NC1CC(C[NH2+]Cc2nc(=O)n(C)[n-]2)C1 ZINC001485089292 896762465 /nfs/dbraw/zinc/76/24/65/896762465.db2.gz RQHIJGTZOKJAAM-UHFFFAOYSA-N 0 1 293.371 0.059 20 30 CCEDMN C[C@@H](NCC#N)[C@H](C)NC(=O)c1[nH]nc2c1CCC2 ZINC001485169974 896825309 /nfs/dbraw/zinc/82/53/09/896825309.db2.gz QXBNOQQTMOKQRC-BDAKNGLRSA-N 0 1 261.329 0.518 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)N(C)[C@H]1CCCNC1=O ZINC001485302846 896946001 /nfs/dbraw/zinc/94/60/01/896946001.db2.gz GWPYXMFFBQHBFI-YPMHNXCESA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccc2c(c1)C(=O)NC2=O ZINC001485378746 897006894 /nfs/dbraw/zinc/00/68/94/897006894.db2.gz YZCDWHFGTGUXMT-JTQLQIEISA-N 0 1 299.330 0.254 20 30 CCEDMN C=CCCN(C)[C@@H](C)CNC(=O)CS(=O)(=O)CC ZINC001485387453 897028916 /nfs/dbraw/zinc/02/89/16/897028916.db2.gz GRBCHLYLCBTLHY-NSHDSACASA-N 0 1 276.402 0.434 20 30 CCEDMN CN(CC#N)CCOCCNC(=O)[C@H]1CCCCN1C ZINC001485772748 897239273 /nfs/dbraw/zinc/23/92/73/897239273.db2.gz AAOZQJGUZLTPPL-CYBMUJFWSA-N 0 1 282.388 0.059 20 30 CCEDMN C=CCC[N@H+]1CCO[C@](C)(CNC(=O)Cc2cc[nH]n2)C1 ZINC001107835280 897333001 /nfs/dbraw/zinc/33/30/01/897333001.db2.gz HTVXMCYLCIXFHM-OAHLLOKOSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)Cc2cc[nH]n2)C1 ZINC001107835280 897333017 /nfs/dbraw/zinc/33/30/17/897333017.db2.gz HTVXMCYLCIXFHM-OAHLLOKOSA-N 0 1 292.383 0.735 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@]1(C)CN(CCC)CCO1 ZINC001107903783 897472917 /nfs/dbraw/zinc/47/29/17/897472917.db2.gz XMRLBHHUZAYBSA-CABCVRRESA-N 0 1 284.400 0.931 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@]1(C)CN(C(C)C)CCO1 ZINC001107903775 897473609 /nfs/dbraw/zinc/47/36/09/897473609.db2.gz XLACAOZNVVDHHM-HUUCEWRRSA-N 0 1 284.400 0.929 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2cnnn2C)C1 ZINC001107910213 897487224 /nfs/dbraw/zinc/48/72/24/897487224.db2.gz CUFZKMFWGWRAKU-AWEZNQCLSA-N 0 1 293.371 0.212 20 30 CCEDMN C#CCN1C(=O)C[C@]2(CCCN(Cc3ccon3)C2)C1=O ZINC001272756547 897539181 /nfs/dbraw/zinc/53/91/81/897539181.db2.gz GGQRRUYRYIFMSA-HNNXBMFYSA-N 0 1 287.319 0.649 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CC[N@@H+]2Cc1ccc(=O)[nH]c1 ZINC001272803116 897694899 /nfs/dbraw/zinc/69/48/99/897694899.db2.gz RLLMVELOHIFICU-ZIAGYGMSSA-N 0 1 285.347 0.986 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001098846040 898136423 /nfs/dbraw/zinc/13/64/23/898136423.db2.gz SBQIHTLWEDANOP-SDADXPQNSA-N 0 1 274.364 0.627 20 30 CCEDMN C#CCN1CCc2c(CNC(=O)COC)cccc2C1 ZINC001272910136 898157419 /nfs/dbraw/zinc/15/74/19/898157419.db2.gz YEBVUCYXRWEUFI-UHFFFAOYSA-N 0 1 272.348 0.941 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(CC)ccn1 ZINC001485998264 898582635 /nfs/dbraw/zinc/58/26/35/898582635.db2.gz FQABKCLUJZXVGO-CYBMUJFWSA-N 0 1 275.352 0.348 20 30 CCEDMN C#CC[N@H+](C)C[C@@H](O)CN(C)C(=O)C1CCCC1 ZINC001486321750 898780610 /nfs/dbraw/zinc/78/06/10/898780610.db2.gz SOOZTNNAKIOBMG-CYBMUJFWSA-N 0 1 252.358 0.561 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1CCCC1 ZINC001486321750 898780623 /nfs/dbraw/zinc/78/06/23/898780623.db2.gz SOOZTNNAKIOBMG-CYBMUJFWSA-N 0 1 252.358 0.561 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@H](C)OCC ZINC001486326022 898791378 /nfs/dbraw/zinc/79/13/78/898791378.db2.gz HIAJBZWLQZXOOG-STQMWFEESA-N 0 1 270.373 0.186 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cc(C)nc(C)c1 ZINC001486336687 898799621 /nfs/dbraw/zinc/79/96/21/898799621.db2.gz BWRFDNABZYXZOM-OAHLLOKOSA-N 0 1 289.379 0.696 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1ccon1 ZINC001486324829 898809824 /nfs/dbraw/zinc/80/98/24/898809824.db2.gz AWIFBZAYGKLBFM-NSHDSACASA-N 0 1 265.313 0.063 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H](OC)C1CCCC1 ZINC001486357761 898815102 /nfs/dbraw/zinc/81/51/02/898815102.db2.gz UAFVYNDZRMFGOV-HUUCEWRRSA-N 0 1 296.411 0.576 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H](CC(C)C)OC ZINC001486349100 898827689 /nfs/dbraw/zinc/82/76/89/898827689.db2.gz OSYVPAZNRYUJQB-HUUCEWRRSA-N 0 1 298.427 0.822 20 30 CCEDMN C#CCNC(=O)CN1CCC([C@H](C)NC(=O)CC)CC1 ZINC001486442154 898880764 /nfs/dbraw/zinc/88/07/64/898880764.db2.gz GDRVQYFGGPEUGZ-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@]2(F)CCOC2)CC1 ZINC001486514314 898914646 /nfs/dbraw/zinc/91/46/46/898914646.db2.gz UPFRQBLPQSILQB-AWEZNQCLSA-N 0 1 266.316 0.497 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001487370723 899163394 /nfs/dbraw/zinc/16/33/94/899163394.db2.gz JOKBYFQRISFCTL-GHMZBOCLSA-N 0 1 291.355 0.928 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)NCC(C)(C)CC#N)C1 ZINC001434773823 899499901 /nfs/dbraw/zinc/49/99/01/899499901.db2.gz NLWFWQVQNSTPSZ-LBPRGKRZSA-N 0 1 281.404 0.471 20 30 CCEDMN COc1cc(CNC[C@@H](C)N(C)C(=O)[C@H](C)C#N)on1 ZINC001438642188 899706670 /nfs/dbraw/zinc/70/66/70/899706670.db2.gz ZJBLETRFKDKUHG-NXEZZACHSA-N 0 1 280.328 0.779 20 30 CCEDMN C=CCCn1cc(CNC2(CO)CCOCC2)nn1 ZINC001327116312 914381804 /nfs/dbraw/zinc/38/18/04/914381804.db2.gz NZCUFUWHHHMHQQ-UHFFFAOYSA-N 0 1 266.345 0.485 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)/C(C)=C/C)CC1 ZINC001328519912 915250089 /nfs/dbraw/zinc/25/00/89/915250089.db2.gz KVEHXVOFATUIBL-WLRTZDKTSA-N 0 1 264.369 0.919 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2ccsn2)C1 ZINC001325100361 899903530 /nfs/dbraw/zinc/90/35/30/899903530.db2.gz ABCNQKJZACHZCC-CYBMUJFWSA-N 0 1 279.365 0.333 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N[C@H]1CCCN(CC#N)CC1 ZINC001327173752 914405916 /nfs/dbraw/zinc/40/59/16/914405916.db2.gz SPTNAFCEQLTSKZ-ZDUSSCGKSA-N 0 1 266.389 0.821 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1ccnn1)C2 ZINC001110487561 900059648 /nfs/dbraw/zinc/05/96/48/900059648.db2.gz DGVGLWMRNMIIEU-UPJWGTAASA-N 0 1 275.356 0.576 20 30 CCEDMN C=CCCC(=O)N(C)C[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001487728868 900114392 /nfs/dbraw/zinc/11/43/92/900114392.db2.gz STQHIDBMSYWCFH-SECBINFHSA-N 0 1 265.317 0.348 20 30 CCEDMN C=CCCC(=O)N(C)C[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001487728868 900114397 /nfs/dbraw/zinc/11/43/97/900114397.db2.gz STQHIDBMSYWCFH-SECBINFHSA-N 0 1 265.317 0.348 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@H](C)NC(=O)c1ncn[nH]1 ZINC001487872281 900150962 /nfs/dbraw/zinc/15/09/62/900150962.db2.gz DGBHYUAISOZZQR-QWRGUYRKSA-N 0 1 293.371 0.984 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N(C)C[C@H](C)NC(=O)c1nc[nH]n1 ZINC001487872281 900150965 /nfs/dbraw/zinc/15/09/65/900150965.db2.gz DGBHYUAISOZZQR-QWRGUYRKSA-N 0 1 293.371 0.984 20 30 CCEDMN C=CCCC(=O)N(C)C1CC(NC(=O)c2cnn[nH]2)C1 ZINC001488566562 900316914 /nfs/dbraw/zinc/31/69/14/900316914.db2.gz SQTGBZNZDODWOE-UHFFFAOYSA-N 0 1 277.328 0.490 20 30 CCEDMN C=CC[N@@H+](C)CCOCCN(C)C(=O)c1ncccc1[O-] ZINC001489212166 900450274 /nfs/dbraw/zinc/45/02/74/900450274.db2.gz OUAZZPYADVSMNX-UHFFFAOYSA-N 0 1 293.367 0.994 20 30 CCEDMN C=CC[N@H+](C)CCOCCN(C)C(=O)c1ncccc1[O-] ZINC001489212166 900450278 /nfs/dbraw/zinc/45/02/78/900450278.db2.gz OUAZZPYADVSMNX-UHFFFAOYSA-N 0 1 293.367 0.994 20 30 CCEDMN CCN(CCCNC(=O)[C@@H]1C[C@@H]1C)CC(=O)NCC#N ZINC001490311393 900599179 /nfs/dbraw/zinc/59/91/79/900599179.db2.gz BCGWKYMZOUUEGO-NWDGAFQWSA-N 0 1 280.372 0.110 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001490537088 900651541 /nfs/dbraw/zinc/65/15/41/900651541.db2.gz DGZHIVOITKVONN-GOEBONIOSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)CCC)C1 ZINC001493172389 900690125 /nfs/dbraw/zinc/69/01/25/900690125.db2.gz OBTCUDQNJZVHLU-OLZOCXBDSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C[C@H](C)NC(N)=O ZINC001490793346 900725929 /nfs/dbraw/zinc/72/59/29/900725929.db2.gz UIEZZMDCYRIWOO-RWMBFGLXSA-N 0 1 292.383 0.132 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H](C)OCCOCC)C1 ZINC001318444931 900833515 /nfs/dbraw/zinc/83/35/15/900833515.db2.gz HKSLIAPIMCHNDX-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CC[C@H]1CCOC1 ZINC001275527645 901115945 /nfs/dbraw/zinc/11/59/45/901115945.db2.gz IQYYYZGRZFYUQT-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CNC(=O)c1ccoc1C ZINC001275567226 901134502 /nfs/dbraw/zinc/13/45/02/901134502.db2.gz SHCLNVJPXKYMOC-NSHDSACASA-N 0 1 291.351 0.388 20 30 CCEDMN N#CC1(CC(=O)NCc2nc(O)cc(=O)[nH]2)CCOCC1 ZINC001412377254 901675117 /nfs/dbraw/zinc/67/51/17/901675117.db2.gz RAKRXPGKTDMXKM-UHFFFAOYSA-N 0 1 292.295 0.214 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)[C@@H](O)c1cccnc1 ZINC001412460959 901738500 /nfs/dbraw/zinc/73/85/00/901738500.db2.gz PMKSNORALKABKS-JLLWLGSASA-N 0 1 291.307 0.323 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCN(C)C(=O)C2CC2)C1=O ZINC001280781030 901818598 /nfs/dbraw/zinc/81/85/98/901818598.db2.gz BNFSHULKTJBOLU-CQSZACIVSA-N 0 1 293.411 0.964 20 30 CCEDMN N#Cc1cccc(C(=O)N2CC3(C[C@H]3C(N)=O)C2)c1O ZINC001276215591 901970519 /nfs/dbraw/zinc/97/05/19/901970519.db2.gz MYQKBMWOVQDRRK-JTQLQIEISA-N 0 1 271.276 0.211 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(C[C@@H](C)O)C2)nc1 ZINC001009271324 902600245 /nfs/dbraw/zinc/60/02/45/902600245.db2.gz VUTDLYVVGJZXED-TZMCWYRMSA-N 0 1 287.363 0.638 20 30 CCEDMN Cc1nc(C=Nn2c(=O)c(C)n[nH]c2=S)[nH]c1C ZINC001327633245 914666266 /nfs/dbraw/zinc/66/62/66/914666266.db2.gz VVKRHVFYJWACQX-UHFFFAOYSA-N 0 1 264.314 0.458 20 30 CCEDMN CC#CC[NH2+][C@H]1C[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001490883190 902905612 /nfs/dbraw/zinc/90/56/12/902905612.db2.gz KYFSNXNVUIHVPO-XYPYZODXSA-N 0 1 259.309 0.661 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)NCc1ccn(C)n1 ZINC001491414368 903561587 /nfs/dbraw/zinc/56/15/87/903561587.db2.gz JVXYHEKLLIGOTL-LLVKDONJSA-N 0 1 266.345 0.217 20 30 CCEDMN C[C@@H](CNC(=O)c1ccc(C#N)[nH]1)NCc1ccn(C)n1 ZINC001491431225 903581999 /nfs/dbraw/zinc/58/19/99/903581999.db2.gz JJCUPTLNOMEDCX-JTQLQIEISA-N 0 1 286.339 0.528 20 30 CCEDMN CN1[C@@H]2C[C@H](Oc3ccn4ncc(C#N)c4n3)[C@H]1CC(=O)C2 ZINC001230966349 903931746 /nfs/dbraw/zinc/93/17/46/903931746.db2.gz QIVNPWOBALCHAS-UHTWSYAYSA-N 0 1 297.318 0.784 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(C(=O)NOC)CC1 ZINC001331177508 903957964 /nfs/dbraw/zinc/95/79/64/903957964.db2.gz BODVCGFCMDMZQG-UHFFFAOYSA-N 0 1 296.371 0.138 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)COCC(F)F ZINC001316604634 904124170 /nfs/dbraw/zinc/12/41/70/904124170.db2.gz ZZPSFFHEYYAEOF-NSHDSACASA-N 0 1 274.311 0.824 20 30 CCEDMN C#CCCCC(=O)N1CC([C@H](C)NC(=O)c2cnn[nH]2)C1 ZINC001280646344 904134481 /nfs/dbraw/zinc/13/44/81/904134481.db2.gz LLLJXXSFPIWZQY-JTQLQIEISA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)COCCOC)C(C)(C)C1 ZINC001281541120 904302584 /nfs/dbraw/zinc/30/25/84/904302584.db2.gz IUNNUZXKHLHJPL-CYBMUJFWSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@@H](C)n2cccn2)CC1 ZINC001281798811 904350824 /nfs/dbraw/zinc/35/08/24/904350824.db2.gz JSWMSDKPKFVTNM-CYBMUJFWSA-N 0 1 292.383 0.573 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)C(C)(C)C(N)=O ZINC001281905416 904360927 /nfs/dbraw/zinc/36/09/27/904360927.db2.gz JPEAFIUZURMOLL-ZIAGYGMSSA-N 0 1 299.374 0.503 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)Cn1cccn1 ZINC001281919542 904369902 /nfs/dbraw/zinc/36/99/02/904369902.db2.gz OWZREVHDPYXMCT-ZDUSSCGKSA-N 0 1 260.341 0.439 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](OC)C1CC1 ZINC001281933768 904371985 /nfs/dbraw/zinc/37/19/85/904371985.db2.gz UZBSTLRWTDCUIJ-KGLIPLIRSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CCCC(N)=O ZINC001281968612 904380722 /nfs/dbraw/zinc/38/07/22/904380722.db2.gz NTRFBZYGKRTSDO-LBPRGKRZSA-N 0 1 265.357 0.198 20 30 CCEDMN C[C@@H](NCc1cnnn1C)[C@@H](C)NC(=O)C#CC1CC1 ZINC001282127548 904418256 /nfs/dbraw/zinc/41/82/56/904418256.db2.gz BOJXHMSBZXNISD-GHMZBOCLSA-N 0 1 275.356 0.211 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1cc[n+]([O-])cc1 ZINC001282343289 904449842 /nfs/dbraw/zinc/44/98/42/904449842.db2.gz CWAJXAZUGJYKEF-LBPRGKRZSA-N 0 1 261.325 0.393 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H]1CCCN(C(=O)CC)C1 ZINC001282389309 904464087 /nfs/dbraw/zinc/46/40/87/904464087.db2.gz NKAZZNKFDFGNPE-KGLIPLIRSA-N 0 1 293.411 0.705 20 30 CCEDMN Cc1nc(CC(=O)NC2(CNCC#N)CCCCC2)n[nH]1 ZINC001282607553 904510365 /nfs/dbraw/zinc/51/03/65/904510365.db2.gz VZMRROBDJYYKTF-UHFFFAOYSA-N 0 1 290.371 0.588 20 30 CCEDMN N#CCN1CCCCC[C@@H]1CNC(=O)CCc1c[nH]nn1 ZINC001282676998 904515237 /nfs/dbraw/zinc/51/52/37/904515237.db2.gz WWLMPMMETCTBDE-CYBMUJFWSA-N 0 1 290.371 0.622 20 30 CCEDMN N#CCN1CCCCC[C@@H]1CNC(=O)CCc1cnn[nH]1 ZINC001282676998 904515247 /nfs/dbraw/zinc/51/52/47/904515247.db2.gz WWLMPMMETCTBDE-CYBMUJFWSA-N 0 1 290.371 0.622 20 30 CCEDMN C=CCOCCN1CC([C@@H](C)NC(=O)c2ccn(C)n2)C1 ZINC001282745464 904536748 /nfs/dbraw/zinc/53/67/48/904536748.db2.gz UDQVLHGKGYQOPA-GFCCVEGCSA-N 0 1 292.383 0.673 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCCN1CCN1CCCC1=O ZINC001377389427 904832761 /nfs/dbraw/zinc/83/27/61/904832761.db2.gz TWORZCVFDXNLHG-OLZOCXBDSA-N 0 1 292.383 0.349 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)CC1CCCC1 ZINC001283733337 904980925 /nfs/dbraw/zinc/98/09/25/904980925.db2.gz LINOYBGDCXCJDH-ZDUSSCGKSA-N 0 1 252.358 0.657 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(C)sn1 ZINC001283745967 904989406 /nfs/dbraw/zinc/98/94/06/904989406.db2.gz UROIARVDKYFKFB-JTQLQIEISA-N 0 1 267.354 0.155 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C[C@H]1CC=CCC1 ZINC001283793483 905021566 /nfs/dbraw/zinc/02/15/66/905021566.db2.gz VHVBFDKBMWDFMK-QWHCGFSZSA-N 0 1 250.342 0.433 20 30 CCEDMN C=C[C@H](C(=O)NC[C@@H](CO)NCCF)c1ccccc1 ZINC001283832723 905049562 /nfs/dbraw/zinc/04/95/62/905049562.db2.gz XDUZNFKDHLRRPZ-KBPBESRZSA-N 0 1 280.343 0.992 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C12CCC(CC1)CC2 ZINC001283832360 905050462 /nfs/dbraw/zinc/05/04/62/905050462.db2.gz ULEMLALPFMNKAE-JVWICGRDSA-N 0 1 264.369 0.657 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1 ZINC001327932334 914872566 /nfs/dbraw/zinc/87/25/66/914872566.db2.gz IZPQTMYJILGEAL-QJPTWQEYSA-N 0 1 283.347 0.451 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)CC(C)(C)C ZINC001284483216 905314104 /nfs/dbraw/zinc/31/41/04/905314104.db2.gz QSFLMULBFHQZOM-CQSZACIVSA-N 0 1 298.427 0.824 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cnn(C)c1Cl ZINC001284503301 905336542 /nfs/dbraw/zinc/33/65/42/905336542.db2.gz ZXUYQHXYYQIWSD-JTQLQIEISA-N 0 1 298.774 0.071 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1c[nH]cc1C ZINC001284520858 905355485 /nfs/dbraw/zinc/35/54/85/905355485.db2.gz ZWRWRDJMOXIATJ-LBPRGKRZSA-N 0 1 263.341 0.321 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CCCn1ccnc1 ZINC001284520593 905357188 /nfs/dbraw/zinc/35/71/88/905357188.db2.gz VGZVERFRJVVRIL-CQSZACIVSA-N 0 1 292.383 0.048 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCN(C)C(=O)Cc1ncn[nH]1 ZINC001284529580 905362652 /nfs/dbraw/zinc/36/26/52/905362652.db2.gz JFSOGEBBAAZMNS-UHFFFAOYSA-N 0 1 293.371 0.524 20 30 CCEDMN C#CCN1CC=C(CNC(=O)CCC(=O)NCC)CC1 ZINC001284891856 905467016 /nfs/dbraw/zinc/46/70/16/905467016.db2.gz SDFXDAAAJXKGAL-UHFFFAOYSA-N 0 1 277.368 0.284 20 30 CCEDMN CC#CCCCC(=O)NCC1(NC(=O)c2cnn[nH]2)CC1 ZINC001285954793 905820351 /nfs/dbraw/zinc/82/03/51/905820351.db2.gz XKPVZGOSAYEQJV-UHFFFAOYSA-N 0 1 289.339 0.377 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001288515624 906041310 /nfs/dbraw/zinc/04/13/10/906041310.db2.gz RGOSCHGZNIRXCE-NXEZZACHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ncccc1F ZINC001379264294 906104180 /nfs/dbraw/zinc/10/41/80/906104180.db2.gz VTRAZVBTHRRVNG-SECBINFHSA-N 0 1 287.722 0.654 20 30 CCEDMN C=C(C)Cn1nnnc1N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC001334455098 906196892 /nfs/dbraw/zinc/19/68/92/906196892.db2.gz VVNKIVZGNHTBRT-GFCCVEGCSA-N 0 1 294.403 0.531 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001379384743 906204530 /nfs/dbraw/zinc/20/45/30/906204530.db2.gz GRQFESAKPDPADD-MNOVXSKESA-N 0 1 287.791 0.702 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001293867421 906521422 /nfs/dbraw/zinc/52/14/22/906521422.db2.gz IEAKUHRHGAZABB-NSHDSACASA-N 0 1 289.339 0.185 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001293867421 906521436 /nfs/dbraw/zinc/52/14/36/906521436.db2.gz IEAKUHRHGAZABB-NSHDSACASA-N 0 1 289.339 0.185 20 30 CCEDMN C=CCCCC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001294786269 906636700 /nfs/dbraw/zinc/63/67/00/906636700.db2.gz GIYTYFQPVLJNQM-SNVBAGLBSA-N 0 1 277.328 0.492 20 30 CCEDMN C=CCCCC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001294786269 906636708 /nfs/dbraw/zinc/63/67/08/906636708.db2.gz GIYTYFQPVLJNQM-SNVBAGLBSA-N 0 1 277.328 0.492 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CNC(=O)CCc1nc[nH]n1 ZINC001295459930 906740696 /nfs/dbraw/zinc/74/06/96/906740696.db2.gz ZVFZGOPHFUQFDC-LLVKDONJSA-N 0 1 291.355 0.162 20 30 CCEDMN C[C@@H](CNC(=O)CN(C)C1CCC1)NC(=O)C#CC1CC1 ZINC001295532725 906757334 /nfs/dbraw/zinc/75/73/34/906757334.db2.gz RCOSAIMHIHLINQ-LBPRGKRZSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CC[C@@H](NC(=O)c1cnncc1O)C(=O)OCC ZINC001296236086 906884015 /nfs/dbraw/zinc/88/40/15/906884015.db2.gz CDJKFHCEUYQYKM-SECBINFHSA-N 0 1 265.269 0.420 20 30 CCEDMN C=CCCC(=O)N(CC)CCNC(=O)c1ncn[nH]1 ZINC001296354617 906901788 /nfs/dbraw/zinc/90/17/88/906901788.db2.gz OFQAMMZIOQVHMK-UHFFFAOYSA-N 0 1 265.317 0.349 20 30 CCEDMN C=CCCC(=O)N(CC)CCNC(=O)c1nc[nH]n1 ZINC001296354617 906901799 /nfs/dbraw/zinc/90/17/99/906901799.db2.gz OFQAMMZIOQVHMK-UHFFFAOYSA-N 0 1 265.317 0.349 20 30 CCEDMN N#CCCCCC(=O)N1CCC(O)(c2nn[n-]n2)CC1 ZINC001296955674 906976827 /nfs/dbraw/zinc/97/68/27/906976827.db2.gz FSPGYJMBDWVXIM-UHFFFAOYSA-N 0 1 278.316 0.094 20 30 CCEDMN N#CCCCCC(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC001296955674 906976849 /nfs/dbraw/zinc/97/68/49/906976849.db2.gz FSPGYJMBDWVXIM-UHFFFAOYSA-N 0 1 278.316 0.094 20 30 CCEDMN CC(C)C#CC(=O)N1CC(NC(=O)[C@H]2CCCN2C)C1 ZINC001297022799 907000932 /nfs/dbraw/zinc/00/09/32/907000932.db2.gz XAYFRRYVCZXCCA-CYBMUJFWSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCOc1ccc(CNC(=O)Cc2nnc[nH]2)cc1 ZINC001298075285 907152738 /nfs/dbraw/zinc/15/27/38/907152738.db2.gz CFAMXKVZAMAMFS-UHFFFAOYSA-N 0 1 270.292 0.676 20 30 CCEDMN C=C(C)C[C@H](C)C(=O)NC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001298642400 907290372 /nfs/dbraw/zinc/29/03/72/907290372.db2.gz BUTSBXAKYNPIDN-VHSXEESVSA-N 0 1 279.344 0.642 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)NC(=O)CN1CCCC1 ZINC001298781035 907330149 /nfs/dbraw/zinc/33/01/49/907330149.db2.gz LNBKSYUPKVMLMX-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCN1C(=O)c1cc(C)[nH]n1 ZINC001298992826 907365152 /nfs/dbraw/zinc/36/51/52/907365152.db2.gz CTMCITGWTVXYPU-LLVKDONJSA-N 0 1 292.339 0.251 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)CCCC(N)=O ZINC001317176633 907410143 /nfs/dbraw/zinc/41/01/43/907410143.db2.gz MYJGRUDYHCSJOF-CYBMUJFWSA-N 0 1 279.384 0.636 20 30 CCEDMN C#CC[C@H](COC)NC1CC[NH+](CC(=O)[O-])CC1 ZINC001588395434 983405879 /nfs/dbraw/zinc/40/58/79/983405879.db2.gz QXCDPFDLPDTFHL-GFCCVEGCSA-N 0 1 254.330 0.163 20 30 CCEDMN C[C@H](C(N)=O)N(C)[C@@H]1CCCN(C(=O)C#CC(C)(C)C)C1 ZINC001491924432 907641195 /nfs/dbraw/zinc/64/11/95/907641195.db2.gz CNMLQPRFFNRXTO-CHWSQXEVSA-N 0 1 293.411 0.833 20 30 CCEDMN C#Cc1ccc(N2C[C@@H](C)N(CCO)C[C@@H]2C)nc1 ZINC001338029204 921344537 /nfs/dbraw/zinc/34/45/37/921344537.db2.gz ZMFULODPQZDDCM-OLZOCXBDSA-N 0 1 259.353 0.954 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H](C)n1cccn1 ZINC001492196134 907752038 /nfs/dbraw/zinc/75/20/38/907752038.db2.gz AHPYIJYGWRWCRB-CYBMUJFWSA-N 0 1 278.356 0.142 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)NCCN(C)CC#N ZINC001492278919 907826774 /nfs/dbraw/zinc/82/67/74/907826774.db2.gz ZNNZJXQADSRDRZ-NSHDSACASA-N 0 1 258.341 0.238 20 30 CCEDMN CCc1cccc(C(=O)NCCN(C)CC#CCOC)n1 ZINC001492284845 907830938 /nfs/dbraw/zinc/83/09/38/907830938.db2.gz LQSVWNAUQJCHNS-UHFFFAOYSA-N 0 1 289.379 0.955 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc2c(n1)OCCO2 ZINC001492321956 907849113 /nfs/dbraw/zinc/84/91/13/907849113.db2.gz XKVUSEUYQRBMKP-UHFFFAOYSA-N 0 1 275.308 0.148 20 30 CCEDMN C#CCCCC(=O)NCCCN(C)C(=O)Cc1c[nH]cn1 ZINC001492335494 907855864 /nfs/dbraw/zinc/85/58/64/907855864.db2.gz CSGPNUJKSABRPZ-UHFFFAOYSA-N 0 1 290.367 0.720 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C1(CF)CCC1 ZINC001492419498 907916717 /nfs/dbraw/zinc/91/67/17/907916717.db2.gz CHISWJHHNFRINT-UHFFFAOYSA-N 0 1 270.348 0.824 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)NCC(=O)Nc1nncs1 ZINC001317229820 907965253 /nfs/dbraw/zinc/96/52/53/907965253.db2.gz QMXMZBOCHSTOQV-VIFPVBQESA-N 0 1 297.384 0.537 20 30 CCEDMN C[C@H](CNC(=O)CC(N)=O)NCC#Cc1ccccc1 ZINC001317233601 907974729 /nfs/dbraw/zinc/97/47/29/907974729.db2.gz XQRCYVGWOMNUDR-GFCCVEGCSA-N 0 1 273.336 0.008 20 30 CCEDMN CC#CCCCC(=O)N1CCC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001317380029 908193157 /nfs/dbraw/zinc/19/31/57/908193157.db2.gz XHVFBWDYNOGPMJ-UONOGXRCSA-N 0 1 293.411 0.977 20 30 CCEDMN C=C(C)[C@H](CO)N[C@H]1CCO[C@H]1c1nc(C)no1 ZINC001307976708 908361634 /nfs/dbraw/zinc/36/16/34/908361634.db2.gz BOUJCWXKYPFLJW-GARJFASQSA-N 0 1 253.302 0.735 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001385425626 908424182 /nfs/dbraw/zinc/42/41/82/908424182.db2.gz RETKWKQBXOCTBG-VIFPVBQESA-N 0 1 275.312 0.290 20 30 CCEDMN CCc1ocnc1C(=O)NCCN(CC)CC#CCOC ZINC001317478275 908464007 /nfs/dbraw/zinc/46/40/07/908464007.db2.gz ZKAMPSFDKZCFDH-UHFFFAOYSA-N 0 1 293.367 0.939 20 30 CCEDMN C=CC[N@@H+](CC)CCNC(=O)c1ccc2n[nH]nc2n1 ZINC001317479039 908481053 /nfs/dbraw/zinc/48/10/53/908481053.db2.gz HLILUSAJAWQTFD-UHFFFAOYSA-N 0 1 274.328 0.591 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1n[nH]c2c1CCC2 ZINC001317481211 908486994 /nfs/dbraw/zinc/48/69/94/908486994.db2.gz HXKFGAXAXOJTQL-UHFFFAOYSA-N 0 1 260.341 0.583 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCCN1CC#CC ZINC001317499643 908545617 /nfs/dbraw/zinc/54/56/17/908545617.db2.gz PJEAKGVABRVBCX-DZGCQCFKSA-N 0 1 264.369 0.917 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@@H]1CCN(CC(=O)NC)C1 ZINC001317533978 908612972 /nfs/dbraw/zinc/61/29/72/908612972.db2.gz BNBGHUMMFHISGE-STQMWFEESA-N 0 1 281.400 0.773 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1C ZINC001341642605 908643950 /nfs/dbraw/zinc/64/39/50/908643950.db2.gz JRCSIAXMMZHCIE-VXGBXAGGSA-N 0 1 259.309 0.845 20 30 CCEDMN C[C@@H]1COCCN(C(=O)NCC#CCN(C)C)C1 ZINC001313439041 908665496 /nfs/dbraw/zinc/66/54/96/908665496.db2.gz DVKFVUXRBNMDDD-LBPRGKRZSA-N 0 1 253.346 0.229 20 30 CCEDMN CC(=O)N1CC[C@H](CN(C)C(=O)NCC#CCN(C)C)C1 ZINC001313437858 908666886 /nfs/dbraw/zinc/66/68/86/908666886.db2.gz FGQHFMNTDPDMFO-CQSZACIVSA-N 0 1 294.399 0.061 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)Cc1ncc(Cl)n1C ZINC001313438828 908666931 /nfs/dbraw/zinc/66/69/31/908666931.db2.gz BUZRSUASNDSUQR-UHFFFAOYSA-N 0 1 297.790 0.780 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CCCOC(C)(C)C1 ZINC001313437696 908667110 /nfs/dbraw/zinc/66/71/10/908667110.db2.gz CELNPGBRKSAOQY-UHFFFAOYSA-N 0 1 267.373 0.762 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H](C)CNC(=O)[C@H](C)C#N)c1C ZINC001386458872 908750063 /nfs/dbraw/zinc/75/00/63/908750063.db2.gz TVUIZZYPYXLFPG-HTQZYQBOSA-N 0 1 277.328 0.421 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1nc(C)c[nH]1 ZINC001316854106 908809961 /nfs/dbraw/zinc/80/99/61/908809961.db2.gz QMUJYOLWBNTYNT-UHFFFAOYSA-N 0 1 293.371 0.072 20 30 CCEDMN CC(C)NC(=O)CN(CCCNC(=O)[C@H](C)C#N)C1CC1 ZINC001316914017 908843443 /nfs/dbraw/zinc/84/34/43/908843443.db2.gz IZDRLXNCMOEJNJ-GFCCVEGCSA-N 0 1 294.399 0.641 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(C(=O)NC)CCC2)C1 ZINC001316990087 908902531 /nfs/dbraw/zinc/90/25/31/908902531.db2.gz DGRQGPVYQXSAEA-NSHDSACASA-N 0 1 265.357 0.279 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCn2cc(C)cn2)C1 ZINC001316994961 908905865 /nfs/dbraw/zinc/90/58/65/908905865.db2.gz MHDVPSXCRNXEPI-CQSZACIVSA-N 0 1 274.368 0.795 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)Cn1cc(C)cn1 ZINC001317168201 909026739 /nfs/dbraw/zinc/02/67/39/909026739.db2.gz WIUGDCKUFXJKCS-AWEZNQCLSA-N 0 1 274.368 0.795 20 30 CCEDMN C=CCOCCN1CCC[C@@H]1CNC(=O)c1n[nH]cc1F ZINC001317507572 909271995 /nfs/dbraw/zinc/27/19/95/909271995.db2.gz CKRIAVLWFBUCJF-LLVKDONJSA-N 0 1 296.346 0.946 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)COCCCOC ZINC001317509937 909279645 /nfs/dbraw/zinc/27/96/45/909279645.db2.gz UBGOAFPMJNULPZ-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCCc1nc(C)no1 ZINC001317516454 909284494 /nfs/dbraw/zinc/28/44/94/909284494.db2.gz OOLJTKQUWJTRCE-ZDUSSCGKSA-N 0 1 290.367 0.915 20 30 CCEDMN C=C[C@H](CC)CC(=O)NC[C@H]1CCN(CC(=O)NC)C1 ZINC001317533973 909306230 /nfs/dbraw/zinc/30/62/30/909306230.db2.gz BNBGHUMMFHISGE-CHWSQXEVSA-N 0 1 281.400 0.773 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@@H]1CCCOC1)C1CC1 ZINC001317549100 909319955 /nfs/dbraw/zinc/31/99/55/909319955.db2.gz JWELYVCBDMCENM-CQSZACIVSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001317767540 909486323 /nfs/dbraw/zinc/48/63/23/909486323.db2.gz QTTGNGMGGIZFOQ-XQQFMLRXSA-N 0 1 279.384 0.607 20 30 CCEDMN CC[C@@H](C)C(=O)NCC[C@@H]1CCN(CC(=O)NCC#N)C1 ZINC001317774969 909489319 /nfs/dbraw/zinc/48/93/19/909489319.db2.gz NBSRSNTYNGFFKM-CHWSQXEVSA-N 0 1 294.399 0.500 20 30 CCEDMN C=CCCCN1CC(NC(=O)C[C@@H]2COC(=O)C2)C1 ZINC001318017062 909579980 /nfs/dbraw/zinc/57/99/80/909579980.db2.gz XJOVEYHLNZGWSX-NSHDSACASA-N 0 1 266.341 0.706 20 30 CCEDMN C=CCOCCN1CC(NC(=O)[C@H]2CCCN2C)C1 ZINC001318051753 909599729 /nfs/dbraw/zinc/59/97/29/909599729.db2.gz PPIOVXFQOIJURZ-CYBMUJFWSA-N 0 1 267.373 0.084 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@H](OC)C2CC2)C1 ZINC001318328977 909708377 /nfs/dbraw/zinc/70/83/77/909708377.db2.gz DFJSNWFEXRBJOP-ZIAGYGMSSA-N 0 1 282.384 0.805 20 30 CCEDMN CCOCCN1CC[C@@H](NC(=O)C#CC(C)C)C1 ZINC001318330825 909712736 /nfs/dbraw/zinc/71/27/36/909712736.db2.gz GZDIVPPCKUYJMU-CYBMUJFWSA-N 0 1 252.358 0.873 20 30 CCEDMN CN1CCC(C#N)(NC(=O)/C=C/c2cnccn2)CC1 ZINC001318430722 909755288 /nfs/dbraw/zinc/75/52/88/909755288.db2.gz CIQVOIJRJAZVGL-NSCUHMNNSA-N 0 1 271.324 0.594 20 30 CCEDMN C#CC[NH+]1CC[C@H](N(CC)C(=O)C[N@@H+]2CC[C@@H](C)C2)C1 ZINC001318482614 909773973 /nfs/dbraw/zinc/77/39/73/909773973.db2.gz KOVJHRGYWZTGDG-CABCVRRESA-N 0 1 277.412 0.884 20 30 CCEDMN COCC(=O)NCCC(=O)Nc1cc(C#N)ccc1O ZINC001318980047 909944172 /nfs/dbraw/zinc/94/41/72/909944172.db2.gz MJNQNMIECAZFQY-UHFFFAOYSA-N 0 1 277.280 0.355 20 30 CCEDMN C[C@H](NC(=O)C(=O)Nc1ccc(C#N)cc1)c1nnc[nH]1 ZINC001338707010 921549079 /nfs/dbraw/zinc/54/90/79/921549079.db2.gz IVORSBWLWUQWBL-QMMMGPOBSA-N 0 1 284.279 0.492 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](NC(C)=O)C1CCCC1 ZINC001320018771 910417666 /nfs/dbraw/zinc/41/76/66/910417666.db2.gz QJIOWHPWHDSKDC-OAHLLOKOSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnc2cc(C)nn2c1C ZINC001320028764 910424368 /nfs/dbraw/zinc/42/43/68/910424368.db2.gz AVRUFJXGZMMPEE-UHFFFAOYSA-N 0 1 299.378 0.983 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H]1CCNC(=O)CC1 ZINC001320029072 910424494 /nfs/dbraw/zinc/42/44/94/910424494.db2.gz NGADRBMGLMYESA-ZDUSSCGKSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CC[N@H+](C)CCN(C)C(=O)[C@H]1CC12CCOCC2 ZINC001320096630 910463856 /nfs/dbraw/zinc/46/38/56/910463856.db2.gz OFZNLHAGMANKGX-CYBMUJFWSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CC12CCOCC2 ZINC001320096630 910463862 /nfs/dbraw/zinc/46/38/62/910463862.db2.gz OFZNLHAGMANKGX-CYBMUJFWSA-N 0 1 264.369 0.827 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1ccc2cncn2c1C ZINC001320102813 910466816 /nfs/dbraw/zinc/46/68/16/910466816.db2.gz DZJUWHYUPWYXDE-UHFFFAOYSA-N 0 1 272.308 0.675 20 30 CCEDMN C=CCCC(=O)NC[C@H](O)CNCc1snnc1C ZINC001320203557 910512769 /nfs/dbraw/zinc/51/27/69/910512769.db2.gz NJZRNKVTFXMYDM-SNVBAGLBSA-N 0 1 284.385 0.379 20 30 CCEDMN CO[C@H]1CCC[C@@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC001338836614 921587880 /nfs/dbraw/zinc/58/78/80/921587880.db2.gz JBIKVIACHWVHOQ-RYUDHWBXSA-N 0 1 265.357 0.906 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N1CCN(CC(C)(C)O)CC1 ZINC001320314608 910602993 /nfs/dbraw/zinc/60/29/93/910602993.db2.gz XTDWKPLPROBZQY-LBPRGKRZSA-N 0 1 285.388 0.286 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCNCc1cnn(C)n1 ZINC001320404772 910662787 /nfs/dbraw/zinc/66/27/87/910662787.db2.gz ARICTELUSZVYJD-LBPRGKRZSA-N 0 1 277.372 0.459 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC001320605069 910758369 /nfs/dbraw/zinc/75/83/69/910758369.db2.gz NZOROMQNPZPJIH-HZSPNIEDSA-N 0 1 297.399 0.428 20 30 CCEDMN N#CCNC(=O)CN[C@H](c1nnc[nH]1)c1ccccc1 ZINC001320895486 910921143 /nfs/dbraw/zinc/92/11/43/910921143.db2.gz QKJWXXSHVGYENI-LBPRGKRZSA-N 0 1 270.296 0.123 20 30 CCEDMN CO[C@H](C)CN1CCN(CCN(C)C(=O)[C@H](C)C#N)CC1 ZINC001391336512 911046122 /nfs/dbraw/zinc/04/61/22/911046122.db2.gz KBMOUEPXAQBFQV-ZIAGYGMSSA-N 0 1 296.415 0.257 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)CN2CCC(CO)CC2)C1 ZINC001339060013 921640696 /nfs/dbraw/zinc/64/06/96/921640696.db2.gz JUTVRAOABLOREF-ZDUSSCGKSA-N 0 1 264.369 0.563 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H](C)CNCc1ocnc1C ZINC001321513310 911338462 /nfs/dbraw/zinc/33/84/62/911338462.db2.gz CNMLYAQGUMBPSY-ZYHUDNBSSA-N 0 1 279.340 0.616 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@](C)(CNCc1ccn(C)n1)C1CC1 ZINC001391790889 911402947 /nfs/dbraw/zinc/40/29/47/911402947.db2.gz XNBAXEJNUCXGAS-NHYWBVRUSA-N 0 1 289.383 0.954 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](CCS(=O)(=O)N(C)C)C1 ZINC001321837200 911518128 /nfs/dbraw/zinc/51/81/28/911518128.db2.gz WTDOLDRAGCWSPF-NSHDSACASA-N 0 1 262.375 0.155 20 30 CCEDMN C=CCO[C@H]1CCN(CCS(=O)(=O)N(C)C)C1 ZINC001321837200 911518142 /nfs/dbraw/zinc/51/81/42/911518142.db2.gz WTDOLDRAGCWSPF-NSHDSACASA-N 0 1 262.375 0.155 20 30 CCEDMN N#CCNC[C@@H]1CCCC[C@H]1NC(=O)CCc1nc[nH]n1 ZINC001322329210 911765370 /nfs/dbraw/zinc/76/53/70/911765370.db2.gz GAUHASBSLLDVBW-NWDGAFQWSA-N 0 1 290.371 0.525 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1cncc(C)c1 ZINC001392394625 911780169 /nfs/dbraw/zinc/78/01/69/911780169.db2.gz YFVSGLDHRXEQDU-CYBMUJFWSA-N 0 1 297.786 0.752 20 30 CCEDMN COC(=O)CC(C)(C)N(C)CC(=O)NC1(C#N)CCC1 ZINC001322622971 911889756 /nfs/dbraw/zinc/88/97/56/911889756.db2.gz QQIBVNKSMKNZQW-UHFFFAOYSA-N 0 1 281.356 0.822 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(=O)n(C)n1 ZINC001392618874 911994627 /nfs/dbraw/zinc/99/46/27/911994627.db2.gz XFZDYGRDESKHGF-VIFPVBQESA-N 0 1 284.747 0.241 20 30 CCEDMN C#CCSCCNC(=O)C(=O)NCc1cc(CC)[nH]n1 ZINC001323086487 912105858 /nfs/dbraw/zinc/10/58/58/912105858.db2.gz AQCWZBMVDGQDBB-UHFFFAOYSA-N 0 1 294.380 0.071 20 30 CCEDMN C=CCCn1cc(C=Nn2c(=O)c(C)n[nH]c2=S)nn1 ZINC001327993354 914910172 /nfs/dbraw/zinc/91/01/72/914910172.db2.gz NSUYMSCJQHWMIX-UHFFFAOYSA-N 0 1 291.340 0.285 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](C)n1cncn1 ZINC001398901036 914935797 /nfs/dbraw/zinc/93/57/97/914935797.db2.gz DFYVJXRAIUVQCO-NXEZZACHSA-N 0 1 271.752 0.686 20 30 CCEDMN C=CC[C@H](NC(=O)NCCCn1cc[nH+]c1C)C(=O)[O-] ZINC001339492926 921738111 /nfs/dbraw/zinc/73/81/11/921738111.db2.gz JRVFILCZJRQKMO-NSHDSACASA-N 0 1 280.328 0.910 20 30 CCEDMN C=CCN(C(=O)Cc1ccn[nH]1)[C@@H]1CCS(=O)(=O)C1 ZINC001339496085 921739008 /nfs/dbraw/zinc/73/90/08/921739008.db2.gz RLRRTAIBHWIKFR-LLVKDONJSA-N 0 1 283.353 0.154 20 30 CCEDMN C#CC[C@H]1CCCN(c2nnc(-c3c[nH]nn3)n2C)C1 ZINC001339530283 921751735 /nfs/dbraw/zinc/75/17/35/921751735.db2.gz NJGDDWSLIYQYOX-JTQLQIEISA-N 0 1 271.328 0.840 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)C[C@@](C)(O)C2CC2)CC1 ZINC001328520577 915249117 /nfs/dbraw/zinc/24/91/17/915249117.db2.gz UAJNZPGCCXFYSM-OAHLLOKOSA-N 0 1 294.395 0.114 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2c(C)ncn2C)CC1 ZINC001328529360 915256205 /nfs/dbraw/zinc/25/62/05/915256205.db2.gz LYZVOPMEIAHAOK-UHFFFAOYSA-N 0 1 292.383 0.471 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCCN1CCNC(=O)C1CC1 ZINC001399830913 915401534 /nfs/dbraw/zinc/40/15/34/915401534.db2.gz MNPNSKFZSZRRSO-WCQYABFASA-N 0 1 292.383 0.253 20 30 CCEDMN COCC#CC[NH2+][C@@H](C)[C@@H](C)NC(=O)c1ncccc1[O-] ZINC001329014825 915592875 /nfs/dbraw/zinc/59/28/75/915592875.db2.gz MZULIZZRJBYBCB-NWDGAFQWSA-N 0 1 291.351 0.533 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)CCc2c[nH]nn2)C1 ZINC001400630294 915842248 /nfs/dbraw/zinc/84/22/48/915842248.db2.gz LHFMMUCTPXELAN-NSHDSACASA-N 0 1 283.763 0.680 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)CCc2cnn[nH]2)C1 ZINC001400630294 915842261 /nfs/dbraw/zinc/84/22/61/915842261.db2.gz LHFMMUCTPXELAN-NSHDSACASA-N 0 1 283.763 0.680 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1cc[nH]c(=O)c1 ZINC001329411846 915890868 /nfs/dbraw/zinc/89/08/68/915890868.db2.gz CQNTZVQRAQEXJJ-GFCCVEGCSA-N 0 1 291.351 0.487 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCc2ncncc2C1 ZINC001329462631 915939013 /nfs/dbraw/zinc/93/90/13/915939013.db2.gz ZLGUGLGKJGHYSW-STQMWFEESA-N 0 1 286.379 0.651 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1c[nH]c(=O)cn1 ZINC001401087641 916020530 /nfs/dbraw/zinc/02/05/30/916020530.db2.gz RRAUHWYRMYVBBV-SNVBAGLBSA-N 0 1 296.758 0.717 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCc1cn(C)nn1 ZINC001401268513 916155497 /nfs/dbraw/zinc/15/54/97/916155497.db2.gz QPUAGWCMVZUBPQ-NSHDSACASA-N 0 1 299.806 0.937 20 30 CCEDMN Cc1n[nH]c(CCn2c(C)nnc2N(C)C[C@H](C)C#N)n1 ZINC001401410627 916242366 /nfs/dbraw/zinc/24/23/66/916242366.db2.gz VSROJKMLVOHKIZ-SECBINFHSA-N 0 1 288.359 0.852 20 30 CCEDMN Cc1nnc(CCn2c(C)nnc2N(C)C[C@H](C)C#N)[nH]1 ZINC001401410627 916242385 /nfs/dbraw/zinc/24/23/85/916242385.db2.gz VSROJKMLVOHKIZ-SECBINFHSA-N 0 1 288.359 0.852 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1snnc1C ZINC001401491534 916278816 /nfs/dbraw/zinc/27/88/16/916278816.db2.gz QIXYVEPXDQNLTI-MRVPVSSYSA-N 0 1 290.776 0.279 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCCC2(CN(C(=O)CC)C2)C1 ZINC001330473558 916652589 /nfs/dbraw/zinc/65/25/89/916652589.db2.gz AJWLXWUFMZXKCO-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(CC(N)=O)C1CCCCCC1 ZINC001401990723 916744326 /nfs/dbraw/zinc/74/43/26/916744326.db2.gz QXHWDAPXZWTFMG-GFCCVEGCSA-N 0 1 294.399 0.772 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)C(=O)NCc2cc(C)[nH]n2)CC1 ZINC001330993855 917010827 /nfs/dbraw/zinc/01/08/27/917010827.db2.gz HDFNVEAXNJEOLX-HAQNSBGRSA-N 0 1 288.351 0.643 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@@H]1CC[N@@H+](CC2CC2)C1 ZINC001331300953 917251585 /nfs/dbraw/zinc/25/15/85/917251585.db2.gz AGAKJEMRQVROBL-CYBMUJFWSA-N 0 1 279.384 0.621 20 30 CCEDMN N#CCCCNC(=O)N1CCc2sc(CN)nc2C1 ZINC001331382304 917294319 /nfs/dbraw/zinc/29/43/19/917294319.db2.gz OHNBSUOHJMXTHZ-UHFFFAOYSA-N 0 1 279.369 0.973 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CC(C)(C)CNCc1cn(C)nn1 ZINC001403056286 917448464 /nfs/dbraw/zinc/44/84/64/917448464.db2.gz NXHSYBSJDTZWMC-LLVKDONJSA-N 0 1 292.387 0.549 20 30 CCEDMN CC[C@H](F)CN[C@H](CO)CNC(=O)C#CC(C)C ZINC001331703755 917529819 /nfs/dbraw/zinc/52/98/19/917529819.db2.gz DALMWPMDGYJMNQ-RYUDHWBXSA-N 0 1 258.337 0.461 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C[C@@H]1Cc2ccccc2O1 ZINC001331724555 917549229 /nfs/dbraw/zinc/54/92/29/917549229.db2.gz JRHJWDZFJSBFQJ-KBPBESRZSA-N 0 1 288.347 0.080 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc2c1COCC2 ZINC001331725813 917549506 /nfs/dbraw/zinc/54/95/06/917549506.db2.gz QEKFWMXVLFHXRV-CYBMUJFWSA-N 0 1 288.347 0.073 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ncc(C)cc1OC ZINC001331727599 917551214 /nfs/dbraw/zinc/55/12/14/917551214.db2.gz ZQNVSUBWNKBRHG-GFCCVEGCSA-N 0 1 291.351 0.102 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](CO)NC/C=C/Cl ZINC001331789384 917610657 /nfs/dbraw/zinc/61/06/57/917610657.db2.gz IARDYNZJYHWWEM-CQEMYWFJSA-N 0 1 288.775 0.397 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H](C)c1c(C)n[nH]c1C ZINC001331806427 917624926 /nfs/dbraw/zinc/62/49/26/917624926.db2.gz VFUMMAWWQZPETM-ZWNOBZJWSA-N 0 1 292.383 0.220 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ncoc1C(C)(C)C ZINC001331823255 917641044 /nfs/dbraw/zinc/64/10/44/917641044.db2.gz DLJRDIYADJJUCZ-LLVKDONJSA-N 0 1 293.367 0.676 20 30 CCEDMN C#CCC(C)(C)C(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001331905993 917705337 /nfs/dbraw/zinc/70/53/37/917705337.db2.gz GVYSNPZVCIQVTJ-SNVBAGLBSA-N 0 1 276.340 0.974 20 30 CCEDMN C#CCC(C)(C)C(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001331905993 917705349 /nfs/dbraw/zinc/70/53/49/917705349.db2.gz GVYSNPZVCIQVTJ-SNVBAGLBSA-N 0 1 276.340 0.974 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)CCCC#N)n1 ZINC001332003877 917796273 /nfs/dbraw/zinc/79/62/73/917796273.db2.gz HLALHSXUUOCNOC-UHFFFAOYSA-N 0 1 253.331 0.978 20 30 CCEDMN CSCc1nnc(CNC(=O)CCCC#N)[nH]1 ZINC001332003877 917796283 /nfs/dbraw/zinc/79/62/83/917796283.db2.gz HLALHSXUUOCNOC-UHFFFAOYSA-N 0 1 253.331 0.978 20 30 CCEDMN COCCC(=O)NC[C@@H](CO)NCc1cccc(C#N)c1 ZINC001403615758 917850673 /nfs/dbraw/zinc/85/06/73/917850673.db2.gz DIXZRTFJDJFTBU-AWEZNQCLSA-N 0 1 291.351 0.161 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+](C)C[C@H]1CCS(=O)(=O)C1 ZINC001588730358 983998502 /nfs/dbraw/zinc/99/85/02/983998502.db2.gz YSAVVTFVIVOAIM-NXEZZACHSA-N 0 1 261.343 0.382 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@@H](C)[NH+]1CCSCC1)C(=O)[O-] ZINC001332135652 917893349 /nfs/dbraw/zinc/89/33/49/917893349.db2.gz KNGODGZFQNZZJZ-NXEZZACHSA-N 0 1 272.370 0.569 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001403836575 918050334 /nfs/dbraw/zinc/05/03/34/918050334.db2.gz WIOQZVMPBOALEW-NSHDSACASA-N 0 1 296.758 0.661 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)CC[C@H]1CCCO1 ZINC001332617018 918318058 /nfs/dbraw/zinc/31/80/58/918318058.db2.gz BTYWJZZZOAKUGQ-HUUCEWRRSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CCCC[N@@H+]1CCC)C(=O)[O-] ZINC001332621873 918320427 /nfs/dbraw/zinc/32/04/27/918320427.db2.gz IRLXSECFLBYQKD-RYUDHWBXSA-N 0 1 266.341 0.844 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)COCC(F)F ZINC001332648537 918351953 /nfs/dbraw/zinc/35/19/53/918351953.db2.gz QGGMCMWZENMXBQ-LLVKDONJSA-N 0 1 292.326 0.043 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C[C@@H](C)COC ZINC001332664404 918366851 /nfs/dbraw/zinc/36/68/51/918366851.db2.gz LSRDCKFGHAXIFW-KGLIPLIRSA-N 0 1 284.400 0.433 20 30 CCEDMN C#CCN(C)CCN(C(=O)C[C@H]1CCC(=O)NC1)C(C)C ZINC001332710721 918395878 /nfs/dbraw/zinc/39/58/78/918395878.db2.gz JYTQSISMTIGYEP-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)c2ncn(C)n2)C1 ZINC001404592541 918400101 /nfs/dbraw/zinc/40/01/01/918400101.db2.gz KLFWHJBORUHHQR-NSHDSACASA-N 0 1 297.790 0.962 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)NC[C@@H]2CCN2C)C1 ZINC001332865875 918494290 /nfs/dbraw/zinc/49/42/90/918494290.db2.gz NFFCSRGSXFESCU-NWDGAFQWSA-N 0 1 253.346 0.677 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nccnc2C)CC1 ZINC001332925201 918533826 /nfs/dbraw/zinc/53/38/26/918533826.db2.gz BXKMFMLZJQVXOC-UHFFFAOYSA-N 0 1 258.325 0.612 20 30 CCEDMN C=CCCC(=O)NCC1=CCN(CCn2cncn2)CC1 ZINC001333304136 918787791 /nfs/dbraw/zinc/78/77/91/918787791.db2.gz KTQNDVPTSWXYOT-UHFFFAOYSA-N 0 1 289.383 0.993 20 30 CCEDMN N#CCN1CC=C(CNC(=O)CCc2cnc[nH]2)CC1 ZINC001333352266 918830332 /nfs/dbraw/zinc/83/03/32/918830332.db2.gz ZDTZZEBPGIQHDK-UHFFFAOYSA-N 0 1 273.340 0.614 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C(=O)N(CC)[C@H]2CCN(C)C2)C1 ZINC001333470637 918932521 /nfs/dbraw/zinc/93/25/21/918932521.db2.gz SHYLNXHPYHLHFU-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)COC(C)(C)C ZINC001406223860 919121022 /nfs/dbraw/zinc/12/10/22/919121022.db2.gz VWNCJBKZVBPYTF-SNVBAGLBSA-N 0 1 278.780 0.621 20 30 CCEDMN COCC#CC(=O)N1CCCN(C[C@@H]2CCCO2)CC1 ZINC001333923036 919251920 /nfs/dbraw/zinc/25/19/20/919251920.db2.gz KTHKPFMZQKNUEW-AWEZNQCLSA-N 0 1 280.368 0.350 20 30 CCEDMN COc1nccc(CNC[C@H](C)NC(=O)[C@H](C)C#N)n1 ZINC001406454820 919283006 /nfs/dbraw/zinc/28/30/06/919283006.db2.gz MPRUPHZQQQEWGF-ZJUUUORDSA-N 0 1 277.328 0.239 20 30 CCEDMN Cc1nccnc1CNC[C@H](C)NC(=O)c1c[nH]c(C#N)c1 ZINC001406463625 919289769 /nfs/dbraw/zinc/28/97/69/919289769.db2.gz ZBAHSRCGWMCVMZ-JTQLQIEISA-N 0 1 298.350 0.893 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H]1CCC(=O)N1C ZINC001406520548 919324424 /nfs/dbraw/zinc/32/44/24/919324424.db2.gz QGTDIQNODOLFFN-VHSXEESVSA-N 0 1 273.764 0.454 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](CC2CN(S(C)(=O)=O)C2)C1 ZINC001334044568 919342574 /nfs/dbraw/zinc/34/25/74/919342574.db2.gz SEKLJHQXAHQFJY-GFCCVEGCSA-N 0 1 274.386 0.155 20 30 CCEDMN CC[C@H](C(N)=O)N(CC)CCNC(=O)C#CC(C)C ZINC001492953936 919402065 /nfs/dbraw/zinc/40/20/65/919402065.db2.gz YOSGSAKSLBMBOW-GFCCVEGCSA-N 0 1 267.373 0.348 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@H](C)[C@@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC001334447332 919590203 /nfs/dbraw/zinc/59/02/03/919590203.db2.gz FYVKPEUALMPAEY-WOPDTQHZSA-N 0 1 299.371 0.424 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@H](C)[C@@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC001334447332 919590221 /nfs/dbraw/zinc/59/02/21/919590221.db2.gz FYVKPEUALMPAEY-WOPDTQHZSA-N 0 1 299.371 0.424 20 30 CCEDMN COCC[C@@](C)(C#N)NC(=O)C1=NC(=O)N(C)C1 ZINC001334805952 919779424 /nfs/dbraw/zinc/77/94/24/919779424.db2.gz MZRXDVGYZDZKRV-NSHDSACASA-N 0 1 252.274 0.174 20 30 CCEDMN C=CC[C@H]1NC(=O)N(Cc2nc(CSC)n[nH]2)C1=O ZINC001335228000 920039302 /nfs/dbraw/zinc/03/93/02/920039302.db2.gz IFCZNORYZQFGRF-SSDOTTSWSA-N 0 1 281.341 0.664 20 30 CCEDMN C=CC[C@H]1NC(=O)N(Cc2nnc(CSC)[nH]2)C1=O ZINC001335228000 920039315 /nfs/dbraw/zinc/03/93/15/920039315.db2.gz IFCZNORYZQFGRF-SSDOTTSWSA-N 0 1 281.341 0.664 20 30 CCEDMN Cc1cc(COCC(=O)NC2(C#N)CCN(C)CC2)no1 ZINC001335790459 920436196 /nfs/dbraw/zinc/43/61/96/920436196.db2.gz RHNJHXJGIBKUAK-UHFFFAOYSA-N 0 1 292.339 0.604 20 30 CCEDMN C=CCC[C@H]1CCCN1C(=O)NCC(=O)N1CCNCC1 ZINC001336118233 920618369 /nfs/dbraw/zinc/61/83/69/920618369.db2.gz WSHWVADTJCSCJY-ZDUSSCGKSA-N 0 1 294.399 0.558 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)NCc2n[nH]c(C)n2)CC1 ZINC001336421691 920767238 /nfs/dbraw/zinc/76/72/38/920767238.db2.gz YPHHVTBDCCTLHO-UHFFFAOYSA-N 0 1 277.328 0.154 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCN(C)C(=O)[C@H]1CCCCN1C ZINC001415598188 920777035 /nfs/dbraw/zinc/77/70/35/920777035.db2.gz MDFXGMQEQIDPPX-NWDGAFQWSA-N 0 1 280.372 0.205 20 30 CCEDMN CCN(CCNC(=O)[C@@H](C)C#N)C(=O)CCc1cnc[nH]1 ZINC001415720612 920812101 /nfs/dbraw/zinc/81/21/01/920812101.db2.gz NVOCFJAYIYJNML-NSHDSACASA-N 0 1 291.355 0.467 20 30 CCEDMN C[C@@H](C#N)C(=O)N(CCNC(=O)Cc1cnc[nH]1)C1CC1 ZINC001415889825 920854936 /nfs/dbraw/zinc/85/49/36/920854936.db2.gz CNMMSIWUSBOGOK-JTQLQIEISA-N 0 1 289.339 0.219 20 30 CCEDMN C#C[C@@H](C)NCCS(=O)(=O)CC(=O)OC(C)(C)C ZINC001336766336 920990785 /nfs/dbraw/zinc/99/07/85/920990785.db2.gz VDOPEOLABKYJHX-SNVBAGLBSA-N 0 1 275.370 0.354 20 30 CCEDMN C=C(C)COCCNC(=O)N1CCNC[C@H]1CCOC ZINC001336850605 921059729 /nfs/dbraw/zinc/05/97/29/921059729.db2.gz YHTIBIXJOIVCMS-CYBMUJFWSA-N 0 1 285.388 0.599 20 30 CCEDMN C#CCNCC(=O)NC1(CC(=O)OC)CCCCC1 ZINC001339937936 921886506 /nfs/dbraw/zinc/88/65/06/921886506.db2.gz DVNDQMDVAKEPGG-UHFFFAOYSA-N 0 1 266.341 0.591 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(N(C)C)c(F)c1 ZINC001340062531 921940809 /nfs/dbraw/zinc/94/08/09/921940809.db2.gz NKZNMYPLKNIJJO-UHFFFAOYSA-N 0 1 263.316 0.731 20 30 CCEDMN COc1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)c(Cl)n1 ZINC001340133968 921977005 /nfs/dbraw/zinc/97/70/05/921977005.db2.gz NXJNVZXMBOPJJR-APPZFPTMSA-N 0 1 280.715 0.585 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(C)onc2CO)CC1 ZINC001340179309 921996372 /nfs/dbraw/zinc/99/63/72/921996372.db2.gz NPEIGJKDKJWAIM-UHFFFAOYSA-N 0 1 277.324 0.303 20 30 CCEDMN Cc1nn(C)cc1CN1CCC[C@@H]1CNC(=O)[C@@H](C)C#N ZINC001418597797 922296650 /nfs/dbraw/zinc/29/66/50/922296650.db2.gz WDCWKWPPXDYFFU-SMDDNHRTSA-N 0 1 289.383 0.969 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](C(F)(F)F)O[C@@H](C)C1 ZINC001341580291 922565293 /nfs/dbraw/zinc/56/52/93/922565293.db2.gz UIRVTHRDMLYVSL-VHSXEESVSA-N 0 1 278.274 0.778 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1snnc1C1CC1 ZINC001341643348 922600011 /nfs/dbraw/zinc/60/00/11/922600011.db2.gz MTEMBAQFYXTRGA-HTQZYQBOSA-N 0 1 263.326 0.257 20 30 CCEDMN CC(C)c1nnsc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC001341748572 922655394 /nfs/dbraw/zinc/65/53/94/922655394.db2.gz QNFBWVQKZZUQNB-HTQZYQBOSA-N 0 1 265.342 0.503 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1CCCC(=O)N1 ZINC001419215688 922709745 /nfs/dbraw/zinc/70/97/45/922709745.db2.gz KGPGHNBQOSOYTR-GHMZBOCLSA-N 0 1 287.791 0.892 20 30 CCEDMN C=CCn1c(N2CCN(CC)[C@H](C)C2)nnc1C1(O)CC1 ZINC001342146239 922831694 /nfs/dbraw/zinc/83/16/94/922831694.db2.gz IJZYJBPXPKWODJ-GFCCVEGCSA-N 0 1 291.399 0.976 20 30 CCEDMN CN(C)c1cnc(C(=O)[C@H](C#N)c2nccn2C)cn1 ZINC001342268878 922883755 /nfs/dbraw/zinc/88/37/55/922883755.db2.gz QWVLOKGFWOEDDL-VIFPVBQESA-N 0 1 270.296 0.766 20 30 CCEDMN CN(C)c1cnc(C(=O)C(C#N)c2nccn2C)cn1 ZINC001342268878 922883767 /nfs/dbraw/zinc/88/37/67/922883767.db2.gz QWVLOKGFWOEDDL-VIFPVBQESA-N 0 1 270.296 0.766 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1OC[C@@H]2COCC[C@H]12 ZINC001342592430 923017600 /nfs/dbraw/zinc/01/76/00/923017600.db2.gz REGXRUMNISLAJD-ZQDZILKHSA-N 0 1 293.363 0.679 20 30 CCEDMN CCn1nc(C)c(CN[C@H](C)CCNC(=O)[C@@H](C)C#N)n1 ZINC001420068004 923283435 /nfs/dbraw/zinc/28/34/35/923283435.db2.gz NLFUMBRNNCZFKP-WDEREUQCSA-N 0 1 292.387 0.751 20 30 CCEDMN CC(C)n1ccc(CC(=O)C(C#N)C(=O)NC2CC2)n1 ZINC001343448045 923322560 /nfs/dbraw/zinc/32/25/60/923322560.db2.gz QRVMUEWBCJYUML-GFCCVEGCSA-N 0 1 274.324 0.994 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cccnc1C ZINC001420148477 923345781 /nfs/dbraw/zinc/34/57/81/923345781.db2.gz BYJRYZRJZWYOQY-NSHDSACASA-N 0 1 283.759 0.823 20 30 CCEDMN CO[C@H](C(=O)C(C#N)C(=O)NC(C)C)[C@@H]1CCOC1 ZINC001343489672 923346279 /nfs/dbraw/zinc/34/62/79/923346279.db2.gz GCCCAHXRJWOHRT-SCVCMEIPSA-N 0 1 268.313 0.271 20 30 CCEDMN C#CCNCC(=O)N(C)CCN(C)c1ccc(OC)cc1 ZINC001343931977 923506142 /nfs/dbraw/zinc/50/61/42/923506142.db2.gz DTYAXLRTSKOQRL-UHFFFAOYSA-N 0 1 289.379 0.813 20 30 CCEDMN CCn1ccnc1[C@@H](C#N)C(=O)[C@H]1CCC(=O)N1C ZINC001344322169 923579568 /nfs/dbraw/zinc/57/95/68/923579568.db2.gz JGRNYSFFEPDNLO-VHSXEESVSA-N 0 1 260.297 0.700 20 30 CCEDMN Cc1nn(C)cc1CN(C)C[C@H](C)NC(=O)[C@@H](C)C#N ZINC001420539234 923759018 /nfs/dbraw/zinc/75/90/18/923759018.db2.gz MLIDKENLCXDOMD-QWRGUYRKSA-N 0 1 277.372 0.825 20 30 CCEDMN C=CCCn1cc(CNCCCc2nnnn2C)nn1 ZINC001345089255 923790391 /nfs/dbraw/zinc/79/03/91/923790391.db2.gz GWKBZHLRYZGDLG-UHFFFAOYSA-N 0 1 276.348 0.100 20 30 CCEDMN CCCN(C(=O)c1ccc(C#N)[nH]1)[C@H]1CCN(CCO)C1 ZINC001420821712 923961296 /nfs/dbraw/zinc/96/12/96/923961296.db2.gz VVWNYOBWAFLBDI-ZDUSSCGKSA-N 0 1 290.367 0.805 20 30 CCEDMN CCN(C)C(=O)CN1CCC(C)(NC(=O)[C@@H](C)C#N)CC1 ZINC001421139688 924175965 /nfs/dbraw/zinc/17/59/65/924175965.db2.gz PIMGYPWSFOCTLL-LBPRGKRZSA-N 0 1 294.399 0.595 20 30 CCEDMN C=CCSCCNC(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001346667072 924287453 /nfs/dbraw/zinc/28/74/53/924287453.db2.gz WUPQQHDEEXWEQT-NWDGAFQWSA-N 0 1 269.414 0.410 20 30 CCEDMN C=CCCN(C)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC001346766910 924307752 /nfs/dbraw/zinc/30/77/52/924307752.db2.gz MXXYNAWHGQUPPD-CHWSQXEVSA-N 0 1 268.405 0.838 20 30 CCEDMN N#C[C@@]1(NC(=O)c2cnncc2O)CCSC1 ZINC001346788216 924311763 /nfs/dbraw/zinc/31/17/63/924311763.db2.gz QBKNVMVWFKOQFT-JTQLQIEISA-N 0 1 250.283 0.311 20 30 CCEDMN CNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)C#CC(C)(C)C ZINC001347541128 924474773 /nfs/dbraw/zinc/47/47/73/924474773.db2.gz COIFOHFCFSUSMG-NSHDSACASA-N 0 1 276.340 0.233 20 30 CCEDMN C=CCCC(=O)NCCCNC(=O)Cc1cnc[nH]1 ZINC001349801905 925062100 /nfs/dbraw/zinc/06/21/00/925062100.db2.gz GWWPUJOWGNGFGB-UHFFFAOYSA-N 0 1 264.329 0.541 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H]1CCC[N@@H+]1CC(C)C)C(=O)[O-] ZINC001349932421 925099883 /nfs/dbraw/zinc/09/98/83/925099883.db2.gz RALIZOWUVRJDRS-CHWSQXEVSA-N 0 1 298.383 0.879 20 30 CCEDMN C=CCC1(NC(=O)[C@H]2CN3CCN2C[C@H]3C)CCOCC1 ZINC001350081484 925126285 /nfs/dbraw/zinc/12/62/85/925126285.db2.gz UNHXCPQRULZYJF-ZIAGYGMSSA-N 0 1 293.411 0.616 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)C[N@@H+]2CCC[C@@H](C(=O)[O-])C2)C1 ZINC001350767324 925272227 /nfs/dbraw/zinc/27/22/27/925272227.db2.gz CXSCWAJYVGRJNC-CHWSQXEVSA-N 0 1 296.367 0.587 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)NCC(C)(C)C#N ZINC001351745351 925464450 /nfs/dbraw/zinc/46/44/50/925464450.db2.gz NPPCQZHRBPFMLC-MNOVXSKESA-N 0 1 250.346 0.041 20 30 CCEDMN C=CCN(CCOC)C(=O)[C@H]1CN2CCN1C[C@H]2C ZINC001352015700 925507995 /nfs/dbraw/zinc/50/79/95/925507995.db2.gz VHZHKJRNQOBRTB-CHWSQXEVSA-N 0 1 267.373 0.036 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC[C@@H](O)COC)c1 ZINC001353279105 925779769 /nfs/dbraw/zinc/77/97/69/925779769.db2.gz YVXIHZKJGMFCHL-CYBMUJFWSA-N 0 1 262.309 0.203 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001353578268 925876698 /nfs/dbraw/zinc/87/66/98/925876698.db2.gz KNVBMBVECGBCMK-JHJVBQTASA-N 0 1 295.383 0.047 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](c2nncn2C2CC2)C1 ZINC001353701502 925908293 /nfs/dbraw/zinc/90/82/93/925908293.db2.gz WGDKKZLTJPMWQV-LBPRGKRZSA-N 0 1 287.367 0.542 20 30 CCEDMN N#Cc1nccc(N2CC(c3[nH]nc4c3COCC4)C2)n1 ZINC001413923076 925941910 /nfs/dbraw/zinc/94/19/10/925941910.db2.gz UFKNTBKEGZCFQZ-UHFFFAOYSA-N 0 1 282.307 0.748 20 30 CCEDMN COCC#CC(=O)N1CCN(C)[C@H](C(C)(C)C)C1 ZINC001354080228 926008299 /nfs/dbraw/zinc/00/82/99/926008299.db2.gz HJUMWLZIRWSSSC-LBPRGKRZSA-N 0 1 252.358 0.825 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC001354084000 926009440 /nfs/dbraw/zinc/00/94/40/926009440.db2.gz STILFSUJCVOHBC-NEPJUHHUSA-N 0 1 284.356 0.084 20 30 CCEDMN C=CCCC[C@@H](NC(=O)C[C@@H]1COCCN1)C(=O)OC ZINC001354840518 926173959 /nfs/dbraw/zinc/17/39/59/926173959.db2.gz JXGCDVCMAKKZJH-VXGBXAGGSA-N 0 1 284.356 0.379 20 30 CCEDMN C=CCCCC(=O)N(C)CCNC(=O)Cc1n[nH]c(C)n1 ZINC001355591706 926289395 /nfs/dbraw/zinc/28/93/95/926289395.db2.gz HTZFXCWIMSVNKF-UHFFFAOYSA-N 0 1 293.371 0.587 20 30 CCEDMN CC(C)(C)C#CC(=O)NC1CN(C(=O)c2ccn[nH]2)C1 ZINC001356759397 926433880 /nfs/dbraw/zinc/43/38/80/926433880.db2.gz XGPJHKRUKROQBV-UHFFFAOYSA-N 0 1 274.324 0.400 20 30 CCEDMN C=CCc1cc(CNC(=O)[C@H](N)CO)cc(OC)c1OC ZINC001357226548 926499186 /nfs/dbraw/zinc/49/91/86/926499186.db2.gz ILQMYZMGHOOGQP-GFCCVEGCSA-N 0 1 294.351 0.368 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C)N(C)c1ccccc1 ZINC001357351405 926515555 /nfs/dbraw/zinc/51/55/55/926515555.db2.gz QAPXPXDCJSGFIB-CYBMUJFWSA-N 0 1 259.353 0.850 20 30 CCEDMN C#CCNCC(=O)NCCc1cn2c(n1)CCCC2 ZINC001357361081 926516773 /nfs/dbraw/zinc/51/67/73/926516773.db2.gz DJSMQJAEBHLRIY-UHFFFAOYSA-N 0 1 260.341 0.101 20 30 CCEDMN C#CCNCC(=O)N1CCN(c2ccc(F)cc2F)CC1 ZINC001357991283 926617594 /nfs/dbraw/zinc/61/75/94/926617594.db2.gz CBZVXHCZOFLMEK-UHFFFAOYSA-N 0 1 293.317 0.836 20 30 CCEDMN COCCN1CCC(F)(F)[C@@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001421328353 926676613 /nfs/dbraw/zinc/67/66/13/926676613.db2.gz CKEZVOWTAPVABQ-QWRGUYRKSA-N 0 1 289.326 0.866 20 30 CCEDMN Cn1ncnc1C1(O)CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC001414041028 926895442 /nfs/dbraw/zinc/89/54/42/926895442.db2.gz VAJQPMQFZRMSTJ-NWDGAFQWSA-N 0 1 275.356 0.791 20 30 CCEDMN Cn1cc(CCN2CCc3c(C#N)c(N)sc3C2)nn1 ZINC001414054019 926900835 /nfs/dbraw/zinc/90/08/35/926900835.db2.gz JKTJRYOCPUTUFK-UHFFFAOYSA-N 0 1 288.380 0.931 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2cnccn2)CCO1 ZINC001421785565 926906906 /nfs/dbraw/zinc/90/69/06/926906906.db2.gz LHHRODPPSNRRHI-LLVKDONJSA-N 0 1 296.758 0.660 20 30 CCEDMN CCO[C@@H]1COC[C@H]1NCC(=O)N(CCC#N)CCC#N ZINC001421809109 926923685 /nfs/dbraw/zinc/92/36/85/926923685.db2.gz HTPRCMAOVJXSAM-CHWSQXEVSA-N 0 1 294.355 0.036 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001361499095 927106118 /nfs/dbraw/zinc/10/61/18/927106118.db2.gz WIDVKUACFWGOIJ-UHFFFAOYSA-N 0 1 299.294 0.159 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)CCN2CC[C@H](F)C2)CC1 ZINC001362116603 927629934 /nfs/dbraw/zinc/62/99/34/927629934.db2.gz SASAUWLPJZELJJ-LBPRGKRZSA-N 0 1 296.346 0.005 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cnccn1 ZINC001423431487 927856026 /nfs/dbraw/zinc/85/60/26/927856026.db2.gz PKCXUZUADFVQKC-SNVBAGLBSA-N 0 1 284.747 0.252 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)NCCc2nc[nH]n2)cc1 ZINC001362622431 928265021 /nfs/dbraw/zinc/26/50/21/928265021.db2.gz WCZHVFZOYOHXHA-LBPRGKRZSA-N 0 1 271.280 0.069 20 30 CCEDMN C[C@@H](C(=O)N1CCC[C@H]1c1nnc[nH]1)n1cnc(C#N)n1 ZINC001363002679 928746892 /nfs/dbraw/zinc/74/68/92/928746892.db2.gz GCLPGUJIGYFYDE-IUCAKERBSA-N 0 1 286.299 0.193 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](O)CN(C)Cc1ccccn1 ZINC001426070694 928750661 /nfs/dbraw/zinc/75/06/61/928750661.db2.gz NSQVWYXWOBZEMH-TZMCWYRMSA-N 0 1 290.367 0.492 20 30 CCEDMN C[C@@H](CC(N)=O)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001363166877 928938643 /nfs/dbraw/zinc/93/86/43/928938643.db2.gz HLFMDIOZMFAIFY-ZETCQYMHSA-N 0 1 271.280 0.885 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001363416697 929204983 /nfs/dbraw/zinc/20/49/83/929204983.db2.gz QDFVUMDXBRHEIW-GFCCVEGCSA-N 0 1 286.291 0.753 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001429286176 929427082 /nfs/dbraw/zinc/42/70/82/929427082.db2.gz LRKIFRUFIMOIMU-WDEREUQCSA-N 0 1 291.355 0.323 20 30 CCEDMN CCN(CCNC(=O)c1[nH]nc(C)c1C)C(=O)[C@@H](C)C#N ZINC001429548962 929483837 /nfs/dbraw/zinc/48/38/37/929483837.db2.gz JVOVLHPQIPCPIY-VIFPVBQESA-N 0 1 291.355 0.765 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](NC(=O)[C@@H]2CCCCN2C)C1 ZINC001430239295 929595615 /nfs/dbraw/zinc/59/56/15/929595615.db2.gz NYQPNTACRWFGNS-RVMXOQNASA-N 0 1 292.383 0.394 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N1CCC(NC(=O)[C@H](C)C#N)CC1 ZINC001372132823 929739555 /nfs/dbraw/zinc/73/95/55/929739555.db2.gz USOAYBCMOVMYKV-GFCCVEGCSA-N 0 1 294.399 0.593 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)c1ncn(C)n1 ZINC001444244480 929817591 /nfs/dbraw/zinc/81/75/91/929817591.db2.gz DAHCBVDKMMFRAF-SNVBAGLBSA-N 0 1 283.763 0.762 20 30 CCEDMN Cc1cc(NC(=O)CNC[C@@H](C)NC(=O)[C@H](C)C#N)on1 ZINC001445354053 930110674 /nfs/dbraw/zinc/11/06/74/930110674.db2.gz JFEANUJHKXISKC-PSASIEDQSA-N 0 1 293.327 0.176 20 30 CCEDMN CCN(C(=O)Cc1ccn[nH]1)[C@H](C)CNC(=O)[C@H](C)C#N ZINC001445358093 930112747 /nfs/dbraw/zinc/11/27/47/930112747.db2.gz XIDNFLCRPCSGSO-GHMZBOCLSA-N 0 1 291.355 0.465 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CN(C)CC(=O)N2CCC2)C1 ZINC001373281218 930126028 /nfs/dbraw/zinc/12/60/28/930126028.db2.gz STHFKYBTTRTPAW-CHWSQXEVSA-N 0 1 292.383 0.159 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CCC(=O)NC1)C1CC1 ZINC001445955890 930294536 /nfs/dbraw/zinc/29/45/36/930294536.db2.gz LFYPHCCDYMLLLK-NEPJUHHUSA-N 0 1 299.802 0.750 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001373768458 930339259 /nfs/dbraw/zinc/33/92/59/930339259.db2.gz DDWVGCHWXDBPMH-MNOVXSKESA-N 0 1 287.791 0.750 20 30 CCEDMN Cn1nccc1CNC1(CNC(=O)c2cc(C#N)c[nH]2)CC1 ZINC001446172482 930362740 /nfs/dbraw/zinc/36/27/40/930362740.db2.gz YJSDSNMLCGSGSU-UHFFFAOYSA-N 0 1 298.350 0.672 20 30 CCEDMN CCN(CCNC(=O)[C@@H](C)C#N)C(=O)[C@H]1CCCCN1C ZINC001373936404 930372536 /nfs/dbraw/zinc/37/25/36/930372536.db2.gz DYXNJWULGAOUHY-QWHCGFSZSA-N 0 1 294.399 0.595 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)[C@]1(C)CCC(=O)N1 ZINC001374283903 930479343 /nfs/dbraw/zinc/47/93/43/930479343.db2.gz IZMDSJWCWOBDHI-MFKMUULPSA-N 0 1 287.791 0.844 20 30 CCEDMN Cc1nc(C)c(CNC[C@H](O)CNC(=O)[C@@H](C)C#N)o1 ZINC001374591779 930580452 /nfs/dbraw/zinc/58/04/52/930580452.db2.gz SQJVXAJTUOZJCF-KWQFWETISA-N 0 1 280.328 0.018 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1[nH]ccc1C ZINC001374605155 930588630 /nfs/dbraw/zinc/58/86/30/930588630.db2.gz WSKUKGQREZAVFY-SNVBAGLBSA-N 0 1 271.748 0.756 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccc(C)[nH]c1=O ZINC001374649008 930605435 /nfs/dbraw/zinc/60/54/35/930605435.db2.gz GMDYWTVBKQWDNG-JTQLQIEISA-N 0 1 299.758 0.528 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CN(C)CCNC(=O)C1CC1 ZINC001375015137 930760559 /nfs/dbraw/zinc/76/05/59/930760559.db2.gz DHQPCRYBNMGVMQ-QWRGUYRKSA-N 0 1 280.372 0.109 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(NCC(=O)N[C@H](C)C2CC2)CC1 ZINC001375404224 930880459 /nfs/dbraw/zinc/88/04/59/930880459.db2.gz PSVCOLFDMFHZLE-GHMZBOCLSA-N 0 1 292.383 0.299 20 30 CCEDMN CC[C@@H](F)C(=O)NC[C@H](CO)NCc1cccc(C#N)c1 ZINC001448876802 931015758 /nfs/dbraw/zinc/01/57/58/931015758.db2.gz BRUVUTKVHOCCSP-ZIAGYGMSSA-N 0 1 293.342 0.873 20 30 CCEDMN Cc1cc(C(=O)N(C)CCN(C)C(=O)[C@@H](C)C#N)n[nH]1 ZINC001376183425 931083748 /nfs/dbraw/zinc/08/37/48/931083748.db2.gz BSFKQSRJBVGWSF-VIFPVBQESA-N 0 1 277.328 0.408 20 30 CCEDMN COc1cc(CN[C@H]2C[C@@H](NC(=O)[C@H](C)C#N)C2)on1 ZINC001376450006 931154955 /nfs/dbraw/zinc/15/49/55/931154955.db2.gz YLXMYDTUSMCFLU-BBBLOLIVSA-N 0 1 278.312 0.580 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)C(=O)Cc1ccn[nH]1 ZINC001449777986 931184897 /nfs/dbraw/zinc/18/48/97/931184897.db2.gz NVAIKAZWIQXDPL-NSHDSACASA-N 0 1 291.355 0.467 20 30 CCEDMN CCCC[C@H](CNC(=O)c1ncn[nH]1)NC(=O)[C@@H](C)C#N ZINC001450257429 931249588 /nfs/dbraw/zinc/24/95/88/931249588.db2.gz JRKUTCRVSGTXJR-VHSXEESVSA-N 0 1 292.343 0.369 20 30 CCEDMN CCCC[C@H](CNC(=O)c1nc[nH]n1)NC(=O)[C@@H](C)C#N ZINC001450257429 931249601 /nfs/dbraw/zinc/24/96/01/931249601.db2.gz JRKUTCRVSGTXJR-VHSXEESVSA-N 0 1 292.343 0.369 20 30 CCEDMN C[N@H+]1CC[C@@]2(CCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C2)C1 ZINC001610950799 970802810 /nfs/dbraw/zinc/80/28/10/970802810.db2.gz UZTDBRZKBXVSIX-ZIAGYGMSSA-N 0 1 279.340 0.545 20 30 CCEDMN O=C([O-])C1CCC(=NNCCC[NH+]2CCOCC2)CC1 ZINC001611365101 971017869 /nfs/dbraw/zinc/01/78/69/971017869.db2.gz UDXJFVGGWTZYKZ-UHFFFAOYSA-N 0 1 283.372 0.929 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)NCCCC(=O)[O-])C1 ZINC001602702065 971329159 /nfs/dbraw/zinc/32/91/59/971329159.db2.gz GIRPZDGKCQDHGA-NSHDSACASA-N 0 1 267.329 0.248 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)NCCCC(=O)[O-])C1 ZINC001602702065 971329162 /nfs/dbraw/zinc/32/91/62/971329162.db2.gz GIRPZDGKCQDHGA-NSHDSACASA-N 0 1 267.329 0.248 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@](CC)(C(=O)[O-])C1 ZINC000219801788 949555580 /nfs/dbraw/zinc/55/55/80/949555580.db2.gz NFMCDYDIZIJGLD-BXUZGUMPSA-N 0 1 266.341 0.701 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])C[C@H](O)CC1(C#N)CCOCC1 ZINC001593736927 954343266 /nfs/dbraw/zinc/34/32/66/954343266.db2.gz DNDQNOUSEADDNK-LLVKDONJSA-N 0 1 270.329 0.464 20 30 CCEDMN C[C@@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)CC[C@H]1C(=O)[O-] ZINC001589396459 954383043 /nfs/dbraw/zinc/38/30/43/954383043.db2.gz YYGSSKZKVCXWKV-GHMZBOCLSA-N 0 1 279.340 0.591 20 30 CCEDMN N#CCC1CC[NH+](CN2C[C@@H](C(=O)[O-])CC2=O)CC1 ZINC001594605882 956173116 /nfs/dbraw/zinc/17/31/16/956173116.db2.gz JVMWVRUSQSQSPF-NSHDSACASA-N 0 1 265.313 0.503 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H](OC)C1CCOCC1 ZINC001588424357 958216696 /nfs/dbraw/zinc/21/66/96/958216696.db2.gz PFJDJJUXEHEXRJ-LBPRGKRZSA-N 0 1 255.314 0.448 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H](OC)C1CCOCC1 ZINC001588424357 958216712 /nfs/dbraw/zinc/21/67/12/958216712.db2.gz PFJDJJUXEHEXRJ-LBPRGKRZSA-N 0 1 255.314 0.448 20 30 CCEDMN C=CCN1CCN(C[N@H+]2CC[C@H](C(=O)[O-])[C@@H](C)C2)C1=O ZINC001588465588 958506760 /nfs/dbraw/zinc/50/67/60/958506760.db2.gz VDOHNGBLVBUKEQ-RYUDHWBXSA-N 0 1 281.356 0.910 20 30 CCEDMN C=CCN1CCN(C[N@H+]2CC[C@H](C(=O)[O-])[C@H](C)C2)C1=O ZINC001588465585 958507219 /nfs/dbraw/zinc/50/72/19/958507219.db2.gz VDOHNGBLVBUKEQ-NEPJUHHUSA-N 0 1 281.356 0.910 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N1CCC[N@H+]2CCC[C@H]2C1 ZINC001588539080 958951251 /nfs/dbraw/zinc/95/12/51/958951251.db2.gz WPENGCNEEDNSSL-SMDDNHRTSA-N 0 1 279.340 0.688 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N1CCC[N@H+]2CCC[C@@H]2C1 ZINC001588539079 958951323 /nfs/dbraw/zinc/95/13/23/958951323.db2.gz WPENGCNEEDNSSL-RISCZKNCSA-N 0 1 279.340 0.688 20 30 CCEDMN C[C@@H](CCC#N)C[N@H+]1C[C@H]2COC[C@@]2(C(=O)[O-])C1 ZINC001573578894 959339698 /nfs/dbraw/zinc/33/96/98/959339698.db2.gz BINNHXPYVRWTHL-GVXVVHGQSA-N 0 1 252.314 0.959 20 30 CCEDMN C[N@H+]1CC[C@@H]2CN(C(=O)[C@@](C)(C#N)CC(=O)[O-])C[C@@H]2C1 ZINC001574030647 962011030 /nfs/dbraw/zinc/01/10/30/962011030.db2.gz RQFCVDLMWSOKPY-UHIISALHSA-N 0 1 279.340 0.401 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NCC[N@H+]1CCCOCC1 ZINC001573331989 962822625 /nfs/dbraw/zinc/82/26/25/962822625.db2.gz BVJBHSBYISGFTK-UHFFFAOYSA-N 0 1 270.329 0.246 20 30 CCEDMN C#CCC[N@H+](C)CCN(C(=O)[C@@H]1C[C@@H]1C(=O)[O-])C(C)C ZINC001588447890 964091760 /nfs/dbraw/zinc/09/17/60/964091760.db2.gz RSPIHAOFOFDBHF-OLZOCXBDSA-N 0 1 280.368 0.899 20 30 CCEDMN CC(=[NH+]NCCC(=O)[O-])c1ccc(N)c([N+](=O)[O-])c1 ZINC001609645851 970434036 /nfs/dbraw/zinc/43/40/36/970434036.db2.gz STWCFTABZPQFSJ-UHFFFAOYSA-N 0 1 266.257 0.965 20 30 CCEDMN C[N@@H+]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC1(C)C ZINC001604221406 972714361 /nfs/dbraw/zinc/71/43/61/972714361.db2.gz QPRKTHOGLVFRJG-ZDUSSCGKSA-N 0 1 267.329 0.544 20 30 CCEDMN C[N@H+]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC1(C)C ZINC001604221406 972714367 /nfs/dbraw/zinc/71/43/67/972714367.db2.gz QPRKTHOGLVFRJG-ZDUSSCGKSA-N 0 1 267.329 0.544 20 30 CCEDMN C[N@H+]1C[C@@H]2CCCN(C(=O)[C@](C)(C#N)CC(=O)[O-])[C@@H]2C1 ZINC001604231658 972736675 /nfs/dbraw/zinc/73/66/75/972736675.db2.gz ZSDPTFLYELRILR-WDMOLILDSA-N 0 1 279.340 0.544 20 30 CCEDMN CC[C@H](CC#N)[NH2+][C@@H](C)C(=O)N1CCC(C(=O)[O-])CC1 ZINC001595030284 979165865 /nfs/dbraw/zinc/16/58/65/979165865.db2.gz NNVHEQZOTXDMFV-CMPLNLGQSA-N 0 1 281.356 0.980 20 30 CCEDMN C#C[C@@H](C)[N@@H+](C)CCCN1C(=O)CS/C1=C\C(=O)[O-] ZINC001588367778 983301770 /nfs/dbraw/zinc/30/17/70/983301770.db2.gz BMHWSOTVLCYHEP-BKLZJWBFSA-N 0 1 282.365 0.831 20 30 CCEDMN C#CC[C@H](COC)[NH2+]C1CCN(CC(=O)[O-])CC1 ZINC001588395434 983405878 /nfs/dbraw/zinc/40/58/78/983405878.db2.gz QXCDPFDLPDTFHL-GFCCVEGCSA-N 0 1 254.330 0.163 20 30 CCEDMN C#CC[N@@H+](CC(=O)NCCC(=O)[O-])Cc1ccccc1 ZINC001588465828 983496598 /nfs/dbraw/zinc/49/65/98/983496598.db2.gz JJTNHAYJZKKAEM-UHFFFAOYSA-N 0 1 274.320 0.713 20 30 CCEDMN C#CC[N@H+](CC(=O)NCCC(=O)[O-])Cc1ccccc1 ZINC001588465828 983496600 /nfs/dbraw/zinc/49/66/00/983496600.db2.gz JJTNHAYJZKKAEM-UHFFFAOYSA-N 0 1 274.320 0.713 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)C1 ZINC001588476232 983510612 /nfs/dbraw/zinc/51/06/12/983510612.db2.gz LVIAKAPFMVBCSN-UTUOFQBUSA-N 0 1 279.340 0.246 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N[C@H]2C[C@@H](C(=O)[O-])C2)C1 ZINC001588476232 983510613 /nfs/dbraw/zinc/51/06/13/983510613.db2.gz LVIAKAPFMVBCSN-UTUOFQBUSA-N 0 1 279.340 0.246 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1[C@@H]2C[C@@H](C(=O)[O-])O[C@H]2CC[C@H]1C ZINC001588479285 983518756 /nfs/dbraw/zinc/51/87/56/983518756.db2.gz IGBXYHLSKAPUFR-NDBYEHHHSA-N 0 1 294.351 0.221 20 30 CCEDMN C=C(C)C[C@@H](CO)[NH2+]C1CCN(CC(=O)[O-])CC1 ZINC001588537659 983601166 /nfs/dbraw/zinc/60/11/66/983601166.db2.gz VDSOMFWIFUKQKO-LBPRGKRZSA-N 0 1 256.346 0.452 20 30 CCEDMN CC[N@@H+](CC(=O)[O-])Cc1cnc2c(C#N)cnn2c1 ZINC001596226160 983616836 /nfs/dbraw/zinc/61/68/36/983616836.db2.gz BGYCPFLRRJFMED-UHFFFAOYSA-N 0 1 259.269 0.507 20 30 CCEDMN C=C(C)CNC(=S)N1CC[N@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588569828 983649846 /nfs/dbraw/zinc/64/98/46/983649846.db2.gz MGHWLIYYUJDIOJ-SNVBAGLBSA-N 0 1 271.386 0.385 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N1CCN([C@@H](C)CC(=O)[O-])CC1 ZINC001588636933 983757556 /nfs/dbraw/zinc/75/75/56/983757556.db2.gz ZMVGIFFXQBEBSH-LBPRGKRZSA-N 0 1 283.372 0.112 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](n2ccnn2)CC1 ZINC001588662949 983792561 /nfs/dbraw/zinc/79/25/61/983792561.db2.gz IWXMOHXSUFEEQT-GHMZBOCLSA-N 0 1 250.302 0.944 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@H](C(=O)NC2CC2)C1 ZINC001588663843 983797002 /nfs/dbraw/zinc/79/70/02/983797002.db2.gz QSISXBQPPSMNHN-GXSJLCMTSA-N 0 1 252.314 0.616 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC001588728678 983983777 /nfs/dbraw/zinc/98/37/77/983983777.db2.gz DOLNJPCQXRDCPF-WCBMZHEXSA-N 0 1 279.296 0.706 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1C[C@@H](O)CC2(CCOCC2)C1 ZINC001588729943 983995114 /nfs/dbraw/zinc/99/51/14/983995114.db2.gz WPIXNMOKFZZOHT-NWDGAFQWSA-N 0 1 269.341 0.879 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@@](F)(C(=O)[O-])C2)C1=O ZINC001588840110 984351921 /nfs/dbraw/zinc/35/19/21/984351921.db2.gz ZSXKGFUXYWNHEM-CABZTGNLSA-N 0 1 256.277 0.272 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CC[C@@](F)(C(=O)[O-])C2)C1=O ZINC001588840110 984351925 /nfs/dbraw/zinc/35/19/25/984351925.db2.gz ZSXKGFUXYWNHEM-CABZTGNLSA-N 0 1 256.277 0.272 20 30 CCEDMN CC#CCC[N@@H+]1CC[C@H](C(=O)OC)C[C@@H]1C(=O)[O-] ZINC001588927249 984597888 /nfs/dbraw/zinc/59/78/88/984597888.db2.gz QVEZDTKJBSPQBE-WDEREUQCSA-N 0 1 253.298 0.738 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCSC[C@H](C(=O)[O-])C1 ZINC001589339565 986237602 /nfs/dbraw/zinc/23/76/02/986237602.db2.gz DKWIAJBOHPEONJ-GHMZBOCLSA-N 0 1 299.396 0.497 20 30 CCEDMN C[C@H](C(=O)N(CC#N)CCC(=O)[O-])[NH+]1CCSCC1 ZINC001589341978 986261110 /nfs/dbraw/zinc/26/11/10/986261110.db2.gz SFXZOAOKJHXUHI-SNVBAGLBSA-N 0 1 285.369 0.251 20 30 CCEDMN COC(=O)[C@@H]1C[N@H+](C[C@@H](C#N)CCC#N)C[C@H]1C(=O)[O-] ZINC001598823734 996359004 /nfs/dbraw/zinc/35/90/04/996359004.db2.gz WYQAMLOAHJPUAR-GMTAPVOTSA-N 0 1 279.296 0.236 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](C[C@@H](C#N)CCC#N)C[C@H]1C(=O)[O-] ZINC001598823734 996359008 /nfs/dbraw/zinc/35/90/08/996359008.db2.gz WYQAMLOAHJPUAR-GMTAPVOTSA-N 0 1 279.296 0.236 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](Cc2cc(C#N)ccn2)C[C@H]1C(=O)[O-] ZINC001598824932 996371985 /nfs/dbraw/zinc/37/19/85/996371985.db2.gz BJXIJHWVZOUCBC-VXGBXAGGSA-N 0 1 289.291 0.259 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCCCC1)[C@H]1C[C@@H](C(N)=O)C1 ZINC000599645546 361770610 /nfs/dbraw/zinc/77/06/10/361770610.db2.gz AQEQPBNRSKUPAF-TXEJJXNPSA-N 0 1 292.383 0.525 20 30 CCEDMN N#Cc1ccc(OCCNC(=O)NCCN2CCC2)cc1 ZINC000337671410 214207190 /nfs/dbraw/zinc/20/71/90/214207190.db2.gz XNCVLGLIDOYZMR-UHFFFAOYSA-N 0 1 288.351 0.942 20 30 CCEDMN CN(Cc1cnc2ccc(C#N)cn12)CC(C)(CO)CO ZINC000093502649 349545328 /nfs/dbraw/zinc/54/53/28/349545328.db2.gz ZCUKODOOCZKJBC-UHFFFAOYSA-N 0 1 288.351 0.629 20 30 CCEDMN COC(=O)[C@H](C[C@@H]1CCCOC1)NC(=O)C(C)C#N ZINC000600429131 361959867 /nfs/dbraw/zinc/95/98/67/361959867.db2.gz AEMKOOMWDYEWHK-DCAQKATOSA-N 0 1 268.313 0.621 20 30 CCEDMN CN(CC(=O)N1CCO[C@H](C#N)C1)[C@H]1CCSC1 ZINC000408004309 260169270 /nfs/dbraw/zinc/16/92/70/260169270.db2.gz KNSSUINITVSZDF-WDEREUQCSA-N 0 1 269.370 0.175 20 30 CCEDMN N#Cc1ccccc1OCC(=O)NCCN1CC[C@@H](O)C1 ZINC000600528994 362004101 /nfs/dbraw/zinc/00/41/01/362004101.db2.gz UZUHVYJOUYPEFB-CYBMUJFWSA-N 0 1 289.335 0.120 20 30 CCEDMN CC[C@H](C)N1CCN(C(=O)[C@H](C)n2cnc(C#N)n2)CC1 ZINC000456528036 529699106 /nfs/dbraw/zinc/69/91/06/529699106.db2.gz KFLJUNJYIAFENT-RYUDHWBXSA-N 0 1 290.371 0.653 20 30 CCEDMN CN1CC[C@H](NS(=O)(=O)Cc2ccc(F)cc2C#N)C1 ZINC000451110106 529806777 /nfs/dbraw/zinc/80/67/77/529806777.db2.gz ZLQGADUNEQZKCB-ZDUSSCGKSA-N 0 1 297.355 0.821 20 30 CCEDMN CCN1CCCN(S(=O)(=O)N(C)CCC#N)[C@H](C)C1 ZINC000601440269 362274290 /nfs/dbraw/zinc/27/42/90/362274290.db2.gz NAAWYXOSUNEJEQ-GFCCVEGCSA-N 0 1 288.417 0.493 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@H]1CCCN1C)C(=O)OC ZINC000601787450 362394033 /nfs/dbraw/zinc/39/40/33/362394033.db2.gz XPNHHOSMYGSHKK-WDEREUQCSA-N 0 1 254.330 0.705 20 30 CCEDMN C=CCSCCNC(=O)CCCc1nn[nH]n1 ZINC000602086900 362477877 /nfs/dbraw/zinc/47/78/77/362477877.db2.gz BVCLHBVAXCHUPP-UHFFFAOYSA-N 0 1 255.347 0.558 20 30 CCEDMN CN(C(=O)CCCc1nn[nH]n1)[C@@H]1CCC[C@H]1C#N ZINC000602195362 362551174 /nfs/dbraw/zinc/55/11/74/362551174.db2.gz KGWJGUIWNWSCBO-VHSXEESVSA-N 0 1 262.317 0.673 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)[C@@H]2CCN2C2CCCC2)C1 ZINC000602166920 362537948 /nfs/dbraw/zinc/53/79/48/362537948.db2.gz JFPIUTNRTNEKDE-DZGCQCFKSA-N 0 1 277.368 0.880 20 30 CCEDMN C[C@H](O)CN1CCN(Cc2cccc(C#N)n2)[C@H](C)C1 ZINC000602647629 362731305 /nfs/dbraw/zinc/73/13/05/362731305.db2.gz IVJOTHHXLQFHHV-OLZOCXBDSA-N 0 1 274.368 0.840 20 30 CCEDMN N#Cc1cccc(CNC[C@H]2CCC[N@@H+]2CCCO)n1 ZINC000602675679 362743032 /nfs/dbraw/zinc/74/30/32/362743032.db2.gz VWQNNKHOQNPKRU-OAHLLOKOSA-N 0 1 274.368 0.890 20 30 CCEDMN CNC(=O)NC[C@@H]1CN(C[C@H](C)CCC#N)CCO1 ZINC000602721894 362771110 /nfs/dbraw/zinc/77/11/10/362771110.db2.gz UHSRURSQRUEUCT-VXGBXAGGSA-N 0 1 268.361 0.556 20 30 CCEDMN CC[C@@H]1CN(C)CCN1CC(=O)N[C@](C)(C#N)C1CC1 ZINC000517873429 260313068 /nfs/dbraw/zinc/31/30/68/260313068.db2.gz QYBOZGITZQPYDJ-UKRRQHHQSA-N 0 1 278.400 0.821 20 30 CCEDMN CCOC(=O)CCN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602861831 362846859 /nfs/dbraw/zinc/84/68/59/362846859.db2.gz MAZZNGPBXNBVOZ-RYUDHWBXSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@@H]1CN(CCCN2CCCC2=O)C[C@H](C)N1CC#N ZINC000602854529 362842538 /nfs/dbraw/zinc/84/25/38/362842538.db2.gz CLQGSVCSQLAENU-OKILXGFUSA-N 0 1 278.400 0.917 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2ccc(C#N)cc2)[C@H]1C ZINC000262748160 137052417 /nfs/dbraw/zinc/05/24/17/137052417.db2.gz FHJKXSZNQLSEDV-MNOVXSKESA-N 0 1 279.365 0.929 20 30 CCEDMN C[C@H](NCCN1C(=O)CNC1=O)c1ccc(C#N)cc1F ZINC000344382115 137191797 /nfs/dbraw/zinc/19/17/97/137191797.db2.gz XNUXVRJVTNZYHF-VIFPVBQESA-N 0 1 290.298 0.900 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)N[C@@H](CO)CC(F)(F)F)o1 ZINC000344722524 137209762 /nfs/dbraw/zinc/20/97/62/137209762.db2.gz BOOZVBXKMNPWIQ-ZCFIWIBFSA-N 0 1 298.242 0.743 20 30 CCEDMN C[C@@H]1C[C@H](CNC(=O)N=c2[nH]n(C)cc2C#N)[C@@H](C)O1 ZINC000602888423 362867068 /nfs/dbraw/zinc/86/70/68/362867068.db2.gz URIRSWDCILMEKK-OPRDCNLKSA-N 0 1 277.328 0.649 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CO[C@H](C3CC3)C2)[nH]1 ZINC000602881149 362860291 /nfs/dbraw/zinc/86/02/91/362860291.db2.gz IUZZEIQPKIEXKH-QWRGUYRKSA-N 0 1 275.312 0.403 20 30 CCEDMN COc1ccc(CN2C[C@@H](O)C[C@]2(C)CO)cc1C#N ZINC000602900844 362875922 /nfs/dbraw/zinc/87/59/22/362875922.db2.gz WBCOGRGWSWDBCY-DZGCQCFKSA-N 0 1 276.336 0.884 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)O[C@H](C)C2)CC1 ZINC000045943951 348346367 /nfs/dbraw/zinc/34/63/67/348346367.db2.gz CWUCFMZAYPWTOV-CHWSQXEVSA-N 0 1 264.369 0.967 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC[C@@H](CCO)C1 ZINC000051916403 348500410 /nfs/dbraw/zinc/50/04/10/348500410.db2.gz XLVPKPWDOMUPCZ-AWEZNQCLSA-N 0 1 292.383 0.737 20 30 CCEDMN CN(CCNS(=O)(=O)c1cccc(C#N)c1)C1CC1 ZINC000058938339 348635217 /nfs/dbraw/zinc/63/52/17/348635217.db2.gz XTAMPRMOBPFBIB-UHFFFAOYSA-N 0 1 279.365 0.931 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@H](C(=O)OC)C1 ZINC000066834198 348757036 /nfs/dbraw/zinc/75/70/36/348757036.db2.gz YUFHEIKHWJDVDJ-QWRGUYRKSA-N 0 1 254.330 0.562 20 30 CCEDMN CN(CCCS(N)(=O)=O)Cc1cccc(C#N)c1 ZINC000068457701 348811185 /nfs/dbraw/zinc/81/11/85/348811185.db2.gz CRZBLEGGNKPFKF-UHFFFAOYSA-N 0 1 267.354 0.669 20 30 CCEDMN N#Cc1ccc(NCC(=O)NCCc2nc[nH]n2)cc1 ZINC000081763340 349282322 /nfs/dbraw/zinc/28/23/22/349282322.db2.gz XQCIMBWIAUZSAM-UHFFFAOYSA-N 0 1 270.296 0.447 20 30 CCEDMN CN[C@@H](C)c1cn(CC(=O)N[C@@](C)(C#N)C(C)C)nn1 ZINC000603171936 362981821 /nfs/dbraw/zinc/98/18/21/362981821.db2.gz PUYUVOCNAZFUTR-GWCFXTLKSA-N 0 1 278.360 0.613 20 30 CCEDMN C[C@@](C#N)(NC(=O)Cn1cc(CNC2CC2)nn1)C1CC1 ZINC000603182325 362987781 /nfs/dbraw/zinc/98/77/81/362987781.db2.gz NJZMRPPQRUOAEQ-AWEZNQCLSA-N 0 1 288.355 0.339 20 30 CCEDMN CCNCc1cn(CC(=O)N[C@](C)(C#N)C2CC2)nn1 ZINC000603180732 362986846 /nfs/dbraw/zinc/98/68/46/362986846.db2.gz IXMXHCLFCDPVKA-CYBMUJFWSA-N 0 1 276.344 0.196 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)Cn1cc(C(C)(C)NC)nn1 ZINC000603207914 363000787 /nfs/dbraw/zinc/00/07/87/363000787.db2.gz FABBYUNHLJRENZ-NSHDSACASA-N 0 1 292.387 0.741 20 30 CCEDMN CN[C@@H](C)c1cn([C@@H](C)C(=O)NC2(C#N)CCC2)nn1 ZINC000603240301 363018232 /nfs/dbraw/zinc/01/82/32/363018232.db2.gz RXZHAUPAIWTFHH-UWVGGRQHSA-N 0 1 276.344 0.682 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC000181168911 351304609 /nfs/dbraw/zinc/30/46/09/351304609.db2.gz MJFWDHIVDYOLCD-AWEZNQCLSA-N 0 1 290.367 0.973 20 30 CCEDMN C=CC[C@H](CO)NCc1cn(C)nc1C(=O)OCC ZINC000186417656 351494202 /nfs/dbraw/zinc/49/42/02/351494202.db2.gz INLIMSYVNPUQBQ-LLVKDONJSA-N 0 1 267.329 0.623 20 30 CCEDMN CNS(=O)(=O)CCN[C@@H](C)c1cccc(C#N)c1 ZINC000187197834 351533684 /nfs/dbraw/zinc/53/36/84/351533684.db2.gz WRJVDTDIJBTOQV-JTQLQIEISA-N 0 1 267.354 0.758 20 30 CCEDMN CN(CCNS(=O)(=O)c1ccc(C#N)s1)C1CC1 ZINC000230339867 352112261 /nfs/dbraw/zinc/11/22/61/352112261.db2.gz FEFKMUOOCBHLPJ-UHFFFAOYSA-N 0 1 285.394 0.992 20 30 CCEDMN N#Cc1ccccc1OC[C@H](O)CNCc1ccncn1 ZINC000236553455 352197106 /nfs/dbraw/zinc/19/71/06/352197106.db2.gz DIOSRMSZFIHYOT-CQSZACIVSA-N 0 1 284.319 0.878 20 30 CCEDMN CN(CC(=O)NCc1ccc(C#N)cc1)CC(C)(C)O ZINC000265098719 352619058 /nfs/dbraw/zinc/61/90/58/352619058.db2.gz DCRYIUMQMSUCPT-UHFFFAOYSA-N 0 1 275.352 0.877 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)C[C@@H](C)C(=O)OC ZINC000264994436 352613262 /nfs/dbraw/zinc/61/32/62/352613262.db2.gz RSNLZTFROWRCFA-ZJUUUORDSA-N 0 1 285.344 0.128 20 30 CCEDMN C[N@H+](CC(=O)[O-])C[C@@H]1CN(c2ccc(C#N)cc2)CCO1 ZINC000262140254 352582172 /nfs/dbraw/zinc/58/21/72/352582172.db2.gz POMSXMFHONIONU-CQSZACIVSA-N 0 1 289.335 0.780 20 30 CCEDMN COc1cc(C#N)ccc1CN(C)CC(C)(CO)CO ZINC000271034269 353015843 /nfs/dbraw/zinc/01/58/43/353015843.db2.gz LKJQFJUROCSGQG-UHFFFAOYSA-N 0 1 278.352 0.990 20 30 CCEDMN COC(=O)CNC(=O)[C@@H](C)N[C@H](C)c1ccc(C#N)cc1 ZINC000271673495 191271328 /nfs/dbraw/zinc/27/13/28/191271328.db2.gz OCXWXVLCUVEOCG-GHMZBOCLSA-N 0 1 289.335 0.887 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(C#N)o1)N(C)C1CC1 ZINC000286806020 353612176 /nfs/dbraw/zinc/61/21/76/353612176.db2.gz HWRJAIVVQKCOGI-VIFPVBQESA-N 0 1 283.353 0.912 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@H]2CCCN2C2CC2)CC1 ZINC000618831425 366106767 /nfs/dbraw/zinc/10/67/67/366106767.db2.gz QGTNLXHMVWZKIS-CQSZACIVSA-N 0 1 276.384 0.717 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N[C@@H](C)c1cnn(CC)c1 ZINC000296107409 353931678 /nfs/dbraw/zinc/93/16/78/353931678.db2.gz QHIOYAZWDFHRQV-WDEREUQCSA-N 0 1 293.371 0.954 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@](COC)(C(=O)OC)C1 ZINC000296158314 353934402 /nfs/dbraw/zinc/93/44/02/353934402.db2.gz HEPCWCKPPGDJKU-BXUZGUMPSA-N 0 1 284.356 0.189 20 30 CCEDMN CN(C[C@H](O)COc1ccc(C#N)cc1)C(C)(C)C(N)=O ZINC000295565111 353904011 /nfs/dbraw/zinc/90/40/11/353904011.db2.gz YFFDAQSNLHEHOI-LBPRGKRZSA-N 0 1 291.351 0.494 20 30 CCEDMN C[C@@H](O)[C@H]1CCCCN1CC(=O)N(CCC#N)CCC#N ZINC000303973812 354100144 /nfs/dbraw/zinc/10/01/44/354100144.db2.gz AKCYBTVHHSYMRH-ZIAGYGMSSA-N 0 1 292.383 0.878 20 30 CCEDMN N#Cc1ccc(CN(CCO)CCN2CCOCC2)cc1 ZINC000304719059 354121764 /nfs/dbraw/zinc/12/17/64/354121764.db2.gz OBDTYFCQEKSGMK-UHFFFAOYSA-N 0 1 289.379 0.685 20 30 CCEDMN CN(CC(=O)N[C@@](C)(C#N)C1CC1)C[C@@H](O)C1CC1 ZINC000304776269 354122702 /nfs/dbraw/zinc/12/27/02/354122702.db2.gz HOSVMPFNGHUPPZ-OCCSQVGLSA-N 0 1 265.357 0.498 20 30 CCEDMN CN(CC(=O)N[C@](C)(C#N)C1CC1)C[C@@H]1CCC[C@@H]1O ZINC000305371913 354145302 /nfs/dbraw/zinc/14/53/02/354145302.db2.gz XUMJBOXQPWVWDA-CORIIIEPSA-N 0 1 279.384 0.888 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCn2cccn2)CC1 ZINC000311787539 354203127 /nfs/dbraw/zinc/20/31/27/354203127.db2.gz JTNHQZKKEZATBK-UHFFFAOYSA-N 0 1 261.329 0.377 20 30 CCEDMN O=C(N[C@H]1COC[C@H]1n1ccnn1)N1C[C@@H]2CCC[C@H]2C1 ZINC000329477397 354257675 /nfs/dbraw/zinc/25/76/75/354257675.db2.gz OGSRDEVHFKNKIX-ZDEQEGDKSA-N 0 1 291.355 0.864 20 30 CCEDMN O=C(N[C@@H]1CCn2ccnc2C1)N1CCn2cncc2C1 ZINC000329682692 354259218 /nfs/dbraw/zinc/25/92/18/354259218.db2.gz XLXDYTNZYMKXCS-LLVKDONJSA-N 0 1 286.339 0.824 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@@](O)(CO)C1 ZINC000313666990 354218842 /nfs/dbraw/zinc/21/88/42/354218842.db2.gz KFQUMYLLDWCTKC-AWEZNQCLSA-N 0 1 270.373 0.230 20 30 CCEDMN O=C(N[C@@H]1CCn2ccnc2C1)N1CCOCC1 ZINC000330225746 354309115 /nfs/dbraw/zinc/30/91/15/354309115.db2.gz UBVQKKZGXVKQGU-SNVBAGLBSA-N 0 1 250.302 0.444 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N[C@H]1CCN(C2CC2)C1 ZINC000337954765 355308687 /nfs/dbraw/zinc/30/86/87/355308687.db2.gz VPWDQWMTXMMTGT-QWRGUYRKSA-N 0 1 286.401 0.291 20 30 CCEDMN CC[N@@H+](C[C@@H](C)C#N)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000338235874 355311524 /nfs/dbraw/zinc/31/15/24/355311524.db2.gz OCPJYWCPIQHBCK-RYUDHWBXSA-N 0 1 273.402 0.749 20 30 CCEDMN CCN(C[C@@H](C)C#N)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000338235874 355311526 /nfs/dbraw/zinc/31/15/26/355311526.db2.gz OCPJYWCPIQHBCK-RYUDHWBXSA-N 0 1 273.402 0.749 20 30 CCEDMN CC[N@@H+](C[C@@H](C)C#N)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000338235872 355311637 /nfs/dbraw/zinc/31/16/37/355311637.db2.gz OCPJYWCPIQHBCK-NWDGAFQWSA-N 0 1 273.402 0.749 20 30 CCEDMN CCN(C[C@@H](C)C#N)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000338235872 355311639 /nfs/dbraw/zinc/31/16/39/355311639.db2.gz OCPJYWCPIQHBCK-NWDGAFQWSA-N 0 1 273.402 0.749 20 30 CCEDMN CC#CCN1CCC[C@H](CNS(=O)(=O)C2CC2)C1 ZINC000344051542 355782205 /nfs/dbraw/zinc/78/22/05/355782205.db2.gz IFGMGJQPVLDLGT-GFCCVEGCSA-N 0 1 270.398 0.804 20 30 CCEDMN COCCOCCN(C)[C@@H](C)C(=O)N(C)CCC#N ZINC000344112237 355785123 /nfs/dbraw/zinc/78/51/23/355785123.db2.gz LCBCPPGMLWUACE-LBPRGKRZSA-N 0 1 271.361 0.342 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC(CCCO)CC1 ZINC000273022960 192022590 /nfs/dbraw/zinc/02/25/90/192022590.db2.gz SJNCWPFGNMGQPP-LBPRGKRZSA-N 0 1 297.399 0.871 20 30 CCEDMN Cn1cc(CNCC(=O)NCC(F)F)cc1C#N ZINC000360771644 138010520 /nfs/dbraw/zinc/01/05/20/138010520.db2.gz ISAQVMLKFVWIFG-UHFFFAOYSA-N 0 1 256.256 0.368 20 30 CCEDMN CN(Cc1cnc2ccc(C#N)cn12)Cc1nnc[nH]1 ZINC000353707936 356277653 /nfs/dbraw/zinc/27/76/53/356277653.db2.gz GSQPNHCTDIPMFK-UHFFFAOYSA-N 0 1 267.296 0.956 20 30 CCEDMN CC[C@@H](C(=O)OC)N(C)CCCc1[nH]nc(N)c1C#N ZINC000560003647 291090548 /nfs/dbraw/zinc/09/05/48/291090548.db2.gz DFXGPZDHOKWCDA-NSHDSACASA-N 0 1 279.344 0.510 20 30 CCEDMN C[C@@H](Oc1cccnc1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000361919941 138038275 /nfs/dbraw/zinc/03/82/75/138038275.db2.gz QLAPWODLKWKUPK-SECBINFHSA-N 0 1 271.280 0.515 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2ccc(C#N)cc2)C[C@H]1CO ZINC000362091162 138046577 /nfs/dbraw/zinc/04/65/77/138046577.db2.gz RUYOGMBAJFFDOQ-WFASDCNBSA-N 0 1 287.363 0.735 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1cccc(CC#N)c1 ZINC000619472903 366264430 /nfs/dbraw/zinc/26/44/30/366264430.db2.gz NCVGYKIGBTWEHG-UHFFFAOYSA-N 0 1 267.354 0.593 20 30 CCEDMN Cn1ncc(C(=O)Nc2nc(-c3ccccn3)n[nH]2)c1C#N ZINC000355043835 356557570 /nfs/dbraw/zinc/55/75/70/356557570.db2.gz SQULKHWSMKBNKR-UHFFFAOYSA-N 0 1 294.278 0.724 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)Nc2cccc(C#N)c2)n[nH]1 ZINC000609957338 363529606 /nfs/dbraw/zinc/52/96/06/363529606.db2.gz FVDNHUONKWNGOA-UHFFFAOYSA-N 0 1 283.291 0.845 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN(C(C)(C)CO)CC1 ZINC000619719697 366338979 /nfs/dbraw/zinc/33/89/79/366338979.db2.gz WUMGNJHRBSDVFR-LLVKDONJSA-N 0 1 256.346 0.103 20 30 CCEDMN N#CCSCC(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000619868264 366365869 /nfs/dbraw/zinc/36/58/69/366365869.db2.gz GZDHEJFYAFLYMA-MRVPVSSYSA-N 0 1 282.325 0.738 20 30 CCEDMN C[C@H](NC(=O)N=c1[nH]n(C)cc1C#N)c1ccccn1 ZINC000610528136 363572788 /nfs/dbraw/zinc/57/27/88/363572788.db2.gz PXDJGOQVIRRXPZ-VIFPVBQESA-N 0 1 270.296 0.991 20 30 CCEDMN Cc1nccc(CNC(=O)N=c2[nH]n(C)cc2C#N)n1 ZINC000610563286 363577489 /nfs/dbraw/zinc/57/74/89/363577489.db2.gz YHYJBOUYDMAVLN-UHFFFAOYSA-N 0 1 271.284 0.134 20 30 CCEDMN CN(Cc1cccnc1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610563759 363577767 /nfs/dbraw/zinc/57/77/67/363577767.db2.gz GIEAERZHXHULQZ-UHFFFAOYSA-N 0 1 270.296 0.773 20 30 CCEDMN CSC[C@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610561449 363576529 /nfs/dbraw/zinc/57/65/29/363576529.db2.gz WKYNBVDLWXAQPD-ZETCQYMHSA-N 0 1 253.331 0.587 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CC[N@H+]3CCCC[C@@H]23)[n-]1 ZINC000610564231 363579759 /nfs/dbraw/zinc/57/97/59/363579759.db2.gz MKEVUMDFUPSWCV-NEPJUHHUSA-N 0 1 288.355 0.462 20 30 CCEDMN CN(CC(=O)NCc1ccc(C#N)cc1)C[C@H](O)C1CC1 ZINC000610572883 363580867 /nfs/dbraw/zinc/58/08/67/363580867.db2.gz RBCVUVQAQKVZJL-HNNXBMFYSA-N 0 1 287.363 0.877 20 30 CCEDMN N#C[C@@H]1CC[C@@H](N2CCC[C@@H](S(N)(=O)=O)C2)C1 ZINC000407993923 357856530 /nfs/dbraw/zinc/85/65/30/357856530.db2.gz WNQSIERLVZJDEF-GMTAPVOTSA-N 0 1 257.359 0.432 20 30 CCEDMN CNC(=O)CCN(C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000346248953 283302473 /nfs/dbraw/zinc/30/24/73/283302473.db2.gz VUFOIYVEDQOUBE-JTQLQIEISA-N 0 1 266.345 0.005 20 30 CCEDMN Cc1onc(CC(=O)N2CCN(C(C)C)CC2)c1C#N ZINC000408151169 357941940 /nfs/dbraw/zinc/94/19/40/357941940.db2.gz TWADZHMGMFPNLT-UHFFFAOYSA-N 0 1 276.340 0.950 20 30 CCEDMN C#CCCN1CCN([C@H](C)c2nc(N)nc(Cl)n2)CC1 ZINC000294855612 199215078 /nfs/dbraw/zinc/21/50/78/199215078.db2.gz BOCWOSLBTRFBEP-SNVBAGLBSA-N 0 1 294.790 0.809 20 30 CCEDMN C[C@@H](C[C@H]1CCOC1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610744169 363606879 /nfs/dbraw/zinc/60/68/79/363606879.db2.gz CUMSXKDMBFBJSM-VHSXEESVSA-N 0 1 277.328 0.650 20 30 CCEDMN CC(C)(C)c1nc(CNc2ccc(C#N)c(N)n2)n[nH]1 ZINC000569378164 358760106 /nfs/dbraw/zinc/76/01/06/358760106.db2.gz IQMPSKUIIXZGAO-UHFFFAOYSA-N 0 1 271.328 0.985 20 30 CCEDMN COC(=O)[C@@H](COC(C)C)N(C)C(=O)C(C)C#N ZINC000610835345 363618199 /nfs/dbraw/zinc/61/81/99/363618199.db2.gz IYXWGUINJPRLTP-NXEZZACHSA-N 0 1 256.302 0.571 20 30 CCEDMN C[N@@H+]1CC[C@@](O)(CNC(=O)c2cc([O-])cc(C#N)c2)C1 ZINC000589206250 359369603 /nfs/dbraw/zinc/36/96/03/359369603.db2.gz QCEIAAKGPFEFEZ-CQSZACIVSA-N 0 1 275.308 0.060 20 30 CCEDMN C[N@H+]1CC[C@@](O)(CNC(=O)c2cc([O-])cc(C#N)c2)C1 ZINC000589206250 359369605 /nfs/dbraw/zinc/36/96/05/359369605.db2.gz QCEIAAKGPFEFEZ-CQSZACIVSA-N 0 1 275.308 0.060 20 30 CCEDMN N#CC1(C(=O)N2CC[C@@H](N3CC[C@H](O)C3)C2)CCC1 ZINC000588862916 359343164 /nfs/dbraw/zinc/34/31/64/359343164.db2.gz LCCKTPAKMCRYON-NEPJUHHUSA-N 0 1 263.341 0.348 20 30 CCEDMN Cc1cc(CNS(=O)(=O)c2cnc(C)c(C#N)c2)n[nH]1 ZINC000589895283 359409043 /nfs/dbraw/zinc/40/90/43/359409043.db2.gz UZXMFSSBVQAHOE-UHFFFAOYSA-N 0 1 291.336 0.772 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCN(CC)[C@@H](C)C1 ZINC000611092087 363659157 /nfs/dbraw/zinc/65/91/57/363659157.db2.gz IMSDMBHLQUXWAP-RYUDHWBXSA-N 0 1 282.388 0.413 20 30 CCEDMN CC[N@H+]1CCN(C(=O)N=c2[n-]n(C)cc2C#N)C[C@@H]1C ZINC000611095578 363659221 /nfs/dbraw/zinc/65/92/21/363659221.db2.gz RWSMDKSWSWDRNY-JTQLQIEISA-N 0 1 276.344 0.272 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@H](n3cccn3)C2)[nH]1 ZINC000589761883 359401124 /nfs/dbraw/zinc/40/11/24/359401124.db2.gz XSXNESYEEGEUDQ-LLVKDONJSA-N 0 1 285.311 0.389 20 30 CCEDMN N#CC1(CC(=O)NCCN2CCCOCC2)CC1 ZINC000590335585 359441569 /nfs/dbraw/zinc/44/15/69/359441569.db2.gz HZGOZLUDZDDBQG-UHFFFAOYSA-N 0 1 251.330 0.519 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H](O)[C@H]1C ZINC000590762440 359519920 /nfs/dbraw/zinc/51/99/20/359519920.db2.gz WOEYOEFDNPHFEU-IJLUTSLNSA-N 0 1 253.346 0.450 20 30 CCEDMN CC(C)OC(=O)[C@H](C)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000590819367 359526865 /nfs/dbraw/zinc/52/68/65/359526865.db2.gz HPUGPSZPBZSDSO-QMMMGPOBSA-N 0 1 279.300 0.175 20 30 CCEDMN CN1CCOC[C@@H]1C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000591706791 359643900 /nfs/dbraw/zinc/64/39/00/359643900.db2.gz NYAMAAHAUTXBKH-LLVKDONJSA-N 0 1 291.355 0.201 20 30 CCEDMN C=C[C@H](O)C(=O)NC[C@@H]1CCN1Cc1ccccc1 ZINC000620785000 366568171 /nfs/dbraw/zinc/56/81/71/366568171.db2.gz WZCUJFGEGOUOJO-KBPBESRZSA-N 0 1 260.337 0.924 20 30 CCEDMN C=CCC(F)(F)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297663498 200256002 /nfs/dbraw/zinc/25/60/02/200256002.db2.gz GFYVPPASGDKYNG-UHFFFAOYSA-N 0 1 285.254 0.847 20 30 CCEDMN C[C@@H](O)CCN(C)Cc1cnc2c(C#N)cnn2c1 ZINC000592500440 359862528 /nfs/dbraw/zinc/86/25/28/359862528.db2.gz XEFPZCVQSVMFRJ-SNVBAGLBSA-N 0 1 259.313 0.804 20 30 CCEDMN N#CCCn1ccc(=NC(=O)c2cnc3nc[nH]c3c2)[nH]1 ZINC000611207356 363682040 /nfs/dbraw/zinc/68/20/40/363682040.db2.gz JIQULEGDIJDOKD-UHFFFAOYSA-N 0 1 281.279 0.742 20 30 CCEDMN N#Cc1cnn2c1NC[C@@H](C[N@H+]1CCCO[C@H](CF)C1)C2 ZINC000592517452 359868914 /nfs/dbraw/zinc/86/89/14/359868914.db2.gz WTZSQEFZYIGSOF-WCQYABFASA-N 0 1 293.346 0.857 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2CCCc3nn(C)cc32)[nH]n1C ZINC000592650116 359909690 /nfs/dbraw/zinc/90/96/90/359909690.db2.gz NUYBHYJZMRYCDV-JTQLQIEISA-N 0 1 298.350 0.814 20 30 CCEDMN C=CCCCN(C)C(=O)C(=O)N1CC[C@@H]2CCN(C)C[C@H]21 ZINC000297898276 200301022 /nfs/dbraw/zinc/30/10/22/200301022.db2.gz QUVGMTAQMYDBEF-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN CNS(=O)(=O)C[C@@H]1CCCN1[C@@H](C)CCC#N ZINC000593017052 360015027 /nfs/dbraw/zinc/01/50/27/360015027.db2.gz CISTTWWVJGECOW-QWRGUYRKSA-N 0 1 259.375 0.692 20 30 CCEDMN Cc1nnc(N2CCN(Cc3nnc[nH]3)CC2)c(C#N)c1C ZINC000593507442 360102076 /nfs/dbraw/zinc/10/20/76/360102076.db2.gz FKRJWWLJAALGQO-UHFFFAOYSA-N 0 1 298.354 0.405 20 30 CCEDMN N#Cc1ccc([C@H](NCC[C@]2(O)CCOC2)C(N)=O)cc1 ZINC000593182702 360050623 /nfs/dbraw/zinc/05/06/23/360050623.db2.gz ARKIUXPJSZGLFQ-ZFWWWQNUSA-N 0 1 289.335 0.216 20 30 CCEDMN CN1C[C@H]2CCN(S(=O)(=O)c3ccsc3C#N)[C@H]2C1 ZINC000593597807 360119059 /nfs/dbraw/zinc/11/90/59/360119059.db2.gz KOZOCOCVFQXEOE-ZJUUUORDSA-N 0 1 297.405 0.944 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000593636901 360125188 /nfs/dbraw/zinc/12/51/88/360125188.db2.gz ZBMPJLZPAZEVAR-NEPJUHHUSA-N 0 1 267.373 0.840 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCCOCC2)[nH]1 ZINC000611453437 363719486 /nfs/dbraw/zinc/71/94/86/363719486.db2.gz JPXWXDCANLHNHK-SNVBAGLBSA-N 0 1 263.301 0.404 20 30 CCEDMN CN(C[C@@H]1CCCC[C@H]1O)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611503759 363728021 /nfs/dbraw/zinc/72/80/21/363728021.db2.gz HYWFXCKQXLMREB-CMPLNLGQSA-N 0 1 291.355 0.728 20 30 CCEDMN COC[C@H](CCN[C@H](C(N)=O)c1ccc(C#N)cc1)OC ZINC000594710364 360363701 /nfs/dbraw/zinc/36/37/01/360363701.db2.gz KECRPGWVTMZPTH-KBPBESRZSA-N 0 1 291.351 0.726 20 30 CCEDMN N#Cc1cc(C(=O)N[C@H](c2nn[nH]n2)c2ccccc2)c[nH]1 ZINC000611521872 363731992 /nfs/dbraw/zinc/73/19/92/363731992.db2.gz KDXAWDKOMPGEAB-LBPRGKRZSA-N 0 1 293.290 0.919 20 30 CCEDMN COC(=O)CCN1CC[C@@H](N2CCC(CC#N)CC2)C1=O ZINC000595426029 360527599 /nfs/dbraw/zinc/52/75/99/360527599.db2.gz ODXTUGPKWNYKKQ-CYBMUJFWSA-N 0 1 293.367 0.776 20 30 CCEDMN CCCN1CC[C@H](NS(=O)(=O)N(C)C[C@H](C)C#N)C1 ZINC000595357488 360506985 /nfs/dbraw/zinc/50/69/85/360506985.db2.gz ZVSHAXPZSSJBHZ-NEPJUHHUSA-N 0 1 288.417 0.397 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@H](Cn3ccnn3)C2)CCC1 ZINC000595604786 360594248 /nfs/dbraw/zinc/59/42/48/360594248.db2.gz CWHCAKSUWCFNLL-LBPRGKRZSA-N 0 1 288.355 0.162 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000595647621 360605310 /nfs/dbraw/zinc/60/53/10/360605310.db2.gz DOBDNFOEPLGGOW-CMPLNLGQSA-N 0 1 250.346 0.882 20 30 CCEDMN C[NH2+]C[C@H](C)C[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000563446570 291230750 /nfs/dbraw/zinc/23/07/50/291230750.db2.gz UYAZFRFVWCCPBE-QMMMGPOBSA-N 0 1 257.315 0.285 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@]1(C)CO ZINC000595878927 360688660 /nfs/dbraw/zinc/68/86/60/360688660.db2.gz WQVVJBMHQSZCIY-KCPJHIHWSA-N 0 1 279.384 0.745 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCC2(COC2)C1 ZINC000595835727 360673394 /nfs/dbraw/zinc/67/33/94/360673394.db2.gz XLRJTDSBYDBKCO-NSHDSACASA-N 0 1 263.341 0.660 20 30 CCEDMN N#Cc1cnnc(N2CCN([C@H]3CCC[C@H]3O)CC2)c1 ZINC000596112439 360797109 /nfs/dbraw/zinc/79/71/09/360797109.db2.gz PLAIHKMFKZRKSA-QWHCGFSZSA-N 0 1 273.340 0.384 20 30 CCEDMN COCC(C)(C)N1CCN(c2cc(C#N)cnn2)CC1 ZINC000596280115 360866993 /nfs/dbraw/zinc/86/69/93/360866993.db2.gz ATQPNHUMALGLJO-UHFFFAOYSA-N 0 1 275.356 0.895 20 30 CCEDMN Cc1ccc(C#N)nc1N1CC(N2CC[C@@H](O)C2)C1 ZINC000596294019 360872499 /nfs/dbraw/zinc/87/24/99/360872499.db2.gz GXAKLJKWYBOIIO-CYBMUJFWSA-N 0 1 258.325 0.517 20 30 CCEDMN N#Cc1cnnc(NCCN2C[C@H]3CC[C@@H](C2)O3)c1 ZINC000596267006 360859213 /nfs/dbraw/zinc/85/92/13/360859213.db2.gz UZXUFZDSZQEPIT-TXEJJXNPSA-N 0 1 259.313 0.045 20 30 CCEDMN N#C[C@@H]1CN(Cc2ccnn2-c2ccncc2)CCO1 ZINC000597004251 360993086 /nfs/dbraw/zinc/99/30/86/360993086.db2.gz YAEGKHOAOYCBCD-CQSZACIVSA-N 0 1 269.308 0.992 20 30 CCEDMN CCOCCOCCCC(=O)NC1(C#N)CCN(C)CC1 ZINC000597165400 361020749 /nfs/dbraw/zinc/02/07/49/361020749.db2.gz LDTLHYSBQJVALL-UHFFFAOYSA-N 0 1 297.399 0.924 20 30 CCEDMN CC(C)[C@@H](C#N)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000597187010 361026535 /nfs/dbraw/zinc/02/65/35/361026535.db2.gz CILXCYIICKMWPW-SNVBAGLBSA-N 0 1 297.336 0.318 20 30 CCEDMN Cc1cc(C(=O)N(C)CCCc2[nH]nc(N)c2C#N)n[nH]1 ZINC000563736505 291249641 /nfs/dbraw/zinc/24/96/41/291249641.db2.gz COWVGGJDRJXXDQ-UHFFFAOYSA-N 0 1 287.327 0.430 20 30 CCEDMN CN(Cc1cnc2c(C#N)cnn2c1)C1(CO)CCC1 ZINC000597256686 361043247 /nfs/dbraw/zinc/04/32/47/361043247.db2.gz XGSCVIVJHKJODF-UHFFFAOYSA-N 0 1 271.324 0.948 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000597383313 361079783 /nfs/dbraw/zinc/07/97/83/361079783.db2.gz YRKLZWOFGGPLBH-QPUJVOFHSA-N 0 1 263.301 0.269 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1C[C@@H](CO)OC(C)(C)C1 ZINC000598623618 361464719 /nfs/dbraw/zinc/46/47/19/361464719.db2.gz ZMVHYBOYWBKDPZ-NEPJUHHUSA-N 0 1 270.373 0.759 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCCC[C@@H]1C(N)=O ZINC000598590974 361452281 /nfs/dbraw/zinc/45/22/81/361452281.db2.gz XJMHSSRKUMJMIM-WDEREUQCSA-N 0 1 253.346 0.627 20 30 CCEDMN CCCn1nccc1C(=O)N=c1[nH]n(C)cc1C#N ZINC000598817194 361521571 /nfs/dbraw/zinc/52/15/71/361521571.db2.gz LKGZASKAMJFYIY-UHFFFAOYSA-N 0 1 258.285 0.572 20 30 CCEDMN N#Cc1[n-]c(=NCC[N@@H+]2CC[C@@H](O)C2)sc1Cl ZINC000599176739 361599954 /nfs/dbraw/zinc/59/99/54/361599954.db2.gz VVGLUZNOSJEZKR-SSDOTTSWSA-N 0 1 272.761 0.569 20 30 CCEDMN N#Cc1nc(NCCN2CC[C@@H](O)C2)sc1Cl ZINC000599176739 361599965 /nfs/dbraw/zinc/59/99/65/361599965.db2.gz VVGLUZNOSJEZKR-SSDOTTSWSA-N 0 1 272.761 0.569 20 30 CCEDMN CC(C)C[N@H+](CC(N)=O)C[C@H](O)CC1(C#N)CCOCC1 ZINC000599264317 361625990 /nfs/dbraw/zinc/62/59/90/361625990.db2.gz AMOZWNQIJLIHQA-CYBMUJFWSA-N 0 1 297.399 0.501 20 30 CCEDMN C=CC[C@@H](C)NS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599237457 361617717 /nfs/dbraw/zinc/61/77/17/361617717.db2.gz RHJCXVJUQJMNRJ-SSDOTTSWSA-N 0 1 274.302 0.571 20 30 CCEDMN Cn1cc([N+](=O)[O-])c(S(=O)(=O)NCC2(C#N)CCC2)n1 ZINC000599325226 361652567 /nfs/dbraw/zinc/65/25/67/361652567.db2.gz AERVOMLXBUPBBX-UHFFFAOYSA-N 0 1 299.312 0.300 20 30 CCEDMN CCOC(=O)C[C@@H](O)CN1CCC[C@H](CC#N)C1 ZINC000599468383 361711964 /nfs/dbraw/zinc/71/19/64/361711964.db2.gz FLYHWGABFKAOOA-VXGBXAGGSA-N 0 1 254.330 0.926 20 30 CCEDMN N#CCCCNC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000612380500 363898165 /nfs/dbraw/zinc/89/81/65/363898165.db2.gz VSJAQGXOYLCDCQ-STQMWFEESA-N 0 1 280.372 0.531 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCN(CCOC)[C@H](CCC)C1 ZINC000613159650 364135348 /nfs/dbraw/zinc/13/53/48/364135348.db2.gz IERYQIQPGHLMIO-HIFRSBDPSA-N 0 1 284.400 0.883 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)CN(C)C)CC1 ZINC000613245542 364177830 /nfs/dbraw/zinc/17/78/30/364177830.db2.gz FJMATFRIDGQPPJ-LBPRGKRZSA-N 0 1 252.362 0.240 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H](CCO)c2ccco2)[nH]1 ZINC000613590072 364307108 /nfs/dbraw/zinc/30/71/08/364307108.db2.gz QXIXOJNAXZKJAZ-JTQLQIEISA-N 0 1 289.295 0.552 20 30 CCEDMN C=CC[C@H]1CCCN(S(=O)(=O)C[C@@H]2CNCCO2)C1 ZINC000631951151 370782465 /nfs/dbraw/zinc/78/24/65/370782465.db2.gz YLQTYZYSZCOONF-STQMWFEESA-N 0 1 288.413 0.593 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000614492033 364627799 /nfs/dbraw/zinc/62/77/99/364627799.db2.gz ZHNKWCIGPWYHFZ-ZFWWWQNUSA-N 0 1 282.384 0.494 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000614793796 364732091 /nfs/dbraw/zinc/73/20/91/364732091.db2.gz BVMSEABWJLVAKG-KWQFWETISA-N 0 1 279.344 0.761 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000615851073 365110150 /nfs/dbraw/zinc/11/01/50/365110150.db2.gz PNPBZQIDBFDIEO-KKZNHRDASA-N 0 1 262.313 0.684 20 30 CCEDMN CCc1[nH]nc(C(=O)N2CC[C@@](F)(C#N)C2)c1[N+](=O)[O-] ZINC000615932157 365134366 /nfs/dbraw/zinc/13/43/66/365134366.db2.gz DKHVLQKGPSBKSK-LLVKDONJSA-N 0 1 281.247 0.958 20 30 CCEDMN C=C[C@](C)(O)C(=O)N=c1cc(-c2nccn2C)[nH][nH]1 ZINC000621925814 366930544 /nfs/dbraw/zinc/93/05/44/366930544.db2.gz ONPYPUVKFKGQLC-LBPRGKRZSA-N 0 1 261.285 0.108 20 30 CCEDMN CC#CCCNC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000626388402 368533124 /nfs/dbraw/zinc/53/31/24/368533124.db2.gz PCYNBCLXNCNAJF-UHFFFAOYSA-N 0 1 281.337 0.596 20 30 CCEDMN C[C@@H]1CN(C(=O)CNc2ccc(C#N)cn2)[C@@H](C)CN1C ZINC000330617358 203044912 /nfs/dbraw/zinc/04/49/12/203044912.db2.gz WYVUVCRQXHWORV-NEPJUHHUSA-N 0 1 287.367 0.338 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000627434792 369001813 /nfs/dbraw/zinc/00/18/13/369001813.db2.gz SVBHPJHPXBJHHD-NSHDSACASA-N 0 1 267.373 0.525 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC(N2CCOCC2)CC1 ZINC000628123130 369185314 /nfs/dbraw/zinc/18/53/14/369185314.db2.gz BMTHZYNKGDIXCY-OAHLLOKOSA-N 0 1 282.384 0.637 20 30 CCEDMN C=CCCS(=O)(=O)N(C)[C@@H]1CN2CCC1CC2 ZINC000632478921 371096240 /nfs/dbraw/zinc/09/62/40/371096240.db2.gz UIXNTFVDFXQDII-GFCCVEGCSA-N 0 1 258.387 0.918 20 30 CCEDMN O[C@@H](CNCC#Cc1ccccc1)CC1(O)CCOCC1 ZINC000634698677 372145972 /nfs/dbraw/zinc/14/59/72/372145972.db2.gz UJLPHMFEGJDTCF-MRXNPFEDSA-N 0 1 289.375 0.920 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)NCCN1CC[C@H](O)C1 ZINC000637117669 373939698 /nfs/dbraw/zinc/93/96/98/373939698.db2.gz ZTWGPFXKJPTYDO-MELADBBJSA-N 0 1 297.399 0.333 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@](C)(CC)OC)CC1 ZINC000637880094 374444206 /nfs/dbraw/zinc/44/42/06/374444206.db2.gz AXLMJMHNKPWINS-OAHLLOKOSA-N 0 1 283.416 0.721 20 30 CCEDMN C=CCOc1ccc(C(=O)NC[C@H]2COCCN2)cc1 ZINC000638619618 375213663 /nfs/dbraw/zinc/21/36/63/375213663.db2.gz HVZJRFVNRVPXLV-ZDUSSCGKSA-N 0 1 276.336 0.970 20 30 CCEDMN C=CCNC(=O)[C@H](C)[NH+]1CCC(c2n[n-]c(=N)o2)CC1 ZINC000639727658 375955464 /nfs/dbraw/zinc/95/54/64/375955464.db2.gz WNQMWDSYFAFYEJ-VIFPVBQESA-N 0 1 279.344 0.352 20 30 CCEDMN CCOC(=O)[C@H]1NCC[C@H]1NCc1cccc(C#N)c1 ZINC000422964952 249011445 /nfs/dbraw/zinc/01/14/45/249011445.db2.gz NJFDRIODEYIZNH-KGLIPLIRSA-N 0 1 273.336 0.941 20 30 CCEDMN N#Cc1cccnc1N1CCC[C@H](C(=O)N2CCNCC2)C1 ZINC000517542560 249222412 /nfs/dbraw/zinc/22/24/12/249222412.db2.gz LOTHLJSEXFMWDZ-AWEZNQCLSA-N 0 1 299.378 0.601 20 30 CCEDMN C#CCCNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000279006499 194248705 /nfs/dbraw/zinc/24/87/05/194248705.db2.gz KIAYQHUBUJNYQM-QMMMGPOBSA-N 0 1 264.247 0.829 20 30 CCEDMN CO[C@H](C)CN(C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000353219116 533080574 /nfs/dbraw/zinc/08/05/74/533080574.db2.gz MCSNJQLXMUYNSH-MNOVXSKESA-N 0 1 253.346 0.904 20 30 CCEDMN CC(=O)c1cccc(OC[C@H](O)CNCC#CCO)c1 ZINC000574812673 335049511 /nfs/dbraw/zinc/04/95/11/335049511.db2.gz HHZGDPLDRQQVLS-CQSZACIVSA-N 0 1 277.320 0.214 20 30 CCEDMN C=CCCn1cc(CNCC(C)(C)OCCO)nn1 ZINC000657468241 413000162 /nfs/dbraw/zinc/00/01/62/413000162.db2.gz GMJLQGZNDPSRIY-UHFFFAOYSA-N 0 1 268.361 0.731 20 30 CCEDMN C=CCCn1cc(CNCC2(CC(N)=O)CC2)nn1 ZINC000657495357 413006326 /nfs/dbraw/zinc/00/63/26/413006326.db2.gz WKUPTHQWCPPVKG-UHFFFAOYSA-N 0 1 263.345 0.599 20 30 CCEDMN COC[C@@H](C)OC[C@@H](O)CN1CCC(F)(C#N)CC1 ZINC000352484418 285212869 /nfs/dbraw/zinc/21/28/69/285212869.db2.gz OXLUJTIZQWOGGY-NEPJUHHUSA-N 0 1 274.336 0.726 20 30 CCEDMN CCC#C[C@H](C)N1CCC[C@@H](CS(N)(=O)=O)C1 ZINC000685047553 545791537 /nfs/dbraw/zinc/79/15/37/545791537.db2.gz YVKKZWQYRHOGOP-NWDGAFQWSA-N 0 1 258.387 0.789 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)NCc1cn[nH]c1C ZINC000283713523 388768668 /nfs/dbraw/zinc/76/86/68/388768668.db2.gz AKICZCXUWQKUPB-UHFFFAOYSA-N 0 1 264.329 0.759 20 30 CCEDMN C#CCC(CC#C)C(=O)N1CCCN(CCOC)CC1 ZINC000287515844 388854260 /nfs/dbraw/zinc/85/42/60/388854260.db2.gz HGTOLVNDBQPTPP-UHFFFAOYSA-N 0 1 276.380 0.830 20 30 CCEDMN C#CCC(CC#C)C(=O)NC1(c2nnc[nH]2)CCC1 ZINC000288072103 388859024 /nfs/dbraw/zinc/85/90/24/388859024.db2.gz KLBQAIPSPDVCHP-UHFFFAOYSA-N 0 1 256.309 0.963 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@H](c3nc(=O)[nH][nH]3)C2)C1=O ZINC000288563680 388863332 /nfs/dbraw/zinc/86/33/32/388863332.db2.gz AGGQUEWPNQAKLR-GHMZBOCLSA-N 0 1 291.355 0.064 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC000288641240 388864344 /nfs/dbraw/zinc/86/43/44/388864344.db2.gz SVVWRFPADKCGQE-NEPJUHHUSA-N 0 1 279.384 0.810 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@@H]1C[C@H](C)N(C2CC2)C1 ZINC000289044117 388867489 /nfs/dbraw/zinc/86/74/89/388867489.db2.gz XAAFXYBSBNSSFE-QWHCGFSZSA-N 0 1 291.395 0.928 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccccc1C#N)N(C)C ZINC000044316884 388870573 /nfs/dbraw/zinc/87/05/73/388870573.db2.gz PQXYDMNUPTYHGY-JTQLQIEISA-N 0 1 267.354 0.787 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](n2cc(C)cn2)C1 ZINC000289885431 388897382 /nfs/dbraw/zinc/89/73/82/388897382.db2.gz MOLCJTFUOQCRNE-QWHCGFSZSA-N 0 1 260.341 0.576 20 30 CCEDMN CCN(CC)C(=O)CN(C)CCC(=O)N(C)CCC#N ZINC000049028742 388913813 /nfs/dbraw/zinc/91/38/13/388913813.db2.gz PMJGSVWJXKDQNU-UHFFFAOYSA-N 0 1 282.388 0.549 20 30 CCEDMN C#CCONC(=O)Cc1csc(NC(=O)C(C)C)n1 ZINC000291179702 388918456 /nfs/dbraw/zinc/91/84/56/388918456.db2.gz FMPDTWOVQRQJFL-UHFFFAOYSA-N 0 1 281.337 0.961 20 30 CCEDMN CCCN(CCO)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000049825959 388923715 /nfs/dbraw/zinc/92/37/15/388923715.db2.gz QLQVLLQECPUIHT-CYBMUJFWSA-N 0 1 253.346 0.499 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@](CO)(c2ccccc2)C1 ZINC000292005301 388944101 /nfs/dbraw/zinc/94/41/01/388944101.db2.gz XNLOCDBUTFECJL-PBHICJAKSA-N 0 1 286.375 0.760 20 30 CCEDMN C#CCNC(=O)CCN[C@](C)(C(N)=O)c1ccccc1 ZINC000292679665 388954281 /nfs/dbraw/zinc/95/42/81/388954281.db2.gz BRIMPBLHIHQVCD-HNNXBMFYSA-N 0 1 273.336 0.116 20 30 CCEDMN C#CCNC(=O)CC[N@H+](C)[C@H](C)CNC(=O)OC(C)(C)C ZINC000292718452 388954659 /nfs/dbraw/zinc/95/46/59/388954659.db2.gz AHZXFHFYHBUDMM-GFCCVEGCSA-N 0 1 297.399 0.971 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](C)[C@@H]1CO ZINC000190433101 388990681 /nfs/dbraw/zinc/99/06/81/388990681.db2.gz ACWALGAUJDVZNB-AGIUHOORSA-N 0 1 267.373 0.697 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@H](O)CC(C)(C)C1 ZINC000295269628 388998012 /nfs/dbraw/zinc/99/80/12/388998012.db2.gz DWLMLRIGKTTYKN-RYUDHWBXSA-N 0 1 297.399 0.870 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)[C@@H]1CO ZINC000189736953 388970690 /nfs/dbraw/zinc/97/06/90/388970690.db2.gz RZZREDRIHYVKRD-QKCSRTOESA-N 0 1 265.357 0.498 20 30 CCEDMN COc1ccc(CN[C@H]2CCCNC2=O)cc1C#N ZINC000087824133 389012112 /nfs/dbraw/zinc/01/21/12/389012112.db2.gz UCXZIIPGHCVLFQ-LBPRGKRZSA-N 0 1 259.309 0.935 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000337703382 389066483 /nfs/dbraw/zinc/06/64/83/389066483.db2.gz WDLJLBAABRFCSM-STQMWFEESA-N 0 1 265.357 0.717 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](CNC(=O)C(C)C)C1 ZINC000101909827 389073610 /nfs/dbraw/zinc/07/36/10/389073610.db2.gz IRLKCNOJQDEMSP-UONOGXRCSA-N 0 1 293.411 0.609 20 30 CCEDMN N#CCNC(=O)CN(CCCO)[C@H]1CCc2ccccc21 ZINC000304098784 389081734 /nfs/dbraw/zinc/08/17/34/389081734.db2.gz GHXMGBOICHBEEE-HNNXBMFYSA-N 0 1 287.363 0.998 20 30 CCEDMN CC[C@H](CO)N1CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000304302294 389082114 /nfs/dbraw/zinc/08/21/14/389082114.db2.gz IIKQCISZMGZUHK-ZIAGYGMSSA-N 0 1 296.415 0.135 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)CC(C)(C)O ZINC000304125614 389082194 /nfs/dbraw/zinc/08/21/94/389082194.db2.gz OENBJPMEUFHAOK-LLVKDONJSA-N 0 1 255.362 0.697 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CC)CCOCC ZINC000107679741 389096959 /nfs/dbraw/zinc/09/69/59/389096959.db2.gz AGOACQDLFDMNDK-UHFFFAOYSA-N 0 1 257.334 0.357 20 30 CCEDMN CN(C)c1ncc(CN(C)CCOCCC#N)cn1 ZINC000339080010 389124925 /nfs/dbraw/zinc/12/49/25/389124925.db2.gz WYPRUNFXYIDUHQ-UHFFFAOYSA-N 0 1 263.345 0.905 20 30 CCEDMN C=C[C@H](CO)NC(=O)c1c[n-]c2[nH+]c(C)ccc2c1=O ZINC000356869449 389129657 /nfs/dbraw/zinc/12/96/57/389129657.db2.gz CYWLDXHKLIZRMT-SECBINFHSA-N 0 1 273.292 0.921 20 30 CCEDMN CO[C@@]1(CNC(=O)c2cccn3c(O)nnc23)CCOC1 ZINC000329652004 389182926 /nfs/dbraw/zinc/18/29/26/389182926.db2.gz KPAVUQMYCSNEAB-CYBMUJFWSA-N 0 1 292.295 0.545 20 30 CCEDMN COCCNC(=O)C(C#N)c1ccc([N+](=O)[O-])cn1 ZINC000359906166 389185842 /nfs/dbraw/zinc/18/58/42/389185842.db2.gz FJHZEOHLRQLDMI-VIFPVBQESA-N 0 1 264.241 0.360 20 30 CCEDMN CN(Cc1ccc(C#N)s1)C[C@H](O)CN1CCOCC1 ZINC000129323819 389186145 /nfs/dbraw/zinc/18/61/45/389186145.db2.gz FWLUUCSTLGGORN-LBPRGKRZSA-N 0 1 295.408 0.745 20 30 CCEDMN CN(C)[C@@]1(CNS(=O)(=O)CCCC#N)CCSC1 ZINC000360444852 389198447 /nfs/dbraw/zinc/19/84/47/389198447.db2.gz PVRORUMVTLYEJB-LLVKDONJSA-N 0 1 291.442 0.647 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000342163683 389199035 /nfs/dbraw/zinc/19/90/35/389199035.db2.gz ORWKDWKFJRIBEI-ZETCQYMHSA-N 0 1 254.246 0.232 20 30 CCEDMN C=C[C@@H](CO)NC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000358458625 389151394 /nfs/dbraw/zinc/15/13/94/389151394.db2.gz ADMDSZHZYFSLTO-STQMWFEESA-N 0 1 285.388 0.189 20 30 CCEDMN Cc1noc(C)c1CNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000329384233 389160388 /nfs/dbraw/zinc/16/03/88/389160388.db2.gz RMGITEYZKLISLL-GFCCVEGCSA-N 0 1 295.387 0.541 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](C(N)=O)CC[C@H]1C ZINC000247584063 389241379 /nfs/dbraw/zinc/24/13/79/389241379.db2.gz LWHJTQNKXYPEBF-UPJWGTAASA-N 0 1 294.399 0.580 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@H](C)N(C)C[C@H]1C ZINC000330584166 389243014 /nfs/dbraw/zinc/24/30/14/389243014.db2.gz KQULZEBHGQKMME-QWHCGFSZSA-N 0 1 266.389 0.773 20 30 CCEDMN C=CCC[C@@H](O)CN1CC[C@@H](S(=O)(=O)NC(C)C)C1 ZINC000134306880 389243591 /nfs/dbraw/zinc/24/35/91/389243591.db2.gz XGWJOPYRUBQVMW-CHWSQXEVSA-N 0 1 290.429 0.716 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)N1CC[C@H](CO)C1 ZINC000330823448 389254840 /nfs/dbraw/zinc/25/48/40/389254840.db2.gz PZXWYOZLGTYMLQ-MNOVXSKESA-N 0 1 252.318 0.500 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H]1CSC ZINC000135448638 389257298 /nfs/dbraw/zinc/25/72/98/389257298.db2.gz QZOFWZQLWUTNQE-SNVBAGLBSA-N 0 1 271.386 0.826 20 30 CCEDMN CC1(CO)CCN(CC(=O)N(CCC#N)CCC#N)CC1 ZINC000186911785 262329862 /nfs/dbraw/zinc/32/98/62/262329862.db2.gz GQFVBMFPSGNOKU-UHFFFAOYSA-N 0 1 292.383 0.737 20 30 CCEDMN N#C[C@@H]1CN(C([O-])=[NH+][C@@H]2CCc3cn[nH]c3C2)CCO1 ZINC000329859814 389206803 /nfs/dbraw/zinc/20/68/03/389206803.db2.gz PAZUJKWASHIALV-GHMZBOCLSA-N 0 1 275.312 0.405 20 30 CCEDMN C=CCOCCN1CCO[C@@H](c2n[nH]c(C)n2)C1 ZINC000330423056 389227283 /nfs/dbraw/zinc/22/72/83/389227283.db2.gz IAXXMCNSYGUPOZ-LLVKDONJSA-N 0 1 252.318 0.689 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000330455172 389231724 /nfs/dbraw/zinc/23/17/24/389231724.db2.gz MUGKZZHTWZWGES-DOMZBBRYSA-N 0 1 284.400 0.617 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(N2CCO[C@@H](C)C2)CC1 ZINC000345755702 389314303 /nfs/dbraw/zinc/31/43/03/389314303.db2.gz GDDUBHDVWXWNFX-RYUDHWBXSA-N 0 1 265.357 0.858 20 30 CCEDMN C#CCN(CC(=O)NC(=O)N[C@H](C)COC)C1CCCC1 ZINC000155728263 389320460 /nfs/dbraw/zinc/32/04/60/389320460.db2.gz HSPIPJWMVWXYRF-GFCCVEGCSA-N 0 1 295.383 0.725 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CCC[C@@H](CC(N)=O)C1 ZINC000346417850 389328112 /nfs/dbraw/zinc/32/81/12/389328112.db2.gz VYOAREOJQJVRFV-NEPJUHHUSA-N 0 1 292.383 0.525 20 30 CCEDMN CCOCCN(C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000346521904 389330787 /nfs/dbraw/zinc/33/07/87/389330787.db2.gz CVESHABKHIIEIE-NSHDSACASA-N 0 1 253.346 0.906 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+](CC)CCOCC ZINC000157564777 389332298 /nfs/dbraw/zinc/33/22/98/389332298.db2.gz ATBZHRDWKNQTCE-LLVKDONJSA-N 0 1 271.361 0.745 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(CC)CCOCC ZINC000157564777 389332303 /nfs/dbraw/zinc/33/23/03/389332303.db2.gz ATBZHRDWKNQTCE-LLVKDONJSA-N 0 1 271.361 0.745 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@@H](C)[C@H](O)C1 ZINC000346579830 389332444 /nfs/dbraw/zinc/33/24/44/389332444.db2.gz YHSMFGZBUOJLED-GRYCIOLGSA-N 0 1 265.357 0.640 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)NCCCC#N)[nH]1 ZINC000345247733 389292761 /nfs/dbraw/zinc/29/27/61/389292761.db2.gz HRELWYHOWGYFEJ-UHFFFAOYSA-N 0 1 264.333 0.292 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)C[C@@H]1CCC[C@@H]1O ZINC000346971914 389342239 /nfs/dbraw/zinc/34/22/39/389342239.db2.gz BYMMZOQLSZGTPT-RYUDHWBXSA-N 0 1 265.357 0.642 20 30 CCEDMN C=CCOCCCN1CCN(CC(=O)OC)CC1 ZINC000348116496 389365022 /nfs/dbraw/zinc/36/50/22/389365022.db2.gz KCKQKCYBQLWDQG-UHFFFAOYSA-N 0 1 256.346 0.370 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@](O)(C2CC2)C1 ZINC000373239504 389468322 /nfs/dbraw/zinc/46/83/22/389468322.db2.gz ATRPUZTXHALLDL-DOMZBBRYSA-N 0 1 279.384 0.841 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@](O)(C3CC3)C2)CC1 ZINC000373358947 389477073 /nfs/dbraw/zinc/47/70/73/389477073.db2.gz MNVZKFXPTZSRND-MRXNPFEDSA-N 0 1 276.380 0.705 20 30 CCEDMN N#CCSCC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000371449362 389431302 /nfs/dbraw/zinc/43/13/02/389431302.db2.gz VLLPNEYVXQMNJU-MRVPVSSYSA-N 0 1 250.327 0.640 20 30 CCEDMN C=CCCS(=O)(=O)NC1(c2nnc[nH]2)CCC1 ZINC000281310079 389613499 /nfs/dbraw/zinc/61/34/99/389613499.db2.gz ZZFFBUSLCDLDBN-UHFFFAOYSA-N 0 1 256.331 0.679 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCc2cnn(C)c2)C1=O ZINC000281399284 389615515 /nfs/dbraw/zinc/61/55/15/389615515.db2.gz QJPCPXSNKJTBDQ-CYBMUJFWSA-N 0 1 262.357 0.681 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)CCC(=O)OC)C1=O ZINC000281420276 389616540 /nfs/dbraw/zinc/61/65/40/389616540.db2.gz BPBSTADEUCHRGJ-LLVKDONJSA-N 0 1 254.330 0.658 20 30 CCEDMN C=CCCn1cc(C(=O)NCCN2CC=CCC2)nn1 ZINC000424808863 389634054 /nfs/dbraw/zinc/63/40/54/389634054.db2.gz HKBCBYDNBBJYPR-UHFFFAOYSA-N 0 1 275.356 0.846 20 30 CCEDMN C=CCCS(=O)(=O)N(C)CCCN1CCN(C)CC1 ZINC000351326727 389574206 /nfs/dbraw/zinc/57/42/06/389574206.db2.gz KAWMIIHGTNJBRK-UHFFFAOYSA-N 0 1 289.445 0.462 20 30 CCEDMN CC#CCCCC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000413900934 389577862 /nfs/dbraw/zinc/57/78/62/389577862.db2.gz LMYMGVJWYAEINU-CYBMUJFWSA-N 0 1 280.368 0.743 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000413898013 389577994 /nfs/dbraw/zinc/57/79/94/389577994.db2.gz VTLKSUKYZCPOBQ-UPJWGTAASA-N 0 1 296.367 0.141 20 30 CCEDMN COc1ccc([C@H](CCO)NCC(=O)NCC#N)cc1 ZINC000182403231 389707933 /nfs/dbraw/zinc/70/79/33/389707933.db2.gz PPCXZRVWHDJZTJ-ZDUSSCGKSA-N 0 1 277.324 0.348 20 30 CCEDMN N#Cc1cccc(OCCN2CCN3CCOC[C@H]3C2)c1 ZINC000375240571 389652561 /nfs/dbraw/zinc/65/25/61/389652561.db2.gz ISDGQBHMAJYPQV-OAHLLOKOSA-N 0 1 287.363 0.953 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@H](C(=O)OC)C2)C1=O ZINC000282070492 389653673 /nfs/dbraw/zinc/65/36/73/389653673.db2.gz SVVRAYASLFMREM-RYUDHWBXSA-N 0 1 266.341 0.658 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N(CC)CCOCCO ZINC000282205048 389657681 /nfs/dbraw/zinc/65/76/81/389657681.db2.gz XMRPGGYKXORISZ-CQSZACIVSA-N 0 1 284.400 0.906 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(NC(=O)C3CC3)CC2)C1=O ZINC000282132144 389658089 /nfs/dbraw/zinc/65/80/89/389658089.db2.gz YUYOUSBCBRCTBA-CQSZACIVSA-N 0 1 291.395 0.764 20 30 CCEDMN C[C@@H]1CN(C(=O)CC(C)(C)C#N)[C@H](C)CN1CCO ZINC000416284422 389724096 /nfs/dbraw/zinc/72/40/96/389724096.db2.gz ANIBDTCEVMPVGU-VXGBXAGGSA-N 0 1 267.373 0.840 20 30 CCEDMN C[C@@H]1CN(CC(=O)N(C)C2(C#N)CCCCC2)C[C@@H]1O ZINC000351957823 389724629 /nfs/dbraw/zinc/72/46/29/389724629.db2.gz IIUJGFOOIKYZIT-OLZOCXBDSA-N 0 1 279.384 0.984 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NC[C@H](Cc1ccccc1)N(C)C ZINC000416368580 389736628 /nfs/dbraw/zinc/73/66/28/389736628.db2.gz HLWORWKNRMKYOO-OCCSQVGLSA-N 0 1 295.408 0.991 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)N(CCN(C)C)Cc1ccco1 ZINC000416422054 389740664 /nfs/dbraw/zinc/74/06/64/389740664.db2.gz IGHOWLVONKQXPI-NSHDSACASA-N 0 1 285.369 0.885 20 30 CCEDMN N#Cc1cccc2c1CN(C(=O)C[C@@H]1COCCN1)C2 ZINC000405062832 389812993 /nfs/dbraw/zinc/81/29/93/389812993.db2.gz TVFINQRNBDPQDB-CYBMUJFWSA-N 0 1 271.320 0.779 20 30 CCEDMN C[C@H]1[C@H](C)N(C(=O)N=c2ccn(CCC#N)[nH]2)CCN1C ZINC000408207042 389835350 /nfs/dbraw/zinc/83/53/50/389835350.db2.gz QKTGPYWHYSMYKL-RYUDHWBXSA-N 0 1 290.371 0.775 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000419403712 389892498 /nfs/dbraw/zinc/89/24/98/389892498.db2.gz QKXDNLDHZSNSFA-BETUJISGSA-N 0 1 295.383 0.048 20 30 CCEDMN CSc1n[nH]c(NC(=O)c2cc(C#N)cn2C)n1 ZINC000434088240 389923983 /nfs/dbraw/zinc/92/39/83/389923983.db2.gz FAQZFQOLBAYTIY-UHFFFAOYSA-N 0 1 262.298 0.989 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1ccccc1Cl ZINC000491674593 390115844 /nfs/dbraw/zinc/11/58/44/390115844.db2.gz KPRBVCIHKXAIQN-WDEREUQCSA-N 0 1 269.728 0.663 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)c2cccc(=O)n2C)[nH]1 ZINC000539913591 390215014 /nfs/dbraw/zinc/21/50/14/390215014.db2.gz WKKIMLSQUXBNGZ-UHFFFAOYSA-N 0 1 285.307 0.709 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCN2C(=O)OCC[C@H]2C1 ZINC000494676207 390191797 /nfs/dbraw/zinc/19/17/97/390191797.db2.gz GIOXMJACERWXFW-LBPRGKRZSA-N 0 1 294.355 0.275 20 30 CCEDMN CC#CC[C@H](CO)Nc1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000645403200 390420691 /nfs/dbraw/zinc/42/06/91/390420691.db2.gz JKCDDTBSTAGYQO-SSDOTTSWSA-N 0 1 299.256 0.980 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H](C)c2n[nH]c(CC)n2)nn1 ZINC000648844759 390421635 /nfs/dbraw/zinc/42/16/35/390421635.db2.gz SMTOIELLACAVDI-MRVPVSSYSA-N 0 1 275.316 0.636 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2C[C@H]3CC(=O)[C@@H]2C3)[nH]n1C ZINC000648434348 390372376 /nfs/dbraw/zinc/37/23/76/390372376.db2.gz HQZKBSFULGEJOT-AEJSXWLSSA-N 0 1 272.308 0.576 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1cn[nH]c1-c1ccccn1 ZINC000649181208 390510706 /nfs/dbraw/zinc/51/07/06/390510706.db2.gz VWFSVQCIHAJHGB-LLVKDONJSA-N 0 1 284.319 0.976 20 30 CCEDMN N#CCC[C@@H](C#N)CNCCC(=O)N1CCOCC1 ZINC000570920352 390724381 /nfs/dbraw/zinc/72/43/81/390724381.db2.gz IBMCKKZAAPIDRV-LBPRGKRZSA-N 0 1 264.329 0.268 20 30 CCEDMN N#Cc1ccc(CC(=O)NC2(c3nn[nH]n3)CCCC2)cn1 ZINC000528598972 390759863 /nfs/dbraw/zinc/75/98/63/390759863.db2.gz JNETYHCWHLHZHW-UHFFFAOYSA-N 0 1 297.322 0.595 20 30 CCEDMN C=CCNc1ncc(C(=O)N2C[C@H](C)N[C@@H](C)C2)s1 ZINC000572481133 390785212 /nfs/dbraw/zinc/78/52/12/390785212.db2.gz RVYZWCCDXXWHBO-UWVGGRQHSA-N 0 1 280.397 0.985 20 30 CCEDMN C[C@H]1CN(CC#CCN2C(=O)c3ccccc3C2=O)C[C@H]1O ZINC000528431724 390744257 /nfs/dbraw/zinc/74/42/57/390744257.db2.gz QYHSNSOCZPCCEI-SWLSCSKDSA-N 0 1 298.342 0.599 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN3CCOC[C@H]3C2)CC1 ZINC000528446777 390744833 /nfs/dbraw/zinc/74/48/33/390744833.db2.gz VVGNLNQPHAFLNQ-OLZOCXBDSA-N 0 1 265.357 0.058 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NC[C@@H]1COCCN1 ZINC000529330221 390810368 /nfs/dbraw/zinc/81/03/68/390810368.db2.gz KNJGXMILTMOOQS-UTUOFQBUSA-N 0 1 269.345 0.008 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N(CCC)[C@H]1CCN(C)C1 ZINC000647567504 390842169 /nfs/dbraw/zinc/84/21/69/390842169.db2.gz WPOLXZMURPPDNQ-ZDUSSCGKSA-N 0 1 281.400 0.964 20 30 CCEDMN Cc1nc(CN(C)CCCc2[nH]nc(N)c2C#N)n[nH]1 ZINC000577945884 390853734 /nfs/dbraw/zinc/85/37/34/390853734.db2.gz HSDLKDZSZAXUTD-UHFFFAOYSA-N 0 1 274.332 0.185 20 30 CCEDMN N#CC1(CNC[C@@H](O)COC2CCOCC2)CCC1 ZINC000578880446 390905966 /nfs/dbraw/zinc/90/59/66/390905966.db2.gz GTJVQVOGRQGCFM-GFCCVEGCSA-N 0 1 268.357 0.826 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cnc(C#N)cn2)[C@H](C)C1 ZINC000275306786 135104483 /nfs/dbraw/zinc/10/44/83/135104483.db2.gz BKKBJOVXDNSCAR-GHMZBOCLSA-N 0 1 261.329 0.240 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1c1cnc(C#N)cn1 ZINC000275829619 135113934 /nfs/dbraw/zinc/11/39/34/135113934.db2.gz OCHPVGBRBPQAHK-NSHDSACASA-N 0 1 275.356 0.630 20 30 CCEDMN C[C@@H](O)[C@H]1CCN(CC(=O)NCc2ccc(C#N)cc2)C1 ZINC000356442109 144003078 /nfs/dbraw/zinc/00/30/78/144003078.db2.gz BBMYKGMHRVLGBR-DOMZBBRYSA-N 0 1 287.363 0.877 20 30 CCEDMN CCCN(CC)C(=O)C(=O)N=c1[nH]n(C(C)C)cc1C#N ZINC000359128804 144195871 /nfs/dbraw/zinc/19/58/71/144195871.db2.gz IIICUTQDBJGMFT-UHFFFAOYSA-N 0 1 291.355 0.955 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC[C@H](NC(=O)N(C)C)C1 ZINC000650279031 397708214 /nfs/dbraw/zinc/70/82/14/397708214.db2.gz MSGAPPPEVJJPIF-NWDGAFQWSA-N 0 1 255.362 0.659 20 30 CCEDMN CCOCCOCCN(C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC000346609574 286103864 /nfs/dbraw/zinc/10/38/64/286103864.db2.gz DGBNSPRHEWAOLB-CYBMUJFWSA-N 0 1 297.399 0.922 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H](CO)CN2CCCCC2)nc1 ZINC000552146773 286155395 /nfs/dbraw/zinc/15/53/95/286155395.db2.gz CXOUBQJCWKJJBO-CYBMUJFWSA-N 0 1 288.351 0.530 20 30 CCEDMN CO[C@]1(CN[C@H](C(N)=O)c2ccc(C#N)cc2)CCOC1 ZINC000359638904 286174559 /nfs/dbraw/zinc/17/45/59/286174559.db2.gz RVXHZCFQTJKSDT-ZFWWWQNUSA-N 0 1 289.335 0.480 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000652898690 412151888 /nfs/dbraw/zinc/15/18/88/412151888.db2.gz DVEXNVNVUSXWQZ-UHFFFAOYSA-N 0 1 263.345 0.661 20 30 CCEDMN COCC#CCN1CCC([C@H]2NC(=O)C2(C)C)CC1 ZINC000653707820 412338651 /nfs/dbraw/zinc/33/86/51/412338651.db2.gz QKVXEWZWVPYCSE-CYBMUJFWSA-N 0 1 264.369 0.873 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@H](N2CCOCC2)C1 ZINC000653717981 412338832 /nfs/dbraw/zinc/33/88/32/412338832.db2.gz VWRODOAJHKHQRJ-KGLIPLIRSA-N 0 1 281.400 0.474 20 30 CCEDMN C=CCCn1cc(CN[C@H](CO)[C@@H]2CCCOC2)nn1 ZINC000653761818 412342984 /nfs/dbraw/zinc/34/29/84/412342984.db2.gz INSCCGDKEYFDRI-TZMCWYRMSA-N 0 1 280.372 0.731 20 30 CCEDMN C=CCCC(C)(C)NC(=O)NCC(=O)N1CCNCC1 ZINC000659507869 413567321 /nfs/dbraw/zinc/56/73/21/413567321.db2.gz LMUWWYXNTDQHJP-UHFFFAOYSA-N 0 1 282.388 0.462 20 30 CCEDMN C[C@H](C(=O)N(C)C)S(=O)(=O)Nc1ccc(C#N)cn1 ZINC000285280092 196239162 /nfs/dbraw/zinc/23/91/62/196239162.db2.gz QFQWZFHYVFKJTB-MRVPVSSYSA-N 0 1 282.325 0.172 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N1CCc2ccccc2C1 ZINC000662163810 414694941 /nfs/dbraw/zinc/69/49/41/414694941.db2.gz LVENYCBIGPTVBS-CQSZACIVSA-N 0 1 260.337 0.708 20 30 CCEDMN C=C[C@H](CO)NCc1ccc(S(=O)(=O)NC2CC2)cc1 ZINC000662168580 414695022 /nfs/dbraw/zinc/69/50/22/414695022.db2.gz LSEQPGCZVLJRDV-GFCCVEGCSA-N 0 1 296.392 0.764 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+](CC)[C@H](C)C(=O)[O-] ZINC000662218587 414697741 /nfs/dbraw/zinc/69/77/41/414697741.db2.gz YJQGRRIXCSKAIC-LLVKDONJSA-N 0 1 254.330 0.982 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+](CC)[C@H](C)C(=O)[O-] ZINC000662218587 414697742 /nfs/dbraw/zinc/69/77/42/414697742.db2.gz YJQGRRIXCSKAIC-LLVKDONJSA-N 0 1 254.330 0.982 20 30 CCEDMN C=C(C)CCNC(=O)NCc1n[nH]c(COC)n1 ZINC000665019667 415510023 /nfs/dbraw/zinc/51/00/23/415510023.db2.gz FTKWBOLXPBRLLC-UHFFFAOYSA-N 0 1 253.306 0.717 20 30 CCEDMN C=C(C)CCNC(=O)NCc1nnc(COC)[nH]1 ZINC000665019667 415510027 /nfs/dbraw/zinc/51/00/27/415510027.db2.gz FTKWBOLXPBRLLC-UHFFFAOYSA-N 0 1 253.306 0.717 20 30 CCEDMN C[C@H]1NCCN(S(=O)(=O)c2ccc(C#N)o2)[C@H]1C ZINC000575196512 335079507 /nfs/dbraw/zinc/07/95/07/335079507.db2.gz OBRRXFZJMASOAJ-BDAKNGLRSA-N 0 1 269.326 0.522 20 30 CCEDMN CCc1nn(C)cc1C(=O)NC[C@@H]1CN(C)CCN1C ZINC000329098123 227296043 /nfs/dbraw/zinc/29/60/43/227296043.db2.gz LVALPDVXUPSLMC-LLVKDONJSA-N 0 1 279.388 0.533 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+]C[C@H](O)C1CC1)CC2 ZINC000329166591 227336831 /nfs/dbraw/zinc/33/68/31/227336831.db2.gz HXLGUNAQWJKPKF-MNOVXSKESA-N 0 1 279.344 0.176 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NC[C@H](O)C1CC1)CC2 ZINC000329166591 227336835 /nfs/dbraw/zinc/33/68/35/227336835.db2.gz HXLGUNAQWJKPKF-MNOVXSKESA-N 0 1 279.344 0.176 20 30 CCEDMN COCCC1(C)CN(C(=O)N[C@H]2CCc3ncnn3C2)C1 ZINC000329681052 227864221 /nfs/dbraw/zinc/86/42/21/227864221.db2.gz WTRSRWSBORYPSZ-NSHDSACASA-N 0 1 293.371 0.865 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@H](C)c1ncnn1C ZINC000330006728 228015107 /nfs/dbraw/zinc/01/51/07/228015107.db2.gz FJPFHLLSASORKV-GHMZBOCLSA-N 0 1 281.360 0.801 20 30 CCEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)C1(CO)COC1 ZINC000330212932 228067902 /nfs/dbraw/zinc/06/79/02/228067902.db2.gz DYLMNEGNRIQVOY-MRVPVSSYSA-N 0 1 251.286 0.232 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)NCc1nnn(C)n1 ZINC000330248580 228079907 /nfs/dbraw/zinc/07/99/07/228079907.db2.gz MHVRCIOPXHYKNE-UHFFFAOYSA-N 0 1 263.305 0.870 20 30 CCEDMN CCN1CCC[C@H](NS(=O)(=O)CCCC#N)C1 ZINC000111332027 260273440 /nfs/dbraw/zinc/27/34/40/260273440.db2.gz ALVXPLVDWWMVCC-NSHDSACASA-N 0 1 259.375 0.694 20 30 CCEDMN CCCc1[nH]nc(C(=O)N2CCO[C@@H](C#N)C2)c1[N+](=O)[O-] ZINC000109688674 260643759 /nfs/dbraw/zinc/64/37/59/260643759.db2.gz OAEDWQCTROLLRU-QMMMGPOBSA-N 0 1 293.283 0.635 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H]([C@@H](C)O)C1 ZINC000248609354 260918374 /nfs/dbraw/zinc/91/83/74/260918374.db2.gz VWSLPHCXVZNCRO-JHJVBQTASA-N 0 1 267.373 0.697 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC(COC)CC2)CC1 ZINC000490851956 261228405 /nfs/dbraw/zinc/22/84/05/261228405.db2.gz IZKVCTFCCJAOHS-UHFFFAOYSA-N 0 1 293.411 0.122 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CCCN(C(N)=O)C1 ZINC000490875612 261235679 /nfs/dbraw/zinc/23/56/79/261235679.db2.gz QTLBVLFHGNIKQA-OLZOCXBDSA-N 0 1 293.367 0.418 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCCC#N)CC1 ZINC000491072050 261316690 /nfs/dbraw/zinc/31/66/90/261316690.db2.gz PJAKIUGYMKCGNH-UHFFFAOYSA-N 0 1 262.357 0.047 20 30 CCEDMN CNS(=O)(=O)CCN[C@H](C)c1ccc(OCC#N)cc1 ZINC000187180899 262391052 /nfs/dbraw/zinc/39/10/52/262391052.db2.gz FRVZLHIZNZINPQ-LLVKDONJSA-N 0 1 297.380 0.789 20 30 CCEDMN C=CCCC(=O)N[C@H](Cc1cnc[nH]1)C(=O)OC ZINC000336783329 262394854 /nfs/dbraw/zinc/39/48/54/262394854.db2.gz DPVQUCNAZBMFSS-SNVBAGLBSA-N 0 1 251.286 0.576 20 30 CCEDMN C=CCOCCN1CCC(N(C)S(C)(=O)=O)CC1 ZINC000339030036 262585629 /nfs/dbraw/zinc/58/56/29/262585629.db2.gz TUQHXBLMWMMRIE-UHFFFAOYSA-N 0 1 276.402 0.545 20 30 CCEDMN C[C@H](NCC(=O)N[C@](C)(C#N)C1CC1)c1cnn(C)c1 ZINC000273405568 263016596 /nfs/dbraw/zinc/01/65/96/263016596.db2.gz RVOBANNGGYFQFI-IINYFYTJSA-N 0 1 275.356 0.879 20 30 CCEDMN CCOC(=O)CO/N=C(/C(=O)N(C)C1CC1)c1cc[nH]n1 ZINC000276625959 263037661 /nfs/dbraw/zinc/03/76/61/263037661.db2.gz RURBRCJHOMVECT-FOWTUZBSSA-N 0 1 294.311 0.314 20 30 CCEDMN COC(=O)CN(C)C(=O)C(C#N)Cc1ccc(C#N)cc1 ZINC000280590953 263063280 /nfs/dbraw/zinc/06/32/80/263063280.db2.gz DWPGYERYTVWNNP-ZDUSSCGKSA-N 0 1 285.303 0.872 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cc(F)cc2nn[nH]c21 ZINC000282695441 263078071 /nfs/dbraw/zinc/07/80/71/263078071.db2.gz KQAUVDWSIJDTPW-MRVPVSSYSA-N 0 1 275.243 0.462 20 30 CCEDMN C[C@@H]1CN(C(=O)N[C@@H]2CC[C@H](C#N)C2)[C@@H](C)CN1CCO ZINC000425868698 263087942 /nfs/dbraw/zinc/08/79/42/263087942.db2.gz TWFYVVXQCGOZQE-ZOBORPQBSA-N 0 1 294.399 0.775 20 30 CCEDMN CNC(=O)CCN(C)[C@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000340690178 263297075 /nfs/dbraw/zinc/29/70/75/263297075.db2.gz JTWXIPLVLSEVFR-BXUZGUMPSA-N 0 1 282.388 0.497 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1C[C@@H](O)CN1Cc1ccccc1 ZINC000340744679 263304188 /nfs/dbraw/zinc/30/41/88/263304188.db2.gz XEEDXNSQGOLDLU-VHDGCEQUSA-N 0 1 287.363 0.898 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)NC[C@@H]1CCCN1CCOC ZINC000341537996 263342271 /nfs/dbraw/zinc/34/22/71/263342271.db2.gz WHZBEEPBRZLRJQ-ZDUSSCGKSA-N 0 1 297.399 0.248 20 30 CCEDMN COCCOCCN1CCC(C#N)(COC)CC1 ZINC000341976613 263368671 /nfs/dbraw/zinc/36/86/71/263368671.db2.gz KYTMFYFFGLMUSS-UHFFFAOYSA-N 0 1 256.346 0.902 20 30 CCEDMN C[C@H](C[C@H](C)O)NC(=O)c1cccn2c(O)nnc12 ZINC000330838155 264051834 /nfs/dbraw/zinc/05/18/34/264051834.db2.gz WXTAINFKPRGLOY-SFYZADRCSA-N 0 1 264.285 0.899 20 30 CCEDMN C[C@@H](CNC(=O)c1cnn[nH]1)N1CCCCC1=O ZINC000331005466 264105586 /nfs/dbraw/zinc/10/55/86/264105586.db2.gz LGVGOUHZOVCAFO-QMMMGPOBSA-N 0 1 251.290 0.510 20 30 CCEDMN CC(C)OCCCNC(=O)N1CCOC[C@H]1c1nnc[nH]1 ZINC000331249433 264180233 /nfs/dbraw/zinc/18/02/33/264180233.db2.gz XUTDKXWLGJZJQH-NSHDSACASA-N 0 1 297.359 0.907 20 30 CCEDMN C[C@@H]1NC(=O)CC[C@H]1NC(=O)N(C)CCn1cccn1 ZINC000331261088 264184651 /nfs/dbraw/zinc/18/46/51/264184651.db2.gz BTVBBARROIVEOD-WDEREUQCSA-N 0 1 279.344 0.396 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(CCO[C@@H]2CCCCO2)C1 ZINC000331290943 264196928 /nfs/dbraw/zinc/19/69/28/264196928.db2.gz KIJNNWRYMXWCLD-VXGBXAGGSA-N 0 1 271.361 0.737 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CCC[C@@H](C(=O)NC)C1 ZINC000252443142 264301092 /nfs/dbraw/zinc/30/10/92/264301092.db2.gz PKHYOMNRMDNMQL-CHWSQXEVSA-N 0 1 294.399 0.453 20 30 CCEDMN CC[C@@]1(CO)CCC[N@H+]1CC(=O)N(CCC#N)CCC#N ZINC000189687372 264318375 /nfs/dbraw/zinc/31/83/75/264318375.db2.gz OIEAVRMOVJQUEE-HNNXBMFYSA-N 0 1 292.383 0.879 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@H](C(=O)OC)[C@H](C)C1 ZINC000191864357 264533067 /nfs/dbraw/zinc/53/30/67/264533067.db2.gz MOSVSRVMHFBTIW-WOPDTQHZSA-N 0 1 268.357 0.808 20 30 CCEDMN C=C[C@@H](C)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000362616147 267024197 /nfs/dbraw/zinc/02/41/97/267024197.db2.gz VYQHTUGTLUZZRO-MRVPVSSYSA-N 0 1 260.301 0.480 20 30 CCEDMN CC[C@H](CO)N1CCN(c2cc(C#N)ncn2)CC1 ZINC000530462089 267212831 /nfs/dbraw/zinc/21/28/31/267212831.db2.gz JOHQMFURAVCSTH-GFCCVEGCSA-N 0 1 261.329 0.241 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N1CCN2CCC1CC2 ZINC000369321594 267235611 /nfs/dbraw/zinc/23/56/11/267235611.db2.gz KRLGSDNFOFSNIB-NSHDSACASA-N 0 1 286.401 0.245 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@]2(CNC(=O)C2)C1 ZINC000369380204 267239576 /nfs/dbraw/zinc/23/95/76/267239576.db2.gz RYVOQTAOWFODML-WFASDCNBSA-N 0 1 292.383 0.207 20 30 CCEDMN N#CC1(NC(=O)CO)CCN(Cc2ccccc2)CC1 ZINC000371533865 267426068 /nfs/dbraw/zinc/42/60/68/267426068.db2.gz KGCKICWBWBQMFF-UHFFFAOYSA-N 0 1 273.336 0.653 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC[C@](CO)(OC)C1 ZINC000401713333 545090024 /nfs/dbraw/zinc/09/00/24/545090024.db2.gz JLGUOJJIMNWAJQ-HNNXBMFYSA-N 0 1 284.400 0.884 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN[C@H](CCO)c1ccco1 ZINC000570720927 327614253 /nfs/dbraw/zinc/61/42/53/327614253.db2.gz POFXINOBYNHGTN-CHWSQXEVSA-N 0 1 281.356 0.499 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN3CCC2CC3)nc1 ZINC000188616496 327756856 /nfs/dbraw/zinc/75/68/56/327756856.db2.gz NCCQRDLUWOUECE-UHFFFAOYSA-N 0 1 256.309 0.873 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN(C2CCOCC2)CC1 ZINC000182791249 327815426 /nfs/dbraw/zinc/81/54/26/327815426.db2.gz BDXISOLMSPVQQT-GFCCVEGCSA-N 0 1 280.372 0.652 20 30 CCEDMN O=C(NCC#CCO)c1ccc(-c2nnc[nH]2)cc1 ZINC000339393696 328009553 /nfs/dbraw/zinc/00/95/53/328009553.db2.gz NNDZMAZMTYNFFW-UHFFFAOYSA-N 0 1 256.265 0.197 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N(C)Cc1cnc[nH]1 ZINC000563446779 328066075 /nfs/dbraw/zinc/06/60/75/328066075.db2.gz XELBJONWEXKILH-UHFFFAOYSA-N 0 1 262.313 0.569 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@H]1COC[C@H]1OC ZINC000571163786 328089625 /nfs/dbraw/zinc/08/96/25/328089625.db2.gz KOLZMZKJRCTGLC-VXGBXAGGSA-N 0 1 254.330 0.190 20 30 CCEDMN C=CCCS(=O)(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000120430034 328344320 /nfs/dbraw/zinc/34/43/20/328344320.db2.gz YDXNEJUBTMINMC-LBPRGKRZSA-N 0 1 275.418 0.118 20 30 CCEDMN C=CCNC(=O)CN1CCC([C@@H]2NC(=O)C2(C)C)CC1 ZINC000583121906 329320093 /nfs/dbraw/zinc/32/00/93/329320093.db2.gz KNNSSDNAYCFYCV-ZDUSSCGKSA-N 0 1 279.384 0.525 20 30 CCEDMN CN(C)C(=O)[C@@H]1CC[C@@H](CNC[C@@H](C#N)CCC#N)O1 ZINC000563709852 328486286 /nfs/dbraw/zinc/48/62/86/328486286.db2.gz QKXARBKNLAVYPP-AGIUHOORSA-N 0 1 278.356 0.655 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N(CCC#N)CCC#N ZINC000347727591 328576293 /nfs/dbraw/zinc/57/62/93/328576293.db2.gz RTUVGXZVVKULMP-CYBMUJFWSA-N 0 1 278.356 0.611 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)c2cccc3[nH]cnc32)CC1 ZINC000569016812 327028924 /nfs/dbraw/zinc/02/89/24/327028924.db2.gz GBTONPBNOALLSI-UHFFFAOYSA-N 0 1 297.318 0.761 20 30 CCEDMN N#CCCCCN1CCN(c2ncccc2C(N)=O)CC1 ZINC000102399210 327226995 /nfs/dbraw/zinc/22/69/95/327226995.db2.gz FFPBNTOKUZWOGK-UHFFFAOYSA-N 0 1 287.367 0.996 20 30 CCEDMN C=CCN=c1[n-]nc(SC[C@@H](O)C[N@H+](C)CC)s1 ZINC000566481490 327392230 /nfs/dbraw/zinc/39/22/30/327392230.db2.gz NJWVMXXLOMMWBY-VIFPVBQESA-N 0 1 288.442 0.963 20 30 CCEDMN CNS(=O)(=O)c1cc(NC(=O)[C@H](C)C#N)ccc1O ZINC000357338985 328869559 /nfs/dbraw/zinc/86/95/59/328869559.db2.gz QWGIWVRWSTYDQA-SSDOTTSWSA-N 0 1 283.309 0.398 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1CC[C@H](CC(N)=O)C1 ZINC000377609018 329020033 /nfs/dbraw/zinc/02/00/33/329020033.db2.gz WYKGDWAJAAQDNG-CHWSQXEVSA-N 0 1 281.400 0.997 20 30 CCEDMN C=CCC[C@H](O)CN1CCN([C@@H]2CCOC2)CC1 ZINC000378407296 329049467 /nfs/dbraw/zinc/04/94/67/329049467.db2.gz UXWMOAIHCCUALM-KGLIPLIRSA-N 0 1 254.374 0.720 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1cn2ccsc2n1 ZINC000514667605 329168147 /nfs/dbraw/zinc/16/81/47/329168147.db2.gz JLOSZSFQRURZIM-GFCCVEGCSA-N 0 1 293.396 0.692 20 30 CCEDMN N#CCSCC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000178073366 329192430 /nfs/dbraw/zinc/19/24/30/329192430.db2.gz DTDRZIGDRFVXOI-LBPRGKRZSA-N 0 1 283.397 0.424 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@H](N3CCOCC3)C2)C1=O ZINC000583152625 329323336 /nfs/dbraw/zinc/32/33/36/329323336.db2.gz YXNYMKAUNOTERT-HUUCEWRRSA-N 0 1 293.411 0.570 20 30 CCEDMN C[C@@H]1NCCN(S(=O)(=O)c2ccc(C#N)s2)[C@H]1C ZINC000379504230 329567723 /nfs/dbraw/zinc/56/77/23/329567723.db2.gz ZERFUMMBNBNWQU-IUCAKERBSA-N 0 1 285.394 0.991 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(OC[C@H]2CCOC2)CC1 ZINC000132026066 329641888 /nfs/dbraw/zinc/64/18/88/329641888.db2.gz VBJLVLUNEZCRII-KGLIPLIRSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1CCNC[C@H]1C ZINC000419134197 329708953 /nfs/dbraw/zinc/70/89/53/329708953.db2.gz IENYSNPXZRDLOO-MNOVXSKESA-N 0 1 253.346 0.278 20 30 CCEDMN C#CCNC(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000069916748 329854606 /nfs/dbraw/zinc/85/46/06/329854606.db2.gz VUULRVUBNGTUIL-CYBMUJFWSA-N 0 1 265.357 0.373 20 30 CCEDMN C[C@@H]1CN(C(=[NH2+])NCc2ncccc2[O-])CCO1 ZINC000262493035 330017396 /nfs/dbraw/zinc/01/73/96/330017396.db2.gz VOACNSHPVBBEJS-SECBINFHSA-N 0 1 250.302 0.323 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N1C[C@@H](C)N[C@@H](C)C1 ZINC000423000209 330163900 /nfs/dbraw/zinc/16/39/00/330163900.db2.gz YYFQKNUOLDPXDC-TXEJJXNPSA-N 0 1 267.373 0.620 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)N1CCC(C#N)CC1 ZINC000423727098 330214828 /nfs/dbraw/zinc/21/48/28/330214828.db2.gz UYCXWNGGYFQUDN-CYBMUJFWSA-N 0 1 292.383 0.349 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N1CCNC[C@H]1CCC ZINC000423746046 330215031 /nfs/dbraw/zinc/21/50/31/330215031.db2.gz OWJKLQORDOGZEC-LLVKDONJSA-N 0 1 253.346 0.231 20 30 CCEDMN CC1(C)CN(C(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)CCN1 ZINC000424546380 330373393 /nfs/dbraw/zinc/37/33/93/330373393.db2.gz NDDONSPBNDURHZ-WDEREUQCSA-N 0 1 278.356 0.005 20 30 CCEDMN C=C(C)CS(=O)(=O)N[C@H]1CCCN(CCCO)C1 ZINC000451665327 331038175 /nfs/dbraw/zinc/03/81/75/331038175.db2.gz DSJAMKFCCONCCE-LBPRGKRZSA-N 0 1 276.402 0.329 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@H]1COC ZINC000452027116 331051021 /nfs/dbraw/zinc/05/10/21/331051021.db2.gz DCTVVXSUAXDHSU-NSHDSACASA-N 0 1 269.345 0.499 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCOCC2)CC1 ZINC000490575182 332092996 /nfs/dbraw/zinc/09/29/96/332092996.db2.gz NGFXMEFDWTXRLK-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN C#CCNC(=O)c1ccc(N2C[C@H](C)N(C)C[C@H]2C)nc1 ZINC000490717637 332108194 /nfs/dbraw/zinc/10/81/94/332108194.db2.gz DRMXBOFUNPLYLV-QWHCGFSZSA-N 0 1 286.379 0.973 20 30 CCEDMN C#CCN1CCC(C(=O)N(CCO)CCCOC)CC1 ZINC000490741362 332111895 /nfs/dbraw/zinc/11/18/95/332111895.db2.gz ULEWHHLQBSCRPQ-UHFFFAOYSA-N 0 1 282.384 0.189 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCc2cnccn2)CC1 ZINC000490925144 332130028 /nfs/dbraw/zinc/13/00/28/332130028.db2.gz URQNICSHELLCGU-UHFFFAOYSA-N 0 1 286.379 0.823 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2ccc(CO)o2)CC1 ZINC000491201408 332164473 /nfs/dbraw/zinc/16/44/73/332164473.db2.gz HLDTWBNBAZYXRW-UHFFFAOYSA-N 0 1 276.336 0.733 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC([C@H]3CCCO3)CC2)C1=O ZINC000491327963 332191493 /nfs/dbraw/zinc/19/14/93/332191493.db2.gz ZHRFDVAKHPCFHH-UONOGXRCSA-N 0 1 290.363 0.638 20 30 CCEDMN C#C[C@H](CC)NCc1cnn([C@@]2(C)CCS(=O)(=O)C2)c1 ZINC000491416411 332207758 /nfs/dbraw/zinc/20/77/58/332207758.db2.gz KKQVWDXTBNQBTA-KGLIPLIRSA-N 0 1 295.408 0.918 20 30 CCEDMN C#C[C@H](C)N(C)CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000491451949 332213973 /nfs/dbraw/zinc/21/39/73/332213973.db2.gz IKQYFDKHEIQRKH-JTQLQIEISA-N 0 1 277.349 0.962 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(O[C@H]2CCOC2)CC1 ZINC000491483692 332219499 /nfs/dbraw/zinc/21/94/99/332219499.db2.gz DNKAHYWYZSBULX-JSGCOSHPSA-N 0 1 280.368 0.394 20 30 CCEDMN C#CCNC(=O)CCNCC(=O)N1CCc2ccccc21 ZINC000491486017 332220741 /nfs/dbraw/zinc/22/07/41/332220741.db2.gz SEAGSDZPQOGVFQ-UHFFFAOYSA-N 0 1 285.347 0.305 20 30 CCEDMN C#CCNC(=O)NC[C@@H]1C[C@@H](O)CN1Cc1ccccc1 ZINC000491524277 332229602 /nfs/dbraw/zinc/22/96/02/332229602.db2.gz KUNCWRRIPIIPKW-LSDHHAIUSA-N 0 1 287.363 0.554 20 30 CCEDMN C#CCN(C)C[C@@H](O)Cn1c(=O)onc1-c1ccccc1 ZINC000491644750 332262699 /nfs/dbraw/zinc/26/26/99/332262699.db2.gz LKSFMZRDQNJHET-CYBMUJFWSA-N 0 1 287.319 0.429 20 30 CCEDMN C#CCN(C(=O)N[C@@H](C)CN1CCN(C)CC1)C1CC1 ZINC000491655379 332267354 /nfs/dbraw/zinc/26/73/54/332267354.db2.gz HJFDHBFHSSKMPD-ZDUSSCGKSA-N 0 1 278.400 0.430 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)C[C@H]1CCC(=O)NC1 ZINC000491658507 332269518 /nfs/dbraw/zinc/26/95/18/332269518.db2.gz BVUPLNSHEAQDLK-CHWSQXEVSA-N 0 1 278.352 0.544 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1csc(=NC2CC2)[nH]1 ZINC000491729433 332311872 /nfs/dbraw/zinc/31/18/72/332311872.db2.gz IIJYCZKFAFMGPX-SNVBAGLBSA-N 0 1 277.349 0.614 20 30 CCEDMN C#C[C@@H](CO)NC[C@@H](O)COc1ccc(OCC)cc1 ZINC000491731332 332313106 /nfs/dbraw/zinc/31/31/06/332313106.db2.gz QDUGLSJPJKXJCU-QWHCGFSZSA-N 0 1 279.336 0.409 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000491731707 332313383 /nfs/dbraw/zinc/31/33/83/332313383.db2.gz JBJLQJSWCDSOOM-VIFPVBQESA-N 0 1 272.312 0.269 20 30 CCEDMN C#CCN(CC#CC)S(=O)(=O)CCN(CC)CC ZINC000491740349 332319387 /nfs/dbraw/zinc/31/93/87/332319387.db2.gz JTSYDVNYCPMPKK-UHFFFAOYSA-N 0 1 270.398 0.617 20 30 CCEDMN C#CCNC(=O)C1CCN(C(=O)c2cc(C)[nH]n2)CC1 ZINC000491779334 332344182 /nfs/dbraw/zinc/34/41/82/332344182.db2.gz ZPXOYLJCPPPUHD-UHFFFAOYSA-N 0 1 274.324 0.320 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)NCc1ccc2cncn2c1 ZINC000491806958 332360097 /nfs/dbraw/zinc/36/00/97/332360097.db2.gz RWMONKLYSBNBNO-CYBMUJFWSA-N 0 1 284.319 0.878 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NCCN1CCN(C)CC1 ZINC000492868885 332416946 /nfs/dbraw/zinc/41/69/46/332416946.db2.gz DIUMRYUXIXCGMO-ZIAGYGMSSA-N 0 1 281.400 0.331 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCC[C@H](CC(N)=O)C1 ZINC000175236340 333018091 /nfs/dbraw/zinc/01/80/91/333018091.db2.gz OKKXJQDYPBUFCY-IUODEOHRSA-N 0 1 294.399 0.628 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](OCCO)C2)CC1 ZINC000178637574 333076350 /nfs/dbraw/zinc/07/63/50/333076350.db2.gz GJMWDDOQLKSCIY-HNNXBMFYSA-N 0 1 294.395 0.332 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCC[C@@H](C(N)=O)C2)CC1 ZINC000527290524 333422866 /nfs/dbraw/zinc/42/28/66/333422866.db2.gz GOEWHAMNLHAUES-NEPJUHHUSA-N 0 1 292.383 0.382 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C(N)=O)CC[C@@H]2C)CC1 ZINC000068096390 333670644 /nfs/dbraw/zinc/67/06/44/333670644.db2.gz RZTIFWLOSNVJQE-JSGCOSHPSA-N 0 1 291.395 0.444 20 30 CCEDMN C=C(C)CN(CC)CCNS(=O)(=O)c1cnn(C)c1 ZINC000075617752 334047474 /nfs/dbraw/zinc/04/74/74/334047474.db2.gz CMABXZITASTINN-UHFFFAOYSA-N 0 1 286.401 0.596 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@@H]1COC[C@H]1OC ZINC000576277071 335181234 /nfs/dbraw/zinc/18/12/34/335181234.db2.gz MDZKLCAYIZDZQH-YNEHKIRRSA-N 0 1 270.373 0.803 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)C[C@@H](C)C(=O)NC ZINC000081554924 334195779 /nfs/dbraw/zinc/19/57/79/334195779.db2.gz VGRKZVUKUWIDEC-NWDGAFQWSA-N 0 1 282.388 0.308 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cnc3n2CCCC3)CC1 ZINC000552851524 334347796 /nfs/dbraw/zinc/34/77/96/334347796.db2.gz CTDQGTUPKMOFMT-UHFFFAOYSA-N 0 1 287.367 0.937 20 30 CCEDMN C[C@@H]1CN(C(=O)COc2ccc(C#N)cc2)CCN1 ZINC000581495982 336090138 /nfs/dbraw/zinc/09/01/38/336090138.db2.gz DSDACBWEVRYBAP-LLVKDONJSA-N 0 1 259.309 0.757 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NC[C@@H]2CCCCO2)[C@H](C)C1 ZINC000329250485 534076702 /nfs/dbraw/zinc/07/67/02/534076702.db2.gz UKKLKZWIQKZKNC-RDBSUJKOSA-N 0 1 299.415 0.856 20 30 CCEDMN Cn1nnc(CNC(=O)c2csc(=NC3CC3)[nH]2)n1 ZINC000330223496 534329616 /nfs/dbraw/zinc/32/96/16/534329616.db2.gz AFNABRNCNYIZHI-UHFFFAOYSA-N 0 1 279.329 0.168 20 30 CCEDMN N#Cc1ncn(CC(=O)Nc2ccc3nc[nH]c3c2)n1 ZINC000426425879 534832879 /nfs/dbraw/zinc/83/28/79/534832879.db2.gz DJGPOICZSMJKDK-UHFFFAOYSA-N 0 1 267.252 0.665 20 30 CCEDMN C=CCN(CCOC)C(=O)C(=O)N[C@@H]1CCCN(C)[C@@H]1C ZINC000347760954 526281723 /nfs/dbraw/zinc/28/17/23/526281723.db2.gz VMLGSROTWWEHJH-CHWSQXEVSA-N 0 1 297.399 0.246 20 30 CCEDMN C=CCN(CCOC)C(=O)C(=O)N[C@H]1CCCN(C)[C@H]1C ZINC000347760962 526283258 /nfs/dbraw/zinc/28/32/58/526283258.db2.gz VMLGSROTWWEHJH-STQMWFEESA-N 0 1 297.399 0.246 20 30 CCEDMN N#Cc1csc(CNC[C@]2(O)CCSC2)n1 ZINC000309598804 526783121 /nfs/dbraw/zinc/78/31/21/526783121.db2.gz VTOVYWQVTGPMKP-SNVBAGLBSA-N 0 1 255.368 0.972 20 30 CCEDMN C=CCCCN1CCN([C@@H](C)C(=O)N2CCOCC2)CC1 ZINC000344582939 527198086 /nfs/dbraw/zinc/19/80/86/527198086.db2.gz GGNSGMDNFJPHBO-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN C=CCC[C@H](O)CN1CCN([C@H](CC)C(=O)OC)CC1 ZINC000352661631 527491287 /nfs/dbraw/zinc/49/12/87/527491287.db2.gz XWJQZFNUUKHSDV-UONOGXRCSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000668284181 545171002 /nfs/dbraw/zinc/17/10/02/545171002.db2.gz FDERFNFYWZXDSI-LBPRGKRZSA-N 0 1 277.324 0.286 20 30 CCEDMN C=CC[C@H]1CCCN(Cc2cn(CC(N)=O)nn2)C1 ZINC000668492961 545179174 /nfs/dbraw/zinc/17/91/74/545179174.db2.gz IBQZDSQPVMIHRW-NSHDSACASA-N 0 1 263.345 0.552 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N(C)Cc1n[nH]c(=O)o1 ZINC000673479024 545284484 /nfs/dbraw/zinc/28/44/84/545284484.db2.gz ITHZGGNXHLLGGM-MRVPVSSYSA-N 0 1 255.274 0.715 20 30 CCEDMN C=C(C)[C@@H](CO)[N@H+]1CCC[C@@H](c2n[nH]c(=O)[n-]2)C1 ZINC000676977463 545355749 /nfs/dbraw/zinc/35/57/49/545355749.db2.gz OXTDWXLMROFQMQ-NXEZZACHSA-N 0 1 252.318 0.627 20 30 CCEDMN COCC#CCN1CCCC[C@@H]1CCNS(C)(=O)=O ZINC000677477655 545366301 /nfs/dbraw/zinc/36/63/01/545366301.db2.gz QGTQPZXMIVMEIW-CYBMUJFWSA-N 0 1 288.413 0.430 20 30 CCEDMN C=CCOCCNC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000679516688 545410879 /nfs/dbraw/zinc/41/08/79/545410879.db2.gz MSGIXPWFPOFDSI-UHFFFAOYSA-N 0 1 290.327 0.108 20 30 CCEDMN CNC(=S)NN=C1CCN(CCC(=O)OC)CC1 ZINC000788697989 581122887 /nfs/dbraw/zinc/12/28/87/581122887.db2.gz KUOIPTASRJFTOJ-UHFFFAOYSA-N 0 1 272.374 0.095 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000739416376 581133203 /nfs/dbraw/zinc/13/32/03/581133203.db2.gz ZWRKHBLCLNWEFF-GFCCVEGCSA-N 0 1 296.371 0.823 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000739416376 581133205 /nfs/dbraw/zinc/13/32/05/581133205.db2.gz ZWRKHBLCLNWEFF-GFCCVEGCSA-N 0 1 296.371 0.823 20 30 CCEDMN CN(CC(=O)NCCC#N)C[C@@H]1CCCC[C@@H]1O ZINC000778245071 581147579 /nfs/dbraw/zinc/14/75/79/581147579.db2.gz IZDDYCLOBABJMD-RYUDHWBXSA-N 0 1 253.346 0.499 20 30 CCEDMN C#CCNC(=O)COC(=O)Cc1n[nH]c2c1CCCC2 ZINC000777085927 581106480 /nfs/dbraw/zinc/10/64/80/581106480.db2.gz NLFTZAUAQLEEMJ-UHFFFAOYSA-N 0 1 275.308 0.124 20 30 CCEDMN CNC(=S)NN=Cc1cc(-c2cccnc2)[nH]n1 ZINC000743420267 581129478 /nfs/dbraw/zinc/12/94/78/581129478.db2.gz AAWSCVOLZUADLR-UHFFFAOYSA-N 0 1 260.326 0.900 20 30 CCEDMN CC(N=Nc1ccc(S(N)(=O)=O)cc1)c1ncc[nH]1 ZINC000790136385 581178048 /nfs/dbraw/zinc/17/80/48/581178048.db2.gz JSOQUQCPZMWENW-UHFFFAOYSA-N 0 1 279.325 0.893 20 30 CCEDMN COc1cc(C=NNC2=NC[C@H](C)N2)cc([N+](=O)[O-])c1O ZINC000779798370 581206154 /nfs/dbraw/zinc/20/61/54/581206154.db2.gz OICDWBRSXIUKLE-ZETCQYMHSA-N 0 1 293.283 0.580 20 30 CCEDMN C#CCCCCCC(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000780349174 581225409 /nfs/dbraw/zinc/22/54/09/581225409.db2.gz FDQURWACVONGQT-UHFFFAOYSA-N 0 1 293.411 0.803 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@H](NC(=O)[O-])[C@@H](C)C1 ZINC000738462355 581333861 /nfs/dbraw/zinc/33/38/61/581333861.db2.gz ZBZLYXKTPFCKSR-AVGNSLFASA-N 0 1 293.367 0.589 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)NCc2c[nH]nn2)c1 ZINC000783936425 581368010 /nfs/dbraw/zinc/36/80/10/581368010.db2.gz PWPHFMGGCFKEMU-UHFFFAOYSA-N 0 1 269.264 0.041 20 30 CCEDMN C#CCOCCNC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000784385527 581382384 /nfs/dbraw/zinc/38/23/84/581382384.db2.gz LZLAIUPLQDFADS-JTQLQIEISA-N 0 1 264.329 0.598 20 30 CCEDMN CC1([C@@H]2CCCN(CC(=O)NCCC#N)C2)OCCO1 ZINC000784578022 581389351 /nfs/dbraw/zinc/38/93/51/581389351.db2.gz KLANMOPTMONLES-GFCCVEGCSA-N 0 1 281.356 0.491 20 30 CCEDMN Cc1cc(C=Nn2c(=O)c(C)n[nH]c2=S)sn1 ZINC000785450124 581409853 /nfs/dbraw/zinc/40/98/53/581409853.db2.gz WUVDGYDTQCJDBT-UHFFFAOYSA-N 0 1 267.339 0.883 20 30 CCEDMN N#C[C@]1(F)CCN(CCCNC(=O)C(F)(F)F)C1 ZINC000786437510 581440791 /nfs/dbraw/zinc/44/07/91/581440791.db2.gz RDODDTLKZFWEGK-SECBINFHSA-N 0 1 267.226 0.993 20 30 CCEDMN COC(=O)CCN(CC(=O)NCCC#N)C(C)C ZINC000733613703 581515904 /nfs/dbraw/zinc/51/59/04/581515904.db2.gz ICPJFSKNBZNWRW-UHFFFAOYSA-N 0 1 255.318 0.290 20 30 CCEDMN N#CC(C(=O)COC[C@@H]1CCCO1)C(=O)NC1CC1 ZINC000733678982 581518096 /nfs/dbraw/zinc/51/80/96/581518096.db2.gz QNNPDIBYORCFGT-WDEREUQCSA-N 0 1 266.297 0.169 20 30 CCEDMN CCN(CC)C(=O)CCNC(O)=C1N=CC=CC1=O ZINC000733888322 581530199 /nfs/dbraw/zinc/53/01/99/581530199.db2.gz WMJHNJLDXCKTCL-SEYXRHQNSA-N 0 1 265.313 0.771 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+](C)[C@@H](C)CNC(=O)[O-] ZINC000739405607 581547452 /nfs/dbraw/zinc/54/74/52/581547452.db2.gz XUXIOHVZUYTYQC-MNOVXSKESA-N 0 1 284.360 0.582 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)[C@@H](C)CNC(=O)[O-] ZINC000739405607 581547458 /nfs/dbraw/zinc/54/74/58/581547458.db2.gz XUXIOHVZUYTYQC-MNOVXSKESA-N 0 1 284.360 0.582 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+](C)[C@@H](C)CNC(=O)[O-] ZINC000739414693 581549277 /nfs/dbraw/zinc/54/92/77/581549277.db2.gz JKIXKAXYVFSFET-JTQLQIEISA-N 0 1 270.333 0.336 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C)[C@@H](C)CNC(=O)[O-] ZINC000739414693 581549279 /nfs/dbraw/zinc/54/92/79/581549279.db2.gz JKIXKAXYVFSFET-JTQLQIEISA-N 0 1 270.333 0.336 20 30 CCEDMN Cc1nnc(N=NC2C[C@H](C)[N@H+](C3CC3)C2)[n-]c1=O ZINC000753956033 581566632 /nfs/dbraw/zinc/56/66/32/581566632.db2.gz TVTFNNFPFPTGJY-ZETCQYMHSA-N 0 1 262.317 0.910 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](C(C)(C)C)[C@H](O)C1 ZINC000754225110 581581407 /nfs/dbraw/zinc/58/14/07/581581407.db2.gz GTULNNRJFUFXRC-VXGBXAGGSA-N 0 1 252.358 0.465 20 30 CCEDMN N#Cc1ccccc1OCC(=O)OCCc1c[nH]nn1 ZINC000735051195 581613058 /nfs/dbraw/zinc/61/30/58/581613058.db2.gz ZVVCOEJMBMGUDZ-UHFFFAOYSA-N 0 1 272.264 0.841 20 30 CCEDMN N#Cc1ccccc1OCC(=O)OCCc1cnn[nH]1 ZINC000735051195 581613059 /nfs/dbraw/zinc/61/30/59/581613059.db2.gz ZVVCOEJMBMGUDZ-UHFFFAOYSA-N 0 1 272.264 0.841 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](n2ccnc2)C1 ZINC000729920672 581620554 /nfs/dbraw/zinc/62/05/54/581620554.db2.gz QTLSMFQOWIJPKO-OLZOCXBDSA-N 0 1 260.341 0.658 20 30 CCEDMN C#CCCN[C@H](C(N)=O)c1ccc(-c2nn[nH]n2)cc1 ZINC000735374827 581640685 /nfs/dbraw/zinc/64/06/85/581640685.db2.gz MEJLLZWIBZTVFY-NSHDSACASA-N 0 1 270.296 0.006 20 30 CCEDMN C=CC[C@H](C)N[C@@H](C(N)=O)c1ccc(-c2nn[nH]n2)cc1 ZINC000735410163 581641708 /nfs/dbraw/zinc/64/17/08/581641708.db2.gz WCLGGVORVNSVAU-JOYOIKCWSA-N 0 1 286.339 0.947 20 30 CCEDMN C#Cc1ccc(C(=O)NC2(c3nn[nH]n3)CCCC2)cn1 ZINC000735390146 581642257 /nfs/dbraw/zinc/64/22/57/581642257.db2.gz PMMFPWRFPUJKTP-UHFFFAOYSA-N 0 1 282.307 0.775 20 30 CCEDMN CCCC[C@@H](ON=C(C)C)C(=O)NCc1nn[nH]n1 ZINC000768078345 581643895 /nfs/dbraw/zinc/64/38/95/581643895.db2.gz RIYNTDRULFOQJE-SECBINFHSA-N 0 1 268.321 0.787 20 30 CCEDMN Cc1cc(CC(=O)OCC(=O)N[C@](C)(C#N)C(C)C)n[nH]1 ZINC000756702769 581675210 /nfs/dbraw/zinc/67/52/10/581675210.db2.gz XSDMNNVQUMKNFF-CQSZACIVSA-N 0 1 292.339 0.858 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C[C@@H]1CCOC1 ZINC000740529908 581691845 /nfs/dbraw/zinc/69/18/45/581691845.db2.gz YHOFEFFBIYQVQC-QWRGUYRKSA-N 0 1 268.313 0.275 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)C[C@@H]1CCOC1 ZINC000740528962 581692381 /nfs/dbraw/zinc/69/23/81/581692381.db2.gz DLBOAKWCNPWJSO-RYUDHWBXSA-N 0 1 282.340 0.665 20 30 CCEDMN C=CCCC[N@@H+](CCN1CCOCC1)CC(=O)[O-] ZINC000736102581 581696146 /nfs/dbraw/zinc/69/61/46/581696146.db2.gz QPMIAWFNCSLRHT-UHFFFAOYSA-N 0 1 256.346 0.671 20 30 CCEDMN CC[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NCCCOC)O1 ZINC000757304684 581699906 /nfs/dbraw/zinc/69/99/06/581699906.db2.gz MATDCIKQNANZDW-IJLUTSLNSA-N 0 1 282.340 0.806 20 30 CCEDMN N#Cc1cccc(N2CCN(C[C@@H](O)C3CC3)CC2)n1 ZINC000736294811 581732499 /nfs/dbraw/zinc/73/24/99/581732499.db2.gz NKSYAUAGSDNVJM-CQSZACIVSA-N 0 1 272.352 0.846 20 30 CCEDMN C[C@H](C[N@@H+](C)[C@H](C(N)=O)c1ccc(C#N)cc1)C(=O)[O-] ZINC000736576144 581796699 /nfs/dbraw/zinc/79/66/99/581796699.db2.gz VSWMVUFFSDOGJU-SKDRFNHKSA-N 0 1 275.308 0.737 20 30 CCEDMN C=CCN1CCC(NC(=O)NCC[S@](C)=O)CC1 ZINC000736659293 581812783 /nfs/dbraw/zinc/81/27/83/581812783.db2.gz NRADTMLQABOIIS-SFHVURJKSA-N 0 1 273.402 0.315 20 30 CCEDMN C=CCN(CCNS(=O)(=O)c1cnn(C)c1)C(C)C ZINC000743840991 581826942 /nfs/dbraw/zinc/82/69/42/581826942.db2.gz BEHZNAQAAXTXTN-UHFFFAOYSA-N 0 1 286.401 0.595 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)C1CCN(CC#C)CC1 ZINC000772108472 581843760 /nfs/dbraw/zinc/84/37/60/581843760.db2.gz CQJVEGNEGJUZEM-LBPRGKRZSA-N 0 1 276.336 0.013 20 30 CCEDMN CC[C@@]([NH2+]CC(=O)NCCC#N)(C(=O)[O-])c1ccccc1 ZINC000736916394 581868214 /nfs/dbraw/zinc/86/82/14/581868214.db2.gz HPBDBPJZAITFGV-HNNXBMFYSA-N 0 1 289.335 0.996 20 30 CCEDMN CCOC(=O)[C@H]1CSCCN1C(=O)C(C)C#N ZINC000746117335 581894267 /nfs/dbraw/zinc/89/42/67/581894267.db2.gz VSDNJHKBSUPTMJ-DTWKUNHWSA-N 0 1 256.327 0.653 20 30 CCEDMN C#CCNCC(=O)NCCO[C@H]1CCCC[C@H]1C ZINC000746513986 581917899 /nfs/dbraw/zinc/91/78/99/581917899.db2.gz QFSGZCQXQRIIFE-OLZOCXBDSA-N 0 1 252.358 0.921 20 30 CCEDMN C#CCNCC(=O)NC1(c2noc(C)n2)CCCCC1 ZINC000746513937 581918009 /nfs/dbraw/zinc/91/80/09/581918009.db2.gz OAOYNSDACNUJFZ-UHFFFAOYSA-N 0 1 276.340 0.876 20 30 CCEDMN Cc1cc(=O)nc(NN=C2CCS(=O)CC2)[nH]1 ZINC000761792492 581920897 /nfs/dbraw/zinc/92/08/97/581920897.db2.gz LEXDSQKBTKMMHW-UHFFFAOYSA-N 0 1 254.315 0.801 20 30 CCEDMN CC(=NNC(=N)N)c1ccc(NS(C)(=O)=O)cc1 ZINC000747041065 581930610 /nfs/dbraw/zinc/93/06/10/581930610.db2.gz TWGHUZWRCYLCPI-UHFFFAOYSA-N 0 1 269.330 0.265 20 30 CCEDMN COc1ncnc(N)c1C=NNCCN1CCCCC1 ZINC000747633431 581947828 /nfs/dbraw/zinc/94/78/28/581947828.db2.gz QKWJYLFZSHULBI-UHFFFAOYSA-N 0 1 278.360 0.477 20 30 CCEDMN CN(CC(=O)C(C#N)C(=O)NC1CC1)[C@H]1CCSC1 ZINC000773979682 581951215 /nfs/dbraw/zinc/95/12/15/581951215.db2.gz JGWYWYCLIUGZCR-QWRGUYRKSA-N 0 1 281.381 0.411 20 30 CCEDMN Cn1ccnc(NN=Cc2cc3c(cc2O)OCO3)c1=O ZINC000748078779 581961272 /nfs/dbraw/zinc/96/12/72/581961272.db2.gz GEMBXHWKRHFNOV-UHFFFAOYSA-N 0 1 288.263 0.661 20 30 CCEDMN COc1cccc(O)c1C=NNc1nccn(C)c1=O ZINC000748079878 581961357 /nfs/dbraw/zinc/96/13/57/581961357.db2.gz RNDSSYQKKCREGE-UHFFFAOYSA-N 0 1 274.280 0.941 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)Cc2ccc(OCC#N)cc2)n1 ZINC000748309464 581968730 /nfs/dbraw/zinc/96/87/30/581968730.db2.gz IBANJHVCDPNSPC-UHFFFAOYSA-N 0 1 299.334 0.917 20 30 CCEDMN CCOc1cccc(C=Nn2c(=O)c(C)n[nH]c2=S)n1 ZINC000763125321 581986872 /nfs/dbraw/zinc/98/68/72/581986872.db2.gz QHPKROGABKDHDD-UHFFFAOYSA-N 0 1 291.336 0.911 20 30 CCEDMN C#CCOCCC(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000763202434 581989955 /nfs/dbraw/zinc/98/99/55/581989955.db2.gz QBZHAXZJTLUVHW-VIFPVBQESA-N 0 1 250.302 0.584 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCN(C[C@H]3CCOC3)CC2)cn1 ZINC000749511072 582010756 /nfs/dbraw/zinc/01/07/56/582010756.db2.gz JWEOSAQNFHYTJD-CQSZACIVSA-N 0 1 299.374 0.857 20 30 CCEDMN C#CCCN1CCN(C(=O)CN(C)[C@@H]2CCSC2)CC1 ZINC000763994556 582025482 /nfs/dbraw/zinc/02/54/82/582025482.db2.gz WUHLQPFYHWNALZ-CQSZACIVSA-N 0 1 295.452 0.591 20 30 CCEDMN COCC#CCONC(=N)[C@@H]1COc2ccccc2O1 ZINC000775684123 582033889 /nfs/dbraw/zinc/03/38/89/582033889.db2.gz WMRLUHIJKMYSJR-ZDUSSCGKSA-N 0 1 276.292 0.975 20 30 CCEDMN C#CCCN1CCN(c2ccc(S(N)(=O)=O)cc2)CC1 ZINC000764230329 582038878 /nfs/dbraw/zinc/03/88/78/582038878.db2.gz HQXBUERSZUHTAK-UHFFFAOYSA-N 0 1 293.392 0.479 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCCN(C(=O)[O-])CC1 ZINC000738603046 582064916 /nfs/dbraw/zinc/06/49/16/582064916.db2.gz ORMCWSZJRWJIPN-LLVKDONJSA-N 0 1 282.344 0.433 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCCN(C(=O)[O-])CC1 ZINC000738603046 582064918 /nfs/dbraw/zinc/06/49/18/582064918.db2.gz ORMCWSZJRWJIPN-LLVKDONJSA-N 0 1 282.344 0.433 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738605449 582065582 /nfs/dbraw/zinc/06/55/82/582065582.db2.gz VSEIGVPFSFKZOU-NWDGAFQWSA-N 0 1 296.371 0.821 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738605449 582065585 /nfs/dbraw/zinc/06/55/85/582065585.db2.gz VSEIGVPFSFKZOU-NWDGAFQWSA-N 0 1 296.371 0.821 20 30 CCEDMN N#Cc1ccc([C@H](C(=O)[O-])N2C[C@H]3C[NH2+]C[C@@H]3C2)cc1 ZINC000739104574 582102648 /nfs/dbraw/zinc/10/26/48/582102648.db2.gz HDWLYQQXJDIBTF-MGPQQGTHSA-N 0 1 271.320 0.835 20 30 CCEDMN C=CCNC(=O)[C@H](C)OC(=O)CCCCc1cn[nH]n1 ZINC000768508104 582107510 /nfs/dbraw/zinc/10/75/10/582107510.db2.gz CMSUAERQHYFYCQ-JTQLQIEISA-N 0 1 280.328 0.751 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@@]1(N(C)C)CCSC1 ZINC000805026462 612984369 /nfs/dbraw/zinc/98/43/69/612984369.db2.gz OTQURAZOMSQUOL-LBPRGKRZSA-N 0 1 290.454 0.757 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@@H]1CCCN(CCCO)C1 ZINC000831810872 612985062 /nfs/dbraw/zinc/98/50/62/612985062.db2.gz ZAIYCNLJFXMIOD-CYBMUJFWSA-N 0 1 288.413 0.166 20 30 CCEDMN C#CCCN(CCOC)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000898989089 612986928 /nfs/dbraw/zinc/98/69/28/612986928.db2.gz SPRBEPYEFZLDOC-CQSZACIVSA-N 0 1 280.368 0.205 20 30 CCEDMN Cc1[nH+]ccn1CCNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000821197004 597641784 /nfs/dbraw/zinc/64/17/84/597641784.db2.gz UNCSHKWAUCKMAY-LBPRGKRZSA-N 0 1 264.285 0.312 20 30 CCEDMN C[C@@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])[N@@H+](C)C1CC1 ZINC000820095292 597643122 /nfs/dbraw/zinc/64/31/22/597643122.db2.gz CMOYVAUHZZTVKF-TVQRCGJNSA-N 0 1 267.329 0.590 20 30 CCEDMN Cc1cccc(NCCNC(=O)[C@@](C)(C#N)CC(=O)[O-])[nH+]1 ZINC000821116785 597649055 /nfs/dbraw/zinc/64/90/55/597649055.db2.gz QIOGLDWWOUCATL-CQSZACIVSA-N 0 1 290.323 0.923 20 30 CCEDMN COc1cc(C)c(C(=O)N[C@H]2CNC[C@@H]2C#N)cc1OC ZINC000834480247 617173824 /nfs/dbraw/zinc/17/38/24/617173824.db2.gz NGBQUPUKLPFIOR-JQWIXIFHSA-N 0 1 289.335 0.854 20 30 CCEDMN C#CCOCCNS(=O)(=O)c1cc(O)cc(F)c1 ZINC000867094475 612989565 /nfs/dbraw/zinc/98/95/65/612989565.db2.gz OHEIDWOAAYWDDO-UHFFFAOYSA-N 0 1 273.285 0.459 20 30 CCEDMN C#CCOCCNS(=O)(=O)N=[S@@](C)(=O)C(C)(C)C ZINC000872471046 612989594 /nfs/dbraw/zinc/98/95/94/612989594.db2.gz MKXVLAXWZPOOBT-KRWDZBQOSA-N 0 1 296.414 0.367 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CC(C)C)CC1 ZINC000822236927 612994794 /nfs/dbraw/zinc/99/47/94/612994794.db2.gz XDRFRVSMCJQBQT-ZDUSSCGKSA-N 0 1 252.358 0.825 20 30 CCEDMN Cc1nc(CC(=O)NC2CC3(CC(NCC#N)C3)C2)n[nH]1 ZINC000963785103 649913452 /nfs/dbraw/zinc/91/34/52/649913452.db2.gz YGWVSOCFBHLGNT-UHFFFAOYSA-N 0 1 288.355 0.196 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC([C@H](C)CO)CC1 ZINC000844419507 612947607 /nfs/dbraw/zinc/94/76/07/612947607.db2.gz NGYYYVCGUXZKNZ-VXGBXAGGSA-N 0 1 252.358 0.465 20 30 CCEDMN C#CCSCC(=O)NCc1n[nH]c(C2CC2)n1 ZINC000897881178 613012605 /nfs/dbraw/zinc/01/26/05/613012605.db2.gz XENNYEVAIKMLMC-UHFFFAOYSA-N 0 1 250.327 0.665 20 30 CCEDMN C[C@@H](CC(=O)[O-])[NH+]1CCN(C(=O)CSCC#N)CC1 ZINC000827361684 601106674 /nfs/dbraw/zinc/10/66/74/601106674.db2.gz DEVCBQSNQLMXBG-JTQLQIEISA-N 0 1 285.369 0.251 20 30 CCEDMN COc1cc(C=NNC(=N)N)ccc1OC(=O)C1CC1 ZINC000915051176 617179359 /nfs/dbraw/zinc/17/93/59/617179359.db2.gz WKECWILOFAOMHI-UHFFFAOYSA-N 0 1 276.296 0.828 20 30 CCEDMN COc1cc(C=NNc2cnnn2C)cc([N+](=O)[O-])c1O ZINC000814981279 617179704 /nfs/dbraw/zinc/17/97/04/617179704.db2.gz ACVAPCRKUUKLOH-UHFFFAOYSA-N 0 1 292.255 0.884 20 30 CCEDMN C#CC1(O)CCN(C(=O)[C@@H]2CCCCN2C)CC1 ZINC000899115152 612951117 /nfs/dbraw/zinc/95/11/17/612951117.db2.gz CARWSCHNEDKHJP-LBPRGKRZSA-N 0 1 250.342 0.457 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnon2)C(C)(C)C1 ZINC000977389508 613032779 /nfs/dbraw/zinc/03/27/79/613032779.db2.gz ZAJDNPJBZKHCLD-LLVKDONJSA-N 0 1 262.313 0.533 20 30 CCEDMN C#CC1(O)CCN(Cc2cc(C(=O)OC)n(C)c2)CC1 ZINC000895383684 612952603 /nfs/dbraw/zinc/95/26/03/612952603.db2.gz AAGJBWKFSNBDJZ-UHFFFAOYSA-N 0 1 276.336 0.772 20 30 CCEDMN C[C@@H](NC(=O)[O-])[C@@H]1C[N@H+](CCCSCC#N)CCO1 ZINC000825350635 604596594 /nfs/dbraw/zinc/59/65/94/604596594.db2.gz JWZKKINUVNCFIZ-MNOVXSKESA-N 0 1 287.385 0.990 20 30 CCEDMN C[C@@H](NC(=O)[O-])[C@@H]1C[N@@H+](CCCSCC#N)CCO1 ZINC000825350635 604596597 /nfs/dbraw/zinc/59/65/97/604596597.db2.gz JWZKKINUVNCFIZ-MNOVXSKESA-N 0 1 287.385 0.990 20 30 CCEDMN COc1cc(CNC[C@H](O)CS(C)(=O)=O)ccc1C#N ZINC000922823930 617189382 /nfs/dbraw/zinc/18/93/82/617189382.db2.gz OZUACWUZCDYEKV-LBPRGKRZSA-N 0 1 298.364 0.062 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@@H]1CCCN1CCOC ZINC000808290646 613054375 /nfs/dbraw/zinc/05/43/75/613054375.db2.gz UOPWKGXJAPCNAK-LBPRGKRZSA-N 0 1 274.386 0.040 20 30 CCEDMN N#Cc1ccc(F)cc1Cn1cnc(-c2nn[nH]n2)n1 ZINC000822830130 607038428 /nfs/dbraw/zinc/03/84/28/607038428.db2.gz VNKAMSIEXDYETG-UHFFFAOYSA-N 0 1 270.231 0.517 20 30 CCEDMN C#CCN(C(=O)NCCN1CCCOCC1)C(C)C ZINC000922608852 613066910 /nfs/dbraw/zinc/06/69/10/613066910.db2.gz XSIUMAQQHXCZAT-UHFFFAOYSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCCC(=O)N2)C1 ZINC000971904016 613090557 /nfs/dbraw/zinc/09/05/57/613090557.db2.gz NUECXCUBBRMOJX-OLZOCXBDSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCCO2)C1 ZINC000971358117 613093065 /nfs/dbraw/zinc/09/30/65/613093065.db2.gz XDXYVKBTSYOELY-QWHCGFSZSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1ccco1 ZINC000922022157 613098071 /nfs/dbraw/zinc/09/80/71/613098071.db2.gz JCCNHGHMODBQSF-UHFFFAOYSA-N 0 1 282.365 0.998 20 30 CCEDMN C#CCN(CC(=O)NC1CCN(C(C)=O)CC1)C(C)(C)C ZINC000932464735 613102638 /nfs/dbraw/zinc/10/26/38/613102638.db2.gz KFWMEAMYBONEMS-UHFFFAOYSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(C[C@@H](O)CC1(O)CCOCC1)C1CSC1 ZINC000878908098 613108100 /nfs/dbraw/zinc/10/81/00/613108100.db2.gz AJYQCICUSUSQJH-ZDUSSCGKSA-N 0 1 285.409 0.330 20 30 CCEDMN C=C(Cl)CNS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000858995383 613383997 /nfs/dbraw/zinc/38/39/97/613383997.db2.gz DWKYREKZBVDWOB-UHFFFAOYSA-N 0 1 280.693 0.359 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N1CCS(=O)(=O)C[C@@H]1C ZINC000876670129 613387532 /nfs/dbraw/zinc/38/75/32/613387532.db2.gz GYFONMKOTZIEHX-DTWKUNHWSA-N 0 1 280.777 0.102 20 30 CCEDMN C#CC1(O)CN(C(=O)c2cc(-c3ccn(C)c3)[nH]n2)C1 ZINC000890526120 612958715 /nfs/dbraw/zinc/95/87/15/612958715.db2.gz PIBVIDZBVDCFCB-UHFFFAOYSA-N 0 1 270.292 0.235 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)NC[C@H](C)S(C)(=O)=O ZINC000876981137 613388035 /nfs/dbraw/zinc/38/80/35/613388035.db2.gz NCPXEUISOMTGPT-JGVFFNPUSA-N 0 1 268.766 0.006 20 30 CCEDMN CC(C)CCO[C@H](C)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834494086 614057313 /nfs/dbraw/zinc/05/73/13/614057313.db2.gz KAYUNTDOHBTXLL-GRYCIOLGSA-N 0 1 253.346 0.665 20 30 CCEDMN COc1ccc(C(=O)NCC#CCN(C)C)cc1[N+](=O)[O-] ZINC000913514726 617228284 /nfs/dbraw/zinc/22/82/84/617228284.db2.gz ZQNDDRBAINSRSY-UHFFFAOYSA-N 0 1 291.307 0.898 20 30 CCEDMN COc1ccc(CNC(=O)Cc2n[nH]c(C)n2)cc1C#N ZINC000830727395 617253833 /nfs/dbraw/zinc/25/38/33/617253833.db2.gz UKWBHGVHVNGFIJ-UHFFFAOYSA-N 0 1 285.307 0.852 20 30 CCEDMN COc1ccc(NC(=O)[C@]2(C)CNCCO2)cc1C#N ZINC000877270483 617270868 /nfs/dbraw/zinc/27/08/68/617270868.db2.gz HXBQHYYZYPPSDX-AWEZNQCLSA-N 0 1 275.308 0.884 20 30 CCEDMN CSc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)co1 ZINC000876802974 617278991 /nfs/dbraw/zinc/27/89/91/617278991.db2.gz SZNAHPPWFQZQDU-DTWKUNHWSA-N 0 1 251.311 0.843 20 30 CCEDMN COc1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1F ZINC000834485399 617293556 /nfs/dbraw/zinc/29/35/56/617293556.db2.gz WCVPDBJMKZQDMR-WPRPVWTQSA-N 0 1 263.272 0.676 20 30 CCEDMN C[C@@H](C#N)OC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000859921749 617297030 /nfs/dbraw/zinc/29/70/30/617297030.db2.gz DOAOTQSNXUAALB-ZETCQYMHSA-N 0 1 269.282 0.522 20 30 CCEDMN COc1cccc(NC(=O)C(C#N)C(=O)c2ncn(C)n2)c1 ZINC000810529619 617303910 /nfs/dbraw/zinc/30/39/10/617303910.db2.gz KSRHLHHTGIBEQW-NSHDSACASA-N 0 1 299.290 0.785 20 30 CCEDMN C#CCNC(=O)N1CCNC[C@@H]1c1cccc(OC)c1 ZINC000887278611 612946480 /nfs/dbraw/zinc/94/64/80/612946480.db2.gz IZEXDXWKDRKXAW-CQSZACIVSA-N 0 1 273.336 0.984 20 30 CCEDMN C#CC1(O)CCN(Cc2cnn(CCF)c2)CC1 ZINC000931153437 612952626 /nfs/dbraw/zinc/95/26/26/612952626.db2.gz GUZHHQJHYUBCSQ-UHFFFAOYSA-N 0 1 251.305 0.813 20 30 CCEDMN C#CC1CCN([C@@H](C)C(=O)NCC(=O)OC)CC1 ZINC000830337726 612965175 /nfs/dbraw/zinc/96/51/75/612965175.db2.gz ARBWIYGGJCZHGX-JTQLQIEISA-N 0 1 252.314 0.009 20 30 CCEDMN C#CCCCC(=O)NC1CCN([C@H]2CCN(C)C2=O)CC1 ZINC000816609549 612975020 /nfs/dbraw/zinc/97/50/20/612975020.db2.gz FPQWNYPNKLGINQ-AWEZNQCLSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCCCN1CCCN(S(=O)(=O)N(C)C)CC1 ZINC000810089998 612978615 /nfs/dbraw/zinc/97/86/15/612978615.db2.gz DKUBKJCEIKRZPZ-UHFFFAOYSA-N 0 1 273.402 0.214 20 30 CCEDMN C#CCOCCN1C[C@@H](C)OC2(CCOCC2)C1 ZINC000852000503 612984590 /nfs/dbraw/zinc/98/45/90/612984590.db2.gz VIOFYNKABFBONN-CYBMUJFWSA-N 0 1 253.342 0.906 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(CC)C[C@@H]1CC ZINC000838547529 612986316 /nfs/dbraw/zinc/98/63/16/612986316.db2.gz GADBQBMKQILGAD-ZDUSSCGKSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCCN(CCOC)C(=O)NC[C@H]1CCN1CC ZINC000890057750 612987523 /nfs/dbraw/zinc/98/75/23/612987523.db2.gz MUIVNKDIZCOJNM-CYBMUJFWSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCC[NH+]1CC([C@@H](C)NC(=O)[C@H]2C[N@H+](C)C[C@@H](C)O2)C1 ZINC000969960429 612994289 /nfs/dbraw/zinc/99/42/89/612994289.db2.gz ZCWXVMQBFKZFRH-UMVBOHGHSA-N 0 1 293.411 0.165 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000826701516 612994997 /nfs/dbraw/zinc/99/49/97/612994997.db2.gz YPGBBZWKXLKOIG-KBPBESRZSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000823297899 612996233 /nfs/dbraw/zinc/99/62/33/612996233.db2.gz LFBYIUYKAOLMJE-GJZGRUSLSA-N 0 1 295.427 0.413 20 30 CCEDMN C#CCO[C@H](C)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000835072428 613004798 /nfs/dbraw/zinc/00/47/98/613004798.db2.gz FVKKLHYWIHDLBN-ZYHUDNBSSA-N 0 1 262.309 0.792 20 30 CCEDMN C#CCOc1ccc(C[N@@H+]2CC[C@@](O)(C(=O)[O-])C2)cc1 ZINC000901904473 613005768 /nfs/dbraw/zinc/00/57/68/613005768.db2.gz ZAWUEIPKDSICMY-HNNXBMFYSA-N 0 1 275.304 0.720 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cn(CCC)nn2)CC1 ZINC000983048246 613006423 /nfs/dbraw/zinc/00/64/23/613006423.db2.gz XEFBQXXCMCRNAD-UHFFFAOYSA-N 0 1 289.383 0.859 20 30 CCEDMN C#CCCN1CCN(c2cc(N(C)C)ncn2)CC1 ZINC000892316271 613011936 /nfs/dbraw/zinc/01/19/36/613011936.db2.gz WOWXDOUSWIJANX-UHFFFAOYSA-N 0 1 259.357 0.688 20 30 CCEDMN C#CCC[NH+]1CCN([C@@H](C(=O)[O-])c2cccnc2)CC1 ZINC000833362266 613012113 /nfs/dbraw/zinc/01/21/13/613012113.db2.gz ISQRIMABGHLAHS-CQSZACIVSA-N 0 1 273.336 0.848 20 30 CCEDMN C#CCCN1CCN(c2cnccc2-c2nn[nH]n2)CC1 ZINC000823845347 613012237 /nfs/dbraw/zinc/01/22/37/613012237.db2.gz OWLQWJDSTOKSAU-UHFFFAOYSA-N 0 1 283.339 0.407 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2ncn(C)n2)C1 ZINC000965994792 613014564 /nfs/dbraw/zinc/01/45/64/613014564.db2.gz ADDMNGHHDFWDES-NEPJUHHUSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)C2CS(=O)(=O)C2)C[C@@H]1C ZINC000947342828 613017172 /nfs/dbraw/zinc/01/71/72/613017172.db2.gz LRXYBZHJPQJEOZ-WCQYABFASA-N 0 1 298.408 0.023 20 30 CCEDMN C#CCCN1CC[C@H](C)[C@H](NC(=O)c2cncnc2)C1 ZINC000965936130 613024855 /nfs/dbraw/zinc/02/48/55/613024855.db2.gz MXSXOMVFHFTRHD-GXTWGEPZSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965915680 613025278 /nfs/dbraw/zinc/02/52/78/613025278.db2.gz DQJLSKVXHXCPOD-CMPLNLGQSA-N 0 1 290.367 0.887 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)NCc1cc(CC)[nH]n1 ZINC000919563915 613026041 /nfs/dbraw/zinc/02/60/41/613026041.db2.gz BCSGMBPAONJYFE-JTQLQIEISA-N 0 1 250.302 0.156 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1sccc1CN(C)C ZINC000854545966 613029855 /nfs/dbraw/zinc/02/98/55/613029855.db2.gz UQHQNXSYPNXYHK-NSHDSACASA-N 0 1 266.366 0.924 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N[C@@H]1CCc2nc[nH]c2C1 ZINC000858468609 613036045 /nfs/dbraw/zinc/03/60/45/613036045.db2.gz JTKUFWARDHVKEQ-MNOVXSKESA-N 0 1 276.340 0.605 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)[C@@H](C)CN1CCOCC1 ZINC000863802615 613036663 /nfs/dbraw/zinc/03/66/63/613036663.db2.gz MNORYRJJXPQZGQ-STQMWFEESA-N 0 1 268.357 0.109 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)C2CN([C@H]3CCOC3)C2)C1 ZINC000898084572 613044178 /nfs/dbraw/zinc/04/41/78/613044178.db2.gz KYPZIUJYHOCTMX-HIFRSBDPSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCCOC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000859100989 613049178 /nfs/dbraw/zinc/04/91/78/613049178.db2.gz MRDUDBIPKIDJNM-OLZOCXBDSA-N 0 1 266.341 0.677 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)CN(C)[C@H]1CCSC1 ZINC000799071253 613055929 /nfs/dbraw/zinc/05/59/29/613055929.db2.gz FYIKZHFVGAISPU-NWDGAFQWSA-N 0 1 270.398 0.314 20 30 CCEDMN COc1ccccc1CC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834506847 617314232 /nfs/dbraw/zinc/31/42/32/617314232.db2.gz WLUADJDVQTZMLE-VXGBXAGGSA-N 0 1 259.309 0.465 20 30 CCEDMN C#CC[C@H](NC(=O)CN1CC[C@H](C)C1)C(=O)OC ZINC000848219588 613067840 /nfs/dbraw/zinc/06/78/40/613067840.db2.gz AYURYBHZERUMTJ-QWRGUYRKSA-N 0 1 252.314 0.009 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)C(=O)OC ZINC000854909755 613068940 /nfs/dbraw/zinc/06/89/40/613068940.db2.gz HWWPLOYKWYETQG-KOLCDFICSA-N 0 1 275.308 0.196 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CCCN1C1CC1)C(=O)OC ZINC000837945800 613069518 /nfs/dbraw/zinc/06/95/18/613069518.db2.gz AEYFOIZLSLQPNK-NWDGAFQWSA-N 0 1 264.325 0.294 20 30 CCEDMN C#CCN(C(=O)c1[nH]nc(C)c1[O-])C1CC[NH+](C)CC1 ZINC000886579779 613070571 /nfs/dbraw/zinc/07/05/71/613070571.db2.gz HUYXHWVDGNXMLC-UHFFFAOYSA-N 0 1 276.340 0.593 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)Cc1cccc(C(=O)[O-])c1 ZINC000911405225 613072988 /nfs/dbraw/zinc/07/29/88/613072988.db2.gz WDIQDFXOLOFEHU-UHFFFAOYSA-N 0 1 288.347 0.999 20 30 CCEDMN C#CCn1cc(CNS(=O)(=O)c2conc2C)cn1 ZINC000882349491 613078296 /nfs/dbraw/zinc/07/82/96/613078296.db2.gz QAZRAIYYKDAQDQ-UHFFFAOYSA-N 0 1 280.309 0.291 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)CCc2c[nH]nn2)n1 ZINC000867929411 613078277 /nfs/dbraw/zinc/07/82/77/613078277.db2.gz BJZFQNDFHGIBLB-UHFFFAOYSA-N 0 1 286.339 0.616 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)CCc2cnn[nH]2)n1 ZINC000867929411 613078278 /nfs/dbraw/zinc/07/82/78/613078278.db2.gz BJZFQNDFHGIBLB-UHFFFAOYSA-N 0 1 286.339 0.616 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2(F)CCOCC2)C1 ZINC000971659267 613079995 /nfs/dbraw/zinc/07/99/95/613079995.db2.gz WSXNGYPQLAZRNS-GFCCVEGCSA-N 0 1 268.332 0.671 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCN2CCCC2=O)C1 ZINC000980421974 613080325 /nfs/dbraw/zinc/08/03/25/613080325.db2.gz JNUPRBKNGYEVDM-CYBMUJFWSA-N 0 1 277.368 0.165 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cscn2)C1 ZINC000972489158 613081885 /nfs/dbraw/zinc/08/18/85/613081885.db2.gz HYEWVEINLSEXAU-GFCCVEGCSA-N 0 1 263.366 0.852 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC000972385029 613082749 /nfs/dbraw/zinc/08/27/49/613082749.db2.gz SOWQZVSSLUHXPF-SYQHCUMBSA-N 0 1 262.353 0.720 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC000980282701 613083003 /nfs/dbraw/zinc/08/30/03/613083003.db2.gz KRYJSIZORXSWQR-ZIAGYGMSSA-N 0 1 277.368 0.021 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000875594710 613083907 /nfs/dbraw/zinc/08/39/07/613083907.db2.gz XCESCQUXUJQRNZ-RYUDHWBXSA-N 0 1 280.372 0.037 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC000911610856 613084905 /nfs/dbraw/zinc/08/49/05/613084905.db2.gz DJXCDFFSPODJLG-NEPJUHHUSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc(OCC)no2)C1 ZINC000971952968 613085456 /nfs/dbraw/zinc/08/54/56/613085456.db2.gz PFPGAKDVOMULNO-LLVKDONJSA-N 0 1 277.324 0.853 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc3[nH]c(=O)[nH]c3n2)C1 ZINC000972183975 613086644 /nfs/dbraw/zinc/08/66/44/613086644.db2.gz UJQAZZUTHPVQNS-SNVBAGLBSA-N 0 1 299.334 0.443 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cccc3ncnn32)C1 ZINC000971878744 613086844 /nfs/dbraw/zinc/08/68/44/613086844.db2.gz SEFHTOIBNPKTJZ-GFCCVEGCSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccnc(OC)c2)C1 ZINC000971844577 613086862 /nfs/dbraw/zinc/08/68/62/613086862.db2.gz PSYPWHLVLJGPRO-CYBMUJFWSA-N 0 1 273.336 0.870 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn(C)nc2CC)C1 ZINC000971742191 613087343 /nfs/dbraw/zinc/08/73/43/613087343.db2.gz ANORHIIUCLCLOT-GFCCVEGCSA-N 0 1 274.368 0.762 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cn2cc(C3CC3)cn2)C1 ZINC000971821999 613091140 /nfs/dbraw/zinc/09/11/40/613091140.db2.gz RNSIAUDQZIRZOT-HNNXBMFYSA-N 0 1 286.379 0.926 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](CC)N2CCCC2=O)C1 ZINC000980439324 613092333 /nfs/dbraw/zinc/09/23/33/613092333.db2.gz DSKQBOZXHVSGRE-KBPBESRZSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc3nc[nH]c3n2)C1 ZINC000971776512 613095202 /nfs/dbraw/zinc/09/52/02/613095202.db2.gz QAYUUOJQVFGTLU-NSHDSACASA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cccc3ncnn32)C1 ZINC000971878745 613095552 /nfs/dbraw/zinc/09/55/52/613095552.db2.gz SEFHTOIBNPKTJZ-LBPRGKRZSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cn(C3CCC3)nn2)C1 ZINC000971549532 613096374 /nfs/dbraw/zinc/09/63/74/613096374.db2.gz KSEFOPNUWQLPAQ-ZDUSSCGKSA-N 0 1 287.367 0.783 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn3cc[nH]c23)C1 ZINC000980269771 613096752 /nfs/dbraw/zinc/09/67/52/613096752.db2.gz JYMCNYGGRJXRQK-NSHDSACASA-N 0 1 271.324 0.442 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1n[nH]c(CC)n1)[C@H]1CCCO1 ZINC000838560581 613096899 /nfs/dbraw/zinc/09/68/99/613096899.db2.gz XUPLJXJGWFALLN-NXEZZACHSA-N 0 1 277.328 0.347 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ncccc2OC)C1 ZINC000980157087 613097044 /nfs/dbraw/zinc/09/70/44/613097044.db2.gz OUTJIBRHDQMREZ-LBPRGKRZSA-N 0 1 273.336 0.870 20 30 CCEDMN C#CCN(CCN1CCCS1(=O)=O)C(C)(C)C ZINC000932463473 613107709 /nfs/dbraw/zinc/10/77/09/613107709.db2.gz JFRCVWTWNOTWSJ-UHFFFAOYSA-N 0 1 258.387 0.756 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)NCC[N@@H+]2CC[C@H](O)C2)CC1 ZINC000876440730 613108051 /nfs/dbraw/zinc/10/80/51/613108051.db2.gz JAGRJBVQHWOGNO-IHRRRGAJSA-N 0 1 279.384 0.544 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)NCCN2CC[C@H](O)C2)CC1 ZINC000876440730 613108052 /nfs/dbraw/zinc/10/80/52/613108052.db2.gz JAGRJBVQHWOGNO-IHRRRGAJSA-N 0 1 279.384 0.544 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC3(CCCCO3)CC2)C1=O ZINC000887304895 613109467 /nfs/dbraw/zinc/10/94/67/613109467.db2.gz QOPKSPFVVGLYIV-ZDUSSCGKSA-N 0 1 290.363 0.782 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000880276157 613110651 /nfs/dbraw/zinc/11/06/51/613110651.db2.gz CYDHAOLPQLLLFE-WDMOLILDSA-N 0 1 273.336 0.936 20 30 CCEDMN C#C[C@@](C)(CC)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000908530109 613112344 /nfs/dbraw/zinc/11/23/44/613112344.db2.gz UOEGLZGNTVOWFJ-JSGCOSHPSA-N 0 1 250.342 0.625 20 30 CCEDMN C#C[C@@](C)(CC)NC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000854694310 613112745 /nfs/dbraw/zinc/11/27/45/613112745.db2.gz MJRXGPHIDRTJSC-ZDUSSCGKSA-N 0 1 296.348 0.571 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000820429254 613115953 /nfs/dbraw/zinc/11/59/53/613115953.db2.gz WDXHFRMYAZWRJU-STQMWFEESA-N 0 1 265.357 0.372 20 30 CCEDMN C#C[C@H](C)NC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000811998565 613117357 /nfs/dbraw/zinc/11/73/57/613117357.db2.gz SOCHMYVGPPXLQD-KBPBESRZSA-N 0 1 280.416 0.579 20 30 CCEDMN C#C[C@H](C)NC(=O)NCc1nc(CSC)n[nH]1 ZINC000884276401 613117743 /nfs/dbraw/zinc/11/77/43/613117743.db2.gz OQDJKGSTHDUTJD-ZETCQYMHSA-N 0 1 253.331 0.489 20 30 CCEDMN C#C[C@H](C)NC(=O)NCc1nnc(CSC)[nH]1 ZINC000884276401 613117745 /nfs/dbraw/zinc/11/77/45/613117745.db2.gz OQDJKGSTHDUTJD-ZETCQYMHSA-N 0 1 253.331 0.489 20 30 CCEDMN C#C[C@H](CCC)NC(=O)NCC[N@@H+](CC)CCO ZINC000820654833 613123723 /nfs/dbraw/zinc/12/37/23/613123723.db2.gz VYUFOOTVGXBSBC-GFCCVEGCSA-N 0 1 255.362 0.402 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)C2CN(CC#N)C2)CC1 ZINC000957416184 613128576 /nfs/dbraw/zinc/12/85/76/613128576.db2.gz OLCUKLJWFFZBJA-UHFFFAOYSA-N 0 1 288.395 0.388 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](NC(C)=O)[C@@H](C)C2)CC1 ZINC000966503403 613129783 /nfs/dbraw/zinc/12/97/83/613129783.db2.gz QXBKSIBVIGGUIW-WFASDCNBSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CCC(NC(=O)C(C)(C)C(=O)OCC)CC1 ZINC000928654971 613132532 /nfs/dbraw/zinc/13/25/32/613132532.db2.gz OGXAJTWWTVTLPY-UHFFFAOYSA-N 0 1 280.368 0.790 20 30 CCEDMN C#C[C@H](NC(=O)C1CN([C@@H]2CCOC2)C1)C(C)(C)C ZINC000897774514 613132664 /nfs/dbraw/zinc/13/26/64/613132664.db2.gz GDGQRSQMTMOVIM-OLZOCXBDSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCCc2c[nH]nn2)CC1 ZINC000928654031 613133069 /nfs/dbraw/zinc/13/30/69/613133069.db2.gz KPLYUUYCSHIKOH-UHFFFAOYSA-N 0 1 289.383 0.731 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2[nH]cnc2C)CC1 ZINC000928652722 613133433 /nfs/dbraw/zinc/13/34/33/613133433.db2.gz JVSBGBQECVQCDR-UHFFFAOYSA-N 0 1 260.341 0.474 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCO[C@H]2CCOC2)CC1 ZINC000927525340 613133984 /nfs/dbraw/zinc/13/39/84/613133984.db2.gz HSQYAQGJBDORNL-AWEZNQCLSA-N 0 1 295.383 0.189 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCSC2)CC1 ZINC000920722563 613134970 /nfs/dbraw/zinc/13/49/70/613134970.db2.gz GXXATCWBYDKAQE-GFCCVEGCSA-N 0 1 267.398 0.889 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCO[C@H]2C)CC1 ZINC000921704774 613135066 /nfs/dbraw/zinc/13/50/66/613135066.db2.gz DJXHCMCCWKSAFM-WCQYABFASA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc(C)nc2C)CC1 ZINC000928654003 613137632 /nfs/dbraw/zinc/13/76/32/613137632.db2.gz JRDAORUBGGGSCN-UHFFFAOYSA-N 0 1 272.352 0.921 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nccn3ccnc23)CC1 ZINC000928651131 613137947 /nfs/dbraw/zinc/13/79/47/613137947.db2.gz NWKLNHNZAVMEHV-UHFFFAOYSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CCC(NC(=O)c2csc(CO)n2)CC1 ZINC000928650066 613137967 /nfs/dbraw/zinc/13/79/67/613137967.db2.gz XLAUYVFQOVVSHC-UHFFFAOYSA-N 0 1 279.365 0.463 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnsn2)CC1 ZINC000928656684 613138064 /nfs/dbraw/zinc/13/80/64/613138064.db2.gz BOWWLTOHKYPDQH-UHFFFAOYSA-N 0 1 250.327 0.366 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3ccn(C)n3)C2)C1 ZINC000981764830 613141494 /nfs/dbraw/zinc/14/14/94/613141494.db2.gz FRZLTQQOIXLXQR-UHFFFAOYSA-N 0 1 286.379 0.520 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)Cc3nnc(C)[nH]3)C2)C1 ZINC000981753267 613141573 /nfs/dbraw/zinc/14/15/73/613141573.db2.gz CXMSYAOFUGPGTP-UHFFFAOYSA-N 0 1 287.367 0.213 20 30 CCEDMN C#C[C@H](NC(=O)NCc1n[nH]c(C)n1)[C@@H]1CCCO1 ZINC000864261538 613141720 /nfs/dbraw/zinc/14/17/20/613141720.db2.gz OMGHFKDGJYFADG-UWVGGRQHSA-N 0 1 263.301 0.093 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CC(=O)N(C)C3)C2)C1 ZINC000981801410 613141998 /nfs/dbraw/zinc/14/19/98/613141998.db2.gz SXWPZFOOOBLIGJ-CYBMUJFWSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3CC[C@H]3C(N)=O)C2)C1 ZINC000981929831 613143160 /nfs/dbraw/zinc/14/31/60/613143160.db2.gz CLYPLTUHHWPTQU-OLZOCXBDSA-N 0 1 289.379 0.056 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3c[nH]c(=O)n3C)C2)C1 ZINC000981935570 613144732 /nfs/dbraw/zinc/14/47/32/613144732.db2.gz QMJRTQXQYULBSU-UHFFFAOYSA-N 0 1 288.351 0.297 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3nonc3C)C2)C1 ZINC000982171754 613145480 /nfs/dbraw/zinc/14/54/80/613145480.db2.gz PGLCBSANHPNXEW-UHFFFAOYSA-N 0 1 274.324 0.549 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H]1C[C@H]1C(N)=O ZINC000969030348 613146066 /nfs/dbraw/zinc/14/60/66/613146066.db2.gz FUHRDRRZSACXHY-UPJWGTAASA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1ccc(=O)[nH]n1 ZINC000968735257 613146822 /nfs/dbraw/zinc/14/68/22/613146822.db2.gz GWYXGKQIZFOASB-GFCCVEGCSA-N 0 1 288.351 0.790 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC000968835053 613146905 /nfs/dbraw/zinc/14/69/05/613146905.db2.gz WCYDWJXHMKQUOE-GFCCVEGCSA-N 0 1 291.351 0.586 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1COCCN1C ZINC000968815637 613149080 /nfs/dbraw/zinc/14/90/80/613149080.db2.gz BDIGEBQGMCSJRU-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C#C[C@H](NS(=O)(=O)N=[S@@](C)(=O)CC)[C@@H]1CCCO1 ZINC000867124524 613151997 /nfs/dbraw/zinc/15/19/97/613151997.db2.gz CJBMKWPNKWUFPT-YMNVWFMLSA-N 0 1 294.398 0.119 20 30 CCEDMN C#C[C@H](N[C@@H]1CCN(C2CCC2)C1=O)[C@@H]1CCCO1 ZINC000878454531 613152820 /nfs/dbraw/zinc/15/28/20/613152820.db2.gz XTCJFVCRQYJNQR-MJBXVCDLSA-N 0 1 262.353 0.910 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1cc(Br)[nH]n1 ZINC000854917393 613162272 /nfs/dbraw/zinc/16/22/72/613162272.db2.gz OIOYHVPCASYLQB-ZETCQYMHSA-N 0 1 284.113 0.646 20 30 CCEDMN C#C[C@H]1COCCN1S(=O)(=O)c1cc(O)cc(F)c1 ZINC000867386036 613163425 /nfs/dbraw/zinc/16/34/25/613163425.db2.gz ACZPGFKLJSVCCA-JTQLQIEISA-N 0 1 285.296 0.554 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CN3CCN2CCC3)cn1 ZINC000854354082 613180127 /nfs/dbraw/zinc/18/01/27/613180127.db2.gz MGUQVNNVATZICY-OAHLLOKOSA-N 0 1 284.363 0.183 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)[C@@H]2CCCCN2C)C1 ZINC000923573235 613189009 /nfs/dbraw/zinc/18/90/09/613189009.db2.gz NWKMTXQTDZMWJN-KBPBESRZSA-N 0 1 263.385 0.685 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CN(CCO)CC[C@@H]2C)nc1 ZINC000968563043 613191925 /nfs/dbraw/zinc/19/19/25/613191925.db2.gz ZZAIRUCOEBXQLU-WFASDCNBSA-N 0 1 287.363 0.495 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](C(=O)[O-])[C@H]1C ZINC000909806152 613195680 /nfs/dbraw/zinc/19/56/80/613195680.db2.gz LNGAKIWYCKDYKF-UTUOFQBUSA-N 0 1 264.325 0.406 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)Nc1cccc2[nH]nnc21 ZINC000908698827 613203470 /nfs/dbraw/zinc/20/34/70/613203470.db2.gz CTTUPGYQECFUKK-LBPRGKRZSA-N 0 1 269.308 0.994 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H]1CC[C@@H](C(=O)OC)O1 ZINC000860530144 613203496 /nfs/dbraw/zinc/20/34/96/613203496.db2.gz QXDFBOVHEGZGKP-RWMBFGLXSA-N 0 1 295.335 0.348 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H](NC=O)C(C)C ZINC000860530256 613203511 /nfs/dbraw/zinc/20/35/11/613203511.db2.gz UIZIOOFMEJLMNI-STQMWFEESA-N 0 1 266.341 0.398 20 30 CCEDMN C#Cc1ccccc1CC(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000854353308 613206377 /nfs/dbraw/zinc/20/63/77/613206377.db2.gz WIWNVNQHICGFOT-QGZVFWFLSA-N 0 1 297.402 0.717 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966046242 613214061 /nfs/dbraw/zinc/21/40/61/613214061.db2.gz KDHKMBFOCQJWPH-OCCSQVGLSA-N 0 1 274.368 0.422 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H](C)C2CN(CCOC)C2)c1 ZINC000970402134 613218827 /nfs/dbraw/zinc/21/88/27/613218827.db2.gz VGJSFZOYGOQFCV-LBPRGKRZSA-N 0 1 287.363 0.759 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2cccc(=O)n2C)C1 ZINC000968318162 613220235 /nfs/dbraw/zinc/22/02/35/613220235.db2.gz QDJDJGPZUQLZMX-CHWSQXEVSA-N 0 1 287.363 0.459 20 30 CCEDMN c1ncc(CN=Nc2nccc(N3CCOCC3)n2)[nH]1 ZINC000755772880 613224006 /nfs/dbraw/zinc/22/40/06/613224006.db2.gz NAXPNWNCVCVPLH-UHFFFAOYSA-N 0 1 273.300 0.482 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cn2cccn2)C(C)(C)C1 ZINC000940574463 613228538 /nfs/dbraw/zinc/22/85/38/613228538.db2.gz UAJFZQONLDJTCC-CYBMUJFWSA-N 0 1 274.368 0.733 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cncnc2)[C@H](C)C1 ZINC000941974902 613241446 /nfs/dbraw/zinc/24/14/46/613241446.db2.gz HEMQFPSQHLGWCZ-DGCLKSJQSA-N 0 1 258.325 0.550 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC000942159798 613241905 /nfs/dbraw/zinc/24/19/05/613241905.db2.gz LPZJYWGLEFXUMD-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC000942159798 613241907 /nfs/dbraw/zinc/24/19/07/613241907.db2.gz LPZJYWGLEFXUMD-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2n[nH]cc2C)[C@@H](C)C1 ZINC000942029162 613243300 /nfs/dbraw/zinc/24/33/00/613243300.db2.gz JXIPYEMLLUBMFD-NWDGAFQWSA-N 0 1 260.341 0.792 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nc3ncccn3n2)[C@H](C)C1 ZINC000943728523 613243971 /nfs/dbraw/zinc/24/39/71/613243971.db2.gz ZRZOGOQXYZCMEY-VXGBXAGGSA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2nccn3ccnc23)C[C@@H]1C ZINC000947736856 613244365 /nfs/dbraw/zinc/24/43/65/613244365.db2.gz YRVGLVAITUXJQK-QWHCGFSZSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncn(C)n2)C[C@@H]1C ZINC000947277590 613244423 /nfs/dbraw/zinc/24/44/23/613244423.db2.gz QNKKEKFKANRWEA-WDEREUQCSA-N 0 1 261.329 0.031 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CC[C@H]2C(N)=O)C1 ZINC000951133840 613245505 /nfs/dbraw/zinc/24/55/05/613245505.db2.gz MMEIIINFHNPGFF-KGLIPLIRSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC000967407495 613247444 /nfs/dbraw/zinc/24/74/44/613247444.db2.gz GPWIYTSPLOVKHH-JSGCOSHPSA-N 0 1 287.363 0.790 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C[C@@H]1C ZINC000948045425 613265031 /nfs/dbraw/zinc/26/50/31/613265031.db2.gz ZEWTXZJPMDTYCJ-JQWIXIFHSA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn(C)c2OC)C[C@@H]1C ZINC000947971037 613275947 /nfs/dbraw/zinc/27/59/47/613275947.db2.gz GWCVMTSJULUCCN-RYUDHWBXSA-N 0 1 290.367 0.645 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2n[nH]cc2F)[C@H](C)C1 ZINC000942599535 613277340 /nfs/dbraw/zinc/27/73/40/613277340.db2.gz BEDMVUJJOTWAOS-KOLCDFICSA-N 0 1 264.304 0.622 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2[nH]nc(C)c2[O-])C[C@@H]1C ZINC000947205399 613277507 /nfs/dbraw/zinc/27/75/07/613277507.db2.gz PUMCFFZPRSKGBK-ONGXEEELSA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccn3ccnc23)C[C@H]1C ZINC000947736855 613278002 /nfs/dbraw/zinc/27/80/02/613278002.db2.gz YRVGLVAITUXJQK-OLZOCXBDSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nonc2C)[C@H](C)C1 ZINC000945946233 613278590 /nfs/dbraw/zinc/27/85/90/613278590.db2.gz ZSEZXZOFZYNSNJ-KOLCDFICSA-N 0 1 262.313 0.451 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc3[nH]ccc32)C1 ZINC000958418849 613286684 /nfs/dbraw/zinc/28/66/84/613286684.db2.gz WFRRYYSLFXAUNY-BLLLJJGKSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc3cnccc3o2)[C@H](O)C1 ZINC000958218621 613289056 /nfs/dbraw/zinc/28/90/56/613289056.db2.gz CYNVQGQMPDOOPG-CHWSQXEVSA-N 0 1 299.330 0.484 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc3cc[nH]c3n2)[C@H](O)C1 ZINC000958155538 613289621 /nfs/dbraw/zinc/28/96/21/613289621.db2.gz CVLMSZARBQVVKG-TZMCWYRMSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cncc(CC)c2)[C@H](O)C1 ZINC000958262492 613290106 /nfs/dbraw/zinc/29/01/06/613290106.db2.gz KAOKVTUUNWFXIE-HUUCEWRRSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cncc(Cl)c2)C1 ZINC000958485203 613295559 /nfs/dbraw/zinc/29/55/59/613295559.db2.gz HZUSJNCIRRZLRW-AAEUAGOBSA-N 0 1 293.754 0.391 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cncc(CC)c2)C1 ZINC000958262491 613295750 /nfs/dbraw/zinc/29/57/50/613295750.db2.gz KAOKVTUUNWFXIE-GJZGRUSLSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C)cc2)C1 ZINC000958608870 613302809 /nfs/dbraw/zinc/30/28/09/613302809.db2.gz BRBIWZNMVLBLMV-CABCVRRESA-N 0 1 272.348 0.651 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(Cl)cn2)C1 ZINC000958606643 613302918 /nfs/dbraw/zinc/30/29/18/613302918.db2.gz ROKIZNFFHXRKFV-MFKMUULPSA-N 0 1 293.754 0.391 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc3ccccc3[nH]2)C1 ZINC000958653237 613303140 /nfs/dbraw/zinc/30/31/40/613303140.db2.gz ILDDZPLEQGNJMS-CJNGLKHVSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(=O)N1CCC[C@H]1CC ZINC000962225499 613313110 /nfs/dbraw/zinc/31/31/10/613313110.db2.gz AHGKEIGWPGLAMR-MQYQWHSLSA-N 0 1 289.379 0.067 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cccc(OC)c1 ZINC000962456107 613314419 /nfs/dbraw/zinc/31/44/19/613314419.db2.gz RVQQAFMMHGPWBY-QLPKVWCKSA-N 0 1 284.359 0.917 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cn2c(n1)CCCC2 ZINC000960001812 613314561 /nfs/dbraw/zinc/31/45/61/613314561.db2.gz JENPZUUVGVZCEF-HALDLXJZSA-N 0 1 298.390 0.442 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccccc1C(N)=O ZINC000961439272 613314602 /nfs/dbraw/zinc/31/46/02/613314602.db2.gz HORYJRBOXKYZKM-FOLVSLTJSA-N 0 1 297.358 0.008 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1nc(C)sc1C ZINC000960572638 613315158 /nfs/dbraw/zinc/31/51/58/613315158.db2.gz WKABVHJJXKEQGZ-JYAVWHMHSA-N 0 1 289.404 0.982 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(Cl)c[nH]1 ZINC000958221768 613318012 /nfs/dbraw/zinc/31/80/12/613318012.db2.gz WTOZMFMOTXEFQU-IAZYJMLFSA-N 0 1 263.728 0.961 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc[nH]c1CC ZINC000958771374 613318521 /nfs/dbraw/zinc/31/85/21/613318521.db2.gz SVJCFHYWRWIDER-IMRBUKKESA-N 0 1 257.337 0.870 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(C#N)[nH]1 ZINC000958597027 613318563 /nfs/dbraw/zinc/31/85/63/613318563.db2.gz CEPYMMINRCEJFR-PJXYFTJBSA-N 0 1 254.293 0.180 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2c([nH]1)CCOC2 ZINC000960233216 613318716 /nfs/dbraw/zinc/31/87/16/613318716.db2.gz JWOQFKQHGALVEG-JYAVWHMHSA-N 0 1 285.347 0.381 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(Cl)no1 ZINC000962353153 613318804 /nfs/dbraw/zinc/31/88/04/613318804.db2.gz DIRULINUNQQDTE-VROVMSAKSA-N 0 1 265.700 0.621 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc(CC)c1 ZINC000961189289 613321368 /nfs/dbraw/zinc/32/13/68/613321368.db2.gz VFCFPCAWGAPYBP-FICVDOATSA-N 0 1 269.348 0.937 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncccc1F ZINC000962136042 613321839 /nfs/dbraw/zinc/32/18/39/613321839.db2.gz PLXJPARCKGRTEP-IAZYJMLFSA-N 0 1 259.284 0.514 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCC[C@H]([C@@H](C)NC(=O)[O-])C1 ZINC000823456429 613329250 /nfs/dbraw/zinc/32/92/50/613329250.db2.gz MLJHMANHUVENAE-MNOVXSKESA-N 0 1 267.329 0.104 20 30 CCEDMN C#CCNC(=O)CN[C@@]1(CO)CCc2c1cccc2OC ZINC000880379648 613331170 /nfs/dbraw/zinc/33/11/70/613331170.db2.gz HRAHAQVPBCKLOB-MRXNPFEDSA-N 0 1 288.347 0.168 20 30 CCEDMN C=C(C)CNC(=S)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833369285 613346716 /nfs/dbraw/zinc/34/67/16/613346716.db2.gz OYJCCIJQWNBUQB-LLVKDONJSA-N 0 1 285.413 0.918 20 30 CCEDMN C=C(C)CNC(=S)N[C@@H](C)CN1CCN(C)CC1 ZINC000730156359 613346841 /nfs/dbraw/zinc/34/68/41/613346841.db2.gz IQUFOBZXHMKXMA-LBPRGKRZSA-N 0 1 270.446 0.663 20 30 CCEDMN C=C(C)CONC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000845595999 613352961 /nfs/dbraw/zinc/35/29/61/613352961.db2.gz MRQRHRDQYYUIMU-CHWSQXEVSA-N 0 1 283.372 0.735 20 30 CCEDMN C=C(C)CONC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC000847392178 613353547 /nfs/dbraw/zinc/35/35/47/613353547.db2.gz NTRWVKPKMAJHOO-VIFPVBQESA-N 0 1 281.316 0.963 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)NCCN1CCCOCC1 ZINC000883710184 613354721 /nfs/dbraw/zinc/35/47/21/613354721.db2.gz DCMLGFWHAFVRRA-ZDUSSCGKSA-N 0 1 285.388 0.335 20 30 CCEDMN C=C(C)C[C@@H](CO)NCc1cn(C[C@H]2CCCO2)nn1 ZINC000883399940 613355502 /nfs/dbraw/zinc/35/55/02/613355502.db2.gz ZJYLTVAQXRJYNH-GXTWGEPZSA-N 0 1 280.372 0.874 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NC[C@@H]1CCN1CC ZINC000893873505 613356294 /nfs/dbraw/zinc/35/62/94/613356294.db2.gz QJGQYEJHAYNVLA-QWHCGFSZSA-N 0 1 267.373 0.668 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)CSc1nnc(C)[nH]1 ZINC000882895900 613357283 /nfs/dbraw/zinc/35/72/83/613357283.db2.gz XCASIBJFUSFMEF-SECBINFHSA-N 0 1 270.358 0.649 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)CSc1nc(C)n[nH]1 ZINC000882895900 613357284 /nfs/dbraw/zinc/35/72/84/613357284.db2.gz XCASIBJFUSFMEF-SECBINFHSA-N 0 1 270.358 0.649 20 30 CCEDMN C=C(Cl)CN1C[C@@H](NC(=O)c2ncn[nH]2)C(C)(C)C1 ZINC000977366076 613380126 /nfs/dbraw/zinc/38/01/26/613380126.db2.gz UHQIPHUXZJAJFA-SECBINFHSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN1C[C@@H](NC(=O)c2nc[nH]n2)C(C)(C)C1 ZINC000977366076 613380127 /nfs/dbraw/zinc/38/01/27/613380127.db2.gz UHQIPHUXZJAJFA-SECBINFHSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC000968895575 613384794 /nfs/dbraw/zinc/38/47/94/613384794.db2.gz MZPVALAWBIZGEN-NWDGAFQWSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C[C@H]2CCC(=O)N2)C1 ZINC000970246511 613384877 /nfs/dbraw/zinc/38/48/77/613384877.db2.gz JNZLQUPMKXKOCJ-GHMZBOCLSA-N 0 1 285.775 0.598 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(CC)[C@@H]1CCS(=O)(=O)C1 ZINC000876554225 613387214 /nfs/dbraw/zinc/38/72/14/613387214.db2.gz GQUBPHBRURBJRF-NXEZZACHSA-N 0 1 294.804 0.492 20 30 CCEDMN C=C1CC(C)(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)C1 ZINC000865595097 613393650 /nfs/dbraw/zinc/39/36/50/613393650.db2.gz AHYGWLMOZIAXQT-VIFPVBQESA-N 0 1 263.301 0.456 20 30 CCEDMN C=CCSCCNC(=O)NCCN1CCC(O)CC1 ZINC000892450344 613395322 /nfs/dbraw/zinc/39/53/22/613395322.db2.gz ANHWPZUWIYAXJR-UHFFFAOYSA-N 0 1 287.429 0.662 20 30 CCEDMN C=CC[C@H](C(=O)OC)N(C)C[C@@H]1CCN(C(C)=O)C1 ZINC000878301192 613409676 /nfs/dbraw/zinc/40/96/76/613409676.db2.gz LWCDQIMPEVIJQT-QWHCGFSZSA-N 0 1 268.357 0.904 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN(CCO)C(C)(C)C1 ZINC000878477828 613411211 /nfs/dbraw/zinc/41/12/11/613411211.db2.gz UECGBASFPIPWKZ-GFCCVEGCSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CC[C@H](C(=O)N(C)C)C1 ZINC000878709253 613412717 /nfs/dbraw/zinc/41/27/17/613412717.db2.gz IWKYWMZKRYIIOS-WDEREUQCSA-N 0 1 254.330 0.514 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)NCc2n[nH]c(=O)n2C)C1 ZINC000889567396 613421584 /nfs/dbraw/zinc/42/15/84/613421584.db2.gz AEYAYXSTBQLIHJ-VIFPVBQESA-N 0 1 265.317 0.628 20 30 CCEDMN C=CCn1cc(CNC(=O)NC[C@H]2CCN2CC)nn1 ZINC000883698605 613434882 /nfs/dbraw/zinc/43/48/82/613434882.db2.gz LMGDQMHTZXKMMT-GFCCVEGCSA-N 0 1 278.360 0.358 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000896538931 613444542 /nfs/dbraw/zinc/44/45/42/613444542.db2.gz HSIRWKHKEJOABK-NEPJUHHUSA-N 0 1 253.390 0.949 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC000847392099 613446425 /nfs/dbraw/zinc/44/64/25/613446425.db2.gz LNOTYPLPUWRYMY-RKDXNWHRSA-N 0 1 281.316 0.962 20 30 CCEDMN C=CCC1(C(=O)N2CCC(O)(c3nn[nH]n3)CC2)CCC1 ZINC000816492778 613456080 /nfs/dbraw/zinc/45/60/80/613456080.db2.gz CDQDLVDPHVQCSD-UHFFFAOYSA-N 0 1 291.355 0.756 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NCc1n[nH]c(C)n1 ZINC000895194648 613460803 /nfs/dbraw/zinc/46/08/03/613460803.db2.gz GYPSTBRLBSSTQJ-ZJUUUORDSA-N 0 1 265.317 0.646 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(CCCCCO)CC1 ZINC000913694584 613463148 /nfs/dbraw/zinc/46/31/48/613463148.db2.gz WLZVLJUFFKFUAI-CABCVRRESA-N 0 1 296.411 0.884 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NS(=O)(=O)N=S1(=O)CCCC1 ZINC000867352697 613472117 /nfs/dbraw/zinc/47/21/17/613472117.db2.gz QTEVQCHOOZPIDK-ZJUUUORDSA-N 0 1 294.398 0.426 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000845622697 613485286 /nfs/dbraw/zinc/48/52/86/613485286.db2.gz MSKKVBYEMQXLJR-NEPJUHHUSA-N 0 1 285.388 0.979 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N1C[C@@H](C)OC(C)(C)C1 ZINC000931068009 613492589 /nfs/dbraw/zinc/49/25/89/613492589.db2.gz UOLHCOYOENTKBQ-GHMZBOCLSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CCCN1CCN(C(=O)C2CCN(C(N)=O)CC2)CC1 ZINC000957599458 613502230 /nfs/dbraw/zinc/50/22/30/613502230.db2.gz WDOVDMCBFIFQGP-UHFFFAOYSA-N 0 1 294.399 0.497 20 30 CCEDMN C=CCCN1CCN(C(=O)CCN2C(=O)CCC2=O)CC1 ZINC000949166405 613502879 /nfs/dbraw/zinc/50/28/79/613502879.db2.gz BUUFVWORYVZGQP-UHFFFAOYSA-N 0 1 293.367 0.246 20 30 CCEDMN C=CCCN1CCN(C(=O)CN2CCCCC2=O)CC1 ZINC000949073016 613503071 /nfs/dbraw/zinc/50/30/71/613503071.db2.gz SNCNGTYYMDGMNP-UHFFFAOYSA-N 0 1 279.384 0.719 20 30 CCEDMN C=CCCN1CCN(C(=O)COc2cnn(C)c2)CC1 ZINC000949895324 613504015 /nfs/dbraw/zinc/50/40/15/613504015.db2.gz XMHFYOLZONKJHS-UHFFFAOYSA-N 0 1 278.356 0.519 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](OC)[C@@H]2CCOC2)CC1 ZINC000949483603 613505303 /nfs/dbraw/zinc/50/53/03/613505303.db2.gz GXXABYCWZVVTEF-KGLIPLIRSA-N 0 1 282.384 0.758 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)c3cc[nH]n3)C2)C1 ZINC000972277915 613514431 /nfs/dbraw/zinc/51/44/31/613514431.db2.gz XLJDKZVFGDBOSU-OAHLLOKOSA-N 0 1 290.367 0.903 20 30 CCEDMN C=CCCN1CCO[C@]2(CCN(C(=O)c3cnon3)C2)C1 ZINC000972610021 613514593 /nfs/dbraw/zinc/51/45/93/613514593.db2.gz ZKRUSPDFJYDJDR-CQSZACIVSA-N 0 1 292.339 0.563 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2CCCN2C(C)=O)C1 ZINC000970769002 613530464 /nfs/dbraw/zinc/53/04/64/613530464.db2.gz MQTSFUHGBOECQK-DOMZBBRYSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CN(CC)CCO2)C1 ZINC000970905476 613531344 /nfs/dbraw/zinc/53/13/44/613531344.db2.gz VWBCDDWUGXYUPI-UKRRQHHQSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC000969802440 613533385 /nfs/dbraw/zinc/53/33/85/613533385.db2.gz AMRGAWNMKYLRME-SNVBAGLBSA-N 0 1 298.350 0.426 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC000970190006 613536321 /nfs/dbraw/zinc/53/63/21/613536321.db2.gz JDVFVGZSNZAZRG-JWTRZGPZSA-N 0 1 288.391 0.870 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000969463768 613537462 /nfs/dbraw/zinc/53/74/62/613537462.db2.gz UTQKGPGMPMEQIG-NSHDSACASA-N 0 1 270.336 0.960 20 30 CCEDMN C=CCCOCCNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897228231 613574650 /nfs/dbraw/zinc/57/46/50/613574650.db2.gz NNCRNVUILSQOSW-ZDUSSCGKSA-N 0 1 268.357 0.416 20 30 CCEDMN C=CCC[C@@H]1NC(=O)N(C[C@@H]2CN3CCC[C@H]3CO2)C1=O ZINC000842240421 613587689 /nfs/dbraw/zinc/58/76/89/613587689.db2.gz QNYYHUSYVLCFQN-AVGNSLFASA-N 0 1 293.367 0.736 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2nonc2C)C[C@@H]1C ZINC000948094951 613590018 /nfs/dbraw/zinc/59/00/18/613590018.db2.gz RGIBCPNHCFRYBC-CMPLNLGQSA-N 0 1 276.340 0.984 20 30 CCEDMN C=CCC[C@H](O)C[N@H+](CC(N)=O)C1CCOCC1 ZINC000880392606 613590400 /nfs/dbraw/zinc/59/04/00/613590400.db2.gz HAOVHWHICSXBPU-LBPRGKRZSA-N 0 1 256.346 0.280 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](C)[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965917642 613592148 /nfs/dbraw/zinc/59/21/48/613592148.db2.gz YGOMLQUUWVTHBN-JQWIXIFHSA-N 0 1 290.367 0.887 20 30 CCEDMN C=CCCn1cc(CN[C@H]2CCc3nnn(C)c3C2)nn1 ZINC000922991801 613599170 /nfs/dbraw/zinc/59/91/70/613599170.db2.gz LVAUDBYWSWEDTF-NSHDSACASA-N 0 1 287.371 0.630 20 30 CCEDMN C=CCCn1cc(CNCCCc2cnn(C)n2)nn1 ZINC000850311330 613599251 /nfs/dbraw/zinc/59/92/51/613599251.db2.gz OAXWMEDGCKTSCV-UHFFFAOYSA-N 0 1 275.360 0.705 20 30 CCEDMN C=CCN(C(=O)[C@H](O)c1c[nH]cn1)[C@H](C)COC ZINC000869237372 613606994 /nfs/dbraw/zinc/60/69/94/613606994.db2.gz GBUWPFFKWBAXND-MWLCHTKSSA-N 0 1 253.302 0.493 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cn2ccnc2)C(C)(C)C1 ZINC000977642485 613609342 /nfs/dbraw/zinc/60/93/42/613609342.db2.gz GMTZQBPADAKTAV-CYBMUJFWSA-N 0 1 274.368 0.733 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974767456 613610076 /nfs/dbraw/zinc/61/00/76/613610076.db2.gz OQNXDCVWZXDZOG-QWHCGFSZSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc(C)co2)[C@H](O)C1 ZINC000958334955 613614614 /nfs/dbraw/zinc/61/46/14/613614614.db2.gz RNHIPTCYUCDJOJ-CHWSQXEVSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C(C)(C)C1 ZINC000974957897 613622438 /nfs/dbraw/zinc/62/24/38/613622438.db2.gz JUXGYYRNGRPJPS-RFQIPJPRSA-N 0 1 276.380 0.729 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@H]2CCCNC2=O)C(C)(C)C1 ZINC000974688248 613622453 /nfs/dbraw/zinc/62/24/53/613622453.db2.gz UJTNWUKXRVPVFJ-STQMWFEESA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2c(C)ccn2C)C1 ZINC000958008894 613624762 /nfs/dbraw/zinc/62/47/62/613624762.db2.gz CLLSMVLHHJZFOW-KGLIPLIRSA-N 0 1 289.379 0.379 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cccnc2)C1 ZINC000957811437 613626089 /nfs/dbraw/zinc/62/60/89/613626089.db2.gz FYAFNIWFBFLUHZ-KGLIPLIRSA-N 0 1 273.336 0.127 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC[C@@H](C)O1 ZINC000961830774 613637385 /nfs/dbraw/zinc/63/73/85/613637385.db2.gz JMYPGFQNDFQTGE-RGDJUOJXSA-N 0 1 262.353 0.624 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCc2n[nH]cc21 ZINC000959914055 613637865 /nfs/dbraw/zinc/63/78/65/613637865.db2.gz NJLKYPMBCMCAIL-YYWXWVFPSA-N 0 1 298.390 0.899 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]c(C#N)c1 ZINC000958621916 613638721 /nfs/dbraw/zinc/63/87/21/613638721.db2.gz NYAUSRYHIMMPQQ-WDNDVIMCSA-N 0 1 268.320 0.570 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc2ccnn21 ZINC000960239305 613641440 /nfs/dbraw/zinc/64/14/40/613641440.db2.gz CGGNADMPWQEANB-JYAVWHMHSA-N 0 1 295.346 0.413 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1n[nH]c2c1CCCC2 ZINC000960720082 613642378 /nfs/dbraw/zinc/64/23/78/613642378.db2.gz GTXWXZBAIHAQJO-NHAGDIPZSA-N 0 1 298.390 0.972 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NC[C@H]1CCC[N@@H+]1CCOC ZINC000908927812 613642621 /nfs/dbraw/zinc/64/26/21/613642621.db2.gz VJFYGAYXSJLKNU-GFCCVEGCSA-N 0 1 299.371 0.379 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nn(CC)nc1C ZINC000963101058 613643339 /nfs/dbraw/zinc/64/33/39/613643339.db2.gz SBPKATQZJIONMZ-IMRBUKKESA-N 0 1 287.367 0.290 20 30 CCEDMN CC(=NN[C@H]1CCS(=O)(=O)C1)C1CCN(C)CC1 ZINC000814680420 613657253 /nfs/dbraw/zinc/65/72/53/613657253.db2.gz QCLBYWNDEGFPIZ-LBPRGKRZSA-N 0 1 273.402 0.481 20 30 CCEDMN C=CCN1CC(N2CCN(C(=O)c3cc(C)[nH]n3)CC2)C1 ZINC000941333061 613661493 /nfs/dbraw/zinc/66/14/93/613661493.db2.gz RUMPEWJSFPWJJF-UHFFFAOYSA-N 0 1 289.383 0.346 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC000970705026 613663619 /nfs/dbraw/zinc/66/36/19/613663619.db2.gz KYGLNRWFFCUZSO-OLZOCXBDSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnnn2CC)C1 ZINC000969604880 613667784 /nfs/dbraw/zinc/66/77/84/613667784.db2.gz HYBKCHRLXTUANB-SNVBAGLBSA-N 0 1 263.345 0.534 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2nc3ncccn3n2)C1 ZINC000970616147 613673884 /nfs/dbraw/zinc/67/38/84/613673884.db2.gz KPRVFSXMMHZMQB-JTQLQIEISA-N 0 1 286.339 0.360 20 30 CCEDMN C=CCN1CCCN(C(=O)CCN2C(=O)CCC2=O)CC1 ZINC000981575191 613688333 /nfs/dbraw/zinc/68/83/33/613688333.db2.gz IDWHUUKATVKZQI-UHFFFAOYSA-N 0 1 293.367 0.246 20 30 CCEDMN C=CCN1CCCN(C(=O)C[C@@H]2CCC(=O)N2)CC1 ZINC000982190862 613689279 /nfs/dbraw/zinc/68/92/79/613689279.db2.gz UHLOZMHCFVRQIW-LBPRGKRZSA-N 0 1 265.357 0.375 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2ccnn2C)CC1 ZINC000981061007 613690324 /nfs/dbraw/zinc/69/03/24/613690324.db2.gz MPOGXVFYHFLVII-UHFFFAOYSA-N 0 1 262.357 0.683 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](C)[C@H]2CCC(=O)N2)CC1 ZINC000982003665 613690644 /nfs/dbraw/zinc/69/06/44/613690644.db2.gz ABOFNFKSQCVJGN-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CCC[C@H](C(N)=O)C2)CC1 ZINC000982062302 613694787 /nfs/dbraw/zinc/69/47/87/613694787.db2.gz JNUVDGQFZFMGTL-KBPBESRZSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)C2=COCCO2)C1 ZINC000964714073 613727072 /nfs/dbraw/zinc/72/70/72/613727072.db2.gz MAEIXUVYTDLYFH-NEPJUHHUSA-N 0 1 266.341 0.887 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC000968084921 613729193 /nfs/dbraw/zinc/72/91/93/613729193.db2.gz ZMEIMEHQPSSVBF-PWSUYJOCSA-N 0 1 276.340 0.396 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)c2cn(C)c(=O)n2C)C1 ZINC000968685711 613736255 /nfs/dbraw/zinc/73/62/55/613736255.db2.gz YAIYPURMBRKPLX-VXGBXAGGSA-N 0 1 292.383 0.350 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(C3OCCO3)CC2)C1=O ZINC000799121489 613739052 /nfs/dbraw/zinc/73/90/52/613739052.db2.gz YNTWBLHGDCNVKC-CYBMUJFWSA-N 0 1 280.368 0.858 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2nonc2C)C1 ZINC000968493866 613768147 /nfs/dbraw/zinc/76/81/47/613768147.db2.gz YQYKZHFIDFFJSP-GWCFXTLKSA-N 0 1 278.356 0.933 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC000967745669 613772793 /nfs/dbraw/zinc/77/27/93/613772793.db2.gz FXOZLWBFILXCQP-ODXJTPSBSA-N 0 1 264.369 0.891 20 30 CCEDMN C=CCN1CC[C@H](N[C@]2(CC(=O)OC)CCCOC2)C1=O ZINC000799164372 613802244 /nfs/dbraw/zinc/80/22/44/613802244.db2.gz JWMCAAAHQKOUTC-WFASDCNBSA-N 0 1 296.367 0.475 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)CN2CCOCC2)C(C)(C)C1 ZINC000973053684 613806089 /nfs/dbraw/zinc/80/60/89/613806089.db2.gz CWPMXPCHXQZLDT-CYBMUJFWSA-N 0 1 281.400 0.331 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cn2cncn2)C(C)(C)C1 ZINC000977555600 613807102 /nfs/dbraw/zinc/80/71/02/613807102.db2.gz GHXZHAIFRPAWSL-LLVKDONJSA-N 0 1 263.345 0.291 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CC(=O)N(CC)C2)C(C)(C)C1 ZINC000974659697 613808305 /nfs/dbraw/zinc/80/83/05/613808305.db2.gz SKRLMJVLEWFCHJ-QWHCGFSZSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@H](NC(=O)C2CN(C(C)=O)C2)C(C)(C)C1 ZINC000974494873 613826297 /nfs/dbraw/zinc/82/62/97/613826297.db2.gz BIJXDZCIDXBGEQ-ZDUSSCGKSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cn2ccccc2=O)C(C)(C)C1 ZINC000977567184 613827063 /nfs/dbraw/zinc/82/70/63/613827063.db2.gz OOCFZFVKRUODAC-ZDUSSCGKSA-N 0 1 289.379 0.861 20 30 CCEDMN C=CCNC(=O)CNCc1cccc(-c2nn[nH]n2)c1 ZINC000823919446 613847127 /nfs/dbraw/zinc/84/71/27/613847127.db2.gz FVSDCUUWIGZRHC-UHFFFAOYSA-N 0 1 272.312 0.259 20 30 CCEDMN C=CCNC(=O)N1CC[N@H+](CCC(=O)[O-])C[C@H]1C ZINC000833376401 613849397 /nfs/dbraw/zinc/84/93/97/613849397.db2.gz DECBXSCNNGNXJY-SNVBAGLBSA-N 0 1 255.318 0.363 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CC)CCc1ccccn1 ZINC000920256472 613849520 /nfs/dbraw/zinc/84/95/20/613849520.db2.gz JCFROSXBTUAGEC-UHFFFAOYSA-N 0 1 290.367 0.958 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N(C)[C@@H]1CC[C@H]1OC ZINC000934126229 613851809 /nfs/dbraw/zinc/85/18/09/613851809.db2.gz UQIDKNVITCSXAE-HBNTYKKESA-N 0 1 269.345 0.496 20 30 CCEDMN C=CCNC(=S)NCCCCN1CCOCC1 ZINC000916012521 613857038 /nfs/dbraw/zinc/85/70/38/613857038.db2.gz LVOKYIHAQCXXOF-UHFFFAOYSA-N 0 1 257.403 0.749 20 30 CCEDMN C=CCOCCNS(=O)(=O)N=S1(=O)CCCC1 ZINC000867071623 613881040 /nfs/dbraw/zinc/88/10/40/613881040.db2.gz HTTFLKBVLLLNMA-UHFFFAOYSA-N 0 1 282.387 0.285 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H]1CCCN1C(C)C)C(=O)OC ZINC000935777503 613881737 /nfs/dbraw/zinc/88/17/37/613881737.db2.gz VTOCTQQUPYCYOI-CHWSQXEVSA-N 0 1 298.383 0.720 20 30 CCEDMN C=CCONC(=O)N1CCN(C2CCOCC2)CC1 ZINC000838712835 613883509 /nfs/dbraw/zinc/88/35/09/613883509.db2.gz ZIQVOGOLAQRRHR-UHFFFAOYSA-N 0 1 269.345 0.610 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@H]1CCCn2nccc21 ZINC000850459598 613885947 /nfs/dbraw/zinc/88/59/47/613885947.db2.gz AJNJZPRJZYEQGL-NSHDSACASA-N 0 1 269.370 0.908 20 30 CCEDMN CC(C)(C)[N@H+]1CC[C@H]1C(=O)N1CC[C@@](O)(CC#N)C1 ZINC000898086586 613939305 /nfs/dbraw/zinc/93/93/05/613939305.db2.gz OXLJTHZAHKDMRS-FZMZJTMJSA-N 0 1 265.357 0.736 20 30 CCEDMN CC(C)(C)N1CC[C@H]1C(=O)N1CC[C@@](O)(CC#N)C1 ZINC000898086586 613939306 /nfs/dbraw/zinc/93/93/06/613939306.db2.gz OXLJTHZAHKDMRS-FZMZJTMJSA-N 0 1 265.357 0.736 20 30 CCEDMN CC(C)NCc1cn([C@H](C)C(=O)N(C)CCC#N)nn1 ZINC000905787892 613960834 /nfs/dbraw/zinc/96/08/34/613960834.db2.gz KWBZMTNMAUUJRM-LLVKDONJSA-N 0 1 278.360 0.709 20 30 CCEDMN CC(C)Nc1ncccc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834490325 613983765 /nfs/dbraw/zinc/98/37/65/613983765.db2.gz UEKXXYBWWYIIEC-JQWIXIFHSA-N 0 1 273.340 0.743 20 30 CCEDMN CC(C)CN1C[C@H](C(=O)NCC#CCN(C)C)CC1=O ZINC000913516298 614122865 /nfs/dbraw/zinc/12/28/65/614122865.db2.gz KECZUSXKHVRTMD-CYBMUJFWSA-N 0 1 279.384 0.172 20 30 CCEDMN CC(C)Cn1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000884250220 614241898 /nfs/dbraw/zinc/24/18/98/614241898.db2.gz ZSWXIWFEUYONDV-JQWIXIFHSA-N 0 1 261.329 0.380 20 30 CCEDMN CC(=O)c1c(C)[nH]c(C(=O)N[C@H]2CNC[C@H]2C#N)c1C ZINC000834505080 614245050 /nfs/dbraw/zinc/24/50/50/614245050.db2.gz HSRSWCSCIOSQLM-MNOVXSKESA-N 0 1 274.324 0.676 20 30 CCEDMN CC(=O)c1ccc(C(=O)N[C@H]2CNC[C@@H]2C#N)s1 ZINC000834512393 614252399 /nfs/dbraw/zinc/25/23/99/614252399.db2.gz VWEXPXXLUFOMRH-IUCAKERBSA-N 0 1 263.322 0.792 20 30 CCEDMN CC(C)(C#N)CCn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000819892177 614285843 /nfs/dbraw/zinc/28/58/43/614285843.db2.gz XUBRLTTWARXALW-UHFFFAOYSA-N 0 1 271.288 0.457 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)N[C@H]1C(=O)NCC1(C)C ZINC000931662800 614286805 /nfs/dbraw/zinc/28/68/05/614286805.db2.gz CVUKYOQHHIDQJG-PGUXBMHVSA-N 0 1 294.399 0.544 20 30 CCEDMN CC(C)c1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc(=O)[nH]1 ZINC000834485608 614304818 /nfs/dbraw/zinc/30/48/18/614304818.db2.gz ZEWPRMMYCIIANT-JQWIXIFHSA-N 0 1 274.324 0.752 20 30 CCEDMN CC(C)[N@H+]1CCC[C@@H]1C(=O)N1CCO[C@H](C#N)C1 ZINC000932149610 614340278 /nfs/dbraw/zinc/34/02/78/614340278.db2.gz QMKQLZTYZIWTLQ-VXGBXAGGSA-N 0 1 251.330 0.610 20 30 CCEDMN CC(C)C(=O)N1CCC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913517637 614360595 /nfs/dbraw/zinc/36/05/95/614360595.db2.gz JVJQKCGXOFTZAO-CYBMUJFWSA-N 0 1 279.384 0.315 20 30 CCEDMN CC(C)C(=O)N1CCC[C@H]1C(=O)NCC#CCN(C)C ZINC000913517638 614366490 /nfs/dbraw/zinc/36/64/90/614366490.db2.gz JVJQKCGXOFTZAO-ZDUSSCGKSA-N 0 1 279.384 0.315 20 30 CCEDMN CCCCOC(=O)Cn1cnc(-c2nn[nH]n2)c1C#N ZINC000825291542 614441757 /nfs/dbraw/zinc/44/17/57/614441757.db2.gz SRFJBARIRNPABC-UHFFFAOYSA-N 0 1 275.272 0.278 20 30 CCEDMN CCCN1CCC[C@H]1C(=O)N[C@@H]1CCCN(O)C1=O ZINC000848139229 614679147 /nfs/dbraw/zinc/67/91/47/614679147.db2.gz SOWARHWHXAIRDB-MNOVXSKESA-N 0 1 269.345 0.357 20 30 CCEDMN CC1(C)CNC(=O)[C@H]1NCC(=O)NC1(C#N)CCCCC1 ZINC000931664596 614696576 /nfs/dbraw/zinc/69/65/76/614696576.db2.gz CRUWAHAKPIMSOL-GFCCVEGCSA-N 0 1 292.383 0.443 20 30 CCEDMN CCCCCCCNC(=O)C(=O)N1CCNC[C@H]1C ZINC000861786048 614901483 /nfs/dbraw/zinc/90/14/83/614901483.db2.gz PWWAACRZHOOVCC-GFCCVEGCSA-N 0 1 269.389 0.893 20 30 CCEDMN CC1(NCc2cnon2)CCN(C(=O)C#CC2CC2)CC1 ZINC000940099045 614926561 /nfs/dbraw/zinc/92/65/61/614926561.db2.gz JVHWIAYFNFVWNT-UHFFFAOYSA-N 0 1 288.351 0.954 20 30 CCEDMN CCN(CC(=O)N1CCO[C@](C)(C#N)C1)C1CC1 ZINC000887680558 614929167 /nfs/dbraw/zinc/92/91/67/614929167.db2.gz IUNYCYRLITZKPS-CYBMUJFWSA-N 0 1 251.330 0.612 20 30 CCEDMN CCCCCc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)[nH]n1 ZINC000852828017 614946413 /nfs/dbraw/zinc/94/64/13/614946413.db2.gz SQPRXDVNUBKFGC-ZWNOBZJWSA-N 0 1 275.356 0.984 20 30 CCEDMN CC1=NO[C@H](C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000826692806 614996286 /nfs/dbraw/zinc/99/62/86/614996286.db2.gz JPEOIZYSEZZCQK-JTQLQIEISA-N 0 1 250.302 0.255 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CC[C@H]3[C@H]2CCCN3CCO)C1 ZINC000889765340 615010826 /nfs/dbraw/zinc/01/08/26/615010826.db2.gz XRUIIPHDLZGPTR-IOSBVQAASA-N 0 1 291.395 0.984 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@@H](C)c1ccnn1C ZINC000879684125 615022178 /nfs/dbraw/zinc/02/21/78/615022178.db2.gz FXEHVWRFTYEJDH-NSHDSACASA-N 0 1 263.345 0.833 20 30 CCEDMN CCC[C@@]1(CO)CCN(CC(=O)NCCC#N)C1 ZINC000808796516 615032407 /nfs/dbraw/zinc/03/24/07/615032407.db2.gz OAVPOPIIPRHHMV-CYBMUJFWSA-N 0 1 253.346 0.501 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000827020508 615061584 /nfs/dbraw/zinc/06/15/84/615061584.db2.gz VYCSTEIZSLHJPD-RYUDHWBXSA-N 0 1 296.371 0.679 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+](C)[C@H]1CCN(C(=O)[O-])C1 ZINC000827020508 615061585 /nfs/dbraw/zinc/06/15/85/615061585.db2.gz VYCSTEIZSLHJPD-RYUDHWBXSA-N 0 1 296.371 0.679 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN[C@H]1C(=O)NCC1(C)C ZINC000931661148 615061828 /nfs/dbraw/zinc/06/18/28/615061828.db2.gz WAMPJRUJLMJHLZ-JQWIXIFHSA-N 0 1 280.372 0.109 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN[C@@H]1C(=O)NCC1(C)C ZINC000931661158 615064719 /nfs/dbraw/zinc/06/47/19/615064719.db2.gz WAMPJRUJLMJHLZ-ZYHUDNBSSA-N 0 1 280.372 0.109 20 30 CCEDMN CC[N@H+]1CCC[C@@H]1CNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC000820348012 615132884 /nfs/dbraw/zinc/13/28/84/615132884.db2.gz GDGXAIAFUBUWDN-MFKMUULPSA-N 0 1 267.329 0.591 20 30 CCEDMN CCN1CCN(C(=O)[C@@H]2NCCc3cc(C#N)ccc32)CC1 ZINC000876707030 615152028 /nfs/dbraw/zinc/15/20/28/615152028.db2.gz OVCHEFUBMBJQGH-MRXNPFEDSA-N 0 1 298.390 0.909 20 30 CCEDMN CCOC(=O)C1CCC(O)(CNCCC#N)CC1 ZINC000819266281 615166685 /nfs/dbraw/zinc/16/66/85/615166685.db2.gz BTMDIROTWBGNNT-UHFFFAOYSA-N 0 1 254.330 0.974 20 30 CCEDMN CCN1CCO[C@@]2(CCN(C(=O)c3ccc(C#N)[nH]3)C2)C1 ZINC000972348943 615179215 /nfs/dbraw/zinc/17/92/15/615179215.db2.gz SWSZOFJPCLUOOM-HNNXBMFYSA-N 0 1 288.351 0.823 20 30 CCEDMN CCON(C)C(=O)CNCc1cnc2ccc(C#N)cn12 ZINC000846062811 615337359 /nfs/dbraw/zinc/33/73/59/615337359.db2.gz NKTMAIVHCAQSMF-UHFFFAOYSA-N 0 1 287.323 0.705 20 30 CCEDMN CCO[C@H](CC(=O)N[C@H]1CNC[C@@H]1C#N)C(C)C ZINC000834479550 615478193 /nfs/dbraw/zinc/47/81/93/615478193.db2.gz FUTCPPLDVJBEBN-SDDRHHMPSA-N 0 1 253.346 0.665 20 30 CCEDMN CCc1cc(C(=O)N(CC#N)CCC(=O)OC)n[nH]1 ZINC000871340214 615611832 /nfs/dbraw/zinc/61/18/32/615611832.db2.gz WXQNFVUDGMCUQT-UHFFFAOYSA-N 0 1 264.285 0.501 20 30 CCEDMN CCc1cc(C(=O)N2CCCN(CC#N)CC2)n[nH]1 ZINC000980723627 615614285 /nfs/dbraw/zinc/61/42/85/615614285.db2.gz MZXCVDLCEZKYHH-UHFFFAOYSA-N 0 1 261.329 0.644 20 30 CCEDMN CCn1ncc(Cl)c1C(=O)NCC#CCN(C)C ZINC000913524674 615713124 /nfs/dbraw/zinc/71/31/24/615713124.db2.gz XUUNEFCKTZLMLQ-UHFFFAOYSA-N 0 1 268.748 0.851 20 30 CCEDMN CCc1noc(CCCC(=O)C(C#N)C(=O)NC2CC2)n1 ZINC000916927568 615837212 /nfs/dbraw/zinc/83/72/12/615837212.db2.gz LZIDRQPFPVIBDF-JTQLQIEISA-N 0 1 290.323 0.942 20 30 CCEDMN CN(C)C1(C(=O)N2CCN(C3CC3)[C@@H](C#N)C2)CC1 ZINC000827383198 615840412 /nfs/dbraw/zinc/84/04/12/615840412.db2.gz BUKLWGNRAAQRCT-LBPRGKRZSA-N 0 1 262.357 0.279 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C\c1cncc(F)c1 ZINC000913518641 615857501 /nfs/dbraw/zinc/85/75/01/615857501.db2.gz VXPPVVCVHWFKGF-WAYWQWQTSA-N 0 1 261.300 0.915 20 30 CCEDMN CN(C)CC#CCNC(=O)CCNC(=O)c1ccco1 ZINC000913515382 615857580 /nfs/dbraw/zinc/85/75/80/615857580.db2.gz VGXKXQWEQCDKLL-UHFFFAOYSA-N 0 1 277.324 0.081 20 30 CCEDMN CN(C)CC#CCNC(=O)C1C[C@H]2CSC[C@@H](C1)C2=O ZINC000913525341 615857716 /nfs/dbraw/zinc/85/77/16/615857716.db2.gz SIEVPPCRDAHBDO-YHWZYXNKSA-N 0 1 294.420 0.626 20 30 CCEDMN CN(C)CC#CCNC(=O)C1CN(CC(F)(F)F)C1 ZINC000913520967 615857905 /nfs/dbraw/zinc/85/79/05/615857905.db2.gz GOAMLCQASWFALG-UHFFFAOYSA-N 0 1 277.290 0.162 20 30 CCEDMN CN(C)CC#CCNC(=O)COc1ccc(C=O)cc1 ZINC000913518711 615858349 /nfs/dbraw/zinc/85/83/49/615858349.db2.gz YENCCHUIGKKGFV-UHFFFAOYSA-N 0 1 274.320 0.559 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccc(=O)n(C)c1 ZINC000905151360 615858973 /nfs/dbraw/zinc/85/89/73/615858973.db2.gz NGYQHSCLVJYPLT-UHFFFAOYSA-N 0 1 262.313 0.072 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1cnn2c1CCCC2 ZINC000913525348 615858977 /nfs/dbraw/zinc/85/89/77/615858977.db2.gz SMWJKXMPSPFBQT-UHFFFAOYSA-N 0 1 274.368 0.443 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCCN1C(=O)C(C)(C)C ZINC000913516784 615859490 /nfs/dbraw/zinc/85/94/90/615859490.db2.gz FTLZPQCJABCFIQ-ZDUSSCGKSA-N 0 1 293.411 0.705 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(N2CCCC2)nc1 ZINC000913518200 615860757 /nfs/dbraw/zinc/86/07/57/615860757.db2.gz ICLBBAJQFLDNDY-UHFFFAOYSA-N 0 1 286.379 0.977 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc2n(n1)CCCC2 ZINC000913523433 615860810 /nfs/dbraw/zinc/86/08/10/615860810.db2.gz ZRJZHSGULIMCGM-UHFFFAOYSA-N 0 1 260.341 0.514 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnc(-c2ccccn2)n1 ZINC000827971788 615861565 /nfs/dbraw/zinc/86/15/65/615861565.db2.gz HIGCZLIUJKVSFL-UHFFFAOYSA-N 0 1 295.346 0.833 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn(C)nc1Cl ZINC000913522867 615862033 /nfs/dbraw/zinc/86/20/33/615862033.db2.gz CHYBXMUUWJAOKE-UHFFFAOYSA-N 0 1 254.721 0.368 20 30 CCEDMN CC[C@H](OC1CCCC1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834490237 615863991 /nfs/dbraw/zinc/86/39/91/615863991.db2.gz SPLDIBHHZYBBDD-UHTWSYAYSA-N 0 1 265.357 0.952 20 30 CCEDMN CCc1onc(C)c1CNC[C@H](O)CN(C)CCC#N ZINC000905633577 615892611 /nfs/dbraw/zinc/89/26/11/615892611.db2.gz ZBYKBICOQGTJDL-LBPRGKRZSA-N 0 1 280.372 0.841 20 30 CCEDMN CC[C@H]1OCCC[C@@H]1C(=O)NCC#CCN(C)C ZINC000913521465 615936820 /nfs/dbraw/zinc/93/68/20/615936820.db2.gz AJYRLMSQYRIGCP-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN1C[C@H](O)C[C@H](O)C1 ZINC000876797159 616011898 /nfs/dbraw/zinc/01/18/98/616011898.db2.gz NQRKABNZGGMEEU-OKILXGFUSA-N 0 1 275.352 0.552 20 30 CCEDMN CN(C)c1cccc(CCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834505547 616020485 /nfs/dbraw/zinc/02/04/85/616020485.db2.gz LYOVHDNQZRBNGC-DZGCQCFKSA-N 0 1 286.379 0.913 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CC[C@@H](N(C)CC#N)C1 ZINC000972742163 616030343 /nfs/dbraw/zinc/03/03/43/616030343.db2.gz HKAFXJXYPNSTLJ-GFCCVEGCSA-N 0 1 287.367 0.817 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)[C@H]2CCCCN2C)C1 ZINC000971795895 616040446 /nfs/dbraw/zinc/04/04/46/616040446.db2.gz ZDOPWZZPSHFCRH-CHWSQXEVSA-N 0 1 264.373 0.527 20 30 CCEDMN CN(CC#N)[C@H]1CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC000971539324 616041255 /nfs/dbraw/zinc/04/12/55/616041255.db2.gz LMJMQOSFFNQOPL-NWDGAFQWSA-N 0 1 287.367 0.886 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1cc(C#N)ccc1F ZINC000905517338 616067748 /nfs/dbraw/zinc/06/77/48/616067748.db2.gz BJHCMKJRHMDPSW-AWEZNQCLSA-N 0 1 290.342 0.993 20 30 CCEDMN C[N@@H+](CCNc1ccc(Cl)c(C#N)n1)CCOCCO ZINC000882952522 616097524 /nfs/dbraw/zinc/09/75/24/616097524.db2.gz XHHNAODLVQIAPJ-UHFFFAOYSA-N 0 1 298.774 0.959 20 30 CCEDMN CN(CCn1cc(Br)cn1)C[C@H](O)CC#N ZINC000930506792 616127292 /nfs/dbraw/zinc/12/72/92/616127292.db2.gz VXDOXMXTXAHUMU-SNVBAGLBSA-N 0 1 287.161 0.852 20 30 CCEDMN CN(CCn1cncn1)C[C@@H](O)c1cccc(C#N)c1 ZINC000844369913 616128771 /nfs/dbraw/zinc/12/87/71/616128771.db2.gz OCGYNINLNJBFQP-CQSZACIVSA-N 0 1 271.324 0.815 20 30 CCEDMN CN(C[C@@H](O)c1cccc(C#N)c1)C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000846332079 616133007 /nfs/dbraw/zinc/13/30/07/616133007.db2.gz WCGRRPZZEBZWPM-JHJVBQTASA-N 0 1 289.291 0.715 20 30 CCEDMN CN(Cc1cc(C#N)cs1)C(=O)Cc1ncn[nH]1 ZINC000887966210 616164232 /nfs/dbraw/zinc/16/42/32/616164232.db2.gz WOUKYWNPSYENNW-UHFFFAOYSA-N 0 1 261.310 0.939 20 30 CCEDMN CN(Cc1cc(C#N)cs1)C[C@@H](O)C[C@@]1(O)CCOC1 ZINC000933771196 616164842 /nfs/dbraw/zinc/16/48/42/616164842.db2.gz WLSVTQQSSHHFBW-JSGCOSHPSA-N 0 1 296.392 0.954 20 30 CCEDMN C[NH+]1CCN(N=Cc2ccc([O-])c([N+](=O)[O-])c2)CC1 ZINC000916248137 616246173 /nfs/dbraw/zinc/24/61/73/616246173.db2.gz NSOPCBGYMHLZPL-UHFFFAOYSA-N 0 1 264.285 0.882 20 30 CCEDMN CN1C[C@@H](O)C[C@@]1(C)C(=O)NCc1ccc(C#N)cc1 ZINC000900128828 616320016 /nfs/dbraw/zinc/32/00/16/616320016.db2.gz ZMHWWWZGUZSTDZ-ZFWWWQNUSA-N 0 1 273.336 0.630 20 30 CCEDMN COCC[N@H+]1CCC[C@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])C1 ZINC000820730374 616351878 /nfs/dbraw/zinc/35/18/78/616351878.db2.gz RCMYPYRGGCIEOQ-FZMZJTMJSA-N 0 1 297.355 0.218 20 30 CCEDMN COCCN1CCN(Cc2cncc(C#N)c2)CC1 ZINC000929245462 616374038 /nfs/dbraw/zinc/37/40/38/616374038.db2.gz VLSXXNREUXVFDG-UHFFFAOYSA-N 0 1 260.341 0.717 20 30 CCEDMN COC(=O)C1=C(C)O[C@@H](CN2CC[C@@](O)(CC#N)C2)C1 ZINC000829992193 616405218 /nfs/dbraw/zinc/40/52/18/616405218.db2.gz TVVMYWIYGPYIJJ-RISCZKNCSA-N 0 1 280.324 0.573 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)CC1(COC)CC1 ZINC000842684193 616490987 /nfs/dbraw/zinc/49/09/87/616490987.db2.gz IZHRCWNLLYOXJV-JTQLQIEISA-N 0 1 268.313 0.275 20 30 CCEDMN COCCNC(=O)[C@@H](C#N)C(=O)C(C)=Cc1ccncc1 ZINC000847624981 616491225 /nfs/dbraw/zinc/49/12/25/616491225.db2.gz ZIJXFLRAYQWHHB-STRFDMGBSA-N 0 1 287.319 0.956 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1C[C@H]1C1CCC1 ZINC000845441503 616491884 /nfs/dbraw/zinc/49/18/84/616491884.db2.gz PWRVLDSIGGHZMH-TUAOUCFPSA-N 0 1 264.325 0.894 20 30 CCEDMN COCC#CCN1CC[C@@H](CN2CCOC2=O)C1 ZINC000830124708 616528474 /nfs/dbraw/zinc/52/84/74/616528474.db2.gz MFIAOLKQFOMGAI-GFCCVEGCSA-N 0 1 252.314 0.410 20 30 CCEDMN COC(=O)CC[C@@H]1CC[C@H](C(=O)NCC#CCN(C)C)O1 ZINC000913525490 616573284 /nfs/dbraw/zinc/57/32/84/616573284.db2.gz ZMQRGTHRWFEHEO-QWHCGFSZSA-N 0 1 296.367 0.168 20 30 CCEDMN CO[C@@H]1CCN(C(=O)[C@H]2NCCc3cc(C#N)ccc32)C1 ZINC000876670295 616649825 /nfs/dbraw/zinc/64/98/25/616649825.db2.gz JIQPAPALENVNSC-HIFRSBDPSA-N 0 1 285.347 0.992 20 30 CCEDMN CO[C@@H]1CCOC[C@H]1NCC(=O)N[C@](C)(C#N)C1CC1 ZINC000934041453 616673465 /nfs/dbraw/zinc/67/34/65/616673465.db2.gz AQHAMWRFVVJUGA-YRGRVCCFSA-N 0 1 281.356 0.188 20 30 CCEDMN COC(=O)[C@H]1CSCCN(C[C@H](O)CC#N)C1 ZINC000930738904 616695507 /nfs/dbraw/zinc/69/55/07/616695507.db2.gz YIWNWTRSKZWBKE-NXEZZACHSA-N 0 1 258.343 0.099 20 30 CCEDMN CNC(=O)NC(=O)CN(C)CCc1cccc(C#N)c1 ZINC000879434075 616696446 /nfs/dbraw/zinc/69/64/46/616696446.db2.gz DESVLELWTWHXIA-UHFFFAOYSA-N 0 1 274.324 0.488 20 30 CCEDMN CNC(=O)NC[C@H]1CCCCN1CCO[C@H](C)C#N ZINC000879337998 616758775 /nfs/dbraw/zinc/75/87/75/616758775.db2.gz KMEVHYZTWUJYTF-VXGBXAGGSA-N 0 1 268.361 0.699 20 30 CCEDMN CNC(=O)[C@@H](C#N)C(=O)C1=Cc2cc(OC)ccc2OC1 ZINC000120118384 616775894 /nfs/dbraw/zinc/77/58/94/616775894.db2.gz YVAACEGXGNBUKZ-LBPRGKRZSA-N 0 1 286.287 0.926 20 30 CCEDMN COCc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1F ZINC000876801608 616894572 /nfs/dbraw/zinc/89/45/72/616894572.db2.gz GGHMHEUDKGJGRW-WCQYABFASA-N 0 1 277.299 0.813 20 30 CCEDMN COC(=O)Nc1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834485495 616936069 /nfs/dbraw/zinc/93/60/69/616936069.db2.gz XRPAOLDUDOQLOV-PWSUYJOCSA-N 0 1 288.307 0.706 20 30 CCEDMN COCCN(C)C(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000867947206 616950789 /nfs/dbraw/zinc/95/07/89/616950789.db2.gz BXNSOQNDBJGEDC-AWEZNQCLSA-N 0 1 273.336 0.850 20 30 CCEDMN COC[C@@](C)(NC(=O)NCC#CCN(C)C)C(F)(F)F ZINC000932316111 617021372 /nfs/dbraw/zinc/02/13/72/617021372.db2.gz JELOPLUZJWMLBN-LLVKDONJSA-N 0 1 295.305 0.818 20 30 CCEDMN CNS(=O)(=O)c1cc(NC(=O)C#CC2CC2)ccc1O ZINC000854385645 617036753 /nfs/dbraw/zinc/03/67/53/617036753.db2.gz BHJAYKUCVNCSHF-UHFFFAOYSA-N 0 1 294.332 0.652 20 30 CCEDMN CON=CC(=O)N1CC2(CN(Cc3ccccc3)C2)C1 ZINC000869814154 617103341 /nfs/dbraw/zinc/10/33/41/617103341.db2.gz GDDDHBOOEBRURT-UHFFFAOYSA-N 0 1 273.336 0.963 20 30 CCEDMN CON=Cc1ccc(C(=O)NC[C@@H]2COCCN2)cc1 ZINC000877040034 617106762 /nfs/dbraw/zinc/10/67/62/617106762.db2.gz MZAYQUAGXZGQBO-CYBMUJFWSA-N 0 1 277.324 0.385 20 30 CCEDMN CO[C@H]1COCC[C@@H]1CC(=O)NCC#CCN(C)C ZINC000913523084 617112574 /nfs/dbraw/zinc/11/25/74/617112574.db2.gz LOUQJPIVJLZYDS-OLZOCXBDSA-N 0 1 268.357 0.109 20 30 CCEDMN CO[C@@H](C(=O)NCC#CCN(C)C)C(=O)OC(C)(C)C ZINC000913525104 617125294 /nfs/dbraw/zinc/12/52/94/617125294.db2.gz KFORFNMSYDETHI-NSHDSACASA-N 0 1 284.356 0.024 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001008251657 625425678 /nfs/dbraw/zinc/42/56/78/625425678.db2.gz NQZJKGBXEUZYKC-IUODEOHRSA-N 0 1 279.384 0.669 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)N[C@H]1C[C@@H](NCC#N)C1 ZINC001022613012 622590954 /nfs/dbraw/zinc/59/09/54/622590954.db2.gz MSKBIJJIBHLYIV-TXEJJXNPSA-N 0 1 276.340 0.715 20 30 CCEDMN CCn1ncnc1CNC[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC001026733017 625579246 /nfs/dbraw/zinc/57/92/46/625579246.db2.gz QXSDETNYRYDPCA-NWDGAFQWSA-N 0 1 290.371 0.396 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@]3(C2)CN(CC#N)CCO3)n[nH]1 ZINC000972280907 622744776 /nfs/dbraw/zinc/74/47/76/622744776.db2.gz CGPPXLJDVZEVPU-CQSZACIVSA-N 0 1 289.339 0.159 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccc(C#N)cc2CO)CC1 ZINC000892312569 622829798 /nfs/dbraw/zinc/82/97/98/622829798.db2.gz FCCIIGGLCHAXRW-LBPRGKRZSA-N 0 1 275.352 0.553 20 30 CCEDMN C#CCOCCC(=O)N1C[C@@H](C)[N@@H+](CCOC)[C@@H](C)C1 ZINC000161491351 622852453 /nfs/dbraw/zinc/85/24/53/622852453.db2.gz KPYXOJAVGZAACG-OKILXGFUSA-N 0 1 282.384 0.594 20 30 CCEDMN C#CCOCCC(=O)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000161491351 622852458 /nfs/dbraw/zinc/85/24/58/622852458.db2.gz KPYXOJAVGZAACG-OKILXGFUSA-N 0 1 282.384 0.594 20 30 CCEDMN C#CCN1CCC[C@@H](CNC(=O)c2coc(OC)n2)C1 ZINC001023511098 623046011 /nfs/dbraw/zinc/04/60/11/623046011.db2.gz XJHKZZSRQYKNTG-NSHDSACASA-N 0 1 277.324 0.758 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@@H](CNCc2ncccn2)C1 ZINC001026835472 625632048 /nfs/dbraw/zinc/63/20/48/625632048.db2.gz XXNJUPVHFJCPOC-AWEZNQCLSA-N 0 1 284.363 0.828 20 30 CCEDMN Cn1cc(CNC[C@H]2CCN(C(=O)C#CC3CC3)C2)nn1 ZINC001026835350 625635563 /nfs/dbraw/zinc/63/55/63/625635563.db2.gz VPRRMZHJECHWHB-CYBMUJFWSA-N 0 1 287.367 0.167 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H]1COCCO1 ZINC001024344726 623294229 /nfs/dbraw/zinc/29/42/29/623294229.db2.gz IROVRARPCFWAPD-KBPBESRZSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCOC1 ZINC001024351499 623297027 /nfs/dbraw/zinc/29/70/27/623297027.db2.gz WUJKDQPCUFJNPX-STQMWFEESA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1cnn(C)c1N ZINC001024406121 623314633 /nfs/dbraw/zinc/31/46/33/623314633.db2.gz KLCAFGFSCGANSO-NSHDSACASA-N 0 1 277.372 0.773 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCCNC1=O ZINC001024491797 623335653 /nfs/dbraw/zinc/33/56/53/623335653.db2.gz FSJKPDVKWLHJHW-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001024494456 623337516 /nfs/dbraw/zinc/33/75/16/623337516.db2.gz OJOGNGKATHOKEP-NSHDSACASA-N 0 1 276.340 0.641 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N1C ZINC001024600297 623389758 /nfs/dbraw/zinc/38/97/58/623389758.db2.gz ZJJQJCKVXZBAKR-OLZOCXBDSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1C[C@H]1C(N)=O ZINC001024657014 623409805 /nfs/dbraw/zinc/40/98/05/623409805.db2.gz VVAHYCYCQCWVIM-TUAOUCFPSA-N 0 1 265.357 0.265 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001024796738 623445267 /nfs/dbraw/zinc/44/52/67/623445267.db2.gz GJBUDHBJVDYSQP-GFCCVEGCSA-N 0 1 290.367 0.884 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2CN(CC#N)CC2(C)C)[nH]n1 ZINC000973023730 623566755 /nfs/dbraw/zinc/56/67/55/623566755.db2.gz ASSPBWHNQOLZMU-GFCCVEGCSA-N 0 1 275.356 0.611 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@H]1CCCN(CC=C)C1 ZINC001007519052 623659705 /nfs/dbraw/zinc/65/97/05/623659705.db2.gz HYHUEIIEKCTRJB-GJZGRUSLSA-N 0 1 275.396 0.851 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2c(C)ncn2C)C1 ZINC001007542108 623668466 /nfs/dbraw/zinc/66/84/66/623668466.db2.gz LNDCIJARYFIJET-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1[C@@H]1CCCCN1C(=O)c1ncn[n-]1 ZINC001025279992 623687391 /nfs/dbraw/zinc/68/73/91/623687391.db2.gz KBVMEUUQCHOYCP-OLZOCXBDSA-N 0 1 287.367 0.897 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ccc(C(=O)[O-])c(C)n1 ZINC000327951531 623804991 /nfs/dbraw/zinc/80/49/91/623804991.db2.gz AEHFDSAEHPRFTB-UHFFFAOYSA-N 0 1 289.335 0.773 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@@H]1CCC[C@@H](C(=O)[O-])C1 ZINC000328231538 623870276 /nfs/dbraw/zinc/87/02/76/623870276.db2.gz DGWXGUXMPLPFCI-CHWSQXEVSA-N 0 1 280.368 0.949 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@](CC)(COC)C(=O)[O-] ZINC000328304037 623875334 /nfs/dbraw/zinc/87/53/34/623875334.db2.gz VEEQMZBLCSTLIL-AWEZNQCLSA-N 0 1 299.371 0.121 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC[C@@H](CC)O1 ZINC001025807492 623884742 /nfs/dbraw/zinc/88/47/42/623884742.db2.gz QDMLJPQZEJRXAK-RGDJUOJXSA-N 0 1 262.353 0.624 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CC[C@H](OC)C1 ZINC001025824566 623890100 /nfs/dbraw/zinc/89/01/00/623890100.db2.gz NZLHMGYQRJHPQE-QSLWVIQJSA-N 0 1 262.353 0.481 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](CNCc2nnnn2C)C1 ZINC001026994349 625685303 /nfs/dbraw/zinc/68/53/03/625685303.db2.gz ROFWJNGFLMDXDB-LLVKDONJSA-N 0 1 292.387 0.360 20 30 CCEDMN C[C@@H](NC(=O)c1occc1CN(C)C)C1CN(CC#N)C1 ZINC000970338940 624676281 /nfs/dbraw/zinc/67/62/81/624676281.db2.gz JQOIBYJZMJIKOV-LLVKDONJSA-N 0 1 290.367 0.915 20 30 CCEDMN C[C@H](NC(=O)[C@@H]1CCCc2[nH]cnc21)C1CN(CC#N)C1 ZINC000970407712 624684327 /nfs/dbraw/zinc/68/43/27/624684327.db2.gz NTXJRMCIFRIWAW-CMPLNLGQSA-N 0 1 287.367 0.790 20 30 CCEDMN C#CCCCNC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000177541634 624690639 /nfs/dbraw/zinc/69/06/39/624690639.db2.gz ITQOGWYQBFWYBX-UHFFFAOYSA-N 0 1 267.373 0.498 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H](C)c1n[nH]c(CC)n1 ZINC000289243329 624715025 /nfs/dbraw/zinc/71/50/25/624715025.db2.gz SXRBBUQWWQTKTJ-MRVPVSSYSA-N 0 1 256.331 0.371 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](NC(=O)C2CS(=O)(=O)C2)C1 ZINC001022133935 624880795 /nfs/dbraw/zinc/88/07/95/624880795.db2.gz KSHMUQCVJUNHDJ-AOOOYVTPSA-N 0 1 292.788 0.020 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@@H]1C(=O)N[C@H]1C[C@@H](NCC#N)C1 ZINC001022453181 624997754 /nfs/dbraw/zinc/99/77/54/624997754.db2.gz ZTEWFFHLUNULHI-KZWBYHQPSA-N 0 1 287.367 0.836 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)c2cnsn2)C1 ZINC001027312460 625821868 /nfs/dbraw/zinc/82/18/68/625821868.db2.gz LBBSHMWYERUCCR-JTQLQIEISA-N 0 1 264.354 0.708 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001027521382 625937402 /nfs/dbraw/zinc/93/74/02/625937402.db2.gz BZBAVBFFZMNVFU-NWDGAFQWSA-N 0 1 281.400 0.995 20 30 CCEDMN C[C@@H]1NCCN(S(=O)(=O)c2ccc(C#N)cn2)[C@H]1C ZINC000903498122 634581232 /nfs/dbraw/zinc/58/12/32/634581232.db2.gz ZGLMHXIKAIICPN-UWVGGRQHSA-N 0 1 280.353 0.324 20 30 CCEDMN N#Cc1sccc1S(=O)(=O)[N-][C@H](CC[NH3+])C(F)F ZINC000903503114 634583192 /nfs/dbraw/zinc/58/31/92/634583192.db2.gz DWFXLKWZMQFUFK-ZCFIWIBFSA-N 0 1 295.336 0.881 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@H]2CNC(=O)C2CC2)C1=O ZINC001027715971 630872859 /nfs/dbraw/zinc/87/28/59/630872859.db2.gz PPQSGAJOUOPIIV-KGLIPLIRSA-N 0 1 291.395 0.764 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H]1CCCN1CC#N ZINC001027792755 630923304 /nfs/dbraw/zinc/92/33/04/630923304.db2.gz VHHULBXOKATJDJ-VXGBXAGGSA-N 0 1 250.346 0.185 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001027832427 630958596 /nfs/dbraw/zinc/95/85/96/630958596.db2.gz DPKFUOXUKXTQQQ-CYBMUJFWSA-N 0 1 256.309 0.714 20 30 CCEDMN N#CCN1CCCN(C(=O)[C@H]2CCCc3[nH]ncc32)CC1 ZINC000981164856 631242240 /nfs/dbraw/zinc/24/22/40/631242240.db2.gz IUOGUJMTPMVCCT-LBPRGKRZSA-N 0 1 287.367 0.887 20 30 CCEDMN CN1CC(C(=O)N2CC3(C2)CCCN(CC#N)C3)=NC1=O ZINC000981985883 631357150 /nfs/dbraw/zinc/35/71/50/631357150.db2.gz BALBJQMQCNGZMC-UHFFFAOYSA-N 0 1 289.339 0.187 20 30 CCEDMN C[C@@H]1CCN(CC#N)C[C@H]1NC(=O)c1[nH]ncc1F ZINC000968193336 650009264 /nfs/dbraw/zinc/00/92/64/650009264.db2.gz UBCKTCNKOJNOCB-PSASIEDQSA-N 0 1 265.292 0.513 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)CCCN2 ZINC000841849766 631599590 /nfs/dbraw/zinc/59/95/90/631599590.db2.gz KRZPGBWFVOFUNA-OCCSQVGLSA-N 0 1 270.336 0.886 20 30 CCEDMN CCCS(=O)(=O)C=C(O)NC1=NCCS1 ZINC000048923564 631862564 /nfs/dbraw/zinc/86/25/64/631862564.db2.gz FSXKKCKJUPSZMR-UHFFFAOYSA-N 0 1 250.345 0.030 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000895722919 632137444 /nfs/dbraw/zinc/13/74/44/632137444.db2.gz HAXZNTORJSZRNW-ZYHUDNBSSA-N 0 1 251.330 0.325 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)o1)[C@@H]1CN(C)CCN1C ZINC000896538657 632272270 /nfs/dbraw/zinc/27/22/70/632272270.db2.gz FSUZTKNZMUBRDO-JQWIXIFHSA-N 0 1 276.340 0.515 20 30 CCEDMN C[C@H](NC(=O)C1(C#N)CCC1)[C@H]1CN(C)CCN1C ZINC000896563985 632288254 /nfs/dbraw/zinc/28/82/54/632288254.db2.gz PBTHDZAORJCZDI-NWDGAFQWSA-N 0 1 264.373 0.431 20 30 CCEDMN O=C(CCNC(=O)C(F)(F)F)COC(=O)C#CC1CC1 ZINC000869535419 632400531 /nfs/dbraw/zinc/40/05/31/632400531.db2.gz FYUBPQCXFOOVPM-UHFFFAOYSA-N 0 1 291.225 0.581 20 30 CCEDMN C=C(Cl)CN(C)C1CCN(C(=O)[C@H]2CCC(=O)N2)CC1 ZINC000985331435 632436050 /nfs/dbraw/zinc/43/60/50/632436050.db2.gz GEIIQTOLLFLTMT-GFCCVEGCSA-N 0 1 299.802 0.940 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC001007308799 650073721 /nfs/dbraw/zinc/07/37/21/650073721.db2.gz QGQJCAPUKBMZJI-NSHDSACASA-N 0 1 263.345 0.422 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC001007317614 650074249 /nfs/dbraw/zinc/07/42/49/650074249.db2.gz ZFKRBBNFDKPRBT-CYBMUJFWSA-N 0 1 262.357 0.729 20 30 CCEDMN CN(CC#N)C1CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC000985664635 632613475 /nfs/dbraw/zinc/61/34/75/632613475.db2.gz IQXRFSSXOAULFF-UHFFFAOYSA-N 0 1 276.344 0.184 20 30 CCEDMN CN(CC#N)C1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC000985664635 632613489 /nfs/dbraw/zinc/61/34/89/632613489.db2.gz IQXRFSSXOAULFF-UHFFFAOYSA-N 0 1 276.344 0.184 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)Cn2ncnn2)[C@H]1C ZINC000986268519 632737140 /nfs/dbraw/zinc/73/71/40/632737140.db2.gz HVXWVMIPJLQAAQ-VHSXEESVSA-N 0 1 284.751 0.005 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCCN(C(N)=O)C2)C1 ZINC001007866073 650095699 /nfs/dbraw/zinc/09/56/99/650095699.db2.gz PJVLEDBXBROCRU-CHWSQXEVSA-N 0 1 294.399 0.544 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001008230197 650107074 /nfs/dbraw/zinc/10/70/74/650107074.db2.gz ANDIDCZOPLGBFF-WUHRBBMRSA-N 0 1 250.342 0.645 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001008335693 650110559 /nfs/dbraw/zinc/11/05/59/650110559.db2.gz AOJXTWZGQPQMOM-QWRGUYRKSA-N 0 1 288.355 0.329 20 30 CCEDMN C#CCN1CCCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)CC1 ZINC000989436034 633226672 /nfs/dbraw/zinc/22/66/72/633226672.db2.gz KUHPFRNWRJXVSI-CYBMUJFWSA-N 0 1 286.379 0.682 20 30 CCEDMN C=CCN1CCCN(C(=O)Cn2c(C)csc2=O)CC1 ZINC000989648234 633339040 /nfs/dbraw/zinc/33/90/40/633339040.db2.gz HXYAYPGEIIRDMD-UHFFFAOYSA-N 0 1 295.408 0.939 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3nnn(C)c3C)CCC[C@H]12 ZINC000990108233 633448285 /nfs/dbraw/zinc/44/82/85/633448285.db2.gz NQYGWXHZUMJXPS-SWLSCSKDSA-N 0 1 287.367 0.484 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(N[C@H](C)c2nnnn2C)CC1 ZINC000990314386 633507074 /nfs/dbraw/zinc/50/70/74/633507074.db2.gz MVLSFCWMAVTJAR-NXEZZACHSA-N 0 1 291.359 0.011 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(C(N)=O)co2)C1 ZINC001008505078 650117389 /nfs/dbraw/zinc/11/73/89/650117389.db2.gz UYSVAOXVNSXYOT-LBPRGKRZSA-N 0 1 289.335 0.596 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2cc(C(N)=O)co2)C1 ZINC001008505075 650117454 /nfs/dbraw/zinc/11/74/54/650117454.db2.gz UYSVAOXVNSXYOT-GFCCVEGCSA-N 0 1 289.335 0.596 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N(CC#N)CC#N)C1 ZINC000900464490 634026654 /nfs/dbraw/zinc/02/66/54/634026654.db2.gz NTEGMGRZUUANKM-MWLCHTKSSA-N 0 1 257.297 0.951 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CN(C(C)=O)CCO2)C1 ZINC001009381271 650144146 /nfs/dbraw/zinc/14/41/46/650144146.db2.gz CMJGYWZQZQXRGZ-UONOGXRCSA-N 0 1 295.383 0.000 20 30 CCEDMN Cc1nc(Br)c(C=NN2C(=O)CNC2=O)[nH]1 ZINC000901207885 634208656 /nfs/dbraw/zinc/20/86/56/634208656.db2.gz NQSCRDDDVOTRRD-UHFFFAOYSA-N 0 1 286.089 0.366 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N[C@H]1CCCN(CC#N)C1 ZINC001009640753 650152560 /nfs/dbraw/zinc/15/25/60/650152560.db2.gz FUDANMIXKDLPJQ-KBPBESRZSA-N 0 1 278.400 0.965 20 30 CCEDMN N#CC1(CNC[C@@H](O)C[C@]2(O)CCOC2)CCC1 ZINC000905290962 634841214 /nfs/dbraw/zinc/84/12/14/634841214.db2.gz WJVYJBXVHQBNTC-WCQYABFASA-N 0 1 254.330 0.172 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1csc(Cl)n1 ZINC000906540781 635005452 /nfs/dbraw/zinc/00/54/52/635005452.db2.gz PYQRZTHGPVIOJI-WDSKDSINSA-N 0 1 256.718 0.638 20 30 CCEDMN C[C@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)cn2)CCN1C ZINC000906987383 635059489 /nfs/dbraw/zinc/05/94/89/635059489.db2.gz KERZKRLMRYNCBN-CMPLNLGQSA-N 0 1 294.380 0.714 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2nnn(C)c2C(F)(F)F)=NO1 ZINC000907159236 635084740 /nfs/dbraw/zinc/08/47/40/635084740.db2.gz JXNODYLGYBJUID-SCSAIBSYSA-N 0 1 277.206 0.686 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC(NC(=O)[C@@H]3CC3[N+](=O)[O-])C2)C1 ZINC000991296589 635864898 /nfs/dbraw/zinc/86/48/98/635864898.db2.gz SGMINLOVPNMUOB-GHMZBOCLSA-N 0 1 293.323 0.335 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2c[nH]cn2)C[C@@H](C)N1CC#N ZINC000911698731 636343733 /nfs/dbraw/zinc/34/37/33/636343733.db2.gz RPIWLUMGQJOPJN-GHMZBOCLSA-N 0 1 261.329 0.397 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2OCCCO2)C1 ZINC001010734904 650258914 /nfs/dbraw/zinc/25/89/14/650258914.db2.gz JLOIFQMHXYKXFW-LLVKDONJSA-N 0 1 254.330 0.516 20 30 CCEDMN N#Cc1sccc1C(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000912054563 636482356 /nfs/dbraw/zinc/48/23/56/636482356.db2.gz ORBJYVCQZZRVSM-VHSXEESVSA-N 0 1 277.349 0.511 20 30 CCEDMN C[C@@H](C(=O)NCC#CCN(C)C)N(C)C(=O)OC(C)(C)C ZINC000913524101 636833336 /nfs/dbraw/zinc/83/33/36/636833336.db2.gz ZUXHLYFPMFBTKA-LBPRGKRZSA-N 0 1 297.399 0.923 20 30 CCEDMN Cc1n[nH]c(C(=O)NCC#CCN(C)C)c1Br ZINC000913518020 636835159 /nfs/dbraw/zinc/83/51/59/636835159.db2.gz ZZDDYUMHEZNPMJ-UHFFFAOYSA-N 0 1 299.172 0.775 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@]3(F)CCOC3)CCC[C@@H]12 ZINC000992143464 637319136 /nfs/dbraw/zinc/31/91/36/637319136.db2.gz BRKNWRJAFWKTBW-VHDGCEQUSA-N 0 1 280.343 0.861 20 30 CCEDMN CN1CC(C(=O)N[C@]23CCC[C@H]2N(CC#N)CC3)=NC1=O ZINC000992148835 637323241 /nfs/dbraw/zinc/32/32/41/637323241.db2.gz DRBGEWJRYHANHM-RISCZKNCSA-N 0 1 289.339 0.376 20 30 CCEDMN N#CCN[C@@H]1CCC[C@@H]2CN(C(=O)Cc3ccn[nH]3)C[C@H]21 ZINC000992656589 637533592 /nfs/dbraw/zinc/53/35/92/637533592.db2.gz UGDMULVZJIRQGF-MRVWCRGKSA-N 0 1 287.367 0.692 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cn2cccn2)[C@H]1C ZINC000993040209 637674312 /nfs/dbraw/zinc/67/43/12/637674312.db2.gz QQDVMBIGBVICCY-KGLIPLIRSA-N 0 1 274.368 0.876 20 30 CCEDMN C#CCC1(NC(=O)C2=NC(=O)N(C)C2)CCOCC1 ZINC000925420121 637717238 /nfs/dbraw/zinc/71/72/38/637717238.db2.gz GWASYNIJPHHGOF-UHFFFAOYSA-N 0 1 263.297 0.428 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCCN(CC#N)[C@H]2C)[nH]n1 ZINC000993207029 637742196 /nfs/dbraw/zinc/74/21/96/637742196.db2.gz UQRFZVQDABSGMO-AAEUAGOBSA-N 0 1 275.356 0.753 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CN2CCOCC2)[C@@H]1C ZINC000993237579 637758226 /nfs/dbraw/zinc/75/82/26/637758226.db2.gz QVMQEVWGJMEBOJ-GJZGRUSLSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cc(=O)n(C)o2)[C@H]1C ZINC000993281025 637763249 /nfs/dbraw/zinc/76/32/49/637763249.db2.gz NMGOYSFFNIZYPJ-MNOVXSKESA-N 0 1 279.340 0.747 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2ncn(C)n2)[C@@H]1C ZINC000993305603 637770314 /nfs/dbraw/zinc/77/03/14/637770314.db2.gz ZRSJZKXXALNBHJ-QWRGUYRKSA-N 0 1 263.345 0.584 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cn(C)c(=O)cn2)[C@H]1C ZINC000993613748 637821232 /nfs/dbraw/zinc/82/12/32/637821232.db2.gz PIAFQHFQCRJWMB-NEPJUHHUSA-N 0 1 290.367 0.549 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)c2[nH]ncc2F)CCCN1CC#N ZINC000993742614 637841324 /nfs/dbraw/zinc/84/13/24/637841324.db2.gz NZZDPTJPUMUCFU-WPRPVWTQSA-N 0 1 265.292 0.655 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cccc(=O)n2C)[C@H]1C ZINC000993771426 637846382 /nfs/dbraw/zinc/84/63/82/637846382.db2.gz LHXKXKGVLCZTFA-CHWSQXEVSA-N 0 1 287.363 0.601 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@@H]1C ZINC000993817942 637848965 /nfs/dbraw/zinc/84/89/65/637848965.db2.gz DKBOUCXCPGEVSV-WDEREUQCSA-N 0 1 290.367 0.882 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)Cc2cnc[nH]2)CCCN1CC#N ZINC000993886007 637852413 /nfs/dbraw/zinc/85/24/13/637852413.db2.gz KYJVZPAVDNPWKH-PWSUYJOCSA-N 0 1 261.329 0.445 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cnn(C)n2)[C@@H]1C ZINC000994000812 637857258 /nfs/dbraw/zinc/85/72/58/637857258.db2.gz ZHAFOLLWXKSELT-QWRGUYRKSA-N 0 1 263.345 0.584 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCN(C(=O)CN2CCCC2)CC1 ZINC000941107531 638513701 /nfs/dbraw/zinc/51/37/01/638513701.db2.gz WDCBNDDGDRCGJI-CYBMUJFWSA-N 0 1 292.383 0.303 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@@H](NCC#N)C(C)(C)C2)[nH]1 ZINC000995548294 638774704 /nfs/dbraw/zinc/77/47/04/638774704.db2.gz OUGPBCOLIDXARC-LLVKDONJSA-N 0 1 261.329 0.682 20 30 CCEDMN C#C[C@@H](C)NC(=O)CCNC(=O)c1n[nH]c2ccccc21 ZINC000186055708 638795830 /nfs/dbraw/zinc/79/58/30/638795830.db2.gz CPZJLFOMZONEHR-SNVBAGLBSA-N 0 1 284.319 0.821 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn(C3CCC3)nn2)C1 ZINC001014474982 650455290 /nfs/dbraw/zinc/45/52/90/650455290.db2.gz WAPOLTTZXCINSQ-LBPRGKRZSA-N 0 1 287.367 0.831 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CN2CCCC2=O)C1 ZINC000998508485 638923807 /nfs/dbraw/zinc/92/38/07/638923807.db2.gz LQGIBAJZUVYZIZ-GFCCVEGCSA-N 0 1 299.802 0.942 20 30 CCEDMN COc1cc(CN[C@H]2CCCN(C(=O)[C@H](C)C#N)C2)on1 ZINC000998752156 638939134 /nfs/dbraw/zinc/93/91/34/638939134.db2.gz IGELWXBLSSRHEF-MNOVXSKESA-N 0 1 292.339 0.923 20 30 CCEDMN Cn1ccc(CN[C@@H]2CCCN(C(=O)C#CC3CC3)C2)n1 ZINC000999126491 638962535 /nfs/dbraw/zinc/96/25/35/638962535.db2.gz FZQMBBJZHWXQSE-OAHLLOKOSA-N 0 1 286.379 0.914 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC000999916307 639018741 /nfs/dbraw/zinc/01/87/41/639018741.db2.gz SJFPCIBPUZYRCK-RYUDHWBXSA-N 0 1 299.802 0.940 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C2(C(N)=O)CC2)C1 ZINC001000066943 639039274 /nfs/dbraw/zinc/03/92/74/639039274.db2.gz UWHBIPOWTDFKSY-SNVBAGLBSA-N 0 1 285.775 0.585 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC001000170156 639047233 /nfs/dbraw/zinc/04/72/33/639047233.db2.gz MGLLFHKHMYUART-SMDDNHRTSA-N 0 1 299.802 0.846 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cn(C)nc2C)CC1 ZINC001000531212 639114188 /nfs/dbraw/zinc/11/41/88/639114188.db2.gz BMWOVVSYUUORGK-UHFFFAOYSA-N 0 1 272.352 0.724 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(OCC)n[nH]2)CC1 ZINC001000831027 639176898 /nfs/dbraw/zinc/17/68/98/639176898.db2.gz UXMOPPXLNSUMDC-UHFFFAOYSA-N 0 1 288.351 0.804 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)CN2CCCC2)C1 ZINC001000921422 639195176 /nfs/dbraw/zinc/19/51/76/639195176.db2.gz VOFGWBJBSANWCN-GFCCVEGCSA-N 0 1 250.346 0.186 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(OC)n(C)n2)CC1 ZINC001000931167 639198673 /nfs/dbraw/zinc/19/86/73/639198673.db2.gz FSUOPNCGTIZZTN-UHFFFAOYSA-N 0 1 288.351 0.424 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCC1CN(C(=O)C#CC2CC2)C1 ZINC001001206231 639262533 /nfs/dbraw/zinc/26/25/33/639262533.db2.gz DHNGWLRJSQWKBJ-AWEZNQCLSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H]2CCN(C)C2=O)CC1 ZINC001003090869 639504445 /nfs/dbraw/zinc/50/44/45/639504445.db2.gz XDPWYOZFKFQIGA-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2c[nH]c(=O)n2C)CC1 ZINC001003437090 639543393 /nfs/dbraw/zinc/54/33/93/639543393.db2.gz OGNGLISYQODFJD-UHFFFAOYSA-N 0 1 276.340 0.343 20 30 CCEDMN N#Cc1cccc(CN2CCC(NC(=O)C(N)=O)CC2)c1 ZINC001003534515 639560012 /nfs/dbraw/zinc/56/00/12/639560012.db2.gz JEDOMWUHNDHEDV-UHFFFAOYSA-N 0 1 286.335 0.124 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCCCC(=O)N2)CC1 ZINC001003604637 639578176 /nfs/dbraw/zinc/57/81/76/639578176.db2.gz FRXSXAOQEOFLPI-CYBMUJFWSA-N 0 1 277.368 0.259 20 30 CCEDMN CC(C)(C(=O)NC1CCN(CC#N)CC1)c1cnc[nH]1 ZINC001003972534 639659151 /nfs/dbraw/zinc/65/91/51/639659151.db2.gz ZJOHJFCQPYXCRW-UHFFFAOYSA-N 0 1 275.356 0.791 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2ccn3cncc3c2)C1 ZINC001015113435 650529455 /nfs/dbraw/zinc/52/94/55/650529455.db2.gz HZRXSYLFKHBAHS-LBPRGKRZSA-N 0 1 269.308 0.662 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cn(C)nn2)CC1 ZINC001005350491 639903128 /nfs/dbraw/zinc/90/31/28/639903128.db2.gz YPXQMWYKNYSSGI-UHFFFAOYSA-N 0 1 275.356 0.375 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cc2ccn(C)n2)CC1 ZINC001005375612 639904868 /nfs/dbraw/zinc/90/48/68/639904868.db2.gz NCVNZYINQUDCHF-UHFFFAOYSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2CC(=O)N[C@H](C)C2)CC1 ZINC001005643751 639947053 /nfs/dbraw/zinc/94/70/53/639947053.db2.gz NUCSLZOIWTVJMZ-CHWSQXEVSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cncn2C)CC1 ZINC001005677306 639951163 /nfs/dbraw/zinc/95/11/63/639951163.db2.gz UEFTYDSETSKSPK-UHFFFAOYSA-N 0 1 274.368 0.980 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC001005818745 639974815 /nfs/dbraw/zinc/97/48/15/639974815.db2.gz TWWQLVIWUSVFSQ-CHWSQXEVSA-N 0 1 277.368 0.054 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCc3nncn3CC2)C1 ZINC001015324447 650554999 /nfs/dbraw/zinc/55/49/99/650554999.db2.gz ICRKCQLDSURKSX-OLZOCXBDSA-N 0 1 289.383 0.607 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001015364065 650559334 /nfs/dbraw/zinc/55/93/34/650559334.db2.gz CZDPSNGESCRUGQ-RFQIPJPRSA-N 0 1 280.368 0.414 20 30 CCEDMN N#CC(C(=O)COCc1cccnc1)C(=O)NC1CC1 ZINC000805855033 640437215 /nfs/dbraw/zinc/43/72/15/640437215.db2.gz BTNCKKVEBVBFLM-GFCCVEGCSA-N 0 1 273.292 0.586 20 30 CCEDMN O=C1C=C(NNc2[nH+]cnc3[n-]cnc32)[C@@H]2CCCCN12 ZINC000799477851 640575016 /nfs/dbraw/zinc/57/50/16/640575016.db2.gz JAEVAPIHGARDKD-VIFPVBQESA-N 0 1 285.311 0.906 20 30 CCEDMN O=C1CNC(=O)N1N=Cc1cc(C(F)(F)F)n[nH]1 ZINC000799482486 640575139 /nfs/dbraw/zinc/57/51/39/640575139.db2.gz MNRLKCHAKWFMLN-UHFFFAOYSA-N 0 1 261.163 0.314 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001015621246 650594343 /nfs/dbraw/zinc/59/43/43/650594343.db2.gz TXQWQOUGRHAYCX-MNOVXSKESA-N 0 1 275.356 0.601 20 30 CCEDMN C[C@H](C#N)OCCN1CCC[C@H]1C(=O)NC1CC1 ZINC000801921068 640674242 /nfs/dbraw/zinc/67/42/42/640674242.db2.gz XAOFUCKMKJUDLM-PWSUYJOCSA-N 0 1 251.330 0.658 20 30 CCEDMN C[C@H](C#N)OCCN[C@@](C)(C(N)=O)c1ccccc1 ZINC000801931278 640676965 /nfs/dbraw/zinc/67/69/65/640676965.db2.gz WKFMZEXZYSWPAF-BXUZGUMPSA-N 0 1 261.325 0.905 20 30 CCEDMN C[C@H](C#N)OCC[N@H+]1CCCN(C(=O)C2CC2)CC1 ZINC000801945962 640680369 /nfs/dbraw/zinc/68/03/69/640680369.db2.gz FKKIWADGJAZYLV-GFCCVEGCSA-N 0 1 265.357 0.859 20 30 CCEDMN C[C@H](C#N)OCCN1CCCN(C(=O)C2CC2)CC1 ZINC000801945962 640680374 /nfs/dbraw/zinc/68/03/74/640680374.db2.gz FKKIWADGJAZYLV-GFCCVEGCSA-N 0 1 265.357 0.859 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cccnc2OC)C1 ZINC001015645670 650601477 /nfs/dbraw/zinc/60/14/77/650601477.db2.gz IEONYWQTFJNJDK-LBPRGKRZSA-N 0 1 273.336 0.918 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nnn(CC)c2CC)C1 ZINC001015691322 650609831 /nfs/dbraw/zinc/60/98/31/650609831.db2.gz BEXNNVXRAJDHPX-NSHDSACASA-N 0 1 277.372 0.851 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2nccc2C)C1 ZINC001015778991 650628339 /nfs/dbraw/zinc/62/83/39/650628339.db2.gz YCPHXYGCMBVDAC-ZDUSSCGKSA-N 0 1 260.341 0.405 20 30 CCEDMN Cc1nn(-c2ccncc2)cc1C=NNC[C@@H](C)O ZINC000814965933 641087484 /nfs/dbraw/zinc/08/74/84/641087484.db2.gz FYBSZEZDWGCHSG-SNVBAGLBSA-N 0 1 259.313 0.880 20 30 CCEDMN C#CCOc1ccc(C(=O)N[C@H]2CCN(CCO)C2)cc1 ZINC001015808950 650633286 /nfs/dbraw/zinc/63/32/86/650633286.db2.gz HMIFYMIJXBNFDF-AWEZNQCLSA-N 0 1 288.347 0.495 20 30 CCEDMN O=C(N[C@H]1CCN(O)C1=O)c1[nH]nc2c1CCCC2 ZINC000816691179 641191496 /nfs/dbraw/zinc/19/14/96/641191496.db2.gz MLRWPDSABUHEQC-VIFPVBQESA-N 0 1 264.285 0.008 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC001015917172 650656517 /nfs/dbraw/zinc/65/65/17/650656517.db2.gz WXDMKIVNXTYZRZ-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001015939235 650660540 /nfs/dbraw/zinc/66/05/40/650660540.db2.gz URSHJYDKXTYCBH-GFCCVEGCSA-N 0 1 273.336 0.511 20 30 CCEDMN O=C(N[C@@H]1CCN(O)C1=O)c1cccc(F)c1O ZINC000820120451 641458769 /nfs/dbraw/zinc/45/87/69/641458769.db2.gz OINMXLQSNYORCI-MRVPVSSYSA-N 0 1 254.217 0.251 20 30 CCEDMN O=C(N[C@@H]1CCN(O)C1=O)c1cc(F)cc2nc[nH]c21 ZINC000820185100 641481530 /nfs/dbraw/zinc/48/15/30/641481530.db2.gz ICSGCKLHOITFLW-MRVPVSSYSA-N 0 1 278.243 0.422 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn([C@H]3CCOC3)nn2)C1 ZINC001015959381 650663784 /nfs/dbraw/zinc/66/37/84/650663784.db2.gz FEGFDVMMXXZKLP-NEPJUHHUSA-N 0 1 291.355 0.230 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC001015957737 650664000 /nfs/dbraw/zinc/66/40/00/650664000.db2.gz CZHLJMXMHRDLEF-OLZOCXBDSA-N 0 1 286.379 0.728 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001015957737 650664016 /nfs/dbraw/zinc/66/40/16/650664016.db2.gz CZHLJMXMHRDLEF-OLZOCXBDSA-N 0 1 286.379 0.728 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001015994163 650671265 /nfs/dbraw/zinc/67/12/65/650671265.db2.gz SYVNJIXPQXMLMJ-OCCSQVGLSA-N 0 1 286.379 0.728 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cnn(CCOC)c2)C1 ZINC001016122417 650683719 /nfs/dbraw/zinc/68/37/19/650683719.db2.gz GGRJBBZWDQXZRR-AWEZNQCLSA-N 0 1 292.383 0.910 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3n[nH]nc3c2)C1 ZINC001016230908 650700957 /nfs/dbraw/zinc/70/09/57/650700957.db2.gz VVCBDNHTYZIGGT-NSHDSACASA-N 0 1 271.324 0.948 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@@H](NC(=O)CCc1c[nH]nn1)C2 ZINC000829486260 642167110 /nfs/dbraw/zinc/16/71/10/642167110.db2.gz UKJCZXKEFBPDIB-AWEZNQCLSA-N 0 1 281.319 0.893 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@@H](NC(=O)CCc1cnn[nH]1)C2 ZINC000829486260 642167112 /nfs/dbraw/zinc/16/71/12/642167112.db2.gz UKJCZXKEFBPDIB-AWEZNQCLSA-N 0 1 281.319 0.893 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CNc1ccccc1Cl ZINC000834481001 642572788 /nfs/dbraw/zinc/57/27/88/642572788.db2.gz YXRBXXIHAHTTAS-CABZTGNLSA-N 0 1 278.743 0.980 20 30 CCEDMN Cc1onc(-c2ccccn2)c1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834480621 642572892 /nfs/dbraw/zinc/57/28/92/642572892.db2.gz SYQITXFCHZZJBM-ZYHUDNBSSA-N 0 1 297.318 0.886 20 30 CCEDMN Cn1cnc2cc(C(=O)N[C@H]3CNC[C@@H]3C#N)ccc21 ZINC000834480880 642573161 /nfs/dbraw/zinc/57/31/61/642573161.db2.gz XOBOKJVRYZFRAP-JQWIXIFHSA-N 0 1 269.308 0.415 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1cc(F)ccc1F ZINC000834480681 642573588 /nfs/dbraw/zinc/57/35/88/642573588.db2.gz USVHNUYRMLDZFN-BXKDBHETSA-N 0 1 265.263 0.735 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ncn(-c2ccccc2)n1 ZINC000834484812 642576971 /nfs/dbraw/zinc/57/69/71/642576971.db2.gz NMNGOEPMHZZXDD-PWSUYJOCSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1cccc([N+](=O)[O-])c1 ZINC000834489269 642579104 /nfs/dbraw/zinc/57/91/04/642579104.db2.gz LILFKTUFVQVDME-JQWIXIFHSA-N 0 1 274.280 0.365 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccnc(C2CC2)n1 ZINC000834489120 642580171 /nfs/dbraw/zinc/58/01/71/642580171.db2.gz JSOGCGGVBQJXSU-KOLCDFICSA-N 0 1 257.297 0.195 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1COc2ccccc21 ZINC000834489567 642580208 /nfs/dbraw/zinc/58/02/08/642580208.db2.gz NYZNTHAEQQNPLZ-YUSALJHKSA-N 0 1 257.293 0.390 20 30 CCEDMN N#C[C@H]1C[NH2+]C[C@H]1NC(=O)c1n[n-]c2ccccc2c1=O ZINC000834490746 642581533 /nfs/dbraw/zinc/58/15/33/642581533.db2.gz WWFAIWDRDTUFJD-GZMMTYOYSA-N 0 1 283.291 0.177 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc2c([nH]c1=O)CCC2 ZINC000834490997 642582097 /nfs/dbraw/zinc/58/20/97/642582097.db2.gz ZFGDMXMVARBGHL-CABZTGNLSA-N 0 1 272.308 0.117 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccc2c1OCO2 ZINC000834490963 642582274 /nfs/dbraw/zinc/58/22/74/642582274.db2.gz YQIWSHJVTKSMLT-SCZZXKLOSA-N 0 1 259.265 0.257 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CSCc1cccnc1 ZINC000834493300 642583612 /nfs/dbraw/zinc/58/36/12/642583612.db2.gz CTJRORXCYAQSFK-NWDGAFQWSA-N 0 1 276.365 0.543 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(=O)c2ccccc2o1 ZINC000834495328 642585707 /nfs/dbraw/zinc/58/57/07/642585707.db2.gz UDSHBNYJLRJSSQ-GXSJLCMTSA-N 0 1 283.287 0.634 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCn1cnc2ccccc21 ZINC000834498788 642586729 /nfs/dbraw/zinc/58/67/29/642586729.db2.gz BPACVHGHXBJARI-WCQYABFASA-N 0 1 283.335 0.654 20 30 CCEDMN CC(=O)Nc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1C ZINC000834500700 642590457 /nfs/dbraw/zinc/59/04/57/642590457.db2.gz WLHPDJKRVYMQFT-BXUZGUMPSA-N 0 1 286.335 0.795 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccccc1[N+](=O)[O-] ZINC000834505061 642591208 /nfs/dbraw/zinc/59/12/08/642591208.db2.gz HIECQTMJKBFQAF-WCBMZHEXSA-N 0 1 260.253 0.436 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1nc(Cl)cs1 ZINC000834504870 642592022 /nfs/dbraw/zinc/59/20/22/642592022.db2.gz GGYXONKPPLEPDD-WDSKDSINSA-N 0 1 256.718 0.638 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)COc1ccc2c(c1)CCC2 ZINC000834507056 642593488 /nfs/dbraw/zinc/59/34/88/642593488.db2.gz XOKQPXMROKFCFS-ZFWWWQNUSA-N 0 1 285.347 0.782 20 30 CCEDMN Cc1ccc(C)c(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834511661 642597715 /nfs/dbraw/zinc/59/77/15/642597715.db2.gz NLFAAUHBPMKTSN-QWHCGFSZSA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)OCCCO2 ZINC000834512248 642597819 /nfs/dbraw/zinc/59/78/19/642597819.db2.gz TZZUXHZCCSNHGY-NWDGAFQWSA-N 0 1 287.319 0.689 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(C(F)(F)F)nc1 ZINC000834517276 642600280 /nfs/dbraw/zinc/60/02/80/642600280.db2.gz TVAZKRHLXYJNJC-RKDXNWHRSA-N 0 1 284.241 0.942 20 30 CCEDMN Cc1noc(C(C)C)c1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834516874 642600632 /nfs/dbraw/zinc/60/06/32/642600632.db2.gz MZMZKCFGLITJDV-VHSXEESVSA-N 0 1 262.313 0.948 20 30 CCEDMN Cc1cc(C)n(CCC(=O)N[C@@H]2CNC[C@@H]2C#N)n1 ZINC000834517630 642600916 /nfs/dbraw/zinc/60/09/16/642600916.db2.gz XVVNVVOCZUYHMP-NWDGAFQWSA-N 0 1 261.329 0.118 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccccc1-n1cccn1 ZINC000834521555 642601639 /nfs/dbraw/zinc/60/16/39/642601639.db2.gz MWOVJAJQAYPIOS-WCQYABFASA-N 0 1 281.319 0.714 20 30 CCEDMN Cc1ccc(NC(=O)N[C@H]2CNC[C@H]2C#N)c(N(C)C)n1 ZINC000841155209 642805603 /nfs/dbraw/zinc/80/56/03/642805603.db2.gz TZRNPTJAJOLUPH-PWSUYJOCSA-N 0 1 288.355 0.689 20 30 CCEDMN CC#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn[nH]1 ZINC001017434966 650802293 /nfs/dbraw/zinc/80/22/93/650802293.db2.gz KPQLDVKYUFWZKJ-OKILXGFUSA-N 0 1 272.352 0.651 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)n1cncn1 ZINC001017491376 650805551 /nfs/dbraw/zinc/80/55/51/650805551.db2.gz SGOGRYNHGAOXET-MCIONIFRSA-N 0 1 287.367 0.538 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn(C)n1 ZINC001017544238 650810089 /nfs/dbraw/zinc/81/00/89/650810089.db2.gz NXFDUQRXFCPOCC-GASCZTMLSA-N 0 1 286.379 0.661 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2n[nH]cc2c1 ZINC000843459644 643062911 /nfs/dbraw/zinc/06/29/11/643062911.db2.gz FWSDNKAXNYXYCZ-JQWIXIFHSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cn1ccc(C(F)(F)F)n1 ZINC000843459710 643063388 /nfs/dbraw/zinc/06/33/88/643063388.db2.gz GRFRWUMEALJVCU-HTQZYQBOSA-N 0 1 287.245 0.130 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CSc1ccncc1 ZINC000843460357 643064367 /nfs/dbraw/zinc/06/43/67/643064367.db2.gz OAAKHKPHWJVENP-KOLCDFICSA-N 0 1 262.338 0.401 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CSC(F)(F)F ZINC000843460417 643064742 /nfs/dbraw/zinc/06/47/42/643064742.db2.gz HNMAPSIAIKGISO-PHDIDXHHSA-N 0 1 253.249 0.467 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1cnn2ccccc12 ZINC000843461545 643066450 /nfs/dbraw/zinc/06/64/50/643066450.db2.gz VHVPHTDGCBESKU-RYUDHWBXSA-N 0 1 269.308 0.105 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC000843461715 643066578 /nfs/dbraw/zinc/06/65/78/643066578.db2.gz WIUDJTPBVOVZEO-LNLATYFQSA-N 0 1 259.313 0.057 20 30 CCEDMN COc1ccc(OCCC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000843464025 643067408 /nfs/dbraw/zinc/06/74/08/643067408.db2.gz ZFBKPADZMGKLNM-RISCZKNCSA-N 0 1 289.335 0.692 20 30 CCEDMN Cc1cc(C)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c(=O)[nH]1 ZINC000843463521 643068197 /nfs/dbraw/zinc/06/81/97/643068197.db2.gz XAPXTDZTERIYLV-VHSXEESVSA-N 0 1 260.297 0.245 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCN(C)C1=O ZINC001017824818 650825489 /nfs/dbraw/zinc/82/54/89/650825489.db2.gz CCKNKSUFGYQBAR-MJBXVCDLSA-N 0 1 289.379 0.163 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@@H]2CCc3nnnn3CC2)c1 ZINC000845888164 643359263 /nfs/dbraw/zinc/35/92/63/643359263.db2.gz SZMLFGVDZMEHFP-SNVBAGLBSA-N 0 1 298.306 0.842 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1CCN(C2CC2)[C@@H](C#N)C1 ZINC000847483932 643524238 /nfs/dbraw/zinc/52/42/38/643524238.db2.gz JCHPISYIMVEFTR-FZMZJTMJSA-N 0 1 287.367 0.787 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)c1ccc2cncn2c1 ZINC000848132001 643600928 /nfs/dbraw/zinc/60/09/28/643600928.db2.gz GNWAVAASYUQNHC-LLVKDONJSA-N 0 1 274.280 0.444 20 30 CCEDMN C[C@H](CC(=O)N[C@@H]1CNC[C@@H]1C#N)[C@@H]1CCCO1 ZINC000852827676 644014078 /nfs/dbraw/zinc/01/40/78/644014078.db2.gz NBDJRMCWMVRIAF-KXNHARMFSA-N 0 1 251.330 0.419 20 30 CCEDMN Cn1nncc1CN[C@H]1CCN(C(=O)c2cc(C#N)c[nH]2)C1 ZINC001018682262 650896794 /nfs/dbraw/zinc/89/67/94/650896794.db2.gz NLGBYEKNUYSGMH-NSHDSACASA-N 0 1 299.338 0.019 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2COC(=O)N2)C1 ZINC001018793180 650908437 /nfs/dbraw/zinc/90/84/37/650908437.db2.gz POGSGRKZHCIKCE-IUCAKERBSA-N 0 1 273.720 0.038 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@H](NCc2cc3n(n2)CCC3)C1 ZINC001018830083 650914013 /nfs/dbraw/zinc/91/40/13/650914013.db2.gz FLBVFHZQNJDJAZ-AWEZNQCLSA-N 0 1 298.390 0.933 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC001018959092 650924825 /nfs/dbraw/zinc/92/48/25/650924825.db2.gz KAOBWRNJLZPESH-QWRGUYRKSA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001019718361 651000804 /nfs/dbraw/zinc/00/08/04/651000804.db2.gz JVSDRMVFKHQSCC-RYUDHWBXSA-N 0 1 299.802 0.940 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001020154650 651044720 /nfs/dbraw/zinc/04/47/20/651044720.db2.gz JSQCZIIMAHVRRA-GMTAPVOTSA-N 0 1 273.340 0.590 20 30 CCEDMN N#CCc1ccsc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000870939916 646054726 /nfs/dbraw/zinc/05/47/26/646054726.db2.gz CWSFHACHXHGVIK-UWVGGRQHSA-N 0 1 260.322 0.656 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(N)ccc1Cl ZINC000870941682 646055834 /nfs/dbraw/zinc/05/58/34/646055834.db2.gz YCROCSGLTZRHJB-CPCISQLKSA-N 0 1 264.716 0.764 20 30 CCEDMN Fc1cnccc1NN=Cc1cn(C[C@H]2CCCO2)nn1 ZINC000872388646 646255191 /nfs/dbraw/zinc/25/51/91/646255191.db2.gz TVLGIYZBHMWEES-LLVKDONJSA-N 0 1 290.302 0.859 20 30 CCEDMN C#C[C@@H](C)NC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000875718847 646549112 /nfs/dbraw/zinc/54/91/12/646549112.db2.gz DWCBADQIBIDDRV-CYBMUJFWSA-N 0 1 279.384 0.762 20 30 CCEDMN Cn1c(C(=O)N[C@H]2CNC[C@H]2C#N)cc(=O)c2ccccc21 ZINC000876802096 646668487 /nfs/dbraw/zinc/66/84/87/646668487.db2.gz LQXABBMFJXAEPV-PWSUYJOCSA-N 0 1 296.330 0.380 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1[C@@H]2Cc3ccccc3[C@@H]21 ZINC000876802323 646670014 /nfs/dbraw/zinc/67/00/14/646670014.db2.gz NWROYIQKCIPHPJ-JSFFLRCESA-N 0 1 267.332 0.800 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1c(Cl)n[nH]c1C1CC1 ZINC000876802491 646670697 /nfs/dbraw/zinc/67/06/97/646670697.db2.gz PHPPYNOASYCXKD-HTQZYQBOSA-N 0 1 279.731 0.782 20 30 CCEDMN N#CCCCNCc1cccc(S(N)(=O)=O)c1 ZINC000877303505 646786910 /nfs/dbraw/zinc/78/69/10/646786910.db2.gz JGNQYPVNCURWFI-UHFFFAOYSA-N 0 1 253.327 0.727 20 30 CCEDMN N#Cc1c[nH]c(=O)n(CCSC(F)(F)F)c1=O ZINC000877890349 646848845 /nfs/dbraw/zinc/84/88/45/646848845.db2.gz HCRIOIQWFOZQKJ-UHFFFAOYSA-N 0 1 265.216 0.661 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H](O)CC2(O)CCCCC2)C1 ZINC000878761565 646975085 /nfs/dbraw/zinc/97/50/85/646975085.db2.gz NPYWJPCEDLYKLG-HIFRSBDPSA-N 0 1 282.384 0.783 20 30 CCEDMN N#Cc1ccc(N2CCN(Cc3c[nH]nn3)CC2)cn1 ZINC000879926705 647127993 /nfs/dbraw/zinc/12/79/93/647127993.db2.gz AHLJNMZTWUCXNG-UHFFFAOYSA-N 0 1 269.312 0.394 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@@H]1C(=O)N1CC[C@](O)(CC#N)C1 ZINC000880412703 647198265 /nfs/dbraw/zinc/19/82/65/647198265.db2.gz BEYOTRPGUZGCDA-WDBKCZKBSA-N 0 1 288.351 0.953 20 30 CCEDMN C[C@H](C#N)OCCN1CCC[C@@H]2CCNC(=O)[C@H]21 ZINC000880524405 647219126 /nfs/dbraw/zinc/21/91/26/647219126.db2.gz UIKBXYDTTWMERG-UTUOFQBUSA-N 0 1 251.330 0.516 20 30 CCEDMN Cc1nc(C#N)cc(NC[C@H](C)N2CCN(C)CC2)n1 ZINC000881908127 647350800 /nfs/dbraw/zinc/35/08/00/647350800.db2.gz MYEOUWHANLLHPD-NSHDSACASA-N 0 1 274.372 0.705 20 30 CCEDMN Cc1nc(C#N)cc(N2C[C@@H](C)N(CCO)C[C@H]2C)n1 ZINC000882467064 647410429 /nfs/dbraw/zinc/41/04/29/647410429.db2.gz AIWUAWRDVYNCTM-GHMZBOCLSA-N 0 1 275.356 0.548 20 30 CCEDMN N#Cc1ccc(NC(=O)NCCN2CCCOCC2)nc1 ZINC000889234711 648197109 /nfs/dbraw/zinc/19/71/09/648197109.db2.gz SMTALCIWOHNTJD-UHFFFAOYSA-N 0 1 289.339 0.797 20 30 CCEDMN Cc1nnc(SCC(=O)N2CCC[C@@H](C#N)C2)[nH]1 ZINC000928222230 648623488 /nfs/dbraw/zinc/62/34/88/648623488.db2.gz VDDXVKASPKJPIF-VIFPVBQESA-N 0 1 265.342 0.967 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N2CCC[C@@H](C#N)C2)n1 ZINC000928222230 648623492 /nfs/dbraw/zinc/62/34/92/648623492.db2.gz VDDXVKASPKJPIF-VIFPVBQESA-N 0 1 265.342 0.967 20 30 CCEDMN Cc1ccc(C(N)=O)cc1NC(=O)NCC#CCN(C)C ZINC000930020192 648811790 /nfs/dbraw/zinc/81/17/90/648811790.db2.gz YXTWZKDMHNAZKX-UHFFFAOYSA-N 0 1 288.351 0.780 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCO[C@H](C)CC1 ZINC000932350971 649067936 /nfs/dbraw/zinc/06/79/36/649067936.db2.gz XMLIMZDDZHPKBX-NEPJUHHUSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@H]1COC[C@@H]1NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000932487431 649074993 /nfs/dbraw/zinc/07/49/93/649074993.db2.gz BCZWERRHSHXGKH-JQWIXIFHSA-N 0 1 295.364 0.351 20 30 CCEDMN COc1ncc(NC(=O)NC[C@H]2CCN2C)cc1C#N ZINC000932518656 649078184 /nfs/dbraw/zinc/07/81/84/649078184.db2.gz OFKJIAGYUDFLAA-LLVKDONJSA-N 0 1 275.312 0.787 20 30 CCEDMN N#CCNC(=O)CNC1(c2ccc3c(c2)OCCO3)CC1 ZINC000933999536 649153079 /nfs/dbraw/zinc/15/30/79/649153079.db2.gz BKTYCDABOCHSHP-UHFFFAOYSA-N 0 1 287.319 0.676 20 30 CCEDMN C#C[C@H]1CCCCN1CCCNS(=O)(=O)CC ZINC000934515604 649177643 /nfs/dbraw/zinc/17/76/43/649177643.db2.gz WFTZLOYFXZYKIU-LBPRGKRZSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2nn(CC)nc2C)CC1 ZINC001006482948 649567499 /nfs/dbraw/zinc/56/74/99/649567499.db2.gz RVRRWSHRXMDJAI-UHFFFAOYSA-N 0 1 289.383 0.776 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCC2(C1)OCCO2 ZINC000092803781 649727509 /nfs/dbraw/zinc/72/75/09/649727509.db2.gz BLOMASRUSXCSLJ-UHFFFAOYSA-N 0 1 267.329 0.197 20 30 CCEDMN COc1nc(N2C[C@@H](C)N(C)C[C@H]2C)ncc1C#N ZINC001164631304 719171779 /nfs/dbraw/zinc/17/17/79/719171779.db2.gz BFTUPCIETNXUJZ-NXEZZACHSA-N 0 1 261.329 0.886 20 30 CCEDMN C=CCOc1cc(C)nc(N2C[C@H]3OCCN(C)[C@H]3C2)n1 ZINC001164668031 719396159 /nfs/dbraw/zinc/39/61/59/719396159.db2.gz PMWZXADTXIRBLJ-QWHCGFSZSA-N 0 1 290.367 0.869 20 30 CCEDMN COc1cc(C#N)nc(N2C[C@@H]3[C@H](C2)OCCN3C)c1 ZINC001164666883 719397464 /nfs/dbraw/zinc/39/74/64/719397464.db2.gz MAMWMUHJKCVYGJ-OLZOCXBDSA-N 0 1 274.324 0.481 20 30 CCEDMN Cc1cc(N2C[C@@H]3[C@H](C2)OCCN3C)nc(C#N)n1 ZINC001164666971 719399528 /nfs/dbraw/zinc/39/95/28/719399528.db2.gz MGSVVBDIMCITEO-MNOVXSKESA-N 0 1 259.313 0.176 20 30 CCEDMN N#Cc1cnc(N2C[C@H]3CN(CC4CC4)C[C@@H](C2)O3)cn1 ZINC001164965805 722068711 /nfs/dbraw/zinc/06/87/11/722068711.db2.gz NWNLKBDSFCURSJ-OKILXGFUSA-N 0 1 285.351 0.648 20 30 CCEDMN C=CCN1CCN(CCNC(=O)/C(C)=C\C)CC1 ZINC001266281366 790391800 /nfs/dbraw/zinc/39/18/00/790391800.db2.gz MOWPMZQJRDTFRY-ACAGNQJTSA-N 0 1 251.374 0.872 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)CC[C@@H](C)OC)CC1 ZINC001266283313 790397564 /nfs/dbraw/zinc/39/75/64/790397564.db2.gz MMJULRJGWYTIIJ-OAHLLOKOSA-N 0 1 295.427 0.559 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@]2(CCN(CC(=O)NC)C2)C1 ZINC001041544828 790533940 /nfs/dbraw/zinc/53/39/40/790533940.db2.gz QDLLOFBWZZATED-INIZCTEOSA-N 0 1 293.411 0.869 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnn(CCOC)c1 ZINC001266355060 790574677 /nfs/dbraw/zinc/57/46/77/790574677.db2.gz ZLEWZLKUSZVEOJ-UHFFFAOYSA-N 0 1 278.356 0.214 20 30 CCEDMN COCC#CC[N@H+]1CCC[C@H]1CNC(=O)C1CCOCC1 ZINC001266443433 790722375 /nfs/dbraw/zinc/72/23/75/790722375.db2.gz OEUXRZHWTOLXSD-HNNXBMFYSA-N 0 1 294.395 0.643 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)C1CCOCC1 ZINC001266443433 790722383 /nfs/dbraw/zinc/72/23/83/790722383.db2.gz OEUXRZHWTOLXSD-HNNXBMFYSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)[C@H](F)CC)C1 ZINC001266525644 790870863 /nfs/dbraw/zinc/87/08/63/790870863.db2.gz KZIVHQGMNNDALM-VXGBXAGGSA-N 0 1 285.363 0.475 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@H]3CC34CC4)[C@H]2C1 ZINC001083205380 790917461 /nfs/dbraw/zinc/91/74/61/790917461.db2.gz ZTVCHKDYFFWKHW-HZSPNIEDSA-N 0 1 274.364 0.721 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)[C@@H]1CCCO1 ZINC001266554278 790920639 /nfs/dbraw/zinc/92/06/39/790920639.db2.gz WQZCYSDBPZHYNN-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN C#CCN(CCNC(=O)c1nc2ncc(C)cn2n1)C1CC1 ZINC001266596842 791000699 /nfs/dbraw/zinc/00/06/99/791000699.db2.gz PTWZXGCOGSMCMQ-UHFFFAOYSA-N 0 1 298.350 0.260 20 30 CCEDMN Cn1cncc1Nc1cc(=O)[nH]c2c(C#N)cnn21 ZINC001211246590 791061294 /nfs/dbraw/zinc/06/12/94/791061294.db2.gz GJTCWWPJRMJQEB-UHFFFAOYSA-N 0 1 255.241 0.784 20 30 CCEDMN C=CCOCC(=O)N(C)CCNCc1snnc1C ZINC001266657272 791089209 /nfs/dbraw/zinc/08/92/09/791089209.db2.gz SPBILGBETGXPCG-UHFFFAOYSA-N 0 1 284.385 0.597 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H]2CN(CC(N)=O)CC[C@@H]21 ZINC001266659050 791091344 /nfs/dbraw/zinc/09/13/44/791091344.db2.gz LVCYYVPAQAEVSP-STQMWFEESA-N 0 1 279.384 0.751 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H]2CN(CC(N)=O)CC[C@H]21 ZINC001266659049 791092113 /nfs/dbraw/zinc/09/21/13/791092113.db2.gz LVCYYVPAQAEVSP-QWHCGFSZSA-N 0 1 279.384 0.751 20 30 CCEDMN C[C@@H](O)CN1CC[C@@H]1CN(C)C(=O)CSCC#N ZINC001230815652 805671751 /nfs/dbraw/zinc/67/17/51/805671751.db2.gz QYSSATKTCNPTFK-GHMZBOCLSA-N 0 1 271.386 0.157 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCOC1 ZINC001231060523 805719979 /nfs/dbraw/zinc/71/99/79/805719979.db2.gz GFPPDDBNEPQRNG-KBPBESRZSA-N 0 1 282.384 0.758 20 30 CCEDMN C#CC[N@H+](C)CCOCCNC(=O)c1nccn1CC ZINC001277487440 805731031 /nfs/dbraw/zinc/73/10/31/805731031.db2.gz MXBMMRMBXYDNLM-UHFFFAOYSA-N 0 1 278.356 0.214 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CNC(=O)CC ZINC001231225357 805755857 /nfs/dbraw/zinc/75/58/57/805755857.db2.gz CCWOEUOHIHAQGN-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1CCOC ZINC001231241703 805758095 /nfs/dbraw/zinc/75/80/95/805758095.db2.gz XNJFMIXAOVKURP-GFCCVEGCSA-N 0 1 256.346 0.368 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CCN1CCOC ZINC001231241703 805758102 /nfs/dbraw/zinc/75/81/02/805758102.db2.gz XNJFMIXAOVKURP-GFCCVEGCSA-N 0 1 256.346 0.368 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCOC1 ZINC001231402052 805793627 /nfs/dbraw/zinc/79/36/27/805793627.db2.gz QYWHHEPKLWKLHR-ZIAGYGMSSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@]1(C)CCOC1 ZINC001277528983 805812934 /nfs/dbraw/zinc/81/29/34/805812934.db2.gz SHNMQJBVAMRFLI-OAHLLOKOSA-N 0 1 282.384 0.501 20 30 CCEDMN N#C[C@H]1CN(Cc2c[nH]c3ncncc23)CCC1=O ZINC001232657218 805965082 /nfs/dbraw/zinc/96/50/82/805965082.db2.gz ODNBRHPYTHYFAP-VIFPVBQESA-N 0 1 255.281 0.824 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)C[C@H]1CCNC1=O ZINC001266844318 791284402 /nfs/dbraw/zinc/28/44/02/791284402.db2.gz JEJRPHDDIBAPLH-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NC)C[C@H]21 ZINC001266903323 791331355 /nfs/dbraw/zinc/33/13/55/791331355.db2.gz ZJBXSOLZWQLMAS-WKIHFJMMSA-N 0 1 293.411 0.770 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)N[C@H](C)c1n[nH]c(C)n1 ZINC001266927690 791361151 /nfs/dbraw/zinc/36/11/51/791361151.db2.gz LGKKEZOBOIORBU-VHSXEESVSA-N 0 1 281.360 0.471 20 30 CCEDMN C[C@@H](CNC(=O)Cc1n[nH]c2c1CCCC2)NCC#N ZINC001266939895 791375963 /nfs/dbraw/zinc/37/59/63/791375963.db2.gz QLZPTDFDBJDLQC-JTQLQIEISA-N 0 1 275.356 0.449 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@@H](C)NCc2cnnn2C)c1 ZINC001266970365 791408539 /nfs/dbraw/zinc/40/85/39/791408539.db2.gz JFFKPMZCDHPWCP-LLVKDONJSA-N 0 1 298.350 0.100 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H](C)NCC(=C)Cl)nn1 ZINC001266976877 791413125 /nfs/dbraw/zinc/41/31/25/791413125.db2.gz DNORRPYWXCEBDI-SNVBAGLBSA-N 0 1 283.763 0.925 20 30 CCEDMN N#C[C@@H]1CN(Cc2cc(O)c(O)cc2O)CCC1=O ZINC001233485878 806062647 /nfs/dbraw/zinc/06/26/47/806062647.db2.gz OLUCXCQRTAOWEV-SECBINFHSA-N 0 1 262.265 0.718 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@H](CNCc2ccon2)C1 ZINC001267037205 791468779 /nfs/dbraw/zinc/46/87/79/791468779.db2.gz AAZSYXYSWSPPKD-IUODEOHRSA-N 0 1 293.367 0.940 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC[C@@H](C)NCc1cnn(C)n1 ZINC001267124792 791568677 /nfs/dbraw/zinc/56/86/77/791568677.db2.gz KVFIAKZFPMQOKU-BXUZGUMPSA-N 0 1 295.387 0.127 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@H](C)OC)C[C@H](C)O2 ZINC001098570411 791641627 /nfs/dbraw/zinc/64/16/27/791641627.db2.gz ILFZYXMMYPTCIW-KBPBESRZSA-N 0 1 294.395 0.736 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H]1CCO[C@H](C)C1 ZINC001267224349 791699560 /nfs/dbraw/zinc/69/95/60/791699560.db2.gz CMMOGVHXOACWRW-OLZOCXBDSA-N 0 1 252.358 0.873 20 30 CCEDMN CC#CCN(C)CCNC(=O)Cn1nc(C)cc1C ZINC001267205996 791671173 /nfs/dbraw/zinc/67/11/73/791671173.db2.gz JAVVPYOQTIZUBE-UHFFFAOYSA-N 0 1 262.357 0.571 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC001267207416 791673942 /nfs/dbraw/zinc/67/39/42/791673942.db2.gz HNFGYDKREYRGJF-SOUVJXGZSA-N 0 1 294.395 0.499 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccc(C)[nH]c1=O ZINC001267219482 791691740 /nfs/dbraw/zinc/69/17/40/791691740.db2.gz SZNDNODLSHXZNF-UHFFFAOYSA-N 0 1 261.325 0.781 20 30 CCEDMN C#CCN(C)CCNC(=O)C1(NC(N)=O)CCCCC1 ZINC001267220421 791694574 /nfs/dbraw/zinc/69/45/74/791694574.db2.gz RYZMDPWFJUNEHS-UHFFFAOYSA-N 0 1 280.372 0.039 20 30 CCEDMN CCCCCC(=O)NCCCN(C)CC(=O)NCC#N ZINC001233978441 806161595 /nfs/dbraw/zinc/16/15/95/806161595.db2.gz RFOZMLRDROGJTJ-UHFFFAOYSA-N 0 1 282.388 0.645 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCc1ncc[nH]1 ZINC001234010885 806168343 /nfs/dbraw/zinc/16/83/43/806168343.db2.gz IPDXSLBSOHQOTA-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)c1ncc[nH]1 ZINC001234086909 806180128 /nfs/dbraw/zinc/18/01/28/806180128.db2.gz FHRVLNSTDBZGAV-GFCCVEGCSA-N 0 1 278.356 0.759 20 30 CCEDMN Cc1nc(CN2CC[C@H]2CN(C)C(=O)C#CC(C)C)n[nH]1 ZINC001234160401 806192540 /nfs/dbraw/zinc/19/25/40/806192540.db2.gz GQXVAHLBYBZSJW-ZDUSSCGKSA-N 0 1 289.383 0.805 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@@H](C)NC(N)=O ZINC001234276552 806215043 /nfs/dbraw/zinc/21/50/43/806215043.db2.gz PLPHDTTZDKTNAS-VXGBXAGGSA-N 0 1 282.388 0.542 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@H]1CCN1CCCF ZINC001234266688 806213093 /nfs/dbraw/zinc/21/30/93/806213093.db2.gz SISNOZUOQIVMHO-CHWSQXEVSA-N 0 1 270.348 0.917 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@H]1CCN1CCCOCC ZINC001234266881 806214224 /nfs/dbraw/zinc/21/42/24/806214224.db2.gz UTENYMNDKCJPDG-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H](C)OCC ZINC001234312217 806222080 /nfs/dbraw/zinc/22/20/80/806222080.db2.gz SNJVJLPFYPUKJK-GFCCVEGCSA-N 0 1 285.388 0.152 20 30 CCEDMN CC#CCN(CC)CCNC(=O)CNC(=O)N1CCCC1 ZINC001267240853 793227287 /nfs/dbraw/zinc/22/72/87/793227287.db2.gz AWXJDXHCIKPIDP-UHFFFAOYSA-N 0 1 294.399 0.253 20 30 CCEDMN C#CC[N@@H+](CC)CCNC(=O)c1c(C)[nH]cc1NC(C)=O ZINC001267245477 793238588 /nfs/dbraw/zinc/23/85/88/793238588.db2.gz MPDRFDNEJPIDDD-UHFFFAOYSA-N 0 1 290.367 0.966 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1c(C)[nH]cc1NC(C)=O ZINC001267245477 793238594 /nfs/dbraw/zinc/23/85/94/793238594.db2.gz MPDRFDNEJPIDDD-UHFFFAOYSA-N 0 1 290.367 0.966 20 30 CCEDMN C=C(C)[C@H](CC(=O)N(C)C[C@@H]1CCN1CCO)OCC ZINC001234644948 806292972 /nfs/dbraw/zinc/29/29/72/806292972.db2.gz XHINNJBSZIGCIT-KBPBESRZSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)C(C)(C)C(N)=O ZINC001235615764 806475465 /nfs/dbraw/zinc/47/54/65/806475465.db2.gz GVTAFOXLPXKPBN-NSHDSACASA-N 0 1 265.357 0.054 20 30 CCEDMN C=CC[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235703278 806493832 /nfs/dbraw/zinc/49/38/32/806493832.db2.gz TWZBGUANSNWWPE-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235703278 806493838 /nfs/dbraw/zinc/49/38/38/806493838.db2.gz TWZBGUANSNWWPE-STQMWFEESA-N 0 1 279.384 0.574 20 30 CCEDMN N#CCC1CN(C(=O)[C@H]2CC23CN(C[C@@H]2CCCO2)C3)C1 ZINC001277971614 806505787 /nfs/dbraw/zinc/50/57/87/806505787.db2.gz FKZLSKWSVZKOSY-UONOGXRCSA-N 0 1 289.379 0.859 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnnn1CC ZINC001126727964 814932119 /nfs/dbraw/zinc/93/21/19/814932119.db2.gz STFADMYWQOSBSR-UHFFFAOYSA-N 0 1 257.725 0.370 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](CO)NCc1cncs1 ZINC001278070300 806649304 /nfs/dbraw/zinc/64/93/04/806649304.db2.gz HMLOKBUHVOEMTO-ZWNOBZJWSA-N 0 1 299.396 0.037 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)NC1(C)CCN(CC#N)CC1 ZINC001278094647 806661384 /nfs/dbraw/zinc/66/13/84/806661384.db2.gz LUYQYCRGRRRJOH-UHFFFAOYSA-N 0 1 266.389 0.821 20 30 CCEDMN N#Cc1cc(CN2CCC3(CNC(=O)N3)CC2)ccn1 ZINC001237467781 806670816 /nfs/dbraw/zinc/67/08/16/806670816.db2.gz AWBRNCBKOMSBJF-UHFFFAOYSA-N 0 1 271.324 0.601 20 30 CCEDMN C=CCNCCS(=O)(=O)c1ccc(C#N)cn1 ZINC001120557802 798864848 /nfs/dbraw/zinc/86/48/48/798864848.db2.gz BJYSDAPDOMUZLE-UHFFFAOYSA-N 0 1 251.311 0.503 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H]2CN3CCC2CC3)c1 ZINC001120687468 798914482 /nfs/dbraw/zinc/91/44/82/798914482.db2.gz PWSVNVHKLQJHSL-CQSZACIVSA-N 0 1 255.321 0.887 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(C(=O)N2CCCC2)cc1 ZINC001121912541 799092138 /nfs/dbraw/zinc/09/21/38/799092138.db2.gz WQDUHWRGHKATQD-UHFFFAOYSA-N 0 1 299.374 0.762 20 30 CCEDMN C#CCNCC(=O)NCCn1c(=O)[nH]c2ccccc21 ZINC001121969742 799110291 /nfs/dbraw/zinc/11/02/91/799110291.db2.gz YTDGYJIVTGKPFL-UHFFFAOYSA-N 0 1 272.308 0.081 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2C[C@]2(C)OC)CC1 ZINC001122067466 799134112 /nfs/dbraw/zinc/13/41/12/799134112.db2.gz GGHDWLUUCYMPQV-OCCSQVGLSA-N 0 1 265.357 0.561 20 30 CCEDMN C[C@@H](C(=O)OCc1ccc2[nH]nnc2c1)n1cnc(C#N)n1 ZINC001122506136 799253004 /nfs/dbraw/zinc/25/30/04/799253004.db2.gz HPIXHTAKIHFZHT-QMMMGPOBSA-N 0 1 297.278 0.725 20 30 CCEDMN C[C@@H](C(=O)OCc1ccc2nn[nH]c2c1)n1cnc(C#N)n1 ZINC001122506136 799253012 /nfs/dbraw/zinc/25/30/12/799253012.db2.gz HPIXHTAKIHFZHT-QMMMGPOBSA-N 0 1 297.278 0.725 20 30 CCEDMN N#C[C@H](C(=O)CN1CCOCC1=O)c1cccc(F)n1 ZINC001122724487 799289764 /nfs/dbraw/zinc/28/97/64/799289764.db2.gz ZKWYHMDHWZVMRP-VIFPVBQESA-N 0 1 277.255 0.256 20 30 CCEDMN O=C(NCCNCC#Cc1ccc(F)cc1)c1cnccn1 ZINC001122827992 799299532 /nfs/dbraw/zinc/29/95/32/799299532.db2.gz WEYVMFRXFSPHQE-UHFFFAOYSA-N 0 1 298.321 0.987 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1CCc2ccccc2C1 ZINC001278165275 806736147 /nfs/dbraw/zinc/73/61/47/806736147.db2.gz UZJPDMUYDMOZOK-CVEARBPZSA-N 0 1 286.375 0.491 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001123694267 799455810 /nfs/dbraw/zinc/45/58/10/799455810.db2.gz JBVRTRCCDSJXAG-KFWWJZLASA-N 0 1 292.427 0.818 20 30 CCEDMN C#CCN(C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C)C(C)C ZINC001123795633 799476625 /nfs/dbraw/zinc/47/66/25/799476625.db2.gz SDWYHNJPLPGOMD-KGLIPLIRSA-N 0 1 280.416 0.674 20 30 CCEDMN C#CC1CCN(C(=O)NC[C@H](C)N2CCN(C)CC2)CC1 ZINC001123886523 799495132 /nfs/dbraw/zinc/49/51/32/799495132.db2.gz QPPICAOIJDFKML-AWEZNQCLSA-N 0 1 292.427 0.677 20 30 CCEDMN C#Cc1ccc(CNC(=O)[C@@H]2CN3CCN2C[C@H]3C)cc1 ZINC001123894316 799497791 /nfs/dbraw/zinc/49/77/91/799497791.db2.gz YSGAQUKMNCDDHU-CJNGLKHVSA-N 0 1 283.375 0.672 20 30 CCEDMN CCCC[N@@H+]1C[C@@H]2C(C(=O)N3CC(CC#N)C3)=NO[C@@H]2C1 ZINC001278222855 806779588 /nfs/dbraw/zinc/77/95/88/806779588.db2.gz BSTWRSUXXLMBKL-QWHCGFSZSA-N 0 1 290.367 0.845 20 30 CCEDMN CC(C)(C)OC(=O)N1CCN(Cc2c[nH]nn2)[C@H](C#N)C1 ZINC001203198203 799828750 /nfs/dbraw/zinc/82/87/50/799828750.db2.gz IPGASOJXDKKJRQ-LLVKDONJSA-N 0 1 292.343 0.750 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H](OC)C1CCCCC1 ZINC001278210896 806775721 /nfs/dbraw/zinc/77/57/21/806775721.db2.gz CGSSRRDXFJBRNA-KGLIPLIRSA-N 0 1 282.384 0.282 20 30 CCEDMN C=CCO[C@@H]1CO[C@@]2(C1)CCCN(CC(=O)N(C)C)C2 ZINC001203014693 799890042 /nfs/dbraw/zinc/89/00/42/799890042.db2.gz MXAUAVIFJHMDSC-DZGCQCFKSA-N 0 1 282.384 0.901 20 30 CCEDMN N#CCCC(=O)N1C[C@H]2CN(CC3CC3)C[C@@H](C1)O2 ZINC001143197562 800205404 /nfs/dbraw/zinc/20/54/04/800205404.db2.gz WPQMBEABBHYCIK-BETUJISGSA-N 0 1 263.341 0.612 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CNC(=O)CCC)C1 ZINC001149291464 800243794 /nfs/dbraw/zinc/24/37/94/800243794.db2.gz CEEQOMJWCJOBTK-CYBMUJFWSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)COCCC)C1 ZINC001149317704 800247456 /nfs/dbraw/zinc/24/74/56/800247456.db2.gz NNPHYLABJHBMRE-CYBMUJFWSA-N 0 1 270.373 0.806 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@H](CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001150487321 800339637 /nfs/dbraw/zinc/33/96/37/800339637.db2.gz HGEWNQXHSZCWEW-ZBFHGGJFSA-N 0 1 294.395 0.643 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001150487321 800339644 /nfs/dbraw/zinc/33/96/44/800339644.db2.gz HGEWNQXHSZCWEW-ZBFHGGJFSA-N 0 1 294.395 0.643 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1c(C)nc(C)[nH]c1=O ZINC001150977483 800381838 /nfs/dbraw/zinc/38/18/38/800381838.db2.gz GZBSZDDCOOUQKE-UHFFFAOYSA-N 0 1 298.774 0.800 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)c2ncc[nH]2)CC1 ZINC001151107689 800401344 /nfs/dbraw/zinc/40/13/44/800401344.db2.gz GNTXVUQURQYZHJ-UHFFFAOYSA-N 0 1 290.367 0.644 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1nccnc1C ZINC001153086290 800594652 /nfs/dbraw/zinc/59/46/52/800594652.db2.gz VYFHZQXBVDLQMP-UHFFFAOYSA-N 0 1 278.356 0.584 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1ccccn1 ZINC001153134204 800600247 /nfs/dbraw/zinc/60/02/47/800600247.db2.gz ASLKVBDRPGITTJ-LBPRGKRZSA-N 0 1 263.341 0.879 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1ccns1 ZINC001153148681 800601153 /nfs/dbraw/zinc/60/11/53/800601153.db2.gz JGEPTYXQRQNAAY-SNVBAGLBSA-N 0 1 269.370 0.940 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1nonc1C ZINC001153560296 800640932 /nfs/dbraw/zinc/64/09/32/800640932.db2.gz GJBSCGNIFXCOOO-UHFFFAOYSA-N 0 1 289.133 0.606 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](NC(C)=O)C(C)(C)C ZINC001153714201 800655593 /nfs/dbraw/zinc/65/55/93/800655593.db2.gz HLCBEPLWUDSOKZ-LLVKDONJSA-N 0 1 289.807 0.996 20 30 CCEDMN N#Cc1ccnnc1NC(=O)c1cnc2nc[nH]c2c1 ZINC001153724781 800656510 /nfs/dbraw/zinc/65/65/10/800656510.db2.gz DPMJFXRUOAFHKP-UHFFFAOYSA-N 0 1 265.236 0.872 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1n[nH]c2cccc(C(=O)OC)c21 ZINC001154613349 800813488 /nfs/dbraw/zinc/81/34/88/800813488.db2.gz SWQGPSHOVWBITC-QMMMGPOBSA-N 0 1 289.291 0.626 20 30 CCEDMN C=CC[C@@](C)(NC(=O)c1cnn2[nH]c(C)nc12)C(=O)OC ZINC001154672451 800828458 /nfs/dbraw/zinc/82/84/58/800828458.db2.gz NXBYJYOFRZUKEG-CYBMUJFWSA-N 0 1 291.311 0.603 20 30 CCEDMN COc1cc2[nH]ncc2cc1C(=O)N1CCO[C@H](C#N)C1 ZINC001154793355 800846402 /nfs/dbraw/zinc/84/64/02/800846402.db2.gz DOSUAQZEYKBGOY-SNVBAGLBSA-N 0 1 286.291 0.936 20 30 CCEDMN N#CCSCC(=O)NCCCNCc1cscn1 ZINC001154819202 800851225 /nfs/dbraw/zinc/85/12/25/800851225.db2.gz DHSLBBQBKJIGIV-UHFFFAOYSA-N 0 1 284.410 0.996 20 30 CCEDMN CC(=O)CC(C)=Nc1cc(S(N)(=O)=O)ccn1 ZINC001155893128 801072099 /nfs/dbraw/zinc/07/20/99/801072099.db2.gz VWSGKDYVSYQGLZ-ALCCZGGFSA-N 0 1 255.299 0.634 20 30 CCEDMN CNS(=O)(=O)c1cccnc1N=C(C)CC(C)=O ZINC001155891316 801072494 /nfs/dbraw/zinc/07/24/94/801072494.db2.gz WASLOWGLXRWVPD-FPLPWBNLSA-N 0 1 269.326 0.894 20 30 CCEDMN C=CCOCCCC(=O)NCCCNCc1nnc(C)[nH]1 ZINC001156160210 801119616 /nfs/dbraw/zinc/11/96/16/801119616.db2.gz OBGWHEWZFIGBIO-UHFFFAOYSA-N 0 1 295.387 0.692 20 30 CCEDMN N#CN=C(Nc1ncnc2c1CCNC2)c1ccncc1 ZINC001156322456 801150068 /nfs/dbraw/zinc/15/00/68/801150068.db2.gz CNZGZQOXLCVFES-UHFFFAOYSA-N 0 1 279.307 0.666 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCNCc2nncs2)[nH]1 ZINC001156691438 801213174 /nfs/dbraw/zinc/21/31/74/801213174.db2.gz QFLOUNCJXGTZCZ-UHFFFAOYSA-N 0 1 290.352 0.648 20 30 CCEDMN CCn1cc(CNCCCNC(=O)C#CC(C)C)nn1 ZINC001157638608 801442943 /nfs/dbraw/zinc/44/29/43/801442943.db2.gz HFXGVAKPSWYNDW-UHFFFAOYSA-N 0 1 277.372 0.553 20 30 CCEDMN C#C[C@](C)(N)C(=O)N(CCC#N)Cc1cccnc1 ZINC001157730659 801465603 /nfs/dbraw/zinc/46/56/03/801465603.db2.gz OWTUSJWAGXNSGE-AWEZNQCLSA-N 0 1 256.309 0.674 20 30 CCEDMN N#Cc1ccc(Cl)nc1C(F)(F)n1nnnc1CN ZINC001158074826 801563445 /nfs/dbraw/zinc/56/34/45/801563445.db2.gz LLUPJTDXQJOPPK-UHFFFAOYSA-N 0 1 285.645 0.649 20 30 CCEDMN Cc1c(CC[NH3+])c(=O)[n-]n1-c1nccc(F)c1C#N ZINC001159300116 801789194 /nfs/dbraw/zinc/78/91/94/801789194.db2.gz QPIBRSZMLYWUTJ-UHFFFAOYSA-N 0 1 261.260 0.793 20 30 CCEDMN Cc1c(CC[NH3+])c(=O)[n-]n1-c1ncc2c(C#N)c[nH]c2n1 ZINC001159300159 801789363 /nfs/dbraw/zinc/78/93/63/801789363.db2.gz SQTIBGFWOAKVHR-UHFFFAOYSA-N 0 1 283.295 0.531 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC001159563606 801834066 /nfs/dbraw/zinc/83/40/66/801834066.db2.gz QWXAISWDEWWJRT-KGLIPLIRSA-N 0 1 290.363 0.567 20 30 CCEDMN C#CCC1(C(=O)NCCCNCc2cnon2)CCC1 ZINC001159644335 801842277 /nfs/dbraw/zinc/84/22/77/801842277.db2.gz LNDKELSNEFPMNO-UHFFFAOYSA-N 0 1 276.340 0.859 20 30 CCEDMN CN1CCN(c2ccc(NCCCS)nn2)CC1 ZINC001159870241 801884244 /nfs/dbraw/zinc/88/42/44/801884244.db2.gz OUCNDTFTPTZZHK-UHFFFAOYSA-N 0 1 267.402 0.960 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C2CN(C(C)=O)C2)CC1 ZINC001159952064 801894067 /nfs/dbraw/zinc/89/40/67/801894067.db2.gz YDQCSIXYFLGWJG-UHFFFAOYSA-N 0 1 289.379 0.236 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC001160869287 802037343 /nfs/dbraw/zinc/03/73/43/802037343.db2.gz PZVVFBHBVLBSIY-UONOGXRCSA-N 0 1 289.379 0.270 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)[C@H](N)Cc1cccc(O)c1 ZINC001160932848 802049884 /nfs/dbraw/zinc/04/98/84/802049884.db2.gz GHBFMEIDKPOBLA-WCQYABFASA-N 0 1 275.308 0.013 20 30 CCEDMN CC(=O)[C@H]1CCN(C(=O)[C@@H](N)Cc2ccccc2C#N)C1 ZINC001161035777 802071244 /nfs/dbraw/zinc/07/12/44/802071244.db2.gz ZXCINZFWSDQABT-GJZGRUSLSA-N 0 1 285.347 0.866 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2ccncn2)CC1 ZINC001161510069 802168264 /nfs/dbraw/zinc/16/82/64/802168264.db2.gz XDHUMCBIBDZXLB-UHFFFAOYSA-N 0 1 270.336 0.862 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cn(CC)nn2)CC1 ZINC001161585233 802185799 /nfs/dbraw/zinc/18/57/99/802185799.db2.gz JTFRAYWASXCPOO-UHFFFAOYSA-N 0 1 287.367 0.683 20 30 CCEDMN COCC1(CNC(=O)[C@H](C)C#N)CCN(CCF)CC1 ZINC001162166107 802284067 /nfs/dbraw/zinc/28/40/67/802284067.db2.gz UJYBYRIYLCKKLD-GFCCVEGCSA-N 0 1 285.363 0.960 20 30 CCEDMN Cc1cnc(C#N)c(NCc2noc3c2CNCC3)n1 ZINC001163128999 802488841 /nfs/dbraw/zinc/48/88/41/802488841.db2.gz FHGSTCSRSXPRLP-UHFFFAOYSA-N 0 1 270.296 0.903 20 30 CCEDMN C=CCS(=O)(=O)NC1CCN(CCOC)CC1 ZINC001259935635 808810045 /nfs/dbraw/zinc/81/00/45/808810045.db2.gz LVXYDGLZTZBERM-UHFFFAOYSA-N 0 1 262.375 0.203 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2ocnc2C)C1 ZINC001265276614 809742196 /nfs/dbraw/zinc/74/21/96/809742196.db2.gz FPVSRLIOORGZAG-LBPRGKRZSA-N 0 1 279.340 0.990 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCCNCc1nncn1C ZINC001164223697 802691193 /nfs/dbraw/zinc/69/11/93/802691193.db2.gz VEJCMYPGNMFLLK-RYUDHWBXSA-N 0 1 279.388 0.869 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001164323421 802723094 /nfs/dbraw/zinc/72/30/94/802723094.db2.gz HIKDTPKGIXWZJW-RYUDHWBXSA-N 0 1 294.403 0.969 20 30 CCEDMN CN(C1CN(c2nc(Cl)cnc2C#N)C1)[C@@H]1CCOC1 ZINC001165204166 802794820 /nfs/dbraw/zinc/79/48/20/802794820.db2.gz VPKNGHCURSUQDA-SECBINFHSA-N 0 1 293.758 0.911 20 30 CCEDMN C=CCCCC(=O)NCCCN[C@@H](C)c1nnnn1C ZINC001165543136 802852499 /nfs/dbraw/zinc/85/24/99/802852499.db2.gz ZHPYBOGDMGOWCF-NSHDSACASA-N 0 1 280.376 0.723 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCCC2)C[C@H]1CCNCC#N ZINC001105011572 811803952 /nfs/dbraw/zinc/80/39/52/811803952.db2.gz OJHUJYANCTXCTL-ZIAGYGMSSA-N 0 1 278.400 0.680 20 30 CCEDMN C#Cc1cncc(C(=O)NCCCNCc2cnon2)c1 ZINC001166305035 802899917 /nfs/dbraw/zinc/89/99/17/802899917.db2.gz KGOKPIJZFSQIQR-UHFFFAOYSA-N 0 1 285.307 0.356 20 30 CCEDMN N#CCNCCCNC(=O)[C@H]1CCCc2[nH]cnc21 ZINC001166401107 802905066 /nfs/dbraw/zinc/90/50/66/802905066.db2.gz CAHLJEKKBSOLSU-JTQLQIEISA-N 0 1 261.329 0.449 20 30 CCEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cnn(C)n1 ZINC001028198390 812355922 /nfs/dbraw/zinc/35/59/22/812355922.db2.gz GMWFNBCVIMMIGP-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cnn(C)c1C1CC1 ZINC001268258214 812425285 /nfs/dbraw/zinc/42/52/85/812425285.db2.gz AFJFCTLCZVOQET-UHFFFAOYSA-N 0 1 274.368 0.935 20 30 CCEDMN C[C@H]([C@H](C)O)N1CCN(c2cc(C#N)ccn2)CC1 ZINC001167016674 803011615 /nfs/dbraw/zinc/01/16/15/803011615.db2.gz OAAOZZPMFSEALD-NEPJUHHUSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)CN(C)C1CCC1 ZINC001207563131 803419325 /nfs/dbraw/zinc/41/93/25/803419325.db2.gz GRRMNWLMINFTHN-DGCLKSJQSA-N 0 1 264.373 0.431 20 30 CCEDMN C=CCOC(=O)c1c(N)nn(C2=CCN(C)CC2)c1N ZINC001208741528 803542790 /nfs/dbraw/zinc/54/27/90/803542790.db2.gz KMTXQSHXWANHKC-UHFFFAOYSA-N 0 1 277.328 0.567 20 30 CCEDMN C#CCCCN1CC(N2CCN(c3ncccn3)CC2)C1 ZINC001209260736 803583844 /nfs/dbraw/zinc/58/38/44/803583844.db2.gz DPQLORRDEURJEY-UHFFFAOYSA-N 0 1 285.395 0.696 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCN2CCCC2=O)C1 ZINC001211083321 803667172 /nfs/dbraw/zinc/66/71/72/803667172.db2.gz DWKFWRGNTLEIFQ-CHWSQXEVSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)OCCOCC)C1 ZINC001211292648 803675056 /nfs/dbraw/zinc/67/50/56/803675056.db2.gz PVHUXFKQGVWIAT-RBSFLKMASA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](F)C(C)C)[C@H](OC)C1 ZINC001213300525 803690590 /nfs/dbraw/zinc/69/05/90/803690590.db2.gz WGORASJMLLNXBW-JHJVBQTASA-N 0 1 270.348 0.819 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001213356837 803691243 /nfs/dbraw/zinc/69/12/43/803691243.db2.gz BURRIBJFOBFMFT-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@H]2OC)CCC1 ZINC001213397241 803692625 /nfs/dbraw/zinc/69/26/25/803692625.db2.gz DBKHAVICVMBLNT-BFHYXJOUSA-N 0 1 296.411 0.929 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](COC)OC)[C@H](OC)C1 ZINC001213413901 803694018 /nfs/dbraw/zinc/69/40/18/803694018.db2.gz HLGRTNBABYQWGQ-UPJWGTAASA-N 0 1 286.372 0.039 20 30 CCEDMN N#C[C@]1(F)CCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000897516367 814965310 /nfs/dbraw/zinc/96/53/10/814965310.db2.gz DZSCTYHAFXEZOZ-DGCLKSJQSA-N 0 1 267.304 0.171 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H](C)CC(N)=O)C[C@H]1C ZINC001215314250 803791646 /nfs/dbraw/zinc/79/16/46/803791646.db2.gz IWLTWGYMILRCHB-IQJOONFLSA-N 0 1 287.791 0.687 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)Cc2ccnn2C)C1 ZINC001042666266 814969372 /nfs/dbraw/zinc/96/93/72/814969372.db2.gz VNFOKIMXJBFYAT-UHFFFAOYSA-N 0 1 262.357 0.681 20 30 CCEDMN O=C(C#Cc1ccccc1)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000405257352 803870409 /nfs/dbraw/zinc/87/04/09/803870409.db2.gz MXVKUKFSWOGFCR-LSDHHAIUSA-N 0 1 270.332 0.316 20 30 CCEDMN N#Cc1ccc(-c2noc(-c3cnn4c3CNCC4)n2)nc1 ZINC001217218262 803878814 /nfs/dbraw/zinc/87/88/14/803878814.db2.gz BBPOCUYSTPRWPS-UHFFFAOYSA-N 0 1 293.290 0.970 20 30 CCEDMN C=CCOCCN1C[C@H]2OCCN(C(=O)C(C)C)[C@H]2C1 ZINC001217295239 803882449 /nfs/dbraw/zinc/88/24/49/803882449.db2.gz FVFMIIAPMHOFSJ-UONOGXRCSA-N 0 1 282.384 0.757 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001217420562 803890450 /nfs/dbraw/zinc/89/04/50/803890450.db2.gz NEAOVVGDWVQQQX-MELADBBJSA-N 0 1 282.384 0.899 20 30 CCEDMN CCOCCCN1C[C@H]2OCCN(C(=O)[C@H](C)C#N)[C@H]2C1 ZINC001217554758 803895669 /nfs/dbraw/zinc/89/56/69/803895669.db2.gz GEZXCTLXIMRFIY-HZSPNIEDSA-N 0 1 295.383 0.484 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)Nc1ncccn1 ZINC001218494390 803960416 /nfs/dbraw/zinc/96/04/16/803960416.db2.gz PIRABKYWLJUHJF-LBPRGKRZSA-N 0 1 267.292 0.857 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1OC ZINC001212034566 814981003 /nfs/dbraw/zinc/98/10/03/814981003.db2.gz XTDFFNPIPLUSAQ-JHJVBQTASA-N 0 1 286.372 0.039 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N1CCO[C@@H]2CN(CCCO)C[C@@H]21 ZINC001218523312 803984825 /nfs/dbraw/zinc/98/48/25/803984825.db2.gz KNHWFFURQDGMJJ-QLFBSQMISA-N 0 1 296.411 0.883 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)Nc1nnccc1C#N ZINC001218700645 804085753 /nfs/dbraw/zinc/08/57/53/804085753.db2.gz MFVMUWXCIQLUCU-VIFPVBQESA-N 0 1 263.301 0.429 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CCO[C@@H]2CN(CCC)C[C@@H]21 ZINC001219065566 804189830 /nfs/dbraw/zinc/18/98/30/804189830.db2.gz ISEREGZYMOOSBM-HZSPNIEDSA-N 0 1 282.384 0.899 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C\c2ccco2)[C@@H](O)C1 ZINC001219116223 804206713 /nfs/dbraw/zinc/20/67/13/804206713.db2.gz JCRRVNRLIJELHN-WJDZFGBRSA-N 0 1 274.320 0.477 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCC=C)C[C@@H]1O ZINC001219344368 804279254 /nfs/dbraw/zinc/27/92/54/804279254.db2.gz HFGRMWHTGVCUNF-OLZOCXBDSA-N 0 1 268.357 0.317 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCOCC)C[C@@H]1O ZINC001219492370 804329911 /nfs/dbraw/zinc/32/99/11/804329911.db2.gz JNSGMJKMNACMRC-KGLIPLIRSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C(/C)CC)[C@@H](O)C1 ZINC001219578772 804357907 /nfs/dbraw/zinc/35/79/07/804357907.db2.gz NMPBFXSDCMFJHE-ASDJVNKTSA-N 0 1 250.342 0.527 20 30 CCEDMN CC(C)OCCCN1C[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001219594727 804365144 /nfs/dbraw/zinc/36/51/44/804365144.db2.gz UWQZTMRZPOCAJS-CABCVRRESA-N 0 1 294.395 0.376 20 30 CCEDMN N#Cc1cccc(C(=O)NC[C@]2(O)CCNC[C@@H]2F)c1F ZINC001219625894 804379947 /nfs/dbraw/zinc/37/99/47/804379947.db2.gz HAJMAUWTSSKIMC-SMDDNHRTSA-N 0 1 295.289 0.490 20 30 CCEDMN C#CCCCNC(=O)[C@H](Cc1ccccc1)NC(=O)CN ZINC001219634549 804383404 /nfs/dbraw/zinc/38/34/04/804383404.db2.gz ZVUGGYDASLZFLX-AWEZNQCLSA-N 0 1 287.363 0.202 20 30 CCEDMN C[C@H]1OCC[C@H]1CNC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001219634264 804383964 /nfs/dbraw/zinc/38/39/64/804383964.db2.gz QWRQQPPBVXWCAN-UGFHNGPFSA-N 0 1 287.363 0.969 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@@H]1O ZINC001219720249 804414549 /nfs/dbraw/zinc/41/45/49/804414549.db2.gz HQNMISRLVJRVHI-UPJWGTAASA-N 0 1 270.373 0.539 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)[C@H](N)[C@@H](C)OC(C)(C)C ZINC001220124959 804506312 /nfs/dbraw/zinc/50/63/12/804506312.db2.gz ROEJNPTXMMSBKY-GHMZBOCLSA-N 0 1 286.372 0.753 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C(F)F)CCC2)[C@@H](O)C1 ZINC001220216494 804538578 /nfs/dbraw/zinc/53/85/78/804538578.db2.gz MIDMQKJXMQWQHF-MNOVXSKESA-N 0 1 286.322 0.606 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(CCOC(C)C)C[C@@H]2O)C1 ZINC001220320454 804572902 /nfs/dbraw/zinc/57/29/02/804572902.db2.gz AJYUZWOMKXPBPH-KGLIPLIRSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cccn2ccnc12 ZINC001272131438 815025342 /nfs/dbraw/zinc/02/53/42/815025342.db2.gz FUCVAANPYAUHQI-UHFFFAOYSA-N 0 1 270.336 0.971 20 30 CCEDMN C=C[C@@H]1C[C@]1(NC(=O)[C@@H](N)COC(C)(C)C)C(=O)OCC ZINC001220573877 804628341 /nfs/dbraw/zinc/62/83/41/804628341.db2.gz ZOIKMTLGYZWBEP-JRPNMDOOSA-N 0 1 298.383 0.753 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COCCCCCC)[C@@H](O)C1 ZINC001220855655 804682727 /nfs/dbraw/zinc/68/27/27/804682727.db2.gz HXOLQZYVYWDJBD-CABCVRRESA-N 0 1 296.411 0.768 20 30 CCEDMN C=CCC[C@@H](NC(=O)NCC[N@H+](C)C1CC1)C(=O)[O-] ZINC000316537061 804707655 /nfs/dbraw/zinc/70/76/55/804707655.db2.gz WYWXWUQQGSVPSE-LLVKDONJSA-N 0 1 269.345 0.799 20 30 CCEDMN N=C(Nc1ncc2c(n1)CNCC2)c1nccs1 ZINC001168275971 815034027 /nfs/dbraw/zinc/03/40/27/815034027.db2.gz SEFLEDCBYDFJDA-UHFFFAOYSA-N 0 1 260.326 0.616 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCCO)C[C@@H]2O)CCCC1 ZINC001221199156 804743278 /nfs/dbraw/zinc/74/32/78/804743278.db2.gz PDUKZNJVVNSJEO-KGLIPLIRSA-N 0 1 296.411 0.667 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)CSCC#N)[C@@H]2C1 ZINC001221291530 804766730 /nfs/dbraw/zinc/76/67/30/804766730.db2.gz KEPUWPLBGJWDFZ-CHWSQXEVSA-N 0 1 277.393 0.799 20 30 CCEDMN C=CCOCCN1CC[C@@H]2CN(C(=O)[C@@H](C)OC)[C@@H]2C1 ZINC001221334821 804780112 /nfs/dbraw/zinc/78/01/12/804780112.db2.gz UEIBCGDFIKAAFW-MGPQQGTHSA-N 0 1 282.384 0.757 20 30 CCEDMN CC[C@@H](CO)NC(=O)[C@@H](N)Cc1cccc(C#N)c1 ZINC001221377379 804794047 /nfs/dbraw/zinc/79/40/47/804794047.db2.gz UTQVEZVSLPBXMT-STQMWFEESA-N 0 1 261.325 0.315 20 30 CCEDMN CCOCCN1CC[C@@H]2CN(C(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001221449069 804819688 /nfs/dbraw/zinc/81/96/88/804819688.db2.gz VXZHFKYYFZWJJA-YNEHKIRRSA-N 0 1 265.357 0.715 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H](C)CC(N)=O)[C@@H]2C1 ZINC001221731819 804838217 /nfs/dbraw/zinc/83/82/17/804838217.db2.gz RVHAWOLHULRNOA-QJPTWQEYSA-N 0 1 265.357 0.217 20 30 CCEDMN COCC#CCN1CC[C@@H]2CCN(C(=O)CCOC)C[C@@H]21 ZINC001222170601 804876820 /nfs/dbraw/zinc/87/68/20/804876820.db2.gz SUHANLFVLOVLPB-CABCVRRESA-N 0 1 294.395 0.596 20 30 CCEDMN COCC#CCN1CCC(CNC(=O)[C@@H]2CCCO2)CC1 ZINC001222293464 804889755 /nfs/dbraw/zinc/88/97/55/804889755.db2.gz JTIAHIAGVWVLBX-HNNXBMFYSA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)C(C)C)CC1 ZINC001222386959 804896397 /nfs/dbraw/zinc/89/63/97/804896397.db2.gz CPLODZBLGLBTSL-UHFFFAOYSA-N 0 1 281.400 0.773 20 30 CCEDMN C=CCCC(=O)NCC1CCN(CC(=O)NCC)CC1 ZINC001222579925 804917227 /nfs/dbraw/zinc/91/72/27/804917227.db2.gz YSOFTHOFFNMTFZ-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN C#CCNC(=O)CN1CCC(CNC(=O)CCC=C)CC1 ZINC001222579352 804917409 /nfs/dbraw/zinc/91/74/09/804917409.db2.gz TWATUSSZURGFMP-UHFFFAOYSA-N 0 1 291.395 0.530 20 30 CCEDMN CC(C)C#CC(=O)NCC1CCN([C@@H]2CCNC2=O)CC1 ZINC001223113971 804954852 /nfs/dbraw/zinc/95/48/52/804954852.db2.gz FUIAYZYNOXIEIS-CQSZACIVSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CCN(C(=O)[C@@H](C)CC(N)=O)C[C@@H]21 ZINC001223251585 804962895 /nfs/dbraw/zinc/96/28/95/804962895.db2.gz DMSHMQISXPLVDG-XQQFMLRXSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](C)CC(N)=O)C[C@@H]21 ZINC001223251585 804962899 /nfs/dbraw/zinc/96/28/99/804962899.db2.gz DMSHMQISXPLVDG-XQQFMLRXSA-N 0 1 277.368 0.054 20 30 CCEDMN C=C(C)CCC(=O)NCC1CCN(CC(N)=O)CC1 ZINC001223353876 804967129 /nfs/dbraw/zinc/96/71/29/804967129.db2.gz UTKHTRBKZZLKLU-UHFFFAOYSA-N 0 1 267.373 0.656 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)[C@H](COC)OC)C[C@@H]21 ZINC001223474423 804975032 /nfs/dbraw/zinc/97/50/32/804975032.db2.gz AOBFQXSCTCTWIT-ILXRZTDVSA-N 0 1 294.395 0.594 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)C(=O)NCC3CC3)C[C@@H]21 ZINC001223778951 804991073 /nfs/dbraw/zinc/99/10/73/804991073.db2.gz SRBMXQXHAVJODZ-KGLIPLIRSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)[C@@H](C)Cc1cnc[nH]1 ZINC001276942228 805004191 /nfs/dbraw/zinc/00/41/91/805004191.db2.gz UWHXDMOBRXYHMA-AAEUAGOBSA-N 0 1 260.341 0.412 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)NCCCC#N)n1 ZINC001226132014 805195698 /nfs/dbraw/zinc/19/56/98/805195698.db2.gz AESMKXHEQGBFEF-UHFFFAOYSA-N 0 1 268.346 0.771 20 30 CCEDMN CSCc1nnc(CNC(=O)NCCCC#N)[nH]1 ZINC001226132014 805195699 /nfs/dbraw/zinc/19/56/99/805195699.db2.gz AESMKXHEQGBFEF-UHFFFAOYSA-N 0 1 268.346 0.771 20 30 CCEDMN CO[C@H](CCNC(=O)NCC#CCN(C)C)C(F)(F)F ZINC001226383444 805224385 /nfs/dbraw/zinc/22/43/85/805224385.db2.gz ORUBBMYGNBNLBM-SNVBAGLBSA-N 0 1 295.305 0.818 20 30 CCEDMN C=CCOCC(=O)NC1CCN(Cc2cnn(C)c2)CC1 ZINC001226588900 805252121 /nfs/dbraw/zinc/25/21/21/805252121.db2.gz DXMPTPRKJJHFGA-UHFFFAOYSA-N 0 1 292.383 0.703 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCN(Cc2cnn(C)c2)CC1 ZINC001226617494 805256405 /nfs/dbraw/zinc/25/64/05/805256405.db2.gz HWNKNQVTMXFWGH-LLVKDONJSA-N 0 1 275.356 0.660 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@H]1CCC(C)(C)O1 ZINC001226795786 805282831 /nfs/dbraw/zinc/28/28/31/805282831.db2.gz XPNXNTOEHIIDJL-GFCCVEGCSA-N 0 1 267.373 0.808 20 30 CCEDMN CC(C)C#CC(=O)NC1CCN([C@@H]2CCCNC2=O)CC1 ZINC001227245036 805335066 /nfs/dbraw/zinc/33/50/66/805335066.db2.gz VVGFPEDUPHBRFR-CQSZACIVSA-N 0 1 291.395 0.505 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCNC(=O)[C@@H]1CCCN1C ZINC001075941179 815068148 /nfs/dbraw/zinc/06/81/48/815068148.db2.gz NHXJKKHMRFFCAQ-KBPBESRZSA-N 0 1 293.411 0.751 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)NC1CCN(CC#N)CC1 ZINC001227629287 805374617 /nfs/dbraw/zinc/37/46/17/805374617.db2.gz SALCFQUWVLWVIP-ZDUSSCGKSA-N 0 1 284.379 0.770 20 30 CCEDMN COC(=O)c1cc(=O)c(O[C@@H]2CCN(CC#N)C2)c[nH]1 ZINC001227785569 805390111 /nfs/dbraw/zinc/39/01/11/805390111.db2.gz IMSJCONQHBJAPI-SECBINFHSA-N 0 1 277.280 0.138 20 30 CCEDMN C#CCN1CCC(NC(=O)COC[C@@H]2CCOC2)CC1 ZINC001229427183 805532102 /nfs/dbraw/zinc/53/21/02/805532102.db2.gz XOWJTKXIAJJZDN-CYBMUJFWSA-N 0 1 280.368 0.253 20 30 CCEDMN C=CCNC(=O)CNC(=O)NCc1n[nH]c(C(C)(C)C)n1 ZINC001229886029 805564523 /nfs/dbraw/zinc/56/45/23/805564523.db2.gz UEGAFMHNBJTLEL-UHFFFAOYSA-N 0 1 294.359 0.204 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](NCc2ccc(C#N)c(F)c2)CN1 ZINC001246279091 807206190 /nfs/dbraw/zinc/20/61/90/807206190.db2.gz ZFTYHVGUFICSOS-AAEUAGOBSA-N 0 1 277.299 0.690 20 30 CCEDMN COc1ccc(C#N)cc1CNCCNC(=O)c1ncc[nH]1 ZINC001126801381 815112756 /nfs/dbraw/zinc/11/27/56/815112756.db2.gz STKJUWUVMMMQRU-UHFFFAOYSA-N 0 1 299.334 0.810 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCCC(=O)N2)[C@H]1C ZINC001088728992 815120472 /nfs/dbraw/zinc/12/04/72/815120472.db2.gz IZEHBAHPYVOTQR-AGIUHOORSA-N 0 1 277.368 0.257 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCCC(=O)N2)[C@H]1C ZINC001088729151 815121622 /nfs/dbraw/zinc/12/16/22/815121622.db2.gz OGLKZOVYVFKKII-RDBSUJKOSA-N 0 1 291.395 0.648 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@H]1CCCOC1 ZINC001248185587 807508953 /nfs/dbraw/zinc/50/89/53/807508953.db2.gz SXEMUZARXWVYFV-GFCCVEGCSA-N 0 1 253.346 0.277 20 30 CCEDMN N#Cc1ccc(-c2noc([C@@H]3C[C@H](O)CN3)n2)nc1 ZINC001248281341 807509536 /nfs/dbraw/zinc/50/95/36/807509536.db2.gz OVELDRHRLVPSBX-WPRPVWTQSA-N 0 1 257.253 0.399 20 30 CCEDMN CN(Cc1cc2ccc(C#N)cc2[nH]1)C[C@@H](O)CO ZINC001249428031 807556340 /nfs/dbraw/zinc/55/63/40/807556340.db2.gz ZRKZEBDBVYZBSM-CYBMUJFWSA-N 0 1 259.309 0.825 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)C(=O)NCC2CC2)[C@H]1C ZINC001278526700 807565102 /nfs/dbraw/zinc/56/51/02/807565102.db2.gz MBXPWQKGQYJXNF-OCCSQVGLSA-N 0 1 291.395 0.505 20 30 CCEDMN N#C[C@@H]1CN(C[C@@H](O)COc2ccccc2)CCC1=O ZINC001251189411 807674304 /nfs/dbraw/zinc/67/43/04/807674304.db2.gz KRZDQPOJJYUVJX-CHWSQXEVSA-N 0 1 274.320 0.841 20 30 CCEDMN CC(C)C#CC(=O)NCCNCC(=O)Nc1nccs1 ZINC001126828089 815141122 /nfs/dbraw/zinc/14/11/22/815141122.db2.gz JUAWHCPFTKPLHF-UHFFFAOYSA-N 0 1 294.380 0.447 20 30 CCEDMN COc1cccc(CNCCNC(=O)C#CC(C)C)n1 ZINC001126827901 815141320 /nfs/dbraw/zinc/14/13/20/815141320.db2.gz FENYLQXWQPWUCK-UHFFFAOYSA-N 0 1 275.352 0.955 20 30 CCEDMN C#CCOC[C@H](O)CNc1cc(C)nn1-c1ccncc1 ZINC001251829455 807712615 /nfs/dbraw/zinc/71/26/15/807712615.db2.gz VUNGHSOPFUYQRI-CQSZACIVSA-N 0 1 286.335 0.998 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(c2cccnc2)CC1 ZINC001251832972 807713859 /nfs/dbraw/zinc/71/38/59/807713859.db2.gz WKXWNAYHLKRQON-HNNXBMFYSA-N 0 1 275.352 0.214 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cncc(Cl)n1 ZINC001251890917 807736257 /nfs/dbraw/zinc/73/62/57/807736257.db2.gz JRNDEZQZSMVACE-SNVBAGLBSA-N 0 1 255.705 0.230 20 30 CCEDMN C#CCOC[C@H](O)CN[C@H](CC(=O)OC)c1cccnc1 ZINC001251893407 807737708 /nfs/dbraw/zinc/73/77/08/807737708.db2.gz CYKFXNAREFFSRH-ZIAGYGMSSA-N 0 1 292.335 0.286 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1NC[C@@H](O)c1ccccc1 ZINC001252012950 807759330 /nfs/dbraw/zinc/75/93/30/807759330.db2.gz GVQBKJCWMNNGAG-WCQGTBRESA-N 0 1 250.298 0.546 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCC[N@H+](C[C@H](O)CO)C2)cc1 ZINC001252062092 807785810 /nfs/dbraw/zinc/78/58/10/807785810.db2.gz GLOCYYZAIPZKHI-ZFWWWQNUSA-N 0 1 276.336 0.755 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1nnc2c(C)cccn12 ZINC001252451665 807868866 /nfs/dbraw/zinc/86/88/66/807868866.db2.gz UKWYSNIBAJKUSD-LBPRGKRZSA-N 0 1 276.340 0.691 20 30 CCEDMN C=CCOC[C@@H](O)CN1Cc2n[nH]c(COC)c2C1 ZINC001252462593 807873888 /nfs/dbraw/zinc/87/38/88/807873888.db2.gz IDMVXZBTNYLTAU-JTQLQIEISA-N 0 1 267.329 0.435 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCN(Cc2ccccn2)CC1 ZINC001252462425 807874599 /nfs/dbraw/zinc/87/45/99/807874599.db2.gz HGHHFZLDVHKZFK-INIZCTEOSA-N 0 1 291.395 0.763 20 30 CCEDMN C=CCOC[C@H](O)CN1CCN(c2cncnc2)CC1 ZINC001252469902 807880149 /nfs/dbraw/zinc/88/01/49/807880149.db2.gz DOJZBDYOWXLJHE-CQSZACIVSA-N 0 1 278.356 0.162 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCC[C@H](NC(=O)OCC=C)C1 ZINC001252471678 807883230 /nfs/dbraw/zinc/88/32/30/807883230.db2.gz GHSQXRLKYVENEC-KBPBESRZSA-N 0 1 298.383 0.927 20 30 CCEDMN C=CCOC[C@H](O)CNCC(=O)N1CCc2ccccc21 ZINC001252482256 807887374 /nfs/dbraw/zinc/88/73/74/807887374.db2.gz JVLGNOYPBNLKBP-CQSZACIVSA-N 0 1 290.363 0.729 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cncc(Cl)n1 ZINC001252488308 807891219 /nfs/dbraw/zinc/89/12/19/807891219.db2.gz KNBQUOPEBNZIAU-JTQLQIEISA-N 0 1 257.721 0.783 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1cn(CCF)cn1 ZINC001252495853 807893637 /nfs/dbraw/zinc/89/36/37/807893637.db2.gz RZGULKHARUGBNZ-LBPRGKRZSA-N 0 1 257.309 0.506 20 30 CCEDMN C=CCOC[C@H](O)CN[C@@H](CO)c1cccnc1 ZINC001252497746 807896234 /nfs/dbraw/zinc/89/62/34/807896234.db2.gz YAPSEMJIHKSWHY-OLZOCXBDSA-N 0 1 252.314 0.268 20 30 CCEDMN C=CCN1CCN(C[C@H](O)COCCCC)CC1 ZINC001252512609 807898913 /nfs/dbraw/zinc/89/89/13/807898913.db2.gz ZDJKEHISASSVPM-AWEZNQCLSA-N 0 1 256.390 0.968 20 30 CCEDMN C=C[C@@](C)(O)CN1Cc2ccnn2CC[C@@H]1CO ZINC001252557594 807911169 /nfs/dbraw/zinc/91/11/69/807911169.db2.gz NYZKFPBKFLLOEW-CHWSQXEVSA-N 0 1 251.330 0.387 20 30 CCEDMN N#C[C@H]1CN(C[C@@H](O)COCc2ccco2)CCC1=O ZINC001252641431 807925619 /nfs/dbraw/zinc/92/56/19/807925619.db2.gz ORVYQSXIPRTVAD-NWDGAFQWSA-N 0 1 278.308 0.572 20 30 CCEDMN C=C[C@@H](C)NC(=O)CCNC(O)=C1N=CC=CC1=O ZINC001252843204 807976923 /nfs/dbraw/zinc/97/69/23/807976923.db2.gz ONAKFRVWLDJLSZ-TWLKNAOBSA-N 0 1 263.297 0.594 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCCOC1 ZINC001038119617 815172117 /nfs/dbraw/zinc/17/21/17/815172117.db2.gz IZZHZAGWVCMCJH-STQMWFEESA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCCCCCC[C@@H](O)CN[C@H](CO)C(N)=O ZINC001253250879 808040778 /nfs/dbraw/zinc/04/07/78/808040778.db2.gz DWGVFVNZYPIGDG-VXGBXAGGSA-N 0 1 258.362 0.310 20 30 CCEDMN CCOC(=O)C[C@H](O)CNCC#Cc1ccccc1 ZINC001253809755 808150727 /nfs/dbraw/zinc/15/07/27/808150727.db2.gz NPAPNPWROAFPAM-AWEZNQCLSA-N 0 1 261.321 0.942 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C2CCC(O)CC2)C1 ZINC001278776076 808201975 /nfs/dbraw/zinc/20/19/75/808201975.db2.gz CPECOOPGVJIVCZ-ZBCRRDGASA-N 0 1 294.395 0.114 20 30 CCEDMN N#Cc1ccc(OCCN[C@H]2CCS(=O)(=O)C2)cc1 ZINC001254320986 808205473 /nfs/dbraw/zinc/20/54/73/808205473.db2.gz FYTLDCYTMBSERA-LBPRGKRZSA-N 0 1 280.349 0.714 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@@H](F)CC)C1 ZINC001278795423 808262957 /nfs/dbraw/zinc/26/29/57/808262957.db2.gz OIMOAEVNJVJAIN-WCQYABFASA-N 0 1 256.321 0.311 20 30 CCEDMN CC(C)(C)OC(=O)C[C@H](N[C@@H]1CC[C@H](C#N)C1)C(N)=O ZINC001254658089 808269786 /nfs/dbraw/zinc/26/97/86/808269786.db2.gz UBBDFHUGSAEBHA-AXFHLTTASA-N 0 1 281.356 0.854 20 30 CCEDMN COC(=O)CNC(=O)[C@@H]1CCCN1[C@@H]1CC[C@H](C#N)C1 ZINC001254676085 808273184 /nfs/dbraw/zinc/27/31/84/808273184.db2.gz ISMMOTYWMCKGDR-TUAOUCFPSA-N 0 1 279.340 0.432 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CC(CC)CC)C1 ZINC001278816899 808282535 /nfs/dbraw/zinc/28/25/35/808282535.db2.gz YJQLJGJQVQHCOI-HNNXBMFYSA-N 0 1 266.385 0.999 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2cc(Cl)no2)C1 ZINC001278823310 808295294 /nfs/dbraw/zinc/29/52/94/808295294.db2.gz YCTMNJLNMNJYAD-LBPRGKRZSA-N 0 1 283.715 0.128 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)[C@@H](C)C#N)C1 ZINC001278848373 808328241 /nfs/dbraw/zinc/32/82/41/808328241.db2.gz HQQGLPIUJZASAN-RYUDHWBXSA-N 0 1 265.357 0.664 20 30 CCEDMN CCn1nncc1CNCCNC(=O)C#CC(C)(C)C ZINC001126893325 815198284 /nfs/dbraw/zinc/19/82/84/815198284.db2.gz LAFGOYAHRGWMFS-UHFFFAOYSA-N 0 1 277.372 0.553 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N(C)C[C@H](O)CN(C)CC#N ZINC001278888719 808428952 /nfs/dbraw/zinc/42/89/52/808428952.db2.gz HGUZDWICRXHARV-ZIAGYGMSSA-N 0 1 296.415 0.135 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@]1(C)CC(C)(C)OC1=O ZINC001256428343 808527596 /nfs/dbraw/zinc/52/75/96/808527596.db2.gz MGTULHKHUMIMJA-AWEZNQCLSA-N 0 1 281.356 0.335 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2CCN(C(=O)c3ccnc(OC)n3)[C@@H]2C1 ZINC001076026093 815234417 /nfs/dbraw/zinc/23/44/17/815234417.db2.gz QRMCTHZFAXQSSF-WCQYABFASA-N 0 1 288.351 0.818 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3ccnc(OC)n3)[C@@H]2C1 ZINC001076026093 815234420 /nfs/dbraw/zinc/23/44/20/815234420.db2.gz QRMCTHZFAXQSSF-WCQYABFASA-N 0 1 288.351 0.818 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3c(C)cnn3C)[C@@H]2C1 ZINC001076147282 815251610 /nfs/dbraw/zinc/25/16/10/815251610.db2.gz BDTLFAIXIRPGKX-QWHCGFSZSA-N 0 1 272.352 0.508 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N(CCC)[C@@H]1CCN(C)C1 ZINC001261468825 808988111 /nfs/dbraw/zinc/98/81/11/808988111.db2.gz NNTJQNCXGURVEG-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@]3(F)CCOC3)[C@@H]2C1 ZINC001076171363 815255384 /nfs/dbraw/zinc/25/53/84/815255384.db2.gz BUBTZKQUZLZFJT-GZBFAFLISA-N 0 1 280.343 0.671 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001076297952 815273925 /nfs/dbraw/zinc/27/39/25/815273925.db2.gz RJOGIYOWBXIFID-JTQLQIEISA-N 0 1 277.328 0.233 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001076297952 815273929 /nfs/dbraw/zinc/27/39/29/815273929.db2.gz RJOGIYOWBXIFID-JTQLQIEISA-N 0 1 277.328 0.233 20 30 CCEDMN COc1cc(CN[C@@]2(C)CCNC2=O)ccc1C#N ZINC001262377869 809296637 /nfs/dbraw/zinc/29/66/37/809296637.db2.gz KHORDOSKAGYOOM-AWEZNQCLSA-N 0 1 259.309 0.935 20 30 CCEDMN C#C[C@H](NC[C@H](O)C[C@]1(O)CCOC1)c1ccc(F)cc1 ZINC001262566804 809345689 /nfs/dbraw/zinc/34/56/89/809345689.db2.gz AMLIMOLGTDCRSD-OWCLPIDISA-N 0 1 293.338 0.992 20 30 CCEDMN C=CC[C@H](CC)NC(=O)N1CCNC[C@@H]1COC ZINC001262581496 809355589 /nfs/dbraw/zinc/35/55/89/809355589.db2.gz URDNLZOIKASYJS-NWDGAFQWSA-N 0 1 255.362 0.971 20 30 CCEDMN C=C[C@@H](O)c1nnc(N2CCN(CC)CC2)n1CC ZINC001263022436 809427858 /nfs/dbraw/zinc/42/78/58/809427858.db2.gz AAAPTBZKGINNMQ-LLVKDONJSA-N 0 1 265.361 0.659 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cc3nonc3C)[C@@H]2C1 ZINC001076415296 815293511 /nfs/dbraw/zinc/29/35/11/815293511.db2.gz INWDHKIOIKTYEJ-GXTWGEPZSA-N 0 1 288.351 0.477 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)c1cc2n(n1)CCO2 ZINC001263331864 809511856 /nfs/dbraw/zinc/51/18/56/809511856.db2.gz ARZBBTRNCXQMQY-MRVPVSSYSA-N 0 1 257.253 0.499 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)C[C@@H]2CCN2CCO)C1 ZINC001263812198 809575557 /nfs/dbraw/zinc/57/55/57/809575557.db2.gz JBFZBUMQZQHQPQ-LBPRGKRZSA-N 0 1 252.358 0.868 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)c2nnc(C)o2)CC1 ZINC001263830787 809582241 /nfs/dbraw/zinc/58/22/41/809582241.db2.gz VBCKXEQJUYJXEH-SNVBAGLBSA-N 0 1 291.355 0.836 20 30 CCEDMN C=CCCC(=O)NC[C@H]1C[C@H](NCc2ncnn2C)C1 ZINC001264416074 809653787 /nfs/dbraw/zinc/65/37/87/809653787.db2.gz NQCUDFQAECVGKU-HAQNSBGRSA-N 0 1 277.372 0.766 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1CC(N)=O ZINC001264588677 809663949 /nfs/dbraw/zinc/66/39/49/809663949.db2.gz KEVAWAFSRNYCPI-DGAVXFQQSA-N 0 1 291.395 0.607 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H]2CCN([C@H](C)C(N)=O)C[C@H]2C1 ZINC001264644472 809668379 /nfs/dbraw/zinc/66/83/79/809668379.db2.gz XAXDKFWBWWUDAJ-MCIONIFRSA-N 0 1 291.395 0.300 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC(C)(C)O)[C@H]1C ZINC001264698895 809674013 /nfs/dbraw/zinc/67/40/13/809674013.db2.gz VSLZOZOYVWLQBP-NEPJUHHUSA-N 0 1 252.358 0.750 20 30 CCEDMN CN(CC#N)CCCNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001264986841 809688158 /nfs/dbraw/zinc/68/81/58/809688158.db2.gz YMAKTGXBMLZJDZ-NSHDSACASA-N 0 1 275.356 0.791 20 30 CCEDMN C=CC(C)(C)CC(=O)NCCCN(C)[C@H]1CCNC1=O ZINC001264990208 809688845 /nfs/dbraw/zinc/68/88/45/809688845.db2.gz IBOIWELZAUZAJR-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN CNC(=O)CN(CCCNC(=O)C#CC(C)(C)C)C1CC1 ZINC001265161494 809718960 /nfs/dbraw/zinc/71/89/60/809718960.db2.gz PLSGHNPCXDSYGE-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C[C@@](C)(O)C=C)CC1 ZINC001265258480 809733951 /nfs/dbraw/zinc/73/39/51/809733951.db2.gz JFLKHLAEFAQRRP-HNNXBMFYSA-N 0 1 281.400 0.233 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H](NCc2ccn(C)n2)C1 ZINC001265903319 809890405 /nfs/dbraw/zinc/89/04/05/809890405.db2.gz MPZPQRFAACBLFN-OCCSQVGLSA-N 0 1 290.367 0.149 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001266060168 809928678 /nfs/dbraw/zinc/92/86/78/809928678.db2.gz RIYNLPWFLIZKSB-NEPJUHHUSA-N 0 1 275.356 0.883 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@@H](C)N[C@@H](C)c1nnnn1C ZINC001266167019 809953390 /nfs/dbraw/zinc/95/33/90/809953390.db2.gz MQOBEBLRLNZGEL-MNOVXSKESA-N 0 1 294.403 0.968 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)[C@H](O)C(C)C)C1 ZINC001279480736 809983259 /nfs/dbraw/zinc/98/32/59/809983259.db2.gz RWNOCVRMBXFMQD-TZMCWYRMSA-N 0 1 270.373 0.132 20 30 CCEDMN C#CCCN1CCC[C@@](CO)(NC(=O)[C@H](CCC)OC)C1 ZINC001279500406 809984942 /nfs/dbraw/zinc/98/49/42/809984942.db2.gz SGGKYUOSNAYRDT-GOEBONIOSA-N 0 1 296.411 0.768 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)COC(C)(C)C ZINC001279695933 809994012 /nfs/dbraw/zinc/99/40/12/809994012.db2.gz XDMLVSZXUMYQFT-UHFFFAOYSA-N 0 1 292.807 0.965 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CCNCc2cnn(C)n2)C1 ZINC001279990869 810002628 /nfs/dbraw/zinc/00/26/28/810002628.db2.gz LRPTZCPGIOAJGL-RYUDHWBXSA-N 0 1 290.371 0.303 20 30 CCEDMN C=C(C)CCC(=O)NCC1CC(NC(=O)c2cnn[nH]2)C1 ZINC001280052317 810004890 /nfs/dbraw/zinc/00/48/90/810004890.db2.gz NAUVBRPEOZQXEH-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN CC#CCN(C)Cc1ccccc1CNC(=O)C(N)=O ZINC001280333400 810010979 /nfs/dbraw/zinc/01/09/79/810010979.db2.gz JKSFMNRFUFCESL-UHFFFAOYSA-N 0 1 273.336 0.243 20 30 CCEDMN CC(C)N1C[C@@H](O)[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001076857564 815398488 /nfs/dbraw/zinc/39/84/88/815398488.db2.gz TVRLJJQIDMYZCL-VXGBXAGGSA-N 0 1 262.313 0.070 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)n2cccc2)C1 ZINC001076894480 815407069 /nfs/dbraw/zinc/40/70/69/815407069.db2.gz GQFOKRFEOOUFGX-BFHYXJOUSA-N 0 1 275.352 0.234 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@@H](C)N(C)C(=O)c2ccn[nH]2)n1 ZINC001104479198 811118226 /nfs/dbraw/zinc/11/82/26/811118226.db2.gz HXJOJGIJDBLEGR-SNVBAGLBSA-N 0 1 299.338 0.952 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ncccc1CC#N ZINC001167672159 811119577 /nfs/dbraw/zinc/11/95/77/811119577.db2.gz XVVZVSKAOOMVJZ-UHFFFAOYSA-N 0 1 254.297 0.580 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cccc(CC#N)n1 ZINC001167673828 811166654 /nfs/dbraw/zinc/16/66/54/811166654.db2.gz GIIKSYYDTOSXBC-UHFFFAOYSA-N 0 1 254.297 0.580 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2nn(C)cc2C)C1 ZINC001076981477 815426424 /nfs/dbraw/zinc/42/64/24/815426424.db2.gz ATHHCOZMDQBQJA-VXGBXAGGSA-N 0 1 278.356 0.080 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@@H](C)CNc2nccnc2C#N)[nH]1 ZINC001104501251 811186722 /nfs/dbraw/zinc/18/67/22/811186722.db2.gz PKZQGOCGBUHNKG-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN Cc1ncc(C(=O)N(C)[C@@H](C)CNc2ccnc(C#N)n2)[nH]1 ZINC001104501255 811187194 /nfs/dbraw/zinc/18/71/94/811187194.db2.gz PQTBNFVNRHHXDJ-VIFPVBQESA-N 0 1 299.338 0.374 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1cnc2[nH]cc(C#N)c2c1 ZINC001167676229 811234992 /nfs/dbraw/zinc/23/49/92/811234992.db2.gz VDZMNUGPOUFWDU-UHFFFAOYSA-N 0 1 279.307 0.867 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C3CCOCC3)[C@@H]2C1 ZINC001075479116 811241227 /nfs/dbraw/zinc/24/12/27/811241227.db2.gz ZSCKTKDVIBQLQK-UONOGXRCSA-N 0 1 262.353 0.579 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001267387407 811269658 /nfs/dbraw/zinc/26/96/58/811269658.db2.gz CXLLFJUCGCZVLB-NSHDSACASA-N 0 1 287.791 0.655 20 30 CCEDMN C#CCN1CC[C@@H](N(CCC)C(=O)CC(=O)NCC)C1 ZINC001267454510 811315083 /nfs/dbraw/zinc/31/50/83/811315083.db2.gz OCCJRPGREHDSOA-CYBMUJFWSA-N 0 1 279.384 0.459 20 30 CCEDMN N#Cc1nccc(N2CC[C@@H](NC(=O)Cc3cnc[nH]3)C2)n1 ZINC001058562243 811321125 /nfs/dbraw/zinc/32/11/25/811321125.db2.gz GKRDKXQZEAGMTA-SNVBAGLBSA-N 0 1 297.322 0.009 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C2CCS(=O)CC2)C1=O ZINC001167686148 811337154 /nfs/dbraw/zinc/33/71/54/811337154.db2.gz OBAYNCJAXWVCIC-BJCXPLBRSA-N 0 1 270.398 0.616 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)Cc2ncc[nH]2)CC1 ZINC001267618123 811575921 /nfs/dbraw/zinc/57/59/21/811575921.db2.gz LAHKPSWQASNJLA-UHFFFAOYSA-N 0 1 291.399 0.214 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cc2c([nH]1)CCOC2 ZINC001038337597 811625624 /nfs/dbraw/zinc/62/56/24/811625624.db2.gz WYCKKZJJKALTFE-ZDUSSCGKSA-N 0 1 287.363 0.915 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001267721746 811686771 /nfs/dbraw/zinc/68/67/71/811686771.db2.gz IEVLQPCCULQYEO-LBPRGKRZSA-N 0 1 291.351 0.794 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001267721746 811686776 /nfs/dbraw/zinc/68/67/76/811686776.db2.gz IEVLQPCCULQYEO-LBPRGKRZSA-N 0 1 291.351 0.794 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCCC(=O)NCCC)C1 ZINC001267752152 811746482 /nfs/dbraw/zinc/74/64/82/811746482.db2.gz UKHMYVYVUMMMEH-CYBMUJFWSA-N 0 1 279.384 0.507 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)C(C)(C)F)C2)nn1 ZINC001105130208 811851779 /nfs/dbraw/zinc/85/17/79/811851779.db2.gz HDFIIKDSJJMHHJ-UHFFFAOYSA-N 0 1 293.346 0.522 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1[nH]c(=O)[nH]c1C ZINC001038373285 811874912 /nfs/dbraw/zinc/87/49/12/811874912.db2.gz ASQHJMMVVSCNBQ-SNVBAGLBSA-N 0 1 264.329 0.804 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)COCC)CC1 ZINC001222313244 811880118 /nfs/dbraw/zinc/88/01/18/811880118.db2.gz ZWFICPUQOXLYAC-UHFFFAOYSA-N 0 1 297.399 0.153 20 30 CCEDMN C[C@H](CNCC#N)CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC001267985286 811924738 /nfs/dbraw/zinc/92/47/38/811924738.db2.gz DLPDRPWDIAFINX-MNOVXSKESA-N 0 1 275.356 0.695 20 30 CCEDMN C[C@@H](CNCC#N)CNC(=O)c1[nH]nc2c1CCC2 ZINC001267992401 811930789 /nfs/dbraw/zinc/93/07/89/811930789.db2.gz GMRMGAMKCOLQLE-VIFPVBQESA-N 0 1 261.329 0.377 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)[C@]23C[C@H]2COC3)C1 ZINC001268022418 811973730 /nfs/dbraw/zinc/97/37/30/811973730.db2.gz RFROTPSTBMXNQK-DZKIICNBSA-N 0 1 294.395 0.806 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc3[nH]ccc3n2)C1 ZINC001077380155 815489353 /nfs/dbraw/zinc/48/93/53/815489353.db2.gz GUYBZKISNONARA-HUUCEWRRSA-N 0 1 298.346 0.361 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccccc2)[C@@H](O)C1 ZINC001083575382 815490520 /nfs/dbraw/zinc/49/05/20/815490520.db2.gz NLRFOYSKSNYWEO-CABCVRRESA-N 0 1 274.364 0.967 20 30 CCEDMN C=C(C)C[N@@H+]1CCC[C@H]1CNC(=O)c1ncn(C)n1 ZINC001027866359 812104563 /nfs/dbraw/zinc/10/45/63/812104563.db2.gz YAZFNKBAVZWLJK-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(C(C)C)C1 ZINC001027916678 812138164 /nfs/dbraw/zinc/13/81/64/812138164.db2.gz RDWDJAAFHJWQKL-KGLIPLIRSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001027917022 812140634 /nfs/dbraw/zinc/14/06/34/812140634.db2.gz YYACOQCPNBNYPV-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001027917022 812140637 /nfs/dbraw/zinc/14/06/37/812140637.db2.gz YYACOQCPNBNYPV-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001027921010 812141837 /nfs/dbraw/zinc/14/18/37/812141837.db2.gz LWPNHEPRVLTILK-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H](C)CNCc1cnns1 ZINC001268054778 812146338 /nfs/dbraw/zinc/14/63/38/812146338.db2.gz LXLJBVFOHMAXNF-BDAKNGLRSA-N 0 1 267.358 0.634 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cc2c([nH]1)CCOC2 ZINC001027929231 812147403 /nfs/dbraw/zinc/14/74/03/812147403.db2.gz HVNFAEFAYZCTGW-ZDUSSCGKSA-N 0 1 287.363 0.915 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc2n(n1)CCO2 ZINC001027934408 812150333 /nfs/dbraw/zinc/15/03/33/812150333.db2.gz QIWGTTOOXDVCKI-NSHDSACASA-N 0 1 276.340 0.656 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cncc2ncn(C)c21 ZINC001027942078 812155162 /nfs/dbraw/zinc/15/51/62/812155162.db2.gz WQANMTDJNFXULI-GFCCVEGCSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc2nc[nH]c2n1 ZINC001027954161 812162206 /nfs/dbraw/zinc/16/22/06/812162206.db2.gz FDSQFOBPGKHJHT-NSHDSACASA-N 0 1 283.335 0.785 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCC(=O)NC1 ZINC001027986726 812195631 /nfs/dbraw/zinc/19/56/31/812195631.db2.gz DNXVWQKEDIOWJR-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001027986724 812195844 /nfs/dbraw/zinc/19/58/44/812195844.db2.gz DNXVWQKEDIOWJR-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ncc(OC)cn1 ZINC001028015665 812215592 /nfs/dbraw/zinc/21/55/92/812215592.db2.gz HNHUNZNSWWFMTQ-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc(OC)n(C)n1 ZINC001028018460 812217465 /nfs/dbraw/zinc/21/74/65/812217465.db2.gz USCWVPHKKBJQKU-LBPRGKRZSA-N 0 1 290.367 0.646 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccnc(OC)n1 ZINC001028020679 812219471 /nfs/dbraw/zinc/21/94/71/812219471.db2.gz ZCEKNWNGZDBPLP-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCCC(=O)N1 ZINC001028133693 812301586 /nfs/dbraw/zinc/30/15/86/812301586.db2.gz XKCQCNYDQYVSFN-ZIAGYGMSSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCOC3)[C@@H]2C1 ZINC001075604880 812331498 /nfs/dbraw/zinc/33/14/98/812331498.db2.gz GZLGVORNGIUDJO-BFHYXJOUSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCCN1C(N)=O ZINC001038910548 812335405 /nfs/dbraw/zinc/33/54/05/812335405.db2.gz WEIXWRHXSATTBO-OLZOCXBDSA-N 0 1 292.383 0.133 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)[C@@H](COC)OC)C1 ZINC001268225337 812381210 /nfs/dbraw/zinc/38/12/10/812381210.db2.gz YSLJALYISOAWFB-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN C=C(C)CN1CCC[C@H]1CNC(=O)c1cnon1 ZINC001028215870 812406273 /nfs/dbraw/zinc/40/62/73/812406273.db2.gz ZWFLNFXAAYNCJC-JTQLQIEISA-N 0 1 250.302 0.840 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cn(CC)nn1 ZINC001028230140 812439549 /nfs/dbraw/zinc/43/95/49/812439549.db2.gz USQSQVNNBMRXMC-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cn2nccc2nc1C ZINC001028234490 812448054 /nfs/dbraw/zinc/44/80/54/812448054.db2.gz YVTHUQBUMWUGCP-ZDUSSCGKSA-N 0 1 297.362 0.865 20 30 CCEDMN C=CCCC(=O)NC[C@H](O)CNCc1cc(C)n(C)n1 ZINC001268301610 812461046 /nfs/dbraw/zinc/46/10/46/812461046.db2.gz TZBWEJNFZNDYKV-CYBMUJFWSA-N 0 1 280.372 0.261 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2ccn3cncc3c2)[C@@H](O)C1 ZINC001083606962 815533783 /nfs/dbraw/zinc/53/37/83/815533783.db2.gz YNAGLCRHUMJYSJ-KGLIPLIRSA-N 0 1 286.335 0.295 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cccc2nnn(C)c21 ZINC001028357830 812647711 /nfs/dbraw/zinc/64/77/11/812647711.db2.gz MCPWRYYEUIIKTQ-GFCCVEGCSA-N 0 1 297.362 0.796 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CNC(=O)c2ccc(=O)[nH]n2)C1 ZINC001028384794 812666467 /nfs/dbraw/zinc/66/64/67/812666467.db2.gz UYLVRTVGPPJMDS-SNVBAGLBSA-N 0 1 296.758 0.986 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H](C)n2cccn2)[C@@H](O)C1 ZINC001083347334 812897197 /nfs/dbraw/zinc/89/71/97/812897197.db2.gz XMGFFBGDUGJNRC-UPJWGTAASA-N 0 1 278.356 0.182 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3[nH]cnc3C)C[C@@H]21 ZINC001042382344 815558338 /nfs/dbraw/zinc/55/83/38/815558338.db2.gz IFJDMWRXZGDQPL-STQMWFEESA-N 0 1 272.352 0.888 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccc(F)nc2)[C@@H](O)C1 ZINC001083374065 812918164 /nfs/dbraw/zinc/91/81/64/812918164.db2.gz LCQWOKZSVFKIJL-NEPJUHHUSA-N 0 1 279.315 0.572 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnccc2C)[C@@H](O)C1 ZINC001083383758 812926456 /nfs/dbraw/zinc/92/64/56/812926456.db2.gz VEIQBCWAMFEWQD-KGLIPLIRSA-N 0 1 273.336 0.188 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)[C@@H](O)C1 ZINC001083389080 812930311 /nfs/dbraw/zinc/93/03/11/812930311.db2.gz RRFAQDZKIJOLHZ-SEBNEYGDSA-N 0 1 276.380 0.607 20 30 CCEDMN C=CCn1cccc1C(=O)NC[C@@H]1CCN(CC(N)=O)C1 ZINC001028593840 812931045 /nfs/dbraw/zinc/93/10/45/812931045.db2.gz VEGSNKIHNZZYPG-LBPRGKRZSA-N 0 1 290.367 0.211 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H](C)C2CC2)[C@@H](O)C1 ZINC001083391011 812933731 /nfs/dbraw/zinc/93/37/31/812933731.db2.gz QGGGXRFJYUYQHV-UHTWSYAYSA-N 0 1 250.342 0.217 20 30 CCEDMN C#CCOCCC(=O)NCCNCc1cccc(OC)n1 ZINC001127027198 815564285 /nfs/dbraw/zinc/56/42/85/815564285.db2.gz LKXOFMHAXLTTDS-UHFFFAOYSA-N 0 1 291.351 0.336 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CNC(=O)c2cnc[nH]c2=O)C1 ZINC001028620743 812982756 /nfs/dbraw/zinc/98/27/56/812982756.db2.gz MGPPLRZZHANQSZ-SNVBAGLBSA-N 0 1 296.758 0.986 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)Cc1cnn(C)c1 ZINC001268724444 813038065 /nfs/dbraw/zinc/03/80/65/813038065.db2.gz NCUUBFWEPFXTHK-LBPRGKRZSA-N 0 1 262.357 0.422 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)CNC(=O)C1CC1 ZINC001268926778 813147917 /nfs/dbraw/zinc/14/79/17/813147917.db2.gz PZEPDYSRCFCHBS-STQMWFEESA-N 0 1 277.368 0.374 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCNC(=O)C3)[C@@H]2C1 ZINC001075682454 813149620 /nfs/dbraw/zinc/14/96/20/813149620.db2.gz GUYSQRNWZAYAPU-MELADBBJSA-N 0 1 289.379 0.069 20 30 CCEDMN Cc1nc(CN2CC[C@H](NC(=O)CSCC#N)C2)n[nH]1 ZINC001269000925 813174319 /nfs/dbraw/zinc/17/43/19/813174319.db2.gz WNMVKYLUIBUPKK-JTQLQIEISA-N 0 1 294.384 0.060 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)C[C@H]3COC(=O)C3)[C@@H]2C1 ZINC001075685304 813187091 /nfs/dbraw/zinc/18/70/91/813187091.db2.gz VKBWAJBGQCYHRO-FRRDWIJNSA-N 0 1 276.336 0.106 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cc(OC)no2)C1 ZINC001269121408 813225985 /nfs/dbraw/zinc/22/59/85/813225985.db2.gz FEBZBWASXJQAEC-LLVKDONJSA-N 0 1 295.339 0.690 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CCN(CCN2CCCC2=O)C1 ZINC001269126302 813229380 /nfs/dbraw/zinc/22/93/80/813229380.db2.gz HLGOCGKYBUWLHJ-CQSZACIVSA-N 0 1 289.379 0.213 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1ccccc1C#N ZINC001127046938 815603145 /nfs/dbraw/zinc/60/31/45/815603145.db2.gz WNJKHTMJYBINSQ-ZDUSSCGKSA-N 0 1 285.347 0.802 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN1C[C@H]1CCc2ncnn21 ZINC001269206021 813264100 /nfs/dbraw/zinc/26/41/00/813264100.db2.gz PPTOMTRYARRNNW-QWHCGFSZSA-N 0 1 289.383 0.922 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H]1CCN1[C@H](C)COC ZINC001269235862 813283408 /nfs/dbraw/zinc/28/34/08/813283408.db2.gz QIPDFHSLADKZHM-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C[C@@H]1CCCC(=O)N1 ZINC001269261435 813294643 /nfs/dbraw/zinc/29/46/43/813294643.db2.gz RMWKBUOGPCKEAK-STQMWFEESA-N 0 1 277.368 0.259 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N(CC)C(=O)CCC(=O)NCCC)C1 ZINC001269349948 813334915 /nfs/dbraw/zinc/33/49/15/813334915.db2.gz WVVBTECHEALHRL-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CCC(=O)NCCC)C1 ZINC001269349948 813334922 /nfs/dbraw/zinc/33/49/22/813334922.db2.gz WVVBTECHEALHRL-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)CC2CC2)CC1 ZINC001269361932 813339382 /nfs/dbraw/zinc/33/93/82/813339382.db2.gz MYBYISQSXAGYLI-LLVKDONJSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CC[NH2+][C@@H](CC)CNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001269476339 813384771 /nfs/dbraw/zinc/38/47/71/813384771.db2.gz BUNWIOHSKZVECD-NSHDSACASA-N 0 1 288.351 0.791 20 30 CCEDMN C=CCCCC(=O)N1CC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001269737022 813486304 /nfs/dbraw/zinc/48/63/04/813486304.db2.gz PNTMLEYTOXJVKC-OAHLLOKOSA-N 0 1 279.384 0.752 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CNC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001028776891 813487628 /nfs/dbraw/zinc/48/76/28/813487628.db2.gz WFDDXANNRFPJMM-SNVBAGLBSA-N 0 1 298.774 0.930 20 30 CCEDMN C=CCCCN1CC(N(C)C(=O)[C@H](C)n2cncn2)C1 ZINC001269773369 813504545 /nfs/dbraw/zinc/50/45/45/813504545.db2.gz USZJBWUOTZQMPT-LBPRGKRZSA-N 0 1 277.372 0.948 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(C(=O)[C@H](C)N(C)C)C2)C1=O ZINC001269797103 813509091 /nfs/dbraw/zinc/50/90/91/813509091.db2.gz PUBQIJSVICRYPM-WFASDCNBSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cc3cncnc3)[C@@H]2C1 ZINC001075737304 813673732 /nfs/dbraw/zinc/67/37/32/813673732.db2.gz BYKMJNQHYKRXQG-LSDHHAIUSA-N 0 1 284.363 0.575 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCCOCC3)[C@@H]2C1 ZINC001075740005 813681442 /nfs/dbraw/zinc/68/14/42/813681442.db2.gz ZCAULTKVHBLONJ-SOUVJXGZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H](OC)C3CC3)[C@@H]2C1 ZINC001075745455 813696955 /nfs/dbraw/zinc/69/69/55/813696955.db2.gz HYQPUGKTRQQFME-MJBXVCDLSA-N 0 1 262.353 0.577 20 30 CCEDMN C#CCN(C(=O)c1ccc(=O)[nH]n1)C1CCN(CC#C)CC1 ZINC001270284768 813708362 /nfs/dbraw/zinc/70/83/62/813708362.db2.gz FUFNZEURDVFDOM-UHFFFAOYSA-N 0 1 298.346 0.355 20 30 CCEDMN C#CCN(C(=O)c1ccnn1C)C1CCN(CC#CC)CC1 ZINC001270296476 813713596 /nfs/dbraw/zinc/71/35/96/813713596.db2.gz PZLFHZXGYJUAMU-UHFFFAOYSA-N 0 1 298.390 0.983 20 30 CCEDMN CC(C)(O)[C@@H]1CCN(CC(=O)N[C@](C)(C#N)C2CC2)C1 ZINC000721787802 813735644 /nfs/dbraw/zinc/73/56/44/813735644.db2.gz JTKOXTUATZQLML-IUODEOHRSA-N 0 1 279.384 0.888 20 30 CCEDMN C#CCCCC(=O)N[C@]1(C)CCN([C@H]2CCN(C)C2=O)C1 ZINC001270575620 813822825 /nfs/dbraw/zinc/82/28/25/813822825.db2.gz FOFLRGPHXOUGTO-XJKSGUPXSA-N 0 1 291.395 0.601 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@@H](O)C1 ZINC001083409756 813959378 /nfs/dbraw/zinc/95/93/78/813959378.db2.gz HNWCBPVIRPBCKX-MNOVXSKESA-N 0 1 294.355 0.165 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCc4n[nH]cc43)[C@@H]2C1 ZINC001075792106 814034950 /nfs/dbraw/zinc/03/49/50/814034950.db2.gz FSKTUDYDUWYJCA-WOSRLPQWSA-N 0 1 298.390 0.996 20 30 CCEDMN CC(C)N1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)[C@@H](O)C1 ZINC001083414830 814041297 /nfs/dbraw/zinc/04/12/97/814041297.db2.gz XPYFIAWTAIFPLF-NEPJUHHUSA-N 0 1 262.313 0.070 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2CCO ZINC001029311755 814065292 /nfs/dbraw/zinc/06/52/92/814065292.db2.gz BZQNDNGTSGYUPP-BARDWOONSA-N 0 1 294.395 0.635 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)[C@H]1CC ZINC001087576108 814169755 /nfs/dbraw/zinc/16/97/55/814169755.db2.gz NWLPFVOKGRGMKR-XJFOESAGSA-N 0 1 291.395 0.503 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(C)C2)[C@H]1CC ZINC001087592652 814172317 /nfs/dbraw/zinc/17/23/17/814172317.db2.gz TWNRYYACWSJMBK-HZSPNIEDSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)[C@H]1CC ZINC001087607914 814173785 /nfs/dbraw/zinc/17/37/85/814173785.db2.gz FXAQCCDYDLDSHL-XQLPTFJDSA-N 0 1 294.395 0.783 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2c(C)ccn2C)C1 ZINC001271137448 814198783 /nfs/dbraw/zinc/19/87/83/814198783.db2.gz LCEAZHSUXLGJQX-OAHLLOKOSA-N 0 1 275.352 0.133 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2nccc2C)[C@H]1CC ZINC001087745508 814203833 /nfs/dbraw/zinc/20/38/33/814203833.db2.gz ZVQZILKVRBBAMA-UONOGXRCSA-N 0 1 274.368 0.794 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCO)cc1 ZINC001029417452 814205314 /nfs/dbraw/zinc/20/53/14/814205314.db2.gz YBZRPRGASXTSRF-IYBDPMFKSA-N 0 1 284.359 0.949 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(CCC)nn2)[C@H]1CC ZINC001087777933 814210765 /nfs/dbraw/zinc/21/07/65/814210765.db2.gz XFYJPJHLPPTLGD-GXTWGEPZSA-N 0 1 289.383 0.904 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2csnc2C)[C@@H](O)C1 ZINC001083418140 814230116 /nfs/dbraw/zinc/23/01/16/814230116.db2.gz UFGUZIAANOGGEZ-NEPJUHHUSA-N 0 1 281.381 0.803 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CCc3nc[nH]n3)C[C@@H]2C1 ZINC001087925962 814275196 /nfs/dbraw/zinc/27/51/96/814275196.db2.gz LRINGGQTIZFBSN-NEPJUHHUSA-N 0 1 288.355 0.041 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N1C[C@H]2CC[C@@H](C1)N2CC#N ZINC001029509698 814343855 /nfs/dbraw/zinc/34/38/55/814343855.db2.gz DCYFEDRKPNPYGJ-FPMFFAJLSA-N 0 1 287.367 0.787 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CN(C)Cc2cnnn2C)C1 ZINC001029678772 814534687 /nfs/dbraw/zinc/53/46/87/814534687.db2.gz SSIRDCGPAYFYNO-RYUDHWBXSA-N 0 1 290.371 0.255 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2coc(C)n2)[C@@H](O)C1 ZINC001083425337 814594778 /nfs/dbraw/zinc/59/47/78/814594778.db2.gz HNFDQBMOAMZREG-PWSUYJOCSA-N 0 1 265.313 0.334 20 30 CCEDMN CN1CC[C@]2(CCN(C(=O)c3ccc(C#N)cc3O)C2)C1=O ZINC001271838422 814605519 /nfs/dbraw/zinc/60/55/19/814605519.db2.gz NCHHHFQIDQQYHN-MRXNPFEDSA-N 0 1 299.330 0.958 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCCNCc1cnon1 ZINC001168101303 814655454 /nfs/dbraw/zinc/65/54/54/814655454.db2.gz QPPHDBOHUKISHI-STQMWFEESA-N 0 1 294.355 0.647 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CC[C@H]2CCCO2)[C@H](OC)C1 ZINC001211906565 814691325 /nfs/dbraw/zinc/69/13/25/814691325.db2.gz ZZICVJTUUNSWHV-RBSFLKMASA-N 0 1 294.395 0.784 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@H]2CCCN2C)CCN1CC#N ZINC001088494432 814744248 /nfs/dbraw/zinc/74/42/48/814744248.db2.gz ZUYLTNDWLGQHNK-GRYCIOLGSA-N 0 1 250.346 0.183 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1ccc(F)cn1 ZINC001127040038 815590423 /nfs/dbraw/zinc/59/04/23/815590423.db2.gz XCZJFXXGQUNULY-LLVKDONJSA-N 0 1 279.315 0.465 20 30 CCEDMN N#Cc1nccnc1N[C@H]1C[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001059847939 814895439 /nfs/dbraw/zinc/89/54/39/814895439.db2.gz UOYKNAXIAHJVMT-AOOOYVTPSA-N 0 1 297.322 0.373 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@H]2CCCc3[nH]ncc32)CCN1CC#N ZINC001088567986 814921803 /nfs/dbraw/zinc/92/18/03/814921803.db2.gz NFRQGFBNSKPKQI-MDZLAQPJSA-N 0 1 287.367 0.932 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1OC ZINC001212145874 815658772 /nfs/dbraw/zinc/65/87/72/815658772.db2.gz BUUROJHSEMPDJG-MGPQQGTHSA-N 0 1 282.384 0.376 20 30 CCEDMN COc1cc(CN2C[C@@H](O)C[C@@]2(C)CO)ccc1C#N ZINC000698217685 815717870 /nfs/dbraw/zinc/71/78/70/815717870.db2.gz XZTUQDIPFQBPSX-ZFWWWQNUSA-N 0 1 276.336 0.884 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)C2CCC2)C1 ZINC001077461337 815990522 /nfs/dbraw/zinc/99/05/22/815990522.db2.gz VAUQRHPDQYOZOB-ZIAGYGMSSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CCN1CC(NC(=O)c2sccc2CN(C)C)C1 ZINC001030383730 816005252 /nfs/dbraw/zinc/00/52/52/816005252.db2.gz KVCVRIDGCJQQMZ-UHFFFAOYSA-N 0 1 277.393 0.857 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3n[nH]c(=O)n3c2)[C@H]1C ZINC001088914924 816171945 /nfs/dbraw/zinc/17/19/45/816171945.db2.gz ZCUVLKOSEOFXKD-PWSUYJOCSA-N 0 1 299.334 0.261 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCCCC(=O)N2)[C@H]1C ZINC001089004669 816210860 /nfs/dbraw/zinc/21/08/60/816210860.db2.gz WJJLIRNAPYHBOF-MCIONIFRSA-N 0 1 291.395 0.648 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)c2[nH]ncc2F)C1 ZINC001030912567 816423272 /nfs/dbraw/zinc/42/32/72/816423272.db2.gz VYGPRKZIDLNYIK-UHFFFAOYSA-N 0 1 258.684 0.715 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@H](O)CNCc1nccn1C ZINC001272497343 816509503 /nfs/dbraw/zinc/50/95/03/816509503.db2.gz WSRRXGLZTTXNOY-GFCCVEGCSA-N 0 1 294.399 0.589 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](O)CNCc1nccn1C ZINC001272497344 816509726 /nfs/dbraw/zinc/50/97/26/816509726.db2.gz WSRRXGLZTTXNOY-LBPRGKRZSA-N 0 1 294.399 0.589 20 30 CCEDMN C=CCN1C[C@]2(CC1=O)CCCCN2Cc1c[nH]nn1 ZINC001272569844 816589381 /nfs/dbraw/zinc/58/93/81/816589381.db2.gz NXPUJPUGRCCSHB-CQSZACIVSA-N 0 1 275.356 0.948 20 30 CCEDMN C=CCN1CCC2(CCN(Cc3c[nH]nn3)CC2)C1=O ZINC001272569401 816590018 /nfs/dbraw/zinc/59/00/18/816590018.db2.gz LOILYCXRERWYIZ-UHFFFAOYSA-N 0 1 275.356 0.805 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@@H]1CCN1CCOC ZINC001234266239 816590555 /nfs/dbraw/zinc/59/05/55/816590555.db2.gz OBDXXSDAZRQQFX-STQMWFEESA-N 0 1 268.357 0.204 20 30 CCEDMN C[C@@H](CCNc1ccc(C#N)cn1)NC(=O)Cc1nnc[nH]1 ZINC001106435583 816770653 /nfs/dbraw/zinc/77/06/53/816770653.db2.gz SYKYQGLOIFLCIU-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCC2(C)C)C1 ZINC001077539602 816790519 /nfs/dbraw/zinc/79/05/19/816790519.db2.gz KLEVZEBGUCWJQW-YNEHKIRRSA-N 0 1 264.369 0.607 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CCC2(C)C)C1 ZINC001077539602 816790522 /nfs/dbraw/zinc/79/05/22/816790522.db2.gz KLEVZEBGUCWJQW-YNEHKIRRSA-N 0 1 264.369 0.607 20 30 CCEDMN C=CCCN1CC(NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001031223055 816841942 /nfs/dbraw/zinc/84/19/42/816841942.db2.gz YNCPVDAFFPODGV-NSHDSACASA-N 0 1 274.368 0.891 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1C[C@@H](CNCC#N)[C@H](C)C1 ZINC001106749891 816894301 /nfs/dbraw/zinc/89/43/01/816894301.db2.gz KIAPLEFFBOXROU-JHJVBQTASA-N 0 1 289.383 0.796 20 30 CCEDMN CCn1ccnc1[C@H](C#N)C(=O)[C@@H](NC=O)C(C)C ZINC001123338284 816923610 /nfs/dbraw/zinc/92/36/10/816923610.db2.gz ZRPNYIORLOPJSH-MNOVXSKESA-N 0 1 262.313 0.850 20 30 CCEDMN N#Cc1cccc(CN2CC(NC(=O)CN3CCCC3)C2)c1 ZINC001031389033 817022586 /nfs/dbraw/zinc/02/25/86/817022586.db2.gz XITYBQZHAINRMU-UHFFFAOYSA-N 0 1 298.390 0.954 20 30 CCEDMN C#CCN(CC1CC1)C(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001123765064 817055461 /nfs/dbraw/zinc/05/54/61/817055461.db2.gz AAGUYZKGNGQCBZ-JSGCOSHPSA-N 0 1 261.369 0.247 20 30 CCEDMN CC#CCN1CC(NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001031448425 817060839 /nfs/dbraw/zinc/06/08/39/817060839.db2.gz PFUXCEAWSIRPSJ-UHFFFAOYSA-N 0 1 295.346 0.909 20 30 CCEDMN Cc1nc([C@H](C)NCCNC(=O)CSCC#N)n[nH]1 ZINC001123792427 817070119 /nfs/dbraw/zinc/07/01/19/817070119.db2.gz XENQWTKXWVFLFO-QMMMGPOBSA-N 0 1 282.373 0.137 20 30 CCEDMN Cc1ncc(CNCCNC(=O)CSCC#N)cn1 ZINC001123792571 817070877 /nfs/dbraw/zinc/07/08/77/817070877.db2.gz ZEPNHXJNRBPMCD-UHFFFAOYSA-N 0 1 279.369 0.248 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CCC(C)(C)C2)[C@@H](O)C1 ZINC001083757747 817084971 /nfs/dbraw/zinc/08/49/71/817084971.db2.gz UJXHVPYRUNWTKP-MCIONIFRSA-N 0 1 278.396 0.997 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](NC(=O)c2[n-]nnc2C)CC(C)(C)C1 ZINC001089459264 817112660 /nfs/dbraw/zinc/11/26/60/817112660.db2.gz SKTODGUWOWBCCJ-GFCCVEGCSA-N 0 1 289.383 0.967 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)c2[n-]nnc2C)CC(C)(C)C1 ZINC001089459264 817112666 /nfs/dbraw/zinc/11/26/66/817112666.db2.gz SKTODGUWOWBCCJ-GFCCVEGCSA-N 0 1 289.383 0.967 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)c1cnn[nH]1)Nc1ccncc1C#N ZINC001089508804 817173919 /nfs/dbraw/zinc/17/39/19/817173919.db2.gz PUWNOEJXAKBLLI-ZJUUUORDSA-N 0 1 299.338 0.502 20 30 CCEDMN C=CCOc1ccccc1C(=O)NCC1CN(CCO)C1 ZINC001031778802 817360392 /nfs/dbraw/zinc/36/03/92/817360392.db2.gz ZSGWFEFHZNLGNT-UHFFFAOYSA-N 0 1 290.363 0.905 20 30 CCEDMN C=CCN1C(=O)COCC12CN(C[C@H]1C[C@@H]1C)C2 ZINC001272693364 817401505 /nfs/dbraw/zinc/40/15/05/817401505.db2.gz VWVLOKIULPFSSC-NWDGAFQWSA-N 0 1 250.342 0.742 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ncc(OC)cn2)C1 ZINC001031834022 817405257 /nfs/dbraw/zinc/40/52/57/817405257.db2.gz LEYXTWHDWLUNKN-UHFFFAOYSA-N 0 1 262.313 0.333 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCN[C@@H](C)c1n[nH]c(C)n1 ZINC001124487820 817486465 /nfs/dbraw/zinc/48/64/65/817486465.db2.gz GTXIEXCLDYFBHG-WDEREUQCSA-N 0 1 295.387 0.861 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2conc2CC)C1 ZINC001031955473 817492305 /nfs/dbraw/zinc/49/23/05/817492305.db2.gz BVYDEXGXVNMICZ-UHFFFAOYSA-N 0 1 261.325 0.922 20 30 CCEDMN C=CCOCC(=O)NCCNCc1ccc(F)cn1 ZINC001124764974 817566516 /nfs/dbraw/zinc/56/65/16/817566516.db2.gz HBHJRPRRJPNIBQ-UHFFFAOYSA-N 0 1 267.304 0.629 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3ccncc3C)C2)OCC1=O ZINC001272717632 817578991 /nfs/dbraw/zinc/57/89/91/817578991.db2.gz XZLPRWYMGZQWKZ-QGZVFWFLSA-N 0 1 299.374 0.827 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc3ncccn3n2)C1 ZINC001032064696 817595900 /nfs/dbraw/zinc/59/59/00/817595900.db2.gz ANQLTQDWHZKVCE-UHFFFAOYSA-N 0 1 283.335 0.414 20 30 CCEDMN N#CCN1CC(CNC(=O)c2cccc3nc[nH]c32)C1 ZINC001032068464 817601293 /nfs/dbraw/zinc/60/12/93/817601293.db2.gz WICLSEHDXZOYEA-UHFFFAOYSA-N 0 1 269.308 0.748 20 30 CCEDMN Cc1nc([C@@H](C)NCCNC(=O)[C@H](C)C#N)n[nH]1 ZINC001124896208 817622053 /nfs/dbraw/zinc/62/20/53/817622053.db2.gz NFYAQMYXBQXTCC-HTQZYQBOSA-N 0 1 250.306 0.040 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cccc(OC)n2)C1 ZINC001032095699 817622602 /nfs/dbraw/zinc/62/26/02/817622602.db2.gz AIRZUEMSHYCAHS-UHFFFAOYSA-N 0 1 273.336 0.775 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccc(OC)n2)C1 ZINC001032097040 817624386 /nfs/dbraw/zinc/62/43/86/817624386.db2.gz ZZXYSWCENSTVAM-UHFFFAOYSA-N 0 1 261.325 0.938 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)C[NH+]2CC[C@H](C)C2)[C@@H](O)C1 ZINC001083780587 817625744 /nfs/dbraw/zinc/62/57/44/817625744.db2.gz DJDCONJUZWXVBE-MJBXVCDLSA-N 0 1 281.400 0.066 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCC(=O)Nc1ccc(O)cc1 ZINC001124908361 817632201 /nfs/dbraw/zinc/63/22/01/817632201.db2.gz IZHYCMPAIXEALH-JTQLQIEISA-N 0 1 290.323 0.196 20 30 CCEDMN C#CC[N@H+]1C[C@@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1nc[nH]n1 ZINC001089879164 817643470 /nfs/dbraw/zinc/64/34/70/817643470.db2.gz YFTKBWIDFAAFKV-RVMXOQNASA-N 0 1 285.351 0.220 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCCCN(C)C2=O)C1 ZINC001032123762 817652087 /nfs/dbraw/zinc/65/20/87/817652087.db2.gz IPXZKCWVGJNGFA-CYBMUJFWSA-N 0 1 279.384 0.479 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001032156388 817669654 /nfs/dbraw/zinc/66/96/54/817669654.db2.gz QZAOTQGDHIMAOL-ZDUSSCGKSA-N 0 1 265.357 0.089 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccnc(C)n2)C1 ZINC001032193461 817688682 /nfs/dbraw/zinc/68/86/82/817688682.db2.gz YLKHLMNPGLHWGY-UHFFFAOYSA-N 0 1 258.325 0.470 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCN2C(=O)C(C)C)C1 ZINC001032195140 817691362 /nfs/dbraw/zinc/69/13/62/817691362.db2.gz KRMMHIBOBFTCLF-AWEZNQCLSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCc3nnc(C)n3C2)C1 ZINC001032202699 817697325 /nfs/dbraw/zinc/69/73/25/817697325.db2.gz SCOLMKAYBYLGEB-CYBMUJFWSA-N 0 1 289.383 0.383 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001032233619 817735345 /nfs/dbraw/zinc/73/53/45/817735345.db2.gz PKHKEXMUUUALLM-UHFFFAOYSA-N 0 1 274.324 0.028 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)c2cnn[nH]2)nc1 ZINC001107587284 817890910 /nfs/dbraw/zinc/89/09/10/817890910.db2.gz QTORZDXSPJUAPF-UPHRSURJSA-N 0 1 283.295 0.469 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@@H](C)NC(C)=O)C1 ZINC001107714737 817960673 /nfs/dbraw/zinc/96/06/73/817960673.db2.gz GFJBQTFZZLDNAN-IUODEOHRSA-N 0 1 297.399 0.294 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)CN(C)C(C)=O)C1 ZINC001107755985 817986213 /nfs/dbraw/zinc/98/62/13/817986213.db2.gz QGOOBZVBAATJPB-OAHLLOKOSA-N 0 1 297.399 0.248 20 30 CCEDMN C=CCOCC(=O)NC[C@]1(C)CN(CC2CC2)CCO1 ZINC001107810487 818023842 /nfs/dbraw/zinc/02/38/42/818023842.db2.gz CADXDJDQTRDFNQ-OAHLLOKOSA-N 0 1 282.384 0.806 20 30 CCEDMN N#CCN[C@@H]1C[C@H](NC(=O)CN2CCCC2)C12CCC2 ZINC001078813258 818055876 /nfs/dbraw/zinc/05/58/76/818055876.db2.gz YBOVLBFNHNWWKW-OLZOCXBDSA-N 0 1 276.384 0.623 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3cccnc3)C2)OCC1=O ZINC001272947448 818099159 /nfs/dbraw/zinc/09/91/59/818099159.db2.gz BUQXTEAUVYLQFS-MRXNPFEDSA-N 0 1 285.347 0.518 20 30 CCEDMN C=C(C)CN1CCO[C@](C)(CNC(=O)c2cnn[nH]2)C1 ZINC001108043446 818101006 /nfs/dbraw/zinc/10/10/06/818101006.db2.gz KXTTVMYKPDTZBY-CYBMUJFWSA-N 0 1 279.344 0.202 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cccs2)[C@H](O)C1 ZINC001090021067 818280617 /nfs/dbraw/zinc/28/06/17/818280617.db2.gz RMBWHAXJEQDCFT-UONOGXRCSA-N 0 1 292.404 0.865 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cnn(C)c2)C1 ZINC001032947654 818378278 /nfs/dbraw/zinc/37/82/78/818378278.db2.gz PPTCZNMGEXCQOP-ZDUSSCGKSA-N 0 1 260.341 0.129 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)noc2C)[C@@H](O)C1 ZINC001090060294 818427304 /nfs/dbraw/zinc/42/73/04/818427304.db2.gz JWHUYQSSSFQARC-NEPJUHHUSA-N 0 1 279.340 0.642 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(C)C(=O)c2ncccc2[O-])C1 ZINC001033026309 818439823 /nfs/dbraw/zinc/43/98/23/818439823.db2.gz VKUZAUTWNXWHFV-LLVKDONJSA-N 0 1 259.309 0.567 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2ncccc2O)C1 ZINC001033026309 818439829 /nfs/dbraw/zinc/43/98/29/818439829.db2.gz VKUZAUTWNXWHFV-LLVKDONJSA-N 0 1 259.309 0.567 20 30 CCEDMN C=C(C)CN1CC[C@H](N(C)C(=O)c2cn(C)nn2)C1 ZINC001033053762 818468421 /nfs/dbraw/zinc/46/84/21/818468421.db2.gz BKKGIZXOWHUMQL-NSHDSACASA-N 0 1 263.345 0.538 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(C)c2C)[C@@H](O)C1 ZINC001090081049 818476559 /nfs/dbraw/zinc/47/65/59/818476559.db2.gz ZYPCXBIOWAKACF-OLZOCXBDSA-N 0 1 278.356 0.080 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)Cc2nnc(C)o2)C1 ZINC001033062605 818479517 /nfs/dbraw/zinc/47/95/17/818479517.db2.gz IUWOPCZNDYJDMG-GFCCVEGCSA-N 0 1 276.340 0.477 20 30 CCEDMN C=C(C)CN1C(=O)COCC12CN(C[C@H]1CCCOC1)C2 ZINC001273033690 818501567 /nfs/dbraw/zinc/50/15/67/818501567.db2.gz MEMVKTAWVCFHSQ-CQSZACIVSA-N 0 1 294.395 0.902 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033085380 818501629 /nfs/dbraw/zinc/50/16/29/818501629.db2.gz TWRCZGSIBUXSON-MGPQQGTHSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccn(C(C)C)n2)[C@@H](O)C1 ZINC001083827979 818510120 /nfs/dbraw/zinc/51/01/20/818510120.db2.gz VMJZEXCGDQJGAN-KGLIPLIRSA-N 0 1 290.367 0.262 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2ccn(CC)n2)C1 ZINC001033098369 818514006 /nfs/dbraw/zinc/51/40/06/818514006.db2.gz GSOQIMAJAWHIGY-AWEZNQCLSA-N 0 1 274.368 0.611 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccnc(F)c2)[C@H](O)C1 ZINC001090112690 818623173 /nfs/dbraw/zinc/62/31/73/818623173.db2.gz JSAKUQZCDNYSMK-VXGBXAGGSA-N 0 1 279.315 0.572 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCC(C)C)[C@H](O)C1 ZINC001099665714 818647772 /nfs/dbraw/zinc/64/77/72/818647772.db2.gz LGXUWEINKFLYND-ZIAGYGMSSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cscn2)[C@H](O)C1 ZINC001090132437 818696792 /nfs/dbraw/zinc/69/67/92/818696792.db2.gz FXMLJDUGYBGPAT-GXSJLCMTSA-N 0 1 267.354 0.494 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@H]2CCCc3nn[nH]c32)C1 ZINC001033366650 818736841 /nfs/dbraw/zinc/73/68/41/818736841.db2.gz VOGCRYSGBXJXLU-RYUDHWBXSA-N 0 1 289.383 0.943 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CCNC2=O)C1 ZINC001033423844 818771628 /nfs/dbraw/zinc/77/16/28/818771628.db2.gz YFDIYMYZDPOURM-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN CN(C(=O)c1cc(C2CC2)[nH]n1)[C@@H]1CCN(CC#N)C1 ZINC001033448757 818782289 /nfs/dbraw/zinc/78/22/89/818782289.db2.gz MXWAXISKYUJZMY-LLVKDONJSA-N 0 1 273.340 0.957 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2ccc(C)[nH]c2=O)C1 ZINC001033461066 818785647 /nfs/dbraw/zinc/78/56/47/818785647.db2.gz WOIIKSIDWPPFQX-LBPRGKRZSA-N 0 1 273.336 0.875 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2cc(COC)on2)C1 ZINC001033485094 818795008 /nfs/dbraw/zinc/79/50/08/818795008.db2.gz HZTVXDWLAWISAX-GFCCVEGCSA-N 0 1 291.351 0.991 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC001033575644 818835519 /nfs/dbraw/zinc/83/55/19/818835519.db2.gz SBUPUCDRYSEQOT-OLZOCXBDSA-N 0 1 294.399 0.638 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001033618540 818852947 /nfs/dbraw/zinc/85/29/47/818852947.db2.gz FYOCHBSDWWYPLS-LSDHHAIUSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnn3cc[nH]c23)C1 ZINC001033615464 818854353 /nfs/dbraw/zinc/85/43/53/818854353.db2.gz XIHRXSGXQTVLKZ-NSHDSACASA-N 0 1 273.340 0.995 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001033687589 818886211 /nfs/dbraw/zinc/88/62/11/818886211.db2.gz ABYIOOHLGZFTNM-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2COCCO2)C1 ZINC001033762986 818920935 /nfs/dbraw/zinc/92/09/35/818920935.db2.gz CODOVXYAULQMHT-KBPBESRZSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)[C@H]2CCCNC2=O)C1 ZINC001033863067 818972029 /nfs/dbraw/zinc/97/20/29/818972029.db2.gz SEGYSKCBLULULO-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN CCN(C(=O)C1=NC(=O)N(C)C1)[C@@H]1CCN(CC#N)C1 ZINC001033942050 819013826 /nfs/dbraw/zinc/01/38/26/819013826.db2.gz WBMONYRBBLGLBO-SNVBAGLBSA-N 0 1 277.328 0.186 20 30 CCEDMN C=CCN1CC[C@H](N2CCCC[C@H](NC(C)=O)C2)C1=O ZINC001034065326 819051056 /nfs/dbraw/zinc/05/10/56/819051056.db2.gz PUGWQSOOQNJWIQ-KBPBESRZSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001034120620 819081908 /nfs/dbraw/zinc/08/19/08/819081908.db2.gz FXVUMOOVUXJSNV-AWEZNQCLSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC001034120620 819081909 /nfs/dbraw/zinc/08/19/09/819081909.db2.gz FXVUMOOVUXJSNV-AWEZNQCLSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cc(C)nn2C)C1 ZINC001034121761 819084497 /nfs/dbraw/zinc/08/44/97/819084497.db2.gz FCDZEADCNZJIPO-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H](N3CCC3)C2)nc1 ZINC000702296798 819091954 /nfs/dbraw/zinc/09/19/54/819091954.db2.gz LQGWBBRZUZHJCL-LBPRGKRZSA-N 0 1 256.309 0.873 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2ccnn2C)C1 ZINC001034187928 819110370 /nfs/dbraw/zinc/11/03/70/819110370.db2.gz LGMIDNFEONWLRY-CYBMUJFWSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2ccnn2C)C1 ZINC001034187929 819111016 /nfs/dbraw/zinc/11/10/16/819111016.db2.gz LGMIDNFEONWLRY-ZDUSSCGKSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2nnc(C)o2)C1 ZINC001034193316 819116787 /nfs/dbraw/zinc/11/67/87/819116787.db2.gz YJOHUSMXDDXUML-GFCCVEGCSA-N 0 1 276.340 0.524 20 30 CCEDMN C=CCN1CCCC[C@@H](NC(=O)c2cnnn2C)C1 ZINC001034195932 819121666 /nfs/dbraw/zinc/12/16/66/819121666.db2.gz XXAQIZDLDUCEGJ-LLVKDONJSA-N 0 1 263.345 0.585 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001034214072 819128517 /nfs/dbraw/zinc/12/85/17/819128517.db2.gz ATVDRLQNBSPWOK-GUTXKFCHSA-N 0 1 262.353 0.627 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001034269087 819147820 /nfs/dbraw/zinc/14/78/20/819147820.db2.gz TWURQYZIQPJCOD-NSHDSACASA-N 0 1 276.340 0.641 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(OC)no2)[C@H](O)C1 ZINC001090205913 819163166 /nfs/dbraw/zinc/16/31/66/819163166.db2.gz LDPQBLFVNKBHAO-GHMZBOCLSA-N 0 1 295.339 0.424 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cn2ccc(C)n2)C1 ZINC001034406347 819191610 /nfs/dbraw/zinc/19/16/10/819191610.db2.gz IVHKNEYCRXHOMT-AWEZNQCLSA-N 0 1 274.368 0.795 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](CNC(=O)c3cnn[nH]3)C2)cn1 ZINC001061777950 819295333 /nfs/dbraw/zinc/29/53/33/819295333.db2.gz ZPQRYVHFNIJBDN-JTQLQIEISA-N 0 1 297.322 0.328 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc[nH]c2CC)[C@H](O)C1 ZINC001090247369 819305209 /nfs/dbraw/zinc/30/52/09/819305209.db2.gz WDBAAPPFKULQBV-ZIAGYGMSSA-N 0 1 277.368 0.928 20 30 CCEDMN N#CCN1CCC2(C1)CCN(C(=O)Cc1ncn[nH]1)CC2 ZINC001035247835 819425091 /nfs/dbraw/zinc/42/50/91/819425091.db2.gz PPDWITAOSWOFIM-UHFFFAOYSA-N 0 1 288.355 0.185 20 30 CCEDMN C=CCN1CCO[C@H](CNC(=O)[C@H]2CCCCN2C)C1 ZINC001035413429 819518723 /nfs/dbraw/zinc/51/87/23/819518723.db2.gz CELPFAGTMMTCAE-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001035534475 819558609 /nfs/dbraw/zinc/55/86/09/819558609.db2.gz VBTCSKHZNSYLCK-AWEZNQCLSA-N 0 1 290.367 0.873 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccon1)CC2 ZINC001035655571 819593216 /nfs/dbraw/zinc/59/32/16/819593216.db2.gz KAFQBSCQDSNOED-UHFFFAOYSA-N 0 1 259.309 0.846 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1COCCO1)CC2 ZINC001035655733 819593841 /nfs/dbraw/zinc/59/38/41/819593841.db2.gz MMNJSWRHXVHESD-CQSZACIVSA-N 0 1 292.379 0.350 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)c1cnnn1C)CC2 ZINC001035699859 819611817 /nfs/dbraw/zinc/61/18/17/819611817.db2.gz MEBKCSOUNAROLX-UHFFFAOYSA-N 0 1 275.356 0.539 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCC(=O)NC1)CC2 ZINC001035741964 819618162 /nfs/dbraw/zinc/61/81/62/819618162.db2.gz SFLMGDPZNWORAD-CYBMUJFWSA-N 0 1 291.395 0.623 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccc(=O)n(C)c1)CC2 ZINC001035738892 819618228 /nfs/dbraw/zinc/61/82/28/819618228.db2.gz OTDMCPUQWNMKKI-UHFFFAOYSA-N 0 1 299.374 0.557 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](NCC#N)[C@H](C)C1 ZINC001036144199 819692464 /nfs/dbraw/zinc/69/24/64/819692464.db2.gz YDDKTYHIPGDJRG-PSASIEDQSA-N 0 1 262.317 0.077 20 30 CCEDMN C#CC1(O)CCN([C@H](C)C(=O)NC(C)(C)C)CC1 ZINC000708158847 819761632 /nfs/dbraw/zinc/76/16/32/819761632.db2.gz MBTZUFWYDAMRTI-LLVKDONJSA-N 0 1 252.358 0.750 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)c3ccn[nH]3)CC[C@H]21 ZINC001036656802 819873785 /nfs/dbraw/zinc/87/37/85/819873785.db2.gz JBEGJYFHUHSAMR-ZYHUDNBSSA-N 0 1 259.313 0.470 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(C)nn2)[C@@H](O)C1 ZINC001090259632 819972789 /nfs/dbraw/zinc/97/27/89/819972789.db2.gz VSEFHONCZMQKTD-AAEUAGOBSA-N 0 1 276.340 0.136 20 30 CCEDMN C=CCCC(=O)NCC1(NC(=O)c2ncn[nH]2)CCC1 ZINC001062687810 820019455 /nfs/dbraw/zinc/01/94/55/820019455.db2.gz PLQKQHKTTXGOII-UHFFFAOYSA-N 0 1 277.328 0.540 20 30 CCEDMN C=CCCC(=O)NCC1(NC(=O)c2nc[nH]n2)CCC1 ZINC001062687810 820019464 /nfs/dbraw/zinc/01/94/64/820019464.db2.gz PLQKQHKTTXGOII-UHFFFAOYSA-N 0 1 277.328 0.540 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@H]1CCN(c2nccnc2C#N)C1 ZINC001062890293 820119933 /nfs/dbraw/zinc/11/99/33/820119933.db2.gz MYMWGBZHXDITJF-JTQLQIEISA-N 0 1 297.322 0.422 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccsn2)[C@@H](O)C1 ZINC001090353134 820292157 /nfs/dbraw/zinc/29/21/57/820292157.db2.gz XJPQMGFHYRZXKM-ONGXEEELSA-N 0 1 267.354 0.494 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)C1(C#N)CCOCC1 ZINC000712272208 820301127 /nfs/dbraw/zinc/30/11/27/820301127.db2.gz PQHBTZYWDYJFQT-NSHDSACASA-N 0 1 251.330 0.517 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CSCCC)[C@H](O)C1 ZINC001099701776 820402273 /nfs/dbraw/zinc/40/22/73/820402273.db2.gz JMXMONFJVHPHNG-VXGBXAGGSA-N 0 1 272.414 0.867 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)c2ccnn2C)C1 ZINC001079714490 820461433 /nfs/dbraw/zinc/46/14/33/820461433.db2.gz AGJHWKFSSJZHTP-FRRDWIJNSA-N 0 1 274.368 0.593 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001079991528 820496484 /nfs/dbraw/zinc/49/64/84/820496484.db2.gz LDNVNPXNOPMHJQ-UMVBOHGHSA-N 0 1 286.379 0.515 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2COCCN2CC)C1 ZINC001079999701 820498179 /nfs/dbraw/zinc/49/81/79/820498179.db2.gz SSJWUHWMTBGJFL-RBSFLKMASA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC001080886417 820650936 /nfs/dbraw/zinc/65/09/36/820650936.db2.gz GIUIKNYXYCEAAA-ZYHUDNBSSA-N 0 1 259.309 0.471 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CCCO2)[C@H](OC)C1 ZINC001081327019 820731174 /nfs/dbraw/zinc/73/11/74/820731174.db2.gz VIPBDHCKECZIQZ-FRRDWIJNSA-N 0 1 268.357 0.557 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H]2CCCCO2)[C@H](OC)C1 ZINC001081515715 820781850 /nfs/dbraw/zinc/78/18/50/820781850.db2.gz HKQBUPJZQPRHBS-RBSFLKMASA-N 0 1 294.395 0.784 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnn(C)c2N)[C@H](OC)C1 ZINC001081573189 820789323 /nfs/dbraw/zinc/78/93/23/820789323.db2.gz RZRIHUXUINNZKL-VXGBXAGGSA-N 0 1 293.371 0.007 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1CN(CCOC)C[C@H]1OC ZINC001082149866 820907932 /nfs/dbraw/zinc/90/79/32/820907932.db2.gz SIIGPIQHAZFAPG-YIYPIFLZSA-N 0 1 298.383 0.039 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)c2nonc2C)[C@H](OC)C1 ZINC001082396688 820958138 /nfs/dbraw/zinc/95/81/38/820958138.db2.gz MBDPEELDBYAMAV-VXGBXAGGSA-N 0 1 294.355 0.773 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+]1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC001118957330 821005917 /nfs/dbraw/zinc/00/59/17/821005917.db2.gz DNENUAGFWNOKHK-OUAUKWLOSA-N 0 1 297.355 0.429 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)/C=C\C3CC3)[C@H]2C1 ZINC001083036809 821120965 /nfs/dbraw/zinc/12/09/65/821120965.db2.gz PUBQVDVBQLBILV-NHQLZKDQSA-N 0 1 274.364 0.888 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3c[nH]c(C#N)c3)[C@H]2C1 ZINC001083056936 821129435 /nfs/dbraw/zinc/12/94/35/821129435.db2.gz KRLDEDMCSSEOGX-LSDHHAIUSA-N 0 1 298.346 0.435 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3cncnc3)[C@H]2C1 ZINC001083058842 821130562 /nfs/dbraw/zinc/13/05/62/821130562.db2.gz AYMJVLVUDWZOAA-UONOGXRCSA-N 0 1 288.351 0.578 20 30 CCEDMN C=C(C)CCN1C[C@H]2OCCN(C(=O)c3cn[nH]n3)[C@H]2C1 ZINC001083128463 821142619 /nfs/dbraw/zinc/14/26/19/821142619.db2.gz MUCDZKUWAWSHCM-QWHCGFSZSA-N 0 1 291.355 0.296 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnn(C(C)C)c2)[C@@H](O)C1 ZINC001083944353 821163191 /nfs/dbraw/zinc/16/31/91/821163191.db2.gz KTEZCFNZNIZPCS-KGLIPLIRSA-N 0 1 290.367 0.262 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc4n[nH]nc4n3)[C@@H]2C1 ZINC001084390161 821285448 /nfs/dbraw/zinc/28/54/48/821285448.db2.gz CISMPXYKIPCLLV-ZWNOBZJWSA-N 0 1 298.350 0.685 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cn(C)nc3OC)[C@@H]2C1 ZINC001084571450 821314909 /nfs/dbraw/zinc/31/49/09/821314909.db2.gz WPYMNAMHNYPCNL-DGCLKSJQSA-N 0 1 290.367 0.761 20 30 CCEDMN C[C@@H](CNC(=O)CCc1c[nH]nn1)Nc1ccncc1C#N ZINC001098294159 821377095 /nfs/dbraw/zinc/37/70/95/821377095.db2.gz KTGXHYWLZMJVFU-JTQLQIEISA-N 0 1 299.338 0.043 20 30 CCEDMN C[C@@H](CNC(=O)CCc1cnn[nH]1)Nc1ccncc1C#N ZINC001098294159 821377106 /nfs/dbraw/zinc/37/71/06/821377106.db2.gz KTGXHYWLZMJVFU-JTQLQIEISA-N 0 1 299.338 0.043 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCC(=O)N(C)C3)[C@@H]2C1 ZINC001084854217 821411716 /nfs/dbraw/zinc/41/17/16/821411716.db2.gz CFAQNTJRRWDHOY-MGPQQGTHSA-N 0 1 291.395 0.574 20 30 CCEDMN C#CC1(O)CCN([C@@H]2C[C@@H]3CCCC[C@@H]3NC2=O)CC1 ZINC000820345861 821441135 /nfs/dbraw/zinc/44/11/35/821441135.db2.gz OWZQGJGHBDUMNO-MELADBBJSA-N 0 1 276.380 0.894 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC[C@H]2CCN(CC#N)[C@H]2C1 ZINC001084973353 821447273 /nfs/dbraw/zinc/44/72/73/821447273.db2.gz JLSRHLNIVMWSGV-ILXRZTDVSA-N 0 1 290.411 0.917 20 30 CCEDMN CC#CCN1CC[C@H]1CN(C)C(=O)c1cnc(C)[nH]1 ZINC001085516523 821763004 /nfs/dbraw/zinc/76/30/04/821763004.db2.gz WSUVAMULPBHNQF-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cccc(=O)[nH]1 ZINC001085532673 821774349 /nfs/dbraw/zinc/77/43/49/821774349.db2.gz RWCBPMHNUKAESZ-LBPRGKRZSA-N 0 1 273.336 0.957 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)c1n[nH]c(=O)[n-]c1=O ZINC001085699676 821909608 /nfs/dbraw/zinc/90/96/08/821909608.db2.gz UCTPXIBWKBXTCM-VIFPVBQESA-N 0 1 293.327 0.005 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCN(C)C1=O ZINC001085770200 821942090 /nfs/dbraw/zinc/94/20/90/821942090.db2.gz APNVTLIQLVHRPO-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN CC(C)N1CCO[C@@H](C(=O)N(C)C[C@H]2CCN2CC#N)C1 ZINC001085779667 821948634 /nfs/dbraw/zinc/94/86/34/821948634.db2.gz AKLZKJRRBGTNAD-ZIAGYGMSSA-N 0 1 294.399 0.152 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cccc(=O)n1C ZINC001085810891 821961914 /nfs/dbraw/zinc/96/19/14/821961914.db2.gz QYNVZBTWVZQARQ-ZDUSSCGKSA-N 0 1 287.363 0.555 20 30 CCEDMN C#Cc1cncc(C(=O)N(C)C[C@@H]2CCN2C[C@H](C)O)c1 ZINC001085809983 821962629 /nfs/dbraw/zinc/96/26/29/821962629.db2.gz RSWYQVHKBIHATM-WFASDCNBSA-N 0 1 287.363 0.590 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C1CC(=O)NC(=O)C1 ZINC001085870152 821988270 /nfs/dbraw/zinc/98/82/70/821988270.db2.gz KZXBPKCLSKKZMY-GFCCVEGCSA-N 0 1 293.367 0.148 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)c1ncnc2[nH]cnc21 ZINC001100005042 822003875 /nfs/dbraw/zinc/00/38/75/822003875.db2.gz OQNVIXRNYPARBD-MRVPVSSYSA-N 0 1 273.300 0.065 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCN1C(C)=O ZINC001085934504 822024850 /nfs/dbraw/zinc/02/48/50/822024850.db2.gz DEJWFZMLFJGOFP-CABCVRRESA-N 0 1 291.395 0.553 20 30 CCEDMN C=CCN1CCO[C@@](C)(CNC(=O)CCc2c[nH]nn2)C1 ZINC001108224687 822030308 /nfs/dbraw/zinc/03/03/08/822030308.db2.gz PJTARBYOVKUNFW-AWEZNQCLSA-N 0 1 293.371 0.130 20 30 CCEDMN C=CCN1CCO[C@@](C)(CNC(=O)CCc2cnn[nH]2)C1 ZINC001108224687 822030311 /nfs/dbraw/zinc/03/03/11/822030311.db2.gz PJTARBYOVKUNFW-AWEZNQCLSA-N 0 1 293.371 0.130 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001085987488 822054324 /nfs/dbraw/zinc/05/43/24/822054324.db2.gz DOIAUYXNAJFQQQ-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCn2cccc2)[C@H](O)C1 ZINC001100053391 822179018 /nfs/dbraw/zinc/17/90/18/822179018.db2.gz NABLEWNJLJRYRM-LSDHHAIUSA-N 0 1 289.379 0.453 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@H]1C[C@@H](NCC#N)C1 ZINC001086278087 822185141 /nfs/dbraw/zinc/18/51/41/822185141.db2.gz GWVIFCBLFQDKEP-HZSPNIEDSA-N 0 1 278.400 0.869 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCCOC ZINC001114014021 837408403 /nfs/dbraw/zinc/40/84/03/837408403.db2.gz HAPGDISQKSUCNU-NHAGDIPZSA-N 0 1 264.369 0.873 20 30 CCEDMN CCc1nocc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C#N ZINC001114066935 837420681 /nfs/dbraw/zinc/42/06/81/837420681.db2.gz KFMCMOVYKVOJRW-WUWHUORYSA-N 0 1 288.351 0.943 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2CN(Cc3ccccn3)C[C@H]21 ZINC001114063435 837423830 /nfs/dbraw/zinc/42/38/30/837423830.db2.gz CNFBIFCTZHCTSO-SCUASFONSA-N 0 1 270.336 0.788 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(F)=C(C)C ZINC001114145266 837454685 /nfs/dbraw/zinc/45/46/85/837454685.db2.gz MXKXCADYMKBSCB-IMRBUKKESA-N 0 1 280.343 0.946 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CC[C@H](C)OC ZINC001114161165 837457470 /nfs/dbraw/zinc/45/74/70/837457470.db2.gz BNPJNXWSGCXNEK-RMRHIDDWSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCCCC)C[C@@H]1n1ccnn1 ZINC001129598438 837533122 /nfs/dbraw/zinc/53/31/22/837533122.db2.gz KVNAKULUGSOBHG-KGLIPLIRSA-N 0 1 289.383 0.833 20 30 CCEDMN CCc1n[nH]c(C(=O)NCCNCC#N)c1Cl ZINC001129709500 837552343 /nfs/dbraw/zinc/55/23/43/837552343.db2.gz GOYJWZNANAWIFU-UHFFFAOYSA-N 0 1 255.709 0.468 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C#CC(C)(C)C)C[C@@H]1n1ccnn1 ZINC001129822096 837564722 /nfs/dbraw/zinc/56/47/22/837564722.db2.gz FJOADYKEPJFNNY-KGLIPLIRSA-N 0 1 299.378 0.302 20 30 CCEDMN C#CCCCC(=O)N1C[C@@H](NCCF)[C@@H](n2ccnn2)C1 ZINC001129834546 837571588 /nfs/dbraw/zinc/57/15/88/837571588.db2.gz ZCMYZMVVXSKOKM-OLZOCXBDSA-N 0 1 293.346 0.393 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)OC)C2 ZINC001110333961 844021867 /nfs/dbraw/zinc/02/18/67/844021867.db2.gz WWZRMYLPKNSKMO-MXWKQRLJSA-N 0 1 267.329 0.250 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H](C)CNc2ncccc2C#N)n[nH]1 ZINC001108357247 835988991 /nfs/dbraw/zinc/98/89/91/835988991.db2.gz BYCXSTLDNFVVMA-VIFPVBQESA-N 0 1 299.338 0.539 20 30 CCEDMN N#Cc1cc(-n2nnnc2CN)nc(C(F)(F)F)c1 ZINC001168918718 836048821 /nfs/dbraw/zinc/04/88/21/836048821.db2.gz KRCYUYIZZLOIAQ-UHFFFAOYSA-N 0 1 269.190 0.406 20 30 CCEDMN COc1ccc(CNc2ccnc(CC#N)c2)nn1 ZINC001169474523 836301930 /nfs/dbraw/zinc/30/19/30/836301930.db2.gz MOKOVYVTLKAVGD-UHFFFAOYSA-N 0 1 255.281 0.980 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCCCS1(=O)=O ZINC001129332861 836310414 /nfs/dbraw/zinc/31/04/14/836310414.db2.gz CRGLGTXNGAJVDC-JTQLQIEISA-N 0 1 294.804 0.412 20 30 CCEDMN CCOC(=O)C1(Nc2ncncc2C#N)CCN(C)CC1 ZINC001169491650 836321700 /nfs/dbraw/zinc/32/17/00/836321700.db2.gz GXFQRRDJOXVFNU-UHFFFAOYSA-N 0 1 289.339 0.210 20 30 CCEDMN C[C@H](CCCCNCc1cnon1)NC(=O)[C@@H](C)C#N ZINC001169822244 836454439 /nfs/dbraw/zinc/45/44/39/836454439.db2.gz SRFVCEGCLSRZHJ-WDEREUQCSA-N 0 1 279.344 0.994 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CCCCNCc1cnn(C)n1 ZINC001169822910 836454718 /nfs/dbraw/zinc/45/47/18/836454718.db2.gz ZGRVFQNHXJLQMH-VXGBXAGGSA-N 0 1 292.387 0.739 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H](C)NC(N)=O)C2 ZINC001109285014 836651851 /nfs/dbraw/zinc/65/18/51/836651851.db2.gz PTQUFJTUDCJTLJ-RNJOBUHISA-N 0 1 292.383 0.178 20 30 CCEDMN CN(CCCNc1ccnc(C#N)n1)C(=O)c1ccn[nH]1 ZINC001109371207 836664538 /nfs/dbraw/zinc/66/45/38/836664538.db2.gz UBEBEOFYCMMBTH-UHFFFAOYSA-N 0 1 285.311 0.067 20 30 CCEDMN COC(OC)c1c(C#N)ccnc1NC[C@H]1COCCN1 ZINC001170036266 836755303 /nfs/dbraw/zinc/75/53/03/836755303.db2.gz WVIAEZPULGNCST-NSHDSACASA-N 0 1 292.339 0.645 20 30 CCEDMN N#Cc1cncc(NC[C@H](NC(=O)c2cnn[nH]2)C2CC2)n1 ZINC001110013069 836762902 /nfs/dbraw/zinc/76/29/02/836762902.db2.gz VXGQLLWFMNINRL-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCCC(=O)NC)[C@H](O)C1 ZINC001100135905 836763388 /nfs/dbraw/zinc/76/33/88/836763388.db2.gz DOUDWVGIDSBXDR-QWHCGFSZSA-N 0 1 297.399 0.030 20 30 CCEDMN N#Cc1ccc(NC[C@H](NC(=O)c2cnn[nH]2)C2CC2)nn1 ZINC001110012859 836763820 /nfs/dbraw/zinc/76/38/20/836763820.db2.gz OTIAZUOWDTVKGV-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(OCC)no2)[C@@H](O)C1 ZINC001090436265 836776686 /nfs/dbraw/zinc/77/66/86/836776686.db2.gz PAKQBIALOASMPG-MNOVXSKESA-N 0 1 295.339 0.424 20 30 CCEDMN C=CCCCN1CCN(C(=O)CNC(=O)OC)CC1 ZINC001112652225 836842866 /nfs/dbraw/zinc/84/28/66/836842866.db2.gz DVKIPYRMTPCINO-UHFFFAOYSA-N 0 1 269.345 0.453 20 30 CCEDMN CN1CCC[C@H]1C(=O)NCC1(Nc2ccncc2C#N)CC1 ZINC001110083619 836893358 /nfs/dbraw/zinc/89/33/58/836893358.db2.gz YLAQOVNTTIGTJP-AWEZNQCLSA-N 0 1 299.378 0.530 20 30 CCEDMN C=CCCCN1CCN(C(=O)CC(=O)NC)CC1 ZINC001112791722 836913963 /nfs/dbraw/zinc/91/39/63/836913963.db2.gz YLXIGLIDKFDTNK-UHFFFAOYSA-N 0 1 253.346 0.233 20 30 CCEDMN C=CCCCN1CCN(C(=O)C[C@@H](C)NC(N)=O)CC1 ZINC001112853545 836943014 /nfs/dbraw/zinc/94/30/14/836943014.db2.gz CQOZAUHISGVBGO-GFCCVEGCSA-N 0 1 282.388 0.544 20 30 CCEDMN C=CCOCCN1CCN(C(=O)c2[nH]nnc2C)CC1 ZINC001113182085 837047365 /nfs/dbraw/zinc/04/73/65/837047365.db2.gz XPOUVCUNQYIXSM-UHFFFAOYSA-N 0 1 279.344 0.074 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CCN(C)C2=O)CC1 ZINC001113221461 837059692 /nfs/dbraw/zinc/05/96/92/837059692.db2.gz RQODVFYDGMDAIC-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](COC)OC)CC1 ZINC001113331193 837091177 /nfs/dbraw/zinc/09/11/77/837091177.db2.gz MEFMMYMFTNHLRG-LBPRGKRZSA-N 0 1 256.346 0.368 20 30 CCEDMN C[C@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1ccc(C#N)cn1 ZINC001113356490 837097440 /nfs/dbraw/zinc/09/74/40/837097440.db2.gz IHONLDZPTALZAU-IUCAKERBSA-N 0 1 285.311 0.690 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H](CCC)NC(N)=O)CC1 ZINC001113586649 837167305 /nfs/dbraw/zinc/16/73/05/837167305.db2.gz XFZQASVQSSJTHA-CYBMUJFWSA-N 0 1 296.415 0.934 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](CCC)NC(N)=O)CC1 ZINC001113583610 837167627 /nfs/dbraw/zinc/16/76/27/837167627.db2.gz VUTNBJVXLDYBOH-GFCCVEGCSA-N 0 1 282.388 0.544 20 30 CCEDMN C=CCCCN1CCN(C(=O)CCCC(N)=O)CC1 ZINC001113731655 837207276 /nfs/dbraw/zinc/20/72/76/837207276.db2.gz VBWJGIBQGIHBNQ-UHFFFAOYSA-N 0 1 267.373 0.752 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CSC ZINC001113834446 837325168 /nfs/dbraw/zinc/32/51/68/837325168.db2.gz VHWAPEFONRZSKU-PJXYFTJBSA-N 0 1 268.382 0.046 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COCC1CC1 ZINC001113928942 837372941 /nfs/dbraw/zinc/37/29/41/837372941.db2.gz BSSPUOYXQIGNQS-FOLVSLTJSA-N 0 1 292.379 0.109 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc2c(n1)OCCO2 ZINC001130812212 837934327 /nfs/dbraw/zinc/93/43/27/837934327.db2.gz HKNZZVZLXJVDIR-UHFFFAOYSA-N 0 1 297.742 0.925 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)cnn2C)[C@@H](O)C1 ZINC001090498380 838015363 /nfs/dbraw/zinc/01/53/63/838015363.db2.gz BLVTVYBJEGZFBC-NEPJUHHUSA-N 0 1 278.356 0.080 20 30 CCEDMN C=CCCN1CCNC(=O)CCN(C(=O)CCOC)CC1 ZINC001131318695 838041285 /nfs/dbraw/zinc/04/12/85/838041285.db2.gz LZKCSACPQYJCQI-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H](OCC)[C@@H]1CCOC1 ZINC001131884372 838255103 /nfs/dbraw/zinc/25/51/03/838255103.db2.gz LLODRAGGTBXODK-NEPJUHHUSA-N 0 1 290.791 0.886 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c[nH]nc1[C@@H]1CCOC1 ZINC001131907399 838263909 /nfs/dbraw/zinc/26/39/09/838263909.db2.gz KDWUHXANLRPWOT-SNVBAGLBSA-N 0 1 298.774 0.986 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCC(=O)NCC)CC[C@H]1C ZINC001131895438 838267101 /nfs/dbraw/zinc/26/71/01/838267101.db2.gz IZSUZWQQDCPSFD-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCCC(=O)NC)CC[C@@H]1C ZINC001131977427 838280521 /nfs/dbraw/zinc/28/05/21/838280521.db2.gz XEVOFLQTTWQYJX-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC(C)(C)O)CC[C@@H]1C ZINC001132030386 838306327 /nfs/dbraw/zinc/30/63/27/838306327.db2.gz ULDVEOFVZIXTGX-NWDGAFQWSA-N 0 1 252.358 0.750 20 30 CCEDMN C[C@@H]1CC[C@@H](NC(=O)CCc2cnc[nH]2)CN1CC#N ZINC001132087060 838318225 /nfs/dbraw/zinc/31/82/25/838318225.db2.gz LXNRBZHCTYEDMM-DGCLKSJQSA-N 0 1 275.356 0.835 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C(C)(C)C(N)=O)CC[C@@H]1C ZINC001132123634 838322706 /nfs/dbraw/zinc/32/27/06/838322706.db2.gz GVDCPQKUONQJGG-NWDGAFQWSA-N 0 1 279.384 0.490 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CC(=O)N(C)C)CC[C@@H]1C ZINC001132352892 838365411 /nfs/dbraw/zinc/36/54/11/838365411.db2.gz BRAWICURHAFWDZ-QWHCGFSZSA-N 0 1 279.384 0.457 20 30 CCEDMN N#Cc1cnc(NC2(CNC(=O)Cc3cnc[nH]3)CC2)cn1 ZINC001110356558 844338052 /nfs/dbraw/zinc/33/80/52/844338052.db2.gz BTRPISUBQZWXLK-UHFFFAOYSA-N 0 1 297.322 0.375 20 30 CCEDMN C=CCCCC(=O)NCCNCC(=O)Nc1nncs1 ZINC001133154677 838562818 /nfs/dbraw/zinc/56/28/18/838562818.db2.gz POAONSVSHWZCJP-UHFFFAOYSA-N 0 1 297.384 0.539 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn(C)c(=O)[nH]1 ZINC001133332424 838599454 /nfs/dbraw/zinc/59/94/54/838599454.db2.gz NDIYXUKDVXRTEH-UHFFFAOYSA-N 0 1 258.709 0.198 20 30 CCEDMN C=CCC1(C(=O)NCCNCCS(C)(=O)=O)CCC1 ZINC001133391995 838617183 /nfs/dbraw/zinc/61/71/83/838617183.db2.gz BQDLJMNTGZZOHO-UHFFFAOYSA-N 0 1 288.413 0.483 20 30 CCEDMN CSc1ncc(O)c(C(=O)N2CC(C)(C#N)C2)n1 ZINC001185160816 844349194 /nfs/dbraw/zinc/34/91/94/844349194.db2.gz CHJQZSFITRRGND-UHFFFAOYSA-N 0 1 264.310 0.890 20 30 CCEDMN C=CCN1CCN(C(=O)c2nc(SC)ncc2O)CC1 ZINC001185176810 844354874 /nfs/dbraw/zinc/35/48/74/844354874.db2.gz KONTYWYBDXLVEM-UHFFFAOYSA-N 0 1 294.380 0.848 20 30 CCEDMN CSc1ncc(O)c(C(=O)NC2(C#N)CCOCC2)n1 ZINC001185188168 844356624 /nfs/dbraw/zinc/35/66/24/844356624.db2.gz UUTPJBAHQJLQSJ-UHFFFAOYSA-N 0 1 294.336 0.707 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1conc1C ZINC001134052777 838844809 /nfs/dbraw/zinc/84/48/09/838844809.db2.gz BEYRMLFOKFXXCX-OLZOCXBDSA-N 0 1 279.340 0.780 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCNCc1ocnc1C ZINC001134052945 838845604 /nfs/dbraw/zinc/84/56/04/838845604.db2.gz HBMBVYBBORIINB-NEPJUHHUSA-N 0 1 279.340 0.780 20 30 CCEDMN CC(C)C#CC(=O)N[C@H](C)C[C@H](C)NCc1ncnn1C ZINC001134340625 838944510 /nfs/dbraw/zinc/94/45/10/838944510.db2.gz GYOMYAFTOAZZKN-QWHCGFSZSA-N 0 1 291.399 0.847 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)C[C@H](C)NCc1cn(C)nn1 ZINC001134346130 838956471 /nfs/dbraw/zinc/95/64/71/838956471.db2.gz ZOSNLXNMBNVCGW-STQMWFEESA-N 0 1 291.399 0.847 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)N(CC#N)Cc2ccccc2)C1 ZINC001185258021 844384286 /nfs/dbraw/zinc/38/42/86/844384286.db2.gz OYSZGAQDMGADRP-OAHLLOKOSA-N 0 1 286.379 0.785 20 30 CCEDMN C=C(Br)CNCCNC(=O)Cc1c[nH]cn1 ZINC001134407045 838961983 /nfs/dbraw/zinc/96/19/83/838961983.db2.gz OBOBMODWXZGIBT-UHFFFAOYSA-N 0 1 287.161 0.567 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)C[C@H](C)NCc1nnnn1C ZINC001134469866 838983965 /nfs/dbraw/zinc/98/39/65/838983965.db2.gz JHAWGIMRSRUNOK-NWDGAFQWSA-N 0 1 294.403 0.939 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1ccc(C)cc1=O ZINC001134534248 838998487 /nfs/dbraw/zinc/99/84/87/838998487.db2.gz BBOGYNKXARRMPS-UHFFFAOYSA-N 0 1 283.759 0.615 20 30 CCEDMN C[C@@H](C[C@H](C)NC(=O)c1[nH]nc2c1CCC2)NCC#N ZINC001134830600 839084096 /nfs/dbraw/zinc/08/40/96/839084096.db2.gz PQXZXARWMXCMFV-UWVGGRQHSA-N 0 1 275.356 0.908 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CCN(CC=C)C[C@@H]2O)c1 ZINC001090560593 839644366 /nfs/dbraw/zinc/64/43/66/839644366.db2.gz VXMWQVKPEQWOFK-GJZGRUSLSA-N 0 1 285.347 0.414 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ocnc2C2CC2)[C@H](O)C1 ZINC001090571621 839651306 /nfs/dbraw/zinc/65/13/06/839651306.db2.gz YOIDTRJPSXXOLN-VXGBXAGGSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccn(C)c2CC)[C@@H](O)C1 ZINC001090576454 839652193 /nfs/dbraw/zinc/65/21/93/839652193.db2.gz MIXPVGQZHORFKR-HIFRSBDPSA-N 0 1 291.395 0.939 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)n[nH]c2C)[C@@H](O)C1 ZINC001090648990 839703355 /nfs/dbraw/zinc/70/33/55/839703355.db2.gz AXZDWJLNVGBFTF-RYUDHWBXSA-N 0 1 278.356 0.378 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cnn(CC)c2)[C@H](O)C1 ZINC001090713725 839751085 /nfs/dbraw/zinc/75/10/85/839751085.db2.gz YJXPCHZQYGQRRL-UONOGXRCSA-N 0 1 292.383 0.183 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C2CC2)C2CC2)[C@H](O)C1 ZINC001090712052 839751309 /nfs/dbraw/zinc/75/13/09/839751309.db2.gz CVVIRQABIVDMOK-LSDHHAIUSA-N 0 1 290.407 0.997 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCN(CC=C)C[C@@H]2O)nc1 ZINC001090736019 839764991 /nfs/dbraw/zinc/76/49/91/839764991.db2.gz GVKKUQNVRKGMSV-ZFWWWQNUSA-N 0 1 285.347 0.414 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)C)[C@H](O)C1 ZINC001090744032 839772192 /nfs/dbraw/zinc/77/21/92/839772192.db2.gz QHJLQHPSZAKFOY-NWDGAFQWSA-N 0 1 252.358 0.607 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2n[nH]c3ccccc32)[C@H](O)C1 ZINC001090773228 839797817 /nfs/dbraw/zinc/79/78/17/839797817.db2.gz BITGBYLWGSGNGR-CHWSQXEVSA-N 0 1 299.334 0.251 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cncnc2CC)[C@@H](O)C1 ZINC001090817479 839833244 /nfs/dbraw/zinc/83/32/44/839833244.db2.gz IZNDYNZOMAASCW-KGLIPLIRSA-N 0 1 290.367 0.390 20 30 CCEDMN CCOC(=O)C1(NC(=O)CCS)CCN(C)CC1 ZINC001143986042 839904251 /nfs/dbraw/zinc/90/42/51/839904251.db2.gz QTQYMTKTJVRUML-UHFFFAOYSA-N 0 1 274.386 0.450 20 30 CCEDMN N#Cc1ccc(CN2CCC3(CNC(=O)N3)CC2)nc1 ZINC001144166381 839970580 /nfs/dbraw/zinc/97/05/80/839970580.db2.gz BYQHOONVRMURHE-UHFFFAOYSA-N 0 1 271.324 0.601 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)[C@@H](C)CC)C2 ZINC001110111456 839984469 /nfs/dbraw/zinc/98/44/69/839984469.db2.gz IADHXGZHTKMLLI-CRWXNKLISA-N 0 1 291.395 0.503 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)CSCC#N)C1 ZINC001149205567 840083533 /nfs/dbraw/zinc/08/35/33/840083533.db2.gz CCFIJEBNODUIMP-CYBMUJFWSA-N 0 1 295.408 0.474 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)OC)C2 ZINC001110376300 844516059 /nfs/dbraw/zinc/51/60/59/844516059.db2.gz NMRZVXXWVQVIFV-LPWJVIDDSA-N 0 1 250.342 0.766 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCOC[C@H]1c1cccnc1 ZINC001160949974 840287487 /nfs/dbraw/zinc/28/74/87/840287487.db2.gz ADDFHTZJNSTLET-JSGCOSHPSA-N 0 1 259.309 0.332 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)CCN1CC[C@H](F)C1 ZINC001146518183 840318275 /nfs/dbraw/zinc/31/82/75/840318275.db2.gz ZIMZCMZKBOHGLD-WDEREUQCSA-N 0 1 255.293 0.171 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2cccc(=O)[nH]2)CC1 ZINC000234345187 840566471 /nfs/dbraw/zinc/56/64/71/840566471.db2.gz DYKBYUUWYSMZGF-UHFFFAOYSA-N 0 1 260.297 0.093 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@H]3CC34CC4)C2)C1 ZINC001148335175 840786452 /nfs/dbraw/zinc/78/64/52/840786452.db2.gz QRGUZWHCAARXNV-CQSZACIVSA-N 0 1 288.391 0.971 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cc(C)no2)cn1 ZINC001148377298 840797355 /nfs/dbraw/zinc/79/73/55/840797355.db2.gz WBYNIJXUBWECGF-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN[C@@H](C)c2n[nH]c(C)n2)cn1 ZINC001148383217 840799280 /nfs/dbraw/zinc/79/92/80/840799280.db2.gz YQXHWKTYMVQUOI-JTQLQIEISA-N 0 1 298.350 0.570 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1C[C@@H]2CCN(C(C)=O)[C@@H]2C1 ZINC001186619646 844575533 /nfs/dbraw/zinc/57/55/33/844575533.db2.gz BEMHMZPJJYDMEY-LSDHHAIUSA-N 0 1 291.395 0.740 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@@H]2CCN(C(=O)CC)[C@@H]2C1 ZINC001186621215 844576407 /nfs/dbraw/zinc/57/64/07/844576407.db2.gz PJAGRIGQENAXLZ-FRRDWIJNSA-N 0 1 279.384 0.620 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CNC(=O)c1ccccc1 ZINC001148715746 840882599 /nfs/dbraw/zinc/88/25/99/840882599.db2.gz CYUAXWTUKBNCNW-UHFFFAOYSA-N 0 1 295.770 0.875 20 30 CCEDMN CN1CC(C(=O)N2C[C@H]3CN(CC#N)C[C@@]3(C)C2)=NC1=O ZINC001091979024 840983296 /nfs/dbraw/zinc/98/32/96/840983296.db2.gz QKJLLIFGNRXHEM-YGRLFVJLSA-N 0 1 289.339 0.043 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)[C@@H]1CCCN1C ZINC001092504010 841030468 /nfs/dbraw/zinc/03/04/68/841030468.db2.gz GJWVUPCIWQBYIC-UPJWGTAASA-N 0 1 264.373 0.288 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCC(F)F)[C@H](O)C1 ZINC001099918990 841071443 /nfs/dbraw/zinc/07/14/43/841071443.db2.gz VELFKWNVKORRIJ-NXEZZACHSA-N 0 1 262.300 0.769 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCNc1ncc(C#N)cc1F ZINC001093531630 841315095 /nfs/dbraw/zinc/31/50/95/841315095.db2.gz MMMLNVGVHSCRNR-GFCCVEGCSA-N 0 1 291.330 0.715 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCCOCC)[C@@H]2C1 ZINC001187009628 844633914 /nfs/dbraw/zinc/63/39/14/844633914.db2.gz JAXHRBQCYATCOH-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCCNc1ccc(C#N)nn1 ZINC001094188763 841562478 /nfs/dbraw/zinc/56/24/78/841562478.db2.gz MWFVMOTUAWYNAB-SNVBAGLBSA-N 0 1 299.338 0.478 20 30 CCEDMN N#Cc1cnc(NCCNC(=O)c2cnn[nH]2)c(F)c1 ZINC001094256772 841590202 /nfs/dbraw/zinc/59/02/02/841590202.db2.gz JFMBVUJDSBOEEX-UHFFFAOYSA-N 0 1 275.247 0.052 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCCNc2ccnc(C#N)n2)c1C ZINC001094348429 841614497 /nfs/dbraw/zinc/61/44/97/841614497.db2.gz JRXXTFZCFFEYFA-UHFFFAOYSA-N 0 1 299.338 0.342 20 30 CCEDMN C=CCNC(=O)c1ccc(Nc2nccnc2CN)nc1 ZINC001171445168 841637985 /nfs/dbraw/zinc/63/79/85/841637985.db2.gz WEMQROQUAVKLHI-UHFFFAOYSA-N 0 1 284.323 0.990 20 30 CCEDMN N#Cc1ccc(NCCCNC(=O)Cc2nnc[nH]2)cn1 ZINC001094572467 841670694 /nfs/dbraw/zinc/67/06/94/841670694.db2.gz USZYSTFXXOEALW-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCNCc2nonc2C)cn1 ZINC001171589674 841733189 /nfs/dbraw/zinc/73/31/89/841733189.db2.gz ISFHQQJFDXNBCW-UHFFFAOYSA-N 0 1 299.334 0.664 20 30 CCEDMN C[C@H](Cc1ccc(C#N)cc1)n1cnc(C[C@H](N)C(N)=O)c1 ZINC001172308455 841915120 /nfs/dbraw/zinc/91/51/20/841915120.db2.gz KNLKUDJIBXWPFG-ABAIWWIYSA-N 0 1 297.362 0.914 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnsn1)C2 ZINC001095207186 842098837 /nfs/dbraw/zinc/09/88/37/842098837.db2.gz BDOGIPMKUBUTEJ-SCVCMEIPSA-N 0 1 276.365 0.897 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)N1CCC[C@H](C#N)C1 ZINC001176356355 842363451 /nfs/dbraw/zinc/36/34/51/842363451.db2.gz ZWBQFWCARKMXBB-DGCLKSJQSA-N 0 1 289.339 0.219 20 30 CCEDMN C[C@H](NC(=O)Cc1nn[nH]n1)c1cccc(C#N)c1 ZINC001176845269 842443620 /nfs/dbraw/zinc/44/36/20/842443620.db2.gz LXOQZMCTWHJVDP-QMMMGPOBSA-N 0 1 256.269 0.491 20 30 CCEDMN N#Cc1ccc(NC(=O)Cc2nn[nH]n2)c(C#N)c1 ZINC001176844735 842444366 /nfs/dbraw/zinc/44/43/66/842444366.db2.gz IERWNVYXWXYJFA-UHFFFAOYSA-N 0 1 253.225 0.124 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1ncc(C)cn1 ZINC001177273745 842542950 /nfs/dbraw/zinc/54/29/50/842542950.db2.gz YAFGHJOWXSQYJC-CYBMUJFWSA-N 0 1 292.383 0.972 20 30 CCEDMN CN(CC(=O)Nc1nc[nH]c1C#N)c1ccccn1 ZINC001177408330 842582135 /nfs/dbraw/zinc/58/21/35/842582135.db2.gz CFXVARGBNBWDCK-UHFFFAOYSA-N 0 1 256.269 0.751 20 30 CCEDMN COc1ccc(C(=NC(=O)Cc2n[nH]c(C)n2)NO)nc1 ZINC001177441414 842605211 /nfs/dbraw/zinc/60/52/11/842605211.db2.gz QIWJJYGKHLPRQN-UHFFFAOYSA-N 0 1 290.283 0.011 20 30 CCEDMN Cc1nc(CC(=O)NC=CC(=O)C(F)(F)F)n[nH]1 ZINC001177441767 842607626 /nfs/dbraw/zinc/60/76/26/842607626.db2.gz ZVHOCKFUXGSWDK-NSCUHMNNSA-N 0 1 262.191 0.417 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NCCC(N)=O ZINC001177916247 842708161 /nfs/dbraw/zinc/70/81/61/842708161.db2.gz ULTZPUHLGTYPHY-MRVPVSSYSA-N 0 1 251.311 0.422 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)Nc1c(N)c(=O)c1=O ZINC001177902683 842706001 /nfs/dbraw/zinc/70/60/01/842706001.db2.gz AICLACHSEMGHRM-LURJTMIESA-N 0 1 275.289 0.247 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CCO[C@H](C(N)=O)C1 ZINC001177910296 842707994 /nfs/dbraw/zinc/70/79/94/842707994.db2.gz YNHRORHBOQZJJF-ONGXEEELSA-N 0 1 293.348 0.143 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCCCCNCc1cnn(C)n1 ZINC001178050695 842736819 /nfs/dbraw/zinc/73/68/19/842736819.db2.gz ZUNUCNYFTDFVAU-LBPRGKRZSA-N 0 1 292.387 0.741 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)Cc1csc(NC=O)n1 ZINC001178029897 842746285 /nfs/dbraw/zinc/74/62/85/842746285.db2.gz UTYPCFSIOVGZFH-UHFFFAOYSA-N 0 1 276.281 0.487 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CNC(=O)NC)[C@H]1C ZINC001178835784 842928243 /nfs/dbraw/zinc/92/82/43/842928243.db2.gz WKPLACFGZSXJEN-UWVGGRQHSA-N 0 1 288.779 0.247 20 30 CCEDMN CC#CC(=O)N1CC[N@H+]2C[C@@H](OCc3cccnc3)C[C@@H]2C1 ZINC001179751267 843046826 /nfs/dbraw/zinc/04/68/26/843046826.db2.gz DYLADJISDBTBJK-CVEARBPZSA-N 0 1 299.374 0.907 20 30 CCEDMN CC#CC(=O)N1CCN2C[C@@H](OCc3cccnc3)C[C@@H]2C1 ZINC001179751267 843046832 /nfs/dbraw/zinc/04/68/32/843046832.db2.gz DYLADJISDBTBJK-CVEARBPZSA-N 0 1 299.374 0.907 20 30 CCEDMN COc1ccc(C#CC(=O)Nc2cn[nH]c2C(N)=O)cc1 ZINC001182044868 843688056 /nfs/dbraw/zinc/68/80/56/843688056.db2.gz BKBWFJQSRDAMNX-UHFFFAOYSA-N 0 1 284.275 0.507 20 30 CCEDMN C[C@@H](O)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)CSCC#N)C2 ZINC001110301062 843825039 /nfs/dbraw/zinc/82/50/39/843825039.db2.gz YMKWIGFVYOLOTK-KKOKHZNYSA-N 0 1 283.397 0.345 20 30 CCEDMN C#C[C@@H](CO)NCc1ccc(N(C)CCOC)nc1 ZINC001183104034 843966354 /nfs/dbraw/zinc/96/63/54/843966354.db2.gz RLROGRISJPJTJE-ZDUSSCGKSA-N 0 1 263.341 0.248 20 30 CCEDMN C=CCN1CCN(C(=O)[C@H](O)C(F)(F)F)CC1 ZINC001183200327 843983521 /nfs/dbraw/zinc/98/35/21/843983521.db2.gz LVHYOULBDMEIHE-QMMMGPOBSA-N 0 1 252.236 0.240 20 30 CCEDMN COCC#CC(=O)NCCc1nnc(-c2ccccc2)[nH]1 ZINC001187133443 844656266 /nfs/dbraw/zinc/65/62/66/844656266.db2.gz KYFFPWOOYZZETC-UHFFFAOYSA-N 0 1 284.319 0.780 20 30 CCEDMN N#Cc1c(N)nn(C(=O)Cc2n[nH]c3ccc(O)cc32)c1N ZINC001187231996 844673274 /nfs/dbraw/zinc/67/32/74/844673274.db2.gz JMULUJZTKGBTCD-UHFFFAOYSA-N 0 1 297.278 0.384 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N(C)CCCN(C)CC(N)=O ZINC001273548229 844765867 /nfs/dbraw/zinc/76/58/67/844765867.db2.gz PIOACFFQXZOPQF-UHFFFAOYSA-N 0 1 269.389 0.854 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2ccccn2)C1 ZINC001188625732 844939074 /nfs/dbraw/zinc/93/90/74/844939074.db2.gz GZDWOPABFAEIDA-AWEZNQCLSA-N 0 1 287.363 0.878 20 30 CCEDMN CCOCC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001188738756 844965286 /nfs/dbraw/zinc/96/52/86/844965286.db2.gz UUHRQHAIAUNVOG-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)CSC)C1 ZINC001188776726 844974162 /nfs/dbraw/zinc/97/41/62/844974162.db2.gz NTJGGUHDIUOLKC-VXGBXAGGSA-N 0 1 297.424 0.020 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COCC2CC2)C1 ZINC001189048966 845029047 /nfs/dbraw/zinc/02/90/47/845029047.db2.gz JVWCYULDNQLUCQ-CYBMUJFWSA-N 0 1 250.342 0.579 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](COC)OC)[C@@H]2C1 ZINC001189093290 845050258 /nfs/dbraw/zinc/05/02/58/845050258.db2.gz KTDOXABQKPQRME-MJBXVCDLSA-N 0 1 280.368 0.204 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2cscn2)C1 ZINC001189309780 845104757 /nfs/dbraw/zinc/10/47/57/845104757.db2.gz ONAMUFSEZOGWJF-GFCCVEGCSA-N 0 1 293.392 0.939 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)CCC=C)C1 ZINC001189319271 845108123 /nfs/dbraw/zinc/10/81/23/845108123.db2.gz VWACCFSADUDMCQ-KGLIPLIRSA-N 0 1 291.395 0.623 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCC(=O)NCC)C1 ZINC001189344396 845116175 /nfs/dbraw/zinc/11/61/75/845116175.db2.gz ATBRTAKXFWBAMR-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN COCC[N@@H+](C)[C@@H]1CCN(C(=O)c2ccc(C#N)[nH]2)C1 ZINC001189685806 845186500 /nfs/dbraw/zinc/18/65/00/845186500.db2.gz ARFZJKVNTZAWQB-GFCCVEGCSA-N 0 1 276.340 0.679 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCC(=O)NC)C1 ZINC001189787377 845207255 /nfs/dbraw/zinc/20/72/55/845207255.db2.gz OEJAKTOZBVXBRR-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCc2ncc[nH]2)C1 ZINC001189875309 845245676 /nfs/dbraw/zinc/24/56/76/845245676.db2.gz YQNXJRDXXMRSBI-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](N(C)[C@@H](C)C(N)=O)C1 ZINC001189910017 845262330 /nfs/dbraw/zinc/26/23/30/845262330.db2.gz KXCGOPKGMMSPHT-RYUDHWBXSA-N 0 1 265.357 0.196 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@H](N(C)CC#CC)C1 ZINC001190105616 845323058 /nfs/dbraw/zinc/32/30/58/845323058.db2.gz PHDDWVPBDFQQFW-KGLIPLIRSA-N 0 1 262.353 0.581 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@]23C[C@H]2COC3)C1 ZINC001190271441 845381504 /nfs/dbraw/zinc/38/15/04/845381504.db2.gz YPZQOLNVSFNKRY-YDHLFZDLSA-N 0 1 262.353 0.579 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2cnn(C)c2C)C1 ZINC001190565379 845431765 /nfs/dbraw/zinc/43/17/65/845431765.db2.gz FHMLEIJRLYNAAB-OAHLLOKOSA-N 0 1 288.395 0.827 20 30 CCEDMN C=CCCCCC[N@H+]1C[C@@H](O)[C@H](NC(=O)COC)C1 ZINC001190638962 845452551 /nfs/dbraw/zinc/45/25/51/845452551.db2.gz LHMLTJVJJJEVDY-CHWSQXEVSA-N 0 1 270.373 0.541 20 30 CCEDMN CCc1nc(CNS(=O)(=O)CCCC#N)n[nH]1 ZINC001190656046 845454684 /nfs/dbraw/zinc/45/46/84/845454684.db2.gz QOVZJFJPQPDWTE-UHFFFAOYSA-N 0 1 257.319 0.090 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C(C)(C)C(N)=O)C1 ZINC001190775724 845495562 /nfs/dbraw/zinc/49/55/62/845495562.db2.gz IRCAXQANISZDDP-LLVKDONJSA-N 0 1 265.357 0.054 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001190829805 845513449 /nfs/dbraw/zinc/51/34/49/845513449.db2.gz RSFAMIWYEHRETE-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)c2cccn2C)C1 ZINC001191034186 845568293 /nfs/dbraw/zinc/56/82/93/845568293.db2.gz XEPDUKRDDIWTII-TZMCWYRMSA-N 0 1 293.367 0.003 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1n[nH]cc1-c1ccccn1 ZINC001191489829 845660550 /nfs/dbraw/zinc/66/05/50/845660550.db2.gz WTASJFKBYSKYQU-SNVBAGLBSA-N 0 1 283.291 0.836 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC001191674254 845704808 /nfs/dbraw/zinc/70/48/08/845704808.db2.gz FPJAJTKSHBNVMY-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCNC(=O)C(C)(C)C)C1 ZINC001191651355 845709708 /nfs/dbraw/zinc/70/97/08/845709708.db2.gz ZBHXPRQZEMXTTQ-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN CC[C@H](F)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001191753379 845732425 /nfs/dbraw/zinc/73/24/25/845732425.db2.gz BMQGHTXXLWHEHP-STQMWFEESA-N 0 1 270.348 0.917 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)CCCF)C1 ZINC001191800641 845739767 /nfs/dbraw/zinc/73/97/67/845739767.db2.gz QFIAQHLSZHYXMV-ZDUSSCGKSA-N 0 1 270.348 0.919 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2cnoc2)C1 ZINC001191931544 845756230 /nfs/dbraw/zinc/75/62/30/845756230.db2.gz SCIPVKMDJATVCE-ZDUSSCGKSA-N 0 1 261.325 0.773 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC2(C)C)C1 ZINC001192248487 845804671 /nfs/dbraw/zinc/80/46/71/845804671.db2.gz JEYFUXZZGVZNHK-YNEHKIRRSA-N 0 1 282.384 0.396 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001192218051 845806124 /nfs/dbraw/zinc/80/61/24/845806124.db2.gz MJLCUUAXRKDIED-DOMZBBRYSA-N 0 1 277.368 0.211 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001192298601 845819164 /nfs/dbraw/zinc/81/91/64/845819164.db2.gz UHWXROYFAOCGHH-NFAWXSAZSA-N 0 1 282.384 0.275 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001192298601 845819170 /nfs/dbraw/zinc/81/91/70/845819170.db2.gz UHWXROYFAOCGHH-NFAWXSAZSA-N 0 1 282.384 0.275 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2nc(C)c[nH]2)C1 ZINC001192766617 845905838 /nfs/dbraw/zinc/90/58/38/845905838.db2.gz BSBVLNOKCXBWKB-CYBMUJFWSA-N 0 1 290.367 0.514 20 30 CCEDMN CC(C)N1C[C@@H](O)[C@H](NC(=O)C#CC(C)(C)C)C1 ZINC001192813606 845915167 /nfs/dbraw/zinc/91/51/67/845915167.db2.gz MKNPKHFHQRAUKS-VXGBXAGGSA-N 0 1 252.358 0.606 20 30 CCEDMN N#CCS(=O)(=O)Nc1nc(F)c(F)cc1F ZINC001192935523 845941443 /nfs/dbraw/zinc/94/14/43/845941443.db2.gz VXEUYHOIZZINIV-UHFFFAOYSA-N 0 1 251.189 0.764 20 30 CCEDMN COc1cc(NS(=O)(=O)CC#N)cc(-n2cncn2)c1 ZINC001192981961 845942920 /nfs/dbraw/zinc/94/29/20/845942920.db2.gz AZGAXTSDPJUYFP-UHFFFAOYSA-N 0 1 293.308 0.541 20 30 CCEDMN Cc1ccc2c(c1NS(=O)(=O)CC#N)CNC2=O ZINC001192972387 845958376 /nfs/dbraw/zinc/95/83/76/845958376.db2.gz VOZUYZZGFXTPAR-UHFFFAOYSA-N 0 1 265.294 0.504 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CCOC2)C1 ZINC001193047605 845963987 /nfs/dbraw/zinc/96/39/87/845963987.db2.gz GYNFEMBVLCDVDA-UKRRQHHQSA-N 0 1 264.369 0.969 20 30 CCEDMN CCOC(=O)C(C)(C)S(=O)(=O)Nc1ccnc(C#N)c1 ZINC001193016758 845967292 /nfs/dbraw/zinc/96/72/92/845967292.db2.gz GZZGXPQIKXCCGQ-UHFFFAOYSA-N 0 1 297.336 0.459 20 30 CCEDMN COc1cc(C(=O)Nc2nc[nH]c2C#N)cc(O)c1O ZINC001193080990 845972965 /nfs/dbraw/zinc/97/29/65/845972965.db2.gz GYYCDSTWSFKNKP-UHFFFAOYSA-N 0 1 274.236 0.953 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)COCc2ccncc2)C1 ZINC001193056437 845976820 /nfs/dbraw/zinc/97/68/20/845976820.db2.gz IGUWVERGJONQIP-OAHLLOKOSA-N 0 1 287.363 0.764 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001193056091 845976985 /nfs/dbraw/zinc/97/69/85/845976985.db2.gz GREXQCCJXORDNS-GFCCVEGCSA-N 0 1 288.351 0.283 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001193117537 845985608 /nfs/dbraw/zinc/98/56/08/845985608.db2.gz CDHFRZCDUWWMEA-APPZFPTMSA-N 0 1 272.351 0.502 20 30 CCEDMN CCOC(=O)C1=C(NS(=O)(=O)[C@@H](C)C#N)[C@H](C)OC1 ZINC001193169000 846011185 /nfs/dbraw/zinc/01/11/85/846011185.db2.gz PSPNNRYIIFPWHH-YUMQZZPRSA-N 0 1 288.325 0.054 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(C[C@@H](C)OC)C[C@H]1O ZINC001193232174 846028607 /nfs/dbraw/zinc/02/86/07/846028607.db2.gz UIAWEUJZIVMUPH-BPLDGKMQSA-N 0 1 296.411 0.951 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CCCO)C[C@H]1O ZINC001193303935 846049438 /nfs/dbraw/zinc/04/94/38/846049438.db2.gz FKQCTPVYGRMTBN-VXGBXAGGSA-N 0 1 270.373 0.132 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001193365240 846063030 /nfs/dbraw/zinc/06/30/30/846063030.db2.gz BRGWQYLSKXTWSA-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1O ZINC001193369881 846064688 /nfs/dbraw/zinc/06/46/88/846064688.db2.gz HQNMISRLVJRVHI-JHJVBQTASA-N 0 1 270.373 0.539 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2(C(=O)N(C)C)CC2)C1 ZINC001193399092 846071967 /nfs/dbraw/zinc/07/19/67/846071967.db2.gz MKLXIQOUKYPAHQ-LBPRGKRZSA-N 0 1 277.368 0.021 20 30 CCEDMN COC(CN(C)C(=O)c1ccc(O)c(C#N)c1)OC ZINC001193503905 846100244 /nfs/dbraw/zinc/10/02/44/846100244.db2.gz JDYGJYMRWOCVIE-UHFFFAOYSA-N 0 1 264.281 0.955 20 30 CCEDMN COc1ccc(CNC(=O)c2ccc(O)c(C#N)c2)nn1 ZINC001193515604 846103225 /nfs/dbraw/zinc/10/32/25/846103225.db2.gz PJVNNBVUUPSCEA-UHFFFAOYSA-N 0 1 284.275 0.992 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(COC)CCCC2)C1 ZINC001193584177 846112489 /nfs/dbraw/zinc/11/24/89/846112489.db2.gz AOQDFVKKOMUVQW-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCN3C(=O)CC[C@@H]3C2)c1O ZINC001193644226 846134719 /nfs/dbraw/zinc/13/47/19/846134719.db2.gz SXLKQUUCLFESLZ-LLVKDONJSA-N 0 1 285.303 0.711 20 30 CCEDMN C[C@]1(CO)CN(C(=O)c2cccc(C#N)c2O)CC[C@@H]1O ZINC001193642948 846134746 /nfs/dbraw/zinc/13/47/46/846134746.db2.gz UEJTYFXXLYMOFA-SWLSCSKDSA-N 0 1 290.319 0.469 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCN3C(=O)OC[C@H]3C2)c1O ZINC001193644011 846134804 /nfs/dbraw/zinc/13/48/04/846134804.db2.gz LGUHQTZTEWSPRU-SNVBAGLBSA-N 0 1 287.275 0.540 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CNC(=O)[C@H](C)CC)C1 ZINC001194081804 846212669 /nfs/dbraw/zinc/21/26/69/846212669.db2.gz WXHRFPOQYJGAOS-OLZOCXBDSA-N 0 1 279.384 0.315 20 30 CCEDMN N#Cc1cncc(C(=O)Nc2n[nH]c3nccnc23)c1 ZINC001194102510 846221913 /nfs/dbraw/zinc/22/19/13/846221913.db2.gz IGBHJGSWNAHFLF-UHFFFAOYSA-N 0 1 265.236 0.823 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)COC2CCCCC2)C1 ZINC001194335104 846278664 /nfs/dbraw/zinc/27/86/64/846278664.db2.gz HZCGFSIZZHFSSX-HUUCEWRRSA-N 0 1 294.395 0.520 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](CCCC)NC(N)=O)C1 ZINC001194448783 846292626 /nfs/dbraw/zinc/29/26/26/846292626.db2.gz LPQHDLAHESRVSE-QWHCGFSZSA-N 0 1 294.399 0.379 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCc2cn(C)nn2)C1 ZINC001194523042 846316946 /nfs/dbraw/zinc/31/69/46/846316946.db2.gz OUHWDPDDFAMMFZ-AWEZNQCLSA-N 0 1 289.383 0.304 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2cnccn2)CC1 ZINC001194681700 846358028 /nfs/dbraw/zinc/35/80/28/846358028.db2.gz FLCZEPSFJIVLHQ-UHFFFAOYSA-N 0 1 290.367 0.827 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)CC(C)(C)CO ZINC001194723760 846377489 /nfs/dbraw/zinc/37/74/89/846377489.db2.gz MLXPUJBYRMSAHE-UHFFFAOYSA-N 0 1 253.346 0.499 20 30 CCEDMN C[C@H](O)CN1CCCN(C(=O)CSCC#N)CC1 ZINC001194919595 846417733 /nfs/dbraw/zinc/41/77/33/846417733.db2.gz VWJIZWONPUIBIS-NSHDSACASA-N 0 1 271.386 0.158 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCCN(CCCO)CC1 ZINC001195124359 846466438 /nfs/dbraw/zinc/46/64/38/846466438.db2.gz CUZPOBOTIOVJFX-AWEZNQCLSA-N 0 1 284.400 0.884 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CCCN(C[C@@H](C)O)CC1 ZINC001195173830 846477454 /nfs/dbraw/zinc/47/74/54/846477454.db2.gz DCQPWRGGQZXICJ-KGLIPLIRSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@H]1O ZINC001195254710 846484999 /nfs/dbraw/zinc/48/49/99/846484999.db2.gz FKEKRQSUGAUTDL-MGPQQGTHSA-N 0 1 298.427 0.911 20 30 CCEDMN CCOC(=O)NC(=S)N=C(NC#N)c1ccncc1 ZINC001195497518 846553114 /nfs/dbraw/zinc/55/31/14/846553114.db2.gz RAIWYHOMRHVQHR-UHFFFAOYSA-N 0 1 277.309 0.930 20 30 CCEDMN C=CCN1CCCN(C(=O)CC(=O)NCC)CC1 ZINC001195588896 846581928 /nfs/dbraw/zinc/58/19/28/846581928.db2.gz YQVPTJDTNWRPQG-UHFFFAOYSA-N 0 1 253.346 0.233 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCCOC)C[C@H]2O)CCC1 ZINC001195897285 846640219 /nfs/dbraw/zinc/64/02/19/846640219.db2.gz YNXZYPWCSBECNQ-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(CC)CC2)C1 ZINC001195954134 846660634 /nfs/dbraw/zinc/66/06/34/846660634.db2.gz FZUVWZUUDKDKLI-VXGBXAGGSA-N 0 1 252.358 0.914 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1c[nH]c(=S)[nH]c1=O ZINC001196009289 846665306 /nfs/dbraw/zinc/66/53/06/846665306.db2.gz YGVBGTWWNJWLLK-UHFFFAOYSA-N 0 1 262.254 0.318 20 30 CCEDMN C=CCN1CCCN(C(=O)CCNC(=O)[C@H]2C[C@H]2C)CC1 ZINC001196033930 846679389 /nfs/dbraw/zinc/67/93/89/846679389.db2.gz WHPWABQWMPBGFC-KGLIPLIRSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCOCC[N@@H+]1CCCN(C(=O)C(C)(C)C(N)=O)CC1 ZINC001196215408 846708166 /nfs/dbraw/zinc/70/81/66/846708166.db2.gz GSIXLCKMGRYWPM-UHFFFAOYSA-N 0 1 297.399 0.235 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)C(C)(C)C(N)=O)CC1 ZINC001196215408 846708174 /nfs/dbraw/zinc/70/81/74/846708174.db2.gz GSIXLCKMGRYWPM-UHFFFAOYSA-N 0 1 297.399 0.235 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCCC(F)(F)F)C1 ZINC001196384801 846740045 /nfs/dbraw/zinc/74/00/45/846740045.db2.gz UOXBNZSLFBNRHR-GHMZBOCLSA-N 0 1 292.301 0.904 20 30 CCEDMN C=CCC(C)(C)C(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1O ZINC001196482889 846753551 /nfs/dbraw/zinc/75/35/51/846753551.db2.gz UJIIMZNOGVEVIC-JHJVBQTASA-N 0 1 284.400 0.785 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@]2(COC)CCOC2)CC1 ZINC001196665636 846784711 /nfs/dbraw/zinc/78/47/11/846784711.db2.gz GLICIYINNHLVLV-OAHLLOKOSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)Cc2ncc[nH]2)CC1 ZINC001197554994 846931863 /nfs/dbraw/zinc/93/18/63/846931863.db2.gz MSNRBSGVYWLIFA-UHFFFAOYSA-N 0 1 292.383 0.689 20 30 CCEDMN COCCn1nc(C)cc1C(=O)Nc1nc[nH]c1C#N ZINC001198084775 847037925 /nfs/dbraw/zinc/03/79/25/847037925.db2.gz MKAIFIHPBJCYEX-UHFFFAOYSA-N 0 1 274.284 0.685 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)CCCC(N)=O)CC1 ZINC001198379959 847099449 /nfs/dbraw/zinc/09/94/49/847099449.db2.gz WEJIFYYRFZRABX-UHFFFAOYSA-N 0 1 297.399 0.379 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cncn1-c1ccncc1 ZINC001198550143 847132620 /nfs/dbraw/zinc/13/26/20/847132620.db2.gz ZHLCRDVHRSKPEY-GFCCVEGCSA-N 0 1 283.291 0.632 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1cncn1-c1ccncc1 ZINC001198557225 847133816 /nfs/dbraw/zinc/13/38/16/847133816.db2.gz QOMFMTDTOVYDCO-JTQLQIEISA-N 0 1 258.281 0.544 20 30 CCEDMN N#Cc1cnc(C(=O)N2CCc3nc[nH]c3C2)cn1 ZINC001199664189 847388709 /nfs/dbraw/zinc/38/87/09/847388709.db2.gz DFUAXGDBKOJOAL-UHFFFAOYSA-N 0 1 254.253 0.270 20 30 CCEDMN C#CCOC[C@H](O)CNCC(=O)Nc1ccc(F)cc1 ZINC001251897124 847405763 /nfs/dbraw/zinc/40/57/63/847405763.db2.gz SRFFIJGTXZBPDT-CYBMUJFWSA-N 0 1 280.299 0.365 20 30 CCEDMN C=CCOCC(=O)N1CCC(NCc2cnn(C)n2)CC1 ZINC001199788045 847433006 /nfs/dbraw/zinc/43/30/06/847433006.db2.gz JAGMHXJFYMICBM-UHFFFAOYSA-N 0 1 293.371 0.098 20 30 CCEDMN C=CCNC(=S)N1CC[N@H+]2C[C@H](OCC)C[C@H]2C1 ZINC001200288762 847597982 /nfs/dbraw/zinc/59/79/82/847597982.db2.gz OMLJTBSKRSYSSZ-NWDGAFQWSA-N 0 1 269.414 0.842 20 30 CCEDMN C#CCCCC(=O)N1CCC(NCc2cnn(C)n2)CC1 ZINC001200317350 847604253 /nfs/dbraw/zinc/60/42/53/847604253.db2.gz RPOAAXDIOSMRGM-UHFFFAOYSA-N 0 1 289.383 0.699 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H](C)NC(N)=O)C2 ZINC001110562442 847762929 /nfs/dbraw/zinc/76/29/29/847762929.db2.gz PTQUFJTUDCJTLJ-LPWJVIDDSA-N 0 1 292.383 0.178 20 30 CCEDMN C=C[C@](C)(O)CN1CCOC[C@](O)(C(F)(F)F)C1 ZINC001252547461 848000177 /nfs/dbraw/zinc/00/01/77/848000177.db2.gz IJGDJWJEDCQODQ-UWVGGRQHSA-N 0 1 269.263 0.549 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ccnn1C ZINC001114355373 848024338 /nfs/dbraw/zinc/02/43/38/848024338.db2.gz JZVUZNJLDJFUIK-NHAGDIPZSA-N 0 1 272.352 0.032 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cncnc1)C2 ZINC001095342722 848253982 /nfs/dbraw/zinc/25/39/82/848253982.db2.gz WWOJEQBBAKIQGW-RDBSUJKOSA-N 0 1 270.336 0.835 20 30 CCEDMN C=CCC1(O)CCN([C@@H](C)C(=O)NCCCOC)CC1 ZINC000717463921 848266933 /nfs/dbraw/zinc/26/69/33/848266933.db2.gz MJRYSDPBNXJROM-ZDUSSCGKSA-N 0 1 284.400 0.931 20 30 CCEDMN COc1ccc(OC)c([C@H](O)CNCC#CCO)c1 ZINC000717532276 848275794 /nfs/dbraw/zinc/27/57/94/848275794.db2.gz MUBUOFTYLKPMOH-CYBMUJFWSA-N 0 1 265.309 0.323 20 30 CCEDMN C=CCCC(C)(C)NS(=O)(=O)C[C@@H]1CNCCO1 ZINC000717668265 848281573 /nfs/dbraw/zinc/28/15/73/848281573.db2.gz XAUQOTOOXYGATM-NSHDSACASA-N 0 1 276.402 0.639 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC/C=C\CNCc1cnn(C)c1 ZINC001274016930 848303497 /nfs/dbraw/zinc/30/34/97/848303497.db2.gz PTPWUUICXXKBMK-SZZPACECSA-N 0 1 290.367 0.220 20 30 CCEDMN CNC(=O)CN1CCC2(C[C@@H]2C(=O)NCCCC#N)CC1 ZINC001274075192 848342708 /nfs/dbraw/zinc/34/27/08/848342708.db2.gz LWWDRTJZTPURBX-GFCCVEGCSA-N 0 1 292.383 0.254 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnnn1CC)C2 ZINC001095388666 848384032 /nfs/dbraw/zinc/38/40/32/848384032.db2.gz RHRFGHDPHRSKMC-AGIUHOORSA-N 0 1 287.367 0.656 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCN(c2ncnc3[nH]cnc32)CC1 ZINC001095399115 848402504 /nfs/dbraw/zinc/40/25/04/848402504.db2.gz OVKIHQRTUJXFKZ-VIFPVBQESA-N 0 1 299.338 0.598 20 30 CCEDMN Cc1cocc1C(=O)NC/C=C/CNCC(=O)NCC#N ZINC001274276698 848436849 /nfs/dbraw/zinc/43/68/49/848436849.db2.gz YEHUKEQQMASNNB-NSCUHMNNSA-N 0 1 290.323 0.103 20 30 CCEDMN N#CCNC(=O)CNC/C=C/CNC(=O)[C@@H]1CC[C@H](F)C1 ZINC001274319106 848449045 /nfs/dbraw/zinc/44/90/45/848449045.db2.gz XVCIWPBYYRMMEE-HHDBNCKJSA-N 0 1 296.346 0.026 20 30 CCEDMN C#CCN1CC2(CCC1=O)CCN(Cc1nnc[nH]1)CC2 ZINC001274354452 848455570 /nfs/dbraw/zinc/45/55/70/848455570.db2.gz JXEXAAWBPOQWDX-UHFFFAOYSA-N 0 1 287.367 0.643 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)CCCCN2Cc1c[nH]ccc1=O ZINC001274450918 848480361 /nfs/dbraw/zinc/48/03/61/848480361.db2.gz XBXPRRGTVYUQKA-QGZVFWFLSA-N 0 1 299.374 0.965 20 30 CCEDMN C[C@H](CNCC#N)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001274980782 848605322 /nfs/dbraw/zinc/60/53/22/848605322.db2.gz MZLUKROHDAYRBC-SECBINFHSA-N 0 1 287.327 0.042 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C(C)(C)NC(C)=O ZINC001275149985 848651338 /nfs/dbraw/zinc/65/13/38/848651338.db2.gz ADMZROYSDSZMNT-CYBMUJFWSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cccc(C(N)=O)c1 ZINC001275592792 848770407 /nfs/dbraw/zinc/77/04/07/848770407.db2.gz RPKQEZCVEAEECJ-LBPRGKRZSA-N 0 1 287.363 0.859 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1ccc(C(N)=O)o1 ZINC001275872216 848853675 /nfs/dbraw/zinc/85/36/75/848853675.db2.gz ABODYXPFIKPMSM-SNVBAGLBSA-N 0 1 277.324 0.452 20 30 CCEDMN C#CC[N@H+](C)[C@H](C)CNC(=O)C1(NC(N)=O)CCCCC1 ZINC001275944374 848871626 /nfs/dbraw/zinc/87/16/26/848871626.db2.gz FIFAWPXBQGDMKD-GFCCVEGCSA-N 0 1 294.399 0.427 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)C1(NC(N)=O)CCCCC1 ZINC001275944374 848871632 /nfs/dbraw/zinc/87/16/32/848871632.db2.gz FIFAWPXBQGDMKD-GFCCVEGCSA-N 0 1 294.399 0.427 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc3n(n1)CCO3)C2 ZINC001095540612 848983040 /nfs/dbraw/zinc/98/30/40/848983040.db2.gz DMTIRISRCIMIQB-MDZLAQPJSA-N 0 1 288.351 0.797 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCc1cn[nH]c1)C2 ZINC001111123820 849075794 /nfs/dbraw/zinc/07/57/94/849075794.db2.gz KSXJULPABCZFAN-MCIONIFRSA-N 0 1 272.352 0.697 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1[nH]nc2c1CCCC2 ZINC000717959910 849214692 /nfs/dbraw/zinc/21/46/92/849214692.db2.gz KHLLDJMOSHGFGK-SNVBAGLBSA-N 0 1 261.325 0.793 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1cc(F)cc(C#N)c1 ZINC000720079395 849333700 /nfs/dbraw/zinc/33/37/00/849333700.db2.gz SOCMRQIBVYKOFY-UHFFFAOYSA-N 0 1 285.344 0.879 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCF ZINC001114703787 849372975 /nfs/dbraw/zinc/37/29/75/849372975.db2.gz YIYHGXVBLXUKHP-NMKXLXIOSA-N 0 1 297.374 0.473 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)C(F)F ZINC001114817368 849403189 /nfs/dbraw/zinc/40/31/89/849403189.db2.gz ULMDMRNEVXYRKU-MYJAWHEDSA-N 0 1 256.296 0.957 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)Oc1cccnc1 ZINC001114821792 849405616 /nfs/dbraw/zinc/40/56/16/849405616.db2.gz MGOXUUCRZLLSQK-ARLBYUKCSA-N 0 1 299.374 0.919 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C1CCOCC1 ZINC001037999935 849628075 /nfs/dbraw/zinc/62/80/75/849628075.db2.gz WHEPLSCOLZKUEV-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COC1CCOCC1 ZINC001114912445 849652193 /nfs/dbraw/zinc/65/21/93/849652193.db2.gz GJDQBRHMCIENTM-FOLVSLTJSA-N 0 1 292.379 0.252 20 30 CCEDMN CC#CCN1CC[C@@H]1CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001038641741 849790830 /nfs/dbraw/zinc/79/08/30/849790830.db2.gz ZONAHSFNGOKHEQ-VXGBXAGGSA-N 0 1 287.367 0.438 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2CCN2CCCO)[nH]1 ZINC001038165337 849803195 /nfs/dbraw/zinc/80/31/95/849803195.db2.gz ZOXBFWNAOHGZOX-LLVKDONJSA-N 0 1 262.313 0.073 20 30 CCEDMN C[C@@H](O)CN1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038171706 849805817 /nfs/dbraw/zinc/80/58/17/849805817.db2.gz BLURQDKDBFKOLW-MWLCHTKSSA-N 0 1 262.313 0.071 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001038377616 849869654 /nfs/dbraw/zinc/86/96/54/849869654.db2.gz LZNAFDYPXOHATO-LLVKDONJSA-N 0 1 262.313 0.562 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001038420695 849890932 /nfs/dbraw/zinc/89/09/32/849890932.db2.gz ZUCGNBRFQAGLTO-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@@H](C(C)(C)O)C1 ZINC000721885291 849926997 /nfs/dbraw/zinc/92/69/97/849926997.db2.gz FSUHRZLZPAUBMA-SNVBAGLBSA-N 0 1 269.345 0.091 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCCc2nn[nH]c21 ZINC001038642878 849968488 /nfs/dbraw/zinc/96/84/88/849968488.db2.gz CRXAZZZKKHZIBS-RYUDHWBXSA-N 0 1 287.367 0.438 20 30 CCEDMN C#Cc1cncc(C(=O)NC[C@@H]2CCN2C[C@@H](C)O)c1 ZINC001038679209 849978356 /nfs/dbraw/zinc/97/83/56/849978356.db2.gz AKOVYUMOYDJYLX-RISCZKNCSA-N 0 1 273.336 0.248 20 30 CCEDMN C#CC[N@H+]1CC[C@@H]1CNC(=O)c1nnc2ccccc2c1[O-] ZINC001038763309 850009350 /nfs/dbraw/zinc/00/93/50/850009350.db2.gz DNGJWYFWDNTDES-LLVKDONJSA-N 0 1 296.330 0.773 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]1CNC(=O)c1nnc2ccccc2c1[O-] ZINC001038763309 850009360 /nfs/dbraw/zinc/00/93/60/850009360.db2.gz DNGJWYFWDNTDES-LLVKDONJSA-N 0 1 296.330 0.773 20 30 CCEDMN CC#CCN1CC[C@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001038885983 850067932 /nfs/dbraw/zinc/06/79/32/850067932.db2.gz HBJUGTCSEHPTDN-OLZOCXBDSA-N 0 1 286.379 0.728 20 30 CCEDMN N#CCN1CC[C@@H]1CNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001038893612 850068698 /nfs/dbraw/zinc/06/86/98/850068698.db2.gz MSBKQLMSCGVBHT-WDEREUQCSA-N 0 1 273.340 0.229 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H]1CCc2nncn2C1 ZINC001039049830 850138778 /nfs/dbraw/zinc/13/87/78/850138778.db2.gz YVZKBGGRLISPLV-STQMWFEESA-N 0 1 287.367 0.054 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCNC(=O)C1 ZINC001039357667 850179569 /nfs/dbraw/zinc/17/95/69/850179569.db2.gz XRMDYHMIXMPMDT-MCIONIFRSA-N 0 1 291.395 0.764 20 30 CCEDMN C#CCN1CCC[C@]2(CCN(C(=O)c3cn[nH]n3)C2)C1 ZINC001040272724 850282262 /nfs/dbraw/zinc/28/22/62/850282262.db2.gz HFOIEHLHBGKCQV-AWEZNQCLSA-N 0 1 273.340 0.366 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)c3n[nH]c(C)c3[O-])C2)C1 ZINC001041205769 850402706 /nfs/dbraw/zinc/40/27/06/850402706.db2.gz AWYBNDKNTOALCC-OAHLLOKOSA-N 0 1 288.351 0.595 20 30 CCEDMN C#CC[N@H+]1CC[C@@]2(CCN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC001041205769 850402711 /nfs/dbraw/zinc/40/27/11/850402711.db2.gz AWYBNDKNTOALCC-OAHLLOKOSA-N 0 1 288.351 0.595 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)c3[nH]nc(C)c3[O-])C2)C1 ZINC001041205769 850402716 /nfs/dbraw/zinc/40/27/16/850402716.db2.gz AWYBNDKNTOALCC-OAHLLOKOSA-N 0 1 288.351 0.595 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)c3cc(OC)no3)C2)C1 ZINC001041228309 850407467 /nfs/dbraw/zinc/40/74/67/850407467.db2.gz NLSMPZRZHIZDKM-HNNXBMFYSA-N 0 1 289.335 0.854 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)c3ncccc3O)C2)C1 ZINC001041235675 850409161 /nfs/dbraw/zinc/40/91/61/850409161.db2.gz YXBOETSJXNVZOB-MRXNPFEDSA-N 0 1 285.347 0.958 20 30 CCEDMN C#CC[N@@H+]1CC[C@@]2(CCN(C(=O)c3ncccc3[O-])C2)C1 ZINC001041235675 850409170 /nfs/dbraw/zinc/40/91/70/850409170.db2.gz YXBOETSJXNVZOB-MRXNPFEDSA-N 0 1 285.347 0.958 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnn(C)c3C)C[C@H]21 ZINC001041938087 850533001 /nfs/dbraw/zinc/53/30/01/850533001.db2.gz AKRSKMKCDIFNPR-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3cn(C)cn3)C[C@H]21 ZINC001042020328 850557285 /nfs/dbraw/zinc/55/72/85/850557285.db2.gz VQVJDYDSQMZQEP-UKRRQHHQSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cncn3C)C[C@H]21 ZINC001042139792 850585773 /nfs/dbraw/zinc/58/57/73/850585773.db2.gz ZJDVQNDKUZWLAE-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cn(CC=C)nn3)C[C@H]21 ZINC001042262924 850605513 /nfs/dbraw/zinc/60/55/13/850605513.db2.gz QMBLPRRVWKIEID-UKRRQHHQSA-N 0 1 299.378 0.634 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cccc3nn[nH]c32)C1 ZINC001042776494 850756697 /nfs/dbraw/zinc/75/66/97/850756697.db2.gz REIRUQGUVTUZAJ-UHFFFAOYSA-N 0 1 269.308 0.347 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001042900247 850776405 /nfs/dbraw/zinc/77/64/05/850776405.db2.gz PNBAUXYLHAMWBX-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)c2cc(=O)n(C)cn2)C1 ZINC001042965365 850790654 /nfs/dbraw/zinc/79/06/54/850790654.db2.gz SYDLOTUHVPEQTJ-UHFFFAOYSA-N 0 1 290.367 0.503 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2ccc3[nH]nnc3c2)C1 ZINC001044285541 851061901 /nfs/dbraw/zinc/06/19/01/851061901.db2.gz ZENNDOWEAQMRRD-UHFFFAOYSA-N 0 1 269.308 0.347 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cc(C)n[nH]2)CC1 ZINC001045371184 851244475 /nfs/dbraw/zinc/24/44/75/851244475.db2.gz HZOBLTSRCAQLQX-UHFFFAOYSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccnnc2)CC1 ZINC001045571257 851280869 /nfs/dbraw/zinc/28/08/69/851280869.db2.gz MZPVLHQRPKJPKG-UHFFFAOYSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CC(=O)N(C(C)C)C2)C1 ZINC001046352394 851472166 /nfs/dbraw/zinc/47/21/66/851472166.db2.gz VWVIOANVXCLESS-XJKSGUPXSA-N 0 1 291.395 0.457 20 30 CCEDMN C[C@]1(NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)CCN(CC#N)C1 ZINC001046386027 851483928 /nfs/dbraw/zinc/48/39/28/851483928.db2.gz UGJVTOCJZQRWMA-GYSYKLTISA-N 0 1 273.340 0.617 20 30 CCEDMN C#CCC[N@@H+]1CC[C@](C)(NC(=O)c2cnc[nH]c2=O)C1 ZINC001046408467 851491113 /nfs/dbraw/zinc/49/11/13/851491113.db2.gz XEEPHLIXWCAMCI-AWEZNQCLSA-N 0 1 274.324 0.400 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2c(C)cnn2C)C1 ZINC001046551641 851544284 /nfs/dbraw/zinc/54/42/84/851544284.db2.gz NFHHPCFCTXUPFU-OAHLLOKOSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2c(C)cnn2C)C1 ZINC001046551641 851544287 /nfs/dbraw/zinc/54/42/87/851544287.db2.gz NFHHPCFCTXUPFU-OAHLLOKOSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2csc(=O)[nH]2)C1 ZINC001046562811 851549518 /nfs/dbraw/zinc/54/95/18/851549518.db2.gz RCZIDYZZUBLFBL-LBPRGKRZSA-N 0 1 265.338 0.676 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001046622476 851567452 /nfs/dbraw/zinc/56/74/52/851567452.db2.gz BYSNMVNRAYCROD-OAHLLOKOSA-N 0 1 290.367 0.884 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2[nH]c(C)nc2C)C1 ZINC001046628783 851570987 /nfs/dbraw/zinc/57/09/87/851570987.db2.gz QIKWLRMHVYDQOQ-CQSZACIVSA-N 0 1 260.341 0.854 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccn(C)n2)C1 ZINC001046836601 851624964 /nfs/dbraw/zinc/62/49/64/851624964.db2.gz VBHSCBTYGUEMQB-AWEZNQCLSA-N 0 1 260.341 0.638 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)c3ncn[nH]3)C2)nn1 ZINC001046871807 851636391 /nfs/dbraw/zinc/63/63/91/851636391.db2.gz HAEXRCHLMGOXCD-CZMCAQCFSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](CNC(=O)c3nc[nH]n3)C2)nn1 ZINC001046871807 851636392 /nfs/dbraw/zinc/63/63/92/851636392.db2.gz HAEXRCHLMGOXCD-CZMCAQCFSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001046865867 851637064 /nfs/dbraw/zinc/63/70/64/851637064.db2.gz GVXZSOHGKQYCMT-OAHLLOKOSA-N 0 1 288.351 0.561 20 30 CCEDMN Cn1nc2c(c1C=NNCCN1CCCCC1)COCC2 ZINC000901169721 851646303 /nfs/dbraw/zinc/64/63/03/851646303.db2.gz LEVUSAQDOHTBSQ-UHFFFAOYSA-N 0 1 291.399 0.902 20 30 CCEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)c2[nH]nnc2C)C1 ZINC001046961828 851651308 /nfs/dbraw/zinc/65/13/08/851651308.db2.gz SEYRGJRPNFRZQO-SNVBAGLBSA-N 0 1 299.325 0.986 20 30 CCEDMN N#CCN1CCC(F)(F)[C@@H](CNC(=O)c2ncn[nH]2)C1 ZINC001046980499 851652925 /nfs/dbraw/zinc/65/29/25/851652925.db2.gz NVNVGFCKVFWOOG-QMMMGPOBSA-N 0 1 284.270 0.015 20 30 CCEDMN N#CCN1CCC(F)(F)[C@@H](CNC(=O)c2nc[nH]n2)C1 ZINC001046980499 851652927 /nfs/dbraw/zinc/65/29/27/851652927.db2.gz NVNVGFCKVFWOOG-QMMMGPOBSA-N 0 1 284.270 0.015 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCC(=O)N1)C2 ZINC001096156432 851669502 /nfs/dbraw/zinc/66/95/02/851669502.db2.gz SXQFYSIBKANFEK-CRWXNKLISA-N 0 1 289.379 0.400 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2CCCC2)C1 ZINC001047276701 851692518 /nfs/dbraw/zinc/69/25/18/851692518.db2.gz DYDLAMJJMKPIKI-KBPBESRZSA-N 0 1 264.369 0.703 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cn2cc(C)cn2)C1 ZINC001047316301 851711944 /nfs/dbraw/zinc/71/19/44/851711944.db2.gz PVZXYDDBCUCSMS-KBPBESRZSA-N 0 1 292.383 0.271 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C[C@H]2COC(=O)C2)C1 ZINC001047347639 851729977 /nfs/dbraw/zinc/72/99/77/851729977.db2.gz FQWNPBCJCLVYEF-AGIUHOORSA-N 0 1 296.367 0.019 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cc[nH]c(=O)c2)C1 ZINC001047345843 851731449 /nfs/dbraw/zinc/73/14/49/851731449.db2.gz VOWFWTCPAPJTHS-STQMWFEESA-N 0 1 291.351 0.480 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cnc(C)o2)C1 ZINC001047407937 851753166 /nfs/dbraw/zinc/75/31/66/851753166.db2.gz TUDKJGROKZOWHJ-KBPBESRZSA-N 0 1 293.367 0.605 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H](C)C2CCC2)C1 ZINC001047424315 851759679 /nfs/dbraw/zinc/75/96/79/851759679.db2.gz GVLOCKYZQRTPFR-SNPRPXQTSA-N 0 1 278.396 0.949 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)[C@H]2CN([C@@H](C)COC)C[C@@H]2O)C1 ZINC001047519538 851799359 /nfs/dbraw/zinc/79/93/59/851799359.db2.gz HDQYRIBAFSLUDV-IHRRRGAJSA-N 0 1 296.411 0.881 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CC23CC3)C1 ZINC001047543558 851808899 /nfs/dbraw/zinc/80/88/99/851808899.db2.gz WAKCAPILDGIYLJ-AGIUHOORSA-N 0 1 262.353 0.313 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)Cn1cccn1 ZINC001049329982 852233257 /nfs/dbraw/zinc/23/32/57/852233257.db2.gz DRHZYEJZQGDPCH-KBPBESRZSA-N 0 1 272.352 0.582 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H]1COCCO1 ZINC001049347367 852237598 /nfs/dbraw/zinc/23/75/98/852237598.db2.gz RWOSHTATYRKMEU-MCIONIFRSA-N 0 1 278.352 0.100 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccnn1C ZINC001049374603 852248128 /nfs/dbraw/zinc/24/81/28/852248128.db2.gz SGXBKLVOYKLQCE-OLZOCXBDSA-N 0 1 272.352 0.732 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ncc[nH]1 ZINC001049472221 852292000 /nfs/dbraw/zinc/29/20/00/852292000.db2.gz RMOGKFPUXWVBJS-VXGBXAGGSA-N 0 1 258.325 0.722 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1ccn(C)n1)C2 ZINC001096544125 852301172 /nfs/dbraw/zinc/30/11/72/852301172.db2.gz DDBWAMZJAJJBEX-WXHSDQCUSA-N 0 1 260.341 0.941 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@H]2[C@@H]1CCN2CC#N ZINC001049631729 852324503 /nfs/dbraw/zinc/32/45/03/852324503.db2.gz TVRSPJMDYUSOGK-QWRGUYRKSA-N 0 1 274.328 0.316 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1c[nH]c(=O)n1C ZINC001049637019 852326593 /nfs/dbraw/zinc/32/65/93/852326593.db2.gz WAVMQCQMVFPLFM-VXGBXAGGSA-N 0 1 288.351 0.438 20 30 CCEDMN CN1CC(C(=O)N2CCC[C@H]3[C@@H]2CCN3CC#N)=NC1=O ZINC001049689096 852352107 /nfs/dbraw/zinc/35/21/07/852352107.db2.gz IBRUYBLTYQWVQJ-RYUDHWBXSA-N 0 1 289.339 0.328 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cccc(=O)n1C ZINC001049707365 852355940 /nfs/dbraw/zinc/35/59/40/852355940.db2.gz CVPAXUJWEWAAQJ-KBPBESRZSA-N 0 1 299.374 0.697 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@H]3[C@@H]2CC[N@@H+]3CCO)cn1 ZINC001049854945 852385852 /nfs/dbraw/zinc/38/58/52/852385852.db2.gz OVZAMJMEXBLKQE-HOTGVXAUSA-N 0 1 299.374 0.734 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@H]3[C@H]2CC[N@@H+]3CCO)nc1 ZINC001049856304 852387444 /nfs/dbraw/zinc/38/74/44/852387444.db2.gz YFGWVRAELGFNHA-JKSUJKDBSA-N 0 1 299.374 0.734 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H]3CCCO3)[C@@H]2C1 ZINC001049964923 852411694 /nfs/dbraw/zinc/41/16/94/852411694.db2.gz XHVXVDMZGQNBQE-MJBXVCDLSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCCN(C(=O)c3ccnn3C)[C@@H]2C1 ZINC001049994567 852418044 /nfs/dbraw/zinc/41/80/44/852418044.db2.gz RSDHQQYSPCRFCD-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccnn3C)[C@@H]2C1 ZINC001049994567 852418049 /nfs/dbraw/zinc/41/80/49/852418049.db2.gz RSDHQQYSPCRFCD-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc[nH]n1)C2 ZINC001096906551 852465465 /nfs/dbraw/zinc/46/54/65/852465465.db2.gz DWNALQBHXHKGFW-UPJWGTAASA-N 0 1 258.325 0.307 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cc[nH]n1)C2 ZINC001096906551 852465468 /nfs/dbraw/zinc/46/54/68/852465468.db2.gz DWNALQBHXHKGFW-UPJWGTAASA-N 0 1 258.325 0.307 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CCO)c[nH]1 ZINC001096982205 852477268 /nfs/dbraw/zinc/47/72/68/852477268.db2.gz WJURBFNLKSFUQB-UPJWGTAASA-N 0 1 274.324 0.214 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1[nH]nnc1C)C2 ZINC001097627934 852551000 /nfs/dbraw/zinc/55/10/00/852551000.db2.gz IQLKYQJMBLTFEN-MXWKQRLJSA-N 0 1 261.329 0.634 20 30 CCEDMN C#CC[N@@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)C[NH+]1CCC[C@@H]1C)C2 ZINC001097847047 852631648 /nfs/dbraw/zinc/63/16/48/852631648.db2.gz RUVIXAQWOQSJRD-YJNKXOJESA-N 0 1 275.396 0.825 20 30 CCEDMN C[C@@H](CNC(=O)CN1CCCC1)Nc1ccc(C#N)nc1 ZINC001097862399 852633117 /nfs/dbraw/zinc/63/31/17/852633117.db2.gz AGJNAPYJWQIGDW-LBPRGKRZSA-N 0 1 287.367 0.966 20 30 CCEDMN C=C(Cl)CN1CCC[C@H](NC(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC001052714911 852647175 /nfs/dbraw/zinc/64/71/75/852647175.db2.gz VFXUMCSYUUQFFF-TUAOUCFPSA-N 0 1 299.802 0.831 20 30 CCEDMN N#CCN1CC2(C1)CC[C@H](CNC(=O)c1[nH]ncc1F)O2 ZINC001053658117 852774650 /nfs/dbraw/zinc/77/46/50/852774650.db2.gz QTSKBWOPCCHILZ-SECBINFHSA-N 0 1 293.302 0.036 20 30 CCEDMN C=C(C)CN1CC2(C1)C[C@@H](NC(=O)[C@H]1CCCN1C)CO2 ZINC001053755307 852806014 /nfs/dbraw/zinc/80/60/14/852806014.db2.gz BSRUTGCYIZFBLH-ZIAGYGMSSA-N 0 1 293.411 0.616 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccnnc1)C2 ZINC001097918679 852853129 /nfs/dbraw/zinc/85/31/29/852853129.db2.gz YGLMOKSYRJHFRX-MCIONIFRSA-N 0 1 270.336 0.835 20 30 CCEDMN C=CCN1CC2(C1)C[C@H](NC(=O)CN1CCCC1)CO2 ZINC001054010024 852868644 /nfs/dbraw/zinc/86/86/44/852868644.db2.gz KJFWYYSLGPVYPF-ZDUSSCGKSA-N 0 1 279.384 0.228 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2nccnc2N)C[C@@H]1C ZINC001054491241 852958852 /nfs/dbraw/zinc/95/88/52/852958852.db2.gz CWOSVFFZXKAZLD-WPRPVWTQSA-N 0 1 295.774 0.861 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2CN(C(C)=O)C2)C[C@H]1C ZINC001054601553 852976964 /nfs/dbraw/zinc/97/69/64/852976964.db2.gz PGEFWROJYVLBHO-RNCFNFMXSA-N 0 1 299.802 0.654 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnc[nH]2)C[C@H]1NCC#N ZINC001054722110 853002800 /nfs/dbraw/zinc/00/28/00/853002800.db2.gz PPZGVKXFUXMPNC-CMPLNLGQSA-N 0 1 261.329 0.302 20 30 CCEDMN C[C@@H]1CN(C(=O)c2occc2CN(C)C)C[C@H]1NCC#N ZINC001055014342 853053687 /nfs/dbraw/zinc/05/36/87/853053687.db2.gz DJNCWBOPJJXHFH-DGCLKSJQSA-N 0 1 290.367 0.915 20 30 CCEDMN C[C@H]1CN(C(=O)c2occc2CN(C)C)C[C@H]1NCC#N ZINC001055014343 853053849 /nfs/dbraw/zinc/05/38/49/853053849.db2.gz DJNCWBOPJJXHFH-WCQYABFASA-N 0 1 290.367 0.915 20 30 CCEDMN CCC(=O)NC1CCN(CCNC(=O)C#CC(C)C)CC1 ZINC001055572993 853088383 /nfs/dbraw/zinc/08/83/83/853088383.db2.gz NATITXPFELPVOU-UHFFFAOYSA-N 0 1 293.411 0.753 20 30 CCEDMN N#Cc1ccc(N[C@@H]2CCN(C(=O)c3ccn[nH]3)C2)nn1 ZINC001056557899 853170608 /nfs/dbraw/zinc/17/06/08/853170608.db2.gz FZRHHHGPRSAKDZ-SNVBAGLBSA-N 0 1 283.295 0.398 20 30 CCEDMN CN(c1ccnc(C#N)n1)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001056868711 853237189 /nfs/dbraw/zinc/23/71/89/853237189.db2.gz HBKNOMQACHQWIW-SNVBAGLBSA-N 0 1 297.322 0.422 20 30 CCEDMN CN(c1nccnc1C#N)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001056868921 853237575 /nfs/dbraw/zinc/23/75/75/853237575.db2.gz IUYIQPZZRRKHJG-SNVBAGLBSA-N 0 1 297.322 0.422 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3n[nH]cc3C)[C@@H]2C1 ZINC001050044139 853302033 /nfs/dbraw/zinc/30/20/33/853302033.db2.gz NXTPKFXCFRKOOA-QWHCGFSZSA-N 0 1 272.352 0.888 20 30 CCEDMN C=CCN1C[C@@H]2CCCN(C(=O)c3n[nH]nc3C)[C@@H]2C1 ZINC001050108010 853314446 /nfs/dbraw/zinc/31/44/46/853314446.db2.gz KRPIDSTYBXEWCD-NWDGAFQWSA-N 0 1 275.356 0.836 20 30 CCEDMN N#Cc1cnc(N[C@@H]2CCCN(C(=O)c3ccn[nH]3)C2)cn1 ZINC001057590655 853434987 /nfs/dbraw/zinc/43/49/87/853434987.db2.gz DLSJRIBNZXHXON-SNVBAGLBSA-N 0 1 297.322 0.788 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H](C)NCc1cnon1 ZINC001266130672 853444269 /nfs/dbraw/zinc/44/42/69/853444269.db2.gz PLVMWEUWNRARFE-IUCAKERBSA-N 0 1 251.290 0.214 20 30 CCEDMN C#CCN1CCOC[C@H]1CNC(=O)c1ccc2[nH]nnc2c1 ZINC001051238164 853550591 /nfs/dbraw/zinc/55/05/91/853550591.db2.gz HCORFBQNSBPCIU-GFCCVEGCSA-N 0 1 299.334 0.022 20 30 CCEDMN O=C(C#CC1CC1)N1CCO[C@H](CNCc2ccccn2)C1 ZINC001051610293 853612631 /nfs/dbraw/zinc/61/26/31/853612631.db2.gz CEBMTODJHIICKO-MRXNPFEDSA-N 0 1 299.374 0.812 20 30 CCEDMN C=C(Cl)CN1CCC[C@@H](NC(=O)Cn2ncnn2)CC1 ZINC001052378508 853744713 /nfs/dbraw/zinc/74/47/13/853744713.db2.gz IPTSFVQDGVYOOG-LLVKDONJSA-N 0 1 298.778 0.396 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)c2ccc[nH]2)[C@@H](n2ccnn2)C1 ZINC001069895946 853996792 /nfs/dbraw/zinc/99/67/92/853996792.db2.gz RDEHEJMUPYVIBR-KGLIPLIRSA-N 0 1 298.350 0.285 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc[nH]2)[C@@H](n2ccnn2)C1 ZINC001069895946 853996797 /nfs/dbraw/zinc/99/67/97/853996797.db2.gz RDEHEJMUPYVIBR-KGLIPLIRSA-N 0 1 298.350 0.285 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2C)[C@@H](n2ccnn2)C1 ZINC001069998932 854014704 /nfs/dbraw/zinc/01/47/04/854014704.db2.gz JAEMECDZAQKKMJ-SYQHCUMBSA-N 0 1 289.383 0.852 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](C)CC)C[C@@H]1n1ccnn1 ZINC001070145524 854030307 /nfs/dbraw/zinc/03/03/07/854030307.db2.gz GGLDRIVEOCGHKY-UPJWGTAASA-N 0 1 275.356 0.299 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(CC)C(C)(C)C(=O)[O-] ZINC000392835833 854095470 /nfs/dbraw/zinc/09/54/70/854095470.db2.gz SXVIGYBXCAVRJS-UHFFFAOYSA-N 0 1 283.372 0.836 20 30 CCEDMN C=C(C)CCN1CCNC(=O)CCN(C(=O)COC)CC1 ZINC001070923736 854101153 /nfs/dbraw/zinc/10/11/53/854101153.db2.gz AUPUAUAZFXPTMJ-UHFFFAOYSA-N 0 1 297.399 0.250 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)C1CC1)C[C@H](C)O2 ZINC001071115737 854124038 /nfs/dbraw/zinc/12/40/38/854124038.db2.gz CQYNKQRNJSJDOB-WFASDCNBSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)C(C)C)C[C@@H](C)O2 ZINC001071134832 854126962 /nfs/dbraw/zinc/12/69/62/854126962.db2.gz YGSXXDFASFFGBG-HIFRSBDPSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2cc[n+]([O-])cc2)CC[C@@H]1C ZINC001071328415 854158228 /nfs/dbraw/zinc/15/82/28/854158228.db2.gz XWYWBKTXOBDYKY-ZFWWWQNUSA-N 0 1 287.363 0.926 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2nnn(C)n2)CC[C@@H]1C ZINC001071743702 854278444 /nfs/dbraw/zinc/27/84/44/854278444.db2.gz CWZOZMPOOUKDAT-WDEREUQCSA-N 0 1 278.360 0.369 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2c[nH]c(=O)cn2)CC[C@@H]1C ZINC001071776597 854287833 /nfs/dbraw/zinc/28/78/33/854287833.db2.gz WDCPKVMBVILBAN-RYUDHWBXSA-N 0 1 288.351 0.376 20 30 CCEDMN C=C(C)CN1C[C@H](NC(=O)c2cnn(C)n2)CC[C@@H]1C ZINC001071890612 854313254 /nfs/dbraw/zinc/31/32/54/854313254.db2.gz OYIZPPUSCVBPGQ-NWDGAFQWSA-N 0 1 277.372 0.974 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C2CC(=O)NC(=O)C2)CC[C@@H]1C ZINC001071894521 854313950 /nfs/dbraw/zinc/31/39/50/854313950.db2.gz ZRGGFKNSLBEECV-JQWIXIFHSA-N 0 1 293.367 0.194 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cn[nH]c3)C2)C1 ZINC001072511413 854404016 /nfs/dbraw/zinc/40/40/16/854404016.db2.gz DJBNIOLRLRRPFZ-UHFFFAOYSA-N 0 1 258.325 0.581 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@H]3CCC(=O)NC3)C2)C1 ZINC001072808611 854468841 /nfs/dbraw/zinc/46/88/41/854468841.db2.gz JQOWQYUWXVLZGJ-CYBMUJFWSA-N 0 1 289.379 0.070 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)[C@@H]3CCCO3)C2)C1 ZINC001072961185 854502481 /nfs/dbraw/zinc/50/24/81/854502481.db2.gz GSFZUSHAEFCMCT-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3c[nH]c(=O)cc3C)C2)C1 ZINC001072970908 854505205 /nfs/dbraw/zinc/50/52/05/854505205.db2.gz JEOBZTFWEGPTLS-UHFFFAOYSA-N 0 1 285.347 0.877 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cnon3)C2)C1 ZINC001073068290 854523680 /nfs/dbraw/zinc/52/36/80/854523680.db2.gz JLXQCMIXAULTTG-UHFFFAOYSA-N 0 1 260.297 0.241 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3oncc3C)C2)C1 ZINC001073095877 854528940 /nfs/dbraw/zinc/52/89/40/854528940.db2.gz ISLUEHGCXCIIII-UHFFFAOYSA-N 0 1 259.309 0.764 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ncccc3OC)C2)C1 ZINC001073149130 854537851 /nfs/dbraw/zinc/53/78/51/854537851.db2.gz ZULCZULOJYQBPC-UHFFFAOYSA-N 0 1 285.347 0.871 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C2=COCCO2)C1 ZINC001073526424 854576347 /nfs/dbraw/zinc/57/63/47/854576347.db2.gz AQHUIPHPGLHWJV-ZDUSSCGKSA-N 0 1 294.351 0.105 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ncoc2C)C1 ZINC001073547176 854588447 /nfs/dbraw/zinc/58/84/47/854588447.db2.gz CAYBINIBAGSMEM-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cc(C)nn2C)C1 ZINC001073547334 854588857 /nfs/dbraw/zinc/58/88/57/854588857.db2.gz HFSTUXZJIDRACR-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H]2CCOC2)C1 ZINC001073550557 854590922 /nfs/dbraw/zinc/59/09/22/854590922.db2.gz OFADAMOQDJHTMA-KGLIPLIRSA-N 0 1 280.368 0.253 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccn(C)n2)C1 ZINC001073891011 854659659 /nfs/dbraw/zinc/65/96/59/854659659.db2.gz KRZIDEJYLPFUEG-LBPRGKRZSA-N 0 1 278.356 0.427 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H](C)N2C(=O)CCC2=O)C1 ZINC001276389088 854666221 /nfs/dbraw/zinc/66/62/21/854666221.db2.gz OICNWULSBVRELN-WDEREUQCSA-N 0 1 293.367 0.146 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)[C@@H](C)C1 ZINC001074048554 854669344 /nfs/dbraw/zinc/66/93/44/854669344.db2.gz IMBMUCZUDZHQCZ-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1nnc[nH]1)C2 ZINC001098179348 854849841 /nfs/dbraw/zinc/84/98/41/854849841.db2.gz VBCPMQKTOSOFKY-MXWKQRLJSA-N 0 1 295.774 0.821 20 30 CCEDMN C[C@@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)cn1 ZINC001098405297 854863720 /nfs/dbraw/zinc/86/37/20/854863720.db2.gz UYDQABNHXHDBIK-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)Cn2cc(C)cn2)C1 ZINC001098746571 854882424 /nfs/dbraw/zinc/88/24/24/854882424.db2.gz ADFPZFSBRGXIPC-HOCLYGCPSA-N 0 1 286.379 0.795 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc(=O)n(C)o2)C1 ZINC001098813254 854889818 /nfs/dbraw/zinc/88/98/18/854889818.db2.gz URBJWMFKSSQCHE-NHYWBVRUSA-N 0 1 289.335 0.196 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)Cc2nnc(C)o2)C1 ZINC001098826252 854890399 /nfs/dbraw/zinc/89/03/99/854890399.db2.gz WISKSNHWDCEONI-WFASDCNBSA-N 0 1 288.351 0.524 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001098865856 854894835 /nfs/dbraw/zinc/89/48/35/854894835.db2.gz RZHOYBZCQTXALU-XEZPLFJOSA-N 0 1 289.379 0.069 20 30 CCEDMN C[C@H](CCNC(=O)[C@@H](C)C#N)Nc1ncnc2[nH]cnc21 ZINC001098947988 854898818 /nfs/dbraw/zinc/89/88/18/854898818.db2.gz VLOMKRPWUPSKCT-DTWKUNHWSA-N 0 1 287.327 0.819 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2cocn2)[C@@H](O)C1 ZINC001099720907 854974793 /nfs/dbraw/zinc/97/47/93/854974793.db2.gz LMXURZIURJNQHM-AAEUAGOBSA-N 0 1 295.339 0.042 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CCC2CC2)[C@H](O)C1 ZINC001099752472 854984927 /nfs/dbraw/zinc/98/49/27/854984927.db2.gz IXABSCKEKRJFRZ-ZIAGYGMSSA-N 0 1 264.369 0.751 20 30 CCEDMN C[C@H](CCNC(=O)c1cnn[nH]1)Nc1cncc(C#N)n1 ZINC001099777298 854992272 /nfs/dbraw/zinc/99/22/72/854992272.db2.gz KIJBOECXHNAEOC-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN Cc1cc(N[C@@H](C)CCNC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001099777055 854992585 /nfs/dbraw/zinc/99/25/85/854992585.db2.gz DDMDQAPFDNXULU-VIFPVBQESA-N 0 1 299.338 0.422 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COCC(F)F)[C@H](O)C1 ZINC001099934981 855036475 /nfs/dbraw/zinc/03/64/75/855036475.db2.gz HEIIBQZUBYDTBV-VHSXEESVSA-N 0 1 278.299 0.006 20 30 CCEDMN Cc1nc(CC(=O)NCCN(C)c2ccc(C#N)cn2)n[nH]1 ZINC001100063004 855087668 /nfs/dbraw/zinc/08/76/68/855087668.db2.gz KNCCZNZGTQRPPP-UHFFFAOYSA-N 0 1 299.338 0.175 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1CCN(CC#CC)C[C@@H]1O ZINC001100138902 855106575 /nfs/dbraw/zinc/10/65/75/855106575.db2.gz MJMLXWAPOOJDFF-KBPBESRZSA-N 0 1 280.368 0.154 20 30 CCEDMN N#Cc1ccccc1CNC1CC(CNC(=O)C(N)=O)C1 ZINC001100627330 855200029 /nfs/dbraw/zinc/20/00/29/855200029.db2.gz FUUOMODTGZGGHW-UHFFFAOYSA-N 0 1 286.335 0.028 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)COCC(F)F)C[C@]2(C)C1 ZINC001101311495 855281023 /nfs/dbraw/zinc/28/10/23/855281023.db2.gz CHMGIZGWJLHDJU-RISCZKNCSA-N 0 1 286.322 0.682 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)[C@@H](COC)OC)C[C@]2(C)C1 ZINC001101357284 855284654 /nfs/dbraw/zinc/28/46/54/855284654.db2.gz GLAZTYIOHCSJNG-NFAWXSAZSA-N 0 1 280.368 0.061 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)C=C(C)C)[C@H](C)C1 ZINC001101699824 855345216 /nfs/dbraw/zinc/34/52/16/855345216.db2.gz UCDDADCZFMPISF-ZIAGYGMSSA-N 0 1 291.395 0.386 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)CCC=C)[C@H](C)C1 ZINC001101805624 855369883 /nfs/dbraw/zinc/36/98/83/855369883.db2.gz XAXJKOXDIDWLTM-ZIAGYGMSSA-N 0 1 291.395 0.386 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001102409965 855432929 /nfs/dbraw/zinc/43/29/29/855432929.db2.gz DBVGJIZLDMSMCT-VXGBXAGGSA-N 0 1 281.400 0.758 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CNC(=O)CN1CCC(C)CC1 ZINC001102480359 855437805 /nfs/dbraw/zinc/43/78/05/855437805.db2.gz MONABUOUJYSGJV-HUUCEWRRSA-N 0 1 292.427 0.926 20 30 CCEDMN N#Cc1nccnc1NC1(CNC(=O)c2ncn[nH]2)CCC1 ZINC001111830300 855596970 /nfs/dbraw/zinc/59/69/70/855596970.db2.gz UVXXOBYATWKJJM-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN N#Cc1nccnc1NC1(CNC(=O)c2nc[nH]n2)CCC1 ZINC001111830300 855596971 /nfs/dbraw/zinc/59/69/71/855596971.db2.gz UVXXOBYATWKJJM-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)CCCOC ZINC001115085624 855640362 /nfs/dbraw/zinc/64/03/62/855640362.db2.gz GJMFRQJPCVCUAL-MQYQWHSLSA-N 0 1 264.369 0.729 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@@H](C)Nc2ccc(C#N)nn2)[nH]1 ZINC001115656960 855685957 /nfs/dbraw/zinc/68/59/57/855685957.db2.gz WJCVICOXTMVRIB-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN CN1CC(C(=O)NCC2(NCC#N)CCCCC2)=NC1=O ZINC001115709687 855692505 /nfs/dbraw/zinc/69/25/05/855692505.db2.gz NVQPNLDBMAVWEI-UHFFFAOYSA-N 0 1 291.355 0.672 20 30 CCEDMN Cc1onc(CC(=O)NOC2CCOCC2)c1C#N ZINC001116673495 855809510 /nfs/dbraw/zinc/80/95/10/855809510.db2.gz VOKQKSKIIFPEOH-UHFFFAOYSA-N 0 1 265.269 0.624 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC001117978030 856125233 /nfs/dbraw/zinc/12/52/33/856125233.db2.gz FOXMSTXXVFNATA-JHJVBQTASA-N 0 1 285.388 0.284 20 30 CCEDMN C[C@H](Cc1c[nH]cn1)C(=O)NC1(C#N)CCN(C)CC1 ZINC001118372872 856278583 /nfs/dbraw/zinc/27/85/83/856278583.db2.gz AOEIKOQERPIAQV-LLVKDONJSA-N 0 1 275.356 0.692 20 30 CCEDMN C=CCn1cc(CNC(=O)N(C)Cc2cnc[nH]2)nn1 ZINC001118502626 856319034 /nfs/dbraw/zinc/31/90/34/856319034.db2.gz LTVJRFKNEATBBI-UHFFFAOYSA-N 0 1 275.316 0.529 20 30 CCEDMN C#CCCN(CCOC)Cc1c(C)nn(CCO)c1C ZINC001118671869 856388223 /nfs/dbraw/zinc/38/82/23/856388223.db2.gz JRWXVASPILVTOI-UHFFFAOYSA-N 0 1 279.384 0.964 20 30 CCEDMN C#CCC1(O)CCN(C[C@@H]2CCCS(=O)(=O)C2)CC1 ZINC001119270736 856605960 /nfs/dbraw/zinc/60/59/60/856605960.db2.gz OGQYBCVQNKRXSK-ZDUSSCGKSA-N 0 1 285.409 0.661 20 30 CCEDMN C#CCCn1nnnc1N1CCC[C@@H](c2n[nH]c(C)n2)C1 ZINC001120142032 856994959 /nfs/dbraw/zinc/99/49/59/856994959.db2.gz AIUIUTGYMYMNJA-LLVKDONJSA-N 0 1 286.343 0.507 20 30 CCEDMN C#CCCn1nnnc1N1CCC[C@@H](c2nnc(C)[nH]2)C1 ZINC001120142032 856994965 /nfs/dbraw/zinc/99/49/65/856994965.db2.gz AIUIUTGYMYMNJA-LLVKDONJSA-N 0 1 286.343 0.507 20 30 CCEDMN C[C@H](C(=O)N(C)C)N1CCC(C)(NC(=O)[C@@H](C)C#N)CC1 ZINC001393058352 912364327 /nfs/dbraw/zinc/36/43/27/912364327.db2.gz MORMFFDGVFWJCF-NWDGAFQWSA-N 0 1 294.399 0.593 20 30 CCEDMN CC(=O)NC[C@@]1(O)CCN(Cc2ccc(C#N)cc2)C1 ZINC001393244101 912463464 /nfs/dbraw/zinc/46/34/64/912463464.db2.gz SHDVLYZYDUCVNV-HNNXBMFYSA-N 0 1 273.336 0.631 20 30 CCEDMN CCN1CC[C@@H](N(C)CCCN(C)C(=O)[C@H](C)C#N)C1=O ZINC001393613515 912682012 /nfs/dbraw/zinc/68/20/12/912682012.db2.gz PJLHIAFGHSAINB-CHWSQXEVSA-N 0 1 294.399 0.547 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CN(C)C(C)=O)C[C@@H]1C ZINC001393731842 912726526 /nfs/dbraw/zinc/72/65/26/912726526.db2.gz WZBVKNREJGPOAT-JOYOIKCWSA-N 0 1 287.791 0.654 20 30 CCEDMN CN1CCN(c2ccc(C=NNCCO)cc2F)CC1 ZINC001324259039 912742604 /nfs/dbraw/zinc/74/26/04/912742604.db2.gz ZLKPCZADXPBRQV-UHFFFAOYSA-N 0 1 280.347 0.493 20 30 CCEDMN CN1CC(C(=O)N[C@H](CNCC#N)C2CCCC2)=NC1=O ZINC001324498311 912874237 /nfs/dbraw/zinc/87/42/37/912874237.db2.gz SSZVNHLAVWKZKU-LLVKDONJSA-N 0 1 291.355 0.527 20 30 CCEDMN C#CCOCC[N@H+](C)[C@@H](C)C(=O)N(C)CCC#N ZINC001324516395 912887235 /nfs/dbraw/zinc/88/72/35/912887235.db2.gz MVLIYMJBMPRFQY-LBPRGKRZSA-N 0 1 251.330 0.329 20 30 CCEDMN C#CCOCCN(C)[C@@H](C)C(=O)N(C)CCC#N ZINC001324516395 912887255 /nfs/dbraw/zinc/88/72/55/912887255.db2.gz MVLIYMJBMPRFQY-LBPRGKRZSA-N 0 1 251.330 0.329 20 30 CCEDMN C#CCN(CC1CC1)C(=O)NCCN1CCCOCC1 ZINC001324645112 912960142 /nfs/dbraw/zinc/96/01/42/912960142.db2.gz VIRKXRHUMVBMMJ-UHFFFAOYSA-N 0 1 279.384 0.764 20 30 CCEDMN N#CCCCCCC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001324743303 913014323 /nfs/dbraw/zinc/01/43/23/913014323.db2.gz WIBCOFUGQKKTBI-JTQLQIEISA-N 0 1 278.316 0.574 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@H](C)[C@H]1CCCO1 ZINC001492829332 913175854 /nfs/dbraw/zinc/17/58/54/913175854.db2.gz PTPIDYXUIPOQDI-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C[C@@H]2CCCCO2)C1 ZINC001325087399 913206207 /nfs/dbraw/zinc/20/62/07/913206207.db2.gz UUSWUTUQIUVNOU-ZFWWWQNUSA-N 0 1 280.368 0.132 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)COCC2CCCC2)C1 ZINC001325103125 913216408 /nfs/dbraw/zinc/21/64/08/913216408.db2.gz XXUYZGLXKGUJLS-INIZCTEOSA-N 0 1 294.395 0.379 20 30 CCEDMN N#Cc1cccc(CN[C@H](CO)CNC(=O)C2CC2)c1 ZINC001394562708 913260754 /nfs/dbraw/zinc/26/07/54/913260754.db2.gz HVSKNCHWCDLSRF-AWEZNQCLSA-N 0 1 273.336 0.535 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)C1=COCCC1 ZINC001394732390 913393840 /nfs/dbraw/zinc/39/38/40/913393840.db2.gz SKEXHCFWFFOLTH-LBPRGKRZSA-N 0 1 288.775 0.842 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1cnc(C2CC2)o1 ZINC001480875794 891502385 /nfs/dbraw/zinc/50/23/85/891502385.db2.gz VDTPKEXZCLDNMZ-UHFFFAOYSA-N 0 1 291.351 0.863 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccsn1 ZINC001480877615 891509074 /nfs/dbraw/zinc/50/90/74/891509074.db2.gz ACHGKSQRPYRFPB-UHFFFAOYSA-N 0 1 267.354 0.455 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)N(C)C(=O)CCc1cnc[nH]1 ZINC001395162487 913571526 /nfs/dbraw/zinc/57/15/26/913571526.db2.gz VAEZFQPMOKVXPF-GHMZBOCLSA-N 0 1 291.355 0.465 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)[C@@H](C)C#N)C1 ZINC001325818297 913588024 /nfs/dbraw/zinc/58/80/24/913588024.db2.gz ILAQKYBAQWBFGI-JSGCOSHPSA-N 0 1 265.357 0.665 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN(C)CCn2cncn2)nc1 ZINC001480937730 891898169 /nfs/dbraw/zinc/89/81/69/891898169.db2.gz JUZCAWTYXGYQJU-UHFFFAOYSA-N 0 1 298.350 0.016 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)COCC=C ZINC001325884269 913622183 /nfs/dbraw/zinc/62/21/83/913622183.db2.gz VUDBFODVEGRNGQ-KBPBESRZSA-N 0 1 262.353 0.888 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1c(C)nc[nH]c1=O ZINC001480994323 892032641 /nfs/dbraw/zinc/03/26/41/892032641.db2.gz DWFBQHPQDWUSPG-UHFFFAOYSA-N 0 1 262.313 0.176 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H]1CCOC[C@@H]1C ZINC001480999144 892036708 /nfs/dbraw/zinc/03/67/08/892036708.db2.gz KTQUKLBEUZPEGC-STQMWFEESA-N 0 1 252.358 0.730 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnn(CC2CC2)c1 ZINC001481010458 892054936 /nfs/dbraw/zinc/05/49/36/892054936.db2.gz UVIGKUQYDLKMED-UHFFFAOYSA-N 0 1 274.368 0.978 20 30 CCEDMN CC#CCN(CCNC(=O)CN(C)C(=O)C1CC1)C1CC1 ZINC001481171435 892267843 /nfs/dbraw/zinc/26/78/43/892267843.db2.gz CDRAHYMUKHWHNJ-UHFFFAOYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@H](N(CCC)C(=O)c2nc[nH]n2)C1 ZINC001481407165 892618396 /nfs/dbraw/zinc/61/83/96/892618396.db2.gz PWRNLRXIEWICRW-LLVKDONJSA-N 0 1 261.329 0.364 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(CCC)C(=O)c2ncn[n-]2)C1 ZINC001481407165 892618391 /nfs/dbraw/zinc/61/83/91/892618391.db2.gz PWRNLRXIEWICRW-LLVKDONJSA-N 0 1 261.329 0.364 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)[C@@]1(C)CCNC(=O)C1 ZINC001342268364 892738591 /nfs/dbraw/zinc/73/85/91/892738591.db2.gz MHFLUUGROISJJO-ZANVPECISA-N 0 1 260.297 0.513 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N[C@H]1CCCN(CC#N)C1 ZINC001481535077 892784385 /nfs/dbraw/zinc/78/43/85/892784385.db2.gz GFAKYUPLNVCGIY-STQMWFEESA-N 0 1 284.379 0.770 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2nccc(C)n2)C1 ZINC001481622214 892919587 /nfs/dbraw/zinc/91/95/87/892919587.db2.gz BFKLZNHHYAAKGA-UHFFFAOYSA-N 0 1 290.367 0.649 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)COCCC=C)C1 ZINC001481753918 893113001 /nfs/dbraw/zinc/11/30/01/893113001.db2.gz DXAZUICZDXNLKX-CYBMUJFWSA-N 0 1 250.342 0.745 20 30 CCEDMN CC[C@H](CNC(=O)[C@H](C)C#N)NCc1nccn1C ZINC001481796667 893165000 /nfs/dbraw/zinc/16/50/00/893165000.db2.gz GPXNVOGOBFCDBN-GHMZBOCLSA-N 0 1 263.345 0.564 20 30 CCEDMN CNC(=O)CN1CCC(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001482041053 893324717 /nfs/dbraw/zinc/32/47/17/893324717.db2.gz XEURVORMHLDCEO-NSHDSACASA-N 0 1 280.372 0.110 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)CNCc1ncnn1CCF ZINC001482091673 893390759 /nfs/dbraw/zinc/39/07/59/893390759.db2.gz VXIZRAKCIIUQTK-WDEREUQCSA-N 0 1 296.350 0.249 20 30 CCEDMN CCc1cc(CNC(=O)C(=O)NCC2(CC#N)CC2)n[nH]1 ZINC001326141045 913767374 /nfs/dbraw/zinc/76/73/74/913767374.db2.gz PUDDATIUTQWEDC-UHFFFAOYSA-N 0 1 289.339 0.398 20 30 CCEDMN CCc1nc(CNS(=O)(=O)c2ccc(C#N)o2)n[nH]1 ZINC001414269717 893742120 /nfs/dbraw/zinc/74/21/20/893742120.db2.gz GHEHRMOABQGURP-UHFFFAOYSA-N 0 1 281.297 0.310 20 30 CCEDMN CC(C)C[C@H](CNCC#N)NC(=O)c1[nH]ncc1F ZINC001482394976 893952067 /nfs/dbraw/zinc/95/20/67/893952067.db2.gz ZNEFFYZRNOYRTJ-SECBINFHSA-N 0 1 267.308 0.806 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)Cc1cnccc1OC ZINC001482606926 894393807 /nfs/dbraw/zinc/39/38/07/894393807.db2.gz ZFVJAQGPULAGRS-UHFFFAOYSA-N 0 1 275.352 0.656 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(-n2cccn2)ccn1 ZINC001482626145 894428148 /nfs/dbraw/zinc/42/81/48/894428148.db2.gz DDAUMJSLDMDMCK-UHFFFAOYSA-N 0 1 297.362 0.904 20 30 CCEDMN CCc1nc(C)c(CNC[C@H](O)CNC(=O)[C@H](C)C#N)o1 ZINC001482716352 894512819 /nfs/dbraw/zinc/51/28/19/894512819.db2.gz MXFNDEIECMZYEG-KOLCDFICSA-N 0 1 294.355 0.272 20 30 CCEDMN CCn1cc(CNCC[C@H](C)NC(=O)C#CC(C)C)nn1 ZINC001482830793 894639729 /nfs/dbraw/zinc/63/97/29/894639729.db2.gz ZPLPMWIONBCLGQ-ZDUSSCGKSA-N 0 1 291.399 0.942 20 30 CCEDMN CCN(CCNCc1cncc(OC)n1)C(=O)[C@@H](C)C#N ZINC001482914269 894694811 /nfs/dbraw/zinc/69/48/11/894694811.db2.gz KMOHHCDJIMHCSQ-NSHDSACASA-N 0 1 291.355 0.583 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)[C@H](C)C(C)(C)C ZINC001483021954 894808588 /nfs/dbraw/zinc/80/85/88/894808588.db2.gz GRYOJMMUCSXUCQ-WSROAFLRSA-N 0 1 293.411 0.680 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)C1(F)CCCC1 ZINC001483031294 894819537 /nfs/dbraw/zinc/81/95/37/894819537.db2.gz XTXHMNWDBOWHRF-WAYWQWQTSA-N 0 1 297.374 0.833 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)CNCc2cnnn2C)cc1 ZINC001483155055 895034831 /nfs/dbraw/zinc/03/48/31/895034831.db2.gz MOVSSUDXSSIAAD-GFCCVEGCSA-N 0 1 297.362 0.705 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CNCc1cnnn1C ZINC001483212806 895112078 /nfs/dbraw/zinc/11/20/78/895112078.db2.gz DKWLWPBTDDXVLA-JTQLQIEISA-N 0 1 251.334 0.376 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)c1cccs1 ZINC001507171929 895459062 /nfs/dbraw/zinc/45/90/62/895459062.db2.gz NMFCPFLSAUGWQU-NSHDSACASA-N 0 1 282.365 0.078 20 30 CCEDMN C[C@H](CN(C)[C@@H]1CCCNC1=O)NC(=O)C#CC(C)(C)C ZINC001483335291 895471181 /nfs/dbraw/zinc/47/11/81/895471181.db2.gz AQRQTNIXLYTLKY-CHWSQXEVSA-N 0 1 293.411 0.751 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H]1CCC(=O)N1C ZINC001483376104 895515222 /nfs/dbraw/zinc/51/52/22/895515222.db2.gz CHXGPFGDLNWNBH-NWDGAFQWSA-N 0 1 265.357 0.067 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc(COC)on1 ZINC001483393625 895533268 /nfs/dbraw/zinc/53/32/68/895533268.db2.gz DIXGMXFOMVDIGN-LLVKDONJSA-N 0 1 279.340 0.894 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@H]1CCNC1=O ZINC001483655160 895800745 /nfs/dbraw/zinc/80/07/45/895800745.db2.gz LVUFGBGWWSFWHL-NEPJUHHUSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)/C(C)=C/CC)CC1 ZINC001483719940 895913185 /nfs/dbraw/zinc/91/31/85/895913185.db2.gz SIJFELZTSPUYNY-WUXMJOGZSA-N 0 1 279.384 0.883 20 30 CCEDMN C=CCCC(=O)NCC1(N[C@@H]2CCN(CC=C)C2=O)CC1 ZINC001483720914 895914593 /nfs/dbraw/zinc/91/45/93/895914593.db2.gz INGCYCDCEKNTNU-CYBMUJFWSA-N 0 1 291.395 0.978 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@@H](NCc2nccn2C)C1 ZINC001397052426 914027114 /nfs/dbraw/zinc/02/71/14/914027114.db2.gz HTTJTNHTNBLAND-UTUOFQBUSA-N 0 1 275.356 0.564 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@H]2C[C@@H]2C(C)C)CC1 ZINC001483780433 896050093 /nfs/dbraw/zinc/05/00/93/896050093.db2.gz RUQZZQSRTBQPEK-OLZOCXBDSA-N 0 1 293.411 0.819 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)CC(=O)NCC)C1 ZINC001483862208 896087404 /nfs/dbraw/zinc/08/74/04/896087404.db2.gz JGBMBACFQWUCNC-UHFFFAOYSA-N 0 1 253.346 0.231 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@](C)(NC(C)=O)C1 ZINC001484026443 896154979 /nfs/dbraw/zinc/15/49/79/896154979.db2.gz UOELDYRIOQYGEA-GXFFZTMASA-N 0 1 253.346 0.278 20 30 CCEDMN C#CCN(C(=O)c1cc(C)[nH]n1)C1CC[NH+](CCO)CC1 ZINC001483979829 896141548 /nfs/dbraw/zinc/14/15/48/896141548.db2.gz CKDZTUUNFXVWHG-UHFFFAOYSA-N 0 1 290.367 0.250 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@](C)(NC(C)=O)C1 ZINC001484026445 896157459 /nfs/dbraw/zinc/15/74/59/896157459.db2.gz UOELDYRIOQYGEA-ZWNOBZJWSA-N 0 1 253.346 0.278 20 30 CCEDMN C=CCOCC(=O)N[C@@]1(C)CCN([C@@H](CC)C(N)=O)C1 ZINC001484038913 896165180 /nfs/dbraw/zinc/16/51/80/896165180.db2.gz XZAOTCCYVQJWFZ-FZMZJTMJSA-N 0 1 283.372 0.034 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)COC)C1 ZINC001484254298 896279375 /nfs/dbraw/zinc/27/93/75/896279375.db2.gz ILQIOFIUCOGPTD-NSHDSACASA-N 0 1 256.346 0.150 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)COCC(F)F)CC1 ZINC001484719081 896559443 /nfs/dbraw/zinc/55/94/43/896559443.db2.gz SJWUFBMBYMAHRB-NSHDSACASA-N 0 1 274.311 0.872 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCn2cncn2)C(C)(C)C1 ZINC001484934618 896671845 /nfs/dbraw/zinc/67/18/45/896671845.db2.gz SLRUREPFFNISHL-ZDUSSCGKSA-N 0 1 289.383 0.518 20 30 CCEDMN C=CCCC(=O)N1CCN(C2CN(C[C@@H](C)OC)C2)CC1 ZINC001484941556 896679102 /nfs/dbraw/zinc/67/91/02/896679102.db2.gz GWZNSNXUJCYHOQ-CQSZACIVSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cnn(C)c1 ZINC001485048171 896731579 /nfs/dbraw/zinc/73/15/79/896731579.db2.gz SJQSIPKVWCYSCN-CYBMUJFWSA-N 0 1 260.341 0.590 20 30 CCEDMN COCC#CCN(C)C[C@H]1CCCN1C(=O)C(F)F ZINC001485046584 896733169 /nfs/dbraw/zinc/73/31/69/896733169.db2.gz KQTQDXFBUSBWBU-LLVKDONJSA-N 0 1 274.311 0.824 20 30 CCEDMN C#CC[NH2+][C@H](C)[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001485200066 896846329 /nfs/dbraw/zinc/84/63/29/896846329.db2.gz PHOCWBUHXZJHBH-ZJUUUORDSA-N 0 1 288.351 0.789 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H](C)N(C)[C@H]1CCN(C)C1=O ZINC001485295318 896926239 /nfs/dbraw/zinc/92/62/39/896926239.db2.gz JTVPRAWQQKCTBQ-KGLIPLIRSA-N 0 1 293.411 0.847 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1csc(=O)[nH]1 ZINC001485342435 896971052 /nfs/dbraw/zinc/97/10/52/896971052.db2.gz TWDSWQMVQNQSCZ-JTQLQIEISA-N 0 1 297.380 0.549 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001485534160 897112688 /nfs/dbraw/zinc/11/26/88/897112688.db2.gz ZBQOVRFFZFOTEH-IUODEOHRSA-N 0 1 293.411 0.607 20 30 CCEDMN C=CCCNCc1nnc2n1CC[C@H](NC(C)=O)CC2 ZINC001127909608 897454223 /nfs/dbraw/zinc/45/42/23/897454223.db2.gz BRGJPLFKBJKTEZ-GFCCVEGCSA-N 0 1 277.372 0.785 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@]1(C)CN(CCC)CCO1 ZINC001107903786 897473412 /nfs/dbraw/zinc/47/34/12/897473412.db2.gz XMRLBHHUZAYBSA-LSDHHAIUSA-N 0 1 284.400 0.931 20 30 CCEDMN N#Cc1nccnc1NC[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001060820954 897530334 /nfs/dbraw/zinc/53/03/34/897530334.db2.gz OXWSAOADBOSMBT-SNVBAGLBSA-N 0 1 297.322 0.646 20 30 CCEDMN CCNCc1nnc2n1CC[C@@H](NC(=O)[C@H](C)C#N)CC2 ZINC001127963817 897579367 /nfs/dbraw/zinc/57/93/67/897579367.db2.gz AAWBKTREUZWOCV-MNOVXSKESA-N 0 1 290.371 0.368 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(CC)CCNC1=O ZINC001032455240 897584883 /nfs/dbraw/zinc/58/48/83/897584883.db2.gz FFFJPTVJPOKXCR-HEHGZKQESA-N 0 1 289.379 0.211 20 30 CCEDMN C#CC[NH+]1CCC[C@H]1C(=O)N1C[C@@H]2C[C@H]1C[N@@H+]2CC1CC1 ZINC001032453037 897585084 /nfs/dbraw/zinc/58/50/84/897585084.db2.gz NFJXALYSPBTLME-JYJNAYRXSA-N 0 1 287.407 0.779 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)N1C[C@@H]3C[C@H]1CN3CC#N)C2 ZINC001032485508 897639836 /nfs/dbraw/zinc/63/98/36/897639836.db2.gz SAAXFUXSPGNJAM-AGIUHOORSA-N 0 1 299.378 0.632 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3ncco3)C2)C1=O ZINC001272801288 897689860 /nfs/dbraw/zinc/68/98/60/897689860.db2.gz FNKRNJYELHVIMM-CQSZACIVSA-N 0 1 259.309 0.732 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)Cc1cn(CC)nn1 ZINC001032534636 897715158 /nfs/dbraw/zinc/71/51/58/897715158.db2.gz YDBVVIFGCXEQEH-KBPBESRZSA-N 0 1 289.383 0.702 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2C2CCC2)C1 ZINC001077817151 897771905 /nfs/dbraw/zinc/77/19/05/897771905.db2.gz PZFQCLMQTVVUAO-GBJTYRQASA-N 0 1 276.380 0.607 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(F)CCOC1 ZINC001032589075 897785427 /nfs/dbraw/zinc/78/54/27/897785427.db2.gz WMHOONQQXJJOFD-OBJOEFQTSA-N 0 1 268.332 0.976 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@]1(F)CCOC1 ZINC001032589075 897785433 /nfs/dbraw/zinc/78/54/33/897785433.db2.gz WMHOONQQXJJOFD-OBJOEFQTSA-N 0 1 268.332 0.976 20 30 CCEDMN N#CCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccc2[nH]cnc21 ZINC001032695410 897932196 /nfs/dbraw/zinc/93/21/96/897932196.db2.gz LKDIDYNJVBZPBV-QWRGUYRKSA-N 0 1 281.319 0.985 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CC2(C1)CCN(CC(N)=O)CC2 ZINC001272818094 897951065 /nfs/dbraw/zinc/95/10/65/897951065.db2.gz YAOLLDBPSAJULA-UHFFFAOYSA-N 0 1 293.411 0.998 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@@H]3C[C@H]2CN3CC)cn1 ZINC001032739850 898044954 /nfs/dbraw/zinc/04/49/54/898044954.db2.gz OIEQAKMGHCFCMS-KBPBESRZSA-N 0 1 255.321 0.982 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001077938255 898081235 /nfs/dbraw/zinc/08/12/35/898081235.db2.gz GHYHKXZGRDZBSS-TZMCWYRMSA-N 0 1 291.351 0.034 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCN(C(C)=O)C1 ZINC001032756160 898104486 /nfs/dbraw/zinc/10/44/86/898104486.db2.gz CLDKYSFXBIQHKD-ILXRZTDVSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(CC(=O)OCC)C2)C1=O ZINC001272888835 898123882 /nfs/dbraw/zinc/12/38/82/898123882.db2.gz CDJCCDJXAZGTCS-CQSZACIVSA-N 0 1 264.325 0.107 20 30 CCEDMN CC#CCN1CCc2c(CNC(=O)CC(N)=O)cccc2C1 ZINC001272908960 898154425 /nfs/dbraw/zinc/15/44/25/898154425.db2.gz FDRFWNAAZYUSCG-UHFFFAOYSA-N 0 1 299.374 0.560 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3nc(C)c[nH]3)C2)C1=O ZINC001272908160 898154499 /nfs/dbraw/zinc/15/44/99/898154499.db2.gz QFFULIBCQBMMJT-OAHLLOKOSA-N 0 1 272.352 0.776 20 30 CCEDMN N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)CN1CCCC1 ZINC001049893164 898312416 /nfs/dbraw/zinc/31/24/16/898312416.db2.gz BXXAXXWJCOSESL-UONOGXRCSA-N 0 1 276.384 0.671 20 30 CCEDMN C[C@H](CN(C)C(=O)CSCC#N)NCc1nccn1C ZINC001485848786 898455880 /nfs/dbraw/zinc/45/58/80/898455880.db2.gz UGAMNZTVGYIMAI-LLVKDONJSA-N 0 1 295.412 0.613 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cccc2c1CCCC2 ZINC001485999168 898585908 /nfs/dbraw/zinc/58/59/08/898585908.db2.gz RWEGRKRXFPNATB-CQSZACIVSA-N 0 1 286.375 0.879 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1(C)C(C)(C)C1(C)C ZINC001486068134 898633119 /nfs/dbraw/zinc/63/31/19/898633119.db2.gz PEBCWHAGDFPWDO-NSHDSACASA-N 0 1 266.385 0.759 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1(F)CCCCC1 ZINC001486049768 898638502 /nfs/dbraw/zinc/63/85/02/898638502.db2.gz AFBSMJWEULALTJ-GFCCVEGCSA-N 0 1 270.348 0.749 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1c(F)cccc1Cl ZINC001486053864 898642818 /nfs/dbraw/zinc/64/28/18/898642818.db2.gz KMBRLSHDWJTTHQ-SNVBAGLBSA-N 0 1 298.745 0.722 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C(=O)NCC2CC2)[C@@H]1C ZINC001486183996 898701133 /nfs/dbraw/zinc/70/11/33/898701133.db2.gz PAIAQBQWYNSAIX-WCQYABFASA-N 0 1 279.384 0.668 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@H](C)c1cccnc1 ZINC001486355515 898816658 /nfs/dbraw/zinc/81/66/58/898816658.db2.gz IWZQRZKCAGHTRI-HIFRSBDPSA-N 0 1 289.379 0.569 20 30 CCEDMN COCC#CCN(C)CCN(C(=O)[C@H]1CCCO1)C(C)C ZINC001486363110 898831092 /nfs/dbraw/zinc/83/10/92/898831092.db2.gz VILHRMKMKZSIFJ-OAHLLOKOSA-N 0 1 296.411 0.984 20 30 CCEDMN CC#CCN(C)CCN(C(=O)[C@H]1CCNC(=O)C1)C(C)C ZINC001486366940 898831458 /nfs/dbraw/zinc/83/14/58/898831458.db2.gz MCKKKCRUQIFGPT-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN1CC=C(CNC(=O)COCCOCC)CC1 ZINC001486521824 898921425 /nfs/dbraw/zinc/92/14/25/898921425.db2.gz NQYAKENZAXRBDP-UHFFFAOYSA-N 0 1 280.368 0.421 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(=O)OC)[C@@H]1C ZINC001410808904 899584014 /nfs/dbraw/zinc/58/40/14/899584014.db2.gz WNYVRODGZRTEKR-NXEZZACHSA-N 0 1 289.763 0.674 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H](C)NC(=O)C(C)(C)C)C1 ZINC001494770351 899622826 /nfs/dbraw/zinc/62/28/26/899622826.db2.gz ALKKLTXESAYORH-NWDGAFQWSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCc1ccn(C)n1 ZINC001493108852 899848080 /nfs/dbraw/zinc/84/80/80/899848080.db2.gz RTRCJXRRYIWBLQ-CQSZACIVSA-N 0 1 274.368 0.567 20 30 CCEDMN C=C[C@H](CC)CC(=O)N(C)C[C@H](C)NC(=O)c1ncn[nH]1 ZINC001487872282 900150720 /nfs/dbraw/zinc/15/07/20/900150720.db2.gz DGBHYUAISOZZQR-WDEREUQCSA-N 0 1 293.371 0.984 20 30 CCEDMN C=C[C@H](CC)CC(=O)N(C)C[C@H](C)NC(=O)c1nc[nH]n1 ZINC001487872282 900150725 /nfs/dbraw/zinc/15/07/25/900150725.db2.gz DGBHYUAISOZZQR-WDEREUQCSA-N 0 1 293.371 0.984 20 30 CCEDMN CC#CC[N@@H+](C)CCCN(C)C(=O)C[C@H]1CCC(=O)NC1 ZINC001327212861 914419730 /nfs/dbraw/zinc/41/97/30/914419730.db2.gz JVGDYPRFXQXHKI-CQSZACIVSA-N 0 1 293.411 0.706 20 30 CCEDMN CC#CC[N@@H+](C)CCCN(C)C(=O)Cc1ccn(C)n1 ZINC001327212880 914419870 /nfs/dbraw/zinc/41/98/70/914419870.db2.gz KYJHLSIFAWFISS-UHFFFAOYSA-N 0 1 276.384 0.766 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)COC[C@@H]1CCCO1 ZINC001488701267 900364025 /nfs/dbraw/zinc/36/40/25/900364025.db2.gz AQUISPKRVUVDMH-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)C[C@@H](C)OC)C1 ZINC001489156377 900442526 /nfs/dbraw/zinc/44/25/26/900442526.db2.gz SLDZRLUNLHZGSD-ZIAGYGMSSA-N 0 1 282.384 0.330 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)C[C@H]1CCOC1 ZINC001489219744 900450559 /nfs/dbraw/zinc/45/05/59/900450559.db2.gz PFMFVWJQENLAKD-OAHLLOKOSA-N 0 1 296.411 0.843 20 30 CCEDMN CN(CCCNC(=O)CC1(C)CC1)CC(=O)NCC#N ZINC001490229434 900570461 /nfs/dbraw/zinc/57/04/61/900570461.db2.gz RQWMFKBGXRUZBS-UHFFFAOYSA-N 0 1 280.372 0.254 20 30 CCEDMN CCN(CCCNC(=O)[C@H]1C[C@@H]1C)CC(=O)NCC#N ZINC001490311394 900598253 /nfs/dbraw/zinc/59/82/53/900598253.db2.gz BCGWKYMZOUUEGO-RYUDHWBXSA-N 0 1 280.372 0.110 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN([C@@H](C)C(=O)N(C)C)C1CC1 ZINC001490368275 900611260 /nfs/dbraw/zinc/61/12/60/900611260.db2.gz YUKKGRAMRWPBIZ-NEPJUHHUSA-N 0 1 294.399 0.593 20 30 CCEDMN Cc1nc(CN2CCC(N(C)C(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001490402186 900617838 /nfs/dbraw/zinc/61/78/38/900617838.db2.gz JMBZZMUAEZSCLG-SNVBAGLBSA-N 0 1 290.371 0.696 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)COCc2nccn2C)C1 ZINC001490440049 900621297 /nfs/dbraw/zinc/62/12/97/900621297.db2.gz GXVMXIVEKHZEHF-ZDUSSCGKSA-N 0 1 292.383 0.703 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@H](CC)OC)CC1 ZINC001490465331 900629878 /nfs/dbraw/zinc/62/98/78/900629878.db2.gz HTOMADSNXOXTIR-AWEZNQCLSA-N 0 1 281.400 0.169 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cccnc2)CC1 ZINC001490479620 900633757 /nfs/dbraw/zinc/63/37/57/900633757.db2.gz UYCMKRKYTSUVFO-UHFFFAOYSA-N 0 1 274.368 0.615 20 30 CCEDMN C=CCN1CCN(CCNC(=O)C2CC=CC2)CC1 ZINC001490486051 900637027 /nfs/dbraw/zinc/63/70/27/900637027.db2.gz MCMOYNPVCIMCGS-UHFFFAOYSA-N 0 1 263.385 0.872 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@@H](CNC(=O)CCC)C1 ZINC001493172400 900689503 /nfs/dbraw/zinc/68/95/03/900689503.db2.gz OBTCUDQNJZVHLU-STQMWFEESA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCNC(=O)CN(C)C[C@H]1CCCN(C(=O)CCC)C1 ZINC001490746488 900717286 /nfs/dbraw/zinc/71/72/86/900717286.db2.gz CQWMYMWMLMRXLG-CQSZACIVSA-N 0 1 293.411 0.706 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)C[C@@H]2CCOC2)C1 ZINC001318110913 900817393 /nfs/dbraw/zinc/81/73/93/900817393.db2.gz ZNWBWEQFSZLBTK-ZDUSSCGKSA-N 0 1 282.384 0.664 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ccc2nncn2c1 ZINC001320062162 900914563 /nfs/dbraw/zinc/91/45/63/900914563.db2.gz QRJPLAVSCWMUHF-UHFFFAOYSA-N 0 1 285.351 0.756 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)CCOC(C)C ZINC001275506041 901102987 /nfs/dbraw/zinc/10/29/87/901102987.db2.gz LGJQAGOBDCWYAW-CQSZACIVSA-N 0 1 284.400 0.888 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cn1ccc2ccccc21 ZINC001327449533 914545252 /nfs/dbraw/zinc/54/52/52/914545252.db2.gz QBEBBCFSVSJVIH-STQMWFEESA-N 0 1 268.320 0.869 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)/C(C)=C\C)C1 ZINC001493191440 902027034 /nfs/dbraw/zinc/02/70/34/902027034.db2.gz GHRCNVIJRNOPEW-XLVZXTRVSA-N 0 1 279.384 0.693 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccnc2c1ncn2C ZINC001038686526 902651527 /nfs/dbraw/zinc/65/15/27/902651527.db2.gz GEZRWWKAZCVRGA-LBPRGKRZSA-N 0 1 297.362 0.796 20 30 CCEDMN N#Cc1ccc(CNC(=O)C2CN([C@H]3CCOC3)C2)s1 ZINC001413157960 902751101 /nfs/dbraw/zinc/75/11/01/902751101.db2.gz VIYNOLBNGFZMGT-NSHDSACASA-N 0 1 291.376 0.957 20 30 CCEDMN Cc1cc(CN[C@H]2C[C@@H](NC(=O)CSCC#N)C2)on1 ZINC001490994159 903282856 /nfs/dbraw/zinc/28/28/56/903282856.db2.gz DBORQKBQJSCNOG-PHIMTYICSA-N 0 1 294.380 0.977 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1C[C@@H](NCc2cc(C)no2)C1 ZINC001491017433 903294528 /nfs/dbraw/zinc/29/45/28/903294528.db2.gz KZIHOTJVDPAPPZ-UPJWGTAASA-N 0 1 291.351 0.758 20 30 CCEDMN O=C(C#CC1CC1)NC[C@@H]1CCCN([C@@H]2CCNC2=O)C1 ZINC001491105803 903367810 /nfs/dbraw/zinc/36/78/10/903367810.db2.gz XINNTNZEOYVHDV-UONOGXRCSA-N 0 1 289.379 0.117 20 30 CCEDMN COCCN1CCCC[C@H]1CNC(=O)CSCC#N ZINC001491197535 903437185 /nfs/dbraw/zinc/43/71/85/903437185.db2.gz XITCVWGKERVDMT-LBPRGKRZSA-N 0 1 285.413 0.860 20 30 CCEDMN Cc1nnsc1CN[C@@H](C)CNC(=O)C#CC1CC1 ZINC001491449275 903603037 /nfs/dbraw/zinc/60/30/37/903603037.db2.gz XFBKEYGLYQSWKF-VIFPVBQESA-N 0 1 278.381 0.854 20 30 CCEDMN C[C@H](CNc1nnccc1C#N)N1CCN(C)CC1 ZINC001120811769 903716483 /nfs/dbraw/zinc/71/64/83/903716483.db2.gz BAYKQGHERLUNSA-LLVKDONJSA-N 0 1 260.345 0.396 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)C[C@@H](C)n1cccn1 ZINC001329592911 903812892 /nfs/dbraw/zinc/81/28/92/903812892.db2.gz VPEGUACFOVUCOH-OLZOCXBDSA-N 0 1 262.357 0.904 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(C)cs1 ZINC001331706720 904006891 /nfs/dbraw/zinc/00/68/91/904006891.db2.gz LKCWKEZYAXNIHC-JTQLQIEISA-N 0 1 252.339 0.370 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN[C@@H]1CCn2ccnc21 ZINC001331969763 904036348 /nfs/dbraw/zinc/03/63/48/904036348.db2.gz FLEVOMAYMWVQRI-VXGBXAGGSA-N 0 1 263.345 0.124 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](NC(=O)CCCF)CC1 ZINC001280755358 904147430 /nfs/dbraw/zinc/14/74/30/904147430.db2.gz DIPXQYLZHMKAMV-CYBMUJFWSA-N 0 1 297.374 0.456 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H](NC(=O)c2ncn[nH]2)C[C@@H]1C ZINC001281169355 904237029 /nfs/dbraw/zinc/23/70/29/904237029.db2.gz FCFLMJDGMUWOJL-WDEREUQCSA-N 0 1 291.355 0.880 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H](NC(=O)c2nc[nH]n2)C[C@@H]1C ZINC001281169355 904237046 /nfs/dbraw/zinc/23/70/46/904237046.db2.gz FCFLMJDGMUWOJL-WDEREUQCSA-N 0 1 291.355 0.880 20 30 CCEDMN COCC#CCN1CC[C@@H](NC(=O)c2ccon2)C[C@H]1C ZINC001281653378 904322279 /nfs/dbraw/zinc/32/22/79/904322279.db2.gz SLAKINNHQXSUAJ-CHWSQXEVSA-N 0 1 291.351 0.907 20 30 CCEDMN CC[C@H](C(N)=O)N(C)C[C@@H]1CCCN1C(=O)C#CC(C)C ZINC001281931357 904371333 /nfs/dbraw/zinc/37/13/33/904371333.db2.gz ALFRBACBBUPXNG-UONOGXRCSA-N 0 1 293.411 0.833 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001282431966 904474359 /nfs/dbraw/zinc/47/43/59/904474359.db2.gz CMXKMHPILXNDPV-QWHCGFSZSA-N 0 1 295.427 0.997 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H](C)NC(=O)C(C)(C)C ZINC001282431964 904475673 /nfs/dbraw/zinc/47/56/73/904475673.db2.gz CMXKMHPILXNDPV-OLZOCXBDSA-N 0 1 295.427 0.997 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCc2n[nH]cc2C1 ZINC001282477431 904487988 /nfs/dbraw/zinc/48/79/88/904487988.db2.gz JJPNOIDVLDFXIQ-QWHCGFSZSA-N 0 1 288.395 0.974 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001282700631 904523672 /nfs/dbraw/zinc/52/36/72/904523672.db2.gz BGIGNYIERYQTBR-LRDDRELGSA-N 0 1 278.396 0.997 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cc[n+]([O-])cc1 ZINC001283359394 904818572 /nfs/dbraw/zinc/81/85/72/904818572.db2.gz QJXOZUNENPBKOM-UHFFFAOYSA-N 0 1 291.351 0.022 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC001283473947 904858393 /nfs/dbraw/zinc/85/83/93/904858393.db2.gz AJLZFSKFOMXYIT-NSHDSACASA-N 0 1 291.355 0.421 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC3(C2)CCOCC3)CC1 ZINC001327912753 914857660 /nfs/dbraw/zinc/85/76/60/914857660.db2.gz FTFYSUUTIBVUBL-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(C)oc1C ZINC001283734776 904974737 /nfs/dbraw/zinc/97/47/37/904974737.db2.gz QMWLJIDRJDTWMU-NSHDSACASA-N 0 1 250.298 0.210 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cccc(Cl)c1C ZINC001283759360 904998971 /nfs/dbraw/zinc/99/89/71/904998971.db2.gz GTNPPSKULYAGNU-NSHDSACASA-N 0 1 280.755 0.962 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C1CCC(F)CC1 ZINC001283804444 905022584 /nfs/dbraw/zinc/02/25/84/905022584.db2.gz QJKQFOSROPCPNV-BPCQOVAHSA-N 0 1 270.348 0.605 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1(CF)CCC1 ZINC001283803039 905023272 /nfs/dbraw/zinc/02/32/72/905023272.db2.gz DHWOXXQHGALJGI-LLVKDONJSA-N 0 1 256.321 0.216 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1cc(C)cc(C)c1 ZINC001283805115 905028919 /nfs/dbraw/zinc/02/89/19/905028919.db2.gz WFBMDUIFXBYHRJ-HNNXBMFYSA-N 0 1 274.364 0.546 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1ccc(OCC)cc1 ZINC001283827587 905045695 /nfs/dbraw/zinc/04/56/95/905045695.db2.gz NTWLACWOYWMTEZ-CQSZACIVSA-N 0 1 290.363 0.328 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CC#Cc1ccccc1 ZINC001283832202 905047805 /nfs/dbraw/zinc/04/78/05/905047805.db2.gz RRGNVIXFGUBNBS-HNNXBMFYSA-N 0 1 270.332 0.128 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H](NC(=O)[C@H]2CCCN2C)C1 ZINC001284008752 905118316 /nfs/dbraw/zinc/11/83/16/905118316.db2.gz QGAVUXBCNVGWSN-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CCOCC(=O)N[C@]12CCC[C@@H]1N([C@H](C)C(N)=O)CC2 ZINC001284047960 905133517 /nfs/dbraw/zinc/13/35/17/905133517.db2.gz ZJUDZVDRCDQHSM-XUJVJEKNSA-N 0 1 295.383 0.176 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)CCCn2cncn2)[C@H]1C ZINC001284151183 905159876 /nfs/dbraw/zinc/15/98/76/905159876.db2.gz FCHDFQQKVHJRHQ-KGLIPLIRSA-N 0 1 289.383 0.661 20 30 CCEDMN C=CC(C)(C)CC(=O)NC[C@@H](C)NC(=O)Cc1nnc[nH]1 ZINC001284248740 905222540 /nfs/dbraw/zinc/22/25/40/905222540.db2.gz BAGJXSBOXYBWHR-SNVBAGLBSA-N 0 1 293.371 0.570 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@@H](O)CNCC(=C)Cl ZINC001284419038 905286482 /nfs/dbraw/zinc/28/64/82/905286482.db2.gz XUPJXZPMPFNISK-NEPJUHHUSA-N 0 1 288.775 0.186 20 30 CCEDMN C[C@@H](NC(=O)C#CC1CC1)C1CCN([C@H](C)C(N)=O)CC1 ZINC001284684482 905415440 /nfs/dbraw/zinc/41/54/40/905415440.db2.gz PBFPUBULZJQXBG-VXGBXAGGSA-N 0 1 291.395 0.490 20 30 CCEDMN C=CCCC(=O)N(C)CCN(C)C(=O)CCc1nc[nH]n1 ZINC001285360170 905606786 /nfs/dbraw/zinc/60/67/86/905606786.db2.gz LSBGDFVHTILTJA-UHFFFAOYSA-N 0 1 293.371 0.620 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)NC(=O)[C@@H]1CCCCN1C ZINC001285667047 905711689 /nfs/dbraw/zinc/71/16/89/905711689.db2.gz COZACIKZHCTTMH-OLZOCXBDSA-N 0 1 297.399 0.294 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)NC(=O)c1[nH]ncc1F ZINC001285692155 905721495 /nfs/dbraw/zinc/72/14/95/905721495.db2.gz QJSHYINCMJYJAY-OQPBUACISA-N 0 1 298.318 0.110 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CNC(=O)C1=NC(=O)N(C)C1 ZINC001285725843 905741361 /nfs/dbraw/zinc/74/13/61/905741361.db2.gz DNRROCAXDRQELL-JTQLQIEISA-N 0 1 292.339 0.164 20 30 CCEDMN Cc1ncc(CN2C[C@@H]3[C@@H](CNC(=O)[C@H](C)C#N)[C@@H]3C2)o1 ZINC001378686276 905761469 /nfs/dbraw/zinc/76/14/69/905761469.db2.gz LRGGJLXGLZPDMT-JXJLXUTGSA-N 0 1 288.351 0.937 20 30 CCEDMN Cc1nnsc1CNC[C@H](C)N(C)C(=O)[C@@H](C)C#N ZINC001378754017 905771269 /nfs/dbraw/zinc/77/12/69/905771269.db2.gz LRCFWGREAIWTKT-IUCAKERBSA-N 0 1 281.385 0.943 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cnnn1C ZINC001378768569 905809636 /nfs/dbraw/zinc/80/96/36/905809636.db2.gz KZOOXDAGUCVDRK-SECBINFHSA-N 0 1 271.752 0.618 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NC1(CNC(=O)c2ncn[nH]2)CC1 ZINC001285952692 905820882 /nfs/dbraw/zinc/82/08/82/905820882.db2.gz LIGZCGGVDBFEIP-ZJUUUORDSA-N 0 1 291.355 0.642 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NC1(CNC(=O)c2nc[nH]n2)CC1 ZINC001285952692 905820890 /nfs/dbraw/zinc/82/08/90/905820890.db2.gz LIGZCGGVDBFEIP-ZJUUUORDSA-N 0 1 291.355 0.642 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CN(C)C(=O)[C@@H]1CCCN1C ZINC001337082746 921181971 /nfs/dbraw/zinc/18/19/71/921181971.db2.gz ZLJMGJYTWCAKHR-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCn1cncn1 ZINC001379065049 905967080 /nfs/dbraw/zinc/96/70/80/905967080.db2.gz NBGICOXSPTYOLC-LLVKDONJSA-N 0 1 285.779 0.857 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CCc1ccncn1 ZINC001379207374 906061575 /nfs/dbraw/zinc/06/15/75/906061575.db2.gz KZDRMYVJIHHRBP-GFCCVEGCSA-N 0 1 298.774 0.228 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001292382345 906205461 /nfs/dbraw/zinc/20/54/61/906205461.db2.gz VFXJCJUZEYSNLL-LLVKDONJSA-N 0 1 292.339 0.285 20 30 CCEDMN COc1nscc1CNC[C@H](C)NC(=O)[C@H](C)C#N ZINC001379498758 906307590 /nfs/dbraw/zinc/30/75/90/906307590.db2.gz XKHGFLHWHZOWOE-BDAKNGLRSA-N 0 1 282.369 0.906 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCNC(=O)CN1CCCC1 ZINC001292769723 906337437 /nfs/dbraw/zinc/33/74/37/906337437.db2.gz HSUDWPPDSVWIFE-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C2(C)COC(C)(C)OC2)CC1 ZINC001292880159 906360610 /nfs/dbraw/zinc/36/06/10/906360610.db2.gz FSGYWQIQIKNSQN-UHFFFAOYSA-N 0 1 295.383 0.880 20 30 CCEDMN C#CC[C@H]1CCCN(C(=O)CN2CCC(CO)CC2)C1 ZINC001337334022 921236084 /nfs/dbraw/zinc/23/60/84/921236084.db2.gz JKRSNWAFUPRTOT-AWEZNQCLSA-N 0 1 278.396 0.953 20 30 CCEDMN Cc1cc(CNC[C@H](NC(=O)[C@@H](C)C#N)C2CC2)ncn1 ZINC001379845304 906503210 /nfs/dbraw/zinc/50/32/10/906503210.db2.gz BMLIRVZWWKMYIP-HZMBPMFUSA-N 0 1 287.367 0.929 20 30 CCEDMN C=CCCC(=O)N(CC)CCCNC(=O)c1ncn[nH]1 ZINC001294508913 906597238 /nfs/dbraw/zinc/59/72/38/906597238.db2.gz AGEJMSCTYJOKPV-UHFFFAOYSA-N 0 1 279.344 0.739 20 30 CCEDMN C=CCCC(=O)N(CC)CCCNC(=O)c1nc[nH]n1 ZINC001294508913 906597251 /nfs/dbraw/zinc/59/72/51/906597251.db2.gz AGEJMSCTYJOKPV-UHFFFAOYSA-N 0 1 279.344 0.739 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001294771805 906632832 /nfs/dbraw/zinc/63/28/32/906632832.db2.gz QCRUQTUUUIONLF-LLVKDONJSA-N 0 1 289.339 0.329 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H]([C@@H](C)O)C2)CC1 ZINC001337466849 921255749 /nfs/dbraw/zinc/25/57/49/921255749.db2.gz MTZOSPUMULJCKE-OCCSQVGLSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](C)NC(=O)[C@H]1CCCN1C ZINC001295470206 906743586 /nfs/dbraw/zinc/74/35/86/906743586.db2.gz FBACIWCKYICUCI-CHWSQXEVSA-N 0 1 279.384 0.505 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H]1CCCCN1C)NC(=O)C#CC1CC1 ZINC001295611275 906770720 /nfs/dbraw/zinc/77/07/20/906770720.db2.gz DPCHSZYQPYYYCS-JSGCOSHPSA-N 0 1 291.395 0.505 20 30 CCEDMN CN(CCNC(=O)C#CC(C)(C)C)C(=O)c1ccn[nH]1 ZINC001296062713 906858570 /nfs/dbraw/zinc/85/85/70/906858570.db2.gz XOCHFLBFMFDACQ-UHFFFAOYSA-N 0 1 276.340 0.647 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001491921299 907641014 /nfs/dbraw/zinc/64/10/14/907641014.db2.gz STWSPZNNAIKJKT-ZJUUUORDSA-N 0 1 275.312 0.242 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cccnc1 ZINC001382377065 907707473 /nfs/dbraw/zinc/70/74/73/907707473.db2.gz IZANUYIBTZNTOO-LBPRGKRZSA-N 0 1 283.759 0.857 20 30 CCEDMN CN(CCNC(=O)CSCC#N)CCn1cccn1 ZINC001492194861 907746537 /nfs/dbraw/zinc/74/65/37/907746537.db2.gz IAAUZDPJRJROQM-UHFFFAOYSA-N 0 1 281.385 0.188 20 30 CCEDMN CN(CCNC(=O)CC(N)=O)Cc1ccc(F)c(C#N)c1 ZINC001492218654 907771090 /nfs/dbraw/zinc/77/10/90/907771090.db2.gz GPDNTUSUZGJGRF-UHFFFAOYSA-N 0 1 292.314 0.121 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnc2ccccn2c1=O ZINC001492977246 907797884 /nfs/dbraw/zinc/79/78/84/907797884.db2.gz GDXPEOIULNPUEQ-UHFFFAOYSA-N 0 1 298.346 0.379 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H](OC)[C@@H]1CCOC1 ZINC001492359186 907872119 /nfs/dbraw/zinc/87/21/19/907872119.db2.gz IKYRSFDYSYRUOF-OLZOCXBDSA-N 0 1 268.357 0.109 20 30 CCEDMN C#CCN(C)CCNC(=O)C1(c2cc(C)no2)CC1 ZINC001492385153 907890934 /nfs/dbraw/zinc/89/09/34/907890934.db2.gz CHBVUMIGCWQFNY-UHFFFAOYSA-N 0 1 261.325 0.696 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)CCCNC(=O)C#CC1CC1 ZINC001492347127 907900541 /nfs/dbraw/zinc/90/05/41/907900541.db2.gz ASRRJPSWGQLOFK-UHFFFAOYSA-N 0 1 289.339 0.105 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)CCc1cc(C)[nH]n1 ZINC001302617782 908029899 /nfs/dbraw/zinc/02/98/99/908029899.db2.gz JHEBZJQQMVEXFU-UHFFFAOYSA-N 0 1 278.312 0.566 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@H](O)c2cnc[nH]2)cc1 ZINC001303584401 908101465 /nfs/dbraw/zinc/10/14/65/908101465.db2.gz WHLYLZSPTPATJX-ZDUSSCGKSA-N 0 1 255.277 0.854 20 30 CCEDMN N#CC[C@@H](O)CNC1(C(=O)NCC2CC2)CCCC1 ZINC001307549701 908317502 /nfs/dbraw/zinc/31/75/02/908317502.db2.gz GFMPZCWABRYQHM-GFCCVEGCSA-N 0 1 265.357 0.690 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H]1C[C@H]1C ZINC001317476918 908452435 /nfs/dbraw/zinc/45/24/35/908452435.db2.gz ZVQDYDPNUZEIME-OLZOCXBDSA-N 0 1 252.358 0.730 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1C[C@@H]1C(=O)OC ZINC001317475705 908453675 /nfs/dbraw/zinc/45/36/75/908453675.db2.gz APISUNMKQFNENM-NEPJUHHUSA-N 0 1 266.341 0.257 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](C)OC[C@@H]1CCCO1 ZINC001317492294 908506540 /nfs/dbraw/zinc/50/65/40/908506540.db2.gz KOWROFJYPJHTMS-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CC[C@@H]1CCN1C(=O)Nc1nn[nH]c1C(=O)NC ZINC001311172058 908539167 /nfs/dbraw/zinc/53/91/67/908539167.db2.gz IFEZVBUWWFGKOE-SSDOTTSWSA-N 0 1 264.289 0.347 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)Cc2ccon2)C1 ZINC001316744666 908566466 /nfs/dbraw/zinc/56/64/66/908566466.db2.gz RCNRYHMFMUDXCD-AWEZNQCLSA-N 0 1 291.351 0.136 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)NCC#CCN(C)C)no1 ZINC001312810678 908632685 /nfs/dbraw/zinc/63/26/85/908632685.db2.gz LJVCJPWYXLTOSN-SECBINFHSA-N 0 1 265.317 0.303 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1COC2(CCC2)C1 ZINC001313204568 908659863 /nfs/dbraw/zinc/65/98/63/908659863.db2.gz AURCFFGHWNATCJ-LBPRGKRZSA-N 0 1 265.357 0.562 20 30 CCEDMN CO[C@@H]1CC[C@@H]1N(C)C(=O)NCC#CCN(C)C ZINC001313439899 908665175 /nfs/dbraw/zinc/66/51/75/908665175.db2.gz XIKOMLDTHZPKEP-NWDGAFQWSA-N 0 1 253.346 0.370 20 30 CCEDMN C[C@H]1CN(C(=O)NCC#CCN(C)C)C[C@H](C2CC2)O1 ZINC001313439571 908666967 /nfs/dbraw/zinc/66/69/67/908666967.db2.gz NLJVXXKSTYCXDM-GXTWGEPZSA-N 0 1 279.384 0.760 20 30 CCEDMN C=C(Cl)CN(CC)CCCNC(=O)CNC(C)=O ZINC001316882507 908676170 /nfs/dbraw/zinc/67/61/70/908676170.db2.gz JJGGXLOVYCWKJT-UHFFFAOYSA-N 0 1 275.780 0.703 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1CCN(CCOC2CCC2)C1 ZINC001316978488 908709067 /nfs/dbraw/zinc/70/90/67/908709067.db2.gz YJRBQVSLODNECT-ZIAGYGMSSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CCCC(N)=O)CC1 ZINC001316938547 908852472 /nfs/dbraw/zinc/85/24/72/908852472.db2.gz UUSORVSFEZJHRD-UHFFFAOYSA-N 0 1 265.357 0.198 20 30 CCEDMN COCCN1CCN(CCNC(=O)C#CC(C)(C)C)CC1 ZINC001316963120 908871742 /nfs/dbraw/zinc/87/17/42/908871742.db2.gz KDVROGPYLQNQNW-UHFFFAOYSA-N 0 1 295.427 0.416 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCCC(=O)NC(C)C)C1 ZINC001316991883 908909188 /nfs/dbraw/zinc/90/91/88/908909188.db2.gz TZTJOJFLASXQDV-ZDUSSCGKSA-N 0 1 279.384 0.505 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@@H]1CCCN1C)C(=O)OCC ZINC001338420986 921457375 /nfs/dbraw/zinc/45/73/75/921457375.db2.gz XWKNOLHZHPWQAC-MNOVXSKESA-N 0 1 254.330 0.705 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCc1ccnn1C ZINC001317172360 909027173 /nfs/dbraw/zinc/02/71/73/909027173.db2.gz IGQCJAKHMAWFPH-OAHLLOKOSA-N 0 1 288.395 0.957 20 30 CCEDMN CNC(=O)CN1CCC[C@@H]([C@H](C)NC(=O)C#CC(C)C)C1 ZINC001317206124 909040152 /nfs/dbraw/zinc/04/01/52/909040152.db2.gz WJWNMJMDPSHQIH-UONOGXRCSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCN(C)CCNC(=O)COc1c(C)nn(C)c1C ZINC001317445952 909215381 /nfs/dbraw/zinc/21/53/81/909215381.db2.gz OUSKRYTZVOKWKU-UHFFFAOYSA-N 0 1 278.356 0.097 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@]1(CC(C)C)CCNC1=O ZINC001317453671 909225638 /nfs/dbraw/zinc/22/56/38/909225638.db2.gz UEEUWJUQGKPHAY-INIZCTEOSA-N 0 1 293.411 0.610 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1C[C@@]12CCOC2 ZINC001317465001 909240830 /nfs/dbraw/zinc/24/08/30/909240830.db2.gz FTNKDVLJJVYSKZ-GXTWGEPZSA-N 0 1 250.342 0.484 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCN([C@@H](C)C(N)=O)C1 ZINC001317521389 909290443 /nfs/dbraw/zinc/29/04/43/909290443.db2.gz GDKQNTOCFZVHFQ-QWRGUYRKSA-N 0 1 253.346 0.265 20 30 CCEDMN CC(C)C#CC(=O)NCCN(CCn1cncn1)C1CC1 ZINC001317552302 909325238 /nfs/dbraw/zinc/32/52/38/909325238.db2.gz GLDCAUKCUWXUFA-UHFFFAOYSA-N 0 1 289.383 0.518 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](CC)NC(C)=O ZINC001317632512 909426488 /nfs/dbraw/zinc/42/64/88/909426488.db2.gz UUEXAYOUXWOBQJ-LLVKDONJSA-N 0 1 275.780 0.702 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)CCn2ccnn2)C1 ZINC001318017781 909577852 /nfs/dbraw/zinc/57/78/52/909577852.db2.gz IVVHILQNAIBEGD-GFCCVEGCSA-N 0 1 277.372 0.823 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](N(C)C(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001318423753 909747427 /nfs/dbraw/zinc/74/74/27/909747427.db2.gz GGNVXCLXKFRQAU-CQSZACIVSA-N 0 1 287.363 0.886 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001318423753 909747433 /nfs/dbraw/zinc/74/74/33/909747433.db2.gz GGNVXCLXKFRQAU-CQSZACIVSA-N 0 1 287.363 0.886 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H](C)Cc2c[nH]cn2)C1 ZINC001318437352 909759854 /nfs/dbraw/zinc/75/98/54/909759854.db2.gz OIUSPZMQLUHXEC-GXTWGEPZSA-N 0 1 274.368 0.754 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)C[C@H]2COC(=O)C2)C1 ZINC001318464790 909768739 /nfs/dbraw/zinc/76/87/39/909768739.db2.gz DBSKCXORQVRVDY-KGLIPLIRSA-N 0 1 292.379 0.886 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCCN(CCc2cnn(C)c2)C1 ZINC001389351500 909838328 /nfs/dbraw/zinc/83/83/28/909838328.db2.gz BGZNUQPXPLUPCY-GXTWGEPZSA-N 0 1 289.383 0.703 20 30 CCEDMN C=CCN1CCC(CCNC(=O)CS(C)(=O)=O)CC1 ZINC001319010327 909954927 /nfs/dbraw/zinc/95/49/27/909954927.db2.gz GTKYFDVDNUFFHB-UHFFFAOYSA-N 0 1 288.413 0.435 20 30 CCEDMN CCNC(=O)CN1CCC2(C[C@@H]2NC(=O)[C@H](C)C#N)CC1 ZINC001389529634 909956506 /nfs/dbraw/zinc/95/65/06/909956506.db2.gz RASBAJLNESFCQM-NEPJUHHUSA-N 0 1 292.383 0.253 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)CNC(C)=O)C1CC1 ZINC001389543799 909960541 /nfs/dbraw/zinc/96/05/41/909960541.db2.gz ALVFWLSMOMTMAA-LLVKDONJSA-N 0 1 273.764 0.359 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCN(C)CC1)c1cnn(C)c1 ZINC001319095547 909981270 /nfs/dbraw/zinc/98/12/70/909981270.db2.gz FKYCYOJKRRIJPQ-NSHDSACASA-N 0 1 275.356 0.628 20 30 CCEDMN C#CCC[NH2+]C[C@@H](C)N(C)C(=O)c1ncccc1[O-] ZINC001319448334 910156306 /nfs/dbraw/zinc/15/63/06/910156306.db2.gz KREPWILLAYEJNZ-LLVKDONJSA-N 0 1 261.325 0.861 20 30 CCEDMN C#CCN(CC1CC1)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC001319545725 910194480 /nfs/dbraw/zinc/19/44/80/910194480.db2.gz QDVLZJWYGHMJFB-AWEZNQCLSA-N 0 1 292.427 0.677 20 30 CCEDMN C#CCN(CC1CC1)CC1(O)CCS(=O)(=O)CC1 ZINC001319664984 910246906 /nfs/dbraw/zinc/24/69/06/910246906.db2.gz IQPKVHLRUHOZSE-UHFFFAOYSA-N 0 1 271.382 0.271 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCSC[C@H]2c2nn[nH]n2)[nH]1 ZINC001417719281 921571770 /nfs/dbraw/zinc/57/17/70/921571770.db2.gz BDSFTVIMHKCQAU-VIFPVBQESA-N 0 1 289.324 0.330 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H]1CCC(=O)N1C ZINC001390456285 910430638 /nfs/dbraw/zinc/43/06/38/910430638.db2.gz XORLPFIGFVWSGX-VHSXEESVSA-N 0 1 273.764 0.454 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)CCn1cncn1 ZINC001391661417 911303910 /nfs/dbraw/zinc/30/39/10/911303910.db2.gz ITMIYQJNXXMWOP-NSHDSACASA-N 0 1 285.779 0.905 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H](C)C#N)NCc1ccnc(OC)n1 ZINC001391670476 911312978 /nfs/dbraw/zinc/31/29/78/911312978.db2.gz QNKDBNIXIXXUDS-MNOVXSKESA-N 0 1 291.355 0.629 20 30 CCEDMN C#CCOCCN(C)[C@H](C)C(=O)NC1(C#N)CCC1 ZINC001321748127 911470698 /nfs/dbraw/zinc/47/06/98/911470698.db2.gz GFUKUSHGEINDBW-GFCCVEGCSA-N 0 1 263.341 0.519 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCS(C)(=O)=O ZINC001392079406 911565454 /nfs/dbraw/zinc/56/54/54/911565454.db2.gz RYAYIGSPTDPXDI-JTQLQIEISA-N 0 1 296.820 0.610 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)CC1CCOCC1 ZINC001322131238 911669322 /nfs/dbraw/zinc/66/93/22/911669322.db2.gz WYBCVRPKDMGWLD-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCc2cncn2C1 ZINC001322191558 911703713 /nfs/dbraw/zinc/70/37/13/911703713.db2.gz BZRAHUGTNLWBEI-QWHCGFSZSA-N 0 1 274.368 0.515 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001322275456 911741100 /nfs/dbraw/zinc/74/11/00/911741100.db2.gz YIEHFJWAXBKORD-KBPBESRZSA-N 0 1 293.411 0.705 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1[nH]cnc1CC ZINC001392420870 911794362 /nfs/dbraw/zinc/79/43/62/911794362.db2.gz KLYDCLGMSPLPDK-SECBINFHSA-N 0 1 286.763 0.405 20 30 CCEDMN CC(C)OCCN1CCN(CCNC(=O)[C@@H](C)C#N)CC1 ZINC001417916934 921704071 /nfs/dbraw/zinc/70/40/71/921704071.db2.gz JXLVTAIXUMJMSD-AWEZNQCLSA-N 0 1 296.415 0.305 20 30 CCEDMN N#CCNC[C@H](NC(=O)c1[nH]nc2c1CCC2)C1CC1 ZINC001323034333 912081942 /nfs/dbraw/zinc/08/19/42/912081942.db2.gz QVOFZVSRZDITHF-LBPRGKRZSA-N 0 1 273.340 0.520 20 30 CCEDMN C=CCCn1cc(CNCCCCS(C)(=O)=O)nn1 ZINC001328059369 914951467 /nfs/dbraw/zinc/95/14/67/914951467.db2.gz CWJDCNFFGMCTJD-UHFFFAOYSA-N 0 1 286.401 0.769 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](NC(=O)CCNC(=O)NC)C[C@@H]1C ZINC001328239316 915048834 /nfs/dbraw/zinc/04/88/34/915048834.db2.gz JOYAGTNSZRYAOA-STQMWFEESA-N 0 1 294.399 0.298 20 30 CCEDMN CC#CC[N@@H+](C)C[C@@H]1CCCN1C(=O)c1c[nH]c(=O)n1C ZINC001328751912 915411510 /nfs/dbraw/zinc/41/15/10/915411510.db2.gz CKYITJRUWWTVMW-LBPRGKRZSA-N 0 1 290.367 0.685 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)c1c[nH]c(=O)n1C ZINC001328751912 915411519 /nfs/dbraw/zinc/41/15/19/915411519.db2.gz CKYITJRUWWTVMW-LBPRGKRZSA-N 0 1 290.367 0.685 20 30 CCEDMN C[C@@H](NC[C@H](O)CC(C)(C)C#N)c1ncnn1C ZINC001328989874 915572905 /nfs/dbraw/zinc/57/29/05/915572905.db2.gz PHWLFAFMQBMLQT-NXEZZACHSA-N 0 1 251.334 0.766 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@@H]1CCN(Cc2ccn(C)n2)C1 ZINC001400144027 915585340 /nfs/dbraw/zinc/58/53/40/915585340.db2.gz IHVPADMCCHQNMU-CHWSQXEVSA-N 0 1 289.383 0.908 20 30 CCEDMN C#C[C@@H](C)N(C)c1nnc(C2=NO[C@H](CO)C2)n1CC=C ZINC001339672311 921794743 /nfs/dbraw/zinc/79/47/43/921794743.db2.gz MPJSFFRUKSAHAA-MNOVXSKESA-N 0 1 289.339 0.407 20 30 CCEDMN CC(C)c1nc(CN2CC[C@H](NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001400606514 915830218 /nfs/dbraw/zinc/83/02/18/915830218.db2.gz SQLBRIQHJGRBIV-QWRGUYRKSA-N 0 1 290.371 0.778 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCn1cccn1 ZINC001329384215 915860464 /nfs/dbraw/zinc/86/04/64/915860464.db2.gz BXJYPRVBVPTUEZ-CYBMUJFWSA-N 0 1 262.357 0.733 20 30 CCEDMN COC[C@@H](O)C[N@@H+](C)[C@@H](C)CNC(=O)C#CC(C)C ZINC001329431080 915906738 /nfs/dbraw/zinc/90/67/38/915906738.db2.gz IEHBFYQQICZJPB-STQMWFEESA-N 0 1 270.373 0.090 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)N(C)[C@H](C)C(=O)NC(N)=O ZINC001329449996 915926436 /nfs/dbraw/zinc/92/64/36/915926436.db2.gz IZTDLUKJHNYEAZ-GHMZBOCLSA-N 0 1 298.387 0.363 20 30 CCEDMN C[NH2+][C@@H](C)CC[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC001329591100 916046084 /nfs/dbraw/zinc/04/60/84/916046084.db2.gz QYDXLINYRGXEQS-QMMMGPOBSA-N 0 1 257.315 0.428 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1[nH]c(C)nc1C ZINC001401550936 916317521 /nfs/dbraw/zinc/31/75/21/916317521.db2.gz FMRRZWLMUNDTHU-SNVBAGLBSA-N 0 1 286.763 0.459 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1(COC)CC1 ZINC001401560298 916329319 /nfs/dbraw/zinc/32/93/19/916329319.db2.gz XSUQTGNPBKMDHY-JTQLQIEISA-N 0 1 276.764 0.232 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)c1cnon1)C1CC1 ZINC001401848805 916634080 /nfs/dbraw/zinc/63/40/80/916634080.db2.gz CFANYCRPACIFKO-VIFPVBQESA-N 0 1 270.720 0.920 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CCCC(N)=O)C2)C1 ZINC001330514243 916673789 /nfs/dbraw/zinc/67/37/89/916673789.db2.gz JURMRIQSDDMNCX-UHFFFAOYSA-N 0 1 277.368 0.200 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC001330573057 916709165 /nfs/dbraw/zinc/70/91/65/916709165.db2.gz UMYUAXCLIIJKKJ-NEPJUHHUSA-N 0 1 297.399 0.293 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC(C)(NC(=O)[C@@H](C)C#N)CC1 ZINC001402082726 916797015 /nfs/dbraw/zinc/79/70/15/916797015.db2.gz XCJYGRAESKTISJ-QWRGUYRKSA-N 0 1 280.372 0.381 20 30 CCEDMN C[C@]1(C(=O)Nc2cccc(CC#N)n2)CNCCO1 ZINC001330969221 916997958 /nfs/dbraw/zinc/99/79/58/916997958.db2.gz HWLKZSHZHRKJRZ-CYBMUJFWSA-N 0 1 260.297 0.465 20 30 CCEDMN C[C@@H](N[C@@H]1C[C@@H](NC(=O)[C@@H](C)C#N)C1)c1nncn1C ZINC001402504778 917063331 /nfs/dbraw/zinc/06/33/31/917063331.db2.gz ZTZYYWQHRDPIRL-VLEAKVRGSA-N 0 1 276.344 0.273 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001402609257 917126240 /nfs/dbraw/zinc/12/62/40/917126240.db2.gz SHURTHWJIWBBEG-VHSXEESVSA-N 0 1 292.343 0.141 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001402609257 917126252 /nfs/dbraw/zinc/12/62/52/917126252.db2.gz SHURTHWJIWBBEG-VHSXEESVSA-N 0 1 292.343 0.141 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@H](C)NCc1cnns1 ZINC001331440581 917337125 /nfs/dbraw/zinc/33/71/25/917337125.db2.gz SYCRUGGKTOIVLY-WDEREUQCSA-N 0 1 296.396 0.513 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)CNC(=O)NC ZINC001403003096 917414669 /nfs/dbraw/zinc/41/46/69/917414669.db2.gz UPXISKHXMDRWIS-IUCAKERBSA-N 0 1 276.768 0.151 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1ccc(F)c(F)c1 ZINC001331773428 917593345 /nfs/dbraw/zinc/59/33/45/917593345.db2.gz FLJNZTXTZMHDSQ-LLVKDONJSA-N 0 1 282.290 0.207 20 30 CCEDMN C=CCC1(C(=O)NC[C@@H](CO)NCC#CC)CCC1 ZINC001331786636 917605902 /nfs/dbraw/zinc/60/59/02/917605902.db2.gz DQZDHBVBLOZVLL-ZDUSSCGKSA-N 0 1 264.369 0.823 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)CC(F)(F)C(F)F ZINC001331788383 917609868 /nfs/dbraw/zinc/60/98/68/917609868.db2.gz VAFPQQCYXRVBCN-MRVPVSSYSA-N 0 1 284.253 0.367 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NC[C@@H](CO)NC/C=C\Cl ZINC001331789385 917610089 /nfs/dbraw/zinc/61/00/89/917610089.db2.gz IARDYNZJYHWWEM-USLJNSJASA-N 0 1 288.775 0.397 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccn(C)c1C ZINC001331787809 917610891 /nfs/dbraw/zinc/61/08/91/917610891.db2.gz MPRXMORNBYKMBE-GFCCVEGCSA-N 0 1 263.341 0.037 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)Cc1ccsc1C ZINC001331804276 917622652 /nfs/dbraw/zinc/62/26/52/917622652.db2.gz MAOYMHDUZTWYEV-CYBMUJFWSA-N 0 1 280.393 0.689 20 30 CCEDMN Cc1nc(CN2C[C@@H](NC(=O)[C@H](C)C#N)C(C)(C)C2)n[nH]1 ZINC001403368314 917680037 /nfs/dbraw/zinc/68/00/37/917680037.db2.gz WQWBRCVZMCXAST-MWLCHTKSSA-N 0 1 290.371 0.599 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2n[nH]cc2OC)CC1 ZINC001332225935 917967244 /nfs/dbraw/zinc/96/72/44/917967244.db2.gz WMPJHYSVEMXMCK-UHFFFAOYSA-N 0 1 262.313 0.702 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CN(C)C(=O)C1CC1 ZINC001403835405 918050397 /nfs/dbraw/zinc/05/03/97/918050397.db2.gz VJOMHPZGJDJVPS-SNVBAGLBSA-N 0 1 291.189 0.714 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H]1CCCCO1 ZINC001403839827 918053789 /nfs/dbraw/zinc/05/37/89/918053789.db2.gz LVTCGQTXUVKEAI-NWDGAFQWSA-N 0 1 290.791 0.717 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C(=O)OC)o2)CC1 ZINC001332478498 918197317 /nfs/dbraw/zinc/19/73/17/918197317.db2.gz QBNBKUOWTNJZFZ-UHFFFAOYSA-N 0 1 290.319 0.894 20 30 CCEDMN C=CC[C@@H](NC(=O)C[N@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC001332613105 918309216 /nfs/dbraw/zinc/30/92/16/918309216.db2.gz BXARYUXJZCCPKV-VHSXEESVSA-N 0 1 272.370 0.569 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)Cc1ccccc1C ZINC001332678670 918377544 /nfs/dbraw/zinc/37/75/44/918377544.db2.gz RLCVJQNHIAVGEH-INIZCTEOSA-N 0 1 288.391 0.922 20 30 CCEDMN C[C@@H](NC(=O)Cc1cnc[nH]1)C1CN(C(=O)[C@@H](C)C#N)C1 ZINC001404686501 918429229 /nfs/dbraw/zinc/42/92/29/918429229.db2.gz YIKROAYJCLHXFT-VHSXEESVSA-N 0 1 289.339 0.075 20 30 CCEDMN C#CCC1(O)CCN([C@@H]2CCN(C3CC3)C2=O)CC1 ZINC001333084541 918640914 /nfs/dbraw/zinc/64/09/14/918640914.db2.gz LIIWJZQDYKHVMZ-CYBMUJFWSA-N 0 1 262.353 0.600 20 30 CCEDMN Cc1ccc(C=Nn2c(=O)c(C)n[nH]c2=S)nc1 ZINC001333091712 918650115 /nfs/dbraw/zinc/65/01/15/918650115.db2.gz AFIHLBAOOCMMRW-UHFFFAOYSA-N 0 1 261.310 0.821 20 30 CCEDMN C#CCN(C(=O)C(=O)N[C@H]1CCN(C2CC2)C1)C(C)C ZINC001333105593 918657389 /nfs/dbraw/zinc/65/73/89/918657389.db2.gz KMAPIBYMXYCYNU-LBPRGKRZSA-N 0 1 277.368 0.210 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H](CC)NC(C)=O)CC1 ZINC001333406114 918873615 /nfs/dbraw/zinc/87/36/15/918873615.db2.gz KYBPYUINXKTVBK-HNNXBMFYSA-N 0 1 291.395 0.673 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)COCCCOC)CC1 ZINC001333431456 918902864 /nfs/dbraw/zinc/90/28/64/918902864.db2.gz DEHZZLFVRVCIGQ-UHFFFAOYSA-N 0 1 294.395 0.811 20 30 CCEDMN COc1ccc(CNC[C@H](C)N(C)C(=O)[C@H](C)C#N)nn1 ZINC001405803155 918916003 /nfs/dbraw/zinc/91/60/03/918916003.db2.gz ZTKZYPSWPUBLQH-MNOVXSKESA-N 0 1 291.355 0.581 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)C(=O)N(C)Cc2nnc[nH]2)C1 ZINC001333471247 918933915 /nfs/dbraw/zinc/93/39/15/918933915.db2.gz XJONJJQAFDVGNF-LLVKDONJSA-N 0 1 291.355 0.578 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cnccc1C ZINC001406211035 919114717 /nfs/dbraw/zinc/11/47/17/919114717.db2.gz LHKUVNXIFHRBSP-LLVKDONJSA-N 0 1 283.759 0.823 20 30 CCEDMN O=C(c1cnc[nH]1)N1CCC2(CC1)CC(=O)C=CO2 ZINC001334024296 919322636 /nfs/dbraw/zinc/32/26/36/919322636.db2.gz KTSUHATUBGRWET-UHFFFAOYSA-N 0 1 261.281 0.888 20 30 CCEDMN N#CCCNC(=O)N1CCc2sc(CN)nc2C1 ZINC001334196786 919418007 /nfs/dbraw/zinc/41/80/07/919418007.db2.gz WOHPVOCXZBFCDR-UHFFFAOYSA-N 0 1 265.342 0.583 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)CN(C)C(C)=O)C1CC1 ZINC001406747543 919455364 /nfs/dbraw/zinc/45/53/64/919455364.db2.gz ZJTXBRQJZLMRQU-GFCCVEGCSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)CS(C)(=O)=O ZINC001407100946 919614978 /nfs/dbraw/zinc/61/49/78/919614978.db2.gz LIQVQMHOHHMCRA-JTQLQIEISA-N 0 1 294.804 0.364 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)CCC(=O)[O-] ZINC001334980528 919880861 /nfs/dbraw/zinc/88/08/61/919880861.db2.gz JGLQCZBQOJSLJP-MNOVXSKESA-N 0 1 252.314 0.454 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC001407904443 919949671 /nfs/dbraw/zinc/94/96/71/919949671.db2.gz AZMXUMZTWFIJGX-BIIVOSGPSA-N 0 1 279.275 0.085 20 30 CCEDMN N#Cc1csc(CNC[C@@]2(O)CCS(=O)(=O)C2)c1 ZINC001414081273 919976236 /nfs/dbraw/zinc/97/62/36/919976236.db2.gz CKRSWEBQMFJWFQ-NSHDSACASA-N 0 1 286.378 0.259 20 30 CCEDMN N#CC1(CNC[C@H](O)C2(O)CCC2)CCOCC1 ZINC001335339463 920127401 /nfs/dbraw/zinc/12/74/01/920127401.db2.gz UVSMPDQVRKSORB-NSHDSACASA-N 0 1 254.330 0.172 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001408377109 920172138 /nfs/dbraw/zinc/17/21/38/920172138.db2.gz QOPMFCHRSNVNES-WDEREUQCSA-N 0 1 289.339 0.077 20 30 CCEDMN N#Cc1cc(C(=O)NCC[N@@H+]2CC[C@@H](O)C2)cs1 ZINC001335431196 920192339 /nfs/dbraw/zinc/19/23/39/920192339.db2.gz WMHLYTFCPQDWOO-SNVBAGLBSA-N 0 1 265.338 0.416 20 30 CCEDMN N#Cc1cc(C(=O)NCCN2CC[C@@H](O)C2)cs1 ZINC001335431196 920192347 /nfs/dbraw/zinc/19/23/47/920192347.db2.gz WMHLYTFCPQDWOO-SNVBAGLBSA-N 0 1 265.338 0.416 20 30 CCEDMN C[C@@H](CCS(C)(=O)=O)NC[C@@H](C#N)CCC#N ZINC001335459093 920207669 /nfs/dbraw/zinc/20/76/69/920207669.db2.gz TWEKITXYPYTXDV-WDEREUQCSA-N 0 1 257.359 0.843 20 30 CCEDMN C=CCCOCCNC(=O)N1CCNC[C@H]1CCOC ZINC001335505685 920240442 /nfs/dbraw/zinc/24/04/42/920240442.db2.gz UBBMQWMBXCMQNI-CYBMUJFWSA-N 0 1 285.388 0.599 20 30 CCEDMN N#CCC1CCN(C(=O)CCCc2nn[n-]n2)CC1 ZINC001335989435 920548299 /nfs/dbraw/zinc/54/82/99/920548299.db2.gz DDOVYFXAFFLZTQ-UHFFFAOYSA-N 0 1 262.317 0.675 20 30 CCEDMN N#CCC1CCN(C(=O)CCCc2nn[nH]n2)CC1 ZINC001335989435 920548307 /nfs/dbraw/zinc/54/83/07/920548307.db2.gz DDOVYFXAFFLZTQ-UHFFFAOYSA-N 0 1 262.317 0.675 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CN2CCC(CO)CC2)c1 ZINC001336415485 920761993 /nfs/dbraw/zinc/76/19/93/920761993.db2.gz CFAZQVYIYLNAJG-UHFFFAOYSA-N 0 1 289.335 0.907 20 30 CCEDMN N#Cc1cc(Cl)nc(N2CC(N3CC[C@@H](O)C3)C2)c1 ZINC001339757306 921820090 /nfs/dbraw/zinc/82/00/90/921820090.db2.gz RGVCITJEOTZKDK-LLVKDONJSA-N 0 1 278.743 0.862 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCCCNC2=O)CC1 ZINC001340538426 922113589 /nfs/dbraw/zinc/11/35/89/922113589.db2.gz HXHAFTDGOUJNMX-CYBMUJFWSA-N 0 1 292.383 0.052 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)[C@H](O)c1cccc(F)c1 ZINC001341039159 922324080 /nfs/dbraw/zinc/32/40/80/922324080.db2.gz DSSBXKIELIBJTM-HZMBPMFUSA-N 0 1 264.300 0.587 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@H](C(C)(C)C)[C@H](O)C1 ZINC001341071784 922337565 /nfs/dbraw/zinc/33/75/65/922337565.db2.gz MOYNBPBIXSPTPN-VXGBXAGGSA-N 0 1 252.358 0.465 20 30 CCEDMN CCc1nc([C@@H](C)NCCN(C)C(=O)[C@H](C)C#N)n[nH]1 ZINC001418816358 922433863 /nfs/dbraw/zinc/43/38/63/922433863.db2.gz QDSCNAPHNIQCIC-NXEZZACHSA-N 0 1 278.360 0.636 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@H](C(F)(F)F)O[C@@H](C)C1 ZINC001341580290 922565935 /nfs/dbraw/zinc/56/59/35/922565935.db2.gz UIRVTHRDMLYVSL-UWVGGRQHSA-N 0 1 278.274 0.778 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC)C1CCOCC1 ZINC001341848639 922694678 /nfs/dbraw/zinc/69/46/78/922694678.db2.gz DBVKILBOMDCYCQ-JQWIXIFHSA-N 0 1 268.313 0.273 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](NC(=O)CS(=O)(=O)CC)C1 ZINC001419428979 922823763 /nfs/dbraw/zinc/82/37/63/922823763.db2.gz HAGUAALFPNQHTO-MGCOHNPYSA-N 0 1 294.804 0.410 20 30 CCEDMN COC[C@H](NC(=O)c1cccc(C#N)c1)c1nn[nH]n1 ZINC001419558666 922919227 /nfs/dbraw/zinc/91/92/27/922919227.db2.gz XRGPSPJNNVGPKA-JTQLQIEISA-N 0 1 272.268 0.189 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)CCNC(=O)NC ZINC001419656672 922976113 /nfs/dbraw/zinc/97/61/13/922976113.db2.gz OCSWUSZAMSMSDC-SNVBAGLBSA-N 0 1 290.795 0.495 20 30 CCEDMN N#CC(C(=O)c1cnn2c1OCCC2)c1ccncn1 ZINC001342691031 923053548 /nfs/dbraw/zinc/05/35/48/923053548.db2.gz FPDZPVMPNIJBQX-VIFPVBQESA-N 0 1 269.264 0.946 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1cc(C(N)=O)c[nH]1 ZINC001419750665 923069411 /nfs/dbraw/zinc/06/94/11/923069411.db2.gz YPJLQWYYPAKZPJ-SECBINFHSA-N 0 1 298.774 0.916 20 30 CCEDMN C#CCN1CCC(NC(=O)NOC2CCC2)CC1 ZINC001343100444 923200515 /nfs/dbraw/zinc/20/05/15/923200515.db2.gz WQMHSFQEDGFBHL-UHFFFAOYSA-N 0 1 251.330 0.867 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)[C@@H](C)OC)CC1 ZINC001343097510 923201744 /nfs/dbraw/zinc/20/17/44/923201744.db2.gz GOUKXSHDUWDDMP-NWDGAFQWSA-N 0 1 267.373 0.807 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2CCC[C@@H](C(N)=O)C2)CC1 ZINC001344373850 923588755 /nfs/dbraw/zinc/58/87/55/923588755.db2.gz WVUHRKIWUHPFKC-OLZOCXBDSA-N 0 1 292.379 0.919 20 30 CCEDMN COCCO[C@@H]1COCC[C@H]1NC[C@@H](C#N)CCC#N ZINC001345634568 923934300 /nfs/dbraw/zinc/93/43/00/923934300.db2.gz JAUVPAYXUAPLJX-MGPQQGTHSA-N 0 1 281.356 0.840 20 30 CCEDMN C#CCN1CCC(OC(=O)CCNC(=O)OCC)CC1 ZINC001346161521 924092499 /nfs/dbraw/zinc/09/24/99/924092499.db2.gz SJCCFDRVCQYSRY-UHFFFAOYSA-N 0 1 282.340 0.763 20 30 CCEDMN CCc1nc([C@@H](C)N2CC(NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001421025067 924100653 /nfs/dbraw/zinc/10/06/53/924100653.db2.gz LNIXSZTUDBRBKZ-RKDXNWHRSA-N 0 1 276.344 0.388 20 30 CCEDMN C=C(Cl)CN1CC(CNC(=O)CN2CCCC2)C1 ZINC001421184276 924194493 /nfs/dbraw/zinc/19/44/93/924194493.db2.gz BKRBIVPZLDMEND-UHFFFAOYSA-N 0 1 271.792 0.883 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001346438401 924212816 /nfs/dbraw/zinc/21/28/16/924212816.db2.gz WEVVPKYQLFCBQO-KBPBESRZSA-N 0 1 280.416 0.723 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)CNC(=O)C(C)(C)C ZINC001346549538 924259568 /nfs/dbraw/zinc/25/95/68/924259568.db2.gz YFPOZMPAJAJLNY-SECBINFHSA-N 0 1 262.313 0.759 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)c1cnncc1O ZINC001346932199 924332666 /nfs/dbraw/zinc/33/26/66/924332666.db2.gz DHEBGMVFSDQJKU-UHFFFAOYSA-N 0 1 265.269 0.374 20 30 CCEDMN CCN(C(=O)C(=O)N(C)CC(C)(C)C#N)[C@@H]1CCN(C)C1 ZINC001347001158 924348747 /nfs/dbraw/zinc/34/87/47/924348747.db2.gz ACDCQNRKYFOFHU-GFCCVEGCSA-N 0 1 294.399 0.547 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)NCC(C)(C)CC#N ZINC001347174191 924388775 /nfs/dbraw/zinc/38/87/75/924388775.db2.gz VTEPUMQEYDNHMA-NWDGAFQWSA-N 0 1 264.373 0.431 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CCCOC)CC1 ZINC001479884145 924405179 /nfs/dbraw/zinc/40/51/79/924405179.db2.gz WIPPRPPOPJQBEG-UHFFFAOYSA-N 0 1 252.358 0.969 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001347291424 924413543 /nfs/dbraw/zinc/41/35/43/924413543.db2.gz JJZXTLQUQJIUIQ-DLOVCJGASA-N 0 1 265.313 0.541 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCC2(CCNC2=O)CC1 ZINC001349700029 925026561 /nfs/dbraw/zinc/02/65/61/925026561.db2.gz QVXWKYRYJHIUBP-LLVKDONJSA-N 0 1 266.341 0.706 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@]2(CO)COC[C@@H]3CCCN32)C1 ZINC001349779089 925053066 /nfs/dbraw/zinc/05/30/66/925053066.db2.gz QOGNOPDSORBUQW-XJKSGUPXSA-N 0 1 294.395 0.685 20 30 CCEDMN C#CCCCC(=O)NCCCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001349954220 925104666 /nfs/dbraw/zinc/10/46/66/925104666.db2.gz SJBMPDARVMVIOH-GHMZBOCLSA-N 0 1 281.312 0.078 20 30 CCEDMN C=CCC1(NC(=O)[C@H]2CN3CCN2C[C@@H]3C)CCOCC1 ZINC001350081482 925126505 /nfs/dbraw/zinc/12/65/05/925126505.db2.gz UNHXCPQRULZYJF-UONOGXRCSA-N 0 1 293.411 0.616 20 30 CCEDMN C=C[C@H](CC)CC(=O)NCCCNC(=O)c1cnn[nH]1 ZINC001350266100 925159950 /nfs/dbraw/zinc/15/99/50/925159950.db2.gz JLXLEJBPPREQHY-SNVBAGLBSA-N 0 1 279.344 0.643 20 30 CCEDMN CC#CC[C@H](CO)NCc1cc(C(=O)OCC)n[nH]1 ZINC001350326934 925170404 /nfs/dbraw/zinc/17/04/04/925170404.db2.gz IKXMRXMMEKYWJT-SNVBAGLBSA-N 0 1 265.313 0.450 20 30 CCEDMN C=CCOC[C@H](NC(=O)N[C@@H]1CCC[N@H+](C)C1)C(=O)[O-] ZINC001350758793 925271408 /nfs/dbraw/zinc/27/14/08/925271408.db2.gz LACFIKMQVDDTNI-MNOVXSKESA-N 0 1 285.344 0.036 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCc2nc[nH]c2[C@@H]1c1ccnn1C ZINC001351999231 925504721 /nfs/dbraw/zinc/50/47/21/925504721.db2.gz KGSBFYRSJKKWFN-AAEUAGOBSA-N 0 1 287.323 0.164 20 30 CCEDMN C#CCO[N-]C(=O)[C@]1([NH+](C)C)COc2ccccc2C1 ZINC001352434320 925576047 /nfs/dbraw/zinc/57/60/47/925576047.db2.gz XEOHCWYCEAUUMI-OAHLLOKOSA-N 0 1 274.320 0.603 20 30 CCEDMN C#CCC1(NC(=O)[C@H]2CN3CCN2C[C@@H]3C)CCOCC1 ZINC001352899051 925668246 /nfs/dbraw/zinc/66/82/46/925668246.db2.gz BMDFUJMJMZMHFV-UONOGXRCSA-N 0 1 291.395 0.063 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1nccc2occc21 ZINC001353073141 925722792 /nfs/dbraw/zinc/72/27/92/925722792.db2.gz PTYCAJSOLFORRW-WCBMZHEXSA-N 0 1 256.265 0.669 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cnnn1C ZINC001480452326 925749177 /nfs/dbraw/zinc/74/91/77/925749177.db2.gz BBLRJZWNNYEWQD-QMMMGPOBSA-N 0 1 257.725 0.276 20 30 CCEDMN C=CCCCC(=O)N[C@@H](CNC(=O)c1ncn[nH]1)C1CC1 ZINC001353178643 925751664 /nfs/dbraw/zinc/75/16/64/925751664.db2.gz PBBMNNHOSWYNCC-NSHDSACASA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCCCC(=O)N[C@@H](CNC(=O)c1nc[nH]n1)C1CC1 ZINC001353178643 925751673 /nfs/dbraw/zinc/75/16/73/925751673.db2.gz PBBMNNHOSWYNCC-NSHDSACASA-N 0 1 291.355 0.786 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)c1ccc2c(c1)CC(=O)N2C ZINC001353288757 925785172 /nfs/dbraw/zinc/78/51/72/925785172.db2.gz AKEVJOKMEQTCNF-NSHDSACASA-N 0 1 285.347 0.606 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](C)Oc1ccccc1F ZINC001353381509 925818656 /nfs/dbraw/zinc/81/86/56/925818656.db2.gz IMUFJZSANWMEJW-LLVKDONJSA-N 0 1 264.300 0.932 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C[C@H](C)O)c1ccccc1 ZINC001353431346 925831456 /nfs/dbraw/zinc/83/14/56/925831456.db2.gz BSZDNRFTMGJFFW-ZFWWWQNUSA-N 0 1 274.364 0.880 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H]1c1c(C)n[nH]c1C ZINC001353820100 925938821 /nfs/dbraw/zinc/93/88/21/925938821.db2.gz BISCUSIIJBUELG-GFCCVEGCSA-N 0 1 260.341 0.913 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2ccn(-c3ccncc3)n2)CC1 ZINC001353864812 925949162 /nfs/dbraw/zinc/94/91/62/925949162.db2.gz HSOKLQFDTJQETE-UHFFFAOYSA-N 0 1 296.330 0.868 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCC[N@@H+](CC(=O)[O-])CC1 ZINC001354073840 926008575 /nfs/dbraw/zinc/00/85/75/926008575.db2.gz TVONZCWLVRHIBI-JSGCOSHPSA-N 0 1 296.367 0.587 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)NC(=O)CCc1cnc[nH]1 ZINC001354380047 926097868 /nfs/dbraw/zinc/09/78/68/926097868.db2.gz RLOQLNMFPCFNDK-LLVKDONJSA-N 0 1 288.351 0.377 20 30 CCEDMN C#CCNCC(=O)NCCn1ccc(C(F)(F)F)n1 ZINC001354571442 926131663 /nfs/dbraw/zinc/13/16/63/926131663.db2.gz WYUSJHRFNCIZGK-UHFFFAOYSA-N 0 1 274.246 0.241 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cnccn2)CC1 ZINC001355926801 926325624 /nfs/dbraw/zinc/32/56/24/926325624.db2.gz PKEHNSNGIAGSOK-UHFFFAOYSA-N 0 1 273.340 0.373 20 30 CCEDMN C=CCNC(=O)CNC[C@](O)(CC)C(F)(F)F ZINC001356594495 926408401 /nfs/dbraw/zinc/40/84/01/926408401.db2.gz FRRBJQOEPQJZBT-SECBINFHSA-N 0 1 254.252 0.582 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001357033601 926477720 /nfs/dbraw/zinc/47/77/20/926477720.db2.gz WBCPIRMXONOAIB-SECBINFHSA-N 0 1 279.344 0.499 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001357033601 926477721 /nfs/dbraw/zinc/47/77/21/926477721.db2.gz WBCPIRMXONOAIB-SECBINFHSA-N 0 1 279.344 0.499 20 30 CCEDMN C#CCNCC(=O)NC[C@H](O)c1ccc(OC(C)C)cc1 ZINC001357275739 926506066 /nfs/dbraw/zinc/50/60/66/926506066.db2.gz CXANGHNGDAOBOG-HNNXBMFYSA-N 0 1 290.363 0.846 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)CNC(=O)[C@H]1CCCN1C ZINC001358125159 926642130 /nfs/dbraw/zinc/64/21/30/926642130.db2.gz NNHCRBSMKFKCLO-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC[C@@H](C)NC(=O)Cc1nnc[nH]1 ZINC001358400925 926687756 /nfs/dbraw/zinc/68/77/56/926687756.db2.gz IOZQESNSRCNFAB-SNVBAGLBSA-N 0 1 293.371 0.570 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)C1CN(C(=O)c2ccn[nH]2)C1 ZINC001358743161 926809403 /nfs/dbraw/zinc/80/94/03/926809403.db2.gz TXZFOERPNIYBLW-UHFFFAOYSA-N 0 1 276.340 0.905 20 30 CCEDMN Cn1ncnc1C1(O)CCN([C@@H]2CC[C@@H](C#N)C2)CC1 ZINC001414041032 926893631 /nfs/dbraw/zinc/89/36/31/926893631.db2.gz VAJQPMQFZRMSTJ-VXGBXAGGSA-N 0 1 275.356 0.791 20 30 CCEDMN C[C@@H](NC(=O)C1(C#N)CCOCC1)[C@H]1CN(C)CCN1C ZINC001361900507 927431463 /nfs/dbraw/zinc/43/14/63/927431463.db2.gz JMNSUAQBPDAOJS-CHWSQXEVSA-N 0 1 294.399 0.057 20 30 CCEDMN Cc1nccnc1CN1C[C@@H]2[C@@H](CNC(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001422800822 927523071 /nfs/dbraw/zinc/52/30/71/927523071.db2.gz HWJMLFHSIGFMQB-ZRJCITRHSA-N 0 1 299.378 0.739 20 30 CCEDMN CCOCC(=O)NC[C@H](CO)NCc1cccc(C#N)c1 ZINC001423181916 927716984 /nfs/dbraw/zinc/71/69/84/927716984.db2.gz XGPCQHMPOGKIFS-CQSZACIVSA-N 0 1 291.351 0.161 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)NCc1ccc(C#N)s1 ZINC001362569770 928189509 /nfs/dbraw/zinc/18/95/09/928189509.db2.gz RZKZQTHPJGGSCR-MFKMUULPSA-N 0 1 290.392 0.624 20 30 CCEDMN N#CC1CCC(CNC(=O)CCCc2nn[nH]n2)CC1 ZINC001362727303 928416757 /nfs/dbraw/zinc/41/67/57/928416757.db2.gz QIEKWYWNJQFHGU-UHFFFAOYSA-N 0 1 276.344 0.969 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCc1cncc(C#N)c1 ZINC001362944463 928681059 /nfs/dbraw/zinc/68/10/59/928681059.db2.gz HXYPOKBPVKMEEP-TZMCWYRMSA-N 0 1 274.324 0.025 20 30 CCEDMN Cn1nccc1[C@H]1c2[nH]cnc2CCN1C(=O)[C@@H]1C[C@@H]1C#N ZINC001362947231 928685973 /nfs/dbraw/zinc/68/59/73/928685973.db2.gz ADUYCUKFVMAQCG-RULNRJAQSA-N 0 1 296.334 0.777 20 30 CCEDMN C[C@H](C(=O)N1CCC[C@H]1c1nnc[nH]1)n1cnc(C#N)n1 ZINC001363002668 928746682 /nfs/dbraw/zinc/74/66/82/928746682.db2.gz GCLPGUJIGYFYDE-BDAKNGLRSA-N 0 1 286.299 0.193 20 30 CCEDMN COc1ncc(NC(=O)c2cnncc2O)cc1C#N ZINC001363306045 929096527 /nfs/dbraw/zinc/09/65/27/929096527.db2.gz AYVRTTNWYANFCG-UHFFFAOYSA-N 0 1 271.236 0.710 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@H](NC(=O)CCCc1nn[nH]n1)C2 ZINC001363519464 929325054 /nfs/dbraw/zinc/32/50/54/929325054.db2.gz VSVPEEBPGHUTQE-CYBMUJFWSA-N 0 1 296.334 0.678 20 30 CCEDMN N#CC1(NC(=O)CCc2nc[nH]n2)CCSCC1 ZINC001545827239 929342470 /nfs/dbraw/zinc/34/24/70/929342470.db2.gz FVWSURZRCYKKSG-UHFFFAOYSA-N 0 1 265.342 0.643 20 30 CCEDMN N#Cc1cncc(CNC(=O)[C@H]2CCCc3n[nH]nc32)c1 ZINC001363557307 929360430 /nfs/dbraw/zinc/36/04/30/929360430.db2.gz OHXAQFXXHFUHQB-NSHDSACASA-N 0 1 282.307 0.808 20 30 CCEDMN Cn1c(CNC(=O)[C@@H](CC#N)c2ccccc2)n[nH]c1=O ZINC001363629328 929431235 /nfs/dbraw/zinc/43/12/35/929431235.db2.gz GBTWXFCSHFUHED-NSHDSACASA-N 0 1 285.307 0.834 20 30 CCEDMN C[C@H]1[C@@H](O)CCN1CC(=O)NCc1cccc(C#N)c1 ZINC001363791086 929577536 /nfs/dbraw/zinc/57/75/36/929577536.db2.gz OXZZRYSASAMDLX-FZMZJTMJSA-N 0 1 273.336 0.630 20 30 CCEDMN Cc1[nH]c(C#N)cc1C(=O)NC[C@@H]1CN(C)CCN1C ZINC001443895608 929736055 /nfs/dbraw/zinc/73/60/55/929736055.db2.gz JHIKBAMDJLIHSB-GFCCVEGCSA-N 0 1 275.356 0.170 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)[C@H]1CCCN(C)C1=O ZINC001372685833 929917719 /nfs/dbraw/zinc/91/77/19/929917719.db2.gz YBOYZQXLFOVILY-STQMWFEESA-N 0 1 294.399 0.595 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CCCC(N)=O ZINC001444730519 929942119 /nfs/dbraw/zinc/94/21/19/929942119.db2.gz RJGLKDNFHQVOOX-JTQLQIEISA-N 0 1 275.780 0.831 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccncc1F ZINC001445165605 930059831 /nfs/dbraw/zinc/05/98/31/930059831.db2.gz WXBJYYMHGOXGKL-SECBINFHSA-N 0 1 287.722 0.654 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCCN(CCc2cnn(C)c2)C1 ZINC001373391917 930169520 /nfs/dbraw/zinc/16/95/20/930169520.db2.gz BGZNUQPXPLUPCY-JSGCOSHPSA-N 0 1 289.383 0.703 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H]1COCCN1CC ZINC001445572639 930174404 /nfs/dbraw/zinc/17/44/04/930174404.db2.gz RJIRPDHJOKOPLU-NWDGAFQWSA-N 0 1 289.807 0.554 20 30 CCEDMN CCc1nc([C@H](C)N2CC(CNC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001373504230 930223552 /nfs/dbraw/zinc/22/35/52/930223552.db2.gz RKVWHICZQLAUKQ-ZJUUUORDSA-N 0 1 290.371 0.636 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001445964069 930297443 /nfs/dbraw/zinc/29/74/43/930297443.db2.gz XOKMIHSUYLLHHI-NDBYEHHHSA-N 0 1 292.383 0.251 20 30 CCEDMN CC(C)N1CC[C@H](NC2(CNC(=O)[C@H](C)C#N)CC2)C1=O ZINC001446154560 930353489 /nfs/dbraw/zinc/35/34/89/930353489.db2.gz AWAPGTLYRILACE-NEPJUHHUSA-N 0 1 292.383 0.394 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc(CC)n[nH]1 ZINC001374582274 930571224 /nfs/dbraw/zinc/57/12/24/930571224.db2.gz BZDUYNHMZLPMDM-JTQLQIEISA-N 0 1 286.763 0.405 20 30 CCEDMN CCCNC(=O)CN1CCC[C@@H](NC(=O)[C@@H](C)C#N)CC1 ZINC001447294952 930602020 /nfs/dbraw/zinc/60/20/20/930602020.db2.gz BPUYEXFZSWHNOM-QWHCGFSZSA-N 0 1 294.399 0.643 20 30 CCEDMN C[C@H](CN(C)CCNC(=O)C1CC1)NC(=O)[C@@H](C)C#N ZINC001375015140 930762338 /nfs/dbraw/zinc/76/23/38/930762338.db2.gz DHQPCRYBNMGVMQ-WDEREUQCSA-N 0 1 280.372 0.109 20 30 CCEDMN N#Cc1ccc(NCC(=O)NCCN2CCC2)nc1 ZINC001546005266 930878702 /nfs/dbraw/zinc/87/87/02/930878702.db2.gz YPQMRVUEPSDAEG-UHFFFAOYSA-N 0 1 259.313 0.187 20 30 CCEDMN COCC(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001376107289 931052018 /nfs/dbraw/zinc/05/20/18/931052018.db2.gz WTWKDWGJTSRZAI-CQSZACIVSA-N 0 1 273.336 0.895 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@@H](CC)OC ZINC001449109573 931072911 /nfs/dbraw/zinc/07/29/11/931072911.db2.gz JCGNEMOHSIQMHI-GHMZBOCLSA-N 0 1 278.780 0.573 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CC12CCN(CC(=O)N(C)C)CC2 ZINC001376172016 931077166 /nfs/dbraw/zinc/07/71/66/931077166.db2.gz CGQQCQLAWLBWPR-NEPJUHHUSA-N 0 1 292.383 0.205 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC(F)F)C[C@H](C)O)CC1 ZINC001449646690 931173660 /nfs/dbraw/zinc/17/36/60/931173660.db2.gz NFJVKUSZWGWZSK-NSHDSACASA-N 0 1 288.338 0.806 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@@H](CC)NC(C)=O ZINC001376798584 931278966 /nfs/dbraw/zinc/27/89/66/931278966.db2.gz HWFWJQGJNQWASF-MWLCHTKSSA-N 0 1 275.780 0.748 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CN(C(=O)c2cccc3[nH]cnc32)C1 ZINC001450495677 931299825 /nfs/dbraw/zinc/29/98/25/931299825.db2.gz MOBUZMPGNKTCRO-SECBINFHSA-N 0 1 297.318 0.663 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](CCCO)C2)CC1 ZINC001451388130 931427604 /nfs/dbraw/zinc/42/76/04/931427604.db2.gz PISAKLKIAMBROL-AWEZNQCLSA-N 0 1 278.396 0.953 20 30 CCEDMN C#C[C@@H]1CCCC[N@@H+]1[C@H]1CCN(CC(=O)[O-])C1=O ZINC001602673635 971241735 /nfs/dbraw/zinc/24/17/35/971241735.db2.gz YYHGRBGARHCBTJ-MNOVXSKESA-N 0 1 250.298 0.160 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1c[nH]c(-c2nn[nH]n2)c1 ZINC001573282583 946695939 /nfs/dbraw/zinc/69/59/39/946695939.db2.gz KSGINKSMMLBPMJ-NSHDSACASA-N 0 1 299.338 0.022 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@@H]1CC[C@@H]2CCCC[N@H+]21 ZINC001602683099 971272056 /nfs/dbraw/zinc/27/20/56/971272056.db2.gz YSBTZWQCLITADM-RYUDHWBXSA-N 0 1 264.325 0.550 20 30 CCEDMN C=CC[C@@H](C(=O)OC)[N@H+](CCOC)CCC(=O)[O-] ZINC001602718708 971376256 /nfs/dbraw/zinc/37/62/56/971376256.db2.gz SSFMEWFCMXUROY-JTQLQIEISA-N 0 1 259.302 0.527 20 30 CCEDMN C=CC[C@@H](C(=O)OC)[N@@H+](CCOC)CCC(=O)[O-] ZINC001602718708 971376259 /nfs/dbraw/zinc/37/62/59/971376259.db2.gz SSFMEWFCMXUROY-JTQLQIEISA-N 0 1 259.302 0.527 20 30 CCEDMN COC[C@](C)([NH2+]CC(=O)Nc1sccc1C#N)C(=O)[O-] ZINC000328574210 971509720 /nfs/dbraw/zinc/50/97/20/971509720.db2.gz WPLABKXTZSYFHY-LBPRGKRZSA-N 0 1 297.336 0.638 20 30 CCEDMN CCC[N@H+](CC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001589667592 949907508 /nfs/dbraw/zinc/90/75/08/949907508.db2.gz CYFNWUZTCBDPFV-UHFFFAOYSA-N 0 1 253.302 0.345 20 30 CCEDMN CCC[N@@H+](CC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001589667592 949907528 /nfs/dbraw/zinc/90/75/28/949907528.db2.gz CYFNWUZTCBDPFV-UHFFFAOYSA-N 0 1 253.302 0.345 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NC1C[C@H]2CC[C@@H](C1)[N@@H+]2C ZINC000322644486 951032936 /nfs/dbraw/zinc/03/29/36/951032936.db2.gz YYAXWRSFCKMRFZ-YOGCLGLASA-N 0 1 279.340 0.341 20 30 CCEDMN C[C@H]1CN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC[N@H+](C2CC2)C1 ZINC001589367058 954204175 /nfs/dbraw/zinc/20/41/75/954204175.db2.gz UCFHDWWDLWUIBL-ABAIWWIYSA-N 0 1 293.367 0.934 20 30 CCEDMN CC[C@H](C(=O)[O-])[N@@H+](C)C[C@@H](O)CC1(C#N)CCOCC1 ZINC001589440671 954705609 /nfs/dbraw/zinc/70/56/09/954705609.db2.gz DPMZUEXMYQBIIG-NWDGAFQWSA-N 0 1 284.356 0.853 20 30 CCEDMN COC[C@]1(C(=O)[O-])C[N@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001593984503 956750221 /nfs/dbraw/zinc/75/02/21/956750221.db2.gz QIEPTUWCDHAMSJ-HNNXBMFYSA-N 0 1 290.319 0.860 20 30 CCEDMN COC[C@]1(C(=O)[O-])C[N@@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001593984503 956750230 /nfs/dbraw/zinc/75/02/30/956750230.db2.gz QIEPTUWCDHAMSJ-HNNXBMFYSA-N 0 1 290.319 0.860 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)[C@H]1CCC[N@H+](CCC)C1 ZINC001588399446 958072697 /nfs/dbraw/zinc/07/26/97/958072697.db2.gz KEUQWWVMLMNUJR-LBPRGKRZSA-N 0 1 266.341 0.655 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)N[C@H]1C[N@@H+](C2CC2)C[C@@H]1C ZINC001588410136 958135876 /nfs/dbraw/zinc/13/58/76/958135876.db2.gz PLEULUOQXTTYFA-JQWIXIFHSA-N 0 1 279.340 0.199 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CC[C@H](C(=O)[O-])[C@@H]1C ZINC000092924282 958192927 /nfs/dbraw/zinc/19/29/27/958192927.db2.gz DRAFIJRGAKYRDE-RYUDHWBXSA-N 0 1 266.341 0.982 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H]1CCC[C@@]2(CCOC2)O1 ZINC001588423630 958205459 /nfs/dbraw/zinc/20/54/59/958205459.db2.gz AAIJWKLZQWHOQY-JSGCOSHPSA-N 0 1 267.325 0.734 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H]1CCC[C@@]2(CCOC2)O1 ZINC001588423630 958205468 /nfs/dbraw/zinc/20/54/68/958205468.db2.gz AAIJWKLZQWHOQY-JSGCOSHPSA-N 0 1 267.325 0.734 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1[C@@H]2CCCC[C@H]2C[C@H]1C(=O)[O-] ZINC001588429779 958251693 /nfs/dbraw/zinc/25/16/93/958251693.db2.gz CIIFWXTZGDPEJY-XQQFMLRXSA-N 0 1 278.352 0.844 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@H](C(=O)[O-])C(C)C)CC1 ZINC001588431258 958265828 /nfs/dbraw/zinc/26/58/28/958265828.db2.gz VKZHLJHXNGPQBL-GFCCVEGCSA-N 0 1 266.341 0.511 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@H](C(=O)[O-])C(C)C)CC1 ZINC001588431258 958265846 /nfs/dbraw/zinc/26/58/46/958265846.db2.gz VKZHLJHXNGPQBL-GFCCVEGCSA-N 0 1 266.341 0.511 20 30 CCEDMN C=C[C@H](C(=O)OC)[N@@H+]1CC[C@](COC)(C(=O)[O-])C1 ZINC001588450396 958392412 /nfs/dbraw/zinc/39/24/12/958392412.db2.gz IMEMDBFXFHNGTN-SKDRFNHKSA-N 0 1 257.286 0.137 20 30 CCEDMN C=C[C@H](C(=O)OC)[N@H+]1CC[C@](COC)(C(=O)[O-])C1 ZINC001588450396 958392424 /nfs/dbraw/zinc/39/24/24/958392424.db2.gz IMEMDBFXFHNGTN-SKDRFNHKSA-N 0 1 257.286 0.137 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N[C@@]12CC[N@@H+](C1)CCC2 ZINC001573362469 958598553 /nfs/dbraw/zinc/59/85/53/958598553.db2.gz BRZUXXGITUTADJ-STQMWFEESA-N 0 1 265.313 0.345 20 30 CCEDMN Cn1nc(C(=O)[O-])cc1C[NH2+]CC1(C#N)CCOCC1 ZINC001574467912 961595573 /nfs/dbraw/zinc/59/55/73/961595573.db2.gz YGDYERZIQKFTEF-UHFFFAOYSA-N 0 1 278.312 0.528 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@H]2CC[C@@H](C1)N2C(=O)CNC(=O)[O-] ZINC001573323883 962777855 /nfs/dbraw/zinc/77/78/55/962777855.db2.gz IYSBNPNKDNYXDF-TXEJJXNPSA-N 0 1 281.356 0.895 20 30 CCEDMN C=C(C)CC[NH+]1CC(NC(=O)[C@H]2C[C@H]2C(=O)[O-])C1 ZINC001573324562 962786759 /nfs/dbraw/zinc/78/67/59/962786759.db2.gz UXEONNGMJSTKEJ-WDEREUQCSA-N 0 1 252.314 0.474 20 30 CCEDMN C=C(Cl)C[N@@H+]1CC[C@@H](CCNC(=O)CNC(=O)[O-])C1 ZINC001573338465 962875059 /nfs/dbraw/zinc/87/50/59/962875059.db2.gz BMRRHMVKAAVXQM-SNVBAGLBSA-N 0 1 289.763 0.835 20 30 CCEDMN C=CCCC[N@@H+]1CC[C@H](NC(=O)CNC(=O)[O-])[C@H]1C ZINC001573365300 963052335 /nfs/dbraw/zinc/05/23/35/963052335.db2.gz FAIQHOCYHLVCPS-MNOVXSKESA-N 0 1 269.345 0.799 20 30 CCEDMN C#CCC[N@@H+]1CC=C(CNC(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588447739 964090874 /nfs/dbraw/zinc/09/08/74/964090874.db2.gz OWAVBKAJZXJIRR-QWHCGFSZSA-N 0 1 276.336 0.479 20 30 CCEDMN N#CC1(CC(=O)OCC[N@@H+]2CCC[C@@H]2C(=O)[O-])CC1 ZINC001605575760 973840197 /nfs/dbraw/zinc/84/01/97/973840197.db2.gz DVFVFVBXYZCYAG-SNVBAGLBSA-N 0 1 266.297 0.772 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)c2cc(C(=O)[O-])on2)C1 ZINC001588477202 983514909 /nfs/dbraw/zinc/51/49/09/983514909.db2.gz WFQQZAFMFCOXKK-VIFPVBQESA-N 0 1 277.280 0.200 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2cc(C(=O)[O-])on2)C1 ZINC001588477202 983514911 /nfs/dbraw/zinc/51/49/11/983514911.db2.gz WFQQZAFMFCOXKK-VIFPVBQESA-N 0 1 277.280 0.200 20 30 CCEDMN C#CCNC(=O)CC[N@H+](C)Cc1ccc(C(=O)[O-])cc1 ZINC001588479362 983519294 /nfs/dbraw/zinc/51/92/94/983519294.db2.gz KVQJJKCOQNGONI-UHFFFAOYSA-N 0 1 274.320 0.956 20 30 CCEDMN C=C(C)C[NH+]1CCN(C(=O)[C@H]2C[C@H]2C(=O)[O-])CC1 ZINC001588585419 983663412 /nfs/dbraw/zinc/66/34/12/983663412.db2.gz QNZIIURZWFETAQ-WDEREUQCSA-N 0 1 252.314 0.427 20 30 CCEDMN C=C[C@H](C(=O)[O-])[NH+]1CCC(N2CCN(C)CC2)CC1 ZINC001588663069 983793090 /nfs/dbraw/zinc/79/30/90/983793090.db2.gz JGNBOWBKNSZXGF-CYBMUJFWSA-N 0 1 267.373 0.337 20 30 CCEDMN C=C[C@@H](C(=O)[O-])n1cc(Cn2cc[nH+]c2CC)nn1 ZINC001588671475 983820409 /nfs/dbraw/zinc/82/04/09/983820409.db2.gz AXFFHZOPVYEDHO-JTQLQIEISA-N 0 1 261.285 0.897 20 30 CCEDMN C=C[C@H]([NH2+]CC(=O)NCCc1ccccc1)C(=O)[O-] ZINC001588694306 983895434 /nfs/dbraw/zinc/89/54/34/983895434.db2.gz AGYDYTSAHMNROJ-LBPRGKRZSA-N 0 1 262.309 0.574 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1C[C@]2(C)CS(=O)(=O)C[C@]2(C)C1 ZINC001588731150 984006030 /nfs/dbraw/zinc/00/60/30/984006030.db2.gz CWQZPTUAKDPLGA-RTXFEEFZSA-N 0 1 287.381 0.772 20 30 CCEDMN C=CCCC[C@H](NC(=O)C1CN(C)CC[N@@H+](C)C1)C(=O)[O-] ZINC001588789501 984198420 /nfs/dbraw/zinc/19/84/20/984198420.db2.gz CXLWFQXFYYOVDJ-ZDUSSCGKSA-N 0 1 297.399 0.406 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CC[C@H](C(=O)[O-])[C@H]2C)C1=O ZINC001588839021 984343835 /nfs/dbraw/zinc/34/38/35/984343835.db2.gz NFSVGOOERVKASZ-VWYCJHECSA-N 0 1 252.314 0.568 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]([C@@H](C)C(=O)[O-])C1CCC1 ZINC001588843858 984354343 /nfs/dbraw/zinc/35/43/43/984354343.db2.gz JPYBFGRIIHFFOP-VIFPVBQESA-N 0 1 283.328 0.326 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]([C@@H](C)C(=O)[O-])C1CCC1 ZINC001588843858 984354346 /nfs/dbraw/zinc/35/43/46/984354346.db2.gz JPYBFGRIIHFFOP-VIFPVBQESA-N 0 1 283.328 0.326 20 30 CCEDMN CC#CCCCC(=O)O[C@@H]1C[C@@H](C(=O)[O-])[N@H+](C)C1 ZINC001588926879 984594579 /nfs/dbraw/zinc/59/45/79/984594579.db2.gz BDQRVUYRRBZDMG-MNOVXSKESA-N 0 1 253.298 0.881 20 30 CCEDMN CC#CCCCC(=O)O[C@@H]1C[C@@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588926879 984594580 /nfs/dbraw/zinc/59/45/80/984594580.db2.gz BDQRVUYRRBZDMG-MNOVXSKESA-N 0 1 253.298 0.881 20 30 CCEDMN CC#CCC[N@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001588927325 984597878 /nfs/dbraw/zinc/59/78/78/984597878.db2.gz SSJHGDUGLGBPFK-QWRGUYRKSA-N 0 1 253.298 0.738 20 30 CCEDMN CC#CCC[N@@H+]1CC[C@H](C(=O)[O-])C[C@H]1C(=O)OC ZINC001588927325 984597881 /nfs/dbraw/zinc/59/78/81/984597881.db2.gz SSJHGDUGLGBPFK-QWRGUYRKSA-N 0 1 253.298 0.738 20 30 CCEDMN C[C@H]1C[N@@H+](CC(=O)NC2(C#N)CCC2)C[C@]1(C)C(=O)[O-] ZINC001599927869 985239341 /nfs/dbraw/zinc/23/93/41/985239341.db2.gz MKQRBHYKDJUGJU-GWCFXTLKSA-N 0 1 279.340 0.591 20 30 CCEDMN C[C@H](C#N)C[N@H+](CCC(=O)N(C)CC(=O)[O-])C1CC1 ZINC001589330861 986179571 /nfs/dbraw/zinc/17/95/71/986179571.db2.gz SLWYFXODUFNKJN-SNVBAGLBSA-N 0 1 267.329 0.544 20 30 CCEDMN C[C@H](C#N)C[N@@H+](CCC(=O)N(C)CC(=O)[O-])C1CC1 ZINC001589330861 986179573 /nfs/dbraw/zinc/17/95/73/986179573.db2.gz SLWYFXODUFNKJN-SNVBAGLBSA-N 0 1 267.329 0.544 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@H](C)[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001589378919 986451636 /nfs/dbraw/zinc/45/16/36/986451636.db2.gz VTBRDNOQFJAQEV-RSPOJEKHSA-N 0 1 293.367 0.692 20 30 CCEDMN CN(CC(=O)[O-])C(=O)CC[N@@H+](C)Cc1cc(C#N)cs1 ZINC001598469094 991996158 /nfs/dbraw/zinc/99/61/58/991996158.db2.gz SINHFESGVVBZBR-UHFFFAOYSA-N 0 1 295.364 0.985 20 30 CCEDMN C[N@H+]1CCN(CC#Cc2ccccc2)C[C@H](C(=O)[O-])C1 ZINC001598615131 993865266 /nfs/dbraw/zinc/86/52/66/993865266.db2.gz HMMHSFIURVKDLM-OAHLLOKOSA-N 0 1 272.348 0.986 20 30 CCEDMN C[C@H](c1cccc(C#N)c1)[N@H+](C)CC(=O)NCC(=O)[O-] ZINC001593698114 996161111 /nfs/dbraw/zinc/16/11/11/996161111.db2.gz GCGMKYUVYIQCMY-SNVBAGLBSA-N 0 1 275.308 0.752 20 30 CCEDMN C[C@H](c1cccc(C#N)c1)[N@@H+](C)CC(=O)NCC(=O)[O-] ZINC001593698114 996161113 /nfs/dbraw/zinc/16/11/13/996161113.db2.gz GCGMKYUVYIQCMY-SNVBAGLBSA-N 0 1 275.308 0.752 20 30 CCEDMN COCC(COC)[NH2+][C@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC001599166945 998555859 /nfs/dbraw/zinc/55/58/59/998555859.db2.gz QWTIXJLSGLPMBX-ZDUSSCGKSA-N 0 1 278.308 0.935 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN[C@H](CO)[C@@H]1CCCO1 ZINC000447907594 260160948 /nfs/dbraw/zinc/16/09/48/260160948.db2.gz AAODULAIWVSIIE-DYEKYZERSA-N 0 1 283.372 0.170 20 30 CCEDMN C[C@H]1C[C@@H]1c1cc(=NC(=O)N=c2[nH]n(C)cc2C#N)[nH][nH]1 ZINC000617277173 365588364 /nfs/dbraw/zinc/58/83/64/365588364.db2.gz ZUSXCZGVMILGOB-CBAPKCEASA-N 0 1 285.311 0.626 20 30 CCEDMN N#CCc1ccc(NC(=O)NCCN2CC[C@H](O)C2)cc1 ZINC000599706115 361793624 /nfs/dbraw/zinc/79/36/24/361793624.db2.gz CSWVWJCMTIWYEN-AWEZNQCLSA-N 0 1 288.351 0.941 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H](N3CC[C@H](O)C3)C2)s1 ZINC000599939562 361836443 /nfs/dbraw/zinc/83/64/43/361836443.db2.gz XFOBMURSFYVGCW-QWRGUYRKSA-N 0 1 291.376 0.901 20 30 CCEDMN N#Cc1cccc2c1CN(C(=O)NC[C@H]1COCCN1)C2 ZINC000599941925 361837762 /nfs/dbraw/zinc/83/77/62/361837762.db2.gz VYQKGUWHJYTTAQ-ZDUSSCGKSA-N 0 1 286.335 0.572 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)C(=O)NCC(C)(C)CC#N ZINC000599946133 361838637 /nfs/dbraw/zinc/83/86/37/361838637.db2.gz SWPXFJIJNOSYGH-GFCCVEGCSA-N 0 1 294.399 0.499 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)C(=O)NCCCCC#N ZINC000599953959 361841177 /nfs/dbraw/zinc/84/11/77/361841177.db2.gz ANAUECOPWJLAPM-LBPRGKRZSA-N 0 1 280.372 0.253 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](C(=O)OC)N(C(=O)[C@H](C)C#N)C1 ZINC000600322038 361930111 /nfs/dbraw/zinc/93/01/11/361930111.db2.gz DBIXWVNGSWRBMO-BBBLOLIVSA-N 0 1 282.296 0.099 20 30 CCEDMN CN(CC(=O)N1CCO[C@@H](C#N)C1)[C@H]1CCSC1 ZINC000408004307 260169382 /nfs/dbraw/zinc/16/93/82/260169382.db2.gz KNSSUINITVSZDF-QWRGUYRKSA-N 0 1 269.370 0.175 20 30 CCEDMN CC[C@H]1CN(c2nccnc2C#N)CCN1C[C@@H](C)O ZINC000105790864 349723458 /nfs/dbraw/zinc/72/34/58/349723458.db2.gz FPSVAECABWEVQM-NEPJUHHUSA-N 0 1 275.356 0.630 20 30 CCEDMN N#CC1(C(=O)NCCN2CC[C@H](O)C2)CCCCC1 ZINC000600809590 362067072 /nfs/dbraw/zinc/06/70/72/362067072.db2.gz VRRCYCFXPBJFDE-LBPRGKRZSA-N 0 1 265.357 0.643 20 30 CCEDMN C[C@@H]1CN(S(=O)(=O)c2ncc[nH]2)C[C@@H](C)N1CC#N ZINC000601492976 362289868 /nfs/dbraw/zinc/28/98/68/362289868.db2.gz WJLYOMOLQMAZMX-NXEZZACHSA-N 0 1 283.357 0.017 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)c2n[nH]cc2C(F)(F)F)C1 ZINC000601651860 362340874 /nfs/dbraw/zinc/34/08/74/362340874.db2.gz JOYPHHUNPXIZOW-JTQLQIEISA-N 0 1 288.229 0.919 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CCc1cnc[nH]1)C(=O)OC ZINC000601785775 362393052 /nfs/dbraw/zinc/39/30/52/362393052.db2.gz CMUQIFCYPLCLNR-LLVKDONJSA-N 0 1 265.313 0.966 20 30 CCEDMN N#CCCn1ccc(=NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)[nH]1 ZINC000602100487 362488576 /nfs/dbraw/zinc/48/85/76/362488576.db2.gz BJRUBJMYQZUBPJ-NXEZZACHSA-N 0 1 270.296 0.684 20 30 CCEDMN CCOC(=O)c1cc(CNC2CCN(CC#N)CC2)on1 ZINC000602538331 362665858 /nfs/dbraw/zinc/66/58/58/362665858.db2.gz KEICGRUZLNXJBP-UHFFFAOYSA-N 0 1 292.339 0.929 20 30 CCEDMN C[C@H]1CN(Cc2cccc(C#N)n2)[C@@H](C)CN1CCO ZINC000602695778 362755258 /nfs/dbraw/zinc/75/52/58/362755258.db2.gz NVVGTCZQYVFIDO-STQMWFEESA-N 0 1 274.368 0.840 20 30 CCEDMN C[C@@H]1C(=O)N(C)[C@H](C)[C@@H](C)N1CCC(=O)N(C)CCC#N ZINC000602847780 362834792 /nfs/dbraw/zinc/83/47/92/362834792.db2.gz KNLZKNRKJUNDQB-JHJVBQTASA-N 0 1 294.399 0.688 20 30 CCEDMN COC(=O)[C@H]1CN(C)CC[N@H+](CC2(CC#N)CC2)C1 ZINC000602839150 362827742 /nfs/dbraw/zinc/82/77/42/362827742.db2.gz HVSJLWSLEAPOFH-LBPRGKRZSA-N 0 1 265.357 0.717 20 30 CCEDMN COC[C@H](C)OC[C@H](O)CN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000602855717 362842081 /nfs/dbraw/zinc/84/20/81/362842081.db2.gz WFOUSZTYYUTXML-ZQDZILKHSA-N 0 1 299.415 0.317 20 30 CCEDMN C[C@H]1C[C@@H](NS(=O)(=O)c2cncc(C#N)c2)CCN1C ZINC000312607286 137077526 /nfs/dbraw/zinc/07/75/26/137077526.db2.gz FLGXGCGZBZVYSB-JQWIXIFHSA-N 0 1 294.380 0.714 20 30 CCEDMN Cc1cc(N2CCN(C[C@@H](C)O)CC2)cc(C#N)n1 ZINC000343001111 137108907 /nfs/dbraw/zinc/10/89/07/137108907.db2.gz PQCYQLOERKVSLD-GFCCVEGCSA-N 0 1 260.341 0.765 20 30 CCEDMN C[C@@H](CC#N)NCC1=Nc2ccccc2S(=O)(=O)N1 ZINC000602870738 362852611 /nfs/dbraw/zinc/85/26/11/362852611.db2.gz WDUKCGSWJRIUTJ-VIFPVBQESA-N 0 1 278.337 0.900 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)[C@H](C)O1 ZINC000602884173 362862970 /nfs/dbraw/zinc/86/29/70/362862970.db2.gz VNTOYGDCPFIWKU-WEDXCCLWSA-N 0 1 263.301 0.401 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@H](CCO)CC2)[nH]1 ZINC000602886687 362866120 /nfs/dbraw/zinc/86/61/20/362866120.db2.gz MKGGPAFLHQHAMI-LLVKDONJSA-N 0 1 291.355 0.730 20 30 CCEDMN C[C@@H]1C[C@H](CNC(=O)N=c2[nH]n(C)cc2C#N)[C@H](C)O1 ZINC000602888413 362867436 /nfs/dbraw/zinc/86/74/36/362867436.db2.gz URIRSWDCILMEKK-KXUCPTDWSA-N 0 1 277.328 0.649 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@H](CCCO)C2)[nH]1 ZINC000602878916 362859236 /nfs/dbraw/zinc/85/92/36/362859236.db2.gz CIJJQLCEZIUUBW-SNVBAGLBSA-N 0 1 277.328 0.340 20 30 CCEDMN CO[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H]1C ZINC000602880835 362860582 /nfs/dbraw/zinc/86/05/82/362860582.db2.gz MVWOGEGGRXQBRW-KOLCDFICSA-N 0 1 277.328 0.602 20 30 CCEDMN CCN1C[C@@H](C)N(C(=O)Cn2cnc(C#N)n2)C[C@@H]1C ZINC000345970121 137262961 /nfs/dbraw/zinc/26/29/61/137262961.db2.gz UFPACISYVUVLEE-WDEREUQCSA-N 0 1 276.344 0.091 20 30 CCEDMN C#CCN1CCCN(CC(=O)N(CC)CC)CC1 ZINC000045944113 348346222 /nfs/dbraw/zinc/34/62/22/348346222.db2.gz NKOONODFAWZFOY-UHFFFAOYSA-N 0 1 251.374 0.496 20 30 CCEDMN C=CCNC(=O)NCc1ccnc(N2CCN(C)CC2)c1 ZINC000047605808 348381918 /nfs/dbraw/zinc/38/19/18/348381918.db2.gz PKMQLCHXGRDYGO-UHFFFAOYSA-N 0 1 289.383 0.819 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@](O)(CC)C1 ZINC000602982839 362922240 /nfs/dbraw/zinc/92/22/40/362922240.db2.gz YYFDGPNXKVGKAD-AWEZNQCLSA-N 0 1 267.373 0.985 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](C(=O)NCCC)C1 ZINC000051002653 348483874 /nfs/dbraw/zinc/48/38/74/348483874.db2.gz PNKLXWZGWIAUMC-LBPRGKRZSA-N 0 1 267.373 0.527 20 30 CCEDMN CCN(C)CCNS(=O)(=O)c1ccc(C#N)cc1 ZINC000050166166 348469103 /nfs/dbraw/zinc/46/91/03/348469103.db2.gz HISKKPOJPZFTJN-UHFFFAOYSA-N 0 1 267.354 0.788 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCN(CC2CC2)CC1)C1CC1 ZINC000052164766 348505877 /nfs/dbraw/zinc/50/58/77/348505877.db2.gz JPTDOCZZRUWTAZ-INIZCTEOSA-N 0 1 290.411 0.822 20 30 CCEDMN CN(C)C(=O)CCN1CCN(c2ccc(C#N)cn2)CC1 ZINC000060480591 348644764 /nfs/dbraw/zinc/64/47/64/348644764.db2.gz REEWKGCIEFVADX-UHFFFAOYSA-N 0 1 287.367 0.554 20 30 CCEDMN C[C@H](Oc1ccc(C#N)cc1)C(=O)N1CCNCC1 ZINC000070143023 348873395 /nfs/dbraw/zinc/87/33/95/348873395.db2.gz CVOLSSXQOCCHTO-NSHDSACASA-N 0 1 259.309 0.757 20 30 CCEDMN Cc1nnc(SCC(=O)N[C@@H](C#N)C(C)C)[nH]1 ZINC000073495229 348953159 /nfs/dbraw/zinc/95/31/59/348953159.db2.gz JTPHXPXIBAVADR-QMMMGPOBSA-N 0 1 253.331 0.870 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N[C@@H](C#N)C(C)C)n1 ZINC000073495229 348953162 /nfs/dbraw/zinc/95/31/62/348953162.db2.gz JTPHXPXIBAVADR-QMMMGPOBSA-N 0 1 253.331 0.870 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(OC)CC1 ZINC000079933191 349196006 /nfs/dbraw/zinc/19/60/06/349196006.db2.gz DENHXZDEUXBEBZ-UHFFFAOYSA-N 0 1 253.346 0.859 20 30 CCEDMN CC(C)(O)CN1CCN(c2cccc(C#N)n2)CC1 ZINC000080119040 349202221 /nfs/dbraw/zinc/20/22/21/349202221.db2.gz UHCOZNICSOWNPE-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN N#Cc1cc([O-])cc(C(=O)N[C@H](CO)C[NH+]2CCCC2)c1 ZINC000603051421 362946733 /nfs/dbraw/zinc/94/67/33/362946733.db2.gz CWKUNZSFRCYVFB-ZDUSSCGKSA-N 0 1 289.335 0.450 20 30 CCEDMN N#Cc1cc(F)ccc1S(=O)(=O)NCCc1nc[nH]n1 ZINC000125856935 350131140 /nfs/dbraw/zinc/13/11/40/350131140.db2.gz RYQGTWKARMZWKH-UHFFFAOYSA-N 0 1 295.299 0.336 20 30 CCEDMN N#Cc1ccc(OC2CN(C(=O)CCc3nc[nH]n3)C2)cc1 ZINC000130512836 350239554 /nfs/dbraw/zinc/23/95/54/350239554.db2.gz GSVPHURGANWISH-UHFFFAOYSA-N 0 1 297.318 0.899 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)CC(=O)NC1CC1 ZINC000153250635 350555855 /nfs/dbraw/zinc/55/58/55/350555855.db2.gz BWOPZFDFCQBDQL-UHFFFAOYSA-N 0 1 276.344 0.007 20 30 CCEDMN CCNCc1cn(CC(=O)Nc2cccc(C#N)c2)nn1 ZINC000603161104 362977843 /nfs/dbraw/zinc/97/78/43/362977843.db2.gz IOGRXPLLTITJJX-UHFFFAOYSA-N 0 1 284.323 0.898 20 30 CCEDMN CCS(=O)(=O)NCCNCc1ccc(OC)c(C#N)c1 ZINC000174310491 351078462 /nfs/dbraw/zinc/07/84/62/351078462.db2.gz CUEGJTNOYXPKBO-UHFFFAOYSA-N 0 1 297.380 0.596 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CC[C@@H](CN3CCOCC3)C2)c1 ZINC000175874773 351146269 /nfs/dbraw/zinc/14/62/69/351146269.db2.gz OKGUURYVIRAONA-LBPRGKRZSA-N 0 1 288.351 0.681 20 30 CCEDMN COC(=O)CCC1CCN(CC(=O)NCC#N)CC1 ZINC000531478756 358464258 /nfs/dbraw/zinc/46/42/58/358464258.db2.gz OXEXOGMDCSPICA-UHFFFAOYSA-N 0 1 267.329 0.291 20 30 CCEDMN C[C@H]1CN(C(=O)c2c[nH]c(C#N)c2)CCN1CC(C)(C)O ZINC000186939567 351522605 /nfs/dbraw/zinc/52/26/05/351522605.db2.gz NARCLDBESBGVLS-NSHDSACASA-N 0 1 290.367 0.804 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCCN(CC)C(=O)C2)CC1 ZINC000188091002 351572432 /nfs/dbraw/zinc/57/24/32/351572432.db2.gz AVJWTXGZIWAQOZ-UHFFFAOYSA-N 0 1 291.395 0.412 20 30 CCEDMN Cc1cc(C#N)ccc1S(=O)(=O)NCCc1nc[nH]n1 ZINC000227558433 352054760 /nfs/dbraw/zinc/05/47/60/352054760.db2.gz FYHUOVDMZIENAA-UHFFFAOYSA-N 0 1 291.336 0.506 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+]1CCC[C@@H](CCO)C1)C1CC1 ZINC000225996419 352018735 /nfs/dbraw/zinc/01/87/35/352018735.db2.gz GMKUTDVPZMFBNN-WFASDCNBSA-N 0 1 279.384 0.889 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCC[C@@H](CCO)C1)C1CC1 ZINC000225996419 352018738 /nfs/dbraw/zinc/01/87/38/352018738.db2.gz GMKUTDVPZMFBNN-WFASDCNBSA-N 0 1 279.384 0.889 20 30 CCEDMN C=CCNC(=O)[C@H](C)[N@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000227106313 352037812 /nfs/dbraw/zinc/03/78/12/352037812.db2.gz WXCHGEHTGFDHEO-QWRGUYRKSA-N 0 1 254.330 0.864 20 30 CCEDMN CN1CCN(C(=O)NC2CCN(CC#N)CC2)CC1(C)C ZINC000603426605 363127652 /nfs/dbraw/zinc/12/76/52/363127652.db2.gz BPZCOABXRGSDPK-UHFFFAOYSA-N 0 1 293.415 0.710 20 30 CCEDMN C#CC[C@H](NCCNS(C)(=O)=O)c1ccccc1 ZINC000271649708 191259531 /nfs/dbraw/zinc/25/95/31/191259531.db2.gz AYAJOPOMBZHBHA-ZDUSSCGKSA-N 0 1 266.366 0.890 20 30 CCEDMN C[C@@H]1CN(c2cc(C#N)ccn2)[C@H](C)CN1CCO ZINC000419006312 234285383 /nfs/dbraw/zinc/28/53/83/234285383.db2.gz PXEFVFAWAXKQTP-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)c2ccn[nH]2)CC1 ZINC000604561211 363205048 /nfs/dbraw/zinc/20/50/48/363205048.db2.gz OXZFOMNCIACZCY-LBPRGKRZSA-N 0 1 261.329 0.716 20 30 CCEDMN CN1CCCN(C(=O)C(=O)Nc2cccc(C#N)c2)CC1 ZINC000155507821 188211044 /nfs/dbraw/zinc/21/10/44/188211044.db2.gz MLYKPLVJEAHQDY-UHFFFAOYSA-N 0 1 286.335 0.661 20 30 CCEDMN CC[C@@H]1CN(c2nc(C)cc(C#N)n2)CCN1C[C@H](C)O ZINC000281080925 353389616 /nfs/dbraw/zinc/38/96/16/353389616.db2.gz BUYSQUHULXTSAG-GXTWGEPZSA-N 0 1 289.383 0.938 20 30 CCEDMN N#Cc1cnc(N2CCC[C@H](c3nc(=O)[nH][nH]3)C2)cn1 ZINC000285521270 353560094 /nfs/dbraw/zinc/56/00/94/353560094.db2.gz GPHOHELMCVNDAC-QMMMGPOBSA-N 0 1 271.284 0.144 20 30 CCEDMN CCN(CCNS(=O)(=O)c1ccc(C#N)o1)C1CC1 ZINC000286834891 353613631 /nfs/dbraw/zinc/61/36/31/353613631.db2.gz JWUPEMMTXAYCSZ-UHFFFAOYSA-N 0 1 283.353 0.914 20 30 CCEDMN Cc1nc([C@@H](C)NS(=O)(=O)c2ccc(C#N)o2)n[nH]1 ZINC000286850676 353615159 /nfs/dbraw/zinc/61/51/59/353615159.db2.gz XJCWDNQGOGZGDC-ZCFIWIBFSA-N 0 1 281.297 0.617 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NCCC(=O)N[C@@H](C)CC ZINC000296394309 353945503 /nfs/dbraw/zinc/94/55/03/353945503.db2.gz QQYQMBCSLSXWEA-ZDUSSCGKSA-N 0 1 296.415 0.546 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C(=O)OC)C2)CC1 ZINC000299168653 353996588 /nfs/dbraw/zinc/99/65/88/353996588.db2.gz SDMQCKLTHBWFMY-CYBMUJFWSA-N 0 1 278.352 0.353 20 30 CCEDMN CC(=O)NCCN(C)C[C@H](O)COc1ccc(C#N)cc1 ZINC000308295903 354183249 /nfs/dbraw/zinc/18/32/49/354183249.db2.gz JYUOAVOHMSWWAX-AWEZNQCLSA-N 0 1 291.351 0.366 20 30 CCEDMN N#Cc1ccc(OCCN2CCC(O)(CO)CC2)cc1 ZINC000313686322 354219844 /nfs/dbraw/zinc/21/98/44/354219844.db2.gz RHOPQNZQDUODOG-UHFFFAOYSA-N 0 1 276.336 0.756 20 30 CCEDMN CCCCOC[C@@H](O)CN1CCN(CCC#N)CC1 ZINC000619047479 366170800 /nfs/dbraw/zinc/17/08/00/366170800.db2.gz WNZRSEHECUQREI-AWEZNQCLSA-N 0 1 269.389 0.695 20 30 CCEDMN N#Cc1ccc(NCC(=O)N2CCN3CCCC[C@H]3C2)nc1 ZINC000332482742 354684751 /nfs/dbraw/zinc/68/47/51/354684751.db2.gz MVVRQYCCLDAMOC-AWEZNQCLSA-N 0 1 299.378 0.484 20 30 CCEDMN COCC#CCN(C)CC[N@@H+]1CCOC(C)(C)C1 ZINC000684004318 545600988 /nfs/dbraw/zinc/60/09/88/545600988.db2.gz ZFSUKJZFBBKWGK-UHFFFAOYSA-N 0 1 254.374 0.679 20 30 CCEDMN COCC#CCN(C)CCN1CCOC(C)(C)C1 ZINC000684004318 545600999 /nfs/dbraw/zinc/60/09/99/545600999.db2.gz ZFSUKJZFBBKWGK-UHFFFAOYSA-N 0 1 254.374 0.679 20 30 CCEDMN C=CCCn1cc(Cn2[nH]c(SC)nc2=O)nn1 ZINC000655500887 412600072 /nfs/dbraw/zinc/60/00/72/412600072.db2.gz JDLSCTIPLGUZJT-UHFFFAOYSA-N 0 1 266.330 0.509 20 30 CCEDMN C[C@@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)C[C@H](C2CC2)O1 ZINC000619320231 366235640 /nfs/dbraw/zinc/23/56/40/366235640.db2.gz VDYJNPLXLQKXSJ-BXKDBHETSA-N 0 1 289.339 0.745 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)c1cccc(C#N)c1 ZINC000336861627 355218866 /nfs/dbraw/zinc/21/88/66/355218866.db2.gz DFHZXIPPZSODNH-CYBMUJFWSA-N 0 1 298.302 0.795 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)CNc1ccc(C#N)cn1 ZINC000426415129 235335959 /nfs/dbraw/zinc/33/59/59/235335959.db2.gz BWDOHPXOWPWAKC-UHFFFAOYSA-N 0 1 270.296 0.135 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)C(=O)NCCCCC#N)CN1C1CC1 ZINC000343337403 355769125 /nfs/dbraw/zinc/76/91/25/355769125.db2.gz MKXZILGREJISRQ-VXGBXAGGSA-N 0 1 292.383 0.538 20 30 CCEDMN CC(C)CN1CCO[C@@H](CNC(=O)NCCCC#N)C1 ZINC000344004612 355781316 /nfs/dbraw/zinc/78/13/16/355781316.db2.gz DRMIUIIHZGKHQP-ZDUSSCGKSA-N 0 1 282.388 0.946 20 30 CCEDMN N#Cc1cccc(CN2C(=O)N[C@@H](Cc3cnc[nH]3)C2=O)c1 ZINC000348436838 355929973 /nfs/dbraw/zinc/92/99/73/355929973.db2.gz XVEBDNUNDHIBMK-ZDUSSCGKSA-N 0 1 295.302 0.944 20 30 CCEDMN N#Cc1csc(CNCC2(CO)CCOCC2)n1 ZINC000352823496 356089504 /nfs/dbraw/zinc/08/95/04/356089504.db2.gz NNOMIHPFVPYVOU-UHFFFAOYSA-N 0 1 267.354 0.893 20 30 CCEDMN CN(C)C(=O)CCCNCc1nc(C#N)cs1 ZINC000352827443 356091377 /nfs/dbraw/zinc/09/13/77/356091377.db2.gz YKACOUSOEGPQDV-UHFFFAOYSA-N 0 1 252.343 0.973 20 30 CCEDMN N#Cc1csc(CNCCCN2CCOCC2)n1 ZINC000352830957 356092015 /nfs/dbraw/zinc/09/20/15/356092015.db2.gz BJVZERVYUACGIB-UHFFFAOYSA-N 0 1 266.370 0.827 20 30 CCEDMN N#Cc1ccc(C[C@H](C#N)C(=O)NCc2nn[nH]n2)cc1 ZINC000619372174 366242031 /nfs/dbraw/zinc/24/20/31/366242031.db2.gz YYIOMMLMRRYSIN-LLVKDONJSA-N 0 1 281.279 0.070 20 30 CCEDMN CC(=O)NCCCN1CCN(c2cccc(C#N)n2)CC1 ZINC000355080485 356570508 /nfs/dbraw/zinc/57/05/08/356570508.db2.gz LJZSDQBMPVIQOP-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN CCC(=O)N[C@@H](C)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000619749506 366348083 /nfs/dbraw/zinc/34/80/83/366348083.db2.gz VVDCGOSPCZUUIE-VIFPVBQESA-N 0 1 291.355 0.785 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC(F)(F)C(F)F)[nH]1 ZINC000619789401 366354566 /nfs/dbraw/zinc/35/45/66/366354566.db2.gz ZCLCILYRAVNLGY-UHFFFAOYSA-N 0 1 279.197 0.736 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN(CCO)C(C)(C)C1 ZINC000619722142 366343028 /nfs/dbraw/zinc/34/30/28/366343028.db2.gz MJTZMXPLELAVIU-LLVKDONJSA-N 0 1 256.346 0.103 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC[C@@H]2CN3CCN2CCC3)c1 ZINC000619874121 366367142 /nfs/dbraw/zinc/36/71/42/366367142.db2.gz YVKYLZNMRICITE-GFCCVEGCSA-N 0 1 273.340 0.006 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CN3CCN2CCC3)c[nH]1 ZINC000619873369 366368317 /nfs/dbraw/zinc/36/83/17/366368317.db2.gz HNYURQKVYGKAPX-CYBMUJFWSA-N 0 1 273.340 0.006 20 30 CCEDMN Cn1ncc(C(=O)NC2(c3nn[nH]n3)CCCC2)c1C#N ZINC000356156222 356713389 /nfs/dbraw/zinc/71/33/89/356713389.db2.gz JMZUNLYEWCEEQA-UHFFFAOYSA-N 0 1 286.299 0.004 20 30 CCEDMN CN(Cc1cnn(C)c1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610527937 363572667 /nfs/dbraw/zinc/57/26/67/363572667.db2.gz AVECRLJJOOYIBX-UHFFFAOYSA-N 0 1 273.300 0.111 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCC[C@@H](c3cc[nH]n3)C2)[nH]1 ZINC000610562942 363577827 /nfs/dbraw/zinc/57/78/27/363577827.db2.gz LYAUBTUWLFDACI-SNVBAGLBSA-N 0 1 299.338 0.848 20 30 CCEDMN C[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)C(=O)OC(C)(C)C ZINC000610560337 363574445 /nfs/dbraw/zinc/57/44/45/363574445.db2.gz BBCHFVXVMXYURO-MRVPVSSYSA-N 0 1 293.327 0.565 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCCSCC2)[nH]1 ZINC000610561428 363576583 /nfs/dbraw/zinc/57/65/83/363576583.db2.gz VVFGJGYIWSBRSU-UHFFFAOYSA-N 0 1 265.342 0.684 20 30 CCEDMN C=CCOCCNC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000368428340 357332049 /nfs/dbraw/zinc/33/20/49/357332049.db2.gz FAEKCOFRGKAXSW-KGLIPLIRSA-N 0 1 297.399 0.430 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CC[N@H+](C)C2)CC1 ZINC000386272403 357729762 /nfs/dbraw/zinc/72/97/62/357729762.db2.gz BRHUEOZQBOGWOO-NSHDSACASA-N 0 1 250.346 0.042 20 30 CCEDMN N#CC1(C(=O)NC[C@@H]2CN3CCN2CCC3)CCCC1 ZINC000394797198 357758697 /nfs/dbraw/zinc/75/86/97/357758697.db2.gz LWQFQPJAUOMUCH-CYBMUJFWSA-N 0 1 276.384 0.576 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)C1 ZINC000405259990 357823754 /nfs/dbraw/zinc/82/37/54/357823754.db2.gz OFBBBARJMTUZGZ-MRFPXMQZSA-N 0 1 263.341 0.204 20 30 CCEDMN C[C@@H]1CN(c2ccnc(C#N)c2)C[C@H](C)N1CCO ZINC000450788201 236111550 /nfs/dbraw/zinc/11/15/50/236111550.db2.gz CWVFJPPZWXHCBP-TXEJJXNPSA-N 0 1 260.341 0.845 20 30 CCEDMN Cc1onc(CC(=O)N2C[C@@H](C)[N@H+](C)C[C@H]2C)c1C#N ZINC000408150049 357941699 /nfs/dbraw/zinc/94/16/99/357941699.db2.gz KRPDPQLFKDWQDA-NXEZZACHSA-N 0 1 276.340 0.948 20 30 CCEDMN Cc1onc(CC(=O)N2C[C@@H](C)N(C)C[C@H]2C)c1C#N ZINC000408150049 357941702 /nfs/dbraw/zinc/94/17/02/357941702.db2.gz KRPDPQLFKDWQDA-NXEZZACHSA-N 0 1 276.340 0.948 20 30 CCEDMN N#Cc1ccncc1C(=O)NCCN1CC=CCC1 ZINC000569726763 358789691 /nfs/dbraw/zinc/78/96/91/358789691.db2.gz YUPLRGBETSQZKF-UHFFFAOYSA-N 0 1 256.309 0.945 20 30 CCEDMN C[C@H]1C[C@@H](O)CCN1Cc1cnc2c(C#N)cnn2c1 ZINC000569971578 358809595 /nfs/dbraw/zinc/80/95/95/358809595.db2.gz ADFVXWKEGKVKFG-GWCFXTLKSA-N 0 1 271.324 0.946 20 30 CCEDMN CC[C@H](CC#N)NC[C@H](O)CN1C[C@@H](C)O[C@H](C)C1 ZINC000572056280 358926682 /nfs/dbraw/zinc/92/66/82/358926682.db2.gz RGSRGBJDQOKABV-SYQHCUMBSA-N 0 1 269.389 0.738 20 30 CCEDMN COC(=O)CNC(=O)[C@@H](C)N1CCC(CCC#N)CC1 ZINC000572280746 358928248 /nfs/dbraw/zinc/92/82/48/358928248.db2.gz MRILCKQNTUNPHO-LLVKDONJSA-N 0 1 281.356 0.680 20 30 CCEDMN N#Cc1ccc(N2CCN(CCCO)CC2)nc1N ZINC000573624011 358985964 /nfs/dbraw/zinc/98/59/64/358985964.db2.gz HQTXYOLDEBJUGT-UHFFFAOYSA-N 0 1 261.329 0.040 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCOC3(CCC3)C2)[nH]1 ZINC000610876663 363624939 /nfs/dbraw/zinc/62/49/39/363624939.db2.gz LTTHVBGVJGZKFL-LLVKDONJSA-N 0 1 289.339 0.937 20 30 CCEDMN N#Cc1ccc(Cl)c(C(=O)NCc2nn[nH]n2)c1 ZINC000586779322 359289400 /nfs/dbraw/zinc/28/94/00/359289400.db2.gz KWYSBWQYVSLJKT-UHFFFAOYSA-N 0 1 262.660 0.655 20 30 CCEDMN CN(CCN1CCOCC1)Cc1ccnc(C#N)c1 ZINC000610992508 363643302 /nfs/dbraw/zinc/64/33/02/363643302.db2.gz KYSKCJDHYUDOMR-UHFFFAOYSA-N 0 1 260.341 0.717 20 30 CCEDMN COCCN1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000610991503 363644306 /nfs/dbraw/zinc/64/43/06/363644306.db2.gz JDZMLANOLCVMJV-UHFFFAOYSA-N 0 1 260.341 0.717 20 30 CCEDMN CO[C@@H]1C[C@@H](c2n[nH]c(C3CC3)n2)N(C(=O)CC#N)C1 ZINC000585817508 359281456 /nfs/dbraw/zinc/28/14/56/359281456.db2.gz PFJAYDZNRNIEGQ-ZJUUUORDSA-N 0 1 275.312 0.884 20 30 CCEDMN C[C@H](O)[C@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610964593 363638598 /nfs/dbraw/zinc/63/85/98/363638598.db2.gz FDHDEVGMYBOEPJ-UWVGGRQHSA-N 0 1 277.328 0.338 20 30 CCEDMN CC1(C)CN(C(=O)NCCCCC#N)CCN1CCO ZINC000588337781 359323090 /nfs/dbraw/zinc/32/30/90/359323090.db2.gz KNVFODLDKFWLEF-UHFFFAOYSA-N 0 1 282.388 0.778 20 30 CCEDMN C[N@H+]1CC[C@@H](C(=O)N=c2[nH][n-]c(C3CC3)c2C#N)C1 ZINC000588767529 359336421 /nfs/dbraw/zinc/33/64/21/359336421.db2.gz PZXSNGYFNHVCMO-SECBINFHSA-N 0 1 259.313 0.471 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000588935559 359350131 /nfs/dbraw/zinc/35/01/31/359350131.db2.gz YCKVOWUWBJUBAZ-CYBMUJFWSA-N 0 1 269.389 0.331 20 30 CCEDMN CN(C)c1cc(C#N)cc(C(=O)NCc2nn[nH]n2)c1 ZINC000590239901 359432621 /nfs/dbraw/zinc/43/26/21/359432621.db2.gz DRZREZOUYXEJON-UHFFFAOYSA-N 0 1 271.284 0.067 20 30 CCEDMN CCC(CC)(CO)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611075612 363656530 /nfs/dbraw/zinc/65/65/30/363656530.db2.gz JTSMAXIRHNHMEO-UHFFFAOYSA-N 0 1 279.344 0.634 20 30 CCEDMN CN1CCO[C@H]2CN(c3cccc(C#N)c3[N+](=O)[O-])C[C@H]21 ZINC000590694473 359508016 /nfs/dbraw/zinc/50/80/16/359508016.db2.gz PMZRAVGWGCLCJK-OLZOCXBDSA-N 0 1 288.307 0.986 20 30 CCEDMN CO[C@@H]1CN(c2nc(C)ccc2C#N)C[C@H]1c1cn[nH]n1 ZINC000591629276 359617157 /nfs/dbraw/zinc/61/71/57/359617157.db2.gz JJFCWPZNXDFBPP-WCQYABFASA-N 0 1 284.323 0.999 20 30 CCEDMN Cc1cc(C[C@H](C)NC(=O)N2CC[C@@](O)(CC#N)C2)n[nH]1 ZINC000591989228 359721386 /nfs/dbraw/zinc/72/13/86/359721386.db2.gz AQBSCMZGYCCZOD-HZMBPMFUSA-N 0 1 291.355 0.709 20 30 CCEDMN C[C@@H]1CN(Cc2ccncc2C#N)[C@@H](C)CN1CCO ZINC000592125403 359759542 /nfs/dbraw/zinc/75/95/42/359759542.db2.gz WJNLWESJHVYGEZ-OLZOCXBDSA-N 0 1 274.368 0.840 20 30 CCEDMN C[C@@H]1CN(CCN2CC[C@@](O)(CC#N)C2)CCO1 ZINC000592152755 359770607 /nfs/dbraw/zinc/77/06/07/359770607.db2.gz CQIHDXXQCMPMKA-OLZOCXBDSA-N 0 1 253.346 0.058 20 30 CCEDMN CCS(=O)(=O)CCCCCn1c(=O)[nH]cc(C#N)c1=O ZINC000592354382 359823710 /nfs/dbraw/zinc/82/37/10/359823710.db2.gz HLKRCDPOPCIAII-UHFFFAOYSA-N 0 1 299.352 0.013 20 30 CCEDMN CCN(C)C(=O)[C@@H](C)NCc1cnc2c(C#N)cnn2c1 ZINC000592509789 359867068 /nfs/dbraw/zinc/86/70/68/359867068.db2.gz ZMHUEPOMEQWBEE-SNVBAGLBSA-N 0 1 286.339 0.557 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)[nH]n1C ZINC000592648664 359909360 /nfs/dbraw/zinc/90/93/60/359909360.db2.gz FZKZNPICECLTDH-WDEREUQCSA-N 0 1 284.323 0.498 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297862240 200294507 /nfs/dbraw/zinc/29/45/07/200294507.db2.gz YRWPCCISTJYZTD-QMMMGPOBSA-N 0 1 293.327 0.617 20 30 CCEDMN C[C@@H](O)CN[C@@H]1CC(=O)N(c2ccc(C#N)cc2)C1=O ZINC000593921162 360216416 /nfs/dbraw/zinc/21/64/16/360216416.db2.gz PZINIUBBUYAAAK-BXKDBHETSA-N 0 1 273.292 0.161 20 30 CCEDMN C[C@H](O)CN(CC(=O)NC1(C#N)CCC1)C1CC1 ZINC000594063583 360245006 /nfs/dbraw/zinc/24/50/06/360245006.db2.gz ITBYEUMKWQXQLT-JTQLQIEISA-N 0 1 251.330 0.394 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)CCCCC#N)[C@H](C)C1 ZINC000594344474 360277764 /nfs/dbraw/zinc/27/77/64/360277764.db2.gz CLHLNWJNJZABEQ-OLZOCXBDSA-N 0 1 267.373 0.984 20 30 CCEDMN C[C@@H]1CN(C(=O)CCCCC#N)C[C@H](C)N1CCO ZINC000594370399 360285094 /nfs/dbraw/zinc/28/50/94/360285094.db2.gz KSVBPWNAIRHFPB-BETUJISGSA-N 0 1 267.373 0.984 20 30 CCEDMN N#Cc1cc(NCc2n[nH]c([C@H]3CCCO3)n2)ccn1 ZINC000594589803 360337047 /nfs/dbraw/zinc/33/70/47/360337047.db2.gz PDUKDBOQTZYWSE-LLVKDONJSA-N 0 1 270.296 0.957 20 30 CCEDMN CC#CCCNS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594773948 360380533 /nfs/dbraw/zinc/38/05/33/360380533.db2.gz WONFTFMBWNXEGV-UHFFFAOYSA-N 0 1 269.282 0.682 20 30 CCEDMN C=CCCNC(=O)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000595116307 360439515 /nfs/dbraw/zinc/43/95/15/360439515.db2.gz OZTRKQNHSXPVHY-UHFFFAOYSA-N 0 1 289.339 0.562 20 30 CCEDMN Cn1nc(C(F)(F)F)cc1CNCCn1cnc(C#N)n1 ZINC000594939854 360421279 /nfs/dbraw/zinc/42/12/79/360421279.db2.gz FYGRKOUYNOZHNP-UHFFFAOYSA-N 0 1 299.260 0.692 20 30 CCEDMN COC(=O)CCN1CC[C@H](N2CCC(CC#N)CC2)C1=O ZINC000595426032 360527969 /nfs/dbraw/zinc/52/79/69/360527969.db2.gz ODXTUGPKWNYKKQ-ZDUSSCGKSA-N 0 1 293.367 0.776 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CCC[C@@H](CC#N)C2)C1 ZINC000595499960 360552231 /nfs/dbraw/zinc/55/22/31/360552231.db2.gz OUDGEFXHGCXFBU-UONOGXRCSA-N 0 1 293.415 0.567 20 30 CCEDMN CN(CC(=O)Nc1sccc1C#N)Cc1n[nH]c(=O)o1 ZINC000595547406 360575677 /nfs/dbraw/zinc/57/56/77/360575677.db2.gz NVMHRPHFZSYVOH-UHFFFAOYSA-N 0 1 293.308 0.779 20 30 CCEDMN CC(C)(NCC(=O)NC(N)=O)c1cccc(C#N)c1 ZINC000595641210 360603401 /nfs/dbraw/zinc/60/34/01/360603401.db2.gz FHVRRIZVHSFNRM-UHFFFAOYSA-N 0 1 260.297 0.578 20 30 CCEDMN C[C@@]12COC[C@@H]1CN(CC(=O)NC1(C#N)CCC1)C2 ZINC000595756984 360643325 /nfs/dbraw/zinc/64/33/25/360643325.db2.gz IBTUIFHXWUTSFY-WCQYABFASA-N 0 1 263.341 0.517 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)N[C@@](C)(C#N)C(C)C ZINC000595863931 360683341 /nfs/dbraw/zinc/68/33/41/360683341.db2.gz KSERGWSTFYNPJG-WZRBSPASSA-N 0 1 253.346 0.566 20 30 CCEDMN N#Cc1ccccc1OCCN1CCC(CO)(CO)C1 ZINC000595798522 360658055 /nfs/dbraw/zinc/65/80/55/360658055.db2.gz DWWKTNGEZLXJHV-UHFFFAOYSA-N 0 1 276.336 0.614 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@H]2C[C@H]2C1 ZINC000595807026 360660663 /nfs/dbraw/zinc/66/06/63/360660663.db2.gz GYJRKTKIANIVPM-DCAQKATOSA-N 0 1 251.330 0.729 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@@H]2COC[C@@H]2C1)C1CC1 ZINC000595827668 360669740 /nfs/dbraw/zinc/66/97/40/360669740.db2.gz CWIRSUWIMYVFIO-TYNCELHUSA-N 0 1 277.368 0.763 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC2(COC2)C1 ZINC000595832120 360671489 /nfs/dbraw/zinc/67/14/89/360671489.db2.gz BPIUGUXBQAELHZ-UHFFFAOYSA-N 0 1 251.330 0.471 20 30 CCEDMN COC(=O)C[C@H](N)C(=O)NCC1(C#N)CCCCC1 ZINC000595943360 360720002 /nfs/dbraw/zinc/72/00/02/360720002.db2.gz LFGWUNOEDZALHO-JTQLQIEISA-N 0 1 267.329 0.467 20 30 CCEDMN COCc1nc(CNc2ccc(Cl)c(C#N)n2)n[nH]1 ZINC000596437457 360896497 /nfs/dbraw/zinc/89/64/97/360896497.db2.gz YPMLSPAIMJNWRO-UHFFFAOYSA-N 0 1 278.703 0.905 20 30 CCEDMN COCc1nnc(CNc2ccc(Cl)c(C#N)n2)[nH]1 ZINC000596437457 360896502 /nfs/dbraw/zinc/89/65/02/360896502.db2.gz YPMLSPAIMJNWRO-UHFFFAOYSA-N 0 1 278.703 0.905 20 30 CCEDMN Cn1cc2c(n1)CCC[C@@H]2C(=O)N=c1[nH]n(C)cc1C#N ZINC000329761770 202485205 /nfs/dbraw/zinc/48/52/05/202485205.db2.gz RWMVIVAPVBQQHE-JTQLQIEISA-N 0 1 284.323 0.506 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)N=c1ccn(CCC#N)[nH]1 ZINC000596752075 360951061 /nfs/dbraw/zinc/95/10/61/360951061.db2.gz DCASMNCYGJJUFR-UHFFFAOYSA-N 0 1 276.344 0.244 20 30 CCEDMN C=CCSCCNC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000179695977 189214824 /nfs/dbraw/zinc/21/48/24/189214824.db2.gz ZNJGFKBXVFGVHP-UHFFFAOYSA-N 0 1 256.287 0.967 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CC[C@H](N2CCN(CC)CC2)C1 ZINC000597164125 361021095 /nfs/dbraw/zinc/02/10/95/361021095.db2.gz DSLNENIGLLOSCP-ZFWWWQNUSA-N 0 1 281.400 0.162 20 30 CCEDMN Cn1c(C#N)ccc1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000597623927 361165618 /nfs/dbraw/zinc/16/56/18/361165618.db2.gz FFDBHLQHEVGFHK-ZDUSSCGKSA-N 0 1 287.367 0.016 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000611632352 363752655 /nfs/dbraw/zinc/75/26/55/363752655.db2.gz BHTPYCAAWQKNAI-FRRDWIJNSA-N 0 1 280.372 0.387 20 30 CCEDMN C[C@H](C#N)N(C(=O)CN(C)c1ncnc2[nH]cnc21)C1CC1 ZINC000598132289 361340996 /nfs/dbraw/zinc/34/09/96/361340996.db2.gz PAUOVZPUIQOBQX-SECBINFHSA-N 0 1 299.338 0.692 20 30 CCEDMN C[C@H]1C(=O)NCCCN1C[C@H](O)CC(C)(C)C#N ZINC000598617401 361459892 /nfs/dbraw/zinc/45/98/92/361459892.db2.gz SYHJSPBAQUQMSB-WDEREUQCSA-N 0 1 253.346 0.498 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCOC[C@H]1c1nnc[nH]1 ZINC000598615694 361460101 /nfs/dbraw/zinc/46/01/01/361460101.db2.gz RNCHGWCAOGEAQG-MNOVXSKESA-N 0 1 279.344 0.479 20 30 CCEDMN CN1CCN(C[C@@H](O)CC(C)(C)C#N)CCC1=O ZINC000598628133 361467553 /nfs/dbraw/zinc/46/75/53/361467553.db2.gz NLEZXDDWOLBADC-NSHDSACASA-N 0 1 253.346 0.451 20 30 CCEDMN CNC(=O)[C@@H]1CCCCN1C[C@H](O)CC(C)(C)C#N ZINC000598588523 361450250 /nfs/dbraw/zinc/45/02/50/361450250.db2.gz BKUKMWNMRZZZRC-NEPJUHHUSA-N 0 1 267.373 0.888 20 30 CCEDMN COC(=O)CCNC(=O)CCNCc1ccc(C#N)o1 ZINC000599006748 361551503 /nfs/dbraw/zinc/55/15/03/361551503.db2.gz HMJWKNUWKMUDSB-UHFFFAOYSA-N 0 1 279.296 0.310 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC[C@@](O)(CC#N)C2)C1 ZINC000598941254 361534703 /nfs/dbraw/zinc/53/47/03/361534703.db2.gz LXLBBDXHTCXGFY-HCKVZZMMSA-N 0 1 288.351 0.953 20 30 CCEDMN C[C@@H]1Cc2c[nH]nc2[C@H](C(=O)N2CC[C@](O)(CC#N)C2)C1 ZINC000598941257 361534817 /nfs/dbraw/zinc/53/48/17/361534817.db2.gz LXLBBDXHTCXGFY-IXPVHAAZSA-N 0 1 288.351 0.953 20 30 CCEDMN CCN(CC(=O)N(C)C)C[C@H](O)CC1(C#N)CCOCC1 ZINC000599266868 361626600 /nfs/dbraw/zinc/62/66/00/361626600.db2.gz NSCNXHFJLCXDKU-CYBMUJFWSA-N 0 1 297.399 0.468 20 30 CCEDMN CCC[N@H+](CC(=O)NC)C[C@H](O)CC1(C#N)CCOCC1 ZINC000599269387 361626810 /nfs/dbraw/zinc/62/68/10/361626810.db2.gz PXVROGXDWMIBOU-CYBMUJFWSA-N 0 1 297.399 0.516 20 30 CCEDMN COC(=O)c1cc(S(=O)(=O)NCC(C)(C)C#N)on1 ZINC000599272923 361628374 /nfs/dbraw/zinc/62/83/74/361628374.db2.gz WPQHZROOPBZGOA-UHFFFAOYSA-N 0 1 287.297 0.289 20 30 CCEDMN N#CCC1(CS(=O)(=O)NCc2cnc[nH]2)CC1 ZINC000599355747 361665317 /nfs/dbraw/zinc/66/53/17/361665317.db2.gz FZEYKVUTJGWOOZ-UHFFFAOYSA-N 0 1 254.315 0.523 20 30 CCEDMN CO[C@H]1CCCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000611944440 363810392 /nfs/dbraw/zinc/81/03/92/363810392.db2.gz OKGMTBNZYOTTFB-NSHDSACASA-N 0 1 277.328 0.746 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N2CCC[C@@](C)(C#N)C2)C1 ZINC000612121467 363851131 /nfs/dbraw/zinc/85/11/31/363851131.db2.gz KLWCWFKAYVXYBJ-ZFWWWQNUSA-N 0 1 293.415 0.567 20 30 CCEDMN C[C@@H]1[C@H](C)[N@@H+](C)CCN1C(=O)N=c1[n-]n(C)cc1C#N ZINC000612573661 363961729 /nfs/dbraw/zinc/96/17/29/363961729.db2.gz ZTOSRRJITIMWBN-VHSXEESVSA-N 0 1 276.344 0.270 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H]2CCO[C@H](C3CC3)C2)[nH]1 ZINC000613205036 364157044 /nfs/dbraw/zinc/15/70/44/364157044.db2.gz LPFDTMKFHOMXAW-RYUDHWBXSA-N 0 1 289.339 0.793 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(CCO)C(C)(C)C1 ZINC000614920862 364781585 /nfs/dbraw/zinc/78/15/85/364781585.db2.gz VFMBUYUPDOPEKT-UHFFFAOYSA-N 0 1 296.415 0.137 20 30 CCEDMN N#CC1(NC(=O)CN(CCCO)C2CC2)CCC1 ZINC000615224680 364889154 /nfs/dbraw/zinc/88/91/54/364889154.db2.gz DVDIKUYOURNPGH-UHFFFAOYSA-N 0 1 251.330 0.396 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1CC[C@@](F)(C#N)C1 ZINC000615574780 365011223 /nfs/dbraw/zinc/01/12/23/365011223.db2.gz GSXQHZFKAZPHDO-LLVKDONJSA-N 0 1 251.265 0.865 20 30 CCEDMN C=C[C@H](O)C(=O)NC(C)(C)CN1CCN(CC)CC1 ZINC000616123492 365183738 /nfs/dbraw/zinc/18/37/38/365183738.db2.gz HARCNVMMYZCALW-LBPRGKRZSA-N 0 1 269.389 0.066 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N(CCC)CC(F)(F)F ZINC000625563298 368247823 /nfs/dbraw/zinc/24/78/23/368247823.db2.gz MBOXVXLZKXYTTM-SECBINFHSA-N 0 1 268.279 0.924 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CCC(OCCO)CC1 ZINC000168898914 335006915 /nfs/dbraw/zinc/00/69/15/335006915.db2.gz QMJUEHOVGUOIPK-UHFFFAOYSA-N 0 1 284.400 0.884 20 30 CCEDMN C=CC[C@H]1CC[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000629500105 369684317 /nfs/dbraw/zinc/68/43/17/369684317.db2.gz VRYTXRGKMIHOPG-QWRGUYRKSA-N 0 1 252.314 0.570 20 30 CCEDMN C=CCC1(C(=O)NCCN2CC[C@H](O)C2)CCOCC1 ZINC000629918148 369836328 /nfs/dbraw/zinc/83/63/28/369836328.db2.gz YUOSNJMLRNKKEK-ZDUSSCGKSA-N 0 1 282.384 0.542 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)Cc1nnc[nH]1 ZINC000632840456 371295576 /nfs/dbraw/zinc/29/55/76/371295576.db2.gz CRICMCSHVKTYJC-JOYOIKCWSA-N 0 1 250.302 0.445 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@H]2CCCCN2C)nn1 ZINC000636056532 373305428 /nfs/dbraw/zinc/30/54/28/373305428.db2.gz PWKABLNDEUHQKI-LBPRGKRZSA-N 0 1 263.345 0.565 20 30 CCEDMN C=CCn1cc(CNC[C@]2(OC)CCOC2)nn1 ZINC000639274140 375688706 /nfs/dbraw/zinc/68/87/06/375688706.db2.gz HTFABSBWSJWWBH-GFCCVEGCSA-N 0 1 252.318 0.359 20 30 CCEDMN C=CCNC(=O)[C@H](C)n1cc([C@H](N)[C@@H]2CCCO2)nn1 ZINC000640883326 376596241 /nfs/dbraw/zinc/59/62/41/376596241.db2.gz GGAOEJXATGJITB-DLOVCJGASA-N 0 1 279.344 0.320 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N[C@@H](C)c1nnc[nH]1 ZINC000279232749 194343892 /nfs/dbraw/zinc/34/38/92/194343892.db2.gz RNHCXDWBOXHPTN-IVZWLZJFSA-N 0 1 250.302 0.963 20 30 CCEDMN C=CCCn1cc(CNC[C@]2(O)CCO[C@H]2C)nn1 ZINC000657455496 412996591 /nfs/dbraw/zinc/99/65/91/412996591.db2.gz RUXMSGVDKNRFKI-WCQYABFASA-N 0 1 266.345 0.484 20 30 CCEDMN C=CCCn1cc(C[NH2+]CCN2CCC(O)CC2)nn1 ZINC000657485998 413004390 /nfs/dbraw/zinc/00/43/90/413004390.db2.gz QHNHEXXEHWTIRZ-UHFFFAOYSA-N 0 1 279.388 0.401 20 30 CCEDMN C=CCCn1cc(CNCCN2CCC(O)CC2)nn1 ZINC000657485998 413004396 /nfs/dbraw/zinc/00/43/96/413004396.db2.gz QHNHEXXEHWTIRZ-UHFFFAOYSA-N 0 1 279.388 0.401 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCc3[nH]nnc3C2)s1 ZINC000346038403 285191317 /nfs/dbraw/zinc/19/13/17/285191317.db2.gz OSZSVNZEAVYETF-UHFFFAOYSA-N 0 1 259.294 0.936 20 30 CCEDMN C[C@H](C#N)CN(C)S(=O)(=O)N(C)C1CCN(C)CC1 ZINC000407950636 260012803 /nfs/dbraw/zinc/01/28/03/260012803.db2.gz AOODSOXZXMSFHG-LLVKDONJSA-N 0 1 288.417 0.349 20 30 CCEDMN COc1cccc(CNCCn2cnc(C#N)n2)c1OC ZINC000285642175 388795667 /nfs/dbraw/zinc/79/56/67/388795667.db2.gz XUUFCVDBOZVDTH-UHFFFAOYSA-N 0 1 287.323 0.957 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCN(C)[C@H](Cc2ccccc2)C1 ZINC000284795544 388783030 /nfs/dbraw/zinc/78/30/30/388783030.db2.gz OZWCQLBBSLIDMV-DOTOQJQBSA-N 0 1 299.418 0.983 20 30 CCEDMN C=C[C@H](CO)N[C@@H](C)c1cccc(S(N)(=O)=O)c1 ZINC000289612374 388876496 /nfs/dbraw/zinc/87/64/96/388876496.db2.gz DFGDXHXTKSXFDW-GXSJLCMTSA-N 0 1 270.354 0.532 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CCC[C@H](CC(=O)[O-])C1 ZINC000054298844 388930467 /nfs/dbraw/zinc/93/04/67/388930467.db2.gz WXCHGEHTGFDHEO-GHMZBOCLSA-N 0 1 254.330 0.864 20 30 CCEDMN C#CCN(C)[C@@H](C)c1ccc(S(N)(=O)=O)cc1 ZINC000066695914 388945226 /nfs/dbraw/zinc/94/52/26/388945226.db2.gz FIGGXFSAEZCBQP-JTQLQIEISA-N 0 1 252.339 0.960 20 30 CCEDMN C=CCC(C)(C)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000292396889 388949308 /nfs/dbraw/zinc/94/93/08/388949308.db2.gz XTFIUZPJTBVSJH-UHFFFAOYSA-N 0 1 281.400 0.821 20 30 CCEDMN C#CCN(C)CCCNC(=O)c1cc(C(C)=O)cn1C ZINC000293859055 388988152 /nfs/dbraw/zinc/98/81/52/388988152.db2.gz BAQRLYKPJPGHCJ-UHFFFAOYSA-N 0 1 275.352 0.913 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](O)CCOC(C)C)C1=O ZINC000337200280 389053252 /nfs/dbraw/zinc/05/32/52/389053252.db2.gz BOENUHCXALHJMN-UONOGXRCSA-N 0 1 284.400 0.881 20 30 CCEDMN COC(=O)[C@H](Cc1cnc[nH]1)NC(=O)c1cc(C#N)ccn1 ZINC000355286339 389053310 /nfs/dbraw/zinc/05/33/10/389053310.db2.gz QONIZVMDNAGLMZ-LBPRGKRZSA-N 0 1 299.290 0.190 20 30 CCEDMN C=CCN1CC[C@H](N[C@H](COC)[C@H]2CCCO2)C1=O ZINC000337219366 389056364 /nfs/dbraw/zinc/05/63/64/389056364.db2.gz BLRBLCYUXFCEGD-YNEHKIRRSA-N 0 1 268.357 0.557 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)NCCN(C)C1CC1 ZINC000336920994 389033310 /nfs/dbraw/zinc/03/33/10/389033310.db2.gz QJXVROGUECINDD-UHFFFAOYSA-N 0 1 274.390 0.151 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1ccc2cncn2c1 ZINC000340004696 389145310 /nfs/dbraw/zinc/14/53/10/389145310.db2.gz HCWKOKFTOIAGPJ-UHFFFAOYSA-N 0 1 258.281 0.366 20 30 CCEDMN CCN(CC)CCS(=O)(=O)N(CCC#N)CCC#N ZINC000340044121 389146022 /nfs/dbraw/zinc/14/60/22/389146022.db2.gz TYUFNNNCEJQEOX-UHFFFAOYSA-N 0 1 286.401 0.787 20 30 CCEDMN CN(C)CC(=O)N1CCN(Cc2ccccc2)[C@@H](C#N)C1 ZINC000114558388 389131156 /nfs/dbraw/zinc/13/11/56/389131156.db2.gz NVLKIAHJXFRWLQ-HNNXBMFYSA-N 0 1 286.379 0.785 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)NCCN1CCC1)CC2 ZINC000328718557 389133427 /nfs/dbraw/zinc/13/34/27/389133427.db2.gz PWODWNWYMSLISN-NSHDSACASA-N 0 1 278.360 0.111 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2cn3c(n2)CCCC3)C1 ZINC000328733131 389134676 /nfs/dbraw/zinc/13/46/76/389134676.db2.gz XUPJAUXQNVHWQI-LBPRGKRZSA-N 0 1 291.399 0.770 20 30 CCEDMN Cn1nc2c(cc1=O)CN([C@H]1CC[C@H](C#N)C1)CC2 ZINC000328780923 389137965 /nfs/dbraw/zinc/13/79/65/389137965.db2.gz UPPJMBZIXNBLKV-JQWIXIFHSA-N 0 1 258.325 0.831 20 30 CCEDMN [O-]C(N[C@@H]1CCO[C@H]1C1CC1)=[NH+][C@@H]1CCc2ncnn2C1 ZINC000328832811 389140176 /nfs/dbraw/zinc/14/01/76/389140176.db2.gz KWDXOVOFUORSBW-WZRBSPASSA-N 0 1 291.355 0.664 20 30 CCEDMN O=C(N[C@@H]1CCO[C@H]1C1CC1)N[C@@H]1CCc2ncnn2C1 ZINC000328832811 389140179 /nfs/dbraw/zinc/14/01/79/389140179.db2.gz KWDXOVOFUORSBW-WZRBSPASSA-N 0 1 291.355 0.664 20 30 CCEDMN CC[C@H]1CN(CC(=O)NCc2cccc(C#N)c2)C[C@@H]1O ZINC000341005469 389179574 /nfs/dbraw/zinc/17/95/74/389179574.db2.gz FKQZZXJOYVZHQG-GJZGRUSLSA-N 0 1 287.363 0.877 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)N2CCC(C)(CO)CC2)C1 ZINC000329662164 389184143 /nfs/dbraw/zinc/18/41/43/389184143.db2.gz OSKMLXGNIBDVTC-ZDUSSCGKSA-N 0 1 298.431 0.241 20 30 CCEDMN O=C(NCCN1CC=CCC1)N[C@H]1CCCOC1 ZINC000329705038 389190857 /nfs/dbraw/zinc/19/08/57/389190857.db2.gz JVRKKQYOVBQTPK-LBPRGKRZSA-N 0 1 253.346 0.931 20 30 CCEDMN C[C@@H]1OCC[C@]1(C)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000329760477 389197350 /nfs/dbraw/zinc/19/73/50/389197350.db2.gz QEVKGUONXYVHAJ-OBJOEFQTSA-N 0 1 284.404 0.303 20 30 CCEDMN CC(C)(C)n1cnc(NC(=O)NCCc2nc[nH]n2)n1 ZINC000329766518 389198136 /nfs/dbraw/zinc/19/81/36/389198136.db2.gz YGUUJJQVMVLHHV-UHFFFAOYSA-N 0 1 278.320 0.142 20 30 CCEDMN CC#CCC[NH+]1CCN(CCC(=O)N(C)C)CC1 ZINC000360441593 389198421 /nfs/dbraw/zinc/19/84/21/389198421.db2.gz AMEHZQVGIHOOQD-UHFFFAOYSA-N 0 1 251.374 0.496 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)c2cc3n(n2)CCO3)[nH]1 ZINC000329772917 389198661 /nfs/dbraw/zinc/19/86/61/389198661.db2.gz LATPMEMWFNSQKB-UHFFFAOYSA-N 0 1 286.295 0.599 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000360505330 389199110 /nfs/dbraw/zinc/19/91/10/389199110.db2.gz UILPLTARZNSRJZ-QWRGUYRKSA-N 0 1 278.356 0.751 20 30 CCEDMN COCCCN(C)CCNS(=O)(=O)CCCC#N ZINC000360650230 389201229 /nfs/dbraw/zinc/20/12/29/389201229.db2.gz CAFQKZXUODIIHB-UHFFFAOYSA-N 0 1 277.390 0.178 20 30 CCEDMN C=C[C@H](CO)NC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000358461468 389151996 /nfs/dbraw/zinc/15/19/96/389151996.db2.gz QVPMZMSPUTUTJH-KGLIPLIRSA-N 0 1 298.431 0.105 20 30 CCEDMN C[C@H](NC(=O)N[C@@H](C)c1nnc[nH]1)c1cnn(C)c1 ZINC000329306748 389156338 /nfs/dbraw/zinc/15/63/38/389156338.db2.gz YWFYKCOLMGWKSP-YUMQZZPRSA-N 0 1 263.305 0.864 20 30 CCEDMN CC1=CCN(CCNC(=O)N2CCO[C@H](C#N)C2)CC1 ZINC000358672836 389157995 /nfs/dbraw/zinc/15/79/95/389157995.db2.gz WYOVTPUQPARVLP-CYBMUJFWSA-N 0 1 278.356 0.572 20 30 CCEDMN O=C(CNC(=O)N[C@@H]1CCc2c[nH]nc2C1)N1CCCC1 ZINC000329822642 389204685 /nfs/dbraw/zinc/20/46/85/389204685.db2.gz HGSBTPYKZUIQMU-LLVKDONJSA-N 0 1 291.355 0.393 20 30 CCEDMN CCO[C@@H]1C[C@H](NC(=O)c2cnn[nH]2)[C@@]12CCCO2 ZINC000329521064 389168265 /nfs/dbraw/zinc/16/82/65/389168265.db2.gz OSRYPMIZVVDOKU-UMNHJUIQSA-N 0 1 266.301 0.836 20 30 CCEDMN Cc1nnc2n1C[C@@H](C[NH+]=C([O-])N1CCN(C)CC1)CC2 ZINC000329611390 389176531 /nfs/dbraw/zinc/17/65/31/389176531.db2.gz CGWXKEQHGRAVJU-GFCCVEGCSA-N 0 1 292.387 0.310 20 30 CCEDMN CC(C)C(N)=NOCCCN1C(=O)NC(C)(C)C1=O ZINC000128540740 389177520 /nfs/dbraw/zinc/17/75/20/389177520.db2.gz XERANYQDSWZEOE-UHFFFAOYSA-N 0 1 270.333 0.652 20 30 CCEDMN CNC(=O)[C@@H]1CCCN(CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000247515149 389240714 /nfs/dbraw/zinc/24/07/14/389240714.db2.gz KDLXUTLDFOUYOB-DOMZBBRYSA-N 0 1 294.399 0.499 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC[C@](O)(C(N)=O)C1 ZINC000330570751 389242245 /nfs/dbraw/zinc/24/22/45/389242245.db2.gz ORWGAMYBQWKWKN-GXFFZTMASA-N 0 1 282.344 0.109 20 30 CCEDMN C=CC[C@H](C)NC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000342750856 389252126 /nfs/dbraw/zinc/25/21/26/389252126.db2.gz MDWUXFIHYUDPNX-NSHDSACASA-N 0 1 299.334 0.709 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000363686201 389252153 /nfs/dbraw/zinc/25/21/53/389252153.db2.gz JWNVBQPPFCDFRB-MFKMUULPSA-N 0 1 295.343 0.328 20 30 CCEDMN C[N@@H+](CC(=O)Nc1nc(C#N)c(C#N)[n-]1)[C@@H]1CCSC1 ZINC000135560516 389259120 /nfs/dbraw/zinc/25/91/20/389259120.db2.gz GMVAZHCENVQZAX-MRVPVSSYSA-N 0 1 290.352 0.529 20 30 CCEDMN [O-]C(N[C@@H]1CCOC2(CCC2)C1)=[NH+]CCn1cncn1 ZINC000329873521 389207730 /nfs/dbraw/zinc/20/77/30/389207730.db2.gz CFHKCMQMYLAVBF-LLVKDONJSA-N 0 1 279.344 0.883 20 30 CCEDMN O=C(NCCn1cncn1)N[C@@H]1CCOC2(CCC2)C1 ZINC000329873521 389207732 /nfs/dbraw/zinc/20/77/32/389207732.db2.gz CFHKCMQMYLAVBF-LLVKDONJSA-N 0 1 279.344 0.883 20 30 CCEDMN [O-]C(NC[C@@H]1CCc2nccn2C1)=[NH+]C[C@@H]1COCCO1 ZINC000329899853 389209248 /nfs/dbraw/zinc/20/92/48/389209248.db2.gz NGOXSMLAIIUTOS-NWDGAFQWSA-N 0 1 294.355 0.365 20 30 CCEDMN C[C@H](NC(=O)NC[C@H]1CN(C)CCN1C)C1CCOCC1 ZINC000329953865 389214565 /nfs/dbraw/zinc/21/45/65/389214565.db2.gz JQRHGQPQFNGDTP-JSGCOSHPSA-N 0 1 298.431 0.551 20 30 CCEDMN C[N@@H+](CCNC(=O)NC[C@]1(O)CCSC1)C1CC1 ZINC000330014994 389216373 /nfs/dbraw/zinc/21/63/73/389216373.db2.gz NKGNIUPJNROJJY-GFCCVEGCSA-N 0 1 273.402 0.452 20 30 CCEDMN C[C@]1(O)CCN(C([O-])=[NH+][C@H]2CCn3ccnc3C2)C1 ZINC000330057667 389216505 /nfs/dbraw/zinc/21/65/05/389216505.db2.gz XUYPOHPIWXVWLT-GWCFXTLKSA-N 0 1 264.329 0.569 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCN(CCOC)[C@H](CCC)C1 ZINC000245850286 389219117 /nfs/dbraw/zinc/21/91/17/389219117.db2.gz CTODNDWWGKPLRD-HUUCEWRRSA-N 0 1 295.427 0.557 20 30 CCEDMN C=CCCCNC(=O)C(=O)N(C)[C@H]1CCN(C2CC2)C1 ZINC000342474335 389219237 /nfs/dbraw/zinc/21/92/37/389219237.db2.gz DNHKWLFHDMYGGC-ZDUSSCGKSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@H](OCCO)C2)C1=O ZINC000330377393 389222447 /nfs/dbraw/zinc/22/24/47/389222447.db2.gz FGSAEQYBSOSMIW-STQMWFEESA-N 0 1 268.357 0.247 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)N[C@H](C)c1nnc[nH]1 ZINC000330379727 389223239 /nfs/dbraw/zinc/22/32/39/389223239.db2.gz TWICLDIGAKOLCR-RKDXNWHRSA-N 0 1 286.361 0.182 20 30 CCEDMN CCCC[N@@H+](C)CCNC(=O)N1CC(=O)N(C)[C@@H](C)C1 ZINC000330385990 389223572 /nfs/dbraw/zinc/22/35/72/389223572.db2.gz LXERKBNPPCKBCP-LBPRGKRZSA-N 0 1 284.404 0.795 20 30 CCEDMN C[C@H](CCN(C)C)NC(=O)N(C)[C@@H]1CCS(=O)(=O)C1 ZINC000330508764 389237240 /nfs/dbraw/zinc/23/72/40/389237240.db2.gz IWQLTWZRZDSQGH-GHMZBOCLSA-N 0 1 291.417 0.360 20 30 CCEDMN C=CCCN1CCC(N2CCS(=O)(=O)CC2)CC1 ZINC000155987565 389323035 /nfs/dbraw/zinc/32/30/35/389323035.db2.gz AHUCGIRKEUFKCE-UHFFFAOYSA-N 0 1 272.414 0.757 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC000346188421 389323820 /nfs/dbraw/zinc/32/38/20/389323820.db2.gz DRRIEGNNLCUOMJ-VXGBXAGGSA-N 0 1 279.384 0.762 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C[C@H]1CCN(C(C)=O)C1 ZINC000156589029 389326361 /nfs/dbraw/zinc/32/63/61/389326361.db2.gz CUAQZBWTOVEVIX-CQSZACIVSA-N 0 1 294.399 0.549 20 30 CCEDMN COC(=O)[C@H](C)CN(CC(=O)NC1(C#N)CCC1)C1CC1 ZINC000346855993 389339518 /nfs/dbraw/zinc/33/95/18/389339518.db2.gz VRBZQHVQZQQWFN-LLVKDONJSA-N 0 1 293.367 0.822 20 30 CCEDMN N#CC1(NC(=O)CSc2nc(N)cc(N)n2)CCC1 ZINC000343813576 389273200 /nfs/dbraw/zinc/27/32/00/389273200.db2.gz PCIUQHZOYYGMDF-UHFFFAOYSA-N 0 1 278.341 0.126 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N[C@H](C)C[S@](C)=O ZINC000331014542 389283330 /nfs/dbraw/zinc/28/33/30/389283330.db2.gz XGCLRKPAINDJPS-GJEGPGMTSA-N 0 1 258.347 0.489 20 30 CCEDMN Cc1ccc2[nH]cc(C(=O)NCC(=O)NCC#N)c(=O)c2c1 ZINC000345113104 389290216 /nfs/dbraw/zinc/29/02/16/389290216.db2.gz GTXJZUGLMKZBRK-UHFFFAOYSA-N 0 1 298.302 0.206 20 30 CCEDMN N#CCC(=O)NCCN1CCN(Cc2ccccc2)CC1 ZINC000153497321 389302418 /nfs/dbraw/zinc/30/24/18/389302418.db2.gz NJANKOYAYXZXNF-UHFFFAOYSA-N 0 1 286.379 0.834 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(C2CCOCC2)CC1 ZINC000408389463 389345850 /nfs/dbraw/zinc/34/58/50/389345850.db2.gz KONQIVXIEMTFFI-OAHLLOKOSA-N 0 1 282.384 0.637 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@H](O)CC[C@H]1C ZINC000421012104 389346960 /nfs/dbraw/zinc/34/69/60/389346960.db2.gz JICVFYLWJHIKDV-JHJVBQTASA-N 0 1 267.373 0.840 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H](C)[C@H]1CO ZINC000159241564 389362540 /nfs/dbraw/zinc/36/25/40/389362540.db2.gz SNWHUSURWVFYQB-GHMZBOCLSA-N 0 1 269.345 0.091 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000333724813 389441806 /nfs/dbraw/zinc/44/18/06/389441806.db2.gz SDOFJYAANWZXFV-MJBXVCDLSA-N 0 1 296.411 0.881 20 30 CCEDMN Cc1nn(C)c(N2CCN(CCC(C)(C)O)CC2)c1C#N ZINC000349853547 389445395 /nfs/dbraw/zinc/44/53/95/389445395.db2.gz MODHGPJREVSMAL-UHFFFAOYSA-N 0 1 291.399 0.883 20 30 CCEDMN C[C@H](O)[C@@H]1CCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000168386536 389449560 /nfs/dbraw/zinc/44/95/60/389449560.db2.gz AITLBXKMBUNLTF-QWHCGFSZSA-N 0 1 278.356 0.345 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN(CCN2CCOCC2)C1 ZINC000373794158 389515829 /nfs/dbraw/zinc/51/58/29/389515829.db2.gz ABAMKZURNWCISZ-OAHLLOKOSA-N 0 1 293.411 0.313 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2C[C@H](C)N[C@@H](C)C2)CC1 ZINC000335190444 389541425 /nfs/dbraw/zinc/54/14/25/389541425.db2.gz FVXPSTCAJIEVOV-RYUDHWBXSA-N 0 1 279.384 0.620 20 30 CCEDMN COc1cc(CN2C[C@H](O)C[C@@H]2CO)ccc1C#N ZINC000374214885 389558773 /nfs/dbraw/zinc/55/87/73/389558773.db2.gz AIDYCUFHAURWIH-CHWSQXEVSA-N 0 1 262.309 0.494 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H]3NC(=O)OC[C@H]3C2)C1=O ZINC000281206334 389610963 /nfs/dbraw/zinc/61/09/63/389610963.db2.gz CUCPRWLBBBRJHW-GRYCIOLGSA-N 0 1 279.340 0.204 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@H](C(=O)OC)[C@H](C)C2)C1=O ZINC000281217274 389611115 /nfs/dbraw/zinc/61/11/15/389611115.db2.gz DNQAMWQXGROQRD-AGIUHOORSA-N 0 1 280.368 0.904 20 30 CCEDMN C#C[C@@H](NC(=O)NCCCN1CCC[C@H]1C(N)=O)C(C)C ZINC000281548462 389619802 /nfs/dbraw/zinc/61/98/02/389619802.db2.gz YVIZPICBPATURE-OLZOCXBDSA-N 0 1 294.399 0.283 20 30 CCEDMN C=CCC1(C(=O)NC[C@H]2CN(C)CCN2C)CCOCC1 ZINC000424662120 389628205 /nfs/dbraw/zinc/62/82/05/389628205.db2.gz IWXIUQDMFPMIEW-AWEZNQCLSA-N 0 1 295.427 0.721 20 30 CCEDMN C=CCCN(C)CC(=O)N[C@@H](C(=O)OC)C(C)C ZINC000351630046 389640647 /nfs/dbraw/zinc/64/06/47/389640647.db2.gz QPDBXCJWRKIIJB-GFCCVEGCSA-N 0 1 256.346 0.808 20 30 CCEDMN C=CCCCS(=O)(=O)N1CC[C@H](c2nc[nH]n2)C1 ZINC000425214606 389641365 /nfs/dbraw/zinc/64/13/65/389641365.db2.gz JYCPVSADSDFCEL-JTQLQIEISA-N 0 1 270.358 0.890 20 30 CCEDMN C=CCCCC(=O)N1CCN(C)C[C@H](C(=O)OC)C1 ZINC000413902052 389577365 /nfs/dbraw/zinc/57/73/65/389577365.db2.gz NCFGWAZBTXYZJN-LBPRGKRZSA-N 0 1 268.357 0.906 20 30 CCEDMN C=CCN(CCC)[C@H](CC(=O)NCCC)C(N)=O ZINC000180599899 389672972 /nfs/dbraw/zinc/67/29/72/389672972.db2.gz PMQXHXRXJOEAAY-LLVKDONJSA-N 0 1 255.362 0.655 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)C(=O)Nc1sccc1C#N ZINC000415347184 389675961 /nfs/dbraw/zinc/67/59/61/389675961.db2.gz SZCVYSRWESDVSM-MRVPVSSYSA-N 0 1 278.337 0.379 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)C(=O)Nc1cccc(C#N)c1 ZINC000415350023 389676822 /nfs/dbraw/zinc/67/68/22/389676822.db2.gz YFHDKENXTJSJFE-SNVBAGLBSA-N 0 1 272.308 0.317 20 30 CCEDMN C=CCNC(=O)CNCc1ccc(OC)c(C#N)c1 ZINC000181127861 389681228 /nfs/dbraw/zinc/68/12/28/389681228.db2.gz AQONAURVJUVOKW-UHFFFAOYSA-N 0 1 259.309 0.959 20 30 CCEDMN C=CCN(CCC#N)C[C@H](O)CN1C[C@@H](C)O[C@@H](C)C1 ZINC000428856846 389723933 /nfs/dbraw/zinc/72/39/33/389723933.db2.gz OIOPIZVNHOCCNF-ZNMIVQPWSA-N 0 1 281.400 0.858 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCCN2CCOCC2)o1 ZINC000429849965 389773332 /nfs/dbraw/zinc/77/33/32/389773332.db2.gz LLIFLJNVSFDJOJ-UHFFFAOYSA-N 0 1 277.324 0.993 20 30 CCEDMN C[C@H](NS(=O)(=O)c1ccccc1C#N)c1nnc[nH]1 ZINC000183207014 389727788 /nfs/dbraw/zinc/72/77/88/389727788.db2.gz UJNHEJKZGPGQJS-QMMMGPOBSA-N 0 1 277.309 0.716 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1C[C@H](C)N(CCO)C[C@@H]1C ZINC000416305516 389730588 /nfs/dbraw/zinc/73/05/88/389730588.db2.gz IXWVHFMOMMNJGW-OBJOEFQTSA-N 0 1 270.373 0.227 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NC[C@H]1N(C)CCCC1(C)C ZINC000416475306 389747181 /nfs/dbraw/zinc/74/71/81/389747181.db2.gz JFOFUXVHISCGOT-GHMZBOCLSA-N 0 1 273.402 0.938 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NCCN1CCC[C@H](C)C1 ZINC000352412584 389806987 /nfs/dbraw/zinc/80/69/87/389806987.db2.gz GWXDCRLTJKUYLA-QWRGUYRKSA-N 0 1 259.375 0.550 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NC1CCN(C)CC1 ZINC000407974159 389817206 /nfs/dbraw/zinc/81/72/06/389817206.db2.gz CKWPZKJOCVJMFB-JTQLQIEISA-N 0 1 274.390 0.149 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@@H]([C@@H]2CCOC2)C1 ZINC000441289197 389829970 /nfs/dbraw/zinc/82/99/70/389829970.db2.gz CZBMGAUQSCRMGR-VXGBXAGGSA-N 0 1 251.330 0.375 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NCC(C)(C)C#N ZINC000353053035 389845145 /nfs/dbraw/zinc/84/51/45/389845145.db2.gz YEGPYSIPOKUTKY-GFCCVEGCSA-N 0 1 281.404 0.471 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1C[C@H](C)NC[C@@H]1C ZINC000418892179 389885102 /nfs/dbraw/zinc/88/51/02/389885102.db2.gz VRPULIOHIBMDAV-QWRGUYRKSA-N 0 1 253.346 0.278 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC(C)(C)CC#N)C[C@H](C)N1 ZINC000418968180 389885135 /nfs/dbraw/zinc/88/51/35/389885135.db2.gz AZQQBISYVIDLHD-PHIMTYICSA-N 0 1 280.372 0.251 20 30 CCEDMN C[C@H]1CN(C(=O)NCC(C)(C)C#N)[C@@H](C)CN1CCO ZINC000419481136 389892388 /nfs/dbraw/zinc/89/23/88/389892388.db2.gz QXSMGNDWXGFJMG-RYUDHWBXSA-N 0 1 282.388 0.633 20 30 CCEDMN CC#CCCNC(=O)NCCN1CCCOCC1 ZINC000446645277 389938339 /nfs/dbraw/zinc/93/83/39/389938339.db2.gz SGYLCPPBKDEKHY-UHFFFAOYSA-N 0 1 253.346 0.421 20 30 CCEDMN COCC[N@H+]1CC[C@@H](NC(=O)c2cc(C)c(C#N)cn2)C1 ZINC000457547228 390046203 /nfs/dbraw/zinc/04/62/03/390046203.db2.gz HUQZTEVKQDTGNI-CYBMUJFWSA-N 0 1 288.351 0.712 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2cc(C)c(C#N)cn2)C1 ZINC000457547228 390046205 /nfs/dbraw/zinc/04/62/05/390046205.db2.gz HUQZTEVKQDTGNI-CYBMUJFWSA-N 0 1 288.351 0.712 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CC(=O)N[C@H](CC)C1 ZINC000490949732 390108978 /nfs/dbraw/zinc/10/89/78/390108978.db2.gz LXYANTNMHOQLIK-MGPQQGTHSA-N 0 1 292.379 0.932 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CCC(CC#N)CC2)C1 ZINC000540986948 390233647 /nfs/dbraw/zinc/23/36/47/390233647.db2.gz FWTSJBOSDHJTDM-CQSZACIVSA-N 0 1 293.415 0.567 20 30 CCEDMN CCc1nc([C@@H](C)NC(=O)NC[C@@H](C)C#N)n[nH]1 ZINC000541946871 390255153 /nfs/dbraw/zinc/25/51/53/390255153.db2.gz VEAAVWPZZXDHFG-JGVFFNPUSA-N 0 1 250.306 0.887 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000582231734 390271082 /nfs/dbraw/zinc/27/10/82/390271082.db2.gz UAIRZTURTCODCI-GRYCIOLGSA-N 0 1 268.361 0.243 20 30 CCEDMN CN(CCCOC(=O)NC(N)=O)CC(C)(C)C#N ZINC000496695341 390357455 /nfs/dbraw/zinc/35/74/55/390357455.db2.gz PVBGKCIMRUQXRT-UHFFFAOYSA-N 0 1 256.306 0.663 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCN(C3CC3)C2)nn1 ZINC000648750588 390401143 /nfs/dbraw/zinc/40/11/43/390401143.db2.gz XFMAYIKATROCRO-SNVBAGLBSA-N 0 1 261.329 0.431 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1C[C@@H](O)C(C)(C)C1 ZINC000563859367 390494132 /nfs/dbraw/zinc/49/41/32/390494132.db2.gz DSZABAOJEVZZOR-WDEREUQCSA-N 0 1 253.346 0.450 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(C(=O)NCC2(C#N)CC2)C1 ZINC000516549734 390482540 /nfs/dbraw/zinc/48/25/40/390482540.db2.gz SWAYNIJOMNFWNY-LLVKDONJSA-N 0 1 294.355 0.036 20 30 CCEDMN N#CC1(CNC(=O)N2CCN(C[C@H]3CCOC3)CC2)CC1 ZINC000566656040 390636855 /nfs/dbraw/zinc/63/68/55/390636855.db2.gz IGSIGDVPIMGEBR-CYBMUJFWSA-N 0 1 292.383 0.654 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@@H]2CCC(=O)N(C)C2)C1=O ZINC000568178357 390712256 /nfs/dbraw/zinc/71/22/56/390712256.db2.gz NBMHILVABIPXKP-VXGBXAGGSA-N 0 1 265.357 0.326 20 30 CCEDMN C=C[C@H](O)C(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000646621090 390700743 /nfs/dbraw/zinc/70/07/43/390700743.db2.gz QUYSNZOTOKRAHP-TUAOUCFPSA-N 0 1 256.346 0.149 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NC[C@@H]1CCN1C1CCCC1 ZINC000528547870 390756719 /nfs/dbraw/zinc/75/67/19/390756719.db2.gz JFHCUMWQUXHEPP-JQWIXIFHSA-N 0 1 271.386 0.835 20 30 CCEDMN N#CCc1ccc(NC(=O)NC[C@H]2COCCN2)cc1 ZINC000528667710 390767010 /nfs/dbraw/zinc/76/70/10/390767010.db2.gz KKLFEDBXCDSWOE-ZDUSSCGKSA-N 0 1 274.324 0.863 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCN(CCOC)[C@@H](CCC)C1 ZINC000646949560 390747076 /nfs/dbraw/zinc/74/70/76/390747076.db2.gz KYFCUKJICSSQEC-QWHCGFSZSA-N 0 1 270.373 0.493 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC(C)(N2CCOCC2)CC1 ZINC000529909962 390873062 /nfs/dbraw/zinc/87/30/62/390873062.db2.gz RHSKGQRMERXHNJ-OAHLLOKOSA-N 0 1 282.384 0.637 20 30 CCEDMN CN1CCC(C#N)(NC(=O)COc2ccc(O)cc2)CC1 ZINC000530576555 390876790 /nfs/dbraw/zinc/87/67/90/390876790.db2.gz IWVIJDYEVWCKND-UHFFFAOYSA-N 0 1 289.335 0.875 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCOC[C@H]2CCCO2)C1=O ZINC000532213072 390878936 /nfs/dbraw/zinc/87/89/36/390878936.db2.gz YJJLMCXWBPDYOH-ZIAGYGMSSA-N 0 1 282.384 0.901 20 30 CCEDMN CCC(C#N)(CC)C(=O)N1CC[C@@H](N2CC[C@H](O)C2)C1 ZINC000529388030 390826870 /nfs/dbraw/zinc/82/68/70/390826870.db2.gz NXISAWKTQOBIEI-OLZOCXBDSA-N 0 1 279.384 0.984 20 30 CCEDMN CN1CCC[N@H+](C[C@H](O)COc2ccccc2C#N)CC1 ZINC000034674329 397375435 /nfs/dbraw/zinc/37/54/35/397375435.db2.gz HYKXIBSWCXONFI-HNNXBMFYSA-N 0 1 289.379 0.935 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)c2cnccc2C#N)C1 ZINC000358717211 144175357 /nfs/dbraw/zinc/17/53/57/144175357.db2.gz QXENTUOTDILXIW-GFCCVEGCSA-N 0 1 274.324 0.404 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)NCC2CC2)[nH]1 ZINC000359019597 144190741 /nfs/dbraw/zinc/19/07/41/144190741.db2.gz FLLCIDRAEZEVDC-UHFFFAOYSA-N 0 1 275.312 0.222 20 30 CCEDMN C=C(C)[C@H](CO)N1CCN(CCOCCOC)CC1 ZINC000649896175 397680900 /nfs/dbraw/zinc/68/09/00/397680900.db2.gz GHKHOALGJXNWTG-AWEZNQCLSA-N 0 1 272.389 0.204 20 30 CCEDMN C=CCCn1cc(CN[C@H]2CCCC[C@H]2C(N)=O)nn1 ZINC000653616405 412324162 /nfs/dbraw/zinc/32/41/62/412324162.db2.gz UVJGYIIXRWFAIZ-OLZOCXBDSA-N 0 1 277.372 0.988 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NCc1coc(S(N)(=O)=O)c1 ZINC000660737818 414467516 /nfs/dbraw/zinc/46/75/16/414467516.db2.gz IVNVITABFRDYPN-MNOVXSKESA-N 0 1 286.353 0.750 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CCC(O)(CO)CC1 ZINC000661617280 414654823 /nfs/dbraw/zinc/65/48/23/414654823.db2.gz AFIAFFHYKDJTAP-CYBMUJFWSA-N 0 1 282.384 0.395 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@H](CN2CCCC2=O)C1 ZINC000662058595 414689612 /nfs/dbraw/zinc/68/96/12/414689612.db2.gz CPJFGJDPJSROSC-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCOc1cccc(C)c1 ZINC000662166113 414694864 /nfs/dbraw/zinc/69/48/64/414694864.db2.gz SGDKDKJHAXVKGD-CYBMUJFWSA-N 0 1 278.352 0.627 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1ccc2c(c1)OCO2 ZINC000662167181 414695328 /nfs/dbraw/zinc/69/53/28/414695328.db2.gz UJKGSQISIHLEGQ-LLVKDONJSA-N 0 1 278.308 0.168 20 30 CCEDMN C=CC[C@@H]1CCCC[C@@H]1NC(=O)CNC(=O)CN ZINC000662600244 414731674 /nfs/dbraw/zinc/73/16/74/414731674.db2.gz FHAAZRCJSBXDAX-MNOVXSKESA-N 0 1 253.346 0.312 20 30 CCEDMN COC[C@@H](NCC(=O)NC1(C#N)CCC1)[C@@H]1CCCO1 ZINC000347082828 226043060 /nfs/dbraw/zinc/04/30/60/226043060.db2.gz KLQGVDADYVLVON-NEPJUHHUSA-N 0 1 281.356 0.332 20 30 CCEDMN C[C@H](O)[C@H]1CCCCN1CC(=O)NC1(C#N)CCC1 ZINC000347028882 226019223 /nfs/dbraw/zinc/01/92/23/226019223.db2.gz HNISCHLMQPCLIY-NWDGAFQWSA-N 0 1 265.357 0.784 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NC[C@@]1(O)CCSC1 ZINC000329094464 227293278 /nfs/dbraw/zinc/29/32/78/227293278.db2.gz XNFTWDVBGYHTFS-YPMHNXCESA-N 0 1 288.413 0.779 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@@H]1CCC(=O)N(C)C1 ZINC000329094044 227293439 /nfs/dbraw/zinc/29/34/39/227293439.db2.gz XMEIVWZEWWFEHU-VXGBXAGGSA-N 0 1 283.372 0.532 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+]C[C@@H](O)C1CC1)CC2 ZINC000329166590 227337626 /nfs/dbraw/zinc/33/76/26/227337626.db2.gz HXLGUNAQWJKPKF-GHMZBOCLSA-N 0 1 279.344 0.176 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NC[C@@H](O)C1CC1)CC2 ZINC000329166590 227337631 /nfs/dbraw/zinc/33/76/31/227337631.db2.gz HXLGUNAQWJKPKF-GHMZBOCLSA-N 0 1 279.344 0.176 20 30 CCEDMN C[C@@H]1CN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@H](C)N1C ZINC000331933845 228139633 /nfs/dbraw/zinc/13/96/33/228139633.db2.gz WWBNXXJQVRBPBF-ZOWXZIJZSA-N 0 1 278.400 0.819 20 30 CCEDMN N#CCC1(CNC(=O)NCCN2CCCOCC2)CC1 ZINC000330360810 228167603 /nfs/dbraw/zinc/16/76/03/228167603.db2.gz XOKGPWJOTJYCQE-UHFFFAOYSA-N 0 1 280.372 0.906 20 30 CCEDMN CN(C)CC(=O)N1CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC000407988071 260151462 /nfs/dbraw/zinc/15/14/62/260151462.db2.gz CABQSPKRHXMKBK-STQMWFEESA-N 0 1 264.373 0.384 20 30 CCEDMN CCCCNC(=O)C1(NCC(=O)NCC#N)CCCCC1 ZINC000085906602 260381180 /nfs/dbraw/zinc/38/11/80/260381180.db2.gz ZUHHSDYCNPZSQF-UHFFFAOYSA-N 0 1 294.399 0.835 20 30 CCEDMN C#C[C@@H](NC(=O)[C@H](C)CN1CCOCC1)C(C)C ZINC000490629817 261172526 /nfs/dbraw/zinc/17/25/26/261172526.db2.gz NVJZFKKCHLCGDJ-CHWSQXEVSA-N 0 1 252.358 0.729 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+]1CCC[C@@H](C)[C@H]1C(=O)[O-] ZINC000300294936 261190397 /nfs/dbraw/zinc/19/03/97/261190397.db2.gz MATYUBKRPLQLQE-KOLCDFICSA-N 0 1 283.328 0.183 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CCC[C@@H](C)[C@H]1C(=O)[O-] ZINC000300294936 261190399 /nfs/dbraw/zinc/19/03/99/261190399.db2.gz MATYUBKRPLQLQE-KOLCDFICSA-N 0 1 283.328 0.183 20 30 CCEDMN C#CCN1CCC(C(=O)OCCN2CCO[C@H](C)C2)CC1 ZINC000491047986 261310747 /nfs/dbraw/zinc/31/07/47/261310747.db2.gz WIOAXYHMCSNASC-CQSZACIVSA-N 0 1 294.395 0.596 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2[nH]nc3ccccc32)CCO1 ZINC000106053209 261366768 /nfs/dbraw/zinc/36/67/68/261366768.db2.gz PEHPQKDECMZELC-VIFPVBQESA-N 0 1 256.265 0.927 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1C[C@H](O)C2(CC2)C1 ZINC000364320543 262201318 /nfs/dbraw/zinc/20/13/18/262201318.db2.gz XPSOQZKSPMWVQQ-MNOVXSKESA-N 0 1 251.330 0.204 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@]2(C1)c1ccccc1NC2=O ZINC000364436768 262239229 /nfs/dbraw/zinc/23/92/29/262239229.db2.gz ZCEVOIWKBKLCFF-PXAZEXFGSA-N 0 1 297.358 0.720 20 30 CCEDMN C=CCC[N@H+](C)CCNS(=O)(=O)c1cnn(C)c1 ZINC000336789663 262393506 /nfs/dbraw/zinc/39/35/06/262393506.db2.gz UNVYMUDLDFTHOU-UHFFFAOYSA-N 0 1 272.374 0.206 20 30 CCEDMN C[C@@H](N[C@H](C)c1cnn(C)c1)C(=O)N(C)CCC#N ZINC000273371800 263016288 /nfs/dbraw/zinc/01/62/88/263016288.db2.gz LBCVVWQCZFAQAM-GHMZBOCLSA-N 0 1 263.345 0.831 20 30 CCEDMN C[C@@H](CC#N)NC(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275632756 263033697 /nfs/dbraw/zinc/03/36/97/263033697.db2.gz UDIZNGPOUPJTNM-QMMMGPOBSA-N 0 1 258.285 0.842 20 30 CCEDMN C#CCN(CC#N)C(=O)c1n[nH]c(CC)c1[N+](=O)[O-] ZINC000281871405 263072554 /nfs/dbraw/zinc/07/25/54/263072554.db2.gz CHHMLQFLODLKKZ-UHFFFAOYSA-N 0 1 261.241 0.479 20 30 CCEDMN CC[C@](C)(C#N)C(=O)Nc1nc(SCCO)n[nH]1 ZINC000288568802 263104855 /nfs/dbraw/zinc/10/48/55/263104855.db2.gz XIVOFOFFHJYFQI-SNVBAGLBSA-N 0 1 269.330 0.767 20 30 CCEDMN C=CCNC(=O)CN[C@H](c1cccs1)c1nnc[nH]1 ZINC000340336436 263116470 /nfs/dbraw/zinc/11/64/70/263116470.db2.gz GLUSMUSKVBHERA-LLVKDONJSA-N 0 1 277.353 0.847 20 30 CCEDMN CCO[C@@H]1C[C@@H]([N@@H+](C)CC(=O)NCC#N)C1(C)C ZINC000120590322 263287756 /nfs/dbraw/zinc/28/77/56/263287756.db2.gz TVAWYWNJQGAKCZ-GHMZBOCLSA-N 0 1 253.346 0.762 20 30 CCEDMN CCO[C@@H]1C[C@@H](N(C)CC(=O)NCC#N)C1(C)C ZINC000120590322 263287757 /nfs/dbraw/zinc/28/77/57/263287757.db2.gz TVAWYWNJQGAKCZ-GHMZBOCLSA-N 0 1 253.346 0.762 20 30 CCEDMN CCN(CCC#N)C(=O)[C@H](C)CN1CCOCC1 ZINC000341904251 263364961 /nfs/dbraw/zinc/36/49/61/263364961.db2.gz YIVHPWLRHVJABN-GFCCVEGCSA-N 0 1 253.346 0.717 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC(C)(OC)CC1 ZINC000342150088 263399524 /nfs/dbraw/zinc/39/95/24/263399524.db2.gz JBLJOJKHCPNQFC-UHFFFAOYSA-N 0 1 269.345 0.499 20 30 CCEDMN COC(=O)[C@@H]1CCN([C@H](C)C(=O)NC(=O)NCC(C)C)C1 ZINC000330447677 263954012 /nfs/dbraw/zinc/95/40/12/263954012.db2.gz YQOMNNHPUMTIGM-GHMZBOCLSA-N 0 1 299.371 0.556 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCCCCC#N)C1 ZINC000330710582 264021102 /nfs/dbraw/zinc/02/11/02/264021102.db2.gz XIIUDUUQGFSQLF-GFCCVEGCSA-N 0 1 267.377 0.430 20 30 CCEDMN CCC(O)(CC)CNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000330757591 264030359 /nfs/dbraw/zinc/03/03/59/264030359.db2.gz ZSPKLRZLPWOGGZ-GFCCVEGCSA-N 0 1 286.420 0.287 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](C(=O)N2CCCC2)C1 ZINC000330732758 264037567 /nfs/dbraw/zinc/03/75/67/264037567.db2.gz LFEYTLLLUCSLGS-ZDUSSCGKSA-N 0 1 279.384 0.623 20 30 CCEDMN C[C@H](O)C[C@H](C)NC(=O)c1cccn2c(O)nnc12 ZINC000330838157 264051735 /nfs/dbraw/zinc/05/17/35/264051735.db2.gz WXTAINFKPRGLOY-YUMQZZPRSA-N 0 1 264.285 0.899 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000330909223 264075312 /nfs/dbraw/zinc/07/53/12/264075312.db2.gz OUQOGGVXGWWBHE-GOEBONIOSA-N 0 1 296.411 0.884 20 30 CCEDMN CC(C)(CCC#N)CN1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000330919938 264094187 /nfs/dbraw/zinc/09/41/87/264094187.db2.gz ZBNRDMFEZIVWBU-JTQLQIEISA-N 0 1 264.329 0.552 20 30 CCEDMN C[C@@H]1CN(CCCNC(=O)NCCF)C[C@H](C)O1 ZINC000331221541 264166509 /nfs/dbraw/zinc/16/65/09/264166509.db2.gz AXYONLAVCGAJMR-PHIMTYICSA-N 0 1 261.341 0.959 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@H](OC)C[C@H]1C ZINC000331260037 264183893 /nfs/dbraw/zinc/18/38/93/264183893.db2.gz AJEQXQIFEKLBTF-MNOVXSKESA-N 0 1 269.345 0.702 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](OC)C[C@H]1C ZINC000331260037 264183895 /nfs/dbraw/zinc/18/38/95/264183895.db2.gz AJEQXQIFEKLBTF-MNOVXSKESA-N 0 1 269.345 0.702 20 30 CCEDMN CO[C@H]1CCCN([C@H](C)C(=O)N(C)CCC#N)C1 ZINC000076346414 264336990 /nfs/dbraw/zinc/33/69/90/264336990.db2.gz HRFKFLCAXWCTRW-NEPJUHHUSA-N 0 1 253.346 0.858 20 30 CCEDMN CC(C)(O)CN(CC(=O)N(CCC#N)CCC#N)C1CC1 ZINC000189944276 264338180 /nfs/dbraw/zinc/33/81/80/264338180.db2.gz IPFKJYFGKFADTC-UHFFFAOYSA-N 0 1 292.383 0.878 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@H]1CN(C(C)C)CCO1 ZINC000424928539 264381744 /nfs/dbraw/zinc/38/17/44/264381744.db2.gz ZNPCPMHSQXKFGY-IHRRRGAJSA-N 0 1 282.384 0.803 20 30 CCEDMN C#CCOCCC(=O)Nc1nc(-c2ccncc2)n[nH]1 ZINC000367776692 267117134 /nfs/dbraw/zinc/11/71/34/267117134.db2.gz FZKKARWBMAQCTE-UHFFFAOYSA-N 0 1 271.280 0.845 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@@H]1CN2CCC[C@@H]2CO1 ZINC000368564830 267186378 /nfs/dbraw/zinc/18/63/78/267186378.db2.gz WICMCCOUHYKKIE-VXGBXAGGSA-N 0 1 274.386 0.345 20 30 CCEDMN N#CCCCCNC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000368987709 267216370 /nfs/dbraw/zinc/21/63/70/267216370.db2.gz SXDSUHLLLBROJD-ZIAGYGMSSA-N 0 1 294.399 0.921 20 30 CCEDMN C#CCCCCNC(=O)NC[C@@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000371138048 267354452 /nfs/dbraw/zinc/35/44/52/267354452.db2.gz DLCIAZZODFAHEQ-UONOGXRCSA-N 0 1 279.384 0.952 20 30 CCEDMN N#Cc1c(CN2C[C@@H](CO)[C@H](CO)C2)cn2ccccc12 ZINC000528606158 268158990 /nfs/dbraw/zinc/15/89/90/268158990.db2.gz KYIFGCNSUOGHHA-KBPBESRZSA-N 0 1 285.347 0.844 20 30 CCEDMN C[C@H](CN(C)C(=O)c1c[nH]c(C#N)c1)c1nn[nH]n1 ZINC000358400698 278176871 /nfs/dbraw/zinc/17/68/71/278176871.db2.gz ZNUDNTAPZLHZTO-SSDOTTSWSA-N 0 1 259.273 0.275 20 30 CCEDMN CCN(C[C@@H](O)c1ccc(C#N)cc1)[C@H]1CCNC1=O ZINC000282476776 279219012 /nfs/dbraw/zinc/21/90/12/279219012.db2.gz VAYJYJNNWHDQDT-UONOGXRCSA-N 0 1 273.336 0.802 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCCN([C@H](C)CO)CC1 ZINC000355147164 288382929 /nfs/dbraw/zinc/38/29/29/288382929.db2.gz IFADMWHYXHKDKR-TZMCWYRMSA-N 0 1 267.373 0.841 20 30 CCEDMN O=C(N[C@@H]1CCc2ncnn2C1)N1CC2(C1)CCOCC2 ZINC000330187028 295384662 /nfs/dbraw/zinc/38/46/62/295384662.db2.gz KDBPYYFUEJBOHH-LLVKDONJSA-N 0 1 291.355 0.619 20 30 CCEDMN C=CCn1cc(CNC(=O)CN2CC[C@H](C)C2)nn1 ZINC000563408301 328061540 /nfs/dbraw/zinc/06/15/40/328061540.db2.gz JFDLJMZKXNYXOS-NSHDSACASA-N 0 1 263.345 0.422 20 30 CCEDMN CO[C@H](C)[C@@H](C)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000582541400 329109112 /nfs/dbraw/zinc/10/91/12/329109112.db2.gz HCTKYWYPEOXWSR-GHMZBOCLSA-N 0 1 297.380 0.740 20 30 CCEDMN C=CC[N@H+](C)[C@@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000121548790 328375314 /nfs/dbraw/zinc/37/53/14/328375314.db2.gz DWZJLHOEXFOCMP-ZDUSSCGKSA-N 0 1 289.383 0.632 20 30 CCEDMN C=CCN(C)[C@@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000121548790 328375316 /nfs/dbraw/zinc/37/53/16/328375316.db2.gz DWZJLHOEXFOCMP-ZDUSSCGKSA-N 0 1 289.383 0.632 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@H]1C(=O)NCC[C@@H]1C ZINC000193602250 328524455 /nfs/dbraw/zinc/52/44/55/328524455.db2.gz UXJAPPPBDZKYAY-WCQYABFASA-N 0 1 267.373 0.525 20 30 CCEDMN COC(=O)CC1(NCC(=O)N[C@](C)(C#N)C(C)C)CC1 ZINC000569850613 327551927 /nfs/dbraw/zinc/55/19/27/327551927.db2.gz FADVLKVWISMRAF-CYBMUJFWSA-N 0 1 281.356 0.726 20 30 CCEDMN CNC(=O)CCN(C)[C@H](C)C(=O)NC1(C#N)CCCCC1 ZINC000170792184 329068468 /nfs/dbraw/zinc/06/84/68/329068468.db2.gz XUABFHLRXVEKJB-GFCCVEGCSA-N 0 1 294.399 0.785 20 30 CCEDMN C=CCOCCCC(=O)NCCC[NH+]1CCN(C)CC1 ZINC000173118925 329145075 /nfs/dbraw/zinc/14/50/75/329145075.db2.gz YBDNBIKVYUORBG-UHFFFAOYSA-N 0 1 283.416 0.723 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1ccc(-c2nnc[nH]2)cc1 ZINC000185874694 329334114 /nfs/dbraw/zinc/33/41/14/329334114.db2.gz KYHSFVHIKQYWIY-LBPRGKRZSA-N 0 1 283.291 0.836 20 30 CCEDMN CNC(=O)NC(=O)[C@H](C)N1CCC(CC#N)CC1 ZINC000397217968 329344715 /nfs/dbraw/zinc/34/47/15/329344715.db2.gz HSEYLTXWCGGIQC-VIFPVBQESA-N 0 1 252.318 0.456 20 30 CCEDMN N#Cc1ccc(C(=O)NCCN2CC=CCC2)nc1 ZINC000392895781 329397825 /nfs/dbraw/zinc/39/78/25/329397825.db2.gz XHCIWWOYBAWALN-UHFFFAOYSA-N 0 1 256.309 0.945 20 30 CCEDMN C=CCCC[C@@H](C)NC(=O)C(=O)N1CCNCC1 ZINC000419160868 329709853 /nfs/dbraw/zinc/70/98/53/329709853.db2.gz ZWNVMJFYSMHKAS-LLVKDONJSA-N 0 1 253.346 0.279 20 30 CCEDMN [NH2+]=C(NCc1ncccc1[O-])NC[C@@H]1CCCO1 ZINC000262378687 330011712 /nfs/dbraw/zinc/01/17/12/330011712.db2.gz NLZWLLGZAGGAFZ-VIFPVBQESA-N 0 1 250.302 0.371 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N(C)CC(C)(C)C#N)C[C@H](C)N1 ZINC000423674045 330213731 /nfs/dbraw/zinc/21/37/31/330213731.db2.gz GNHJEKLUFZAVIE-PHIMTYICSA-N 0 1 280.372 0.203 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)N(C)C[C@H](C)C#N ZINC000423738611 330214795 /nfs/dbraw/zinc/21/47/95/330214795.db2.gz HJEKRGIKQIQECW-VXGBXAGGSA-N 0 1 280.372 0.205 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000533113800 330345467 /nfs/dbraw/zinc/34/54/67/330345467.db2.gz JDDDITPQFPWGLD-GHMZBOCLSA-N 0 1 279.344 0.887 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CCCN(CC)CC1 ZINC000459916321 331303328 /nfs/dbraw/zinc/30/33/28/331303328.db2.gz DTVQCXUIGFJCKD-UHFFFAOYSA-N 0 1 267.373 0.575 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@H](CC)C2)CC1 ZINC000490574512 332092213 /nfs/dbraw/zinc/09/22/13/332092213.db2.gz ZXDXTYAOJCCXAP-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(CC)C(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000490648780 332099595 /nfs/dbraw/zinc/09/95/95/332099595.db2.gz CHLBRJBMUHPBDP-UHFFFAOYSA-N 0 1 297.318 0.109 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC=C(C)CC2)CC1 ZINC000490891635 332124603 /nfs/dbraw/zinc/12/46/03/332124603.db2.gz GJGBFHRFBBNHPR-UHFFFAOYSA-N 0 1 261.369 0.416 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)Cc1nc2ncccn2n1 ZINC000490897578 332126774 /nfs/dbraw/zinc/12/67/74/332126774.db2.gz CTDHVVKQGYQFHZ-LBPRGKRZSA-N 0 1 299.334 0.308 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H]2CCN(C(C)C)C2=O)CC1 ZINC000491066995 332144473 /nfs/dbraw/zinc/14/44/73/332144473.db2.gz FTGQYUKZMPVWDS-AWEZNQCLSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCNC(=O)C1CCN(c2ncnc3[nH]cnc32)CC1 ZINC000491105482 332150369 /nfs/dbraw/zinc/15/03/69/332150369.db2.gz WFFWVBFNJDJIDH-UHFFFAOYSA-N 0 1 284.323 0.319 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)N=c1[nH]n(C)cc1C(=O)OCC ZINC000491142891 332155652 /nfs/dbraw/zinc/15/56/52/332155652.db2.gz DLMNYHRJXRKHRC-JTQLQIEISA-N 0 1 292.339 0.942 20 30 CCEDMN CCN1CCN([C@@H]2CCN(c3ccnc(C#N)n3)C2)CC1 ZINC000575329012 335094879 /nfs/dbraw/zinc/09/48/79/335094879.db2.gz CMMUDPDYDSEABW-CYBMUJFWSA-N 0 1 286.383 0.564 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(C)(C(=O)OC)C2)CC1 ZINC000491484036 332219712 /nfs/dbraw/zinc/21/97/12/332219712.db2.gz GSOKXUZMJHNIGU-UHFFFAOYSA-N 0 1 278.352 0.353 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)c2nccn2C)CC1 ZINC000491525693 332230369 /nfs/dbraw/zinc/23/03/69/332230369.db2.gz UOAALSMCMGJNPD-UHFFFAOYSA-N 0 1 260.341 0.728 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1cc(C)cc(C)c1 ZINC000491546506 332235515 /nfs/dbraw/zinc/23/55/15/332235515.db2.gz WEHBUKXSAGPEJR-ZIAGYGMSSA-N 0 1 263.337 0.627 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CC[C@@H](OC)C(C)(C)C2)C1=O ZINC000491618151 332244659 /nfs/dbraw/zinc/24/46/59/332244659.db2.gz CBDYLUCWSFTRJY-NWDGAFQWSA-N 0 1 278.352 0.494 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)COc1ccc(OCC)cc1 ZINC000491731331 332313133 /nfs/dbraw/zinc/31/31/33/332313133.db2.gz QDUGLSJPJKXJCU-OLZOCXBDSA-N 0 1 279.336 0.409 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)NC[C@H]1COCCN1 ZINC000529374899 333483875 /nfs/dbraw/zinc/48/38/75/333483875.db2.gz FGNHVCXGXXNANF-NWDGAFQWSA-N 0 1 253.346 0.725 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H](CC(N)=O)C1 ZINC000245407894 334190042 /nfs/dbraw/zinc/19/00/42/334190042.db2.gz DLCJTSZGAMBUKL-STQMWFEESA-N 0 1 294.399 0.582 20 30 CCEDMN C=CCOCCN1CCC[C@H](S(=O)(=O)NC)C1 ZINC000549918908 334208583 /nfs/dbraw/zinc/20/85/83/334208583.db2.gz BXMZYMMQBCEOHD-NSHDSACASA-N 0 1 262.375 0.203 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@@H](CO)[C@H]1C ZINC000385739563 336155673 /nfs/dbraw/zinc/15/56/73/336155673.db2.gz BODXEEHLPJSDHK-DYEKYZERSA-N 0 1 267.373 0.744 20 30 CCEDMN C[C@H]1C[C@H](O)CN1CC(=O)NCc1ccc(C#N)cc1 ZINC000340887801 524935567 /nfs/dbraw/zinc/93/55/67/524935567.db2.gz DRRNNJOZUAQDQC-FZMZJTMJSA-N 0 1 273.336 0.630 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CN2C(=O)CCC2=O)CC1 ZINC000352049488 526446406 /nfs/dbraw/zinc/44/64/06/526446406.db2.gz OESKNRLHYBGASZ-UHFFFAOYSA-N 0 1 293.367 0.246 20 30 CCEDMN C=CCCNC(=O)N1CCN(C[C@@H](C)O)C[C@@H]1C ZINC000665943567 545110166 /nfs/dbraw/zinc/11/01/66/545110166.db2.gz WBPADBJWEAJMNS-NWDGAFQWSA-N 0 1 255.362 0.659 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC([C@H](C)O)CC1 ZINC000111288310 526602188 /nfs/dbraw/zinc/60/21/88/526602188.db2.gz ANSDOFRAEFJXGH-MNOVXSKESA-N 0 1 283.372 0.480 20 30 CCEDMN N#Cc1csc(CNC[C@@]2(O)CCSC2)n1 ZINC000309598803 526783602 /nfs/dbraw/zinc/78/36/02/526783602.db2.gz VTOVYWQVTGPMKP-JTQLQIEISA-N 0 1 255.368 0.972 20 30 CCEDMN Cc1nc([C@H]2CN(c3ccncc3C#N)CCO2)n[nH]1 ZINC000363699330 527085315 /nfs/dbraw/zinc/08/53/15/527085315.db2.gz WHJCGPZTNGAWIP-GFCCVEGCSA-N 0 1 270.296 0.958 20 30 CCEDMN C=C[C@@H](O)C(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000667606646 545151230 /nfs/dbraw/zinc/15/12/30/545151230.db2.gz JOTCMAYCIOBRSA-CQSZACIVSA-N 0 1 282.384 0.494 20 30 CCEDMN C=CCn1c(=O)[nH]nc1SC[C@@H]1COCCO1 ZINC000329256238 527332469 /nfs/dbraw/zinc/33/24/69/527332469.db2.gz XMDGMNPYOZBFNP-QMMMGPOBSA-N 0 1 257.315 0.677 20 30 CCEDMN Cn1ccc(CCN[C@@H](C(N)=O)c2ccc(C#N)cc2)n1 ZINC000354539745 527349990 /nfs/dbraw/zinc/34/99/90/527349990.db2.gz SCQVUHNJLPFNJJ-CQSZACIVSA-N 0 1 283.335 0.650 20 30 CCEDMN C=CCOCCNC(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC000673010912 545277904 /nfs/dbraw/zinc/27/79/04/545277904.db2.gz HKGCGMRYCNTIKG-QMMMGPOBSA-N 0 1 253.306 0.676 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(CC(=O)NCCCC)CC1 ZINC000675486934 545326991 /nfs/dbraw/zinc/32/69/91/545326991.db2.gz YJTHSGCPECDWBD-OAHLLOKOSA-N 0 1 297.443 0.847 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(C(C)C)CC1 ZINC000679629441 545412473 /nfs/dbraw/zinc/41/24/73/545412473.db2.gz XRJZPVBAJKXFDV-UHFFFAOYSA-N 0 1 255.362 0.925 20 30 CCEDMN COc1cc(C#N)ccc1S(=O)(=O)N[C@@H](C)CN(C)C ZINC000344911709 536885032 /nfs/dbraw/zinc/88/50/32/536885032.db2.gz FLKFZRIUIQHYOI-JTQLQIEISA-N 0 1 297.380 0.795 20 30 CCEDMN C=CCN(CCOC)CC[C@H]1CCS(=O)(=O)C1 ZINC000683040859 545495186 /nfs/dbraw/zinc/49/51/86/545495186.db2.gz GZLDMOSFAHAAQZ-LBPRGKRZSA-N 0 1 261.387 0.946 20 30 CCEDMN COC(=O)c1cc(C=NNc2ncnc3nc[nH]c32)cn1C ZINC000790158016 581178378 /nfs/dbraw/zinc/17/83/78/581178378.db2.gz RCSBGBTWPVKPML-UHFFFAOYSA-N 0 1 299.294 0.924 20 30 CCEDMN Cn1ccnc1N=NCc1ccc(OCC(N)=O)cc1 ZINC000790305216 581183337 /nfs/dbraw/zinc/18/33/37/581183337.db2.gz FNKSBTATQSXOLD-UHFFFAOYSA-N 0 1 273.296 0.730 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2ccc(N3CCCC3)nc2)N1 ZINC000779793315 581205612 /nfs/dbraw/zinc/20/56/12/581205612.db2.gz SFUCMVWRISZAIH-LLVKDONJSA-N 0 1 272.356 0.953 20 30 CCEDMN COCCOc1ccc(C=NNC2=NC[C@H](C)N2)cc1 ZINC000779798230 581205978 /nfs/dbraw/zinc/20/59/78/581205978.db2.gz AOCKBIQVSUJGRB-NSHDSACASA-N 0 1 276.340 0.983 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cnc(N3CCCC3)nc2)N1 ZINC000779800724 581206891 /nfs/dbraw/zinc/20/68/91/581206891.db2.gz PHIUKZPHKKCOSN-JTQLQIEISA-N 0 1 273.344 0.348 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC000791422127 581221211 /nfs/dbraw/zinc/22/12/11/581221211.db2.gz JTGJNDMMZKEKOP-VXGBXAGGSA-N 0 1 270.398 0.944 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000780602277 581235871 /nfs/dbraw/zinc/23/58/71/581235871.db2.gz ORXVPJJJVQRCDF-CYBMUJFWSA-N 0 1 297.399 0.123 20 30 CCEDMN C#CCNC(=O)CN1CCC(c2nc(CC)n[nH]2)CC1 ZINC000792396917 581260783 /nfs/dbraw/zinc/26/07/83/581260783.db2.gz BMHWOGZJNMMNLU-UHFFFAOYSA-N 0 1 275.356 0.296 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)nc(N)n1[C@H]1C=CCCC1 ZINC000737705707 581327094 /nfs/dbraw/zinc/32/70/94/581327094.db2.gz QEQNIDOSQUZHRY-ZETCQYMHSA-N 0 1 256.273 0.798 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)NCCN2CC=CCC2)cc1 ZINC000794115891 581346221 /nfs/dbraw/zinc/34/62/21/581346221.db2.gz RCOJWHQABLQONF-OAHLLOKOSA-N 0 1 285.347 0.970 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)c1cccc2nc[nH]c21 ZINC000783618101 581353109 /nfs/dbraw/zinc/35/31/09/581353109.db2.gz MCXDATCELOTQBI-UHFFFAOYSA-N 0 1 293.348 0.894 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000796264215 581435471 /nfs/dbraw/zinc/43/54/71/581435471.db2.gz JQFNMOZQOVVXRT-LDYMZIIASA-N 0 1 264.289 0.039 20 30 CCEDMN C#CCNC(=O)COC(=O)c1c(C)n[nH]c1C(C)C ZINC000786342155 581438285 /nfs/dbraw/zinc/43/82/85/581438285.db2.gz PIDHFVIVSAPHJN-UHFFFAOYSA-N 0 1 263.297 0.748 20 30 CCEDMN CNC(=O)C(C#N)=C(O)c1cc2ccccc2c(=O)n1C ZINC000796797216 581450805 /nfs/dbraw/zinc/45/08/05/581450805.db2.gz ITEKHOYNMHPDOV-NSHDSACASA-N 0 1 283.287 0.607 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@H](OC)C2)CC1 ZINC000787944809 581482636 /nfs/dbraw/zinc/48/26/36/581482636.db2.gz REQXLEZZSJPIPD-CYBMUJFWSA-N 0 1 266.341 0.163 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2ccc([N+](=O)[O-])cn2)=NO1 ZINC000765373309 581510065 /nfs/dbraw/zinc/51/00/65/581510065.db2.gz QIZMOAGRWWWEDF-ZCFIWIBFSA-N 0 1 250.214 0.842 20 30 CCEDMN C#CCNC(=O)COC(=O)c1ccc2c(C=O)[nH]nc2c1 ZINC000766174099 581556050 /nfs/dbraw/zinc/55/60/50/581556050.db2.gz MXCKKQVBBVECTM-UHFFFAOYSA-N 0 1 285.259 0.282 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H](C(C)(C)C)[C@H](O)C1 ZINC000754225104 581581288 /nfs/dbraw/zinc/58/12/88/581581288.db2.gz GTULNNRJFUFXRC-NWDGAFQWSA-N 0 1 252.358 0.465 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](C(C)(C)C)[C@@H](O)C1 ZINC000754225101 581581393 /nfs/dbraw/zinc/58/13/93/581581393.db2.gz GTULNNRJFUFXRC-NEPJUHHUSA-N 0 1 252.358 0.465 20 30 CCEDMN N#Cc1ncn(CC(=O)Nc2cc(C#N)ccc2O)n1 ZINC000769508235 581582191 /nfs/dbraw/zinc/58/21/91/581582191.db2.gz UHICMSBLJQJTSC-UHFFFAOYSA-N 0 1 268.236 0.366 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCOC)[C@@H](CCC)C1 ZINC000766969970 581590907 /nfs/dbraw/zinc/59/09/07/581590907.db2.gz XYJORFOLUBKUNU-AWEZNQCLSA-N 0 1 281.400 0.169 20 30 CCEDMN CCc1nc(COC(=O)C2(C#N)CCOCC2)n[nH]1 ZINC000754847585 581612524 /nfs/dbraw/zinc/61/25/24/581612524.db2.gz YSORNLKUFDDCHX-UHFFFAOYSA-N 0 1 264.285 0.731 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NC(C)c2cnccn2)o1 ZINC000735450706 581647783 /nfs/dbraw/zinc/64/77/83/581647783.db2.gz CHKWQNCNYHSKFE-UHFFFAOYSA-N 0 1 285.271 0.789 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)C[C@@H](C)COC ZINC000756224203 581660223 /nfs/dbraw/zinc/66/02/23/581660223.db2.gz MCLZODXGKHQUTK-MNOVXSKESA-N 0 1 270.329 0.521 20 30 CCEDMN NC(=O)c1ccc(N=NC2CN3CCC2CC3)nn1 ZINC000756839606 581679924 /nfs/dbraw/zinc/67/99/24/581679924.db2.gz VXTRCLKTJGUFHW-UHFFFAOYSA-N 0 1 260.301 0.069 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NCCSCC(=O)[O-] ZINC000736078634 581686204 /nfs/dbraw/zinc/68/62/04/581686204.db2.gz CKQMKSMABCZAOJ-JTQLQIEISA-N 0 1 270.354 0.018 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCCSCC(=O)[O-] ZINC000736078634 581686208 /nfs/dbraw/zinc/68/62/08/581686208.db2.gz CKQMKSMABCZAOJ-JTQLQIEISA-N 0 1 270.354 0.018 20 30 CCEDMN C#CCNC(=S)N1CCC(CN2CCOCC2)CC1 ZINC000758198662 581726033 /nfs/dbraw/zinc/72/60/33/581726033.db2.gz RXYCAAUTMMPXEJ-UHFFFAOYSA-N 0 1 281.425 0.538 20 30 CCEDMN C=CCNC(=O)COC(=O)[C@@H](CC)N(CC)CC ZINC000741033517 581728604 /nfs/dbraw/zinc/72/86/04/581728604.db2.gz RONFLLBKDGZRRX-LLVKDONJSA-N 0 1 256.346 0.952 20 30 CCEDMN Cc1nnc(N=NC2CC[N@@H+](C)[C@H](C)C2)[n-]c1=O ZINC000741457831 581754216 /nfs/dbraw/zinc/75/42/16/581754216.db2.gz RFCCABVWAGGFOD-SSDOTTSWSA-N 0 1 250.306 0.768 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)C2(C(N)=O)CCC2)c1 ZINC000759720472 581818002 /nfs/dbraw/zinc/81/80/02/581818002.db2.gz HAAFTLNCLSJNEU-UHFFFAOYSA-N 0 1 259.265 0.858 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](C)C(=O)OC)CC1 ZINC000772110817 581843134 /nfs/dbraw/zinc/84/31/34/581843134.db2.gz VHEVVONXBFPRPG-JTQLQIEISA-N 0 1 253.298 0.436 20 30 CCEDMN C[C@H]1CC(N=Nc2ccccc2S(N)(=O)=O)CN1C ZINC000773126508 581909712 /nfs/dbraw/zinc/90/97/12/581909712.db2.gz YQTIANYQHLBLQH-VIFPVBQESA-N 0 1 282.369 0.826 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000773163272 581911851 /nfs/dbraw/zinc/91/18/51/581911851.db2.gz UOCIVBQGMICMQY-ZJUUUORDSA-N 0 1 297.384 0.797 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000773944529 581948266 /nfs/dbraw/zinc/94/82/66/581948266.db2.gz LNAWGKJHGNTKOQ-NSHDSACASA-N 0 1 297.318 0.686 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)C1(F)CCCC1 ZINC000773977252 581950689 /nfs/dbraw/zinc/95/06/89/581950689.db2.gz VUSFTICMMCNAGZ-VIFPVBQESA-N 0 1 256.277 0.740 20 30 CCEDMN C#CCNC(=O)C[N@@H+](CCc1ccccc1)CC(=O)[O-] ZINC000737492232 581965634 /nfs/dbraw/zinc/96/56/34/581965634.db2.gz GSXHPYPHCCIXDJ-UHFFFAOYSA-N 0 1 274.320 0.365 20 30 CCEDMN C#CCNC(=O)C[N@H+](CCc1ccccc1)CC(=O)[O-] ZINC000737492232 581965636 /nfs/dbraw/zinc/96/56/36/581965636.db2.gz GSXHPYPHCCIXDJ-UHFFFAOYSA-N 0 1 274.320 0.365 20 30 CCEDMN C[C@H]1CC(=NC(=O)c2ccc(CS(C)(=O)=O)o2)NO1 ZINC000763258750 581993441 /nfs/dbraw/zinc/99/34/41/581993441.db2.gz HCGIWDRTKFASLY-ZETCQYMHSA-N 0 1 286.309 0.676 20 30 CCEDMN N#Cc1ccccc1Cn1cccc(-c2nn[nH]n2)c1=O ZINC000737728063 581997230 /nfs/dbraw/zinc/99/72/30/581997230.db2.gz XHCNYEGWDLENTH-UHFFFAOYSA-N 0 1 278.275 0.948 20 30 CCEDMN C#C[C@@H](NC(=O)CCSc1nnc(C)[nH]1)[C@H]1CCCO1 ZINC000775328762 582018348 /nfs/dbraw/zinc/01/83/48/582018348.db2.gz KADDDZSJLDVMNC-GHMZBOCLSA-N 0 1 294.380 0.892 20 30 CCEDMN C#C[C@H](NCc1ccc(OCC(N)=O)cc1)[C@@H]1CCCO1 ZINC000775351229 582020437 /nfs/dbraw/zinc/02/04/37/582020437.db2.gz SNPNMVKJHXGXME-GJZGRUSLSA-N 0 1 288.347 0.821 20 30 CCEDMN N#Cc1ccc(N2CCN(C[C@H](O)C3CC3)CC2)cn1 ZINC000750027298 582030592 /nfs/dbraw/zinc/03/05/92/582030592.db2.gz GPSHNQBUJCVOSP-HNNXBMFYSA-N 0 1 272.352 0.846 20 30 CCEDMN C#C[C@H](NCCCS(=O)(=O)C(C)C)[C@@H]1CCCO1 ZINC000775784941 582037675 /nfs/dbraw/zinc/03/76/75/582037675.db2.gz MMZZKAOITWCHIF-STQMWFEESA-N 0 1 273.398 0.970 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](NC(=O)[O-])[C@H](C)C1 ZINC000738461459 582053048 /nfs/dbraw/zinc/05/30/48/582053048.db2.gz SMDHJTBTVGGOQO-OUAUKWLOSA-N 0 1 267.329 0.102 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@@H](NC(=O)[O-])C(C)C ZINC000738461536 582053078 /nfs/dbraw/zinc/05/30/78/582053078.db2.gz UMGVOSJAXJOPGU-NEPJUHHUSA-N 0 1 281.356 0.492 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@H](NC(=O)[O-])C(C)C ZINC000738461536 582053081 /nfs/dbraw/zinc/05/30/81/582053081.db2.gz UMGVOSJAXJOPGU-NEPJUHHUSA-N 0 1 281.356 0.492 20 30 CCEDMN C#CCNC(=O)C[N@H+]1CCCC[C@@H]1[C@@H](C)NC(=O)[O-] ZINC000738463507 582053940 /nfs/dbraw/zinc/05/39/40/582053940.db2.gz SPNUADRSPNFAMU-GHMZBOCLSA-N 0 1 267.329 0.246 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCCC[C@@H]1[C@@H](C)NC(=O)[O-] ZINC000738463507 582053942 /nfs/dbraw/zinc/05/39/42/582053942.db2.gz SPNUADRSPNFAMU-GHMZBOCLSA-N 0 1 267.329 0.246 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738605447 582065766 /nfs/dbraw/zinc/06/57/66/582065766.db2.gz VSEIGVPFSFKZOU-NEPJUHHUSA-N 0 1 296.371 0.821 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738605447 582065768 /nfs/dbraw/zinc/06/57/68/582065768.db2.gz VSEIGVPFSFKZOU-NEPJUHHUSA-N 0 1 296.371 0.821 20 30 CCEDMN N#CCCCC[N@@H+](CCN1CCOCC1)CC(=O)[O-] ZINC000739069062 582100579 /nfs/dbraw/zinc/10/05/79/582100579.db2.gz JKNQQQRXOAPJHZ-UHFFFAOYSA-N 0 1 269.345 0.399 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@H](CC(=O)[O-])C1 ZINC000227106743 597085657 /nfs/dbraw/zinc/08/56/57/597085657.db2.gz NNWZSCQFGVSHGV-MNOVXSKESA-N 0 1 252.314 0.311 20 30 CCEDMN Cc1[nH+]ccn1CCNC(=O)[C@@](C)(C#N)CC(=O)[O-] ZINC000821197003 597641613 /nfs/dbraw/zinc/64/16/13/597641613.db2.gz UNCSHKWAUCKMAY-GFCCVEGCSA-N 0 1 264.285 0.312 20 30 CCEDMN Brc1ccc(C=NNC2=NCCN2)o1 ZINC000841658624 612945283 /nfs/dbraw/zinc/94/52/83/612945283.db2.gz BYGLKMYJTYLZRE-UHFFFAOYSA-N 0 1 257.091 0.925 20 30 CCEDMN N#CC[N@H+]1CCC[C@H]1[C@@H]1CCCN(C(=O)c2nnc[n-]2)C1 ZINC000963377133 649911126 /nfs/dbraw/zinc/91/11/26/649911126.db2.gz FUOBGSVMLASWBT-NEPJUHHUSA-N 0 1 288.355 0.645 20 30 CCEDMN N#CC[N@@H+]1CCC[C@H]1[C@@H]1CCCN(C(=O)c2nnc[n-]2)C1 ZINC000963377133 649911128 /nfs/dbraw/zinc/91/11/28/649911128.db2.gz FUOBGSVMLASWBT-NEPJUHHUSA-N 0 1 288.355 0.645 20 30 CCEDMN N#CCNC(=O)C[N@H+](CCC(=O)[O-])Cc1ccccc1 ZINC000692446166 599927684 /nfs/dbraw/zinc/92/76/84/599927684.db2.gz RCRWRWQEEOCZAK-UHFFFAOYSA-N 0 1 275.308 0.603 20 30 CCEDMN C#CCNC(=O)N1CC[C@](C)(NC(=O)C(F)(F)F)C1 ZINC000864428133 612946573 /nfs/dbraw/zinc/94/65/73/612946573.db2.gz BRNQJJIUIDQFJP-JTQLQIEISA-N 0 1 277.246 0.472 20 30 CCEDMN C#CCSCC(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907473041 613010816 /nfs/dbraw/zinc/01/08/16/613010816.db2.gz BKMASYHZPTZZSX-QMMMGPOBSA-N 0 1 283.382 0.183 20 30 CCEDMN C[C@]1(C(=O)[O-])CC[N@H+]([C@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000828020583 601913804 /nfs/dbraw/zinc/91/38/04/601913804.db2.gz TVLWNBLFWSINCW-WFASDCNBSA-N 0 1 287.319 0.881 20 30 CCEDMN C[C@@H](NC(=O)C#CC(C)(C)C)[C@H]1CN(C)CCN1C ZINC000900812234 617179835 /nfs/dbraw/zinc/17/98/35/617179835.db2.gz TZUCSPKLTPCXAI-CHWSQXEVSA-N 0 1 265.401 0.786 20 30 CCEDMN C[C@@H](NC(=O)C#Cc1cccs1)[C@@H]1CN(C)CCN1C ZINC000900809926 617180135 /nfs/dbraw/zinc/18/01/35/617180135.db2.gz FNBAUNHOJPBULA-OCCSQVGLSA-N 0 1 291.420 0.850 20 30 CCEDMN C#CC1(O)CCN(Cc2cc(=O)n3ccccc3n2)CC1 ZINC000931145981 612952441 /nfs/dbraw/zinc/95/24/41/612952441.db2.gz IGBSVPJZGJPVDH-UHFFFAOYSA-N 0 1 283.331 0.655 20 30 CCEDMN CC(C)(C#N)CC[N@@H+](CCN1CCOCC1)CC(=O)[O-] ZINC000833407712 605189881 /nfs/dbraw/zinc/18/98/81/605189881.db2.gz NKSMQCPCWAJUFM-UHFFFAOYSA-N 0 1 283.372 0.645 20 30 CCEDMN N#CCCNC(=O)C[N@H+](C1CC1)[C@H]1CCN(C(=O)[O-])C1 ZINC000833965517 605774950 /nfs/dbraw/zinc/77/49/50/605774950.db2.gz MWMOOSLSAHRLBB-NSHDSACASA-N 0 1 280.328 0.233 20 30 CCEDMN N#CCCNC(=O)C[N@@H+](C1CC1)[C@H]1CCN(C(=O)[O-])C1 ZINC000833965517 605774952 /nfs/dbraw/zinc/77/49/52/605774952.db2.gz MWMOOSLSAHRLBB-NSHDSACASA-N 0 1 280.328 0.233 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NC[C@@H]1CCN1C ZINC000923488561 613051037 /nfs/dbraw/zinc/05/10/37/613051037.db2.gz SLLIJEUHXZXIOO-NEPJUHHUSA-N 0 1 253.346 0.418 20 30 CCEDMN C#CCNS(=O)(=O)c1c(F)cc(-c2nn[nH]n2)cc1F ZINC000819701948 606884090 /nfs/dbraw/zinc/88/40/90/606884090.db2.gz QJDWMHKDFLMITI-UHFFFAOYSA-N 0 1 299.262 0.056 20 30 CCEDMN C#CC[C@H](COC)NC(=O)NCCCc1cn[nH]c1C ZINC000858276464 613063147 /nfs/dbraw/zinc/06/31/47/613063147.db2.gz XLEXVTRBLBAEPS-CYBMUJFWSA-N 0 1 278.356 0.988 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)N[C@H]2CCN(CCOC)C2)C1 ZINC000888316743 613073940 /nfs/dbraw/zinc/07/39/40/613073940.db2.gz FMJLBBYXDVKUIT-KBPBESRZSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCCc2[nH]c(=O)ccc21 ZINC000912198319 612956759 /nfs/dbraw/zinc/95/67/59/612956759.db2.gz PRLHBXZRZBDEOZ-GFCCVEGCSA-N 0 1 259.309 0.504 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC000971362156 613087792 /nfs/dbraw/zinc/08/77/92/613087792.db2.gz UVLDYVXWGRUCHG-SNVBAGLBSA-N 0 1 262.313 0.203 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)n2cccn2)C1 ZINC000971122260 613091166 /nfs/dbraw/zinc/09/11/66/613091166.db2.gz SEQLYBVLSPYNQW-OLZOCXBDSA-N 0 1 260.341 0.610 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCC(=O)N2C)C1 ZINC000972068397 613090374 /nfs/dbraw/zinc/09/03/74/613090374.db2.gz WXLKHGNDLVUVEV-OLZOCXBDSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@](C)(O)C2CC2)C1 ZINC000971370025 613090626 /nfs/dbraw/zinc/09/06/26/613090626.db2.gz OTPUIJJTXWSCCL-ZFWWWQNUSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)C[C@H](C)OC ZINC000922023065 613098139 /nfs/dbraw/zinc/09/81/39/613098139.db2.gz SRGLXQARQJVWOI-LBPRGKRZSA-N 0 1 288.413 0.381 20 30 CCEDMN C#CC1CCN(C(=O)[C@H](C)CN2CCOCC2)CC1 ZINC000844046719 612963916 /nfs/dbraw/zinc/96/39/16/612963916.db2.gz FXXYSKBFFNBGDN-CYBMUJFWSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CC1CCN(CC(=O)NC(=O)N[C@H](C)COC)CC1 ZINC000830301561 612964452 /nfs/dbraw/zinc/96/44/52/612964452.db2.gz OJQDIYOYWNUHEB-LLVKDONJSA-N 0 1 281.356 0.192 20 30 CCEDMN CC(C)CN(CCC#N)C(=O)CCc1nn[nH]n1 ZINC000865027545 614078750 /nfs/dbraw/zinc/07/87/50/614078750.db2.gz URDVWYUETDZTCG-UHFFFAOYSA-N 0 1 250.306 0.531 20 30 CCEDMN C#CCC1(O)CCN(Cc2cnc(N(C)C)n2C)CC1 ZINC000895670504 612973903 /nfs/dbraw/zinc/97/39/03/612973903.db2.gz SPNPVXWANHZUJN-UHFFFAOYSA-N 0 1 276.384 0.836 20 30 CCEDMN C#CCCCNC(=O)C(=O)N(C)[C@@H]1CCN(C2CC2)C1 ZINC000806910068 612978855 /nfs/dbraw/zinc/97/88/55/612978855.db2.gz QQQVIFUNNXLNMP-CYBMUJFWSA-N 0 1 277.368 0.211 20 30 CCEDMN CC(C)C#CC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000832634499 614325706 /nfs/dbraw/zinc/32/57/06/614325706.db2.gz KNJKXZWQJPDPFL-ZDUSSCGKSA-N 0 1 266.385 0.949 20 30 CCEDMN COc1ccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c(C)n1 ZINC000841146795 617269800 /nfs/dbraw/zinc/26/98/00/617269800.db2.gz SIQVPJYXIMDXMS-MWLCHTKSSA-N 0 1 275.312 0.632 20 30 CCEDMN COc1ccc([C@H]2CN(CC(=O)NCC#N)CCN2)cc1 ZINC000879520109 617281978 /nfs/dbraw/zinc/28/19/78/617281978.db2.gz AXMCJSMMGZIPAZ-CQSZACIVSA-N 0 1 288.351 0.281 20 30 CCEDMN COc1ccc2c(c1)C=C(C(=O)N[C@H]1CNC[C@@H]1C#N)CO2 ZINC000834500380 617283657 /nfs/dbraw/zinc/28/36/57/617283657.db2.gz SLYBELVFFPZLKF-JSGCOSHPSA-N 0 1 299.330 0.699 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC000951872755 617289596 /nfs/dbraw/zinc/28/95/96/617289596.db2.gz ONYBIYXFWOORMM-DTWKUNHWSA-N 0 1 293.302 0.429 20 30 CCEDMN C#CC1CCN(C(=O)C2CN([C@H]3CCOC3)C2)CC1 ZINC000898088675 612963031 /nfs/dbraw/zinc/96/30/31/612963031.db2.gz RKSWNXQMXZKSNM-AWEZNQCLSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CC1CCN(C(=O)[C@@H](C)CN2CCOCC2)CC1 ZINC000844046720 612963891 /nfs/dbraw/zinc/96/38/91/612963891.db2.gz FXXYSKBFFNBGDN-ZDUSSCGKSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CCC(CC#C)C(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000846661176 612966646 /nfs/dbraw/zinc/96/66/46/612966646.db2.gz CUHXJCCBVJYCSV-UHFFFAOYSA-N 0 1 285.351 0.112 20 30 CCEDMN C#CCC1(O)CCN([C@H]2CCN(C(C)C)C2=O)CC1 ZINC000880480027 612974084 /nfs/dbraw/zinc/97/40/84/612974084.db2.gz HAIUHCWXHWLJFN-ZDUSSCGKSA-N 0 1 264.369 0.846 20 30 CCEDMN C#CCC1(O)CCN([C@H](C)C(=O)NCC=C)CC1 ZINC000880478762 612974118 /nfs/dbraw/zinc/97/41/18/612974118.db2.gz KOWJOKHWGUGEQB-GFCCVEGCSA-N 0 1 250.342 0.527 20 30 CCEDMN C#CCCCCCN1CCN(CC(=O)NCC)CC1 ZINC000877899579 612977013 /nfs/dbraw/zinc/97/70/13/612977013.db2.gz YZMHGJWJRAXJGG-UHFFFAOYSA-N 0 1 265.401 0.934 20 30 CCEDMN C#CCOCCNC(=O)N1CCNC[C@H]1CCC ZINC000841873914 612986235 /nfs/dbraw/zinc/98/62/35/612986235.db2.gz CEQSEHPOWQURHA-GFCCVEGCSA-N 0 1 253.346 0.420 20 30 CCEDMN C#CCC[NH+]1CCC(NC(=O)CCCc2nn[n-]n2)CC1 ZINC000820196230 612998940 /nfs/dbraw/zinc/99/89/40/612998940.db2.gz ATEFNBIVYRWGPH-UHFFFAOYSA-N 0 1 290.371 0.126 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC000822837045 612999351 /nfs/dbraw/zinc/99/93/51/612999351.db2.gz PZUYEMCOJUYASQ-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCO[C@H](C)C(=O)Nc1cc(-c2nccn2C)n[nH]1 ZINC000827125852 613003712 /nfs/dbraw/zinc/00/37/12/613003712.db2.gz UEIJWMKRHVQBLK-SECBINFHSA-N 0 1 273.296 0.787 20 30 CCEDMN C#CCOc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000863584738 613005578 /nfs/dbraw/zinc/00/55/78/613005578.db2.gz IJBPSPAVOJTKPA-GXTWGEPZSA-N 0 1 269.304 0.540 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2ccnn2C)CC1 ZINC000980806393 613006460 /nfs/dbraw/zinc/00/64/60/613006460.db2.gz SGTQDYJWMBGAOZ-UHFFFAOYSA-N 0 1 260.341 0.591 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)C[C@H](C)NC(=O)[O-])CC1 ZINC000823444641 613009346 /nfs/dbraw/zinc/00/93/46/613009346.db2.gz OMUIADLDWDVQBE-NSHDSACASA-N 0 1 267.329 0.200 20 30 CCEDMN C#CCCN1CCN(c2cc(=O)[nH]c(COC)n2)CC1 ZINC000892312912 613011923 /nfs/dbraw/zinc/01/19/23/613011923.db2.gz BYHONOZXQOJAOH-UHFFFAOYSA-N 0 1 276.340 0.474 20 30 CCEDMN C#CC[C@@H](NC(=O)C(C)(C)N1CCCCC1)C(=O)OC ZINC000909890911 613039449 /nfs/dbraw/zinc/03/94/49/613039449.db2.gz WMNMEDZLJPGGKA-GFCCVEGCSA-N 0 1 280.368 0.932 20 30 CCEDMN C#CC[C@@H](NC(=O)C[C@@H](C)n1cc[nH+]c1CC)C(=O)[O-] ZINC000910051727 613040090 /nfs/dbraw/zinc/04/00/90/613040090.db2.gz ZOHHEPZSNVFFRL-GHMZBOCLSA-N 0 1 277.324 0.989 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CCN1C1CCCC1)C(=O)OC ZINC000823519717 613041386 /nfs/dbraw/zinc/04/13/86/613041386.db2.gz QOIJBCHFKRMNMB-CHWSQXEVSA-N 0 1 278.352 0.684 20 30 CCEDMN C#CC[C@@H]1NC(=O)N(CCCc2cn[nH]c2C)C1=O ZINC000927330553 613047699 /nfs/dbraw/zinc/04/76/99/613047699.db2.gz YAEJLALEYZYBLA-NSHDSACASA-N 0 1 260.297 0.594 20 30 CCEDMN C#CC[C@@H]1NC(=O)N([C@@H]2CCCN3CCSC[C@@H]23)C1=O ZINC000929012770 613048367 /nfs/dbraw/zinc/04/83/67/613048367.db2.gz ORQVTFMEFAKHMH-TUAOUCFPSA-N 0 1 293.392 0.510 20 30 CCEDMN C#CCCOC(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000919982225 613050781 /nfs/dbraw/zinc/05/07/81/613050781.db2.gz RJXQEBCPLYPFAX-VXGBXAGGSA-N 0 1 253.346 0.370 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NCc1n[nH]c(CC)n1 ZINC000875626184 613051287 /nfs/dbraw/zinc/05/12/87/613051287.db2.gz PSZKHKHIEAMUDW-SNVBAGLBSA-N 0 1 279.344 0.595 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@]1(N(C)C)CCSC1 ZINC000808299429 613054648 /nfs/dbraw/zinc/05/46/48/613054648.db2.gz JNNVPOJLOQQLOL-LLVKDONJSA-N 0 1 276.427 0.366 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)CSc1nnc(C)[nH]1 ZINC000799083773 613060609 /nfs/dbraw/zinc/06/06/09/613060609.db2.gz MGFOGQYTHKUYQK-VIFPVBQESA-N 0 1 268.342 0.096 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)CSc1nc(C)n[nH]1 ZINC000799083773 613060610 /nfs/dbraw/zinc/06/06/10/613060610.db2.gz MGFOGQYTHKUYQK-VIFPVBQESA-N 0 1 268.342 0.096 20 30 CCEDMN C#CCN(C(=O)NC[C@@H]1COCCN1)C1CCCC1 ZINC000861683405 613067234 /nfs/dbraw/zinc/06/72/34/613067234.db2.gz NHVQWSAEKDAVIV-GFCCVEGCSA-N 0 1 265.357 0.562 20 30 CCEDMN C#CC[C@H](NC(=O)C[N@@H+]1[C@H](C)CC[C@@H]1C)C(=O)[O-] ZINC000910050920 613067875 /nfs/dbraw/zinc/06/78/75/613067875.db2.gz ACJUABHHVQKDAX-AXFHLTTASA-N 0 1 252.314 0.452 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1c2cn[nH]c2CC[C@@H]1C)C(=O)OC ZINC000880270780 613069677 /nfs/dbraw/zinc/06/96/77/613069677.db2.gz FOWWBKRAHOTOPS-TVYUQYBPSA-N 0 1 289.335 0.757 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CC[C@H]2CCCC[N@H+]21)C(=O)[O-] ZINC000910051428 613069679 /nfs/dbraw/zinc/06/96/79/613069679.db2.gz VCWYXQQIGSOQJN-GRYCIOLGSA-N 0 1 264.325 0.596 20 30 CCEDMN C#CCn1cc(CNC(=O)[C@@H]2CCCN2C2CC2)cn1 ZINC000816371098 613077123 /nfs/dbraw/zinc/07/71/23/613077123.db2.gz SYZNNXSXVGNASC-AWEZNQCLSA-N 0 1 272.352 0.759 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2c(C)noc2C)C1 ZINC000980368713 613081155 /nfs/dbraw/zinc/08/11/55/613081155.db2.gz CIIXEUNWDIIYRF-CYBMUJFWSA-N 0 1 275.352 1.000 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cccnc2)C1 ZINC000971346559 613082014 /nfs/dbraw/zinc/08/20/14/613082014.db2.gz NAHOYELCUQLIHY-CQSZACIVSA-N 0 1 257.337 0.790 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)n2cncn2)C1 ZINC000971372159 613083207 /nfs/dbraw/zinc/08/32/07/613083207.db2.gz VQGDHGMDTCIAFF-NWDGAFQWSA-N 0 1 261.329 0.005 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000854076848 613083403 /nfs/dbraw/zinc/08/34/03/613083403.db2.gz CKIAVPANTQLDSN-LBPRGKRZSA-N 0 1 267.373 0.496 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccn(C)c(=O)c2)C1 ZINC000971810885 613086817 /nfs/dbraw/zinc/08/68/17/613086817.db2.gz KPJBGSVBSKCAAI-CYBMUJFWSA-N 0 1 273.336 0.165 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(C)(C)N2CCOCC2)C1 ZINC000972553401 613088642 /nfs/dbraw/zinc/08/86/42/613088642.db2.gz ZRUAAUXBRNAADT-AWEZNQCLSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2cn(C)nc2C)C1 ZINC000972477542 613089895 /nfs/dbraw/zinc/08/98/95/613089895.db2.gz ZIQHABXPEUSWSO-HNNXBMFYSA-N 0 1 288.395 0.827 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2ccncn2)C1 ZINC000971619356 613089950 /nfs/dbraw/zinc/08/99/50/613089950.db2.gz MSGWPOVPMOXOPN-AWEZNQCLSA-N 0 1 272.352 0.575 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cnccc2OC)C1 ZINC000971781037 613090970 /nfs/dbraw/zinc/09/09/70/613090970.db2.gz GRSBHOQWPYSPPU-AWEZNQCLSA-N 0 1 287.363 0.799 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CC(=O)N(CCC)C2)C1 ZINC000972453440 613091360 /nfs/dbraw/zinc/09/13/60/613091360.db2.gz LPOAGSTXRUQJCI-KGLIPLIRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#C[C@@H](NC(=O)C1(N(C)C)CC1)C1CCOCC1 ZINC000856118652 613091595 /nfs/dbraw/zinc/09/15/95/613091595.db2.gz GKYRBNJREVTUHO-GFCCVEGCSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC000980499490 613093024 /nfs/dbraw/zinc/09/30/24/613093024.db2.gz BQZUBPAECBLPLE-UONOGXRCSA-N 0 1 277.368 0.163 20 30 CCEDMN C#C[C@@H](NC(=O)N(C)CC1CN(C)C1)[C@@H]1CCCO1 ZINC000852259852 613093218 /nfs/dbraw/zinc/09/32/18/613093218.db2.gz MVHLLWHZYXPRBQ-OLZOCXBDSA-N 0 1 265.357 0.370 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC000971777246 613093573 /nfs/dbraw/zinc/09/35/73/613093573.db2.gz CNPPLEFCYZFHGH-SWLSCSKDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnc(OC)n2)C1 ZINC000971920499 613095870 /nfs/dbraw/zinc/09/58/70/613095870.db2.gz VQERFFOSCPMGNU-NSHDSACASA-N 0 1 274.324 0.265 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn3c2OCCC3)C1 ZINC000971863846 613096847 /nfs/dbraw/zinc/09/68/47/613096847.db2.gz OFBRDRKXGCOBJC-LBPRGKRZSA-N 0 1 288.351 0.445 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2nccn3cc(C)nc23)C1 ZINC000972691124 613097155 /nfs/dbraw/zinc/09/71/55/613097155.db2.gz PAIPLIRVOIRSRL-ZDUSSCGKSA-N 0 1 297.362 0.817 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ncn(C(C)(C)C)n2)C1 ZINC000972326470 613097244 /nfs/dbraw/zinc/09/72/44/613097244.db2.gz JYPROAZOAVNWCA-LBPRGKRZSA-N 0 1 289.383 0.813 20 30 CCEDMN C#C[C@@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)C1CCOCC1 ZINC000856101578 613097960 /nfs/dbraw/zinc/09/79/60/613097960.db2.gz VYNKQXLWWKDGPJ-VXGBXAGGSA-N 0 1 288.351 0.769 20 30 CCEDMN C#C[C@@H](NC(=O)[C@@H]1CCCCN1C)[C@@H]1CCCO1 ZINC000822074707 613098001 /nfs/dbraw/zinc/09/80/01/613098001.db2.gz ZKBZTGKPQQOOQE-AGIUHOORSA-N 0 1 250.342 0.768 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)N1CCC[C@H]1C ZINC000922022897 613098133 /nfs/dbraw/zinc/09/81/33/613098133.db2.gz QFZKWEDWAYZXTJ-CYBMUJFWSA-N 0 1 299.440 0.745 20 30 CCEDMN C#CCN(CC#CC)C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000908809240 613099446 /nfs/dbraw/zinc/09/94/46/613099446.db2.gz KKIYGXBDTPQTDY-ZDUSSCGKSA-N 0 1 276.336 0.268 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000892871713 613101820 /nfs/dbraw/zinc/10/18/20/613101820.db2.gz OKRMQBQUYSWDNO-LSDHHAIUSA-N 0 1 292.427 0.820 20 30 CCEDMN C#CCN(CC)S(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000913964914 613106682 /nfs/dbraw/zinc/10/66/82/613106682.db2.gz RGIKJTAJLJNMDI-ZDUSSCGKSA-N 0 1 270.398 0.757 20 30 CCEDMN C#C[C@@H]1CCCN([C@H](C)C(=O)NC(=O)NC2CC2)C1 ZINC000847021825 613106952 /nfs/dbraw/zinc/10/69/52/613106952.db2.gz BPRNTZVWENVRTA-GHMZBOCLSA-N 0 1 263.341 0.708 20 30 CCEDMN C#CCN(CCCOC(=O)NC(N)=O)C1CSC1 ZINC000878911861 613107722 /nfs/dbraw/zinc/10/77/22/613107722.db2.gz URAOQKYKTJHPTB-UHFFFAOYSA-N 0 1 271.342 0.232 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC[C@H](CF)C2)C1=O ZINC000887298755 613109601 /nfs/dbraw/zinc/10/96/01/613109601.db2.gz HPLFOFDDLGDJNA-MNOVXSKESA-N 0 1 252.289 0.429 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@@H]1C[C@H](C)Cc2c[nH]nc21 ZINC000857869301 613110749 /nfs/dbraw/zinc/11/07/49/613110749.db2.gz MMXYOZJLGBQBRV-RAIGVLPGSA-N 0 1 273.336 0.936 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)c1n[nH]cc1C(F)(F)F ZINC000868851883 613111386 /nfs/dbraw/zinc/11/13/86/613111386.db2.gz AFQIAAZVBIXLQE-SSDOTTSWSA-N 0 1 273.214 0.903 20 30 CCEDMN C#C[C@H](CC)NC(=O)NCCN1CCC(O)CC1 ZINC000894935770 613120754 /nfs/dbraw/zinc/12/07/54/613120754.db2.gz BBEHAZAGJYIPPF-LLVKDONJSA-N 0 1 253.346 0.154 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CCN(C(C)=O)C2)CC1 ZINC000936301844 613128312 /nfs/dbraw/zinc/12/83/12/613128312.db2.gz DPTWZVPERBUAAT-HNNXBMFYSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(C(=O)N2C3CCC2(C(N)=O)CC3)CC1 ZINC000885270054 613128729 /nfs/dbraw/zinc/12/87/29/613128729.db2.gz HZWDTWMGYLFCIX-UHFFFAOYSA-N 0 1 289.379 0.341 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)C2CN(CCF)C2)CC1 ZINC000957421979 613128789 /nfs/dbraw/zinc/12/87/89/613128789.db2.gz AXAUMPHAJPOZBM-UHFFFAOYSA-N 0 1 295.402 0.834 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2[C@H](C)O)CC1 ZINC000855866850 613129027 /nfs/dbraw/zinc/12/90/27/613129027.db2.gz FKARGPOZXODZGD-GXTWGEPZSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H]3COC[C@@]3(C)C2)CC1 ZINC000855837019 613130011 /nfs/dbraw/zinc/13/00/11/613130011.db2.gz FSXMJEGBGCTBGN-GOEBONIOSA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCN1CCC(C(=O)OCCc2ccnn2C)CC1 ZINC000873358577 613132091 /nfs/dbraw/zinc/13/20/91/613132091.db2.gz ZSTBFZJCFYZMQL-UHFFFAOYSA-N 0 1 275.352 0.851 20 30 CCEDMN C#C[C@H](NC(=O)C(C)(C)CN1CCOCC1)[C@@H]1CCCO1 ZINC000822072227 613132369 /nfs/dbraw/zinc/13/23/69/613132369.db2.gz GFOQTFUDEIDYMI-KBPBESRZSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C\c2ccncn2)CC1 ZINC000928654070 613132496 /nfs/dbraw/zinc/13/24/96/613132496.db2.gz MHCVDZKHSYKOJU-ARJAWSKDSA-N 0 1 270.336 0.704 20 30 CCEDMN C#CCN1CCC(NC(=O)CN2CCCCC2=O)CC1 ZINC000928657234 613132933 /nfs/dbraw/zinc/13/29/33/613132933.db2.gz SRKFLAQWYKHWQH-UHFFFAOYSA-N 0 1 277.368 0.213 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCOCC(=C)C)CC1 ZINC000921480744 613134017 /nfs/dbraw/zinc/13/40/17/613134017.db2.gz REDKGQWZEKOIQL-UHFFFAOYSA-N 0 1 279.384 0.976 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CO[C@@H](C)C2)CC1 ZINC000923048005 613134806 /nfs/dbraw/zinc/13/48/06/613134806.db2.gz RJZBHEACUOIEFI-AAEUAGOBSA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cc(OC)nn2C)CC1 ZINC000932430336 613135413 /nfs/dbraw/zinc/13/54/13/613135413.db2.gz HYSCJYRFUUIFNN-UHFFFAOYSA-N 0 1 291.355 0.648 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)c2ccnn2C)CC1 ZINC000928649470 613135734 /nfs/dbraw/zinc/13/57/34/613135734.db2.gz MVKOMGVUHHGKBR-LBPRGKRZSA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)NC1CCN(CC#C)CC1 ZINC000928649295 613135898 /nfs/dbraw/zinc/13/58/98/613135898.db2.gz YIMPPRYLVHFSDX-HNNXBMFYSA-N 0 1 273.380 0.298 20 30 CCEDMN C#C[C@H](NC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1)C(C)C ZINC000838595269 613136221 /nfs/dbraw/zinc/13/62/21/613136221.db2.gz DIUCNWFELDNCNR-SOUVJXGZSA-N 0 1 293.411 0.885 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@@H]1CCC)[C@H]1CCCO1 ZINC000841880517 613136388 /nfs/dbraw/zinc/13/63/88/613136388.db2.gz BRTUVYBXNNGAMA-MELADBBJSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](CC)[C@H](C)O)CC1 ZINC000900666567 613136424 /nfs/dbraw/zinc/13/64/24/613136424.db2.gz HFXDRMYPTWWNNR-WCQYABFASA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCn3cncc3C2)CC1 ZINC000928653852 613136762 /nfs/dbraw/zinc/13/67/62/613136762.db2.gz CUNIBDGEVVFKNV-ZDUSSCGKSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@]2(C)CCNC(=O)C2)CC1 ZINC000900666220 613136775 /nfs/dbraw/zinc/13/67/75/613136775.db2.gz FSRDETGEKZIFPR-OAHLLOKOSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CC(=O)N(CCC)C2)CC1 ZINC000928649702 613136897 /nfs/dbraw/zinc/13/68/97/613136897.db2.gz QFEOBNXXLIQEGW-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(OC)ccn2)CC1 ZINC000928650160 613137147 /nfs/dbraw/zinc/13/71/47/613137147.db2.gz ZZMMKBOTGZYLEQ-UHFFFAOYSA-N 0 1 273.336 0.918 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(C)nc2Cl)CC1 ZINC000928651261 613137597 /nfs/dbraw/zinc/13/75/97/613137597.db2.gz RQVUPJUCDYZGNE-UHFFFAOYSA-N 0 1 280.759 0.901 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nccn3cc(C)nc23)CC1 ZINC000928652663 613137951 /nfs/dbraw/zinc/13/79/51/613137951.db2.gz HKQOQZVILYPTTA-UHFFFAOYSA-N 0 1 297.362 0.865 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)c1n[nH]c(C)n1)[C@@H]1CCCO1 ZINC000807137799 613144002 /nfs/dbraw/zinc/14/40/02/613144002.db2.gz BINXWLYDQIPRDL-MIMYLULJSA-N 0 1 277.328 0.654 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)[C@H]1OCCO[C@H]1C ZINC000968896326 613148794 /nfs/dbraw/zinc/14/87/94/613148794.db2.gz NFBZMEUPAYKEIK-KKUMJFAQSA-N 0 1 294.395 0.784 20 30 CCEDMN C#C[C@H]1CCCN(CCNS(=O)(=O)CCCOC)C1 ZINC000847023145 613158795 /nfs/dbraw/zinc/15/87/95/613158795.db2.gz BCGMAKFJVQGYSE-ZDUSSCGKSA-N 0 1 288.413 0.288 20 30 CCEDMN C#C[C@](C)(CC)NCC(=O)NCC(=O)NC(C)(C)C ZINC000852100165 613164451 /nfs/dbraw/zinc/16/44/51/613164451.db2.gz FQVTUPZZWICDHJ-CQSZACIVSA-N 0 1 267.373 0.409 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C/c2cn(C)cn2)C1 ZINC000829582424 613164597 /nfs/dbraw/zinc/16/45/97/613164597.db2.gz MEHBYFWMSNBVGQ-PSKZRQQASA-N 0 1 272.352 0.647 20 30 CCEDMN C#CCN1CCC[C@@H](Nc2nc(N)nc3c2cnn3C)C1 ZINC000884611113 613176602 /nfs/dbraw/zinc/17/66/02/613176602.db2.gz DCTQRICSYIOFFR-SNVBAGLBSA-N 0 1 285.355 0.455 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)[C@H]2CN(C)CCN2C)cn1 ZINC000896558704 613183120 /nfs/dbraw/zinc/18/31/20/613183120.db2.gz BDUQQZFFYLOBIR-SWLSCSKDSA-N 0 1 286.379 0.427 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)[C@@H]2CN(C)CCN2C)nc1 ZINC000896561087 613183367 /nfs/dbraw/zinc/18/33/67/613183367.db2.gz VZQXCMTUZMJCBZ-WFASDCNBSA-N 0 1 286.379 0.427 20 30 CCEDMN C#Cc1ccc(S(=O)(=O)NC[C@]2(O)CCN(C)C2)cc1 ZINC000907119422 613197868 /nfs/dbraw/zinc/19/78/68/613197868.db2.gz IRKXKYQCJSIMBI-CQSZACIVSA-N 0 1 294.376 0.013 20 30 CCEDMN C#Cc1cccc(CNC(=O)C(=O)N2C[C@@H](C)N[C@@H](C)C2)c1 ZINC000842033181 613199049 /nfs/dbraw/zinc/19/90/49/613199049.db2.gz PBKZMTCIQKMTEA-BETUJISGSA-N 0 1 299.374 0.493 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@H](CC)C(=O)[O-] ZINC000909870732 613200208 /nfs/dbraw/zinc/20/02/08/613200208.db2.gz GZUKUFKVHYXYJC-QWRGUYRKSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)[N-]O[C@@H](CO)C(C)C ZINC000836898443 613200425 /nfs/dbraw/zinc/20/04/25/613200425.db2.gz WLFWZZZRJVIFAO-RYUDHWBXSA-N 0 1 254.330 0.149 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC(CCF)CC2)CC1 ZINC000879207871 613205517 /nfs/dbraw/zinc/20/55/17/613205517.db2.gz NMKYGGUPNYEVEO-UHFFFAOYSA-N 0 1 295.402 0.835 20 30 CCEDMN C#CCN1CCN(C(=O)c2cc(Br)[nH]n2)CC1 ZINC000827518763 613208057 /nfs/dbraw/zinc/20/80/57/613208057.db2.gz DVFBGGUWJKBNPF-UHFFFAOYSA-N 0 1 297.156 0.563 20 30 CCEDMN C#Cc1cnc(N2CCN([C@@H](CC)CO)CC2)nc1 ZINC000827697496 613209572 /nfs/dbraw/zinc/20/95/72/613209572.db2.gz XARBMDIDLKLBBL-ZDUSSCGKSA-N 0 1 260.341 0.351 20 30 CCEDMN C#Cc1cncc(C(=O)NCc2nnc([C@@H]3CCOC3)[nH]2)c1 ZINC000898859884 613218444 /nfs/dbraw/zinc/21/84/44/613218444.db2.gz KENYAEIEIQVUJN-LLVKDONJSA-N 0 1 297.318 0.615 20 30 CCEDMN C#Cc1cncc(C(=O)NCc2n[nH]c([C@@H]3CCOC3)n2)c1 ZINC000898859884 613218446 /nfs/dbraw/zinc/21/84/46/613218446.db2.gz KENYAEIEIQVUJN-LLVKDONJSA-N 0 1 297.318 0.615 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC000968626610 613218573 /nfs/dbraw/zinc/21/85/73/613218573.db2.gz BLLGMSPOLCQLSJ-ZIAGYGMSSA-N 0 1 287.363 0.308 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000672 613218620 /nfs/dbraw/zinc/21/86/20/613218620.db2.gz QRUMWVLHNMPBOU-ZYHUDNBSSA-N 0 1 275.356 0.115 20 30 CCEDMN C(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1cnn2cccnc12 ZINC000755816115 613223538 /nfs/dbraw/zinc/22/35/38/613223538.db2.gz YASAMSDBKPMHNZ-VXGBXAGGSA-N 0 1 283.339 0.923 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C[C@H]1C ZINC000948045432 613231569 /nfs/dbraw/zinc/23/15/69/613231569.db2.gz ZEWTXZJPMDTYCJ-ZYHUDNBSSA-N 0 1 288.351 0.330 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CN(C)CCC#N ZINC000818979399 613238525 /nfs/dbraw/zinc/23/85/25/613238525.db2.gz LINRSUQREJKJMU-SNVBAGLBSA-N 0 1 276.178 0.691 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccn3nnnc3c2)C[C@@H]1C ZINC000947615133 613238803 /nfs/dbraw/zinc/23/88/03/613238803.db2.gz FLHZXVHQNIZSOO-WCQYABFASA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnnc(C)c2)[C@H](C)C1 ZINC000942000320 613241937 /nfs/dbraw/zinc/24/19/37/613241937.db2.gz HCDAPWNEJPLIQD-BXUZGUMPSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2)C[C@H]1C ZINC000947042208 613241959 /nfs/dbraw/zinc/24/19/59/613241959.db2.gz QWBMAPXYQBBWSY-DGCLKSJQSA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(C)c2)[C@H](C)C1 ZINC000941794950 613242203 /nfs/dbraw/zinc/24/22/03/613242203.db2.gz OTACWMBWCFHYPP-DGCLKSJQSA-N 0 1 260.341 0.494 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2COCCN2CC)CC1 ZINC000949005458 613259301 /nfs/dbraw/zinc/25/93/01/613259301.db2.gz OJQDKLXEFJWRIM-HNNXBMFYSA-N 0 1 295.427 0.818 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CC[C@@H]2C(N)=O)CC1 ZINC000949654829 613259306 /nfs/dbraw/zinc/25/93/06/613259306.db2.gz IRNJBYXREARXRR-QWHCGFSZSA-N 0 1 279.384 0.608 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cncn2C)C(C)(C)C1 ZINC000941164209 613260996 /nfs/dbraw/zinc/26/09/96/613260996.db2.gz SEEMVHHATLJQMC-AWEZNQCLSA-N 0 1 288.395 0.813 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C(C)(C)C1 ZINC000941008948 613263681 /nfs/dbraw/zinc/26/36/81/613263681.db2.gz VUYPVHFLIWNELI-LRDDRELGSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C(N)=O)co2)C[C@H]1C ZINC000947709559 613265410 /nfs/dbraw/zinc/26/54/10/613265410.db2.gz DUMTZCMIBPRMHW-PWSUYJOCSA-N 0 1 289.335 0.594 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccnn2)[C@@H](C)C1 ZINC000943743568 613271587 /nfs/dbraw/zinc/27/15/87/613271587.db2.gz BBUVIEVTCGYHDA-RYUDHWBXSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnnc2)[C@@H](C)C1 ZINC000942958582 613272700 /nfs/dbraw/zinc/27/27/00/613272700.db2.gz GARRJRCWOYVLIF-AAEUAGOBSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@H](C)C1 ZINC000944319497 613275822 /nfs/dbraw/zinc/27/58/22/613275822.db2.gz XIVVGDZSZDVOBO-YPMHNXCESA-N 0 1 285.351 0.736 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3ncccc23)[C@@H](C)C1 ZINC000942134216 613276118 /nfs/dbraw/zinc/27/61/18/613276118.db2.gz KDKIYCAWAPRVRJ-JSGCOSHPSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2coc(C(N)=O)c2)C[C@H]1C ZINC000947563670 613276727 /nfs/dbraw/zinc/27/67/27/613276727.db2.gz JCFQFIFZFYHHLS-PWSUYJOCSA-N 0 1 289.335 0.594 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nnn(C)c2C)[C@H](C)C1 ZINC000941914736 613278551 /nfs/dbraw/zinc/27/85/51/613278551.db2.gz XMAHDBUZRASCPC-PWSUYJOCSA-N 0 1 275.356 0.197 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2CCCCC(=O)N2)C(C)(C)C1 ZINC000975023769 613281787 /nfs/dbraw/zinc/28/17/87/613281787.db2.gz ABEALEQRPQGBOM-QWHCGFSZSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@H]2COCCN2CC)C(C)(C)C1 ZINC000974754781 613282282 /nfs/dbraw/zinc/28/22/82/613282282.db2.gz GRQSCKVQMRADRW-ZIAGYGMSSA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)[C@H]2CC[C@H](C)CC2)C1 ZINC000958606344 613285383 /nfs/dbraw/zinc/28/53/83/613285383.db2.gz OBKAEDBIWUWWLX-ZQDZILKHSA-N 0 1 278.396 0.855 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C3CC3)on2)C1 ZINC000958296299 613286263 /nfs/dbraw/zinc/28/62/63/613286263.db2.gz FNAPIXSEHBGVLP-WCQYABFASA-N 0 1 289.335 0.208 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C)s2)C1 ZINC000957816144 613286337 /nfs/dbraw/zinc/28/63/37/613286337.db2.gz HBIJHCFCXHYRPT-NWDGAFQWSA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2c[nH]c3ncccc23)[C@H](O)C1 ZINC000958698528 613288398 /nfs/dbraw/zinc/28/83/98/613288398.db2.gz VKGRTXKKHGSNBA-BXUZGUMPSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2CCCCCC2)[C@H](O)C1 ZINC000957917896 613288439 /nfs/dbraw/zinc/28/84/39/613288439.db2.gz ATKVZSUWCWKGND-HUUCEWRRSA-N 0 1 278.396 0.999 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccn(CC)c2C)[C@H](O)C1 ZINC000958345483 613289591 /nfs/dbraw/zinc/28/95/91/613289591.db2.gz NVBCMKZAOZNSKY-UKRRQHHQSA-N 0 1 289.379 0.472 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(C)noc2CC)C1 ZINC000958662274 613294150 /nfs/dbraw/zinc/29/41/50/613294150.db2.gz QGANINIWUHIBFP-RYUDHWBXSA-N 0 1 291.351 0.201 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)cc(F)c2)C1 ZINC000958423329 613294476 /nfs/dbraw/zinc/29/44/76/613294476.db2.gz MORXEITUKYNMOT-ZFWWWQNUSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)c(C)o2)C1 ZINC000957979656 613294650 /nfs/dbraw/zinc/29/46/50/613294650.db2.gz OYGRXUIWNLTHFN-STQMWFEESA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C(F)F)n[nH]2)C1 ZINC000958395188 613294845 /nfs/dbraw/zinc/29/48/45/613294845.db2.gz PLRIRCMJHTYWSW-KWQFWETISA-N 0 1 298.293 0.003 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2scnc2C)C1 ZINC000957881766 613296678 /nfs/dbraw/zinc/29/66/78/613296678.db2.gz FJPMGGZUIAWBRD-QWRGUYRKSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C[C@@H]2CCC(=O)NC2)C(C)(C)C1 ZINC000974668785 613297320 /nfs/dbraw/zinc/29/73/20/613297320.db2.gz CGSLWPVNVALPFC-STQMWFEESA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2CCC(=O)N(C)C2)C(C)(C)C1 ZINC000977628736 613299254 /nfs/dbraw/zinc/29/92/54/613299254.db2.gz NVHDHTNYRLBWPG-STQMWFEESA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)C2CCC(=C)CC2)C1 ZINC000958371197 613301575 /nfs/dbraw/zinc/30/15/75/613301575.db2.gz YHNYSYLCBSPMNN-CABCVRRESA-N 0 1 276.380 0.775 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2[nH]ccc2C2CC2)C1 ZINC000958121190 613302261 /nfs/dbraw/zinc/30/22/61/613302261.db2.gz DGYCCUHAXUKUTF-OCCSQVGLSA-N 0 1 287.363 0.548 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc(C)c2C)C1 ZINC000958639962 613303269 /nfs/dbraw/zinc/30/32/69/613303269.db2.gz MYCMYDTYSXGZHB-ZBFHGGJFSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ncccc2Cl)C1 ZINC000958605819 613304767 /nfs/dbraw/zinc/30/47/67/613304767.db2.gz GMNWOPPNAZTWED-PWSUYJOCSA-N 0 1 293.754 0.391 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC000980964429 613309351 /nfs/dbraw/zinc/30/93/51/613309351.db2.gz SYDPIIUCQCVACN-CYBMUJFWSA-N 0 1 279.384 0.623 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2cn(C)c(=O)cn2)CC1 ZINC000981649551 613312548 /nfs/dbraw/zinc/31/25/48/613312548.db2.gz AGIPXYAMALPSND-UHFFFAOYSA-N 0 1 290.367 0.504 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)c2c[nH]c(=O)n2C)CC1 ZINC000981750239 613312661 /nfs/dbraw/zinc/31/26/61/613312661.db2.gz UWERWUSWOGFODM-UHFFFAOYSA-N 0 1 278.356 0.850 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccn(CC)n1 ZINC000959980105 613314449 /nfs/dbraw/zinc/31/44/49/613314449.db2.gz GYOLHRYGHJJVDY-NHAGDIPZSA-N 0 1 272.352 0.125 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c2c(nn1C)CCC2 ZINC000962272494 613317186 /nfs/dbraw/zinc/31/71/86/613317186.db2.gz SHFOZKONDYSVKF-IMRBUKKESA-N 0 1 284.363 0.202 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(F)cn1 ZINC000958628540 613319287 /nfs/dbraw/zinc/31/92/87/613319287.db2.gz AXSOLDIQDBBPGA-PJXYFTJBSA-N 0 1 259.284 0.514 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2ccc(C)cc2n1 ZINC000960869909 613320788 /nfs/dbraw/zinc/32/07/88/613320788.db2.gz DHDOSBDBXXEPCM-VIKVFOODSA-N 0 1 294.358 0.936 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(OCC)n1 ZINC000960798650 613321768 /nfs/dbraw/zinc/32/17/68/613321768.db2.gz CUKFPGOYMIGZSV-IAZYJMLFSA-N 0 1 275.308 0.367 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncc(C)cc1C ZINC000961326724 613321850 /nfs/dbraw/zinc/32/18/50/613321850.db2.gz LBYVSYGQGBBCHP-NHAGDIPZSA-N 0 1 269.348 0.992 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nccc(C)n1 ZINC000958732981 613322023 /nfs/dbraw/zinc/32/20/23/613322023.db2.gz MSPDYSWSLNTIMY-GDNZZTSVSA-N 0 1 256.309 0.078 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCC[C@@H]2CCOC2)CC1 ZINC000827871150 613329637 /nfs/dbraw/zinc/32/96/37/613329637.db2.gz UOHUWGKAZAKGFW-OAHLLOKOSA-N 0 1 293.411 0.170 20 30 CCEDMN C=C(C)C[C@@H](CO)NCc1cn(C[C@H]2CCOC2)nn1 ZINC000883399354 613355557 /nfs/dbraw/zinc/35/55/57/613355557.db2.gz KGXDRGQFYDSPPR-OLZOCXBDSA-N 0 1 280.372 0.731 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)C[N@H+](C)[C@H]1CCSC1)C(=O)[O-] ZINC000910293553 613355741 /nfs/dbraw/zinc/35/57/41/613355741.db2.gz JZYHDZCBUGAHID-WDEREUQCSA-N 0 1 286.397 0.959 20 30 CCEDMN C=C(C)C[C@H](CO)NCc1cn(C[C@H]2CCOC2)nn1 ZINC000883399353 613358326 /nfs/dbraw/zinc/35/83/26/613358326.db2.gz KGXDRGQFYDSPPR-CHWSQXEVSA-N 0 1 280.372 0.731 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC12CCN(CC1)C2 ZINC000913636445 613361170 /nfs/dbraw/zinc/36/11/70/613361170.db2.gz GYFIHTRGEMLIME-RYUDHWBXSA-N 0 1 250.342 0.932 20 30 CCEDMN C=C(Cl)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1n[nH]c(C)n1 ZINC000958816210 613382186 /nfs/dbraw/zinc/38/21/86/613382186.db2.gz JTLZJXUDHSWWPY-IWIIMEHWSA-N 0 1 295.774 0.455 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2CN(C(C)=O)C2)C1 ZINC000968508919 613384425 /nfs/dbraw/zinc/38/44/25/613384425.db2.gz ZVUKGFZRJWXQDS-GFCCVEGCSA-N 0 1 285.775 0.408 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2n[nH]nc2C)C1 ZINC000969548006 613385375 /nfs/dbraw/zinc/38/53/75/613385375.db2.gz BRLZVHWSIYHFMR-SECBINFHSA-N 0 1 269.736 0.670 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnn(C)c2)C1 ZINC000966892372 613386099 /nfs/dbraw/zinc/38/60/99/613386099.db2.gz JWPSDJBMIBLAFK-LLVKDONJSA-N 0 1 268.748 0.977 20 30 CCEDMN C=CC[C@@H](C(=O)OC)[NH+]1CCC(c2n[nH]c(=O)[n-]2)CC1 ZINC000878538756 613396677 /nfs/dbraw/zinc/39/66/77/613396677.db2.gz GYMGFXOYSYJLNT-JTQLQIEISA-N 0 1 280.328 0.807 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN(CCO)[C@@H](C)C1 ZINC000878464692 613397703 /nfs/dbraw/zinc/39/77/03/613397703.db2.gz FIJGXHBTOUGLOE-RYUDHWBXSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)NCc1n[nH]c(=O)n1C ZINC000888956209 613401147 /nfs/dbraw/zinc/40/11/47/613401147.db2.gz LWXMZZCFFRYVCL-MRVPVSSYSA-N 0 1 253.306 0.675 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000878046972 613411845 /nfs/dbraw/zinc/41/18/45/613411845.db2.gz DCJCPIHKOAAQRO-MCIONIFRSA-N 0 1 282.384 0.635 20 30 CCEDMN C=CC[C@H](CO)NC(=O)C1CCC(N2CCOCC2)CC1 ZINC000907363143 613417092 /nfs/dbraw/zinc/41/70/92/613417092.db2.gz MXELRBHFWZZHHE-SHARSMKWSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(N(C)C(=O)c2[nH]nnc2C)C1 ZINC000954949685 613428999 /nfs/dbraw/zinc/42/89/99/613428999.db2.gz QQQQXGOWPWRVHM-UHFFFAOYSA-N 0 1 291.355 0.608 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)[C@H]2CCNC2=O)C1 ZINC000972083353 613434431 /nfs/dbraw/zinc/43/44/31/613434431.db2.gz XUSWSXGPCPFZBW-NEPJUHHUSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCC1(O)CCN([C@@H]2CC(=O)N(C3CC3)C2=O)CC1 ZINC000887306295 613460781 /nfs/dbraw/zinc/46/07/81/613460781.db2.gz OVPBARIKZZNQDU-GFCCVEGCSA-N 0 1 278.352 0.679 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NS(=O)(=O)N=[S@](C)(=O)CC ZINC000867356850 613461423 /nfs/dbraw/zinc/46/14/23/613461423.db2.gz XBJGKOXLEZFUSC-GPHJXTMHSA-N 0 1 296.414 0.672 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN(C)C[C@@H](C(=O)OC)C1 ZINC000845620280 613485151 /nfs/dbraw/zinc/48/51/51/613485151.db2.gz QPWUVELGZFEUJK-WDEREUQCSA-N 0 1 285.344 0.239 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCC(=O)N(C)C2)CC1 ZINC000957790129 613506209 /nfs/dbraw/zinc/50/62/09/613506209.db2.gz KRUZAYIAEMHROO-CYBMUJFWSA-N 0 1 279.384 0.575 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@@H](c2[nH]ncc2N)C1 ZINC000907414956 613508848 /nfs/dbraw/zinc/50/88/48/613508848.db2.gz BXVVSSCCWIIFTD-RNCFNFMXSA-N 0 1 264.329 0.635 20 30 CCEDMN C=CCCN1CCN(C(=O)c2cn(C)c(=O)n2C)CC1 ZINC000957812328 613511587 /nfs/dbraw/zinc/51/15/87/613511587.db2.gz OXZWNQOQNXAOHO-UHFFFAOYSA-N 0 1 278.356 0.058 20 30 CCEDMN CC#CC(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000854329981 613517720 /nfs/dbraw/zinc/51/77/20/613517720.db2.gz HGHMSQWPHNBADA-ZDUSSCGKSA-N 0 1 250.342 0.723 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@@H](CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000957985333 613548025 /nfs/dbraw/zinc/54/80/25/613548025.db2.gz GCDDQKDYXSWPDD-WDEREUQCSA-N 0 1 294.355 0.022 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966884864 613575265 /nfs/dbraw/zinc/57/52/65/613575265.db2.gz STODZZCKANBIKG-ZYHUDNBSSA-N 0 1 290.367 0.887 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC000968180438 613575859 /nfs/dbraw/zinc/57/58/59/613575859.db2.gz XMHNXSPNRVGEKI-VXGBXAGGSA-N 0 1 290.367 0.589 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC000833373904 613578244 /nfs/dbraw/zinc/57/82/44/613578244.db2.gz ILLRPZZIFMUZBC-STQMWFEESA-N 0 1 298.383 0.975 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cnon2)C[C@@H]1C ZINC000947837940 613588336 /nfs/dbraw/zinc/58/83/36/613588336.db2.gz UUHKUYQBTQHOKC-WDEREUQCSA-N 0 1 262.313 0.676 20 30 CCEDMN C=CCC[C@H](NC(=O)C[C@@H]1CC[N@H+](C)C1)C(=O)[O-] ZINC000909713528 613589484 /nfs/dbraw/zinc/58/94/84/613589484.db2.gz RGDWASOWOKQXIA-QWRGUYRKSA-N 0 1 254.330 0.864 20 30 CCEDMN C=CCC[C@H](CO)NCc1nc(Br)nn1C ZINC000894931205 613589518 /nfs/dbraw/zinc/58/95/18/613589518.db2.gz YXFBMSWGMNABBD-MRVPVSSYSA-N 0 1 289.177 0.994 20 30 CCEDMN C=CCN(C(=O)C(=O)NCc1cc(C)[nH]n1)C(C)C ZINC000805737532 613603321 /nfs/dbraw/zinc/60/33/21/613603321.db2.gz DUMLUBJLYIIHGI-UHFFFAOYSA-N 0 1 264.329 0.757 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C2=COCCO2)C(C)(C)C1 ZINC000972781539 613608321 /nfs/dbraw/zinc/60/83/21/613608321.db2.gz BFNZZQIFTJGFQB-CYBMUJFWSA-N 0 1 278.352 0.725 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CN(C)CCO2)C(C)(C)C1 ZINC000972990793 613610155 /nfs/dbraw/zinc/61/01/55/613610155.db2.gz AVRCRFALQDRGNV-ZIAGYGMSSA-N 0 1 293.411 0.167 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)C2CC3(CC3)C2)C1 ZINC000958398658 613612162 /nfs/dbraw/zinc/61/21/62/613612162.db2.gz GBAPFSPQKSTWOI-UONOGXRCSA-N 0 1 276.380 0.609 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(Cl)[nH]2)C1 ZINC000958384334 613612656 /nfs/dbraw/zinc/61/26/56/613612656.db2.gz HSZJOXRYGMMGBV-CMPLNLGQSA-N 0 1 295.770 0.714 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cc(C)c(C)o2)[C@H](O)C1 ZINC000957979183 613614426 /nfs/dbraw/zinc/61/44/26/613614426.db2.gz LTOGJUOCIAKLHH-ZIAGYGMSSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2[nH]c(C)nc2C)[C@H](O)C1 ZINC000958417266 613614448 /nfs/dbraw/zinc/61/44/48/613614448.db2.gz JKYJVVLNQNPNOB-CHWSQXEVSA-N 0 1 290.367 0.072 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)C2C=CC=CC=C2)C1 ZINC000958106925 613618293 /nfs/dbraw/zinc/61/82/93/613618293.db2.gz ZYUUWRDPIVWRCB-HOTGVXAUSA-N 0 1 286.375 0.717 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(OC)o2)C1 ZINC000958514582 613618413 /nfs/dbraw/zinc/61/84/13/613618413.db2.gz BGRNEKCLOCZGKF-RYUDHWBXSA-N 0 1 292.335 0.334 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974912743 613621584 /nfs/dbraw/zinc/62/15/84/613621584.db2.gz AAQAFEZQSQKOGL-STQMWFEESA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccccc2F)C1 ZINC000957813189 613624871 /nfs/dbraw/zinc/62/48/71/613624871.db2.gz XXTOTGOXLUDZIS-DOMZBBRYSA-N 0 1 290.338 0.872 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2onc(C)c2C)C1 ZINC000958166845 613626493 /nfs/dbraw/zinc/62/64/93/613626493.db2.gz BTUSFNUQYJIJKD-OLZOCXBDSA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ocnc2C)C1 ZINC000957965667 613626540 /nfs/dbraw/zinc/62/65/40/613626540.db2.gz MHQUWLHBTXAFOV-NEPJUHHUSA-N 0 1 277.324 0.029 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2occc2C)C1 ZINC000957881665 613626546 /nfs/dbraw/zinc/62/65/46/613626546.db2.gz CPNZNOMXGMZZPP-OLZOCXBDSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCCC(=O)N1 ZINC000960953223 613633840 /nfs/dbraw/zinc/63/38/40/613633840.db2.gz RUHBRYPECUKXRE-FOCJUVANSA-N 0 1 289.379 0.115 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cccnc1 ZINC000958579653 613634489 /nfs/dbraw/zinc/63/44/89/613634489.db2.gz JKORKQKHDUQKSZ-FOLVSLTJSA-N 0 1 269.348 0.694 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C)ncn1 ZINC000958594079 613638358 /nfs/dbraw/zinc/63/83/58/613638358.db2.gz LKYINKZUOIBEML-IMRBUKKESA-N 0 1 270.336 0.468 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(Cl)n1C ZINC000961118843 613641503 /nfs/dbraw/zinc/64/15/03/613641503.db2.gz WPKKNJSYGVOSOP-IAZYJMLFSA-N 0 1 292.770 0.757 20 30 CCEDMN C=CCN(CC(=O)N[C@@H](C)C(=O)N(C)C)C(C)C ZINC000917069279 613641881 /nfs/dbraw/zinc/64/18/81/613641881.db2.gz MSSXSFVIEPTTHY-NSHDSACASA-N 0 1 255.362 0.476 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(CC)c1C ZINC000962133430 613642144 /nfs/dbraw/zinc/64/21/44/613642144.db2.gz PWGZUHBRFVOCDY-FICVDOATSA-N 0 1 286.379 0.895 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc2n[nH]nc2c1 ZINC000960298223 613642391 /nfs/dbraw/zinc/64/23/91/613642391.db2.gz SWXPFYGDVAWORQ-PJXYFTJBSA-N 0 1 296.334 0.036 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncs1 ZINC000958339133 613642604 /nfs/dbraw/zinc/64/26/04/613642604.db2.gz CAHDMKNQZCRBFJ-IAZYJMLFSA-N 0 1 261.350 0.826 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1oc(C)nc1C ZINC000958479899 613642821 /nfs/dbraw/zinc/64/28/21/613642821.db2.gz YCFVYYGZHBNXQS-ITGUQSILSA-N 0 1 273.336 0.975 20 30 CCEDMN CC#CCNC(=O)NC[C@@H]1CCO[C@@H]1c1n[nH]c(C)n1 ZINC000923217445 613643723 /nfs/dbraw/zinc/64/37/23/613643723.db2.gz YTNAZUBXMNYHSW-QWRGUYRKSA-N 0 1 277.328 0.513 20 30 CCEDMN C=CCN(CC=C)C(=O)NCc1n[nH]c(=O)n1C ZINC000889595263 613648080 /nfs/dbraw/zinc/64/80/80/613648080.db2.gz MHNYMTYISBTEPM-UHFFFAOYSA-N 0 1 251.290 0.404 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N[C@H]1C(=O)NCC1(C)C ZINC000931664164 613648535 /nfs/dbraw/zinc/64/85/35/613648535.db2.gz PZCXAPFGFVSJCI-RYUDHWBXSA-N 0 1 279.384 0.690 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NC(C)c2nccn2C)o1 ZINC000823962892 613657747 /nfs/dbraw/zinc/65/77/47/613657747.db2.gz QMNQYRJOJPDDTR-UHFFFAOYSA-N 0 1 287.287 0.733 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cn(CCC)nn2)C1 ZINC000970663305 613666496 /nfs/dbraw/zinc/66/64/96/613666496.db2.gz RKVJRCCPCMISNR-LLVKDONJSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccnc3n[nH]nc32)C1 ZINC000970121656 613666521 /nfs/dbraw/zinc/66/65/21/613666521.db2.gz WDKCEBZFNOMXKI-SECBINFHSA-N 0 1 286.339 0.589 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C2=CCOCC2)C1 ZINC000969547170 613668077 /nfs/dbraw/zinc/66/80/77/613668077.db2.gz ZQESGSBPKYQWRR-NSHDSACASA-N 0 1 250.342 0.956 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2COCCO2)C1 ZINC000969324918 613669404 /nfs/dbraw/zinc/66/94/04/613669404.db2.gz VHEKSFPBAGVQES-JQWIXIFHSA-N 0 1 254.330 0.024 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC000969984395 613670252 /nfs/dbraw/zinc/67/02/52/613670252.db2.gz JPORCIPROXEIDS-RYUDHWBXSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CCCN(C(=O)Cc2nnc(C)o2)CC1 ZINC000981096926 613690995 /nfs/dbraw/zinc/69/09/95/613690995.db2.gz SZTQJFABXHVLGL-UHFFFAOYSA-N 0 1 264.329 0.641 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2C[C@@H]2C(=O)OC)CC1 ZINC000980825609 613692443 /nfs/dbraw/zinc/69/24/43/613692443.db2.gz IYJZWRAOWKEABF-NEPJUHHUSA-N 0 1 266.341 0.516 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC000981774300 613692471 /nfs/dbraw/zinc/69/24/71/613692471.db2.gz WVMDOERMZXJQPW-ITGUQSILSA-N 0 1 250.342 0.599 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CC(=O)N(CC)C2)CC1 ZINC000981276259 613693622 /nfs/dbraw/zinc/69/36/22/613693622.db2.gz KMTYRGDXMFFTHK-ZDUSSCGKSA-N 0 1 279.384 0.575 20 30 CCEDMN C=CCN1CCN(CN2CCC[C@H]2COC)C1=O ZINC000840027903 613720065 /nfs/dbraw/zinc/72/00/65/613720065.db2.gz XDTIVLXCCIJCOV-LBPRGKRZSA-N 0 1 253.346 0.978 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)CN2C(=O)CCC2=O)C1 ZINC000968631608 613767113 /nfs/dbraw/zinc/76/71/13/613767113.db2.gz HRQXEXHHZHOQGL-RYUDHWBXSA-N 0 1 293.367 0.148 20 30 CCEDMN C=CCN1CC[C@H](N2CCC3(CC2)C[C@@H](O)CCO3)C1=O ZINC000933056818 613777869 /nfs/dbraw/zinc/77/78/69/613777869.db2.gz VKJXCIOCFDMSIA-KBPBESRZSA-N 0 1 294.395 0.779 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCNC2=O)C(C)(C)C1 ZINC000974910504 613807638 /nfs/dbraw/zinc/80/76/38/613807638.db2.gz GYPYGXCTMIMDLW-GHMZBOCLSA-N 0 1 265.357 0.135 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C(C)(C)C1 ZINC000974747593 613808359 /nfs/dbraw/zinc/80/83/59/613808359.db2.gz BKMCPSOOTULFFT-QWHCGFSZSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)C(C)(C)C1 ZINC000974884648 613828085 /nfs/dbraw/zinc/82/80/85/613828085.db2.gz ZAMWSGIODNQWSS-XHDPSFHLSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1C[C@H](O)[C@H](CNC(=O)c2cc(Cl)no2)C1 ZINC000958603178 613830862 /nfs/dbraw/zinc/83/08/62/613830862.db2.gz SAFBHHIWPNPAQZ-BDAKNGLRSA-N 0 1 285.731 0.537 20 30 CCEDMN C=CCNC(=O)CN1CCN[C@H](c2cccc(OC)c2)C1 ZINC000879526927 613845708 /nfs/dbraw/zinc/84/57/08/613845708.db2.gz VEUCKGPRYOWNPY-HNNXBMFYSA-N 0 1 289.379 0.944 20 30 CCEDMN C=CCNC(=O)CN[C@@H]1CCC2(C[C@@H]1F)OCCO2 ZINC000893317361 613847237 /nfs/dbraw/zinc/84/72/37/613847237.db2.gz FUOKVCYGEDRWMU-WDEREUQCSA-N 0 1 272.320 0.512 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCN(C)C[C@H]1CC(C)C ZINC000933245586 613849639 /nfs/dbraw/zinc/84/96/39/613849639.db2.gz ZAIXCEWDIOBSBL-CYBMUJFWSA-N 0 1 296.415 0.660 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCSC[C@@H]1C ZINC000934304499 613849642 /nfs/dbraw/zinc/84/96/42/613849642.db2.gz AXSWYHZLLFDDEI-JTQLQIEISA-N 0 1 271.386 0.826 20 30 CCEDMN C=CCOCCCNC(=O)N1CCN(C)CCN(C)CC1 ZINC000895784308 613880006 /nfs/dbraw/zinc/88/00/06/613880006.db2.gz GXTGPEUFTUAZCD-UHFFFAOYSA-N 0 1 298.431 0.468 20 30 CCEDMN C=CCOCCCNC(=O)NCc1n[nH]c(C)n1 ZINC000895784983 613880179 /nfs/dbraw/zinc/88/01/79/613880179.db2.gz NPDPZELBWQGDMR-UHFFFAOYSA-N 0 1 253.306 0.505 20 30 CCEDMN C=CCOC[C@H](N[C@@H]1CC[N@@H+]2CCC[C@@H]2C1)C(=O)[O-] ZINC000901810205 613882773 /nfs/dbraw/zinc/88/27/73/613882773.db2.gz KBTQUOFGZKGBPS-UPJWGTAASA-N 0 1 268.357 0.859 20 30 CCEDMN C=CCOC[C@H](N[C@@H]1CC[N@H+]2CCC[C@@H]2C1)C(=O)[O-] ZINC000901810205 613882774 /nfs/dbraw/zinc/88/27/74/613882774.db2.gz KBTQUOFGZKGBPS-UPJWGTAASA-N 0 1 268.357 0.859 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N([C@H]2CCC[N@H+](CC)C2)C1=O ZINC000925299507 613883056 /nfs/dbraw/zinc/88/30/56/613883056.db2.gz SWLHXPQLJKPEJQ-NWDGAFQWSA-N 0 1 281.356 0.594 20 30 CCEDMN C=CCOc1cccnc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834485227 613884984 /nfs/dbraw/zinc/88/49/84/613884984.db2.gz TWHWDVLXLYVYKB-MNOVXSKESA-N 0 1 272.308 0.488 20 30 CCEDMN CC(C)NC(=O)CCCC(=O)C(C#N)C(=O)NC(C)C ZINC000121445769 613908702 /nfs/dbraw/zinc/90/87/02/613908702.db2.gz DSVIHFPHWROJJZ-LLVKDONJSA-N 0 1 281.356 0.915 20 30 CCEDMN CC(C)(C)N1CCC[C@H](C(=O)N[C@H]2CNC[C@@H]2C#N)C1=O ZINC000884249060 613926012 /nfs/dbraw/zinc/92/60/12/613926012.db2.gz CGMZKVROCNEXKS-TUAOUCFPSA-N 0 1 292.383 0.251 20 30 CCEDMN CC(=O)NC[C@H]1CN(Cc2ccc(C#N)cc2)C[C@H]1O ZINC000957789676 613929646 /nfs/dbraw/zinc/92/96/46/613929646.db2.gz XFWUOEHOUKZAGY-LSDHHAIUSA-N 0 1 273.336 0.487 20 30 CCEDMN CC(C)(C)N1CC[C@](F)(C(=O)OCC(=O)NCCC#N)C1 ZINC000811444322 613943575 /nfs/dbraw/zinc/94/35/75/613943575.db2.gz BZQHNVURUMDRNA-CQSZACIVSA-N 0 1 299.346 0.772 20 30 CCEDMN CC(=O)N[C@](C)(C(=O)NCC#CCN(C)C)C(C)C ZINC000827972310 614189621 /nfs/dbraw/zinc/18/96/21/614189621.db2.gz YUIGKRRCSSPQMM-AWEZNQCLSA-N 0 1 267.373 0.218 20 30 CCEDMN CC(=O)Nc1cccnc1C(=O)NCC#CCN(C)C ZINC000913525359 614207854 /nfs/dbraw/zinc/20/78/54/614207854.db2.gz SWLNUSCWLVSCTO-UHFFFAOYSA-N 0 1 274.324 0.335 20 30 CCEDMN CC(C)Cc1ncc(NC(=O)N[C@@H]2CNC[C@H]2C#N)cn1 ZINC000841224072 614234022 /nfs/dbraw/zinc/23/40/22/614234022.db2.gz GXOVBJVSSYTMGI-ZYHUDNBSSA-N 0 1 288.355 0.908 20 30 CCEDMN CC(C)Cc1noc(Cn2cnc(-c3nn[nH]n3)c2C#N)n1 ZINC000824280805 614234432 /nfs/dbraw/zinc/23/44/32/614234432.db2.gz UTRYRRSMDWBMBX-UHFFFAOYSA-N 0 1 299.298 0.565 20 30 CCEDMN CC(=O)c1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1O ZINC000834498624 614252625 /nfs/dbraw/zinc/25/26/25/614252625.db2.gz AVEXSDFAAVISOH-ZYHUDNBSSA-N 0 1 273.292 0.436 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)OC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840672965 614286175 /nfs/dbraw/zinc/28/61/75/614286175.db2.gz UEUCJVPETNMKRB-PRFIWBCESA-N 0 1 297.311 0.638 20 30 CCEDMN CC(C)N(CCC#N)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000900469659 614290604 /nfs/dbraw/zinc/29/06/04/614290604.db2.gz JXNOKAHBRZZIKD-CYBMUJFWSA-N 0 1 265.357 0.858 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000900810634 614327782 /nfs/dbraw/zinc/32/77/82/614327782.db2.gz HIGQTNCLGBTGPV-STQMWFEESA-N 0 1 251.374 0.396 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000900810633 614327724 /nfs/dbraw/zinc/32/77/24/614327724.db2.gz HIGQTNCLGBTGPV-QWHCGFSZSA-N 0 1 251.374 0.396 20 30 CCEDMN CC(C)[N@H+]1CCC[C@H]1C(=O)N1CCOC[C@@H]1C#N ZINC000933560959 614351007 /nfs/dbraw/zinc/35/10/07/614351007.db2.gz ZKFSWJVJNRDDIB-RYUDHWBXSA-N 0 1 251.330 0.610 20 30 CCEDMN CC(C)[N@H+]1CC[C@@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820025086 614371539 /nfs/dbraw/zinc/37/15/39/614371539.db2.gz PUXJJZKKZCTCSJ-SMDDNHRTSA-N 0 1 281.356 0.837 20 30 CCEDMN CCCc1n[nH]c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1[N+](=O)[O-] ZINC000834484540 614461549 /nfs/dbraw/zinc/46/15/49/614461549.db2.gz KCDXJTFOYIYFBM-IONNQARKSA-N 0 1 292.299 0.112 20 30 CCEDMN CC1(C)CCCN(C(=O)[C@H](O)c2c[nH]cn2)[C@H]1C#N ZINC000867832452 614526816 /nfs/dbraw/zinc/52/68/16/614526816.db2.gz MZBILQZQDDQWND-WDEREUQCSA-N 0 1 262.313 0.984 20 30 CCEDMN CC1(C)C[N@@H+](C[C@@H](O)CC2(C#N)CCC2)CCN1CCO ZINC000886157853 614670636 /nfs/dbraw/zinc/67/06/36/614670636.db2.gz MDOSSZSMMDVJTH-AWEZNQCLSA-N 0 1 295.427 0.820 20 30 CCEDMN CCN(C(=O)c1ccn[nH]1)[C@H]1CCN(C(=O)[C@@H](C)C#N)C1 ZINC000942679987 614723813 /nfs/dbraw/zinc/72/38/13/614723813.db2.gz RGBYKXZSQQCLAJ-QWRGUYRKSA-N 0 1 289.339 0.632 20 30 CCEDMN CCCCCCNC(=O)[C@H](C#N)C(=O)CS(C)(=O)=O ZINC000920107440 614912486 /nfs/dbraw/zinc/91/24/86/614912486.db2.gz YQFNIPXJVIWMNG-SNVBAGLBSA-N 0 1 288.369 0.436 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000827112260 615021433 /nfs/dbraw/zinc/02/14/33/615021433.db2.gz ZIQRFFSEPBVSSS-NSHDSACASA-N 0 1 282.344 0.481 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H]1CNC(=O)[O-] ZINC000827112260 615021437 /nfs/dbraw/zinc/02/14/37/615021437.db2.gz ZIQRFFSEPBVSSS-NSHDSACASA-N 0 1 282.344 0.481 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000827019616 615061469 /nfs/dbraw/zinc/06/14/69/615061469.db2.gz AQMWNXLCGYUKIY-NWDGAFQWSA-N 0 1 296.371 0.727 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H]1CNC(=O)[O-] ZINC000827019616 615061471 /nfs/dbraw/zinc/06/14/71/615061471.db2.gz AQMWNXLCGYUKIY-NWDGAFQWSA-N 0 1 296.371 0.727 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC000818193823 615064591 /nfs/dbraw/zinc/06/45/91/615064591.db2.gz XZQDCFWWHQQBNB-MNOVXSKESA-N 0 1 267.329 0.401 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC000829641779 615064663 /nfs/dbraw/zinc/06/46/63/615064663.db2.gz AGMTZIGMZNRFLF-BXUZGUMPSA-N 0 1 281.356 0.791 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@]1(C)C[C@H](O)CN1C ZINC000900239080 615064834 /nfs/dbraw/zinc/06/48/34/615064834.db2.gz AEKRSUCVCUDKQV-MDZLAQPJSA-N 0 1 253.346 0.450 20 30 CCEDMN CCN1CCC(=NN[C@H]2CCCS(=O)(=O)C2)[C@@H](C)C1 ZINC000863130352 615098677 /nfs/dbraw/zinc/09/86/77/615098677.db2.gz MYVOSDLPPBBQDV-RYUDHWBXSA-N 0 1 287.429 0.871 20 30 CCEDMN CC[N@H+]1CCCC[C@H]1C(=O)N1CC[C@@H](N(C)CC#N)C1 ZINC000980475106 615113767 /nfs/dbraw/zinc/11/37/67/615113767.db2.gz CFOMUYLDFLNIEG-KGLIPLIRSA-N 0 1 278.400 0.917 20 30 CCEDMN CCOC(=O)C(C)(C)C(=O)NCC#CCN(C)C ZINC000913520246 615149164 /nfs/dbraw/zinc/14/91/64/615149164.db2.gz JNKPNGUQVVGFHW-UHFFFAOYSA-N 0 1 254.330 0.257 20 30 CCEDMN CCN1CCO[C@@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC000972297997 615177885 /nfs/dbraw/zinc/17/78/85/615177885.db2.gz PBAHIYPTGQRCDQ-AAEUAGOBSA-N 0 1 251.330 0.469 20 30 CCEDMN CCS[C@H](C(=O)N[C@@H]1CNC[C@H]1C#N)C(C)C ZINC000834494511 615363221 /nfs/dbraw/zinc/36/32/21/615363221.db2.gz NQFIBUSUWRZUCU-MXWKQRLJSA-N 0 1 255.387 0.992 20 30 CCEDMN CCOc1cc(C(=O)NCC#CCN(C)C)on1 ZINC000913523443 615505210 /nfs/dbraw/zinc/50/52/10/615505210.db2.gz ZZFHTEBQEJEZTP-UHFFFAOYSA-N 0 1 251.286 0.368 20 30 CCEDMN CC[C@H](N[NH+]=C1CCCc2nc(N)ncc21)C(=O)[O-] ZINC000814937818 615819653 /nfs/dbraw/zinc/81/96/53/615819653.db2.gz PSCCILZJWAXIOA-QMMMGPOBSA-N 0 1 263.301 0.552 20 30 CCEDMN CCc1nnsc1C(=O)NCC#CCN(C)C ZINC000913515684 615824726 /nfs/dbraw/zinc/82/47/26/615824726.db2.gz IQZXXQYLDPUAOX-UHFFFAOYSA-N 0 1 252.343 0.395 20 30 CCEDMN CCc1noc(CC)c1CC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834479784 615834784 /nfs/dbraw/zinc/83/47/84/615834784.db2.gz IPMZZZPAZIZZPA-JOYOIKCWSA-N 0 1 276.340 0.570 20 30 CCEDMN CN(C)CC#CCNC(=O)CCc1ccc(C(N)=O)cc1 ZINC000913523143 615857628 /nfs/dbraw/zinc/85/76/28/615857628.db2.gz NKLJXGJSMFWXAV-UHFFFAOYSA-N 0 1 287.363 0.399 20 30 CCEDMN CN(C)CC#CCNC(=O)C1(C)CCC(=O)CC1 ZINC000913522914 615857810 /nfs/dbraw/zinc/85/78/10/615857810.db2.gz FHJASNLJLQFOGQ-UHFFFAOYSA-N 0 1 250.342 0.817 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc2c[nH]nc2c1 ZINC000913521104 615858323 /nfs/dbraw/zinc/85/83/23/615858323.db2.gz KZYLMLPCAWBDMD-UHFFFAOYSA-N 0 1 270.336 0.787 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1ccc2c(c1)COC2 ZINC000913521336 615858395 /nfs/dbraw/zinc/85/83/95/615858395.db2.gz UTRPTQHFKOHNAA-UHFFFAOYSA-N 0 1 272.348 0.941 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1csc2nccn12 ZINC000913519710 615859017 /nfs/dbraw/zinc/85/90/17/615859017.db2.gz LMOQWZWTDLURBF-UHFFFAOYSA-N 0 1 276.365 0.620 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1cnccc1Cl ZINC000913522712 615859065 /nfs/dbraw/zinc/85/90/65/615859065.db2.gz VYTLRDKUHLJMFL-UHFFFAOYSA-N 0 1 265.744 0.959 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H](O)C1CCCCC1 ZINC000913521095 615859547 /nfs/dbraw/zinc/85/95/47/615859547.db2.gz KRAHOVYJKRBXMP-CYBMUJFWSA-N 0 1 252.358 0.609 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H](O)c1cccc([N+](=O)[O-])c1 ZINC000913523150 615859779 /nfs/dbraw/zinc/85/97/79/615859779.db2.gz NNBMBYJBRWTTQF-ZDUSSCGKSA-N 0 1 291.307 0.309 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CC(c2ccccc2)=NO1 ZINC000913516852 615859812 /nfs/dbraw/zinc/85/98/12/615859812.db2.gz JJNQJCSSGVFOTP-HNNXBMFYSA-N 0 1 285.347 0.861 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CCN(CC(F)(F)F)C1 ZINC000913521724 615859965 /nfs/dbraw/zinc/85/99/65/615859965.db2.gz KQHCJPOTGQGFDG-NSHDSACASA-N 0 1 291.317 0.552 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1COc2ccccc2O1 ZINC000913514173 615859969 /nfs/dbraw/zinc/85/99/69/615859969.db2.gz HMAYRTCEFLGOEN-CQSZACIVSA-N 0 1 274.320 0.508 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@](C)(O)c1ccc(F)cc1 ZINC000827972057 615860042 /nfs/dbraw/zinc/86/00/42/615860042.db2.gz PVNHGVZGXNSHMM-OAHLLOKOSA-N 0 1 278.327 0.714 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@]12CCC[C@H]1OCC2 ZINC000913525435 615860194 /nfs/dbraw/zinc/86/01/94/615860194.db2.gz XTABEKHSQZSABP-OCCSQVGLSA-N 0 1 250.342 0.627 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(C=O)ccc1F ZINC000913524618 615860593 /nfs/dbraw/zinc/86/05/93/615860593.db2.gz VMGLAWWFSUJMRA-UHFFFAOYSA-N 0 1 262.284 0.933 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2[nH]ccc2n1 ZINC000913524526 615860661 /nfs/dbraw/zinc/86/06/61/615860661.db2.gz QBPGRKCCNWEMGY-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(C2CC2)n(C)n1 ZINC000913519106 615860977 /nfs/dbraw/zinc/86/09/77/615860977.db2.gz NOVVJJKRRZJIQP-UHFFFAOYSA-N 0 1 260.341 0.592 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2n[nH]cc2c1 ZINC000913516435 615861115 /nfs/dbraw/zinc/86/11/15/615861115.db2.gz PYUNPACUBRLNMZ-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cncnc1C1CC1 ZINC000913523349 615861729 /nfs/dbraw/zinc/86/17/29/615861729.db2.gz WWCQPKPQFHCCTA-UHFFFAOYSA-N 0 1 258.325 0.649 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn2cccnc12 ZINC000913516606 615861910 /nfs/dbraw/zinc/86/19/10/615861910.db2.gz XRRPHQNBLOONIR-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccnn1CC1CCC1 ZINC000913525389 615862059 /nfs/dbraw/zinc/86/20/59/615862059.db2.gz VCPBKEBDVRNGON-UHFFFAOYSA-N 0 1 274.368 0.978 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cncc(N2CCCC2)n1 ZINC000913525227 615862139 /nfs/dbraw/zinc/86/21/39/615862139.db2.gz OQQOIHXQSLKFCZ-UHFFFAOYSA-N 0 1 287.367 0.372 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cn2ccnc2s1 ZINC000913520987 615862152 /nfs/dbraw/zinc/86/21/52/615862152.db2.gz HAGGCGJBRUZTKA-UHFFFAOYSA-N 0 1 262.338 0.691 20 30 CCEDMN CN(C)CC#CCNC(=O)c1onc2c1CCCC2 ZINC000913523292 615862528 /nfs/dbraw/zinc/86/25/28/615862528.db2.gz TZBRYKOOSSVTHW-UHFFFAOYSA-N 0 1 261.325 0.848 20 30 CCEDMN CN(C)Cc1cc(CNC(=O)NCC#CCO)ccc1F ZINC000923781216 615953360 /nfs/dbraw/zinc/95/33/60/615953360.db2.gz UYCJUYAYPVHXQE-UHFFFAOYSA-N 0 1 293.342 0.682 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN1CC[C@@H](O)[C@H](CO)C1 ZINC000877592027 616011828 /nfs/dbraw/zinc/01/18/28/616011828.db2.gz KJMAPLPAIUGENV-GOEBONIOSA-N 0 1 289.379 0.799 20 30 CCEDMN CN(C)c1ccc(C=NN[C@H]2CCS(=O)(=O)C2)cc1 ZINC000916238701 616013936 /nfs/dbraw/zinc/01/39/36/616013936.db2.gz DSFZZISHIIUXGE-LBPRGKRZSA-N 0 1 281.381 0.863 20 30 CCEDMN CCc1n[nH]c(C(=O)N[C@@H]2[C@H]3CN(CC#N)C[C@H]32)c1Cl ZINC000960313394 616031191 /nfs/dbraw/zinc/03/11/91/616031191.db2.gz AHWOLCZTLSCYCQ-VROVMSAKSA-N 0 1 293.758 0.809 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1cccc(F)n1 ZINC000819182933 616067876 /nfs/dbraw/zinc/06/78/76/616067876.db2.gz JMSLTYLGAMODFV-GFCCVEGCSA-N 0 1 266.320 0.517 20 30 CCEDMN CN(CCNC(=O)NCC#CCO)Cc1ccc(F)cc1 ZINC000923777297 616092800 /nfs/dbraw/zinc/09/28/00/616092800.db2.gz IJPFUOAUCTVADQ-UHFFFAOYSA-N 0 1 293.342 0.552 20 30 CCEDMN CN(CCNCc1nc(C#N)cs1)CCOCCO ZINC000883347974 616096743 /nfs/dbraw/zinc/09/67/43/616096743.db2.gz DUCSYBJIGHUUSF-UHFFFAOYSA-N 0 1 284.385 0.045 20 30 CCEDMN CN1C(=O)CN(N=Cc2cc(C(F)(F)F)n[nH]2)C1=O ZINC000799483133 616136319 /nfs/dbraw/zinc/13/63/19/616136319.db2.gz UNGDYCGJUBYEDH-UHFFFAOYSA-N 0 1 275.190 0.656 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC000978346645 616180220 /nfs/dbraw/zinc/18/02/20/616180220.db2.gz DFTGPKHBEQBKKG-YIYPIFLZSA-N 0 1 276.384 0.288 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@H]1CCCN(CC#N)C1 ZINC000896628910 616193178 /nfs/dbraw/zinc/19/31/78/616193178.db2.gz CFYHFCOCJHRSDI-CHWSQXEVSA-N 0 1 264.373 0.432 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CCC[C@@H](C#N)C2)C1 ZINC000917960245 616238096 /nfs/dbraw/zinc/23/80/96/616238096.db2.gz OSOBYIWXVTXGMV-QWHCGFSZSA-N 0 1 279.388 0.177 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000874438452 616328688 /nfs/dbraw/zinc/32/86/88/616328688.db2.gz LKLIITVXIAQHOU-WDEREUQCSA-N 0 1 279.340 0.290 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)NC[C@@H]2CCN2C)CC1 ZINC000925428679 616344601 /nfs/dbraw/zinc/34/46/01/616344601.db2.gz DRXBDXCOLNWKFQ-NSHDSACASA-N 0 1 294.355 0.179 20 30 CCEDMN COCC[N@@H+]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@@H]1C ZINC000820730699 616367089 /nfs/dbraw/zinc/36/70/89/616367089.db2.gz YIDJICWNDFGWBS-FZMZJTMJSA-N 0 1 297.355 0.170 20 30 CCEDMN COCC[N@H+]1CCN(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@@H]1C ZINC000820730699 616367094 /nfs/dbraw/zinc/36/70/94/616367094.db2.gz YIDJICWNDFGWBS-FZMZJTMJSA-N 0 1 297.355 0.170 20 30 CCEDMN CN1C[C@H]2CC[C@@H](C1)N2CC(=O)NCc1ccc(C#N)cc1 ZINC000931396152 616369390 /nfs/dbraw/zinc/36/93/90/616369390.db2.gz JTRIDLYZXYPBED-IYBDPMFKSA-N 0 1 298.390 0.953 20 30 CCEDMN CN1CC[C@H](C(=O)Nc2cc(C#N)ccc2O)S1(=O)=O ZINC000843842533 616382052 /nfs/dbraw/zinc/38/20/52/616382052.db2.gz KAAFBLSBQYOIPT-LLVKDONJSA-N 0 1 295.320 0.236 20 30 CCEDMN CN1CC[C@H]1CNC(=O)N1CCN(C2CC2)[C@@H](C#N)C1 ZINC000925409653 616424209 /nfs/dbraw/zinc/42/42/09/616424209.db2.gz GKWCBUWSKTYKOY-STQMWFEESA-N 0 1 277.372 0.072 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H](O)CN1CC1CCC(C#N)CC1 ZINC000929605182 616426004 /nfs/dbraw/zinc/42/60/04/616426004.db2.gz XCJNFLIRBOWTEV-TUUUFIMRSA-N 0 1 266.341 0.925 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)CC1(CSC)CC1 ZINC000845440636 616495109 /nfs/dbraw/zinc/49/51/09/616495109.db2.gz ISRBFWRYRKXOFM-SNVBAGLBSA-N 0 1 284.381 0.991 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)NCC#Cc1ccccc1 ZINC000900058925 616497038 /nfs/dbraw/zinc/49/70/38/616497038.db2.gz XEWITLVUOUARIJ-GDBMZVCRSA-N 0 1 272.348 0.609 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N[C@H]1Cc2ccc(C#N)cc2C1 ZINC000913771166 616502656 /nfs/dbraw/zinc/50/26/56/616502656.db2.gz IMGAOKPDWCCNPM-ZMSDIMECSA-N 0 1 299.374 0.597 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)[C@H]1CCN1C1CCCC1 ZINC000825374294 616546023 /nfs/dbraw/zinc/54/60/23/616546023.db2.gz JBPGSFSSSPEENV-CYBMUJFWSA-N 0 1 293.367 0.919 20 30 CCEDMN COCCOCCOCCN1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000930828306 616552586 /nfs/dbraw/zinc/55/25/86/616552586.db2.gz JMNQLXASJUDNLK-GASCZTMLSA-N 0 1 299.415 0.584 20 30 CCEDMN COC(=O)[C@H](C[C@H]1CCCO1)NC(=O)C(C)C#N ZINC000924864578 616608066 /nfs/dbraw/zinc/60/80/66/616608066.db2.gz ICMNFTUJXVQEPJ-AEJSXWLSSA-N 0 1 254.286 0.373 20 30 CCEDMN CO[C@@H]1CC[C@H]1N(C)[C@H](C)C(=O)N(C)CCC#N ZINC000934126087 616714794 /nfs/dbraw/zinc/71/47/94/616714794.db2.gz INUGWPHKRHBTJP-IJLUTSLNSA-N 0 1 253.346 0.856 20 30 CCEDMN CO[C@@H]1CCn2cc(C(=O)N=C3C[C@@H](C)ON3)nc2C1 ZINC000867062968 616716472 /nfs/dbraw/zinc/71/64/72/616716472.db2.gz YAAYNBQRLNAGEZ-RKDXNWHRSA-N 0 1 278.312 0.696 20 30 CCEDMN COC(=O)c1cccc(C(=O)NCC#CCN(C)C)c1 ZINC000913516869 616924705 /nfs/dbraw/zinc/92/47/05/616924705.db2.gz JYYCLWMVPHDNBA-UHFFFAOYSA-N 0 1 274.320 0.768 20 30 CCEDMN COC(=O)c1cccc(CC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834484510 616926903 /nfs/dbraw/zinc/92/69/03/616926903.db2.gz JWULNKCFBBJQTM-CHWSQXEVSA-N 0 1 287.319 0.243 20 30 CCEDMN COCCCn1nc(C(=O)NC2=NO[C@H](C)C2)ccc1=O ZINC000831399956 616938994 /nfs/dbraw/zinc/93/89/94/616938994.db2.gz VPWCFCNQXTUVML-SECBINFHSA-N 0 1 294.311 0.132 20 30 CCEDMN COC(=O)[C@@H](Cc1cncs1)NC(=O)C(C)C#N ZINC000832677943 616975428 /nfs/dbraw/zinc/97/54/28/616975428.db2.gz LXUYDEHYTRIQEY-VXNVDRBHSA-N 0 1 267.310 0.503 20 30 CCEDMN CON=CC(=O)NCc1ccc(N2CCN(C)CC2)cc1 ZINC000881853844 617105446 /nfs/dbraw/zinc/10/54/46/617105446.db2.gz LXJGMGVIMTXEOD-UHFFFAOYSA-N 0 1 290.367 0.687 20 30 CCEDMN CO[C@H]1CCn2cc(C(=O)N=C3C[C@H](C)ON3)nc2C1 ZINC000867062967 617106647 /nfs/dbraw/zinc/10/66/47/617106647.db2.gz YAAYNBQRLNAGEZ-IUCAKERBSA-N 0 1 278.312 0.696 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](NC(=O)C2CS(=O)(=O)C2)C1 ZINC001020194312 625289724 /nfs/dbraw/zinc/28/97/24/625289724.db2.gz KSHMUQCVJUNHDJ-MGCOHNPYSA-N 0 1 292.788 0.020 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1c2c[nH]nc2CC[C@H]1C ZINC001025907815 625301489 /nfs/dbraw/zinc/30/14/89/625301489.db2.gz OTAQJVHHUUGPAG-BBJCOLAGSA-N 0 1 298.390 0.755 20 30 CCEDMN C[C@H]1CCc2[nH]ncc2[C@H]1C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC001025908171 625301726 /nfs/dbraw/zinc/30/17/26/625301726.db2.gz SGQFXTURUQYVEL-AMFXNCCOSA-N 0 1 299.378 0.646 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCOC[C@H]1C ZINC001026021517 625322301 /nfs/dbraw/zinc/32/23/01/625322301.db2.gz UGRITIDSOIJABL-BJJPWKGXSA-N 0 1 262.353 0.339 20 30 CCEDMN CC(C)[C@H]1CN(C)CCN1C(=O)NCc1cnnn1C ZINC000329699627 625472419 /nfs/dbraw/zinc/47/24/19/625472419.db2.gz GFBKDIAAPKOBSG-GFCCVEGCSA-N 0 1 280.376 0.501 20 30 CCEDMN CCc1nnc(CNC[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)o1 ZINC001026732029 625577280 /nfs/dbraw/zinc/57/72/80/625577280.db2.gz FUGMOFXIAKESEU-WDEREUQCSA-N 0 1 291.355 0.730 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@@H](NC(=O)c2n[n-]c3ccccc3c2=O)C1 ZINC001022795899 622764457 /nfs/dbraw/zinc/76/44/57/622764457.db2.gz WJSGNDXVKBRPNF-PHIMTYICSA-N 0 1 296.330 0.819 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@@H](C)N(CCOC)[C@@H](C)C1 ZINC000246750807 622993780 /nfs/dbraw/zinc/99/37/80/622993780.db2.gz QCDGRECMSNVAQZ-HZSPNIEDSA-N 0 1 283.416 0.718 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CCN(CC#N)[C@H](C)C2)n[nH]1 ZINC000947295262 623056380 /nfs/dbraw/zinc/05/63/80/623056380.db2.gz JGMRYLCNAGHOBK-KOLCDFICSA-N 0 1 276.344 0.148 20 30 CCEDMN C[C@@H](C(=O)Nc1cc(C#N)ccc1O)S(C)(=O)=O ZINC000176823022 623084112 /nfs/dbraw/zinc/08/41/12/623084112.db2.gz MWDYKRCDXFALJB-ZETCQYMHSA-N 0 1 268.294 0.635 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)c1nccnc1N ZINC001024370672 623303937 /nfs/dbraw/zinc/30/39/37/623303937.db2.gz XHXVRFWHPRSMGH-NSHDSACASA-N 0 1 275.356 0.829 20 30 CCEDMN C#CCC[N@@H+]1CCCC[C@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC001024391057 623309369 /nfs/dbraw/zinc/30/93/69/623309369.db2.gz NYXRSXOXEJVVMU-KGLIPLIRSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CN(C)C(=O)N1 ZINC001024597320 623388041 /nfs/dbraw/zinc/38/80/41/623388041.db2.gz VCWDHLBEJHKETG-OLZOCXBDSA-N 0 1 292.383 0.004 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001024615254 623398972 /nfs/dbraw/zinc/39/89/72/623398972.db2.gz PZQVLWKQHOCBHA-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001024615254 623398979 /nfs/dbraw/zinc/39/89/79/623398979.db2.gz PZQVLWKQHOCBHA-ZIAGYGMSSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H]([C@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025502941 623817721 /nfs/dbraw/zinc/81/77/21/623817721.db2.gz OJOMKTRJHZNYBX-CMPLNLGQSA-N 0 1 275.356 0.577 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]([C@H](C)NC(=O)c2[n-]nnc2C)C1 ZINC001025502941 623817722 /nfs/dbraw/zinc/81/77/22/623817722.db2.gz OJOMKTRJHZNYBX-CMPLNLGQSA-N 0 1 275.356 0.577 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@](CC)(COC)C(=O)[O-] ZINC000328304041 623874912 /nfs/dbraw/zinc/87/49/12/623874912.db2.gz VEEQMZBLCSTLIL-CQSZACIVSA-N 0 1 299.371 0.121 20 30 CCEDMN C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCOC[C@H]1OC ZINC001025811861 623886774 /nfs/dbraw/zinc/88/67/74/623886774.db2.gz XCCWFOZPXPDYDZ-SSZWKKLZSA-N 0 1 294.395 0.660 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC[C@@H]2CCN2C(=O)c2ccn[nH]2)c1 ZINC000949283400 624500056 /nfs/dbraw/zinc/50/00/56/624500056.db2.gz MQWJITGVMCKZFC-JTQLQIEISA-N 0 1 298.306 0.254 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NC(=O)CN2CCCC2)[C@@H]1C ZINC001020535553 624503518 /nfs/dbraw/zinc/50/35/18/624503518.db2.gz LYXDAKSTIVEWHG-AGIUHOORSA-N 0 1 292.383 0.347 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@H]2C[C@H](NCc3cn(C)nn3)C2)C1 ZINC001020562989 624525344 /nfs/dbraw/zinc/52/53/44/624525344.db2.gz YTMGSRMJLCIHGS-HAQNSBGRSA-N 0 1 289.383 0.908 20 30 CCEDMN C[C@@H]1CCc2n[nH]c(C(=O)N[C@H]3C[C@H](NCC#N)C3)c2C1 ZINC001020864828 624615801 /nfs/dbraw/zinc/61/58/01/624615801.db2.gz OPYNAXABKNQZGU-GMTAPVOTSA-N 0 1 287.367 0.908 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001006812433 624648666 /nfs/dbraw/zinc/64/86/66/624648666.db2.gz PGGLGOMXNOPXTF-STQMWFEESA-N 0 1 266.341 0.006 20 30 CCEDMN C[C@H](C#N)C(=O)N1C[C@@H]2CCN(C(=O)c3ccn[nH]3)[C@@H]2C1 ZINC001021129010 624664427 /nfs/dbraw/zinc/66/44/27/624664427.db2.gz MLZRAAVLONQPSA-JFGNBEQYSA-N 0 1 287.323 0.242 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H](CCCN2C(=O)c2cnon2)C1 ZINC001021692908 624810939 /nfs/dbraw/zinc/81/09/39/624810939.db2.gz KMPLFZNHRZTOQK-WCQYABFASA-N 0 1 274.324 0.629 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cn2cc(C)cn2)C1 ZINC001006837708 624821328 /nfs/dbraw/zinc/82/13/28/624821328.db2.gz ZAJKXBTZTRRLBW-CYBMUJFWSA-N 0 1 262.357 0.958 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cccc(F)c1O ZINC000933560009 624892066 /nfs/dbraw/zinc/89/20/66/624892066.db2.gz OPFJWQFIEQVYGF-QMMMGPOBSA-N 0 1 250.229 0.896 20 30 CCEDMN Cc1[nH]ncc1CCCNC(=O)N1CCO[C@](C)(C#N)C1 ZINC000891755364 624921073 /nfs/dbraw/zinc/92/10/73/624921073.db2.gz VFQUTBMWOQZCAP-CQSZACIVSA-N 0 1 291.355 0.975 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)c2cnc(C)[nH]2)C1 ZINC001027331527 625832779 /nfs/dbraw/zinc/83/27/79/625832779.db2.gz IIYHGNMXBGHRIZ-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN CN(CC#N)[C@@H]1CCCN(C(=O)[C@H]2CCC[N@H+]2C)C1 ZINC001027332680 625834239 /nfs/dbraw/zinc/83/42/39/625834239.db2.gz QOLMTFOFBMFSLI-CHWSQXEVSA-N 0 1 264.373 0.527 20 30 CCEDMN CN(CC#N)[C@@H]1CCCN(C(=O)[C@H]2CCCN2C)C1 ZINC001027332680 625834242 /nfs/dbraw/zinc/83/42/42/625834242.db2.gz QOLMTFOFBMFSLI-CHWSQXEVSA-N 0 1 264.373 0.527 20 30 CCEDMN CN(CC#N)[C@H]1CCCN(C(=O)[C@H]2CCC[N@H+]2C)C1 ZINC001027332682 625835075 /nfs/dbraw/zinc/83/50/75/625835075.db2.gz QOLMTFOFBMFSLI-QWHCGFSZSA-N 0 1 264.373 0.527 20 30 CCEDMN CN(CC#N)[C@H]1CCCN(C(=O)[C@H]2CCCN2C)C1 ZINC001027332682 625835076 /nfs/dbraw/zinc/83/50/76/625835076.db2.gz QOLMTFOFBMFSLI-QWHCGFSZSA-N 0 1 264.373 0.527 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CN(CC)CCO2)C1 ZINC001009592299 625860122 /nfs/dbraw/zinc/86/01/22/625860122.db2.gz HFBXJAIXGRPTLZ-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN C[C@@H]1C[C@H]1c1noc(C(=O)NCC#CCN(C)C)n1 ZINC000905150975 634811043 /nfs/dbraw/zinc/81/10/43/634811043.db2.gz FEWODXMMBIKUKI-NXEZZACHSA-N 0 1 262.313 0.488 20 30 CCEDMN N#CCN1C[C@@H]2[C@@H](CNC(=O)[C@@H]3CCCc4[nH]ncc43)[C@@H]2C1 ZINC000978124201 630783213 /nfs/dbraw/zinc/78/32/13/630783213.db2.gz ATQFRPYXAQQCKD-OXHZDVMGSA-N 0 1 299.378 0.647 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001027812087 630940840 /nfs/dbraw/zinc/94/08/40/630940840.db2.gz DCSMHMYMSQSXGO-LBPRGKRZSA-N 0 1 256.309 0.714 20 30 CCEDMN C[C@@H]1C[C@@H](CNCc2cnon2)CN1C(=O)C#CC1CC1 ZINC000980573758 631030855 /nfs/dbraw/zinc/03/08/55/631030855.db2.gz DULRZTSADQDMAE-YPMHNXCESA-N 0 1 288.351 0.810 20 30 CCEDMN C[C@H](O)CN1CCCN(C(=O)c2c[nH]c(C#N)c2)CC1 ZINC000981002222 631092168 /nfs/dbraw/zinc/09/21/68/631092168.db2.gz ZIATUGMQBATQBV-NSHDSACASA-N 0 1 276.340 0.415 20 30 CCEDMN N#CCN1CCCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000981232097 631253704 /nfs/dbraw/zinc/25/37/04/631253704.db2.gz XQGSQMPDBVOHDI-UHFFFAOYSA-N 0 1 284.323 0.629 20 30 CCEDMN C#CCN(C[C@H](O)CO[C@@H](C)COC)C1CSC1 ZINC000878910387 631683686 /nfs/dbraw/zinc/68/36/86/631683686.db2.gz UCXTWKWZFIJLEF-AAEUAGOBSA-N 0 1 273.398 0.449 20 30 CCEDMN N#Cc1ccc(N2CCN(Cc3nnc[nH]3)CC2)c(CO)c1 ZINC000894398043 631753054 /nfs/dbraw/zinc/75/30/54/631753054.db2.gz RLCGCCFUMGCJAZ-UHFFFAOYSA-N 0 1 298.350 0.491 20 30 CCEDMN N#Cc1ccc(N2CCN(CCCCCO)CC2)nn1 ZINC000894963949 631887317 /nfs/dbraw/zinc/88/73/17/631887317.db2.gz HAZPXSURZVRJQB-UHFFFAOYSA-N 0 1 275.356 0.633 20 30 CCEDMN Cn1nnc2cc(CN[C@H]3CCCN(O)C3=O)ccc21 ZINC000895164070 631998359 /nfs/dbraw/zinc/99/83/59/631998359.db2.gz BKJZOFAVUPJBMX-JTQLQIEISA-N 0 1 275.312 0.438 20 30 CCEDMN C[C@]1(CO)C[C@@H](O)CN1Cc1csc(C#N)c1 ZINC000895435298 632081929 /nfs/dbraw/zinc/08/19/29/632081929.db2.gz YIRNOXHXGXASMV-ZYHUDNBSSA-N 0 1 252.339 0.937 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)n1C)[C@H]1CN(C)CCN1C ZINC000896541024 632275017 /nfs/dbraw/zinc/27/50/17/632275017.db2.gz ZQWCGNRVJOPSJH-BXUZGUMPSA-N 0 1 289.383 0.261 20 30 CCEDMN C=C(Cl)CN(C)C1CCN(C(=O)Cn2ncnn2)CC1 ZINC000985436426 632481469 /nfs/dbraw/zinc/48/14/69/632481469.db2.gz QDJPCNYFQJOEOT-UHFFFAOYSA-N 0 1 298.778 0.348 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC001007340194 650075404 /nfs/dbraw/zinc/07/54/04/650075404.db2.gz UMCKBEBKRHRBHF-RYUDHWBXSA-N 0 1 287.367 0.934 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001007531291 650082045 /nfs/dbraw/zinc/08/20/45/650082045.db2.gz YZDNQUQLVGSOSY-IJLUTSLNSA-N 0 1 273.340 0.617 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001007606590 650086038 /nfs/dbraw/zinc/08/60/38/650086038.db2.gz VFEGLWPVKWQRAH-SWLSCSKDSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001007547178 650083535 /nfs/dbraw/zinc/08/35/35/650083535.db2.gz LVZAFXACGLYWLZ-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001007862371 650095380 /nfs/dbraw/zinc/09/53/80/650095380.db2.gz IUWLERYHXLBXFH-CHWSQXEVSA-N 0 1 279.384 0.812 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CS(C)(=O)=O)C1 ZINC000988200272 632960798 /nfs/dbraw/zinc/96/07/98/632960798.db2.gz LJXCECOHBFACAN-ZJUUUORDSA-N 0 1 294.804 0.363 20 30 CCEDMN C[C@H]1CN(C(=O)[C@@H]2CCCCN2C)C[C@H](C)N1CC#N ZINC000898132560 633278674 /nfs/dbraw/zinc/27/86/74/633278674.db2.gz LALZVBCVFXRXIS-IHRRRGAJSA-N 0 1 278.400 0.915 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CCCN2C(N)=O)CC1 ZINC000989795655 633356310 /nfs/dbraw/zinc/35/63/10/633356310.db2.gz YJZWKGNHTZORAQ-ZDUSSCGKSA-N 0 1 294.399 0.640 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)Cc2n[nH]c3c2CCCC3)C1 ZINC001014448229 633372716 /nfs/dbraw/zinc/37/27/16/633372716.db2.gz SULNBUUATUYKOK-NSHDSACASA-N 0 1 287.367 0.545 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnn(C)c3)CCC[C@H]12 ZINC000989943601 633391833 /nfs/dbraw/zinc/39/18/33/633391833.db2.gz YNXPOELTLDUIPU-DZGCQCFKSA-N 0 1 272.352 0.780 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cn[nH]c3)CCC[C@@H]12 ZINC000989974276 633396937 /nfs/dbraw/zinc/39/69/37/633396937.db2.gz TVLBQPQTEAZQOA-TZMCWYRMSA-N 0 1 258.325 0.770 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H](C)n3cncn3)CCC[C@@H]12 ZINC000990306369 633505200 /nfs/dbraw/zinc/50/52/00/633505200.db2.gz JSUIRLXDINZWCM-GZBFAFLISA-N 0 1 287.367 0.586 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)Cc3nnc(C)o3)CCC[C@H]12 ZINC000990540102 633552522 /nfs/dbraw/zinc/55/25/22/633552522.db2.gz CVWPXALCBMGLMK-SWLSCSKDSA-N 0 1 288.351 0.667 20 30 CCEDMN Cc1ncc(C(=O)N2CC(NC(=O)c3cc(C#N)c[nH]3)C2)[nH]1 ZINC000990696355 633589093 /nfs/dbraw/zinc/58/90/93/633589093.db2.gz RTDDXCCSQPWAAC-UHFFFAOYSA-N 0 1 298.306 0.172 20 30 CCEDMN C[C@H](NC(=O)C#CC(C)(C)C)[C@H]1CN(C)CCN1C ZINC000900812236 634097888 /nfs/dbraw/zinc/09/78/88/634097888.db2.gz TZUCSPKLTPCXAI-QWHCGFSZSA-N 0 1 265.401 0.786 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001009074115 650136964 /nfs/dbraw/zinc/13/69/64/650136964.db2.gz QIDRBPKSQFDWTI-ZDUSSCGKSA-N 0 1 287.363 0.901 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001009074115 650136967 /nfs/dbraw/zinc/13/69/67/650136967.db2.gz QIDRBPKSQFDWTI-ZDUSSCGKSA-N 0 1 287.363 0.901 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001009126272 650138051 /nfs/dbraw/zinc/13/80/51/650138051.db2.gz YQWHTGSZXPBXIP-RYUDHWBXSA-N 0 1 287.367 0.619 20 30 CCEDMN C[C@H](CC#N)C(=O)N1CCSC[C@H]1c1nn[nH]n1 ZINC000907480135 635139775 /nfs/dbraw/zinc/13/97/75/635139775.db2.gz LMSPMTWSATWSFL-SFYZADRCSA-N 0 1 266.330 0.366 20 30 CCEDMN N#Cc1sccc1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000908027686 635264770 /nfs/dbraw/zinc/26/47/70/635264770.db2.gz IGSPOLIELZDEPZ-NSHDSACASA-N 0 1 290.392 0.739 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@@H]2CCCO2)C1 ZINC001010300151 650198269 /nfs/dbraw/zinc/19/82/69/650198269.db2.gz SPBILRVRONCZBF-STQMWFEESA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cn2cc(C)cn2)C1 ZINC001010343908 650203551 /nfs/dbraw/zinc/20/35/51/650203551.db2.gz IXCJOZXIQRMOCG-ZDUSSCGKSA-N 0 1 260.341 0.405 20 30 CCEDMN C[C@@H]1CN(C(=O)C2=NC(=O)N(C)C2)C[C@H](C)N1CC#N ZINC000911712531 636345897 /nfs/dbraw/zinc/34/58/97/636345897.db2.gz CFJHJNVPTHQKGR-AOOOYVTPSA-N 0 1 277.328 0.184 20 30 CCEDMN C[Si](C)(C)C#CCCNC(=O)CCCc1nn[nH]n1 ZINC000912331106 636548302 /nfs/dbraw/zinc/54/83/02/636548302.db2.gz ZRAMMOOCEYNKTA-UHFFFAOYSA-N 0 1 279.420 0.910 20 30 CCEDMN C=C1CN(C(=O)CCN2CCN(c3ccccn3)CC2)C1 ZINC000912448737 636588924 /nfs/dbraw/zinc/58/89/24/636588924.db2.gz ZWDWKRPPYQLZTO-UHFFFAOYSA-N 0 1 286.379 0.992 20 30 CCEDMN Cc1[nH]nc(Cl)c1C(=O)NCC#CCN(C)C ZINC000913524673 636833170 /nfs/dbraw/zinc/83/31/70/636833170.db2.gz XQRRKWHYVMFKOW-UHFFFAOYSA-N 0 1 254.721 0.666 20 30 CCEDMN Cc1cc(C(=O)NCC#CCN(C)C)ccc1-n1cnnn1 ZINC000913516256 636834913 /nfs/dbraw/zinc/83/49/13/636834913.db2.gz ILCXCNWBURRZRG-UHFFFAOYSA-N 0 1 298.350 0.266 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@@]3(F)CCOC3)CCC[C@@H]12 ZINC000992143454 637319714 /nfs/dbraw/zinc/31/97/14/637319714.db2.gz BRKNWRJAFWKTBW-BPLDGKMQSA-N 0 1 280.343 0.861 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cccnn3)CCC[C@@H]12 ZINC000992346860 637372678 /nfs/dbraw/zinc/37/26/78/637372678.db2.gz KFOWFYRJJJTDCQ-UKRRQHHQSA-N 0 1 270.336 0.837 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CCNC(=O)C2)c1 ZINC000152083624 637464223 /nfs/dbraw/zinc/46/42/23/637464223.db2.gz CUSKAMJWCFWKRW-VIFPVBQESA-N 0 1 259.265 0.729 20 30 CCEDMN CC(C)(CNCC#Cc1ccccc1)NS(C)(=O)=O ZINC000111740669 637655465 /nfs/dbraw/zinc/65/54/65/637655465.db2.gz BYHYZKJEVFQEGQ-UHFFFAOYSA-N 0 1 280.393 0.956 20 30 CCEDMN C[C@H]1[C@H](NC(=O)c2cc(C#N)c[nH]2)CCC[N@H+]1CCO ZINC000993166512 637722314 /nfs/dbraw/zinc/72/23/14/637722314.db2.gz CSEOKLOMQQLFKH-CMPLNLGQSA-N 0 1 276.340 0.461 20 30 CCEDMN N=C(N)NN=C1c2ccc(OC(F)(F)F)cc2NC1=O ZINC000915049674 637724532 /nfs/dbraw/zinc/72/45/32/637724532.db2.gz VZKALDDYCHDLGW-UHFFFAOYSA-N 0 1 287.201 0.724 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnnn2C)[C@H]1C ZINC000993276964 637763349 /nfs/dbraw/zinc/76/33/49/637763349.db2.gz GLQGMWUUJMOCJS-NEPJUHHUSA-N 0 1 277.372 0.974 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cn[nH]c(=O)c2)[C@H]1C ZINC000993468096 637810423 /nfs/dbraw/zinc/81/04/23/637810423.db2.gz VKHQVHLMKNMCDY-DGCLKSJQSA-N 0 1 288.351 0.788 20 30 CCEDMN C#CCC[N@H+]1CCC[C@H](NC(=O)Cc2cnc[nH]2)[C@@H]1C ZINC000993886729 637851998 /nfs/dbraw/zinc/85/19/98/637851998.db2.gz NWCFHILCADLKMW-JSGCOSHPSA-N 0 1 274.368 0.945 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cnn(C)n2)[C@@H]1C ZINC000993978467 637857068 /nfs/dbraw/zinc/85/70/68/637857068.db2.gz WYKBDPPFLYLHHL-RYUDHWBXSA-N 0 1 277.372 0.974 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnon2)[C@@H]1C ZINC000994040711 637862968 /nfs/dbraw/zinc/86/29/68/637862968.db2.gz MZSWLTNZDOWCGQ-VHSXEESVSA-N 0 1 250.302 0.838 20 30 CCEDMN C[C@@H]1CN(C(=O)NC[C@@H]2CCN2C)C[C@@H](C)N1CC#N ZINC000925427851 637890463 /nfs/dbraw/zinc/89/04/63/637890463.db2.gz IYFMVWCJSGQWBZ-UPJWGTAASA-N 0 1 279.388 0.318 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)Cn2ccnc2)[C@@H]1C ZINC000994510084 637957290 /nfs/dbraw/zinc/95/72/90/637957290.db2.gz RVIFVFPBFRSPER-KBPBESRZSA-N 0 1 274.368 0.876 20 30 CCEDMN NC(=O)c1ccc(C=NNc2ncnc3nc[nH]c32)cc1 ZINC000917556108 637976444 /nfs/dbraw/zinc/97/64/44/637976444.db2.gz TZMWMKUBUGTSDY-UHFFFAOYSA-N 0 1 281.279 0.898 20 30 CCEDMN Cc1cccc(NC(=O)C(C#N)C(=O)CS(C)(=O)=O)c1 ZINC000920110106 638124117 /nfs/dbraw/zinc/12/41/17/638124117.db2.gz YRWLXIZYBFFVNZ-LLVKDONJSA-N 0 1 294.332 0.687 20 30 CCEDMN CS(=O)(=O)CC(=O)C(C#N)C(=O)Nc1ccccc1 ZINC000920109988 638124715 /nfs/dbraw/zinc/12/47/15/638124715.db2.gz XJHQTYVLEQMRIL-SNVBAGLBSA-N 0 1 280.305 0.379 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)N[C@@H]1CC[N@@H+](C)[C@H]1C ZINC000925334079 638157878 /nfs/dbraw/zinc/15/78/78/638157878.db2.gz AAPMVBXXSYKUPT-QJPTWQEYSA-N 0 1 251.330 0.123 20 30 CCEDMN C=C(C)CN(C)CC(=O)N1CCC2(CC1)OCCO2 ZINC000181256761 638326819 /nfs/dbraw/zinc/32/68/19/638326819.db2.gz YGEWZJDKDGLTPW-UHFFFAOYSA-N 0 1 268.357 0.860 20 30 CCEDMN C[C@@H]1CN(C(=O)C(C)(C)c2c[nH]cn2)C[C@H]1NCC#N ZINC000939535057 638375977 /nfs/dbraw/zinc/37/59/77/638375977.db2.gz OVAADMJQPCKHAL-GHMZBOCLSA-N 0 1 275.356 0.647 20 30 CCEDMN C[C@H]1CN(CC#N)CC[C@H]1NC(=O)Cc1cnc[nH]1 ZINC000943177462 638708794 /nfs/dbraw/zinc/70/87/94/638708794.db2.gz OPOHSXKFAQTVJH-CMPLNLGQSA-N 0 1 261.329 0.302 20 30 CCEDMN C#CCC(C)(C)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000185494744 638771747 /nfs/dbraw/zinc/77/17/47/638771747.db2.gz DGHLEWWURSRHJC-ZDUSSCGKSA-N 0 1 265.401 0.788 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1C[C@H](NCC#N)C(C)(C)C1 ZINC000995553868 638776515 /nfs/dbraw/zinc/77/65/15/638776515.db2.gz UMTOYRQMBKTIJV-NEPJUHHUSA-N 0 1 264.373 0.431 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(CCOC)c2C)C1 ZINC001014432490 650450976 /nfs/dbraw/zinc/45/09/76/650450976.db2.gz YYPVKUOXLMDHJN-CYBMUJFWSA-N 0 1 292.383 0.828 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2(C(N)=O)CC2)CC1(C)C ZINC000996235367 638813171 /nfs/dbraw/zinc/81/31/71/638813171.db2.gz FOLMYNYCBKBGTM-JTQLQIEISA-N 0 1 299.802 0.831 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC(NC(=O)c2ncn[nH]2)C1 ZINC000997816232 638884702 /nfs/dbraw/zinc/88/47/02/638884702.db2.gz SMRKRXLSYRYAEY-ZDUSSCGKSA-N 0 1 277.328 0.348 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC(NC(=O)c2nc[nH]n2)C1 ZINC000997816232 638884705 /nfs/dbraw/zinc/88/47/05/638884705.db2.gz SMRKRXLSYRYAEY-ZDUSSCGKSA-N 0 1 277.328 0.348 20 30 CCEDMN CC#CCN1CC[C@H](n2cc(CNC(C)=O)nn2)C1 ZINC000999941453 639023158 /nfs/dbraw/zinc/02/31/58/639023158.db2.gz AOPBLBIXYZBRBB-ZDUSSCGKSA-N 0 1 261.329 0.184 20 30 CCEDMN C#CCN1CC[C@H](n2cc(CNC(=O)c3ccc[nH]3)nn2)C1 ZINC000999953302 639025832 /nfs/dbraw/zinc/02/58/32/639025832.db2.gz BQRZMCRBRTVFEV-ZDUSSCGKSA-N 0 1 298.350 0.416 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C2(C(N)=O)CC2)C1 ZINC001000066941 639039246 /nfs/dbraw/zinc/03/92/46/639039246.db2.gz UWHBIPOWTDFKSY-JTQLQIEISA-N 0 1 285.775 0.585 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2CCOC2)CC1 ZINC001000499733 639104693 /nfs/dbraw/zinc/10/46/93/639104693.db2.gz HAZUHODHTRKKRP-AWEZNQCLSA-N 0 1 262.353 0.795 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnn(CC)c2)CC1 ZINC001000528231 639113490 /nfs/dbraw/zinc/11/34/90/639113490.db2.gz IQQYWVZAIZTBKT-UHFFFAOYSA-N 0 1 272.352 0.898 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)c2[nH]nc(C)c2[O-])CC1 ZINC001000587921 639129333 /nfs/dbraw/zinc/12/93/33/639129333.db2.gz OKBFBMNVPPSFHB-UHFFFAOYSA-N 0 1 288.351 0.809 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001000639949 639146237 /nfs/dbraw/zinc/14/62/37/639146237.db2.gz XYXBYVHZBROPFW-NEPJUHHUSA-N 0 1 287.367 0.619 20 30 CCEDMN C#CC[N@@H+]1CC=C(CNC(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC001000797319 639167748 /nfs/dbraw/zinc/16/77/48/639167748.db2.gz VAZHRIYIXGHSMS-JSGCOSHPSA-N 0 1 278.352 0.172 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC001000797319 639167755 /nfs/dbraw/zinc/16/77/55/639167755.db2.gz VAZHRIYIXGHSMS-JSGCOSHPSA-N 0 1 278.352 0.172 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cn(CC)nn2)CC1 ZINC001001309178 639278789 /nfs/dbraw/zinc/27/87/89/639278789.db2.gz FUWCGQATJQIGKL-UHFFFAOYSA-N 0 1 287.367 0.683 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc3n(n2)CCO3)C1 ZINC001014737488 650483254 /nfs/dbraw/zinc/48/32/54/650483254.db2.gz QYVKRWXMSGTUNU-NSHDSACASA-N 0 1 276.340 0.656 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccc(C(N)=O)cn2)CC1 ZINC001001489648 639307239 /nfs/dbraw/zinc/30/72/39/639307239.db2.gz YYTCRSAJVLLMGA-UHFFFAOYSA-N 0 1 298.346 0.176 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2ccc3c(c2)NC(=O)C3)C1 ZINC001014770418 650486741 /nfs/dbraw/zinc/48/67/41/650486741.db2.gz WCJOQFBAPZRYOT-CQSZACIVSA-N 0 1 297.358 0.547 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2COCCO2)CC1 ZINC001002376106 639404398 /nfs/dbraw/zinc/40/43/98/639404398.db2.gz NCUPZNRDSJNLEQ-ZDUSSCGKSA-N 0 1 266.341 0.006 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001002586529 639429687 /nfs/dbraw/zinc/42/96/87/639429687.db2.gz ABHPKUBQEGMMOJ-GFCCVEGCSA-N 0 1 277.368 0.117 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H]1CN(C)C(=O)c1ccn[nH]1 ZINC001002918997 639477524 /nfs/dbraw/zinc/47/75/24/639477524.db2.gz CJPRQJMKTPKQAO-WDEREUQCSA-N 0 1 289.339 0.632 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cc3n(n2)CCO3)CC1 ZINC001003031649 639497720 /nfs/dbraw/zinc/49/77/20/639497720.db2.gz XAGTWMGRBPGCKT-UHFFFAOYSA-N 0 1 288.351 0.493 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnn2CCOC)CC1 ZINC001003108976 639509177 /nfs/dbraw/zinc/50/91/77/639509177.db2.gz DEAFLFNHGMHJEC-UHFFFAOYSA-N 0 1 290.367 0.357 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001003693204 639593407 /nfs/dbraw/zinc/59/34/07/639593407.db2.gz WZHREXFLWKZKKL-CQSZACIVSA-N 0 1 291.355 0.595 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001003693204 639593412 /nfs/dbraw/zinc/59/34/12/639593412.db2.gz WZHREXFLWKZKKL-CQSZACIVSA-N 0 1 291.355 0.595 20 30 CCEDMN O=C(C#CC1CC1)N1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnn[nH]1)C2 ZINC001003926034 639651033 /nfs/dbraw/zinc/65/10/33/639651033.db2.gz IHXAFLDARPTLBE-WZRBSPASSA-N 0 1 299.334 0.080 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnns2)CC1 ZINC001005149169 639881228 /nfs/dbraw/zinc/88/12/28/639881228.db2.gz PPVIYGBWBFAEGW-UHFFFAOYSA-N 0 1 264.354 0.708 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnsn2)CC1 ZINC001005150072 639882909 /nfs/dbraw/zinc/88/29/09/639882909.db2.gz MCFMZEHMHGPGGQ-UHFFFAOYSA-N 0 1 264.354 0.708 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2C[C@@H]2C(=O)OC)CC1 ZINC001005173279 639884033 /nfs/dbraw/zinc/88/40/33/639884033.db2.gz FGNZWWOARHJMPZ-KBPBESRZSA-N 0 1 292.379 0.742 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H](C)n2cncn2)CC1 ZINC001005277686 639894709 /nfs/dbraw/zinc/89/47/09/639894709.db2.gz BEAWEDKZFJCRGR-GFCCVEGCSA-N 0 1 275.356 0.395 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2COCCN2C)CC1 ZINC001005272995 639895236 /nfs/dbraw/zinc/89/52/36/639895236.db2.gz GJYJZEJGVMNEOM-OAHLLOKOSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cnn(C)c2N)CC1 ZINC001005302450 639899299 /nfs/dbraw/zinc/89/92/99/639899299.db2.gz KHZJGTWOQLZYOS-UHFFFAOYSA-N 0 1 289.383 0.562 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc(OC)ncn2)CC1 ZINC001005400021 639907859 /nfs/dbraw/zinc/90/78/59/639907859.db2.gz XXHNWWYIXBZAFU-UHFFFAOYSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C2CC(OC)C2)CC1 ZINC001005549827 639927462 /nfs/dbraw/zinc/92/74/62/639927462.db2.gz SWZXGIZCYWZPTI-UHFFFAOYSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ncc(OC)cn2)CC1 ZINC001005693396 639953131 /nfs/dbraw/zinc/95/31/31/639953131.db2.gz HPLVOVFADUOWTK-UHFFFAOYSA-N 0 1 288.351 0.655 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@]2(C)CCC(=O)NC2)CC1 ZINC001005866105 640002224 /nfs/dbraw/zinc/00/22/24/640002224.db2.gz PYEWDBIPIHCNMD-MRXNPFEDSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001015371269 650556544 /nfs/dbraw/zinc/55/65/44/650556544.db2.gz AMQNLDUXVLYOOH-RISCZKNCSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001015364066 650559176 /nfs/dbraw/zinc/55/91/76/650559176.db2.gz CZDPSNGESCRUGQ-XDQVBPFNSA-N 0 1 280.368 0.414 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2cccc(-c3nnc[nH]3)c2)C1 ZINC001015489770 650572573 /nfs/dbraw/zinc/57/25/73/650572573.db2.gz XQINTTJEFGVPRF-ZDUSSCGKSA-N 0 1 296.334 0.799 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000797864379 640469689 /nfs/dbraw/zinc/46/96/89/640469689.db2.gz GSQHQQQIGBVDNI-SNVBAGLBSA-N 0 1 288.267 0.187 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@@H](NC(=O)[C@H]2CCN(C)C2=O)C1 ZINC001015564627 650582178 /nfs/dbraw/zinc/58/21/78/650582178.db2.gz VARARIRUGXXSSD-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C[C@H](C#N)OCCN1CCCC[C@H]1CNS(C)(=O)=O ZINC000801915239 640673280 /nfs/dbraw/zinc/67/32/80/640673280.db2.gz OQIHRXPWXCXUGM-NEPJUHHUSA-N 0 1 289.401 0.319 20 30 CCEDMN C[C@H](C#N)OCCN1CC[N@@H+](CC(C)(C)O)C[C@@H]1C ZINC000801946283 640680582 /nfs/dbraw/zinc/68/05/82/640680582.db2.gz HLLJZVKVNGGCTB-QWHCGFSZSA-N 0 1 269.389 0.692 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ncn(C(C)(C)C)n2)C1 ZINC001015705084 650611501 /nfs/dbraw/zinc/61/15/01/650611501.db2.gz HKLFREOBEXIBSX-LBPRGKRZSA-N 0 1 289.383 0.861 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCO[C@H]2C=C)C1 ZINC001015722192 650617103 /nfs/dbraw/zinc/61/71/03/650617103.db2.gz VVIMEHDVXLUWOI-UPJWGTAASA-N 0 1 250.342 0.954 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)N2CC[C@H](c3nc[nH]n3)C2)cc1 ZINC000819725289 641427108 /nfs/dbraw/zinc/42/71/08/641427108.db2.gz VQUXCKLAOUCTEE-QWHCGFSZSA-N 0 1 297.318 0.726 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCc3nc[nH]c3C2)C1 ZINC001015957736 650664408 /nfs/dbraw/zinc/66/44/08/650664408.db2.gz CZHLJMXMHRDLEF-CHWSQXEVSA-N 0 1 286.379 0.728 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCc3[nH]cnc3C2)C1 ZINC001015957736 650664414 /nfs/dbraw/zinc/66/44/14/650664414.db2.gz CZHLJMXMHRDLEF-CHWSQXEVSA-N 0 1 286.379 0.728 20 30 CCEDMN N#CCOc1cccc(CC(=O)OCc2c[nH]nn2)c1 ZINC000821585907 641611565 /nfs/dbraw/zinc/61/15/65/641611565.db2.gz ZWHLDRNPQSWVLE-UHFFFAOYSA-N 0 1 272.264 0.993 20 30 CCEDMN N#CCN1CC[C@H](NC(=O)c2n[nH]c3ccccc32)C1 ZINC001016162238 650693132 /nfs/dbraw/zinc/69/31/32/650693132.db2.gz YVRAVYDJGOAWLS-JTQLQIEISA-N 0 1 269.308 0.891 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CCN(C(C)=O)CC2)C1 ZINC001016218083 650699526 /nfs/dbraw/zinc/69/95/26/650699526.db2.gz URZQWWUZQOSDKT-AWEZNQCLSA-N 0 1 279.384 0.621 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)ncn1Cc1ccccc1[N+](=O)[O-] ZINC000826383264 641930871 /nfs/dbraw/zinc/93/08/71/641930871.db2.gz RUHOKBJLXUYRMZ-UHFFFAOYSA-N 0 1 296.250 0.891 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(C(N)=O)cs2)C1 ZINC001016347864 650720428 /nfs/dbraw/zinc/72/04/28/650720428.db2.gz KTACZELVDPMKNA-LLVKDONJSA-N 0 1 291.376 0.674 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)n(C)n2)C1 ZINC001016414901 650728867 /nfs/dbraw/zinc/72/88/67/650728867.db2.gz PMHVZFVLUCIHJB-NSHDSACASA-N 0 1 262.357 0.973 20 30 CCEDMN N#CC[C@@]1(O)CCN(Cc2ccc(OCC(N)=O)cc2)C1 ZINC000829974767 642213780 /nfs/dbraw/zinc/21/37/80/642213780.db2.gz JDLUGXCWTHZXEL-OAHLLOKOSA-N 0 1 289.335 0.401 20 30 CCEDMN C[C@@]1(NC(=O)C(F)(F)F)CCN(C(=O)CC#N)C1 ZINC000830808034 642295636 /nfs/dbraw/zinc/29/56/36/642295636.db2.gz UVWZNQHMDUFGQP-SECBINFHSA-N 0 1 263.219 0.570 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCCOc1cccnc1 ZINC000834479213 642571868 /nfs/dbraw/zinc/57/18/68/642571868.db2.gz BDYYNHJISGCOLL-AAEUAGOBSA-N 0 1 274.324 0.468 20 30 CCEDMN COc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)ccc1F ZINC000834480567 642572839 /nfs/dbraw/zinc/57/28/39/642572839.db2.gz SDXMMDXPGUUFKT-MWLCHTKSSA-N 0 1 263.272 0.676 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc(-n2ccnn2)c1 ZINC000834480945 642573407 /nfs/dbraw/zinc/57/34/07/642573407.db2.gz YJTTUMMUGXCAFN-DGCLKSJQSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccncc1Cl ZINC000834481040 642574478 /nfs/dbraw/zinc/57/44/78/642574478.db2.gz ZLPFQGTZUCYDAW-OIBJUYFYSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc2c(s1)CCOC2 ZINC000834484767 642576848 /nfs/dbraw/zinc/57/68/48/642576848.db2.gz MUYGROGZXDAROO-UWVGGRQHSA-N 0 1 277.349 0.662 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccnc(C2CC2)n1 ZINC000834489119 642579649 /nfs/dbraw/zinc/57/96/49/642579649.db2.gz JSOGCGGVBQJXSU-GXSJLCMTSA-N 0 1 257.297 0.195 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C[C@@H]1OCCc2ccccc21 ZINC000834489178 642579954 /nfs/dbraw/zinc/57/99/54/642579954.db2.gz KGGNVLATFREMOM-YUELXQCFSA-N 0 1 285.347 0.918 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccc2c(c1)OCO2 ZINC000834493145 642581828 /nfs/dbraw/zinc/58/18/28/642581828.db2.gz BBASHGIIYQFLHY-QWRGUYRKSA-N 0 1 273.292 0.186 20 30 CCEDMN Cc1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)nn1C(C)(C)C ZINC000834490309 642581934 /nfs/dbraw/zinc/58/19/34/642581934.db2.gz UEBAETZOEGXNSL-CMPLNLGQSA-N 0 1 275.356 0.788 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@H]2C#N)nnn1-c1ccccc1 ZINC000834490468 642582328 /nfs/dbraw/zinc/58/23/28/642582328.db2.gz VICGIILIXLJMQW-YPMHNXCESA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COCCc1ccccc1 ZINC000834493574 642583098 /nfs/dbraw/zinc/58/30/98/642583098.db2.gz FJZAZFWPMJTTAE-KGLIPLIRSA-N 0 1 273.336 0.473 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnc(-c2ccccc2)nc1 ZINC000834494554 642583857 /nfs/dbraw/zinc/58/38/57/642583857.db2.gz OAYIQZAXWRNEOX-JSGCOSHPSA-N 0 1 293.330 0.985 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CSCc1cccnc1 ZINC000834493303 642584028 /nfs/dbraw/zinc/58/40/28/642584028.db2.gz CTJRORXCYAQSFK-VXGBXAGGSA-N 0 1 276.365 0.543 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc(F)c1F ZINC000834494486 642584341 /nfs/dbraw/zinc/58/43/41/642584341.db2.gz NKPRFMHNOIXITG-OIBJUYFYSA-N 0 1 251.236 0.806 20 30 CCEDMN Cc1noc(C)c1[C@H](C)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834495705 642587223 /nfs/dbraw/zinc/58/72/23/642587223.db2.gz YHUXSWONVNEGEY-BKDNQFJXSA-N 0 1 262.313 0.623 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000834499458 642588718 /nfs/dbraw/zinc/58/87/18/642588718.db2.gz IOJJFEDFGOHGSF-RCOVLWMOSA-N 0 1 293.258 0.157 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(-c2ccncc2)on1 ZINC000834501151 642589996 /nfs/dbraw/zinc/58/99/96/642589996.db2.gz ZRLLAYOUDSDWKY-CMPLNLGQSA-N 0 1 283.291 0.578 20 30 CCEDMN COc1ccc(CCC(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834505714 642591746 /nfs/dbraw/zinc/59/17/46/642591746.db2.gz NCFVIMDCRAFPQH-TZMCWYRMSA-N 0 1 273.336 0.856 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(NC(=O)C2CC2)cc1 ZINC000834505566 642592606 /nfs/dbraw/zinc/59/26/06/642592606.db2.gz MGPKEHLKEIFDMN-TZMCWYRMSA-N 0 1 298.346 0.876 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)OCCO2 ZINC000834510526 642594894 /nfs/dbraw/zinc/59/48/94/642594894.db2.gz BYJLUDMLNSEGCF-WDEREUQCSA-N 0 1 273.292 0.299 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cn(Cc2ccccc2)nn1 ZINC000834516935 642600926 /nfs/dbraw/zinc/60/09/26/642600926.db2.gz NTBONYOMWPPMIH-QWHCGFSZSA-N 0 1 296.334 0.168 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccc(Cl)nc1 ZINC000841075862 642802357 /nfs/dbraw/zinc/80/23/57/642802357.db2.gz NGFGUFUIRMVWJQ-CBAPKCEASA-N 0 1 265.704 0.968 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCC(=O)N1 ZINC001017416347 650800627 /nfs/dbraw/zinc/80/06/27/650800627.db2.gz ZZVOTFASUXVKTR-FRRDWIJNSA-N 0 1 277.368 0.516 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nnc[nH]1 ZINC001017454360 650802959 /nfs/dbraw/zinc/80/29/59/650802959.db2.gz LWLVLMDQUNZRFP-BETUJISGSA-N 0 1 287.367 0.436 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1nnc(C)[nH]1 ZINC001017542287 650810182 /nfs/dbraw/zinc/81/01/82/650810182.db2.gz BYYOMMCSZLGQSZ-BETUJISGSA-N 0 1 287.367 0.354 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(-c2ccco2)on1 ZINC000843459353 643063828 /nfs/dbraw/zinc/06/38/28/643063828.db2.gz DSRGBECHENAGFK-WCBMZHEXSA-N 0 1 272.264 0.776 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(F)ccc1[N+](=O)[O-] ZINC000843460879 643065406 /nfs/dbraw/zinc/06/54/06/643065406.db2.gz ISKUUYLMTPDIRD-XVKPBYJWSA-N 0 1 278.243 0.575 20 30 CCEDMN C[C@H](NC(=O)C1CCCCC1)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000843460884 643065505 /nfs/dbraw/zinc/06/55/05/643065505.db2.gz IUFNDIPSTBLJLW-DRZSPHRISA-N 0 1 292.383 0.299 20 30 CCEDMN Cc1cc(C)nc(SCC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000843461655 643066531 /nfs/dbraw/zinc/06/65/31/643066531.db2.gz MNWVGKCYHRURAE-QWRGUYRKSA-N 0 1 291.380 0.413 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCOc1cccc(F)c1 ZINC000843461544 643066908 /nfs/dbraw/zinc/06/69/08/643066908.db2.gz LSQKLFZRJWMOPV-GXFFZTMASA-N 0 1 277.299 0.822 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CC[C@H]1C(N)=O ZINC001017792094 650822158 /nfs/dbraw/zinc/82/21/58/650822158.db2.gz HVNNBFIDMWAWHU-XJFOESAGSA-N 0 1 289.379 0.196 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CCNC1=O ZINC001017936267 650835016 /nfs/dbraw/zinc/83/50/16/650835016.db2.gz FOXCRKSNDJXETA-DVOMOZLQSA-N 0 1 289.379 0.211 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CC1CCSCC1 ZINC000846677278 643441289 /nfs/dbraw/zinc/44/12/89/643441289.db2.gz KXRPSZGJAXUPFQ-GHMZBOCLSA-N 0 1 253.371 0.747 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(-n2cc[nH]c2=O)cc1 ZINC000846676979 643441604 /nfs/dbraw/zinc/44/16/04/643441604.db2.gz JBTRNJBPRBZLHB-AAEUAGOBSA-N 0 1 297.318 0.419 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ncc[nH]1 ZINC001018103278 650843382 /nfs/dbraw/zinc/84/33/82/650843382.db2.gz QFVULKLQTHIFTA-BETUJISGSA-N 0 1 272.352 0.651 20 30 CCEDMN C[C@@H]1CC(=NC(=O)c2ccc3c(n2)OCCO3)NO1 ZINC000847623615 643538883 /nfs/dbraw/zinc/53/88/83/643538883.db2.gz CIBATAVLULPKRO-SSDOTTSWSA-N 0 1 263.253 0.705 20 30 CCEDMN C=C[C@@](C)(O)c1cn(CCNC(=O)C(F)(F)F)nn1 ZINC000849141456 643712366 /nfs/dbraw/zinc/71/23/66/643712366.db2.gz XEUQPXBUYLBABG-SECBINFHSA-N 0 1 278.234 0.350 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C1CC2(CC2(F)F)C1 ZINC000852828452 644013995 /nfs/dbraw/zinc/01/39/95/644013995.db2.gz XWYFCVDUIYSDLV-VCZAYRLQSA-N 0 1 255.268 0.650 20 30 CCEDMN C#CCN1CCN(C(=O)c2cccc3c[nH]nc32)CC1 ZINC000112920189 644281814 /nfs/dbraw/zinc/28/18/14/644281814.db2.gz IBNAIMLOCHBRRP-UHFFFAOYSA-N 0 1 268.320 0.954 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cc3n(n2)CCO3)C1 ZINC001018974104 650926475 /nfs/dbraw/zinc/92/64/75/650926475.db2.gz XDWUABRXHFFFGF-JTQLQIEISA-N 0 1 296.758 0.832 20 30 CCEDMN O=C(C#CC1CC1)N1CC2(C1)CCN(C(=O)c1ccn[nH]1)C2 ZINC001019009483 650929729 /nfs/dbraw/zinc/92/97/29/650929729.db2.gz ZSGSESDHDXLHMH-UHFFFAOYSA-N 0 1 298.346 0.498 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC001019375799 650967060 /nfs/dbraw/zinc/96/70/60/650967060.db2.gz UZGKMBQNNCEJSC-GXFFZTMASA-N 0 1 285.775 0.456 20 30 CCEDMN NS(=O)(=O)c1ccc(N=NC2C[N@H+]3C[C@@H]2CCC3)cc1 ZINC000863062518 645057841 /nfs/dbraw/zinc/05/78/41/645057841.db2.gz COZAZKAFQVLJHZ-JTQLQIEISA-N 0 1 294.380 0.828 20 30 CCEDMN NS(=O)(=O)c1ccc(N=NC2CN3C[C@@H]2CCC3)cc1 ZINC000863062518 645057842 /nfs/dbraw/zinc/05/78/42/645057842.db2.gz COZAZKAFQVLJHZ-JTQLQIEISA-N 0 1 294.380 0.828 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)C(C#N)C(=O)NC1CC1 ZINC000119616302 645119915 /nfs/dbraw/zinc/11/99/15/645119915.db2.gz JMHBYAIYYXIJIW-GXSJLCMTSA-N 0 1 250.298 0.955 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2cccc3[nH]cnc32)C1 ZINC001019549230 650986337 /nfs/dbraw/zinc/98/63/37/650986337.db2.gz FAWQLRCSIONSQA-JTQLQIEISA-N 0 1 269.308 0.891 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)N1CCOCC1 ZINC000867949077 645686580 /nfs/dbraw/zinc/68/65/80/645686580.db2.gz MZLAHGIHAKNKSD-CQSZACIVSA-N 0 1 271.320 0.604 20 30 CCEDMN N#C[C@]1(C(=O)N[C@@H](CO)CN2CCCCC2)CCCOC1 ZINC000868243127 645735777 /nfs/dbraw/zinc/73/57/77/645735777.db2.gz FZKVZPKHZJQZQM-UKRRQHHQSA-N 0 1 295.383 0.270 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H](CO)CN2CCCCC2)co1 ZINC000868243449 645735921 /nfs/dbraw/zinc/73/59/21/645735921.db2.gz KCPBKIRWUPBZIX-GFCCVEGCSA-N 0 1 277.324 0.728 20 30 CCEDMN C#CC[NH2+][C@H]1C[C@H](NC(=O)c2[n-]cnc2C(F)(F)F)C1 ZINC001020239926 651051849 /nfs/dbraw/zinc/05/18/49/651051849.db2.gz NIMAGMSBCAGGSQ-ZKCHVHJHSA-N 0 1 286.257 0.912 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2c3c(nn2C)CCCC3)N1 ZINC000872364246 646252115 /nfs/dbraw/zinc/25/21/15/646252115.db2.gz GVZJSHUMCBXJIO-VIFPVBQESA-N 0 1 260.345 0.570 20 30 CCEDMN O=C(C#CC1CC1)N1CCC[C@H](C(=O)N2CCNCC2)C1 ZINC000876512487 646615759 /nfs/dbraw/zinc/61/57/59/646615759.db2.gz PTCDTUNCUQETSG-AWEZNQCLSA-N 0 1 289.379 0.070 20 30 CCEDMN C[C@H]1O[C@@H](C)[C@@H](C)[C@H]1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000876802147 646668561 /nfs/dbraw/zinc/66/85/61/646668561.db2.gz LZWLNPKTLBHLJX-RRZJSNMYSA-N 0 1 251.330 0.274 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(Cn2ccnn2)cc1 ZINC000876801747 646669139 /nfs/dbraw/zinc/66/91/39/646669139.db2.gz IFEMWCXTWKULCV-KBPBESRZSA-N 0 1 296.334 0.168 20 30 CCEDMN Cc1ccc(-c2nn(C)cc2C(=O)N[C@H]2CNC[C@@H]2C#N)o1 ZINC000876802789 646670406 /nfs/dbraw/zinc/67/04/06/646670406.db2.gz RXJZIURWNYGEKW-JQWIXIFHSA-N 0 1 299.334 0.830 20 30 CCEDMN Cn1cc(CNC(=O)[C@H]2NCCc3cc(C#N)ccc32)nn1 ZINC000876947503 646703406 /nfs/dbraw/zinc/70/34/06/646703406.db2.gz BGBOLOFNRXVOCF-AWEZNQCLSA-N 0 1 296.334 0.190 20 30 CCEDMN C[C@@H](CC(N)=O)NCc1cc(C#N)cnc1Cl ZINC000877093554 646728986 /nfs/dbraw/zinc/72/89/86/646728986.db2.gz JGIFXFLOWNARDU-ZETCQYMHSA-N 0 1 252.705 0.960 20 30 CCEDMN N#CCNC(=O)CCn1c(=O)c2ccccc2[nH]c1=S ZINC000126784091 646850135 /nfs/dbraw/zinc/85/01/35/646850135.db2.gz LQYDJESYOSZNEF-UHFFFAOYSA-N 0 1 288.332 0.715 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CC[C@@H](CF)C1 ZINC000880296167 647181980 /nfs/dbraw/zinc/18/19/80/647181980.db2.gz WEYVFKOIBLHIOX-LBPRGKRZSA-N 0 1 266.320 0.934 20 30 CCEDMN C[C@@H]1CCc2[nH]nc(C(=O)N[C@@H]3CNC[C@H]3C#N)c2C1 ZINC000884250233 647680810 /nfs/dbraw/zinc/68/08/10/647680810.db2.gz ZZRVMKXJIZEUEY-KBVBSXBZSA-N 0 1 273.340 0.376 20 30 CCEDMN Cc1cn(C(C)(C)C(=O)N[C@@H]2CNC[C@@H]2C#N)cn1 ZINC000884250716 647681402 /nfs/dbraw/zinc/68/14/02/647681402.db2.gz MARYERVJYBXLOU-WDEREUQCSA-N 0 1 261.329 0.154 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCC[C@H]2C(N)=O)CCC1 ZINC000886299366 647958010 /nfs/dbraw/zinc/95/80/10/647958010.db2.gz WVFHKDAEDSLAKI-MNOVXSKESA-N 0 1 251.330 0.381 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1C[C@@H]2[C@H](O)CC[C@@H]2C1 ZINC000886580260 647988522 /nfs/dbraw/zinc/98/85/22/647988522.db2.gz BXXVYSAAHMNGNI-HZSPNIEDSA-N 0 1 290.367 0.345 20 30 CCEDMN N#CC1(C[C@@H](O)Cn2cc(CNC3CC3)nn2)CCC1 ZINC000887467706 648113379 /nfs/dbraw/zinc/11/33/79/648113379.db2.gz VDSNYZMIULAITP-CYBMUJFWSA-N 0 1 275.356 0.975 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@H]3[C@@H]2CCCN3CCO)co1 ZINC000889742852 648238936 /nfs/dbraw/zinc/23/89/36/648238936.db2.gz KNPFGOXHVHAQIY-KBPBESRZSA-N 0 1 289.335 0.822 20 30 CCEDMN Cc1cn(C)nc1C[N@@H+](C)CCCc1[nH]nc(N)c1C#N ZINC000930111682 648824349 /nfs/dbraw/zinc/82/43/49/648824349.db2.gz ZEIRSTUPWNIQOT-UHFFFAOYSA-N 0 1 287.371 0.970 20 30 CCEDMN Cc1cn(C)nc1CN(C)CCCc1[nH]nc(N)c1C#N ZINC000930111682 648824352 /nfs/dbraw/zinc/82/43/52/648824352.db2.gz ZEIRSTUPWNIQOT-UHFFFAOYSA-N 0 1 287.371 0.970 20 30 CCEDMN N#CCSCCCN1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000933726183 649140746 /nfs/dbraw/zinc/14/07/46/649140746.db2.gz JHEXJIKTJYAYEC-PHIMTYICSA-N 0 1 274.411 0.610 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC[C@H](c2cc[nH]n2)C1 ZINC000193861677 649563467 /nfs/dbraw/zinc/56/34/67/649563467.db2.gz GFSQQMSTWDWSOB-NEPJUHHUSA-N 0 1 260.341 0.727 20 30 CCEDMN N#Cc1ccc(C[N@@H+]2C[C@H](O)[C@@H](CNC(=O)C3CC3)C2)cc1 ZINC000957783427 649758649 /nfs/dbraw/zinc/75/86/49/649758649.db2.gz HCVOKPXMIMMWAA-HOTGVXAUSA-N 0 1 299.374 0.877 20 30 CCEDMN N#Cc1ccc(CN2C[C@H](O)[C@@H](CNC(=O)C3CC3)C2)cc1 ZINC000957783427 649758650 /nfs/dbraw/zinc/75/86/50/649758650.db2.gz HCVOKPXMIMMWAA-HOTGVXAUSA-N 0 1 299.374 0.877 20 30 CCEDMN CN1CCO[C@H]2CN(c3ncnc4[nH]cc(C#N)c43)C[C@@H]21 ZINC001164665750 719369680 /nfs/dbraw/zinc/36/96/80/719369680.db2.gz CJJXREULHGZEIJ-QWRGUYRKSA-N 0 1 284.323 0.349 20 30 CCEDMN Cc1ncc(C#N)c(N2C[C@H]3OCCN(C)[C@@H]3C2)n1 ZINC001164666202 719386251 /nfs/dbraw/zinc/38/62/51/719386251.db2.gz JWRMHXLWGKURTN-VXGBXAGGSA-N 0 1 259.313 0.176 20 30 CCEDMN Cc1ncc(C#N)c(N2C[C@H]3OCCN(C)[C@H]3C2)n1 ZINC001164666199 719386485 /nfs/dbraw/zinc/38/64/85/719386485.db2.gz JWRMHXLWGKURTN-NWDGAFQWSA-N 0 1 259.313 0.176 20 30 CCEDMN Cc1ncc(C#N)c(N2C[C@H]3CN(CC4CC4)C[C@@H](C2)O3)n1 ZINC001164967294 722070687 /nfs/dbraw/zinc/07/06/87/722070687.db2.gz MMJHHXMIJFBRTF-GASCZTMLSA-N 0 1 299.378 0.956 20 30 CCEDMN C#CCN1CCC(NC(=O)CNC(=O)[C@H](C)CC)CC1 ZINC001230288730 805592189 /nfs/dbraw/zinc/59/21/89/805592189.db2.gz WGCDUVVLAOTOFH-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001266246387 790320589 /nfs/dbraw/zinc/32/05/89/790320589.db2.gz ORCYWPQSZYRWEF-UONOGXRCSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1ccc2c(c1)NC(=O)C2 ZINC001266282408 790393452 /nfs/dbraw/zinc/39/34/52/790393452.db2.gz AAPYMANHWDEATM-UHFFFAOYSA-N 0 1 285.347 0.405 20 30 CCEDMN C=CC[N@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038169688 790440642 /nfs/dbraw/zinc/44/06/42/790440642.db2.gz JRMRAUPFMMTFMN-VIFPVBQESA-N 0 1 250.302 0.414 20 30 CCEDMN C=CC[N@@H+]1CC[C@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001038169688 790440645 /nfs/dbraw/zinc/44/06/45/790440645.db2.gz JRMRAUPFMMTFMN-VIFPVBQESA-N 0 1 250.302 0.414 20 30 CCEDMN CC#CCN(CC)CCNC(=O)Cc1nnc(C)o1 ZINC001266388041 790621829 /nfs/dbraw/zinc/62/18/29/790621829.db2.gz PIGBVZUOWKYAQX-UHFFFAOYSA-N 0 1 264.329 0.382 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@@H](C)[C@H]1CCCO1 ZINC001266416845 790662165 /nfs/dbraw/zinc/66/21/65/790662165.db2.gz OIQRAUKKCDCYRX-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](OC)[C@H]1CCOC1 ZINC001266789453 791239745 /nfs/dbraw/zinc/23/97/45/791239745.db2.gz YUTUTVNOQJVVJT-RYUDHWBXSA-N 0 1 290.791 0.838 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)COCC1CC1 ZINC001266447749 790730090 /nfs/dbraw/zinc/73/00/90/790730090.db2.gz ZAUXUVVECHIBCV-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCCN1[C@H]1CCN(C)C1=O ZINC001266453438 790742896 /nfs/dbraw/zinc/74/28/96/790742896.db2.gz FSDOWOXMHAKUKL-STQMWFEESA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CNC(=O)c2ccco2)C1 ZINC001266497351 790791543 /nfs/dbraw/zinc/79/15/43/790791543.db2.gz FGTHUDXSYMWUME-LBPRGKRZSA-N 0 1 289.335 0.081 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCN(CC(=O)NCC=C)C1 ZINC001266503040 790806244 /nfs/dbraw/zinc/80/62/44/790806244.db2.gz OTFYBKHHKNJVPP-CYBMUJFWSA-N 0 1 279.384 0.693 20 30 CCEDMN CC#CCCCC(=O)NCCN(CCC)[C@@H]1CCNC1=O ZINC001266568086 790942560 /nfs/dbraw/zinc/94/25/60/790942560.db2.gz DLTOZKSCJLXERV-CQSZACIVSA-N 0 1 293.411 0.897 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CN(C)C(C)=O ZINC001230819626 805671179 /nfs/dbraw/zinc/67/11/79/805671179.db2.gz ZLKBOIDXXPLHGV-LBPRGKRZSA-N 0 1 253.346 0.183 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](C)OCC ZINC001230855719 805677394 /nfs/dbraw/zinc/67/73/94/805677394.db2.gz RGYGURXSHJNWSY-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CNC(=O)CCC ZINC001230976855 805702356 /nfs/dbraw/zinc/70/23/56/805702356.db2.gz MFZQPKJRDSEPEW-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@@H+](C)CCCNC(=O)CC1CC1 ZINC001231153166 805741280 /nfs/dbraw/zinc/74/12/80/805741280.db2.gz HEXVDGPTZOOZBO-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)CC1CC1 ZINC001231153166 805741283 /nfs/dbraw/zinc/74/12/83/805741283.db2.gz HEXVDGPTZOOZBO-LBPRGKRZSA-N 0 1 279.384 0.363 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@H]2COCCO2)C1 ZINC001277555289 805843317 /nfs/dbraw/zinc/84/33/17/805843317.db2.gz NIYUMBQMFPKSSP-GXTWGEPZSA-N 0 1 282.384 0.899 20 30 CCEDMN COC(=O)[C@@]12COC[C@@H]1CN(Cc1cc(C#N)ccn1)C2 ZINC001232479045 805945597 /nfs/dbraw/zinc/94/55/97/805945597.db2.gz ZNKLJWBDZBMQRP-WFASDCNBSA-N 0 1 287.319 0.575 20 30 CCEDMN CC(C)(O)CN1CCN(Cc2cc(C#N)ccn2)CC1 ZINC001232482337 805947073 /nfs/dbraw/zinc/94/70/73/805947073.db2.gz QOZHBEAQRURPQG-UHFFFAOYSA-N 0 1 274.368 0.842 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N[C@H]1C[C@@H](NCC#N)C1 ZINC001266716734 791176288 /nfs/dbraw/zinc/17/62/88/791176288.db2.gz OKVQOKKOJRFGNJ-GARJFASQSA-N 0 1 261.329 0.349 20 30 CCEDMN CCOC(=O)C1=C(O)CCN(C(=O)C(C)(C)C#N)CC1 ZINC001143398526 791269972 /nfs/dbraw/zinc/26/99/72/791269972.db2.gz QJNOCXPJXFPBMT-SNVBAGLBSA-N 0 1 280.324 0.907 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)C[C@@H]1CCC(=O)N1 ZINC001266856118 791294570 /nfs/dbraw/zinc/29/45/70/791294570.db2.gz JTHPEKJVDLLBAE-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)NCc1ccn(C)n1 ZINC001266937243 791372771 /nfs/dbraw/zinc/37/27/71/791372771.db2.gz FAPAYORAKMRHFB-NSHDSACASA-N 0 1 260.341 0.428 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)CO[C@H]2CCOC2)CC1 ZINC001279555271 791440367 /nfs/dbraw/zinc/44/03/67/791440367.db2.gz XPJQZUDUGWFWNI-HNNXBMFYSA-N 0 1 292.379 0.564 20 30 CCEDMN C[C@@H](CCNC(=O)CSCC#N)NCc1ncccn1 ZINC001267120141 791562920 /nfs/dbraw/zinc/56/29/20/791562920.db2.gz QGKUVRNNSICLCN-NSHDSACASA-N 0 1 293.396 0.718 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cn(C)nc3C)C[C@H]21 ZINC001041974808 791581199 /nfs/dbraw/zinc/58/11/99/791581199.db2.gz GSWLRGAPFQFEJL-UKRRQHHQSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc(N2CCCC2)nc1 ZINC001267221535 791694079 /nfs/dbraw/zinc/69/40/79/791694079.db2.gz MDMHBEIOHIGFFF-UHFFFAOYSA-N 0 1 286.379 0.977 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)CCSC ZINC001233670311 806105966 /nfs/dbraw/zinc/10/59/66/806105966.db2.gz BZTHGHMJZLOPOQ-UHFFFAOYSA-N 0 1 287.429 0.480 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H]1CN(C)C(=O)CN1CCOCC1 ZINC001233771761 806119238 /nfs/dbraw/zinc/11/92/38/806119238.db2.gz SRCARYZZDVPGRB-CYBMUJFWSA-N 0 1 267.373 0.037 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CN1CCOCC1 ZINC001233771761 806119240 /nfs/dbraw/zinc/11/92/40/806119240.db2.gz SRCARYZZDVPGRB-CYBMUJFWSA-N 0 1 267.373 0.037 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)NC(N)=O ZINC001233891237 806142508 /nfs/dbraw/zinc/14/25/08/806142508.db2.gz PSXLXFQDYNCMHK-WDEREUQCSA-N 0 1 268.361 0.152 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CC1OCCCO1 ZINC001233914789 806145960 /nfs/dbraw/zinc/14/59/60/806145960.db2.gz FNIUHKHYTKEBJF-CYBMUJFWSA-N 0 1 280.368 0.696 20 30 CCEDMN C=CCNC(=O)C[N@@H+](C)CCCNC(=O)c1occc1C ZINC001233947558 806154077 /nfs/dbraw/zinc/15/40/77/806154077.db2.gz RSFNYXYVUYOKIX-UHFFFAOYSA-N 0 1 293.367 0.942 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1occc1C ZINC001233947558 806154084 /nfs/dbraw/zinc/15/40/84/806154084.db2.gz RSFNYXYVUYOKIX-UHFFFAOYSA-N 0 1 293.367 0.942 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H]1CN(C)C(=O)CC(C)(C)O ZINC001234012783 806168373 /nfs/dbraw/zinc/16/83/73/806168373.db2.gz RCMNELSZRUJCFT-CYBMUJFWSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)CC(C)(C)O ZINC001234012783 806168377 /nfs/dbraw/zinc/16/83/77/806168377.db2.gz RCMNELSZRUJCFT-CYBMUJFWSA-N 0 1 284.400 0.883 20 30 CCEDMN CCOCCN1CC[C@@H]1CN(C)C(=O)C#CC1CC1 ZINC001234195455 806202616 /nfs/dbraw/zinc/20/26/16/806202616.db2.gz KYUHMXDXMOVOOI-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCOCCC(=O)N(C)C[C@@H]1CCN1CCOCC ZINC001234246597 806210207 /nfs/dbraw/zinc/21/02/07/806210207.db2.gz QVZQQTKZSWJBAF-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnn2cc(C)cnc12 ZINC001267250220 793242650 /nfs/dbraw/zinc/24/26/50/793242650.db2.gz DNWKGSOQUWHGFY-UHFFFAOYSA-N 0 1 285.351 0.723 20 30 CCEDMN CC#CC[N@@H+](CC)CCNC(=O)c1ccc(OC)nn1 ZINC001267254408 793251036 /nfs/dbraw/zinc/25/10/36/793251036.db2.gz OLUUMWFZLBIPRZ-UHFFFAOYSA-N 0 1 276.340 0.560 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1ccc(OC)nn1 ZINC001267254408 793251040 /nfs/dbraw/zinc/25/10/40/793251040.db2.gz OLUUMWFZLBIPRZ-UHFFFAOYSA-N 0 1 276.340 0.560 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1c[nH]c(C(N)=O)c1 ZINC001267256076 793255276 /nfs/dbraw/zinc/25/52/76/793255276.db2.gz KGNWFICPAFBXDH-UHFFFAOYSA-N 0 1 276.340 0.189 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H](C)NC(=O)COC ZINC001234479742 806258117 /nfs/dbraw/zinc/25/81/17/806258117.db2.gz YXRJAFWBVKMFBX-QWHCGFSZSA-N 0 1 297.399 0.246 20 30 CCEDMN C[C@H](CON)Oc1[nH]c(=O)nc2ccc(C#N)cc21 ZINC001234530129 806267187 /nfs/dbraw/zinc/26/71/87/806267187.db2.gz IDCDAEINXSMWKV-SSDOTTSWSA-N 0 1 260.253 0.865 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N(C)C[C@H]1CCN1CC#N ZINC001234642457 806290278 /nfs/dbraw/zinc/29/02/78/806290278.db2.gz JOZYCVZOFDXITI-CHWSQXEVSA-N 0 1 284.379 0.723 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)COC(C)C ZINC001235097882 806370750 /nfs/dbraw/zinc/37/07/50/806370750.db2.gz IMXRSASGIATFEK-UHFFFAOYSA-N 0 1 285.388 0.152 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1cn(C)nc1C ZINC001235419991 806422220 /nfs/dbraw/zinc/42/22/20/806422220.db2.gz AGSWRMBVKJUNDK-CQSZACIVSA-N 0 1 276.384 0.990 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1cnc(C)[nH]1 ZINC001235784244 806503139 /nfs/dbraw/zinc/50/31/39/806503139.db2.gz CDYWIUNHAFMZMQ-UHFFFAOYSA-N 0 1 293.371 0.072 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)CCn2cccn2)CC1 ZINC001278024317 806617647 /nfs/dbraw/zinc/61/76/47/806617647.db2.gz YASVXXVNRJAAFD-UHFFFAOYSA-N 0 1 274.368 0.877 20 30 CCEDMN C=CCn1c(C2=NO[C@@H](CO)C2)nnc1N(C)CC1CC1 ZINC001121657237 799063495 /nfs/dbraw/zinc/06/34/95/799063495.db2.gz GWORLYNIOMKLHO-LLVKDONJSA-N 0 1 291.355 0.796 20 30 CCEDMN C#CCNCC(=O)NCC1(C(=O)OC)CCCC1 ZINC001121879967 799081947 /nfs/dbraw/zinc/08/19/47/799081947.db2.gz CGTZAHAYTBLMKT-UHFFFAOYSA-N 0 1 252.314 0.059 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1[C@@H]2CCCO[C@H]2C1(C)C ZINC001121887326 799083218 /nfs/dbraw/zinc/08/32/18/799083218.db2.gz KVFWIEQLJDNEHE-CYZMBNFOSA-N 0 1 250.342 0.529 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C(C1CCC1)C1CCC1 ZINC001278139796 806715150 /nfs/dbraw/zinc/71/51/50/806715150.db2.gz VXIUBIUDCXGBEJ-AWEZNQCLSA-N 0 1 278.396 0.903 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(C(F)F)ncn1 ZINC001121931046 799098235 /nfs/dbraw/zinc/09/82/35/799098235.db2.gz BRSBHVPOPZKVTN-MUWHJKNJSA-N 0 1 267.239 0.256 20 30 CCEDMN C#CCNCC(=O)N1CC(C)(C)[C@@H]1[C@H]1CCCO1 ZINC001122081987 799138281 /nfs/dbraw/zinc/13/82/81/799138281.db2.gz KMIVZOXDNKEMRK-YPMHNXCESA-N 0 1 250.342 0.625 20 30 CCEDMN Cn1cnc(C(=O)C(C#N)C(=O)Nc2ccccn2)n1 ZINC001122506940 799252497 /nfs/dbraw/zinc/25/24/97/799252497.db2.gz SGAPQLDVHKRXQR-QMMMGPOBSA-N 0 1 270.252 0.171 20 30 CCEDMN Cn1cnc(C(=O)C(C#N)C(=O)Nc2ccccn2)n1 ZINC001122506939 799252896 /nfs/dbraw/zinc/25/28/96/799252896.db2.gz SGAPQLDVHKRXQR-MRVPVSSYSA-N 0 1 270.252 0.171 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1(CC)CCCC1 ZINC001278179236 806742590 /nfs/dbraw/zinc/74/25/90/806742590.db2.gz OMNSDCSHTUMXTQ-LBPRGKRZSA-N 0 1 252.358 0.657 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cccc(N(C)C)c1 ZINC001278187638 806749308 /nfs/dbraw/zinc/74/93/08/806749308.db2.gz VMCNKOSDOKVQCP-CQSZACIVSA-N 0 1 289.379 0.456 20 30 CCEDMN N#CC1(CNC(=O)NCCN2CC=CCC2)CCOCC1 ZINC001123775962 799471978 /nfs/dbraw/zinc/47/19/78/799471978.db2.gz LDALVIHWMOBJTN-UHFFFAOYSA-N 0 1 292.383 0.868 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)[C@H]1C[N@@H+]2CCN1C[C@@H]2C ZINC001123858278 799487332 /nfs/dbraw/zinc/48/73/32/799487332.db2.gz ZAYJHRUEGBSGGB-BFHYXJOUSA-N 0 1 263.385 0.942 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001123858278 799487338 /nfs/dbraw/zinc/48/73/38/799487338.db2.gz ZAYJHRUEGBSGGB-BFHYXJOUSA-N 0 1 263.385 0.942 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)[C@@H]1C[N@@H+]2CCN1C[C@H]2C ZINC001123858279 799487930 /nfs/dbraw/zinc/48/79/30/799487930.db2.gz ZAYJHRUEGBSGGB-MCIONIFRSA-N 0 1 263.385 0.942 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001123858279 799487933 /nfs/dbraw/zinc/48/79/33/799487933.db2.gz ZAYJHRUEGBSGGB-MCIONIFRSA-N 0 1 263.385 0.942 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1ccon1 ZINC001124186626 799573084 /nfs/dbraw/zinc/57/30/84/799573084.db2.gz LVTXQNXVKASJSM-UHFFFAOYSA-N 0 1 274.118 0.903 20 30 CCEDMN Cc1cnn(CC(=O)NCCNCc2ccccc2C#N)c1 ZINC001124288097 799589176 /nfs/dbraw/zinc/58/91/76/799589176.db2.gz VZYOPUQWILLFKG-UHFFFAOYSA-N 0 1 297.362 0.969 20 30 CCEDMN C=CCOCC(=O)NCCN[C@H](C)c1cnccn1 ZINC001124767579 799633783 /nfs/dbraw/zinc/63/37/83/799633783.db2.gz IVVCKLJTMBFIQQ-LLVKDONJSA-N 0 1 264.329 0.446 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COCCOC ZINC001124908149 799645896 /nfs/dbraw/zinc/64/58/96/799645896.db2.gz DTYNDDOODHVCDT-UHFFFAOYSA-N 0 1 250.726 0.108 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@@H](CNC(=O)Cn2cccn2)C1 ZINC001149160300 799839664 /nfs/dbraw/zinc/83/96/64/799839664.db2.gz RJJFXLKRNZBPIZ-AWEZNQCLSA-N 0 1 290.367 0.114 20 30 CCEDMN COC(=O)[C@@]12COC[C@@H]1CN(Cc1ccc(C#N)nc1)C2 ZINC001140540060 799920065 /nfs/dbraw/zinc/92/00/65/799920065.db2.gz HUWYGTOPDPSAOF-WFASDCNBSA-N 0 1 287.319 0.575 20 30 CCEDMN CN1CCN(C2CN(Cc3ccc(C#N)nc3)C2)CC1 ZINC001140546487 799922028 /nfs/dbraw/zinc/92/20/28/799922028.db2.gz LCINKNXGBZOPRR-UHFFFAOYSA-N 0 1 271.368 0.385 20 30 CCEDMN COCCN(CC#N)C(=O)CCc1cc(C)[nH]n1 ZINC001141804725 800035842 /nfs/dbraw/zinc/03/58/42/800035842.db2.gz UIBZEKDDZIJXHR-UHFFFAOYSA-N 0 1 250.302 0.649 20 30 CCEDMN C#CCOCCC(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001142373287 800118726 /nfs/dbraw/zinc/11/87/26/800118726.db2.gz OUVWZOXSVUPWDE-UHFFFAOYSA-N 0 1 285.307 0.523 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@H](C)SC)C1 ZINC001149237848 800193622 /nfs/dbraw/zinc/19/36/22/800193622.db2.gz UNMLITPHSRBZIX-QWHCGFSZSA-N 0 1 284.425 0.968 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H](C)n2cccn2)C1 ZINC001149225813 800237455 /nfs/dbraw/zinc/23/74/55/800237455.db2.gz AIQHJEGPWSOYSB-UONOGXRCSA-N 0 1 292.383 0.837 20 30 CCEDMN CC#CC[N@H+]1CCCO[C@H](CNC(=O)[C@@H](CC)OC)C1 ZINC001149355864 800250964 /nfs/dbraw/zinc/25/09/64/800250964.db2.gz NVMWTBVTCCBGQP-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H](CC)OC)C1 ZINC001149355864 800250968 /nfs/dbraw/zinc/25/09/68/800250968.db2.gz NVMWTBVTCCBGQP-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001149415379 800257083 /nfs/dbraw/zinc/25/70/83/800257083.db2.gz LXZQVYPVBXFMNW-QWHCGFSZSA-N 0 1 263.341 0.376 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001149608191 800280386 /nfs/dbraw/zinc/28/03/86/800280386.db2.gz NOYRGIYIIVDSJH-GOEBONIOSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001149608190 800281050 /nfs/dbraw/zinc/28/10/50/800281050.db2.gz NOYRGIYIIVDSJH-GDBMZVCRSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001149654649 800288161 /nfs/dbraw/zinc/28/81/61/800288161.db2.gz ZXSKOYWVXGNFMI-ZIAGYGMSSA-N 0 1 282.384 0.234 20 30 CCEDMN C=C(C)C[N@H+]1CCCO[C@H](CNC(=O)[C@H](O)C(C)C)C1 ZINC001149652967 800288675 /nfs/dbraw/zinc/28/86/75/800288675.db2.gz HOOOGKCONSUVNO-ZIAGYGMSSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2ccn(C)n2)C1 ZINC001149681612 800289514 /nfs/dbraw/zinc/28/95/14/800289514.db2.gz NGYIKPRPWJIDQR-AWEZNQCLSA-N 0 1 292.383 0.356 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001150356476 800332481 /nfs/dbraw/zinc/33/24/81/800332481.db2.gz DXKIAWVFEWRHRD-UONOGXRCSA-N 0 1 297.399 0.294 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C1CCN(C(C)=O)CC1 ZINC001150527014 800344528 /nfs/dbraw/zinc/34/45/28/800344528.db2.gz WZDGPBAPXMPWDI-UHFFFAOYSA-N 0 1 287.791 0.703 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCCS1(=O)=O ZINC001151685683 800447744 /nfs/dbraw/zinc/44/77/44/800447744.db2.gz RAULHUVANKVAKI-SECBINFHSA-N 0 1 280.777 0.022 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1[nH]nc2ccncc21 ZINC001152097814 800490376 /nfs/dbraw/zinc/49/03/76/800490376.db2.gz CWDAXHHVTNJTKL-MRVPVSSYSA-N 0 1 257.253 0.322 20 30 CCEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1[nH]nc(C)c1[O-] ZINC001152835879 800559398 /nfs/dbraw/zinc/55/93/98/800559398.db2.gz YRLOMGXOHRSEAC-SNVBAGLBSA-N 0 1 278.356 0.887 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@](C)(NC(C)=O)C(C)C ZINC001152885271 800564468 /nfs/dbraw/zinc/56/44/68/800564468.db2.gz SHIWQODULSWHLI-ZDUSSCGKSA-N 0 1 289.807 0.996 20 30 CCEDMN NC(=NC(=O)c1cc2c[nH]cnc-2n1)c1ncc[nH]1 ZINC001152996920 800579107 /nfs/dbraw/zinc/57/91/07/800579107.db2.gz VZIFUUGRSDGXON-UHFFFAOYSA-N 0 1 255.241 0.178 20 30 CCEDMN C=CCCOCC(=O)NCCN[C@H](C)c1n[nH]c(CC)n1 ZINC001153085768 800595476 /nfs/dbraw/zinc/59/54/76/800595476.db2.gz JNFQDOWEJBPWFT-LLVKDONJSA-N 0 1 295.387 0.727 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1ccns1 ZINC001153148680 800601570 /nfs/dbraw/zinc/60/15/70/800601570.db2.gz JGEPTYXQRQNAAY-JTQLQIEISA-N 0 1 269.370 0.940 20 30 CCEDMN C#Cc1cc(NC(=O)c2cnn3[nH]c(C)nc23)ccn1 ZINC001154658971 800824184 /nfs/dbraw/zinc/82/41/84/800824184.db2.gz SBMGWRPYEJHKJW-UHFFFAOYSA-N 0 1 266.264 0.416 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1ncc(C#N)cc1F ZINC001155084922 800906425 /nfs/dbraw/zinc/90/64/25/800906425.db2.gz WAVZYBVDPMQJCY-UHFFFAOYSA-N 0 1 289.270 0.913 20 30 CCEDMN CCOC(=O)C1=C=CC(=NCC2=CNC(C)C=C2)N=C1 ZINC001155321949 800950479 /nfs/dbraw/zinc/95/04/79/800950479.db2.gz KLNYYBSPUFDRIF-UHFFFAOYSA-N 0 1 269.304 0.837 20 30 CCEDMN C=CCCC(=O)NCCCNCc1nnnn1C1CC1 ZINC001155580231 801014999 /nfs/dbraw/zinc/01/49/99/801014999.db2.gz WYVCTDWSHHNIAW-UHFFFAOYSA-N 0 1 278.360 0.570 20 30 CCEDMN N#Cc1cnc(Cl)nc1N[C@H]1CN2CCC1CC2 ZINC001155662423 801034193 /nfs/dbraw/zinc/03/41/93/801034193.db2.gz CHFVAQIAGBIKSE-JTQLQIEISA-N 0 1 263.732 0.930 20 30 CCEDMN C=CCOCC(=O)NCCCN[C@H](C)c1nnc(CC)[nH]1 ZINC001155712752 801041899 /nfs/dbraw/zinc/04/18/99/801041899.db2.gz RXXHJEUYNYKSOQ-LLVKDONJSA-N 0 1 295.387 0.727 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccc2c(n1)NC(=O)CO2 ZINC001155723308 801042584 /nfs/dbraw/zinc/04/25/84/801042584.db2.gz BOIBEWJFJHDTFW-UHFFFAOYSA-N 0 1 284.235 0.260 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1ncnn1CC ZINC001155724651 801043745 /nfs/dbraw/zinc/04/37/45/801043745.db2.gz CQCCNLAEPCUYEU-UHFFFAOYSA-N 0 1 281.360 0.097 20 30 CCEDMN Cc1cc(CNCCCNC(=O)[C@@H](C)C#N)no1 ZINC001155829749 801060089 /nfs/dbraw/zinc/06/00/89/801060089.db2.gz YZDWXHQYMROXKO-VIFPVBQESA-N 0 1 250.302 0.739 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCN[C@@H](C)c1nncn1C ZINC001155841094 801064038 /nfs/dbraw/zinc/06/40/38/801064038.db2.gz CDVAWOGDRGAKBC-ZJUUUORDSA-N 0 1 264.333 0.132 20 30 CCEDMN C#CCN(CC)[C@H](C)CNC(=O)C(=O)NCC(F)(F)F ZINC001156051383 801102738 /nfs/dbraw/zinc/10/27/38/801102738.db2.gz IEVLIEGFRXOWHR-SECBINFHSA-N 0 1 293.289 0.125 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCNCc2cnon2)[nH]1 ZINC001156690956 801212314 /nfs/dbraw/zinc/21/23/14/801212314.db2.gz ITVJBSAODMSARI-UHFFFAOYSA-N 0 1 274.284 0.179 20 30 CCEDMN C#CCCCCC(=O)NCCCN[C@H](C)c1nnnn1C ZINC001156816300 801241009 /nfs/dbraw/zinc/24/10/09/801241009.db2.gz KDRBKXMEIOHTBO-GFCCVEGCSA-N 0 1 292.387 0.561 20 30 CCEDMN Cc1ccnc(CNCCCNC(=O)c2c[nH]c(C#N)c2)n1 ZINC001156841230 801246379 /nfs/dbraw/zinc/24/63/79/801246379.db2.gz COXALVIYJPEWTD-UHFFFAOYSA-N 0 1 298.350 0.895 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCN[C@H](C)c1ncccn1 ZINC001157201330 801324407 /nfs/dbraw/zinc/32/44/07/801324407.db2.gz FYAMUCQBHZVSNX-DOMZBBRYSA-N 0 1 292.383 0.961 20 30 CCEDMN C#C[C@](C)(N)C(=O)N(C)Cc1ccc2c(c1)OCCO2 ZINC001157679682 801452928 /nfs/dbraw/zinc/45/29/28/801452928.db2.gz VDYANDPLQVPWCX-HNNXBMFYSA-N 0 1 274.320 0.767 20 30 CCEDMN C[C@@H](NCCCNC(=O)C#CC(C)(C)C)c1nnnn1C ZINC001157727307 801464411 /nfs/dbraw/zinc/46/44/11/801464411.db2.gz LPUOCHXEILTRNF-LLVKDONJSA-N 0 1 292.387 0.417 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cnn(CC)n1 ZINC001157913937 801518603 /nfs/dbraw/zinc/51/86/03/801518603.db2.gz RIPUCKMFWMGSCK-CYBMUJFWSA-N 0 1 289.383 0.858 20 30 CCEDMN CN1CCN(C(=N)Nc2ncnc(Cl)c2C#N)CC1 ZINC001157914627 801518898 /nfs/dbraw/zinc/51/88/98/801518898.db2.gz JHZPOWAYQGHVNY-UHFFFAOYSA-N 0 1 279.735 0.596 20 30 CCEDMN N#Cc1c(Cl)cc(Cl)nc1-n1nnnc1CN ZINC001158080479 801566548 /nfs/dbraw/zinc/56/65/48/801566548.db2.gz OUKMQUAKPPLDIJ-UHFFFAOYSA-N 0 1 270.083 0.694 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1OCCO[C@H]1C ZINC001158096721 801569982 /nfs/dbraw/zinc/56/99/82/801569982.db2.gz QHAUMICFPNTUEO-ZNMIVQPWSA-N 0 1 294.395 0.736 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H](C)C2=NN(C)CC2=O)nn1 ZINC001158304704 801616648 /nfs/dbraw/zinc/61/66/48/801616648.db2.gz DCMHZPIIPXSARY-QMMMGPOBSA-N 0 1 276.300 0.394 20 30 CCEDMN C=CCC(CC=C)C(=O)NCCCNCc1nnnn1C ZINC001158746607 801697120 /nfs/dbraw/zinc/69/71/20/801697120.db2.gz CBALDBUXYNTUSH-UHFFFAOYSA-N 0 1 292.387 0.574 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@H]2NCCc3cc(F)ccc32)CCN1 ZINC001160652767 801990446 /nfs/dbraw/zinc/99/04/46/801990446.db2.gz LAIUGIOIFJIVRP-OCCSQVGLSA-N 0 1 288.326 0.336 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)[C@@H](N)Cc1ccsc1 ZINC001160933135 802049592 /nfs/dbraw/zinc/04/95/92/802049592.db2.gz HULJGRGNLAWONL-QWRGUYRKSA-N 0 1 265.338 0.369 20 30 CCEDMN C[C@@H](C#N)N(C)C(=O)[C@@H](N)CC(=O)OCc1ccccc1 ZINC001161140410 802099617 /nfs/dbraw/zinc/09/96/17/802099617.db2.gz FNJCIFRJUHUNHB-AAEUAGOBSA-N 0 1 289.335 0.818 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)CCCCC ZINC001278844007 808322190 /nfs/dbraw/zinc/32/21/90/808322190.db2.gz BITFMVFEBKTSLW-ZDUSSCGKSA-N 0 1 254.374 0.951 20 30 CCEDMN N#Cc1cc(NCc2noc3c2CNCC3)ccn1 ZINC001163131749 802488928 /nfs/dbraw/zinc/48/89/28/802488928.db2.gz KWSQXAGLNQKGGV-UHFFFAOYSA-N 0 1 255.281 0.621 20 30 CCEDMN Cc1nc2cnc(N[C@@H](CC(C)C)C(=O)NO)nc2[nH]1 ZINC001163420397 802555247 /nfs/dbraw/zinc/55/52/47/802555247.db2.gz PJWYIUUDAAINJD-QMMMGPOBSA-N 0 1 278.316 0.945 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C(=O)NCC2CC2)[C@H]1C ZINC001264725011 809675555 /nfs/dbraw/zinc/67/55/55/809675555.db2.gz QVCPTDYRJLKRMO-YPMHNXCESA-N 0 1 279.384 0.668 20 30 CCEDMN N#C[C@@H]1CNCCN1c1n[nH]c(Cl)c2ncnc1-2 ZINC001164652948 802776982 /nfs/dbraw/zinc/77/69/82/802776982.db2.gz VXEPQFJRSYXFSM-ZCFIWIBFSA-N 0 1 263.692 0.308 20 30 CCEDMN N#CCNCC1(CCNC(=O)CCc2cnc[nH]2)CC1 ZINC001165373676 802829574 /nfs/dbraw/zinc/82/95/74/802829574.db2.gz LKBRBUQHNRMEGW-UHFFFAOYSA-N 0 1 275.356 0.742 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1ncc(F)cc1C#N ZINC001165435880 802839841 /nfs/dbraw/zinc/83/98/41/802839841.db2.gz FXUJDMFKNIVLTJ-JTQLQIEISA-N 0 1 264.260 0.044 20 30 CCEDMN COC(=O)[C@@H]1CNCCN1c1nc(Cl)cc(C)c1C#N ZINC001165436127 802840209 /nfs/dbraw/zinc/84/02/09/802840209.db2.gz HTGHTMHKHGXYSE-JTQLQIEISA-N 0 1 294.742 0.866 20 30 CCEDMN C=CCCCC(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001165535311 802851955 /nfs/dbraw/zinc/85/19/55/802851955.db2.gz JOHNMDDYHLGWCB-UHFFFAOYSA-N 0 1 281.360 0.061 20 30 CCEDMN C=C1CC(C)(C(=O)NCCC[NH2+]Cc2nc(=O)n(C)[n-]2)C1 ZINC001166249118 802896257 /nfs/dbraw/zinc/89/62/57/802896257.db2.gz NSEGJZJSFIUPPN-UHFFFAOYSA-N 0 1 293.371 0.061 20 30 CCEDMN COC(=O)c1cc(C#N)cnc1N1CC[C@H](N)C(F)(F)C1 ZINC001166960655 802996043 /nfs/dbraw/zinc/99/60/43/802996043.db2.gz KJSYSUQODAJMFR-JTQLQIEISA-N 0 1 296.277 0.913 20 30 CCEDMN CN1CC(C(=O)NCCC2(CNCC#N)CC2)=NC1=O ZINC001167018145 803011968 /nfs/dbraw/zinc/01/19/68/803011968.db2.gz CGHINCSKBASPFZ-UHFFFAOYSA-N 0 1 277.328 0.139 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@]2(F)CCOC2)C1 ZINC001276421271 803045490 /nfs/dbraw/zinc/04/54/90/803045490.db2.gz HVNFAEYNHFCAAJ-SMDDNHRTSA-N 0 1 268.332 0.575 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)CCCCC(=O)NC)C1 ZINC001276468857 803086759 /nfs/dbraw/zinc/08/67/59/803086759.db2.gz NPABOUXTARIWSC-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)CCN(C)CCn1cncn1 ZINC001272080566 814937087 /nfs/dbraw/zinc/93/70/87/814937087.db2.gz OOYSVGXFBFGUFS-UHFFFAOYSA-N 0 1 279.388 0.881 20 30 CCEDMN C[C@H]1CCC[N@H+]1CC(=O)N1CCC(CNCC#N)CC1 ZINC001206273877 803222209 /nfs/dbraw/zinc/22/22/09/803222209.db2.gz BXZHRVHGYMGLDJ-ZDUSSCGKSA-N 0 1 278.400 0.822 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@H]2CCC(=O)NC2=O)C[C@H]1C ZINC001206536859 803263174 /nfs/dbraw/zinc/26/31/74/803263174.db2.gz BHOZXYYGUDHHIZ-UTUOFQBUSA-N 0 1 293.367 0.194 20 30 CCEDMN COCC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCOC2)C1 ZINC001206695306 803280517 /nfs/dbraw/zinc/28/05/17/803280517.db2.gz GKMRTRWIVPOUFB-QLFBSQMISA-N 0 1 294.395 0.499 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCOC2)C1 ZINC001206695306 803280520 /nfs/dbraw/zinc/28/05/20/803280520.db2.gz GKMRTRWIVPOUFB-QLFBSQMISA-N 0 1 294.395 0.499 20 30 CCEDMN Cc1nc(CN2C[C@@H](C)[C@H](NC(=O)C#CC3CC3)C2)n[nH]1 ZINC001206952141 803317185 /nfs/dbraw/zinc/31/71/85/803317185.db2.gz ZXAZSAFUTVZVQU-ZWNOBZJWSA-N 0 1 287.367 0.463 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2cnn(C)c2C)C1 ZINC001207171932 803365631 /nfs/dbraw/zinc/36/56/31/803365631.db2.gz KVADNIFMBHTGFP-IUODEOHRSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CCC[C@H](NCC(=C)Cl)C1 ZINC001207869039 803459269 /nfs/dbraw/zinc/45/92/69/803459269.db2.gz BXKRLMXMYMNQEW-LBPRGKRZSA-N 0 1 297.786 0.459 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)CNC(=O)CC)C(C)(C)C1 ZINC001276569968 803525458 /nfs/dbraw/zinc/52/54/58/803525458.db2.gz JSORGQDERXESSE-LLVKDONJSA-N 0 1 267.373 0.525 20 30 CCEDMN C=CCSCCNC(=O)Nc1nn[nH]c1C(=O)NC ZINC001208745276 803543894 /nfs/dbraw/zinc/54/38/94/803543894.db2.gz TXWDQMIAEUSTGM-UHFFFAOYSA-N 0 1 284.345 0.205 20 30 CCEDMN C[C@@H]1CN(C(=O)CCCCc2cn[nH]n2)C[C@H]1NCC#N ZINC001215228545 803786041 /nfs/dbraw/zinc/78/60/41/803786041.db2.gz UVXKHURZWHGQCA-DGCLKSJQSA-N 0 1 290.371 0.478 20 30 CCEDMN C=CCCC(=O)N1CCO[C@@H]2CN(CCOC)C[C@@H]21 ZINC001217493544 803892737 /nfs/dbraw/zinc/89/27/37/803892737.db2.gz LVQPQPABKRGGAC-QWHCGFSZSA-N 0 1 268.357 0.511 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)COCC(F)F)[C@H](OC)C1 ZINC001213215391 814982205 /nfs/dbraw/zinc/98/22/05/814982205.db2.gz FURHSENJUHWDAT-GHMZBOCLSA-N 0 1 292.326 0.660 20 30 CCEDMN Cc1ncc(C#N)cc1NC(=O)C[C@H]1COCCN1 ZINC001218658618 804069281 /nfs/dbraw/zinc/06/92/81/804069281.db2.gz GTCPANYFLMZHRV-NSHDSACASA-N 0 1 260.297 0.579 20 30 CCEDMN Cn1cc(NC(=O)[C@@H](N)c2ccccc2)c(C#N)n1 ZINC001218667178 804072982 /nfs/dbraw/zinc/07/29/82/804072982.db2.gz ANECSPJFGNKEDN-LBPRGKRZSA-N 0 1 255.281 0.930 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)OCCC=C)[C@@H](O)C1 ZINC001219324485 804270146 /nfs/dbraw/zinc/27/01/46/804270146.db2.gz QYYMSTIOPNSKEK-MJBXVCDLSA-N 0 1 280.368 0.152 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COCCCC)[C@H](OC)C1 ZINC001212076418 815005026 /nfs/dbraw/zinc/00/50/26/815005026.db2.gz ZZYVWTUZMMLFBM-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C=C\C(C)(C)C)[C@@H](O)C1 ZINC001219385504 804293578 /nfs/dbraw/zinc/29/35/78/804293578.db2.gz OKYQGHOOTHETDJ-WJDZFGBRSA-N 0 1 296.411 0.953 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@@H]1O ZINC001219490542 804329943 /nfs/dbraw/zinc/32/99/43/804329943.db2.gz MKAXOVGKCVFWLW-ZNMIVQPWSA-N 0 1 296.411 0.502 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2CC(C)(C)C2)[C@@H](O)C1 ZINC001219554051 804346055 /nfs/dbraw/zinc/34/60/55/804346055.db2.gz FFIMEFIEHMWWQS-KGLIPLIRSA-N 0 1 296.411 0.787 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2ccns2)[C@@H](O)C1 ZINC001219718551 804412717 /nfs/dbraw/zinc/41/27/17/804412717.db2.gz JIRLCJYQDLLYEL-MNOVXSKESA-N 0 1 281.381 0.884 20 30 CCEDMN C=C(C)CNC(=O)CNC(=O)[C@@H](N)Cc1ccccc1 ZINC001219879971 804437719 /nfs/dbraw/zinc/43/77/19/804437719.db2.gz SIXFNWSWHPEKME-ZDUSSCGKSA-N 0 1 275.352 0.365 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccc2cccnn21 ZINC001272125649 815021890 /nfs/dbraw/zinc/02/18/90/815021890.db2.gz LOMSGVNEONDQHT-UHFFFAOYSA-N 0 1 270.336 0.971 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(COC)CC2)[C@@H](O)C1 ZINC001220004395 804468125 /nfs/dbraw/zinc/46/81/25/804468125.db2.gz LEAGROLTSKJYSR-NEPJUHHUSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)[C@@H](N)Cc1ccsc1 ZINC001220043327 804481398 /nfs/dbraw/zinc/48/13/98/804481398.db2.gz NXAUNPUAWDMPPF-LBPRGKRZSA-N 0 1 295.408 0.817 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2nccn2C)[C@@H](O)C1 ZINC001220052418 804487147 /nfs/dbraw/zinc/48/71/47/804487147.db2.gz YUEQFLDIWVUAEN-OLZOCXBDSA-N 0 1 292.383 0.090 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C2(C)CCCC2)[C@@H](O)C1 ZINC001220094454 804498194 /nfs/dbraw/zinc/49/81/94/804498194.db2.gz UDBQDOVSNFWKDJ-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cc(F)cc2c1OCOC2 ZINC001220294345 804563548 /nfs/dbraw/zinc/56/35/48/804563548.db2.gz QIODEEXAZMVBKD-AWEZNQCLSA-N 0 1 278.283 0.659 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN([C@@H](C)COC)C[C@@H]2O)CCC1 ZINC001220295650 804566548 /nfs/dbraw/zinc/56/65/48/804566548.db2.gz VRHJMSUZNRZAKX-MJBXVCDLSA-N 0 1 296.411 0.929 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)Cc2ccco2)[C@@H](O)C1 ZINC001220532303 804620077 /nfs/dbraw/zinc/62/00/77/804620077.db2.gz NGWCOOJQVOIYGI-YUELXQCFSA-N 0 1 290.363 0.643 20 30 CCEDMN CCCCCCCN1C[C@@H](NC(=O)c2cnon2)[C@@H](O)C1 ZINC001220584969 804631693 /nfs/dbraw/zinc/63/16/93/804631693.db2.gz RAGZTIWXFYUXMO-OLZOCXBDSA-N 0 1 296.371 0.815 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccn(Cc2ccccc2)n1 ZINC001220707166 804651979 /nfs/dbraw/zinc/65/19/79/804651979.db2.gz QFVHZTFSMZJAAL-INIZCTEOSA-N 0 1 282.347 0.898 20 30 CCEDMN N#Cc1ccc2[n-]c(C(=O)N[C@H]3CCC[NH2+]C3)cc(=O)c2c1 ZINC001220898302 804695873 /nfs/dbraw/zinc/69/58/73/804695873.db2.gz FDSRWLSNRDJSOA-NSHDSACASA-N 0 1 296.330 0.882 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)CCOC)[C@@H](O)C1 ZINC001221012528 804718955 /nfs/dbraw/zinc/71/89/55/804718955.db2.gz UGADTKSMBKBCTP-UPJWGTAASA-N 0 1 270.373 0.396 20 30 CCEDMN C#C[C@](C)(N)C(=O)NC[C@H]1COc2cc(OC)ccc2C1 ZINC001221320666 804775882 /nfs/dbraw/zinc/77/58/82/804775882.db2.gz DTTPPPSNVXRBNE-ZBEGNZNMSA-N 0 1 288.347 0.713 20 30 CCEDMN C=C1CN(C(=O)NCCCCN2CCOCC2)C1 ZINC001222197050 804881723 /nfs/dbraw/zinc/88/17/23/804881723.db2.gz YKZZQELFHJAHNF-UHFFFAOYSA-N 0 1 253.346 0.680 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)COCC)C[C@@H]21 ZINC001222219482 804882766 /nfs/dbraw/zinc/88/27/66/804882766.db2.gz RWSFAKCJWOTVDF-OLZOCXBDSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(CNC(=O)C(C)C)CC1 ZINC001222410737 804897783 /nfs/dbraw/zinc/89/77/83/804897783.db2.gz KBXKSWMTJDCYRL-ZDUSSCGKSA-N 0 1 293.411 0.609 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H]2CCN(C(=O)CNC(=O)CC)C[C@@H]21 ZINC001222469292 804903072 /nfs/dbraw/zinc/90/30/72/804903072.db2.gz MURSKULPVLDUFE-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CCNC(=O)CN1CCC(CNC(=O)[C@@H](C)C#N)CC1 ZINC001222642042 804923291 /nfs/dbraw/zinc/92/32/91/804923291.db2.gz XIFBVAKANFZFLP-NSHDSACASA-N 0 1 280.372 0.110 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC[C@H]3C[C@]32C(N)=O)c(O)c1 ZINC001276864710 804954121 /nfs/dbraw/zinc/95/41/21/804954121.db2.gz HCCGOHGEXZHRNG-LKFCYVNXSA-N 0 1 271.276 0.354 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@]1(C)CCNC(=O)C1 ZINC001276875457 804960878 /nfs/dbraw/zinc/96/08/78/804960878.db2.gz NIXCXJFSCKIHPP-IUODEOHRSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)[C@@H](COC)OC)C[C@@H]21 ZINC001223474424 804974548 /nfs/dbraw/zinc/97/45/48/804974548.db2.gz AOBFQXSCTCTWIT-QLFBSQMISA-N 0 1 294.395 0.594 20 30 CCEDMN COCCN1CC[C@H]2C[C@]21C(=O)N1CC(CC#N)C1 ZINC001276897789 804977719 /nfs/dbraw/zinc/97/77/19/804977719.db2.gz WZTTVPFSEDNHHW-GXTWGEPZSA-N 0 1 263.341 0.469 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)[C@@H](F)CC)CC1 ZINC001224082395 805007089 /nfs/dbraw/zinc/00/70/89/805007089.db2.gz AGLWMXQTGWFNBN-ZDUSSCGKSA-N 0 1 299.390 0.865 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)CCC(C)C)CC1 ZINC001276997377 805078565 /nfs/dbraw/zinc/07/85/65/805078565.db2.gz LZMUYSSZZHFTQM-CYBMUJFWSA-N 0 1 293.411 0.799 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1OCCCN1CCOCC1 ZINC001224870710 805087669 /nfs/dbraw/zinc/08/76/69/805087669.db2.gz TZNVCIQHCNDQNH-JTQLQIEISA-N 0 1 255.314 0.945 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H](C)n2cncn2)C1 ZINC001278380921 807053442 /nfs/dbraw/zinc/05/34/42/807053442.db2.gz FAZAFCWASUOBAA-GXTWGEPZSA-N 0 1 275.356 0.443 20 30 CCEDMN CCOC(=O)C[C@@H](CC#N)Oc1nccc(=O)[nH]1 ZINC001226323413 805218826 /nfs/dbraw/zinc/21/88/26/805218826.db2.gz DHENCFBSSFMTTE-MRVPVSSYSA-N 0 1 251.242 0.796 20 30 CCEDMN CC[C@H](OC)C(=O)NC1CCN(CC#CCOC)CC1 ZINC001226463322 805233810 /nfs/dbraw/zinc/23/38/10/805233810.db2.gz NJLLHYCPXAIYAW-AWEZNQCLSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CCNC(=O)[C@@H]1CCCN1C ZINC001075941535 815069162 /nfs/dbraw/zinc/06/91/62/815069162.db2.gz XNXINHNTCDVZBE-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCc2ccnn2C)CC1 ZINC001227619097 805373070 /nfs/dbraw/zinc/37/30/70/805373070.db2.gz NHHNLTCPJSINOV-UHFFFAOYSA-N 0 1 288.395 0.957 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C2CC(OC)C2)CC1 ZINC001227915912 805401093 /nfs/dbraw/zinc/40/10/93/805401093.db2.gz IXBSBESTUIXNSG-UHFFFAOYSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CC(C)(C)C(=O)NC1CCN([C@@H](C)C(=O)NC)CC1 ZINC001228631624 805460958 /nfs/dbraw/zinc/46/09/58/805460958.db2.gz RFMZESZYSMCKHD-NSHDSACASA-N 0 1 281.400 0.914 20 30 CCEDMN COc1cc(=O)[nH]c(O[C@@H]2CCN(CC#N)C2)n1 ZINC001228919004 805489312 /nfs/dbraw/zinc/48/93/12/805489312.db2.gz UCOFLACSUIGDEN-MRVPVSSYSA-N 0 1 250.258 0.167 20 30 CCEDMN Cc1cc(CN2C[C@H]3C[C@@]3(C(=O)N3CC(CC#N)C3)C2)[nH]n1 ZINC001277349849 805497424 /nfs/dbraw/zinc/49/74/24/805497424.db2.gz ARNFPASCQDHIGZ-CZUORRHYSA-N 0 1 299.378 0.912 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCc2cnn(C)c2C)C1 ZINC001278394415 807064076 /nfs/dbraw/zinc/06/40/76/807064076.db2.gz BJACKXMKNXFNJG-INIZCTEOSA-N 0 1 288.395 0.875 20 30 CCEDMN C=CCOC[C@@H](O)C[N@H+]1CCC(F)(F)[C@H](N)C1 ZINC001246030144 807126770 /nfs/dbraw/zinc/12/67/70/807126770.db2.gz FAZPCUVBSBACIU-VHSXEESVSA-N 0 1 250.289 0.218 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2OCC[C@H]2C(C)C)[C@@H](O)C1 ZINC001083467700 815113701 /nfs/dbraw/zinc/11/37/01/815113701.db2.gz ODCIAGPMYZONLI-XGUBFFRZSA-N 0 1 294.395 0.232 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N[C@]12CCC[C@@H]1N(CC#N)CC2 ZINC001278466554 807385164 /nfs/dbraw/zinc/38/51/64/807385164.db2.gz JNWGSJXDMADULP-ZFWWWQNUSA-N 0 1 278.400 0.965 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1CN(CC)C[C@@H]1O ZINC001083470841 815122460 /nfs/dbraw/zinc/12/24/60/815122460.db2.gz QIHGWRPCOXHIIN-NDBYEHHHSA-N 0 1 268.357 0.149 20 30 CCEDMN N#CCNC[C@@H]1CC[C@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001278566452 807597936 /nfs/dbraw/zinc/59/79/36/807597936.db2.gz XQCIXFJBWBEHLR-NEPJUHHUSA-N 0 1 275.356 0.740 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(C)C[C@H]1c1ccccc1 ZINC001251821392 807708524 /nfs/dbraw/zinc/70/85/24/807708524.db2.gz NOUXWRMVTUHLBR-SJORKVTESA-N 0 1 288.391 0.986 20 30 CCEDMN C=C(Br)CNCCNC(=O)CC1(O)CCC1 ZINC001126842237 815155690 /nfs/dbraw/zinc/15/56/90/815155690.db2.gz MTOVQOZLBGYSIM-UHFFFAOYSA-N 0 1 291.189 0.906 20 30 CCEDMN C=CCOC[C@H](O)CNCc1nnc2cc(C)ccn21 ZINC001252485366 807889649 /nfs/dbraw/zinc/88/96/49/807889649.db2.gz KISCLJZKSOLHTA-GFCCVEGCSA-N 0 1 276.340 0.691 20 30 CCEDMN C=CCOC[C@H](O)CN[C@@H](Cc1cnc[nH]1)C(=O)OCC ZINC001252499280 807896393 /nfs/dbraw/zinc/89/63/93/807896393.db2.gz YYMDCJUIAZFBTB-OLZOCXBDSA-N 0 1 297.355 0.037 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@]2(C)CC=CCC2)[C@@H](O)C1 ZINC001083488570 815158015 /nfs/dbraw/zinc/15/80/15/815158015.db2.gz OCPNZOGIXROTJI-IJEWVQPXSA-N 0 1 276.380 0.917 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(CC(=O)OC(C)(C)C)CC1 ZINC001252555463 807909252 /nfs/dbraw/zinc/90/92/52/807909252.db2.gz VQPMXYMDNHDTNF-OAHLLOKOSA-N 0 1 284.400 0.883 20 30 CCEDMN C=C[C@@](C)(O)CN[C@H](Cc1cnc[nH]1)C(=O)OC ZINC001252584982 807914701 /nfs/dbraw/zinc/91/47/01/807914701.db2.gz IUIZCYDQFBGFQC-ZYHUDNBSSA-N 0 1 253.302 0.020 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001252620070 807919996 /nfs/dbraw/zinc/91/99/96/807919996.db2.gz ZXMVQSMGOHZQLD-UTUOFQBUSA-N 0 1 251.330 0.171 20 30 CCEDMN N#C[C@@H]1CN(C[C@H](O)COCc2ccco2)CCC1=O ZINC001252641430 807926530 /nfs/dbraw/zinc/92/65/30/807926530.db2.gz ORVYQSXIPRTVAD-NEPJUHHUSA-N 0 1 278.308 0.572 20 30 CCEDMN CN1CCC(C#N)(NC[C@H](O)COC(C)(C)C)CC1 ZINC001253482536 808075724 /nfs/dbraw/zinc/07/57/24/808075724.db2.gz WMBXTOVPVTVQOP-LBPRGKRZSA-N 0 1 269.389 0.740 20 30 CCEDMN C=C[C@H](O)CN1CCCn2cnc(COCCO)c2C1 ZINC001253577138 808084766 /nfs/dbraw/zinc/08/47/66/808084766.db2.gz RWHIPMGZVPYTKY-LBPRGKRZSA-N 0 1 281.356 0.145 20 30 CCEDMN C=C[C@H](O)CN1CCN(c2nc(C)cc(C)n2)CC1 ZINC001253580069 808087157 /nfs/dbraw/zinc/08/71/57/808087157.db2.gz VZORFXWINDKAFT-ZDUSSCGKSA-N 0 1 262.357 0.762 20 30 CCEDMN C=C[C@@H](O)CN1CC2(C1)CCOC[C@H]2C(=O)OC ZINC001253580922 808087201 /nfs/dbraw/zinc/08/72/01/808087201.db2.gz AVGQUFFJTKZHJI-MNOVXSKESA-N 0 1 255.314 0.045 20 30 CCEDMN C=C[C@@H](O)CNc1ccc(N2CCN(CCO)CC2)cc1 ZINC001253589259 808094458 /nfs/dbraw/zinc/09/44/58/808094458.db2.gz FHJSCTNVKQBBCO-MRXNPFEDSA-N 0 1 291.395 0.760 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCN(C)C2=O)[C@H]1C ZINC001088804838 815174435 /nfs/dbraw/zinc/17/44/35/815174435.db2.gz KWZAMWJJKJLPQD-AGIUHOORSA-N 0 1 277.368 0.067 20 30 CCEDMN CCOC(=O)C[C@H](O)CNCc1cncc(C#N)c1 ZINC001253810855 808150254 /nfs/dbraw/zinc/15/02/54/808150254.db2.gz PAHRJYNYRRWDSR-LBPRGKRZSA-N 0 1 263.297 0.357 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)CCC(F)(F)F)C1 ZINC001278772145 808201205 /nfs/dbraw/zinc/20/12/05/808201205.db2.gz XTHMHURZXCAEJV-NSHDSACASA-N 0 1 278.274 0.515 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@](O)(CNC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001278772089 808201247 /nfs/dbraw/zinc/20/12/47/808201247.db2.gz XBDVLHULYPEQIS-CQSZACIVSA-N 0 1 294.355 0.166 20 30 CCEDMN COC(=O)C1(O)CCN([C@@H]2CC[C@H](C#N)C2)CC1 ZINC001254676662 808272846 /nfs/dbraw/zinc/27/28/46/808272846.db2.gz MWEGIWGZJIEWCN-WDEREUQCSA-N 0 1 252.314 0.679 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)C2(F)CCOCC2)[C@@H](O)C1 ZINC001083511809 815192570 /nfs/dbraw/zinc/19/25/70/815192570.db2.gz KFLLHUDIIOXSAK-NEPJUHHUSA-N 0 1 286.347 0.243 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)c1cc(C)oc1C ZINC001278845258 808321155 /nfs/dbraw/zinc/32/11/55/808321155.db2.gz VNUBLQBIHKAOKC-ZDUSSCGKSA-N 0 1 278.352 0.894 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c(C)[nH]nc2Cl)[C@@H](O)C1 ZINC001083514497 815195225 /nfs/dbraw/zinc/19/52/25/815195225.db2.gz IOTPQNOPYRNXOM-ZJUUUORDSA-N 0 1 296.758 0.170 20 30 CCEDMN COCC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H](C)C(C)C ZINC001278878837 808420180 /nfs/dbraw/zinc/42/01/80/808420180.db2.gz UYEDBDXBIDOACL-HUUCEWRRSA-N 0 1 298.427 0.679 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N(C)C[C@H](O)CN(C)CC#N ZINC001278888717 808429843 /nfs/dbraw/zinc/42/98/43/808429843.db2.gz HGUZDWICRXHARV-KGLIPLIRSA-N 0 1 296.415 0.135 20 30 CCEDMN N#CCCN1CCN(C(=O)[C@@H]2CC3CCN2CC3)CC1 ZINC001142628356 815207706 /nfs/dbraw/zinc/20/77/06/815207706.db2.gz CDXLDTRVNXBBBW-AWEZNQCLSA-N 0 1 276.384 0.529 20 30 CCEDMN C#CCN(C)CCN(C(=O)[C@H]1CCN(C)C1=O)C(C)C ZINC001278918772 808476465 /nfs/dbraw/zinc/47/64/65/808476465.db2.gz VPDJLDWEOBOREU-ZDUSSCGKSA-N 0 1 279.384 0.267 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1ncc(OC)cn1 ZINC001038496564 815210737 /nfs/dbraw/zinc/21/07/37/815210737.db2.gz JCUVMTTVWYBZRS-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN COCCN(CC#N)CCNC(=O)C(C)(C)c1cnc[nH]1 ZINC001279055911 808641209 /nfs/dbraw/zinc/64/12/09/808641209.db2.gz GCJVJZIGJHQLOO-UHFFFAOYSA-N 0 1 293.371 0.275 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2cn(C)cn2)cc[nH]1 ZINC001258992877 808708707 /nfs/dbraw/zinc/70/87/07/808708707.db2.gz OZINFKWTDXLMEF-UHFFFAOYSA-N 0 1 262.294 0.019 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CCc3nccn3C)[C@@H]2C1 ZINC001076078004 815239738 /nfs/dbraw/zinc/23/97/38/815239738.db2.gz KGPMMHOEYTWTKF-UONOGXRCSA-N 0 1 286.379 0.519 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cnoc3)[C@@H]2C1 ZINC001076162577 815253436 /nfs/dbraw/zinc/25/34/36/815253436.db2.gz FWWNXUVNYGRXRW-QWHCGFSZSA-N 0 1 259.309 0.383 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3cccc(=O)n3C)[C@@H]2C1 ZINC001076226581 815262295 /nfs/dbraw/zinc/26/22/95/815262295.db2.gz MERMSFZTOJUMMX-DZGCQCFKSA-N 0 1 299.374 0.555 20 30 CCEDMN C=CC[C@H](CC)NC(=O)C(=O)N1CCNC[C@@H]1C(C)C ZINC001261820376 809097584 /nfs/dbraw/zinc/09/75/84/809097584.db2.gz YOPMJPJQVHBQQY-QWHCGFSZSA-N 0 1 281.400 0.914 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CC2(C)COC2)CC1 ZINC001262480636 809315873 /nfs/dbraw/zinc/31/58/73/809315873.db2.gz PQRPXTUWRLIGGX-UHFFFAOYSA-N 0 1 251.330 0.517 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H]2CCN(C(=O)c3nc(C)c[nH]3)[C@@H]2C1 ZINC001076342431 815279534 /nfs/dbraw/zinc/27/95/34/815279534.db2.gz MFQRRYQGAYYBEF-QWHCGFSZSA-N 0 1 272.352 0.888 20 30 CCEDMN C=CCn1c(C2=NO[C@H](CO)C2)nnc1N(C)C1CC1 ZINC001262965857 809424371 /nfs/dbraw/zinc/42/43/71/809424371.db2.gz XQJUZLWWUWUFMX-JTQLQIEISA-N 0 1 277.328 0.548 20 30 CCEDMN C#CCNCC(=O)NC1(C(=O)OC)CCC(CC)CC1 ZINC001263090038 809439294 /nfs/dbraw/zinc/43/92/94/809439294.db2.gz ZUOPYRMTRPOCBK-UHFFFAOYSA-N 0 1 280.368 0.837 20 30 CCEDMN CC[C@H](C)n1nccc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC001263105144 809446371 /nfs/dbraw/zinc/44/63/71/809446371.db2.gz GQLUEPWSDAFEJI-DCAQKATOSA-N 0 1 261.329 0.695 20 30 CCEDMN C#CCNCC(=O)NCC1(C(=O)OCC)CCCC1 ZINC001263145723 809459190 /nfs/dbraw/zinc/45/91/90/809459190.db2.gz AHLWIHKMSBCARR-UHFFFAOYSA-N 0 1 266.341 0.449 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)CCc1cn(C)nn1 ZINC001263823230 809579619 /nfs/dbraw/zinc/57/96/19/809579619.db2.gz JNPAEXISGGHKCC-CQSZACIVSA-N 0 1 289.383 0.304 20 30 CCEDMN C=CCC1(O)CCN(C(=O)[C@@H]2CN3CCN2C[C@H]3C)CC1 ZINC001263925757 809608154 /nfs/dbraw/zinc/60/81/54/809608154.db2.gz RYRLKGKFLUMROG-KGLIPLIRSA-N 0 1 293.411 0.304 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@](C)(O)C=C)[C@H]1CC ZINC001264050482 809625137 /nfs/dbraw/zinc/62/51/37/809625137.db2.gz PEZCTAZIOBVJMX-GUTXKFCHSA-N 0 1 264.369 0.916 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)COCCOC)[C@H]1CC ZINC001264604195 809665279 /nfs/dbraw/zinc/66/52/79/809665279.db2.gz VKXGLSAGBSMMOF-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)COCCOC)[C@H]1CC ZINC001264604195 809665282 /nfs/dbraw/zinc/66/52/82/809665282.db2.gz VKXGLSAGBSMMOF-QWHCGFSZSA-N 0 1 268.357 0.252 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H]1CC1(F)F ZINC001264983615 809687608 /nfs/dbraw/zinc/68/76/08/809687608.db2.gz IEMHHJJYQBKLFC-SNVBAGLBSA-N 0 1 289.326 0.382 20 30 CCEDMN C=C(C)CCC(=O)NCCCN(C)[C@@H](C)C(=O)NC ZINC001264992144 809688894 /nfs/dbraw/zinc/68/88/94/809688894.db2.gz NMPHREUPCXFENS-LBPRGKRZSA-N 0 1 269.389 0.915 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)C2=COCCO2)C1 ZINC001265200846 809722279 /nfs/dbraw/zinc/72/22/79/809722279.db2.gz AJVMITCQIOPBMW-ZDUSSCGKSA-N 0 1 296.367 0.658 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)c2ccsn2)CC1 ZINC001265245042 809730451 /nfs/dbraw/zinc/73/04/51/809730451.db2.gz YVLSNYFMYZGXIN-UHFFFAOYSA-N 0 1 292.408 0.514 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cc(CC)n[nH]2)CC1 ZINC001265256316 809732296 /nfs/dbraw/zinc/73/22/96/809732296.db2.gz SVTZXGLPCHPQAV-UHFFFAOYSA-N 0 1 291.399 0.506 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001265298831 809750220 /nfs/dbraw/zinc/75/02/20/809750220.db2.gz CMFQCCYYQLELSE-TZMCWYRMSA-N 0 1 286.347 0.508 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@](C)(NC(C)=O)C(C)C)C1 ZINC001265319319 809757007 /nfs/dbraw/zinc/75/70/07/809757007.db2.gz LLLNQTIFFQXCSY-UKRRQHHQSA-N 0 1 281.400 0.914 20 30 CCEDMN CCC(=O)N1CCC[C@@H](CN(C)CC(=O)NCC#N)C1 ZINC001265382578 809768716 /nfs/dbraw/zinc/76/87/16/809768716.db2.gz QRKJMEDGHVFFOZ-LBPRGKRZSA-N 0 1 280.372 0.207 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H](C)NCc1ocnc1C ZINC001265774761 809849631 /nfs/dbraw/zinc/84/96/31/809849631.db2.gz IKZJRMNSVPVEHN-PWSUYJOCSA-N 0 1 279.340 0.616 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H]1CCCCO1 ZINC001265826821 809865448 /nfs/dbraw/zinc/86/54/48/809865448.db2.gz NULNMLRRFSZGSY-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2conc2C)C1 ZINC001265895112 809886379 /nfs/dbraw/zinc/88/63/79/809886379.db2.gz GQQYSBZRGGBODN-ZDUSSCGKSA-N 0 1 279.340 0.876 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)c2ncccn2)C1 ZINC001279518667 809985974 /nfs/dbraw/zinc/98/59/74/809985974.db2.gz MGHCRMMTQXPMJR-OAHLLOKOSA-N 0 1 290.367 0.609 20 30 CCEDMN COCC#CCN1CC[C@H]2[C@@H]1CCCN2C(C)=O ZINC001279530613 809986235 /nfs/dbraw/zinc/98/62/35/809986235.db2.gz WFDDNRHQDKUAKQ-KBPBESRZSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001280273130 810009997 /nfs/dbraw/zinc/00/99/97/810009997.db2.gz YPOGLNMIXUQGJN-ZJUUUORDSA-N 0 1 277.328 0.231 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC=CCC2)C1 ZINC001076734107 815355870 /nfs/dbraw/zinc/35/58/70/815355870.db2.gz ZJRPHVOUWPCZMH-BFHYXJOUSA-N 0 1 262.353 0.527 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccnc(F)c2)C1 ZINC001076795485 815375101 /nfs/dbraw/zinc/37/51/01/815375101.db2.gz XLTBWLJHNPKAEA-VXGBXAGGSA-N 0 1 277.299 0.019 20 30 CCEDMN C=C(Cl)CN1C[C@@H](O)[C@H](NC(=O)c2[nH]nc(C)c2C)C1 ZINC001076814841 815382127 /nfs/dbraw/zinc/38/21/27/815382127.db2.gz WZZZIEYYNKPKHD-GHMZBOCLSA-N 0 1 298.774 0.554 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@@H]2CCOC2)C1 ZINC001076823626 815384834 /nfs/dbraw/zinc/38/48/34/815384834.db2.gz HUEMPYJGCVSMHI-YNEHKIRRSA-N 0 1 268.357 0.150 20 30 CCEDMN C[C@@H](F)CCN1C[C@@H](O)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001076907214 815410122 /nfs/dbraw/zinc/41/01/22/815410122.db2.gz FPXPFZMIMRQFDY-OASPWFOLSA-N 0 1 294.330 0.409 20 30 CCEDMN CC(C)NC(=O)CN1CC[C@H](CNC(=O)C#CC2CC2)C1 ZINC001267292876 811109450 /nfs/dbraw/zinc/10/94/50/811109450.db2.gz HJIOFARCIIXMNL-CQSZACIVSA-N 0 1 291.395 0.363 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@@H](C)CNc2ccc(C#N)nn2)n[nH]1 ZINC001104487710 811134611 /nfs/dbraw/zinc/13/46/11/811134611.db2.gz YHYBDMLQVJOCTB-JTQLQIEISA-N 0 1 299.338 0.952 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN(CCC)[C@H]1CCNC1=O ZINC001267316271 811144448 /nfs/dbraw/zinc/14/44/48/811144448.db2.gz KVRROXITQDIJJD-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CC[N@@H+](CCC)CCNC(=O)c1coc(C(N)=O)c1 ZINC001267316610 811146266 /nfs/dbraw/zinc/14/62/66/811146266.db2.gz WFJOPFPZHPIKSW-UHFFFAOYSA-N 0 1 277.324 0.454 20 30 CCEDMN Cc1cnc(C#N)c(-n2c(C)ncc(CN)c2=N)n1 ZINC001167672966 811148056 /nfs/dbraw/zinc/14/80/56/811148056.db2.gz CZYUXNLEWOAZMG-UHFFFAOYSA-N 0 1 255.285 0.089 20 30 CCEDMN CCO[C@@H](C)C(=O)NCCN(CC#CCOC)C1CC1 ZINC001267322361 811153257 /nfs/dbraw/zinc/15/32/57/811153257.db2.gz XTZKDHBRBVCHPU-ZDUSSCGKSA-N 0 1 282.384 0.642 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nn1)N(C)C(=O)Cc1ccn[nH]1 ZINC001104499707 811178658 /nfs/dbraw/zinc/17/86/58/811178658.db2.gz QWJOPAIVJUALJQ-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](CNCc2ncccn2)C1 ZINC001023894445 811278839 /nfs/dbraw/zinc/27/88/39/811278839.db2.gz CAEORUMWCQMSLP-CHWSQXEVSA-N 0 1 287.367 0.964 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(CCC)[C@H]1CCN(CCO)C1 ZINC001267460271 811326176 /nfs/dbraw/zinc/32/61/76/811326176.db2.gz UBBFDOKPGLFUFZ-KGLIPLIRSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCN1CC[C@@H](N(CCC)C(=O)Cc2nnc[nH]2)C1 ZINC001267489270 811370998 /nfs/dbraw/zinc/37/09/98/811370998.db2.gz WODXRNYDAAQUHC-GFCCVEGCSA-N 0 1 275.356 0.293 20 30 CCEDMN CC1(C)[C@H](NC(=O)c2cnn[nH]2)[C@H]2CCCN(CC#N)[C@@H]21 ZINC001087294664 811380027 /nfs/dbraw/zinc/38/00/27/811380027.db2.gz WSYRRMUIQNFMGW-JLLWLGSASA-N 0 1 288.355 0.547 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2ccns2)C1 ZINC001077079296 815444168 /nfs/dbraw/zinc/44/41/68/815444168.db2.gz DITLECQDPZGSBD-GHMZBOCLSA-N 0 1 281.381 0.884 20 30 CCEDMN C#CCN[C@H](CNC(=O)[C@H](C)n1cncn1)c1ccccc1 ZINC001267521356 811399192 /nfs/dbraw/zinc/39/91/92/811399192.db2.gz SNHTVPOQMMOGER-DZGCQCFKSA-N 0 1 297.362 0.919 20 30 CCEDMN C=CCN1CC[C@H](N(CCC)C(=O)[C@H]2COC(=O)N2)C1 ZINC001267539136 811419782 /nfs/dbraw/zinc/41/97/82/811419782.db2.gz DXGLLKFEKBPZFJ-NWDGAFQWSA-N 0 1 281.356 0.594 20 30 CCEDMN C=C(Cl)CN1CC[C@H](CCNC(=O)[C@@H]2CCNC2=O)C1 ZINC001267561165 811449973 /nfs/dbraw/zinc/44/99/73/811449973.db2.gz MHGOTZGFFLNUOE-RYUDHWBXSA-N 0 1 299.802 0.703 20 30 CCEDMN C#CCCNCc1cn([C@@H]2CCN(C(=O)CCC)C2)nn1 ZINC001098599183 811499796 /nfs/dbraw/zinc/49/97/96/811499796.db2.gz VDLUCACZQPYRSQ-CQSZACIVSA-N 0 1 289.383 0.965 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)[C@H]2CCCC23CC3)[C@@H](O)C1 ZINC001083566191 815453993 /nfs/dbraw/zinc/45/39/93/815453993.db2.gz YKHMOXPADMXTOL-MCIONIFRSA-N 0 1 276.380 0.751 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CCCC23CC3)[C@@H](O)C1 ZINC001083566191 815453999 /nfs/dbraw/zinc/45/39/99/815453999.db2.gz YKHMOXPADMXTOL-MCIONIFRSA-N 0 1 276.380 0.751 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CSC)[C@@H]2C1 ZINC001075520086 811523491 /nfs/dbraw/zinc/52/34/91/811523491.db2.gz OZSWOOIIVWOHNX-NWDGAFQWSA-N 0 1 252.383 0.905 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)[C@@H](C)CNc1ccc(C#N)cn1 ZINC001104752498 811681952 /nfs/dbraw/zinc/68/19/52/811681952.db2.gz PRVZJGYHWMCWNK-VIFPVBQESA-N 0 1 299.338 0.952 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2ncoc2C)C1 ZINC001267719545 811684384 /nfs/dbraw/zinc/68/43/84/811684384.db2.gz FIMWKJMZWKFYBZ-LBPRGKRZSA-N 0 1 279.340 0.990 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CNC(=O)CC(C)(C)C)C1 ZINC001267734501 811704000 /nfs/dbraw/zinc/70/40/00/811704000.db2.gz LHAUJKQICRHPEC-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)CCc2ncccn2)C1 ZINC001267774394 811774823 /nfs/dbraw/zinc/77/48/23/811774823.db2.gz HUFQZEKWLBWITL-CYBMUJFWSA-N 0 1 272.352 0.575 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H](N(CC)C(=O)CCCC(=O)NC)C1 ZINC001267785700 811785376 /nfs/dbraw/zinc/78/53/76/811785376.db2.gz WZSUQCTYUGHTAY-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)CCCC(=O)NC)C1 ZINC001267785700 811785381 /nfs/dbraw/zinc/78/53/81/811785381.db2.gz WZSUQCTYUGHTAY-CQSZACIVSA-N 0 1 293.411 0.849 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)cn1 ZINC001105211624 811864664 /nfs/dbraw/zinc/86/46/64/811864664.db2.gz MJAUGJKWVYHKAN-NSHDSACASA-N 0 1 299.338 0.938 20 30 CCEDMN CC(C)[C@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)cn1 ZINC001105211624 811864672 /nfs/dbraw/zinc/86/46/72/811864672.db2.gz MJAUGJKWVYHKAN-NSHDSACASA-N 0 1 299.338 0.938 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)CNC(=O)CC)C1 ZINC001267913999 811866519 /nfs/dbraw/zinc/86/65/19/811866519.db2.gz JSGRQOVKUAIEOX-LLVKDONJSA-N 0 1 253.346 0.279 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)n1cncn1 ZINC001125926136 811869214 /nfs/dbraw/zinc/86/92/14/811869214.db2.gz ZMJNWDUJDBBXLB-VIFPVBQESA-N 0 1 257.725 0.297 20 30 CCEDMN CC(C)C#CC(=O)N1CC(n2cc(CNC(C)C)nn2)C1 ZINC001105233109 811868899 /nfs/dbraw/zinc/86/88/99/811868899.db2.gz JBPYCKSOGAEFJE-UHFFFAOYSA-N 0 1 289.383 0.819 20 30 CCEDMN CCCNCc1cn(C2CN(C(=O)C#CC(C)C)C2)nn1 ZINC001105233302 811869235 /nfs/dbraw/zinc/86/92/35/811869235.db2.gz SJGOZRYUGLPSOT-UHFFFAOYSA-N 0 1 289.383 0.820 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cnnn1CC ZINC001027874542 812109524 /nfs/dbraw/zinc/10/95/24/812109524.db2.gz NYJRDTCVYPWTTA-GFCCVEGCSA-N 0 1 275.356 0.516 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnnn1CC ZINC001027874542 812109526 /nfs/dbraw/zinc/10/95/26/812109526.db2.gz NYJRDTCVYPWTTA-GFCCVEGCSA-N 0 1 275.356 0.516 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cnc3ccccn32)[C@@H](O)C1 ZINC001083586968 815505342 /nfs/dbraw/zinc/50/53/42/815505342.db2.gz VTXCWWTXPOMPIY-OCCSQVGLSA-N 0 1 298.346 0.133 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnc[nH]c1=O ZINC001027957575 812167583 /nfs/dbraw/zinc/16/75/83/812167583.db2.gz COMKIFRIWDABKK-LLVKDONJSA-N 0 1 274.324 0.400 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)[C@H]1CN(C)C[C@@H](C)O1 ZINC001027966167 812173532 /nfs/dbraw/zinc/17/35/32/812173532.db2.gz LISBURKKDLAWIR-HZSPNIEDSA-N 0 1 281.400 0.472 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cccc2ncnn21 ZINC001028001626 812207200 /nfs/dbraw/zinc/20/72/00/812207200.db2.gz IOHZYWFYJFBRRT-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C=CCn1cc(C(=O)NCCCNCc2ccon2)nn1 ZINC001167838569 812252292 /nfs/dbraw/zinc/25/22/92/812252292.db2.gz HCVVJVLKXCUEMT-UHFFFAOYSA-N 0 1 290.327 0.362 20 30 CCEDMN N#CCN1CCC[C@H]1CNC(=O)[C@H]1CCCc2n[nH]nc21 ZINC001028103592 812279727 /nfs/dbraw/zinc/27/97/27/812279727.db2.gz RSEMDPHXMFRMBS-QWRGUYRKSA-N 0 1 288.355 0.329 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1n[nH]cc1F ZINC001028120879 812293294 /nfs/dbraw/zinc/29/32/94/812293294.db2.gz YQYPZYRUWHPAES-VIFPVBQESA-N 0 1 252.293 0.929 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001028146030 812312348 /nfs/dbraw/zinc/31/23/48/812312348.db2.gz SZMUJHHQRYNWLU-MGPQQGTHSA-N 0 1 286.379 0.737 20 30 CCEDMN C[N@@H+](CC#N)CCC1CCN(C(=O)c2nnc[n-]2)CC1 ZINC001268201810 812358748 /nfs/dbraw/zinc/35/87/48/812358748.db2.gz ZGVHJNGVSFEODS-UHFFFAOYSA-N 0 1 276.344 0.502 20 30 CCEDMN C[N@H+](CC#N)CCC1CCN(C(=O)c2nnc[n-]2)CC1 ZINC001268201810 812358756 /nfs/dbraw/zinc/35/87/56/812358756.db2.gz ZGVHJNGVSFEODS-UHFFFAOYSA-N 0 1 276.344 0.502 20 30 CCEDMN COCC#CC[N@@H+](C)CCN(C)C(=O)c1ncccc1[O-] ZINC001268234801 812394861 /nfs/dbraw/zinc/39/48/61/812394861.db2.gz OGWBHRNQNIEZOF-UHFFFAOYSA-N 0 1 291.351 0.441 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1ncccc1O ZINC001268234801 812394885 /nfs/dbraw/zinc/39/48/85/812394885.db2.gz OGWBHRNQNIEZOF-UHFFFAOYSA-N 0 1 291.351 0.441 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCc1cnn(C)c1 ZINC001268260816 812428839 /nfs/dbraw/zinc/42/88/39/812428839.db2.gz ZMVJEHFYKRWXJQ-UHFFFAOYSA-N 0 1 262.357 0.376 20 30 CCEDMN N#CCNC[C@H]1CCC[C@H]1NC(=O)c1[nH]ncc1F ZINC001268285416 812447878 /nfs/dbraw/zinc/44/78/78/812447878.db2.gz HNOYBQRDYACSBS-PSASIEDQSA-N 0 1 265.292 0.560 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@H]1CNC(=O)c1cnc(OC)nc1 ZINC001028240545 812464347 /nfs/dbraw/zinc/46/43/47/812464347.db2.gz ZWZILFLKVWFECC-ZDUSSCGKSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnc(OC)nc1 ZINC001028240545 812464351 /nfs/dbraw/zinc/46/43/51/812464351.db2.gz ZWZILFLKVWFECC-ZDUSSCGKSA-N 0 1 288.351 0.703 20 30 CCEDMN CN(CCNC(=O)CCc1cnc[nH]1)c1ccnc(C#N)n1 ZINC001100380719 812547540 /nfs/dbraw/zinc/54/75/40/812547540.db2.gz ZRWWPZOPVBBHTA-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cnn(CCOC)c1 ZINC001028291479 812589313 /nfs/dbraw/zinc/58/93/13/812589313.db2.gz GDOLYNDXUMRFLM-AWEZNQCLSA-N 0 1 292.383 0.910 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cccc(C(N)=O)n1 ZINC001028342031 812631164 /nfs/dbraw/zinc/63/11/64/812631164.db2.gz DCRXJEXCOXZCPH-NSHDSACASA-N 0 1 288.351 0.561 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2occc2C)[C@@H](O)C1 ZINC001083341243 812891015 /nfs/dbraw/zinc/89/10/15/812891015.db2.gz QOXGEOMRXKXTAU-NEPJUHHUSA-N 0 1 264.325 0.939 20 30 CCEDMN C#CCCCC(=O)N1C[C@H]2C[N@H+]([C@@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001101217773 812907712 /nfs/dbraw/zinc/90/77/12/812907712.db2.gz GWIWJZCBQFHHCU-ZENOOKHLSA-N 0 1 291.395 0.444 20 30 CCEDMN C#CCCCC(=O)N1C[C@H]2CN([C@@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001101217773 812907716 /nfs/dbraw/zinc/90/77/16/812907716.db2.gz GWIWJZCBQFHHCU-ZENOOKHLSA-N 0 1 291.395 0.444 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CNCc1cnc(C)cn1 ZINC001268643849 812967353 /nfs/dbraw/zinc/96/73/53/812967353.db2.gz DVFFBMJSESPOSB-DOMZBBRYSA-N 0 1 292.383 0.706 20 30 CCEDMN Cc1oncc1CNC[C@H](C)NC(=O)CSCC#N ZINC001268678133 813004248 /nfs/dbraw/zinc/00/42/48/813004248.db2.gz AKMQOTQPNNRUCO-VIFPVBQESA-N 0 1 282.369 0.834 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CN(C)CC#CC ZINC001268730633 813044202 /nfs/dbraw/zinc/04/42/02/813044202.db2.gz VZNXSHZKRUHFNS-OCCSQVGLSA-N 0 1 252.358 0.773 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)Cc1cnn(C)c1C ZINC001268732974 813050249 /nfs/dbraw/zinc/05/02/49/813050249.db2.gz BHZYTCROXHDBNH-LBPRGKRZSA-N 0 1 276.384 0.731 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1c(C)ncn1C ZINC001268737140 813052702 /nfs/dbraw/zinc/05/27/02/813052702.db2.gz VKBDTGYQMWTIAZ-LBPRGKRZSA-N 0 1 292.383 0.428 20 30 CCEDMN CCn1ncnc1CNCCCN(C)C(=O)C#CC(C)C ZINC001268791437 813088963 /nfs/dbraw/zinc/08/89/63/813088963.db2.gz LMNXHOFMNUARHL-UHFFFAOYSA-N 0 1 291.399 0.896 20 30 CCEDMN N#CCN1CC(CNC(=O)CCCCc2cn[nH]n2)C1 ZINC001268858099 813117681 /nfs/dbraw/zinc/11/76/81/813117681.db2.gz BYWYHFXGWCDODJ-UHFFFAOYSA-N 0 1 276.344 0.089 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)c3cnc[nH]3)C2)C1=O ZINC001268866937 813124426 /nfs/dbraw/zinc/12/44/26/813124426.db2.gz BBTMKYADAKMTTG-CQSZACIVSA-N 0 1 272.308 0.108 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)CCc2cn[nH]c2)C1 ZINC001268887889 813132628 /nfs/dbraw/zinc/13/26/28/813132628.db2.gz LBURUVYLNUKFFW-UHFFFAOYSA-N 0 1 262.357 0.966 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCOCCCOC ZINC001268979015 813168308 /nfs/dbraw/zinc/16/83/08/813168308.db2.gz SOXUJQVAVFTYMZ-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCNCc1ccns1 ZINC001127046674 815603080 /nfs/dbraw/zinc/60/30/80/815603080.db2.gz UKRSSDGEULRXAS-SNVBAGLBSA-N 0 1 267.354 0.387 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1ccn[nH]1 ZINC001269131472 813230781 /nfs/dbraw/zinc/23/07/81/813230781.db2.gz CLWIUHGGOBXPNQ-VXGBXAGGSA-N 0 1 274.324 0.801 20 30 CCEDMN C=CCCC[N@H+]1CC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001269143023 813238313 /nfs/dbraw/zinc/23/83/13/813238313.db2.gz UYAUTIDWKQPZSQ-SECBINFHSA-N 0 1 293.327 0.053 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H]1CC[N@@H+]1CCOC ZINC001269223337 813277324 /nfs/dbraw/zinc/27/73/24/813277324.db2.gz MZCHVVWJTQFUQQ-WCQYABFASA-N 0 1 256.346 0.150 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@@H]1CCN1CCOC ZINC001269223337 813277328 /nfs/dbraw/zinc/27/73/28/813277328.db2.gz MZCHVVWJTQFUQQ-WCQYABFASA-N 0 1 256.346 0.150 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(CC)C(=O)CO[C@@H]2CCOC2)C1 ZINC001269325092 813327984 /nfs/dbraw/zinc/32/79/84/813327984.db2.gz UXXVROBDGFUXRQ-HUUCEWRRSA-N 0 1 294.395 0.738 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)c2ccco2)CC1 ZINC001269362084 813342755 /nfs/dbraw/zinc/34/27/55/813342755.db2.gz SWRDMPRQQANGDL-NSHDSACASA-N 0 1 291.351 0.822 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)[C@@H](C)CC)CC1 ZINC001269379289 813348645 /nfs/dbraw/zinc/34/86/45/813348645.db2.gz GSNMIWPZPJNHMJ-NSHDSACASA-N 0 1 265.357 0.020 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2C(=O)Cc2c[nH]cn2)C1=O ZINC001269568913 813424868 /nfs/dbraw/zinc/42/48/68/813424868.db2.gz GQHVPTNUCVPDFQ-OAHLLOKOSA-N 0 1 286.335 0.179 20 30 CCEDMN N#CC1(NC(=O)[C@@H]2CC23CN(C(=O)c2ccn[nH]2)C3)CCC1 ZINC001269604021 813442293 /nfs/dbraw/zinc/44/22/93/813442293.db2.gz RWNSAPAJJIPOJC-JTQLQIEISA-N 0 1 299.334 0.434 20 30 CCEDMN C=CCN1CC[C@]2(CCN(C(=O)Cc3ccn[nH]3)C2)C1=O ZINC001269664653 813465256 /nfs/dbraw/zinc/46/52/56/813465256.db2.gz MVNNXGPUVDWUCT-OAHLLOKOSA-N 0 1 288.351 0.589 20 30 CCEDMN C=CCCC(=O)N1CC[C@]2(CCN([C@@H]3CCNC3=O)C2)C1 ZINC001269718716 813480663 /nfs/dbraw/zinc/48/06/63/813480663.db2.gz BJPGYPHAUOCTEM-CJNGLKHVSA-N 0 1 291.395 0.766 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001269732334 813483535 /nfs/dbraw/zinc/48/35/35/813483535.db2.gz RHIVECMCPYKDPC-INIZCTEOSA-N 0 1 293.411 0.998 20 30 CCEDMN C#CCN1C[C@@]2(CC1=O)CCCCN2C(=O)[C@H](C)N(C)C ZINC001269797466 813510718 /nfs/dbraw/zinc/51/07/18/813510718.db2.gz YNEIIUYFFDGPFE-BBRMVZONSA-N 0 1 291.395 0.553 20 30 CCEDMN C=CCCC(=O)NC1(C)CCN([C@H](C)C(N)=O)CC1 ZINC001270213534 813679770 /nfs/dbraw/zinc/67/97/70/813679770.db2.gz WFSDQNCNQXYMML-LLVKDONJSA-N 0 1 267.373 0.797 20 30 CCEDMN CCn1cc(CNC[C@@H](C)CNC(=O)C#CC(C)C)nn1 ZINC001270402139 813750978 /nfs/dbraw/zinc/75/09/78/813750978.db2.gz QPTRBYKUASLVSI-CYBMUJFWSA-N 0 1 291.399 0.799 20 30 CCEDMN C#CCCCC(=O)N[C@]1(C)CCN([C@H](C)C(=O)NC)C1 ZINC001270576656 813821783 /nfs/dbraw/zinc/82/17/83/813821783.db2.gz MFQNMVWDJNBESV-IUODEOHRSA-N 0 1 279.384 0.505 20 30 CCEDMN C[C@]1(NC(=O)C#CC2CC2)CCN(CCn2cncn2)C1 ZINC001270584782 813824077 /nfs/dbraw/zinc/82/40/77/813824077.db2.gz VVGPKIGCECTZBU-HNNXBMFYSA-N 0 1 287.367 0.272 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001270600059 813831907 /nfs/dbraw/zinc/83/19/07/813831907.db2.gz SLSITXLLWGIWOT-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)Cc2cn(C)nc2C)C1 ZINC001270598958 813832210 /nfs/dbraw/zinc/83/22/10/813832210.db2.gz ACBOHVRZHOHIJN-INIZCTEOSA-N 0 1 288.395 0.875 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)COCCC=C)C1 ZINC001270665566 813883726 /nfs/dbraw/zinc/88/37/26/813883726.db2.gz PYICVVGVHQWMBU-CQSZACIVSA-N 0 1 250.342 0.793 20 30 CCEDMN CC#CC(=O)N1CC2(C1)CCN(Cc1cn[nH]c1)C2 ZINC001270712901 813903028 /nfs/dbraw/zinc/90/30/28/813903028.db2.gz KKBXBWLZVPUXRW-UHFFFAOYSA-N 0 1 258.325 0.467 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CC2(C[C@@H]2C(N)=O)C1 ZINC001270732276 813910491 /nfs/dbraw/zinc/91/04/91/813910491.db2.gz NMRKMWFDJKRWMN-SNVBAGLBSA-N 0 1 295.302 0.382 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)c3ncc[nH]3)[C@@H]2C1 ZINC001075769875 813938373 /nfs/dbraw/zinc/93/83/73/813938373.db2.gz WFLWSXFHFKTEIC-NWDGAFQWSA-N 0 1 258.325 0.579 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2ncoc2C)C1 ZINC001271119586 814160981 /nfs/dbraw/zinc/16/09/81/814160981.db2.gz ILYYTYPFXPUVDI-AWEZNQCLSA-N 0 1 277.324 0.173 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2OCCO[C@H]2C)[C@H]1CC ZINC001087607428 814174595 /nfs/dbraw/zinc/17/45/95/814174595.db2.gz DJJDOQMKMOUHHB-FQUUOJAGSA-N 0 1 280.368 0.393 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)[C@H]2CCCCN2C)CCN1CC#N ZINC001087625476 814177719 /nfs/dbraw/zinc/17/77/19/814177719.db2.gz JDQXATBZLLXNPW-BFHYXJOUSA-N 0 1 278.400 0.963 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)c3c[nH]cc4ncnc3-4)[C@@H]2C1 ZINC001075816808 814185514 /nfs/dbraw/zinc/18/55/14/814185514.db2.gz RPZCIMKJKPQDTM-SMDDNHRTSA-N 0 1 295.346 0.737 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cncc4nc[nH]c43)[C@@H]2C1 ZINC001075816808 814185523 /nfs/dbraw/zinc/18/55/23/814185523.db2.gz RPZCIMKJKPQDTM-SMDDNHRTSA-N 0 1 295.346 0.737 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)[C@H]1CC ZINC001087714941 814194884 /nfs/dbraw/zinc/19/48/84/814194884.db2.gz LETWASJAUVQPLR-ZOWXZIJZSA-N 0 1 277.368 0.257 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cn(CC)nn2)[C@H]1CC ZINC001087778880 814212514 /nfs/dbraw/zinc/21/25/14/814212514.db2.gz XUNCHNZGHPLUOK-GXTWGEPZSA-N 0 1 289.383 0.904 20 30 CCEDMN Cc1cc(C(=O)N2C[C@H]3CCN(CC#N)C[C@H]3C2)n[nH]1 ZINC001087898837 814262822 /nfs/dbraw/zinc/26/28/22/814262822.db2.gz BFGOFEOGRKJJKC-NEPJUHHUSA-N 0 1 273.340 0.636 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3n[nH]c(C)c3[O-])C[C@@H]2C1 ZINC001087936185 814277479 /nfs/dbraw/zinc/27/74/79/814277479.db2.gz GVUVJWLHRPUIKU-NEPJUHHUSA-N 0 1 288.351 0.451 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2CN(C(=O)c3[nH]nc(C)c3[O-])C[C@@H]2C1 ZINC001087936185 814277493 /nfs/dbraw/zinc/27/74/93/814277493.db2.gz GVUVJWLHRPUIKU-NEPJUHHUSA-N 0 1 288.351 0.451 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@@H](F)C(C)C)C1 ZINC001271176415 814317273 /nfs/dbraw/zinc/31/72/73/814317273.db2.gz SNCQDCRJBMEKHJ-AAEUAGOBSA-N 0 1 256.321 0.167 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)c3cn(C)ccc3=O)C[C@@H]2C1 ZINC001088036294 814320751 /nfs/dbraw/zinc/32/07/51/814320751.db2.gz DFPUCNUSATZONN-KGLIPLIRSA-N 0 1 299.374 0.412 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N1C[C@H]2CCN(CC(N)=O)C[C@H]2C1 ZINC001088064360 814326940 /nfs/dbraw/zinc/32/69/40/814326940.db2.gz AUJMBQVZYPSSJW-OLZOCXBDSA-N 0 1 293.411 0.854 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@H](C)CCCOC)C1 ZINC001271211538 814334706 /nfs/dbraw/zinc/33/47/06/814334706.db2.gz XOGXYOSUOOANRA-ZBFHGGJFSA-N 0 1 296.411 0.625 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@@H](C)CCCOC)C1 ZINC001271211537 814335479 /nfs/dbraw/zinc/33/54/79/814335479.db2.gz XOGXYOSUOOANRA-HOCLYGCPSA-N 0 1 296.411 0.625 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1C[C@H]2CC[C@@H](C1)N2CCO ZINC001029511587 814347336 /nfs/dbraw/zinc/34/73/36/814347336.db2.gz RHWVGFLHSAYSBU-XGUBFFRZSA-N 0 1 294.395 0.635 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCc3ccncn3)[C@@H]2C1 ZINC001075843101 814433713 /nfs/dbraw/zinc/43/37/13/814433713.db2.gz SOLMRQQQYPHSBZ-GOEBONIOSA-N 0 1 298.390 0.965 20 30 CCEDMN C=C1CC(C(=O)N2CCc3n[nH]c(C(=O)N(C)C)c3C2)C1 ZINC001271457667 814452523 /nfs/dbraw/zinc/45/25/23/814452523.db2.gz OTSDRJWJWUIVBU-UHFFFAOYSA-N 0 1 288.351 0.962 20 30 CCEDMN CNC(=O)CN1CCC[C@@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001040119289 814585813 /nfs/dbraw/zinc/58/58/13/814585813.db2.gz IUEYILDPABBRBT-SWLSCSKDSA-N 0 1 292.383 0.207 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(C(=O)c3nc(C)n[nH]3)C2)C1=O ZINC001271916238 814629564 /nfs/dbraw/zinc/62/95/64/814629564.db2.gz BTTSZFFVJRMNCP-AWEZNQCLSA-N 0 1 289.339 0.364 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)[C@H](C)NC(C)=O)C1 ZINC001271941116 814637401 /nfs/dbraw/zinc/63/74/01/814637401.db2.gz MBLVOMUOQFAVPJ-SWLSCSKDSA-N 0 1 297.399 0.030 20 30 CCEDMN CN1CC(C(=O)N2CCCCC[C@@H](NCC#N)C2)=NC1=O ZINC001088374391 814648078 /nfs/dbraw/zinc/64/80/78/814648078.db2.gz GIFYURZZCNUIBM-LLVKDONJSA-N 0 1 291.355 0.624 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H](C)n1cccn1 ZINC001271969406 814656790 /nfs/dbraw/zinc/65/67/90/814656790.db2.gz JDFZEOLBRLGYSP-CQSZACIVSA-N 0 1 292.383 0.484 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cc(CC)nnc1C ZINC001272076368 814921197 /nfs/dbraw/zinc/92/11/97/814921197.db2.gz XMBMJQINELEEAH-UHFFFAOYSA-N 0 1 274.368 0.984 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@H]2Cc3ccccc3CN2)CCO1 ZINC000093934141 815766961 /nfs/dbraw/zinc/76/69/61/815766961.db2.gz NZSIMHZYQQIEEH-ZIAGYGMSSA-N 0 1 271.320 0.452 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CO[C@H]1CCOC1 ZINC001127133723 815838683 /nfs/dbraw/zinc/83/86/83/815838683.db2.gz BUTLOFGBFQMTPF-JTQLQIEISA-N 0 1 262.737 0.250 20 30 CCEDMN Cc1nc([C@@H](C)N2CC(NC(=O)c3cc(C#N)c[nH]3)C2)n[nH]1 ZINC001030196161 815880625 /nfs/dbraw/zinc/88/06/25/815880625.db2.gz KKOUALFQYWXSHM-MRVPVSSYSA-N 0 1 299.338 0.488 20 30 CCEDMN C=C(C)CN1CC(NC(=O)[C@H]2C[N@H+]3CCC[C@@H]3CO2)C1 ZINC001030345179 815986805 /nfs/dbraw/zinc/98/68/05/815986805.db2.gz ADRFXTIFKKAEKJ-ZIAGYGMSSA-N 0 1 279.384 0.226 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001030429667 816034973 /nfs/dbraw/zinc/03/49/73/816034973.db2.gz SKAVVQSLDJTYSH-GFCCVEGCSA-N 0 1 279.384 0.669 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCCCC(=O)N2)[C@H]1C ZINC001088850729 816071638 /nfs/dbraw/zinc/07/16/38/816071638.db2.gz GQKSLRBNOIQBGO-HZSPNIEDSA-N 0 1 291.395 0.648 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOCC=C)C[C@H]1OC ZINC001212186918 816101545 /nfs/dbraw/zinc/10/15/45/816101545.db2.gz BHAKYIWWCWRLJO-HUUCEWRRSA-N 0 1 294.395 0.808 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H]2C[C@H]2c2cnn(C)c2)[C@H]1C ZINC001088872333 816143486 /nfs/dbraw/zinc/14/34/86/816143486.db2.gz MWTKCJYNGGWIHR-BEAPCOKYSA-N 0 1 286.379 0.736 20 30 CCEDMN C#CCCN1CC(NC(=O)c2ccn3cncc3c2)C1 ZINC001030618438 816152613 /nfs/dbraw/zinc/15/26/13/816152613.db2.gz HCDUSMKYIZSDNX-UHFFFAOYSA-N 0 1 268.320 0.772 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C(C)(C)c2cnn(C)c2)[C@H]1C ZINC001088948589 816190069 /nfs/dbraw/zinc/19/00/69/816190069.db2.gz PIGKKCAOKWAORD-OCCSQVGLSA-N 0 1 288.395 0.910 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCc3nc[nH]c3C2)[C@H]1C ZINC001088964903 816196693 /nfs/dbraw/zinc/19/66/93/816196693.db2.gz STLDAYCIOFHPSP-AGIUHOORSA-N 0 1 286.379 0.727 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)[C@H]1C ZINC001088964903 816196699 /nfs/dbraw/zinc/19/66/99/816196699.db2.gz STLDAYCIOFHPSP-AGIUHOORSA-N 0 1 286.379 0.727 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@](C)(O)C=C)[C@H](OC)C1 ZINC001212193848 816211947 /nfs/dbraw/zinc/21/19/47/816211947.db2.gz KTYWTHDTFFDUQU-NFAWXSAZSA-N 0 1 280.368 0.152 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c(C)nn3cccnc23)[C@H]1C ZINC001089047729 816225260 /nfs/dbraw/zinc/22/52/60/816225260.db2.gz YPHCAKGINPUVMY-OLZOCXBDSA-N 0 1 297.362 0.864 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCNC(=O)CC(C)(C)C ZINC001234005984 816461631 /nfs/dbraw/zinc/46/16/31/816461631.db2.gz TXEYENKQBRWYPS-ZDUSSCGKSA-N 0 1 295.427 0.999 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H](O)CNCc2cnc(C)o2)C1 ZINC001272520348 816545187 /nfs/dbraw/zinc/54/51/87/816545187.db2.gz HLAQQOIKSNYNPE-GFCCVEGCSA-N 0 1 293.367 0.906 20 30 CCEDMN C=C(C)CN1C(=O)COCC12CN(CC1(CC)COC1)C2 ZINC001272527959 816553159 /nfs/dbraw/zinc/55/31/59/816553159.db2.gz QNPRHQFHAHRJEG-UHFFFAOYSA-N 0 1 294.395 0.902 20 30 CCEDMN C#CCN1CCC2(CCN(CC(=O)N(C)C)CC2)C1=O ZINC001272534785 816556978 /nfs/dbraw/zinc/55/69/78/816556978.db2.gz ONBGDZYMKJBSTB-UHFFFAOYSA-N 0 1 277.368 0.022 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC2CC(OCC)C2)[C@@H](O)C1 ZINC001083718071 816632121 /nfs/dbraw/zinc/63/21/21/816632121.db2.gz AKMXEABPWZLCQZ-CVSAEHQPSA-N 0 1 296.411 0.929 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)c2ncc[nH]2)[C@H](OC)C1 ZINC001212220455 817001905 /nfs/dbraw/zinc/00/19/05/817001905.db2.gz CZIAGPOEFTVGEY-VXGBXAGGSA-N 0 1 294.355 0.041 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2snnc2C)C1 ZINC001031543318 817122859 /nfs/dbraw/zinc/12/28/59/817122859.db2.gz MVBQHEDPMBWTHA-UHFFFAOYSA-N 0 1 264.354 0.531 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(OC)no2)C1 ZINC001031624915 817196753 /nfs/dbraw/zinc/19/67/53/817196753.db2.gz IQPUEEIZJCEBSI-UHFFFAOYSA-N 0 1 263.297 0.368 20 30 CCEDMN C#CCC[NH+]1CC(CNC(=O)c2ncccc2[O-])C1 ZINC001031628067 817200236 /nfs/dbraw/zinc/20/02/36/817200236.db2.gz BURQEEBVJZYYCK-UHFFFAOYSA-N 0 1 259.309 0.472 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C#CC2CC2)[C@H](OC)C1 ZINC001212246251 817203133 /nfs/dbraw/zinc/20/31/33/817203133.db2.gz OMZWDHKPFCCJQT-ZIAGYGMSSA-N 0 1 262.353 0.791 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn(-c3cccnc3)nn2)C1 ZINC001031715656 817280753 /nfs/dbraw/zinc/28/07/53/817280753.db2.gz XUGVMRCHEZFMBM-UHFFFAOYSA-N 0 1 298.350 0.510 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c(C)nnn2CC)C1 ZINC001031726754 817291759 /nfs/dbraw/zinc/29/17/59/817291759.db2.gz KMUHMVUSNAHMTI-UHFFFAOYSA-N 0 1 263.345 0.454 20 30 CCEDMN C=CCn1cccc1C(=O)NCC1CN(CCO)C1 ZINC001031733728 817299319 /nfs/dbraw/zinc/29/93/19/817299319.db2.gz KPLKHYSJGFDWDG-UHFFFAOYSA-N 0 1 263.341 0.328 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc3nccnc3c2)C1 ZINC001031737447 817301838 /nfs/dbraw/zinc/30/18/38/817301838.db2.gz GTCVGJDBWCUNHV-UHFFFAOYSA-N 0 1 283.335 0.872 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CO[C@@H]2CCOC2)[C@H](OC)C1 ZINC001212275819 817317178 /nfs/dbraw/zinc/31/71/78/817317178.db2.gz OKZNTBDLHZATFY-MGPQQGTHSA-N 0 1 298.383 0.183 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1ccn[nH]1 ZINC001089787813 817355050 /nfs/dbraw/zinc/35/50/50/817355050.db2.gz OZCBJYLCPAILMV-MYPMTAMASA-N 0 1 299.378 0.645 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN(CCCO)C[C@H]1OC ZINC001212321083 817368258 /nfs/dbraw/zinc/36/82/58/817368258.db2.gz QSASQMAEVMNHAG-HUUCEWRRSA-N 0 1 296.411 0.953 20 30 CCEDMN Cc1c(C(=O)NCC2CN(CC#N)C2)ccc2cncn21 ZINC001031800439 817372702 /nfs/dbraw/zinc/37/27/02/817372702.db2.gz QUUWWJOUEQHCNU-UHFFFAOYSA-N 0 1 283.335 0.828 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CC(=O)N[C@H](C)C2)C1 ZINC001031801707 817377491 /nfs/dbraw/zinc/37/74/91/817377491.db2.gz FMCYAOWQAGPTOH-DGCLKSJQSA-N 0 1 279.384 0.525 20 30 CCEDMN CC#CC[N@H+]1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1cn[nH]n1 ZINC001089832329 817416488 /nfs/dbraw/zinc/41/64/88/817416488.db2.gz UFDLYHZBECXETQ-XFMPKHEZSA-N 0 1 299.378 0.610 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CC(=O)N[C@@H](CC)C2)C1 ZINC001031868473 817431218 /nfs/dbraw/zinc/43/12/18/817431218.db2.gz YDTPVSMORQAOJW-KBPBESRZSA-N 0 1 293.411 0.915 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001031907283 817454294 /nfs/dbraw/zinc/45/42/94/817454294.db2.gz CIHXVRJFZIMENC-LBPRGKRZSA-N 0 1 265.357 0.089 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCC(=O)N2C(C)C)C1 ZINC001031921232 817465361 /nfs/dbraw/zinc/46/53/61/817465361.db2.gz KOUBBTLJDOYGDV-ZDUSSCGKSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCOCC(=O)NCCNCc1conc1CC ZINC001124765105 817566261 /nfs/dbraw/zinc/56/62/61/817566261.db2.gz LFAFDMNDCOBYOE-UHFFFAOYSA-N 0 1 267.329 0.645 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2ccn(C)c2CC)[C@@H](O)C1 ZINC001083780223 817603426 /nfs/dbraw/zinc/60/34/26/817603426.db2.gz OOQMPEXAOGPVEQ-HIFRSBDPSA-N 0 1 291.395 0.939 20 30 CCEDMN CCc1nocc1CNCCNC(=O)[C@@H](C)C#N ZINC001124889847 817616956 /nfs/dbraw/zinc/61/69/56/817616956.db2.gz UNGJTTYVEZCWGL-VIFPVBQESA-N 0 1 250.302 0.602 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCC(=O)Nc1ccc(F)cc1 ZINC001124896084 817621941 /nfs/dbraw/zinc/62/19/41/817621941.db2.gz LNSFNRMWSRTPNW-JTQLQIEISA-N 0 1 292.314 0.630 20 30 CCEDMN Cc1nc(C)c(CNCCNC(=O)[C@H](C)C#N)o1 ZINC001124896682 817622848 /nfs/dbraw/zinc/62/28/48/817622848.db2.gz PETZTUHZQBYDOZ-MRVPVSSYSA-N 0 1 250.302 0.657 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCCCN2C(N)=O)C1 ZINC001032119354 817645215 /nfs/dbraw/zinc/64/52/15/817645215.db2.gz OFZBCTDESIFSHP-LBPRGKRZSA-N 0 1 280.372 0.154 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(N(C)C)nn2)C1 ZINC001032130237 817656851 /nfs/dbraw/zinc/65/68/51/817656851.db2.gz IJSMWFIZYMCXEP-UHFFFAOYSA-N 0 1 275.356 0.390 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1nnc[n-]1 ZINC001089888037 817667282 /nfs/dbraw/zinc/66/72/82/817667282.db2.gz CDMHVEGMKCLKJO-CRWXNKLISA-N 0 1 299.378 0.149 20 30 CCEDMN C#CC[N@H+]1C[C@H]2[C@H](C1)[C@@H]1CC[C@H]2CN1C(=O)Cc1nnc[n-]1 ZINC001089888037 817667286 /nfs/dbraw/zinc/66/72/86/817667286.db2.gz CDMHVEGMKCLKJO-CRWXNKLISA-N 0 1 299.378 0.149 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001032161069 817674455 /nfs/dbraw/zinc/67/44/55/817674455.db2.gz NXAULKWVFDIFRZ-ZDUSSCGKSA-N 0 1 279.384 0.621 20 30 CCEDMN Cc1ncc(C(=O)N(C)C[C@H](C)Nc2ccncc2C#N)[nH]1 ZINC001115656834 817690602 /nfs/dbraw/zinc/69/06/02/817690602.db2.gz SPNWTGGCFXGAFA-JTQLQIEISA-N 0 1 298.350 0.979 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(N(C)C)cn2)C1 ZINC001032213741 817715702 /nfs/dbraw/zinc/71/57/02/817715702.db2.gz CKIGTBLRENBBER-UHFFFAOYSA-N 0 1 274.368 0.995 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)c2ncn[nH]2)cn1 ZINC001107289474 817824821 /nfs/dbraw/zinc/82/48/21/817824821.db2.gz ZWEROHQBQKWQLG-OWOJBTEDSA-N 0 1 283.295 0.469 20 30 CCEDMN N#Cc1ccc(NCC=CCNC(=O)c2nc[nH]n2)cn1 ZINC001107289474 817824830 /nfs/dbraw/zinc/82/48/30/817824830.db2.gz ZWEROHQBQKWQLG-OWOJBTEDSA-N 0 1 283.295 0.469 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H](C)OC)CC2(CCOCC2)C1 ZINC001099566308 817869032 /nfs/dbraw/zinc/86/90/32/817869032.db2.gz OFDTWPYRSWXPQY-KGLIPLIRSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2CCC(F)CC2)C1 ZINC001077626448 817885483 /nfs/dbraw/zinc/88/54/83/817885483.db2.gz SZTIDGALJTXQPB-NWINJMCUSA-N 0 1 282.359 0.699 20 30 CCEDMN C[C@H](O)CN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C#N)[nH]1 ZINC001032361927 817998711 /nfs/dbraw/zinc/99/87/11/817998711.db2.gz HHZGXTSWNBCRGO-DLOVCJGASA-N 0 1 274.324 0.166 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2CCCC2)[C@H](O)C1 ZINC001090011601 818267278 /nfs/dbraw/zinc/26/72/78/818267278.db2.gz WPMMNHMAFHXZHV-ZIAGYGMSSA-N 0 1 264.369 0.751 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cnn(C)c2C)C1 ZINC001032905608 818349319 /nfs/dbraw/zinc/34/93/19/818349319.db2.gz LGZFDRWHPCTZGY-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN N#Cc1cc([N-]S(=O)(=O)c2ccc(C[NH3+])cc2)ccn1 ZINC001161748760 818352169 /nfs/dbraw/zinc/35/21/69/818352169.db2.gz URVMDYGJXFGHMB-UHFFFAOYSA-N 0 1 288.332 0.635 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cn2cc(C)cn2)C1 ZINC001032938380 818372508 /nfs/dbraw/zinc/37/25/08/818372508.db2.gz QLLUNVLJRQPLKH-CYBMUJFWSA-N 0 1 260.341 0.357 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)Cc2cnn(C)c2)C1 ZINC001032945520 818381253 /nfs/dbraw/zinc/38/12/53/818381253.db2.gz FGBQJIWTSWKWNT-CYBMUJFWSA-N 0 1 262.357 0.681 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCOC2)C1 ZINC001032967757 818396686 /nfs/dbraw/zinc/39/66/86/818396686.db2.gz OASPTWHXGZFAQR-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033121961 818548443 /nfs/dbraw/zinc/54/84/43/818548443.db2.gz DIIMHPNSUIYLNH-NEPJUHHUSA-N 0 1 265.357 0.183 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033121961 818548445 /nfs/dbraw/zinc/54/84/45/818548445.db2.gz DIIMHPNSUIYLNH-NEPJUHHUSA-N 0 1 265.357 0.183 20 30 CCEDMN Cn1ccc(CNC2(CNC(=O)C#CC3CC3)CCC2)n1 ZINC001273077710 818574277 /nfs/dbraw/zinc/57/42/77/818574277.db2.gz RWJWMVUSUFUJNF-UHFFFAOYSA-N 0 1 286.379 0.962 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCC(=O)N2)C1 ZINC001033175530 818600635 /nfs/dbraw/zinc/60/06/35/818600635.db2.gz DUKODYJOTYOSLO-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cn3cccnc3n2)C1 ZINC001033227171 818664186 /nfs/dbraw/zinc/66/41/86/818664186.db2.gz AANZZPGVQOKMCH-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(F)nc2)[C@H](O)C1 ZINC001090136583 818738335 /nfs/dbraw/zinc/73/83/35/818738335.db2.gz GNPAOSVJODJEQA-NWDGAFQWSA-N 0 1 279.315 0.572 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCCc3nc[nH]c32)C1 ZINC001033416002 818763412 /nfs/dbraw/zinc/76/34/12/818763412.db2.gz LJKOMFZJTBOIBV-STQMWFEESA-N 0 1 286.379 0.996 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2OCC[C@H]2C)C1 ZINC001033437732 818777104 /nfs/dbraw/zinc/77/71/04/818777104.db2.gz JROIDJJRTWQYSX-MCIONIFRSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001033438837 818778021 /nfs/dbraw/zinc/77/80/21/818778021.db2.gz UCYMOSFBTCHQIJ-BFHYXJOUSA-N 0 1 286.379 0.690 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)COCC)[C@@H](n2ccnn2)C1 ZINC001128668601 818794794 /nfs/dbraw/zinc/79/47/94/818794794.db2.gz PQZLUHOLXLJPOX-OLZOCXBDSA-N 0 1 293.371 0.232 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001033565180 818827850 /nfs/dbraw/zinc/82/78/50/818827850.db2.gz CVVTZJNGHKNNJO-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCn1ccccc1=O ZINC001128715922 818872583 /nfs/dbraw/zinc/87/25/83/818872583.db2.gz OAOSWEUXPYMZCI-UHFFFAOYSA-N 0 1 283.759 0.697 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ncc[nH]2)C1 ZINC001033833713 818961252 /nfs/dbraw/zinc/96/12/52/818961252.db2.gz XOWNGPBWJJVEQS-GFCCVEGCSA-N 0 1 260.341 0.969 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2cn(C)c(=O)cn2)C1 ZINC001033906153 818987476 /nfs/dbraw/zinc/98/74/76/818987476.db2.gz XFRDAMVYCPSORU-GFCCVEGCSA-N 0 1 290.367 0.503 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2cn(C)c(=O)cn2)C1 ZINC001033906153 818987481 /nfs/dbraw/zinc/98/74/81/818987481.db2.gz XFRDAMVYCPSORU-GFCCVEGCSA-N 0 1 290.367 0.503 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccncc2F)[C@H](O)C1 ZINC001090159176 819000886 /nfs/dbraw/zinc/00/08/86/819000886.db2.gz RGPHISZOMOVJDE-CHWSQXEVSA-N 0 1 279.315 0.572 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)c2cc[nH]c2C)[C@@H](O)C1 ZINC001090174222 819021150 /nfs/dbraw/zinc/02/11/50/819021150.db2.gz JCJQNWYPGQGPDC-KBPBESRZSA-N 0 1 275.352 0.511 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001034031256 819035768 /nfs/dbraw/zinc/03/57/68/819035768.db2.gz GSURIMSEQZCMJI-GJZGRUSLSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001034137079 819092269 /nfs/dbraw/zinc/09/22/69/819092269.db2.gz ABUHFOHLHKECNX-CQSZACIVSA-N 0 1 274.368 0.946 20 30 CCEDMN CCOC(=O)C1(NC(=O)CCC#N)CCN(C)CC1 ZINC001143203464 819128854 /nfs/dbraw/zinc/12/88/54/819128854.db2.gz VWIMHCWIVJIVQO-UHFFFAOYSA-N 0 1 267.329 0.434 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001034287963 819155621 /nfs/dbraw/zinc/15/56/21/819155621.db2.gz JQYSBPDKVCXGBG-STQMWFEESA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001034287962 819155871 /nfs/dbraw/zinc/15/58/71/819155871.db2.gz JQYSBPDKVCXGBG-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnc3nccn3c2)C1 ZINC001034311482 819163949 /nfs/dbraw/zinc/16/39/49/819163949.db2.gz KMKGFVNCMSVVKI-AWEZNQCLSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnc(C)n2C)C1 ZINC001034372044 819181046 /nfs/dbraw/zinc/18/10/46/819181046.db2.gz ZJNYOMJAJJSWGJ-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@H](NC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001034398904 819193786 /nfs/dbraw/zinc/19/37/86/819193786.db2.gz QEHPDBTULYNPEM-BBRMVZONSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@@]2(C)CCNC2=O)C1 ZINC001034398904 819193790 /nfs/dbraw/zinc/19/37/90/819193790.db2.gz QEHPDBTULYNPEM-BBRMVZONSA-N 0 1 291.395 0.507 20 30 CCEDMN C[C@H]1CCC[N@H+]1CC(=O)N[C@@H]1CCCCN(CC#N)C1 ZINC001034397214 819193798 /nfs/dbraw/zinc/19/37/98/819193798.db2.gz JOAIZLHOXIELQM-UONOGXRCSA-N 0 1 278.400 0.965 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)c2cnc3cccnn32)C1 ZINC001034429021 819197731 /nfs/dbraw/zinc/19/77/31/819197731.db2.gz TVEJTSNUCKWSJX-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnc3cccnn32)C1 ZINC001034429021 819197733 /nfs/dbraw/zinc/19/77/33/819197733.db2.gz TVEJTSNUCKWSJX-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001034459987 819212109 /nfs/dbraw/zinc/21/21/09/819212109.db2.gz ZLGZVRROGBPXSO-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2ncc[nH]2)C1 ZINC001034459987 819212115 /nfs/dbraw/zinc/21/21/15/819212115.db2.gz ZLGZVRROGBPXSO-GFCCVEGCSA-N 0 1 260.341 0.556 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2c(C)ccn2C)[C@@H](O)C1 ZINC001090219572 819224401 /nfs/dbraw/zinc/22/44/01/819224401.db2.gz SWHYLAURWCVLMQ-KGLIPLIRSA-N 0 1 289.379 0.522 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001034526774 819230758 /nfs/dbraw/zinc/23/07/58/819230758.db2.gz CJOIXJPSTPXWAJ-LBPRGKRZSA-N 0 1 288.351 0.331 20 30 CCEDMN CCCN1C[C@@H](NC(=O)C#CC(C)C)[C@@H](n2ccnn2)C1 ZINC001128971353 819249903 /nfs/dbraw/zinc/24/99/03/819249903.db2.gz IGBPMAUSEYNVHP-KGLIPLIRSA-N 0 1 289.383 0.689 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)Cc2ccnn2C)[C@H](O)C1 ZINC001090229159 819278950 /nfs/dbraw/zinc/27/89/50/819278950.db2.gz VATWTYYKTNMJLR-UONOGXRCSA-N 0 1 292.383 0.090 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCOCC(C)C)[C@@H](O)C1 ZINC001099678436 819285962 /nfs/dbraw/zinc/28/59/62/819285962.db2.gz KPLLFKFHAHIMHE-KGLIPLIRSA-N 0 1 284.400 0.787 20 30 CCEDMN Cn1ccc(CNC[C@H]2CCCN2C(=O)C#CC2CC2)n1 ZINC001034935243 819364326 /nfs/dbraw/zinc/36/43/26/819364326.db2.gz KHJQKECCAYGYSH-OAHLLOKOSA-N 0 1 286.379 0.914 20 30 CCEDMN C=CCN1CCO[C@@H](CNC(=O)[C@@H]2CCCN2C)C1 ZINC001035306117 819457207 /nfs/dbraw/zinc/45/72/07/819457207.db2.gz HJJWAPIPDYIMPM-STQMWFEESA-N 0 1 267.373 0.084 20 30 CCEDMN N#CCN1CC2(C1)CCN(C(=O)CCc1nc[nH]n1)CC2 ZINC001035681276 819603365 /nfs/dbraw/zinc/60/33/65/819603365.db2.gz JLDLJYIUXSBSPL-UHFFFAOYSA-N 0 1 288.355 0.185 20 30 CCEDMN N#CCN1CC2(C1)CCN(C(=O)CCc1cnc[nH]1)CC2 ZINC001035718258 819612877 /nfs/dbraw/zinc/61/28/77/819612877.db2.gz HQWVTOZKNLHTHS-UHFFFAOYSA-N 0 1 287.367 0.790 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@H]1CCNC1=O)CC2 ZINC001035765360 819621089 /nfs/dbraw/zinc/62/10/89/819621089.db2.gz XIWZOHJDTHZDSW-LBPRGKRZSA-N 0 1 277.368 0.233 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1c(C)cnn1C)CC2 ZINC001035771807 819623259 /nfs/dbraw/zinc/62/32/59/819623259.db2.gz AOUHQNSZFGDZPE-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1[nH]cnc1C)CC2 ZINC001035843421 819635672 /nfs/dbraw/zinc/63/56/72/819635672.db2.gz RHLUXBBLBJOCOQ-UHFFFAOYSA-N 0 1 286.379 0.818 20 30 CCEDMN C=CCCCC(=O)N[C@@H]1CN(CC)C[C@@H]1n1ccnn1 ZINC001129210722 819653578 /nfs/dbraw/zinc/65/35/78/819653578.db2.gz VJWIADVXXKXBDJ-OLZOCXBDSA-N 0 1 277.372 0.996 20 30 CCEDMN C[C@H]1CN(C(=O)C#CC2CC2)CC[C@@H]1NCc1cnon1 ZINC001036037004 819681313 /nfs/dbraw/zinc/68/13/13/819681313.db2.gz LFCIRDAACUTQJH-FZMZJTMJSA-N 0 1 288.351 0.810 20 30 CCEDMN C#CC1(O)CCN([C@@H](C)C(=O)NC2CCCC2)CC1 ZINC000708089659 819757320 /nfs/dbraw/zinc/75/73/20/819757320.db2.gz SKZWMHKRBDCOBY-LBPRGKRZSA-N 0 1 264.369 0.894 20 30 CCEDMN C=C(Br)CNC(=O)C1=NC(=O)N(C)C1 ZINC000708375559 819774380 /nfs/dbraw/zinc/77/43/80/819774380.db2.gz VQHVKYXQYQPVNF-UHFFFAOYSA-N 0 1 260.091 0.764 20 30 CCEDMN C=C(Br)CNC(=O)[C@@]1(C)CNCCO1 ZINC000710710700 819829111 /nfs/dbraw/zinc/82/91/11/819829111.db2.gz LCVUELLTJHSBEB-SECBINFHSA-N 0 1 263.135 0.390 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@H]1CCN1C(C)(C)C ZINC000710862380 819850645 /nfs/dbraw/zinc/85/06/45/819850645.db2.gz OEVKENAPFJARBQ-LLVKDONJSA-N 0 1 258.387 0.802 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H]3[C@H](CCN3CC#N)C2)n[nH]1 ZINC001036659408 819875886 /nfs/dbraw/zinc/87/58/86/819875886.db2.gz YTFGLPFQJKLKCL-DGCLKSJQSA-N 0 1 273.340 0.778 20 30 CCEDMN N#Cc1cnccc1N1C[C@@H]2C[C@H]1CN2C(=O)c1ccn[nH]1 ZINC001062450217 819954073 /nfs/dbraw/zinc/95/40/73/819954073.db2.gz AOLZABNCJFQXNN-RYUDHWBXSA-N 0 1 294.318 0.780 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(CC)ccn2)[C@@H](O)C1 ZINC001090275664 820053246 /nfs/dbraw/zinc/05/32/46/820053246.db2.gz MIEVNGYIGFVXNL-HIFRSBDPSA-N 0 1 289.379 0.995 20 30 CCEDMN C#CCCCC(=O)NCC1(NC(=O)c2ncn[nH]2)CCC1 ZINC001062861535 820115408 /nfs/dbraw/zinc/11/54/08/820115408.db2.gz OXNURSNJPVLGOE-UHFFFAOYSA-N 0 1 289.339 0.377 20 30 CCEDMN C#CCCCC(=O)NCC1(NC(=O)c2nc[nH]n2)CCC1 ZINC001062861535 820115414 /nfs/dbraw/zinc/11/54/14/820115414.db2.gz OXNURSNJPVLGOE-UHFFFAOYSA-N 0 1 289.339 0.377 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)[nH]nc2Cl)[C@@H](O)C1 ZINC001090313138 820248070 /nfs/dbraw/zinc/24/80/70/820248070.db2.gz PPRMLUHDWNHMOM-ZJUUUORDSA-N 0 1 298.774 0.723 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]c(C)cc2C)[C@@H](O)C1 ZINC001090363439 820301644 /nfs/dbraw/zinc/30/16/44/820301644.db2.gz OIXLRAWFGAIHEF-KBPBESRZSA-N 0 1 289.379 0.820 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001079518530 820421030 /nfs/dbraw/zinc/42/10/30/820421030.db2.gz FQPOPSUPTJCLDO-DGCLKSJQSA-N 0 1 260.341 0.412 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCCOC)[C@H](O)C1 ZINC001099703975 820454075 /nfs/dbraw/zinc/45/40/75/820454075.db2.gz DRXSFZORQCNBFT-NWDGAFQWSA-N 0 1 256.346 0.150 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2nn(C)cc2C)C1 ZINC001079680492 820450358 /nfs/dbraw/zinc/45/03/58/820450358.db2.gz VRIFFDGQBVNEOP-DGCLKSJQSA-N 0 1 274.368 0.802 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1cncc2nc[nH]c21 ZINC001079737245 820462240 /nfs/dbraw/zinc/46/22/40/820462240.db2.gz CXJXPJIDHQSCHR-BXKDBHETSA-N 0 1 284.323 0.532 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cn2cc(C3CC3)nn2)C1 ZINC001079846353 820475802 /nfs/dbraw/zinc/47/58/02/820475802.db2.gz KIKWSUXKLWTNRO-DGCLKSJQSA-N 0 1 287.367 0.225 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnc3n2CCOC3)C1 ZINC001079894528 820483922 /nfs/dbraw/zinc/48/39/22/820483922.db2.gz CBSOFJWCAZNMGJ-VXGBXAGGSA-N 0 1 290.367 0.649 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCc3cncn3C2)C1 ZINC001079991529 820497056 /nfs/dbraw/zinc/49/70/56/820497056.db2.gz LDNVNPXNOPMHJQ-VNHYZAJKSA-N 0 1 286.379 0.515 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC001080301659 820542135 /nfs/dbraw/zinc/54/21/35/820542135.db2.gz AHFJWINJYYTHPB-MWLCHTKSSA-N 0 1 274.324 0.174 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)nn(C)c2OC)C1 ZINC001080542774 820590225 /nfs/dbraw/zinc/59/02/25/820590225.db2.gz RLVLSVXHVXSSFP-ZYHUDNBSSA-N 0 1 292.383 0.973 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2nc3ncccn3n2)C1 ZINC001080644950 820600995 /nfs/dbraw/zinc/60/09/95/820600995.db2.gz MDFVKMWZXNEGDR-GHMZBOCLSA-N 0 1 286.339 0.360 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)n[nH]c2C)C1 ZINC001080632732 820603479 /nfs/dbraw/zinc/60/34/79/820603479.db2.gz XSPVNYGIRHDZPU-BXKDBHETSA-N 0 1 260.341 0.710 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cccnn2)C1 ZINC001080658468 820610265 /nfs/dbraw/zinc/61/02/65/820610265.db2.gz UEERQQKPPDXBNE-DGCLKSJQSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cncn2C)C1 ZINC001080783436 820635152 /nfs/dbraw/zinc/63/51/52/820635152.db2.gz SJYOLLXKGRJSGO-DGCLKSJQSA-N 0 1 260.341 0.032 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2noc3c2COCC3)C1 ZINC001080974022 820660314 /nfs/dbraw/zinc/66/03/14/820660314.db2.gz FHWZDIHEMHLSHT-ZYHUDNBSSA-N 0 1 291.351 0.983 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(F)cn2)[C@H](OC)C1 ZINC001081568239 820789861 /nfs/dbraw/zinc/78/98/61/820789861.db2.gz YIQGSRUXJDQQAY-ZIAGYGMSSA-N 0 1 291.326 0.673 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)[C@H](OC)C1 ZINC001081563353 820790664 /nfs/dbraw/zinc/79/06/64/820790664.db2.gz KKWHDSAJBKQGDQ-ZIAGYGMSSA-N 0 1 286.335 0.339 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2coc(OC)n2)[C@H](OC)C1 ZINC001081991094 820875058 /nfs/dbraw/zinc/87/50/58/820875058.db2.gz IQIBLEMJPKOHRV-ZYHUDNBSSA-N 0 1 295.339 0.688 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@@H]2CCCN2C)C[C@H]1NCC#N ZINC001082447581 820977497 /nfs/dbraw/zinc/97/74/97/820977497.db2.gz FEXQJWGRAIUQCD-UTUOFQBUSA-N 0 1 250.346 0.041 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCCNC2=O)C[C@H]1C ZINC001082575881 820999721 /nfs/dbraw/zinc/99/97/21/820999721.db2.gz FRZICVKFKKURQW-ADEWGFFLSA-N 0 1 299.802 0.702 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC001118982215 821012913 /nfs/dbraw/zinc/01/29/13/821012913.db2.gz HJJDANCGMOEVBK-JTQLQIEISA-N 0 1 292.339 0.353 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)/C=C/C2CC2)[C@@H](O)C1 ZINC001099765938 821044767 /nfs/dbraw/zinc/04/47/67/821044767.db2.gz DNYCWLQCUNLPEV-AAAGKOELSA-N 0 1 250.342 0.690 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@@H](C)OC)[C@H]2C1 ZINC001083016880 821111052 /nfs/dbraw/zinc/11/10/52/821111052.db2.gz YUEZYLOHSWCJFJ-FRRDWIJNSA-N 0 1 268.357 0.509 20 30 CCEDMN C=CCN1C[C@H]2OCCN(C(=O)c3cnc(C)[nH]3)[C@H]2C1 ZINC001083025316 821112550 /nfs/dbraw/zinc/11/25/50/821112550.db2.gz DBDILXZHXKGPDH-QWHCGFSZSA-N 0 1 276.340 0.429 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCO[C@@H]2CN(CCCF)C[C@@H]21 ZINC001083026511 821115076 /nfs/dbraw/zinc/11/50/76/821115076.db2.gz CPRUXLIALCQHPY-SDDRHHMPSA-N 0 1 269.320 0.417 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)c3cncc(C)c3)[C@H]2C1 ZINC001083034938 821121204 /nfs/dbraw/zinc/12/12/04/821121204.db2.gz YCLFDJAGQXRGRJ-JKSUJKDBSA-N 0 1 299.374 0.939 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CC(C)(C)O)[C@H]2C1 ZINC001083065759 821133808 /nfs/dbraw/zinc/13/38/08/821133808.db2.gz RWUITQZPCHOXTD-QWHCGFSZSA-N 0 1 282.384 0.635 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc3c([nH]2)CCC3)[C@@H](O)C1 ZINC001083941908 821159718 /nfs/dbraw/zinc/15/97/18/821159718.db2.gz WHMJHYFDDYHYDM-CABCVRRESA-N 0 1 289.379 0.854 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)Cc3cnn(C)c3)[C@@H]2C1 ZINC001084227785 821247668 /nfs/dbraw/zinc/24/76/68/821247668.db2.gz NETRDGGLXAIJIJ-HUUCEWRRSA-N 0 1 286.379 0.519 20 30 CCEDMN N#Cc1cc(C(=O)N2C[C@H]3CCN(CCO)C[C@H]32)c[nH]1 ZINC001084294198 821254411 /nfs/dbraw/zinc/25/44/11/821254411.db2.gz DNQWXXOQVFJUJB-ZWNOBZJWSA-N 0 1 274.324 0.025 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H]3CCNC(=O)C3)[C@@H]2C1 ZINC001084276643 821259770 /nfs/dbraw/zinc/25/97/70/821259770.db2.gz KRIKKWHDUCNNTI-BFHYXJOUSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]2CN(C(=O)c3c(C)ncn3C)[C@@H]2C1 ZINC001084428563 821285605 /nfs/dbraw/zinc/28/56/05/821285605.db2.gz QTUWTXWBSKZESR-ZIAGYGMSSA-N 0 1 286.379 0.898 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@@H]2CN(C(=O)C(C)(C)C(N)=O)[C@@H]2C1 ZINC001084426187 821285756 /nfs/dbraw/zinc/28/57/56/821285756.db2.gz SHSNKFMEIWJKPK-VXGBXAGGSA-N 0 1 279.384 0.607 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)C(C)(C)C(N)=O)[C@@H]2C1 ZINC001084426187 821285763 /nfs/dbraw/zinc/28/57/63/821285763.db2.gz SHSNKFMEIWJKPK-VXGBXAGGSA-N 0 1 279.384 0.607 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@]3(C)CCNC(=O)C3)[C@@H]2C1 ZINC001084448748 821289791 /nfs/dbraw/zinc/28/97/91/821289791.db2.gz DLIRNCAOOHRTIE-XJKCOSOUSA-N 0 1 291.395 0.621 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CCN(CCO)C[C@H]32)nc1 ZINC001084783890 821390475 /nfs/dbraw/zinc/39/04/75/821390475.db2.gz PSGFIBUMPRVQFT-UKRRQHHQSA-N 0 1 285.347 0.202 20 30 CCEDMN COCCC[N@H+]1CC[C@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001099829916 821393072 /nfs/dbraw/zinc/39/30/72/821393072.db2.gz KDXSYOFRQURDRV-KBPBESRZSA-N 0 1 296.411 0.624 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CC[C@H](OC)C2)CC1 ZINC001119679032 821417317 /nfs/dbraw/zinc/41/73/17/821417317.db2.gz XSNMMRCOFGDAAI-KGLIPLIRSA-N 0 1 279.384 0.951 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)NCc1cc(C#N)ccc1F ZINC000822304760 821478880 /nfs/dbraw/zinc/47/88/80/821478880.db2.gz ZKLATXADFQFUHH-DOMZBBRYSA-N 0 1 291.326 0.769 20 30 CCEDMN C#CCN1CC[C@@]2(CC[N@H+](Cc3cnc(N)nc3)C2)C1=O ZINC001273304810 821590426 /nfs/dbraw/zinc/59/04/26/821590426.db2.gz RVKKKVIXJCAUSG-OAHLLOKOSA-N 0 1 285.351 0.116 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)Nc1ccc(C#N)nn1 ZINC001098306674 821665159 /nfs/dbraw/zinc/66/51/59/821665159.db2.gz KSCBYUHJIJGZHF-VIFPVBQESA-N 0 1 285.311 0.231 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1COCCO1 ZINC001085494020 821742346 /nfs/dbraw/zinc/74/23/46/821742346.db2.gz GAHBAQMBIRNOHD-STQMWFEESA-N 0 1 268.357 0.511 20 30 CCEDMN C=C(Cl)CNCCN(CC)C(=O)c1cnn(C)c1N ZINC001273340866 821742775 /nfs/dbraw/zinc/74/27/75/821742775.db2.gz IXTCFPUEJRHOIF-UHFFFAOYSA-N 0 1 285.779 0.807 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C(C)(C)CC)[C@@H](O)C1 ZINC001099984007 821777399 /nfs/dbraw/zinc/77/73/99/821777399.db2.gz URLPRZMZXCIZAL-STQMWFEESA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H]1CCCNC1=O ZINC001085652972 821873898 /nfs/dbraw/zinc/87/38/98/821873898.db2.gz JWHHWPARYHMLHH-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1ccc(=O)n(C)c1 ZINC001085694073 821907431 /nfs/dbraw/zinc/90/74/31/821907431.db2.gz WQYLFGKDTISHFF-AWEZNQCLSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CN(C(C)C)CCO1 ZINC001085779764 821947509 /nfs/dbraw/zinc/94/75/09/821947509.db2.gz CFSRYAIMVZDSTC-CABCVRRESA-N 0 1 293.411 0.262 20 30 CCEDMN CCN(CCNCCS(C)(=O)=O)C(=O)C#CC(C)C ZINC001273363992 821964190 /nfs/dbraw/zinc/96/41/90/821964190.db2.gz UTNNLZXSYPZPGI-UHFFFAOYSA-N 0 1 288.413 0.129 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(C(N)=O)c[nH]1 ZINC001085895644 822002153 /nfs/dbraw/zinc/00/21/53/822002153.db2.gz IGYRTFGAJYLDJF-GFCCVEGCSA-N 0 1 288.351 0.283 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001085914780 822015856 /nfs/dbraw/zinc/01/58/56/822015856.db2.gz JLEAIJYYCZXGDO-VXGBXAGGSA-N 0 1 287.367 0.571 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCC(=O)N1C ZINC001085952719 822033097 /nfs/dbraw/zinc/03/30/97/822033097.db2.gz JQURJYWVWARBFB-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCC(=O)N1C ZINC001085952719 822033102 /nfs/dbraw/zinc/03/31/02/822033102.db2.gz JQURJYWVWARBFB-KGLIPLIRSA-N 0 1 291.395 0.553 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001086180244 822145464 /nfs/dbraw/zinc/14/54/64/822145464.db2.gz GHTLZINNBDTWMI-AXFHLTTASA-N 0 1 288.355 0.233 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CCCN(CC(N)=O)CC1 ZINC001273417465 822188652 /nfs/dbraw/zinc/18/86/52/822188652.db2.gz HVFHPFHTNNYLOM-GFCCVEGCSA-N 0 1 267.373 0.799 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C)(C)CCOC)[C@@H](O)C1 ZINC001100062518 822204274 /nfs/dbraw/zinc/20/42/74/822204274.db2.gz VGFLVMBTONFCGX-KGLIPLIRSA-N 0 1 296.411 0.624 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COc2ccccc2)[C@H](O)C1 ZINC001100088012 822264408 /nfs/dbraw/zinc/26/44/08/822264408.db2.gz UTTRSQAXPYUZKY-HUUCEWRRSA-N 0 1 290.363 0.803 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COc2ccccc2)[C@H](O)C1 ZINC001100088013 822264786 /nfs/dbraw/zinc/26/47/86/822264786.db2.gz UTTRSQAXPYUZKY-LSDHHAIUSA-N 0 1 290.363 0.803 20 30 CCEDMN C=C(C)CCN1CCO[C@](C)(CNC(=O)c2cnon2)C1 ZINC001108290654 822321020 /nfs/dbraw/zinc/32/10/20/822321020.db2.gz BYMKWDHQKZRWDL-CQSZACIVSA-N 0 1 294.355 0.857 20 30 CCEDMN C[C@H](CNC(=O)c1cnn[nH]1)N(C)c1ccc(C#N)nc1 ZINC001113969963 837386823 /nfs/dbraw/zinc/38/68/23/837386823.db2.gz FGLKXDXZHRHQEE-SECBINFHSA-N 0 1 285.311 0.326 20 30 CCEDMN CCN(CC)C(=O)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)C#N ZINC001114066447 837420934 /nfs/dbraw/zinc/42/09/34/837420934.db2.gz CLHUZOLFSRHNEN-NMKXLXIOSA-N 0 1 292.383 0.061 20 30 CCEDMN Cc1nccnc1CN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)C#N ZINC001114067982 837421828 /nfs/dbraw/zinc/42/18/28/837421828.db2.gz ZJOHFHGJJPDXOT-PQFRYHKHSA-N 0 1 285.351 0.491 20 30 CCEDMN C#CCOCCC(=O)NCCCN[C@H](C)c1nncn1C ZINC001157846144 837494606 /nfs/dbraw/zinc/49/46/06/837494606.db2.gz MERSQXFEXURYIV-GFCCVEGCSA-N 0 1 293.371 0.012 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc2n1CCOC2 ZINC001129906686 837579783 /nfs/dbraw/zinc/57/97/83/837579783.db2.gz UZGKGSFKGHOFEG-UHFFFAOYSA-N 0 1 284.747 0.485 20 30 CCEDMN N#Cc1cc(C(F)(F)n2nnnc2CN)cnc1Cl ZINC001158075043 837913950 /nfs/dbraw/zinc/91/39/50/837913950.db2.gz QGCLKXIFOAPAGS-UHFFFAOYSA-N 0 1 285.645 0.649 20 30 CCEDMN N#C[C@H](Cc1ccc(O)cc1)C(=O)NCc1nnc[nH]1 ZINC001183612593 844044652 /nfs/dbraw/zinc/04/46/52/844044652.db2.gz HBLHKHNCDSIMOP-JTQLQIEISA-N 0 1 271.280 0.509 20 30 CCEDMN C=CCNC(=O)CN(C)CCCN(C)C(=O)[C@H]1C[C@@H]1C ZINC001273482146 844059683 /nfs/dbraw/zinc/05/96/83/844059683.db2.gz QCIIYNOWZGNBCO-STQMWFEESA-N 0 1 281.400 0.725 20 30 CCEDMN C=CCC[N@H+]1CCO[C@@](C)(CNC(=O)Cc2nnc[nH]2)C1 ZINC001108334864 835971493 /nfs/dbraw/zinc/97/14/93/835971493.db2.gz UYSRRTXXSRJQLA-AWEZNQCLSA-N 0 1 293.371 0.130 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)Cc2nc[nH]n2)C1 ZINC001108334864 835971499 /nfs/dbraw/zinc/97/14/99/835971499.db2.gz UYSRRTXXSRJQLA-AWEZNQCLSA-N 0 1 293.371 0.130 20 30 CCEDMN C=CCC[N@H+]1CCO[C@](C)(CNC(=O)Cc2nnc[nH]2)C1 ZINC001108334866 835971725 /nfs/dbraw/zinc/97/17/25/835971725.db2.gz UYSRRTXXSRJQLA-CQSZACIVSA-N 0 1 293.371 0.130 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)Cc2nc[nH]n2)C1 ZINC001108334866 835971737 /nfs/dbraw/zinc/97/17/37/835971737.db2.gz UYSRRTXXSRJQLA-CQSZACIVSA-N 0 1 293.371 0.130 20 30 CCEDMN C[C@@H](CNc1ncccc1C#N)NC(=O)CN1CCCC1 ZINC001108385070 835997092 /nfs/dbraw/zinc/99/70/92/835997092.db2.gz RNNFRFQCRJUQHS-LBPRGKRZSA-N 0 1 287.367 0.966 20 30 CCEDMN N#CCN[C@H]1C[C@H](CNC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001086528566 835998941 /nfs/dbraw/zinc/99/89/41/835998941.db2.gz QDDSCYGUCDITAH-SRVKXCTJSA-N 0 1 287.367 0.523 20 30 CCEDMN N#Cc1cncc(Br)c1-n1nnnc1CN ZINC001168925163 836060105 /nfs/dbraw/zinc/06/01/05/836060105.db2.gz XVRHMQQFQGHBEQ-UHFFFAOYSA-N 0 1 280.089 0.150 20 30 CCEDMN CCOC(=O)[C@@H]1CC[C@@H](NCC2(C#N)CC2)CO1 ZINC001169345696 836213428 /nfs/dbraw/zinc/21/34/28/836213428.db2.gz YPHQZTCQFIDLGL-MNOVXSKESA-N 0 1 252.314 0.990 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CC(=O)N(C2CN(CC3CC3)C2)C1 ZINC001108520183 836403354 /nfs/dbraw/zinc/40/33/54/836403354.db2.gz PEEXJVWMKLJQEG-CYBMUJFWSA-N 0 1 291.395 0.764 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCCCNCc1ncnn1C ZINC001169849066 836459199 /nfs/dbraw/zinc/45/91/99/836459199.db2.gz UDCLHOUDEPBBQG-RYUDHWBXSA-N 0 1 292.387 0.739 20 30 CCEDMN COC(=O)n1ncc(C#N)c1Nc1ncc2nc[nH]c2n1 ZINC001169962794 836491441 /nfs/dbraw/zinc/49/14/41/836491441.db2.gz ZBZOKJGAVSPSAK-UHFFFAOYSA-N 0 1 284.239 0.731 20 30 CCEDMN C[C@@H](CNc1ncccc1C#N)NC(=O)Cc1cnc[nH]1 ZINC001108718554 836515082 /nfs/dbraw/zinc/51/50/82/836515082.db2.gz IFAJWNVQYIKUTC-JTQLQIEISA-N 0 1 284.323 0.836 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)C1CC1)C2 ZINC001108991174 836593269 /nfs/dbraw/zinc/59/32/69/836593269.db2.gz ICBDTWQQZNEWIO-RDBSUJKOSA-N 0 1 289.379 0.257 20 30 CCEDMN C[C@@H](CN(C)c1ncccc1C#N)NC(=O)c1ncn[nH]1 ZINC001109063521 836607290 /nfs/dbraw/zinc/60/72/90/836607290.db2.gz YKCQHOOMARXDJO-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN C[C@@H](CN(C)c1ncccc1C#N)NC(=O)c1nc[nH]n1 ZINC001109063521 836607293 /nfs/dbraw/zinc/60/72/93/836607293.db2.gz YKCQHOOMARXDJO-VIFPVBQESA-N 0 1 285.311 0.326 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOCCO ZINC001109054989 836607330 /nfs/dbraw/zinc/60/73/30/836607330.db2.gz JESGXHWFQSRJAN-RDBSUJKOSA-N 0 1 282.384 0.683 20 30 CCEDMN Cc1ccc(C#N)c(N(C)C[C@H](C)NC(=O)c2ncn[nH]2)n1 ZINC001109063396 836608314 /nfs/dbraw/zinc/60/83/14/836608314.db2.gz UTNJUWNAAHJCDU-JTQLQIEISA-N 0 1 299.338 0.635 20 30 CCEDMN Cc1ccc(C#N)c(N(C)C[C@H](C)NC(=O)c2nc[nH]n2)n1 ZINC001109063396 836608320 /nfs/dbraw/zinc/60/83/20/836608320.db2.gz UTNJUWNAAHJCDU-JTQLQIEISA-N 0 1 299.338 0.635 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCOC ZINC001109266889 836650600 /nfs/dbraw/zinc/65/06/00/836650600.db2.gz IKLHHUIXKQQKJL-MQYQWHSLSA-N 0 1 280.368 0.393 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCn1ccccc1=O)C2 ZINC001109371809 836664839 /nfs/dbraw/zinc/66/48/39/836664839.db2.gz WACNXNKOLAKQHO-ILXRZTDVSA-N 0 1 299.374 0.593 20 30 CCEDMN CN(CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N)C1CCC1 ZINC001109405770 836671765 /nfs/dbraw/zinc/67/17/65/836671765.db2.gz AATFAQGPAHNZCQ-RDBSUJKOSA-N 0 1 276.384 0.716 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cscn2)[C@H](O)C1 ZINC001100141042 836789133 /nfs/dbraw/zinc/78/91/33/836789133.db2.gz JRKSPLVVUSJPQS-QWHCGFSZSA-N 0 1 295.408 0.813 20 30 CCEDMN COC(=O)c1ccc(NC[C@H]2COCCN2)cc1CC#N ZINC001170048119 836793871 /nfs/dbraw/zinc/79/38/71/836793871.db2.gz VQMDBBZKZVXPNZ-ZDUSSCGKSA-N 0 1 289.335 0.940 20 30 CCEDMN N#CCSCC(=O)N1CCN(C[C@H]2CCCOC2)CC1 ZINC001112629147 836831366 /nfs/dbraw/zinc/83/13/66/836831366.db2.gz RRQVPMFOHXZRFI-CYBMUJFWSA-N 0 1 297.424 0.814 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CN(C)C(C)=O)CC1 ZINC001112630915 836832057 /nfs/dbraw/zinc/83/20/57/836832057.db2.gz BREHMYQILDVJCU-UHFFFAOYSA-N 0 1 267.373 0.575 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(NC(C)=O)CCCC1 ZINC001114963144 836923845 /nfs/dbraw/zinc/92/38/45/836923845.db2.gz LTBNXOPSKNYBII-WDNDVIMCSA-N 0 1 289.379 0.115 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@H](CC)OC)CC1 ZINC001112814965 836924970 /nfs/dbraw/zinc/92/49/70/836924970.db2.gz ZOBHCSVFYOMDRJ-ZFWWWQNUSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@@]2(C)CNC(=O)C2)CC1 ZINC001113136979 837036804 /nfs/dbraw/zinc/03/68/04/837036804.db2.gz OVCQTVHTQVAUPZ-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN C[C@@H](NC(=O)Cc1nnc[nH]1)[C@@H](C)Nc1ccncc1C#N ZINC001113150824 837037263 /nfs/dbraw/zinc/03/72/63/837037263.db2.gz RCMIPRJTSBFCIH-NXEZZACHSA-N 0 1 299.338 0.041 20 30 CCEDMN C[C@@H](NC(=O)Cc1cnc[nH]1)[C@H](C)Nc1ccc(C#N)nn1 ZINC001113313512 837084212 /nfs/dbraw/zinc/08/42/12/837084212.db2.gz IYJBHVVBKIOFSG-VHSXEESVSA-N 0 1 299.338 0.619 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2CC[C@@H](C(N)=O)C2)CC1 ZINC001113349500 837092972 /nfs/dbraw/zinc/09/29/72/837092972.db2.gz ZHXPTBWASFMLKR-KGLIPLIRSA-N 0 1 293.411 0.998 20 30 CCEDMN C=CCCCN1CCN(C(=O)Cn2ccccc2=O)CC1 ZINC001113619204 837180040 /nfs/dbraw/zinc/18/00/40/837180040.db2.gz NRYYQUCFYSEOKK-UHFFFAOYSA-N 0 1 289.379 0.959 20 30 CCEDMN C#CCN1C[C@@H]2C(NC(=O)/C=C\c3ccco3)[C@@H]2C1 ZINC001113796382 837245139 /nfs/dbraw/zinc/24/51/39/837245139.db2.gz SIORCNWFMWLORB-XGQSORFRSA-N 0 1 256.305 0.972 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)[C@H](C)CC ZINC001273488491 844267535 /nfs/dbraw/zinc/26/75/35/844267535.db2.gz KMYADYYHFPAXBO-CYBMUJFWSA-N 0 1 281.400 0.562 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc(C(N)=O)cn1C ZINC001130892364 837951859 /nfs/dbraw/zinc/95/18/59/837951859.db2.gz ZAFGMVUPTVOBAY-UHFFFAOYSA-N 0 1 284.747 0.196 20 30 CCEDMN CC(C)(C)c1nc2nc[nH]c2c(N[C@H](C#N)C(N)=O)n1 ZINC001170532545 837975452 /nfs/dbraw/zinc/97/54/52/837975452.db2.gz GUEBPOKQJYQXQK-ZCFIWIBFSA-N 0 1 273.300 0.440 20 30 CCEDMN CCN1C[C@@H](F)C[C@@H]1c1nc(CNC(=O)[C@H](C)C#N)n[nH]1 ZINC001131151670 838008986 /nfs/dbraw/zinc/00/89/86/838008986.db2.gz LLLPBZPKKNVJLH-KXUCPTDWSA-N 0 1 294.334 0.685 20 30 CCEDMN CCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(=O)[C@H](C)C#N)[nH]1 ZINC001131151670 838008997 /nfs/dbraw/zinc/00/89/97/838008997.db2.gz LLLPBZPKKNVJLH-KXUCPTDWSA-N 0 1 294.334 0.685 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1cncnc1 ZINC001131348726 838060258 /nfs/dbraw/zinc/06/02/58/838060258.db2.gz KPSSXIKOKRISPN-UHFFFAOYSA-N 0 1 268.748 0.868 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CC(=O)NCC)CC[C@@H]1C ZINC001131984861 838290243 /nfs/dbraw/zinc/29/02/43/838290243.db2.gz QDDFYIXKBGWXRE-QWHCGFSZSA-N 0 1 279.384 0.505 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CC[C@@H](C)N(CCOCCO)C1 ZINC001132022117 838293220 /nfs/dbraw/zinc/29/32/20/838293220.db2.gz VDSBGSKNVMACQM-CABCVRRESA-N 0 1 296.411 0.624 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCNC(=O)NC)CC[C@@H]1C ZINC001132014253 838298731 /nfs/dbraw/zinc/29/87/31/838298731.db2.gz TXNMYEDIPQZDQM-STQMWFEESA-N 0 1 294.399 0.298 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2ccn(C)n2)CC[C@@H]1C ZINC001132076692 838313070 /nfs/dbraw/zinc/31/30/70/838313070.db2.gz CIMAHHVAHQGIQZ-DZGCQCFKSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](NC(=O)CCCn2ccnn2)CC[C@H]1C ZINC001132097487 838316926 /nfs/dbraw/zinc/31/69/26/838316926.db2.gz KFNMLIHAPSDOHN-KGLIPLIRSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCn2ccnn2)CC[C@H]1C ZINC001132097487 838316934 /nfs/dbraw/zinc/31/69/34/838316934.db2.gz KFNMLIHAPSDOHN-KGLIPLIRSA-N 0 1 289.383 0.661 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCCS(C)(=O)=O)cc1 ZINC001132215868 838338832 /nfs/dbraw/zinc/33/88/32/838338832.db2.gz PKIUCZRZRGVOQE-UHFFFAOYSA-N 0 1 294.376 0.032 20 30 CCEDMN C[C@H](CC(N)=O)C(=O)NCCNCC#Cc1ccccc1 ZINC001132349338 838369905 /nfs/dbraw/zinc/36/99/05/838369905.db2.gz CNDMGGKDEUOSAJ-CYBMUJFWSA-N 0 1 287.363 0.255 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2cn[nH]c2)CC[C@@H]1C ZINC001132347943 838370019 /nfs/dbraw/zinc/37/00/19/838370019.db2.gz DUWRVVBVARRLJU-JSGCOSHPSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCCCCCC(=O)NCCNCc1n[nH]c(C)n1 ZINC001132403846 838387194 /nfs/dbraw/zinc/38/71/94/838387194.db2.gz HZIAESBOUYPBBH-UHFFFAOYSA-N 0 1 277.372 0.903 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCN(C)C(C)=O)CC[C@@H]1C ZINC001132459529 838405760 /nfs/dbraw/zinc/40/57/60/838405760.db2.gz ASTDRDNKIQWIGT-DZGCQCFKSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCNC(N)=O)CC[C@H]1C ZINC001132479054 838413511 /nfs/dbraw/zinc/41/35/11/838413511.db2.gz UKTUWXVSJBYLIF-NEPJUHHUSA-N 0 1 280.372 0.037 20 30 CCEDMN C[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C[C@H]1CNCC#N ZINC001132721188 838477865 /nfs/dbraw/zinc/47/78/65/838477865.db2.gz GRCREFKBDGTSFO-VXGBXAGGSA-N 0 1 275.356 0.550 20 30 CCEDMN C#Cc1cncc(C(=O)NCCN[C@@H](C)c2n[nH]c(C)n2)c1 ZINC001133592910 838676988 /nfs/dbraw/zinc/67/69/88/838676988.db2.gz FTHYPKJKEUBHBY-JTQLQIEISA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)[C@H](O)C1 ZINC001090509688 838715324 /nfs/dbraw/zinc/71/53/24/838715324.db2.gz HACMTOKXASMEKA-VYDRJRHOSA-N 0 1 276.380 0.607 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1c(C)c[nH]c1CC(N)=O ZINC001134135140 838875557 /nfs/dbraw/zinc/87/55/57/838875557.db2.gz CDTLRQXVSNDRBC-UHFFFAOYSA-N 0 1 298.774 0.423 20 30 CCEDMN Cc1ccnn1CC(=O)NCCNCc1ccccc1C#N ZINC001134513177 838992946 /nfs/dbraw/zinc/99/29/46/838992946.db2.gz FHZAMXAJGUFMAM-UHFFFAOYSA-N 0 1 297.362 0.969 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCO[C@H]2[C@H](OCC3CC3)CC[C@@H]21 ZINC001159437232 839187687 /nfs/dbraw/zinc/18/76/87/839187687.db2.gz YJTPSIXNXXXAGG-NHIYQJMISA-N 0 1 292.379 0.522 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2conc2C)[C@@H](O)C1 ZINC001090539664 839627448 /nfs/dbraw/zinc/62/74/48/839627448.db2.gz ZOLBALMCISOOEI-STQMWFEESA-N 0 1 279.340 0.724 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ocnc2CC)[C@@H](O)C1 ZINC001090560293 839642424 /nfs/dbraw/zinc/64/24/24/839642424.db2.gz SFOHTUDQZPKMPB-OLZOCXBDSA-N 0 1 293.367 0.978 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C3CC3)n[nH]2)[C@@H](O)C1 ZINC001090583459 839655436 /nfs/dbraw/zinc/65/54/36/839655436.db2.gz AXRBAYOVRTUYBC-RISCZKNCSA-N 0 1 290.367 0.638 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nc(C)c[nH]2)[C@H](O)C1 ZINC001090631515 839688992 /nfs/dbraw/zinc/68/89/92/839688992.db2.gz STMPGAMEGXTTFG-WDEREUQCSA-N 0 1 264.329 0.069 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccncn2)[C@H](O)C1 ZINC001090662802 839714484 /nfs/dbraw/zinc/71/44/84/839714484.db2.gz IIPRYXMWOBULOR-DGCLKSJQSA-N 0 1 276.340 0.218 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nccs2)[C@H](O)C1 ZINC001090670340 839717639 /nfs/dbraw/zinc/71/76/39/839717639.db2.gz DNBBIHNBJCGQKM-WDEREUQCSA-N 0 1 281.381 0.884 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccn2CC)[C@H](O)C1 ZINC001090812644 839830246 /nfs/dbraw/zinc/83/02/46/839830246.db2.gz HPKPXRNWGIIZIG-GXTWGEPZSA-N 0 1 277.368 0.859 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2nonc2C)[C@H](O)C1 ZINC001090844788 839847125 /nfs/dbraw/zinc/84/71/25/839847125.db2.gz UASPFQRINJRMMN-GHMZBOCLSA-N 0 1 280.328 0.119 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)NCC1CC(NCC#N)C1 ZINC001091377391 840195286 /nfs/dbraw/zinc/19/52/86/840195286.db2.gz GWVIFCBLFQDKEP-RUXDESIVSA-N 0 1 278.400 0.869 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](CC)N1CCCC1=O ZINC001151540763 844518081 /nfs/dbraw/zinc/51/80/81/844518081.db2.gz VIASWNJGFILGSA-NSHDSACASA-N 0 1 287.791 0.846 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC001160869289 840244922 /nfs/dbraw/zinc/24/49/22/840244922.db2.gz PZVVFBHBVLBSIY-ZIAGYGMSSA-N 0 1 289.379 0.270 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1C[C@H]2CN(CC#N)C[C@@]2(C)C1 ZINC001091531193 840257254 /nfs/dbraw/zinc/25/72/54/840257254.db2.gz MEEXQKVEFDOYBL-NFAWXSAZSA-N 0 1 276.384 0.384 20 30 CCEDMN CC#CC[N@H+]1CCC2(CN(C(=O)COCC3CC3)C2)C1 ZINC001147045497 840466402 /nfs/dbraw/zinc/46/64/02/840466402.db2.gz BEQWVTJILASAQM-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CC[N@H+]([C@@H]1CCN(C)C1=O)C2 ZINC001147188271 840515150 /nfs/dbraw/zinc/51/51/50/840515150.db2.gz WMFOINUHCXQFIC-CYBMUJFWSA-N 0 1 291.395 0.718 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@@H]1CCN(C)C1=O)C2 ZINC001147188271 840515160 /nfs/dbraw/zinc/51/51/60/840515160.db2.gz WMFOINUHCXQFIC-CYBMUJFWSA-N 0 1 291.395 0.718 20 30 CCEDMN CNC(=O)[C@@H](C)N1CCC2(CN(C(=O)C#CC(C)C)C2)C1 ZINC001147514881 840606602 /nfs/dbraw/zinc/60/66/02/840606602.db2.gz ZGQGSPYOTQSCMG-CYBMUJFWSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001147652499 840651000 /nfs/dbraw/zinc/65/10/00/840651000.db2.gz MLRDVUNCYATZEK-GFCCVEGCSA-N 0 1 293.411 0.997 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)CC3(O)CCC3)C[C@]2(C)C1 ZINC001091655696 840683229 /nfs/dbraw/zinc/68/32/29/840683229.db2.gz NKXOPYBORDCLME-HIFRSBDPSA-N 0 1 276.380 0.705 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C(C)(C)COC)C2)C1 ZINC001147938871 840716153 /nfs/dbraw/zinc/71/61/53/840716153.db2.gz AUGAEAZYTUZZKN-UHFFFAOYSA-N 0 1 264.369 0.827 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cn(CC)cn1 ZINC001148044648 840741381 /nfs/dbraw/zinc/74/13/81/840741381.db2.gz SBNMITCNFHAVOG-UHFFFAOYSA-N 0 1 256.737 0.975 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](CC(C)C)NC(C)=O ZINC001148472592 840810145 /nfs/dbraw/zinc/81/01/45/840810145.db2.gz NMPOFCWXMJLOQQ-LBPRGKRZSA-N 0 1 289.807 0.996 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@H]2CN([C@@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001091916136 840971732 /nfs/dbraw/zinc/97/17/32/840971732.db2.gz BXECDHCPFGRRPU-OZVIIMIRSA-N 0 1 293.411 0.853 20 30 CCEDMN CC#CC[N@@H+]1C[C@@H]2CN(C(=O)Cc3nnc[n-]3)C[C@]2(C)C1 ZINC001092185677 840999155 /nfs/dbraw/zinc/99/91/55/840999155.db2.gz MREVLWJZTAKUDC-DOMZBBRYSA-N 0 1 287.367 0.151 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CN(C(=O)Cc3nnc[n-]3)C[C@]2(C)C1 ZINC001092185677 840999162 /nfs/dbraw/zinc/99/91/62/840999162.db2.gz MREVLWJZTAKUDC-DOMZBBRYSA-N 0 1 287.367 0.151 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](CNC(=O)c2[n-]nnc2C)[C@H](C)C1 ZINC001092873331 841074732 /nfs/dbraw/zinc/07/47/32/841074732.db2.gz MTLBZCJGPBOUTP-MWLCHTKSSA-N 0 1 261.329 0.044 20 30 CCEDMN C#CC[N@H+]1C[C@@H](CNC(=O)c2[n-]nnc2C)[C@H](C)C1 ZINC001092873331 841074734 /nfs/dbraw/zinc/07/47/34/841074734.db2.gz MTLBZCJGPBOUTP-MWLCHTKSSA-N 0 1 261.329 0.044 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](C)OCC)[C@@H]2C1 ZINC001186960337 844627544 /nfs/dbraw/zinc/62/75/44/844627544.db2.gz YNTLYAWXRBBXJW-RWMBFGLXSA-N 0 1 250.342 0.577 20 30 CCEDMN CC#CC[N@H+]1CC[C@H]2CN(C(=O)Cc3nnc[nH]3)CC[C@@H]21 ZINC001036866074 841424879 /nfs/dbraw/zinc/42/48/79/841424879.db2.gz YLLUEWAIMZNXJI-STQMWFEESA-N 0 1 287.367 0.293 20 30 CCEDMN N#Cc1cccnc1NCCNC(=O)Cc1cnc[nH]1 ZINC001094150682 841550293 /nfs/dbraw/zinc/55/02/93/841550293.db2.gz VHIYQUTUYJKSSX-UHFFFAOYSA-N 0 1 270.296 0.447 20 30 CCEDMN N#C[C@@H]1CN([C@H]2CCN3C(=O)CC[C@H]3C2)CCC1=O ZINC001172191619 841860042 /nfs/dbraw/zinc/86/00/42/841860042.db2.gz YJGTUXCPMMIPSP-WOPDTQHZSA-N 0 1 261.325 0.554 20 30 CCEDMN N#CCN[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCc1c[nH]nn1)C2 ZINC001095005116 841995268 /nfs/dbraw/zinc/99/52/68/841995268.db2.gz OYHMBQWXLSCHCT-DRZSPHRISA-N 0 1 288.355 0.230 20 30 CCEDMN N#CCN[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)CCc1cnn[nH]1)C2 ZINC001095005116 841995275 /nfs/dbraw/zinc/99/52/75/841995275.db2.gz OYHMBQWXLSCHCT-DRZSPHRISA-N 0 1 288.355 0.230 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2ccccn2)[C@@H](O)C1 ZINC001099941899 842041182 /nfs/dbraw/zinc/04/11/82/842041182.db2.gz ITZWHSWYOJOVNZ-CABCVRRESA-N 0 1 289.379 0.752 20 30 CCEDMN C=CCOC1CCC(N2CC(N3CCNC(=O)C3)C2)CC1 ZINC001173327076 842044422 /nfs/dbraw/zinc/04/44/22/842044422.db2.gz DJLJWSRYXHXXLW-UHFFFAOYSA-N 0 1 293.411 0.616 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCCCNCc1cnon1 ZINC001174673945 842199131 /nfs/dbraw/zinc/19/91/31/842199131.db2.gz HDLIJQVSLYRCDG-UHFFFAOYSA-N 0 1 298.346 0.890 20 30 CCEDMN C#Cc1cc(NC(=O)[C@@H]2CCc3[nH]cnc3C2)ccn1 ZINC001177171236 842507946 /nfs/dbraw/zinc/50/79/46/842507946.db2.gz HXMINDYXIYVAPX-SNVBAGLBSA-N 0 1 266.304 0.952 20 30 CCEDMN N#Cc1ccnnc1NC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001177172138 842508286 /nfs/dbraw/zinc/50/82/86/842508286.db2.gz BPROUGCIONWGEZ-MRVPVSSYSA-N 0 1 268.280 0.815 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001177176746 842516142 /nfs/dbraw/zinc/51/61/42/842516142.db2.gz PUBJPCVJMCFCHT-ZJUUUORDSA-N 0 1 260.297 0.266 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCNCc1nccc(C)n1 ZINC001177268935 842538745 /nfs/dbraw/zinc/53/87/45/842538745.db2.gz AYHFBJVJYMTSLZ-ZDUSSCGKSA-N 0 1 292.383 0.972 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1cnn(CC)n1 ZINC001177264708 842538869 /nfs/dbraw/zinc/53/88/69/842538869.db2.gz VPIXABKPPPMVJN-UHFFFAOYSA-N 0 1 295.387 0.487 20 30 CCEDMN C=CCCOCC(=O)NCCCNCc1ncnn1C ZINC001177264410 842539563 /nfs/dbraw/zinc/53/95/63/842539563.db2.gz HSRYZDADTNXARM-UHFFFAOYSA-N 0 1 281.360 0.004 20 30 CCEDMN O=C(C#Cc1cccnc1)N1CCc2nc[nH]c2C1 ZINC001177773690 842659299 /nfs/dbraw/zinc/65/92/99/842659299.db2.gz GNHVOQGUPKODJW-UHFFFAOYSA-N 0 1 252.277 0.741 20 30 CCEDMN CN1CCN(C(=O)C(C#N)Cc2cccs2)CC1=O ZINC001177903826 842706192 /nfs/dbraw/zinc/70/61/92/842706192.db2.gz GUWBPFVOQZPCLY-JTQLQIEISA-N 0 1 277.349 0.731 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1CCO[C@@H](C(N)=O)C1 ZINC001177910293 842706218 /nfs/dbraw/zinc/70/62/18/842706218.db2.gz YNHRORHBOQZJJF-GXSJLCMTSA-N 0 1 293.348 0.143 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)NC1CC(CO)(CO)C1 ZINC001177914715 842708180 /nfs/dbraw/zinc/70/81/80/842708180.db2.gz CIAHHXJQYASTBJ-SNVBAGLBSA-N 0 1 294.376 0.680 20 30 CCEDMN CC#CC(=O)Nc1ccc(N2CCN(C)CC2)cn1 ZINC001179748277 843045471 /nfs/dbraw/zinc/04/54/71/843045471.db2.gz HRLHCGVHXOSNJX-UHFFFAOYSA-N 0 1 258.325 0.795 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCOC)C2 ZINC001110232736 843057901 /nfs/dbraw/zinc/05/79/01/843057901.db2.gz UGZRYAQPQOSASB-UPJWGTAASA-N 0 1 250.342 0.768 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)C1=NN(C)C(=O)CC1 ZINC001180444843 843171446 /nfs/dbraw/zinc/17/14/46/843171446.db2.gz DWVPXBHBXBAHPJ-NSHDSACASA-N 0 1 278.356 0.361 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCC(=O)NCC)C1 ZINC001181579386 843532793 /nfs/dbraw/zinc/53/27/93/843532793.db2.gz LTQVXELSHVKNLS-LLVKDONJSA-N 0 1 287.791 0.846 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2conc2C)C1 ZINC001181617739 843556631 /nfs/dbraw/zinc/55/66/31/843556631.db2.gz GQQYSBZRGGBODN-CYBMUJFWSA-N 0 1 279.340 0.876 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N1CCNC[C@H]1C#N ZINC001181998847 843669129 /nfs/dbraw/zinc/66/91/29/843669129.db2.gz ZIWIWKHRDFOSLC-VXGBXAGGSA-N 0 1 250.346 0.185 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(=O)C1CC1)C2 ZINC001110315569 843844330 /nfs/dbraw/zinc/84/43/30/843844330.db2.gz ZVEJOTSQELGAEI-UPJWGTAASA-N 0 1 277.368 0.420 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)[C@@H]1CCCN1CC1CC1 ZINC001182559392 843859183 /nfs/dbraw/zinc/85/91/83/843859183.db2.gz JYMDTVAMQDGGMC-NEPJUHHUSA-N 0 1 279.340 0.432 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCOCC)[C@@H]2C1 ZINC001187254627 844689815 /nfs/dbraw/zinc/68/98/15/844689815.db2.gz RABJSURUZJPVBB-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCCC(N)=O)C1 ZINC001188383300 844877733 /nfs/dbraw/zinc/87/77/33/844877733.db2.gz NZMLVBOXFYHRFY-SNVBAGLBSA-N 0 1 273.764 0.585 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)CC)C1 ZINC001188563831 844906996 /nfs/dbraw/zinc/90/69/96/844906996.db2.gz CKLUZOOSNHVOAR-NEPJUHHUSA-N 0 1 267.373 0.620 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C(C)(C)F)C1 ZINC001188619576 844934979 /nfs/dbraw/zinc/93/49/79/844934979.db2.gz WBQNLVNGNSYHME-NWDGAFQWSA-N 0 1 297.374 0.405 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ccccn2)C1 ZINC001188625733 844937798 /nfs/dbraw/zinc/93/77/98/844937798.db2.gz GZDWOPABFAEIDA-CQSZACIVSA-N 0 1 287.363 0.878 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)COCC)C1 ZINC001188763638 844971486 /nfs/dbraw/zinc/97/14/86/844971486.db2.gz DBPCBMKLEVUPDM-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CN(C)C(C)=O)C1 ZINC001188973917 845011941 /nfs/dbraw/zinc/01/19/41/845011941.db2.gz KBAXMYDHCLHOGL-ZDUSSCGKSA-N 0 1 265.357 0.021 20 30 CCEDMN CCOC(=O)C(C#N)NC(=O)c1cc(OC)ccc1C#N ZINC001189095749 845049612 /nfs/dbraw/zinc/04/96/12/845049612.db2.gz FUIYNCZFPMOSOT-GFCCVEGCSA-N 0 1 287.275 0.752 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2cnn(C)c2)C1 ZINC001189250308 845086914 /nfs/dbraw/zinc/08/69/14/845086914.db2.gz GRLLBMPGLYTZGA-CQSZACIVSA-N 0 1 274.368 0.519 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](CC)OC)C1 ZINC001189259841 845100245 /nfs/dbraw/zinc/10/02/45/845100245.db2.gz BRYPCNPADZPASM-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cscn2)C1 ZINC001189309782 845105007 /nfs/dbraw/zinc/10/50/07/845105007.db2.gz ONAMUFSEZOGWJF-LBPRGKRZSA-N 0 1 293.392 0.939 20 30 CCEDMN N#Cc1ccccc1OCCCNC(=O)c1cnn[nH]1 ZINC001189356698 845128647 /nfs/dbraw/zinc/12/86/47/845128647.db2.gz XSVVJJCPAIMECD-UHFFFAOYSA-N 0 1 271.280 0.875 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2cc(C)n[nH]2)C1 ZINC001189611179 845178772 /nfs/dbraw/zinc/17/87/72/845178772.db2.gz JYBSKQMMJLUILG-CQSZACIVSA-N 0 1 274.368 0.817 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CO[C@@H](C)CC)C1 ZINC001189716267 845197327 /nfs/dbraw/zinc/19/73/27/845197327.db2.gz IAFDTBWEWBJFMJ-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN CCOC(=O)CNC(=O)Nc1cc(C#N)ccc1O ZINC001189904716 845261371 /nfs/dbraw/zinc/26/13/71/845261371.db2.gz KQNJPJZTUNGJQT-UHFFFAOYSA-N 0 1 263.253 0.948 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnnn2CC)C1 ZINC001189996154 845307793 /nfs/dbraw/zinc/30/77/93/845307793.db2.gz REOGWXFWCXCVDM-LBPRGKRZSA-N 0 1 275.356 0.468 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2ccn(C)n2)C1 ZINC001190067610 845309341 /nfs/dbraw/zinc/30/93/41/845309341.db2.gz QMVQOYWNORBRBF-AWEZNQCLSA-N 0 1 274.368 0.519 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2ccn(C)n2)C1 ZINC001190067612 845309845 /nfs/dbraw/zinc/30/98/45/845309845.db2.gz QMVQOYWNORBRBF-CQSZACIVSA-N 0 1 274.368 0.519 20 30 CCEDMN CNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C#CC2CC2)C1 ZINC001190037982 845314033 /nfs/dbraw/zinc/31/40/33/845314033.db2.gz VXIUHDLOPPZYNS-WCQYABFASA-N 0 1 277.368 0.067 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](N(C)[C@@H]2CCCNC2=O)C1 ZINC001190047865 845316640 /nfs/dbraw/zinc/31/66/40/845316640.db2.gz XQKMLRLBLWANDQ-ZIAGYGMSSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001190127962 845345190 /nfs/dbraw/zinc/34/51/90/845345190.db2.gz HLRVMMDOKYTEQE-HNNXBMFYSA-N 0 1 292.379 0.209 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1CC[C@H](N(C)CC#N)C1 ZINC001190443968 845400207 /nfs/dbraw/zinc/40/02/07/845400207.db2.gz MDROHROYERZZQT-STQMWFEESA-N 0 1 284.379 0.723 20 30 CCEDMN C=CC[N@@H+]1C[C@@H]2CCN(C(=O)CCOCCOC)[C@@H]2C1 ZINC001190430460 845402910 /nfs/dbraw/zinc/40/29/10/845402910.db2.gz JSRSYTRQOIZYSN-UONOGXRCSA-N 0 1 282.384 0.758 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CN(C)C(=O)C(C)C)C1 ZINC001190452159 845405311 /nfs/dbraw/zinc/40/53/11/845405311.db2.gz CJNNXNYHBKTSHI-ZDUSSCGKSA-N 0 1 279.384 0.267 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2(CCOC)CC2)C1 ZINC001190576532 845437156 /nfs/dbraw/zinc/43/71/56/845437156.db2.gz SMLVHRVGILHTED-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCOCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)CC2CC2)C1 ZINC001190626492 845450921 /nfs/dbraw/zinc/45/09/21/845450921.db2.gz GRMIITKRTPMPEL-CHWSQXEVSA-N 0 1 268.357 0.150 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CC2CC2)C1 ZINC001190626492 845450928 /nfs/dbraw/zinc/45/09/28/845450928.db2.gz GRMIITKRTPMPEL-CHWSQXEVSA-N 0 1 268.357 0.150 20 30 CCEDMN N#Cc1ccccc1CNS(=O)(=O)c1ncc[nH]1 ZINC001190745920 845487398 /nfs/dbraw/zinc/48/73/98/845487398.db2.gz RUYOZBFZOTXDFE-UHFFFAOYSA-N 0 1 262.294 0.760 20 30 CCEDMN C#Cc1cccc(CNS(=O)(=O)c2ncc[nH]2)c1 ZINC001190747748 845488032 /nfs/dbraw/zinc/48/80/32/845488032.db2.gz ILOHATOMLZYZKG-UHFFFAOYSA-N 0 1 261.306 0.870 20 30 CCEDMN N#Cc1ccc(CNS(=O)(=O)c2ncc[nH]2)s1 ZINC001190749106 845489853 /nfs/dbraw/zinc/48/98/53/845489853.db2.gz RCUQTFDKXNZHEK-UHFFFAOYSA-N 0 1 268.323 0.821 20 30 CCEDMN N#C[C@H]1CN(C(=O)c2n[nH]cc2-c2ccccn2)CCO1 ZINC001191487426 845660513 /nfs/dbraw/zinc/66/05/13/845660513.db2.gz FIYMFYRIVLSSCA-JTQLQIEISA-N 0 1 283.291 0.836 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@H]2CCCCO2)C[C@H]1O ZINC001191724775 845716883 /nfs/dbraw/zinc/71/68/83/845716883.db2.gz PVNRNNXRVZDJFD-MGPQQGTHSA-N 0 1 282.384 0.683 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001191860234 845743175 /nfs/dbraw/zinc/74/31/75/845743175.db2.gz YMACAGXIFOVWQP-MQYQWHSLSA-N 0 1 262.353 0.435 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CCC2CC2)C1 ZINC001191959970 845766232 /nfs/dbraw/zinc/76/62/32/845766232.db2.gz JMGLSGVIGNOEHS-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](COC)OC)C1 ZINC001192277450 845812710 /nfs/dbraw/zinc/81/27/10/845812710.db2.gz QUIZATJCMJSTLM-QWHCGFSZSA-N 0 1 268.357 0.204 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1C[N@@H+](CCOC(C)C)C[C@H]1O ZINC001192524265 845856129 /nfs/dbraw/zinc/85/61/29/845856129.db2.gz GUCWUWRTNGFRJC-ZIAGYGMSSA-N 0 1 282.384 0.376 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOC(C)C)C[C@H]1O ZINC001192524265 845856140 /nfs/dbraw/zinc/85/61/40/845856140.db2.gz GUCWUWRTNGFRJC-ZIAGYGMSSA-N 0 1 282.384 0.376 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](OCC)C(C)C)C1 ZINC001192853015 845923734 /nfs/dbraw/zinc/92/37/34/845923734.db2.gz VTVZXCCWYCZEGZ-MCIONIFRSA-N 0 1 282.384 0.232 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCOCC2CC2)C1 ZINC001192907725 845927004 /nfs/dbraw/zinc/92/70/04/845927004.db2.gz QHZYPFVWSPUVCP-ZIAGYGMSSA-N 0 1 282.384 0.541 20 30 CCEDMN CC1(C)NC(=O)c2cc(NS(=O)(=O)CC#N)ccc21 ZINC001192988351 845946830 /nfs/dbraw/zinc/94/68/30/845946830.db2.gz MVCHJBRVGDZZMG-UHFFFAOYSA-N 0 1 279.321 0.930 20 30 CCEDMN CCOC(=O)[C@@H](NS(=O)(=O)CC#N)c1cccnc1 ZINC001193003157 845952736 /nfs/dbraw/zinc/95/27/36/845952736.db2.gz ZRSDRSPCJXEXBW-JTQLQIEISA-N 0 1 283.309 0.129 20 30 CCEDMN COCCN(C1CCN(C)CC1)S(=O)(=O)[C@@H](C)C#N ZINC001193126976 845989299 /nfs/dbraw/zinc/98/92/99/845989299.db2.gz JGOVZFKJYITQGG-NSHDSACASA-N 0 1 289.401 0.271 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@H](C)COC)C1 ZINC001193155684 846008712 /nfs/dbraw/zinc/00/87/12/846008712.db2.gz LFBWVOBMOSFRJM-QWHCGFSZSA-N 0 1 252.358 0.825 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)Nc1ccc2c(c1)CCN(C)C2=O ZINC001193161090 846009969 /nfs/dbraw/zinc/00/99/69/846009969.db2.gz LAVRXQDBYDGFQM-VIFPVBQESA-N 0 1 293.348 0.968 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1cc(Br)[nH]n1 ZINC001193285059 846042710 /nfs/dbraw/zinc/04/27/10/846042710.db2.gz HDMNKRJHKKLKAO-LURJTMIESA-N 0 1 284.117 0.110 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H]1CN(CCCF)C[C@H]1O ZINC001193400727 846072476 /nfs/dbraw/zinc/07/24/76/846072476.db2.gz YRZGZTVVLGPPBT-VXGBXAGGSA-N 0 1 258.337 0.864 20 30 CCEDMN N#Cc1cc(C(=O)n2c(=N)c(N)cnc2Cl)ccc1O ZINC001193497822 846094230 /nfs/dbraw/zinc/09/42/30/846094230.db2.gz BHFFJZJNNCGXOY-UHFFFAOYSA-N 0 1 289.682 0.864 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2CCS(=O)(=O)C2)ccc1O ZINC001193511535 846100979 /nfs/dbraw/zinc/10/09/79/846100979.db2.gz BXCNSDUKDYBOOY-SNVBAGLBSA-N 0 1 280.305 0.181 20 30 CCEDMN Cc1cc(N(C(=N)N)C(=O)c2ccc(O)c(C#N)c2)n[nH]1 ZINC001193519370 846103039 /nfs/dbraw/zinc/10/30/39/846103039.db2.gz WVNCNEJHCHFPJN-UHFFFAOYSA-N 0 1 284.279 0.836 20 30 CCEDMN CC1(C)C(=O)NC[C@H]1NC(=O)c1ccc(O)c(C#N)c1 ZINC001193518691 846103537 /nfs/dbraw/zinc/10/35/37/846103537.db2.gz FDSSGXJJXDHKAS-LLVKDONJSA-N 0 1 273.292 0.518 20 30 CCEDMN CN(C)c1cc(NC(=O)c2cncc(C#N)c2)n[nH]1 ZINC001194103088 846221289 /nfs/dbraw/zinc/22/12/89/846221289.db2.gz OJLPIHJTYVTEOX-UHFFFAOYSA-N 0 1 256.269 0.995 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](C)OCCOCC)C1 ZINC001194239286 846244625 /nfs/dbraw/zinc/24/46/25/846244625.db2.gz WRIMQGGQXXSWQB-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN C=CCOC(=O)N[C@H]1CC[C@H](NC(=O)CN(C)C)CC1 ZINC001194347114 846282666 /nfs/dbraw/zinc/28/26/66/846282666.db2.gz RNIOZYXXNUBZOQ-HAQNSBGRSA-N 0 1 283.372 0.888 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCCC(N)=O)C1 ZINC001194484043 846307288 /nfs/dbraw/zinc/30/72/88/846307288.db2.gz LZRJIHZIBFIVNH-LBPRGKRZSA-N 0 1 265.357 0.198 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001194554506 846325838 /nfs/dbraw/zinc/32/58/38/846325838.db2.gz AQAMUURILOQRJL-UONOGXRCSA-N 0 1 293.411 0.690 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)[C@@H](C)NC(C)=O)CC1 ZINC001194754018 846378409 /nfs/dbraw/zinc/37/84/09/846378409.db2.gz ADICVKPSOPZREF-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN N#Cc1cncc(NS(=O)(=O)C2CCC(=O)CC2)n1 ZINC001194757842 846388307 /nfs/dbraw/zinc/38/83/07/846388307.db2.gz DYNGNFIRIUOODA-UHFFFAOYSA-N 0 1 280.309 0.602 20 30 CCEDMN COC[C@H](C)N1CCCN(C(=O)CSCC#N)CC1 ZINC001194919327 846417389 /nfs/dbraw/zinc/41/73/89/846417389.db2.gz RSHMLVXQYBDNAB-LBPRGKRZSA-N 0 1 285.413 0.812 20 30 CCEDMN COCCOCCN1CCCN(C(=O)[C@@H](C)C#N)CC1 ZINC001195313861 846503391 /nfs/dbraw/zinc/50/33/91/846503391.db2.gz GCGKMJSMLDTYAD-ZDUSSCGKSA-N 0 1 283.372 0.343 20 30 CCEDMN N#Cc1ccc(C(=O)NCCn2ccnc2)c(O)c1 ZINC001195733815 846604471 /nfs/dbraw/zinc/60/44/71/846604471.db2.gz WSUXCBQWBOUGSH-UHFFFAOYSA-N 0 1 256.265 0.890 20 30 CCEDMN COC(=O)[C@@H]1C[C@@H]1CNC(=O)c1ccc(C#N)cc1O ZINC001195768257 846615474 /nfs/dbraw/zinc/61/54/74/846615474.db2.gz KWKSOFFOPMWSFK-MWLCHTKSSA-N 0 1 274.276 0.803 20 30 CCEDMN CCN=c1ncc(N)cn1C(=O)c1ccc(C#N)cc1O ZINC001195741341 846620144 /nfs/dbraw/zinc/62/01/44/846620144.db2.gz SEONLZLGRQPUSL-UHFFFAOYSA-N 0 1 283.291 0.652 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)Cn2nccn2)CC1 ZINC001195966567 846654795 /nfs/dbraw/zinc/65/47/95/846654795.db2.gz LVKSWLYPPYMYHP-UHFFFAOYSA-N 0 1 293.371 0.015 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)CNC(=O)NC)CC1 ZINC001196067470 846677164 /nfs/dbraw/zinc/67/71/64/846677164.db2.gz YUKPQVBFSWQZOU-UHFFFAOYSA-N 0 1 268.361 0.026 20 30 CCEDMN C[C@@H](C#N)OCCN1CCC[C@@H](c2nnc(N)o2)C1 ZINC001196526340 846761934 /nfs/dbraw/zinc/76/19/34/846761934.db2.gz ORXFQPHLMNWHDX-VHSXEESVSA-N 0 1 265.317 0.760 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CC[C@@H](C)CC)C1 ZINC001197150283 846856780 /nfs/dbraw/zinc/85/67/80/846856780.db2.gz YKJSMKDUHFCEBV-BFHYXJOUSA-N 0 1 266.385 0.997 20 30 CCEDMN CCOc1cncc(C(=O)Nc2nc[nH]c2C#N)n1 ZINC001197976047 847013657 /nfs/dbraw/zinc/01/36/57/847013657.db2.gz MCLGFBFLUYWYHK-UHFFFAOYSA-N 0 1 258.241 0.722 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CC)C[C@H]2O)CCOCC1 ZINC001198239213 847074265 /nfs/dbraw/zinc/07/42/65/847074265.db2.gz HOMQULREVGPWED-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)c1cncn1-c1ccncc1 ZINC001198556819 847133941 /nfs/dbraw/zinc/13/39/41/847133941.db2.gz FLACBODHFBKBQA-LLVKDONJSA-N 0 1 299.290 0.452 20 30 CCEDMN C=CCN1CC[C@]2(CCN(Cc3nnc(C)o3)C2)C1=O ZINC001273792684 847466974 /nfs/dbraw/zinc/46/69/74/847466974.db2.gz XAJROHQDAGNVMB-AWEZNQCLSA-N 0 1 276.340 0.988 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)[C@@H](C)OC)CCCO1 ZINC001199977301 847493291 /nfs/dbraw/zinc/49/32/91/847493291.db2.gz MNAXYANWIKZUKX-NEPJUHHUSA-N 0 1 290.791 0.981 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)CC1CCCC1 ZINC001273815739 847566629 /nfs/dbraw/zinc/56/66/29/847566629.db2.gz UULKSVRXOOHAFL-WAYWQWQTSA-N 0 1 291.395 0.578 20 30 CCEDMN C=CCNC(=S)N1CCC[C@@H](NC(=O)CN(C)C)C1 ZINC001200293460 847599896 /nfs/dbraw/zinc/59/98/96/847599896.db2.gz UKACNWHTJSBONM-LLVKDONJSA-N 0 1 284.429 0.189 20 30 CCEDMN Cc1nnc(CNC2CCN(C(=O)C#CC(C)C)CC2)[nH]1 ZINC001200448803 847630105 /nfs/dbraw/zinc/63/01/05/847630105.db2.gz OGUQKRMDLZYGFG-UHFFFAOYSA-N 0 1 289.383 0.853 20 30 CCEDMN COC(=O)CCCS(=O)(=O)Nc1ncc(C#N)nc1C ZINC001201905228 847832274 /nfs/dbraw/zinc/83/22/74/847832274.db2.gz KQKLOBHDDMWUTO-UHFFFAOYSA-N 0 1 298.324 0.352 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCO[C@@]2(CCOC2)C1 ZINC001252460298 847974061 /nfs/dbraw/zinc/97/40/61/847974061.db2.gz CVUZWIHAIWMBLG-STQMWFEESA-N 0 1 257.330 0.041 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(COCCOC)C2)C1=O ZINC001273991078 848212240 /nfs/dbraw/zinc/21/22/40/848212240.db2.gz VNRVQSBRSFAVAI-CQSZACIVSA-N 0 1 268.357 0.717 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC/C=C/CNCc1ccns1 ZINC001274024390 848309520 /nfs/dbraw/zinc/30/95/20/848309520.db2.gz HPBCLMUXMCCTQY-ZYOFXKKJSA-N 0 1 293.392 0.943 20 30 CCEDMN CN1C[C@]2(CC1=O)COCCN(CCCC#N)C2 ZINC001274040100 848317167 /nfs/dbraw/zinc/31/71/67/848317167.db2.gz GQPLZSIAWTUBCU-ZDUSSCGKSA-N 0 1 251.330 0.471 20 30 CCEDMN C=C(C)CN1C[C@@]2(CC1=O)COCC[N@H+](C[C@H](C)O)C2 ZINC001274047862 848319298 /nfs/dbraw/zinc/31/92/98/848319298.db2.gz UOLYUDZKXSPDMT-ZFWWWQNUSA-N 0 1 282.384 0.494 20 30 CCEDMN C#CCN1CC[C@]2(CCN(CC(=O)OC(C)C)C2)C1=O ZINC001274062370 848334344 /nfs/dbraw/zinc/33/43/44/848334344.db2.gz WUTKNRQHTGTPCA-HNNXBMFYSA-N 0 1 278.352 0.496 20 30 CCEDMN C=C(C)CCC(=O)NCC=CC[NH2+]Cc1n[nH]c(=O)[n-]1 ZINC001274069410 848338824 /nfs/dbraw/zinc/33/88/24/848338824.db2.gz RBMZQOCCGLGLDR-ARJAWSKDSA-N 0 1 279.344 0.629 20 30 CCEDMN C=C(C)CN1CCC2(CCN(CC(=O)NC)CC2)C1=O ZINC001274074477 848340613 /nfs/dbraw/zinc/34/06/13/848340613.db2.gz VQMURJQBDLAZIT-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2Cc2cccc(N)n2)C1=O ZINC001274158959 848362221 /nfs/dbraw/zinc/36/22/21/848362221.db2.gz GPKBMURFGBOBKW-MRXNPFEDSA-N 0 1 284.363 0.864 20 30 CCEDMN C#CC[C@@H](CO)Nc1nc(Cl)nc2[nH]cnc21 ZINC000717787259 848391203 /nfs/dbraw/zinc/39/12/03/848391203.db2.gz NWDYTRUPSVLYMO-LURJTMIESA-N 0 1 251.677 0.802 20 30 CCEDMN CN1C(=O)COCC12C[NH+](Cc1cc(C#N)ccc1[O-])C2 ZINC001274666257 848537058 /nfs/dbraw/zinc/53/70/58/848537058.db2.gz CZRBVMXXASYFHI-UHFFFAOYSA-N 0 1 287.319 0.307 20 30 CCEDMN CN1C(=O)COCC12CN(Cc1cc(C#N)ccc1O)C2 ZINC001274666257 848537060 /nfs/dbraw/zinc/53/70/60/848537060.db2.gz CZRBVMXXASYFHI-UHFFFAOYSA-N 0 1 287.319 0.307 20 30 CCEDMN C[C@@H](CCNC(=O)[C@@H]1CCCc2[nH]ncc21)NCC#N ZINC001274720184 848550505 /nfs/dbraw/zinc/55/05/05/848550505.db2.gz RHMPLTJDABHWRW-WDEREUQCSA-N 0 1 275.356 0.838 20 30 CCEDMN C[C@@H](CCNC(=O)[C@H]1CCCc2[nH]ncc21)NCC#N ZINC001274720183 848550812 /nfs/dbraw/zinc/55/08/12/848550812.db2.gz RHMPLTJDABHWRW-QWRGUYRKSA-N 0 1 275.356 0.838 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)CCC(F)F)CC1 ZINC001274916234 848587892 /nfs/dbraw/zinc/58/78/92/848587892.db2.gz CUWGIVWLPLIYPF-UHFFFAOYSA-N 0 1 274.311 0.608 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H](O)C(C)C)CC1 ZINC001274903811 848588581 /nfs/dbraw/zinc/58/85/81/848588581.db2.gz OHUKSUXKGCKLFK-GFCCVEGCSA-N 0 1 270.373 0.132 20 30 CCEDMN CCN1C[C@@H]2[C@H](C1)OCCN2C(=O)C1(C#N)CCOCC1 ZINC001275079443 848628779 /nfs/dbraw/zinc/62/87/79/848628779.db2.gz NFISTBKEHWASBO-OLZOCXBDSA-N 0 1 293.367 0.238 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@@H](CC)NC(C)=O ZINC001275143798 848650000 /nfs/dbraw/zinc/65/00/00/848650000.db2.gz QRJQTUDPZOHCEJ-ZIAGYGMSSA-N 0 1 279.384 0.457 20 30 CCEDMN C=CCOCC[N@H+](C)C[C@H](C)NC(=O)c1cnc(C)n1C ZINC001275629197 848778659 /nfs/dbraw/zinc/77/86/59/848778659.db2.gz KQUKFACLSOZAAF-LBPRGKRZSA-N 0 1 294.399 0.981 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cccn(C)c1=O ZINC001275697347 848795263 /nfs/dbraw/zinc/79/52/63/848795263.db2.gz HKUOBUAYUYOISP-GFCCVEGCSA-N 0 1 275.352 0.459 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H](C)Cn1ccc(C)n1 ZINC001275845667 848843583 /nfs/dbraw/zinc/84/35/83/848843583.db2.gz QTNWDYVHCNEAOK-TZMCWYRMSA-N 0 1 276.384 0.897 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCCNC1=O)C2 ZINC001095568954 848991050 /nfs/dbraw/zinc/99/10/50/848991050.db2.gz BLHAVOQHFQOJNY-VOAKCMCISA-N 0 1 277.368 0.420 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001095624772 849001027 /nfs/dbraw/zinc/00/10/27/849001027.db2.gz OJVXYZICDZXYAP-RFGFWPKPSA-N 0 1 276.384 0.716 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc(OC)n(C)n1)C2 ZINC001095735596 849127557 /nfs/dbraw/zinc/12/75/57/849127557.db2.gz PQWMBEQDMSPKEG-MDZLAQPJSA-N 0 1 290.367 0.950 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC001138658628 849180539 /nfs/dbraw/zinc/18/05/39/849180539.db2.gz AACDIXUJRJBEAT-MXWKQRLJSA-N 0 1 277.328 0.497 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(CF)CC1 ZINC001114826152 849410150 /nfs/dbraw/zinc/41/01/50/849410150.db2.gz DZFXPMSAHWVGIW-GDNZZTSVSA-N 0 1 250.317 0.806 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)CNC(=O)C2CC2)C1 ZINC001276341396 849431815 /nfs/dbraw/zinc/43/18/15/849431815.db2.gz BWVGUAWPQACKFQ-JTQLQIEISA-N 0 1 265.357 0.135 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)C1=COCCO1 ZINC001038027759 849648164 /nfs/dbraw/zinc/64/81/64/849648164.db2.gz NZQRQTJFOIVORD-NSHDSACASA-N 0 1 252.314 0.641 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001038027254 849648284 /nfs/dbraw/zinc/64/82/84/849648284.db2.gz LGIQMLCFWWZYCH-CYBMUJFWSA-N 0 1 261.325 0.700 20 30 CCEDMN C=C[C@@H](O)CNCc1cc(OC2COC2)ccn1 ZINC001253603559 849652689 /nfs/dbraw/zinc/65/26/89/849652689.db2.gz YDVONJJWAKSUOV-LLVKDONJSA-N 0 1 250.298 0.496 20 30 CCEDMN Cc1nc(CN2CC[C@@H]2CNC(=O)c2c[nH]c(C#N)c2)n[nH]1 ZINC001038175473 849808644 /nfs/dbraw/zinc/80/86/44/849808644.db2.gz PLJHMJQEYMBPIT-GFCCVEGCSA-N 0 1 299.338 0.317 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(OC)nc1 ZINC001038479025 849918014 /nfs/dbraw/zinc/91/80/14/849918014.db2.gz YWOHBNWFQSPVNL-ZDUSSCGKSA-N 0 1 273.336 0.918 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1ccn(CCOC)n1 ZINC001038500361 849923640 /nfs/dbraw/zinc/92/36/40/849923640.db2.gz LFFMXTIBEOQSBI-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc(C)[nH]c1=O ZINC001038741973 850002497 /nfs/dbraw/zinc/00/24/97/850002497.db2.gz KCDGFNTTWQXHIL-LBPRGKRZSA-N 0 1 273.336 0.923 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)[C@@H]1CN(C(C)=O)CCO1 ZINC001038974356 850107514 /nfs/dbraw/zinc/10/75/14/850107514.db2.gz XTMWRNBACRWMCS-KBPBESRZSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001038975364 850108772 /nfs/dbraw/zinc/10/87/72/850108772.db2.gz HCNNELDQXVJOSL-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001039052891 850140296 /nfs/dbraw/zinc/14/02/96/850140296.db2.gz QGQBJPBTWZJTMY-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1CCNC(=O)C1 ZINC001039357308 850179031 /nfs/dbraw/zinc/17/90/31/850179031.db2.gz SEBXFMOIDKTWQU-MCIONIFRSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@]1(C)CNC(=O)C1 ZINC001039409896 850185656 /nfs/dbraw/zinc/18/56/56/850185656.db2.gz LWKNPQXGPJMHAU-WWGRRREGSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cn(C)c(=O)[nH]1 ZINC001039429835 850190278 /nfs/dbraw/zinc/19/02/78/850190278.db2.gz DHBMFVDYUJNAPA-NEPJUHHUSA-N 0 1 288.351 0.438 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CC[C@]2(C1)CCCN(CC#N)C2 ZINC001040116424 850268213 /nfs/dbraw/zinc/26/82/13/850268213.db2.gz WLRBIOGGJRNGRY-GOEBONIOSA-N 0 1 290.411 0.919 20 30 CCEDMN N#CCN1CC[C@@]2(CCN(C(=O)c3ccn[nH]3)C2)C1 ZINC001041091740 850368835 /nfs/dbraw/zinc/36/88/35/850368835.db2.gz ZBBLSERVEYPZJP-CYBMUJFWSA-N 0 1 259.313 0.471 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001041633510 850479055 /nfs/dbraw/zinc/47/90/55/850479055.db2.gz VZVUBTHOUQEPMQ-JKSUJKDBSA-N 0 1 293.411 0.998 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cc(=O)n(C)o3)C[C@H]21 ZINC001042033154 850562012 /nfs/dbraw/zinc/56/20/12/850562012.db2.gz RDQRTDSITRYGKR-NWDGAFQWSA-N 0 1 289.335 0.148 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3n[nH]cc3C)C[C@H]21 ZINC001042054074 850570017 /nfs/dbraw/zinc/57/00/17/850570017.db2.gz GLNNWANGABKKIU-QWHCGFSZSA-N 0 1 272.352 0.888 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3nc[nH]n3)C[C@@H]21 ZINC001042275766 850607297 /nfs/dbraw/zinc/60/72/97/850607297.db2.gz WFCUHXXFJQZPIK-RYUDHWBXSA-N 0 1 273.340 0.364 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccnc(C)n3)C[C@@H]21 ZINC001042363331 850619930 /nfs/dbraw/zinc/61/99/30/850619930.db2.gz RGJMOZDBKOVJBR-ZFWWWQNUSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3ccn(C)n3)C[C@@H]21 ZINC001042359243 850620195 /nfs/dbraw/zinc/62/01/95/850620195.db2.gz YJPMRROGRITUDE-JSGCOSHPSA-N 0 1 272.352 0.590 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)cn2)C[C@H]1NC(=O)c1cnn[nH]1 ZINC001042643337 850720353 /nfs/dbraw/zinc/72/03/53/850720353.db2.gz XMNYWZNEBGHJMM-BXKDBHETSA-N 0 1 297.322 0.326 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)c2cnc[nH]c2=O)C1 ZINC001043027553 850800604 /nfs/dbraw/zinc/80/06/04/850800604.db2.gz FUDPMLCJCZPVRM-UHFFFAOYSA-N 0 1 262.313 0.515 20 30 CCEDMN C#CCN1CC(N(C)C(=O)c2cc(CCC)[nH]n2)C1 ZINC001043501906 850885966 /nfs/dbraw/zinc/88/59/66/850885966.db2.gz AHZRKNKMQDIKIP-UHFFFAOYSA-N 0 1 260.341 0.752 20 30 CCEDMN CC(C)c1cc(C(=O)N(C)C2CN(CC#N)C2)n[nH]1 ZINC001043948615 850969361 /nfs/dbraw/zinc/96/93/61/850969361.db2.gz ZXEZREAIHXDOKL-UHFFFAOYSA-N 0 1 261.329 0.813 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@@H]2CCNC(=O)CC2)CC1 ZINC001045515010 851269021 /nfs/dbraw/zinc/26/90/21/851269021.db2.gz DTEBSUDWOMFRQY-ZDUSSCGKSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCN1CC[C@H](N2CC[C@](C)(NC(C)=O)C2)C1=O ZINC001046091521 851368731 /nfs/dbraw/zinc/36/87/31/851368731.db2.gz RUIROIITVQYASV-JSGCOSHPSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2nnn(C)c2C)C1 ZINC001046208008 851422160 /nfs/dbraw/zinc/42/21/60/851422160.db2.gz HVNPJYSLNLANBC-CYBMUJFWSA-N 0 1 263.345 0.504 20 30 CCEDMN CC#CC[N@H+]1CC[C@](C)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001046238873 851434666 /nfs/dbraw/zinc/43/46/66/851434666.db2.gz IEARHQJZDGLUGB-AWEZNQCLSA-N 0 1 276.340 0.641 20 30 CCEDMN CC#CC[N@H+]1CC[C@](C)(NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC001046363536 851476094 /nfs/dbraw/zinc/47/60/94/851476094.db2.gz CKHWDDAXXZOMLW-HNNXBMFYSA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001046363536 851476123 /nfs/dbraw/zinc/47/61/23/851476123.db2.gz CKHWDDAXXZOMLW-HNNXBMFYSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC001046362228 851477632 /nfs/dbraw/zinc/47/76/32/851477632.db2.gz PRUCOCIXHAATPF-AWEZNQCLSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001046362228 851477635 /nfs/dbraw/zinc/47/76/35/851477635.db2.gz PRUCOCIXHAATPF-AWEZNQCLSA-N 0 1 284.323 0.180 20 30 CCEDMN C#CCC[N@@H+]1CC[C@](C)(NC(=O)c2c(C)cnn2C)C1 ZINC001046552042 851544081 /nfs/dbraw/zinc/54/40/81/851544081.db2.gz QRWBYQHBNPIEMZ-HNNXBMFYSA-N 0 1 274.368 0.946 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2ccnc3c2nnn3C)C1 ZINC001046579418 851557358 /nfs/dbraw/zinc/55/73/58/851557358.db2.gz LXNGIGJTELMONS-HNNXBMFYSA-N 0 1 298.350 0.191 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2cncn2C)C1 ZINC001046635087 851572868 /nfs/dbraw/zinc/57/28/68/851572868.db2.gz KQGGCCZWMSFELU-IOASZLSFSA-N 0 1 286.379 0.737 20 30 CCEDMN C[C@]1(NC(=O)[C@H]2CCc3[nH]nnc3C2)CCN(CC#N)C1 ZINC001046772281 851609310 /nfs/dbraw/zinc/60/93/10/851609310.db2.gz SIWDXOQLABUKEM-HZMBPMFUSA-N 0 1 288.355 0.014 20 30 CCEDMN C[C@]1(NC(=O)[C@H]2CCc3nn[nH]c3C2)CCN(CC#N)C1 ZINC001046772281 851609313 /nfs/dbraw/zinc/60/93/13/851609313.db2.gz SIWDXOQLABUKEM-HZMBPMFUSA-N 0 1 288.355 0.014 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001046804289 851616713 /nfs/dbraw/zinc/61/67/13/851616713.db2.gz CUYAIBOJMSETKF-DZGCQCFKSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)[C@H]2CN(C(C)=O)CCO2)C1 ZINC001046814904 851619577 /nfs/dbraw/zinc/61/95/77/851619577.db2.gz MXSVBNJPBLSENC-UKRRQHHQSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ccc(=O)[nH]c2)C1 ZINC001046816080 851619714 /nfs/dbraw/zinc/61/97/14/851619714.db2.gz CXZKAKKDOBUIMX-CQSZACIVSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2CCc3nncn3C2)C1 ZINC001046852841 851632758 /nfs/dbraw/zinc/63/27/58/851632758.db2.gz QGEFVUYJAZUGDW-DOMZBBRYSA-N 0 1 287.367 0.054 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cccc(C(N)=O)n2)C1 ZINC001046865866 851635628 /nfs/dbraw/zinc/63/56/28/851635628.db2.gz GVXZSOHGKQYCMT-HNNXBMFYSA-N 0 1 288.351 0.561 20 30 CCEDMN C=C(Cl)CN1C[C@H](O)[C@@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC001047312486 851708894 /nfs/dbraw/zinc/70/88/94/851708894.db2.gz WLLHKLNARTUEMK-QWRGUYRKSA-N 0 1 284.747 0.279 20 30 CCEDMN CCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C#N)c[nH]2)C1 ZINC001047337869 851725889 /nfs/dbraw/zinc/72/58/89/851725889.db2.gz LSXFRTUDNNRSCI-RYUDHWBXSA-N 0 1 262.313 0.023 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2csc(=O)[nH]2)C1 ZINC001047493085 851784950 /nfs/dbraw/zinc/78/49/50/851784950.db2.gz CLVCKKQYHVLEFR-QWRGUYRKSA-N 0 1 297.380 0.542 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)CC2=CCOCC2)C1 ZINC001047516096 851798919 /nfs/dbraw/zinc/79/89/19/851798919.db2.gz UCATUGTWKKXWMT-GJZGRUSLSA-N 0 1 292.379 0.250 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@H]2C[N@@H+](CC(=C)C)C[C@@H]2O)cn1 ZINC001047599063 851831193 /nfs/dbraw/zinc/83/11/93/851831193.db2.gz FXCYDOHFZOYRQP-HOTGVXAUSA-N 0 1 299.374 0.756 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@H]2CN(CC(=C)C)C[C@@H]2O)cn1 ZINC001047599063 851831202 /nfs/dbraw/zinc/83/12/02/851831202.db2.gz FXCYDOHFZOYRQP-HOTGVXAUSA-N 0 1 299.374 0.756 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001096337484 851935332 /nfs/dbraw/zinc/93/53/32/851935332.db2.gz CBHQVPVNPIBLCX-BDAKNGLRSA-N 0 1 285.311 0.207 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C1=COCCO1 ZINC001049304557 852221363 /nfs/dbraw/zinc/22/13/63/852221363.db2.gz PQKOMTVZJRMUCW-CHWSQXEVSA-N 0 1 276.336 0.573 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1=COCCO1 ZINC001049304558 852222716 /nfs/dbraw/zinc/22/27/16/852222716.db2.gz PQKOMTVZJRMUCW-OLZOCXBDSA-N 0 1 276.336 0.573 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cn[nH]c1 ZINC001049350443 852237265 /nfs/dbraw/zinc/23/72/65/852237265.db2.gz RROJJRAJCNUCCN-QWHCGFSZSA-N 0 1 258.325 0.722 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@H]1COCCO1 ZINC001049347370 852239570 /nfs/dbraw/zinc/23/95/70/852239570.db2.gz RWOSHTATYRKMEU-MGPQQGTHSA-N 0 1 278.352 0.100 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCC[C@H]2[C@H]1CCN2CC#N ZINC001049370505 852247569 /nfs/dbraw/zinc/24/75/69/852247569.db2.gz VWINVCXQNARBIJ-BFHYXJOUSA-N 0 1 276.384 0.669 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCC[C@@H]3[C@@H]2CC[N@@H+]3CCO)[nH]1 ZINC001049424948 852269933 /nfs/dbraw/zinc/26/99/33/852269933.db2.gz IPDXKOHAFQHZEF-KGLIPLIRSA-N 0 1 288.351 0.558 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ncn(C)n1 ZINC001049455940 852283059 /nfs/dbraw/zinc/28/30/59/852283059.db2.gz HFSQNQIVXLNYIZ-CHWSQXEVSA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@H]1CCOC1 ZINC001049505398 852296616 /nfs/dbraw/zinc/29/66/16/852296616.db2.gz OLQCLVLGJBLJGV-IHRRRGAJSA-N 0 1 262.353 0.721 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1ccncn1 ZINC001049792928 852372774 /nfs/dbraw/zinc/37/27/74/852372774.db2.gz LVSUVZCRWBVAQZ-KGLIPLIRSA-N 0 1 270.336 0.789 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cn1ccnc1)C2 ZINC001096655720 852421025 /nfs/dbraw/zinc/42/10/25/852421025.db2.gz AQMFTDPOBLZSKH-RDBSUJKOSA-N 0 1 272.352 0.628 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCCO1)C2 ZINC001096832874 852455756 /nfs/dbraw/zinc/45/57/56/852455756.db2.gz OBCVCQSZJWIRKL-RQJABVFESA-N 0 1 262.353 0.910 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cnsn1)C2 ZINC001096846049 852457551 /nfs/dbraw/zinc/45/75/51/852457551.db2.gz BDOGIPMKUBUTEJ-FOGDFJRCSA-N 0 1 276.365 0.897 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1COC(=O)C1)C2 ZINC001096955441 852476549 /nfs/dbraw/zinc/47/65/49/852476549.db2.gz GRQJDSPIZKMOTN-LOWDOPEQSA-N 0 1 278.352 0.847 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1=CCOCC1)C2 ZINC001096991457 852482646 /nfs/dbraw/zinc/48/26/46/852482646.db2.gz VECFWODVWHPTTE-MCIONIFRSA-N 0 1 260.337 0.688 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1nccn1)C2 ZINC001097147180 852503839 /nfs/dbraw/zinc/50/38/39/852503839.db2.gz NTMBEXKHKGLNEW-UTUOFQBUSA-N 0 1 261.329 0.186 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCC(=O)NC1)C2 ZINC001097204827 852513936 /nfs/dbraw/zinc/51/39/36/852513936.db2.gz LVCVTMRYWBFUAC-DGAVXFQQSA-N 0 1 291.395 0.810 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC=C ZINC001097248189 852518319 /nfs/dbraw/zinc/51/83/19/852518319.db2.gz SQMBNGZMBWUUNN-WCVJEAGWSA-N 0 1 287.407 0.992 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@]1(C)CCC(=O)N1)C2 ZINC001097792671 852616546 /nfs/dbraw/zinc/61/65/46/852616546.db2.gz KNILANBQPZFOGV-FJJYHAOUSA-N 0 1 277.368 0.563 20 30 CCEDMN C=CCN1CC2(C1)CN(C(=O)Cc1ccn[nH]1)CCO2 ZINC001053201943 852707669 /nfs/dbraw/zinc/70/76/69/852707669.db2.gz YJYTWNOZISLMFY-UHFFFAOYSA-N 0 1 276.340 0.051 20 30 CCEDMN CNC(=O)CN1CC[C@@]2(C1)CCCN(C(=O)[C@@H](C)C#N)C2 ZINC001054101958 852884547 /nfs/dbraw/zinc/88/45/47/852884547.db2.gz IQTGLESHTWJOHJ-SWLSCSKDSA-N 0 1 292.383 0.207 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2nccnc2N)C[C@@H]1C ZINC001054491240 852958990 /nfs/dbraw/zinc/95/89/90/852958990.db2.gz CWOSVFFZXKAZLD-WCBMZHEXSA-N 0 1 295.774 0.861 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CCN(CCNC(=O)C2CC2)CC1 ZINC001055485978 853080275 /nfs/dbraw/zinc/08/02/75/853080275.db2.gz NCENUHFLOAXAMQ-NSHDSACASA-N 0 1 292.383 0.253 20 30 CCEDMN C[C@@H](CNC(=O)c1ncn[nH]1)Nc1ccc(C#N)cn1 ZINC001097995306 853082441 /nfs/dbraw/zinc/08/24/41/853082441.db2.gz NURHMIQHVHUMGR-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN C[C@@H](CNC(=O)c1nc[nH]n1)Nc1ccc(C#N)cn1 ZINC001097995306 853082445 /nfs/dbraw/zinc/08/24/45/853082445.db2.gz NURHMIQHVHUMGR-QMMMGPOBSA-N 0 1 271.284 0.302 20 30 CCEDMN Cc1nsc(N[C@H](C)CNC(=O)c2ncn[nH]2)c1C#N ZINC001097995414 853083404 /nfs/dbraw/zinc/08/34/04/853083404.db2.gz RQKKNGUFUUVDSP-ZCFIWIBFSA-N 0 1 291.340 0.672 20 30 CCEDMN Cc1nsc(N[C@H](C)CNC(=O)c2nc[nH]n2)c1C#N ZINC001097995414 853083408 /nfs/dbraw/zinc/08/34/08/853083408.db2.gz RQKKNGUFUUVDSP-ZCFIWIBFSA-N 0 1 291.340 0.672 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(c3cncc(C#N)n3)CC2)[nH]1 ZINC001055747301 853114684 /nfs/dbraw/zinc/11/46/84/853114684.db2.gz YTLSWDCGKGCNPV-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](Nc2ncnc3[nH]cnc32)C1 ZINC001056587816 853175471 /nfs/dbraw/zinc/17/54/71/853175471.db2.gz USTSYFKFKWEQIG-RKDXNWHRSA-N 0 1 285.311 0.525 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)[C@]34C[C@H]3COC4)[C@@H]2C1 ZINC001050052201 853304098 /nfs/dbraw/zinc/30/40/98/853304098.db2.gz QKFBDGYTVNORLI-MPTYRVRUSA-N 0 1 288.391 0.969 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3cnon3)[C@@H]2C1 ZINC001050168233 853328835 /nfs/dbraw/zinc/32/88/35/853328835.db2.gz KGMHGSZPBCQYDE-WCQYABFASA-N 0 1 274.324 0.629 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001050194429 853335540 /nfs/dbraw/zinc/33/55/40/853335540.db2.gz AUEOIFOIXYBVOD-UONOGXRCSA-N 0 1 285.347 0.957 20 30 CCEDMN C=C(C)CN1CCOC[C@H]1CNC(=O)[C@H]1CCCCN1C ZINC001050983729 853500387 /nfs/dbraw/zinc/50/03/87/853500387.db2.gz VKAFXYKINPUXDK-HUUCEWRRSA-N 0 1 295.427 0.864 20 30 CCEDMN CCN1CCN([C@H]2CCN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001051997762 853668348 /nfs/dbraw/zinc/66/83/48/853668348.db2.gz HUCHJFVLEMGLDV-STQMWFEESA-N 0 1 264.373 0.384 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)C(C)C)C2)CC1 ZINC001051984784 853669365 /nfs/dbraw/zinc/66/93/65/853669365.db2.gz NFIPFPLZZYSYGX-HNNXBMFYSA-N 0 1 277.412 0.884 20 30 CCEDMN C[C@@H]1[C@@H](Nc2ccncc2C#N)CCN1C(=O)c1ccn[nH]1 ZINC001068749726 853922957 /nfs/dbraw/zinc/92/29/57/853922957.db2.gz LWSCLRJDWKPGQL-PWSUYJOCSA-N 0 1 296.334 0.813 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccnn1)C2 ZINC001098086853 853953619 /nfs/dbraw/zinc/95/36/19/853953619.db2.gz WGFBQFUIKAAYHJ-BNOWGMLFSA-N 0 1 270.336 0.835 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2CCCC2)C[C@@H]1n1ccnn1 ZINC001070119246 854026628 /nfs/dbraw/zinc/02/66/28/854026628.db2.gz PBWQNLJUGGHJNC-KGLIPLIRSA-N 0 1 287.367 0.443 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@@H](C)CC)C[C@@H]1n1ccnn1 ZINC001070145525 854030236 /nfs/dbraw/zinc/03/02/36/854030236.db2.gz GGLDRIVEOCGHKY-XQQFMLRXSA-N 0 1 275.356 0.299 20 30 CCEDMN C=CCN1CCOC[C@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070577458 854077538 /nfs/dbraw/zinc/07/75/38/854077538.db2.gz ZDDUPBRYUKHBLP-JTQLQIEISA-N 0 1 265.317 0.000 20 30 CCEDMN C=CCN1CCOC[C@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070577458 854077549 /nfs/dbraw/zinc/07/75/49/854077549.db2.gz ZDDUPBRYUKHBLP-JTQLQIEISA-N 0 1 265.317 0.000 20 30 CCEDMN C=CCN1CCOC[C@@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070577459 854078386 /nfs/dbraw/zinc/07/83/86/854078386.db2.gz ZDDUPBRYUKHBLP-SNVBAGLBSA-N 0 1 265.317 0.000 20 30 CCEDMN C=CCN1CCOC[C@@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070577459 854078391 /nfs/dbraw/zinc/07/83/91/854078391.db2.gz ZDDUPBRYUKHBLP-SNVBAGLBSA-N 0 1 265.317 0.000 20 30 CCEDMN C=C(Cl)CN1CCOC[C@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070576977 854078448 /nfs/dbraw/zinc/07/84/48/854078448.db2.gz KGLRCMYTDNXDEB-JTQLQIEISA-N 0 1 299.762 0.567 20 30 CCEDMN C=C(Cl)CN1CCOC[C@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070576977 854078452 /nfs/dbraw/zinc/07/84/52/854078452.db2.gz KGLRCMYTDNXDEB-JTQLQIEISA-N 0 1 299.762 0.567 20 30 CCEDMN C=CCCN1CCNC(=O)CCN(C(C)=O)CC1 ZINC001070927761 854103677 /nfs/dbraw/zinc/10/36/77/854103677.db2.gz HPCOGQNMVQUFDO-UHFFFAOYSA-N 0 1 253.346 0.233 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)COC)C[C@H](C)O2 ZINC001071110128 854122380 /nfs/dbraw/zinc/12/23/80/854122380.db2.gz IOKNSLRAJIBNCX-JSGCOSHPSA-N 0 1 268.357 0.511 20 30 CCEDMN CC#CCN1CC[C@@]2(C1)CN(C(C)=O)C[C@@H](C)O2 ZINC001071114082 854123770 /nfs/dbraw/zinc/12/37/70/854123770.db2.gz NGLXNPQTUAULPH-TZMCWYRMSA-N 0 1 250.342 0.721 20 30 CCEDMN C=CCCCC(=O)N1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001071214922 854137374 /nfs/dbraw/zinc/13/73/74/854137374.db2.gz IYHHODTYHBYOII-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C2=COCCO2)CC[C@@H]1C ZINC001071317869 854156394 /nfs/dbraw/zinc/15/63/94/854156394.db2.gz ZTVUMVVHQCTMFW-RYUDHWBXSA-N 0 1 264.325 0.477 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2ccc(=O)[nH]n2)CC[C@@H]1C ZINC001071333191 854159514 /nfs/dbraw/zinc/15/95/14/854159514.db2.gz YZZPRFVORLXZTC-NWDGAFQWSA-N 0 1 288.351 0.788 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cc(C)[nH]n2)CC[C@@H]1C ZINC001071428086 854190098 /nfs/dbraw/zinc/19/00/98/854190098.db2.gz WBMOSQXOAXXKHJ-STQMWFEESA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cc(C)n[nH]2)CC[C@@H]1C ZINC001071428086 854190102 /nfs/dbraw/zinc/19/01/02/854190102.db2.gz WBMOSQXOAXXKHJ-STQMWFEESA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cncnc2)CC[C@@H]1C ZINC001071470923 854212893 /nfs/dbraw/zinc/21/28/93/854212893.db2.gz QDYRPZGGFAXZKP-GXTWGEPZSA-N 0 1 272.352 0.621 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)Cn2nccn2)CC[C@@H]1C ZINC001071537109 854233209 /nfs/dbraw/zinc/23/32/09/854233209.db2.gz TVYQIOJESDLDPC-RYUDHWBXSA-N 0 1 263.345 0.433 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cn[nH]c(=O)c2)CC[C@H]1C ZINC001071593429 854244369 /nfs/dbraw/zinc/24/43/69/854244369.db2.gz BOIGLADWXXIPQZ-PWSUYJOCSA-N 0 1 274.324 0.398 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cnc[nH]c2=O)CC[C@@H]1C ZINC001071601134 854245813 /nfs/dbraw/zinc/24/58/13/854245813.db2.gz WSHAPCFIXUHSJC-QWRGUYRKSA-N 0 1 274.324 0.398 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cn(C)c(=O)cn2)CC[C@H]1C ZINC001071694394 854267251 /nfs/dbraw/zinc/26/72/51/854267251.db2.gz GPHVOJOKGHYPMY-NEPJUHHUSA-N 0 1 290.367 0.549 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCn3cccn3)C2)C1 ZINC001072482759 854394844 /nfs/dbraw/zinc/39/48/44/854394844.db2.gz WIHLPCZLWSKRMT-UHFFFAOYSA-N 0 1 272.352 0.441 20 30 CCEDMN C=CCCCC(=O)N1C[C@H](NC(=O)c2ncn[nH]2)[C@@H](C)C1 ZINC001072636910 854434860 /nfs/dbraw/zinc/43/48/60/854434860.db2.gz WOMCSHOTHYCVPY-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCCC(=O)N1C[C@H](NC(=O)c2nc[nH]n2)[C@@H](C)C1 ZINC001072636910 854434865 /nfs/dbraw/zinc/43/48/65/854434865.db2.gz WOMCSHOTHYCVPY-QWRGUYRKSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3[nH]c(=O)[nH]c3C)C2)C1 ZINC001072836911 854476556 /nfs/dbraw/zinc/47/65/56/854476556.db2.gz WCZCJYIBTIDMFY-UHFFFAOYSA-N 0 1 274.324 0.205 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cc3cnccc3OC)C2)C1 ZINC001072848794 854480117 /nfs/dbraw/zinc/48/01/17/854480117.db2.gz KSCJYPJQKMFELF-UHFFFAOYSA-N 0 1 299.374 0.800 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC2(C1)CCN(CC#N)C2 ZINC001072859174 854481861 /nfs/dbraw/zinc/48/18/61/854481861.db2.gz YWYMSDYRTRWLIA-ZDUSSCGKSA-N 0 1 276.384 0.529 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCC[C@@H]3OC)C2)C1 ZINC001072871758 854484656 /nfs/dbraw/zinc/48/46/56/854484656.db2.gz MQFLMFRJEJXQGN-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CC(=O)N[C@H](C)C3)C2)C1 ZINC001072884802 854487167 /nfs/dbraw/zinc/48/71/67/854487167.db2.gz LFZJRQVKXRSHBR-OLZOCXBDSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccc(N(C)C)nc3)C2)C1 ZINC001072892433 854489526 /nfs/dbraw/zinc/48/95/26/854489526.db2.gz YGTQBJJPGCSHTO-UHFFFAOYSA-N 0 1 298.390 0.929 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCc4n[nH]cc4C3)C2)C1 ZINC001073123042 854533034 /nfs/dbraw/zinc/53/30/34/854533034.db2.gz FNYSYNDBYIJKJW-CYBMUJFWSA-N 0 1 298.390 0.682 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCc4nncn4C3)C2)C1 ZINC001073454663 854559307 /nfs/dbraw/zinc/55/93/07/854559307.db2.gz PYQHQUZFSGKIPC-CYBMUJFWSA-N 0 1 299.378 0.008 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H]2CCCO2)C1 ZINC001073519232 854574608 /nfs/dbraw/zinc/57/46/08/854574608.db2.gz HVTCVKSAMQXVBN-KGLIPLIRSA-N 0 1 280.368 0.396 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2nccnc2N)C1 ZINC001073562166 854594315 /nfs/dbraw/zinc/59/43/15/854594315.db2.gz MFABBYFUWRDAAO-NSHDSACASA-N 0 1 291.355 0.066 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001073649087 854624798 /nfs/dbraw/zinc/62/47/98/854624798.db2.gz LQTGUGRFQKINGI-LLVKDONJSA-N 0 1 294.355 0.430 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2oncc2C)C1 ZINC001073838659 854654064 /nfs/dbraw/zinc/65/40/64/854654064.db2.gz CXKXQKYHVXJPER-GFCCVEGCSA-N 0 1 279.340 0.990 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H](C)N2C(=O)CCC2=O)C1 ZINC001276389084 854665678 /nfs/dbraw/zinc/66/56/78/854665678.db2.gz OICNWULSBVRELN-MNOVXSKESA-N 0 1 293.367 0.146 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2COCCO2)[C@H]1C ZINC001074542890 854743071 /nfs/dbraw/zinc/74/30/71/854743071.db2.gz MFDURAFQSBWSNW-SDDRHHMPSA-N 0 1 288.775 0.733 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2C[C@H]3CC[C@@H]2N3CCO)cn1 ZINC001098244249 854853926 /nfs/dbraw/zinc/85/39/26/854853926.db2.gz DCOPDYCGIMHKRN-KFWWJZLASA-N 0 1 285.347 0.390 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cc(C)n[nH]2)C1 ZINC001098745095 854881760 /nfs/dbraw/zinc/88/17/60/854881760.db2.gz OSPGQQJTKANMAY-WFASDCNBSA-N 0 1 272.352 0.936 20 30 CCEDMN C[C@@H](CCNC(=O)Cc1nnc[nH]1)Nc1ccncc1C#N ZINC001099483166 854934296 /nfs/dbraw/zinc/93/42/96/854934296.db2.gz HVKHXVPZVHDABP-JTQLQIEISA-N 0 1 299.338 0.043 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC(C)(C)C)[C@H](O)C1 ZINC001099673481 854963876 /nfs/dbraw/zinc/96/38/76/854963876.db2.gz WZMBJKZNFDHWDC-QWHCGFSZSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)COC2CCCC2)[C@@H](O)C1 ZINC001099710563 854972461 /nfs/dbraw/zinc/97/24/61/854972461.db2.gz ZGDXZOSWNFWFML-KBPBESRZSA-N 0 1 282.384 0.683 20 30 CCEDMN C[C@H](CCNC(=O)Cc1cnc[nH]1)Nc1cncc(C#N)n1 ZINC001099714082 854975510 /nfs/dbraw/zinc/97/55/10/854975510.db2.gz YXPJAVHUINUYHH-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C(\C)CC)[C@@H](O)C1 ZINC001099817728 855002067 /nfs/dbraw/zinc/00/20/67/855002067.db2.gz DPKSOZWCBCPHMU-IRTDIPEASA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCC2CCCC2)[C@H](O)C1 ZINC001099882992 855018632 /nfs/dbraw/zinc/01/86/32/855018632.db2.gz WCVKKGGGXRUIRA-HUUCEWRRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2cncnc2)[C@H](O)C1 ZINC001099923391 855032570 /nfs/dbraw/zinc/03/25/70/855032570.db2.gz CRRYNLCOWZXZJG-UONOGXRCSA-N 0 1 290.367 0.147 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCCF)[C@@H](O)C1 ZINC001099947753 855043616 /nfs/dbraw/zinc/04/36/16/855043616.db2.gz ZSSZYAVPOIMYSY-RYUDHWBXSA-N 0 1 256.321 0.311 20 30 CCEDMN CN(CCNC(=O)[C@@H]1CCCN1C)c1ccncc1C#N ZINC001099966162 855054213 /nfs/dbraw/zinc/05/42/13/855054213.db2.gz MVHTUQWCBAGART-AWEZNQCLSA-N 0 1 287.367 0.600 20 30 CCEDMN C=CCOCC(=O)NCC1CC(NCc2nnc(C)[nH]2)C1 ZINC001100186759 855113540 /nfs/dbraw/zinc/11/35/40/855113540.db2.gz JUGSITONWDQCQG-UHFFFAOYSA-N 0 1 293.371 0.300 20 30 CCEDMN CCn1ncc(CNC2CC(CNC(=O)[C@H](C)C#N)C2)n1 ZINC001100191438 855115116 /nfs/dbraw/zinc/11/51/16/855115116.db2.gz KNYYPGYEKKVIPP-VOMCLLRMSA-N 0 1 290.371 0.442 20 30 CCEDMN Cc1nnc(CNC2CC(CNC(=O)C#CC(C)C)C2)[nH]1 ZINC001100310258 855143911 /nfs/dbraw/zinc/14/39/11/855143911.db2.gz JMQNHTSTJNFPNR-UHFFFAOYSA-N 0 1 289.383 0.757 20 30 CCEDMN CCN(CCNC(=O)Cc1cnc[nH]1)c1ccncc1C#N ZINC001100734825 855216033 /nfs/dbraw/zinc/21/60/33/855216033.db2.gz ZTJRGRWSVLJENM-UHFFFAOYSA-N 0 1 298.350 0.862 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H]2CN([C@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001101253717 855277374 /nfs/dbraw/zinc/27/73/74/855277374.db2.gz UBKIVFMAVZOBIV-IOASZLSFSA-N 0 1 293.411 0.997 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H]2CN(CC(=O)NC)C[C@@]2(C)C1 ZINC001101253343 855277747 /nfs/dbraw/zinc/27/77/47/855277747.db2.gz KYNMTTGQQAGXBN-CJNGLKHVSA-N 0 1 293.411 0.869 20 30 CCEDMN CCc1cc(C(=O)N(C)CCNc2ccnc(C#N)n2)n[nH]1 ZINC001101508685 855300466 /nfs/dbraw/zinc/30/04/66/855300466.db2.gz NPYQEEBPXHDYES-UHFFFAOYSA-N 0 1 299.338 0.240 20 30 CCEDMN C=CCCN1CC2(C1)C[C@@H](NC(=O)COC)c1nccn12 ZINC001101600786 855323272 /nfs/dbraw/zinc/32/32/72/855323272.db2.gz LFDNNQIWZUFUIX-GFCCVEGCSA-N 0 1 290.367 0.678 20 30 CCEDMN C=CCCN1CC2(C1)C[C@H](NC(=O)COC)c1nccn12 ZINC001101600787 855323653 /nfs/dbraw/zinc/32/36/53/855323653.db2.gz LFDNNQIWZUFUIX-LBPRGKRZSA-N 0 1 290.367 0.678 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)[C@H](C)OC)[C@H](C)C1 ZINC001101774846 855363508 /nfs/dbraw/zinc/36/35/08/855363508.db2.gz XIDIYSAEYCBFDS-FRRDWIJNSA-N 0 1 297.399 0.008 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)[C@H](C)C#N)[C@H](C)C1 ZINC001101822245 855374093 /nfs/dbraw/zinc/37/40/93/855374093.db2.gz JBUOVGKHTMAUFP-JHJVBQTASA-N 0 1 292.383 0.132 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)NC[C@@H]1CN(CC#N)C[C@H]1C ZINC001102015970 855408010 /nfs/dbraw/zinc/40/80/10/855408010.db2.gz WZNLCKYYTPDNAM-MCIONIFRSA-N 0 1 298.406 0.874 20 30 CCEDMN CC[C@H](CNC(=O)Cc1nnc[nH]1)Nc1ccc(C#N)cn1 ZINC001103186020 855502924 /nfs/dbraw/zinc/50/29/24/855502924.db2.gz BBLYMRAWRXPHST-LLVKDONJSA-N 0 1 299.338 0.621 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCN(C)C(C)=O ZINC001115178411 855650691 /nfs/dbraw/zinc/65/06/91/855650691.db2.gz JWZVWTJWJRHICY-FOLVSLTJSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CNC(=O)C(CC)CC ZINC001115364767 855667127 /nfs/dbraw/zinc/66/71/27/855667127.db2.gz JVDMXNSVZWYLSD-NHAGDIPZSA-N 0 1 291.395 0.218 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@H](C)Nc2cnc(C#N)cn2)n[nH]1 ZINC001115622701 855682301 /nfs/dbraw/zinc/68/23/01/855682301.db2.gz VWSBTQJPQBEIEI-JTQLQIEISA-N 0 1 299.338 0.952 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)NCc2nnc[nH]2)cc1 ZINC001116512638 855779809 /nfs/dbraw/zinc/77/98/09/855779809.db2.gz VUSCHZZNQADHNQ-LLVKDONJSA-N 0 1 257.253 0.026 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC[C@@H](C#N)CCC#N)CC2 ZINC001118085614 856156016 /nfs/dbraw/zinc/15/60/16/856156016.db2.gz HXMNZCWNRCFLOM-VXGBXAGGSA-N 0 1 258.329 0.934 20 30 CCEDMN C[C@H](c1nc([C@H]2CCCN2)no1)n1cnc(C#N)n1 ZINC001118172366 856186270 /nfs/dbraw/zinc/18/62/70/856186270.db2.gz OHWKFAYIGFXHSI-HTQZYQBOSA-N 0 1 259.273 0.567 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@@H](C)N1CC[NH+](C)CC1)C(=O)[O-] ZINC001119586321 856757882 /nfs/dbraw/zinc/75/78/82/856757882.db2.gz FBOPQAPCCUEHEN-CHWSQXEVSA-N 0 1 297.399 0.548 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCCC(=O)N2C)CC1 ZINC001119625479 856786956 /nfs/dbraw/zinc/78/69/56/856786956.db2.gz VTNWUIDTEZWIRI-NSHDSACASA-N 0 1 278.356 0.101 20 30 CCEDMN Cn1cncc1CNC1(CNC(=O)c2c[nH]c(C#N)c2)CC1 ZINC001392902052 912253682 /nfs/dbraw/zinc/25/36/82/912253682.db2.gz MMCUKPNFXSJTJY-UHFFFAOYSA-N 0 1 298.350 0.672 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)C(C)(C)N1CCOCC1 ZINC001323317004 912264610 /nfs/dbraw/zinc/26/46/10/912264610.db2.gz DOXBYYAVNRBCAS-AWEZNQCLSA-N 0 1 293.411 0.311 20 30 CCEDMN CC(C)C#CC(=O)NCC1(NCc2cncn2C)CC1 ZINC001323438202 912344389 /nfs/dbraw/zinc/34/43/89/912344389.db2.gz XJMBNDUQPOMPTK-UHFFFAOYSA-N 0 1 274.368 0.818 20 30 CCEDMN C[C@@H]1C[C@H](C(=O)NC2(C#N)CCN(C)CC2)CCO1 ZINC001323477622 912361923 /nfs/dbraw/zinc/36/19/23/912361923.db2.gz WVEICORVUQJFGD-VXGBXAGGSA-N 0 1 265.357 0.906 20 30 CCEDMN C=CCC[C@@H](C)N1CC(N(C)C(=O)[C@H]2CN(C)CCO2)C1 ZINC001323910032 912579575 /nfs/dbraw/zinc/57/95/75/912579575.db2.gz ZVMYCIKQXVFRPA-UKRRQHHQSA-N 0 1 295.427 0.814 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2cnon2)CCO1 ZINC001393586688 912660724 /nfs/dbraw/zinc/66/07/24/912660724.db2.gz OOKLEEJNYSSXTC-SECBINFHSA-N 0 1 286.719 0.253 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(C)(NC(=O)CCC)CC1 ZINC001324293130 912765436 /nfs/dbraw/zinc/76/54/36/912765436.db2.gz YBBDJPQQEPSVJL-CYBMUJFWSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(C)(NC(=O)CCC)CC1 ZINC001324293131 912765957 /nfs/dbraw/zinc/76/59/57/912765957.db2.gz YBBDJPQQEPSVJL-ZDUSSCGKSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN(C(=O)[C@H]1CO[C@H](C)C1)C1CCN(CC#C)CC1 ZINC001324397124 912825365 /nfs/dbraw/zinc/82/53/65/912825365.db2.gz VIIMGBFDIRAMPH-HUUCEWRRSA-N 0 1 288.391 0.971 20 30 CCEDMN C=C[C@H](COC)NC(=O)NCCN1CCCOCC1 ZINC001324567850 912911545 /nfs/dbraw/zinc/91/15/45/912911545.db2.gz UTCZDCVPGWJNRI-GFCCVEGCSA-N 0 1 271.361 0.209 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@@H](COC)OC)C1 ZINC001324959987 913121092 /nfs/dbraw/zinc/12/10/92/913121092.db2.gz CRWGEJSHWTYLAB-UKRRQHHQSA-N 0 1 282.384 0.499 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C[C@H](C)SC)C1 ZINC001325081164 913194877 /nfs/dbraw/zinc/19/48/77/913194877.db2.gz GMRZAYFYNKFWAQ-GXTWGEPZSA-N 0 1 284.425 0.704 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)c2[nH]c(C)cc2C)C1 ZINC001325110890 913218616 /nfs/dbraw/zinc/21/86/16/913218616.db2.gz OYQHHSKCJTWFHU-INIZCTEOSA-N 0 1 289.379 0.821 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H]2CCC(C)(C)C2)C1 ZINC001325128305 913233882 /nfs/dbraw/zinc/23/38/82/913233882.db2.gz YMVNOPPAXQUPDE-BBRMVZONSA-N 0 1 278.396 0.999 20 30 CCEDMN C#CCN(C)CCNC(=O)c1sc(COC)nc1C ZINC001492831095 913359106 /nfs/dbraw/zinc/35/91/06/913359106.db2.gz SDURILCHMBLDID-UHFFFAOYSA-N 0 1 281.381 0.893 20 30 CCEDMN C[C@H](NCC#N)[C@H]1CCN(C(=O)c2cccc3nn[nH]c32)C1 ZINC001325408828 913389336 /nfs/dbraw/zinc/38/93/36/913389336.db2.gz OFJSXCOKUKVYPU-QWRGUYRKSA-N 0 1 298.350 0.922 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CN(C(=O)[C@@H]3C[C@H]3C)C[C@@H]2C1 ZINC001325717354 913542035 /nfs/dbraw/zinc/54/20/35/913542035.db2.gz ZBNCCOLRSODOIS-YIYPIFLZSA-N 0 1 291.395 0.335 20 30 CCEDMN CC#CC[N@@H+](C)CCNC(=O)c1ccn(C(F)F)n1 ZINC001480869457 891452665 /nfs/dbraw/zinc/45/26/65/891452665.db2.gz KVFKMFGJLOZMBO-UHFFFAOYSA-N 0 1 270.283 0.963 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccn(C(F)F)n1 ZINC001480869457 891452669 /nfs/dbraw/zinc/45/26/69/891452669.db2.gz KVFKMFGJLOZMBO-UHFFFAOYSA-N 0 1 270.283 0.963 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001282724317 891476619 /nfs/dbraw/zinc/47/66/19/891476619.db2.gz GBRMDRRYXKFFGD-OLZOCXBDSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCNC(=O)C(C)(C)C ZINC001480897732 891708664 /nfs/dbraw/zinc/70/86/64/891708664.db2.gz QCQFYVVPNZWJMO-UHFFFAOYSA-N 0 1 281.400 0.610 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)C2=COCCO2)C1 ZINC001325819981 913589109 /nfs/dbraw/zinc/58/91/09/913589109.db2.gz ZEESWXHTRSQOHI-AWEZNQCLSA-N 0 1 282.340 0.004 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@]1(C)CCC(=O)N1)c1ccccc1 ZINC001267369616 891891508 /nfs/dbraw/zinc/89/15/08/891891508.db2.gz FERXUUXMZTYULV-YOEHRIQHSA-N 0 1 299.374 0.735 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1nc2nccc(C)n2n1 ZINC001480942344 891916417 /nfs/dbraw/zinc/91/64/17/891916417.db2.gz NHTLBZVKMQMRID-UHFFFAOYSA-N 0 1 286.339 0.118 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1ccnnc1C ZINC001480986422 892013567 /nfs/dbraw/zinc/01/35/67/892013567.db2.gz UYCMBGHZGVJLPX-UHFFFAOYSA-N 0 1 260.341 0.860 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)c1ccon1 ZINC001481131318 892208837 /nfs/dbraw/zinc/20/88/37/892208837.db2.gz CEOIZFINXODLHR-UHFFFAOYSA-N 0 1 279.340 0.766 20 30 CCEDMN C#CCN(CCNC(=O)Cn1nc(C)cc1C)C1CC1 ZINC001481176785 892273453 /nfs/dbraw/zinc/27/34/53/892273453.db2.gz SJHGSVJMSPEYMP-UHFFFAOYSA-N 0 1 274.368 0.714 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](CO)N[C@@H]1CCN(CC)C1=O ZINC001283759377 892365436 /nfs/dbraw/zinc/36/54/36/892365436.db2.gz GXFLVWSNNJYAJC-QWHCGFSZSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCCCC(=O)NC[C@@H](CO)N[C@H]1CCCN(C)C1=O ZINC001283795052 892417420 /nfs/dbraw/zinc/41/74/20/892417420.db2.gz CDVGZGXAIUKAGJ-STQMWFEESA-N 0 1 297.399 0.030 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](C)c1ccc(F)c(F)c1 ZINC001283831510 892456402 /nfs/dbraw/zinc/45/64/02/892456402.db2.gz LJFMEQMESPUGEP-ZYHUDNBSSA-N 0 1 296.317 0.768 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001009204945 892579635 /nfs/dbraw/zinc/57/96/35/892579635.db2.gz RRYAJHPCYKKTER-RYUDHWBXSA-N 0 1 289.383 0.676 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2oncc2C)CC1 ZINC001481472818 892716458 /nfs/dbraw/zinc/71/64/58/892716458.db2.gz YUVRSFZUUNTWRA-UHFFFAOYSA-N 0 1 292.383 0.859 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CN(C)CC(=O)NC2CC2)C1 ZINC001481480764 892728133 /nfs/dbraw/zinc/72/81/33/892728133.db2.gz YIZJAGMIJZSNCU-NEPJUHHUSA-N 0 1 292.383 0.205 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](CN(C)CC#N)C1 ZINC001481496405 892743496 /nfs/dbraw/zinc/74/34/96/892743496.db2.gz SUFAHIUKYRIEMO-SNVBAGLBSA-N 0 1 262.317 0.031 20 30 CCEDMN COCC#CCN1CC(CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001481630417 892932954 /nfs/dbraw/zinc/93/29/54/892932954.db2.gz JIGAQUFVVFSNIA-HNNXBMFYSA-N 0 1 293.411 0.169 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2nccnc2N)C1 ZINC001481699841 893034390 /nfs/dbraw/zinc/03/43/90/893034390.db2.gz QVVRGGMCAODERC-LLVKDONJSA-N 0 1 291.355 0.066 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CNC(=O)c2ccccc2)C1 ZINC001481708788 893059693 /nfs/dbraw/zinc/05/96/93/893059693.db2.gz MAZNJZAHOHYANN-CQSZACIVSA-N 0 1 287.363 0.793 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)COCc2ncc(C)o2)C1 ZINC001481733042 893104007 /nfs/dbraw/zinc/10/40/07/893104007.db2.gz BXPGWSNPPCYFEC-ZDUSSCGKSA-N 0 1 291.351 0.666 20 30 CCEDMN CC[C@H](CNC(=O)c1[nH]nc2c1CCCC2)NCC#N ZINC001481827723 893192467 /nfs/dbraw/zinc/19/24/67/893192467.db2.gz IHKARACKVAVYLZ-SNVBAGLBSA-N 0 1 275.356 0.910 20 30 CCEDMN C=CCC[C@H](C)N1CCO[C@@H](CNC(=O)CNC(C)=O)C1 ZINC001482178467 893464267 /nfs/dbraw/zinc/46/42/67/893464267.db2.gz KCCSVVAIHSSQCO-JSGCOSHPSA-N 0 1 297.399 0.294 20 30 CCEDMN COCC#CC[N@@H+](C)CCN(C)C(=O)CC1OCCCO1 ZINC001496690419 893709703 /nfs/dbraw/zinc/70/97/03/893709703.db2.gz HHEJNHHBAGVPLG-UHFFFAOYSA-N 0 1 298.383 0.180 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)CC1OCCCO1 ZINC001496690419 893709708 /nfs/dbraw/zinc/70/97/08/893709708.db2.gz HHEJNHHBAGVPLG-UHFFFAOYSA-N 0 1 298.383 0.180 20 30 CCEDMN N#CCC[C@@H](C#N)CNC[C@H](O)C1CCOCC1 ZINC001326230692 913834745 /nfs/dbraw/zinc/83/47/45/913834745.db2.gz DMGFYQHAWQKTBZ-AAEUAGOBSA-N 0 1 251.330 0.807 20 30 CCEDMN C=CCn1cc(CNC(=O)N[C@@H](C)c2nnc[nH]2)nn1 ZINC001326227678 913836599 /nfs/dbraw/zinc/83/65/99/913836599.db2.gz YAUGAZVNYAUIAT-QMMMGPOBSA-N 0 1 276.304 0.143 20 30 CCEDMN Cc1cnc(Cl)cc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC001357446253 894200521 /nfs/dbraw/zinc/20/05/21/894200521.db2.gz QGMGXPKPGSWFBO-WPRPVWTQSA-N 0 1 264.716 0.885 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1cccc(C(N)=O)c1 ZINC001482607105 894398967 /nfs/dbraw/zinc/39/89/67/894398967.db2.gz FUBRKKBQOFGLBA-UHFFFAOYSA-N 0 1 273.336 0.423 20 30 CCEDMN C=C(C)CCC(=O)N[C@@H](C)CCNCc1nnnn1C ZINC001482837587 894642489 /nfs/dbraw/zinc/64/24/89/894642489.db2.gz YIZUTVDIIZKMOU-NSHDSACASA-N 0 1 280.376 0.551 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)N(C)[C@@H]1CCNC1=O ZINC001505928684 894666129 /nfs/dbraw/zinc/66/61/29/894666129.db2.gz LLKBYGICLPFTQP-VXGBXAGGSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)C(C)(F)F ZINC001482976999 894745509 /nfs/dbraw/zinc/74/55/09/894745509.db2.gz VFLIKLHVQYYMSB-PLNGDYQASA-N 0 1 275.299 0.206 20 30 CCEDMN CCN(CC)C(=O)CNC/C=C/CNC(=O)C#CC(C)C ZINC001483006851 894796049 /nfs/dbraw/zinc/79/60/49/894796049.db2.gz ZYSHFMGOJCHRQS-BQYQJAHWSA-N 0 1 293.411 0.776 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC/C=C\CN[C@@H]1CCNC1=O ZINC001483043826 894830303 /nfs/dbraw/zinc/83/03/03/894830303.db2.gz XNIGETXMHWTDIC-FOQNGQEVSA-N 0 1 279.384 0.739 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC001326358661 913909713 /nfs/dbraw/zinc/90/97/13/913909713.db2.gz PEOKWFNBZREVMN-DGCLKSJQSA-N 0 1 279.384 0.668 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CN(C)[C@H]1CCCNC1=O ZINC001483335953 895472129 /nfs/dbraw/zinc/47/21/29/895472129.db2.gz IHQBDASNZNBGOE-STQMWFEESA-N 0 1 279.384 0.361 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCCCN1C(=O)CC ZINC001483341227 895479893 /nfs/dbraw/zinc/47/98/93/895479893.db2.gz IKQYTPZPPLYMCA-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccn2nnnc2c1 ZINC001483370503 895511129 /nfs/dbraw/zinc/51/11/29/895511129.db2.gz OXGHGKZAUUSYQW-NSHDSACASA-N 0 1 286.339 0.198 20 30 CCEDMN C#CC[N@H+](C)C[C@@H](C)NC(=O)c1csc(COC)n1 ZINC001483427700 895561672 /nfs/dbraw/zinc/56/16/72/895561672.db2.gz RUJQDNAAYRGIDS-SNVBAGLBSA-N 0 1 281.381 0.973 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1csc(COC)n1 ZINC001483427700 895561685 /nfs/dbraw/zinc/56/16/85/895561685.db2.gz RUJQDNAAYRGIDS-SNVBAGLBSA-N 0 1 281.381 0.973 20 30 CCEDMN C=CCCC(=O)NC[C@@H](O)CNCc1ccc(F)cn1 ZINC001496949744 895631406 /nfs/dbraw/zinc/63/14/06/895631406.db2.gz KKVFENQXFFBAAM-ZDUSSCGKSA-N 0 1 281.331 0.754 20 30 CCEDMN C#CCOCCC(=O)N(C)CCNCc1ccccn1 ZINC001493545131 895829415 /nfs/dbraw/zinc/82/94/15/895829415.db2.gz HRZOMBBUTZNBEF-UHFFFAOYSA-N 0 1 275.352 0.670 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)C(C)(C)c1c[nH]cn1 ZINC001483693017 895869837 /nfs/dbraw/zinc/86/98/37/895869837.db2.gz AKAILDYYXLUMON-GFCCVEGCSA-N 0 1 274.368 0.901 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001483723702 895917924 /nfs/dbraw/zinc/91/79/24/895917924.db2.gz VLGHTNFSZLGBDQ-WDEREUQCSA-N 0 1 278.356 0.075 20 30 CCEDMN C=CCCC(=O)N1CC[C@@]2(CCN(CC(=O)NC)C2)C1 ZINC001483844505 896068926 /nfs/dbraw/zinc/06/89/26/896068926.db2.gz XDFMPYNFBOHWOG-OAHLLOKOSA-N 0 1 279.384 0.623 20 30 CCEDMN CCCCCCCN1CC(N(C)C(=O)Cn2cnnn2)C1 ZINC001483853738 896090467 /nfs/dbraw/zinc/09/04/67/896090467.db2.gz ZHSHOAOEHLXOSK-UHFFFAOYSA-N 0 1 294.403 0.786 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ccnc(F)c2)C1 ZINC001484208623 896242645 /nfs/dbraw/zinc/24/26/45/896242645.db2.gz LUQVDFDSQIKLMX-AWEZNQCLSA-N 0 1 277.299 0.021 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](O)(CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001484231082 896262572 /nfs/dbraw/zinc/26/25/72/896262572.db2.gz VCKFPKMTZKCZKK-MRXNPFEDSA-N 0 1 298.346 0.363 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cccc3c[nH]nc32)C1 ZINC001484231082 896262583 /nfs/dbraw/zinc/26/25/83/896262583.db2.gz VCKFPKMTZKCZKK-MRXNPFEDSA-N 0 1 298.346 0.363 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H](COC)OC)[C@@H]2C1 ZINC001484473566 896423236 /nfs/dbraw/zinc/42/32/36/896423236.db2.gz ZITLXGUJDYVCPI-BFHYXJOUSA-N 0 1 280.368 0.204 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)Cc1ccc[nH]1 ZINC001484500958 896447075 /nfs/dbraw/zinc/44/70/75/896447075.db2.gz GYDINOGXXBJRAQ-UHFFFAOYSA-N 0 1 285.775 0.720 20 30 CCEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1cnnn1C ZINC001484554557 896466800 /nfs/dbraw/zinc/46/68/00/896466800.db2.gz ZOPDAWAJWAAYIE-UHFFFAOYSA-N 0 1 297.362 0.810 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)[C@@H](C)C#N)CCO1 ZINC001484671219 896528031 /nfs/dbraw/zinc/52/80/31/896528031.db2.gz MWIVPKHTPUYCCM-ONGXEEELSA-N 0 1 271.748 0.716 20 30 CCEDMN CC[C@H](F)CN1CCC(CO)(NC(=O)[C@H](C)C#N)CC1 ZINC001485015303 896720593 /nfs/dbraw/zinc/72/05/93/896720593.db2.gz ANRBMDVEOOLDFW-NEPJUHHUSA-N 0 1 285.363 0.837 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C1=COCCO1 ZINC001485048203 896730990 /nfs/dbraw/zinc/73/09/90/896730990.db2.gz SPUXMHCWZAWDLY-ZDUSSCGKSA-N 0 1 278.352 0.821 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cc(C)ncn1 ZINC001485054371 896744214 /nfs/dbraw/zinc/74/42/14/896744214.db2.gz FPXHGPTXEMKPJV-CYBMUJFWSA-N 0 1 272.352 0.955 20 30 CCEDMN CCNC(=O)CN1CC[C@@H]2CN(C(=O)[C@H](C)C#N)C[C@@H]2C1 ZINC001397209082 914100807 /nfs/dbraw/zinc/10/08/07/914100807.db2.gz JBPVOECDYCRZPB-UPJWGTAASA-N 0 1 292.383 0.062 20 30 CCEDMN CCn1ccc(C(=O)NC[C@@H](C)N(C)CC#CCOC)n1 ZINC001485359133 897004700 /nfs/dbraw/zinc/00/47/00/897004700.db2.gz UXPQYULXJMLHNW-CYBMUJFWSA-N 0 1 292.383 0.603 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)COC[C@H]1CCCO1 ZINC001485395263 897019544 /nfs/dbraw/zinc/01/95/44/897019544.db2.gz LMULKAMLTSZJNV-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC[C@@]2(C1)CN(CC)CCO2 ZINC001485557220 897117588 /nfs/dbraw/zinc/11/75/88/897117588.db2.gz WOKVSJUOOIEIEF-CABCVRRESA-N 0 1 282.384 0.637 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1C[N@H+](CC#CC)CC1(C)C ZINC001485569727 897119634 /nfs/dbraw/zinc/11/96/34/897119634.db2.gz NSDQYTTUVRSAGJ-KBPBESRZSA-N 0 1 276.380 0.875 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1CN(CC#CC)CC1(C)C ZINC001485569727 897119643 /nfs/dbraw/zinc/11/96/43/897119643.db2.gz NSDQYTTUVRSAGJ-KBPBESRZSA-N 0 1 276.380 0.875 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H](CC)NC(C)=O)C(C)(C)C1 ZINC001485575811 897135018 /nfs/dbraw/zinc/13/50/18/897135018.db2.gz TWFQGZAEQXEBRF-QWHCGFSZSA-N 0 1 281.400 0.914 20 30 CCEDMN Cc1nc(CN2C[C@@H]3C[C@H]2CN3C(=O)C#CC2CC2)n[nH]1 ZINC001032397266 897439693 /nfs/dbraw/zinc/43/96/93/897439693.db2.gz RCLYDJDAGMZZGZ-STQMWFEESA-N 0 1 285.351 0.312 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)c2cnnn2C)C1 ZINC001107910214 897488310 /nfs/dbraw/zinc/48/83/10/897488310.db2.gz CUFZKMFWGWRAKU-CQSZACIVSA-N 0 1 293.371 0.212 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1c[nH]cn1 ZINC001032457095 897594896 /nfs/dbraw/zinc/59/48/96/897594896.db2.gz IVTIQIAJDHMDMY-IGQOVBAYSA-N 0 1 284.363 0.822 20 30 CCEDMN Cc1nc([C@H](C)N(C)CCN(C)C(=O)[C@H](C)C#N)n[nH]1 ZINC001367508095 897662957 /nfs/dbraw/zinc/66/29/57/897662957.db2.gz CGMPVYFNISVUNZ-ZJUUUORDSA-N 0 1 278.360 0.724 20 30 CCEDMN C#CCC1(C(=O)N[C@@H]2CN(CCOC)C[C@H]2OC)CCC1 ZINC001212393542 897823832 /nfs/dbraw/zinc/82/38/32/897823832.db2.gz QQUICQVYOOUDFG-ZIAGYGMSSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cc1ncc[nH]1 ZINC001032702486 897944312 /nfs/dbraw/zinc/94/43/12/897944312.db2.gz UIKDWRZILLNWPB-RYUDHWBXSA-N 0 1 258.325 0.261 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C(C)(C)c1cnc[nH]1 ZINC001032731775 898027608 /nfs/dbraw/zinc/02/76/08/898027608.db2.gz LJHSSLQRXAWVCK-STQMWFEESA-N 0 1 286.379 0.996 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccn(C)n1 ZINC001032783794 898186577 /nfs/dbraw/zinc/18/65/77/898186577.db2.gz ROERYKIHIDHXAW-RYUDHWBXSA-N 0 1 260.341 0.895 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(Cl)no2)C1 ZINC001078049399 898204461 /nfs/dbraw/zinc/20/44/61/898204461.db2.gz IODXEIRVGWXPNP-RKDXNWHRSA-N 0 1 285.731 0.679 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2csc(C)n2)C1 ZINC001078142009 898266095 /nfs/dbraw/zinc/26/60/95/898266095.db2.gz BXGAUFWDXBSIJX-CHWSQXEVSA-N 0 1 293.392 0.179 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(C)n1C ZINC001485972995 898547503 /nfs/dbraw/zinc/54/75/03/898547503.db2.gz KDLJZXPKEPYHQK-GFCCVEGCSA-N 0 1 263.341 0.037 20 30 CCEDMN N#Cc1cccc(CN[C@@H](CO)CNC(=O)c2ccn[nH]2)c1 ZINC001485977393 898554804 /nfs/dbraw/zinc/55/48/04/898554804.db2.gz RORMTXHDHSGHFB-CYBMUJFWSA-N 0 1 299.334 0.162 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1CCCc2ccccc21 ZINC001486010079 898604996 /nfs/dbraw/zinc/60/49/96/898604996.db2.gz YTWNQGFBFWMVGN-HOCLYGCPSA-N 0 1 286.375 0.806 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(F)c(Cl)c1 ZINC001486029069 898616554 /nfs/dbraw/zinc/61/65/54/898616554.db2.gz ACPBHAMOSJJLKN-JTQLQIEISA-N 0 1 284.718 0.793 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1(C(F)F)CCC1 ZINC001486028426 898618555 /nfs/dbraw/zinc/61/85/55/898618555.db2.gz VOPIMUONEZBJJM-VIFPVBQESA-N 0 1 260.284 0.122 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc2nc(C)ccc2o1 ZINC001486054757 898641362 /nfs/dbraw/zinc/64/13/62/898641362.db2.gz DLBQHRBVKUEQHA-LLVKDONJSA-N 0 1 287.319 0.450 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H](C)OCC ZINC001486326013 898789214 /nfs/dbraw/zinc/78/92/14/898789214.db2.gz HIAJBZWLQZXOOG-OLZOCXBDSA-N 0 1 270.373 0.186 20 30 CCEDMN C#CCN(C)CCN(C(=O)c1n[nH]cc1F)C(C)C ZINC001486375054 898836698 /nfs/dbraw/zinc/83/66/98/898836698.db2.gz RVOVGTSUEZASSU-UHFFFAOYSA-N 0 1 266.320 0.964 20 30 CCEDMN C#CCCCS(=O)(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000710185408 899049516 /nfs/dbraw/zinc/04/95/16/899049516.db2.gz OIFKKNNUMHAAOG-LLVKDONJSA-N 0 1 256.371 0.556 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](CNC(=O)CN2CCCC2)C1 ZINC001487449705 899198755 /nfs/dbraw/zinc/19/87/55/899198755.db2.gz IXAWHAAOMHZUNN-AVGNSLFASA-N 0 1 292.383 0.253 20 30 CCEDMN C=C(Cl)CN[C@@H](CO)CNC(=O)[C@@H]1C[C@H]1c1cnc[nH]1 ZINC001410676625 899526281 /nfs/dbraw/zinc/52/62/81/899526281.db2.gz KZRZTWFGATWWFV-GMTAPVOTSA-N 0 1 298.774 0.332 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)Cc1cccnc1 ZINC001493092971 899749910 /nfs/dbraw/zinc/74/99/10/899749910.db2.gz KXKYIFCKUITALR-AWEZNQCLSA-N 0 1 257.337 0.838 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CCC[N@H+](C)CC#CC ZINC001327212461 914420806 /nfs/dbraw/zinc/42/08/06/914420806.db2.gz HUDUMBDIUKITSF-CQSZACIVSA-N 0 1 264.369 0.828 20 30 CCEDMN C#CCNC(=O)C[N@H+](C)CCCN(C)C(=O)C1(C)CC1 ZINC001327212782 914420970 /nfs/dbraw/zinc/42/09/70/914420970.db2.gz JPJSOTVPDOZOKX-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)C1(C)CC1 ZINC001327212782 914420976 /nfs/dbraw/zinc/42/09/76/914420976.db2.gz JPJSOTVPDOZOKX-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@@H](C)N(C(=O)c2[nH]nnc2C)C1 ZINC001488203023 900244717 /nfs/dbraw/zinc/24/47/17/900244717.db2.gz OFZOMVUJJFVZLT-KOLCDFICSA-N 0 1 291.355 0.799 20 30 CCEDMN CN(CC#N)CCCN(C)C(=O)[C@H]1CCCCN1C ZINC001327226294 914430463 /nfs/dbraw/zinc/43/04/63/914430463.db2.gz ZJZYKQCMAYSYBA-CYBMUJFWSA-N 0 1 266.389 0.775 20 30 CCEDMN C=CCCCCCN1CC[C@@H]1CN(C)C(=O)C(N)=O ZINC001488654419 900331363 /nfs/dbraw/zinc/33/13/63/900331363.db2.gz MRVZITURZOZSPK-GFCCVEGCSA-N 0 1 267.373 0.751 20 30 CCEDMN C=CCCn1cc(CNC2CCN(C(C)=O)CC2)nn1 ZINC001327234407 914434475 /nfs/dbraw/zinc/43/44/75/914434475.db2.gz HJRSNJLRSAIQFK-UHFFFAOYSA-N 0 1 277.372 0.955 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@H](CC(=C)C)NC(C)=O)[C@H]1C ZINC001489293361 900458502 /nfs/dbraw/zinc/45/85/02/900458502.db2.gz KONBDCFELHUMPC-VHDGCEQUSA-N 0 1 291.395 0.670 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)[C@H]1CC ZINC001490010644 900534702 /nfs/dbraw/zinc/53/47/02/900534702.db2.gz ALWMFEIYDGALFG-WDEREUQCSA-N 0 1 291.355 0.880 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)[C@H]1CC ZINC001490010644 900534712 /nfs/dbraw/zinc/53/47/12/900534712.db2.gz ALWMFEIYDGALFG-WDEREUQCSA-N 0 1 291.355 0.880 20 30 CCEDMN CCN1CC[C@H](N(C)CCCNC(=O)C#CC(C)C)C1=O ZINC001490189328 900565044 /nfs/dbraw/zinc/56/50/44/900565044.db2.gz LIVBFHKGUMRESD-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN CC(C)C#CC(=O)NCCCN(CC(=O)N(C)C)C1CC1 ZINC001490373427 900612987 /nfs/dbraw/zinc/61/29/87/900612987.db2.gz OBWLDNYOJQBBHQ-UHFFFAOYSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2occc2C)CC1 ZINC001490480635 900638125 /nfs/dbraw/zinc/63/81/25/900638125.db2.gz KKEXEXXICLBKHJ-UHFFFAOYSA-N 0 1 289.379 0.959 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2cnon2)C1 ZINC001490567029 900668512 /nfs/dbraw/zinc/66/85/12/900668512.db2.gz HMCPGJXHNWMRRY-SNVBAGLBSA-N 0 1 266.301 0.076 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H](NCC#N)C2CC2)c1C ZINC001490645248 900673573 /nfs/dbraw/zinc/67/35/73/900673573.db2.gz OXQRHAVBUJWDJV-LLVKDONJSA-N 0 1 261.329 0.648 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)c1cc(C)c(C#N)c(C)c1 ZINC001411441158 901061828 /nfs/dbraw/zinc/06/18/28/901061828.db2.gz XFEFRTUXKVLTIS-UHFFFAOYSA-N 0 1 298.306 0.905 20 30 CCEDMN C=CCn1cnnc1S(=O)(=O)[C@@H](C)c1n[nH]c(CC)n1 ZINC001327381136 914507366 /nfs/dbraw/zinc/50/73/66/914507366.db2.gz BSGUDUDTEPUWAD-QMMMGPOBSA-N 0 1 296.356 0.680 20 30 CCEDMN C[C@@H](CNC(=O)c1csc(C#N)c1)N1CCN(C)CC1 ZINC001411763415 901235972 /nfs/dbraw/zinc/23/59/72/901235972.db2.gz GAUJWZKPTSTXAB-NSHDSACASA-N 0 1 292.408 0.985 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cc(C2CC2)n[nH]1 ZINC001275935625 901330623 /nfs/dbraw/zinc/33/06/23/901330623.db2.gz ACYWTQPCCHZXNS-JTQLQIEISA-N 0 1 260.341 0.970 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cc(C2CC2)n[nH]1 ZINC001275935626 901330665 /nfs/dbraw/zinc/33/06/65/901330665.db2.gz ACYWTQPCCHZXNS-SNVBAGLBSA-N 0 1 260.341 0.970 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)CCNC(N)=O)C1 ZINC001448998409 901444451 /nfs/dbraw/zinc/44/44/51/901444451.db2.gz AUVWZZGDHRNJEE-ZJUUUORDSA-N 0 1 288.779 0.376 20 30 CCEDMN N#CCOc1ccc(CNC(=O)CCc2c[nH]nn2)cc1 ZINC001412483516 901759135 /nfs/dbraw/zinc/75/91/35/901759135.db2.gz QGHBBPPAPRYPTD-UHFFFAOYSA-N 0 1 285.307 0.956 20 30 CCEDMN N#CCOc1ccc(CNC(=O)CCc2cnn[nH]2)cc1 ZINC001412483516 901759144 /nfs/dbraw/zinc/75/91/44/901759144.db2.gz QGHBBPPAPRYPTD-UHFFFAOYSA-N 0 1 285.307 0.956 20 30 CCEDMN COc1ncc(NC(=O)CCc2c[nH]nn2)cc1C#N ZINC001412567471 901831570 /nfs/dbraw/zinc/83/15/70/901831570.db2.gz YFVLDQPXJVVXOE-UHFFFAOYSA-N 0 1 272.268 0.651 20 30 CCEDMN COc1ncc(NC(=O)CCc2cnn[nH]2)cc1C#N ZINC001412567471 901831579 /nfs/dbraw/zinc/83/15/79/901831579.db2.gz YFVLDQPXJVVXOE-UHFFFAOYSA-N 0 1 272.268 0.651 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCN(CCCN2CCCC2=O)C1 ZINC001398287241 914601476 /nfs/dbraw/zinc/60/14/76/914601476.db2.gz IGIXWAHSUUBDSP-CHWSQXEVSA-N 0 1 292.383 0.349 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2nnc([C@H]3CCOC3)[nH]2)o1 ZINC001412865967 902314036 /nfs/dbraw/zinc/31/40/36/902314036.db2.gz XWZNOKJPXDUOCJ-QMMMGPOBSA-N 0 1 287.279 0.703 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2n[nH]c([C@H]3CCOC3)n2)o1 ZINC001412865967 902314051 /nfs/dbraw/zinc/31/40/51/902314051.db2.gz XWZNOKJPXDUOCJ-QMMMGPOBSA-N 0 1 287.279 0.703 20 30 CCEDMN N#Cc1c[n-]n2c1nc(C[N@H+](CCO)C1CCC1)cc2=O ZINC001412975860 902421987 /nfs/dbraw/zinc/42/19/87/902421987.db2.gz AUINUCJNCHETTQ-UHFFFAOYSA-N 0 1 287.323 0.653 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](C(=O)N(C)C)C1 ZINC001413008986 902470671 /nfs/dbraw/zinc/47/06/71/902470671.db2.gz HCCFQFSKMNPESB-LBPRGKRZSA-N 0 1 280.372 0.159 20 30 CCEDMN Cc1cc(C[C@@H](C)NS(=O)(=O)N(C)[C@H](C)CC#N)n[nH]1 ZINC001413362685 902904185 /nfs/dbraw/zinc/90/41/85/902904185.db2.gz WIKGUZVSSCEFNU-GHMZBOCLSA-N 0 1 299.400 0.718 20 30 CCEDMN CCN(C)C(=O)CN1CCC[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001491104050 903365313 /nfs/dbraw/zinc/36/53/13/903365313.db2.gz YIBIGDQQAHLCBL-QWHCGFSZSA-N 0 1 294.399 0.453 20 30 CCEDMN C#CCCCCCC(=O)NCCNC(=O)[C@@H]1CCCN1C ZINC001491188234 903430565 /nfs/dbraw/zinc/43/05/65/903430565.db2.gz NZFAXZRNDGDNOK-AWEZNQCLSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCn1cncn1 ZINC001491197712 903438432 /nfs/dbraw/zinc/43/84/32/903438432.db2.gz ZNDIYCPITCZYEV-CYBMUJFWSA-N 0 1 275.356 0.272 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCCN1C(=O)C1(C(N)=O)CC1 ZINC001491261585 903473042 /nfs/dbraw/zinc/47/30/42/903473042.db2.gz YBTHKGZKVALUIA-LLVKDONJSA-N 0 1 299.802 0.975 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cccn2nnnc12 ZINC001491468348 903612201 /nfs/dbraw/zinc/61/22/01/903612201.db2.gz FOIWABHKNPIVEE-VIFPVBQESA-N 0 1 294.746 0.585 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)Cc1cnc[nH]1)N(C)CC#N ZINC001329575723 903811055 /nfs/dbraw/zinc/81/10/55/903811055.db2.gz VZOGUSHOGLRYTD-WDEREUQCSA-N 0 1 263.345 0.548 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)N(C)CCCN(C)CC#N ZINC001280863660 903909542 /nfs/dbraw/zinc/90/95/42/903909542.db2.gz DRMNBEMSZRUHIA-LBPRGKRZSA-N 0 1 277.372 0.892 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)[C@]1(C)CCC(=O)NC1 ZINC001491560443 903956770 /nfs/dbraw/zinc/95/67/70/903956770.db2.gz SGATZAIEDSWLEY-ZWNOBZJWSA-N 0 1 287.791 0.750 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1[nH]c(=O)[nH]c1C ZINC001281943378 903989368 /nfs/dbraw/zinc/98/93/68/903989368.db2.gz ZXGZPIFMFYGRJU-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N2CCN(CCO)CC2)C1 ZINC001280677805 904137121 /nfs/dbraw/zinc/13/71/21/904137121.db2.gz JTEQRBIHAAKODP-CQSZACIVSA-N 0 1 281.400 0.163 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CN(C)C(C)=O)C(C)(C)C1 ZINC001281543484 904303618 /nfs/dbraw/zinc/30/36/18/904303618.db2.gz ZZCQOXIYQKSOOD-CYBMUJFWSA-N 0 1 279.384 0.315 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCC[C@H](C)NCc1nncs1 ZINC001281708075 904333112 /nfs/dbraw/zinc/33/31/12/904333112.db2.gz UCXJGHHFVXBBAZ-GXFFZTMASA-N 0 1 298.412 0.850 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C(C)(C)C(N)=O ZINC001281942136 904372743 /nfs/dbraw/zinc/37/27/43/904372743.db2.gz MPJDJQSPUDJZHD-NSHDSACASA-N 0 1 265.357 0.054 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1ccncn1 ZINC001281964391 904378243 /nfs/dbraw/zinc/37/82/43/904378243.db2.gz GBPLQTIGYLLYTA-GFCCVEGCSA-N 0 1 258.325 0.646 20 30 CCEDMN C[C@H](NCc1cnnn1C)[C@H](C)NC(=O)C#CC1CC1 ZINC001282127550 904418296 /nfs/dbraw/zinc/41/82/96/904418296.db2.gz BOJXHMSBZXNISD-QWRGUYRKSA-N 0 1 275.356 0.211 20 30 CCEDMN C[C@@H](NC(=O)c1cncc2nc[nH]c21)[C@H](C)NCC#N ZINC001282129220 904421048 /nfs/dbraw/zinc/42/10/48/904421048.db2.gz QMHBIKZPZZQNPS-DTWKUNHWSA-N 0 1 272.312 0.578 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)C[C@](C)(O)C1CC1 ZINC001282370791 904459445 /nfs/dbraw/zinc/45/94/45/904459445.db2.gz AEIGVSFKOUZETH-DOMZBBRYSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1ccc(OC)nn1 ZINC001282478505 904486622 /nfs/dbraw/zinc/48/66/22/904486622.db2.gz PFUHHRMFEVABGZ-SNVBAGLBSA-N 0 1 262.313 0.169 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCCNCc1ccn(C)n1 ZINC001283144764 904708937 /nfs/dbraw/zinc/70/89/37/904708937.db2.gz BHWHSKJAGPGBLK-NEPJUHHUSA-N 0 1 277.372 0.954 20 30 CCEDMN C=C(Cl)CN(CC)CCNC(=O)Cc1n[nH]c(C)n1 ZINC001377309794 904773251 /nfs/dbraw/zinc/77/32/51/904773251.db2.gz SMFOTMBQQWKEGC-UHFFFAOYSA-N 0 1 285.779 0.846 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N[C@@H](C)CCCNCC#N ZINC001283247787 904777593 /nfs/dbraw/zinc/77/75/93/904777593.db2.gz IAQAJSCWAWFDGT-NEPJUHHUSA-N 0 1 277.372 0.986 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1cnc(C)n1C ZINC001283405005 904837839 /nfs/dbraw/zinc/83/78/39/904837839.db2.gz ALGLCJBJMGYTBS-UHFFFAOYSA-N 0 1 292.383 0.430 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@H]1CCO[C@H]1C ZINC001283416098 904840920 /nfs/dbraw/zinc/84/09/20/904840920.db2.gz JOZKRMIHYWVOOB-KBPBESRZSA-N 0 1 282.384 0.499 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccccc1F ZINC001283732774 904978868 /nfs/dbraw/zinc/97/88/68/904978868.db2.gz IEXUYPREYDCGND-SNVBAGLBSA-N 0 1 250.273 0.139 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)C1(Cc2ccccc2)CC1 ZINC001283763514 905002150 /nfs/dbraw/zinc/00/21/50/905002150.db2.gz HYHVHFNJWDYZPZ-HNNXBMFYSA-N 0 1 286.375 0.709 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)CCc1ccc2[nH]ccc2c1 ZINC001283782025 905014730 /nfs/dbraw/zinc/01/47/30/905014730.db2.gz MYZWNSBNGQZOIL-HNNXBMFYSA-N 0 1 299.374 0.800 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)Cc1ccc(C)s1 ZINC001283831000 905043836 /nfs/dbraw/zinc/04/38/36/905043836.db2.gz IEYDVYYXAGSDAT-LBPRGKRZSA-N 0 1 280.393 0.689 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)[C@@H]2CCCN2C)C1 ZINC001283844654 905060415 /nfs/dbraw/zinc/06/04/15/905060415.db2.gz DMUBOKFOYKHPHK-STQMWFEESA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)NC(=O)c1[nH]ncc1F ZINC001284210510 905194732 /nfs/dbraw/zinc/19/47/32/905194732.db2.gz YLPXHHLCQMJVHS-QMMMGPOBSA-N 0 1 268.292 0.750 20 30 CCEDMN C#CCNC(=O)CCN[C@@H](C)C(=O)Nc1ccccc1 ZINC001336955508 921126463 /nfs/dbraw/zinc/12/64/63/921126463.db2.gz GDOVSFKEAUGFDA-LBPRGKRZSA-N 0 1 273.336 0.743 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CC(C)(C)CNCc1nncn1C ZINC001377940254 905259235 /nfs/dbraw/zinc/25/92/35/905259235.db2.gz MDUXZLQVCMTACD-LLVKDONJSA-N 0 1 292.387 0.549 20 30 CCEDMN CC#CC[N@H+](C)C[C@H](O)CN(C)C(=O)[C@@H]1CCCCN1C ZINC001284501414 905334340 /nfs/dbraw/zinc/33/43/40/905334340.db2.gz DHKLDKRTHGIZFV-GJZGRUSLSA-N 0 1 295.427 0.245 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H]1[C@H]2[C@@H]1[C@@H]1CC[C@H]2C1 ZINC001284518332 905352086 /nfs/dbraw/zinc/35/20/86/905352086.db2.gz BDLMIFKDUNRDPB-ZTYXSZCMSA-N 0 1 290.407 0.663 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)Cc1csc(C)n1 ZINC001284520387 905356735 /nfs/dbraw/zinc/35/67/35/905356735.db2.gz SKLOHNRMOPCKOX-CYBMUJFWSA-N 0 1 295.408 0.378 20 30 CCEDMN C#CCCCCC(=O)N1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001284948082 905503705 /nfs/dbraw/zinc/50/37/05/905503705.db2.gz XYHNPTVCDXRYKC-UHFFFAOYSA-N 0 1 288.351 0.473 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001285388809 905611604 /nfs/dbraw/zinc/61/16/04/905611604.db2.gz DUMDORVITOJOLG-MNOVXSKESA-N 0 1 291.355 0.621 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001285388809 905611616 /nfs/dbraw/zinc/61/16/16/905611616.db2.gz DUMDORVITOJOLG-MNOVXSKESA-N 0 1 291.355 0.621 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001285657183 905707079 /nfs/dbraw/zinc/70/70/79/905707079.db2.gz QSJLBRMGOJDQCH-SECBINFHSA-N 0 1 265.317 0.396 20 30 CCEDMN C=CCCC(=O)N(C)[C@@H](C)CNC(=O)Cc1n[nH]c(C)n1 ZINC001285673830 905716211 /nfs/dbraw/zinc/71/62/11/905716211.db2.gz NUNXWUDTIWLMEP-JTQLQIEISA-N 0 1 293.371 0.585 20 30 CCEDMN Cc1oncc1CN1C[C@@H]2[C@@H](CNC(=O)[C@@H](C)C#N)[C@@H]2C1 ZINC001378685393 905760606 /nfs/dbraw/zinc/76/06/06/905760606.db2.gz JQEOBBOJFNTPLB-NZPIUUIZSA-N 0 1 288.351 0.937 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H]1CNC(=O)[C@@H]1CCCN1C ZINC001285840528 905781777 /nfs/dbraw/zinc/78/17/77/905781777.db2.gz HQZGCRUHEVAXCH-KGLIPLIRSA-N 0 1 291.395 0.457 20 30 CCEDMN C=CC[NH+]1CCC(NC(=O)c2cnncc2[O-])CC1 ZINC001337122682 921193016 /nfs/dbraw/zinc/19/30/16/921193016.db2.gz ALLHYUOVGABSTN-UHFFFAOYSA-N 0 1 262.313 0.562 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CCC(F)F ZINC001379229676 906079050 /nfs/dbraw/zinc/07/90/50/906079050.db2.gz IOOYSHNJMUUIRY-MRVPVSSYSA-N 0 1 270.707 0.851 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1nnn(C)c1C ZINC001379346809 906170729 /nfs/dbraw/zinc/17/07/29/906170729.db2.gz XZPUHFXRPXMKEG-MRVPVSSYSA-N 0 1 271.752 0.584 20 30 CCEDMN C#Cc1cnc(N[C@@H](C)[C@@H]2CN(C)CCN2C)nc1 ZINC001337266330 921226708 /nfs/dbraw/zinc/22/67/08/921226708.db2.gz BWAUXICEXADZEN-AAEUAGOBSA-N 0 1 259.357 0.504 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)CCN1CC[C@@H](F)C1 ZINC001294686613 906615782 /nfs/dbraw/zinc/61/57/82/906615782.db2.gz ZAMMEVUKSUXABO-PWSUYJOCSA-N 0 1 257.309 0.465 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)CCCNC(=O)c2ncn[nH]2)C1 ZINC001294247727 906572099 /nfs/dbraw/zinc/57/20/99/906572099.db2.gz UEGGSOGHAFHYRJ-UHFFFAOYSA-N 0 1 291.355 0.739 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)CCCNC(=O)c2nc[nH]n2)C1 ZINC001294247727 906572108 /nfs/dbraw/zinc/57/21/08/906572108.db2.gz UEGGSOGHAFHYRJ-UHFFFAOYSA-N 0 1 291.355 0.739 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)CNC(=O)c2ncn[nH]2)cc1 ZINC001295607364 906767986 /nfs/dbraw/zinc/76/79/86/906767986.db2.gz RBZJNWKQFLOQLE-SNVBAGLBSA-N 0 1 297.318 0.334 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)CNC(=O)c2nc[nH]n2)cc1 ZINC001295607364 906767999 /nfs/dbraw/zinc/76/79/99/906767999.db2.gz RBZJNWKQFLOQLE-SNVBAGLBSA-N 0 1 297.318 0.334 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001295644763 906777160 /nfs/dbraw/zinc/77/71/60/906777160.db2.gz XBVHZVWVJRECDR-UHFFFAOYSA-N 0 1 299.334 0.428 20 30 CCEDMN C=C(C)CCC(=O)NCCN(C)C(=O)Cc1ccn[nH]1 ZINC001296098705 906863735 /nfs/dbraw/zinc/86/37/35/906863735.db2.gz AJGKQXRREXMBAM-UHFFFAOYSA-N 0 1 278.356 0.883 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2cn[nH]c2)CCO1 ZINC001380905441 906986459 /nfs/dbraw/zinc/98/64/59/906986459.db2.gz UFAMUFQTVAWYGA-LLVKDONJSA-N 0 1 284.747 0.593 20 30 CCEDMN C=CCCC(=O)N[C@@H](CO)CNC(=O)[C@@H]1CCCCN1C ZINC001337803860 921294618 /nfs/dbraw/zinc/29/46/18/921294618.db2.gz ZZTWIOHLQPKYRP-OLZOCXBDSA-N 0 1 297.399 0.030 20 30 CCEDMN C#CCCCCC(=O)NC[C@H](C)NC(=O)c1[nH]ncc1F ZINC001298868488 907345343 /nfs/dbraw/zinc/34/53/43/907345343.db2.gz FBDNTFYCVMYMLK-JTQLQIEISA-N 0 1 294.330 0.977 20 30 CCEDMN C=CCN1CC[C@H](N(C)c2nccnc2CN)C1=O ZINC001337974664 921329876 /nfs/dbraw/zinc/32/98/76/921329876.db2.gz SXEQVOHUDWMUPI-NSHDSACASA-N 0 1 261.329 0.158 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CCCC(N)=O ZINC001382165544 907582947 /nfs/dbraw/zinc/58/29/47/907582947.db2.gz SISIQLJOPILGNG-SNVBAGLBSA-N 0 1 275.780 0.831 20 30 CCEDMN CC(C)C#CC(=O)N1CCC[C@H](N(C)[C@H]2CCNC2=O)C1 ZINC001491924738 907641648 /nfs/dbraw/zinc/64/16/48/907641648.db2.gz IQXNHDBGPDPYQQ-KBPBESRZSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)Cc1cc[nH]n1 ZINC001382391632 907718824 /nfs/dbraw/zinc/71/88/24/907718824.db2.gz YFIYUWDUNZWCOO-LLVKDONJSA-N 0 1 286.763 0.114 20 30 CCEDMN N#CCN1CCC[C@@H]1CCCNC(=O)Cc1cnc[nH]1 ZINC001492171535 907726818 /nfs/dbraw/zinc/72/68/18/907726818.db2.gz OYRYZGVXORVSRM-ZDUSSCGKSA-N 0 1 275.356 0.837 20 30 CCEDMN C=CCCC(=O)N1C[C@H](NC(=O)Cc2nnc[nH]2)C[C@@H]1C ZINC001338053905 921352088 /nfs/dbraw/zinc/35/20/88/921352088.db2.gz XGLOBPXJXKMMPA-WDEREUQCSA-N 0 1 291.355 0.419 20 30 CCEDMN CC#CCN(C)CCNC(=O)CC1OCCCO1 ZINC001492234197 907784700 /nfs/dbraw/zinc/78/47/00/907784700.db2.gz MSGDTAAVFIORRZ-UHFFFAOYSA-N 0 1 254.330 0.211 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@@H]1CCOC[C@@H]1OC ZINC001492278293 907827613 /nfs/dbraw/zinc/82/76/13/907827613.db2.gz LOCCPGFPNRSSGC-STQMWFEESA-N 0 1 268.357 0.109 20 30 CCEDMN C#CCN(C(=O)c1cc(S(N)(=O)=O)ccc1O)C(C)C ZINC001303928354 908127053 /nfs/dbraw/zinc/12/70/53/908127053.db2.gz HVWRPHAEGQABTG-UHFFFAOYSA-N 0 1 296.348 0.523 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H](C(=O)NC2CC2)C1 ZINC001338256862 921409827 /nfs/dbraw/zinc/40/98/27/921409827.db2.gz GLTLSNNIBJMLQR-NSHDSACASA-N 0 1 265.357 0.279 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1cccc2c1OCO2 ZINC001311660915 908561355 /nfs/dbraw/zinc/56/13/55/908561355.db2.gz MMVZGKORANRIEH-UHFFFAOYSA-N 0 1 289.335 0.780 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN([C@H](C)C(=O)NC)C2)C1 ZINC001317529946 908598467 /nfs/dbraw/zinc/59/84/67/908598467.db2.gz DCBGFBGUDXGFJR-OLZOCXBDSA-N 0 1 293.411 0.915 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)CN3CCCC3=O)[nH]c2c1 ZINC001417570216 921423498 /nfs/dbraw/zinc/42/34/98/921423498.db2.gz UGXSFQZWLUTJTI-UHFFFAOYSA-N 0 1 283.291 0.995 20 30 CCEDMN C[C@@H]1CC[C@@H](CNC(=O)NCC#CCN(C)C)O1 ZINC001312829814 908634350 /nfs/dbraw/zinc/63/43/50/908634350.db2.gz KUAJYEIJGOYTMI-NEPJUHHUSA-N 0 1 253.346 0.418 20 30 CCEDMN CN(C)CC#CCNC(=O)NCCC1(CO)CC1 ZINC001313439542 908667674 /nfs/dbraw/zinc/66/76/74/908667674.db2.gz NEWRXKNWQTZAKN-UHFFFAOYSA-N 0 1 253.346 0.013 20 30 CCEDMN C#C[C@H]1CCCN(c2nnc(-c3c[nH]nn3)n2C)C1 ZINC001338309178 921427174 /nfs/dbraw/zinc/42/71/74/921427174.db2.gz FBZZHNIFNUHZQX-VIFPVBQESA-N 0 1 257.301 0.450 20 30 CCEDMN Cc1conc1CN[C@H]1C[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001317073235 908736768 /nfs/dbraw/zinc/73/67/68/908736768.db2.gz NANITSVCYRYDMW-FBIMIBRVSA-N 0 1 262.313 0.880 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)CO[C@H](C)CC)C1 ZINC001316751133 908765064 /nfs/dbraw/zinc/76/50/64/908765064.db2.gz YQFFSQKVLMZBFQ-HUUCEWRRSA-N 0 1 296.411 0.720 20 30 CCEDMN Cc1cc(CN(C)CCCNC(=O)[C@H](C)C#N)ncn1 ZINC001316816083 908783468 /nfs/dbraw/zinc/78/34/68/908783468.db2.gz WNTRTYSUTRNKGR-LLVKDONJSA-N 0 1 275.356 0.883 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H]1CC[C@@H](C)C1 ZINC001316853954 908807617 /nfs/dbraw/zinc/80/76/17/908807617.db2.gz IZHKQQLCPANROA-ZIAGYGMSSA-N 0 1 293.411 0.610 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H](CC(=C)C)NC(C)=O)C1 ZINC001316988876 908903844 /nfs/dbraw/zinc/90/38/44/908903844.db2.gz LBMXKDLZOSXKMB-KGLIPLIRSA-N 0 1 279.384 0.834 20 30 CCEDMN C=CCOCC(=O)N[C@H]1C[C@@H](NCc2cc(OC)no2)C1 ZINC001317108775 908985012 /nfs/dbraw/zinc/98/50/12/908985012.db2.gz YMYYODCGKPCCQF-PHIMTYICSA-N 0 1 295.339 0.623 20 30 CCEDMN C[C@H](NC(=O)CCc1c[nH]nn1)[C@@H]1CCCN(CC#N)C1 ZINC001317210131 909045952 /nfs/dbraw/zinc/04/59/52/909045952.db2.gz SEJMKRURCYJXRV-NWDGAFQWSA-N 0 1 290.371 0.478 20 30 CCEDMN C[C@H](NC(=O)CCc1cnn[nH]1)[C@@H]1CCCN(CC#N)C1 ZINC001317210131 909045969 /nfs/dbraw/zinc/04/59/69/909045969.db2.gz SEJMKRURCYJXRV-NWDGAFQWSA-N 0 1 290.371 0.478 20 30 CCEDMN N#CCCN(Cc1ccco1)C(=O)CCc1nn[nH]n1 ZINC001417621998 921465155 /nfs/dbraw/zinc/46/51/55/921465155.db2.gz WCAZKIGGAAPZMM-UHFFFAOYSA-N 0 1 274.284 0.668 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)NCc1ocnc1C ZINC001317236149 909075050 /nfs/dbraw/zinc/07/50/50/909075050.db2.gz QYDYRGHHHDWDIW-YGRLFVJLSA-N 0 1 281.356 0.904 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCN(C)CCc1ccns1 ZINC001317447979 909221072 /nfs/dbraw/zinc/22/10/72/909221072.db2.gz KUPFDGBQWSLRLV-LBPRGKRZSA-N 0 1 295.408 0.772 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H](C)c1cnn(C)c1 ZINC001317491702 909261019 /nfs/dbraw/zinc/26/10/19/909261019.db2.gz LKEXUGDQCSXAMB-CYBMUJFWSA-N 0 1 276.384 0.985 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)Cc1ccc(C(N)=O)cc1 ZINC001317514940 909280247 /nfs/dbraw/zinc/28/02/47/909280247.db2.gz ZIZLOCMSRFQVIJ-HNNXBMFYSA-N 0 1 299.374 0.542 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)CCCF)C1 ZINC001317527002 909297954 /nfs/dbraw/zinc/29/79/54/909297954.db2.gz KOKMWPYTGQEHIF-LBPRGKRZSA-N 0 1 285.363 0.476 20 30 CCEDMN C=C(Cl)CN(CCCNC(=O)CC(N)=O)C(C)C ZINC001317684729 909467759 /nfs/dbraw/zinc/46/77/59/909467759.db2.gz VHVUEMGBQCVYOC-UHFFFAOYSA-N 0 1 275.780 0.831 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCC[C@@H]1CCN(CC#N)C1 ZINC001317786578 909494434 /nfs/dbraw/zinc/49/44/34/909494434.db2.gz ARRRLPRPPHQJGA-ZIAGYGMSSA-N 0 1 278.400 0.822 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)c2ccccn2)CC1 ZINC001317812681 909512090 /nfs/dbraw/zinc/51/20/90/909512090.db2.gz APPTZHQCTCZLQS-UHFFFAOYSA-N 0 1 288.395 0.957 20 30 CCEDMN C=CCCCN1CC(NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001318017061 909579494 /nfs/dbraw/zinc/57/94/94/909579494.db2.gz XJOVEYHLNZGWSX-LLVKDONJSA-N 0 1 266.341 0.706 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001318454707 909764897 /nfs/dbraw/zinc/76/48/97/909764897.db2.gz WCJVMFKUBTYYCE-CHWSQXEVSA-N 0 1 281.400 0.853 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](CC(C)C)C(N)=O)C1 ZINC001318454707 909764902 /nfs/dbraw/zinc/76/49/02/909764902.db2.gz WCJVMFKUBTYYCE-CHWSQXEVSA-N 0 1 281.400 0.853 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)Cn2cccn2)C1 ZINC001318458319 909767201 /nfs/dbraw/zinc/76/72/01/909767201.db2.gz KPFJHAQAAUZACI-AWEZNQCLSA-N 0 1 274.368 0.829 20 30 CCEDMN C#CCOCCN(C)[C@H](C)C(=O)NC(=O)NC(C)(C)C ZINC001319772922 910289799 /nfs/dbraw/zinc/28/97/99/910289799.db2.gz IFEOWCITEBSKNC-LLVKDONJSA-N 0 1 283.372 0.581 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1coc(OC)n1 ZINC001320028914 910421775 /nfs/dbraw/zinc/42/17/75/910421775.db2.gz HQDMQIGWCZTHKU-UHFFFAOYSA-N 0 1 251.286 0.320 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CCNCc1cnon1 ZINC001320396214 910656268 /nfs/dbraw/zinc/65/62/68/910656268.db2.gz IFEJQSKJGPEDKG-NSHDSACASA-N 0 1 264.329 0.858 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](CCNC(=O)c2nnn(C)n2)C1 ZINC001391310210 911031683 /nfs/dbraw/zinc/03/16/83/911031683.db2.gz FVBPJBHFQMODKT-SNVBAGLBSA-N 0 1 298.778 0.404 20 30 CCEDMN C=C1CCC(C(=O)NCC[N@H+](C)CC(=O)[O-])CC1 ZINC001588650050 983771574 /nfs/dbraw/zinc/77/15/74/983771574.db2.gz VEBRFMWHULRYIE-UHFFFAOYSA-N 0 1 254.330 0.865 20 30 CCEDMN CO[C@@H](C(=O)NC1(C#N)CCN(C)CC1)c1cnn(C)c1 ZINC001321150514 911121114 /nfs/dbraw/zinc/12/11/14/911121114.db2.gz SPGAQYXJACYWGZ-GFCCVEGCSA-N 0 1 291.355 0.212 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)c1c[nH]c(=O)cn1 ZINC001321211780 911163314 /nfs/dbraw/zinc/16/33/14/911163314.db2.gz RUEUMAYUKIVEID-IHWYPQMZSA-N 0 1 282.731 0.398 20 30 CCEDMN C=C[C@H](COC)NCc1c(C)nn(CCO)c1C ZINC001321239681 911184020 /nfs/dbraw/zinc/18/40/20/911184020.db2.gz NHKCTXTYDBWGRX-GFCCVEGCSA-N 0 1 253.346 0.783 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)CNCC(=C)Cl ZINC001321514606 911342501 /nfs/dbraw/zinc/34/25/01/911342501.db2.gz XLTCODFWBJORRY-LLVKDONJSA-N 0 1 258.749 0.873 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cnnn1C ZINC001321499695 911331830 /nfs/dbraw/zinc/33/18/30/911331830.db2.gz KVWZJKGASDTOKR-MRVPVSSYSA-N 0 1 257.725 0.276 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CNCc1ccc(F)cn1 ZINC001321815103 911504563 /nfs/dbraw/zinc/50/45/63/911504563.db2.gz UWFLCYFSVVJEEG-ZJUUUORDSA-N 0 1 264.304 0.975 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1cccc(=O)[nH]1 ZINC001322134845 911676681 /nfs/dbraw/zinc/67/66/81/911676681.db2.gz LIMBVPVWPINMIY-LBPRGKRZSA-N 0 1 291.351 0.487 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)C(=O)N1CCC[C@H](C#N)C1 ZINC001339224787 921685570 /nfs/dbraw/zinc/68/55/70/921685570.db2.gz QHBGDMGFXRBICJ-OLZOCXBDSA-N 0 1 292.383 0.205 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001322232062 911718651 /nfs/dbraw/zinc/71/86/51/911718651.db2.gz ZERVRSFBYLDEGW-VXGBXAGGSA-N 0 1 289.383 0.684 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1ccc2nncn2c1 ZINC001322262367 911732320 /nfs/dbraw/zinc/73/23/20/911732320.db2.gz NYMNBUVPGGTALY-LBPRGKRZSA-N 0 1 285.351 0.803 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)Cn1nccc1C ZINC001322258910 911734071 /nfs/dbraw/zinc/73/40/71/911734071.db2.gz RWCHDLPQQOISPC-CYBMUJFWSA-N 0 1 292.383 0.278 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@H]1OCC[C@H]1CC ZINC001392370860 911764541 /nfs/dbraw/zinc/76/45/41/911764541.db2.gz AVXUWXKAVRREDF-UTUOFQBUSA-N 0 1 290.791 0.621 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CCc1cccnc1 ZINC001392390618 911777129 /nfs/dbraw/zinc/77/71/29/911777129.db2.gz RGCWJAOPJMXKKZ-ZDUSSCGKSA-N 0 1 297.786 0.833 20 30 CCEDMN CN1CC[C@@H]2CN(S(=O)(=O)c3cccnc3C#N)C[C@@H]21 ZINC001475318048 915115200 /nfs/dbraw/zinc/11/52/00/915115200.db2.gz OKMIHDGKJJTNHN-PWSUYJOCSA-N 0 1 292.364 0.278 20 30 CCEDMN C=CC[C@@H](NC(=O)CCCC[NH+]1CCOCC1)C(=O)[O-] ZINC001339524605 921748033 /nfs/dbraw/zinc/74/80/33/921748033.db2.gz ZNCBHOOWILPBDC-GFCCVEGCSA-N 0 1 284.356 0.634 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H]1C[N@@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001328707989 915371107 /nfs/dbraw/zinc/37/11/07/915371107.db2.gz UNWSOXRNNGGWAW-NSHDSACASA-N 0 1 293.371 0.899 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H]1C[N@H+](C)Cc1n[nH]c(=O)[n-]1 ZINC001328707989 915371127 /nfs/dbraw/zinc/37/11/27/915371127.db2.gz UNWSOXRNNGGWAW-NSHDSACASA-N 0 1 293.371 0.899 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C(C)(C)C(N)=O ZINC001328731787 915392959 /nfs/dbraw/zinc/39/29/59/915392959.db2.gz ABSWBUPZJCIWOW-LBPRGKRZSA-N 0 1 279.384 0.444 20 30 CCEDMN N#Cc1ccc(CC(=O)N2CCc3nc[nH]c3C2)cn1 ZINC001329077558 915648864 /nfs/dbraw/zinc/64/88/64/915648864.db2.gz RDUQYMXCWNPJME-UHFFFAOYSA-N 0 1 267.292 0.804 20 30 CCEDMN Cc1nc(CN2CCC[C@@H](NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001400275698 915655569 /nfs/dbraw/zinc/65/55/69/915655569.db2.gz FPHDVBVRZUFKSD-MWLCHTKSSA-N 0 1 276.344 0.353 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C(=O)NCc2cn[nH]c2C)C1 ZINC001329181367 915728908 /nfs/dbraw/zinc/72/89/08/915728908.db2.gz ZCALGTDKGGOVSE-LLVKDONJSA-N 0 1 274.324 0.206 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1ccc(C)nn1 ZINC001329433181 915912113 /nfs/dbraw/zinc/91/21/13/915912113.db2.gz WBJXBFPXSUCCTC-ZDUSSCGKSA-N 0 1 290.367 0.485 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1c(C)ncn1C ZINC001329473392 915945642 /nfs/dbraw/zinc/94/56/42/915945642.db2.gz ZGOGNHUWTBZTDZ-LBPRGKRZSA-N 0 1 292.383 0.428 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccnc(OC)c1 ZINC001329486244 915956053 /nfs/dbraw/zinc/95/60/53/915956053.db2.gz KMHIGEUDHZTMGQ-NSHDSACASA-N 0 1 261.325 0.774 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)N(C)[C@H](CC)C(N)=O ZINC001329524752 915987304 /nfs/dbraw/zinc/98/73/04/915987304.db2.gz RTDVGYBWMKNSLF-GHMZBOCLSA-N 0 1 269.389 0.899 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)c1cccc(-n2cccn2)n1 ZINC001329538258 915993903 /nfs/dbraw/zinc/99/39/03/915993903.db2.gz AUNCEKIKBIJKRM-CYBMUJFWSA-N 0 1 297.362 0.951 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H]1CCCCN(C)C1=O ZINC001329592677 916046836 /nfs/dbraw/zinc/04/68/36/916046836.db2.gz SJUXVNWUJLXMQH-CHWSQXEVSA-N 0 1 279.384 0.315 20 30 CCEDMN C[C@H](C#N)CNC[C@@H](O)CC1(C#N)CCOCC1 ZINC001329594495 916050315 /nfs/dbraw/zinc/05/03/15/916050315.db2.gz BMOZYPQIZAVCBQ-NEPJUHHUSA-N 0 1 251.330 0.807 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1COc2ccccc2O1 ZINC001329608782 916060825 /nfs/dbraw/zinc/06/08/25/916060825.db2.gz NGLNQRIFQGCWSF-DOMZBBRYSA-N 0 1 288.347 0.896 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cnc(C)cn1 ZINC001401492543 916281066 /nfs/dbraw/zinc/28/10/66/916281066.db2.gz NTJAAFDIGNOGBU-JTQLQIEISA-N 0 1 284.747 0.218 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)C1(COC)CC1 ZINC001401560799 916329120 /nfs/dbraw/zinc/32/91/20/916329120.db2.gz XSUQTGNPBKMDHY-SNVBAGLBSA-N 0 1 276.764 0.232 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1ccc2n[nH]nc2n1 ZINC001401627121 916405924 /nfs/dbraw/zinc/40/59/24/916405924.db2.gz IQYUNAVFWFOFAD-MRVPVSSYSA-N 0 1 294.746 0.813 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cccn(C)c1=O ZINC001401658625 916439807 /nfs/dbraw/zinc/43/98/07/916439807.db2.gz REJKNQHPPVCAQS-SNVBAGLBSA-N 0 1 283.759 0.846 20 30 CCEDMN CC(=O)NCC(=O)N[C@@H](C)CNCc1ccccc1C#N ZINC001401672012 916453340 /nfs/dbraw/zinc/45/33/40/916453340.db2.gz TVAUTPSZJWJEAO-NSHDSACASA-N 0 1 288.351 0.289 20 30 CCEDMN CC(C)NC(=O)NCCN(C)C[C@H](C)NC(=O)[C@H](C)C#N ZINC001401729632 916518422 /nfs/dbraw/zinc/51/84/22/916518422.db2.gz BINJPHYISHGHBO-NEPJUHHUSA-N 0 1 297.403 0.290 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H]1CCC(=O)N1)C1CC1 ZINC001401866847 916643778 /nfs/dbraw/zinc/64/37/78/916643778.db2.gz IRTVQKZFZVWORP-WDEREUQCSA-N 0 1 285.775 0.502 20 30 CCEDMN CCn1nncc1CNC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001401934992 916714406 /nfs/dbraw/zinc/71/44/06/916714406.db2.gz BTWJQMYXADJUFR-JTQLQIEISA-N 0 1 276.344 0.196 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)Cn1ncnn1 ZINC001402047506 916774259 /nfs/dbraw/zinc/77/42/59/916774259.db2.gz KGVUPSIUPMBJRX-SNVBAGLBSA-N 0 1 284.751 0.006 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](COC)OC)C1 ZINC001402453355 917023500 /nfs/dbraw/zinc/02/35/00/917023500.db2.gz SVXXFXRBNKHGQP-QWRGUYRKSA-N 0 1 276.764 0.591 20 30 CCEDMN CNC(=O)[C@@H](C)[N@@H+](C)C1CCN(C(=O)C#CC2CC2)CC1 ZINC001331015785 917023649 /nfs/dbraw/zinc/02/36/49/917023649.db2.gz HSLFTIIPJAVUGZ-GFCCVEGCSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@H](C)OC ZINC001331103364 917087568 /nfs/dbraw/zinc/08/75/68/917087568.db2.gz XBGJEAACUDOOQY-LBPRGKRZSA-N 0 1 256.346 0.109 20 30 CCEDMN C=CC[C@H]1CCN(C(=O)C(=O)NCc2cn[nH]c2C)C1 ZINC001331144808 917124158 /nfs/dbraw/zinc/12/41/58/917124158.db2.gz GYMPEILZUJWPGZ-NSHDSACASA-N 0 1 276.340 0.759 20 30 CCEDMN COc1cncc(CN[C@@H](C)CNC(=O)[C@H](C)C#N)n1 ZINC001402847979 917282381 /nfs/dbraw/zinc/28/23/81/917282381.db2.gz FRODWRJYRYNBFP-ZJUUUORDSA-N 0 1 277.328 0.239 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N1CC[C@@H](N2CC=CC2)C1 ZINC001331387549 917299921 /nfs/dbraw/zinc/29/99/21/917299921.db2.gz MNPPKRUTISHUHL-CYBMUJFWSA-N 0 1 277.368 0.494 20 30 CCEDMN C#CC[C@H](COC)NCc1c(C)cnn1COC ZINC001331532683 917402250 /nfs/dbraw/zinc/40/22/50/917402250.db2.gz JSMCNTBYNCGUHI-GFCCVEGCSA-N 0 1 251.330 0.923 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1c(F)cncc1F ZINC001331726514 917552769 /nfs/dbraw/zinc/55/27/69/917552769.db2.gz TVNDTYSJHDLZGW-VIFPVBQESA-N 0 1 283.278 0.063 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)C[C@@H](C)c1ccncc1 ZINC001331738003 917561987 /nfs/dbraw/zinc/56/19/87/917561987.db2.gz DPSOIKDSFBTKQU-HIFRSBDPSA-N 0 1 289.379 0.665 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC001331744342 917570420 /nfs/dbraw/zinc/57/04/20/917570420.db2.gz JGEASVFZHDHTOO-XQQFMLRXSA-N 0 1 292.383 0.251 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H]1C[C@H]1CC(C)C ZINC001331789576 917608651 /nfs/dbraw/zinc/60/86/51/917608651.db2.gz JLPMGXHUGCFDGI-MGPQQGTHSA-N 0 1 266.385 0.759 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2[C@@H](CNC(=O)CCCC(N)=O)[C@@H]2C1 ZINC001403431435 917711371 /nfs/dbraw/zinc/71/13/71/917711371.db2.gz YBQPYJJEQALEQC-CNDDSTCGSA-N 0 1 299.802 0.689 20 30 CCEDMN C#CC[C@H]1CCC[N@H+](Cc2cn(CC(=O)[O-])nn2)C1 ZINC001331948616 917745690 /nfs/dbraw/zinc/74/56/90/917745690.db2.gz DOKUUTBNOMCYGW-NSHDSACASA-N 0 1 262.313 0.598 20 30 CCEDMN Cc1cc(CN[C@H](C)CN(C)C(=O)[C@H](C)C#N)ncn1 ZINC001403523406 917766417 /nfs/dbraw/zinc/76/64/17/917766417.db2.gz IUURMBQGLXXDDX-ZYHUDNBSSA-N 0 1 275.356 0.881 20 30 CCEDMN C=CCCn1cc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)nn1 ZINC001332036400 917816376 /nfs/dbraw/zinc/81/63/76/917816376.db2.gz HKBCZZJOQRXCTR-JTQLQIEISA-N 0 1 287.327 0.602 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CC(C)(C)O)[C@H]1C ZINC001332174729 917936098 /nfs/dbraw/zinc/93/60/98/917936098.db2.gz GQOWLLKOXYRKNO-VXGBXAGGSA-N 0 1 252.358 0.750 20 30 CCEDMN C#CC[C@@H]1NC(=O)N(Cc2nc(CSC)n[nH]2)C1=O ZINC001332390700 918114075 /nfs/dbraw/zinc/11/40/75/918114075.db2.gz PJENAOIMVFKZJZ-ZETCQYMHSA-N 0 1 279.325 0.111 20 30 CCEDMN C#CC[C@@H]1NC(=O)N(Cc2nnc(CSC)[nH]2)C1=O ZINC001332390700 918114086 /nfs/dbraw/zinc/11/40/86/918114086.db2.gz PJENAOIMVFKZJZ-ZETCQYMHSA-N 0 1 279.325 0.111 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)n2ccnc2)CC1 ZINC001332456411 918173512 /nfs/dbraw/zinc/17/35/12/918173512.db2.gz WNYCQTVYKSEJTH-LBPRGKRZSA-N 0 1 260.341 0.658 20 30 CCEDMN CC(C)CNC(=O)CN1CC[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001404044082 918182219 /nfs/dbraw/zinc/18/22/19/918182219.db2.gz RWASRMMSGMOKNM-CHWSQXEVSA-N 0 1 294.399 0.356 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)[C@H]1CC1(C)C ZINC001332632007 918334016 /nfs/dbraw/zinc/33/40/16/918334016.db2.gz HYWGEQKXZYZTEE-NWDGAFQWSA-N 0 1 252.358 0.417 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCc1nnc([C@@H]2CCOC2)[nH]1 ZINC001333074409 918634462 /nfs/dbraw/zinc/63/44/62/918634462.db2.gz WLZISGYPJVUNJL-GHMZBOCLSA-N 0 1 294.355 0.906 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCc1n[nH]c([C@@H]2CCOC2)n1 ZINC001333074409 918634477 /nfs/dbraw/zinc/63/44/77/918634477.db2.gz WLZISGYPJVUNJL-GHMZBOCLSA-N 0 1 294.355 0.906 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@H]1COC(=O)N1 ZINC001405219279 918661626 /nfs/dbraw/zinc/66/16/26/918661626.db2.gz JVYGYJXCSQXDJE-RKDXNWHRSA-N 0 1 275.736 0.332 20 30 CCEDMN C#CCN1CC=C(CNC(=O)CCCC(=O)NC)CC1 ZINC001333324726 918803793 /nfs/dbraw/zinc/80/37/93/918803793.db2.gz UODKVEIAYAXKEF-UHFFFAOYSA-N 0 1 277.368 0.284 20 30 CCEDMN N#Cc1ccccc1CNC[C@@H](O)CNC(=O)CCCF ZINC001406251188 919136991 /nfs/dbraw/zinc/13/69/91/919136991.db2.gz GINNZNWIKNNLQO-CQSZACIVSA-N 0 1 293.342 0.875 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NCc1n[nH]c(COC)n1 ZINC001333766293 919144131 /nfs/dbraw/zinc/14/41/31/919144131.db2.gz HPSKSCUVDWNXAB-SSDOTTSWSA-N 0 1 255.278 0.256 20 30 CCEDMN C=C[C@@H](C)ONC(=O)NCc1nnc(COC)[nH]1 ZINC001333766293 919144141 /nfs/dbraw/zinc/14/41/41/919144141.db2.gz HPSKSCUVDWNXAB-SSDOTTSWSA-N 0 1 255.278 0.256 20 30 CCEDMN COc1cncc(C=CCCn2c(=O)[nH]cc(C#N)c2=O)c1 ZINC001413927399 919191261 /nfs/dbraw/zinc/19/12/61/919191261.db2.gz CUMWTEPOSJQMCJ-RQOWECAXSA-N 0 1 298.302 0.915 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@@H](C)[C@H]1C[N@H+](C)CCO1)C(=O)[O-] ZINC001334447326 919589878 /nfs/dbraw/zinc/58/98/78/919589878.db2.gz FYVKPEUALMPAEY-SDDRHHMPSA-N 0 1 299.371 0.424 20 30 CCEDMN C=C(C)C[C@H](NC(=O)N[C@@H](C)[C@H]1C[N@@H+](C)CCO1)C(=O)[O-] ZINC001334447326 919589890 /nfs/dbraw/zinc/58/98/90/919589890.db2.gz FYVKPEUALMPAEY-SDDRHHMPSA-N 0 1 299.371 0.424 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H](CNC(=O)Cc1cnc[nH]1)C1CC1 ZINC001407709560 919858682 /nfs/dbraw/zinc/85/86/82/919858682.db2.gz AGMKSCHVPVZKHW-JOYOIKCWSA-N 0 1 289.339 0.123 20 30 CCEDMN CCN(CC#N)CCNC(=O)C(C)(C)CN1CCOCC1 ZINC001492973034 919997740 /nfs/dbraw/zinc/99/77/40/919997740.db2.gz CHHZKBYAPSXGPF-UHFFFAOYSA-N 0 1 296.415 0.306 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001408377108 920172597 /nfs/dbraw/zinc/17/25/97/920172597.db2.gz QOPMFCHRSNVNES-QWRGUYRKSA-N 0 1 289.339 0.077 20 30 CCEDMN C=C1CCN(C(=O)NC[C@@H]2CN(C)CCN2C)CC1 ZINC001335440860 920196374 /nfs/dbraw/zinc/19/63/74/920196374.db2.gz IQYVBIBMWQCOQM-CYBMUJFWSA-N 0 1 266.389 0.594 20 30 CCEDMN COCCOCCN1CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC001336774396 920999594 /nfs/dbraw/zinc/99/95/94/920999594.db2.gz PIDNDFDRRTUPNG-GJZGRUSLSA-N 0 1 281.400 0.959 20 30 CCEDMN CN(C)C1(C(=O)NCC2(C#N)CCOCC2)CC1 ZINC001336808378 921028065 /nfs/dbraw/zinc/02/80/65/921028065.db2.gz NXEWFKQXHQWSTG-UHFFFAOYSA-N 0 1 251.330 0.517 20 30 CCEDMN Cc1[nH]ncc1CCCNC(=O)[C@H](C)n1cnc(C#N)n1 ZINC001339844173 921847896 /nfs/dbraw/zinc/84/78/96/921847896.db2.gz NNCPOJHCDPGTFE-JTQLQIEISA-N 0 1 287.327 0.491 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc(C(=O)OC)cc1 ZINC001339878595 921861751 /nfs/dbraw/zinc/86/17/51/921861751.db2.gz CJRFBYITSMEWRQ-UHFFFAOYSA-N 0 1 274.320 0.355 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(NC(=O)N(C)C)c1 ZINC001340099196 921954617 /nfs/dbraw/zinc/95/46/17/921954617.db2.gz KTLSUAQZQGTVFL-UHFFFAOYSA-N 0 1 288.351 0.619 20 30 CCEDMN C=CCn1c(CO)nnc1N1CCN(C2CCC2)CC1 ZINC001340540218 922116537 /nfs/dbraw/zinc/11/65/37/922116537.db2.gz LNGFFDOJRZPRNW-UHFFFAOYSA-N 0 1 277.372 0.631 20 30 CCEDMN C=C(Cl)CN(CCC)CCNC(=O)c1ncn[nH]1 ZINC001418732235 922394618 /nfs/dbraw/zinc/39/46/18/922394618.db2.gz PZHQLTIVRJBBRQ-UHFFFAOYSA-N 0 1 271.752 0.999 20 30 CCEDMN C=C(Cl)CN(CCC)CCNC(=O)c1nc[nH]n1 ZINC001418732235 922394639 /nfs/dbraw/zinc/39/46/39/922394639.db2.gz PZHQLTIVRJBBRQ-UHFFFAOYSA-N 0 1 271.752 0.999 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](OCC2CC2)C1 ZINC001341847062 922692305 /nfs/dbraw/zinc/69/23/05/922692305.db2.gz REAZFPLYHYNBNJ-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCNCC(=O)NCc1ccc2c(c1)CC(=O)N2C ZINC001342325807 922913056 /nfs/dbraw/zinc/91/30/56/922913056.db2.gz HAXIJJFMLQXWGN-UHFFFAOYSA-N 0 1 271.320 0.045 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)CN1CCCC1=O ZINC001419704172 923009299 /nfs/dbraw/zinc/00/92/99/923009299.db2.gz XIQXEWPCACZTIA-JTQLQIEISA-N 0 1 273.764 0.456 20 30 CCEDMN C=C1CCC(CNC(=O)C2CNCCS2(=O)=O)CC1 ZINC001342578263 923011208 /nfs/dbraw/zinc/01/12/08/923011208.db2.gz OFJRKFWCPTUZPX-LBPRGKRZSA-N 0 1 286.397 0.236 20 30 CCEDMN N#CC(C(=O)c1cnn2c1OCCC2)c1ccncn1 ZINC001342691018 923053711 /nfs/dbraw/zinc/05/37/11/923053711.db2.gz FPDZPVMPNIJBQX-SECBINFHSA-N 0 1 269.264 0.946 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001343252762 923248945 /nfs/dbraw/zinc/24/89/45/923248945.db2.gz AUGOCEHFEJYZSL-WFASDCNBSA-N 0 1 278.352 0.544 20 30 CCEDMN CC(C)n1ccc(CNC[C@@H](O)CNC(=O)[C@@H](C)C#N)n1 ZINC001420111165 923320035 /nfs/dbraw/zinc/32/00/35/923320035.db2.gz NRIYTXJIDBQTPZ-WCQYABFASA-N 0 1 293.371 0.190 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1CC1(F)F ZINC001343563121 923373333 /nfs/dbraw/zinc/37/33/33/923373333.db2.gz IZIMHROVULDTBC-JGVFFNPUSA-N 0 1 260.240 0.503 20 30 CCEDMN Cn1ccnc1C(C#N)C(=O)[C@]1(C)CCC(=O)NC1 ZINC001343854309 923485096 /nfs/dbraw/zinc/48/50/96/923485096.db2.gz XYFCPWZVGLNUOP-NOZJJQNGSA-N 0 1 260.297 0.513 20 30 CCEDMN CCn1ccnc1C(C#N)C(=O)CNC(=O)OC ZINC001344874724 923718508 /nfs/dbraw/zinc/71/85/08/923718508.db2.gz GANDPBQPPSWAJM-MRVPVSSYSA-N 0 1 250.258 0.435 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H](C)NC(C)=O)CC1 ZINC001344943082 923739829 /nfs/dbraw/zinc/73/98/29/923739829.db2.gz WCOICXMKANTUKI-JTQLQIEISA-N 0 1 252.314 0.152 20 30 CCEDMN C#CCN1CCC(OC(=O)Cn2cc(C3CC3)nn2)CC1 ZINC001344943075 923740164 /nfs/dbraw/zinc/74/01/64/923740164.db2.gz VZFJBMLICZVWQT-UHFFFAOYSA-N 0 1 288.351 0.796 20 30 CCEDMN Cc1nn(C)cc1CN(C)C[C@@H](C)NC(=O)[C@@H](C)C#N ZINC001420539239 923757346 /nfs/dbraw/zinc/75/73/46/923757346.db2.gz MLIDKENLCXDOMD-WDEREUQCSA-N 0 1 277.372 0.825 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@@H]2COCCO2)CC1 ZINC001345673901 923945931 /nfs/dbraw/zinc/94/59/31/923945931.db2.gz YJGPAEZYWNIZJI-LBPRGKRZSA-N 0 1 253.298 0.043 20 30 CCEDMN C=CCN(C(=O)[C@H](N)CC(F)F)[C@@H]1CCS(=O)(=O)C1 ZINC001346029853 924048899 /nfs/dbraw/zinc/04/88/99/924048899.db2.gz TUISDOZTOUINGC-RKDXNWHRSA-N 0 1 296.339 0.171 20 30 CCEDMN CNC(=O)C(C#N)C(=O)C1CCC(C(=O)N(C)C)CC1 ZINC001346111602 924072544 /nfs/dbraw/zinc/07/25/44/924072544.db2.gz RZQJOGUSHYIHRE-VQXHTEKXSA-N 0 1 279.340 0.336 20 30 CCEDMN N#Cc1ccnnc1N1CCC(c2n[nH]c(=O)o2)CC1 ZINC001421123596 924167071 /nfs/dbraw/zinc/16/70/71/924167071.db2.gz OMGYMQZBMNEWGN-UHFFFAOYSA-N 0 1 272.268 0.821 20 30 CCEDMN C=CC(C)(C)NC(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001346767199 924307299 /nfs/dbraw/zinc/30/72/99/924307299.db2.gz ODAINAVGIKOHQR-RYUDHWBXSA-N 0 1 268.405 0.885 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N[C@]1(C#N)CC1(C)C ZINC001346986337 924345363 /nfs/dbraw/zinc/34/53/63/924345363.db2.gz OPAXDFCHSSGBJO-GYSYKLTISA-N 0 1 262.357 0.183 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1CC[C@@H]2[C@H](C1)C2(F)F ZINC001347148557 924384367 /nfs/dbraw/zinc/38/43/67/924384367.db2.gz OGDDQNJBEQLKQZ-APLZJWDSSA-N 0 1 269.295 0.896 20 30 CCEDMN C=CCN(C)c1nnc(-c2c[nH]nn2)n1C[C@H]1CCCO1 ZINC001347942969 924563043 /nfs/dbraw/zinc/56/30/43/924563043.db2.gz CODRHAHZOICXDX-SNVBAGLBSA-N 0 1 289.343 0.864 20 30 CCEDMN C=CCOC[C@@H](NC(=O)[C@H]1CCC[N@H+]1C1CC1)C(=O)[O-] ZINC001348490373 924704092 /nfs/dbraw/zinc/70/40/92/924704092.db2.gz QYBLWQOPRCKBTF-VXGBXAGGSA-N 0 1 282.340 0.385 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@@H]1CCc2c[nH+]c(C)n2C1)C(=O)[O-] ZINC001348489823 924704993 /nfs/dbraw/zinc/70/49/93/924704993.db2.gz DMZKJSHDLPYZED-ZYHUDNBSSA-N 0 1 277.324 0.899 20 30 CCEDMN C=CCCC(=O)NCCNC(=O)CN1CCC(C)CC1 ZINC001348615080 924751940 /nfs/dbraw/zinc/75/19/40/924751940.db2.gz LOUJVTNPXKYDBU-UHFFFAOYSA-N 0 1 281.400 0.917 20 30 CCEDMN CCn1ccnc1C(C#N)C(=O)C1CN(C(C)=O)C1 ZINC001349703677 925028062 /nfs/dbraw/zinc/02/80/62/925028062.db2.gz ZFCOTZQOSKGJGO-LLVKDONJSA-N 0 1 260.297 0.558 20 30 CCEDMN C[C@H](C#N)OCCn1cc([C@@H](N)[C@H]2CCCO2)nn1 ZINC001349749909 925040862 /nfs/dbraw/zinc/04/08/62/925040862.db2.gz DUQILIMBJISDOD-YUSALJHKSA-N 0 1 265.317 0.386 20 30 CCEDMN C#CCOCCN(C)C(=O)C1CCN(CC#C)CC1 ZINC001349902202 925090403 /nfs/dbraw/zinc/09/04/03/925090403.db2.gz QBJAGXJLIQIXGP-UHFFFAOYSA-N 0 1 262.353 0.440 20 30 CCEDMN C=CCC(F)(F)C(=O)N[C@@H](Cc1cnc[nH]1)C(=O)NC ZINC001350314792 925169069 /nfs/dbraw/zinc/16/90/69/925169069.db2.gz HTVSWEGBIUJDRZ-VIFPVBQESA-N 0 1 286.282 0.394 20 30 CCEDMN C[C@@H](CCC#N)CNCc1nnc2n1CCNC2=O ZINC001350329267 925170514 /nfs/dbraw/zinc/17/05/14/925170514.db2.gz CKZXIEZEHVFDKE-VIFPVBQESA-N 0 1 262.317 0.051 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)NCC#Cc1ccccc1 ZINC001350850454 925296735 /nfs/dbraw/zinc/29/67/35/925296735.db2.gz NCRLBWMYFLCCTO-GOEBONIOSA-N 0 1 283.375 0.543 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)NCC#Cc1ccccc1 ZINC001350850456 925297008 /nfs/dbraw/zinc/29/70/08/925297008.db2.gz NCRLBWMYFLCCTO-HOCLYGCPSA-N 0 1 283.375 0.543 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)NC1(C#N)CCSCC1 ZINC001351700329 925459569 /nfs/dbraw/zinc/45/95/69/925459569.db2.gz REOSRGOBQPJFAP-NEPJUHHUSA-N 0 1 294.424 0.280 20 30 CCEDMN C#CC1(NC(=O)NC[C@@H](C)N2CCN(C)CC2)CCCC1 ZINC001352221646 925544770 /nfs/dbraw/zinc/54/47/70/925544770.db2.gz YEIVLGNTVTXPDG-CQSZACIVSA-N 0 1 292.427 0.868 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](OCc2ccccn2)C1 ZINC001353820598 925938910 /nfs/dbraw/zinc/93/89/10/925938910.db2.gz HXHBPPVQGIDNKX-AWEZNQCLSA-N 0 1 273.336 0.422 20 30 CCEDMN CN1CC[C@H](NC(=O)C(=O)Nc2ccc(C#N)cc2F)C1 ZINC001354434985 926109638 /nfs/dbraw/zinc/10/96/38/926109638.db2.gz KSMPEAVUFIXYGH-JTQLQIEISA-N 0 1 290.298 0.456 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)NC(=O)c1[nH]ncc1F ZINC001354649748 926147478 /nfs/dbraw/zinc/14/74/78/926147478.db2.gz HRMWJMSBULHBQE-JTQLQIEISA-N 0 1 294.330 0.977 20 30 CCEDMN C=CCCC[C@H](NC(=O)C[C@@H]1COCCN1)C(=O)OC ZINC001354840356 926173945 /nfs/dbraw/zinc/17/39/45/926173945.db2.gz JXGCDVCMAKKZJH-NEPJUHHUSA-N 0 1 284.356 0.379 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCN(C)C(=O)C#CC(C)C)c1C ZINC001355529014 926278034 /nfs/dbraw/zinc/27/80/34/926278034.db2.gz NPIVZXPLIWNQMX-UHFFFAOYSA-N 0 1 290.367 0.874 20 30 CCEDMN C#CCCCC(=O)N1CC(CNC(=O)[C@H]2CCCN2C)C1 ZINC001356804031 926446320 /nfs/dbraw/zinc/44/63/20/926446320.db2.gz IUSGGXQGUUWAHC-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)CNC(=O)[C@@H]1CCCN1C ZINC001357012662 926474473 /nfs/dbraw/zinc/47/44/73/926474473.db2.gz IKWWVPMHJZATBI-KGLIPLIRSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(C(=O)NCC)c1 ZINC001357194700 926495308 /nfs/dbraw/zinc/49/53/08/926495308.db2.gz SVBIJHFTZSFQKG-UHFFFAOYSA-N 0 1 273.336 0.275 20 30 CCEDMN C=CCCC(=O)NC/C=C\CNC(=O)Cc1nnc[nH]1 ZINC001357655198 926580603 /nfs/dbraw/zinc/58/06/03/926580603.db2.gz UIHWDQDNMPCULA-PLNGDYQASA-N 0 1 277.328 0.102 20 30 CCEDMN CC(C)C[N@H+]1CCC[C@@H]1C(=O)N[C@H]1CCCN(O)C1=O ZINC001358264464 926663862 /nfs/dbraw/zinc/66/38/62/926663862.db2.gz HFEGLQHADJRJSA-NWDGAFQWSA-N 0 1 283.372 0.603 20 30 CCEDMN COc1ccc(Cn2c(=O)[nH]cc(C#N)c2=O)cc1F ZINC001421406648 926734221 /nfs/dbraw/zinc/73/42/21/926734221.db2.gz BTMPUWROMVGLLQ-UHFFFAOYSA-N 0 1 275.239 0.604 20 30 CCEDMN C=CCC(C)(C)C(=O)NC1(CNC(=O)c2ncn[nH]2)CC1 ZINC001358684634 926789724 /nfs/dbraw/zinc/78/97/24/926789724.db2.gz PNUCLKTXAQEZBO-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCC(C)(C)C(=O)NC1(CNC(=O)c2nc[nH]n2)CC1 ZINC001358684634 926789733 /nfs/dbraw/zinc/78/97/33/926789733.db2.gz PNUCLKTXAQEZBO-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H](C)CNCc1cc2n(n1)CCC2 ZINC001421874602 926965374 /nfs/dbraw/zinc/96/53/74/926965374.db2.gz RIIBKOXFLAXYPN-RYUDHWBXSA-N 0 1 289.383 0.925 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN([C@@H]3CCOC3)CC2)CC1 ZINC001422008743 927033783 /nfs/dbraw/zinc/03/37/83/927033783.db2.gz DASFLZXFYWILOM-ZIAGYGMSSA-N 0 1 279.384 0.448 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C[C@@H]1CCCCO1 ZINC001422286065 927193654 /nfs/dbraw/zinc/19/36/54/927193654.db2.gz KIIWZJGTHZZCBZ-RYUDHWBXSA-N 0 1 290.791 0.765 20 30 CCEDMN C[C@H]1CC(NC(=O)Cc2n[nH]c(C3CCOCC3)n2)=NO1 ZINC001362304365 927851172 /nfs/dbraw/zinc/85/11/72/927851172.db2.gz GSZUTAWDMZKCBR-QMMMGPOBSA-N 0 1 293.327 0.480 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1COC2(CN(CC3CCC3)C2)C1 ZINC001424360446 928169881 /nfs/dbraw/zinc/16/98/81/928169881.db2.gz VIWRYCAUDHCYSX-AAEUAGOBSA-N 0 1 277.368 0.906 20 30 CCEDMN CC(C)(C#N)CS(=O)(=O)N1CC[C@@H](c2nc[nH]n2)C1 ZINC001424502028 928201508 /nfs/dbraw/zinc/20/15/08/928201508.db2.gz ADXGJWWXJQUORU-SECBINFHSA-N 0 1 283.357 0.474 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)Nc1ccn(CCC#N)n1 ZINC001425299014 928502858 /nfs/dbraw/zinc/50/28/58/928502858.db2.gz FRDVLTHKNWDMFS-UHFFFAOYSA-N 0 1 274.288 0.579 20 30 CCEDMN COc1cc(C(=O)N(C)Cc2nnc[nH]2)ccc1C#N ZINC001363069414 928834987 /nfs/dbraw/zinc/83/49/87/928834987.db2.gz XNALLIDBNURYSF-UHFFFAOYSA-N 0 1 271.280 0.957 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@@H](C)[C@H](NC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001426598002 928877269 /nfs/dbraw/zinc/87/72/69/928877269.db2.gz LBJSUPUJJWVLEN-YGOYTEALSA-N 0 1 289.339 0.455 20 30 CCEDMN CC(C)(C)S(=O)(=O)CCCn1c(=O)[nH]cc(C#N)c1=O ZINC001363187005 928956777 /nfs/dbraw/zinc/95/67/77/928956777.db2.gz VYRFWIZUKAVRRN-UHFFFAOYSA-N 0 1 299.352 0.012 20 30 CCEDMN N#Cc1ccc(CNC(=O)CCc2nn[nH]n2)cc1F ZINC001363308017 929098725 /nfs/dbraw/zinc/09/87/25/929098725.db2.gz GNKLKPFASKDIQN-UHFFFAOYSA-N 0 1 274.259 0.459 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCN(C(=O)CCc2cnc[nH]2)C1 ZINC001428126574 929176625 /nfs/dbraw/zinc/17/66/25/929176625.db2.gz GQPHGEIGSLPZDI-PWSUYJOCSA-N 0 1 289.339 0.219 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCN(C(=O)CCc2cnc[nH]2)C1 ZINC001428126542 929177515 /nfs/dbraw/zinc/17/75/15/929177515.db2.gz GQPHGEIGSLPZDI-JQWIXIFHSA-N 0 1 289.339 0.219 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CC(NC(=O)CN2CCCC2)C1 ZINC001428488268 929252134 /nfs/dbraw/zinc/25/21/34/929252134.db2.gz WCWRZEONURYTAJ-PNESKVBLSA-N 0 1 292.383 0.347 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@H]2CN(C)C(=O)[C@H](C)C#N)[nH]1 ZINC001429037219 929367182 /nfs/dbraw/zinc/36/71/82/929367182.db2.gz ONSMVQYCGAYKJK-MWLCHTKSSA-N 0 1 289.339 0.551 20 30 CCEDMN CCc1ncc(CNC(=O)Cc2noc(C)c2C#N)[nH]1 ZINC001363630890 929434333 /nfs/dbraw/zinc/43/43/33/929434333.db2.gz MRLRDYXFVVIEMJ-UHFFFAOYSA-N 0 1 273.296 0.999 20 30 CCEDMN C[C@H](NC(=O)c1cc(C#N)ccn1)C1=NN(C)CC1=O ZINC001363668519 929469370 /nfs/dbraw/zinc/46/93/70/929469370.db2.gz UFENANCEOHGCMH-QMMMGPOBSA-N 0 1 271.280 0.883 20 30 CCEDMN COCC(COC)N1CCN([C@H]2CC[C@H](C#N)C2)CC1 ZINC001363796059 929582695 /nfs/dbraw/zinc/58/26/95/929582695.db2.gz AIFSIMNQTLAJEM-KBPBESRZSA-N 0 1 281.400 0.958 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CC[C@H]3OCC(=O)N[C@@H]3C2)CCC1 ZINC001363799861 929589190 /nfs/dbraw/zinc/58/91/90/929589190.db2.gz XBTHQIUVZVAQOZ-JHJVBQTASA-N 0 1 293.367 0.021 20 30 CCEDMN CC(C)CN(Cc1nn[nH]n1)C(=O)[C@]1(C#N)CCCOC1 ZINC001363803658 929594253 /nfs/dbraw/zinc/59/42/53/929594253.db2.gz OONICUIQZWEKNM-ZDUSSCGKSA-N 0 1 292.343 0.505 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CN(Cc2ccn(C)c(=O)c2)C1 ZINC001443569778 929648646 /nfs/dbraw/zinc/64/86/46/929648646.db2.gz KULXERDATUAAIO-NSHDSACASA-N 0 1 288.351 0.093 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@H](C)NC(=O)c1[nH]ncc1F ZINC001443999938 929762596 /nfs/dbraw/zinc/76/25/96/929762596.db2.gz WSEFGYVZJYLXEC-YUMQZZPRSA-N 0 1 281.291 0.285 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](NC(=O)c1[nH]ncc1F)C1CC1 ZINC001372441612 929838526 /nfs/dbraw/zinc/83/85/26/929838526.db2.gz GCRSLUZEBIVPHU-GMSGAONNSA-N 0 1 293.302 0.333 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CCc1ncccn1 ZINC001445257642 930075580 /nfs/dbraw/zinc/07/55/80/930075580.db2.gz RLQNMZGPPIAYOH-LLVKDONJSA-N 0 1 298.774 0.228 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN(CCc2cnn(C)c2)C1 ZINC001373391931 930168963 /nfs/dbraw/zinc/16/89/63/930168963.db2.gz BGZNUQPXPLUPCY-OCCSQVGLSA-N 0 1 289.383 0.703 20 30 CCEDMN CN1C(=O)CN(NC(=O)c2ccsc2C#N)C1=O ZINC001446294706 930384687 /nfs/dbraw/zinc/38/46/87/930384687.db2.gz ROOAWOIQTDOWHI-UHFFFAOYSA-N 0 1 264.266 0.159 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@](C)(CNCc2cn(C)nn2)C1 ZINC001446578651 930442506 /nfs/dbraw/zinc/44/25/06/930442506.db2.gz GBMCJSDPIFFPLQ-BXUZGUMPSA-N 0 1 290.371 0.303 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cc(CC)n[nH]1 ZINC001374582276 930570382 /nfs/dbraw/zinc/57/03/82/930570382.db2.gz BZDUYNHMZLPMDM-SNVBAGLBSA-N 0 1 286.763 0.405 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1ccc[nH]1 ZINC001374684132 930616840 /nfs/dbraw/zinc/61/68/40/930616840.db2.gz KUFPDOAQJQQINW-LLVKDONJSA-N 0 1 271.748 0.376 20 30 CCEDMN C[C@@H](CNCc1ccccc1C#N)NC(=O)Cn1cncn1 ZINC001374972102 930740230 /nfs/dbraw/zinc/74/02/30/930740230.db2.gz IXPVBLYJWHDZIP-LBPRGKRZSA-N 0 1 298.350 0.444 20 30 CCEDMN Cc1nn(C)cc1CN(C)[C@H](C)CNC(=O)[C@@H](C)C#N ZINC001447996687 930778116 /nfs/dbraw/zinc/77/81/16/930778116.db2.gz SITUFWNZXKGUIX-WDEREUQCSA-N 0 1 277.372 0.825 20 30 CCEDMN CC[C@H](CNC(=O)[C@H](C)C#N)NC(=O)Cc1cnc[nH]1 ZINC001375220647 930822737 /nfs/dbraw/zinc/82/27/37/930822737.db2.gz PTKOADMJKIVXSC-NXEZZACHSA-N 0 1 277.328 0.123 20 30 CCEDMN Cc1nc([C@H](C)NC2(CNC(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001375403947 930879635 /nfs/dbraw/zinc/87/96/35/930879635.db2.gz PBVAOHQJXPHMPU-BDAKNGLRSA-N 0 1 276.344 0.572 20 30 CCEDMN C[C@@H](C#N)C(=O)N(CCNC(=O)CN1CCCC1)C1CC1 ZINC001449868793 931201759 /nfs/dbraw/zinc/20/17/59/931201759.db2.gz VJQWKDAJKMAYRP-LBPRGKRZSA-N 0 1 292.383 0.349 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCC(NCc2cn(C)nn2)CC1 ZINC001376660957 931204113 /nfs/dbraw/zinc/20/41/13/931204113.db2.gz CEFFFWQGDPWXRO-VOMCLLRMSA-N 0 1 290.371 0.492 20 30 CCEDMN C[C@@H](CNC(=O)c1cnnn1C)NCc1ccccc1C#N ZINC001376717055 931242532 /nfs/dbraw/zinc/24/25/32/931242532.db2.gz QKUGJDKWVQVPJJ-NSHDSACASA-N 0 1 298.350 0.595 20 30 CCEDMN C#CCN(CC[NH+]1C[C@H](C)O[C@@H](C)C1)CC(=O)[O-] ZINC000313950258 950806676 /nfs/dbraw/zinc/80/66/76/950806676.db2.gz DUVZWVPWGAVFEF-RYUDHWBXSA-N 0 1 254.330 0.115 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC001589280153 953491188 /nfs/dbraw/zinc/49/11/88/953491188.db2.gz WPMIFBHUTDTBOM-IJLUTSLNSA-N 0 1 293.367 0.980 20 30 CCEDMN CCC#C[C@H](C)[N@@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001589420560 954598462 /nfs/dbraw/zinc/59/84/62/954598462.db2.gz WKROZGZQQOMORQ-AAEUAGOBSA-N 0 1 255.314 0.590 20 30 CCEDMN CCC#C[C@H](C)[N@H+]1CCO[C@@](COC)(C(=O)[O-])C1 ZINC001589420560 954598470 /nfs/dbraw/zinc/59/84/70/954598470.db2.gz WKROZGZQQOMORQ-AAEUAGOBSA-N 0 1 255.314 0.590 20 30 CCEDMN COC(=O)C1CC2(C1)C[N@@H+](CC1(C#N)CC1)C[C@H]2C(=O)[O-] ZINC001593824667 955084311 /nfs/dbraw/zinc/08/43/11/955084311.db2.gz RMMHGPNWPPYRDK-VTWZXRTESA-N 0 1 292.335 0.876 20 30 CCEDMN CC(C)C[N@H+](CC(=O)[O-])CC(=O)N(CCC#N)CCC#N ZINC000062742966 957606771 /nfs/dbraw/zinc/60/67/71/957606771.db2.gz RTEJIDMIWZXLMO-UHFFFAOYSA-N 0 1 294.355 0.685 20 30 CCEDMN CC(C)C[N@@H+](CC(=O)[O-])CC(=O)N(CCC#N)CCC#N ZINC000062742966 957606775 /nfs/dbraw/zinc/60/67/75/957606775.db2.gz RTEJIDMIWZXLMO-UHFFFAOYSA-N 0 1 294.355 0.685 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)N[C@H](C)CC(=O)[O-])C1 ZINC001588429852 958249646 /nfs/dbraw/zinc/24/96/46/958249646.db2.gz FJZGBJVHMUSGRU-MNOVXSKESA-N 0 1 267.329 0.246 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N[C@H](C)CC(=O)[O-])C1 ZINC001588429852 958249663 /nfs/dbraw/zinc/24/96/63/958249663.db2.gz FJZGBJVHMUSGRU-MNOVXSKESA-N 0 1 267.329 0.246 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)c2cnc(C(=O)[O-])cn2)C1 ZINC001588430843 958262667 /nfs/dbraw/zinc/26/26/67/958262667.db2.gz PPOKAWSOZWFSIW-SNVBAGLBSA-N 0 1 288.307 0.002 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)c2cnc(C(=O)[O-])cn2)C1 ZINC001588430843 958262681 /nfs/dbraw/zinc/26/26/81/958262681.db2.gz PPOKAWSOZWFSIW-SNVBAGLBSA-N 0 1 288.307 0.002 20 30 CCEDMN C=CCC[N@@H+]1CC[C@]2(CCN(C(=O)CNC(=O)[O-])C2)C1 ZINC001573360249 963034983 /nfs/dbraw/zinc/03/49/83/963034983.db2.gz KRIFTSIFVPBXIU-AWEZNQCLSA-N 0 1 281.356 0.755 20 30 CCEDMN C#CCC[N@@H+]1CC=C(CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588447737 964090202 /nfs/dbraw/zinc/09/02/02/964090202.db2.gz OWAVBKAJZXJIRR-OLZOCXBDSA-N 0 1 276.336 0.479 20 30 CCEDMN COC(=O)CC[N@@H+](CCCSCC#N)CC(=O)[O-] ZINC001604317217 972975552 /nfs/dbraw/zinc/97/55/52/972975552.db2.gz GERPLDNGDUCJJY-UHFFFAOYSA-N 0 1 274.342 0.583 20 30 CCEDMN CCCCNC(=O)[C@@H](C)[NH+]1CCC(C#N)(C(=O)[O-])CC1 ZINC001595750796 982430738 /nfs/dbraw/zinc/43/07/38/982430738.db2.gz FQJHGKGAIVPMRK-LLVKDONJSA-N 0 1 281.356 0.982 20 30 CCEDMN C#CCC[N@@H+]1CCC2(CN(C(=O)[C@@H]3C[C@H]3C(=O)[O-])C2)C1 ZINC001588453605 983476492 /nfs/dbraw/zinc/47/64/92/983476492.db2.gz GNIYAVJRYFUZBV-VXGBXAGGSA-N 0 1 276.336 0.265 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)NCCCCC(=O)[O-])C1 ZINC001588476604 983511997 /nfs/dbraw/zinc/51/19/97/983511997.db2.gz OTWDBOQVVPIJMI-LBPRGKRZSA-N 0 1 281.356 0.638 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)NCCCCC(=O)[O-])C1 ZINC001588476604 983511999 /nfs/dbraw/zinc/51/19/99/983511999.db2.gz OTWDBOQVVPIJMI-LBPRGKRZSA-N 0 1 281.356 0.638 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)Cn1cc(C(=O)[O-])cn1 ZINC001588477673 983517558 /nfs/dbraw/zinc/51/75/58/983517558.db2.gz YPQUNYFQQHUZLO-LBPRGKRZSA-N 0 1 291.307 0.222 20 30 CCEDMN C#CCNC(=O)CC[N@H+]1CCc2cc(C(=O)[O-])ccc2C1 ZINC001588479193 983517861 /nfs/dbraw/zinc/51/78/61/983517861.db2.gz BPEKCYJKSNDFBR-UHFFFAOYSA-N 0 1 286.331 0.882 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1CC[C@H](C)C[C@H]1C(=O)[O-] ZINC001588479547 983520594 /nfs/dbraw/zinc/52/05/94/983520594.db2.gz SGNIADQYTFZPNK-QWRGUYRKSA-N 0 1 252.314 0.311 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CCC[C@@H]2C[C@@]21C(=O)[O-] ZINC001596229586 983621383 /nfs/dbraw/zinc/62/13/83/983621383.db2.gz BHDGBUWUQFOXMY-ZOWXZIJZSA-N 0 1 293.367 0.934 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[C@H]([N@H+]2CC[C@H](O)C2)C1 ZINC001588613766 983705041 /nfs/dbraw/zinc/70/50/41/983705041.db2.gz CVXKUGYGOKZWJH-RYUDHWBXSA-N 0 1 282.340 0.075 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](NC(=O)N(C)C)C1 ZINC001588663785 983796618 /nfs/dbraw/zinc/79/66/18/983796618.db2.gz PNCGBCAGGIPWPX-UWVGGRQHSA-N 0 1 255.318 0.361 20 30 CCEDMN C=C[C@H]([NH2+]CC(=O)Nc1cc(OC)cc(OC)c1)C(=O)[O-] ZINC001588694971 983899974 /nfs/dbraw/zinc/89/99/74/983899974.db2.gz GRWPOCMKEZNZFF-LBPRGKRZSA-N 0 1 294.307 0.871 20 30 CCEDMN C=C[C@H]([NH2+][C@@H]1Cc2ccccc2N(C)C1=O)C(=O)[O-] ZINC001588696413 983903108 /nfs/dbraw/zinc/90/31/08/983903108.db2.gz NOYKNAGUOBGVIU-WDEREUQCSA-N 0 1 260.293 0.803 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCCN(C(=O)C2CC2)CC1 ZINC001588728233 983980372 /nfs/dbraw/zinc/98/03/72/983980372.db2.gz BOQUYVDNBVRKPY-GFCCVEGCSA-N 0 1 266.341 0.960 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@@H](c2cc(=O)[nH]c(=O)[nH]2)C1 ZINC001588728676 983983376 /nfs/dbraw/zinc/98/33/76/983983376.db2.gz DOLNJPCQXRDCPF-SCZZXKLOSA-N 0 1 279.296 0.706 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CCC[C@](C)(C(=O)[O-])C2)C1=O ZINC001588838685 984340256 /nfs/dbraw/zinc/34/02/56/984340256.db2.gz IGNKDMRNTGDXFE-FZMZJTMJSA-N 0 1 266.341 0.960 20 30 CCEDMN C=CCN1CC[C@@H]([NH2+][C@@](C)(Cn2cccn2)C(=O)[O-])C1=O ZINC001588839457 984346866 /nfs/dbraw/zinc/34/68/66/984346866.db2.gz RTAVZAMQNWQMSX-RISCZKNCSA-N 0 1 292.339 0.103 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](n2cc(C(=O)[O-])nn2)C1 ZINC001588869157 984438191 /nfs/dbraw/zinc/43/81/91/984438191.db2.gz VOXPXAZMHPZZKU-SNVBAGLBSA-N 0 1 266.301 0.426 20 30 CCEDMN CC#CCC[N@H+]1C[C@H](C(=O)[O-])CC[C@H]1C(=O)OC ZINC001588927151 984597140 /nfs/dbraw/zinc/59/71/40/984597140.db2.gz OYIIQOGEUVRFIZ-MNOVXSKESA-N 0 1 253.298 0.738 20 30 CCEDMN CC#CCC[N@@H+]1C[C@H](C(=O)[O-])CC[C@H]1C(=O)OC ZINC001588927151 984597145 /nfs/dbraw/zinc/59/71/45/984597145.db2.gz OYIIQOGEUVRFIZ-MNOVXSKESA-N 0 1 253.298 0.738 20 30 CCEDMN C[C@H]1C[N@@H+](CC(=O)N[C@@](C)(C#N)C2CC2)CC[C@H]1C(=O)[O-] ZINC001599927601 985232112 /nfs/dbraw/zinc/23/21/12/985232112.db2.gz FXWALXMJESUXNA-NVBFEUDRSA-N 0 1 293.367 0.837 20 30 CCEDMN C[C@H]1CN(C(=O)c2c[nH]c(C#N)c2)CC[N@H+]1CCC(=O)[O-] ZINC001594490268 985600564 /nfs/dbraw/zinc/60/05/64/985600564.db2.gz YGTMFIPVNMNLNJ-JTQLQIEISA-N 0 1 290.323 0.507 20 30 CCEDMN C[C@H](C(=O)NCC(=O)[O-])[N@@H+](C)CCc1cccc(C#N)c1 ZINC001589396527 986521115 /nfs/dbraw/zinc/52/11/15/986521115.db2.gz GJTYMCVLZDQKOX-LLVKDONJSA-N 0 1 289.335 0.622 20 30 CCEDMN C[N@@H+](CCc1cn(CC(=O)[O-])nn1)Cc1cccc(C#N)c1 ZINC001598502854 992458624 /nfs/dbraw/zinc/45/86/24/992458624.db2.gz ONXZBFQSELWJBN-UHFFFAOYSA-N 0 1 299.334 0.909 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)O[C@H]1C[N@@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001598576000 993276246 /nfs/dbraw/zinc/27/62/46/993276246.db2.gz WPWGZIURPABBTI-QMTHXVAHSA-N 0 1 291.307 0.601 20 30 CCEDMN C[N@H+]1CCC[C@H](NC(=O)N2CCC(C#N)(C(=O)[O-])CC2)C1 ZINC001598596411 993512641 /nfs/dbraw/zinc/51/26/41/993512641.db2.gz LYQAXPGWFJZPAV-NSHDSACASA-N 0 1 294.355 0.481 20 30 CCEDMN COC(=O)[C@@H]1CC[N@@H+](CCO[C@@H](C)C#N)[C@H](C(=O)[O-])C1 ZINC001598800055 996132348 /nfs/dbraw/zinc/13/23/48/996132348.db2.gz NAAYBMCFLPLSML-AXFHLTTASA-N 0 1 284.312 0.253 20 30 CCEDMN COC(=O)[C@H]1C[N@H+](Cc2ccncc2C#N)C[C@H]1C(=O)[O-] ZINC001598826492 996388804 /nfs/dbraw/zinc/38/88/04/996388804.db2.gz HZOHMXNNVRWXOS-NEPJUHHUSA-N 0 1 289.291 0.259 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](Cc2ccncc2C#N)C[C@H]1C(=O)[O-] ZINC001598826492 996388807 /nfs/dbraw/zinc/38/88/07/996388807.db2.gz HZOHMXNNVRWXOS-NEPJUHHUSA-N 0 1 289.291 0.259 20 30 CCEDMN C[C@@]1(C(=O)[O-])CC[N@@H+](C[C@H](O)CC2(C#N)CCOCC2)C1 ZINC001593795834 996512434 /nfs/dbraw/zinc/51/24/34/996512434.db2.gz REHBXKRGJOFBOF-TZMCWYRMSA-N 0 1 296.367 0.854 20 30 CCEDMN CC1(C(=O)[O-])C[NH+](Cc2cnc3c(C#N)cnn3c2)C1 ZINC001593807950 996540052 /nfs/dbraw/zinc/54/00/52/996540052.db2.gz INTVOSZSHJBLTM-UHFFFAOYSA-N 0 1 271.280 0.507 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)CC1(C)COC1 ZINC000599571410 361748138 /nfs/dbraw/zinc/74/81/38/361748138.db2.gz GQDSQAAHLVZXRR-UHFFFAOYSA-N 0 1 253.346 0.717 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@H]1C[C@@H](C(N)=O)C1 ZINC000599644531 361770509 /nfs/dbraw/zinc/77/05/09/361770509.db2.gz KDKRRFMBTONQNJ-UTUOFQBUSA-N 0 1 280.372 0.190 20 30 CCEDMN CN(Cc1cc(C#N)cs1)C[C@H](O)CN1CCOCC1 ZINC000093584034 349546541 /nfs/dbraw/zinc/54/65/41/349546541.db2.gz KCTYOZMQTUDHLJ-ZDUSSCGKSA-N 0 1 295.408 0.745 20 30 CCEDMN C[C@](O)(C[C@@H](O)CN1CC[C@@](O)(CC#N)C1)C1CC1 ZINC000600846099 362079240 /nfs/dbraw/zinc/07/92/40/362079240.db2.gz LVCQAQAXAIBTSL-RDBSUJKOSA-N 0 1 268.357 0.249 20 30 CCEDMN C[C@@H](CNC(=O)C1(C#N)CC2(CC2)C1)N1CCN(C)CC1 ZINC000601167136 362164878 /nfs/dbraw/zinc/16/48/78/362164878.db2.gz ZIFUEPZPJNOSBH-ZDUSSCGKSA-N 0 1 290.411 0.822 20 30 CCEDMN Cc1cc(NCc2nnc([C@@H]3CCOC3)[nH]2)c(C#N)cn1 ZINC000601198003 362174551 /nfs/dbraw/zinc/17/45/51/362174551.db2.gz LGQPZQATAOIVOM-SNVBAGLBSA-N 0 1 284.323 0.918 20 30 CCEDMN Cc1cc(NCc2n[nH]c([C@@H]3CCOC3)n2)c(C#N)cn1 ZINC000601198003 362174556 /nfs/dbraw/zinc/17/45/56/362174556.db2.gz LGQPZQATAOIVOM-SNVBAGLBSA-N 0 1 284.323 0.918 20 30 CCEDMN CN([C@H]1CN2CCC1CC2)S(=O)(=O)CC1(C#N)CC1 ZINC000601445453 362275495 /nfs/dbraw/zinc/27/54/95/362275495.db2.gz CJHIQENAWFFTBB-LBPRGKRZSA-N 0 1 283.397 0.646 20 30 CCEDMN N#CC1(CNS(=O)(=O)c2ncc[nH]2)CCCC1 ZINC000601453106 362277659 /nfs/dbraw/zinc/27/76/59/362277659.db2.gz FGLIWIPTQAIZIK-UHFFFAOYSA-N 0 1 254.315 0.772 20 30 CCEDMN N#Cc1c(F)cccc1CN[C@@H]1CCOC[C@H]1O ZINC000602016532 362458017 /nfs/dbraw/zinc/45/80/17/362458017.db2.gz FSOQSPRBKDQXDE-CHWSQXEVSA-N 0 1 250.273 0.937 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)[C@H]2CCN2C2CCCC2)C1 ZINC000602166922 362538401 /nfs/dbraw/zinc/53/84/01/362538401.db2.gz JFPIUTNRTNEKDE-UKRRQHHQSA-N 0 1 277.368 0.880 20 30 CCEDMN C=CCCSCCNC(=O)CCCc1nn[nH]n1 ZINC000602180360 362545677 /nfs/dbraw/zinc/54/56/77/362545677.db2.gz WUNZOXHFDNQCBU-UHFFFAOYSA-N 0 1 269.374 0.948 20 30 CCEDMN CCc1cc(C(=O)N=c2[nH]n(C)c(C)c2C#N)n(C)n1 ZINC000602346878 362593742 /nfs/dbraw/zinc/59/37/42/362593742.db2.gz BQSCIXRKVSWUPA-UHFFFAOYSA-N 0 1 272.312 0.570 20 30 CCEDMN N#Cc1ccc(OCC(=O)NC[C@@H]2COCCN2)cc1 ZINC000602551514 362675171 /nfs/dbraw/zinc/67/51/71/362675171.db2.gz OVYPJMXVVBNZQG-GFCCVEGCSA-N 0 1 275.308 0.042 20 30 CCEDMN N#CCc1cccc(C(=O)NC[C@H]2COCCN2)c1 ZINC000602551144 362675291 /nfs/dbraw/zinc/67/52/91/362675291.db2.gz NHBPTXJFWPNMLE-ZDUSSCGKSA-N 0 1 259.309 0.471 20 30 CCEDMN CCNC(=O)N1CC[C@@H](NCc2cccc(C#N)n2)C1 ZINC000602569667 362684750 /nfs/dbraw/zinc/68/47/50/362684750.db2.gz RBYCNLYKNRLKBJ-CYBMUJFWSA-N 0 1 273.340 0.847 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)c1ccc(CN)o1)C(=O)OC ZINC000602603303 362708048 /nfs/dbraw/zinc/70/80/48/362708048.db2.gz XOLLMBMUYVYPQU-SNVBAGLBSA-N 0 1 266.297 0.976 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)CCCS(C)(=O)=O ZINC000119861088 349958234 /nfs/dbraw/zinc/95/82/34/349958234.db2.gz LIHKVVPSLVVRHB-UHFFFAOYSA-N 0 1 299.400 0.163 20 30 CCEDMN C[C@H]1OCC[C@@H]1N(CCO)Cc1cccc(C#N)n1 ZINC000602683366 362747334 /nfs/dbraw/zinc/74/73/34/362747334.db2.gz VJPDRLAYSXZIIB-RISCZKNCSA-N 0 1 261.325 0.925 20 30 CCEDMN N#C[C@@H]1CC[C@H](N2CCN(Cc3nnc[nH]3)CC2)C1 ZINC000602864784 362849742 /nfs/dbraw/zinc/84/97/42/362849742.db2.gz JOKHUCBUXBHGRM-NEPJUHHUSA-N 0 1 260.345 0.615 20 30 CCEDMN N#Cc1cccc(-c2c[nH]c(CNC(=O)c3cnn[nH]3)n2)c1 ZINC000344137392 137179680 /nfs/dbraw/zinc/17/96/80/137179680.db2.gz FYMXDXYSJGAIKU-UHFFFAOYSA-N 0 1 293.290 0.996 20 30 CCEDMN CCc1[nH]nc(C(=O)N(CCC#N)CCOC)c1[N+](=O)[O-] ZINC000618205713 365932564 /nfs/dbraw/zinc/93/25/64/365932564.db2.gz WOKWSVSPMDJSTK-UHFFFAOYSA-N 0 1 295.299 0.883 20 30 CCEDMN N#Cc1cccc(CN[C@H]2CCS(=O)(=O)C2)c1 ZINC000019899826 348074276 /nfs/dbraw/zinc/07/42/76/348074276.db2.gz QQQLNZYBSHZFBC-LBPRGKRZSA-N 0 1 250.323 0.835 20 30 CCEDMN C[C@@H]1CN(CCC(=O)N(C)CCC#N)C[C@H](C)O1 ZINC000034673920 348151522 /nfs/dbraw/zinc/15/15/22/348151522.db2.gz SIXWBLJVRUZTDU-TXEJJXNPSA-N 0 1 253.346 0.858 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@@H](C(F)F)CC2)[nH]1 ZINC000602881963 362861866 /nfs/dbraw/zinc/86/18/66/362861866.db2.gz MTBCJWFZEMVJGO-SECBINFHSA-N 0 1 299.281 0.602 20 30 CCEDMN COC1CC(CCNC(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602889710 362867143 /nfs/dbraw/zinc/86/71/43/362867143.db2.gz ZTAUDFZUHGWLDT-UHFFFAOYSA-N 0 1 277.328 0.650 20 30 CCEDMN COC[C@@]1(C)CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602879888 362860679 /nfs/dbraw/zinc/86/06/79/362860679.db2.gz HBEMCOKYRRHSNI-ZDUSSCGKSA-N 0 1 277.328 0.604 20 30 CCEDMN CN1CCN(Cc2ccc(CC#N)cc2)[C@@H](CO)C1 ZINC000602904706 362878656 /nfs/dbraw/zinc/87/86/56/362878656.db2.gz KKHPETPDFUNVMI-OAHLLOKOSA-N 0 1 259.353 0.861 20 30 CCEDMN CCc1cccnc1C(=O)N=c1[nH]n(C)cc1C#N ZINC000346703923 137300809 /nfs/dbraw/zinc/30/08/09/137300809.db2.gz AHROANDXIQQMIB-UHFFFAOYSA-N 0 1 255.281 0.923 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](OC)C[C@@]1(C)CO ZINC000602965046 362912391 /nfs/dbraw/zinc/91/23/91/362912391.db2.gz YHPHVMITYVISHF-JSGCOSHPSA-N 0 1 283.372 0.220 20 30 CCEDMN CN(CCCC(=O)NC(N)=O)[C@@H]1CCC[C@H]1C#N ZINC000602977126 362918228 /nfs/dbraw/zinc/91/82/28/362918228.db2.gz YFZXQFZIGQNPAM-VHSXEESVSA-N 0 1 252.318 0.586 20 30 CCEDMN Cc1c(C(=O)N=c2[nH]n(C)cc2C#N)sc2nccn12 ZINC000349360485 137392585 /nfs/dbraw/zinc/39/25/85/137392585.db2.gz XJAPLMQNVNPQLN-UHFFFAOYSA-N 0 1 286.320 0.984 20 30 CCEDMN CNC(=O)CN1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000055106000 348570078 /nfs/dbraw/zinc/57/00/78/348570078.db2.gz QGUMYTYWGWHQBI-UHFFFAOYSA-N 0 1 286.379 0.812 20 30 CCEDMN CC(C)(O)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000070940986 348900141 /nfs/dbraw/zinc/90/01/41/348900141.db2.gz IWIHTQVYDXYXCE-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN CC[C@H](CO)N1CCN(CC(=O)N(CC)CCC#N)CC1 ZINC000075961949 349060350 /nfs/dbraw/zinc/06/03/50/349060350.db2.gz YSGZCJFNZBMDAM-CQSZACIVSA-N 0 1 296.415 0.137 20 30 CCEDMN C[C@@H](O)[C@H]1CCCN(CC(=O)N(CCC#N)CCC#N)C1 ZINC000089927324 349413218 /nfs/dbraw/zinc/41/32/18/349413218.db2.gz MUSCXROKACJAKJ-KGLIPLIRSA-N 0 1 292.383 0.735 20 30 CCEDMN Cn1cc([C@@H]2CN(Cc3ccnc(C#N)c3)C[C@H]2CO)cn1 ZINC000618324050 365990583 /nfs/dbraw/zinc/99/05/83/365990583.db2.gz CXKXBKYGGVDNTC-HOCLYGCPSA-N 0 1 297.362 0.895 20 30 CCEDMN N#Cc1ccc(N2CCN(CCCCO)CC2)nc1 ZINC000170871608 350870720 /nfs/dbraw/zinc/87/07/20/350870720.db2.gz KBJRYKVZKYMXBB-UHFFFAOYSA-N 0 1 260.341 0.848 20 30 CCEDMN CN(C)CC(=O)N1CCN(CCCSCC#N)CC1 ZINC000173865810 351058734 /nfs/dbraw/zinc/05/87/34/351058734.db2.gz BKPVMRJPSIUONR-UHFFFAOYSA-N 0 1 284.429 0.339 20 30 CCEDMN CCNCc1cn(CC(=O)NCc2ccc(C#N)cc2)nn1 ZINC000603211662 363001721 /nfs/dbraw/zinc/00/17/21/363001721.db2.gz UKFWQWUVCGBJBH-UHFFFAOYSA-N 0 1 298.350 0.576 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)C[C@H](C)C(=O)NC ZINC000176366766 351171306 /nfs/dbraw/zinc/17/13/06/351171306.db2.gz UEEGNXWZSRTDMZ-LBPRGKRZSA-N 0 1 267.373 0.501 20 30 CCEDMN N#CCN1CCN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CC1 ZINC000185801064 351460443 /nfs/dbraw/zinc/46/04/43/351460443.db2.gz LFFMXVBVZQTFKR-UHFFFAOYSA-N 0 1 296.334 0.753 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)NCCN1CCCOCC1 ZINC000187656105 351551183 /nfs/dbraw/zinc/55/11/83/351551183.db2.gz BGCMHZKVGPVQKO-UHFFFAOYSA-N 0 1 276.340 0.349 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)NCCc2nc[nH]n2)s1 ZINC000230341205 352112219 /nfs/dbraw/zinc/11/22/19/352112219.db2.gz ZIOGVZSSKPFIBV-UHFFFAOYSA-N 0 1 283.338 0.259 20 30 CCEDMN C[C@@H](NS(=O)(=O)c1ccc(C#N)s1)c1nnc[nH]1 ZINC000230418166 352115776 /nfs/dbraw/zinc/11/57/76/352115776.db2.gz GRBRUXKEPHXOLO-ZCFIWIBFSA-N 0 1 283.338 0.777 20 30 CCEDMN CN(CC(=O)N1CCNCC1)c1nccc(C#N)c1Cl ZINC000234246430 352155950 /nfs/dbraw/zinc/15/59/50/352155950.db2.gz BHLBZNKXSUWBBH-UHFFFAOYSA-N 0 1 293.758 0.475 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H]1CN1C[C@@H](C)O[C@H](C)C1 ZINC000249819476 352501933 /nfs/dbraw/zinc/50/19/33/352501933.db2.gz MSXIKTSZPLTYFB-RBSFLKMASA-N 0 1 295.427 0.862 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)c2cnn(C)c2)CC1 ZINC000266027763 352678164 /nfs/dbraw/zinc/67/81/64/352678164.db2.gz MSFPTQZUYQYJME-UHFFFAOYSA-N 0 1 260.341 0.728 20 30 CCEDMN C#CCCCN1CCN(c2nc(N)ns2)CC1 ZINC000271027208 353015347 /nfs/dbraw/zinc/01/53/47/353015347.db2.gz KKFDNKNPLVSFTC-UHFFFAOYSA-N 0 1 251.359 0.656 20 30 CCEDMN C#CCC(CC#C)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000277751720 353263421 /nfs/dbraw/zinc/26/34/21/353263421.db2.gz RPVXULDRZNDZPS-CQSZACIVSA-N 0 1 275.396 0.401 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)c2cc(C#N)oc2C)n1 ZINC000280529018 353367858 /nfs/dbraw/zinc/36/78/58/353367858.db2.gz BRRDANXVCVQGEX-UHFFFAOYSA-N 0 1 259.269 0.859 20 30 CCEDMN C[C@@H](CO)N(C)CC(=O)NCc1ccc(C#N)cc1 ZINC000282933873 353465399 /nfs/dbraw/zinc/46/53/99/353465399.db2.gz ZHDVMVWVHUUXMT-NSHDSACASA-N 0 1 261.325 0.487 20 30 CCEDMN Cc1cc(C#N)nc(N[C@@H](C)CN2CCN(C)CC2)n1 ZINC000287583574 353655708 /nfs/dbraw/zinc/65/57/08/353655708.db2.gz XOKNBEZNZVRGDS-LBPRGKRZSA-N 0 1 274.372 0.705 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCN(C(C)(C)CO)CC1 ZINC000605420963 363250737 /nfs/dbraw/zinc/25/07/37/363250737.db2.gz KSRANZARGNNOJH-GFCCVEGCSA-N 0 1 269.389 0.066 20 30 CCEDMN C#CCNC(=O)CCN1CCN(c2ccnc(C)n2)CC1 ZINC000294546612 353889605 /nfs/dbraw/zinc/88/96/05/353889605.db2.gz KRBDAGVVLPCNFO-UHFFFAOYSA-N 0 1 287.367 0.047 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CC1)[C@@H](O)C[C@@H]2OCC ZINC000304389910 354116744 /nfs/dbraw/zinc/11/67/44/354116744.db2.gz UDLZKSKERKDBAL-IHRRRGAJSA-N 0 1 296.411 0.929 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N(C)C[C@H](O)C1CC1 ZINC000305750816 354159123 /nfs/dbraw/zinc/15/91/23/354159123.db2.gz RLGLYPZTUOPQDE-JQWIXIFHSA-N 0 1 253.346 0.450 20 30 CCEDMN [O-]C(N[C@H]1COC[C@H]1n1ccnn1)=[NH+][C@@H]1CC[C@H](F)C1 ZINC000329479165 354257611 /nfs/dbraw/zinc/25/76/11/354257611.db2.gz OWEROZLCRMSEDW-ZRUFSTJUSA-N 0 1 283.307 0.612 20 30 CCEDMN COC1(C)CN(C(=O)N[C@H]2CCc3nc[nH]c3C2)C1 ZINC000329725416 354259597 /nfs/dbraw/zinc/25/95/97/354259597.db2.gz GGNJEYFXXFXFSS-VIFPVBQESA-N 0 1 264.329 0.902 20 30 CCEDMN COC1(C)CN(C([O-])=[NH+][C@H]2CCc3nc[nH]c3C2)C1 ZINC000329725416 354259600 /nfs/dbraw/zinc/25/96/00/354259600.db2.gz GGNJEYFXXFXFSS-VIFPVBQESA-N 0 1 264.329 0.902 20 30 CCEDMN COC1(C)CN(C([O-])=[NH+][C@H]2CCc3[nH]cnc3C2)C1 ZINC000329725416 354259601 /nfs/dbraw/zinc/25/96/01/354259601.db2.gz GGNJEYFXXFXFSS-VIFPVBQESA-N 0 1 264.329 0.902 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC[C@@H]2CCSC2)[nH]1 ZINC000619204006 366216705 /nfs/dbraw/zinc/21/67/05/366216705.db2.gz UCJYEUBDPIHJNQ-QMMMGPOBSA-N 0 1 265.342 0.588 20 30 CCEDMN C=CCN1CC[C@H](N2CCOCC3(CC3)C2)C1=O ZINC000334458957 354869453 /nfs/dbraw/zinc/86/94/53/354869453.db2.gz RWACNDCHYCULTM-LBPRGKRZSA-N 0 1 250.342 0.886 20 30 CCEDMN C[C@H](O)CCN1CCN(c2ccc(C#N)cn2)CC1 ZINC000336804804 355180786 /nfs/dbraw/zinc/18/07/86/355180786.db2.gz LJTVCNURORRGCC-LBPRGKRZSA-N 0 1 260.341 0.846 20 30 CCEDMN C[C@H](O)CCN1CCN(c2ncccc2C#N)CC1 ZINC000336805108 355181714 /nfs/dbraw/zinc/18/17/14/355181714.db2.gz RZRDFUMEQNSGCJ-LBPRGKRZSA-N 0 1 260.341 0.846 20 30 CCEDMN CN1CC[C@@H]2OCCN(C(=O)CSCC#N)[C@H]2C1 ZINC000332699912 283085450 /nfs/dbraw/zinc/08/54/50/283085450.db2.gz VARGEQYGFZSGGU-QWRGUYRKSA-N 0 1 269.370 0.175 20 30 CCEDMN CCCN1CCN(C(=O)[C@@H](C)n2cnc(C#N)n2)CC1 ZINC000336927307 355228019 /nfs/dbraw/zinc/22/80/19/355228019.db2.gz XYVVMTFDSXMOCT-LLVKDONJSA-N 0 1 276.344 0.265 20 30 CCEDMN C[C@H](C#N)C[N@@H+](C)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000338235737 355311863 /nfs/dbraw/zinc/31/18/63/355311863.db2.gz KHWBPGCNYLNDIP-GHMZBOCLSA-N 0 1 259.375 0.359 20 30 CCEDMN C[C@H](C#N)CN(C)C[C@H]1CCN(S(C)(=O)=O)C1 ZINC000338235737 355311867 /nfs/dbraw/zinc/31/18/67/355311867.db2.gz KHWBPGCNYLNDIP-GHMZBOCLSA-N 0 1 259.375 0.359 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CC[C@@](F)(CO)C1 ZINC000338629207 355385592 /nfs/dbraw/zinc/38/55/92/355385592.db2.gz XZFCHAROQWHERO-LBPRGKRZSA-N 0 1 257.309 0.155 20 30 CCEDMN C[C@H]1CN(CC[N@@H+](C)CCOCCC#N)C[C@H](C)O1 ZINC000339137198 355492733 /nfs/dbraw/zinc/49/27/33/355492733.db2.gz ORMVGIOHDWYOHP-KBPBESRZSA-N 0 1 269.389 0.958 20 30 CCEDMN C[C@@H]1OCC[C@@]1(O)CNCc1nc(C#N)cs1 ZINC000352906463 356108562 /nfs/dbraw/zinc/10/85/62/356108562.db2.gz WHXDVERWZCHCBA-GZMMTYOYSA-N 0 1 253.327 0.644 20 30 CCEDMN COC(=O)c1coc(C(=O)N=c2[nH]n(C)cc2C#N)c1 ZINC000352910668 356109668 /nfs/dbraw/zinc/10/96/68/356109668.db2.gz GRSYMOAQZBOTKW-UHFFFAOYSA-N 0 1 274.236 0.346 20 30 CCEDMN N#Cc1cc(C(=O)Nc2nc(SCCO)n[nH]2)c[nH]1 ZINC000353511898 356243421 /nfs/dbraw/zinc/24/34/21/356243421.db2.gz VKBQKTUCIPEFOF-UHFFFAOYSA-N 0 1 278.297 0.341 20 30 CCEDMN CNC(=O)Cn1nc(N=c2s[nH]c(C)c2C#N)cc1C ZINC000361043155 138020046 /nfs/dbraw/zinc/02/00/46/138020046.db2.gz OAWTXMVLBJYJAF-UHFFFAOYSA-N 0 1 290.352 0.740 20 30 CCEDMN CSc1n[nH]c(NC(=O)Cc2noc(C)c2C#N)n1 ZINC000354518299 356430137 /nfs/dbraw/zinc/43/01/37/356430137.db2.gz YHPZGTALCPWVGP-UHFFFAOYSA-N 0 1 278.297 0.876 20 30 CCEDMN N#Cc1cccc(N2CCN(C(=O)c3ccn[nH]3)CC2)n1 ZINC000354889456 356522101 /nfs/dbraw/zinc/52/21/01/356522101.db2.gz AKYIGZMMSXMTPZ-UHFFFAOYSA-N 0 1 282.307 0.639 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCN(C2CCC2)CC1 ZINC000609600830 363508671 /nfs/dbraw/zinc/50/86/71/363508671.db2.gz KMMOKXVTNBEMSA-ZDUSSCGKSA-N 0 1 278.400 0.917 20 30 CCEDMN Cc1nc(=NC(=O)c2ccc(C#N)cc2C)[nH]n1C ZINC000355805486 356651564 /nfs/dbraw/zinc/65/15/64/356651564.db2.gz ZVDCSBQGJIAVEL-UHFFFAOYSA-N 0 1 255.281 0.978 20 30 CCEDMN C[C@H](NCc1cn(C)c(=O)n(C)c1=O)c1cccc(C#N)c1 ZINC000610345121 363553067 /nfs/dbraw/zinc/55/30/67/363553067.db2.gz SIVYMGZBEQCJFL-NSHDSACASA-N 0 1 298.346 0.806 20 30 CCEDMN CCC(=O)N[C@H](C)C(=O)N=c1[nH]n(C(C)(C)C)cc1C#N ZINC000619749505 366348284 /nfs/dbraw/zinc/34/82/84/366348284.db2.gz VVDCGOSPCZUUIE-SECBINFHSA-N 0 1 291.355 0.785 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@H]1CCCN(CC#N)C1 ZINC000610485972 363565056 /nfs/dbraw/zinc/56/50/56/363565056.db2.gz UDHNSGNTHFLBNL-ZIAGYGMSSA-N 0 1 278.400 0.822 20 30 CCEDMN COC(=O)C[C@@]1(NCc2cc(C#N)n(C)c2)CCOC1 ZINC000359703282 356873963 /nfs/dbraw/zinc/87/39/63/356873963.db2.gz AYUYMANDVKNWMQ-AWEZNQCLSA-N 0 1 277.324 0.709 20 30 CCEDMN CO[C@H](CNC(=O)N=c1[nH]n(C)cc1C#N)C(C)(C)C ZINC000610563004 363577568 /nfs/dbraw/zinc/57/75/68/363577568.db2.gz OKCKSKVRYHZUEO-SNVBAGLBSA-N 0 1 279.344 0.896 20 30 CCEDMN C[C@H](Cn1ccnc1)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000610528027 363572848 /nfs/dbraw/zinc/57/28/48/363572848.db2.gz HSFAFLQPPSNYLB-SECBINFHSA-N 0 1 273.300 0.120 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2c3ccccc3C[C@@H]2O)[nH]1 ZINC000610527738 363572964 /nfs/dbraw/zinc/57/29/64/363572964.db2.gz CLVKQFKJWWJLKO-QWHCGFSZSA-N 0 1 297.318 0.493 20 30 CCEDMN Cc1ccc(CNC(=O)N=c2[nH]n(C)cc2C#N)cn1 ZINC000610562192 363575826 /nfs/dbraw/zinc/57/58/26/363575826.db2.gz KIXNTTNYONWJKP-UHFFFAOYSA-N 0 1 270.296 0.739 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCc2ccccc2O)[nH]1 ZINC000610560954 363576952 /nfs/dbraw/zinc/57/69/52/363576952.db2.gz MSFFKOPGYDDZNU-UHFFFAOYSA-N 0 1 285.307 0.783 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N(C[C@@H]2CCOC2)C2CC2)[nH]1 ZINC000610565031 363578785 /nfs/dbraw/zinc/57/87/85/363578785.db2.gz YOTXKPDBNWGCTB-JTQLQIEISA-N 0 1 289.339 0.746 20 30 CCEDMN C#Cc1ccccc1CC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000365987170 357182083 /nfs/dbraw/zinc/18/20/83/357182083.db2.gz RKKODEGCLOCZKK-ZDUSSCGKSA-N 0 1 297.318 0.324 20 30 CCEDMN COC1CCN(CCNS(=O)(=O)CCCC#N)CC1 ZINC000610574648 363580501 /nfs/dbraw/zinc/58/05/01/363580501.db2.gz SKRNNTCDKYOUGS-UHFFFAOYSA-N 0 1 289.401 0.320 20 30 CCEDMN Cc1nc([C@@H](C)NC(=O)c2ccc(C#N)cn2)n[nH]1 ZINC000392654343 357749112 /nfs/dbraw/zinc/74/91/12/357749112.db2.gz QJGBGVHFSUWKGI-SSDOTTSWSA-N 0 1 256.269 0.871 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)cn2)C[C@H](C)N1CCO ZINC000450791010 236111546 /nfs/dbraw/zinc/11/15/46/236111546.db2.gz LLPWYZLFHHAPES-TXEJJXNPSA-N 0 1 260.341 0.845 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2CCCCN2CCO)o1 ZINC000452369526 236237452 /nfs/dbraw/zinc/23/74/52/236237452.db2.gz DTSVGTZYBLEESA-NSHDSACASA-N 0 1 277.324 0.728 20 30 CCEDMN C#CCNC(=O)CCN[C@H](CCO)c1ccco1 ZINC000294763608 199171848 /nfs/dbraw/zinc/17/18/48/199171848.db2.gz YPISGRKVSQLJAN-LLVKDONJSA-N 0 1 250.298 0.432 20 30 CCEDMN COC(C)(C)CN(C)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610718333 363604110 /nfs/dbraw/zinc/60/41/10/363604110.db2.gz VPIPDDYUKILCPI-UHFFFAOYSA-N 0 1 265.317 0.602 20 30 CCEDMN COCCCN(CCO)Cc1cnc2c(C#N)cnn2c1 ZINC000579958742 359068156 /nfs/dbraw/zinc/06/81/56/359068156.db2.gz QXBSPZPNUNILIV-UHFFFAOYSA-N 0 1 289.339 0.432 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@@H](c3nccn3C)C2)C1=O ZINC000584788706 359208036 /nfs/dbraw/zinc/20/80/36/359208036.db2.gz OYGLVXLTZBRROZ-CHWSQXEVSA-N 0 1 274.368 0.996 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@H](C)N2CCN(C)CC2)n1 ZINC000587802854 359301610 /nfs/dbraw/zinc/30/16/10/359301610.db2.gz AWOTZEXGFUMAEL-LBPRGKRZSA-N 0 1 274.372 0.126 20 30 CCEDMN CN(C)CC(=O)N1CCN(Cc2ccnc(C#N)c2)CC1 ZINC000610992089 363644318 /nfs/dbraw/zinc/64/43/18/363644318.db2.gz XQQACTADKNTIPF-UHFFFAOYSA-N 0 1 287.367 0.159 20 30 CCEDMN CCOC(=O)c1c[nH]c(NC(=O)C2(C#N)CCOCC2)n1 ZINC000585682838 359263554 /nfs/dbraw/zinc/26/35/54/359263554.db2.gz SXDPPFXSHFBOKW-UHFFFAOYSA-N 0 1 292.295 0.845 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@H](CC(N)=O)C1 ZINC000588976812 359355608 /nfs/dbraw/zinc/35/56/08/359355608.db2.gz YZVWIFQLYACVJB-WDEREUQCSA-N 0 1 278.356 0.135 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCc3c[nH]nc3C2)[nH]1 ZINC000611007709 363647932 /nfs/dbraw/zinc/64/79/32/363647932.db2.gz FRDMGSDWWGLUAT-UHFFFAOYSA-N 0 1 271.284 0.027 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H]2CCOC(C)(C)C2)[nH]1 ZINC000611095601 363659672 /nfs/dbraw/zinc/65/96/72/363659672.db2.gz UIJWNXZKUDIQEJ-SNVBAGLBSA-N 0 1 277.328 0.793 20 30 CCEDMN Cc1nnsc1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000590121305 359425677 /nfs/dbraw/zinc/42/56/77/359425677.db2.gz AUUAHABRAJPCOG-UHFFFAOYSA-N 0 1 277.313 0.195 20 30 CCEDMN N#Cc1cccc(C=CC(=O)NC2(c3nn[nH]n3)CC2)c1 ZINC000491995797 237046109 /nfs/dbraw/zinc/04/61/09/237046109.db2.gz XEAWMGIDOGMPLG-SNAWJCMRSA-N 0 1 280.291 0.890 20 30 CCEDMN Cc1cc(CN2CC[C@@H]3OCC(=O)N[C@H]3C2)ccc1C#N ZINC000590781161 359522987 /nfs/dbraw/zinc/52/29/87/359522987.db2.gz MYHXYZASKXHWRW-GJZGRUSLSA-N 0 1 285.347 0.956 20 30 CCEDMN COC(=O)[C@H]1C[C@@H](O)CN(Cc2ccc(C#N)cc2)C1 ZINC000592193583 359783641 /nfs/dbraw/zinc/78/36/41/359783641.db2.gz BZZJGUYQZJMHDX-UONOGXRCSA-N 0 1 274.320 0.914 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@H](O)COCc2ccco2)C1 ZINC000592147789 359766152 /nfs/dbraw/zinc/76/61/52/359766152.db2.gz OPQCUYQFXJUGDZ-JSGCOSHPSA-N 0 1 280.324 0.508 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CC[C@H](C(F)F)C1 ZINC000611180007 363675209 /nfs/dbraw/zinc/67/52/09/363675209.db2.gz PORBBCRRQZXMRS-BDAKNGLRSA-N 0 1 275.299 0.974 20 30 CCEDMN N#Cc1cnn2cc(CN3CCC[C@H](CO)C3)cnc12 ZINC000592497139 359860331 /nfs/dbraw/zinc/86/03/31/359860331.db2.gz WHKLWEMPGXOSDM-NSHDSACASA-N 0 1 271.324 0.805 20 30 CCEDMN COC[C@H](C)[C@@H](C)C(=O)N=c1[nH]n(C)c(C)c1C#N ZINC000592650126 359909115 /nfs/dbraw/zinc/90/91/15/359909115.db2.gz OERNDJKFTAJMAX-DTWKUNHWSA-N 0 1 264.329 0.879 20 30 CCEDMN CCCn1cc(C(=O)N=c2[nH]n(C)c(C)c2C#N)cn1 ZINC000592654460 359910383 /nfs/dbraw/zinc/91/03/83/359910383.db2.gz RDKUQRJKOLBZSC-UHFFFAOYSA-N 0 1 272.312 0.881 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2CCSC2)[nH]n1C ZINC000592654902 359910572 /nfs/dbraw/zinc/91/05/72/359910572.db2.gz TYIVLRRFUZTSJK-QMMMGPOBSA-N 0 1 250.327 0.714 20 30 CCEDMN CN(CCc1cnccn1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611303282 363705266 /nfs/dbraw/zinc/70/52/66/363705266.db2.gz GLQKOHVMBJPDRH-UHFFFAOYSA-N 0 1 285.311 0.210 20 30 CCEDMN CC1CCN(CC(=O)N2CC[C@](O)(CC#N)C2)CC1 ZINC000592843980 359951264 /nfs/dbraw/zinc/95/12/64/359951264.db2.gz AAOFGSVQMNTEJL-CQSZACIVSA-N 0 1 265.357 0.595 20 30 CCEDMN N#CC[C@@]1(O)CCN(C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000592845332 359952746 /nfs/dbraw/zinc/95/27/46/359952746.db2.gz HWWOEHAKVSRIHX-IINYFYTJSA-N 0 1 274.324 0.707 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)c2csc(=NC3CC3)[nH]2)C1 ZINC000592848423 359953540 /nfs/dbraw/zinc/95/35/40/359953540.db2.gz WPLCDZMLFINQQV-ZDUSSCGKSA-N 0 1 292.364 0.630 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(C2(O)CC2)CC1 ZINC000593048185 360020759 /nfs/dbraw/zinc/02/07/59/360020759.db2.gz AVDCIPRUEPNKGA-UHFFFAOYSA-N 0 1 279.384 0.985 20 30 CCEDMN CC[C@@H](C#N)C(=O)NC[C@@H]1CCCCN1CCO ZINC000593731725 360143523 /nfs/dbraw/zinc/14/35/23/360143523.db2.gz AOPGDRMRVGDVGZ-RYUDHWBXSA-N 0 1 253.346 0.499 20 30 CCEDMN C=CCNC(=O)CN(CCC(=O)OCC)C(C)C ZINC000594051793 360242393 /nfs/dbraw/zinc/24/23/93/360242393.db2.gz QAELCRAQFJZCDS-UHFFFAOYSA-N 0 1 256.346 0.952 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC000611472039 363719415 /nfs/dbraw/zinc/71/94/15/363719415.db2.gz LNRLECLUIXDGMD-GFCCVEGCSA-N 0 1 276.340 0.727 20 30 CCEDMN COC(=O)[C@@H]1CNCCCN1C(=O)CCCCC#N ZINC000594443281 360311423 /nfs/dbraw/zinc/31/14/23/360311423.db2.gz CEMKDJAGLMXJSL-NSHDSACASA-N 0 1 267.329 0.434 20 30 CCEDMN CC[C@@H](CC#N)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594768102 360379362 /nfs/dbraw/zinc/37/93/62/360379362.db2.gz JFDZAGNBTVNRBK-QMMMGPOBSA-N 0 1 284.297 0.960 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@H]3CC[N@@H+](C)C[C@@H]32)[n-]1 ZINC000611519437 363732009 /nfs/dbraw/zinc/73/20/09/363732009.db2.gz JRIVBRJTQBWHAF-JQWIXIFHSA-N 0 1 288.355 0.272 20 30 CCEDMN CCN(C(=O)C(=O)N(C)C[C@H](C)C#N)[C@@H]1CCN(C)C1 ZINC000595205360 360450473 /nfs/dbraw/zinc/45/04/73/360450473.db2.gz ZYBFEGICOIDWJJ-VXGBXAGGSA-N 0 1 280.372 0.157 20 30 CCEDMN CS(=O)(=O)N[C@H]1CCN(CCc2ccc(C#N)cc2)C1 ZINC000595321989 360497004 /nfs/dbraw/zinc/49/70/04/360497004.db2.gz SKCZCOZMDJUJCU-AWEZNQCLSA-N 0 1 293.392 0.724 20 30 CCEDMN N#Cc1ccc(CCNC(=O)C[C@H]2COCCN2)cc1 ZINC000595257076 360461353 /nfs/dbraw/zinc/46/13/53/360461353.db2.gz PLRWKQRIEDCAFD-AWEZNQCLSA-N 0 1 273.336 0.595 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)CC2(C#N)CC2)CCN1 ZINC000595411973 360525061 /nfs/dbraw/zinc/52/50/61/360525061.db2.gz JOXNWWVMVBQFMJ-NSHDSACASA-N 0 1 271.386 0.550 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)Cc1n[nH]c(=O)o1 ZINC000595546861 360573666 /nfs/dbraw/zinc/57/36/66/360573666.db2.gz JQQKWCIDQRBBTI-SECBINFHSA-N 0 1 281.316 0.215 20 30 CCEDMN N#Cc1ccncc1N1CCN(CCc2c[nH]nn2)CC1 ZINC000595502374 360551993 /nfs/dbraw/zinc/55/19/93/360551993.db2.gz RLWPPYACDPFRNF-UHFFFAOYSA-N 0 1 283.339 0.436 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](Cn2ccnn2)C1 ZINC000595609414 360595578 /nfs/dbraw/zinc/59/55/78/360595578.db2.gz YZODUVMIJULBLO-ZDUSSCGKSA-N 0 1 290.371 0.362 20 30 CCEDMN C[NH2+]C[C@@H](C)C[N-]S(=O)(=O)c1ccc(C#N)o1 ZINC000563446569 291230644 /nfs/dbraw/zinc/23/06/44/291230644.db2.gz UYAZFRFVWCCPBE-MRVPVSSYSA-N 0 1 257.315 0.285 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@H]2COC[C@]2(C)C1 ZINC000595757971 360642356 /nfs/dbraw/zinc/64/23/56/360642356.db2.gz LPQKCGMPBLTQCP-DYEKYZERSA-N 0 1 277.368 0.906 20 30 CCEDMN C[C@@H](O)[C@H]1CCCN1CC(=O)N[C@@](C)(C#N)C1CC1 ZINC000595774000 360649844 /nfs/dbraw/zinc/64/98/44/360649844.db2.gz YZNNBASNQKQTEF-QKCSRTOESA-N 0 1 265.357 0.640 20 30 CCEDMN C[C@H](O)[C@H]1CCCN1CC(=O)N(CCC#N)CCC#N ZINC000595773970 360650469 /nfs/dbraw/zinc/65/04/69/360650469.db2.gz YQBUTENIYVALIP-QWHCGFSZSA-N 0 1 278.356 0.488 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@@H]2NC(=O)CC[C@H]2C1 ZINC000595737160 360634028 /nfs/dbraw/zinc/63/40/28/360634028.db2.gz GOZCMYXCVBKDEX-AGIUHOORSA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000595743765 360635593 /nfs/dbraw/zinc/63/55/93/360635593.db2.gz QLQAHGTVICFLJI-XQHKEYJVSA-N 0 1 279.384 0.886 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NC(=O)Nc1ccc(OC)cc1 ZINC000595863287 360683601 /nfs/dbraw/zinc/68/36/01/360683601.db2.gz BGEOPJQCJVFQFM-SNVBAGLBSA-N 0 1 293.323 0.480 20 30 CCEDMN CN(C)C(=O)N(C)[C@H]1CCN(CCOCCC#N)C1 ZINC000595822997 360668477 /nfs/dbraw/zinc/66/84/77/360668477.db2.gz BPHCXPLWFBIFHT-LBPRGKRZSA-N 0 1 268.361 0.604 20 30 CCEDMN Cc1ccc(C#N)nc1N1CCN(C(=O)CN(C)C)CC1 ZINC000596073504 360787737 /nfs/dbraw/zinc/78/77/37/360787737.db2.gz LOFHMNQJVLLJJY-UHFFFAOYSA-N 0 1 287.367 0.472 20 30 CCEDMN C[C@@H]1CN(c2cc(C#N)cnn2)[C@@H](C)CN1CCO ZINC000596274211 360862903 /nfs/dbraw/zinc/86/29/03/360862903.db2.gz CDSBCZLBRXGTLT-MNOVXSKESA-N 0 1 261.329 0.240 20 30 CCEDMN COCC(C)(C)N1CCN(c2c(C#N)cnn2C)CC1 ZINC000596280461 360867377 /nfs/dbraw/zinc/86/73/77/360867377.db2.gz GCJIHWSDMRGDTG-UHFFFAOYSA-N 0 1 277.372 0.839 20 30 CCEDMN N#CC1(CC(=O)NCCN2CCCOCC2)CCOCC1 ZINC000597539226 361132050 /nfs/dbraw/zinc/13/20/50/361132050.db2.gz CFZUEFUUJVBEQN-UHFFFAOYSA-N 0 1 295.383 0.535 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000597624792 361166912 /nfs/dbraw/zinc/16/69/12/361166912.db2.gz KZMIZDPJAVLXAE-CQSZACIVSA-N 0 1 299.378 0.381 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(C(=O)[C@H](C)CC#N)C1 ZINC000597772010 361239840 /nfs/dbraw/zinc/23/98/40/361239840.db2.gz BCKCGPWSLXSSHF-MNOVXSKESA-N 0 1 267.329 0.099 20 30 CCEDMN C[C@@H](C#N)N(C(=O)CN(C)c1ncnc2[nH]cnc21)C1CC1 ZINC000598132290 361340856 /nfs/dbraw/zinc/34/08/56/361340856.db2.gz PAUOVZPUIQOBQX-VIFPVBQESA-N 0 1 299.338 0.692 20 30 CCEDMN COCCN(C[C@@H](O)CC(C)(C)C#N)[C@H](C)C(N)=O ZINC000598587427 361448268 /nfs/dbraw/zinc/44/82/68/361448268.db2.gz ADWRYUNGEMIMMF-MNOVXSKESA-N 0 1 271.361 0.109 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1C[C@@H]2C[C@H]1C[S@]2=O ZINC000598624279 361465010 /nfs/dbraw/zinc/46/50/10/361465010.db2.gz YZWDHLGVDBOYTA-VZVWNTEVSA-N 0 1 256.371 0.492 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](C)[C@@H](CO)C1 ZINC000598652099 361473205 /nfs/dbraw/zinc/47/32/05/361473205.db2.gz XDKJUVOALGCOSD-HZSPNIEDSA-N 0 1 281.400 0.945 20 30 CCEDMN C[C@@H](C#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599188574 361605581 /nfs/dbraw/zinc/60/55/81/361605581.db2.gz SPULSDLLYGWKCY-ZETCQYMHSA-N 0 1 268.298 0.095 20 30 CCEDMN N#Cc1cccc([N+](=O)[O-])c1NCCN1CC[C@H](O)C1 ZINC000599199464 361609400 /nfs/dbraw/zinc/60/94/00/361609400.db2.gz LNVMOIVAJRRWRY-NSHDSACASA-N 0 1 276.296 0.945 20 30 CCEDMN Cc1ccc(CN2CCN(CCO)CC2)cc1C#N ZINC000599256554 361622111 /nfs/dbraw/zinc/62/21/11/361622111.db2.gz CUXIFKOQOKPWFK-UHFFFAOYSA-N 0 1 259.353 0.977 20 30 CCEDMN Cn1cc([N+](=O)[O-])c(S(=O)(=O)NCC2(CC#N)CC2)n1 ZINC000599305115 361642533 /nfs/dbraw/zinc/64/25/33/361642533.db2.gz TUDGMJYHFZPLJL-UHFFFAOYSA-N 0 1 299.312 0.300 20 30 CCEDMN Cn1cc([N+](=O)[O-])c(S(=O)(=O)N[C@@H]2CC[C@H](C#N)C2)n1 ZINC000599331937 361653664 /nfs/dbraw/zinc/65/36/64/361653664.db2.gz KNDZXZKZXCJWMK-JGVFFNPUSA-N 0 1 299.312 0.299 20 30 CCEDMN COC(=O)CNC(=O)CCN1CCC[C@@](C)(C#N)C1 ZINC000612163680 363858567 /nfs/dbraw/zinc/85/85/67/363858567.db2.gz WGNADVMIBOITCV-ZDUSSCGKSA-N 0 1 267.329 0.291 20 30 CCEDMN C[C@@H]1[C@H](C)N(C(=O)N=c2[n-]n(C)cc2C#N)CC[N@@H+]1C ZINC000612573662 363961280 /nfs/dbraw/zinc/96/12/80/363961280.db2.gz ZTOSRRJITIMWBN-ZJUUUORDSA-N 0 1 276.344 0.270 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N(CCO)C2CCCCC2)[nH]1 ZINC000612573522 363961645 /nfs/dbraw/zinc/96/16/45/363961645.db2.gz JHWFUPXFVGCLJK-UHFFFAOYSA-N 0 1 291.355 0.873 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1CC(N(C)C)C1 ZINC000613117319 364115566 /nfs/dbraw/zinc/11/55/66/364115566.db2.gz FTHGHVHCJJTYGD-LBPRGKRZSA-N 0 1 253.346 0.230 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000614389800 364590902 /nfs/dbraw/zinc/59/09/02/364590902.db2.gz POERYQWFLVDZMB-PRHODGIISA-N 0 1 267.285 0.418 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000614387304 364591061 /nfs/dbraw/zinc/59/10/61/364591061.db2.gz AFUWJCQTDIANCQ-SFYZADRCSA-N 0 1 253.258 0.028 20 30 CCEDMN Cc1cc(=NC(=O)N=c2[nH]n(C)cc2C#N)[nH]n1CCC#N ZINC000615025401 364822384 /nfs/dbraw/zinc/82/23/84/364822384.db2.gz CDYYBVKZBJMEJO-UHFFFAOYSA-N 0 1 298.310 0.198 20 30 CCEDMN CC[C@@]1(CO)CCCN1C(=O)N=c1[nH]n(C)cc1C#N ZINC000615253028 364895763 /nfs/dbraw/zinc/89/57/63/364895763.db2.gz BICXPYITKKDDRU-ZDUSSCGKSA-N 0 1 277.328 0.482 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)NC1(c2nnc[nH]2)CCC1 ZINC000615930470 365133379 /nfs/dbraw/zinc/13/33/79/365133379.db2.gz OOEHKXXRHBKFGV-UHFFFAOYSA-N 0 1 270.296 0.824 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000616100127 365177632 /nfs/dbraw/zinc/17/76/32/365177632.db2.gz HGFPHOJHZYPKBQ-UWVGGRQHSA-N 0 1 264.329 0.668 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@H](OCCOC)C1 ZINC000275474175 193052970 /nfs/dbraw/zinc/05/29/70/193052970.db2.gz DARJXJWPZBRVBA-AWEZNQCLSA-N 0 1 282.384 0.924 20 30 CCEDMN C=CC(C)(C)CCNC(=O)NCC(=O)N1CCNCC1 ZINC000627064804 368765401 /nfs/dbraw/zinc/76/54/01/368765401.db2.gz WXZXUYAHPJKMNJ-UHFFFAOYSA-N 0 1 282.388 0.320 20 30 CCEDMN C=CCC(C)(C)CNC(=O)NC[C@H]1COCCN1 ZINC000627043756 368752649 /nfs/dbraw/zinc/75/26/49/368752649.db2.gz RENGNCFXRJIGQS-NSHDSACASA-N 0 1 255.362 0.876 20 30 CCEDMN C=CC(C)(C)CCNC(=O)NC[C@@H]1COCCN1 ZINC000627121581 368813831 /nfs/dbraw/zinc/81/38/31/368813831.db2.gz ZLNUCABODDSVJY-LLVKDONJSA-N 0 1 255.362 0.876 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1C[C@@H](C)N[C@H](C)C1 ZINC000627434297 369000831 /nfs/dbraw/zinc/00/08/31/369000831.db2.gz CEDJSVVYFXEZRR-VXGBXAGGSA-N 0 1 281.400 0.914 20 30 CCEDMN C=CC[C@@H](CO)CNCc1cn(C[C@H]2CCOC2)nn1 ZINC000631108711 370386770 /nfs/dbraw/zinc/38/67/70/370386770.db2.gz ZTLYROLOHYYGRJ-CHWSQXEVSA-N 0 1 280.372 0.589 20 30 CCEDMN CC[C@@H](CO)N1CCN(c2ccc(C#N)c(N)n2)CC1 ZINC000567752543 291510177 /nfs/dbraw/zinc/51/01/77/291510177.db2.gz NNWYSMFDDQOBCT-LBPRGKRZSA-N 0 1 275.356 0.428 20 30 CCEDMN CCN1CCCC[C@H]1C(=O)N1CCO[C@H](C#N)C1 ZINC000106043095 261320463 /nfs/dbraw/zinc/32/04/63/261320463.db2.gz USVYWFJJDMCYDG-NEPJUHHUSA-N 0 1 251.330 0.612 20 30 CCEDMN C=CCCOCCNC(=O)CCCc1nn[nH]n1 ZINC000635738447 372837519 /nfs/dbraw/zinc/83/75/19/372837519.db2.gz SCSIRRRVFFEVQL-UHFFFAOYSA-N 0 1 253.306 0.231 20 30 CCEDMN C=CC(C)(C)CNC(=O)NCCN1CC[C@@H](O)C1 ZINC000637192308 373990556 /nfs/dbraw/zinc/99/05/56/373990556.db2.gz YMODVSCBUYITNO-LLVKDONJSA-N 0 1 255.362 0.564 20 30 CCEDMN C=CCOCCNC(=O)[C@H](N)Cc1cccc(OC)c1 ZINC000638426738 375025054 /nfs/dbraw/zinc/02/50/54/375025054.db2.gz WCZILWOXVFPLBU-CQSZACIVSA-N 0 1 278.352 0.884 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2nc[nH]n2)CC1 ZINC000639734222 375961620 /nfs/dbraw/zinc/96/16/20/375961620.db2.gz ZMSAQYDIJZLIFH-NSHDSACASA-N 0 1 251.334 0.254 20 30 CCEDMN C=CCN1CC[C@H]([N@H+]2CCC[C@H](c3n[n-]c(=N)o3)C2)C1=O ZINC000639822791 376030838 /nfs/dbraw/zinc/03/08/38/376030838.db2.gz SSQDRFSCTUZJSU-QWRGUYRKSA-N 0 1 291.355 0.448 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@](C)(CO)COC ZINC000639953516 376150826 /nfs/dbraw/zinc/15/08/26/376150826.db2.gz XZQRODXEUMAPSF-ZDUSSCGKSA-N 0 1 256.346 0.174 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@H]2C[C@@]2(O)C1 ZINC000640476609 376388937 /nfs/dbraw/zinc/38/89/37/376388937.db2.gz JYWKVVWCAPKTJA-OUCADQQQSA-N 0 1 250.342 0.642 20 30 CCEDMN C=CCCOCCCCC(=O)NCc1n[nH]c(=O)n1C ZINC000640652544 376489800 /nfs/dbraw/zinc/48/98/00/376489800.db2.gz WNCHAUYAVJUFOX-UHFFFAOYSA-N 0 1 282.344 0.900 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(C(C)(C)CO)CC2)C1=O ZINC000685002821 545785051 /nfs/dbraw/zinc/78/50/51/545785051.db2.gz OKXUENLOSJQQPW-CYBMUJFWSA-N 0 1 281.400 0.162 20 30 CCEDMN C=CCNC(=O)CNC(=O)N1CCN(C)C(C)(C)C1 ZINC000641817476 377487551 /nfs/dbraw/zinc/48/75/51/377487551.db2.gz UHOBVODRGFUTKG-UHFFFAOYSA-N 0 1 268.361 0.024 20 30 CCEDMN C[NH+](C)[C@H]1CN(C(=O)c2ccc(C#N)c([O-])c2)C[C@@H]1O ZINC000571059980 291789012 /nfs/dbraw/zinc/78/90/12/291789012.db2.gz YCYRSCBTIYTHJM-AAEUAGOBSA-N 0 1 275.308 0.011 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCOCC2(CCOCC2)C1 ZINC000184112601 388768615 /nfs/dbraw/zinc/76/86/15/388768615.db2.gz YSXVIPJHFIXSML-CYBMUJFWSA-N 0 1 280.368 0.253 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000013592872 388786777 /nfs/dbraw/zinc/78/67/77/388786777.db2.gz MVGRDPPDWWCFKB-VIFPVBQESA-N 0 1 272.308 0.983 20 30 CCEDMN C=CCN(C)C(=O)C(=O)N[C@@H]1C[C@@H](C)N(C2CC2)C1 ZINC000288833454 388866499 /nfs/dbraw/zinc/86/64/99/388866499.db2.gz CQHBGNXKZPFEHP-GHMZBOCLSA-N 0 1 265.357 0.372 20 30 CCEDMN C[C@@H](N[C@@H](C)C(=O)NC(N)=O)c1ccc(C#N)cc1 ZINC000037502306 388846492 /nfs/dbraw/zinc/84/64/92/388846492.db2.gz PBGBEMLPFAEAHF-BDAKNGLRSA-N 0 1 260.297 0.792 20 30 CCEDMN COCC[N@H+](C)CCCNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188299924 388923425 /nfs/dbraw/zinc/92/34/25/388923425.db2.gz IVNZYXFPDUDFRQ-UHFFFAOYSA-N 0 1 291.351 0.962 20 30 CCEDMN COCC[N@@H+](C)CCCNC(=O)c1ccc(C#N)c([O-])c1 ZINC000188299924 388923428 /nfs/dbraw/zinc/92/34/28/388923428.db2.gz IVNZYXFPDUDFRQ-UHFFFAOYSA-N 0 1 291.351 0.962 20 30 CCEDMN COCCN(CC[NH+](C)C)C(=O)c1ccc(C#N)c([O-])c1 ZINC000188557350 388933247 /nfs/dbraw/zinc/93/32/47/388933247.db2.gz IHTDNAXQDMFEQI-UHFFFAOYSA-N 0 1 291.351 0.914 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H]1CN1CCOCC1 ZINC000075889318 388985271 /nfs/dbraw/zinc/98/52/71/388985271.db2.gz BHVGTXXWFQGPKG-CYBMUJFWSA-N 0 1 267.373 0.085 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCc1n[nH]c(CC)n1 ZINC000295232050 388995802 /nfs/dbraw/zinc/99/58/02/388995802.db2.gz BURZQPMJJPQPIZ-VIFPVBQESA-N 0 1 252.318 0.965 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCOC[C@@H]1C1CC1 ZINC000092808186 389032525 /nfs/dbraw/zinc/03/25/25/389032525.db2.gz QPEOFXJZYUJYEK-CYBMUJFWSA-N 0 1 265.357 0.859 20 30 CCEDMN C=CCN1CC[C@H](N2CCN([C@H](CC)CO)CC2)C1=O ZINC000337192886 389051570 /nfs/dbraw/zinc/05/15/70/389051570.db2.gz UEJWOKBSEUGSQY-KGLIPLIRSA-N 0 1 281.400 0.162 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](C)N(C)C[C@H]2C)C1=O ZINC000337183143 389052124 /nfs/dbraw/zinc/05/21/24/389052124.db2.gz NMELPOZTCGOUTG-UPJWGTAASA-N 0 1 251.374 0.798 20 30 CCEDMN C=CCN1CC[C@H](N2CCOCC3(CCOCC3)C2)C1=O ZINC000337184333 389052138 /nfs/dbraw/zinc/05/21/38/389052138.db2.gz CGERHTAPDNBHQI-AWEZNQCLSA-N 0 1 294.395 0.902 20 30 CCEDMN C=CCN1CC[C@H](N[C@]2(CC(=O)OC)CCOC2)C1=O ZINC000337217092 389055673 /nfs/dbraw/zinc/05/56/73/389055673.db2.gz ZZISXCRMXGSKEP-FZMZJTMJSA-N 0 1 282.340 0.085 20 30 CCEDMN C[C@H]1CCNC(=O)[C@H]1NCC(=O)NC1(C#N)CCCCC1 ZINC000193653510 389076617 /nfs/dbraw/zinc/07/66/17/389076617.db2.gz VLWJIXVUBJENRZ-AAEUAGOBSA-N 0 1 292.383 0.443 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC[C@H](CO)C1 ZINC000302834949 389079708 /nfs/dbraw/zinc/07/97/08/389079708.db2.gz XVLWTMJNSXOEEC-RYUDHWBXSA-N 0 1 253.346 0.451 20 30 CCEDMN Cc1c(C#N)cccc1C(=O)NCCc1nc[nH]n1 ZINC000338184178 389086101 /nfs/dbraw/zinc/08/61/01/389086101.db2.gz ZFQQHOHSXBUOOV-UHFFFAOYSA-N 0 1 255.281 0.957 20 30 CCEDMN C[N@H+]1C[C@H]2CN(C(=O)c3ccc(C#N)c([O-])c3)C[C@H]2C1 ZINC000192494080 389040220 /nfs/dbraw/zinc/04/02/20/389040220.db2.gz RMSBXWCRZQCNAO-BETUJISGSA-N 0 1 271.320 0.897 20 30 CCEDMN C[N@@H+]1C[C@H]2CN(C(=O)c3ccc(C#N)c([O-])c3)C[C@H]2C1 ZINC000192494080 389040222 /nfs/dbraw/zinc/04/02/22/389040222.db2.gz RMSBXWCRZQCNAO-BETUJISGSA-N 0 1 271.320 0.897 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)N2CCOCC2)n[nH]1 ZINC000328916120 389144534 /nfs/dbraw/zinc/14/45/34/389144534.db2.gz RDIFWCCKBGFWIO-QMMMGPOBSA-N 0 1 253.306 0.674 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1CC[C@H](C(=O)OC)C1 ZINC000107820848 389097622 /nfs/dbraw/zinc/09/76/22/389097622.db2.gz XOEKBXDERVDICH-LBPRGKRZSA-N 0 1 268.357 0.906 20 30 CCEDMN C[C@@H](CC#N)NC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000112280175 389121526 /nfs/dbraw/zinc/12/15/26/389121526.db2.gz KFEDOFQXKRBOQB-LBPRGKRZSA-N 0 1 287.367 0.865 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CCO)CCCCC ZINC000112613421 389127904 /nfs/dbraw/zinc/12/79/04/389127904.db2.gz IUOAHFLBWQRVEM-UHFFFAOYSA-N 0 1 271.361 0.483 20 30 CCEDMN Cn1cc(NC(=O)[C@H]2CCc3[nH]nnc3C2)ccc1=O ZINC000328754184 389135944 /nfs/dbraw/zinc/13/59/44/389135944.db2.gz DRNSSTRZYLEUJP-QMMMGPOBSA-N 0 1 273.296 0.897 20 30 CCEDMN Cn1cc(NC(=O)[C@H]2CCc3nn[nH]c3C2)ccc1=O ZINC000328754184 389135948 /nfs/dbraw/zinc/13/59/48/389135948.db2.gz DRNSSTRZYLEUJP-QMMMGPOBSA-N 0 1 273.296 0.897 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@H](C)N(C)[C@@H](C)C1 ZINC000339627264 389136285 /nfs/dbraw/zinc/13/62/85/389136285.db2.gz FDDWWDZLLYGJRZ-SRVKXCTJSA-N 0 1 282.388 0.411 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@@H](C)N(C)[C@H](C)C1 ZINC000339627265 389136327 /nfs/dbraw/zinc/13/63/27/389136327.db2.gz FDDWWDZLLYGJRZ-UTUOFQBUSA-N 0 1 282.388 0.411 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)N2CCC(C)(CO)CC2)C1 ZINC000329662163 389184038 /nfs/dbraw/zinc/18/40/38/389184038.db2.gz OSKMLXGNIBDVTC-CYBMUJFWSA-N 0 1 298.431 0.241 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)N1CCN2CCOC[C@@H]2C1 ZINC000329849529 389205956 /nfs/dbraw/zinc/20/59/56/389205956.db2.gz PYKFOIFPVWVMND-OLZOCXBDSA-N 0 1 293.371 0.202 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)N1CCn2cncc2C1 ZINC000329701983 389190616 /nfs/dbraw/zinc/19/06/16/389190616.db2.gz HQRFGWJAWIVTCN-LLVKDONJSA-N 0 1 266.301 0.028 20 30 CCEDMN CO[C@H]1C[C@@H](CO)N(C([O-])=[NH+][C@@H]2CCc3[nH]cnc3C2)C1 ZINC000329733592 389194450 /nfs/dbraw/zinc/19/44/50/389194450.db2.gz JSPUNHRECFXPPL-VWYCJHECSA-N 0 1 294.355 0.263 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)CN(C)[C@@H]1CCSC1 ZINC000342205909 389200437 /nfs/dbraw/zinc/20/04/37/389200437.db2.gz IZCMRXLWRVWQNM-NWDGAFQWSA-N 0 1 272.414 0.725 20 30 CCEDMN O=C(NCCn1cncn1)N1CCC2(C1)CCOCC2 ZINC000329811140 389203564 /nfs/dbraw/zinc/20/35/64/389203564.db2.gz RFYOTQKCHCEBIB-UHFFFAOYSA-N 0 1 279.344 0.695 20 30 CCEDMN C[C@@H]1CN(CCCSCC#N)C[C@H](C(N)=O)O1 ZINC000122053465 389150714 /nfs/dbraw/zinc/15/07/14/389150714.db2.gz XWARUUBZWPXVRW-NXEZZACHSA-N 0 1 257.359 0.208 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCN(CCOC)[C@H](CCC)C1 ZINC000121876005 389150891 /nfs/dbraw/zinc/15/08/91/389150891.db2.gz CTODNDWWGKPLRD-LSDHHAIUSA-N 0 1 295.427 0.557 20 30 CCEDMN C=C[C@H](CO)NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000358470803 389151950 /nfs/dbraw/zinc/15/19/50/389151950.db2.gz TYTVNLXRSHCKHV-FRRDWIJNSA-N 0 1 285.388 0.332 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@@H]1CC(=O)N(C2CC2)C1 ZINC000329343136 389158209 /nfs/dbraw/zinc/15/82/09/389158209.db2.gz SXGRUVMHSRIQJM-VXGBXAGGSA-N 0 1 295.383 0.675 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000359087033 389166821 /nfs/dbraw/zinc/16/68/21/389166821.db2.gz ILSGYJPNMYAEIU-UONOGXRCSA-N 0 1 283.416 0.720 20 30 CCEDMN O=C(N[C@H]1CCc2nc[nH]c2C1)N(CCO)C1CC1 ZINC000329598189 389174448 /nfs/dbraw/zinc/17/44/48/389174448.db2.gz DAEOVAALTRGVHN-VIFPVBQESA-N 0 1 264.329 0.638 20 30 CCEDMN [O-]C(=[NH+][C@H]1CCc2nc[nH]c2C1)N(CCO)C1CC1 ZINC000329598189 389174449 /nfs/dbraw/zinc/17/44/49/389174449.db2.gz DAEOVAALTRGVHN-VIFPVBQESA-N 0 1 264.329 0.638 20 30 CCEDMN CN1CC[N@@H+](C)C[C@H]1CNC(=O)NCC[C@H]1CCOC1 ZINC000329627779 389178706 /nfs/dbraw/zinc/17/87/06/389178706.db2.gz HALDEBCZYLXXEV-QWHCGFSZSA-N 0 1 284.404 0.162 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC[C@H]2CCOC2)C1 ZINC000329627779 389178709 /nfs/dbraw/zinc/17/87/09/389178709.db2.gz HALDEBCZYLXXEV-QWHCGFSZSA-N 0 1 284.404 0.162 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@](C)(O)C1 ZINC000248326062 389244749 /nfs/dbraw/zinc/24/47/49/389244749.db2.gz BQXOJVKATVBIOQ-AAEUAGOBSA-N 0 1 253.346 0.451 20 30 CCEDMN CCNC(=O)NC(=O)CN1C[C@H](C(N)=O)CC[C@@H]1C ZINC000330596406 389245178 /nfs/dbraw/zinc/24/51/78/389245178.db2.gz VKMKBWSSXAZSEM-DTWKUNHWSA-N 0 1 270.333 0.672 20 30 CCEDMN C[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)[C@H](C)CN1C ZINC000330602383 389245922 /nfs/dbraw/zinc/24/59/22/389245922.db2.gz WNENEBLDXBAMJB-ZIAGYGMSSA-N 0 1 291.399 0.667 20 30 CCEDMN C=CC[C@H](CO)NC(=O)N[C@@H]1C[C@@H](C)[N@H+](C2CC2)C1 ZINC000248591695 389248024 /nfs/dbraw/zinc/24/80/24/389248024.db2.gz RMPBQGVAZBBIGE-IJLUTSLNSA-N 0 1 267.373 0.848 20 30 CCEDMN C[C@H](NC(=O)N1CCN(CC2CC2)CC1)c1ncnn1C ZINC000329938176 389212700 /nfs/dbraw/zinc/21/27/00/389212700.db2.gz SJYFGVSCQMEYLZ-NSHDSACASA-N 0 1 292.387 0.818 20 30 CCEDMN CN(C)S(=O)(=O)c1ccc(O)c(C(=O)N(C)CC#N)c1 ZINC000342584026 389225131 /nfs/dbraw/zinc/22/51/31/389225131.db2.gz MLLSSYOYOWIQKP-UHFFFAOYSA-N 0 1 297.336 0.238 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N1C[C@H](CO)[C@H](C)C1 ZINC000362086439 389230098 /nfs/dbraw/zinc/23/00/98/389230098.db2.gz JKTPVZOLFLHSAG-RGCMKSIDSA-N 0 1 281.400 0.990 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000330452688 389230928 /nfs/dbraw/zinc/23/09/28/389230928.db2.gz LDAUMBVHZHFWCQ-SWLSCSKDSA-N 0 1 284.400 0.617 20 30 CCEDMN CC(=O)N[C@H]1CCCN(C([O-])=[NH+]CCn2ccnc2)C1 ZINC000330474354 389233612 /nfs/dbraw/zinc/23/36/12/389233612.db2.gz HQOZOZHSUVIFHY-LBPRGKRZSA-N 0 1 279.344 0.398 20 30 CCEDMN C=C[C@@H](C)NC(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000362378524 389234917 /nfs/dbraw/zinc/23/49/17/389234917.db2.gz GIYSHFCIWVLXNG-GHMZBOCLSA-N 0 1 268.361 0.200 20 30 CCEDMN C=CCNC(=O)CN1CCS[C@H]2COCC[C@H]21 ZINC000247319822 389238683 /nfs/dbraw/zinc/23/86/83/389238683.db2.gz WCAFKAFAOLZHAL-MNOVXSKESA-N 0 1 256.371 0.495 20 30 CCEDMN CCNC(=O)NC(=O)[C@@H](C)N1CCC(CC(=O)OC)CC1 ZINC000330550021 389240183 /nfs/dbraw/zinc/24/01/83/389240183.db2.gz MJFXYYBBMWDVPJ-SNVBAGLBSA-N 0 1 299.371 0.700 20 30 CCEDMN C[C@@H](C#N)C(=O)NCc1ccc(N2CCN(C)CC2)nc1 ZINC000345811625 389315949 /nfs/dbraw/zinc/31/59/49/389315949.db2.gz HXSSUIFHMZEPLH-LBPRGKRZSA-N 0 1 287.367 0.609 20 30 CCEDMN CS(=O)(=O)N[C@@H]1CCN([C@H]2CC[C@@H](C#N)C2)C1 ZINC000408380624 389343223 /nfs/dbraw/zinc/34/32/23/389343223.db2.gz BEBCVZQCLHYKPB-MXWKQRLJSA-N 0 1 257.359 0.302 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@@H](C)N(C)Cc1nnc[nH]1 ZINC000330994699 389276869 /nfs/dbraw/zinc/27/68/69/389276869.db2.gz UDXQMWZWHZGTJC-ZWNOBZJWSA-N 0 1 278.360 0.679 20 30 CCEDMN C=CCCCC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000365826714 389287540 /nfs/dbraw/zinc/28/75/40/389287540.db2.gz WJGSZTBWVULGDI-HNNXBMFYSA-N 0 1 295.427 0.865 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(c2nnc[nH]2)CC1 ZINC000365918823 389289408 /nfs/dbraw/zinc/28/94/08/389289408.db2.gz LLBMNSCIJIUXNB-JTQLQIEISA-N 0 1 261.329 0.122 20 30 CCEDMN C=CCC[C@@H](O)CN1CCC[C@@H](S(=O)(=O)NC)C1 ZINC000366166079 389294273 /nfs/dbraw/zinc/29/42/73/389294273.db2.gz XOHJJZIUDKSEQX-VXGBXAGGSA-N 0 1 276.402 0.327 20 30 CCEDMN CN(CC(=O)N[C@H](C#N)C1CC1)c1ncnc2[nH]cnc21 ZINC000349521461 389417120 /nfs/dbraw/zinc/41/71/20/389417120.db2.gz ZEXMBSCADFMAKT-SECBINFHSA-N 0 1 285.311 0.207 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N([C@@H]2CCCc3cn[nH]c32)C1=O ZINC000348597516 389385129 /nfs/dbraw/zinc/38/51/29/389385129.db2.gz GANOZNWUKGLCBB-GHMZBOCLSA-N 0 1 290.323 0.910 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N([C@H]2CCCc3cn[nH]c32)C1=O ZINC000348597518 389385169 /nfs/dbraw/zinc/38/51/69/389385169.db2.gz GANOZNWUKGLCBB-MNOVXSKESA-N 0 1 290.323 0.910 20 30 CCEDMN C=CCCS(=O)(=O)Nc1cc(C)n(CC(=O)NC)n1 ZINC000348886348 389394778 /nfs/dbraw/zinc/39/47/78/389394778.db2.gz XOKZCVRJGQHMDF-UHFFFAOYSA-N 0 1 286.357 0.255 20 30 CCEDMN C=CCN(Cc1n[nH]c(CC)n1)[C@H]1CCS(=O)(=O)C1 ZINC000159135544 389358831 /nfs/dbraw/zinc/35/88/31/389358831.db2.gz WYTBMLIDICSXOO-JTQLQIEISA-N 0 1 284.385 0.542 20 30 CCEDMN CCCc1[nH]nc(C(=O)N(C)CC#N)c1[N+](=O)[O-] ZINC000265649627 389360498 /nfs/dbraw/zinc/36/04/98/389360498.db2.gz OCJLNLUWMQLQRN-UHFFFAOYSA-N 0 1 251.246 0.866 20 30 CCEDMN C=CCOCCCN1CCN(C(C)(C)C(N)=O)CC1 ZINC000348029989 389363020 /nfs/dbraw/zinc/36/30/20/389363020.db2.gz HXZXECNEWUZMNJ-UHFFFAOYSA-N 0 1 269.389 0.461 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@@H]2CC[N@H+](C)C[C@H]21 ZINC000334234298 389462026 /nfs/dbraw/zinc/46/20/26/389462026.db2.gz PSFCFWOFSLDTGF-NWDGAFQWSA-N 0 1 258.387 0.918 20 30 CCEDMN C=CCCS(=O)(=O)N1CC[C@@H]2CCN(C)C[C@H]21 ZINC000334234298 389462030 /nfs/dbraw/zinc/46/20/30/389462030.db2.gz PSFCFWOFSLDTGF-NWDGAFQWSA-N 0 1 258.387 0.918 20 30 CCEDMN C=CCN1CC[C@@H](N2CCOCC3(CC3)C2)C1=O ZINC000334458955 389492656 /nfs/dbraw/zinc/49/26/56/389492656.db2.gz RWACNDCHYCULTM-GFCCVEGCSA-N 0 1 250.342 0.886 20 30 CCEDMN N#CCCN(CCN1CCOCC1)C(=O)Cc1ccn[nH]1 ZINC000172726873 389492706 /nfs/dbraw/zinc/49/27/06/389492706.db2.gz OLEXRZMEUPHZGJ-UHFFFAOYSA-N 0 1 291.355 0.027 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@H]1C[C@@H](C)O ZINC000333971756 389451615 /nfs/dbraw/zinc/45/16/15/389451615.db2.gz OWAKQGCOTRKBHQ-NEPJUHHUSA-N 0 1 283.372 0.624 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N1CCN(C[C@H](C)O)C[C@H]1C ZINC000334070086 389453435 /nfs/dbraw/zinc/45/34/35/389453435.db2.gz GPYDDEWTYDTDLU-RQJABVFESA-N 0 1 297.399 0.426 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2CCN[C@H](C)C2)CC1 ZINC000335190471 389541385 /nfs/dbraw/zinc/54/13/85/389541385.db2.gz FYQCXIBVEDJQOJ-LLVKDONJSA-N 0 1 265.357 0.231 20 30 CCEDMN N#Cc1c[nH]c(=O)n(C[C@H]2CCCC2(F)F)c1=O ZINC000335475677 389571052 /nfs/dbraw/zinc/57/10/52/389571052.db2.gz AWQPQRCSFSZRLW-MRVPVSSYSA-N 0 1 255.224 0.844 20 30 CCEDMN C=CCn1cc(CNC(=O)CCCCc2cn[nH]n2)nn1 ZINC000424907219 389635360 /nfs/dbraw/zinc/63/53/60/389635360.db2.gz IGPRARWHXIOELD-UHFFFAOYSA-N 0 1 289.343 0.611 20 30 CCEDMN N#CCSCCCN1CCN2C(=O)OC[C@H]2C1 ZINC000374554265 389588754 /nfs/dbraw/zinc/58/87/54/389588754.db2.gz ORVVSAZGFMUTHG-SNVBAGLBSA-N 0 1 255.343 0.770 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@@H](O)C(C)(C)C1 ZINC000279792046 389595726 /nfs/dbraw/zinc/59/57/26/389595726.db2.gz OKXKKPDELGHLCB-VHSXEESVSA-N 0 1 269.345 0.089 20 30 CCEDMN C[C@H]1CNCCN1C(=O)C(=O)Nc1ccc(C#N)cc1 ZINC000415343320 389675791 /nfs/dbraw/zinc/67/57/91/389675791.db2.gz LCXASMMYZMVUHA-JTQLQIEISA-N 0 1 272.308 0.317 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2cccc(C#N)c2)CCN1 ZINC000415426405 389682531 /nfs/dbraw/zinc/68/25/31/389682531.db2.gz DUTMNSSDTVQTGN-SNVBAGLBSA-N 0 1 272.308 0.317 20 30 CCEDMN COC(=O)CN(CC#N)Cc1n[nH]c(C(C)C)n1 ZINC000428241749 389687925 /nfs/dbraw/zinc/68/79/25/389687925.db2.gz FWTXNSPVYSVFSO-UHFFFAOYSA-N 0 1 251.290 0.427 20 30 CCEDMN C=CCN(Cc1n[nH]c(C)n1)[C@H]1CCS(=O)(=O)C1 ZINC000428387687 389696196 /nfs/dbraw/zinc/69/61/96/389696196.db2.gz LAHZLFNFHNSRMN-JTQLQIEISA-N 0 1 270.358 0.288 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C1CCC1 ZINC000429528859 389759131 /nfs/dbraw/zinc/75/91/31/389759131.db2.gz NVXCPWOFLYJMLM-UHFFFAOYSA-N 0 1 267.373 0.527 20 30 CCEDMN C=CCn1c(S(=O)(=O)CCOCCCC)n[nH]c1=O ZINC000429912676 389775260 /nfs/dbraw/zinc/77/52/60/389775260.db2.gz XRWBUQGOSJLUQT-UHFFFAOYSA-N 0 1 289.357 0.760 20 30 CCEDMN CCN1CCC[C@H](NS(=O)(=O)N(C)C[C@H](C)C#N)C1 ZINC000407956660 260052655 /nfs/dbraw/zinc/05/26/55/260052655.db2.gz WSNIQKCMMVKRBY-NEPJUHHUSA-N 0 1 288.417 0.397 20 30 CCEDMN CCN1CCCC[C@H]1CNS(=O)(=O)[C@H](C)C#N ZINC000416385844 389737916 /nfs/dbraw/zinc/73/79/16/389737916.db2.gz HKCZHQREFWJODD-MNOVXSKESA-N 0 1 259.375 0.692 20 30 CCEDMN Cc1nc([C@@H](C)NS(=O)(=O)N(C)[C@H](C)CC#N)n[nH]1 ZINC000352512967 389822760 /nfs/dbraw/zinc/82/27/60/389822760.db2.gz SVHHZRXADAMKQL-HTQZYQBOSA-N 0 1 286.361 0.243 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H]1CC(=O)OC ZINC000441146527 389824747 /nfs/dbraw/zinc/82/47/47/389824747.db2.gz YNXZMOUMMLKDGE-SNVBAGLBSA-N 0 1 283.328 0.026 20 30 CCEDMN CN(CCC#N)S(=O)(=O)N(C)[C@H]1CCCN(C)C1 ZINC000408238476 389837021 /nfs/dbraw/zinc/83/70/21/389837021.db2.gz SGZHYHDDIRYIOS-NSHDSACASA-N 0 1 274.390 0.103 20 30 CCEDMN CN([C@@H]1CCCN(C)C1)S(=O)(=O)CCCC#N ZINC000408239579 389837236 /nfs/dbraw/zinc/83/72/36/389837236.db2.gz YXCRROAOXJASNI-LLVKDONJSA-N 0 1 259.375 0.646 20 30 CCEDMN C=CCCC[C@@H](C)NC(=O)C(=O)N1CCN[C@@H](C)C1 ZINC000419133712 389887275 /nfs/dbraw/zinc/88/72/75/389887275.db2.gz GBRJTWXLEAMVAP-NEPJUHHUSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCCC[C@H](C)NC(=O)C(=O)N1CCNC[C@H]1C ZINC000419150309 389887964 /nfs/dbraw/zinc/88/79/64/389887964.db2.gz LAMVQORCUJSUBV-NWDGAFQWSA-N 0 1 267.373 0.668 20 30 CCEDMN COC(=O)CNC(=O)[C@@H]1CCCN1CCC(C)(C)C#N ZINC000419350655 389891810 /nfs/dbraw/zinc/89/18/10/389891810.db2.gz GEKBPVNEAWBAKA-NSHDSACASA-N 0 1 281.356 0.680 20 30 CCEDMN C=CCCOCCNC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000419482266 389892530 /nfs/dbraw/zinc/89/25/30/389892530.db2.gz XSAMLMNJXGPFHR-KGLIPLIRSA-N 0 1 299.415 0.676 20 30 CCEDMN N#Cc1cccc(NC(=O)CN[C@H](CO)[C@@H]2CCCO2)c1 ZINC000447906798 389970122 /nfs/dbraw/zinc/97/01/22/389970122.db2.gz PLVKLPYYPZZNTN-KGLIPLIRSA-N 0 1 289.335 0.626 20 30 CCEDMN C=CCc1ccccc1OC[C@H](O)CN1C[C@H](O)[C@@H](O)C1 ZINC000444705587 389914974 /nfs/dbraw/zinc/91/49/74/389914974.db2.gz NKQVLCGDZMHQDD-ILXRZTDVSA-N 0 1 293.363 0.192 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@H](COC)[C@@H]1CCCO1 ZINC000434795948 389946632 /nfs/dbraw/zinc/94/66/32/389946632.db2.gz RRHJIDRYSZSYLY-OLZOCXBDSA-N 0 1 283.372 0.532 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000437858066 389998479 /nfs/dbraw/zinc/99/84/79/389998479.db2.gz BEGXMVBJTNYELN-UKRRQHHQSA-N 0 1 283.416 0.577 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)CCCSC ZINC000439472958 390021747 /nfs/dbraw/zinc/02/17/47/390021747.db2.gz UJEJDGBGZKUZCS-UHFFFAOYSA-N 0 1 259.375 0.683 20 30 CCEDMN CC[C@@H](O)CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000420752177 390029721 /nfs/dbraw/zinc/02/97/21/390029721.db2.gz XWRSPDHOXVZJKM-MRVPVSSYSA-N 0 1 290.291 0.886 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000468947569 390077074 /nfs/dbraw/zinc/07/70/74/390077074.db2.gz LVCVLHXUZPBJPF-RBSFLKMASA-N 0 1 295.427 0.720 20 30 CCEDMN C#CCN(CC)C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000490628925 390106667 /nfs/dbraw/zinc/10/66/67/390106667.db2.gz IXPLIYWTFXELHM-CYBMUJFWSA-N 0 1 266.389 0.287 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(C)CCSC ZINC000491253127 390110902 /nfs/dbraw/zinc/11/09/02/390110902.db2.gz LZFOZEKCLZBMIS-UHFFFAOYSA-N 0 1 257.403 0.946 20 30 CCEDMN Cc1ncc(C(=O)NC2(C#N)CCN(C)CC2)c(C)n1 ZINC000540742139 390222948 /nfs/dbraw/zinc/22/29/48/390222948.db2.gz MKKKIHQBUPIKJG-UHFFFAOYSA-N 0 1 273.340 0.811 20 30 CCEDMN COC(=O)C[C@@H](C)CC(=O)NC1(C#N)CCN(C)CC1 ZINC000583523364 390291111 /nfs/dbraw/zinc/29/11/11/390291111.db2.gz XDJVBCBWZQEBRQ-NSHDSACASA-N 0 1 281.356 0.680 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NCC1(C#N)CC1 ZINC000583256481 390276681 /nfs/dbraw/zinc/27/66/81/390276681.db2.gz MGMLGLRIJWFIDM-GFCCVEGCSA-N 0 1 279.388 0.225 20 30 CCEDMN C=CCOCCN1CCC[C@@H](NS(C)(=O)=O)C1 ZINC000645082933 390368877 /nfs/dbraw/zinc/36/88/77/390368877.db2.gz FPNAQLPBJDJQEN-LLVKDONJSA-N 0 1 262.375 0.203 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C)C[C@H]2CC)C1=O ZINC000644938382 390350454 /nfs/dbraw/zinc/35/04/54/390350454.db2.gz CTCRRGXWXHPIKC-OLZOCXBDSA-N 0 1 251.374 0.799 20 30 CCEDMN C=CCn1cc(C(=O)NCc2n[nH]c(C(C)C)n2)nn1 ZINC000648918232 390444337 /nfs/dbraw/zinc/44/43/37/390444337.db2.gz PBUVAGFIZLDYEO-UHFFFAOYSA-N 0 1 275.316 0.636 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@H]2CCCN2C2CC2)nn1 ZINC000518518592 390493143 /nfs/dbraw/zinc/49/31/43/390493143.db2.gz CFQVZVFAQMTRQI-CYBMUJFWSA-N 0 1 275.356 0.707 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1[nH]nc2c1CCCC2 ZINC000649190722 390518011 /nfs/dbraw/zinc/51/80/11/390518011.db2.gz CHKJJQVLBLIBSY-JTQLQIEISA-N 0 1 261.325 0.793 20 30 CCEDMN COC(=O)c1nc[nH]c1NC(=O)CSCC#N ZINC000555515462 390455493 /nfs/dbraw/zinc/45/54/93/390455493.db2.gz BIABGDHEHLJWJU-UHFFFAOYSA-N 0 1 254.271 0.392 20 30 CCEDMN COC(=O)[C@H]1CN(C)CCN(C(=O)NCC2(C#N)CC2)C1 ZINC000516549735 390482352 /nfs/dbraw/zinc/48/23/52/390482352.db2.gz SWAYNIJOMNFWNY-NSHDSACASA-N 0 1 294.355 0.036 20 30 CCEDMN CN1CCN(C(=O)CCNC[C@H](C#N)CCC#N)CC1 ZINC000565712604 390537581 /nfs/dbraw/zinc/53/75/81/390537581.db2.gz SNRAFTOBJONIGJ-ZDUSSCGKSA-N 0 1 277.372 0.184 20 30 CCEDMN COc1cc(CNC2CN(C(C)=O)C2)ccc1C#N ZINC000566475627 390619120 /nfs/dbraw/zinc/61/91/20/390619120.db2.gz NMTYDRMHKREMCE-UHFFFAOYSA-N 0 1 259.309 0.887 20 30 CCEDMN C=C[C@@H](O)C(=O)NC1CCN(Cc2cccnc2)CC1 ZINC000646816859 390724613 /nfs/dbraw/zinc/72/46/13/390724613.db2.gz NDBNHELIXHOPND-CQSZACIVSA-N 0 1 275.352 0.709 20 30 CCEDMN C[C@H](CCC#N)N1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000567239571 390682283 /nfs/dbraw/zinc/68/22/83/390682283.db2.gz VPNHJLBMQLGUJS-NEPJUHHUSA-N 0 1 273.402 0.940 20 30 CCEDMN N#Cc1ccc(C(=O)NC2(c3nnc[nH]3)CCC2)nc1 ZINC000527062730 390690918 /nfs/dbraw/zinc/69/09/18/390690918.db2.gz SYZMYDDGBRGWJL-UHFFFAOYSA-N 0 1 268.280 0.881 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCO[C@]3(CCCOC3)C2)CC1 ZINC000528510249 390752113 /nfs/dbraw/zinc/75/21/13/390752113.db2.gz XVERMKMHLPLWHQ-DZGCQCFKSA-N 0 1 280.368 0.923 20 30 CCEDMN COc1cc(C#N)ccc1CN1C[C@@H](CO)[C@H](CO)C1 ZINC000528601804 390760106 /nfs/dbraw/zinc/76/01/06/390760106.db2.gz BRSDJSRSWRIVGB-KBPBESRZSA-N 0 1 276.336 0.599 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@](C)(CO)[C@](C)(CO)C2)C1=O ZINC000528754318 390774785 /nfs/dbraw/zinc/77/47/85/390774785.db2.gz JZRIDVYDSXKWMI-AEGPPILISA-N 0 1 282.384 0.086 20 30 CCEDMN C[C@H](CNCc1cc(C#N)n(C)c1)S(C)(=O)=O ZINC000571613140 390744032 /nfs/dbraw/zinc/74/40/32/390744032.db2.gz HFUNEQSRKNNTDM-SECBINFHSA-N 0 1 255.343 0.419 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN3CCOC[C@@H]3C2)CC1 ZINC000528446779 390744631 /nfs/dbraw/zinc/74/46/31/390744631.db2.gz VVGNLNQPHAFLNQ-QWHCGFSZSA-N 0 1 265.357 0.058 20 30 CCEDMN C[C@@H]1C[C@@H](NS(=O)(=O)CC2(C#N)CC2)CN1C1CC1 ZINC000165953363 533654841 /nfs/dbraw/zinc/65/48/41/533654841.db2.gz ZQNUPUZIYKTQCF-GHMZBOCLSA-N 0 1 283.397 0.835 20 30 CCEDMN CC[C@H](CO)N1CCN(c2cccc(C#N)n2)CC1 ZINC000266194168 131698370 /nfs/dbraw/zinc/69/83/70/131698370.db2.gz HKNASYPFBICQBS-CYBMUJFWSA-N 0 1 260.341 0.846 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@H](c2nc(C)n[nH]2)C1 ZINC000268408534 132004626 /nfs/dbraw/zinc/00/46/26/132004626.db2.gz ACLQYBXWBDDAMI-JQWIXIFHSA-N 0 1 277.372 0.983 20 30 CCEDMN N#Cc1ccc(CN2CCCN([C@@H]3CCNC3=O)CC2)cc1 ZINC000269252050 132142541 /nfs/dbraw/zinc/14/25/41/132142541.db2.gz ABTUVOPXTGZYAP-MRXNPFEDSA-N 0 1 298.390 0.954 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NC[C@H]1CCN1C(C)(C)C ZINC000658454773 413150990 /nfs/dbraw/zinc/15/09/90/413150990.db2.gz PFEFVKVDFFMZTO-LLVKDONJSA-N 0 1 267.373 0.620 20 30 CCEDMN CN1CCN(C[C@@H](O)COc2ccccc2C#N)CC1 ZINC000034673885 397375473 /nfs/dbraw/zinc/37/54/73/397375473.db2.gz GUMXACLZJUKRMH-CQSZACIVSA-N 0 1 275.352 0.545 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)c([N+](=O)[O-])c2)CCN1CCO ZINC000357460735 144094124 /nfs/dbraw/zinc/09/41/24/144094124.db2.gz BJYLJADIOOTWQR-LLVKDONJSA-N 0 1 290.323 0.969 20 30 CCEDMN C=C(C)[C@H](CO)N1CCC[C@@H](NC(=O)N(C)C)C1 ZINC000650279030 397708633 /nfs/dbraw/zinc/70/86/33/397708633.db2.gz MSGAPPPEVJJPIF-NEPJUHHUSA-N 0 1 255.362 0.659 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NC[C@H]1CN(C)CCN1C ZINC000550598567 286110093 /nfs/dbraw/zinc/11/00/93/286110093.db2.gz IUPUUYNYJWOBKK-ZDUSSCGKSA-N 0 1 287.367 0.237 20 30 CCEDMN C=CCCOCC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000652902975 412154905 /nfs/dbraw/zinc/15/49/05/412154905.db2.gz GMYJFPBXASOFFR-UHFFFAOYSA-N 0 1 279.344 0.042 20 30 CCEDMN COCC#CCN[C@@H](c1cnn(C)c1)C1(CO)CCC1 ZINC000660602718 414411180 /nfs/dbraw/zinc/41/11/80/414411180.db2.gz WYYRABMZECVMLM-AWEZNQCLSA-N 0 1 277.368 0.863 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(=O)N(C)CC1 ZINC000661811249 414677265 /nfs/dbraw/zinc/67/72/65/414677265.db2.gz UDZFLAVVYQSOID-ZDUSSCGKSA-N 0 1 281.400 0.964 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CN2CCCC2=O)C1 ZINC000662059400 414689594 /nfs/dbraw/zinc/68/95/94/414689594.db2.gz JBHDZNBGUWKKOO-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCC(=O)c1cccs1 ZINC000662168208 414695106 /nfs/dbraw/zinc/69/51/06/414695106.db2.gz XZPGTYMYBGLDTR-SECBINFHSA-N 0 1 268.338 0.184 20 30 CCEDMN C=C[C@H](CO)NCC(=O)Nc1ccc(OC)cc1 ZINC000662167172 414695198 /nfs/dbraw/zinc/69/51/98/414695198.db2.gz TZRQDXFDJVSYLR-SNVBAGLBSA-N 0 1 250.298 0.770 20 30 CCEDMN C=CC[C@H]1CCC[N@H+]([C@@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC000662217247 414697428 /nfs/dbraw/zinc/69/74/28/414697428.db2.gz QWMYBEKMVGTZMY-NWDGAFQWSA-N 0 1 266.341 0.960 20 30 CCEDMN C=C[C@H](O)C(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000665537909 416451600 /nfs/dbraw/zinc/45/16/00/416451600.db2.gz AXCGSNVXQIMIBQ-NEPJUHHUSA-N 0 1 256.346 0.006 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N(C)CC1(CO)CC1 ZINC000347072564 226014829 /nfs/dbraw/zinc/01/48/29/226014829.db2.gz RBWUVDIBPYFELP-LLVKDONJSA-N 0 1 265.357 0.642 20 30 CCEDMN C[C@]1(NC([O-])=[NH+][C@H]2CCc3ncnn3C2)CCCOC1 ZINC000329150053 227327479 /nfs/dbraw/zinc/32/74/79/227327479.db2.gz RELQMUYVZXDFMI-GWCFXTLKSA-N 0 1 279.344 0.666 20 30 CCEDMN C[C@]1(NC(=O)N[C@H]2CCc3ncnn3C2)CCCOC1 ZINC000329150053 227327485 /nfs/dbraw/zinc/32/74/85/227327485.db2.gz RELQMUYVZXDFMI-GWCFXTLKSA-N 0 1 279.344 0.666 20 30 CCEDMN O=C(NC[C@@H]1COCCO1)N[C@H]1CCCc2cn[nH]c21 ZINC000330088456 228036743 /nfs/dbraw/zinc/03/67/43/228036743.db2.gz URSVVXXKPBHYQH-MNOVXSKESA-N 0 1 280.328 0.706 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCC2(CC#N)CC2)C[C@H]1C ZINC000332101412 228148629 /nfs/dbraw/zinc/14/86/29/228148629.db2.gz BIOYJFLKRFRFNH-OLZOCXBDSA-N 0 1 294.399 0.777 20 30 CCEDMN Cn1cc(-c2[nH]ncc2C(=O)N2CCO[C@H](C#N)C2)cn1 ZINC000333044729 228188798 /nfs/dbraw/zinc/18/87/98/228188798.db2.gz KGLKPGQICCDCDR-SNVBAGLBSA-N 0 1 286.295 0.175 20 30 CCEDMN COc1cccc(C2(NCC(=O)NCC#N)CC2)c1 ZINC000352229057 228201616 /nfs/dbraw/zinc/20/16/16/228201616.db2.gz CJUYPFSNDMIDFS-UHFFFAOYSA-N 0 1 259.309 0.914 20 30 CCEDMN N#CC1(CNC(=O)NCCN2CCCOCC2)CCC1 ZINC000333904542 228219721 /nfs/dbraw/zinc/21/97/21/228219721.db2.gz JLQYBLSTWAELKC-UHFFFAOYSA-N 0 1 280.372 0.702 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000087612285 544503057 /nfs/dbraw/zinc/50/30/57/544503057.db2.gz UQQDLQYELSMREX-CYBMUJFWSA-N 0 1 274.320 0.973 20 30 CCEDMN C=CCNC(=O)C[N@H+]1Cc2ccccc2[C@H](C(=O)[O-])C1 ZINC000087612285 544503059 /nfs/dbraw/zinc/50/30/59/544503059.db2.gz UQQDLQYELSMREX-CYBMUJFWSA-N 0 1 274.320 0.973 20 30 CCEDMN O=C(NCCn1cncn1)N(C[C@H]1CCOC1)C1CC1 ZINC000328710610 545018782 /nfs/dbraw/zinc/01/87/82/545018782.db2.gz BTVANDKCXJPCEB-LLVKDONJSA-N 0 1 279.344 0.693 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CN[C@@H]1CCCc2c[nH]nc21 ZINC000516862505 260193952 /nfs/dbraw/zinc/19/39/52/260193952.db2.gz FMBRYKYVZCXECS-QWHCGFSZSA-N 0 1 277.372 0.583 20 30 CCEDMN C#C[C@@H](NC(=O)NC[C@H]1CN(C)CCN1C)C(C)C ZINC000490996985 261290917 /nfs/dbraw/zinc/29/09/17/261290917.db2.gz QWDYQOJVWVPACN-QWHCGFSZSA-N 0 1 266.389 0.189 20 30 CCEDMN CC[C@](C)(NCC(=O)N[C@](C)(C#N)C(C)C)C(N)=O ZINC000177134506 261334768 /nfs/dbraw/zinc/33/47/68/261334768.db2.gz JEKPOWSCYYMILL-QWHCGFSZSA-N 0 1 268.361 0.284 20 30 CCEDMN C=C[C@@H](C)NC(=O)NC1CC([N@@H+](CC)CC(=O)[O-])C1 ZINC000414628035 263016672 /nfs/dbraw/zinc/01/66/72/263016672.db2.gz RVIKQJHKBYLAGR-KPPDAEKUSA-N 0 1 269.345 0.798 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+](C)CCC(=O)N(C)CCC#N)C1=O ZINC000281453797 263069269 /nfs/dbraw/zinc/06/92/69/263069269.db2.gz UWRJQLADNIKGQN-CYBMUJFWSA-N 0 1 292.383 0.467 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCC(=O)N(C)CCC#N)C1=O ZINC000281453797 263069270 /nfs/dbraw/zinc/06/92/70/263069270.db2.gz UWRJQLADNIKGQN-CYBMUJFWSA-N 0 1 292.383 0.467 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC000282292003 263075671 /nfs/dbraw/zinc/07/56/71/263075671.db2.gz KXWAZGMDQBVZLF-GXSJLCMTSA-N 0 1 297.384 0.855 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(F)(F)C[C@H]2CO)CC1 ZINC000366672593 263114447 /nfs/dbraw/zinc/11/44/47/263114447.db2.gz BYUYEBMMBOUQEQ-LBPRGKRZSA-N 0 1 286.322 0.560 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)C1CCOCC1 ZINC000340378225 263121963 /nfs/dbraw/zinc/12/19/63/263121963.db2.gz OVMWENNAWVXBAU-MWLCHTKSSA-N 0 1 268.313 0.621 20 30 CCEDMN N#CCSCC(=O)N[C@@H](CO)CN1CCCCC1 ZINC000340851100 263308711 /nfs/dbraw/zinc/30/87/11/263308711.db2.gz OVAXIBWMCCGGQI-LLVKDONJSA-N 0 1 271.386 0.206 20 30 CCEDMN C=CCOCC(=O)Nc1ccc2[nH]nc(C(N)=O)c2c1 ZINC000341108363 263322469 /nfs/dbraw/zinc/32/24/69/263322469.db2.gz QBDPOGJHVVWIIA-UHFFFAOYSA-N 0 1 274.280 0.803 20 30 CCEDMN COCC1(C#N)CCN(CCN2CCOC[C@@H]2C)CC1 ZINC000342000523 263375069 /nfs/dbraw/zinc/37/50/69/263375069.db2.gz WTHZJIJUAVVDQV-AWEZNQCLSA-N 0 1 281.400 0.959 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(C(=O)NC[C@H]2CCCO2)C1 ZINC000328987768 263912054 /nfs/dbraw/zinc/91/20/54/263912054.db2.gz RMXZMQLSGCWKBY-MXWKQRLJSA-N 0 1 295.343 0.660 20 30 CCEDMN COCC[C@H](C)NC(=O)NC[C@H]1CN(C)CCN1C ZINC000330695277 264005068 /nfs/dbraw/zinc/00/50/68/264005068.db2.gz JEGBIYNCHPYHNE-RYUDHWBXSA-N 0 1 272.393 0.161 20 30 CCEDMN N#CCc1ccc(CN2CC[C@H](S(N)(=O)=O)C2)cc1 ZINC000330863378 264056579 /nfs/dbraw/zinc/05/65/79/264056579.db2.gz XTQDEHGMHQYIAH-ZDUSSCGKSA-N 0 1 279.365 0.615 20 30 CCEDMN C[C@H]1CN(CC(C)(C)O)CCN1C(=O)CCCC#N ZINC000330882517 264061937 /nfs/dbraw/zinc/06/19/37/264061937.db2.gz CSFOWSFFFASTCS-LBPRGKRZSA-N 0 1 267.373 0.984 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)CCCC#N ZINC000330894633 264077503 /nfs/dbraw/zinc/07/75/03/264077503.db2.gz MDGNJGRPWQUGET-GFCCVEGCSA-N 0 1 252.362 0.432 20 30 CCEDMN [O-]C(=[NH+]CCn1cccn1)N1CC[C@H](CCO)C1 ZINC000330933923 264097245 /nfs/dbraw/zinc/09/72/45/264097245.db2.gz GBUREWIKNFIDMO-LLVKDONJSA-N 0 1 252.318 0.501 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2ccnc(C#N)c2)C1 ZINC000331085818 264118182 /nfs/dbraw/zinc/11/81/82/264118182.db2.gz ZYCYVZJMNCXMAC-ZDUSSCGKSA-N 0 1 273.340 0.504 20 30 CCEDMN COCCNC(=O)N1CCO[C@@H](c2n[nH]c(C)n2)C1 ZINC000331189718 264157313 /nfs/dbraw/zinc/15/73/13/264157313.db2.gz QETOGNBOWDFANI-SECBINFHSA-N 0 1 269.305 0.047 20 30 CCEDMN C[C@@H]1CCCC[C@@H]1NC(=O)NC(=O)CN(C)CCCO ZINC000331287632 264173450 /nfs/dbraw/zinc/17/34/50/264173450.db2.gz IZIKKRXSYCLDJW-NEPJUHHUSA-N 0 1 285.388 0.910 20 30 CCEDMN COC[C@H](C)NC(=O)NC(=O)CN1CC[C@@H](SC)C1 ZINC000331328324 264191237 /nfs/dbraw/zinc/19/12/37/264191237.db2.gz NQFQWMFINDWXRO-VHSXEESVSA-N 0 1 289.401 0.489 20 30 CCEDMN Cn1cnc(C(=O)NC[C@@H]2CCCCN2CCO)c1 ZINC000331306411 264200935 /nfs/dbraw/zinc/20/09/35/264200935.db2.gz GCRGPNATYQMLEQ-NSHDSACASA-N 0 1 266.345 0.572 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCCN1CCN(C)CC1 ZINC000189674017 264317933 /nfs/dbraw/zinc/31/79/33/264317933.db2.gz FIVUWZHGROSZKW-OAHLLOKOSA-N 0 1 283.416 0.579 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000456768929 264331899 /nfs/dbraw/zinc/33/18/99/264331899.db2.gz PFQVAYRMLPOKQQ-NWDGAFQWSA-N 0 1 272.414 0.867 20 30 CCEDMN N#CC1(NC(=O)CN(CCO)C2CCOCC2)CCC1 ZINC000525885703 265032266 /nfs/dbraw/zinc/03/22/66/265032266.db2.gz FPMMZMQJVXPIBM-UHFFFAOYSA-N 0 1 281.356 0.022 20 30 CCEDMN CC(C)c1[nH]nc(C(=O)N2CC(O)(CC#N)C2)c1[N+](=O)[O-] ZINC000356558450 266137863 /nfs/dbraw/zinc/13/78/63/266137863.db2.gz UTTOOLDFVCRUOE-UHFFFAOYSA-N 0 1 293.283 0.542 20 30 CCEDMN N#CC1(C(=O)N2CC[C@H](CN3CCOCC3)C2)CCC1 ZINC000363266508 267084149 /nfs/dbraw/zinc/08/41/49/267084149.db2.gz RTQMWAGWTXPRJE-CYBMUJFWSA-N 0 1 277.368 0.861 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(OCCOC)CC1 ZINC000116885557 267186266 /nfs/dbraw/zinc/18/62/66/267186266.db2.gz VERFVSCGZCGASK-LBPRGKRZSA-N 0 1 270.373 0.805 20 30 CCEDMN C=CC[C@H](C)NC(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000116971428 267194398 /nfs/dbraw/zinc/19/43/98/267194398.db2.gz WRVGDLOKCWKLHA-STQMWFEESA-N 0 1 268.405 0.886 20 30 CCEDMN CCN(CC#N)CC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000368828072 267208175 /nfs/dbraw/zinc/20/81/75/267208175.db2.gz AINZFXBGMCHOGR-AWEZNQCLSA-N 0 1 296.415 0.305 20 30 CCEDMN CN(Cc1ccc(C#N)cc1)C(=O)NC[C@H]1COCCN1 ZINC000529373372 267312815 /nfs/dbraw/zinc/31/28/15/267312815.db2.gz JLMPJOWQITUFFK-AWEZNQCLSA-N 0 1 288.351 0.688 20 30 CCEDMN CN1CCO[C@H]2CN(c3nc4ccccn4c3C#N)C[C@@H]21 ZINC000329433197 277132071 /nfs/dbraw/zinc/13/20/71/277132071.db2.gz ICUGLEYHHQQOLO-STQMWFEESA-N 0 1 283.335 0.725 20 30 CCEDMN CN1C[C@@H](NC(=O)N2CCN(C3CCC3)CC2)CCC1=O ZINC000329855488 277191614 /nfs/dbraw/zinc/19/16/14/277191614.db2.gz UCHYBVBLRHOBEB-LBPRGKRZSA-N 0 1 294.399 0.691 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@@H]2OCCN(C)[C@@H]2C1 ZINC000332672932 278134453 /nfs/dbraw/zinc/13/44/53/278134453.db2.gz IUXAEISMXKWUCO-MCIONIFRSA-N 0 1 294.399 0.152 20 30 CCEDMN C=C(C)CN(C)CCNS(=O)(=O)c1cnn(C)c1 ZINC000181276087 329229185 /nfs/dbraw/zinc/22/91/85/329229185.db2.gz YPPUUIRWWSKXKH-UHFFFAOYSA-N 0 1 272.374 0.206 20 30 CCEDMN CN1CCC(C#N)(NC(=O)Cn2ccc(C(F)F)n2)CC1 ZINC000528457286 328286106 /nfs/dbraw/zinc/28/61/06/328286106.db2.gz SOLCZZAQKKIMNA-UHFFFAOYSA-N 0 1 297.309 0.925 20 30 CCEDMN CCN1CCN(CC(=O)Nc2cc(CC#N)ccn2)CC1 ZINC000531924040 328315798 /nfs/dbraw/zinc/31/57/98/328315798.db2.gz RJCJYPFRYHMBFL-UHFFFAOYSA-N 0 1 287.367 0.724 20 30 CCEDMN C=CCOCC(=O)N1CCC[C@@H](c2n[nH]c(=O)o2)C1 ZINC000425293905 328398562 /nfs/dbraw/zinc/39/85/62/328398562.db2.gz CNNYVNXJCMVKJY-SECBINFHSA-N 0 1 267.285 0.684 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H]2OCC[C@@H]2C1 ZINC000564447826 327089042 /nfs/dbraw/zinc/08/90/42/327089042.db2.gz FGOBAKPJWJLRMA-OLZOCXBDSA-N 0 1 265.357 0.859 20 30 CCEDMN C[C@@H]1CN(c2ccnc(C#N)n2)CCN1CC(C)(C)O ZINC000574169492 327296758 /nfs/dbraw/zinc/29/67/58/327296758.db2.gz CJTVFXNXLWZLCM-LLVKDONJSA-N 0 1 275.356 0.630 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCCC[C@@H]1C[C@@H](C)O ZINC000330366368 327347646 /nfs/dbraw/zinc/34/76/46/327347646.db2.gz OWAKQGCOTRKBHQ-VXGBXAGGSA-N 0 1 283.372 0.828 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCCOC(C)(C)C1 ZINC000377695683 329025220 /nfs/dbraw/zinc/02/52/20/329025220.db2.gz GBWRHRGIRFSVAY-NSHDSACASA-N 0 1 283.372 0.888 20 30 CCEDMN C=CCOCCCC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000377865203 329032472 /nfs/dbraw/zinc/03/24/72/329032472.db2.gz IMXWGOGTTPQUFC-JTQLQIEISA-N 0 1 281.316 0.082 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC(CC(=O)NC)CC1 ZINC000174997622 329162070 /nfs/dbraw/zinc/16/20/70/329162070.db2.gz TZQZIOGPLDRCQB-UHFFFAOYSA-N 0 1 294.399 0.597 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1cn2ccsc2n1 ZINC000514667606 329167962 /nfs/dbraw/zinc/16/79/62/329167962.db2.gz JLOSZSFQRURZIM-LBPRGKRZSA-N 0 1 293.396 0.692 20 30 CCEDMN C#CCCCNC(=O)N1CCN(C2CCOCC2)CC1 ZINC000177555443 329185543 /nfs/dbraw/zinc/18/55/43/329185543.db2.gz JAYFPCLZALVMRJ-UHFFFAOYSA-N 0 1 279.384 0.906 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2ccc(-c3nnc[nH]3)cc2)CCO1 ZINC000185409861 329273336 /nfs/dbraw/zinc/27/33/36/329273336.db2.gz GTNDFDWGMFZCJH-GFCCVEGCSA-N 0 1 283.291 0.836 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@H](n3ccnn3)C2)C1=O ZINC000583021358 329298615 /nfs/dbraw/zinc/29/86/15/329298615.db2.gz QWBZKCYSJROBEC-OLZOCXBDSA-N 0 1 275.356 0.702 20 30 CCEDMN COC(=O)c1ncsc1S(=O)(=O)NCCC#N ZINC000134705444 329660367 /nfs/dbraw/zinc/66/03/67/329660367.db2.gz NAPARWCYHUARPJ-UHFFFAOYSA-N 0 1 275.311 0.122 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(OC[C@H]2CCOC2)CC1 ZINC000132025838 329641920 /nfs/dbraw/zinc/64/19/20/329641920.db2.gz VBJLVLUNEZCRII-KBPBESRZSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(OCCO)CC1 ZINC000135392983 329666266 /nfs/dbraw/zinc/66/62/66/329666266.db2.gz RUHKSURNNZPMAY-LLVKDONJSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+]2CCC[C@H](C)[C@@H]2C(=O)[O-])C1=O ZINC000424270515 330333211 /nfs/dbraw/zinc/33/32/11/330333211.db2.gz NRJWNIYUUVWIOK-SDDRHHMPSA-N 0 1 266.341 0.958 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000424544417 330372833 /nfs/dbraw/zinc/37/28/33/330372833.db2.gz KTNDVTQEPNLZHV-XQQFMLRXSA-N 0 1 292.383 0.395 20 30 CCEDMN C#CCN(CC#C)C(=O)CN(C)[C@@H]1CCSC1 ZINC000120742118 330390940 /nfs/dbraw/zinc/39/09/40/330390940.db2.gz XVCNPNVJCKGRFM-GFCCVEGCSA-N 0 1 250.367 0.519 20 30 CCEDMN N#CCC1(CS(=O)(=O)N[C@@H]2CCN(C3CC3)C2)CC1 ZINC000451111878 331015915 /nfs/dbraw/zinc/01/59/15/331015915.db2.gz HRKLGAGWESJDLG-LLVKDONJSA-N 0 1 283.397 0.836 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CC[C@@H](OC)[C@H](C)C1 ZINC000451551247 331034032 /nfs/dbraw/zinc/03/40/32/331034032.db2.gz VZMGWXCJFSVUGI-GRYCIOLGSA-N 0 1 283.372 0.744 20 30 CCEDMN C=CCC[C@H](CO)NS(=O)(=O)CCN(CC)CC ZINC000452031077 331051069 /nfs/dbraw/zinc/05/10/69/331051069.db2.gz QOZYCHLALNZATA-GFCCVEGCSA-N 0 1 278.418 0.575 20 30 CCEDMN C=CCC[C@@H](CO)NC(=O)[C@H](C)CN1CCOCC1 ZINC000456766746 331201638 /nfs/dbraw/zinc/20/16/38/331201638.db2.gz LKXYIRUIDFQPFY-OLZOCXBDSA-N 0 1 270.373 0.398 20 30 CCEDMN C=CCN(CCOC)C(=O)NCCN1CCCOCC1 ZINC000458841933 331261710 /nfs/dbraw/zinc/26/17/10/331261710.db2.gz LMSSTWKMGRCDAK-UHFFFAOYSA-N 0 1 285.388 0.553 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N[C@@H]1COC[C@@H]1OC ZINC000459826481 331302192 /nfs/dbraw/zinc/30/21/92/331302192.db2.gz MDZKLCAYIZDZQH-UPJWGTAASA-N 0 1 270.373 0.803 20 30 CCEDMN C=CCCCS(=O)(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000489199770 332043196 /nfs/dbraw/zinc/04/31/96/332043196.db2.gz KIKLSRHDSBPOOR-ZDUSSCGKSA-N 0 1 289.445 0.508 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@H](C)[C@H]2CO)CC1 ZINC000490717456 332108168 /nfs/dbraw/zinc/10/81/68/332108168.db2.gz CZOSQPDSIRHIQM-GXTWGEPZSA-N 0 1 264.369 0.561 20 30 CCEDMN C#CCNC(=O)CCN(C)CC(=O)NCc1ccccc1 ZINC000491166269 332160077 /nfs/dbraw/zinc/16/00/77/332160077.db2.gz QKYVMEGSDAGSBJ-UHFFFAOYSA-N 0 1 287.363 0.374 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCCCCF)CC1 ZINC000491209290 332166355 /nfs/dbraw/zinc/16/63/55/332166355.db2.gz FBIKDILPVQUMFA-UHFFFAOYSA-N 0 1 269.364 0.493 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)[C@@H]2CCOC2)CC1 ZINC000491229482 332170188 /nfs/dbraw/zinc/17/01/88/332170188.db2.gz HJEUTZVMVUFYHL-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCc2ccc(C)cc2)CC1 ZINC000491231272 332170806 /nfs/dbraw/zinc/17/08/06/332170806.db2.gz RRMOZGVEVZKSHH-UHFFFAOYSA-N 0 1 299.418 0.905 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000491315229 332188503 /nfs/dbraw/zinc/18/85/03/332188503.db2.gz LVGDREIQLGMHCB-JTQLQIEISA-N 0 1 286.339 0.707 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@@H](OC)C[C@H]2C)CC1 ZINC000491325941 332189992 /nfs/dbraw/zinc/18/99/92/332189992.db2.gz IIYMJBPVJPGCFA-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)C[C@]1(O)CCc2ccccc21 ZINC000491386602 332202375 /nfs/dbraw/zinc/20/23/75/332202375.db2.gz VTMGBBJTGMGTLS-CXAGYDPISA-N 0 1 286.375 0.890 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)Cc1cnn(C)c1C ZINC000491630857 332253392 /nfs/dbraw/zinc/25/33/92/332253392.db2.gz XSFAJCNYWSRNRI-CQSZACIVSA-N 0 1 275.352 0.912 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NCCN1CCCOCC1 ZINC000491637053 332257664 /nfs/dbraw/zinc/25/76/64/332257664.db2.gz UIYRTGGNAJVGNK-GFCCVEGCSA-N 0 1 253.346 0.372 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC(COC)CC2)C1=O ZINC000491637514 332258143 /nfs/dbraw/zinc/25/81/43/332258143.db2.gz MWJWFZFQLOWJOZ-LBPRGKRZSA-N 0 1 264.325 0.106 20 30 CCEDMN C#CCN(CC#CC)C(=O)NCCN1CCCOCC1 ZINC000491653383 332265923 /nfs/dbraw/zinc/26/59/23/332265923.db2.gz CPWYDFBGUZUDQQ-UHFFFAOYSA-N 0 1 277.368 0.377 20 30 CCEDMN C#C[C@H](NC(=O)N[C@H](C)CN1CCN(C)CC1)C(C)(C)C ZINC000491662351 332271800 /nfs/dbraw/zinc/27/18/00/332271800.db2.gz BPVQVUSJLLWQCW-KGLIPLIRSA-N 0 1 294.443 0.969 20 30 CCEDMN C#CCN(Cc1ccccc1)C[C@H](O)CN1CCOCC1 ZINC000491700482 332294849 /nfs/dbraw/zinc/29/48/49/332294849.db2.gz VLJVXTZTAVWUHD-QGZVFWFLSA-N 0 1 288.391 0.815 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCCCC(=O)N1 ZINC000491810415 332362961 /nfs/dbraw/zinc/36/29/61/332362961.db2.gz IHYJQOLBIJNJHN-CHWSQXEVSA-N 0 1 278.352 0.686 20 30 CCEDMN C#CCNC(=O)C1CCN(CC(=O)N[C@H](C)CC)CC1 ZINC000491820930 332370465 /nfs/dbraw/zinc/37/04/65/332370465.db2.gz ZEHNWAMPBGZERN-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CC(C)(C)N(C)S(=O)(=O)CCN1CCCC1 ZINC000195472617 333253842 /nfs/dbraw/zinc/25/38/42/333253842.db2.gz RSQSWVZWCLWTMZ-UHFFFAOYSA-N 0 1 258.387 0.756 20 30 CCEDMN C#C[C@@H](CC)NS(=O)(=O)c1scnc1C(=O)OC ZINC000233903714 333355989 /nfs/dbraw/zinc/35/59/89/333355989.db2.gz GFDRJYXYNQOXEP-ZETCQYMHSA-N 0 1 288.350 0.620 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)N=c1ccn(CCC#N)[nH]1 ZINC000528680418 333452273 /nfs/dbraw/zinc/45/22/73/333452273.db2.gz OPERAOKOGRQGIY-LBPRGKRZSA-N 0 1 290.371 0.680 20 30 CCEDMN CN([C@@H]1CCN(C2CC2)C1)S(=O)(=O)CC1(C#N)CC1 ZINC000547328771 334079957 /nfs/dbraw/zinc/07/99/57/334079957.db2.gz WJGAEMZNGPKWEZ-GFCCVEGCSA-N 0 1 283.397 0.788 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC(OCCO)CC1 ZINC000135386427 334128400 /nfs/dbraw/zinc/12/84/00/334128400.db2.gz YDYNIFUIIAXBET-UHFFFAOYSA-N 0 1 282.384 0.660 20 30 CCEDMN COCC[N@@H+](C)C[C@H](O)CN(CC#N)C1CCCC1 ZINC000311543270 334234584 /nfs/dbraw/zinc/23/45/84/334234584.db2.gz HIVZRBLRUKHCLR-AWEZNQCLSA-N 0 1 269.389 0.694 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N(CCC#N)CCC#N)[C@H]1CO ZINC000248953365 334322785 /nfs/dbraw/zinc/32/27/85/334322785.db2.gz PXIKALRZMSQYIL-OLZOCXBDSA-N 0 1 278.356 0.345 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@@H](OCCOC)C1 ZINC000249408571 334333362 /nfs/dbraw/zinc/33/33/62/334333362.db2.gz UUNMPSGWTFXPJF-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN Cc1cc(CNC[C@@H](O)CN(C)CCC#N)nc(C)n1 ZINC000567707801 334754224 /nfs/dbraw/zinc/75/42/24/334754224.db2.gz FQEKVADLOOWHHJ-CQSZACIVSA-N 0 1 277.372 0.389 20 30 CCEDMN C[C@@H](CO)NCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000166369994 334912970 /nfs/dbraw/zinc/91/29/70/334912970.db2.gz OXHDNWWBUFLUCT-JTQLQIEISA-N 0 1 268.338 0.302 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)[C@H]2CCC(=O)c3cccn32)[nH]1 ZINC000578062029 335474292 /nfs/dbraw/zinc/47/42/92/335474292.db2.gz LFKUFQVRPYMRQT-LLVKDONJSA-N 0 1 283.291 0.671 20 30 CCEDMN C[C@H](c1nc(C2(N)CCCC2)no1)n1cnc(C#N)n1 ZINC000578442414 335634983 /nfs/dbraw/zinc/63/49/83/335634983.db2.gz PPJSKMJXIRCEQK-MRVPVSSYSA-N 0 1 273.300 0.870 20 30 CCEDMN COc1ccc([C@@H](CCO)NCC(=O)NCC#N)cc1 ZINC000182403210 335816978 /nfs/dbraw/zinc/81/69/78/335816978.db2.gz PPCXZRVWHDJZTJ-CYBMUJFWSA-N 0 1 277.324 0.348 20 30 CCEDMN C[C@@H]1CN(CCO)[C@@H](C)CN1c1nccc(C#N)n1 ZINC000419006576 534470360 /nfs/dbraw/zinc/47/03/60/534470360.db2.gz SQHUIBQCOFSGMM-WDEREUQCSA-N 0 1 261.329 0.240 20 30 CCEDMN C=C(C)CCN1CCN(CC(=O)NCCOC)CC1 ZINC000352092367 526446366 /nfs/dbraw/zinc/44/63/66/526446366.db2.gz RFIJGCKJTDGXJR-UHFFFAOYSA-N 0 1 269.389 0.333 20 30 CCEDMN N#Cc1ccc([C@H](NCCc2cnccn2)C(N)=O)cc1 ZINC000340940289 526687729 /nfs/dbraw/zinc/68/77/29/526687729.db2.gz NETFTEOTYXFVSG-AWEZNQCLSA-N 0 1 281.319 0.707 20 30 CCEDMN C=C[C@](C)(O)C(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000668670906 545186349 /nfs/dbraw/zinc/18/63/49/545186349.db2.gz NIMRMPSFTICBKG-ZFWWWQNUSA-N 0 1 283.416 0.312 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1CCc2[nH]nnc2C1 ZINC000669273018 545203982 /nfs/dbraw/zinc/20/39/82/545203982.db2.gz MDRQAMDKAVPGBW-NSHDSACASA-N 0 1 277.328 0.160 20 30 CCEDMN CC1=CCN(CCNC(=O)Cn2cnnn2)CC1 ZINC000329197331 528114691 /nfs/dbraw/zinc/11/46/91/528114691.db2.gz UENLCEGCRKIRJN-UHFFFAOYSA-N 0 1 250.306 0.282 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CCC[C@H](c2n[nH]c(=O)[n-]2)C1 ZINC000676977508 545355893 /nfs/dbraw/zinc/35/58/93/545355893.db2.gz OXTDWXLMROFQMQ-UWVGGRQHSA-N 0 1 252.318 0.627 20 30 CCEDMN CC[C@@H](NCc1cc(C#N)n(C)c1)C(=O)N1CCOCC1 ZINC000191299638 535805288 /nfs/dbraw/zinc/80/52/88/535805288.db2.gz GUTIIABSOSMMSB-CQSZACIVSA-N 0 1 290.367 0.624 20 30 CCEDMN COCC#CCN(C)CCN1C(=O)c2ccccc2C1=O ZINC000677819585 545373769 /nfs/dbraw/zinc/37/37/69/545373769.db2.gz BVTBZPVDKYUOSQ-UHFFFAOYSA-N 0 1 286.331 0.864 20 30 CCEDMN CN(CCC(=O)Nc1ccc(C#N)c(Cl)c1)CC(N)=O ZINC000263759538 536305950 /nfs/dbraw/zinc/30/59/50/536305950.db2.gz UJTLTRZZOOAXRT-UHFFFAOYSA-N 0 1 294.742 0.957 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)NCc1cc(C)[nH]n1 ZINC000678780726 545397401 /nfs/dbraw/zinc/39/74/01/545397401.db2.gz ZJUFKQONJRRURR-JTQLQIEISA-N 0 1 252.318 0.702 20 30 CCEDMN COC[C@@H](CO)NCc1cnc2ccc(C#N)cn12 ZINC000342855605 536638034 /nfs/dbraw/zinc/63/80/34/536638034.db2.gz XKQCEHIXUCGRGN-LLVKDONJSA-N 0 1 260.297 0.303 20 30 CCEDMN COc1ccc(C#N)cc1CN1CCC[C@](O)(CO)C1 ZINC000313697021 536643399 /nfs/dbraw/zinc/64/33/99/536643399.db2.gz YWNUDMNEVFZPKD-OAHLLOKOSA-N 0 1 276.336 0.886 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)[N-]OC[C@@H]1CCOC1 ZINC000788272529 581103062 /nfs/dbraw/zinc/10/30/62/581103062.db2.gz VVJORFUPTXMPQG-NEPJUHHUSA-N 0 1 252.314 0.168 20 30 CCEDMN C[C@H]1CC(NC(=O)c2cc([N+](=O)[O-])cn2C)=NO1 ZINC000777435696 581115508 /nfs/dbraw/zinc/11/55/08/581115508.db2.gz IPPTWSUYDNXAAT-LURJTMIESA-N 0 1 252.230 0.785 20 30 CCEDMN C[N@@H+](CCC(=O)[O-])CC(=O)NC1(C#N)CCCCC1 ZINC000737991421 581136153 /nfs/dbraw/zinc/13/61/53/581136153.db2.gz GVNMRVJKJPWSEU-UHFFFAOYSA-N 0 1 267.329 0.736 20 30 CCEDMN CC(=NNC1=NC[C@@H](C)N1)c1ccc2c(c1)CC(=O)N2 ZINC000779793536 581205641 /nfs/dbraw/zinc/20/56/41/581205641.db2.gz UWYRSYMPHPGYOJ-MRVPVSSYSA-N 0 1 271.324 0.843 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cnc(N(C)C)s2)N1 ZINC000779798508 581206175 /nfs/dbraw/zinc/20/61/75/581206175.db2.gz OQOZDWREUANGFO-ZETCQYMHSA-N 0 1 252.347 0.480 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cnc(N3CCOCC3)s2)N1 ZINC000779800412 581206642 /nfs/dbraw/zinc/20/66/42/581206642.db2.gz YZCGQOHWWQHKLW-VIFPVBQESA-N 0 1 294.384 0.251 20 30 CCEDMN C#CCN1CCN(C(=O)[C@@H]2CCc3[nH]c(C)nc3C2)CC1 ZINC000780980646 581254162 /nfs/dbraw/zinc/25/41/62/581254162.db2.gz STKNHAIBIQBPKX-CYBMUJFWSA-N 0 1 286.379 0.600 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)c1ccc2cncn2c1 ZINC000792554103 581265718 /nfs/dbraw/zinc/26/57/18/581265718.db2.gz VVPUBKRVYWWPLC-SNVBAGLBSA-N 0 1 271.276 0.629 20 30 CCEDMN Nc1ncc(C=NNC2=N[C@H]3CCCC[C@@H]3N2)cn1 ZINC000781597022 581272577 /nfs/dbraw/zinc/27/25/77/581272577.db2.gz PSNXZVSELAIPAE-UWVGGRQHSA-N 0 1 259.317 0.253 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@@]2(C1)CCCOC2 ZINC000792938725 581276353 /nfs/dbraw/zinc/27/63/53/581276353.db2.gz DOBCLHPOYGBGMW-CYBMUJFWSA-N 0 1 251.330 0.519 20 30 CCEDMN C#CCNC(=O)CN1CCN(C)[C@@H](Cc2ccccc2)C1 ZINC000792944907 581277149 /nfs/dbraw/zinc/27/71/49/581277149.db2.gz YXRAYFAPFADFPV-INIZCTEOSA-N 0 1 285.391 0.595 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CCC2(CNC(=O)O2)CC1 ZINC000782001158 581285123 /nfs/dbraw/zinc/28/51/23/581285123.db2.gz YALJCOHQIWIZTA-NSHDSACASA-N 0 1 294.355 0.321 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CC=CCC1)[C@H]1CCCO1 ZINC000793160589 581286048 /nfs/dbraw/zinc/28/60/48/581286048.db2.gz BDUOXFZVZLTWJB-ZIAGYGMSSA-N 0 1 277.368 0.728 20 30 CCEDMN N#Cc1cccnc1NCCNC(=O)CCc1cnc[nH]1 ZINC000793187264 581287460 /nfs/dbraw/zinc/28/74/60/581287460.db2.gz HBNZTWOLSTYSQQ-UHFFFAOYSA-N 0 1 284.323 0.837 20 30 CCEDMN Cc1oc(-n2cccc2)c(C#N)c1C(=O)NN1CN=NC1=O ZINC000782123908 581288212 /nfs/dbraw/zinc/28/82/12/581288212.db2.gz HTEGOOJEATVPES-UHFFFAOYSA-N 0 1 298.262 0.931 20 30 CCEDMN C#C[C@@H](NC(=O)NCCN1CCCOCC1)[C@@H]1CCCO1 ZINC000782990030 581331730 /nfs/dbraw/zinc/33/17/30/581331730.db2.gz DCUBWAURHPXMAM-KGLIPLIRSA-N 0 1 295.383 0.189 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](CN(C)C(=O)[O-])C1 ZINC000738462055 581333666 /nfs/dbraw/zinc/33/36/66/581333666.db2.gz WCHCDPGIKWRDCV-STQMWFEESA-N 0 1 293.367 0.542 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CCC[C@@H](N(C)C(=O)[O-])C1 ZINC000738462071 581333932 /nfs/dbraw/zinc/33/39/32/581333932.db2.gz WGPPRADZBIQXIJ-OLZOCXBDSA-N 0 1 293.367 0.685 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738461159 581333936 /nfs/dbraw/zinc/33/39/36/581333936.db2.gz OMBKLBUCKPDSND-QWRGUYRKSA-N 0 1 267.329 0.199 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CCC[C@H](N(C)C(=O)[O-])C1 ZINC000738461159 581333937 /nfs/dbraw/zinc/33/39/37/581333937.db2.gz OMBKLBUCKPDSND-QWRGUYRKSA-N 0 1 267.329 0.199 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000738470895 581344437 /nfs/dbraw/zinc/34/44/37/581344437.db2.gz NQTVWRGAOIZMKH-NSHDSACASA-N 0 1 269.345 0.753 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000738470895 581344439 /nfs/dbraw/zinc/34/44/39/581344439.db2.gz NQTVWRGAOIZMKH-NSHDSACASA-N 0 1 269.345 0.753 20 30 CCEDMN CC(=NNc1ccccc1S(N)(=O)=O)c1cn[nH]c1 ZINC000794859440 581389571 /nfs/dbraw/zinc/38/95/71/581389571.db2.gz TUKPVIXQNSEECM-UHFFFAOYSA-N 0 1 279.325 0.893 20 30 CCEDMN COc1cccc([C@@H](C#N)NC(=O)CN(C)C)c1OC ZINC000785410129 581408225 /nfs/dbraw/zinc/40/82/25/581408225.db2.gz WXCKNGPLZCOKKA-LLVKDONJSA-N 0 1 277.324 0.946 20 30 CCEDMN C[C@@H](O)[C@@H]1C[C@H](C)CCN1CC(=O)NCCC#N ZINC000785545455 581411897 /nfs/dbraw/zinc/41/18/97/581411897.db2.gz GCDAOJFIJOUWJO-UTUOFQBUSA-N 0 1 253.346 0.498 20 30 CCEDMN CNC(=O)CC[C@@H]1CCCCN1CC(=O)NCCC#N ZINC000796587104 581445354 /nfs/dbraw/zinc/44/53/54/581445354.db2.gz FXXAHLMGMWZPTB-LBPRGKRZSA-N 0 1 280.372 0.397 20 30 CCEDMN COc1ccccc1-n1nccc1C=NNC(=N)N ZINC000797401752 581465492 /nfs/dbraw/zinc/46/54/92/581465492.db2.gz MQFCHDJGFBYGLA-UHFFFAOYSA-N 0 1 258.285 0.698 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CCN(CC)[C@@H](C)C2)nc1 ZINC000754079810 581574434 /nfs/dbraw/zinc/57/44/34/581574434.db2.gz MGFDSDONDVHHCB-ZDUSSCGKSA-N 0 1 286.379 0.975 20 30 CCEDMN CN(C(=O)[O-])[C@H]1CCC[N@H+](CC(=O)NCCC#N)C1 ZINC000739581953 581574896 /nfs/dbraw/zinc/57/48/96/581574896.db2.gz AEDWGBKJJKOXCT-JTQLQIEISA-N 0 1 268.317 0.090 20 30 CCEDMN CN(C(=O)[O-])[C@H]1CCC[N@@H+](CC(=O)NCCC#N)C1 ZINC000739581953 581574898 /nfs/dbraw/zinc/57/48/98/581574898.db2.gz AEDWGBKJJKOXCT-JTQLQIEISA-N 0 1 268.317 0.090 20 30 CCEDMN C[NH+]1CCN(N=C2CCC(NC(=O)[O-])CC2)CC1 ZINC000739736694 581606556 /nfs/dbraw/zinc/60/65/56/581606556.db2.gz OZMMIRQDIVYGBX-UHFFFAOYSA-N 0 1 254.334 0.800 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)CC1CCOCC1 ZINC000735397252 581643633 /nfs/dbraw/zinc/64/36/33/581643633.db2.gz XBMGLDQFZKZUAF-LLVKDONJSA-N 0 1 268.313 0.275 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000735416928 581647793 /nfs/dbraw/zinc/64/77/93/581647793.db2.gz UJBAYDLTZNWIKV-ZJUUUORDSA-N 0 1 281.316 0.081 20 30 CCEDMN N#CC1(C(=O)NCCC[NH+]2CCN(C(=O)[O-])CC2)CCC1 ZINC000740309862 581663839 /nfs/dbraw/zinc/66/38/39/581663839.db2.gz NWVVXMNADHDGFM-UHFFFAOYSA-N 0 1 294.355 0.482 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)NCCC)CC1 ZINC000735939915 581673573 /nfs/dbraw/zinc/67/35/73/581673573.db2.gz LSGJCEMIFLBITO-UHFFFAOYSA-N 0 1 266.341 0.401 20 30 CCEDMN C=C(COC(=O)Cc1cc(C)[nH]n1)C(=O)OCC ZINC000756698749 581675222 /nfs/dbraw/zinc/67/52/22/581675222.db2.gz CTWXIBSUXSDCBR-UHFFFAOYSA-N 0 1 252.270 0.923 20 30 CCEDMN N#CCCNC(=O)C[N@H+]1CCC[C@H]2COCC[C@@H]21 ZINC000756903056 581683859 /nfs/dbraw/zinc/68/38/59/581683859.db2.gz ZAAJADKVFQZOJF-RYUDHWBXSA-N 0 1 251.330 0.517 20 30 CCEDMN C=CCNC(=O)C[N@@H+](CCC(=O)[O-])C[C@H]1CCCO1 ZINC000736106713 581696694 /nfs/dbraw/zinc/69/66/94/581696694.db2.gz IVMOXDKXJNUARR-LLVKDONJSA-N 0 1 270.329 0.244 20 30 CCEDMN C[C@H]1CN(CC(=O)N[C@](C)(C#N)C2CC2)CCN1C ZINC000761074687 581708337 /nfs/dbraw/zinc/70/83/37/581708337.db2.gz IVCUMJQUDLMDPL-SMDDNHRTSA-N 0 1 264.373 0.431 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@@](C)(COC)C2=O)CC1 ZINC000761255497 581712899 /nfs/dbraw/zinc/71/28/99/581712899.db2.gz XRPAKHFVDKUTJB-AWEZNQCLSA-N 0 1 281.356 0.594 20 30 CCEDMN C[C@H](C(=O)N(CC#N)CC(=O)[O-])[N@@H+](C)Cc1ccccc1 ZINC000736200383 581714421 /nfs/dbraw/zinc/71/44/21/581714421.db2.gz PQQFLYUAZRJODF-GFCCVEGCSA-N 0 1 289.335 0.944 20 30 CCEDMN C[C@H]1CCCN1CCNS(=O)(=O)c1cccnc1C#N ZINC000758161907 581723968 /nfs/dbraw/zinc/72/39/68/581723968.db2.gz YJUVFRYKOSNYEL-NSHDSACASA-N 0 1 294.380 0.716 20 30 CCEDMN C#CCNC(=S)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000758187655 581725712 /nfs/dbraw/zinc/72/57/12/581725712.db2.gz YJYIIZJAZNVQOE-TXEJJXNPSA-N 0 1 269.414 0.583 20 30 CCEDMN C#CCNC(=S)NC[C@H]1CN(CC(C)C)CCO1 ZINC000758189006 581725741 /nfs/dbraw/zinc/72/57/41/581725741.db2.gz LLYCZLIFWYUYIG-LBPRGKRZSA-N 0 1 269.414 0.441 20 30 CCEDMN C=CCNC(=O)NC(=O)COC(=O)[C@@H](CC)N(CC)CC ZINC000741032925 581728245 /nfs/dbraw/zinc/72/82/45/581728245.db2.gz LKQYQJZDEHLGGE-LLVKDONJSA-N 0 1 299.371 0.662 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CCO[C@H](c3nn[nH]n3)C2)C1 ZINC000758221580 581728303 /nfs/dbraw/zinc/72/83/03/581728303.db2.gz QIBTYYLKMKOVSL-XEVUQIKYSA-N 0 1 276.300 0.039 20 30 CCEDMN N#Cc1cccc(N2CCN(C[C@H](O)C3CC3)CC2)n1 ZINC000736294810 581731672 /nfs/dbraw/zinc/73/16/72/581731672.db2.gz NKSYAUAGSDNVJM-AWEZNQCLSA-N 0 1 272.352 0.846 20 30 CCEDMN O=C1CNC(=O)N1N=Cc1cc(-c2cccnc2)[nH]n1 ZINC000770616652 581756716 /nfs/dbraw/zinc/75/67/16/581756716.db2.gz VMWXFSUAYCDSMC-UHFFFAOYSA-N 0 1 270.252 0.357 20 30 CCEDMN CN(Cc1ccc(OCC#N)cc1)[C@@H]1CCNC1=O ZINC000759425938 581798050 /nfs/dbraw/zinc/79/80/50/581798050.db2.gz YOBNQTOALRPUOH-CYBMUJFWSA-N 0 1 259.309 0.909 20 30 CCEDMN C=CCNC(=S)N1CCN([C@@H](CC)CO)CC1 ZINC000743016769 581799729 /nfs/dbraw/zinc/79/97/29/581799729.db2.gz BDORJURICLNEHT-NSHDSACASA-N 0 1 257.403 0.435 20 30 CCEDMN COCC(=O)N(C)CC(=O)Nc1cc(C#N)ccc1O ZINC000759712892 581817042 /nfs/dbraw/zinc/81/70/42/581817042.db2.gz BTXPEJGGPASTIU-UHFFFAOYSA-N 0 1 277.280 0.307 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](C(=O)N2CCCC2)C1 ZINC000732412390 581826649 /nfs/dbraw/zinc/82/66/49/581826649.db2.gz MNNAHISKFGVYAJ-ZDUSSCGKSA-N 0 1 277.368 0.070 20 30 CCEDMN CN1CCC[C@H](NC(=O)N2CCN(C3CC3)[C@@H](C#N)C2)C1 ZINC000732701171 581842411 /nfs/dbraw/zinc/84/24/11/581842411.db2.gz LDJAHWXKCWGSRQ-JSGCOSHPSA-N 0 1 291.399 0.462 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)OCC)CC1 ZINC000772112858 581843272 /nfs/dbraw/zinc/84/32/72/581843272.db2.gz BDWPYZNUGJINMT-UHFFFAOYSA-N 0 1 253.298 0.438 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H]2CCCN(C)C2=O)CC1 ZINC000772114288 581843466 /nfs/dbraw/zinc/84/34/66/581843466.db2.gz ZEOSEEDSIZJYHN-CYBMUJFWSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(C2CCCC2)CC1 ZINC000772280708 581850900 /nfs/dbraw/zinc/85/09/00/581850900.db2.gz GRPLXBYYPFMHME-UHFFFAOYSA-N 0 1 271.386 0.014 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCC(C)(C)C)CC1 ZINC000761032702 581883831 /nfs/dbraw/zinc/88/38/31/581883831.db2.gz LRPFMTMGIWWAHC-UHFFFAOYSA-N 0 1 287.429 0.508 20 30 CCEDMN C[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)CCN1C ZINC000761070717 581885742 /nfs/dbraw/zinc/88/57/42/581885742.db2.gz ORMOTZFLLKZSBM-CYBMUJFWSA-N 0 1 277.372 0.278 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCN(C)[C@H](C)C1 ZINC000761074074 581885921 /nfs/dbraw/zinc/88/59/21/581885921.db2.gz AKEROZLTAHKBOE-OCCSQVGLSA-N 0 1 266.389 0.677 20 30 CCEDMN C#CCCNC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000733286696 581896432 /nfs/dbraw/zinc/89/64/32/581896432.db2.gz AUMXGDXFZKAFRJ-UHFFFAOYSA-N 0 1 272.352 0.587 20 30 CCEDMN C=CCN1CCC(N2C(=O)N[C@@]3(CCOC3)C2=O)CC1 ZINC000761254036 581899931 /nfs/dbraw/zinc/89/99/31/581899931.db2.gz UQZWCXGRWRPVCJ-CQSZACIVSA-N 0 1 279.340 0.348 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1cc(C)[nH]n1)[C@H]1CCCO1 ZINC000773312450 581920720 /nfs/dbraw/zinc/92/07/20/581920720.db2.gz TUXWZZSWAKDNNC-VXGBXAGGSA-N 0 1 262.313 0.698 20 30 CCEDMN Cn1nccc1C=NNc1ccc(-n2ccnc2)nn1 ZINC000761858741 581922348 /nfs/dbraw/zinc/92/23/48/581922348.db2.gz YRCWQIJIRQFOJQ-UHFFFAOYSA-N 0 1 268.284 0.842 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)c1c(F)cc(O)cc1F ZINC000746987291 581930113 /nfs/dbraw/zinc/93/01/13/581930113.db2.gz VAOJZQXKFWVMRV-ZETCQYMHSA-N 0 1 283.230 0.965 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)NCc2cc(C)[nH]n2)c1 ZINC000737298584 581942058 /nfs/dbraw/zinc/94/20/58/581942058.db2.gz GWFKYTABWOVPKP-UHFFFAOYSA-N 0 1 282.303 0.954 20 30 CCEDMN C[N@H+](CC(=O)N[C@](C)(C#N)C1CC1)C(C)(C)C(=O)[O-] ZINC000737390319 581951129 /nfs/dbraw/zinc/95/11/29/581951129.db2.gz XPAPVJPSKXIFAX-CYBMUJFWSA-N 0 1 267.329 0.590 20 30 CCEDMN C[N@@H+](CC(=O)N[C@](C)(C#N)C1CC1)C(C)(C)C(=O)[O-] ZINC000737390319 581951131 /nfs/dbraw/zinc/95/11/31/581951131.db2.gz XPAPVJPSKXIFAX-CYBMUJFWSA-N 0 1 267.329 0.590 20 30 CCEDMN COCC#CCOC(=O)c1ccccc1NS(C)(=O)=O ZINC000762768575 581960489 /nfs/dbraw/zinc/96/04/89/581960489.db2.gz KIZDXMVAJPVEGG-UHFFFAOYSA-N 0 1 297.332 0.865 20 30 CCEDMN COC[C@H](NCC(=O)NCCC#N)c1ccccc1 ZINC000775304778 582017518 /nfs/dbraw/zinc/01/75/18/582017518.db2.gz KGKQPICTOMMTQB-ZDUSSCGKSA-N 0 1 261.325 0.994 20 30 CCEDMN C#C[C@@H](NCc1cnc2cnccn12)[C@H]1CCCO1 ZINC000775343280 582020290 /nfs/dbraw/zinc/02/02/90/582020290.db2.gz VUHLNRDDZNKMEU-CHWSQXEVSA-N 0 1 256.309 1.000 20 30 CCEDMN C#C[C@H](NC(=O)CN(CC)C1CC1)[C@@H]1CCCO1 ZINC000775347413 582020497 /nfs/dbraw/zinc/02/04/97/582020497.db2.gz MBUCCOJJFITJEH-STQMWFEESA-N 0 1 250.342 0.768 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)Nc1c[nH]nn1 ZINC000789927017 582039720 /nfs/dbraw/zinc/03/97/20/582039720.db2.gz NGRNNBLMAZMTHT-UHFFFAOYSA-N 0 1 273.252 0.702 20 30 CCEDMN C#C[C@H](NC(=O)NCc1cn[nH]c1C)[C@H]1CCCO1 ZINC000776116999 582052164 /nfs/dbraw/zinc/05/21/64/582052164.db2.gz JLWOJYPWICHCRH-NWDGAFQWSA-N 0 1 262.313 0.698 20 30 CCEDMN C#CCNC(=O)C[N@H+]1CCCC[C@H]1[C@H](C)NC(=O)[O-] ZINC000738463509 582053784 /nfs/dbraw/zinc/05/37/84/582053784.db2.gz SPNUADRSPNFAMU-QWRGUYRKSA-N 0 1 267.329 0.246 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCCC[C@H]1[C@H](C)NC(=O)[O-] ZINC000738463509 582053787 /nfs/dbraw/zinc/05/37/87/582053787.db2.gz SPNUADRSPNFAMU-QWRGUYRKSA-N 0 1 267.329 0.246 20 30 CCEDMN CN1C(=O)CN(NC(=O)c2cc(C#N)cs2)C1=O ZINC000776249304 582064946 /nfs/dbraw/zinc/06/49/46/582064946.db2.gz VAWMFSDCDWZMKG-UHFFFAOYSA-N 0 1 264.266 0.159 20 30 CCEDMN C=CCNC(=O)CNC(=S)NC[C@@H]1CCC[N@@H+]1CC ZINC000776735763 582088269 /nfs/dbraw/zinc/08/82/69/582088269.db2.gz UNDZVFBCSCPSGO-NSHDSACASA-N 0 1 284.429 0.237 20 30 CCEDMN C=C(Br)CNC(=O)Cc1n[nH]c(C)n1 ZINC000823961270 613236599 /nfs/dbraw/zinc/23/65/99/613236599.db2.gz BCMSQUQGPUEXMK-UHFFFAOYSA-N 0 1 259.107 0.680 20 30 CCEDMN C#CCCCS(=O)(=O)NCc1cc(CC)[nH]n1 ZINC000805010232 612984788 /nfs/dbraw/zinc/98/47/88/612984788.db2.gz XYVLXEROYPZWMJ-UHFFFAOYSA-N 0 1 255.343 0.805 20 30 CCEDMN C#CCCCS(=O)(=O)Nc1cc(C(=O)OC)n(C)n1 ZINC000863449368 612985397 /nfs/dbraw/zinc/98/53/97/612985397.db2.gz DXLHGDRZZXLBFC-UHFFFAOYSA-N 0 1 285.325 0.362 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)C[N@H+]2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000819100620 597531155 /nfs/dbraw/zinc/53/11/55/597531155.db2.gz SWWDWUPGNGEUPK-OLZOCXBDSA-N 0 1 290.319 0.704 20 30 CCEDMN C[C@@H](C[N@@H+](C)CC(=O)N(CCC#N)CCC#N)C(=O)[O-] ZINC000042706485 600369247 /nfs/dbraw/zinc/36/92/47/600369247.db2.gz HPXUVRAJTKQQIP-NSHDSACASA-N 0 1 280.328 0.295 20 30 CCEDMN C#CC1(F)CN(C(=O)NCCCc2nc[nH]n2)C1 ZINC000923126079 612947166 /nfs/dbraw/zinc/94/71/66/612947166.db2.gz CYXJBGLXTZFQKI-UHFFFAOYSA-N 0 1 251.265 0.104 20 30 CCEDMN C#CC1(F)CN(C(=O)N[C@@H]2CCN(CCOC)C2)C1 ZINC000883435815 612947965 /nfs/dbraw/zinc/94/79/65/612947965.db2.gz DCFDUHJKIJZHJO-LLVKDONJSA-N 0 1 269.320 0.074 20 30 CCEDMN C#CCSCCNC(=O)N1CCNC(C)(C)C1 ZINC000841832016 613015891 /nfs/dbraw/zinc/01/58/91/613015891.db2.gz LAGPWKKFMOXOGI-UHFFFAOYSA-N 0 1 255.387 0.746 20 30 CCEDMN C#CC1(F)CN(C(=O)c2cc([C@H]3CCCN3C)n[nH]2)C1 ZINC000899215270 612948957 /nfs/dbraw/zinc/94/89/57/612948957.db2.gz KUBDIFVCKGNRMV-GFCCVEGCSA-N 0 1 276.315 0.974 20 30 CCEDMN C#CCSCCNC(=O)NCc1n[nH]c(C)n1 ZINC000893128957 613017090 /nfs/dbraw/zinc/01/70/90/613017090.db2.gz VCQKQXQYJBQIEF-UHFFFAOYSA-N 0 1 253.331 0.279 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CC1)C[C@H](O)CCO2 ZINC000933066169 612949771 /nfs/dbraw/zinc/94/97/71/612949771.db2.gz MFWFGQDBUCIWRK-QWHCGFSZSA-N 0 1 280.368 0.130 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)CCSc1nnc(C)[nH]1 ZINC000854545342 613023861 /nfs/dbraw/zinc/02/38/61/613023861.db2.gz GMXGSAUBZBFZOP-VIFPVBQESA-N 0 1 268.342 0.096 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](NC(=O)[C@H]2CCCN2C)C1 ZINC000965988761 649945464 /nfs/dbraw/zinc/94/54/64/649945464.db2.gz LNHKYYJHQKNKTQ-YNEHKIRRSA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)N(C)CCC#N)C[C@H]1C(=O)[O-] ZINC000833626311 604842947 /nfs/dbraw/zinc/84/29/47/604842947.db2.gz ZQFHOBCUZZXDKP-GHMZBOCLSA-N 0 1 267.329 0.401 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)NCCCCC#N ZINC000833622808 605049414 /nfs/dbraw/zinc/04/94/14/605049414.db2.gz GRVIICHYXLUVCP-GFCCVEGCSA-N 0 1 296.371 0.871 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NCCCc1nc[nH]n1 ZINC000875914375 613050841 /nfs/dbraw/zinc/05/08/41/613050841.db2.gz LXJHJDYSNKKNFY-LLVKDONJSA-N 0 1 279.344 0.465 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H]1CCCN(C(C)C)C1 ZINC000808307512 613054839 /nfs/dbraw/zinc/05/48/39/613054839.db2.gz WPGWMJYMFLKPPV-GFCCVEGCSA-N 0 1 258.387 0.802 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000799073490 613063072 /nfs/dbraw/zinc/06/30/72/613063072.db2.gz KJJXVIWXGXBGFL-QWRGUYRKSA-N 0 1 261.325 0.720 20 30 CCEDMN C#CC[C@H](COC)NCc1ccc(S(N)(=O)=O)s1 ZINC000877662103 613066288 /nfs/dbraw/zinc/06/62/88/613066288.db2.gz MZQMZKBTXPJPCI-SECBINFHSA-N 0 1 288.394 0.523 20 30 CCEDMN C#CCNCC(=O)N(C)C[C@H]1COc2ccccc2O1 ZINC000105964570 612956338 /nfs/dbraw/zinc/95/63/38/612956338.db2.gz HTHVRGGHXZHUIK-LBPRGKRZSA-N 0 1 274.320 0.508 20 30 CCEDMN C#CCNCC(=O)N(C)C[C@@H]1COc2ccccc2O1 ZINC000105964567 612956495 /nfs/dbraw/zinc/95/64/95/612956495.db2.gz HTHVRGGHXZHUIK-GFCCVEGCSA-N 0 1 274.320 0.508 20 30 CCEDMN C#CCNCC(=O)NCc1cccc(NC(=O)COC)c1 ZINC000912299503 612956603 /nfs/dbraw/zinc/95/66/03/612956603.db2.gz LOVZKJONWXHPDR-UHFFFAOYSA-N 0 1 289.335 0.111 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000971745931 613084724 /nfs/dbraw/zinc/08/47/24/613084724.db2.gz ONEPFXBNCGAVIK-SNVBAGLBSA-N 0 1 262.313 0.203 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C2CCC(O)CC2)C1 ZINC000971438043 613089300 /nfs/dbraw/zinc/08/93/00/613089300.db2.gz CVYWXGROETYVRY-MOKVOYLWSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2csnn2)C1 ZINC000972027630 613096865 /nfs/dbraw/zinc/09/68/65/613096865.db2.gz UPIKYPSEWRVTNY-VIFPVBQESA-N 0 1 250.327 0.318 20 30 CCEDMN C#C[C@@](C)(CC)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000861858725 613112921 /nfs/dbraw/zinc/11/29/21/613112921.db2.gz XNQNYOWQOPKUPC-ZDUSSCGKSA-N 0 1 295.364 0.985 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(C)[C@@H](C)[C@@H](C)S(C)(=O)=O ZINC000876663003 613389167 /nfs/dbraw/zinc/38/91/67/613389167.db2.gz VMUZZNLPAAPVFG-AEJSXWLSSA-N 0 1 296.820 0.736 20 30 CCEDMN C#CC1CCN(C(=O)NCc2n[nH]c(=O)n2C)CC1 ZINC000889572155 612963502 /nfs/dbraw/zinc/96/35/02/612963502.db2.gz MPFCHTUIRBZFNH-UHFFFAOYSA-N 0 1 263.301 0.076 20 30 CCEDMN CC(C)NC(=O)CON=C(N)CN1CCCC[C@H]1C ZINC000106437984 613920441 /nfs/dbraw/zinc/92/04/41/613920441.db2.gz SWOKVZRVFCSWKN-LLVKDONJSA-N 0 1 270.377 0.674 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1F ZINC000834510418 617232966 /nfs/dbraw/zinc/23/29/66/617232966.db2.gz AQGQOCKUZZKXQF-MWLCHTKSSA-N 0 1 263.272 0.676 20 30 CCEDMN COc1ccc(CCC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834505712 617245012 /nfs/dbraw/zinc/24/50/12/617245012.db2.gz NCFVIMDCRAFPQH-JSGCOSHPSA-N 0 1 273.336 0.856 20 30 CCEDMN COc1ccc(F)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1OC ZINC000834500282 617263984 /nfs/dbraw/zinc/26/39/84/617263984.db2.gz RRCZEFKQYQFWJN-WCBMZHEXSA-N 0 1 293.298 0.684 20 30 CCEDMN COc1ccc(CN[C@]2(C)CCNC2=O)cc1C#N ZINC000897871186 617260374 /nfs/dbraw/zinc/26/03/74/617260374.db2.gz JENDJTOIIFRYAC-CQSZACIVSA-N 0 1 259.309 0.935 20 30 CCEDMN COc1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1[N+](=O)[O-] ZINC000834500749 617292888 /nfs/dbraw/zinc/29/28/88/617292888.db2.gz WUYFBEWENYRKTM-PSASIEDQSA-N 0 1 290.279 0.445 20 30 CCEDMN C#CCC1(O)CCN(C(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC000882529375 612972771 /nfs/dbraw/zinc/97/27/71/612972771.db2.gz GSWLDCSNKNCDSD-NXEZZACHSA-N 0 1 252.270 0.028 20 30 CCEDMN C#CCC1(O)CCN(C(=O)c2c[nH]cc3ncnc2-3)CC1 ZINC000899224472 612973039 /nfs/dbraw/zinc/97/30/39/612973039.db2.gz QIJJZVIVTXAFQU-UHFFFAOYSA-N 0 1 284.319 0.948 20 30 CCEDMN C#CCCCC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000846526039 612974616 /nfs/dbraw/zinc/97/46/16/612974616.db2.gz BANRAAJHEASDII-UHFFFAOYSA-N 0 1 261.329 0.252 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H]1C[C@@H](C)N(C2CC2)C1 ZINC000806917084 612980961 /nfs/dbraw/zinc/98/09/61/612980961.db2.gz YKKQDOTZAVJSME-NEPJUHHUSA-N 0 1 277.368 0.257 20 30 CCEDMN C#CCOCCN1CCC[C@@H](N2CCCS2(=O)=O)C1 ZINC000852000472 612982985 /nfs/dbraw/zinc/98/29/85/612982985.db2.gz UYZMSHNFLMJJFO-CYBMUJFWSA-N 0 1 286.397 0.136 20 30 CCEDMN C#CCOCCNC(=O)N1CCN([C@@H](C)CC)CC1 ZINC000838547922 612986310 /nfs/dbraw/zinc/98/63/10/612986310.db2.gz IRKPTNWQOHQFFN-ZDUSSCGKSA-N 0 1 267.373 0.762 20 30 CCEDMN C#CCCN(CCOC)C(=O)[C@@H]1CCCCN1C ZINC000824748434 612988381 /nfs/dbraw/zinc/98/83/81/612988381.db2.gz LIYDBCZYFDZVMS-ZDUSSCGKSA-N 0 1 252.358 0.969 20 30 CCEDMN C#CCCN(CCOC)C(=O)[C@H](C)CN1CCOCC1 ZINC000856389520 612988400 /nfs/dbraw/zinc/98/84/00/612988400.db2.gz USVJPVKRDYJJES-CQSZACIVSA-N 0 1 282.384 0.453 20 30 CCEDMN C#CCCN(CCOC)C[C@H]1CCS(=O)(=O)C1 ZINC000852749429 612989503 /nfs/dbraw/zinc/98/95/03/612989503.db2.gz XWSGUFIDOFXSJU-GFCCVEGCSA-N 0 1 259.371 0.393 20 30 CCEDMN C#CCO[C@@H](C)C(=O)OC[C@H]1CN(C(C)C)CCO1 ZINC000835297563 612998482 /nfs/dbraw/zinc/99/84/82/612998482.db2.gz LVPPGOMGZYSAIT-QWHCGFSZSA-N 0 1 269.341 0.677 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC000820068335 612999361 /nfs/dbraw/zinc/99/93/61/612999361.db2.gz PJZAQRYGJMQOSI-SECBINFHSA-N 0 1 279.296 0.520 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H](OC)[C@@H]2CCOC2)CC1 ZINC000981692711 613004363 /nfs/dbraw/zinc/00/43/63/613004363.db2.gz GIWUQJNAVCLZPB-HUUCEWRRSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCOc1ccc(CNCC(=O)NCC(=O)OC)cc1 ZINC000877706924 613006609 /nfs/dbraw/zinc/00/66/09/613006609.db2.gz UOSUSAZVELRQAM-UHFFFAOYSA-N 0 1 290.319 0.077 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2coc(OC)n2)CC1 ZINC000981697927 613006656 /nfs/dbraw/zinc/00/66/56/613006656.db2.gz YNYNKLPRTKDTRR-UHFFFAOYSA-N 0 1 277.324 0.854 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnnc(C)c2)CC1 ZINC000981055177 613006775 /nfs/dbraw/zinc/00/67/75/613006775.db2.gz XTBKQDHGVZMGAR-UHFFFAOYSA-N 0 1 272.352 0.956 20 30 CCEDMN C#CCCN1CCN(C[C@@H]2CN(C3CC3)C(=O)O2)CC1 ZINC000844308630 613011770 /nfs/dbraw/zinc/01/17/70/613011770.db2.gz GEKNCMWRYDFETJ-CQSZACIVSA-N 0 1 277.368 0.611 20 30 CCEDMN C#CCCN1CCN(c2ccc(C#N)c(N)n2)CC1 ZINC000848662034 613012063 /nfs/dbraw/zinc/01/20/63/613012063.db2.gz GKYXCVHNMDRZFX-UHFFFAOYSA-N 0 1 255.325 0.681 20 30 CCEDMN C#CCSCC(=O)N[C@H]1CCN(CCOC)C1 ZINC000896259087 613014096 /nfs/dbraw/zinc/01/40/96/613014096.db2.gz QRGWGKNABVPSKM-NSHDSACASA-N 0 1 256.371 0.190 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)C2CS(=O)(=O)C2)C[C@@H]1C ZINC000947342826 613026148 /nfs/dbraw/zinc/02/61/48/613026148.db2.gz LRXYBZHJPQJEOZ-AAEUAGOBSA-N 0 1 298.408 0.023 20 30 CCEDMN C#CC[C@@H](NC(=O)CN1[C@H](C)CC[C@@H]1C)C(=O)OC ZINC000837943518 613039771 /nfs/dbraw/zinc/03/97/71/613039771.db2.gz RLHUGVJACZASCT-GRYCIOLGSA-N 0 1 266.341 0.540 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)CN2CCN(CC)CC2)C1 ZINC000844000265 613044181 /nfs/dbraw/zinc/04/41/81/613044181.db2.gz VBLKFGNJJVCUED-OAHLLOKOSA-N 0 1 277.412 0.886 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)CN1CCN(CC)CC1 ZINC000863785860 613049809 /nfs/dbraw/zinc/04/98/09/613049809.db2.gz OPJNFTJOICVJQK-CQSZACIVSA-N 0 1 281.400 0.169 20 30 CCEDMN C#CCCS(=O)(=O)N(CC#C)C1CCN(C)CC1 ZINC000922022346 613051623 /nfs/dbraw/zinc/05/16/23/613051623.db2.gz KVPZSZBODMTHCW-UHFFFAOYSA-N 0 1 268.382 0.369 20 30 CCEDMN C#CCN(C(=O)C(=O)N1CC[C@@H](F)[C@H](N)C1)C1CCCC1 ZINC000852919090 613065020 /nfs/dbraw/zinc/06/50/20/613065020.db2.gz MDDFICINAMDQIW-CHWSQXEVSA-N 0 1 295.358 0.289 20 30 CCEDMN C#CCN(C(=O)CCC(C)=O)C1CCN(C)CC1 ZINC000886588863 613065393 /nfs/dbraw/zinc/06/53/93/613065393.db2.gz ASKHLXZQOBVLPK-UHFFFAOYSA-N 0 1 250.342 0.912 20 30 CCEDMN C#CCN(C(=O)CCCc1nn[n-]n1)C1CC[NH+](C)CC1 ZINC000886573854 613065670 /nfs/dbraw/zinc/06/56/70/613065670.db2.gz ZCHGYUQSQLWAKH-UHFFFAOYSA-N 0 1 290.371 0.078 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCC[C@@H]2C[C@@]21C(=O)[O-] ZINC000929014150 613073780 /nfs/dbraw/zinc/07/37/80/613073780.db2.gz ABQZJPCYWXHLNJ-DOMZBBRYSA-N 0 1 293.367 0.590 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC000971635798 613082321 /nfs/dbraw/zinc/08/23/21/613082321.db2.gz COGMJHKPSCHEGX-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NCc1n[nH]c(C2CCOCC2)n1 ZINC000875594821 613083842 /nfs/dbraw/zinc/08/38/42/613083842.db2.gz SEGOBDSCDBFFDY-NSHDSACASA-N 0 1 291.355 0.910 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCN2C(C)=O)C1 ZINC000980499492 613084124 /nfs/dbraw/zinc/08/41/24/613084124.db2.gz BQZUBPAECBLPLE-ZIAGYGMSSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc3nnc(C)n3c2)C1 ZINC000971829209 613086582 /nfs/dbraw/zinc/08/65/82/613086582.db2.gz QDSQTEKDBVISQM-CQSZACIVSA-N 0 1 297.362 0.817 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2snnc2C)C1 ZINC000971059187 613088698 /nfs/dbraw/zinc/08/86/98/613088698.db2.gz MYYLWYZJZRHZFR-SNVBAGLBSA-N 0 1 264.354 0.626 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C[C@H]2CCCOC2)C1 ZINC000980417825 613090614 /nfs/dbraw/zinc/09/06/14/613090614.db2.gz DPEMICBCAZSKNN-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccc3ncn(C)c3n2)C1 ZINC000972326038 613095136 /nfs/dbraw/zinc/09/51/36/613095136.db2.gz GLFPJCGYWMCJFI-LBPRGKRZSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnc(N(C)C)c2)C1 ZINC000972456622 613095669 /nfs/dbraw/zinc/09/56/69/613095669.db2.gz BUHYXGHXQVRKOV-AWEZNQCLSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCN(C)c1nnc(Cc2cc(C)n[nH]2)n1CCOC ZINC000871086629 613097604 /nfs/dbraw/zinc/09/76/04/613097604.db2.gz HAMXOJJPYMNLSE-UHFFFAOYSA-N 0 1 288.355 0.616 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)CCC ZINC000922023676 613098128 /nfs/dbraw/zinc/09/81/28/613098128.db2.gz LDXQGLFXPRGOST-UHFFFAOYSA-N 0 1 258.387 0.756 20 30 CCEDMN C#C[C@@H]([C@H]1CCCO1)N1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000804178709 613101276 /nfs/dbraw/zinc/10/12/76/613101276.db2.gz VPNTUKMIBHBPHR-SDDRHHMPSA-N 0 1 288.307 0.053 20 30 CCEDMN C#CC[N@@H+](CC(=O)NCC(=O)[O-])[C@H]1CCc2ccccc21 ZINC000819700886 613102869 /nfs/dbraw/zinc/10/28/69/613102869.db2.gz NFTMNBYUNQGUEQ-AWEZNQCLSA-N 0 1 286.331 0.810 20 30 CCEDMN C#CC[N@H+](CC(=O)NCC(=O)[O-])[C@H]1CCc2ccccc21 ZINC000819700886 613102870 /nfs/dbraw/zinc/10/28/70/613102870.db2.gz NFTMNBYUNQGUEQ-AWEZNQCLSA-N 0 1 286.331 0.810 20 30 CCEDMN C#CCN(CC(=O)OC(C)(C)C)C(=O)C1=NC(=O)N(C)C1 ZINC000855495578 613104655 /nfs/dbraw/zinc/10/46/55/613104655.db2.gz CEPLNGZZVXSZJV-UHFFFAOYSA-N 0 1 293.323 0.543 20 30 CCEDMN C#CCN(Cc1ccccc1)C(=O)NC[C@@H]1COCCN1 ZINC000861685764 613108756 /nfs/dbraw/zinc/10/87/56/613108756.db2.gz YBJCIQHQERYFGC-OAHLLOKOSA-N 0 1 287.363 0.820 20 30 CCEDMN C#C[C@@H]1COCCN1c1nc(Cl)nc2[nH]cnc21 ZINC000894170044 613112490 /nfs/dbraw/zinc/11/24/90/613112490.db2.gz WPCWAFAEBNJKJZ-SSDOTTSWSA-N 0 1 263.688 0.845 20 30 CCEDMN C#C[C@H](C)N(C)c1nnc(Cc2cc(C)n[nH]2)n1C ZINC000871024005 613114444 /nfs/dbraw/zinc/11/44/44/613114444.db2.gz KVQWQLUNJKOJNA-JTQLQIEISA-N 0 1 258.329 0.895 20 30 CCEDMN C#C[C@H](C)NC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000923216401 613117618 /nfs/dbraw/zinc/11/76/18/613117618.db2.gz XWVXBZBYNOOGJT-TUAOUCFPSA-N 0 1 267.398 0.887 20 30 CCEDMN C#C[C@H](CC)NC(=O)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000854070442 613120530 /nfs/dbraw/zinc/12/05/30/613120530.db2.gz NLYQGEIGVKQLFB-MCIONIFRSA-N 0 1 279.384 0.639 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H]2C2OCCO2)CC1 ZINC000888429398 613128308 /nfs/dbraw/zinc/12/83/08/613128308.db2.gz SUXAEHXQDKUYQO-CQSZACIVSA-N 0 1 292.379 0.696 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H]2CCN2C(C)=O)CC1 ZINC000977855890 613128638 /nfs/dbraw/zinc/12/86/38/613128638.db2.gz SRWARNKBGRWDJZ-HNNXBMFYSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](C)C(O)[C@@H](C)C2)CC1 ZINC000855687822 613129489 /nfs/dbraw/zinc/12/94/89/613129489.db2.gz LIYHHSWUCISOMP-STQMWFEESA-N 0 1 278.396 0.807 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H](C)[C@@](C)(CO)C2)CC1 ZINC000856247166 613129558 /nfs/dbraw/zinc/12/95/58/613129558.db2.gz KWWAISQZVGEEIF-CZUORRHYSA-N 0 1 278.396 0.809 20 30 CCEDMN C#CCN1CCC(C(=O)NOCCN2CCCC2=O)CC1 ZINC000856038616 613130816 /nfs/dbraw/zinc/13/08/16/613130816.db2.gz FACOHZSUXJAEMO-UHFFFAOYSA-N 0 1 293.367 0.002 20 30 CCEDMN C#CCN1CCC(C(=O)OCCO[C@H]2CCOC2)CC1 ZINC000922445007 613131958 /nfs/dbraw/zinc/13/19/58/613131958.db2.gz LYXUTHGZTHGWEJ-AWEZNQCLSA-N 0 1 281.352 0.680 20 30 CCEDMN C#C[C@H](NC(=O)C1(N(C)C)CC1)C1CCOCC1 ZINC000856118653 613132265 /nfs/dbraw/zinc/13/22/65/613132265.db2.gz GKYRBNJREVTUHO-LBPRGKRZSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)C23CC(C(=O)OC)(C2)C3)CC1 ZINC000928648719 613132471 /nfs/dbraw/zinc/13/24/71/613132471.db2.gz APPDIGGLVHTMAV-UHFFFAOYSA-N 0 1 290.363 0.544 20 30 CCEDMN C#CCN1CCC(NC(=O)C(=O)Nc2ccccc2)CC1 ZINC000928657292 613132578 /nfs/dbraw/zinc/13/25/78/613132578.db2.gz VPACDVPGESNKOQ-UHFFFAOYSA-N 0 1 285.347 0.839 20 30 CCEDMN C#CCN1CCC(NC(=O)CCCn2cccn2)CC1 ZINC000928657763 613133063 /nfs/dbraw/zinc/13/30/63/613133063.db2.gz XPXMTGBTRYXCEA-UHFFFAOYSA-N 0 1 274.368 0.877 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ccc(OC)cn2)CC1 ZINC000928653209 613133339 /nfs/dbraw/zinc/13/33/39/613133339.db2.gz DNTGTVBJHMIPRO-UHFFFAOYSA-N 0 1 287.363 0.847 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cn(C)nc2C)CC1 ZINC000928651279 613133388 /nfs/dbraw/zinc/13/33/88/613133388.db2.gz RUFCLHVRCURZRG-UHFFFAOYSA-N 0 1 274.368 0.485 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)COC)CC1 ZINC000905146461 613134820 /nfs/dbraw/zinc/13/48/20/613134820.db2.gz XRHKAZAVECWNTN-NSHDSACASA-N 0 1 253.346 0.418 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC2(C#N)CC2)CC1 ZINC000922312334 613133941 /nfs/dbraw/zinc/13/39/41/613133941.db2.gz WBPAQGQHKOVQMZ-UHFFFAOYSA-N 0 1 260.341 0.687 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H](C)OC)CC1 ZINC000923261784 613133945 /nfs/dbraw/zinc/13/39/45/613133945.db2.gz OYJYYKNPEDZYSA-LLVKDONJSA-N 0 1 253.346 0.418 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCO[C@@H](C)C2)CC1 ZINC000921754186 613135306 /nfs/dbraw/zinc/13/53/06/613135306.db2.gz KGJFHHOEUHNXAX-JSGCOSHPSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cc(C)nn2C)CC1 ZINC000902939919 613135513 /nfs/dbraw/zinc/13/55/13/613135513.db2.gz KXENFHOQAGHNAU-UHFFFAOYSA-N 0 1 275.356 0.948 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](OCC)[C@H]2CCOC2)CC1 ZINC000928660194 613135713 /nfs/dbraw/zinc/13/57/13/613135713.db2.gz LPMABWICGNNAMM-ZFWWWQNUSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](OC)[C@H]2CCOC2)CC1 ZINC000928648893 613135758 /nfs/dbraw/zinc/13/57/58/613135758.db2.gz UNKQXZZTERWUBW-JSGCOSHPSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)c2cnn(C)c2)CC1 ZINC000928655848 613135905 /nfs/dbraw/zinc/13/59/05/613135905.db2.gz APLOMUFCRGTZOK-LBPRGKRZSA-N 0 1 274.368 0.737 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)[C@@H](O)CC)CC1 ZINC000928647941 613136020 /nfs/dbraw/zinc/13/60/20/613136020.db2.gz BQBAYUFQJRHPQI-AAEUAGOBSA-N 0 1 252.358 0.607 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@@H]1C(C)C)[C@H]1CCCO1 ZINC000861618769 613136213 /nfs/dbraw/zinc/13/62/13/613136213.db2.gz UJGLBYZKPLSNBJ-BFHYXJOUSA-N 0 1 279.384 0.807 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@@H]2OCC)CC1 ZINC000928657413 613136335 /nfs/dbraw/zinc/13/63/35/613136335.db2.gz HXZTVHPKANPLJU-OLZOCXBDSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCN(C)C(=O)C2)CC1 ZINC000928656715 613136884 /nfs/dbraw/zinc/13/68/84/613136884.db2.gz FQIJYNXGAMDKAG-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(C)nn(C)c2C)CC1 ZINC000928659692 613137252 /nfs/dbraw/zinc/13/72/52/613137252.db2.gz XVFSAVLWSNJAGI-UHFFFAOYSA-N 0 1 274.368 0.864 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc3[nH]c(=O)[nH]c3c2)CC1 ZINC000928653704 613137806 /nfs/dbraw/zinc/13/78/06/613137806.db2.gz XLUYDVADFVRKLI-UHFFFAOYSA-N 0 1 299.334 0.491 20 30 CCEDMN C#CCN1CCC(NC(=S)NC[C@@H]2CCOC2)CC1 ZINC000905657535 613138275 /nfs/dbraw/zinc/13/82/75/613138275.db2.gz PUSNEWNFQHXHGR-LBPRGKRZSA-N 0 1 281.425 0.585 20 30 CCEDMN C#C[C@H](NC(=O)[C@]1(C)C[C@H](O)CN1C)C(C)(C)C ZINC000908224676 613147956 /nfs/dbraw/zinc/14/79/56/613147956.db2.gz JOBSMPWNUDZAFE-MJVIPROJSA-N 0 1 252.358 0.606 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@H]1C[C@@H]1C)CCO2 ZINC000949015694 613140121 /nfs/dbraw/zinc/14/01/21/613140121.db2.gz UZUPTSXSEGDNQF-KBPBESRZSA-N 0 1 276.380 0.969 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@H]1CCN1CC)[C@@H]1CCCO1 ZINC000883580842 613141273 /nfs/dbraw/zinc/14/12/73/613141273.db2.gz KRJILSUIENUGNV-AGIUHOORSA-N 0 1 265.357 0.561 20 30 CCEDMN C#C[C@H](N[C@H]1CCN(C2CCC2)C1=O)[C@@H]1CCCO1 ZINC000878454455 613152743 /nfs/dbraw/zinc/15/27/43/613152743.db2.gz XTCJFVCRQYJNQR-IHRRRGAJSA-N 0 1 262.353 0.910 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)[C@@H]2CCCCN2C)C1 ZINC000923573238 613172222 /nfs/dbraw/zinc/17/22/22/613172222.db2.gz NWKMTXQTDZMWJN-KGLIPLIRSA-N 0 1 263.385 0.685 20 30 CCEDMN C#CCN1CCC[C@@H](N[C@@H](c2nnc[nH]2)c2cccnc2)C1 ZINC000886662693 613176407 /nfs/dbraw/zinc/17/64/07/613176407.db2.gz KEOABYVRGXYVTI-HUUCEWRRSA-N 0 1 296.378 0.976 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H]1CCNC(=O)CC1 ZINC000815939948 613176532 /nfs/dbraw/zinc/17/65/32/613176532.db2.gz GAWVXNKMNVSHBE-QWHCGFSZSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C\c2cn(C)cn2)C1 ZINC000829582422 613181275 /nfs/dbraw/zinc/18/12/75/613181275.db2.gz MEHBYFWMSNBVGQ-AFNCTOJWSA-N 0 1 272.352 0.647 20 30 CCEDMN C#Cc1ccc(CNC[C@H](O)CS(C)(=O)=O)cc1 ZINC000922824546 613194394 /nfs/dbraw/zinc/19/43/94/613194394.db2.gz STKVKVCOSLJTDK-ZDUSSCGKSA-N 0 1 267.350 0.163 20 30 CCEDMN C#Cc1ccc(NC(=O)CCN(C)CC(=O)NC)cc1 ZINC000929259444 613194795 /nfs/dbraw/zinc/19/47/95/613194795.db2.gz YOVMVHSIFSXZIU-UHFFFAOYSA-N 0 1 273.336 0.674 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@H](C)[C@@H](C(=O)[O-])C1 ZINC000825619564 613197781 /nfs/dbraw/zinc/19/77/81/613197781.db2.gz OFOLEFSKVMAOFJ-SRVKXCTJSA-N 0 1 264.325 0.263 20 30 CCEDMN COc1ccnc(CN[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)c1 ZINC000967943901 617325686 /nfs/dbraw/zinc/32/56/86/617325686.db2.gz MNNYMLVTCYDFPF-VXGBXAGGSA-N 0 1 288.351 0.940 20 30 CCEDMN C#CCN1CCN(S(=O)(=O)c2cc(O)cc(F)c2)CC1 ZINC000867023164 613209901 /nfs/dbraw/zinc/20/99/01/613209901.db2.gz OSMAYJYYAYMSFG-UHFFFAOYSA-N 0 1 298.339 0.471 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC000968366173 613218591 /nfs/dbraw/zinc/21/85/91/613218591.db2.gz TUAFZCWGQUNOGD-DGCLKSJQSA-N 0 1 294.786 0.996 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@@H](C)[C@@H]2CN(C)CCN2C)c1 ZINC000896542639 613218778 /nfs/dbraw/zinc/21/87/78/613218778.db2.gz UCBROGICAXJXFX-WFASDCNBSA-N 0 1 286.379 0.427 20 30 CCEDMN C#Cc1cncc(C(=O)N[C@H]2CN(CCO)CC[C@@H]2C)c1 ZINC000968317304 613222440 /nfs/dbraw/zinc/22/24/40/613222440.db2.gz JIWIHTWCAYORSL-WFASDCNBSA-N 0 1 287.363 0.495 20 30 CCEDMN C(=NNC1=NCCN1)c1cccc2c1OCCCO2 ZINC000841658681 613223094 /nfs/dbraw/zinc/22/30/94/613223094.db2.gz HNESMDLGGWKYMA-UHFFFAOYSA-N 0 1 260.297 0.731 20 30 CCEDMN C(=NNc1ccc(-n2cccn2)nn1)c1cnccn1 ZINC000807963665 613224133 /nfs/dbraw/zinc/22/41/33/613224133.db2.gz FYAMHJKAEMWDCS-UHFFFAOYSA-N 0 1 266.268 0.898 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@H]2CCC(=O)N2)C(C)(C)C1 ZINC000941093093 613225720 /nfs/dbraw/zinc/22/57/20/613225720.db2.gz JDALGBQDDUUCNV-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2c[nH]c(=O)cn2)C(C)(C)C1 ZINC000940985748 613231697 /nfs/dbraw/zinc/23/16/97/613231697.db2.gz CBCUBNNVBQVPFS-GFCCVEGCSA-N 0 1 288.351 0.233 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(C(N)=O)co2)[C@H](C)C1 ZINC000942717796 613232029 /nfs/dbraw/zinc/23/20/29/613232029.db2.gz AJPTXDXCCJPFJV-ZYHUDNBSSA-N 0 1 289.335 0.452 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ccc3n[nH]nc3n2)C[C@H]1C ZINC000947370491 613236606 /nfs/dbraw/zinc/23/66/06/613236606.db2.gz UNLINMWVGBDTEV-GHMZBOCLSA-N 0 1 298.350 0.569 20 30 CCEDMN C=C(Br)CNC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000120678758 613238606 /nfs/dbraw/zinc/23/86/06/613238606.db2.gz ZVOFJBANEKLZED-UHFFFAOYSA-N 0 1 275.062 0.956 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cccnn2)[C@H](C)C1 ZINC000943743569 613238759 /nfs/dbraw/zinc/23/87/59/613238759.db2.gz BBUVIEVTCGYHDA-VXGBXAGGSA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(C)cn2)C[C@@H]1C ZINC000947200361 613239843 /nfs/dbraw/zinc/23/98/43/613239843.db2.gz KRQOBLCRGPNVMH-NWDGAFQWSA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CC)nn2)C(C)(C)C1 ZINC000941134561 613240441 /nfs/dbraw/zinc/24/04/41/613240441.db2.gz YQLSRNMYGREAQY-CYBMUJFWSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2ncccn2)[C@@H](C)C1 ZINC000943737621 613244003 /nfs/dbraw/zinc/24/40/03/613244003.db2.gz DCIAAWWJUHWRBY-NWDGAFQWSA-N 0 1 258.325 0.550 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2CN(C)CCO2)C1 ZINC000949830269 613245639 /nfs/dbraw/zinc/24/56/39/613245639.db2.gz HROGZHQSMUGCMF-OAHLLOKOSA-N 0 1 295.427 0.816 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2c[nH]cn2)C1 ZINC000968360675 613247647 /nfs/dbraw/zinc/24/76/47/613247647.db2.gz KTDIOFYWAZMJGT-AAEUAGOBSA-N 0 1 260.341 0.412 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2CC(=O)N(CC)C2)CC1 ZINC000948619612 613259950 /nfs/dbraw/zinc/25/99/50/613259950.db2.gz OBOWEHOLIBROBY-AWEZNQCLSA-N 0 1 293.411 0.965 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@H]2C[C@H]2C(=O)N(C)C)CC1 ZINC000950527840 613260622 /nfs/dbraw/zinc/26/06/22/613260622.db2.gz JPPDJWXNUIEGBR-KGLIPLIRSA-N 0 1 293.411 0.821 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(C)CCNC2=O)C(C)(C)C1 ZINC000941029383 613263493 /nfs/dbraw/zinc/26/34/93/613263493.db2.gz UQNIBXTYXSIRHV-LRDDRELGSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C(N)=O)co2)C[C@@H]1C ZINC000947709558 613265444 /nfs/dbraw/zinc/26/54/44/613265444.db2.gz DUMTZCMIBPRMHW-JQWIXIFHSA-N 0 1 289.335 0.594 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3nnnn3c2)C[C@H]1C ZINC000947539679 613270318 /nfs/dbraw/zinc/27/03/18/613270318.db2.gz FZQQDPTYVGTMMW-YPMHNXCESA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn3nnnc3c2)[C@@H](C)C1 ZINC000942556235 613272384 /nfs/dbraw/zinc/27/23/84/613272384.db2.gz XZPAQSWWHBODSQ-AAEUAGOBSA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)cn2)C[C@@H]1C ZINC000947200362 613273884 /nfs/dbraw/zinc/27/38/84/613273884.db2.gz KRQOBLCRGPNVMH-RYUDHWBXSA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nn(CC)nc2C)C[C@@H]1C ZINC000948100099 613278491 /nfs/dbraw/zinc/27/84/91/613278491.db2.gz PFVMMLJIPUWZCJ-AAEUAGOBSA-N 0 1 289.383 0.822 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cncnc2)C(C)(C)C1 ZINC000974524641 613281352 /nfs/dbraw/zinc/28/13/52/613281352.db2.gz MAVXQFFECCXISY-CYBMUJFWSA-N 0 1 272.352 0.479 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)[C@@H]2COCCN2CC)C(C)(C)C1 ZINC000974754776 613281993 /nfs/dbraw/zinc/28/19/93/613281993.db2.gz GRQSCKVQMRADRW-UONOGXRCSA-N 0 1 293.411 0.167 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2cccc(=O)[nH]2)C(C)(C)C1 ZINC000973007334 613283810 /nfs/dbraw/zinc/28/38/10/613283810.db2.gz XJWAFHQQYVXORD-GFCCVEGCSA-N 0 1 273.336 0.861 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)C23CCC(CC2)CC3)C1 ZINC000958717796 613285582 /nfs/dbraw/zinc/28/55/82/613285582.db2.gz RHDJSDXQWMIPCZ-KRIMKBQASA-N 0 1 290.407 0.999 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c[nH]nc2C(C)C)C1 ZINC000958202319 613285709 /nfs/dbraw/zinc/28/57/09/613285709.db2.gz CTFGCVBAWVPUCD-WCQYABFASA-N 0 1 290.367 0.189 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(F)cncc2F)C1 ZINC000958100883 613285715 /nfs/dbraw/zinc/28/57/15/613285715.db2.gz IKODEGFZUFPJNN-JOYOIKCWSA-N 0 1 295.289 0.016 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccn2C(C)C)C1 ZINC000958682791 613286670 /nfs/dbraw/zinc/28/66/70/613286670.db2.gz CUKCUPWGXOPZNM-DZGCQCFKSA-N 0 1 289.379 0.725 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccn2CC=C)C1 ZINC000958138062 613286785 /nfs/dbraw/zinc/28/67/85/613286785.db2.gz CGCIZUUNZIHJHJ-DZGCQCFKSA-N 0 1 287.363 0.330 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc3[nH]ccc3c2)C1 ZINC000958363494 613286902 /nfs/dbraw/zinc/28/69/02/613286902.db2.gz BYWCTPMCFCTOTC-GOEBONIOSA-N 0 1 297.358 0.824 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2occ3c2CCC3)C1 ZINC000958292312 613287686 /nfs/dbraw/zinc/28/76/86/613287686.db2.gz WPWHHYZMYNFEEI-GXTWGEPZSA-N 0 1 288.347 0.424 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)C2CCCCC2)[C@H](O)C1 ZINC000957820463 613288293 /nfs/dbraw/zinc/28/82/93/613288293.db2.gz GHUCBZLUEUJFKK-ZIAGYGMSSA-N 0 1 264.369 0.609 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)cc(C)n2)[C@H](O)C1 ZINC000958199283 613288490 /nfs/dbraw/zinc/28/84/90/613288490.db2.gz GPQSYKRYQAELKC-UKRRQHHQSA-N 0 1 287.363 0.354 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(C)cc2F)[C@H](O)C1 ZINC000958422435 613289198 /nfs/dbraw/zinc/28/91/98/613289198.db2.gz FBSFCMPQOWYASP-IUODEOHRSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccn(C)c2CC)[C@H](O)C1 ZINC000958407844 613289698 /nfs/dbraw/zinc/28/96/98/613289698.db2.gz AAHVEVGSAQWYKC-IUODEOHRSA-N 0 1 289.379 0.243 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2nccc3[nH]ccc32)[C@H](O)C1 ZINC000958403961 613290538 /nfs/dbraw/zinc/29/05/38/613290538.db2.gz LDNWNDDQJWMKHF-BXUZGUMPSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cc(C)sn2)C1 ZINC000958009726 613294523 /nfs/dbraw/zinc/29/45/23/613294523.db2.gz IYAOYGWKWGGCAD-JQWIXIFHSA-N 0 1 279.365 0.107 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccc3c[nH]nc32)C1 ZINC000958313291 613294899 /nfs/dbraw/zinc/29/48/99/613294899.db2.gz MNCYYHADEFBTPJ-JSGCOSHPSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(C)cc2F)C1 ZINC000958422437 613295224 /nfs/dbraw/zinc/29/52/24/613295224.db2.gz FBSFCMPQOWYASP-WFASDCNBSA-N 0 1 290.338 0.790 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2oc(C)cc2C)C1 ZINC000957946683 613296072 /nfs/dbraw/zinc/29/60/72/613296072.db2.gz AKOAPJHALMKJRU-STQMWFEESA-N 0 1 276.336 0.552 20 30 CCEDMN C#CCN1C[C@H](NC(=O)C2CCOCC2)C(C)(C)C1 ZINC000972737896 613296794 /nfs/dbraw/zinc/29/67/94/613296794.db2.gz UFXPRPRXMJAUAR-ZDUSSCGKSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)C(C)(C)C1 ZINC000975023775 613297710 /nfs/dbraw/zinc/29/77/10/613297710.db2.gz ABEALEQRPQGBOM-STQMWFEESA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2ccccc2=O)C(C)(C)C1 ZINC000977566512 613298128 /nfs/dbraw/zinc/29/81/28/613298128.db2.gz LEAVHBCSYTXGBQ-ZDUSSCGKSA-N 0 1 287.363 0.308 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2[nH]c(C)cc2C)C1 ZINC000958186524 613302337 /nfs/dbraw/zinc/30/23/37/613302337.db2.gz BYMXASALNRGHHH-OLZOCXBDSA-N 0 1 275.352 0.287 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2c(F)cccc2F)C1 ZINC000958623270 613302471 /nfs/dbraw/zinc/30/24/71/613302471.db2.gz RALGRSWDZYNNRG-MFKMUULPSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(F)s2)C1 ZINC000958739454 613303123 /nfs/dbraw/zinc/30/31/23/613303123.db2.gz BRFIVYDOEFNJSJ-ZJUUUORDSA-N 0 1 282.340 0.543 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2nc(Cl)cs2)C1 ZINC000958328674 613304617 /nfs/dbraw/zinc/30/46/17/613304617.db2.gz KYPLHVJOUHISRB-BDAKNGLRSA-N 0 1 299.783 0.452 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@@H]2CN(C)C(=O)N2)CC1 ZINC000981751475 613310311 /nfs/dbraw/zinc/31/03/11/613310311.db2.gz SMBOZDQRPUTSJJ-LBPRGKRZSA-N 0 1 280.372 0.120 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccncc1Cl ZINC000961783213 613314207 /nfs/dbraw/zinc/31/42/07/613314207.db2.gz ZBBHCETWWMPHNF-JYAVWHMHSA-N 0 1 289.766 0.957 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCc2cccnc21 ZINC000961631210 613315418 /nfs/dbraw/zinc/31/54/18/613315418.db2.gz ZMYCUGAYCNODQT-NYTXWWLZSA-N 0 1 281.359 0.791 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC000961225084 613316009 /nfs/dbraw/zinc/31/60/09/613316009.db2.gz IRUXYRCCJQRJLY-PKCACUDHSA-N 0 1 274.364 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(C2CC2)n[nH]1 ZINC000961880572 613318245 /nfs/dbraw/zinc/31/82/45/613318245.db2.gz HNGHHIYEWJMPKL-YABSGUDNSA-N 0 1 270.336 0.580 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(=O)[nH]c1 ZINC000962447744 613319060 /nfs/dbraw/zinc/31/90/60/613319060.db2.gz GTXQQTNGUSHKSB-PJXYFTJBSA-N 0 1 257.293 0.080 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2nc[nH]c2n1 ZINC000960512287 613319753 /nfs/dbraw/zinc/31/97/53/613319753.db2.gz BTSGPXUUHYMLOT-IWIIMEHWSA-N 0 1 281.319 0.251 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccnc(NC(C)=O)c1 ZINC000962093382 613320060 /nfs/dbraw/zinc/32/00/60/613320060.db2.gz RIBBCCWYPGYZCW-NHAGDIPZSA-N 0 1 298.346 0.333 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)nc1C(F)F ZINC000960303046 613320992 /nfs/dbraw/zinc/32/09/92/613320992.db2.gz JYINSOPZODZYEZ-JZYVYDRUSA-N 0 1 294.305 0.651 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncc(OC)cc1F ZINC000960204459 613321745 /nfs/dbraw/zinc/32/17/45/613321745.db2.gz QZNKYOQTDYQRBZ-PJXYFTJBSA-N 0 1 289.310 0.523 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc(C)c(C)nc1C ZINC000960955581 613321827 /nfs/dbraw/zinc/32/18/27/613321827.db2.gz WJFNQTYLDKUTQA-NHAGDIPZSA-N 0 1 284.363 0.695 20 30 CCEDMN C=C(C)CN1CCO[C@@]2(CCN(C(=O)c3ccn[nH]3)C2)C1 ZINC000972274724 613322750 /nfs/dbraw/zinc/32/27/50/613322750.db2.gz JOBVGGHZNSPQOF-HNNXBMFYSA-N 0 1 290.367 0.903 20 30 CCEDMN C#CCNC(=O)C[N@@H+]1CCC[C@@H](C(C)(C)C(=O)[O-])C1 ZINC000135039036 613328348 /nfs/dbraw/zinc/32/83/48/613328348.db2.gz AKMBSBIQKRUVDE-LLVKDONJSA-N 0 1 266.341 0.559 20 30 CCEDMN C#CCNC(=O)CN1CCN[C@@H](c2ccc(OC)cc2)C1 ZINC000879518640 613330152 /nfs/dbraw/zinc/33/01/52/613330152.db2.gz KWOZHNKYHYCINM-OAHLLOKOSA-N 0 1 287.363 0.391 20 30 CCEDMN C#CCNC(=O)CN[C@@H](C(C)C)[C@H](O)C(F)(F)F ZINC000852036043 613330687 /nfs/dbraw/zinc/33/06/87/613330687.db2.gz SLZAJJPSOURSSV-UWVGGRQHSA-N 0 1 266.263 0.273 20 30 CCEDMN C=C(C)CONC(=O)NCCN1CCCOCC1 ZINC000845607182 613353283 /nfs/dbraw/zinc/35/32/83/613353283.db2.gz ZJMGDHONQZZTMQ-UHFFFAOYSA-N 0 1 257.334 0.516 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CC(CN2CCN(C)CC2)C1 ZINC000917897876 613353997 /nfs/dbraw/zinc/35/39/97/613353997.db2.gz FIWQHOUVYMXRNF-UHFFFAOYSA-N 0 1 287.429 0.072 20 30 CCEDMN C=C(C)C[C@@H]1NC(=O)N(C[C@H]2CN3CCC[C@@H]3CO2)C1=O ZINC000865301444 613357102 /nfs/dbraw/zinc/35/71/02/613357102.db2.gz MCQXAUYNOFRKAP-UPJWGTAASA-N 0 1 293.367 0.736 20 30 CCEDMN C=C(C)C[C@H](NC(=O)c1cnn[nH]1)C(=O)OCC ZINC000881752007 613359220 /nfs/dbraw/zinc/35/92/20/613359220.db2.gz NOBYRTJISYWRSU-QMMMGPOBSA-N 0 1 252.274 0.432 20 30 CCEDMN C=C(C)C[C@H]1NC(=O)N(C[C@@H]2CCC[N@@H+]2C)C1=O ZINC000925294232 613359970 /nfs/dbraw/zinc/35/99/70/613359970.db2.gz SYAZZTMHOUTICV-WDEREUQCSA-N 0 1 251.330 0.967 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1CN2CCN1CCC2 ZINC000908028026 613361540 /nfs/dbraw/zinc/36/15/40/613361540.db2.gz LDPJUXFQXUNRLV-KKUMJFAQSA-N 0 1 293.411 0.474 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000839751015 613361899 /nfs/dbraw/zinc/36/18/99/613361899.db2.gz SUYTULBSUPRDPG-DBIOUOCHSA-N 0 1 254.286 0.749 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N(C)C[C@H](C)c1nn[n-]n1 ZINC000907349341 613363452 /nfs/dbraw/zinc/36/34/52/613363452.db2.gz FEDWIXFZZDXTHW-JTQLQIEISA-N 0 1 266.349 0.270 20 30 CCEDMN C=C(CO)C(=O)N1CCN(CCOC)CC1(C)C ZINC000846561656 613367115 /nfs/dbraw/zinc/36/71/15/613367115.db2.gz KCRLEXDWJGCYBO-UHFFFAOYSA-N 0 1 256.346 0.104 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2(C(N)=O)CC2)C1 ZINC000969786450 613384299 /nfs/dbraw/zinc/38/42/99/613384299.db2.gz IGPYKNBPGSWGOH-SECBINFHSA-N 0 1 271.748 0.195 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)n2cncn2)C1 ZINC000968451154 613385012 /nfs/dbraw/zinc/38/50/12/613385012.db2.gz CZQAGIUOWCNHEE-GHMZBOCLSA-N 0 1 283.763 0.782 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(C(N)=O)co2)C1 ZINC000969911915 613385646 /nfs/dbraw/zinc/38/56/46/613385646.db2.gz DBVJZVXXYVHSNJ-SNVBAGLBSA-N 0 1 297.742 0.935 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000876546127 613387182 /nfs/dbraw/zinc/38/71/82/613387182.db2.gz ZFYBPWGLRZIKQO-DTWKUNHWSA-N 0 1 280.777 0.102 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(C)[C@H]1CCS(=O)(=O)C1 ZINC000876546128 613389039 /nfs/dbraw/zinc/38/90/39/613389039.db2.gz ZFYBPWGLRZIKQO-IUCAKERBSA-N 0 1 280.777 0.102 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N1CCS(=O)(=O)C[C@H]1C ZINC000876670128 613389457 /nfs/dbraw/zinc/38/94/57/613389457.db2.gz GYFONMKOTZIEHX-BDAKNGLRSA-N 0 1 280.777 0.102 20 30 CCEDMN C=CC[C@@H](CO)C[NH2+]Cc1ccc(-c2nn[n-]n2)o1 ZINC000823888823 613402999 /nfs/dbraw/zinc/40/29/99/613402999.db2.gz XVWIJUGFKYEURJ-SECBINFHSA-N 0 1 263.301 0.734 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000878046975 613411587 /nfs/dbraw/zinc/41/15/87/613411587.db2.gz DCJCPIHKOAAQRO-MGPQQGTHSA-N 0 1 282.384 0.635 20 30 CCEDMN C=CCn1cc(CNC2CCN(OCC)CC2)nn1 ZINC000926616746 613435356 /nfs/dbraw/zinc/43/53/56/613435356.db2.gz VLMTWZUQLHPOGZ-UHFFFAOYSA-N 0 1 265.361 0.970 20 30 CCEDMN C=CCn1nnn(CN2CC[N@H+](C)C[C@H]2CC)c1=S ZINC000840092132 613439468 /nfs/dbraw/zinc/43/94/68/613439468.db2.gz RXKBDVKCXSZEKI-LLVKDONJSA-N 0 1 282.417 0.979 20 30 CCEDMN C=C[C@@H](C)ONC(=O)N[C@@H]1CCN(CCOC)C1 ZINC000845630633 613446823 /nfs/dbraw/zinc/44/68/23/613446823.db2.gz LHRBZRHHQFENNK-GHMZBOCLSA-N 0 1 257.334 0.512 20 30 CCEDMN C=C[C@@H](O)C(=O)N(CCC(=O)[O-])C[C@H]1CCC[N@@H+]1CC ZINC000911283168 613449361 /nfs/dbraw/zinc/44/93/61/613449361.db2.gz AYTHPVLSQBZZAB-VXGBXAGGSA-N 0 1 284.356 0.321 20 30 CCEDMN C=CCC1(NC(=O)C2CN([C@@H]3CCOC3)C2)CCOCC1 ZINC000912456874 613457526 /nfs/dbraw/zinc/45/75/26/613457526.db2.gz RIMJFSOEOUXDGW-CQSZACIVSA-N 0 1 294.395 0.949 20 30 CCEDMN C=CCC1(O)CCN([C@H](C)C(=O)NC(=O)NC2CC2)CC1 ZINC000886759576 613460738 /nfs/dbraw/zinc/46/07/38/613460738.db2.gz KEKYEGOUQQPPJH-LLVKDONJSA-N 0 1 295.383 0.766 20 30 CCEDMN C=CCC1(O)CCN([C@@H](C)C(=O)NC(=O)NC2CC2)CC1 ZINC000886759577 613460881 /nfs/dbraw/zinc/46/08/81/613460881.db2.gz KEKYEGOUQQPPJH-NSHDSACASA-N 0 1 295.383 0.766 20 30 CCEDMN C=CCCCC(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000913495223 613464408 /nfs/dbraw/zinc/46/44/08/613464408.db2.gz IJZCDLIVNHKFIN-SECBINFHSA-N 0 1 251.290 0.456 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCc1nc(CSC)n[nH]1 ZINC000894601293 613465634 /nfs/dbraw/zinc/46/56/34/613465634.db2.gz AYONJOQNSLTEOK-BDAKNGLRSA-N 0 1 282.369 0.875 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCc1nnc(CSC)[nH]1 ZINC000894601293 613465637 /nfs/dbraw/zinc/46/56/37/613465637.db2.gz AYONJOQNSLTEOK-BDAKNGLRSA-N 0 1 282.369 0.875 20 30 CCEDMN C=C[C@H](C(=O)OC)N1C[C@@H]2CCC[C@]2(C(N)=O)C1 ZINC000933758124 613482556 /nfs/dbraw/zinc/48/25/56/613482556.db2.gz SRIPKUHIJVMRMZ-CWSCBRNRSA-N 0 1 252.314 0.301 20 30 CCEDMN C=CCC[N@H+]1CCCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000981555610 613499223 /nfs/dbraw/zinc/49/92/23/613499223.db2.gz WZSGWMFKDXSDRC-UHFFFAOYSA-N 0 1 293.327 0.007 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H](C)N2C(=O)CCC2=O)CC1 ZINC000948615003 613504957 /nfs/dbraw/zinc/50/49/57/613504957.db2.gz QPFKCYZZTQGVTC-GFCCVEGCSA-N 0 1 293.367 0.244 20 30 CCEDMN CC#CCCCC(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC000913496735 613520517 /nfs/dbraw/zinc/52/05/17/613520517.db2.gz BDVACOULKPIEGC-JTQLQIEISA-N 0 1 263.301 0.293 20 30 CCEDMN CC#CCN(C)C(=O)NCc1nc(CSC)n[nH]1 ZINC000891088451 613523530 /nfs/dbraw/zinc/52/35/30/613523530.db2.gz ZJSNFINVDQJXRI-UHFFFAOYSA-N 0 1 267.358 0.832 20 30 CCEDMN CC#CCN(C)C(=O)NCc1nnc(CSC)[nH]1 ZINC000891088451 613523531 /nfs/dbraw/zinc/52/35/31/613523531.db2.gz ZJSNFINVDQJXRI-UHFFFAOYSA-N 0 1 267.358 0.832 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC000969874949 613531259 /nfs/dbraw/zinc/53/12/59/613531259.db2.gz XKJJXEPGILMLRJ-DYEKYZERSA-N 0 1 280.368 0.250 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CCCOC2)C1 ZINC000969391387 613531288 /nfs/dbraw/zinc/53/12/88/613531288.db2.gz JRIDGNIABAMREW-OLZOCXBDSA-N 0 1 264.369 0.873 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2cnc3n2CCC3)C1 ZINC000969870667 613539898 /nfs/dbraw/zinc/53/98/98/613539898.db2.gz ZWFRYOXWOIQIGU-LBPRGKRZSA-N 0 1 286.379 0.903 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@H](O)C(C)C)C2)C1 ZINC000981747824 613543150 /nfs/dbraw/zinc/54/31/50/613543150.db2.gz MIIUUVXVSDUADP-CQSZACIVSA-N 0 1 278.396 0.951 20 30 CCEDMN C=CCCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCS(=O)(=O)C1 ZINC000960891765 613565347 /nfs/dbraw/zinc/56/53/47/613565347.db2.gz BNDOIYZHMVUCKM-WUHRBBMRSA-N 0 1 298.408 0.044 20 30 CCEDMN C=CCCO[N-]C(=O)[C@H]1CC(=O)N(CC[NH+](C)C)C1 ZINC000856031998 613577227 /nfs/dbraw/zinc/57/72/27/613577227.db2.gz GSQSBKDMPKTSRF-NSHDSACASA-N 0 1 269.345 0.020 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000913743288 613578982 /nfs/dbraw/zinc/57/89/82/613578982.db2.gz OFYZLNXTBKUCDS-SNVBAGLBSA-N 0 1 279.344 0.887 20 30 CCEDMN C=CCC[C@@H](O)CN1C[C@@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000933729526 613586669 /nfs/dbraw/zinc/58/66/69/613586669.db2.gz WPWMRQRHYOTASU-GRYCIOLGSA-N 0 1 259.371 0.290 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)CN2CCCC2=O)C1 ZINC000965001302 613590761 /nfs/dbraw/zinc/59/07/61/613590761.db2.gz PZTONZASTGQLPH-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCC[C@H](O)C[N@@H+]1CCO[C@H]([C@H](C)NC(=O)[O-])C1 ZINC000823496298 613591137 /nfs/dbraw/zinc/59/11/37/613591137.db2.gz HLYBNJNPARSGEK-SRVKXCTJSA-N 0 1 272.345 0.670 20 30 CCEDMN C=CCC[C@H](O)C[N@H+]1CCO[C@H]([C@H](C)NC(=O)[O-])C1 ZINC000823496298 613591141 /nfs/dbraw/zinc/59/11/41/613591141.db2.gz HLYBNJNPARSGEK-SRVKXCTJSA-N 0 1 272.345 0.670 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccnn2C)C1 ZINC000965964344 613592974 /nfs/dbraw/zinc/59/29/74/613592974.db2.gz PGQRKRMRIJCVTL-DZGCQCFKSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](C)[C@H](NC(=O)c2ncn[n-]2)C1 ZINC000968439893 613595365 /nfs/dbraw/zinc/59/53/65/613595365.db2.gz OHDPVMLLOXTANE-WDEREUQCSA-N 0 1 261.329 0.268 20 30 CCEDMN C=CCCn1cc(C(=O)OCc2n[nH]c(CC)n2)nn1 ZINC000820577401 613597418 /nfs/dbraw/zinc/59/74/18/613597418.db2.gz FEPTWPSVZZFZET-UHFFFAOYSA-N 0 1 276.300 0.892 20 30 CCEDMN C=CCN(C(=O)[C@@H](O)c1c[nH]cn1)[C@@H](C)COC ZINC000869237373 613606549 /nfs/dbraw/zinc/60/65/49/613606549.db2.gz GBUWPFFKWBAXND-ONGXEEELSA-N 0 1 253.302 0.493 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CN2CCOCC2)C(C)(C)C1 ZINC000973056201 613608639 /nfs/dbraw/zinc/60/86/39/613608639.db2.gz GOPIDWCOFFEBMM-CQSZACIVSA-N 0 1 293.411 0.169 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c(C)coc2C)C1 ZINC000958040975 613613084 /nfs/dbraw/zinc/61/30/84/613613084.db2.gz HHHGITZMOXCUQT-UONOGXRCSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2conc2CC)C1 ZINC000958389967 613614065 /nfs/dbraw/zinc/61/40/65/613614065.db2.gz MVCJTCHINRXLEF-SMDDNHRTSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)C2=COCCC2)[C@H](O)C1 ZINC000957927261 613614791 /nfs/dbraw/zinc/61/47/91/613614791.db2.gz PZCVPBNZNLRTHQ-ZIAGYGMSSA-N 0 1 278.352 0.113 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cnoc2CC)[C@H](O)C1 ZINC000958445110 613615445 /nfs/dbraw/zinc/61/54/45/613615445.db2.gz VBKGBHCJYJMTGE-DGCLKSJQSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cncc(C)c2)[C@H](O)C1 ZINC000957953718 613615635 /nfs/dbraw/zinc/61/56/35/613615635.db2.gz QOCUWTNOZFNBHN-HUUCEWRRSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccncc2F)[C@H](O)C1 ZINC000957940094 613615943 /nfs/dbraw/zinc/61/59/43/613615943.db2.gz OIFXKFIBIXPDBU-BXUZGUMPSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(C)CCOCC1 ZINC000962042742 613632670 /nfs/dbraw/zinc/63/26/70/613632670.db2.gz MNQKAWHIEWHNJD-WDNDVIMCSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1c(C)n[nH]c1C ZINC000962528512 613634202 /nfs/dbraw/zinc/63/42/02/613634202.db2.gz RXKQBEXBGFNEKZ-FOLVSLTJSA-N 0 1 286.379 0.639 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCn2nccc21 ZINC000960654710 613637662 /nfs/dbraw/zinc/63/76/62/613637662.db2.gz LPVLRLVOFUHIJA-TTZDDIAXSA-N 0 1 298.390 0.830 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnn1 ZINC000962110225 613641710 /nfs/dbraw/zinc/64/17/10/613641710.db2.gz HXSYHQRLTZDUDB-PJXYFTJBSA-N 0 1 256.309 0.160 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncnc1C ZINC000958314407 613642185 /nfs/dbraw/zinc/64/21/85/613642185.db2.gz GEMWDFRNYFVBJK-WDNDVIMCSA-N 0 1 270.336 0.468 20 30 CCEDMN CC#CCOC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000859924568 613645582 /nfs/dbraw/zinc/64/55/82/613645582.db2.gz UFZHLANKUNBTCW-UHFFFAOYSA-N 0 1 268.294 0.633 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1C[C@@H]2COC(=O)[C@@H]2C1 ZINC000860158812 613648161 /nfs/dbraw/zinc/64/81/61/613648161.db2.gz RLLHFVUEALMKQL-JHJVBQTASA-N 0 1 278.352 0.680 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC000817681059 613648188 /nfs/dbraw/zinc/64/81/88/613648188.db2.gz KONQJWIIHRLILD-NEPJUHHUSA-N 0 1 266.341 0.982 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)[C@@H]1c2cn[nH]c2CC[C@@H]1C ZINC000880442148 613652011 /nfs/dbraw/zinc/65/20/11/613652011.db2.gz UGRCNIAPCJMVFP-WDMOLILDSA-N 0 1 275.352 0.966 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC000970705025 613662342 /nfs/dbraw/zinc/66/23/42/613662342.db2.gz KYGLNRWFFCUZSO-CHWSQXEVSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2c(C)nc[nH]c2=O)C1 ZINC000970311409 613663559 /nfs/dbraw/zinc/66/35/59/613663559.db2.gz AIUOGIFVTWMANV-SECBINFHSA-N 0 1 276.340 0.727 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cnc(C)n2C)C1 ZINC000970329468 613666961 /nfs/dbraw/zinc/66/69/61/613666961.db2.gz RAPWFZFXFRGTRO-SNVBAGLBSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cn(C)nc2COC)C1 ZINC000969989739 613672903 /nfs/dbraw/zinc/67/29/03/613672903.db2.gz AWAFKEIDFRYVSV-NSHDSACASA-N 0 1 292.383 0.803 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2nnn(C)c2C)C1 ZINC000969433028 613674299 /nfs/dbraw/zinc/67/42/99/613674299.db2.gz GZLDWFGQAZOLKM-VIFPVBQESA-N 0 1 263.345 0.360 20 30 CCEDMN C=CCN1CCN(CN2CCN(C)C[C@@H]2CC)C1=O ZINC000840090766 613720172 /nfs/dbraw/zinc/72/01/72/613720172.db2.gz GOGXVBWICMZYIT-ZDUSSCGKSA-N 0 1 266.389 0.894 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCO[C@@H]2CCOC2)C1=O ZINC000930310780 613738457 /nfs/dbraw/zinc/73/84/57/613738457.db2.gz OYJDGTGKSVVHJY-CHWSQXEVSA-N 0 1 268.357 0.511 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H]2CCC3(COC3)O2)C1=O ZINC000930310540 613738469 /nfs/dbraw/zinc/73/84/69/613738469.db2.gz IDNFIWJHJDKONN-CHWSQXEVSA-N 0 1 280.368 0.653 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H]2CCCN2C(C)=O)C1=O ZINC000960352986 613738571 /nfs/dbraw/zinc/73/85/71/613738571.db2.gz AGXODAQFKNQUEO-ZIAGYGMSSA-N 0 1 279.384 0.716 20 30 CCEDMN CC(=O)NCC[C@H]1CCCN(CC(=O)NCCC#N)C1 ZINC000805822447 613745269 /nfs/dbraw/zinc/74/52/69/613745269.db2.gz NHKGYFVQIHOESA-CYBMUJFWSA-N 0 1 280.372 0.254 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cn2cncn2)C1 ZINC000968614771 613767603 /nfs/dbraw/zinc/76/76/03/613767603.db2.gz NHVBITJULCCQBX-RYUDHWBXSA-N 0 1 263.345 0.291 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC000968661250 613768161 /nfs/dbraw/zinc/76/81/61/613768161.db2.gz WLTNYJIVYNQDAK-GWCFXTLKSA-N 0 1 290.367 0.740 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)c2cn(C)c(=O)cn2)C1 ZINC000967415836 613774689 /nfs/dbraw/zinc/77/46/89/613774689.db2.gz YFMHOIDVIWORCP-NWDGAFQWSA-N 0 1 290.367 0.407 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2ccc(=O)[nH]n2)C(C)(C)C1 ZINC000972772558 613810305 /nfs/dbraw/zinc/81/03/05/613810305.db2.gz ZILXQCGVIIHTKD-LLVKDONJSA-N 0 1 276.340 0.808 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cn2nccn2)C(C)(C)C1 ZINC000974629527 613827262 /nfs/dbraw/zinc/82/72/62/613827262.db2.gz DLLROAJRGJZEKQ-NSHDSACASA-N 0 1 263.345 0.291 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cc(=O)n(C)o2)C(C)(C)C1 ZINC000974502200 613828394 /nfs/dbraw/zinc/82/83/94/613828394.db2.gz ZHUHTWFBFDMFJD-NSHDSACASA-N 0 1 279.340 0.605 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cnn(CC)n2)C(C)(C)C1 ZINC000974576760 613829546 /nfs/dbraw/zinc/82/95/46/613829546.db2.gz UALPIPCAUMHNAD-LBPRGKRZSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCN1C[C@H](O)[C@H](CNC(=O)c2cc(C3CC3)no2)C1 ZINC000958444716 613830981 /nfs/dbraw/zinc/83/09/81/613830981.db2.gz RQXVGTDUMNNOAN-YPMHNXCESA-N 0 1 291.351 0.761 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823506929 613851228 /nfs/dbraw/zinc/85/12/28/613851228.db2.gz PIZFAUWLUUNHMV-NXEZZACHSA-N 0 1 298.343 0.071 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@@H](N(C)C(=O)[O-])C1 ZINC000823506929 613851229 /nfs/dbraw/zinc/85/12/29/613851229.db2.gz PIZFAUWLUUNHMV-NXEZZACHSA-N 0 1 298.343 0.071 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC([C@]2(C)COC(=O)N2)CC1 ZINC000932089107 613853528 /nfs/dbraw/zinc/85/35/28/613853528.db2.gz AOTNNAHFMFVNJN-ABAIWWIYSA-N 0 1 295.383 0.888 20 30 CCEDMN C=CCOC[C@H](NC(=O)NC[C@@H](C)[N@@H+](C)C1CC1)C(=O)[O-] ZINC000908934973 613882355 /nfs/dbraw/zinc/88/23/55/613882355.db2.gz CBDIHJNGVJCPOQ-PWSUYJOCSA-N 0 1 299.371 0.424 20 30 CCEDMN C=CCONC(=O)N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000838706714 613883657 /nfs/dbraw/zinc/88/36/57/613883657.db2.gz ANLOBSNLYOGKTA-NWDGAFQWSA-N 0 1 269.345 0.345 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1ccc(=O)[nH]c1 ZINC000865524206 613885807 /nfs/dbraw/zinc/88/58/07/613885807.db2.gz JJLGPXDDBYZNOJ-UHFFFAOYSA-N 0 1 256.327 0.478 20 30 CCEDMN CC(C)(C)c1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)no1 ZINC000834490080 614047318 /nfs/dbraw/zinc/04/73/18/614047318.db2.gz RSSGERHCMYOLKI-PSASIEDQSA-N 0 1 262.313 0.813 20 30 CCEDMN CC(C)CCn1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)nn1 ZINC000834490574 614062891 /nfs/dbraw/zinc/06/28/91/614062891.db2.gz VXLDWJAXJUNASE-GHMZBOCLSA-N 0 1 276.344 0.166 20 30 CCEDMN CC(C)(CN=Nc1ncnc2[n-]cnc21)[NH+]1CCOCC1 ZINC000841620360 614075765 /nfs/dbraw/zinc/07/57/65/614075765.db2.gz VZBAIQGFCIVIIL-UHFFFAOYSA-N 0 1 289.343 0.861 20 30 CCEDMN CC(=O)N[C@H](CCC(C)C)C(=O)N[C@H]1CNC[C@H]1C#N ZINC000852827319 614115929 /nfs/dbraw/zinc/11/59/29/614115929.db2.gz GLSMWRUTXCBZRF-UPJWGTAASA-N 0 1 280.372 0.155 20 30 CCEDMN CC(C)C[C@@H]1CN(C)CCN1CC(=O)NCC#N ZINC000933248667 614201742 /nfs/dbraw/zinc/20/17/42/614201742.db2.gz BWBZHEACWUEQIP-GFCCVEGCSA-N 0 1 252.362 0.288 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)C[N@H+]1CC[C@@](C)(C(=O)[O-])C1 ZINC000826677796 614285927 /nfs/dbraw/zinc/28/59/27/614285927.db2.gz ZIDYJANFTVMMAD-KGLIPLIRSA-N 0 1 281.356 0.837 20 30 CCEDMN CC(C)N(CCN(C)C)S(=O)(=O)c1ccc(C#N)cn1 ZINC000907066865 614292542 /nfs/dbraw/zinc/29/25/42/614292542.db2.gz QEIALIUDBBQPKW-UHFFFAOYSA-N 0 1 296.396 0.914 20 30 CCEDMN CC(C)C(=O)N1CCCC[C@@H]1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834489291 614353821 /nfs/dbraw/zinc/35/38/21/614353821.db2.gz LMNCRQWXNDPBEN-JHJVBQTASA-N 0 1 292.383 0.251 20 30 CCEDMN CCCc1cc(NC(=O)N[C@@H]2CNC[C@H]2C#N)n(C)n1 ZINC000841171023 614476216 /nfs/dbraw/zinc/47/62/16/614476216.db2.gz MQSFKGDPLYGJMD-MWLCHTKSSA-N 0 1 276.344 0.606 20 30 CCEDMN CCCCn1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c(C)n1 ZINC000834499315 614493740 /nfs/dbraw/zinc/49/37/40/614493740.db2.gz HCDFAWOWMKSBRG-AAEUAGOBSA-N 0 1 275.356 0.833 20 30 CCEDMN CCC(=O)N[C@@H](CCSC)C(=O)NCC#CCN(C)C ZINC000913517581 614858880 /nfs/dbraw/zinc/85/88/80/614858880.db2.gz HFBVNXANSGJMFN-LBPRGKRZSA-N 0 1 299.440 0.316 20 30 CCEDMN CCN(CC#N)C(=O)c1nnc2ccccc2c1O ZINC000118319805 614923358 /nfs/dbraw/zinc/92/33/58/614923358.db2.gz TVOJZCFWMSHJCS-UHFFFAOYSA-N 0 1 256.265 0.909 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)[C@@H]1CC[C@H]1OC ZINC000934124399 615021277 /nfs/dbraw/zinc/02/12/77/615021277.db2.gz HSERXLKTVVOSLI-VXGBXAGGSA-N 0 1 253.346 0.858 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@H]1c1nnc[nH]1 ZINC000931134913 615061385 /nfs/dbraw/zinc/06/13/85/615061385.db2.gz QGSOUAYIJOUEID-RYUDHWBXSA-N 0 1 290.371 0.950 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C1CN([C@H]2CCOC2)C1 ZINC000895858736 615064292 /nfs/dbraw/zinc/06/42/92/615064292.db2.gz QSFKINDWPHFUCM-YPMHNXCESA-N 0 1 265.357 0.715 20 30 CCEDMN CCN1CC(N2CCN(C(=O)c3cc(C#N)c[nH]3)CC2)C1 ZINC000941365630 615088005 /nfs/dbraw/zinc/08/80/05/615088005.db2.gz DILAFIVOAXBCTD-UHFFFAOYSA-N 0 1 287.367 0.348 20 30 CCEDMN CCOC(=O)CNC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000876575991 615193260 /nfs/dbraw/zinc/19/32/60/615193260.db2.gz AILTUCZYWSUWNC-CQSZACIVSA-N 0 1 287.319 0.424 20 30 CCEDMN CCOC(=O)N1C[C@H](CO)[C@H](NCc2ccc(C#N)o2)C1 ZINC000898356251 615239844 /nfs/dbraw/zinc/23/98/44/615239844.db2.gz MPQLRLAKBRFRBS-ZWNOBZJWSA-N 0 1 293.323 0.690 20 30 CCEDMN CCOCCC[N@@H+]1CCC(N=NC2=NCC(=O)[N-]2)[C@@H](C)C1 ZINC000863175261 615241568 /nfs/dbraw/zinc/24/15/68/615241568.db2.gz YOMYXHOGOONSOT-NSHDSACASA-N 0 1 295.387 0.186 20 30 CCEDMN CCOCCC[N@H+]1CCC(N=NC2=NCC(=O)[N-]2)[C@@H](C)C1 ZINC000863175261 615241571 /nfs/dbraw/zinc/24/15/71/615241571.db2.gz YOMYXHOGOONSOT-NSHDSACASA-N 0 1 295.387 0.186 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)[C@@H]1CC1(F)F ZINC000835057962 615245617 /nfs/dbraw/zinc/24/56/17/615245617.db2.gz HVDTXTJUEDPEHV-BDAKNGLRSA-N 0 1 274.267 0.893 20 30 CCEDMN CCS(=O)(=O)NCCN(C)CCc1cccc(C#N)c1 ZINC000879434148 615346327 /nfs/dbraw/zinc/34/63/27/615346327.db2.gz PYGNXAFJAYRQMV-UHFFFAOYSA-N 0 1 295.408 0.972 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCCC2(CN(C(=O)[C@H](C)C#N)C2)C1 ZINC000981668605 615397486 /nfs/dbraw/zinc/39/74/86/615397486.db2.gz BRQVWDHVCVRYDB-NEPJUHHUSA-N 0 1 292.383 0.334 20 30 CCEDMN CCO[C@@H]1COCC[C@@H]1CC(=O)NCC#CCN(C)C ZINC000913524959 615419814 /nfs/dbraw/zinc/41/98/14/615419814.db2.gz DFXPEKOBSPSYNQ-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN CC[C@H](C#N)C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000896533701 615599833 /nfs/dbraw/zinc/59/98/33/615599833.db2.gz JDBLEWSWZQAGIZ-IJLUTSLNSA-N 0 1 252.362 0.287 20 30 CCEDMN CN(C)C1(C(=O)NCc2nc(C#N)cs2)CC1 ZINC000887977180 615843658 /nfs/dbraw/zinc/84/36/58/615843658.db2.gz IYCDFEVWYOAJKU-UHFFFAOYSA-N 0 1 250.327 0.725 20 30 CCEDMN CN(C)CC#CCNC(=O)COCC(=O)OC(C)(C)C ZINC000913523758 615858238 /nfs/dbraw/zinc/85/82/38/615858238.db2.gz LAAVXBPBQLUJAQ-UHFFFAOYSA-N 0 1 284.356 0.026 20 30 CCEDMN CN(C)CC#CCNC(=O)C[C@@H](O)CC(C)(C)C ZINC000913522375 615858445 /nfs/dbraw/zinc/85/84/45/615858445.db2.gz GSCCWBFKJJSOJN-GFCCVEGCSA-N 0 1 254.374 0.855 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1cnn(-c2ccccc2)n1 ZINC000930635913 615858883 /nfs/dbraw/zinc/85/88/83/615858883.db2.gz IPKBBHQVMCMALS-UHFFFAOYSA-N 0 1 298.350 0.954 20 30 CCEDMN CN(C)CC#CCNC(=O)Cn1c(=O)[nH]c2ccccc21 ZINC000913517254 615858998 /nfs/dbraw/zinc/85/89/98/615858998.db2.gz ZRKAIDXRWRAAGV-UHFFFAOYSA-N 0 1 286.335 0.423 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CNC(=O)c2ccccc21 ZINC000913524215 615859605 /nfs/dbraw/zinc/85/96/05/615859605.db2.gz DGQCDBWZFDYEEB-CQSZACIVSA-N 0 1 285.347 0.195 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(S(C)(=O)=O)cc1 ZINC000913515233 615860990 /nfs/dbraw/zinc/86/09/90/615860990.db2.gz NYXHCDOMZSDAKH-UHFFFAOYSA-N 0 1 294.376 0.385 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc(C#CCO)c1 ZINC000913524308 615861189 /nfs/dbraw/zinc/86/11/89/615861189.db2.gz HQSXYLOVRQYJGV-UHFFFAOYSA-N 0 1 270.332 0.325 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccccc1[N+](=O)[O-] ZINC000913515867 615861227 /nfs/dbraw/zinc/86/12/27/615861227.db2.gz QRAVPGPVRRLNMM-UHFFFAOYSA-N 0 1 261.281 0.890 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc([S@@](C)=O)c1 ZINC000913521431 615861476 /nfs/dbraw/zinc/86/14/76/615861476.db2.gz YZHXLKCVDVYNHT-LJQANCHMSA-N 0 1 278.377 0.719 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2[nH]c(=O)[nH]c2c1 ZINC000913516126 615861558 /nfs/dbraw/zinc/86/15/58/615861558.db2.gz CAGMCAOPMYFLCS-UHFFFAOYSA-N 0 1 272.308 0.563 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn(CC2CC2)c1 ZINC000913524896 615861621 /nfs/dbraw/zinc/86/16/21/615861621.db2.gz AWWINBWSAMDSFB-UHFFFAOYSA-N 0 1 260.341 0.588 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnn2ncccc12 ZINC000913521414 615861803 /nfs/dbraw/zinc/86/18/03/615861803.db2.gz YLHCWYFDZQNVKL-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN CN(C)[C@H](CNC(=O)NCC#CCO)c1ccc(F)cc1 ZINC000923785757 615989847 /nfs/dbraw/zinc/98/98/47/615989847.db2.gz MRJVSSWMWXQIJM-CQSZACIVSA-N 0 1 293.342 0.723 20 30 CCEDMN CN(C)c1ccncc1C(=O)N[C@H]1CCCN(O)C1=O ZINC000820476211 616030382 /nfs/dbraw/zinc/03/03/82/616030382.db2.gz JRHODIBHRHRXHJ-JTQLQIEISA-N 0 1 278.312 0.258 20 30 CCEDMN CCc1[nH]nc(Cl)c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000876801937 616033304 /nfs/dbraw/zinc/03/33/04/616033304.db2.gz KNYVOOXKHJATFB-SVRRBLITSA-N 0 1 267.720 0.467 20 30 CCEDMN CN(CCN1C(=O)c2ccccc2C1=O)C[C@H](O)CC#N ZINC000930087364 616085217 /nfs/dbraw/zinc/08/52/17/616085217.db2.gz MXYXZAOTYAMXRE-LLVKDONJSA-N 0 1 287.319 0.489 20 30 CCEDMN CN(CCN1CC2(C1)CCOCC2)C(=O)CC#N ZINC000829246934 616085585 /nfs/dbraw/zinc/08/55/85/616085585.db2.gz DNWKTNNRVRJVMA-UHFFFAOYSA-N 0 1 251.330 0.471 20 30 CCEDMN CN(CCN1CC2(C1)CCOCC2)c1c(C#N)cnn1C ZINC000866258582 616085838 /nfs/dbraw/zinc/08/58/38/616085838.db2.gz VRAOWPHEYAUEAH-UHFFFAOYSA-N 0 1 289.383 0.840 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)N[C@@H]1Cc2ccc(C#N)cc2C1 ZINC000869192697 616103708 /nfs/dbraw/zinc/10/37/08/616103708.db2.gz ZFAQJYDYRHCMMU-CYBMUJFWSA-N 0 1 296.334 0.985 20 30 CCEDMN CN(C[C@H]1CCN1C(=O)C#CC1CC1)C(=O)c1ccn[nH]1 ZINC000977423932 616155742 /nfs/dbraw/zinc/15/57/42/616155742.db2.gz QDZQFIJMMDDZCK-GFCCVEGCSA-N 0 1 286.335 0.496 20 30 CCEDMN CN1CCC[C@@H](NC(=O)N2CCO[C@](C)(C#N)C2)C1 ZINC000892241662 616189820 /nfs/dbraw/zinc/18/98/20/616189820.db2.gz XEIFXTAWHQZHRE-DGCLKSJQSA-N 0 1 266.345 0.405 20 30 CCEDMN C[N@H+]1CCC[C@@H](NC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820620663 616190285 /nfs/dbraw/zinc/19/02/85/616190285.db2.gz QNSBERRBZLKJRM-BXKDBHETSA-N 0 1 253.302 0.201 20 30 CCEDMN CN1CCC[C@H]1c1cc(C(=O)N2CCO[C@H](C#N)C2)[nH]n1 ZINC000896209297 616215147 /nfs/dbraw/zinc/21/51/47/616215147.db2.gz XLRBEPUKBHAVTL-MFKMUULPSA-N 0 1 289.339 0.541 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2coc(C#N)c2)C1 ZINC000865706212 616238667 /nfs/dbraw/zinc/23/86/67/616238667.db2.gz PKEDJZCUQFUGBA-LLVKDONJSA-N 0 1 262.313 0.127 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)CNc1ccc(C#N)cn1 ZINC000928555736 616287713 /nfs/dbraw/zinc/28/77/13/616287713.db2.gz OKROVEQVDUESPT-LLVKDONJSA-N 0 1 259.313 0.185 20 30 CCEDMN COC(=O)C1(C#N)CCN(CCC(C)(C)O)CC1 ZINC000844338509 616345583 /nfs/dbraw/zinc/34/55/83/616345583.db2.gz QIDLGHPQNZATPO-UHFFFAOYSA-N 0 1 254.330 0.926 20 30 CCEDMN COCCN1CCN(CC(=O)NCCC#N)C(C)(C)C1 ZINC000844432045 616369056 /nfs/dbraw/zinc/36/90/56/616369056.db2.gz PZIIEHPLNBUULF-UHFFFAOYSA-N 0 1 282.388 0.059 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)C#Cc2cccs2)C1 ZINC000825765268 616387430 /nfs/dbraw/zinc/38/74/30/616387430.db2.gz VZJSWDNUIYDLCG-GFCCVEGCSA-N 0 1 278.377 0.937 20 30 CCEDMN CN1CC[C@H]1CNC(=O)Nc1cccc(CC#N)n1 ZINC000932424901 616428957 /nfs/dbraw/zinc/42/89/57/616428957.db2.gz PEPGTOBSKPXDJI-NSHDSACASA-N 0 1 259.313 0.973 20 30 CCEDMN CN1CC[C@H]1CN[C@@H](C(N)=O)c1ccc(C#N)cc1 ZINC000905859992 616432490 /nfs/dbraw/zinc/43/24/90/616432490.db2.gz WXQBZIJDRHHRQB-QWHCGFSZSA-N 0 1 258.325 0.378 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N1CCC[C@@H](C#N)C1 ZINC000928211128 616471019 /nfs/dbraw/zinc/47/10/19/616471019.db2.gz DKYAVRNAMPPHEX-LOWVWBTDSA-N 0 1 251.330 0.204 20 30 CCEDMN COC1(OC)CCOC[C@@H]1NCCO[C@H](C)C#N ZINC000931674119 616477803 /nfs/dbraw/zinc/47/78/03/616477803.db2.gz DRKTUTJLOAGHQH-MNOVXSKESA-N 0 1 258.318 0.283 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)N[C@H]1CC[C@@H](CC#N)C1 ZINC000908563592 616479644 /nfs/dbraw/zinc/47/96/44/616479644.db2.gz NMRPIKFKNIDNMZ-FMSGJZPZSA-N 0 1 265.357 0.640 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)CN(C)[C@H]1CCSC1 ZINC000871343111 616544452 /nfs/dbraw/zinc/54/44/52/616544452.db2.gz ZDDXVFRKUXKNES-NSHDSACASA-N 0 1 299.396 0.339 20 30 CCEDMN COC(=O)CCN(CC#N)Cc1n[nH]c(C(C)C)n1 ZINC000879472134 616548476 /nfs/dbraw/zinc/54/84/76/616548476.db2.gz QUBMLOFFIBBFDK-UHFFFAOYSA-N 0 1 265.317 0.817 20 30 CCEDMN COC(=O)CCN1CCN(CCO[C@H](C)C#N)[C@@H](C)C1 ZINC000801939781 616555511 /nfs/dbraw/zinc/55/55/11/616555511.db2.gz SJGAYJTUZPMBER-QWHCGFSZSA-N 0 1 283.372 0.484 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)c1cc(C(F)F)[nH]n1 ZINC000900771958 616587998 /nfs/dbraw/zinc/58/79/98/616587998.db2.gz VWVZQJHTYGOVHW-UHFFFAOYSA-N 0 1 272.211 0.486 20 30 CCEDMN COCCOc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1 ZINC000834491083 616590937 /nfs/dbraw/zinc/59/09/37/616590937.db2.gz ZUCVGPVTFIVVIO-TZMCWYRMSA-N 0 1 289.335 0.553 20 30 CCEDMN COCCOc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834491078 616591088 /nfs/dbraw/zinc/59/10/88/616591088.db2.gz ZUCVGPVTFIVVIO-GXTWGEPZSA-N 0 1 289.335 0.553 20 30 CCEDMN COCCOc1ccccc1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834493108 616596930 /nfs/dbraw/zinc/59/69/30/616596930.db2.gz AOJKDJLJSHIMLI-DGCLKSJQSA-N 0 1 289.335 0.553 20 30 CCEDMN COCCS(=O)(=O)Nc1cccc(CC#N)n1 ZINC000886436140 616613644 /nfs/dbraw/zinc/61/36/44/616613644.db2.gz SHCYFPAZTBLQQZ-UHFFFAOYSA-N 0 1 255.299 0.536 20 30 CCEDMN COCC(C)(C)N1CCN(CC(=O)NCCC#N)CC1 ZINC000823460974 616721961 /nfs/dbraw/zinc/72/19/61/616721961.db2.gz ADQPLNAMURKMHJ-UHFFFAOYSA-N 0 1 282.388 0.059 20 30 CCEDMN COC(=O)N(C)CCCC(=O)NCC#CCN(C)C ZINC000913518229 616753576 /nfs/dbraw/zinc/75/35/76/616753576.db2.gz JOKMVZOMERDGJP-UHFFFAOYSA-N 0 1 269.345 0.146 20 30 CCEDMN COC(=O)c1c(C)[nH]c(C(=O)NCC#CCN(C)C)c1C ZINC000913516002 616810395 /nfs/dbraw/zinc/81/03/95/616810395.db2.gz WGNCTKLWTHQNFE-UHFFFAOYSA-N 0 1 291.351 0.713 20 30 CCEDMN COC(=O)c1cc(NC(=O)NCC#CCN(C)C)cn1C ZINC000930362749 616864793 /nfs/dbraw/zinc/86/47/93/616864793.db2.gz CGVDBCBZNRWYLJ-UHFFFAOYSA-N 0 1 292.339 0.498 20 30 CCEDMN COC(=O)c1ccc(CN=Nc2cnnn2C)n1C ZINC000814982379 616891087 /nfs/dbraw/zinc/89/10/87/616891087.db2.gz XVORINORYGOZNK-UHFFFAOYSA-N 0 1 262.273 0.386 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H]1C[C@H]2C[C@H]2C1 ZINC000850753059 616898179 /nfs/dbraw/zinc/89/81/79/616898179.db2.gz VYGCCPKLUVMYTG-QCNOEVLYSA-N 0 1 264.325 0.894 20 30 CCEDMN COCc1ccnc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000846309272 616909495 /nfs/dbraw/zinc/90/94/95/616909495.db2.gz FJRPQHIUPVVYIM-GHMZBOCLSA-N 0 1 275.312 0.461 20 30 CCEDMN COCc1csc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000852827719 616917244 /nfs/dbraw/zinc/91/72/44/616917244.db2.gz ODZKIXQDVWYCGS-ZJUUUORDSA-N 0 1 265.338 0.736 20 30 CCEDMN COCCCONC(=O)Cc1noc(C)c1C#N ZINC000805041892 616923045 /nfs/dbraw/zinc/92/30/45/616923045.db2.gz OGMQCECPORXOKN-UHFFFAOYSA-N 0 1 253.258 0.482 20 30 CCEDMN COC(=O)c1cccc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834484512 616926876 /nfs/dbraw/zinc/92/68/76/616926876.db2.gz JWULNKCFBBJQTM-QWHCGFSZSA-N 0 1 287.319 0.243 20 30 CCEDMN COCc1ncsc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834500138 616965127 /nfs/dbraw/zinc/96/51/27/616965127.db2.gz QLYKCZIYIFRYGV-JGVFFNPUSA-N 0 1 266.326 0.131 20 30 CCEDMN CON=CC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829242777 617103073 /nfs/dbraw/zinc/10/30/73/617103073.db2.gz XXBPJPGWRXSNOH-UHFFFAOYSA-N 0 1 269.345 0.189 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1c2c[nH]nc2CC[C@@H]1C ZINC001025907817 625301716 /nfs/dbraw/zinc/30/17/16/625301716.db2.gz OTAQJVHHUUGPAG-LLKOPVJYSA-N 0 1 298.390 0.755 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@@H](C)Cc2c[nH]nc21 ZINC001025971541 625313189 /nfs/dbraw/zinc/31/31/89/625313189.db2.gz JBUZVRYUKQTJFO-HSMHQDCBSA-N 0 1 298.390 0.755 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@@]12CCOC2 ZINC001026137746 625340697 /nfs/dbraw/zinc/34/06/97/625340697.db2.gz PFULKTFXJLYGDD-OJKRMQCYSA-N 0 1 274.364 0.483 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@]12CCOC2 ZINC001026137362 625340807 /nfs/dbraw/zinc/34/08/07/625340807.db2.gz ZEEKMCNCSVKKSQ-GEJOOGBSSA-N 0 1 260.337 0.093 20 30 CCEDMN Cc1cc(C(=O)N2C[C@@H]3CC[C@@H](NCC#N)[C@H]3C2)n[nH]1 ZINC001026212462 625357755 /nfs/dbraw/zinc/35/77/55/625357755.db2.gz VEDWQSICIQNDBK-SDDRHHMPSA-N 0 1 273.340 0.682 20 30 CCEDMN C[C@@H](OCC1CC1)C(=O)C(C#N)C(=O)NC1CC1 ZINC000120264182 625429079 /nfs/dbraw/zinc/42/90/79/625429079.db2.gz NSBDXUUDJRBBLB-LDYMZIIASA-N 0 1 250.298 0.789 20 30 CCEDMN C[C@](Cn1cccn1)(NCc1ccc(CC#N)cc1)C(N)=O ZINC000934218793 622597298 /nfs/dbraw/zinc/59/72/98/622597298.db2.gz BTNQLXFPSQPEQA-MRXNPFEDSA-N 0 1 297.362 0.983 20 30 CCEDMN CCc1noc(CNC[C@@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001026735417 625583108 /nfs/dbraw/zinc/58/31/08/625583108.db2.gz MZEKWRAHPWJNNI-MNOVXSKESA-N 0 1 291.355 0.730 20 30 CCEDMN Cc1cc(C=NNC2=N[C@@H]3CCCC[C@@H]3N2)n(C)n1 ZINC000841633409 622964014 /nfs/dbraw/zinc/96/40/14/622964014.db2.gz OQFLSIJWRLTKOK-TXEJJXNPSA-N 0 1 260.345 0.922 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](CNC(=O)c2nccnc2N)C1 ZINC001023281235 622978607 /nfs/dbraw/zinc/97/86/07/622978607.db2.gz UPMBZWDXRHZHSO-LBPRGKRZSA-N 0 1 287.367 0.524 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2cc(COC)on2)C1 ZINC001023634845 623089562 /nfs/dbraw/zinc/08/95/62/623089562.db2.gz UODPWMSTHGDFBP-GFCCVEGCSA-N 0 1 291.351 0.896 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H](C)C1CCOCC1 ZINC000179381800 623249813 /nfs/dbraw/zinc/24/98/13/623249813.db2.gz ALKQBRKRCAXSLW-JQWIXIFHSA-N 0 1 282.340 0.521 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@H](C)C1CCOCC1 ZINC000179381789 623250267 /nfs/dbraw/zinc/25/02/67/623250267.db2.gz ALKQBRKRCAXSLW-ZYHUDNBSSA-N 0 1 282.340 0.521 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@@H]1OC[C@@H]2CCC[C@@H]21 ZINC000892496443 623372939 /nfs/dbraw/zinc/37/29/39/623372939.db2.gz NWMZTGKXRBMLNT-KYVBTAMFSA-N 0 1 262.309 0.789 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCNC1=O ZINC001024599622 623390267 /nfs/dbraw/zinc/39/02/67/623390267.db2.gz NFTWGFOBLQBLHS-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001007454059 623643814 /nfs/dbraw/zinc/64/38/14/623643814.db2.gz VRAMQALFCYKCQG-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H](CCCC)C(=O)[O-] ZINC000328080610 623854917 /nfs/dbraw/zinc/85/49/17/623854917.db2.gz GQBJHPXGUUPEDP-LBPRGKRZSA-N 0 1 283.372 0.884 20 30 CCEDMN CC#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@H]1OCC ZINC001025695018 623872575 /nfs/dbraw/zinc/87/25/75/623872575.db2.gz GCHKUWVDSLAWEC-PDWCTOEPSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@@H]1OCC ZINC001025695018 623872576 /nfs/dbraw/zinc/87/25/76/623872576.db2.gz GCHKUWVDSLAWEC-PDWCTOEPSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCOC[C@@H]1OC ZINC001025811688 623886165 /nfs/dbraw/zinc/88/61/65/623886165.db2.gz CJZVOJRALKRXGP-NJURLYQPSA-N 0 1 292.379 0.108 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCOC[C@@H]1OC ZINC001025811688 623886167 /nfs/dbraw/zinc/88/61/67/623886167.db2.gz CJZVOJRALKRXGP-NJURLYQPSA-N 0 1 292.379 0.108 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CO[C@@H](C)C1 ZINC001025830417 623893678 /nfs/dbraw/zinc/89/36/78/623893678.db2.gz OHLOXCWEPUJLPC-QSLWVIQJSA-N 0 1 262.353 0.481 20 30 CCEDMN N#Cc1ccccc1CN[C@H]1C[C@H](NC(=O)c2cnon2)C1 ZINC001020785953 624602580 /nfs/dbraw/zinc/60/25/80/624602580.db2.gz JMMACWNOPQJGSU-JOCQHMNTSA-N 0 1 297.318 0.992 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2n[nH]nc2-c2ccccc2)C1 ZINC001021103687 624659388 /nfs/dbraw/zinc/65/93/88/624659388.db2.gz XBLGDLSZNOJOMN-HAQNSBGRSA-N 0 1 296.334 0.846 20 30 CCEDMN C#CCCS(=O)(=O)N[C@H](C)c1n[nH]c(C(C)C)n1 ZINC000289290717 624722346 /nfs/dbraw/zinc/72/23/46/624722346.db2.gz YHXVHIAVKAVLLQ-SECBINFHSA-N 0 1 270.358 0.932 20 30 CCEDMN Cc1nc(C#N)cc(NCCN2CCN(C)CC2)n1 ZINC000308329698 624867538 /nfs/dbraw/zinc/86/75/38/624867538.db2.gz JTLZVIBKBVOYOU-UHFFFAOYSA-N 0 1 260.345 0.316 20 30 CCEDMN C[C@@H]1CN(Cc2ccccc2C#N)C[C@H]1CS(N)(=O)=O ZINC000933700706 624929882 /nfs/dbraw/zinc/92/98/82/624929882.db2.gz SJVDWRBHBXKVEK-RISCZKNCSA-N 0 1 293.392 0.915 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@H]2CCCN(C(C)=O)C2)C1=O ZINC001027257207 625778270 /nfs/dbraw/zinc/77/82/70/625778270.db2.gz RDUVEKCKFHUYKJ-UONOGXRCSA-N 0 1 279.384 0.716 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H]1CN(C)C(=O)c1ccn[nH]1 ZINC000977421238 625937380 /nfs/dbraw/zinc/93/73/80/625937380.db2.gz JNLKISGBTWQSHT-ZJUUUORDSA-N 0 1 275.312 0.242 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@H]2CCN2C(=O)[C@H](C)C#N)n[nH]1 ZINC000977424236 625937434 /nfs/dbraw/zinc/93/74/34/625937434.db2.gz RMTCVIMIPFBGNO-MWLCHTKSSA-N 0 1 289.339 0.551 20 30 CCEDMN O[C@@H](CNCC#Cc1ccccc1)C[C@]1(O)CCOC1 ZINC000905030712 634789376 /nfs/dbraw/zinc/78/93/76/634789376.db2.gz IMQKHOSAKBAAIK-HZPDHXFCSA-N 0 1 275.348 0.530 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc[n+]([O-])cc1 ZINC001027734559 630883990 /nfs/dbraw/zinc/88/39/90/630883990.db2.gz BHLYINYLSQIPQC-AWEZNQCLSA-N 0 1 273.336 0.538 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001027827666 630954253 /nfs/dbraw/zinc/95/42/53/630954253.db2.gz XLKMKCBWLWSZGQ-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN N#CCN1CCCC2(CN(C(=O)CCc3nc[nH]n3)C2)C1 ZINC000981697964 631306434 /nfs/dbraw/zinc/30/64/34/631306434.db2.gz KLEBJSVGGYOERZ-UHFFFAOYSA-N 0 1 288.355 0.185 20 30 CCEDMN O[C@@H]1CCN(C2CN(CC#Cc3ccccc3)C2)C1 ZINC000895101301 631940113 /nfs/dbraw/zinc/94/01/13/631940113.db2.gz IJWYCLJXUMSGKO-MRXNPFEDSA-N 0 1 256.349 0.789 20 30 CCEDMN C[C@H](NC(=O)c1cc(C#N)cn1C)[C@H]1CN(C)CCN1C ZINC000896557974 632287300 /nfs/dbraw/zinc/28/73/00/632287300.db2.gz WUDNQRJSTRRJII-SMDDNHRTSA-N 0 1 289.383 0.261 20 30 CCEDMN C=CC[N@H+](C)C1CCN(C(=O)c2n[nH]c(=O)[n-]c2=O)CC1 ZINC000985521224 632536330 /nfs/dbraw/zinc/53/63/30/632536330.db2.gz NBGPKDCRSKEJAM-UHFFFAOYSA-N 0 1 293.327 0.005 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001007564255 650084305 /nfs/dbraw/zinc/08/43/05/650084305.db2.gz SBFUMDJMOGLQDT-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)C1 ZINC001007626506 650086523 /nfs/dbraw/zinc/08/65/23/650086523.db2.gz YDWAMHNHUOWTLC-QLFBSQMISA-N 0 1 293.411 0.309 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CN2CCCC2=O)[C@H]1C ZINC000985958185 632684501 /nfs/dbraw/zinc/68/45/01/632684501.db2.gz PFHLFBHXSUCBIV-RYUDHWBXSA-N 0 1 299.802 0.940 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@H](NCC#N)[C@H]2C)n[nH]1 ZINC000986000826 632691527 /nfs/dbraw/zinc/69/15/27/632691527.db2.gz IOVQKEUPOFNFNZ-KOLCDFICSA-N 0 1 261.329 0.688 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2COCCN2CC)C1 ZINC001007716029 650088877 /nfs/dbraw/zinc/08/88/77/650088877.db2.gz CKZIGPKCMLKNEP-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC(N)=O)[C@@H]1C ZINC000986114957 632716588 /nfs/dbraw/zinc/71/65/88/632716588.db2.gz RTZMYFNALHHLJL-RKDXNWHRSA-N 0 1 259.737 0.193 20 30 CCEDMN C[C@@]1(C#N)CCCN(C(=O)C2CN([C@@H]3CCOC3)C2)C1 ZINC000897373350 632727974 /nfs/dbraw/zinc/72/79/74/632727974.db2.gz BYCUXIJTEJXUCP-HIFRSBDPSA-N 0 1 277.368 0.859 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cn[nH]n2)[C@@H]1C ZINC000986456494 632756462 /nfs/dbraw/zinc/75/64/62/632756462.db2.gz OPLISQWFXIJJHX-RKDXNWHRSA-N 0 1 269.736 0.750 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnc3nccn3c2)C1 ZINC001007937627 650097950 /nfs/dbraw/zinc/09/79/50/650097950.db2.gz BZCPVJTXPNXUNX-AWEZNQCLSA-N 0 1 297.362 0.947 20 30 CCEDMN Cn1ccnc1CNCCNc1ccc(C#N)cn1 ZINC000897398469 632782282 /nfs/dbraw/zinc/78/22/82/632782282.db2.gz FPWMBTAKWXPKSN-UHFFFAOYSA-N 0 1 256.313 0.888 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2nnc[nH]2)[C@H]1C ZINC000987321403 632857334 /nfs/dbraw/zinc/85/73/34/632857334.db2.gz NSHPPGDHRHHXLF-UWVGGRQHSA-N 0 1 283.763 0.679 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2nnc[nH]2)[C@@H]1C ZINC000987321408 632857637 /nfs/dbraw/zinc/85/76/37/632857637.db2.gz NSHPPGDHRHHXLF-ZJUUUORDSA-N 0 1 283.763 0.679 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1cccc([N+](=O)[O-])c1 ZINC000841001743 633015604 /nfs/dbraw/zinc/01/56/04/633015604.db2.gz UFEMJZORNKVXSA-KWQFWETISA-N 0 1 275.268 0.828 20 30 CCEDMN C[C@H]1C[C@H](NCc2cnnn2C)CN1C(=O)C#CC1CC1 ZINC000988823512 633117587 /nfs/dbraw/zinc/11/75/87/633117587.db2.gz CCKKHLANQRTOJY-AAEUAGOBSA-N 0 1 287.367 0.308 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)Cn2nccn2)C1 ZINC000988883445 633125180 /nfs/dbraw/zinc/12/51/80/633125180.db2.gz CLZRDAWWGPJSNM-GHMZBOCLSA-N 0 1 283.763 0.610 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001008337272 650110576 /nfs/dbraw/zinc/11/05/76/650110576.db2.gz DCLHLVYLOLJBJA-NWDGAFQWSA-N 0 1 289.383 0.991 20 30 CCEDMN N#CCN1CCCN(C(=O)[C@H]2CCc3[nH]cnc3C2)CC1 ZINC000989437461 633232372 /nfs/dbraw/zinc/23/23/72/633232372.db2.gz YDESGPYOEYAMMX-LBPRGKRZSA-N 0 1 287.367 0.572 20 30 CCEDMN C=CC[N@@H+]1CCCN(C(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC000989519813 633323603 /nfs/dbraw/zinc/32/36/03/633323603.db2.gz NCNZYXQLGJSXJJ-AWEZNQCLSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC000989519813 633323607 /nfs/dbraw/zinc/32/36/07/633323607.db2.gz NCNZYXQLGJSXJJ-AWEZNQCLSA-N 0 1 279.384 0.718 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cn[nH]c3)CCC[C@@H]12 ZINC000989974275 633396597 /nfs/dbraw/zinc/39/65/97/633396597.db2.gz TVLBQPQTEAZQOA-OCCSQVGLSA-N 0 1 258.325 0.770 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H]3COCCN3C)CCC[C@H]12 ZINC000990304919 633504265 /nfs/dbraw/zinc/50/42/65/633504265.db2.gz BTTZBBQIRKBDBW-IJEWVQPXSA-N 0 1 291.395 0.063 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC(N[C@@H](C)c2nnnn2C)CC1 ZINC000990314387 633507244 /nfs/dbraw/zinc/50/72/44/633507244.db2.gz MVLSFCWMAVTJAR-UWVGGRQHSA-N 0 1 291.359 0.011 20 30 CCEDMN C#CC1(NC(=O)C2CN([C@@H]3CCOC3)C2)CCCC1 ZINC000899223259 633763814 /nfs/dbraw/zinc/76/38/14/633763814.db2.gz DMCVUYSSHDXYJE-CYBMUJFWSA-N 0 1 262.353 0.769 20 30 CCEDMN N#Cc1cccnc1N1CCN(C(=O)Cc2c[nH]cn2)CC1 ZINC000900045444 633942972 /nfs/dbraw/zinc/94/29/72/633942972.db2.gz SVPBRNKYCAUCEF-UHFFFAOYSA-N 0 1 296.334 0.568 20 30 CCEDMN N#CCn1cc(NC(=O)C2CN([C@H]3CCOC3)C2)cn1 ZINC000900440719 634021082 /nfs/dbraw/zinc/02/10/82/634021082.db2.gz VCFZLTLXNLPZQS-LBPRGKRZSA-N 0 1 275.312 0.066 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCN(CC#N)CC1 ZINC000900777664 634086307 /nfs/dbraw/zinc/08/63/07/634086307.db2.gz UCGVEDFZNIHISC-RISCZKNCSA-N 0 1 287.367 0.743 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001008994475 650135211 /nfs/dbraw/zinc/13/52/11/650135211.db2.gz NDYHHDUTWWIAOI-LBPRGKRZSA-N 0 1 290.367 0.884 20 30 CCEDMN C[C@H](NC(=O)C#Cc1ccccc1)[C@@H]1CN(C)CCN1C ZINC000900812232 634097181 /nfs/dbraw/zinc/09/71/81/634097181.db2.gz TWXIXCAHAYDJEQ-HOCLYGCPSA-N 0 1 285.391 0.789 20 30 CCEDMN CC(C)(C(=O)N[C@H]1CCCN(CC#N)C1)c1cnc[nH]1 ZINC001009212172 650140015 /nfs/dbraw/zinc/14/00/15/650140015.db2.gz FDKXXOWMYYUYDW-NSHDSACASA-N 0 1 275.356 0.791 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cn2nc(C)ccc2=O)C1 ZINC001009295582 650142097 /nfs/dbraw/zinc/14/20/97/650142097.db2.gz ISIVRRADWPYOPK-CYBMUJFWSA-N 0 1 290.367 0.318 20 30 CCEDMN Cc1nc(Br)c(C=NNC[C@@H](C)O)[nH]1 ZINC000901247515 634214274 /nfs/dbraw/zinc/21/42/74/634214274.db2.gz HHFBUGLKTZCVBB-RXMQYKEDSA-N 0 1 261.123 0.785 20 30 CCEDMN Clc1n[nH]c(C2CC2)c1C=NNC1=NCCN1 ZINC000901252873 634215143 /nfs/dbraw/zinc/21/51/43/634215143.db2.gz QKKREMBTULUQQK-UHFFFAOYSA-N 0 1 252.709 0.823 20 30 CCEDMN Cc1nnc(NN=Cc2c3c(nn2C)CCOC3)n1C ZINC000901265147 634219970 /nfs/dbraw/zinc/21/99/70/634219970.db2.gz SWVNGYVAXDCCSY-UHFFFAOYSA-N 0 1 275.316 0.376 20 30 CCEDMN CN(C1CCN(C)CC1)S(=O)(=O)c1ccc(C#N)cn1 ZINC000906786511 635037863 /nfs/dbraw/zinc/03/78/63/635037863.db2.gz JKIJHADXMVIXJA-UHFFFAOYSA-N 0 1 294.380 0.668 20 30 CCEDMN C[C@H]1CCN(CCNS(=O)(=O)c2ccc(C#N)cn2)C1 ZINC000907014785 635062872 /nfs/dbraw/zinc/06/28/72/635062872.db2.gz UXJOQDTVPZBSQG-NSHDSACASA-N 0 1 294.380 0.573 20 30 CCEDMN O=C(C#CC1CC1)N1CCN(C(=O)Cc2c[nH]cn2)CC1 ZINC001011110463 650286521 /nfs/dbraw/zinc/28/65/21/650286521.db2.gz AXMKHLSXVKLOIK-UHFFFAOYSA-N 0 1 286.335 0.036 20 30 CCEDMN O=C(C#Cc1cccs1)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000913498939 636830193 /nfs/dbraw/zinc/83/01/93/636830193.db2.gz RURFFIWJONCKQM-SNVBAGLBSA-N 0 1 289.320 0.213 20 30 CCEDMN Cc1oc(C(=O)NCC#CCN(C)C)cc1CO ZINC000913523539 636832112 /nfs/dbraw/zinc/83/21/12/636832112.db2.gz DRWSYYIVGGTOSH-UHFFFAOYSA-N 0 1 250.298 0.375 20 30 CCEDMN CCNS(=O)(=O)CCNCc1cc(C#N)cs1 ZINC000224161173 636915907 /nfs/dbraw/zinc/91/59/07/636915907.db2.gz KVDLZYHHBRDPAE-UHFFFAOYSA-N 0 1 273.383 0.649 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC(NC(=O)CN3CCCC3)C2)C1 ZINC000991740187 637033136 /nfs/dbraw/zinc/03/31/36/637033136.db2.gz UYIGWKQWODKXOF-UHFFFAOYSA-N 0 1 291.395 0.766 20 30 CCEDMN C#CCCCNC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000172943305 637201047 /nfs/dbraw/zinc/20/10/47/637201047.db2.gz NNHITWFXRRWFGY-UHFFFAOYSA-N 0 1 286.379 0.977 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cn(CC)nn3)CCC[C@H]12 ZINC000992394319 637380292 /nfs/dbraw/zinc/38/02/92/637380292.db2.gz PPSDNWSUADBSGP-ZFWWWQNUSA-N 0 1 287.367 0.658 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)Cc3nonc3C)CCC[C@H]12 ZINC000992385483 637380751 /nfs/dbraw/zinc/38/07/51/637380751.db2.gz XGDSOFWARCZEDI-DZGCQCFKSA-N 0 1 288.351 0.667 20 30 CCEDMN N#Cc1ccc(NCC(=O)NC23CCN(CC2)C3)nc1 ZINC000913632194 637385503 /nfs/dbraw/zinc/38/55/03/637385503.db2.gz SYPMDRQCAYXHFD-UHFFFAOYSA-N 0 1 271.324 0.330 20 30 CCEDMN C[C@H]1C[C@H](NS(=O)(=O)CC(C)(C)C#N)CCN1C ZINC000914184540 637550026 /nfs/dbraw/zinc/55/00/26/637550026.db2.gz DOEKIRNMPKIHDS-WDEREUQCSA-N 0 1 273.402 0.938 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H]2CN(C(=O)CN3CCCC3)C[C@H]21 ZINC000992909514 637636996 /nfs/dbraw/zinc/63/69/96/637636996.db2.gz RJVNIBGJDWCJIJ-RRFJBIMHSA-N 0 1 290.411 0.822 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cn2cccn2)[C@H]1C ZINC000993012177 637663205 /nfs/dbraw/zinc/66/32/05/637663205.db2.gz PXAQYRHOOHWOHV-OLZOCXBDSA-N 0 1 260.341 0.485 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1occc1Br ZINC000834480143 637681443 /nfs/dbraw/zinc/68/14/43/637681443.db2.gz LOCDNWBPMDSGCU-XPUUQOCRSA-N 0 1 284.113 0.883 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CN2CCOCC2)[C@H]1C ZINC000993237572 637757371 /nfs/dbraw/zinc/75/73/71/637757371.db2.gz QVMQEVWGJMEBOJ-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2cc(=O)n(C)cn2)[C@@H]1C ZINC000993458041 637810663 /nfs/dbraw/zinc/81/06/63/637810663.db2.gz GWYCPXNTOXLDCN-RYUDHWBXSA-N 0 1 290.367 0.549 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cnn(C)n2)[C@H]1C ZINC000994000806 637857087 /nfs/dbraw/zinc/85/70/87/637857087.db2.gz ZHAFOLLWXKSELT-GHMZBOCLSA-N 0 1 263.345 0.584 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)CN2CCCC2)CCCN1CC#N ZINC000994407459 637934946 /nfs/dbraw/zinc/93/49/46/637934946.db2.gz MBLSNJHBGPZJOQ-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN Cc1[n-]n2c(nc3ccccc3c2=O)c1C=NNC(N)=[NH2+] ZINC000918710891 638037066 /nfs/dbraw/zinc/03/70/66/638037066.db2.gz IXBMLZDJULLHQS-UHFFFAOYSA-N 0 1 283.295 0.301 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CC2CC(C)C2)CC1 ZINC000930119893 638126049 /nfs/dbraw/zinc/12/60/49/638126049.db2.gz YWCNYHKFBKSYOM-UHFFFAOYSA-N 0 1 285.413 0.118 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NC(=O)c2[nH]ncc2F)C1 ZINC000938670356 638248239 /nfs/dbraw/zinc/24/82/39/638248239.db2.gz OJKGXOAFYGUPDN-SFYZADRCSA-N 0 1 279.275 0.039 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1CC(NC(=O)c2cnn[nH]2)C1 ZINC000995178515 638573529 /nfs/dbraw/zinc/57/35/29/638573529.db2.gz XGHTUUNWKCMLHP-CYBMUJFWSA-N 0 1 277.328 0.348 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2CCN(CC#N)C[C@@H]2C)c1C ZINC000941894435 638581288 /nfs/dbraw/zinc/58/12/88/638581288.db2.gz FHGBKOPBLYALFR-CABZTGNLSA-N 0 1 275.356 0.990 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H]1CNC(=O)[C@H]1CCCN1C ZINC000944253201 638765754 /nfs/dbraw/zinc/76/57/54/638765754.db2.gz MPJVRCOEZYHVCX-YNEHKIRRSA-N 0 1 292.383 0.347 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)Cn2ncnn2)CC1(C)C ZINC000995798614 638801193 /nfs/dbraw/zinc/80/11/93/638801193.db2.gz SRVALYVETCDBHY-JTQLQIEISA-N 0 1 298.778 0.252 20 30 CCEDMN C[C@H](NC(=O)[C@H]1CCCN1C)C1CCN(CC#N)CC1 ZINC000997511842 638873886 /nfs/dbraw/zinc/87/38/86/638873886.db2.gz WKHBLHOVOISBMB-GXTWGEPZSA-N 0 1 278.400 0.821 20 30 CCEDMN CN(C)c1ccncc1C(=O)N[C@@H]1CCN(CC#N)C1 ZINC001016009104 638877340 /nfs/dbraw/zinc/87/73/40/638877340.db2.gz OFJPUGAXNNFECP-LLVKDONJSA-N 0 1 273.340 0.475 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC(NC(=O)c2cccc3nc[nH]c32)C1 ZINC000998279615 638902714 /nfs/dbraw/zinc/90/27/14/638902714.db2.gz KDKIHPJUIBZKLW-SECBINFHSA-N 0 1 297.318 0.663 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2COCCO2)C1 ZINC000998596282 638929652 /nfs/dbraw/zinc/92/96/52/638929652.db2.gz PRTFWVFPYXUKNZ-VXGBXAGGSA-N 0 1 288.775 0.735 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001000211367 639053908 /nfs/dbraw/zinc/05/39/08/639053908.db2.gz VDBVYMNPHFPUDK-MXWKQRLJSA-N 0 1 285.775 0.441 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2C[C@@H]2OCC)CC1 ZINC001000455859 639090030 /nfs/dbraw/zinc/09/00/30/639090030.db2.gz CCGOXGITEVCEGP-KBPBESRZSA-N 0 1 262.353 0.793 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001000578012 639125717 /nfs/dbraw/zinc/12/57/17/639125717.db2.gz ZNNSVEPDLFAZPA-AWEZNQCLSA-N 0 1 289.379 0.284 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cnn(C)c2N)CC1 ZINC001000609601 639140792 /nfs/dbraw/zinc/14/07/92/639140792.db2.gz QMDPSDDUNGVVES-UHFFFAOYSA-N 0 1 287.367 0.388 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nn3c(c2C)OCCC3)C1 ZINC001014647880 650473135 /nfs/dbraw/zinc/47/31/35/650473135.db2.gz MLIUZKINMVPBMG-GFCCVEGCSA-N 0 1 290.367 0.964 20 30 CCEDMN N#CCN1CC=C(CNC(=O)c2cncc3nc[nH]c32)CC1 ZINC001000716727 639159578 /nfs/dbraw/zinc/15/95/78/639159578.db2.gz FDLQHZBNFRCPBS-UHFFFAOYSA-N 0 1 296.334 0.843 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2C[C@H](C)CO2)CC1 ZINC001000963283 639205783 /nfs/dbraw/zinc/20/57/83/639205783.db2.gz BGIMKFHPDUNZBD-GXTWGEPZSA-N 0 1 262.353 0.793 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001014700988 650479794 /nfs/dbraw/zinc/47/97/94/650479794.db2.gz PHYRFQRSTZWEEG-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnn3cc[nH]c23)CC1 ZINC001001398524 639289796 /nfs/dbraw/zinc/28/97/96/639289796.db2.gz GAHLURLTSDCGTK-UHFFFAOYSA-N 0 1 283.335 0.658 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CNC(=O)c3ccccc32)C1 ZINC001014747664 650484702 /nfs/dbraw/zinc/48/47/02/650484702.db2.gz ULTJICDSCABOFC-SWLSCSKDSA-N 0 1 299.374 0.890 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnn(C)c2OC)CC1 ZINC001001410106 639296527 /nfs/dbraw/zinc/29/65/27/639296527.db2.gz WYMLRAIJXYPMOY-UHFFFAOYSA-N 0 1 288.351 0.424 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cncc(C(N)=O)c2)C1 ZINC001016287577 639370440 /nfs/dbraw/zinc/37/04/40/639370440.db2.gz PFRDVSFBXGMYPZ-ZDUSSCGKSA-N 0 1 286.335 0.008 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2nccnc2N)CC1 ZINC001002474678 639418151 /nfs/dbraw/zinc/41/81/51/639418151.db2.gz WMFUHEIQOQSEOB-UHFFFAOYSA-N 0 1 273.340 0.276 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2cncnc2)CC1 ZINC001002705707 639445064 /nfs/dbraw/zinc/44/50/64/639445064.db2.gz JVGSSYWCNSLBHE-UHFFFAOYSA-N 0 1 258.325 0.233 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CC(=O)N(C(C)C)C2)CC1 ZINC001002949990 639483348 /nfs/dbraw/zinc/48/33/48/639483348.db2.gz PLRLJIMTPSWJAN-ZDUSSCGKSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001016386173 639527290 /nfs/dbraw/zinc/52/72/90/639527290.db2.gz ARKLJVCTFZFDBS-GFCCVEGCSA-N 0 1 286.335 0.008 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2C[C@H]2c2ccnn2C)CC1 ZINC001003356667 639532830 /nfs/dbraw/zinc/53/28/30/639532830.db2.gz RLVZPXVBZMLQCI-ZIAGYGMSSA-N 0 1 286.379 0.737 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2coc(OC)n2)CC1 ZINC001003385912 639537490 /nfs/dbraw/zinc/53/74/90/639537490.db2.gz WJISAORBTSDXLL-UHFFFAOYSA-N 0 1 277.324 0.901 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@@H]2CCC(=O)N2C)CC1 ZINC001003422187 639542372 /nfs/dbraw/zinc/54/23/72/639542372.db2.gz MWECMONGZURUKF-ZDUSSCGKSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cn3c(n2)COCC3)C1 ZINC001014936740 650505427 /nfs/dbraw/zinc/50/54/27/650505427.db2.gz XYRFUJQRYFTIKD-LBPRGKRZSA-N 0 1 288.351 0.241 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnc3[nH]c(=O)[nH]c3c2)C1 ZINC001015013251 650514858 /nfs/dbraw/zinc/51/48/58/650514858.db2.gz FLURMASABDWRMT-JTQLQIEISA-N 0 1 287.323 0.654 20 30 CCEDMN Cc1cc(CC(=O)N[C@H]2CCCN(CC#N)C2)[nH]n1 ZINC001007078500 639707942 /nfs/dbraw/zinc/70/79/42/639707942.db2.gz QCMIMHLUQCGLRM-NSHDSACASA-N 0 1 261.329 0.365 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn3cccnc3n2)C1 ZINC001015083383 650526673 /nfs/dbraw/zinc/52/66/73/650526673.db2.gz HUUKFGIJKPLSJK-LLVKDONJSA-N 0 1 271.324 0.719 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2ccc(=O)[nH]n2)CC1 ZINC001005083599 639873613 /nfs/dbraw/zinc/87/36/13/639873613.db2.gz JUUHXEBSWBRGMC-UHFFFAOYSA-N 0 1 288.351 0.742 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cnn(C)c2C)CC1 ZINC001005118846 639878970 /nfs/dbraw/zinc/87/89/70/639878970.db2.gz ZKPCGSNBQREHGI-UHFFFAOYSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001015156857 650532970 /nfs/dbraw/zinc/53/29/70/650532970.db2.gz VBZTZOPSEHOTLO-CABCVRRESA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCN(C)C2=O)CC1 ZINC001005842375 639984376 /nfs/dbraw/zinc/98/43/76/639984376.db2.gz WHKNYCKHKFINAJ-CYBMUJFWSA-N 0 1 277.368 0.021 20 30 CCEDMN C[C@H](C(=O)[O-])[N@@H+](C)C[C@H](O)COc1ccccc1C#N ZINC000923223978 640055956 /nfs/dbraw/zinc/05/59/56/640055956.db2.gz AONOFSQDZZYCLP-PWSUYJOCSA-N 0 1 278.308 0.703 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2nccn3ccnc23)CC1 ZINC001006007632 640064813 /nfs/dbraw/zinc/06/48/13/640064813.db2.gz IJCUYAUNSAWOMG-UHFFFAOYSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cn2ccc(C)n2)CC1 ZINC001006019703 640069161 /nfs/dbraw/zinc/06/91/61/640069161.db2.gz QWYYRMGUEFCQNX-UHFFFAOYSA-N 0 1 274.368 0.748 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001015358759 650558141 /nfs/dbraw/zinc/55/81/41/650558141.db2.gz XXJJHIUQZRDYRZ-GFCCVEGCSA-N 0 1 275.356 0.054 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(C)c(C)n[nH]c2=O)C1 ZINC001015470707 650570735 /nfs/dbraw/zinc/57/07/35/650570735.db2.gz IHGFVKIWXLJLOK-NSHDSACASA-N 0 1 276.340 0.789 20 30 CCEDMN C[C@H](O)CCN1CCN(c2ccc(C#N)nc2)CC1 ZINC000839590035 640344399 /nfs/dbraw/zinc/34/43/99/640344399.db2.gz MTNKYASKOIEJAN-LBPRGKRZSA-N 0 1 260.341 0.846 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cn(C)nc2OC)C1 ZINC001015495816 650573248 /nfs/dbraw/zinc/57/32/48/650573248.db2.gz OJXJTZCEBFSLIJ-SNVBAGLBSA-N 0 1 264.329 0.419 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](C)c2cncnc2)C1 ZINC001015596726 650587082 /nfs/dbraw/zinc/58/70/82/650587082.db2.gz GEEYGBOTPOAQIQ-WCQYABFASA-N 0 1 260.341 0.957 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccc3[nH]c(=O)[nH]c3n2)C1 ZINC001015619163 650594265 /nfs/dbraw/zinc/59/42/65/650594265.db2.gz HPYDXILHNINBMM-SNVBAGLBSA-N 0 1 299.334 0.491 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001015717086 650615842 /nfs/dbraw/zinc/61/58/42/650615842.db2.gz LNDHMRLZXCQORC-AGIUHOORSA-N 0 1 277.368 0.102 20 30 CCEDMN C[C@H]1CN=C(NN=C2CCCc3nc(N)ncc32)N1 ZINC000814897151 641080498 /nfs/dbraw/zinc/08/04/98/641080498.db2.gz GCEWJLRXERGEED-ZETCQYMHSA-N 0 1 259.317 0.037 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCN([C@H](C)COC)C2)nn1 ZINC001015810279 650633513 /nfs/dbraw/zinc/63/35/13/650633513.db2.gz FATXUBAJGBGJON-NEPJUHHUSA-N 0 1 293.371 0.303 20 30 CCEDMN C=C(C)[C@@H]1OCCC[C@@H]1C(=O)N[C@@H]1CCN(CCO)C1 ZINC001015819658 650634596 /nfs/dbraw/zinc/63/45/96/650634596.db2.gz NIVGLQCBHOVEQA-RDBSUJKOSA-N 0 1 282.384 0.541 20 30 CCEDMN C[C@@](C#N)(NC(=O)C[N@H+]1CC[C@@H](C(=O)[O-])C1)C1CC1 ZINC000817727668 641271701 /nfs/dbraw/zinc/27/17/01/641271701.db2.gz AFTYFVXOOKTODU-RNCFNFMXSA-N 0 1 265.313 0.201 20 30 CCEDMN N#CCNC(=O)CNC[C@H](O)c1ccccc1F ZINC000819309925 641400234 /nfs/dbraw/zinc/40/02/34/641400234.db2.gz DUYJEGBOAGVNSQ-NSHDSACASA-N 0 1 251.261 0.088 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2c(C)nn(C)c2F)C1 ZINC001016130397 650686190 /nfs/dbraw/zinc/68/61/90/650686190.db2.gz IOVIADBSFBNCNJ-LLVKDONJSA-N 0 1 278.331 0.695 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2CCCOC2)C1 ZINC001016279068 650711772 /nfs/dbraw/zinc/71/17/72/650711772.db2.gz WCDSKZRGMAIPJH-OLZOCXBDSA-N 0 1 250.342 0.627 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)NCCN1CC[C@@H](O)C1 ZINC000827527886 642012096 /nfs/dbraw/zinc/01/20/96/642012096.db2.gz AUXTWMNFNMHFOE-CYBMUJFWSA-N 0 1 273.336 0.663 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccc(C(N)=O)cc2)C1 ZINC001016375391 650723233 /nfs/dbraw/zinc/72/32/33/650723233.db2.gz MXTLVYYKUSKHAU-HNNXBMFYSA-N 0 1 299.374 0.542 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001016399263 650726244 /nfs/dbraw/zinc/72/62/44/650726244.db2.gz IORCYWNTWKKKIL-LBPRGKRZSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000829622511 642178942 /nfs/dbraw/zinc/17/89/42/642178942.db2.gz QDHLAFBFEHSKIC-QWHCGFSZSA-N 0 1 282.384 0.328 20 30 CCEDMN C#CC[C@@H]1CCCN(CC(=O)NC(=O)NCCC)C1 ZINC000829768786 642189839 /nfs/dbraw/zinc/18/98/39/642189839.db2.gz KAZMDLJHLLOQIY-GFCCVEGCSA-N 0 1 265.357 0.958 20 30 CCEDMN C#CC[C@@H]1CCCN(CC(=O)NCC(=O)NC(C)(C)C)C1 ZINC000829799241 642193993 /nfs/dbraw/zinc/19/39/93/642193993.db2.gz LYYCJNGBYNQBPS-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN CC(C)(C#N)CNS(=O)(=O)N=S1(=O)CCCC1 ZINC000867081402 642532064 /nfs/dbraw/zinc/53/20/64/642532064.db2.gz YZNDCQAQOLSAEX-UHFFFAOYSA-N 0 1 279.387 0.632 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccc(F)cc1F ZINC000834480927 642572591 /nfs/dbraw/zinc/57/25/91/642572591.db2.gz YCUGJHXRBNQPAM-CABZTGNLSA-N 0 1 265.263 0.735 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1c[nH]c2ncccc12 ZINC000834481011 642574397 /nfs/dbraw/zinc/57/43/97/642574397.db2.gz ZDOMHWZOKQUZJE-ZYHUDNBSSA-N 0 1 269.308 0.333 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1c(F)cccc1F ZINC000834481024 642574533 /nfs/dbraw/zinc/57/45/33/642574533.db2.gz ZHHPGVGEOJCRSX-PELKAZGASA-N 0 1 265.263 0.735 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnc([C@H]2CCCO2)s1 ZINC000834484190 642574929 /nfs/dbraw/zinc/57/49/29/642574929.db2.gz FNLRKBJKVPKOBF-KXUCPTDWSA-N 0 1 292.364 0.836 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ncccc1C(F)(F)F ZINC000834485070 642575491 /nfs/dbraw/zinc/57/54/91/642575491.db2.gz RKZKGDPBFUZRHG-IONNQARKSA-N 0 1 284.241 0.942 20 30 CCEDMN C[C@H](Oc1cccnc1)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834488603 642577959 /nfs/dbraw/zinc/57/79/59/642577959.db2.gz DWQZSVDGGFHJTF-NHCYSSNCSA-N 0 1 260.297 0.077 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc(C(=O)NC2CC2)cc1 ZINC000834485464 642578482 /nfs/dbraw/zinc/57/84/82/642578482.db2.gz XDOFZMHWLRZHRL-TZMCWYRMSA-N 0 1 298.346 0.420 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)COC1CCCCC1 ZINC000834489305 642580342 /nfs/dbraw/zinc/58/03/42/642580342.db2.gz LPFZIHXDLMAPAG-ZYHUDNBSSA-N 0 1 251.330 0.563 20 30 CCEDMN C[C@@H]1CCC[C@H](OCC(=O)N[C@@H]2CNC[C@H]2C#N)C1 ZINC000834489115 642580524 /nfs/dbraw/zinc/58/05/24/642580524.db2.gz JSFFWSYDIGJUOK-FVCCEPFGSA-N 0 1 265.357 0.809 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1csc2cncn21 ZINC000834490986 642581437 /nfs/dbraw/zinc/58/14/37/642581437.db2.gz ZCEVYYHCQUXDCM-SFYZADRCSA-N 0 1 261.310 0.237 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc2nccn2c1 ZINC000834494414 642583117 /nfs/dbraw/zinc/58/31/17/642583117.db2.gz MVTVDMPAKLSAQY-QWRGUYRKSA-N 0 1 255.281 0.176 20 30 CCEDMN Cn1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)c1C1CC1 ZINC000834494846 642585428 /nfs/dbraw/zinc/58/54/28/642585428.db2.gz QDTJXXLJRSHOCN-KOLCDFICSA-N 0 1 259.313 0.139 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CNC(=O)CCC1CCCC1 ZINC000834494926 642585943 /nfs/dbraw/zinc/58/59/43/642585943.db2.gz QYQRLCVKRWYRLU-STQMWFEESA-N 0 1 292.383 0.301 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1scnc1Cl ZINC000834499069 642586904 /nfs/dbraw/zinc/58/69/04/642586904.db2.gz DZKDBFCGEIFKPI-RITPCOANSA-N 0 1 256.718 0.638 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccncc1C(F)(F)F ZINC000834500041 642588284 /nfs/dbraw/zinc/58/82/84/642588284.db2.gz PXUNCRYQLLJPQV-XCBNKYQSSA-N 0 1 284.241 0.942 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1ccc2c[nH]nc2c1 ZINC000834500295 642588946 /nfs/dbraw/zinc/58/89/46/642588946.db2.gz RTKUMTSOZAGOKY-YPMHNXCESA-N 0 1 269.308 0.333 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(-c2ccncc2)on1 ZINC000834501153 642590769 /nfs/dbraw/zinc/59/07/69/642590769.db2.gz ZRLLAYOUDSDWKY-PWSUYJOCSA-N 0 1 283.291 0.578 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc(NC(=O)C2CC2)c1 ZINC000834505758 642591249 /nfs/dbraw/zinc/59/12/49/642591249.db2.gz NQHYADJJLWADLH-JSGCOSHPSA-N 0 1 298.346 0.876 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1 ZINC000834505896 642591885 /nfs/dbraw/zinc/59/18/85/642591885.db2.gz OXIAADGQCBZBGN-GXSJLCMTSA-N 0 1 260.253 0.436 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1COc2ccccc2O1 ZINC000834510908 642595282 /nfs/dbraw/zinc/59/52/82/642595282.db2.gz HDJQFDKDVVTOTD-GBIKHYSHSA-N 0 1 273.292 0.054 20 30 CCEDMN Cc1ccn2cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)nc2c1 ZINC000834511089 642595445 /nfs/dbraw/zinc/59/54/45/642595445.db2.gz IIEZSUWAIIIBCU-WDEREUQCSA-N 0 1 269.308 0.484 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)OCCCO2 ZINC000834512250 642596870 /nfs/dbraw/zinc/59/68/70/642596870.db2.gz TZZUXHZCCSNHGY-VXGBXAGGSA-N 0 1 287.319 0.689 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COCc1ccccc1 ZINC000834512641 642598127 /nfs/dbraw/zinc/59/81/27/642598127.db2.gz ZQUTTZWHQFWOLR-OLZOCXBDSA-N 0 1 259.309 0.431 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(Cl)ccn1 ZINC000834516919 642601047 /nfs/dbraw/zinc/60/10/47/642601047.db2.gz NQUJRCKDZBGLFZ-XCBNKYQSSA-N 0 1 250.689 0.576 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1c(Cl)nc2ccccn21 ZINC000834521240 642601416 /nfs/dbraw/zinc/60/14/16/642601416.db2.gz FNPHYFWMSZMJSD-RKDXNWHRSA-N 0 1 289.726 0.829 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@@H]1Cc2ccccc2S1 ZINC000834517685 642601755 /nfs/dbraw/zinc/60/17/55/642601755.db2.gz YEWCAXYVNJQNIE-MDZLAQPJSA-N 0 1 273.361 0.931 20 30 CCEDMN COc1ncc(C)cc1NC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000841133545 642804005 /nfs/dbraw/zinc/80/40/05/642804005.db2.gz HHUJQXUKPHAHDG-ONGXEEELSA-N 0 1 275.312 0.632 20 30 CCEDMN COc1ncc(C)cc1NC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000841133544 642804645 /nfs/dbraw/zinc/80/46/45/642804645.db2.gz HHUJQXUKPHAHDG-MWLCHTKSSA-N 0 1 275.312 0.632 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1cccc2c1OCO2 ZINC000841185222 642806732 /nfs/dbraw/zinc/80/67/32/642806732.db2.gz URVUCXDMIJPIDI-WCBMZHEXSA-N 0 1 274.280 0.648 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)Nc1cnc(CC2CC2)nc1 ZINC000841233915 642807836 /nfs/dbraw/zinc/80/78/36/642807836.db2.gz BYHPEMQTDFLLJV-CMPLNLGQSA-N 0 1 286.339 0.662 20 30 CCEDMN O=S(=O)(O[C@H]1CNOC1)c1cc(O)cc(F)c1 ZINC000867257686 642810173 /nfs/dbraw/zinc/81/01/73/642810173.db2.gz ZFMOSRJABOPQPV-QMMMGPOBSA-N 0 1 263.246 0.140 20 30 CCEDMN CO[C@@H](C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](C)C#N ZINC001017420626 650801153 /nfs/dbraw/zinc/80/11/53/650801153.db2.gz AQKDHHATMKHJQQ-ZDEQEGDKSA-N 0 1 265.357 0.856 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)CCCC(=O)N2 ZINC000843459333 643063242 /nfs/dbraw/zinc/06/32/42/643063242.db2.gz DPLPHYAGCQMWKY-OCCSQVGLSA-N 0 1 298.346 0.803 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc(F)c(F)c(F)c1 ZINC000843459172 643063295 /nfs/dbraw/zinc/06/32/95/643063295.db2.gz CLGPWUGLQVFZAC-OIBJUYFYSA-N 0 1 269.226 0.945 20 30 CCEDMN Cc1cc(C)nc(SCC(=O)N[C@H]2CNC[C@H]2C#N)n1 ZINC000843461652 643066162 /nfs/dbraw/zinc/06/61/62/643066162.db2.gz MNWVGKCYHRURAE-MNOVXSKESA-N 0 1 291.380 0.413 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1cnn2ccccc12 ZINC000843461547 643066692 /nfs/dbraw/zinc/06/66/92/643066692.db2.gz VHVPHTDGCBESKU-VXGBXAGGSA-N 0 1 269.308 0.105 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc(F)c(F)c1F ZINC000843463787 643067892 /nfs/dbraw/zinc/06/78/92/643067892.db2.gz XYJPIWMYCHBKCT-IMTBSYHQSA-N 0 1 269.226 0.945 20 30 CCEDMN C=CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)C(C)(C)C(N)=O ZINC001017637634 650814660 /nfs/dbraw/zinc/81/46/60/650814660.db2.gz GKKORUNJWIAXNO-TXEJJXNPSA-N 0 1 279.384 0.749 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1CC(c2ccccc2)=NO1 ZINC000846678570 643442573 /nfs/dbraw/zinc/44/25/73/643442573.db2.gz VGDKUWLFUIPUGP-BNOWGMLFSA-N 0 1 284.319 0.407 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccc2c1OCCCO2 ZINC000846679279 643443079 /nfs/dbraw/zinc/44/30/79/643443079.db2.gz ZTTVAVXXFUQWSZ-CMPLNLGQSA-N 0 1 287.319 0.689 20 30 CCEDMN N#CCC(=O)N1CCN(CCCCCCCO)CC1 ZINC000846957315 643474694 /nfs/dbraw/zinc/47/46/94/643474694.db2.gz VNHUHEXHQNDLLG-UHFFFAOYSA-N 0 1 267.373 0.987 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccc2c(cc[nH]c2=O)c1 ZINC000850756394 643811062 /nfs/dbraw/zinc/81/10/62/643811062.db2.gz QETPNOCEHZXEPK-GWCFXTLKSA-N 0 1 297.318 0.761 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccc2c(cc[nH]c2=O)c1 ZINC000850756402 643811176 /nfs/dbraw/zinc/81/11/76/643811176.db2.gz QETPNOCEHZXEPK-MFKMUULPSA-N 0 1 297.318 0.761 20 30 CCEDMN C[C@@H](C#N)OCCN1CC[C@H](N2CCOC2=O)C1 ZINC000852438194 643964917 /nfs/dbraw/zinc/96/49/17/643964917.db2.gz NMUIGDAJINHOAV-QWRGUYRKSA-N 0 1 253.302 0.442 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ncnc2sccc21 ZINC000852827767 644013842 /nfs/dbraw/zinc/01/38/42/644013842.db2.gz PCAJOKCBXNPRFC-APPZFPTMSA-N 0 1 273.321 0.533 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2c(C(F)(F)F)cnn2C)N1 ZINC000853330952 644098829 /nfs/dbraw/zinc/09/88/29/644098829.db2.gz ZUIMHRYEBOBXBF-ZCFIWIBFSA-N 0 1 274.250 0.710 20 30 CCEDMN Cc1nnc(N=NCc2cccc(S(C)(=O)=O)c2)n1C ZINC000853430640 644104914 /nfs/dbraw/zinc/10/49/14/644104914.db2.gz FDSPGVUDKSXHCQ-UHFFFAOYSA-N 0 1 293.352 0.973 20 30 CCEDMN N#Cc1ccc(CNC(=O)NCCCc2nc[nH]n2)nc1 ZINC000854010774 644168784 /nfs/dbraw/zinc/16/87/84/644168784.db2.gz VCUOOSAUZSQWJO-UHFFFAOYSA-N 0 1 285.311 0.503 20 30 CCEDMN Cn1ccc(-c2cc(C(=O)N3CC[C@H](NCC#N)C3)n[nH]2)c1 ZINC001018954364 650924212 /nfs/dbraw/zinc/92/42/12/650924212.db2.gz HFUKOBXBWCAJEA-LBPRGKRZSA-N 0 1 298.350 0.743 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C(C)(C)C(N)=O)C1 ZINC001018997083 650927979 /nfs/dbraw/zinc/92/79/79/650927979.db2.gz VFRLQHPOUXXXRK-VIFPVBQESA-N 0 1 273.764 0.441 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cn(C)ccc2=O)C1 ZINC001019128348 650940098 /nfs/dbraw/zinc/94/00/98/650940098.db2.gz BRQGETNFUJYWKC-NSHDSACASA-N 0 1 295.770 0.942 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1cnn(CCF)c1 ZINC000859733733 644727595 /nfs/dbraw/zinc/72/75/95/644727595.db2.gz XZDUFVHRQMDUJL-SCZZXKLOSA-N 0 1 266.280 0.086 20 30 CCEDMN N#Cc1ccc(CC(=O)OCCc2c[nH]nn2)cn1 ZINC000860567281 644811056 /nfs/dbraw/zinc/81/10/56/644811056.db2.gz YUDAAZSKERJQTC-UHFFFAOYSA-N 0 1 257.253 0.400 20 30 CCEDMN N#Cc1ccc(CC(=O)OCCc2cnn[nH]2)cn1 ZINC000860567281 644811058 /nfs/dbraw/zinc/81/10/58/644811058.db2.gz YUDAAZSKERJQTC-UHFFFAOYSA-N 0 1 257.253 0.400 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001019388098 650968750 /nfs/dbraw/zinc/96/87/50/650968750.db2.gz IZNCFCTYNGRXDH-AEJSXWLSSA-N 0 1 271.748 0.051 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)Cc2cnc[nH]2)C1 ZINC001019437612 650973280 /nfs/dbraw/zinc/97/32/80/650973280.db2.gz RYJXSUXLHPOALR-JTQLQIEISA-N 0 1 268.748 0.895 20 30 CCEDMN C[C@@H]1CC(N=NC2=NCC(=O)[N-]2)C[N@@H+]1Cc1ccccc1 ZINC000863175504 645065327 /nfs/dbraw/zinc/06/53/27/645065327.db2.gz ILDFXRASYYTLPJ-LLVKDONJSA-N 0 1 285.351 0.712 20 30 CCEDMN C[C@@H]1CC(N=NC2=NCC(=O)[N-]2)C[N@H+]1Cc1ccccc1 ZINC000863175504 645065331 /nfs/dbraw/zinc/06/53/31/645065331.db2.gz ILDFXRASYYTLPJ-LLVKDONJSA-N 0 1 285.351 0.712 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2n[nH]cc2Br)C1 ZINC001019529419 650983499 /nfs/dbraw/zinc/98/34/99/650983499.db2.gz SCABLOAXGRLVPQ-ZETCQYMHSA-N 0 1 298.144 0.500 20 30 CCEDMN Cn1cc(CN[C@H]2Cc3ccc(C#N)cc3C2)nn1 ZINC000865847302 645427706 /nfs/dbraw/zinc/42/77/06/645427706.db2.gz BTGNGLFKGFNNTJ-ZDUSSCGKSA-N 0 1 253.309 0.944 20 30 CCEDMN Cn1ccnc1CN[C@H]1C[C@H](NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001020055424 651033060 /nfs/dbraw/zinc/03/30/60/651033060.db2.gz OXDWRBNALNBWDP-HAQNSBGRSA-N 0 1 298.350 0.670 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CN3CCN2CCC3)co1 ZINC000868088564 645718124 /nfs/dbraw/zinc/71/81/24/645718124.db2.gz FAHLJJSUGNVVBX-LBPRGKRZSA-N 0 1 274.324 0.271 20 30 CCEDMN N#C[C@@]1(C(=O)N[C@@H]2CCC[N@H+](CCCO)C2)CCCOC1 ZINC000868635648 645798321 /nfs/dbraw/zinc/79/83/21/645798321.db2.gz BAAXJPKEYKDNKC-HIFRSBDPSA-N 0 1 295.383 0.270 20 30 CCEDMN N#C[C@@]1(C(=O)N[C@@H]2CCCN(CCCO)C2)CCCOC1 ZINC000868635648 645798328 /nfs/dbraw/zinc/79/83/28/645798328.db2.gz BAAXJPKEYKDNKC-HIFRSBDPSA-N 0 1 295.383 0.270 20 30 CCEDMN Cc1ccn(C[C@H](C)C(=O)N[C@@H]2CNC[C@H]2C#N)n1 ZINC000870939948 646054116 /nfs/dbraw/zinc/05/41/16/646054116.db2.gz DJDAVKPDXPDMLT-MVWJERBFSA-N 0 1 261.329 0.055 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@H](NC(=O)[C@@H]1CNCCO1)C2 ZINC000871012159 646076828 /nfs/dbraw/zinc/07/68/28/646076828.db2.gz JYYLBKWHQUFMIK-KGLIPLIRSA-N 0 1 271.320 0.130 20 30 CCEDMN Cn1nncc1N=NCc1c2c(nn1C)CCCC2 ZINC000872379244 646253385 /nfs/dbraw/zinc/25/33/85/646253385.db2.gz QGPBAVDINKMAQR-UHFFFAOYSA-N 0 1 259.317 0.873 20 30 CCEDMN C[C@H](C#N)CNC(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000875476050 646533037 /nfs/dbraw/zinc/53/30/37/646533037.db2.gz JSCIDJXUDWNLPV-CYBMUJFWSA-N 0 1 294.399 0.900 20 30 CCEDMN N#CCNC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000125512140 646542993 /nfs/dbraw/zinc/54/29/93/646542993.db2.gz COIXIPWZXVOFPK-UHFFFAOYSA-N 0 1 260.278 0.465 20 30 CCEDMN Cc1ccc2c(c1)C=C(C(=O)N[C@@H]1CNC[C@@H]1C#N)CO2 ZINC000876803198 646670140 /nfs/dbraw/zinc/67/01/40/646670140.db2.gz VEZUUFGVIKOKBO-UONOGXRCSA-N 0 1 283.331 0.999 20 30 CCEDMN Cc1nc2c(cccc2C(=O)N[C@@H]2CNC[C@@H]2C#N)[nH]1 ZINC000876803357 646670296 /nfs/dbraw/zinc/67/02/96/646670296.db2.gz VYZXKJMEDATZNZ-JOYOIKCWSA-N 0 1 269.308 0.713 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NC/C=C\CO ZINC000876961972 646707273 /nfs/dbraw/zinc/70/72/73/646707273.db2.gz XTGVOZXMPHIDTL-BOPNBLERSA-N 0 1 271.320 0.410 20 30 CCEDMN O=C(C#Cc1ccccc1)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000129377192 647362852 /nfs/dbraw/zinc/36/28/52/647362852.db2.gz XMJBRDSXMGNYQI-CYBMUJFWSA-N 0 1 281.319 0.957 20 30 CCEDMN Cc1nc(C#N)cc(N2CCN(CC(C)(C)O)[C@@H](C)C2)n1 ZINC000882233729 647385788 /nfs/dbraw/zinc/38/57/88/647385788.db2.gz QYFCLZBSFLODMD-NSHDSACASA-N 0 1 289.383 0.938 20 30 CCEDMN Cc1nc(C#N)cc(N2C[C@@H](C)N(CCO)C[C@@H]2C)n1 ZINC000882467065 647409688 /nfs/dbraw/zinc/40/96/88/647409688.db2.gz AIWUAWRDVYNCTM-MNOVXSKESA-N 0 1 275.356 0.548 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)NCCN1CCC[C@@H]1C ZINC000800121832 647627670 /nfs/dbraw/zinc/62/76/70/647627670.db2.gz LXMZQEUCVPXMMD-RYUDHWBXSA-N 0 1 288.417 0.397 20 30 CCEDMN CN(C[C@@H](O)CC1(C#N)CCC1)[C@H]1CCNC1=O ZINC000886089654 647898512 /nfs/dbraw/zinc/89/85/12/647898512.db2.gz GQAPAPAWNMDICK-QWRGUYRKSA-N 0 1 251.330 0.252 20 30 CCEDMN C[C@@H]1CC(=O)NCCN1C[C@@H](O)CC1(C#N)CCC1 ZINC000886092279 647899526 /nfs/dbraw/zinc/89/95/26/647899526.db2.gz SNIQVENFJZIFFF-NEPJUHHUSA-N 0 1 265.357 0.642 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCSC[C@@H]2CO)CCC1 ZINC000886099152 647901775 /nfs/dbraw/zinc/90/17/75/647901775.db2.gz VTVNMWZRJZUWMF-RYUDHWBXSA-N 0 1 270.398 0.841 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN3CCOC[C@@H]3C2)CCC1 ZINC000886131958 647906317 /nfs/dbraw/zinc/90/63/17/647906317.db2.gz LAUQOLPAAJBNBH-UONOGXRCSA-N 0 1 279.384 0.448 20 30 CCEDMN OCCOCC1(O)CCN(CC#Cc2ccccc2)CC1 ZINC000886748863 648009658 /nfs/dbraw/zinc/00/96/58/648009658.db2.gz AYGUUUXMYQSNJQ-UHFFFAOYSA-N 0 1 289.375 0.874 20 30 CCEDMN N#CC1(C(=O)N2CC[C@H]3[C@H]2CCCN3CCO)CCC1 ZINC000889768389 648248067 /nfs/dbraw/zinc/24/80/67/648248067.db2.gz MZRPMFQSWDSBAJ-QWHCGFSZSA-N 0 1 277.368 0.738 20 30 CCEDMN N#CC[C@@H](O)CN[C@H](CN1CCOCC1)c1ccccc1 ZINC000930188008 648835339 /nfs/dbraw/zinc/83/53/39/648835339.db2.gz SWGWKNGAIOXQRU-HZPDHXFCSA-N 0 1 289.379 0.924 20 30 CCEDMN C=C(C)CN(Cc1ccc(CO)o1)C[C@@H](O)[C@@H](C)O ZINC000930456180 648864115 /nfs/dbraw/zinc/86/41/15/648864115.db2.gz QYWWBXFQXNWWHK-BXUZGUMPSA-N 0 1 269.341 0.892 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CCC[C@H]1c1nnc[nH]1)C1CC1 ZINC000931134236 648948910 /nfs/dbraw/zinc/94/89/10/648948910.db2.gz OPPURURZFMMSHN-FZMZJTMJSA-N 0 1 288.355 0.750 20 30 CCEDMN C[C@H](C#N)OCCN1CCc2c(n(C)[nH]c2=O)C1 ZINC000931193491 648961622 /nfs/dbraw/zinc/96/16/22/648961622.db2.gz AKSHFPHJTREOND-SECBINFHSA-N 0 1 250.302 0.412 20 30 CCEDMN C[C@@H]1C[C@@H](NC(=O)CN2CCCC2)CCN1CC#N ZINC000948052533 649360769 /nfs/dbraw/zinc/36/07/69/649360769.db2.gz FVNOCLYSXZLQQZ-OLZOCXBDSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCCCC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000192153070 649500095 /nfs/dbraw/zinc/50/00/95/649500095.db2.gz UIUKGHCVTOSTAM-AWEZNQCLSA-N 0 1 264.369 0.971 20 30 CCEDMN Cc1nc(N2C[C@@H]3OCCN(C)[C@H]3C2)ccc1C#N ZINC000488111271 719411822 /nfs/dbraw/zinc/41/18/22/719411822.db2.gz FTAQEGGVHSIPCE-STQMWFEESA-N 0 1 258.325 0.781 20 30 CCEDMN CN1CCO[C@@H]2CN(c3cncc(CC#N)n3)C[C@@H]21 ZINC001164673176 719425149 /nfs/dbraw/zinc/42/51/49/719425149.db2.gz SRNSCZFDGNOVAL-NWDGAFQWSA-N 0 1 259.313 0.062 20 30 CCEDMN CC#CCN1CCC(NC(=O)COCCOCC)CC1 ZINC001230382096 805598879 /nfs/dbraw/zinc/59/88/79/805598879.db2.gz QYZUUPJMWNMGKW-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H](C)CC)CC1 ZINC001266279287 790387424 /nfs/dbraw/zinc/38/74/24/790387424.db2.gz LATCYOHEYPGYFM-CYBMUJFWSA-N 0 1 253.390 0.952 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)Cn2cccn2)CC1 ZINC001266279161 790387991 /nfs/dbraw/zinc/38/79/91/790387991.db2.gz GAJWPEMOEATYTG-UHFFFAOYSA-N 0 1 291.399 0.193 20 30 CCEDMN C[C@H](C(N)=O)N1CCC[C@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001266749198 791207960 /nfs/dbraw/zinc/20/79/60/791207960.db2.gz FQSYGUHVFSQENC-CHWSQXEVSA-N 0 1 293.411 0.738 20 30 CCEDMN N#Cc1ccc(N[C@H]2CCN(C(=O)Cc3ncn[nH]3)C2)cn1 ZINC001058959779 790634053 /nfs/dbraw/zinc/63/40/53/790634053.db2.gz LRCSPOOBYPDSLW-LBPRGKRZSA-N 0 1 297.322 0.327 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccc(-n2ccnc2)nc1 ZINC001266406069 790647215 /nfs/dbraw/zinc/64/72/15/790647215.db2.gz TVVRBTQYCYTUMN-UHFFFAOYSA-N 0 1 297.362 0.952 20 30 CCEDMN C#CC[N@H+](CC)CCNC(=O)c1cnn(CC)c1C ZINC001266425599 790674254 /nfs/dbraw/zinc/67/42/54/790674254.db2.gz VHRGCVPTGGHXRM-UHFFFAOYSA-N 0 1 262.357 0.896 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnn(CC)c1C ZINC001266425599 790674262 /nfs/dbraw/zinc/67/42/62/790674262.db2.gz VHRGCVPTGGHXRM-UHFFFAOYSA-N 0 1 262.357 0.896 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H]1C[C@]12CCOC2 ZINC001266428560 790687035 /nfs/dbraw/zinc/68/70/35/790687035.db2.gz RPOUUHDRJMYWKZ-OCCSQVGLSA-N 0 1 250.342 0.484 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CCc2nncn2C1 ZINC001266440146 790709990 /nfs/dbraw/zinc/70/99/90/790709990.db2.gz ILBMJNXMFMUXQO-ZDUSSCGKSA-N 0 1 289.383 0.302 20 30 CCEDMN C#CCOCCC(=O)NC[C@@H]1CCCN1CC#CCOC ZINC001266465009 790759717 /nfs/dbraw/zinc/75/97/17/790759717.db2.gz NFDFAHMOORZPGO-HNNXBMFYSA-N 0 1 292.379 0.257 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)Cc1c[nH]cn1 ZINC001266483144 790774055 /nfs/dbraw/zinc/77/40/55/790774055.db2.gz PDCRVWVIQFOWNQ-AWEZNQCLSA-N 0 1 290.367 0.183 20 30 CCEDMN C[C@H](CNC(=O)c1cnn[nH]1)CNc1ccc(C#N)cn1 ZINC001104268966 790830247 /nfs/dbraw/zinc/83/02/47/790830247.db2.gz WSXJRIYDYFRHMU-VIFPVBQESA-N 0 1 285.311 0.549 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)[C@@H](F)CC)C1 ZINC001266525643 790869909 /nfs/dbraw/zinc/86/99/09/790869909.db2.gz KZIVHQGMNNDALM-RYUDHWBXSA-N 0 1 285.363 0.475 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2ccn(C)n2)C1 ZINC001266548641 790911084 /nfs/dbraw/zinc/91/10/84/790911084.db2.gz DNKIGVXZSWSJLF-ZDUSSCGKSA-N 0 1 290.367 0.122 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)c1cc[n+]([O-])cc1 ZINC001266634580 791063121 /nfs/dbraw/zinc/06/31/21/791063121.db2.gz XJBCTWGSOYQCEG-UHFFFAOYSA-N 0 1 269.732 0.734 20 30 CCEDMN C#CCCCC(=O)N1CCC[C@@H]2CN(CC(N)=O)CC[C@@H]21 ZINC001266658780 791092257 /nfs/dbraw/zinc/09/22/57/791092257.db2.gz IKTLGZYBIITKTE-KGLIPLIRSA-N 0 1 291.395 0.588 20 30 CCEDMN Cc1ncoc1CNCCN(C)C(=O)[C@H](C)C#N ZINC001266660862 791093366 /nfs/dbraw/zinc/09/33/66/791093366.db2.gz VFGKIZPHDRLNIX-SECBINFHSA-N 0 1 250.302 0.691 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)COC)C[C@@H](C)O2 ZINC001098549343 791122726 /nfs/dbraw/zinc/12/27/26/791122726.db2.gz QJFIVWDQXKRPJA-CYBMUJFWSA-N 0 1 280.368 0.348 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CCN1C[C@@H](C)O ZINC001231241799 805757237 /nfs/dbraw/zinc/75/72/37/805757237.db2.gz ZLCBUXUVXLGJDQ-VXGBXAGGSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CN(C)C(=O)[C@H](C)C#N ZINC001231293434 805772041 /nfs/dbraw/zinc/77/20/41/805772041.db2.gz NGIBZHWMJPOSGT-CHWSQXEVSA-N 0 1 265.357 0.881 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)CCCCNC(N)=O)C1 ZINC001277626100 805904987 /nfs/dbraw/zinc/90/49/87/805904987.db2.gz SEFGESZETKFUBD-UHFFFAOYSA-N 0 1 282.388 0.544 20 30 CCEDMN COC(=O)C1(C#N)CCN(Cc2cnc(C)[nH]2)CC1 ZINC001232467973 805943901 /nfs/dbraw/zinc/94/39/01/805943901.db2.gz JHRVCTKRIIXYQP-UHFFFAOYSA-N 0 1 262.313 0.997 20 30 CCEDMN C[C@]1(CO)C[N@@H+](Cc2cc(C#N)ccc2[O-])CC[C@H]1O ZINC001232673116 805967008 /nfs/dbraw/zinc/96/70/08/805967008.db2.gz AHRNCNKYGNTMKM-HUUCEWRRSA-N 0 1 276.336 0.829 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](CNC(=O)[C@H](C)CC)C1 ZINC001266739759 791201363 /nfs/dbraw/zinc/20/13/63/791201363.db2.gz DABNGJGQPFTTTH-ZIAGYGMSSA-N 0 1 293.411 0.610 20 30 CCEDMN C#CCN1CCCC[C@@H]1CNC(=O)CCc1cn(C)nn1 ZINC001266860036 791298132 /nfs/dbraw/zinc/29/81/32/791298132.db2.gz VQMPPWGMKLTCRO-CQSZACIVSA-N 0 1 289.383 0.352 20 30 CCEDMN C#CC[NH2+]CCCNC(=O)c1n[n-]c2ccccc2c1=O ZINC001167491266 791307283 /nfs/dbraw/zinc/30/72/83/791307283.db2.gz IOAGLABBEHFWAG-UHFFFAOYSA-N 0 1 284.319 0.678 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NC)C[C@H]21 ZINC001266903325 791331134 /nfs/dbraw/zinc/33/11/34/791331134.db2.gz ZJBXSOLZWQLMAS-XRMRDTNMSA-N 0 1 293.411 0.770 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)CCSC)C1 ZINC001149168598 791492909 /nfs/dbraw/zinc/49/29/09/791492909.db2.gz UZCOIVRLLDYZRB-CYBMUJFWSA-N 0 1 284.425 0.970 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H](N(C)[C@H](C)C(N)=O)C1 ZINC001267100211 791536828 /nfs/dbraw/zinc/53/68/28/791536828.db2.gz HSSCWDFCEJYECO-NEPJUHHUSA-N 0 1 267.373 0.749 20 30 CCEDMN C#CCN(C)CCNC(=O)C1(COC)CCCC1 ZINC001267198765 791659626 /nfs/dbraw/zinc/65/96/26/791659626.db2.gz ASZQXZDYCQMZIR-UHFFFAOYSA-N 0 1 252.358 0.874 20 30 CCEDMN C=CCC[C@@H](C)N(C)CCNC(=O)[C@H](C)S(C)(=O)=O ZINC001267202606 791668178 /nfs/dbraw/zinc/66/81/78/791668178.db2.gz DDHJMZVSEVEHRU-NEPJUHHUSA-N 0 1 290.429 0.822 20 30 CCEDMN CC#CCN(C)CCNC(=O)C1CCN(C(C)=O)CC1 ZINC001267229082 791706376 /nfs/dbraw/zinc/70/63/76/791706376.db2.gz HNQRLQJSEHCRJX-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN COC(=O)c1nc[n-]c(=O)c1O[C@H](CC#N)C[N+](C)(C)C ZINC001233757970 806115844 /nfs/dbraw/zinc/11/58/44/806115844.db2.gz IIICANAQZUJPNP-SECBINFHSA-O 0 1 295.319 0.336 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@@H]1CCN1CCCOCC ZINC001234266878 806212126 /nfs/dbraw/zinc/21/21/26/806212126.db2.gz UTENYMNDKCJPDG-CABCVRRESA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CCN1CCCOCC ZINC001234266883 806213677 /nfs/dbraw/zinc/21/36/77/806213677.db2.gz UTENYMNDKCJPDG-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cc(C)nnc1C ZINC001267242503 793232467 /nfs/dbraw/zinc/23/24/67/793232467.db2.gz OMJNQXSYFYXIIN-UHFFFAOYSA-N 0 1 260.341 0.778 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H]1CCC[C@@H]1OC ZINC001267246066 793236533 /nfs/dbraw/zinc/23/65/33/793236533.db2.gz PJOLOOCDYXHIGX-GJZGRUSLSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cn(CC)nc1C ZINC001267250488 793242380 /nfs/dbraw/zinc/24/23/80/793242380.db2.gz KOJIQZCIWJLPEQ-UHFFFAOYSA-N 0 1 262.357 0.896 20 30 CCEDMN NC(=O)c1ccc2nc(=O)[nH]c(O[C@H]3C=CC(=O)C3)c2c1 ZINC001234515059 806265873 /nfs/dbraw/zinc/26/58/73/806265873.db2.gz CDWJLEQKHNVUGK-VIFPVBQESA-N 0 1 285.259 0.711 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCc1ccncn1 ZINC001234627203 806288126 /nfs/dbraw/zinc/28/81/26/806288126.db2.gz ZRUZTCUKFPOLJV-HNNXBMFYSA-N 0 1 286.379 0.965 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CCn1ccccc1=O ZINC001235025020 806347871 /nfs/dbraw/zinc/34/78/71/806347871.db2.gz VBPIXMSAGZJHLS-AWEZNQCLSA-N 0 1 289.379 0.957 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCN(C)CC(=O)N(C)C ZINC001235230427 806391722 /nfs/dbraw/zinc/39/17/22/806391722.db2.gz TWRCPDQJOAUEDO-CYBMUJFWSA-N 0 1 299.415 0.494 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)C[C@H](C)OC ZINC001235388482 806416875 /nfs/dbraw/zinc/41/68/75/806416875.db2.gz PXAKBVSXNGLRCC-LBPRGKRZSA-N 0 1 285.388 0.152 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)N(C)CC ZINC001235480573 806430810 /nfs/dbraw/zinc/43/08/10/806430810.db2.gz MFMVLSJEPAMFJM-UHFFFAOYSA-N 0 1 269.389 0.869 20 30 CCEDMN N#Cc1ccc(O)c(CN2CCn3cnc(CO)c3C2)c1 ZINC001235508339 806439612 /nfs/dbraw/zinc/43/96/12/806439612.db2.gz UERUEMPWENAJFB-UHFFFAOYSA-N 0 1 284.319 0.968 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@@H](C)COC ZINC001235669461 806488462 /nfs/dbraw/zinc/48/84/62/806488462.db2.gz NADXJHVPAJIDRG-STQMWFEESA-N 0 1 299.415 0.398 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)CC(=O)N[C@@H](C)CC ZINC001235697628 806495331 /nfs/dbraw/zinc/49/53/31/806495331.db2.gz BGCDBUDLOINTLR-ZDUSSCGKSA-N 0 1 299.415 0.542 20 30 CCEDMN CC(F)(F)C(=O)NC[C@@H](CO)NCC#Cc1ccccc1 ZINC001278042250 806628081 /nfs/dbraw/zinc/62/80/81/806628081.db2.gz ZILINPISZUJSOR-ZDUSSCGKSA-N 0 1 296.317 0.760 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc2c(o1)CCCC2 ZINC001278093787 806659297 /nfs/dbraw/zinc/65/92/97/806659297.db2.gz QYEJLWSYHVOBHN-CYBMUJFWSA-N 0 1 290.363 0.862 20 30 CCEDMN N#Cc1cccc([N-]S(=O)(=O)CC2CC[NH2+]CC2)n1 ZINC001237503903 806677236 /nfs/dbraw/zinc/67/72/36/806677236.db2.gz JJQQOQWOHGMYPJ-UHFFFAOYSA-N 0 1 280.353 0.695 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1c(C)cc(C)nc1C ZINC001278118812 806691292 /nfs/dbraw/zinc/69/12/92/806691292.db2.gz CZBJVKFKRYPMKB-ZDUSSCGKSA-N 0 1 275.352 0.320 20 30 CCEDMN N#CC1(CNC[C@H](O)C2(O)CCOCC2)CCCC1 ZINC001120515280 798842458 /nfs/dbraw/zinc/84/24/58/798842458.db2.gz WAMSOYIYPLVJFI-LBPRGKRZSA-N 0 1 268.357 0.562 20 30 CCEDMN C[C@@H](CN(C)C(=O)Cc1c[nH]cn1)Nc1ccc(C#N)nn1 ZINC001120712980 798920375 /nfs/dbraw/zinc/92/03/75/798920375.db2.gz VLQBONQYURAOII-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN C=CCN(C(=O)c1cnncc1O)[C@@H](C)COC ZINC001120756788 798929604 /nfs/dbraw/zinc/92/96/04/798929604.db2.gz OOZLZFAUQNSURO-VIFPVBQESA-N 0 1 251.286 0.845 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)C1(C(F)F)CCC1 ZINC001278138120 806712714 /nfs/dbraw/zinc/71/27/14/806712714.db2.gz KRVASINKCMCCKY-SNVBAGLBSA-N 0 1 274.311 0.512 20 30 CCEDMN C=CCn1c(C2=NO[C@H](CO)C2)nnc1N(C)C1CCC1 ZINC001121658445 799063596 /nfs/dbraw/zinc/06/35/96/799063596.db2.gz UGZWWIULPWQENY-NSHDSACASA-N 0 1 291.355 0.938 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)Cc1c(C)nn(C)c1C ZINC001121888615 799083793 /nfs/dbraw/zinc/08/37/93/799083793.db2.gz OBLHSCQLNKPFEZ-JTQLQIEISA-N 0 1 262.357 0.307 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCO[C@H](CC(C)C)C1 ZINC001122042604 799130316 /nfs/dbraw/zinc/13/03/16/799130316.db2.gz KALNBIODGMQWTI-QWHCGFSZSA-N 0 1 252.358 0.919 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C[C@H](C)CC)C(=O)OCC ZINC001122170241 799160130 /nfs/dbraw/zinc/16/01/30/799160130.db2.gz YKWSUGMAABYLHE-NEPJUHHUSA-N 0 1 268.357 0.693 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC[C@H]1COCCN1 ZINC001122171153 799160140 /nfs/dbraw/zinc/16/01/40/799160140.db2.gz CWEWALFIHYYFHE-SRVKXCTJSA-N 0 1 254.330 0.072 20 30 CCEDMN C#CCNCC(=O)N[C@H](CC(=O)OC)c1cccc(C)c1 ZINC001122196543 799167255 /nfs/dbraw/zinc/16/72/55/799167255.db2.gz IPLHVINNRKBVMW-CQSZACIVSA-N 0 1 288.347 0.938 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@H]1C[C@@H]1CCCO1 ZINC001122365020 799214265 /nfs/dbraw/zinc/21/42/65/799214265.db2.gz LWPOMIDOYTYBRT-OLZOCXBDSA-N 0 1 266.341 0.006 20 30 CCEDMN CCN1CCc2c([nH]nc2C(=O)NC2(C#N)CCC2)C1 ZINC001278160207 806732070 /nfs/dbraw/zinc/73/20/70/806732070.db2.gz GVKVALUDVVAEHC-UHFFFAOYSA-N 0 1 273.340 0.964 20 30 CCEDMN N#C[C@@H](C(=O)CN1CCOCC1=O)c1cccc(F)n1 ZINC001122724485 799289687 /nfs/dbraw/zinc/28/96/87/799289687.db2.gz ZKWYHMDHWZVMRP-SECBINFHSA-N 0 1 277.255 0.256 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1cnccn1 ZINC001122826047 799299893 /nfs/dbraw/zinc/29/98/93/799299893.db2.gz FQXMPSTZDWUOCS-UHFFFAOYSA-N 0 1 281.319 0.868 20 30 CCEDMN C=C(CC)C(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC001123790227 799475106 /nfs/dbraw/zinc/47/51/06/799475106.db2.gz QYSLTTSIGDQHQY-MRVPVSSYSA-N 0 1 253.331 0.782 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc2c(s1)CCCC2 ZINC001278194540 806756000 /nfs/dbraw/zinc/75/60/00/806756000.db2.gz JAJZESCCTOOKEC-LBPRGKRZSA-N 0 1 292.404 0.940 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1cc(C)cn1 ZINC001124281029 799588509 /nfs/dbraw/zinc/58/85/09/799588509.db2.gz ANPBESDBWQAYMZ-UHFFFAOYSA-N 0 1 256.737 0.650 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1nccnc1N ZINC001125029289 799653180 /nfs/dbraw/zinc/65/31/80/799653180.db2.gz SAGRAHYXCCBQNV-UHFFFAOYSA-N 0 1 296.334 0.450 20 30 CCEDMN COc1ccc(CN2CC[C@@H](C(N)=O)C2)c(C#N)c1 ZINC001137703647 799682781 /nfs/dbraw/zinc/68/27/81/799682781.db2.gz SQSZGMWAWYITJM-LLVKDONJSA-N 0 1 259.309 0.874 20 30 CCEDMN CN(Cc1ccc(Cl)c(C#N)c1)C[C@@H](O)CO ZINC001238190524 806770554 /nfs/dbraw/zinc/77/05/54/806770554.db2.gz DBCILAAXPQEWGU-LLVKDONJSA-N 0 1 254.717 0.997 20 30 CCEDMN C=CCn1cc(CN2CC[C@@H](O)[C@H](CO)C2)cn1 ZINC001139769106 799839264 /nfs/dbraw/zinc/83/92/64/799839264.db2.gz ZSKGEPNLSUAIDU-QWHCGFSZSA-N 0 1 251.330 0.244 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2cnns2)[C@H]1C ZINC001278233726 806784719 /nfs/dbraw/zinc/78/47/19/806784719.db2.gz LMOSSFYBURQCCZ-ZYHUDNBSSA-N 0 1 296.396 0.820 20 30 CCEDMN C#Cc1cncc(C(=O)NCc2n[nH]c(CC)n2)c1 ZINC001141391034 800006492 /nfs/dbraw/zinc/00/64/92/800006492.db2.gz VTRVPGZPFLRLIJ-UHFFFAOYSA-N 0 1 255.281 0.673 20 30 CCEDMN C[N@H+](Cc1c[nH]c2cc(C#N)ccc12)C[C@@H](O)CO ZINC001142915473 800173331 /nfs/dbraw/zinc/17/33/31/800173331.db2.gz AZHIKMZDKOTNGF-GFCCVEGCSA-N 0 1 259.309 0.825 20 30 CCEDMN N#C[C@H]1CN(Cc2cn(-c3cnccn3)cn2)CCC1=O ZINC001238817829 806809780 /nfs/dbraw/zinc/80/97/80/806809780.db2.gz VYEBFXUXUNYWJP-NSHDSACASA-N 0 1 282.307 0.577 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)[C@H](C)n2cncn2)C1 ZINC001149549493 800276054 /nfs/dbraw/zinc/27/60/54/800276054.db2.gz OAJCHAKXEGFJOX-QWHCGFSZSA-N 0 1 293.371 0.232 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)[C@@H](F)CC)C1 ZINC001150090238 800316643 /nfs/dbraw/zinc/31/66/43/800316643.db2.gz DAYCJFPPUXMTBY-OLZOCXBDSA-N 0 1 270.348 0.965 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2c[nH]cn2)C1 ZINC001150371153 800333078 /nfs/dbraw/zinc/33/30/78/800333078.db2.gz GQGRRKMBXPWHED-CYBMUJFWSA-N 0 1 278.356 0.345 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC[C@H]1CN(CC=C)CCCO1 ZINC001150810464 800369084 /nfs/dbraw/zinc/36/90/84/800369084.db2.gz DKEKXCKVDRWPAS-KGLIPLIRSA-N 0 1 282.384 0.971 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+](CC)[C@H](C)CNC(C)=O)C1=O ZINC001151430542 800424788 /nfs/dbraw/zinc/42/47/88/800424788.db2.gz VHIUZFBACWQVPB-YPMHNXCESA-N 0 1 267.373 0.620 20 30 CCEDMN C=CCN1CC[C@H](N(CC)[C@H](C)CNC(C)=O)C1=O ZINC001151430542 800424794 /nfs/dbraw/zinc/42/47/94/800424794.db2.gz VHIUZFBACWQVPB-YPMHNXCESA-N 0 1 267.373 0.620 20 30 CCEDMN C#CCNC(=O)CC(=O)NC[C@@H](C)[N@@H+](CC)C/C=C\Cl ZINC001152861598 800562290 /nfs/dbraw/zinc/56/22/90/800562290.db2.gz FKDUQQQRDOFKMY-ZHRWSRJISA-N 0 1 299.802 0.705 20 30 CCEDMN C#CCNC(=O)CC(=O)NC[C@@H](C)N(CC)C/C=C\Cl ZINC001152861598 800562292 /nfs/dbraw/zinc/56/22/92/800562292.db2.gz FKDUQQQRDOFKMY-ZHRWSRJISA-N 0 1 299.802 0.705 20 30 CCEDMN C=CCCOCC(=O)NCCN[C@@H](C)c1cnccn1 ZINC001153085485 800595501 /nfs/dbraw/zinc/59/55/01/800595501.db2.gz CHQBRROGZPGGKA-LBPRGKRZSA-N 0 1 278.356 0.836 20 30 CCEDMN CC1(C#N)CN(C(=O)c2cnc3cccnc3c2O)C1 ZINC001153854084 800679563 /nfs/dbraw/zinc/67/95/63/800679563.db2.gz KTVXGVVPNXKFSK-UHFFFAOYSA-N 0 1 268.276 0.909 20 30 CCEDMN C=C(C)CN(CC)[C@H](C)CNC(=O)[C@@H](C)S(C)(=O)=O ZINC001154038543 800709289 /nfs/dbraw/zinc/70/92/89/800709289.db2.gz JOFRSWDIWIHKLW-VXGBXAGGSA-N 0 1 290.429 0.822 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)CCCCc1cn[nH]n1 ZINC001154455227 800784665 /nfs/dbraw/zinc/78/46/65/800784665.db2.gz LIQLYTVDLUVDQU-GFCCVEGCSA-N 0 1 292.387 0.868 20 30 CCEDMN CCOC(=O)c1c(CN)ncn1-c1ccc(C#N)cn1 ZINC001155076771 800903785 /nfs/dbraw/zinc/90/37/85/800903785.db2.gz IQTWLSYIFULDDV-UHFFFAOYSA-N 0 1 271.280 0.774 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCCNCc1ncnn1C ZINC001155427497 800973328 /nfs/dbraw/zinc/97/33/28/800973328.db2.gz SVUKWZQUEFKWES-LBPRGKRZSA-N 0 1 295.387 0.392 20 30 CCEDMN Cc1ccc(NCc2nnc3n2CCCNC3)nc1C#N ZINC001155430242 800975135 /nfs/dbraw/zinc/97/51/35/800975135.db2.gz JFFOGBUWWRPJMX-UHFFFAOYSA-N 0 1 283.339 0.959 20 30 CCEDMN C#CCC[C@@H](O)CNC(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001155521827 800998151 /nfs/dbraw/zinc/99/81/51/800998151.db2.gz YYRDLUUKTAIKPI-CQSZACIVSA-N 0 1 298.346 0.900 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1cc(C)on1 ZINC001155725275 801044150 /nfs/dbraw/zinc/04/41/50/801044150.db2.gz MFTVPEHASGSGER-UHFFFAOYSA-N 0 1 267.329 0.782 20 30 CCEDMN CC(=O)CC(C)=Nc1cc(N2CCC[C@H]2C(N)=O)ncn1 ZINC001155892540 801071458 /nfs/dbraw/zinc/07/14/58/801071458.db2.gz PCPKDRRNVBRLTL-WDDYJBPVSA-N 0 1 289.339 0.835 20 30 CCEDMN N#Cc1c[nH]c2nc(NCCNC(=O)C(F)(F)F)ncc12 ZINC001156204023 801129329 /nfs/dbraw/zinc/12/93/29/801129329.db2.gz YFFFXYSYULESMZ-UHFFFAOYSA-N 0 1 298.228 0.920 20 30 CCEDMN CC#CCN(CC)[C@H](C)CNC(=O)C(=O)N1CC[C@@H](C)C1 ZINC001156277513 801143063 /nfs/dbraw/zinc/14/30/63/801143063.db2.gz WSHUGDXSBQLRQT-ZIAGYGMSSA-N 0 1 293.411 0.705 20 30 CCEDMN C=C(CO)C(=O)Nc1cc(C(=O)OC(C)C)[nH]n1 ZINC001157105574 801303267 /nfs/dbraw/zinc/30/32/67/801303267.db2.gz ONSDTKQPGIEAQP-UHFFFAOYSA-N 0 1 253.258 0.462 20 30 CCEDMN C#CCCCC(=O)NCCCNCc1cn(CC)nn1 ZINC001157170796 801317031 /nfs/dbraw/zinc/31/70/31/801317031.db2.gz PXHJSJUJHDABLC-UHFFFAOYSA-N 0 1 277.372 0.697 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cc[n+]([O-])cc1 ZINC001157480734 801396537 /nfs/dbraw/zinc/39/65/37/801396537.db2.gz MAYVJFOCJHLXHJ-OAHLLOKOSA-N 0 1 287.363 0.880 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)COCC ZINC001157510469 801403498 /nfs/dbraw/zinc/40/34/98/801403498.db2.gz RYQINQCQFHKLDT-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN CCn1cc(CNCCCNC(=O)C#CC2CC2)nn1 ZINC001157682802 801454069 /nfs/dbraw/zinc/45/40/69/801454069.db2.gz QKDYHNVWTIGHPR-UHFFFAOYSA-N 0 1 275.356 0.307 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H]1CCNC(=O)C1 ZINC001157796277 801487728 /nfs/dbraw/zinc/48/77/28/801487728.db2.gz FBKFJABNGBQXHG-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCCCNCc1nonc1C ZINC001157869085 801506580 /nfs/dbraw/zinc/50/65/80/801506580.db2.gz FYQGKRIYMDXKIJ-LLVKDONJSA-N 0 1 280.328 0.012 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1[nH]c(=O)[nH]c1C ZINC001158101323 801571144 /nfs/dbraw/zinc/57/11/44/801571144.db2.gz BQHNKAYQJDJXBL-GFCCVEGCSA-N 0 1 290.367 0.983 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1C[C@@H]2C[C@@H]2C1 ZINC001158315549 801620072 /nfs/dbraw/zinc/62/00/72/801620072.db2.gz ZIDNFXCEILSQQF-MJBXVCDLSA-N 0 1 255.321 0.906 20 30 CCEDMN CC#CCN(C)C[C@H]1CCCCN1C(=O)[C@@H](C)CC(N)=O ZINC001158350209 801624954 /nfs/dbraw/zinc/62/49/54/801624954.db2.gz NKCXYHFBVSTLAU-UONOGXRCSA-N 0 1 293.411 0.834 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cc2ccn(C)n2)CC1 ZINC001160106787 801909312 /nfs/dbraw/zinc/90/93/12/801909312.db2.gz BXHIDDKMFFSTDR-UHFFFAOYSA-N 0 1 286.379 0.734 20 30 CCEDMN N#C[C@@H]1CN(C(=O)[C@@H]2Cc3ccccc3CN2)CCN1 ZINC001160651819 801990119 /nfs/dbraw/zinc/99/01/19/801990119.db2.gz ZXNYWUGFRXKIGL-KGLIPLIRSA-N 0 1 270.336 0.025 20 30 CCEDMN C[C@@H]1C[C@H](O)CCN1C(=O)[C@@H](N)Cc1ccccc1C#N ZINC001160656001 801992101 /nfs/dbraw/zinc/99/21/01/801992101.db2.gz SQIYRQGIMYGDBR-DFBGVHRSSA-N 0 1 287.363 0.800 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1C[C@@H]2C[C@H]1[C@H](C(F)(F)F)O2 ZINC001160766595 802018886 /nfs/dbraw/zinc/01/88/86/802018886.db2.gz VEAZUHIRINVBCE-OORONAJNSA-N 0 1 262.231 0.268 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)N1CCO[C@H](C#N)C1 ZINC001160877704 802039110 /nfs/dbraw/zinc/03/91/10/802039110.db2.gz BBPIBZGAKDYKLC-KGLIPLIRSA-N 0 1 284.319 0.179 20 30 CCEDMN N#CCN1CC=C(CCNC(=O)Cc2cnc[nH]2)CC1 ZINC001161328394 802127572 /nfs/dbraw/zinc/12/75/72/802127572.db2.gz WBJCFZDEEHIFNU-UHFFFAOYSA-N 0 1 273.340 0.614 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cn(CC=C)nn2)CC1 ZINC001161417821 802151445 /nfs/dbraw/zinc/15/14/45/802151445.db2.gz YHYVWIAMVVTXFM-UHFFFAOYSA-N 0 1 299.378 0.849 20 30 CCEDMN N#Cc1cncc(-n2c3ccncc3nc2CN)n1 ZINC001162215359 802289370 /nfs/dbraw/zinc/28/93/70/802289370.db2.gz VWAXJSDAPLSLGV-UHFFFAOYSA-N 0 1 251.253 0.541 20 30 CCEDMN CNC(=O)c1ccnc(NC2(C#N)CCN(C)CC2)c1 ZINC001162231371 802292813 /nfs/dbraw/zinc/29/28/13/802292813.db2.gz IWZNNJDWIIRENU-UHFFFAOYSA-N 0 1 273.340 0.841 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC001162687854 802394949 /nfs/dbraw/zinc/39/49/49/802394949.db2.gz ZNSNBVQEMDKEHY-RVMXOQNASA-N 0 1 262.357 0.183 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)CC[C@@H](C)OC ZINC001278854360 808337155 /nfs/dbraw/zinc/33/71/55/808337155.db2.gz BKSCVWKQLDZSJW-ZIAGYGMSSA-N 0 1 284.400 0.576 20 30 CCEDMN C[C@H]1[C@H](C)N(c2cnc(C#N)c(C#N)n2)CCN1C ZINC001162942993 802441512 /nfs/dbraw/zinc/44/15/12/802441512.db2.gz IKSLWIOQNMQRPF-UWVGGRQHSA-N 0 1 256.313 0.749 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1ccc2c(c1)ncn2C ZINC001255475343 808381990 /nfs/dbraw/zinc/38/19/90/808381990.db2.gz RGCHJDXTKLGEGE-UHFFFAOYSA-N 0 1 299.378 0.938 20 30 CCEDMN COC(=O)c1cc(C#N)cnc1-n1c(C)nnc1CN ZINC001163353473 802545330 /nfs/dbraw/zinc/54/53/30/802545330.db2.gz IFCQFQVGQREZBS-UHFFFAOYSA-N 0 1 272.268 0.088 20 30 CCEDMN CC(=O)CC(C)=Nc1cc(S(C)(=O)=O)ncn1 ZINC001163732958 802600880 /nfs/dbraw/zinc/60/08/80/802600880.db2.gz BNHLUZOBBJKVIU-DAXSKMNVSA-N 0 1 255.299 0.785 20 30 CCEDMN CC(=O)CC(C)=Nc1ccc(S(N)(=O)=O)c(F)n1 ZINC001163738317 802601475 /nfs/dbraw/zinc/60/14/75/802601475.db2.gz XFCOCFUSFBHHLK-WAYWQWQTSA-N 0 1 273.289 0.773 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)C1(C(=O)NC)CC1 ZINC001279587213 809987163 /nfs/dbraw/zinc/98/71/63/809987163.db2.gz LPUGHMCNJKJINJ-OLZOCXBDSA-N 0 1 289.379 0.211 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCn2ccnn2)C1 ZINC001267924886 811871697 /nfs/dbraw/zinc/87/16/97/811871697.db2.gz LJLQXABUQHYALN-CYBMUJFWSA-N 0 1 275.356 0.272 20 30 CCEDMN CCN(CCC#N)c1ncccc1C(=O)N1CCNCC1 ZINC001166591301 802922834 /nfs/dbraw/zinc/92/28/34/802922834.db2.gz JXRCCTIBVXJOQW-UHFFFAOYSA-N 0 1 287.367 0.867 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)COCC2CC2)C1 ZINC001206197695 803213983 /nfs/dbraw/zinc/21/39/83/803213983.db2.gz YPANWPVCXCQVSO-DGCLKSJQSA-N 0 1 250.342 0.483 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@](C)(O)C2CC2)C1 ZINC001206877580 803297382 /nfs/dbraw/zinc/29/73/82/803297382.db2.gz RTYUWVOJYDCFHB-KYOSRNDESA-N 0 1 264.369 0.607 20 30 CCEDMN CC1CCN(CC(=O)N2C[C@H]3CC[C@@H](C2)N3CC#N)CC1 ZINC001029524634 814375952 /nfs/dbraw/zinc/37/59/52/814375952.db2.gz JIZIXUIYOSRKAN-GASCZTMLSA-N 0 1 290.411 0.917 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CNC(=O)C2CC2)C1 ZINC001207490098 803410648 /nfs/dbraw/zinc/41/06/48/803410648.db2.gz RQIURMFQOAIQGB-GFCCVEGCSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CC(=O)NCC)C1 ZINC001207873070 803459733 /nfs/dbraw/zinc/45/97/33/803459733.db2.gz ZJXSOMZYZOPBNJ-LLVKDONJSA-N 0 1 287.791 0.846 20 30 CCEDMN C=CCOCCN1C[C@@H](C)[C@H](NC(=O)c2csnn2)C1 ZINC001208199073 803497348 /nfs/dbraw/zinc/49/73/48/803497348.db2.gz IOXBIZPLLCQZSI-GHMZBOCLSA-N 0 1 296.396 0.791 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN([C@@H]2CCCNC2=O)C[C@H]1C ZINC001208309706 803504361 /nfs/dbraw/zinc/50/43/61/803504361.db2.gz UANCVUMLLGJMLH-JHJVBQTASA-N 0 1 293.411 0.914 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CN([C@@H](C)C(=O)NC)C[C@H]1C ZINC001208374808 803510601 /nfs/dbraw/zinc/51/06/01/803510601.db2.gz XVTFDFHSLIRCFB-HZSPNIEDSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](C)Cc2c[nH]cn2)C1 ZINC001209327709 803595734 /nfs/dbraw/zinc/59/57/34/803595734.db2.gz MIMBKRDNTTYODM-YRGRVCCFSA-N 0 1 274.368 0.658 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)CNC(C)=O)C1 ZINC001209361504 803600250 /nfs/dbraw/zinc/60/02/50/803600250.db2.gz VANCXLRKJCBJMB-DGCLKSJQSA-N 0 1 279.384 0.218 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](CCC)OC)[C@H](OC)C1 ZINC001213262888 803688306 /nfs/dbraw/zinc/68/83/06/803688306.db2.gz MWEHCECSJQYCPT-HZSPNIEDSA-N 0 1 282.384 0.640 20 30 CCEDMN C=CCO[C@@H](C)C(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001213964496 803707663 /nfs/dbraw/zinc/70/76/63/803707663.db2.gz ZFQPFFWVMAUSNM-QJPTWQEYSA-N 0 1 256.346 0.413 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc3[nH]cnc32)[C@H]1C ZINC001088597762 814963851 /nfs/dbraw/zinc/96/38/51/814963851.db2.gz WHQWSMLRNKCYBK-PWSUYJOCSA-N 0 1 283.335 0.784 20 30 CCEDMN CCCN1C[C@H]2OCCN(C(=O)CSCC#N)[C@H]2C1 ZINC001217331215 803885126 /nfs/dbraw/zinc/88/51/26/803885126.db2.gz MIPPIFYDHUDPKQ-NWDGAFQWSA-N 0 1 283.397 0.565 20 30 CCEDMN C=CCOCC(=O)N1CCO[C@@H]2CN(CCC)C[C@@H]21 ZINC001217523019 803896115 /nfs/dbraw/zinc/89/61/15/803896115.db2.gz FTDVFEVMRKAWCV-QWHCGFSZSA-N 0 1 268.357 0.511 20 30 CCEDMN C#CCCCC(=O)N1CCO[C@@H]2CN(CCCO)C[C@@H]21 ZINC001217765413 803912857 /nfs/dbraw/zinc/91/28/57/803912857.db2.gz YVJUSSXKNZPGGL-UONOGXRCSA-N 0 1 280.368 0.084 20 30 CCEDMN N#Cc1cnc(NC(=O)[C@H](N)Cc2ccccc2F)cn1 ZINC001218504864 803971374 /nfs/dbraw/zinc/97/13/74/803971374.db2.gz UPLAMQRKFBAZKG-GFCCVEGCSA-N 0 1 285.282 0.996 20 30 CCEDMN Cn1nncc1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001218699527 804085118 /nfs/dbraw/zinc/08/51/18/804085118.db2.gz BMQGGKUQWQRSMK-NSHDSACASA-N 0 1 270.296 0.195 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2cocn2)[C@@H](O)C1 ZINC001219355621 804283538 /nfs/dbraw/zinc/28/35/38/804283538.db2.gz HVYMPJCQQIHNBN-PWSUYJOCSA-N 0 1 265.313 0.416 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CCc2ccccc2)C[C@@H]1O ZINC001219378944 804290029 /nfs/dbraw/zinc/29/00/29/804290029.db2.gz JOQUCKSGKVWWJW-CFVMTHIKSA-N 0 1 287.363 0.550 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CN(CC2CC(F)(F)C2)C[C@@H]1O ZINC001219381298 804292513 /nfs/dbraw/zinc/29/25/13/804292513.db2.gz NVVVANDQXAVZGJ-IEBDPFPHSA-N 0 1 287.310 0.353 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@H](C)CCC)[C@@H](O)C1 ZINC001219391558 804296106 /nfs/dbraw/zinc/29/61/06/804296106.db2.gz AUEKYBLNXHUUDK-MJBXVCDLSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CC=C(C)C)C[C@@H]1O ZINC001219523399 804336496 /nfs/dbraw/zinc/33/64/96/804336496.db2.gz GDIIGKMDVVTBBY-KGLIPLIRSA-N 0 1 264.369 0.917 20 30 CCEDMN Cn1ccnc1CNC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001219564111 804351335 /nfs/dbraw/zinc/35/13/35/804351335.db2.gz SEVCLGPPPNXMDJ-ZDUSSCGKSA-N 0 1 283.335 0.478 20 30 CCEDMN C=C(C(=O)NC[C@]1(O)CCNC[C@@H]1F)C(F)(F)F ZINC001219617905 804376391 /nfs/dbraw/zinc/37/63/91/804376391.db2.gz PXNIDQFBTQDRBM-IONNQARKSA-N 0 1 270.226 0.284 20 30 CCEDMN C#CCNC(=O)[C@@H](N)Cc1cn(C)c2ccccc12 ZINC001219880108 804437829 /nfs/dbraw/zinc/43/78/29/804437829.db2.gz UOZCYTJPXNHVGB-ZDUSSCGKSA-N 0 1 255.321 0.798 20 30 CCEDMN Cc1c[nH]c(CCNC(=O)[C@@H](N)Cc2ccccc2C#N)n1 ZINC001219952545 804455984 /nfs/dbraw/zinc/45/59/84/804455984.db2.gz XRCHABPTJDXGET-AWEZNQCLSA-N 0 1 297.362 0.819 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2cncnc2)[C@@H](O)C1 ZINC001220011644 804472066 /nfs/dbraw/zinc/47/20/66/804472066.db2.gz YWCPVJIZTNHVNJ-KGLIPLIRSA-N 0 1 290.367 0.147 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(COC)CCC2)[C@@H](O)C1 ZINC001220023001 804474926 /nfs/dbraw/zinc/47/49/26/804474926.db2.gz VLBCLBFLEOXOFF-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCCCCC(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@@H]1O ZINC001220146673 804514495 /nfs/dbraw/zinc/51/44/95/804514495.db2.gz MKFCITKMEQKGPN-MCIONIFRSA-N 0 1 282.384 0.112 20 30 CCEDMN C=C[C@H](CC)CC(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001220199017 804533442 /nfs/dbraw/zinc/53/34/42/804533442.db2.gz GOPHEYAAVXOBPF-UPJWGTAASA-N 0 1 270.373 0.396 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCCO)C[C@@H]2O)CCC1 ZINC001220280520 804560558 /nfs/dbraw/zinc/56/05/58/804560558.db2.gz MOKATSGJTBBWNT-OLZOCXBDSA-N 0 1 282.384 0.277 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@@H](C)OC)C[C@@H]2O)CCC1 ZINC001220293927 804563164 /nfs/dbraw/zinc/56/31/64/804563164.db2.gz ZTXSVPKCTDEGBI-MCIONIFRSA-N 0 1 296.411 0.929 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NCC1(O)CCC1 ZINC001220383853 804589535 /nfs/dbraw/zinc/58/95/35/804589535.db2.gz DZRKWSDQYMMRSX-ZDUSSCGKSA-N 0 1 273.336 0.459 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)Cc2c[nH]cn2)[C@@H](O)C1 ZINC001220519799 804618551 /nfs/dbraw/zinc/61/85/51/804618551.db2.gz CHFYSTTXSWBLHQ-BNOWGMLFSA-N 0 1 292.383 0.326 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)NC1(C#N)CCOCC1 ZINC001220967033 804710082 /nfs/dbraw/zinc/71/00/82/804710082.db2.gz OZLIWBUZKGJVDP-JTQLQIEISA-N 0 1 269.345 0.318 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)NCc1ccc(C#N)nc1 ZINC001221089055 804728575 /nfs/dbraw/zinc/72/85/75/804728575.db2.gz FYRDEJJZETVAPF-LBPRGKRZSA-N 0 1 276.340 0.712 20 30 CCEDMN COCCN1CC[C@@H]2CN(C(=O)CSCC#N)[C@@H]2C1 ZINC001221291542 804766686 /nfs/dbraw/zinc/76/66/86/804766686.db2.gz KRECUKNRPNFIAB-VXGBXAGGSA-N 0 1 283.397 0.422 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H]2CCN(CCOCCO)C[C@H]21 ZINC001221533384 804830355 /nfs/dbraw/zinc/83/03/55/804830355.db2.gz ILKLRJGPMZNNNH-HUUCEWRRSA-N 0 1 294.395 0.187 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@H]1CCCCO1 ZINC001221599654 804830916 /nfs/dbraw/zinc/83/09/16/804830916.db2.gz LJVBBRNSTDTRGY-GFCCVEGCSA-N 0 1 253.346 0.420 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(CNC(=O)C2CC2)CC1 ZINC001222184990 804878069 /nfs/dbraw/zinc/87/80/69/804878069.db2.gz CMKGXBFISIKLQZ-LBPRGKRZSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@H](C)OCC)C[C@@H]21 ZINC001222311048 804889131 /nfs/dbraw/zinc/88/91/31/804889131.db2.gz WCRFENNEKITSCI-MJBXVCDLSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCC1CCN(CC(N)=O)CC1 ZINC001222570309 804917606 /nfs/dbraw/zinc/91/76/06/804917606.db2.gz MUWNUYORHCHEKK-LBPRGKRZSA-N 0 1 297.399 0.281 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C[C@@H]1COC(=O)C1 ZINC001276835608 804931431 /nfs/dbraw/zinc/93/14/31/804931431.db2.gz SBULYASYICYABU-NWDGAFQWSA-N 0 1 266.341 0.706 20 30 CCEDMN N#Cc1ccc(CN2CCc3c(n[nH]c3C(N)=O)C2)cc1 ZINC001276930827 804997197 /nfs/dbraw/zinc/99/71/97/804997197.db2.gz RIUSGUNSTLVQOF-UHFFFAOYSA-N 0 1 281.319 0.939 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)C(=O)NCC1CC1 ZINC001276949770 805009967 /nfs/dbraw/zinc/00/99/67/805009967.db2.gz NBNWKJYDGKMPMU-GFCCVEGCSA-N 0 1 265.357 0.279 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](CNCc2ccon2)C1 ZINC001276957191 805014672 /nfs/dbraw/zinc/01/46/72/805014672.db2.gz VNTUQDYENUOBJG-CKYFFXLPSA-N 0 1 262.313 0.819 20 30 CCEDMN Cc1oncc1CNC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001277019447 805107452 /nfs/dbraw/zinc/10/74/52/805107452.db2.gz AGGGJPLXUKTYLR-VIFPVBQESA-N 0 1 262.313 0.881 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)[C@H](C)C2CC2)CC1 ZINC001277028047 805115844 /nfs/dbraw/zinc/11/58/44/805115844.db2.gz MNMRVKQJNOUERN-NEPJUHHUSA-N 0 1 293.411 0.962 20 30 CCEDMN C=CCNC(=O)CNC1(CNC(=O)[C@@H]2CC2(C)C)CC1 ZINC001277035354 805121146 /nfs/dbraw/zinc/12/11/46/805121146.db2.gz BAFRXPDODYKFKX-NSHDSACASA-N 0 1 279.384 0.573 20 30 CCEDMN CC(=O)Nc1nc2nc[nH]c2c(O[C@H]2C=CC(=O)C2)n1 ZINC001225825369 805165762 /nfs/dbraw/zinc/16/57/62/805165762.db2.gz AWWWLDVWRYBROP-QMMMGPOBSA-N 0 1 273.252 0.588 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2cnn(C)c2)CC1 ZINC001225980530 805182562 /nfs/dbraw/zinc/18/25/62/805182562.db2.gz QWJTZVCWVSMFLD-UHFFFAOYSA-N 0 1 290.367 0.264 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NCC1(NCC#N)CC1 ZINC001277094011 805187515 /nfs/dbraw/zinc/18/75/15/805187515.db2.gz IKFFYZOJRUJUSC-LLVKDONJSA-N 0 1 250.346 0.233 20 30 CCEDMN C[N+](C)(C)C[C@H](O)CC(=O)Oc1cccc(/C=N/[O-])c1 ZINC001226114175 805193697 /nfs/dbraw/zinc/19/36/97/805193697.db2.gz HGCPPNMRUCEWRK-KMHUVPDISA-O 0 1 281.332 0.857 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCn2cccn2)CC1 ZINC001226119543 805195628 /nfs/dbraw/zinc/19/56/28/805195628.db2.gz MRHZANKZOLDCQT-UHFFFAOYSA-N 0 1 274.368 0.877 20 30 CCEDMN C=CCOCC(=O)NC1CCN([C@H]2CCCNC2=O)CC1 ZINC001226589283 805252150 /nfs/dbraw/zinc/25/21/50/805252150.db2.gz LYDVJFDQQQVPDO-ZDUSSCGKSA-N 0 1 295.383 0.048 20 30 CCEDMN C#C[C@H](NC(=O)NCC#CCN(C)C)[C@@H]1CCCO1 ZINC001226724761 805273235 /nfs/dbraw/zinc/27/32/35/805273235.db2.gz MZJYLVKNKGUASY-STQMWFEESA-N 0 1 263.341 0.031 20 30 CCEDMN CC#CCN1CCC(NC(=O)CC(C)(C)O)CC1 ZINC001227131786 805326733 /nfs/dbraw/zinc/32/67/33/805326733.db2.gz OWGRQOZHUYWQPG-UHFFFAOYSA-N 0 1 252.358 0.751 20 30 CCEDMN CC[C@@H](C(N)=O)N1CCC(NC(=O)C#CC(C)(C)C)CC1 ZINC001227281822 805340881 /nfs/dbraw/zinc/34/08/81/805340881.db2.gz YQERMVDHMLFTPI-ZDUSSCGKSA-N 0 1 293.411 0.880 20 30 CCEDMN C=C(C)C(=O)N[C@H]1CC[C@H](NC(=O)CN(C)C)CC1 ZINC001142517961 815070752 /nfs/dbraw/zinc/07/07/52/815070752.db2.gz IJFMBEUNFUMKKK-HAQNSBGRSA-N 0 1 267.373 0.668 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N[C@@H](C)c1n[nH]c(C)n1 ZINC001228508085 805452862 /nfs/dbraw/zinc/45/28/62/805452862.db2.gz LQXJHPURQFEEFH-SFGNSQDASA-N 0 1 265.317 0.817 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)NCc1n[nH]c(CC)n1 ZINC001229002004 805495259 /nfs/dbraw/zinc/49/52/59/805495259.db2.gz CTEXDTOECZVWAF-SECBINFHSA-N 0 1 267.333 0.493 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1[nH]c(=O)[nH]c(=O)c1F ZINC001229123300 805505268 /nfs/dbraw/zinc/50/52/68/805505268.db2.gz CKSDTFAIWWAOSA-ZCFIWIBFSA-N 0 1 270.216 0.361 20 30 CCEDMN C#CCN1CCC(NC(=O)COC[C@H]2CCOC2)CC1 ZINC001229427184 805532064 /nfs/dbraw/zinc/53/20/64/805532064.db2.gz XOWJTKXIAJJZDN-ZDUSSCGKSA-N 0 1 280.368 0.253 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)C[C@@H]2CCCO2)C1 ZINC001278363514 807034085 /nfs/dbraw/zinc/03/40/85/807034085.db2.gz ORVGFMNEASRPCU-JSGCOSHPSA-N 0 1 250.342 0.769 20 30 CCEDMN CC#CCN1CC(N(C)C(=O)[C@H]2CCCc3c[nH]nc32)C1 ZINC001042724720 815093579 /nfs/dbraw/zinc/09/35/79/815093579.db2.gz AQNCNVGMYXIEAN-AWEZNQCLSA-N 0 1 286.379 0.996 20 30 CCEDMN C=CC[N@H+]1CC[C@]2(NC(=O)c3ncn(C)n3)CCC[C@H]12 ZINC000990547816 815125134 /nfs/dbraw/zinc/12/51/34/815125134.db2.gz VWMJMBKKNZDNGS-SMDDNHRTSA-N 0 1 275.356 0.728 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)N[C@@H]1CN(CC(=C)C)C[C@@H]1O ZINC001083470666 815121417 /nfs/dbraw/zinc/12/14/17/815121417.db2.gz FVWHOWKJSRHBIR-KBXIAJHMSA-N 0 1 294.395 0.705 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CCc4nccn4C3)[C@@H]2C1 ZINC001075967259 815130352 /nfs/dbraw/zinc/13/03/52/815130352.db2.gz KUNCDTGIMBHLRS-SOUVJXGZSA-N 0 1 298.390 0.611 20 30 CCEDMN Nc1nonc1C(=Nc1c(O)cccc1F)NO ZINC001249989200 807582887 /nfs/dbraw/zinc/58/28/87/807582887.db2.gz OBKBSOQFKQMVCJ-UHFFFAOYSA-N 0 1 253.193 0.554 20 30 CCEDMN Cc1nc([C@H](C)NCCNC(=O)C#CC(C)C)n[nH]1 ZINC001126815760 815131302 /nfs/dbraw/zinc/13/13/02/815131302.db2.gz LDNRHEHWRNHUSE-JTQLQIEISA-N 0 1 263.345 0.539 20 30 CCEDMN N#CCNC[C@H]1CC[C@@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001278566453 807597863 /nfs/dbraw/zinc/59/78/63/807597863.db2.gz XQCIXFJBWBEHLR-NWDGAFQWSA-N 0 1 275.356 0.740 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@](C)(O)c1ccccc1 ZINC001251707683 807695410 /nfs/dbraw/zinc/69/54/10/807695410.db2.gz CPJUYHVVIVJSQP-INIZCTEOSA-N 0 1 289.379 0.758 20 30 CCEDMN C#CCOC[C@@H](O)CN1CC2(C1)C[C@H](F)CS2 ZINC001251854695 807721263 /nfs/dbraw/zinc/72/12/63/807721263.db2.gz JZYODDROKRLWGB-QWRGUYRKSA-N 0 1 259.346 0.527 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCC(F)(C(=O)OCC)CC1 ZINC001251847505 807717855 /nfs/dbraw/zinc/71/78/55/807717855.db2.gz HITWJPOSYHXVTR-LBPRGKRZSA-N 0 1 287.331 0.364 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1cccc(C(=O)OCC)n1 ZINC001251890802 807736650 /nfs/dbraw/zinc/73/66/50/807736650.db2.gz HZKUDPCIQKLMKL-ZDUSSCGKSA-N 0 1 292.335 0.359 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cn(CC2CC2)cn1 ZINC001251899784 807741016 /nfs/dbraw/zinc/74/10/16/807741016.db2.gz BOOIAYBLIRBUCR-CQSZACIVSA-N 0 1 263.341 0.393 20 30 CCEDMN COCC#CCN1CCC[C@](C)(CNC(=O)[C@@H](C)OC)C1 ZINC001278648405 807798881 /nfs/dbraw/zinc/79/88/81/807798881.db2.gz PRJKQVYYPLKOET-GDBMZVCRSA-N 0 1 296.411 0.889 20 30 CCEDMN C=CCOC[C@H](O)CN[C@@H](CC(=O)OC)c1cccnc1 ZINC001252490554 807892440 /nfs/dbraw/zinc/89/24/40/807892440.db2.gz AUXPPVVFLGOTJS-KGLIPLIRSA-N 0 1 294.351 0.839 20 30 CCEDMN C=CCOC[C@@H](O)CNCC(=O)c1ccc(O)cc1 ZINC001252497477 807894790 /nfs/dbraw/zinc/89/47/90/807894790.db2.gz SMAGQRZJBUBVOL-ZDUSSCGKSA-N 0 1 265.309 0.728 20 30 CCEDMN C=C[C@](C)(O)CN1Cc2ncn(C)c2[C@H](COC)C1 ZINC001252552231 807908337 /nfs/dbraw/zinc/90/83/37/807908337.db2.gz IIAPTPJEUPSHJA-FZMZJTMJSA-N 0 1 265.357 0.903 20 30 CCEDMN C=C[C@@](C)(O)CN1CC(N2C[C@@H](C)O[C@@H](C)C2)C1 ZINC001252561411 807910469 /nfs/dbraw/zinc/91/04/69/807910469.db2.gz FNWXEWBJDQQFDP-MBNYWOFBSA-N 0 1 254.374 0.717 20 30 CCEDMN C=CCOC(=O)N1CCN(CC2(O)CCOCC2)CC1 ZINC001252965840 807994340 /nfs/dbraw/zinc/99/43/40/807994340.db2.gz KLYDAKVWPZWDAG-UHFFFAOYSA-N 0 1 284.356 0.468 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c(C)cnn2C)[C@H]1C ZINC001088802532 815172247 /nfs/dbraw/zinc/17/22/47/815172247.db2.gz KRRMDZBEPSXFSS-NEPJUHHUSA-N 0 1 260.341 0.554 20 30 CCEDMN C=C[C@H](O)CN1CC[C@]2(CC(C(=O)N(C)C(C)C)=NO2)C1 ZINC001253576505 808082702 /nfs/dbraw/zinc/08/27/02/808082702.db2.gz FNVPKBACQXNMCB-WFASDCNBSA-N 0 1 295.383 0.621 20 30 CCEDMN C=C[C@H](O)CN[C@H](COC)C(=O)NCc1ccccc1 ZINC001253598494 808094372 /nfs/dbraw/zinc/09/43/72/808094372.db2.gz UXMPQLQLEANKGB-UONOGXRCSA-N 0 1 278.352 0.454 20 30 CCEDMN C=C[C@H](O)CNCc1cn(Cc2ccccn2)cn1 ZINC001253610148 808097182 /nfs/dbraw/zinc/09/71/82/808097182.db2.gz QBNZXHWIVDCFJO-AWEZNQCLSA-N 0 1 258.325 0.963 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)[C@H]1C ZINC001088808732 815176767 /nfs/dbraw/zinc/17/67/67/815176767.db2.gz QJYOKBHSBZIETM-XUJVJEKNSA-N 0 1 277.368 0.115 20 30 CCEDMN C=CCN(Cc1cc(C)[nH]n1)[C@H]1CCS(=O)(=O)C1 ZINC001253996808 808168118 /nfs/dbraw/zinc/16/81/18/808168118.db2.gz GPENKLLTIWJMFO-LBPRGKRZSA-N 0 1 269.370 0.893 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C[C@@H]2CCCO2)C1 ZINC001278760228 808170961 /nfs/dbraw/zinc/17/09/61/808170961.db2.gz JUTQUDGQBOMWAE-DZGCQCFKSA-N 0 1 280.368 0.132 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@@H]1CCC[C@]12CCCO2 ZINC001254643681 808268446 /nfs/dbraw/zinc/26/84/46/808268446.db2.gz YDJGXUXZGZOQKL-HIFRSBDPSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2coc(CCC)n2)C1 ZINC001278815328 808281157 /nfs/dbraw/zinc/28/11/57/808281157.db2.gz BJWRJAGSVORSEX-OAHLLOKOSA-N 0 1 291.351 0.427 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+][C@H]1C[C@@H]2CC(=O)C[C@@H]2C1 ZINC001254928452 808297633 /nfs/dbraw/zinc/29/76/33/808297633.db2.gz MMBSKVAZRNGJTE-YOQJGNTNSA-N 0 1 252.314 0.570 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)[C@@H](C)C#N)C1 ZINC001278848372 808329081 /nfs/dbraw/zinc/32/90/81/808329081.db2.gz HQQGLPIUJZASAN-NWDGAFQWSA-N 0 1 265.357 0.664 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H]1CCCOCC1 ZINC001278855495 808338663 /nfs/dbraw/zinc/33/86/63/808338663.db2.gz QVUASZHZHHYYEN-HUUCEWRRSA-N 0 1 296.411 0.578 20 30 CCEDMN CCn1nnc(C)c1CNCCNC(=O)C#CC(C)(C)C ZINC001126893924 815198190 /nfs/dbraw/zinc/19/81/90/815198190.db2.gz ZFHUVVBTZGQBDK-UHFFFAOYSA-N 0 1 291.399 0.862 20 30 CCEDMN CCc1nocc1CNCCNC(=O)C#CC1CC1 ZINC001126899215 815203591 /nfs/dbraw/zinc/20/35/91/815203591.db2.gz KFDISUUMDYBGRL-UHFFFAOYSA-N 0 1 261.325 0.856 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)[C@@H]1CC2CCN1CC2 ZINC001142632378 815211244 /nfs/dbraw/zinc/21/12/44/815211244.db2.gz CJHUJCSNLRHRIV-ZDUSSCGKSA-N 0 1 279.384 0.669 20 30 CCEDMN C[C@@H](C[C@@H](O)c1ccco1)NC(=O)NCC#CCN(C)C ZINC001256585018 808537381 /nfs/dbraw/zinc/53/73/81/808537381.db2.gz MSZROEJZAJERMB-QWHCGFSZSA-N 0 1 293.367 0.956 20 30 CCEDMN Cc1nnc(CN(C)C(=O)NCC#CCN(C)C)s1 ZINC001256584563 808537489 /nfs/dbraw/zinc/53/74/89/808537489.db2.gz GNHVXKAHEJRRIJ-UHFFFAOYSA-N 0 1 281.385 0.553 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@H](C(C)(C)C)[C@H](O)C1 ZINC001256584772 808538061 /nfs/dbraw/zinc/53/80/61/808538061.db2.gz KCNPAYVMPMYUML-UONOGXRCSA-N 0 1 295.427 0.990 20 30 CCEDMN C=CCOCC(=O)N[C@H]1CCC[C@@H]1CNCc1cnon1 ZINC001272244817 815219335 /nfs/dbraw/zinc/21/93/35/815219335.db2.gz TUODAZYOVHZEOV-YPMHNXCESA-N 0 1 294.355 0.647 20 30 CCEDMN C=CC[C@@H](CC)NC(=O)C(=O)NCc1cc(C)[nH]n1 ZINC001258318478 808672155 /nfs/dbraw/zinc/67/21/55/808672155.db2.gz QKIQUIDOYFZUSS-SNVBAGLBSA-N 0 1 264.329 0.805 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnc[nH]2)C[C@@H]1CNCC#N ZINC001279102594 808687718 /nfs/dbraw/zinc/68/77/18/808687718.db2.gz HWUXHPFTNTVXRO-RYUDHWBXSA-N 0 1 275.356 0.550 20 30 CCEDMN C=CCS(=O)(=O)N1CCO[C@H](CN2CCCC2)C1 ZINC001259929345 808808473 /nfs/dbraw/zinc/80/84/73/808808473.db2.gz AJZLTLNEGUAZTH-GFCCVEGCSA-N 0 1 274.386 0.299 20 30 CCEDMN C=C(Cl)CN1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC001261278415 808944171 /nfs/dbraw/zinc/94/41/71/808944171.db2.gz AZVKSVVIWBJTLW-UHFFFAOYSA-N 0 1 268.748 0.849 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CCNC(=O)C2)CC1 ZINC001261280261 808945210 /nfs/dbraw/zinc/94/52/10/808945210.db2.gz LNTUAEWNLUJSBD-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN C#CC[C@H](NC(=O)[C@@H]1CCCCN1CCC)C(=O)OC ZINC001261293949 808946705 /nfs/dbraw/zinc/94/67/05/808946705.db2.gz DIQMRTPWDFOQGM-STQMWFEESA-N 0 1 280.368 0.932 20 30 CCEDMN C#CC1CCN(C(=O)C(=O)N2CCCN(CC)CC2)CC1 ZINC001261484381 808993213 /nfs/dbraw/zinc/99/32/13/808993213.db2.gz YTWPVWYNZSPCDP-UHFFFAOYSA-N 0 1 291.395 0.412 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@]3(F)CCOC3)[C@@H]2C1 ZINC001076171362 815255396 /nfs/dbraw/zinc/25/53/96/815255396.db2.gz BUBTZKQUZLZFJT-GUTXKFCHSA-N 0 1 280.343 0.671 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H]1CN2CCC1CC2 ZINC001262639039 809382071 /nfs/dbraw/zinc/38/20/71/809382071.db2.gz QMXRXGCLBXIRCV-AGIUHOORSA-N 0 1 250.342 0.788 20 30 CCEDMN C#CCCN(CCOC)c1nnc(-c2c[nH]nn2)n1CC ZINC001263012992 809426916 /nfs/dbraw/zinc/42/69/16/809426916.db2.gz DUGWMPHCGJDGKI-UHFFFAOYSA-N 0 1 289.343 0.559 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)NC(=O)CN1CCCC1 ZINC001076411348 815292937 /nfs/dbraw/zinc/29/29/37/815292937.db2.gz DPRGCXUVENOZHV-AWEZNQCLSA-N 0 1 293.411 0.753 20 30 CCEDMN COC[C@H]1CNCCN1C(=O)c1cccc(C#N)c1 ZINC001263262061 809499915 /nfs/dbraw/zinc/49/99/15/809499915.db2.gz XHWLRYPIPZYCEZ-CYBMUJFWSA-N 0 1 259.309 0.619 20 30 CCEDMN N#CC(C(=O)CO[C@@H]1CCOC1)C(=O)NC1CCCCC1 ZINC001263290806 809503313 /nfs/dbraw/zinc/50/33/13/809503313.db2.gz CVVGSFDVJADENY-CHWSQXEVSA-N 0 1 294.351 0.950 20 30 CCEDMN COc1cc(C(=O)[C@H](C#N)c2nccn2C)nn1C ZINC001263332641 809511777 /nfs/dbraw/zinc/51/17/77/809511777.db2.gz VEYCZZFKTISVEO-QMMMGPOBSA-N 0 1 259.269 0.652 20 30 CCEDMN COc1cc(C(=O)C(C#N)c2nccn2C)nn1C ZINC001263332641 809511782 /nfs/dbraw/zinc/51/17/82/809511782.db2.gz VEYCZZFKTISVEO-QMMMGPOBSA-N 0 1 259.269 0.652 20 30 CCEDMN C=C(CC)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC001263729757 809558942 /nfs/dbraw/zinc/55/89/42/809558942.db2.gz FZUUMOPAEGYPLA-QBFSEMIESA-N 0 1 263.297 0.595 20 30 CCEDMN C[C@H](C#N)OCCN1CC[C@](O)(C(F)(F)F)C1 ZINC000383078327 809559430 /nfs/dbraw/zinc/55/94/30/809559430.db2.gz SLKYDYABXPKYNZ-RKDXNWHRSA-N 0 1 252.236 0.914 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)c2nnc(C)o2)CC1 ZINC001263830786 809582216 /nfs/dbraw/zinc/58/22/16/809582216.db2.gz VBCKXEQJUYJXEH-JTQLQIEISA-N 0 1 291.355 0.836 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1C[C@H](NCc2nncn2C)C1 ZINC001263860448 809591391 /nfs/dbraw/zinc/59/13/91/809591391.db2.gz WEJWSIKPDVGUGW-JOCQHMNTSA-N 0 1 289.383 0.603 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001263886444 809599567 /nfs/dbraw/zinc/59/95/67/809599567.db2.gz KCZTYTCQSJKPND-GXFFZTMASA-N 0 1 279.344 0.744 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@H]2C[C@H]3C[C@H]3C2)C1 ZINC001264078068 809628490 /nfs/dbraw/zinc/62/84/90/809628490.db2.gz BRFCFFPXCHBBDD-JJXSEGSLSA-N 0 1 290.407 0.951 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CCN([C@H]3CCNC3=O)C[C@H]2C1 ZINC001264084424 809629919 /nfs/dbraw/zinc/62/99/19/809629919.db2.gz LFBMFQLVSLNHMH-RDBSUJKOSA-N 0 1 291.395 0.621 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)C1CN(C(C)=O)C1 ZINC001264181844 809636679 /nfs/dbraw/zinc/63/66/79/809636679.db2.gz AYYDUQJSNDYLOU-HNNXBMFYSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)CN(C)CCCNC(=O)CS(=O)(=O)CC ZINC001265072839 809703841 /nfs/dbraw/zinc/70/38/41/809703841.db2.gz HMOZJWVLUSIZRV-UHFFFAOYSA-N 0 1 276.402 0.435 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H](C)OCCOCC)CC1 ZINC001265199895 809721897 /nfs/dbraw/zinc/72/18/97/809721897.db2.gz FDHFPIMEQOPJLY-CQSZACIVSA-N 0 1 296.411 0.984 20 30 CCEDMN CC(C)(C)C#CC(=O)N[C@H]1CCCN(CCO)C1 ZINC001265213448 809726453 /nfs/dbraw/zinc/72/64/53/809726453.db2.gz SCWGNMIWIFXVOI-LBPRGKRZSA-N 0 1 252.358 0.609 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)[C@]2(C)CCOC2)CC1 ZINC001265265003 809734740 /nfs/dbraw/zinc/73/47/40/809734740.db2.gz LXJHRFBWDNVNQG-MRXNPFEDSA-N 0 1 293.411 0.170 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(C[C@@H]2NC(C)=O)CC1 ZINC001265322061 809756800 /nfs/dbraw/zinc/75/68/00/809756800.db2.gz XDOACCRSSTXKMH-YPMHNXCESA-N 0 1 279.384 0.668 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)CCNC(=O)CN1CCCC1 ZINC001076594036 815316623 /nfs/dbraw/zinc/31/66/23/815316623.db2.gz IHYQIVBZXKSNMG-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3nonc3C)[C@@H]2C1 ZINC001076634283 815324216 /nfs/dbraw/zinc/32/42/16/815324216.db2.gz AGXMOORXBGEOMZ-WDEREUQCSA-N 0 1 262.313 0.710 20 30 CCEDMN C=C1CC(C)(C(=O)NCCCN(C)[C@H](C)C(=O)NC)C1 ZINC001265921341 809895190 /nfs/dbraw/zinc/89/51/90/809895190.db2.gz MSIOFBZFTVQXRU-GFCCVEGCSA-N 0 1 281.400 0.915 20 30 CCEDMN N#CCN[C@H]1CCC[C@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001266060170 809929119 /nfs/dbraw/zinc/92/91/19/809929119.db2.gz RIYNLPWFLIZKSB-RYUDHWBXSA-N 0 1 275.356 0.883 20 30 CCEDMN C#CCCCC(=O)NCC[C@H](C)N[C@@H](C)c1nnnn1C ZINC001266141056 809947678 /nfs/dbraw/zinc/94/76/78/809947678.db2.gz WYNQGEWDRCWCAG-RYUDHWBXSA-N 0 1 292.387 0.559 20 30 CCEDMN C[C@H](CCNC(=O)c1[nH]nc2c1CCC2)NCC#N ZINC001266158573 809951033 /nfs/dbraw/zinc/95/10/33/809951033.db2.gz RCPONFCWTNBGID-SECBINFHSA-N 0 1 261.329 0.520 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)[C@H]2CC[C@H](F)C2)CC1 ZINC001279438479 809978462 /nfs/dbraw/zinc/97/84/62/809978462.db2.gz HZHVUMYZMSGCLZ-STQMWFEESA-N 0 1 296.390 0.818 20 30 CCEDMN COCC#CCN1CC=C(CNC(=O)[C@H](C)OC)CC1 ZINC001279502460 809984585 /nfs/dbraw/zinc/98/45/85/809984585.db2.gz OHEPSIFQVQLBTR-ZDUSSCGKSA-N 0 1 280.368 0.419 20 30 CCEDMN COCC#CCN1CC[C@@H]2[C@@H]1CCCN2C(C)=O ZINC001279530617 809985502 /nfs/dbraw/zinc/98/55/02/809985502.db2.gz WFDDNRHQDKUAKQ-UONOGXRCSA-N 0 1 250.342 0.721 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001280273127 810010113 /nfs/dbraw/zinc/01/01/13/810010113.db2.gz YPOGLNMIXUQGJN-UWVGGRQHSA-N 0 1 277.328 0.231 20 30 CCEDMN C[C@H](NC(=O)C#CC1CC1)[C@H](C)NC(=O)c1[nH]ncc1F ZINC001280293687 810011566 /nfs/dbraw/zinc/01/15/66/810011566.db2.gz MJFYAACNZKVPTK-IUCAKERBSA-N 0 1 292.314 0.585 20 30 CCEDMN N#CCNC[C@H]1CC[C@H](NC(=O)CN2CCCC2)CC1 ZINC001280378834 810013868 /nfs/dbraw/zinc/01/38/68/810013868.db2.gz NSJDIUMMPVDJAC-HDJSIYSDSA-N 0 1 278.400 0.870 20 30 CCEDMN C=CCCCC(=O)N(C)[C@@H](C)CNC(=O)c1cnn[nH]1 ZINC001280513059 810027082 /nfs/dbraw/zinc/02/70/82/810027082.db2.gz GWJMDTZVUMBYPZ-JTQLQIEISA-N 0 1 279.344 0.738 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ocnc2C)C1 ZINC001076847038 815393692 /nfs/dbraw/zinc/39/36/92/815393692.db2.gz GOZCFWVGQWWZNJ-GHMZBOCLSA-N 0 1 265.313 0.334 20 30 CCEDMN C=C(Cl)CNCCNC(=O)C[C@H]1COC(=O)C1 ZINC001125676930 811073237 /nfs/dbraw/zinc/07/32/37/811073237.db2.gz ZCWSLWLLFQHSMR-SECBINFHSA-N 0 1 260.721 0.398 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)[C@]1(C)CCC(=O)N1 ZINC001267275385 811080275 /nfs/dbraw/zinc/08/02/75/811080275.db2.gz GFYZDRWONMFSGU-DOMZBBRYSA-N 0 1 277.368 0.259 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](CNC(=O)CCc2nc[nH]n2)C1 ZINC001267290647 811105686 /nfs/dbraw/zinc/10/56/86/811105686.db2.gz RBEWHUFOGQQFSG-GFCCVEGCSA-N 0 1 275.356 0.199 20 30 CCEDMN CC#CC[N@@H+](CCNC(=O)c1cn2cccnc2n1)C1CC1 ZINC001267327887 811160621 /nfs/dbraw/zinc/16/06/21/811160621.db2.gz DAXQGAWXAIUGML-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN(CCNC(=O)c1cn2cccnc2n1)C1CC1 ZINC001267327887 811160626 /nfs/dbraw/zinc/16/06/26/811160626.db2.gz DAXQGAWXAIUGML-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C#CCN(CCNC(=O)[C@]1(C)CCNC(=O)C1)C1CC1 ZINC001267327505 811161421 /nfs/dbraw/zinc/16/14/21/811161421.db2.gz PSLLQFNDEBLAIC-OAHLLOKOSA-N 0 1 277.368 0.117 20 30 CCEDMN COC(=O)c1cc(C#N)ccc1-n1c(C)ncc(CN)c1=N ZINC001167673517 811162101 /nfs/dbraw/zinc/16/21/01/811162101.db2.gz ZPMASQMRUPVSLA-UHFFFAOYSA-N 0 1 297.318 0.777 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2n[nH]cc2C)C1 ZINC001076974787 815427359 /nfs/dbraw/zinc/42/73/59/815427359.db2.gz HHEMEVVRHLVDGG-GHMZBOCLSA-N 0 1 264.329 0.069 20 30 CCEDMN C=CCCC(=O)N(C)CCNCC(=O)Nc1cnccn1 ZINC001267353977 811201548 /nfs/dbraw/zinc/20/15/48/811201548.db2.gz XYTMAGSDTMOPPN-UHFFFAOYSA-N 0 1 291.355 0.429 20 30 CCEDMN COc1ccc(CNCCN(C)C(=O)[C@H](C)C#N)nn1 ZINC001267355136 811202474 /nfs/dbraw/zinc/20/24/74/811202474.db2.gz JFYMRKGEQAJNMC-SNVBAGLBSA-N 0 1 277.328 0.193 20 30 CCEDMN Cc1nccnc1CNCCN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001267360143 811211713 /nfs/dbraw/zinc/21/17/13/811211713.db2.gz IRDOPVDLLSRUCH-UHFFFAOYSA-N 0 1 298.350 0.847 20 30 CCEDMN C=CCOCC(=O)N1C[C@H]2CC[C@@H](C1)N2[C@H](C)COC ZINC001267397720 811285363 /nfs/dbraw/zinc/28/53/63/811285363.db2.gz ZLQGQPRSJMGJSI-MCIONIFRSA-N 0 1 282.384 0.899 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CC)ccn2)C1 ZINC001077046576 815438710 /nfs/dbraw/zinc/43/87/10/815438710.db2.gz XWRRBHNQHDXLOR-HUUCEWRRSA-N 0 1 287.363 0.442 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(CC)ccn2)C1 ZINC001077046576 815438713 /nfs/dbraw/zinc/43/87/13/815438713.db2.gz XWRRBHNQHDXLOR-HUUCEWRRSA-N 0 1 287.363 0.442 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@H]2C=CCCC2)[C@@H](O)C1 ZINC001083562608 815444623 /nfs/dbraw/zinc/44/46/23/815444623.db2.gz JUGQGFDEFVYDMC-ZNMIVQPWSA-N 0 1 276.380 0.917 20 30 CCEDMN C=CCCNCc1cn([C@@H]2CCN(C(=O)C(F)F)C2)nn1 ZINC001098592245 811425193 /nfs/dbraw/zinc/42/51/93/811425193.db2.gz SSIVBLCNXLROQK-LLVKDONJSA-N 0 1 299.325 0.982 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@H](CN(C)CC(=O)N(C)C)C1 ZINC001267581344 811478327 /nfs/dbraw/zinc/47/83/27/811478327.db2.gz NWUOEVJTOQHYIN-CQSZACIVSA-N 0 1 293.411 0.514 20 30 CCEDMN CN(CCCN(C)c1cnc(C#N)cn1)C(=O)c1ccn[nH]1 ZINC001112028519 811605959 /nfs/dbraw/zinc/60/59/59/811605959.db2.gz QGJKAZDSMPUGHZ-UHFFFAOYSA-N 0 1 299.338 0.670 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H](OC)[C@@H]2CCOC2)C1 ZINC001267686252 811649332 /nfs/dbraw/zinc/64/93/32/811649332.db2.gz OCJDYERXRBKONS-KGLIPLIRSA-N 0 1 282.384 0.662 20 30 CCEDMN Cn1cncc1C[C@H](N)C(=O)NC1=CC(=O)CCC1 ZINC001219883932 811706802 /nfs/dbraw/zinc/70/68/02/811706802.db2.gz YZVCMUKXIXTSCE-LBPRGKRZSA-N 0 1 262.313 0.043 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCOC[C@H]2CCCO2)C1 ZINC001267745626 811733169 /nfs/dbraw/zinc/73/31/69/811733169.db2.gz YRMDKHCEJURNEO-ZIAGYGMSSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccnc3n[nH]nc32)C1 ZINC001007899306 811741027 /nfs/dbraw/zinc/74/10/27/811741027.db2.gz XMHZCWWABLHTEB-SNVBAGLBSA-N 0 1 286.339 0.733 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@@](C)(CNCC#N)C1CC1 ZINC001267859684 811840158 /nfs/dbraw/zinc/84/01/58/811840158.db2.gz OLGDZEDUPSQBFN-ZFWWWQNUSA-N 0 1 278.400 0.869 20 30 CCEDMN C=C(C)CCNCc1cn(C2CN(C(=O)C(F)F)C2)nn1 ZINC001105127756 811847580 /nfs/dbraw/zinc/84/75/80/811847580.db2.gz DSBGZFJMWIXAKO-UHFFFAOYSA-N 0 1 299.325 0.982 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)CNCc1cnn(C)n1 ZINC001267999492 811936215 /nfs/dbraw/zinc/93/62/15/811936215.db2.gz DPGJTHIVJUNOJT-CYBMUJFWSA-N 0 1 291.399 0.851 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)CN(C)C(C)=O)C1 ZINC001268017000 811956515 /nfs/dbraw/zinc/95/65/15/811956515.db2.gz HZXRGPVOBOLWEX-AWEZNQCLSA-N 0 1 297.399 0.248 20 30 CCEDMN CNC(=O)[C@H](C)N1CCC(CNC(=O)[C@H](C)C#N)CC1 ZINC001222657979 811964575 /nfs/dbraw/zinc/96/45/75/811964575.db2.gz IRAAODUKXBADNG-MNOVXSKESA-N 0 1 280.372 0.109 20 30 CCEDMN Cc1cnc(CNCCNC(=O)c2c[nH]c(C#N)c2)cn1 ZINC001125995143 811972527 /nfs/dbraw/zinc/97/25/27/811972527.db2.gz VORSPHHQDDXQMW-UHFFFAOYSA-N 0 1 284.323 0.504 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ncc3ccccn32)C1 ZINC001077384977 815490878 /nfs/dbraw/zinc/49/08/78/815490878.db2.gz ZCSJFYUAQRZZHH-ZIAGYGMSSA-N 0 1 298.346 0.133 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)CNC(=O)CC)CC2 ZINC001268043034 812078656 /nfs/dbraw/zinc/07/86/56/812078656.db2.gz CXGHQZRCUXQZJV-UHFFFAOYSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnc2n[nH]cc2c1 ZINC001027960850 812168056 /nfs/dbraw/zinc/16/80/56/812168056.db2.gz FKBDSJTWNOWSHE-ZDUSSCGKSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001027974244 812183799 /nfs/dbraw/zinc/18/37/99/812183799.db2.gz FFEBVIZHNOFWFA-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cn(C)nc1COC ZINC001027978197 812188454 /nfs/dbraw/zinc/18/84/54/812188454.db2.gz HZBTZFFWMLZMBS-GFCCVEGCSA-N 0 1 290.367 0.394 20 30 CCEDMN N#CCN1CC[C@H]1CNC(=O)c1cccc2nc[nH]c21 ZINC001038841911 812210505 /nfs/dbraw/zinc/21/05/05/812210505.db2.gz DOGJULXXKZCYSB-JTQLQIEISA-N 0 1 269.308 0.891 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCCN(C(C)=O)C1 ZINC001028007752 812211404 /nfs/dbraw/zinc/21/14/04/812211404.db2.gz PPUNUWJEFFQSTK-LSDHHAIUSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1C[C@@H]2O[C@H]1[C@H]1C[C@H]12 ZINC001028035756 812227391 /nfs/dbraw/zinc/22/73/91/812227391.db2.gz IJNBAWDCAZWDNG-JEWKUQAESA-N 0 1 274.364 0.624 20 30 CCEDMN CC(C)C[C@H](CNCC#N)NC(=O)c1cnn[nH]1 ZINC001268107938 812265874 /nfs/dbraw/zinc/26/58/74/812265874.db2.gz IXJBSSPUERNMTP-SECBINFHSA-N 0 1 250.306 0.062 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCN(C)CC#CC ZINC001268237485 812401558 /nfs/dbraw/zinc/40/15/58/812401558.db2.gz KDDWAJKQRZYZBB-ZDUSSCGKSA-N 0 1 250.342 0.438 20 30 CCEDMN Cc1nc(CN(C)CCN(C)C(=O)CSCC#N)n[nH]1 ZINC001268231497 812388266 /nfs/dbraw/zinc/38/82/66/812388266.db2.gz BOCLASVNPLEILX-UHFFFAOYSA-N 0 1 296.400 0.260 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@H]1C[C@H](C)CO1 ZINC001268244018 812412228 /nfs/dbraw/zinc/41/22/28/812412228.db2.gz RJRKTGSRJBQHJI-QWHCGFSZSA-N 0 1 252.358 0.825 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1c(C)c(C)n[nH]c1=O ZINC001268246341 812412548 /nfs/dbraw/zinc/41/25/48/812412548.db2.gz ZDHWHRDVHJRZFU-UHFFFAOYSA-N 0 1 290.367 0.826 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@@H]1CNC(=O)c1cnc(OC)nc1 ZINC001028240544 812464592 /nfs/dbraw/zinc/46/45/92/812464592.db2.gz ZWZILFLKVWFECC-CYBMUJFWSA-N 0 1 288.351 0.703 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnc(OC)nc1 ZINC001028240544 812464595 /nfs/dbraw/zinc/46/45/95/812464595.db2.gz ZWZILFLKVWFECC-CYBMUJFWSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCCCN(C)C1=O ZINC001028257605 812518202 /nfs/dbraw/zinc/51/82/02/812518202.db2.gz DSRUQVLCFUZOCQ-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3nccnc3N)[C@@H]2C1 ZINC001075627317 812548399 /nfs/dbraw/zinc/54/83/99/812548399.db2.gz SWOOZHLWFHDLFV-WDEREUQCSA-N 0 1 273.340 0.391 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2ccc(C)o2)[C@@H](O)C1 ZINC001083324169 812548542 /nfs/dbraw/zinc/54/85/42/812548542.db2.gz NUQHQLDZVLCUJO-NEPJUHHUSA-N 0 1 264.325 0.939 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3[nH]cnc3C)C[C@H]21 ZINC001042382335 815558189 /nfs/dbraw/zinc/55/81/89/815558189.db2.gz IFJDMWRXZGDQPL-CHWSQXEVSA-N 0 1 272.352 0.888 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)Cc1c[nH]cn1 ZINC001268596740 812930325 /nfs/dbraw/zinc/93/03/25/812930325.db2.gz KTIZVZHYJJRLOM-IHWYPQMZSA-N 0 1 268.748 0.967 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)CNCc1cc(C)ncn1 ZINC001268639066 812962230 /nfs/dbraw/zinc/96/22/30/812962230.db2.gz DYHOQWHYIRHRRN-GFCCVEGCSA-N 0 1 278.356 0.582 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCOC1CCOCC1 ZINC001268751877 813061749 /nfs/dbraw/zinc/06/17/49/813061749.db2.gz FCWZJVVKZSYIET-CYBMUJFWSA-N 0 1 282.384 0.642 20 30 CCEDMN CN(CCCNCc1cn(C)nn1)C(=O)CSCC#N ZINC001268782560 813080821 /nfs/dbraw/zinc/08/08/21/813080821.db2.gz WDRLOCJAAGBRRH-UHFFFAOYSA-N 0 1 296.400 0.010 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)[C@@H](C)n2cncn2)C1 ZINC001268823569 813103524 /nfs/dbraw/zinc/10/35/24/813103524.db2.gz XOVAFIFOYDGHLT-GFCCVEGCSA-N 0 1 293.371 0.090 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H](C)NC(=O)c2ccoc2)C1 ZINC001268833437 813105992 /nfs/dbraw/zinc/10/59/92/813105992.db2.gz PKALIDRXOGLYDR-NSHDSACASA-N 0 1 291.351 0.632 20 30 CCEDMN C#CC(=O)N1Cc2n[nH]c(C(=O)N3CCCCO3)c2C1 ZINC001268857306 813117430 /nfs/dbraw/zinc/11/74/30/813117430.db2.gz BRHWMTYHPNEVHV-UHFFFAOYSA-N 0 1 274.280 0.053 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@]2(C)CCN(C(C)=O)C2)C1 ZINC001268896281 813137714 /nfs/dbraw/zinc/13/77/14/813137714.db2.gz NKNTVXBDLZSEPT-INIZCTEOSA-N 0 1 293.411 0.869 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C)c(C)n2)[C@@H](O)C1 ZINC001083646253 815594800 /nfs/dbraw/zinc/59/48/00/815594800.db2.gz SNTIHDBVIGLPBH-CABCVRRESA-N 0 1 287.363 0.497 20 30 CCEDMN C#CCN1CCC2(CCN(C(=O)CN(C)CC)CC2)C1=O ZINC001269092548 813211086 /nfs/dbraw/zinc/21/10/86/813211086.db2.gz CQAWPFCOLSLROF-UHFFFAOYSA-N 0 1 291.395 0.412 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CNC(=O)CC)C1 ZINC001269221905 813276036 /nfs/dbraw/zinc/27/60/36/813276036.db2.gz QWPNKQZXIIWWNO-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)CN2CCCC2=O)C1 ZINC001269307308 813319504 /nfs/dbraw/zinc/31/95/04/813319504.db2.gz QRPOQJYAKJVUDA-ZDUSSCGKSA-N 0 1 279.384 0.718 20 30 CCEDMN CC#CC[N@@H+]1CC[C@H](N(CC)C(=O)CCCCC(N)=O)C1 ZINC001269349101 813334675 /nfs/dbraw/zinc/33/46/75/813334675.db2.gz QFWLLDNEWAPQEC-AWEZNQCLSA-N 0 1 293.411 0.978 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)CCCCC(N)=O)C1 ZINC001269349101 813334676 /nfs/dbraw/zinc/33/46/76/813334676.db2.gz QFWLLDNEWAPQEC-AWEZNQCLSA-N 0 1 293.411 0.978 20 30 CCEDMN C=CCN1CC[C@@H](NC2(CNC(=O)[C@@H](C)OC)CC2)C1=O ZINC001269379232 813348462 /nfs/dbraw/zinc/34/84/62/813348462.db2.gz FBDXCSWDEOGQDV-VXGBXAGGSA-N 0 1 295.383 0.047 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@H](CC)NCc1cnnn1C ZINC001269396449 813355695 /nfs/dbraw/zinc/35/56/95/813355695.db2.gz FDFMVBPFTWNSOU-FZMZJTMJSA-N 0 1 295.387 0.127 20 30 CCEDMN CC[C@@H](CNC(=O)c1ccn(-c2ccncc2)n1)NCC#N ZINC001269438612 813373295 /nfs/dbraw/zinc/37/32/95/813373295.db2.gz YEHQXSYFETVKPA-LBPRGKRZSA-N 0 1 298.350 0.889 20 30 CCEDMN CC1CCN(CC(=O)NCC2(NCC#N)CC2)CC1 ZINC001269512307 813397904 /nfs/dbraw/zinc/39/79/04/813397904.db2.gz BMEYLINIONTSHP-UHFFFAOYSA-N 0 1 264.373 0.480 20 30 CCEDMN N#CC1(NC(=O)[C@]23C[C@H]2CCN3C(=O)c2ccn[nH]2)CCC1 ZINC001269604101 813442655 /nfs/dbraw/zinc/44/26/55/813442655.db2.gz UXUOOZORNKNASB-BMIGLBTASA-N 0 1 299.334 0.577 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1nc(C(=O)OC)cc(=O)[nH]1 ZINC001225731563 813498441 /nfs/dbraw/zinc/49/84/41/813498441.db2.gz ZZJJYOOFVIRWFP-QMMMGPOBSA-N 0 1 294.263 0.303 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)[C@H](C)N(C)C ZINC001269797495 813511009 /nfs/dbraw/zinc/51/10/09/813511009.db2.gz ZUDVMSQPBKVTEG-YNEHKIRRSA-N 0 1 277.368 0.162 20 30 CCEDMN C=CCCCCCN1CC(N(C)C(=O)Cn2ncnn2)C1 ZINC001269792059 813511283 /nfs/dbraw/zinc/51/12/83/813511283.db2.gz BELRUUXSGWTLHP-UHFFFAOYSA-N 0 1 292.387 0.562 20 30 CCEDMN C=CCCn1cc(C(=O)NC[C@@H]2CCN(CCF)C2)nn1 ZINC001028857683 813592030 /nfs/dbraw/zinc/59/20/30/813592030.db2.gz IWHAAFFNJFCEFY-LBPRGKRZSA-N 0 1 295.362 0.875 20 30 CCEDMN CN1CC2(C1)CCCN(C(=O)C#Cc1ccncc1)C2 ZINC001270336706 813723420 /nfs/dbraw/zinc/72/34/20/813723420.db2.gz WJDWNMQYSPXTPV-UHFFFAOYSA-N 0 1 269.348 0.987 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](C)CNCc1nncs1 ZINC001270394192 813746296 /nfs/dbraw/zinc/74/62/96/813746296.db2.gz QKHPRIVARYNWSE-GWCFXTLKSA-N 0 1 298.412 0.707 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CNC(=O)N2CCCC2)C1 ZINC001270584422 813825324 /nfs/dbraw/zinc/82/53/24/813825324.db2.gz SXCMAXGRPDJCFE-OAHLLOKOSA-N 0 1 292.383 0.006 20 30 CCEDMN C=C1CC(C(=O)N2CCN(C)C[C@]3(CCCC(=O)N3)C2)C1 ZINC001270590007 813830160 /nfs/dbraw/zinc/83/01/60/813830160.db2.gz GCWMCHOLUCIUCG-MRXNPFEDSA-N 0 1 291.395 0.766 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001280271399 813889963 /nfs/dbraw/zinc/88/99/63/813889963.db2.gz PNHJIMFQPMCTND-QWRGUYRKSA-N 0 1 291.355 0.621 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)[C@@H]1CCc2[nH]c(C)nc2C1 ZINC001038430685 813940116 /nfs/dbraw/zinc/94/01/16/813940116.db2.gz NIIBZGXOLLVLGD-CHWSQXEVSA-N 0 1 286.379 0.647 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ccn(C)n3)[C@@H]2C1 ZINC001075782839 813998305 /nfs/dbraw/zinc/99/83/05/813998305.db2.gz VHIIKBJPTINYAQ-GXTWGEPZSA-N 0 1 272.352 0.129 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)C[C@@H]2CCNC2=O)C1 ZINC001270966022 814103084 /nfs/dbraw/zinc/10/30/84/814103084.db2.gz UJPVMTZEQMYKBJ-BBRMVZONSA-N 0 1 291.395 0.364 20 30 CCEDMN N#CCN1CCC(F)(F)[C@H](CNC(=O)Cc2cnc[nH]2)C1 ZINC001271102123 814150973 /nfs/dbraw/zinc/15/09/73/814150973.db2.gz XYGARMWSZKDFRQ-SNVBAGLBSA-N 0 1 297.309 0.549 20 30 CCEDMN C#CC[N@H+]1CCC(F)(F)[C@@H](CNC(=O)C[NH+]2CCCC2)C1 ZINC001271108865 814155525 /nfs/dbraw/zinc/15/55/25/814155525.db2.gz FQTKTJQRUKXHFY-ZDUSSCGKSA-N 0 1 299.365 0.789 20 30 CCEDMN C#CC[N@H+]1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)[C@H]1CC ZINC001087594218 814172646 /nfs/dbraw/zinc/17/26/46/814172646.db2.gz RTUYTKGAUVJYSF-GXFFZTMASA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)[C@H]1CC ZINC001087594218 814172650 /nfs/dbraw/zinc/17/26/50/814172650.db2.gz RTUYTKGAUVJYSF-GXFFZTMASA-N 0 1 298.350 0.569 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@H](C)CCC)C1 ZINC001271133257 814191590 /nfs/dbraw/zinc/19/15/90/814191590.db2.gz XOGMQAIQDWURTE-HIFRSBDPSA-N 0 1 266.385 0.999 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)[C@H]2CC2(C)C)C1 ZINC001271139700 814201107 /nfs/dbraw/zinc/20/11/07/814201107.db2.gz YRZFPGSUQXVLLY-IUODEOHRSA-N 0 1 264.369 0.609 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ncccn2)[C@H]1CC ZINC001087769938 814209047 /nfs/dbraw/zinc/20/90/47/814209047.db2.gz BYRWCPVAFXDENF-NWDGAFQWSA-N 0 1 258.325 0.693 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2C[C@H]2C(=O)OC)C1 ZINC001042533463 814321429 /nfs/dbraw/zinc/32/14/29/814321429.db2.gz PMPZQPVCLFTJKW-QWHCGFSZSA-N 0 1 280.368 0.904 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C[C@@H](C)COC)C1 ZINC001271207588 814334387 /nfs/dbraw/zinc/33/43/87/814334387.db2.gz DUBYPKJEJANGPD-UKRRQHHQSA-N 0 1 282.384 0.235 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423680 814441894 /nfs/dbraw/zinc/44/18/94/814441894.db2.gz HPFBOMZYHWIZBB-PWSUYJOCSA-N 0 1 277.324 0.138 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](NC(=O)c2ncccc2[O-])[C@@H](O)C1 ZINC001083423680 814441903 /nfs/dbraw/zinc/44/19/03/814441903.db2.gz HPFBOMZYHWIZBB-PWSUYJOCSA-N 0 1 277.324 0.138 20 30 CCEDMN C[N@H+]1CCC[C@H]1C(=O)N1CCCC[C@H]1CCNCC#N ZINC001271494443 814462359 /nfs/dbraw/zinc/46/23/59/814462359.db2.gz LSWIHLAAMPZREN-KBPBESRZSA-N 0 1 278.400 0.965 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cnc(C)o1 ZINC001127052053 815605664 /nfs/dbraw/zinc/60/56/64/815605664.db2.gz QEVARAJPHWQCJO-JTQLQIEISA-N 0 1 265.313 0.227 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN1CCC(NCC#N)CC1 ZINC001271905961 814627204 /nfs/dbraw/zinc/62/72/04/814627204.db2.gz YYJHDJNGAXRYOC-OAHLLOKOSA-N 0 1 294.399 0.007 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nccnc2N)[C@H]1C ZINC001088497097 814745295 /nfs/dbraw/zinc/74/52/95/814745295.db2.gz GWUHGHOCVUMCIK-MNOVXSKESA-N 0 1 275.356 0.828 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C#N)c[nH]2)[C@H]1C ZINC001088513481 814845163 /nfs/dbraw/zinc/84/51/63/814845163.db2.gz UKARVNCEEICTKE-PWSUYJOCSA-N 0 1 256.309 0.712 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2cncnc2)[C@H]1C ZINC001088544645 814865576 /nfs/dbraw/zinc/86/55/76/814865576.db2.gz PUPDORKDMKYKGL-YPMHNXCESA-N 0 1 258.325 0.231 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ncn(C)n2)[C@H]1C ZINC001088550648 814873345 /nfs/dbraw/zinc/87/33/45/814873345.db2.gz LYFQCQWRIYRQNK-MNOVXSKESA-N 0 1 263.345 0.584 20 30 CCEDMN C=CCCC(=O)NCC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001075888787 814880570 /nfs/dbraw/zinc/88/05/70/814880570.db2.gz BJFWYTLGBAKKJD-VIFPVBQESA-N 0 1 265.317 0.396 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](OC)[C@H]1CCOC1 ZINC001272074426 814910502 /nfs/dbraw/zinc/91/05/02/814910502.db2.gz AXKYVTCKCCEOGW-QWHCGFSZSA-N 0 1 268.357 0.061 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCOC)C[C@H]1OC ZINC001212146539 815660517 /nfs/dbraw/zinc/66/05/17/815660517.db2.gz SPOYGAVAMJQCNF-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2n[nH]cc2F)[C@H]1C ZINC001088841009 815876985 /nfs/dbraw/zinc/87/69/85/815876985.db2.gz HZGMOPZXLAXTSK-SCZZXKLOSA-N 0 1 252.293 0.927 20 30 CCEDMN C#CCN1CC(NC(=O)c2[nH]nc3c2CCCC3)C1 ZINC001030598695 816136595 /nfs/dbraw/zinc/13/65/95/816136595.db2.gz BVIIDBFUTKYAMM-UHFFFAOYSA-N 0 1 258.325 0.336 20 30 CCEDMN COc1ccnc(C(N)=Nc2nccnc2[N+](=O)[O-])n1 ZINC001168421576 816190129 /nfs/dbraw/zinc/19/01/29/816190129.db2.gz SZYJMXTUGLTDIU-UHFFFAOYSA-N 0 1 275.228 0.220 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2cnccc2N(C)C)CCN1CC#N ZINC001088984527 816203950 /nfs/dbraw/zinc/20/39/50/816203950.db2.gz FRFWUOAHXFRZBZ-YPMHNXCESA-N 0 1 287.367 0.864 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2cccn(C)c2=O)[C@H]1C ZINC001088988191 816204270 /nfs/dbraw/zinc/20/42/70/816204270.db2.gz JGUSHVXAUCJJCO-OCCSQVGLSA-N 0 1 287.363 0.601 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cccn(C)c2=O)[C@H]1C ZINC001088988191 816204272 /nfs/dbraw/zinc/20/42/72/816204272.db2.gz JGUSHVXAUCJJCO-OCCSQVGLSA-N 0 1 287.363 0.601 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3cc[nH]c23)[C@H]1C ZINC001089004353 816210373 /nfs/dbraw/zinc/21/03/73/816210373.db2.gz KCRCAHGQTOXEOA-PWSUYJOCSA-N 0 1 271.324 0.488 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](NC(=O)[C@H](C)N2CCOCC2)[C@H]1C ZINC001089084342 816240091 /nfs/dbraw/zinc/24/00/91/816240091.db2.gz FOMYIJVADFJFLY-ILXRZTDVSA-N 0 1 293.411 0.309 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(CC)C[C@H]2O)cc1 ZINC001077495208 816291195 /nfs/dbraw/zinc/29/11/95/816291195.db2.gz XENSVMBVMFXNKD-ZIAGYGMSSA-N 0 1 258.321 0.463 20 30 CCEDMN C=C(Cl)CN1CC(NC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001030859182 816356228 /nfs/dbraw/zinc/35/62/28/816356228.db2.gz CWUDTXJIOCFHTQ-JTQLQIEISA-N 0 1 295.774 0.778 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CCNCc2cnon2)C1 ZINC001272384249 816379292 /nfs/dbraw/zinc/37/92/92/816379292.db2.gz NZIFXRHOXKQFAZ-GHMZBOCLSA-N 0 1 277.328 0.557 20 30 CCEDMN CC#CCN1CC(NC(=O)[C@H]2CCCc3[nH]cnc32)C1 ZINC001030934967 816462632 /nfs/dbraw/zinc/46/26/32/816462632.db2.gz TYJKOUBCFHOUOL-LBPRGKRZSA-N 0 1 272.352 0.653 20 30 CCEDMN C[C@H](CCNc1ncnc2[nH]cnc21)NC(=O)[C@@H](C)C#N ZINC001106268127 816703370 /nfs/dbraw/zinc/70/33/70/816703370.db2.gz CQDNCQJZZNEMPQ-DTWKUNHWSA-N 0 1 287.327 0.771 20 30 CCEDMN C[C@H](CCNc1ccc(C#N)nn1)NC(=O)c1cnn[nH]1 ZINC001106638515 816829516 /nfs/dbraw/zinc/82/95/16/816829516.db2.gz PKYAKGKBOLSKQI-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C#CCCCCNC(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC001123515821 816970919 /nfs/dbraw/zinc/97/09/19/816970919.db2.gz VKGUZYFDBGWUNS-KGLIPLIRSA-N 0 1 280.416 0.723 20 30 CCEDMN COC[C@H](C)N1C[C@@H](NC(=O)C#CC2CC2)[C@H](OC)C1 ZINC001212251108 817235721 /nfs/dbraw/zinc/23/57/21/817235721.db2.gz PQNSNEUYLXQZDL-IACUBPJLSA-N 0 1 280.368 0.250 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1C(=O)NCC1CN(CCO)C1 ZINC001031680894 817241115 /nfs/dbraw/zinc/24/11/15/817241115.db2.gz VCLCBIIZDLLOKD-OLZOCXBDSA-N 0 1 268.357 0.008 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NC[C@@H]1CCCC[C@@H]1N1CCOCC1 ZINC001124021424 817254132 /nfs/dbraw/zinc/25/41/32/817254132.db2.gz WSBOMGTXSQZBJH-OFQRWUPVSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001031725457 817290047 /nfs/dbraw/zinc/29/00/47/817290047.db2.gz JGCCTRZAIUBHFC-ZDUSSCGKSA-N 0 1 286.379 0.816 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2c(C)ncn2C)C1 ZINC001031747254 817332707 /nfs/dbraw/zinc/33/27/07/817332707.db2.gz GHJGCOWBLQDOSV-UHFFFAOYSA-N 0 1 262.357 0.966 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C(F)F)CC2)[C@H](OC)C1 ZINC001212285265 817335261 /nfs/dbraw/zinc/33/52/61/817335261.db2.gz YMEYJXFCDRTQOE-GHMZBOCLSA-N 0 1 286.322 0.870 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccn(CC(F)F)n2)C1 ZINC001031753084 817338103 /nfs/dbraw/zinc/33/81/03/817338103.db2.gz ASWPZEYEOYGWQZ-UHFFFAOYSA-N 0 1 296.321 0.833 20 30 CCEDMN CCN(c1ccc(C#N)nc1)[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001089773482 817341756 /nfs/dbraw/zinc/34/17/56/817341756.db2.gz JYFRCMRTZSXRQG-SNVBAGLBSA-N 0 1 299.338 0.716 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccn(C)c(=O)c2)C1 ZINC001031776183 817357345 /nfs/dbraw/zinc/35/73/45/817357345.db2.gz QGCBYGKGVIUIJH-UHFFFAOYSA-N 0 1 275.352 0.623 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2COCCN2CC)C1 ZINC001031796230 817372176 /nfs/dbraw/zinc/37/21/76/817372176.db2.gz FBRGQWUIBMHOML-CQSZACIVSA-N 0 1 281.400 0.331 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)C2CCC(C(N)=O)CC2)C1 ZINC001031800398 817374002 /nfs/dbraw/zinc/37/40/02/817374002.db2.gz ONTLCKPSXBYJAW-UHFFFAOYSA-N 0 1 293.411 0.902 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccc(=O)n(C)c2)C1 ZINC001031810709 817387273 /nfs/dbraw/zinc/38/72/73/817387273.db2.gz PFKSYKVOINDJRC-UHFFFAOYSA-N 0 1 275.352 0.623 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ncoc2CC)C1 ZINC001047329659 817397012 /nfs/dbraw/zinc/39/70/12/817397012.db2.gz XDIBRWIEAWVRBC-RYUDHWBXSA-N 0 1 291.351 0.377 20 30 CCEDMN C[C@@H]1C[C@H]1CN1CC2(C1)COCC(=O)N2CCCC#N ZINC001272692578 817399779 /nfs/dbraw/zinc/39/97/79/817399779.db2.gz OSIKOPWAKNQMGL-OLZOCXBDSA-N 0 1 277.368 0.859 20 30 CCEDMN C#CCN1C(=O)COCC12CN(CCC(C)(C)OC)C2 ZINC001272692964 817401520 /nfs/dbraw/zinc/40/15/20/817401520.db2.gz FXFBDPUSILFASE-UHFFFAOYSA-N 0 1 280.368 0.348 20 30 CCEDMN N#CCN1CC(CNC(=O)[C@@H]2CCCc3[nH]cnc32)C1 ZINC001031968670 817506448 /nfs/dbraw/zinc/50/64/48/817506448.db2.gz DMCACUMUWWBEMC-LLVKDONJSA-N 0 1 273.340 0.401 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2cccnc2C)[C@@H](O)C1 ZINC001083776784 817536794 /nfs/dbraw/zinc/53/67/94/817536794.db2.gz ORPYSPYRKAWOBG-CABCVRRESA-N 0 1 289.379 0.670 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(COC)on2)C1 ZINC001032031720 817565165 /nfs/dbraw/zinc/56/51/65/817565165.db2.gz UDSQAUWCLKADHK-UHFFFAOYSA-N 0 1 277.324 0.506 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCC1CN(CCOC)C1 ZINC001032044298 817575731 /nfs/dbraw/zinc/57/57/31/817575731.db2.gz WLHYGMZLQCNDEZ-KBPBESRZSA-N 0 1 282.384 0.662 20 30 CCEDMN N#Cc1ccccc1CN1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001032058297 817588432 /nfs/dbraw/zinc/58/84/32/817588432.db2.gz QVNWBNKKEOEAJZ-UHFFFAOYSA-N 0 1 296.334 0.538 20 30 CCEDMN N#Cc1ccccc1CN1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001032058297 817588438 /nfs/dbraw/zinc/58/84/38/817588438.db2.gz QVNWBNKKEOEAJZ-UHFFFAOYSA-N 0 1 296.334 0.538 20 30 CCEDMN NC(=O)CC(=O)NCCNCC#Cc1ccc(Cl)cc1 ZINC001125080705 817699510 /nfs/dbraw/zinc/69/95/10/817699510.db2.gz UQGWHDAREWXGNG-UHFFFAOYSA-N 0 1 293.754 0.273 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001032209164 817705460 /nfs/dbraw/zinc/70/54/60/817705460.db2.gz KVIXJXLUGIXGJF-ZDUSSCGKSA-N 0 1 279.384 0.479 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCn1cccn1 ZINC001032286268 817782816 /nfs/dbraw/zinc/78/28/16/817782816.db2.gz YUYYRJOXPDATBQ-KBPBESRZSA-N 0 1 272.352 0.582 20 30 CCEDMN Cc1ccc(C#N)c(NCC=CCNC(=O)c2ncn[nH]2)n1 ZINC001107287251 817824852 /nfs/dbraw/zinc/82/48/52/817824852.db2.gz OJDFJQBJDAQEIJ-IHWYPQMZSA-N 0 1 297.322 0.778 20 30 CCEDMN Cc1ccc(C#N)c(NCC=CCNC(=O)c2nc[nH]n2)n1 ZINC001107287251 817824858 /nfs/dbraw/zinc/82/48/58/817824858.db2.gz OJDFJQBJDAQEIJ-IHWYPQMZSA-N 0 1 297.322 0.778 20 30 CCEDMN Cc1cc(C#N)nc(NCC=CCNC(=O)c2ncn[nH]2)n1 ZINC001107288674 817825457 /nfs/dbraw/zinc/82/54/57/817825457.db2.gz LXIFBVKYEKLFQC-NSCUHMNNSA-N 0 1 298.310 0.173 20 30 CCEDMN Cc1cc(C#N)nc(NCC=CCNC(=O)c2nc[nH]n2)n1 ZINC001107288674 817825468 /nfs/dbraw/zinc/82/54/68/817825468.db2.gz LXIFBVKYEKLFQC-NSCUHMNNSA-N 0 1 298.310 0.173 20 30 CCEDMN Cc1cc(NCC=CCNC(=O)c2ncn[nH]2)c(C#N)cn1 ZINC001107288103 817826432 /nfs/dbraw/zinc/82/64/32/817826432.db2.gz GDRYYQIDBPZRGL-IHWYPQMZSA-N 0 1 297.322 0.200 20 30 CCEDMN Cc1cc(NCC=CCNC(=O)c2nc[nH]n2)c(C#N)cn1 ZINC001107288103 817826442 /nfs/dbraw/zinc/82/64/42/817826442.db2.gz GDRYYQIDBPZRGL-IHWYPQMZSA-N 0 1 297.322 0.200 20 30 CCEDMN C=CCCC(=O)NC[C@]12CCC[C@H]1N(CC(N)=O)CC2 ZINC001107327084 817835365 /nfs/dbraw/zinc/83/53/65/817835365.db2.gz QUQKUOVKTPPSJI-IUODEOHRSA-N 0 1 279.384 0.799 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H](CC)OC ZINC001032312194 817842223 /nfs/dbraw/zinc/84/22/23/817842223.db2.gz ZIQSUDXVRKZBEH-RWMBFGLXSA-N 0 1 250.342 0.720 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)CC1 ZINC001127794790 817880437 /nfs/dbraw/zinc/88/04/37/817880437.db2.gz DPVNFDWXHQUDFP-FHUSYTEZSA-N 0 1 275.352 0.514 20 30 CCEDMN Cc1ccc(C#N)c(NCC=CCNC(=O)c2cnn[nH]2)n1 ZINC001107587366 817892902 /nfs/dbraw/zinc/89/29/02/817892902.db2.gz TVYNQLPKSRQIAU-NSCUHMNNSA-N 0 1 297.322 0.778 20 30 CCEDMN C=C(C)CCN1C[C@@H](O)[C@H](NC(=O)c2n[nH]cc2F)C1 ZINC001077635531 817893856 /nfs/dbraw/zinc/89/38/56/817893856.db2.gz CUOSVACKDOGZIJ-GHMZBOCLSA-N 0 1 282.319 0.290 20 30 CCEDMN N#CCN1CC[C@@]2(CNC(=O)CN3CCCC3)CCC[C@@H]12 ZINC001107633300 817909588 /nfs/dbraw/zinc/90/95/88/817909588.db2.gz QWSOIZYOYYQZOZ-GDBMZVCRSA-N 0 1 290.411 0.967 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1CCC[N@H+]1C ZINC001107644902 817912607 /nfs/dbraw/zinc/91/26/07/817912607.db2.gz KVORPKQRTHHTKM-RISCZKNCSA-N 0 1 287.367 0.964 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)NC(=O)[C@@H]1CCCN1C ZINC001107644902 817912612 /nfs/dbraw/zinc/91/26/12/817912612.db2.gz KVORPKQRTHHTKM-RISCZKNCSA-N 0 1 287.367 0.964 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2C[N@@H+](C(C)C)C[C@H]2O)C1 ZINC001077645351 817913419 /nfs/dbraw/zinc/91/34/19/817913419.db2.gz CBYVUJBFHVJMJO-VXGBXAGGSA-N 0 1 252.358 0.912 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C(C)C)C[C@H]2O)C1 ZINC001077645351 817913426 /nfs/dbraw/zinc/91/34/26/817913426.db2.gz CBYVUJBFHVJMJO-VXGBXAGGSA-N 0 1 252.358 0.912 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1ccn(C)n1 ZINC001128464675 818264327 /nfs/dbraw/zinc/26/43/27/818264327.db2.gz RRFZIYGRVFDTCO-UHFFFAOYSA-N 0 1 270.764 0.811 20 30 CCEDMN C=CC[N@H+]1CC[C@H](NC(=O)c2ccco2)[C@@H](O)C1 ZINC001090021208 818281582 /nfs/dbraw/zinc/28/15/82/818281582.db2.gz SNDHRZHTVWAOPI-QWRGUYRKSA-N 0 1 250.298 0.631 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccco2)[C@@H](O)C1 ZINC001090021208 818281585 /nfs/dbraw/zinc/28/15/85/818281585.db2.gz SNDHRZHTVWAOPI-QWRGUYRKSA-N 0 1 250.298 0.631 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C2=COCCO2)C1 ZINC001032885751 818336906 /nfs/dbraw/zinc/33/69/06/818336906.db2.gz QVNKCOFKKFKODV-LBPRGKRZSA-N 0 1 264.325 0.431 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cc(C)nn2C)C1 ZINC001032934154 818373978 /nfs/dbraw/zinc/37/39/78/818373978.db2.gz CIGCHJLJCZLDRZ-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2cnn(C)c2)C1 ZINC001032953955 818385327 /nfs/dbraw/zinc/38/53/27/818385327.db2.gz SCXRFEXGMSSLBV-AWEZNQCLSA-N 0 1 274.368 0.519 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H](C)n2cncn2)C1 ZINC001033015492 818423919 /nfs/dbraw/zinc/42/39/19/818423919.db2.gz JACUPOPTURTNHG-OLZOCXBDSA-N 0 1 275.356 0.395 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cn(C)nc1C ZINC001273018990 818433477 /nfs/dbraw/zinc/43/34/77/818433477.db2.gz OQGMKXKXUVRCJH-HUUCEWRRSA-N 0 1 286.379 0.927 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cc2ccn(C)n2)C1 ZINC001033073589 818493226 /nfs/dbraw/zinc/49/32/26/818493226.db2.gz BKOKMJIZDCJVQG-ZDUSSCGKSA-N 0 1 262.357 0.681 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cn2cccc(C)c2=O)C1 ZINC001033076597 818495825 /nfs/dbraw/zinc/49/58/25/818495825.db2.gz NEAWLPOAHKIQEQ-AWEZNQCLSA-N 0 1 289.379 0.875 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cc(CC)n[nH]2)[C@@H](O)C1 ZINC001090097956 818508177 /nfs/dbraw/zinc/50/81/77/818508177.db2.gz CMZSZTPEDDKORT-JSGCOSHPSA-N 0 1 290.367 0.160 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001033124023 818549852 /nfs/dbraw/zinc/54/98/52/818549852.db2.gz MDTATFHTKPRRJC-STQMWFEESA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001033136295 818559278 /nfs/dbraw/zinc/55/92/78/818559278.db2.gz UMSGILZWDKHABQ-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnc3n2CCOC3)C1 ZINC001033163403 818588972 /nfs/dbraw/zinc/58/89/72/818588972.db2.gz KRPJTXHYAKEQRL-LBPRGKRZSA-N 0 1 290.367 0.746 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCN(C)C2=O)C1 ZINC001033169383 818598209 /nfs/dbraw/zinc/59/82/09/818598209.db2.gz MQLGPJQTJFGEBB-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033170423 818600123 /nfs/dbraw/zinc/60/01/23/818600123.db2.gz XPLPBMVRTRYGDR-JTQLQIEISA-N 0 1 262.313 0.203 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)c2cnc[nH]c2=O)C1 ZINC001033179325 818604107 /nfs/dbraw/zinc/60/41/07/818604107.db2.gz OGODSMFILBWNBQ-LLVKDONJSA-N 0 1 274.324 0.352 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnn3c2OCCC3)C1 ZINC001033235773 818668578 /nfs/dbraw/zinc/66/85/78/818668578.db2.gz XQKZMZYATZDWLG-LBPRGKRZSA-N 0 1 290.367 0.998 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2cc(C)nn2C)C1 ZINC001033260220 818681111 /nfs/dbraw/zinc/68/11/11/818681111.db2.gz VEFXCFGGZMBOBH-AWEZNQCLSA-N 0 1 288.395 0.827 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cc(C)nn2C)C1 ZINC001033268186 818684688 /nfs/dbraw/zinc/68/46/88/818684688.db2.gz QMXPXMUADDSGMO-ZDUSSCGKSA-N 0 1 274.368 0.437 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001033284226 818690447 /nfs/dbraw/zinc/69/04/47/818690447.db2.gz VEQBEFXBHPJUIF-ZNMIVQPWSA-N 0 1 276.380 0.967 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001033290752 818693059 /nfs/dbraw/zinc/69/30/59/818693059.db2.gz XKOJIOOQZMQJOV-RISCZKNCSA-N 0 1 265.357 0.231 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001033289722 818695946 /nfs/dbraw/zinc/69/59/46/818695946.db2.gz KNTNOVOFLRNZQN-DOMZBBRYSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2c[nH]nc2C)C1 ZINC001033314435 818706244 /nfs/dbraw/zinc/70/62/44/818706244.db2.gz VWLNCJASKIGGMA-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033341723 818727800 /nfs/dbraw/zinc/72/78/00/818727800.db2.gz PHDLQKNGDWECMY-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2ncccc2OC)C1 ZINC001033361211 818739895 /nfs/dbraw/zinc/73/98/95/818739895.db2.gz NELDXLGEVUUJAH-CYBMUJFWSA-N 0 1 287.363 0.799 20 30 CCEDMN N#Cc1ccc([C@@H](O)CN2CC[C@@H](NC(N)=O)C2)cc1 ZINC000700681051 818823800 /nfs/dbraw/zinc/82/38/00/818823800.db2.gz QNOGMOPVQIIJOG-OLZOCXBDSA-N 0 1 274.324 0.334 20 30 CCEDMN C#CCCN1CC[C@@H](N(C)C(=O)c2conc2COC)C1 ZINC001033581614 818837635 /nfs/dbraw/zinc/83/76/35/818837635.db2.gz ZYFHYLLYKKTKNI-GFCCVEGCSA-N 0 1 291.351 0.991 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001033569462 818838986 /nfs/dbraw/zinc/83/89/86/818838986.db2.gz VSAOTFJWGFYZFZ-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc(C(N)=O)cc2)C1 ZINC001033649410 818872641 /nfs/dbraw/zinc/87/26/41/818872641.db2.gz ALTPABIPFRROKF-OAHLLOKOSA-N 0 1 299.374 0.955 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cc[nH]n2)C1 ZINC001033752054 818913259 /nfs/dbraw/zinc/91/32/59/818913259.db2.gz AJBGQRSANLJWLK-GFCCVEGCSA-N 0 1 260.341 0.969 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2ccn[nH]2)C1 ZINC001033752054 818913280 /nfs/dbraw/zinc/91/32/80/818913280.db2.gz AJBGQRSANLJWLK-GFCCVEGCSA-N 0 1 260.341 0.969 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001033789688 818936617 /nfs/dbraw/zinc/93/66/17/818936617.db2.gz RKIQVMNTUPBDCF-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2COC(=O)N2)C1 ZINC001033815514 818956924 /nfs/dbraw/zinc/95/69/24/818956924.db2.gz JRHRUQBKMYXCMS-MNOVXSKESA-N 0 1 267.329 0.204 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](N(CC)C(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)C1 ZINC001033875350 818971504 /nfs/dbraw/zinc/97/15/04/818971504.db2.gz RGWTYNYEYXTMIL-QLFBSQMISA-N 0 1 295.427 0.814 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(CC)C(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001033882989 818978667 /nfs/dbraw/zinc/97/86/67/818978667.db2.gz ILRCSWDOXRBOSI-SECBINFHSA-N 0 1 293.327 0.005 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)c2cncn2C)C1 ZINC001033888214 818980676 /nfs/dbraw/zinc/98/06/76/818980676.db2.gz RPGQDHXLCUJAHN-ZDUSSCGKSA-N 0 1 274.368 0.980 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](N(CC)C(=O)c2nc[nH]n2)C1 ZINC001033993308 819025129 /nfs/dbraw/zinc/02/51/29/819025129.db2.gz TUURHTJZGCRPBT-NSHDSACASA-N 0 1 263.345 0.917 20 30 CCEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2nc[nH]n2)C1 ZINC001033993308 819025136 /nfs/dbraw/zinc/02/51/36/819025136.db2.gz TUURHTJZGCRPBT-NSHDSACASA-N 0 1 263.345 0.917 20 30 CCEDMN C#Cc1ccc(C(=O)N(CC)[C@@H]2CCN(CCO)C2)cn1 ZINC001034023271 819037067 /nfs/dbraw/zinc/03/70/67/819037067.db2.gz PSVLIAMZAGSSSV-OAHLLOKOSA-N 0 1 287.363 0.592 20 30 CCEDMN C#CCCN1CC[C@@H](N(CC)C(=O)c2nonc2C)C1 ZINC001034056186 819039550 /nfs/dbraw/zinc/03/95/50/819039550.db2.gz IEUQAZHHCMFVET-GFCCVEGCSA-N 0 1 276.340 0.938 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2ccon2)C1 ZINC001034131631 819090245 /nfs/dbraw/zinc/09/02/45/819090245.db2.gz NUCZXTBCRMMIEH-ZDUSSCGKSA-N 0 1 261.325 0.821 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)CN2CCOCC2)C1 ZINC001034171926 819106916 /nfs/dbraw/zinc/10/69/16/819106916.db2.gz HDCUYOSQQNSGQD-HNNXBMFYSA-N 0 1 293.411 0.313 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c[nH]c(C#N)c2)[C@@H](O)C1 ZINC001090194853 819123183 /nfs/dbraw/zinc/12/31/83/819123183.db2.gz NSYJVUYURSJTON-KBPBESRZSA-N 0 1 286.335 0.075 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001034214073 819128185 /nfs/dbraw/zinc/12/81/85/819128185.db2.gz ATVDRLQNBSPWOK-YDHLFZDLSA-N 0 1 262.353 0.627 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)Cn2nccn2)C1 ZINC001034234566 819131509 /nfs/dbraw/zinc/13/15/09/819131509.db2.gz USVIGBDHEAKOKD-CYBMUJFWSA-N 0 1 275.356 0.272 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001034232829 819131780 /nfs/dbraw/zinc/13/17/80/819131780.db2.gz YUSMMRLJUBZTGF-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001034255972 819140172 /nfs/dbraw/zinc/14/01/72/819140172.db2.gz HQDYGMPSFMCAOD-MJBXVCDLSA-N 0 1 280.368 0.394 20 30 CCEDMN C=CC[N@H+]1CCCC[C@H](NC(=O)[C@@H]2CCCNC2=O)C1 ZINC001034253055 819143981 /nfs/dbraw/zinc/14/39/81/819143981.db2.gz DPRPOUZEHSCFJB-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccc(C)o2)[C@H](O)C1 ZINC001090204036 819152547 /nfs/dbraw/zinc/15/25/47/819152547.db2.gz MFQCLLVSQBOOAV-UONOGXRCSA-N 0 1 278.352 0.868 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)C1 ZINC001034315428 819166745 /nfs/dbraw/zinc/16/67/45/819166745.db2.gz MKPJMHMMYTWVEW-CQSZACIVSA-N 0 1 287.363 0.934 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001034379046 819186935 /nfs/dbraw/zinc/18/69/35/819186935.db2.gz AHYHBMMMYLUWDN-GFCCVEGCSA-N 0 1 290.367 0.733 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccoc2)[C@@H](O)C1 ZINC001090214120 819199224 /nfs/dbraw/zinc/19/92/24/819199224.db2.gz VBCQEYRFNFBZBN-OLZOCXBDSA-N 0 1 264.325 0.560 20 30 CCEDMN CN(CC[N@@H+](C)CCO)C(=O)c1ccc(C#N)cc1 ZINC000691370444 819214032 /nfs/dbraw/zinc/21/40/32/819214032.db2.gz HDSWLIGTLMHSIY-UHFFFAOYSA-N 0 1 261.325 0.554 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC001034503510 819224090 /nfs/dbraw/zinc/22/40/90/819224090.db2.gz ODWRGSLZSWAJRL-ZDUSSCGKSA-N 0 1 274.368 0.865 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnn3cc[nH]c23)C1 ZINC001034504924 819224612 /nfs/dbraw/zinc/22/46/12/819224612.db2.gz GDYKDNCXLIOGLT-GFCCVEGCSA-N 0 1 285.351 0.880 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nccn2CC)[C@H](O)C1 ZINC001090222913 819242481 /nfs/dbraw/zinc/24/24/81/819242481.db2.gz XRXILCHZDVQZOJ-QWHCGFSZSA-N 0 1 290.367 0.091 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnn(CC)n2)[C@@H](O)C1 ZINC001090228216 819277727 /nfs/dbraw/zinc/27/77/27/819277727.db2.gz AABPVKSFWWYYFB-YPMHNXCESA-N 0 1 293.371 0.039 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](CNC(=O)c3cnn[nH]3)C2)cn1 ZINC001061777951 819295186 /nfs/dbraw/zinc/29/51/86/819295186.db2.gz ZPQRYVHFNIJBDN-SNVBAGLBSA-N 0 1 297.322 0.328 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(C)CCOCC2)[C@@H](O)C1 ZINC001083864359 819306355 /nfs/dbraw/zinc/30/63/55/819306355.db2.gz LOTLRGYFSRGDQP-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CC[C@H]1CCC[N@H+](CCS(=O)(=O)CC(=O)[O-])C1 ZINC000703668585 819311914 /nfs/dbraw/zinc/31/19/14/819311914.db2.gz VTGORIJUXSVVLY-NSHDSACASA-N 0 1 275.370 0.774 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H]3CC[C@@H](C2)N3CC#N)[nH]1 ZINC001045733780 819521958 /nfs/dbraw/zinc/52/19/58/819521958.db2.gz QCOHYJVPJLTERS-NEPJUHHUSA-N 0 1 273.340 0.921 20 30 CCEDMN C#CCN1CCO[C@@H](CNC(=O)c2ccc3cncn3c2)C1 ZINC001035445993 819525467 /nfs/dbraw/zinc/52/54/67/819525467.db2.gz WPYOUSZTJKVYOT-HNNXBMFYSA-N 0 1 298.346 0.398 20 30 CCEDMN N#CCN1CCO[C@H](CNC(=O)c2n[nH]c3ccccc32)C1 ZINC001035599274 819571858 /nfs/dbraw/zinc/57/18/58/819571858.db2.gz DDUYEMZHDFPTJH-LLVKDONJSA-N 0 1 299.334 0.517 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H](C)OC)CC2 ZINC001035662542 819596348 /nfs/dbraw/zinc/59/63/48/819596348.db2.gz ABXOTPSPPQIPLS-GFCCVEGCSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cc1ccon1)CC2 ZINC001035671656 819607998 /nfs/dbraw/zinc/60/79/98/819607998.db2.gz VKNRTIAAUQPMHN-UHFFFAOYSA-N 0 1 273.336 0.775 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H](OC)C1CC1)CC2 ZINC001035696737 819609832 /nfs/dbraw/zinc/60/98/32/819609832.db2.gz ADEZPGCSBODNHN-CQSZACIVSA-N 0 1 276.380 0.969 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)c1ncc[nH]1)CC2 ZINC001035703346 819611606 /nfs/dbraw/zinc/61/16/06/819611606.db2.gz JASNIJACXAHGIV-UHFFFAOYSA-N 0 1 272.352 0.971 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)C(C)(C)C(N)=O)CC2 ZINC001035727063 819615250 /nfs/dbraw/zinc/61/52/50/819615250.db2.gz AJYYKPRRNCKCGB-UHFFFAOYSA-N 0 1 291.395 0.446 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1n[nH]nc1C)CC2 ZINC001035762725 819619166 /nfs/dbraw/zinc/61/91/66/819619166.db2.gz ULDOZHUMAQFYAY-UHFFFAOYSA-N 0 1 273.340 0.284 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@H](NCC#N)[C@@H](C)C2)n[nH]1 ZINC001035923384 819653713 /nfs/dbraw/zinc/65/37/13/819653713.db2.gz CTVVYFNJTLJBSN-JQWIXIFHSA-N 0 1 275.356 0.936 20 30 CCEDMN C[C@@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)CC[C@@H]1NCC#N ZINC001036041576 819676134 /nfs/dbraw/zinc/67/61/34/819676134.db2.gz JFWYCVNXPUJNPN-PWSUYJOCSA-N 0 1 298.350 0.922 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C2CCOCC2)CC1 ZINC000058003503 819702928 /nfs/dbraw/zinc/70/29/28/819702928.db2.gz MUTPGDNPRQBCGW-UHFFFAOYSA-N 0 1 251.330 0.517 20 30 CCEDMN C#CC1(O)CCN(S(=O)(=O)c2ccccc2O)CC1 ZINC000707985742 819751929 /nfs/dbraw/zinc/75/19/29/819751929.db2.gz JFMHGYBDEFJTHG-UHFFFAOYSA-N 0 1 281.333 0.541 20 30 CCEDMN C=CC1CCN(C(=O)[C@]2(COC)CNCCO2)CC1 ZINC000710823090 819844020 /nfs/dbraw/zinc/84/40/20/819844020.db2.gz KTMDYYFRKVNLGP-CQSZACIVSA-N 0 1 268.357 0.416 20 30 CCEDMN C=CCN1CC[C@H]2CN(C(=O)CS(C)(=O)=O)CC[C@@H]21 ZINC001036650433 819867165 /nfs/dbraw/zinc/86/71/65/819867165.db2.gz NIOJLFGZYFXMRE-RYUDHWBXSA-N 0 1 286.397 0.140 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cc2ccco2)[C@@H](O)C1 ZINC001083878294 820022513 /nfs/dbraw/zinc/02/25/13/820022513.db2.gz KPIGVADTXAWPGI-OLZOCXBDSA-N 0 1 264.325 0.560 20 30 CCEDMN C=C(C)CC[N@@H+]1C[C@@H](NC(=O)Cc2ncc[nH]2)[C@@H](O)C1 ZINC001083891262 820133666 /nfs/dbraw/zinc/13/36/66/820133666.db2.gz BXXNHQNUNFNQGX-NEPJUHHUSA-N 0 1 278.356 0.080 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)c2ccnn2CCC)[C@@H](O)C1 ZINC001090296883 820219494 /nfs/dbraw/zinc/21/94/94/820219494.db2.gz DESGAQPNQAXXCW-JSGCOSHPSA-N 0 1 292.383 0.644 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnn2CCC)[C@@H](O)C1 ZINC001090296883 820219502 /nfs/dbraw/zinc/21/95/02/820219502.db2.gz DESGAQPNQAXXCW-JSGCOSHPSA-N 0 1 292.383 0.644 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnn3c2CCC3)[C@@H](O)C1 ZINC001090307568 820241112 /nfs/dbraw/zinc/24/11/12/820241112.db2.gz AZUNYYCONOJWEN-JSGCOSHPSA-N 0 1 290.367 0.180 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cnc(C)o2)[C@H](O)C1 ZINC001090321145 820256428 /nfs/dbraw/zinc/25/64/28/820256428.db2.gz CMBNOKJMYQMBLY-QWHCGFSZSA-N 0 1 279.340 0.263 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncc(F)cc2F)[C@H](O)C1 ZINC001090358715 820297523 /nfs/dbraw/zinc/29/75/23/820297523.db2.gz OMWUIGYPKVJDHB-NWDGAFQWSA-N 0 1 297.305 0.711 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2[nH]c(C)cc2C)[C@@H](O)C1 ZINC001090363252 820301976 /nfs/dbraw/zinc/30/19/76/820301976.db2.gz LPLDEIBTDQJJKW-STQMWFEESA-N 0 1 277.368 0.983 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cnn(C)c2Cl)[C@H](O)C1 ZINC001090364214 820303429 /nfs/dbraw/zinc/30/34/29/820303429.db2.gz UUYRHSFBDPRJET-GHMZBOCLSA-N 0 1 298.774 0.425 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001079573571 820426923 /nfs/dbraw/zinc/42/69/23/820426923.db2.gz HEYJBVMOSOTIRD-MWLCHTKSSA-N 0 1 276.340 0.497 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001079592529 820432552 /nfs/dbraw/zinc/43/25/52/820432552.db2.gz KPFBEHYFHFPYIE-ZWNOBZJWSA-N 0 1 256.309 0.570 20 30 CCEDMN C#CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2ncccc2[O-])C1 ZINC001079609682 820433094 /nfs/dbraw/zinc/43/30/94/820433094.db2.gz RPFGOXCEDWOROA-GHMZBOCLSA-N 0 1 259.309 0.471 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ncccc2O)C1 ZINC001079609682 820433098 /nfs/dbraw/zinc/43/30/98/820433098.db2.gz RPFGOXCEDWOROA-GHMZBOCLSA-N 0 1 259.309 0.471 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC001079811107 820469512 /nfs/dbraw/zinc/46/95/12/820469512.db2.gz CTZXEWYUKKGMMS-ZWNOBZJWSA-N 0 1 298.350 0.426 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001079811107 820469531 /nfs/dbraw/zinc/46/95/31/820469531.db2.gz CTZXEWYUKKGMMS-ZWNOBZJWSA-N 0 1 298.350 0.426 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnc3ccnn32)C1 ZINC001079836227 820473705 /nfs/dbraw/zinc/47/37/05/820473705.db2.gz ZVFLROSHEAPYSA-CHWSQXEVSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)ncn2C)C1 ZINC001079900094 820481503 /nfs/dbraw/zinc/48/15/03/820481503.db2.gz AQOHLNCUMCAQTF-ZYHUDNBSSA-N 0 1 260.341 0.412 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001079909322 820482025 /nfs/dbraw/zinc/48/20/25/820482025.db2.gz OCLQIAUBJNBLCW-ZYHUDNBSSA-N 0 1 274.324 0.256 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cn(C)nc2COC)C1 ZINC001079986880 820495122 /nfs/dbraw/zinc/49/51/22/820495122.db2.gz ROVVDNHSAUGVTD-DGCLKSJQSA-N 0 1 292.383 0.803 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cnn3cccnc23)C1 ZINC001080242118 820531609 /nfs/dbraw/zinc/53/16/09/820531609.db2.gz IZKIVRSZNYILAN-DGCLKSJQSA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccoc2CC(N)=O)C1 ZINC001080339402 820553644 /nfs/dbraw/zinc/55/36/44/820553644.db2.gz HOSDWEXVFVDXNU-ZYHUDNBSSA-N 0 1 291.351 0.544 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001080679507 820618683 /nfs/dbraw/zinc/61/86/83/820618683.db2.gz IMBPWNSZGLNKHM-BXKDBHETSA-N 0 1 276.340 0.350 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001080679507 820618686 /nfs/dbraw/zinc/61/86/86/820618686.db2.gz IMBPWNSZGLNKHM-BXKDBHETSA-N 0 1 276.340 0.350 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(=O)[nH]c2)C1 ZINC001080885380 820651890 /nfs/dbraw/zinc/65/18/90/820651890.db2.gz LUPHRLXOMWAFDU-DGCLKSJQSA-N 0 1 273.336 0.861 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001081014018 820667430 /nfs/dbraw/zinc/66/74/30/820667430.db2.gz ONGJWLFZMMCBLH-BPLDGKMQSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H](C)c2cnn(C)c2)C1 ZINC001081073195 820675300 /nfs/dbraw/zinc/67/53/00/820675300.db2.gz BHDKHIZAEUKOLZ-VNHYZAJKSA-N 0 1 288.395 0.983 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2CC=CC2)[C@H](OC)C1 ZINC001081464661 820768770 /nfs/dbraw/zinc/76/87/70/820768770.db2.gz KGNMYOFSLBDEQG-ZIAGYGMSSA-N 0 1 262.353 0.791 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)n2cncn2)[C@H](OC)C1 ZINC001081560375 820787952 /nfs/dbraw/zinc/78/79/52/820787952.db2.gz YDYYKMMLDJTFHN-YNEHKIRRSA-N 0 1 293.371 0.231 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2[nH]ccc2C)[C@H](OC)C1 ZINC001081610847 820806714 /nfs/dbraw/zinc/80/67/14/820806714.db2.gz HRWSJAXYXMKCPM-CHWSQXEVSA-N 0 1 275.352 0.775 20 30 CCEDMN C=CCn1cccc1C(=O)N[C@@H]1CN(CCO)C[C@H]1OC ZINC001081798886 820839571 /nfs/dbraw/zinc/83/95/71/820839571.db2.gz WPYYMYAIFJRWFQ-TZMCWYRMSA-N 0 1 293.367 0.095 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccsn2)[C@H](OC)C1 ZINC001081839424 820852667 /nfs/dbraw/zinc/85/26/67/820852667.db2.gz MQWLUICCPFYAGQ-VXGBXAGGSA-N 0 1 279.365 0.595 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)[C@H](OC)C1 ZINC001082023405 820876042 /nfs/dbraw/zinc/87/60/42/820876042.db2.gz JZKQJSZDEPRHNZ-ZYHUDNBSSA-N 0 1 294.355 0.131 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2CC)[C@H](OC)C1 ZINC001082151162 820908482 /nfs/dbraw/zinc/90/84/82/820908482.db2.gz FFOZMGFDXCJEDP-AAVRWANBSA-N 0 1 264.369 0.871 20 30 CCEDMN C=CCN1C[C@H]2OCCN(C(=O)c3ccn[nH]3)[C@H]2C1 ZINC001083009922 821104803 /nfs/dbraw/zinc/10/48/03/821104803.db2.gz ODLGEPRLKXOMHK-NWDGAFQWSA-N 0 1 262.313 0.121 20 30 CCEDMN C=CCN1C[C@H]2OCCN(C(=O)Cc3ccn[nH]3)[C@H]2C1 ZINC001083032398 821108195 /nfs/dbraw/zinc/10/81/95/821108195.db2.gz VDHHSTPHYISLQV-QWHCGFSZSA-N 0 1 276.340 0.050 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN(C(C)C)C[C@@H]2O)cn1 ZINC001083969072 821175053 /nfs/dbraw/zinc/17/50/53/821175053.db2.gz STBILLYVMHWCKW-KGLIPLIRSA-N 0 1 273.336 0.246 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3COCCN3C)[C@@H]2C1 ZINC001084292991 821254153 /nfs/dbraw/zinc/25/41/53/821254153.db2.gz UXOVEYAKZLGZDH-MCIONIFRSA-N 0 1 279.384 0.036 20 30 CCEDMN COCCN1CC[C@@H]2CN(C(=O)C#CC3CC3)[C@@H]2C1 ZINC001084329261 821271368 /nfs/dbraw/zinc/27/13/68/821271368.db2.gz SMARUWGCIWCPTL-ZIAGYGMSSA-N 0 1 262.353 0.579 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCCc4c[nH]nc43)[C@@H]2C1 ZINC001084345974 821276497 /nfs/dbraw/zinc/27/64/97/821276497.db2.gz WDHSHOGVAHGVMA-MGPQQGTHSA-N 0 1 299.378 0.886 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3ccnnc3C)[C@@H]2C1 ZINC001084488982 821298776 /nfs/dbraw/zinc/29/87/76/821298776.db2.gz WHNFRKALKULLFN-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)CCc3cncnc3)[C@@H]2C1 ZINC001084521457 821305167 /nfs/dbraw/zinc/30/51/67/821305167.db2.gz GCKFBNXHDCJYBN-HZPDHXFCSA-N 0 1 298.390 0.965 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)Cc3ccc(=O)[nH]c3)[C@@H]2C1 ZINC001084526844 821305731 /nfs/dbraw/zinc/30/57/31/821305731.db2.gz NABVKDUYPLHZIO-ZIAGYGMSSA-N 0 1 285.347 0.496 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)[C@H]3CCN(C)C3=O)[C@@H]2C1 ZINC001084592505 821322666 /nfs/dbraw/zinc/32/26/66/821322666.db2.gz UMZCAAOVSNSPJG-HZSPNIEDSA-N 0 1 289.379 0.021 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)c3cc(C4CC4)[nH]n3)[C@@H]2C1 ZINC001084679908 821349183 /nfs/dbraw/zinc/34/91/83/821349183.db2.gz PLHUDUQINLIWET-BXUZGUMPSA-N 0 1 285.351 0.957 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CCCC(=O)N3C)[C@@H]2C1 ZINC001084803670 821396130 /nfs/dbraw/zinc/39/61/30/821396130.db2.gz KGPLKVMBMWVDGQ-HZSPNIEDSA-N 0 1 291.395 0.716 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)[C@@H](OC)C3CC3)C[C@@H]21 ZINC001084932728 821434115 /nfs/dbraw/zinc/43/41/15/821434115.db2.gz LXACQOPGECYDSM-SNPRPXQTSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CC1(O)CCN([C@@H]2C[C@H]3CCCC[C@H]3NC2=O)CC1 ZINC000820345862 821440992 /nfs/dbraw/zinc/44/09/92/821440992.db2.gz OWZQGJGHBDUMNO-MGPQQGTHSA-N 0 1 276.380 0.894 20 30 CCEDMN CN(C)CC#CCNC(=O)C1CCC(C(=O)N(C)C)CC1 ZINC000823675001 821530750 /nfs/dbraw/zinc/53/07/50/821530750.db2.gz QRDCOAGDLWGMLU-UHFFFAOYSA-N 0 1 293.411 0.562 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H]2CCCC[C@H]2NCC#N)n[nH]1 ZINC001085193059 821544034 /nfs/dbraw/zinc/54/40/34/821544034.db2.gz OEPGBQZMGXQRLG-GHMZBOCLSA-N 0 1 276.344 0.196 20 30 CCEDMN C[C@@H](NC(=O)C1(C#N)CCCC1)[C@@H]1CN(C)CCN1C ZINC000826684478 821669200 /nfs/dbraw/zinc/66/92/00/821669200.db2.gz KBCGEPHWWQYNMC-OLZOCXBDSA-N 0 1 278.400 0.821 20 30 CCEDMN CCc1cc(C(=O)N(C)C[C@@H]2CCN2CC#N)n[nH]1 ZINC001085493106 821740560 /nfs/dbraw/zinc/74/05/60/821740560.db2.gz LNCDJZBGCHVOAU-NSHDSACASA-N 0 1 261.329 0.642 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCN(C)C(=O)C1 ZINC001085677030 821896548 /nfs/dbraw/zinc/89/65/48/821896548.db2.gz CRKFMRRGSQZYEU-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnc2nccn2c1 ZINC001085724363 821921143 /nfs/dbraw/zinc/92/11/43/821921143.db2.gz UHBOSHUTHPSMHN-AWEZNQCLSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1n[nH]nc1C ZINC001085758007 821938015 /nfs/dbraw/zinc/93/80/15/821938015.db2.gz XANAQKIHRVNFEV-NSHDSACASA-N 0 1 261.329 0.283 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)c1c(C)nc[nH]c1=O ZINC001085780647 821946893 /nfs/dbraw/zinc/94/68/93/821946893.db2.gz ZHOHIHSPEAZMMQ-NSHDSACASA-N 0 1 276.340 0.823 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001085914963 822015336 /nfs/dbraw/zinc/01/53/36/822015336.db2.gz OKVKSHYEMSXKNS-CHWSQXEVSA-N 0 1 286.379 0.681 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CCC(=O)N(C)C1 ZINC001085987485 822051427 /nfs/dbraw/zinc/05/14/27/822051427.db2.gz DOIAUYXNAJFQQQ-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2ncc(C)cc2C#N)CC1 ZINC001155154309 822066591 /nfs/dbraw/zinc/06/65/91/822066591.db2.gz MSLHPJLPJTTXQY-CYBMUJFWSA-N 0 1 288.351 0.978 20 30 CCEDMN N#CCN[C@H]1C[C@@H](CNC(=O)c2cncc3nc[nH]c32)C1 ZINC001086092145 822109687 /nfs/dbraw/zinc/10/96/87/822109687.db2.gz DTRHTAHIMOFBHG-AOOOYVTPSA-N 0 1 284.323 0.579 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCC(=O)NCCC)[C@@H](O)C1 ZINC001100077999 822239199 /nfs/dbraw/zinc/23/91/99/822239199.db2.gz BTODFMTVHXZRRT-STQMWFEESA-N 0 1 297.399 0.030 20 30 CCEDMN Cc1nc(CNCCCNC(=O)[C@H](C)C#N)co1 ZINC001155849296 822263680 /nfs/dbraw/zinc/26/36/80/822263680.db2.gz WPZNMQPWJRLXNF-SECBINFHSA-N 0 1 250.302 0.739 20 30 CCEDMN C=C(Cl)CN1CCO[C@@](C)(CNC(=O)c2nc[nH]n2)C1 ZINC001108285136 822295088 /nfs/dbraw/zinc/29/50/88/822295088.db2.gz PCJFNYJULMABPL-LBPRGKRZSA-N 0 1 299.762 0.378 20 30 CCEDMN C=C(Cl)CN1CCO[C@@](C)(CNC(=O)c2ncn[nH]2)C1 ZINC001108285136 822295095 /nfs/dbraw/zinc/29/50/95/822295095.db2.gz PCJFNYJULMABPL-LBPRGKRZSA-N 0 1 299.762 0.378 20 30 CCEDMN C[C@@H](CNc1cncc(C#N)n1)NC(=O)[C@@H]1CCCN1C ZINC001108290953 822322125 /nfs/dbraw/zinc/32/21/25/822322125.db2.gz ZTKLULAQLHOKKO-JQWIXIFHSA-N 0 1 288.355 0.359 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NCC=C)C[C@H]21 ZINC001114002391 837399539 /nfs/dbraw/zinc/39/95/39/837399539.db2.gz LLVVGVWOQORGSS-RMRHIDDWSA-N 0 1 291.395 0.690 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NCCC)C[C@H]21 ZINC001114002596 837400198 /nfs/dbraw/zinc/40/01/98/837400198.db2.gz NGUUGIZZMWQYFJ-RMRHIDDWSA-N 0 1 293.411 0.914 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@](C)(O)C1CC1 ZINC001114155044 837458180 /nfs/dbraw/zinc/45/81/80/837458180.db2.gz FPNNYEWXVFZBSS-BFJAYTPKSA-N 0 1 276.380 0.607 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1[C@H]2CN([C@@H](C)C(=O)NC)C[C@H]21 ZINC001114162938 837458233 /nfs/dbraw/zinc/45/82/33/837458233.db2.gz ZASPNZAAYPCRQW-RMRHIDDWSA-N 0 1 291.395 0.361 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1[C@H]2CN(CC#CC)C[C@H]21 ZINC001114193560 837468452 /nfs/dbraw/zinc/46/84/52/837468452.db2.gz CJAHJIQEIPDWKO-MXYBEHONSA-N 0 1 262.353 0.383 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cc2n(n1)CCO2 ZINC001129550372 837527239 /nfs/dbraw/zinc/52/72/39/837527239.db2.gz FOTDVDHLGSLUOB-UHFFFAOYSA-N 0 1 270.720 0.347 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCCC(=O)N1 ZINC001130030979 837667893 /nfs/dbraw/zinc/66/78/93/837667893.db2.gz APIXZMYHHIWXHX-SECBINFHSA-N 0 1 259.737 0.113 20 30 CCEDMN C#CCN1C[C@@H](OC)C[C@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001130255882 837740581 /nfs/dbraw/zinc/74/05/81/837740581.db2.gz PRUQNKBLRHNZTR-QWRGUYRKSA-N 0 1 291.355 0.226 20 30 CCEDMN C#CCN1C[C@@H](OC)C[C@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001130255882 837740588 /nfs/dbraw/zinc/74/05/88/837740588.db2.gz PRUQNKBLRHNZTR-QWRGUYRKSA-N 0 1 291.355 0.226 20 30 CCEDMN CCC(=O)NCc1n[nH]c([C@@H]2C[C@H](OC)CN2CC#N)n1 ZINC001130255670 837741025 /nfs/dbraw/zinc/74/10/25/837741025.db2.gz KRRPYEZBYWYYAB-UWVGGRQHSA-N 0 1 292.343 0.116 20 30 CCEDMN CCC(=O)NCc1nnc([C@@H]2C[C@H](OC)CN2CC#N)[nH]1 ZINC001130255670 837741032 /nfs/dbraw/zinc/74/10/32/837741032.db2.gz KRRPYEZBYWYYAB-UWVGGRQHSA-N 0 1 292.343 0.116 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CCS(C)(=O)=O)C1 ZINC001183676798 844067090 /nfs/dbraw/zinc/06/70/90/844067090.db2.gz JXNSQZNLXHUINF-SNVBAGLBSA-N 0 1 294.804 0.364 20 30 CCEDMN COC(=O)N[C@H](C(=O)Nc1nc[nH]c1C#N)C(C)C ZINC001183942916 844116320 /nfs/dbraw/zinc/11/63/20/844116320.db2.gz CSBVPRXPNAYIEO-QMMMGPOBSA-N 0 1 265.273 0.600 20 30 CCEDMN Cc1c(C#N)c(-n2nnnc2CN)nc2ccccc12 ZINC001168921030 836054518 /nfs/dbraw/zinc/05/45/18/836054518.db2.gz QCEZORRUFVMMLA-UHFFFAOYSA-N 0 1 265.280 0.849 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+][C@@H]1CC[C@H](C[N+](=O)[O-])C1 ZINC001168988948 836102306 /nfs/dbraw/zinc/10/23/06/836102306.db2.gz VGQNEOGBBUGBKX-QEYWKRMJSA-N 0 1 257.290 0.258 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](CNCC#N)[C@@H](C)C2)n[nH]1 ZINC001183985139 844130954 /nfs/dbraw/zinc/13/09/54/844130954.db2.gz GCUCVPBUDZUAMD-JQWIXIFHSA-N 0 1 275.356 0.930 20 30 CCEDMN CC(=O)N1CCC[C@H](NC2(C#N)CCN(C)CC2)C1 ZINC001169593486 836368500 /nfs/dbraw/zinc/36/85/00/836368500.db2.gz FDWYDAISTQSIMB-ZDUSSCGKSA-N 0 1 264.373 0.575 20 30 CCEDMN C=CCOCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)OC)C2 ZINC001109006307 836595284 /nfs/dbraw/zinc/59/52/84/836595284.db2.gz NOSAVJSDCJZBHL-CRWXNKLISA-N 0 1 282.384 0.945 20 30 CCEDMN C[C@H](CN(C)c1ccncc1C#N)NC(=O)Cc1nnc[nH]1 ZINC001109080764 836611785 /nfs/dbraw/zinc/61/17/85/836611785.db2.gz DRJSEHXJCWMNGF-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCn1cccn1 ZINC001109088615 836617213 /nfs/dbraw/zinc/61/72/13/836617213.db2.gz QFUDKUISZXDTMP-MQYQWHSLSA-N 0 1 287.367 0.764 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(=O)NCC)C2 ZINC001109179765 836629706 /nfs/dbraw/zinc/62/97/06/836629706.db2.gz KAOYGPBHZIEAAQ-AGIUHOORSA-N 0 1 277.368 0.257 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001109249994 836646331 /nfs/dbraw/zinc/64/63/31/836646331.db2.gz NVMGHVNMOHIHNS-AGIUHOORSA-N 0 1 250.342 0.360 20 30 CCEDMN Cc1ccc(C#N)c(N(C)C[C@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001109306713 836655056 /nfs/dbraw/zinc/65/50/56/836655056.db2.gz NDZLLCZEHFKYBC-JTQLQIEISA-N 0 1 299.338 0.635 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCc1nccn1C)C2 ZINC001109545643 836694979 /nfs/dbraw/zinc/69/49/79/836694979.db2.gz GPZIKENUCCFXQS-RDBSUJKOSA-N 0 1 286.379 0.707 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H](C)[C@H](C)NC(=O)c2ncn[nH]2)n1 ZINC001113114460 837026563 /nfs/dbraw/zinc/02/65/63/837026563.db2.gz ICHLSCLBGRVTNN-ZJUUUORDSA-N 0 1 299.338 0.999 20 30 CCEDMN Cc1ccc(C#N)c(N[C@H](C)[C@H](C)NC(=O)c2nc[nH]n2)n1 ZINC001113114460 837026567 /nfs/dbraw/zinc/02/65/67/837026567.db2.gz ICHLSCLBGRVTNN-ZJUUUORDSA-N 0 1 299.338 0.999 20 30 CCEDMN C[C@@H](Nc1ccncc1C#N)[C@H](C)NC(=O)c1ncn[nH]1 ZINC001113114773 837027158 /nfs/dbraw/zinc/02/71/58/837027158.db2.gz NJGYIPCSIYBLDY-BDAKNGLRSA-N 0 1 285.311 0.112 20 30 CCEDMN C[C@@H](Nc1ccncc1C#N)[C@H](C)NC(=O)c1nc[nH]n1 ZINC001113114773 837027163 /nfs/dbraw/zinc/02/71/63/837027163.db2.gz NJGYIPCSIYBLDY-BDAKNGLRSA-N 0 1 285.311 0.112 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@]2(COC)CCOC2)CC1 ZINC001113164592 837043586 /nfs/dbraw/zinc/04/35/86/837043586.db2.gz SUVGSFLEDOGGSH-OAHLLOKOSA-N 0 1 282.384 0.760 20 30 CCEDMN C=CCCCN1CCN(C(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001113226058 837063350 /nfs/dbraw/zinc/06/33/50/837063350.db2.gz IMGUIUVLBVPMFZ-WDNDVIMCSA-N 0 1 264.369 0.989 20 30 CCEDMN C[C@H](NC(=O)Cc1cnc[nH]1)[C@H](C)Nc1ccnc(C#N)n1 ZINC001113313351 837084909 /nfs/dbraw/zinc/08/49/09/837084909.db2.gz HFTXAPRFENDXFV-UWVGGRQHSA-N 0 1 299.338 0.041 20 30 CCEDMN C[C@@H](Nc1ccncc1C#N)[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001113315167 837087769 /nfs/dbraw/zinc/08/77/69/837087769.db2.gz YGLLKMCWOVPWJB-MNOVXSKESA-N 0 1 298.350 0.646 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@H](C)Nc1ccncc1C#N ZINC001113356653 837097775 /nfs/dbraw/zinc/09/77/75/837097775.db2.gz KJQLVKRZFCUTLX-DTWKUNHWSA-N 0 1 285.311 0.112 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)CC1 ZINC001113351102 837102488 /nfs/dbraw/zinc/10/24/88/837102488.db2.gz MCGIGUIYJCGDFP-UPJWGTAASA-N 0 1 279.384 0.607 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2coc(OC)n2)[C@@H](O)C1 ZINC001090457109 837182797 /nfs/dbraw/zinc/18/27/97/837182797.db2.gz YVSBQKACERTBEB-JQWIXIFHSA-N 0 1 295.339 0.424 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@H]2[C@@H](C1)[C@@H]2NC(C)=O ZINC001113750390 837214031 /nfs/dbraw/zinc/21/40/31/837214031.db2.gz SQYBABJOTSXYTL-NHAGDIPZSA-N 0 1 279.384 0.477 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(C)=O ZINC001113753142 837217131 /nfs/dbraw/zinc/21/71/31/837217131.db2.gz VSHGEKZREHEFBB-SPWCGHHHSA-N 0 1 293.411 0.866 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cnco2)[C@@H](O)C1 ZINC001090461849 837238765 /nfs/dbraw/zinc/23/87/65/837238765.db2.gz DHDUBLQTPLVDNP-UWVGGRQHSA-N 0 1 251.286 0.026 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1COCCN1CC ZINC001130611945 837869014 /nfs/dbraw/zinc/86/90/14/837869014.db2.gz JZVFMKAGYDGUCP-NSHDSACASA-N 0 1 275.780 0.165 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C)co2)[C@H](O)C1 ZINC001090489526 837881308 /nfs/dbraw/zinc/88/13/08/837881308.db2.gz NILOEXSKTKBENC-NWDGAFQWSA-N 0 1 264.325 0.939 20 30 CCEDMN C=CCN1CCOC[C@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001130822887 837934203 /nfs/dbraw/zinc/93/42/03/837934203.db2.gz NLQMRAJLWNILRV-JTQLQIEISA-N 0 1 279.344 0.390 20 30 CCEDMN C=CCN1CCOC[C@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001130822887 837934214 /nfs/dbraw/zinc/93/42/14/837934214.db2.gz NLQMRAJLWNILRV-JTQLQIEISA-N 0 1 279.344 0.390 20 30 CCEDMN CC#CCN1CCOC[C@@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001130826385 837935371 /nfs/dbraw/zinc/93/53/71/837935371.db2.gz YBQYXEAXZLWQLR-LLVKDONJSA-N 0 1 291.355 0.228 20 30 CCEDMN CC#CCN1CCOC[C@@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001130826385 837935378 /nfs/dbraw/zinc/93/53/78/837935378.db2.gz YBQYXEAXZLWQLR-LLVKDONJSA-N 0 1 291.355 0.228 20 30 CCEDMN Cn1cncc1C(=O)NCCNCC#Cc1ccccc1 ZINC001130896830 837949911 /nfs/dbraw/zinc/94/99/11/837949911.db2.gz NHFRDDORDYAUSV-UHFFFAOYSA-N 0 1 282.347 0.791 20 30 CCEDMN C[C@@]1(Nc2ccnc(CC#N)c2)CCS(=O)(=O)C1 ZINC001170557331 838064915 /nfs/dbraw/zinc/06/49/15/838064915.db2.gz XKWQCEFKSAOBIQ-GFCCVEGCSA-N 0 1 265.338 0.559 20 30 CCEDMN C=CCN1CC[C@]2(C1)CN(C(=O)COCC)C[C@H](C)O2 ZINC001131639380 838163384 /nfs/dbraw/zinc/16/33/84/838163384.db2.gz URXKRGIPSLYHCC-ZFWWWQNUSA-N 0 1 282.384 0.901 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CN(C)C(C)=O)CC[C@@H]1C ZINC001131833840 838243606 /nfs/dbraw/zinc/24/36/06/838243606.db2.gz FZBNFLIBAJTYSD-GXTWGEPZSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CN(C)C(C)=O)CC[C@@H]1C ZINC001131833841 838244238 /nfs/dbraw/zinc/24/42/38/838244238.db2.gz FZBNFLIBAJTYSD-JSGCOSHPSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCn2cncn2)CC[C@@H]1C ZINC001131856825 838247762 /nfs/dbraw/zinc/24/77/62/838247762.db2.gz RRZIAPVQAOSYOY-UONOGXRCSA-N 0 1 289.383 0.661 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)COCCOC)CC[C@@H]1C ZINC001131909486 838264306 /nfs/dbraw/zinc/26/43/06/838264306.db2.gz YMDIAKSRKMJXGC-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN C[C@H]1CC[C@@H](NC(=O)CCc2nc[nH]n2)CN1CC#N ZINC001131954421 838276377 /nfs/dbraw/zinc/27/63/77/838276377.db2.gz CKMVFVZPBOSAGX-WDEREUQCSA-N 0 1 276.344 0.230 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCn2ccnn2)CC[C@@H]1C ZINC001131988293 838288631 /nfs/dbraw/zinc/28/86/31/838288631.db2.gz LHTQCWZBMIGSKP-UONOGXRCSA-N 0 1 289.383 0.661 20 30 CCEDMN CCOC(=O)c1sc(S(=O)(=O)NCC#N)nc1C ZINC001184965940 844315853 /nfs/dbraw/zinc/31/58/53/844315853.db2.gz LGWKNJUEZSIUSK-UHFFFAOYSA-N 0 1 289.338 0.430 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCN(C)C(C)=O)CC[C@H]1C ZINC001132459531 838406140 /nfs/dbraw/zinc/40/61/40/838406140.db2.gz ASTDRDNKIQWIGT-UKRRQHHQSA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CNC(=O)C2CCC2)CC[C@H]1C ZINC001132523157 838425288 /nfs/dbraw/zinc/42/52/88/838425288.db2.gz YJLFVFIXPHBDOR-TZMCWYRMSA-N 0 1 291.395 0.505 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CC(NCc2ccn(C)n2)C1 ZINC001100191431 838569790 /nfs/dbraw/zinc/56/97/90/838569790.db2.gz KHCRSJRNJFNIQQ-ZBOXLXRLSA-N 0 1 275.356 0.564 20 30 CCEDMN C#Cc1ccc(NC(=O)[C@H]2CN(C)CCN2C)cc1F ZINC001185254424 844377699 /nfs/dbraw/zinc/37/76/99/844377699.db2.gz COJSWUSKBFQKJH-CQSZACIVSA-N 0 1 275.327 0.991 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)c1ccnnc1 ZINC001134284404 838931074 /nfs/dbraw/zinc/93/10/74/838931074.db2.gz YHMVPNXDGCXWKP-UHFFFAOYSA-N 0 1 281.319 0.868 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccn(CC)n1 ZINC001134768282 839068005 /nfs/dbraw/zinc/06/80/05/839068005.db2.gz APZFDWAMVJPPPR-UHFFFAOYSA-N 0 1 256.737 0.975 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NCCNCc1n[nH]c(C)n1 ZINC001135142079 839166714 /nfs/dbraw/zinc/16/67/14/839166714.db2.gz FXRWBSSMLFTASN-AAEUAGOBSA-N 0 1 293.371 0.300 20 30 CCEDMN CC#CC[NH2+][C@H](C)C[C@H](C)NC(=O)c1[n-]nnc1C ZINC001135171818 839172910 /nfs/dbraw/zinc/17/29/10/839172910.db2.gz TWTKOALDWSDSRH-ZJUUUORDSA-N 0 1 263.345 0.623 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccnc2OC)[C@H](O)C1 ZINC001090543806 839632118 /nfs/dbraw/zinc/63/21/18/839632118.db2.gz OUKOYROHYICAQT-CHWSQXEVSA-N 0 1 291.351 0.441 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2conc2C2CC2)[C@@H](O)C1 ZINC001090567254 839649078 /nfs/dbraw/zinc/64/90/78/839649078.db2.gz UHWHHZIYNMWGFH-STQMWFEESA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C3CC3)no2)[C@@H](O)C1 ZINC001090610912 839672621 /nfs/dbraw/zinc/67/26/21/839672621.db2.gz WQPKRUWVDCHLFV-YPMHNXCESA-N 0 1 291.351 0.903 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C[C@H](C)NC(N)=O)CC1 ZINC001160126036 839677624 /nfs/dbraw/zinc/67/76/24/839677624.db2.gz RUBBGSARBMWNFM-LBPRGKRZSA-N 0 1 292.383 0.205 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnc(C)c2)[C@@H](O)C1 ZINC001090655644 839709041 /nfs/dbraw/zinc/70/90/41/839709041.db2.gz UBLLABUBXQBHHT-KBPBESRZSA-N 0 1 275.352 0.741 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc(OC)n2)[C@@H](O)C1 ZINC001090692939 839739786 /nfs/dbraw/zinc/73/97/86/839739786.db2.gz PXBMAUQKXZJDGO-AAEUAGOBSA-N 0 1 291.351 0.441 20 30 CCEDMN C#Cc1ccccc1CC(=O)N[C@@H]1CCN(CC=C)C[C@@H]1O ZINC001090756071 839783723 /nfs/dbraw/zinc/78/37/23/839783723.db2.gz CUSWAUAATVHDQJ-SJORKVTESA-N 0 1 298.386 0.948 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2n[nH]c3ccccc32)[C@@H](O)C1 ZINC001090773229 839799037 /nfs/dbraw/zinc/79/90/37/839799037.db2.gz BITGBYLWGSGNGR-OLZOCXBDSA-N 0 1 299.334 0.251 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cccn2CC)[C@H](O)C1 ZINC001090819168 839834835 /nfs/dbraw/zinc/83/48/35/839834835.db2.gz WBCFERWKDBAZQM-DZGCQCFKSA-N 0 1 289.379 0.696 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccc[nH]2)[C@@H](O)C1 ZINC001090824402 839840556 /nfs/dbraw/zinc/84/05/56/839840556.db2.gz PORANUAEEPVUSM-KGLIPLIRSA-N 0 1 275.352 0.132 20 30 CCEDMN C#CC(=O)N1CCN2C[C@@H](OCc3cccnc3)C[C@@H]2C1 ZINC001143906872 839890424 /nfs/dbraw/zinc/89/04/24/839890424.db2.gz BKPVHNXSGKWXJY-CABCVRRESA-N 0 1 285.347 0.517 20 30 CCEDMN C#CC(=O)N1CCCC12CCN(CC(=O)N(C)C)CC2 ZINC001143908260 839892191 /nfs/dbraw/zinc/89/21/91/839892191.db2.gz HLLQEMIBSNCTIF-UHFFFAOYSA-N 0 1 277.368 0.165 20 30 CCEDMN COc1cccc(C[C@@H](N)C(=O)N(C)[C@@H](C)C#N)c1 ZINC001144937031 840204993 /nfs/dbraw/zinc/20/49/93/840204993.db2.gz HQQVWXNWAPDPOM-GXFFZTMASA-N 0 1 261.325 0.935 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)C2([NH+](C)C)CCC2)[C@H](O)C1 ZINC001099875071 840261774 /nfs/dbraw/zinc/26/17/74/840261774.db2.gz VDHOBNZCPYUGQQ-ZIAGYGMSSA-N 0 1 293.411 0.045 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CN(C(C)=O)C2)C1 ZINC001146861313 840384064 /nfs/dbraw/zinc/38/40/64/840384064.db2.gz QGMAIMKUBHEDLR-NSHDSACASA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCOCCCOC ZINC001147065250 840487145 /nfs/dbraw/zinc/48/71/45/840487145.db2.gz SFVWBJZNRXNGBQ-UHFFFAOYSA-N 0 1 278.780 0.888 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)c2cnc[nH]2)CC1 ZINC001147254044 840530028 /nfs/dbraw/zinc/53/00/28/840530028.db2.gz NDFKVPHMGSAOFX-UHFFFAOYSA-N 0 1 262.269 0.329 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)[C@H](O)C(C)C)C2)C1 ZINC001147474695 840596214 /nfs/dbraw/zinc/59/62/14/840596214.db2.gz ANPJCUXHAMFNOA-CQSZACIVSA-N 0 1 294.395 0.187 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCCCN(C)C1=O ZINC001147634358 840641951 /nfs/dbraw/zinc/64/19/51/840641951.db2.gz JBFQBIUQSGSDLP-LLVKDONJSA-N 0 1 287.791 0.703 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cccn2c(=O)[nH]nc12 ZINC001147651155 840659419 /nfs/dbraw/zinc/65/94/19/840659419.db2.gz VREKFNAZHGSFLP-UHFFFAOYSA-N 0 1 295.730 0.507 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CC2(C1)CCN([C@H](C)C(N)=O)C2 ZINC001148126300 840750732 /nfs/dbraw/zinc/75/07/32/840750732.db2.gz WIHPLYFUARJYHJ-OLZOCXBDSA-N 0 1 293.411 0.997 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCCC(=O)NCC ZINC001148184361 840757811 /nfs/dbraw/zinc/75/78/11/840757811.db2.gz ORBHXBUSPHSEFH-UHFFFAOYSA-N 0 1 275.780 0.751 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)CCOC)C1 ZINC001148962009 840909815 /nfs/dbraw/zinc/90/98/15/840909815.db2.gz NYNNAKRMIUWWBM-CYBMUJFWSA-N 0 1 268.357 0.253 20 30 CCEDMN C=C(Cl)CN1C[C@@H](CNC(=O)c2cnnn2C)[C@H](C)C1 ZINC001092578667 841049026 /nfs/dbraw/zinc/04/90/26/841049026.db2.gz GJUSSWYSIHXARC-MWLCHTKSSA-N 0 1 297.790 0.865 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CN(C)C(C)=O)[C@@H]2C1 ZINC001186929848 844617139 /nfs/dbraw/zinc/61/71/39/844617139.db2.gz DCYRANVCIWOXDR-UONOGXRCSA-N 0 1 277.368 0.021 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H]2[C@H](CCN2CC(N)=O)C1 ZINC001036789011 841220842 /nfs/dbraw/zinc/22/08/42/841220842.db2.gz KZKYRCCOBRGJIM-NEPJUHHUSA-N 0 1 279.384 0.607 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CNC(=O)C1CCC1)C2 ZINC001110145217 841237470 /nfs/dbraw/zinc/23/74/70/841237470.db2.gz MXKVYQTZUHGHCJ-RDBSUJKOSA-N 0 1 291.395 0.810 20 30 CCEDMN N#Cc1cc(C(=O)NCCNc2ncnc3[nH]cnc32)c[nH]1 ZINC001093558499 841363925 /nfs/dbraw/zinc/36/39/25/841363925.db2.gz YLUOJYDCDQZTQZ-UHFFFAOYSA-N 0 1 296.294 0.346 20 30 CCEDMN C#CCN1CC[C@H]2CN(C(=O)c3c[nH]c(C)cc3=O)CC[C@H]21 ZINC001036861179 841413320 /nfs/dbraw/zinc/41/33/20/841413320.db2.gz USAXGBWICDHCNQ-DZGCQCFKSA-N 0 1 299.374 0.853 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(CCF)CC2)[C@H](O)C1 ZINC001099932998 841553242 /nfs/dbraw/zinc/55/32/42/841553242.db2.gz HRTFRADKERSGHL-QWHCGFSZSA-N 0 1 282.359 0.701 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NCCNc1nccnc1C#N ZINC001094188573 841561208 /nfs/dbraw/zinc/56/12/08/841561208.db2.gz CNKBLHGYIGYHBZ-SNVBAGLBSA-N 0 1 299.338 0.478 20 30 CCEDMN CCc1nc(C#N)cc(Nc2nccnc2CN)n1 ZINC001171450697 841707696 /nfs/dbraw/zinc/70/76/96/841707696.db2.gz YJZKYGBAHIBHEG-UHFFFAOYSA-N 0 1 255.285 0.903 20 30 CCEDMN C#CCN1CCN(C2CCN(C(=O)OC)CC2)CC1 ZINC001172740350 841963576 /nfs/dbraw/zinc/96/35/76/841963576.db2.gz BAWQTPWHFDTDAA-UHFFFAOYSA-N 0 1 265.357 0.468 20 30 CCEDMN C#CCC[N@H+]1CCCO[C@@H](CNC(=O)[C@]2(F)CCOC2)C1 ZINC001150179154 842073813 /nfs/dbraw/zinc/07/38/13/842073813.db2.gz HLMVFJVLESYSFF-ZFWWWQNUSA-N 0 1 298.358 0.345 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cc2nonc2C)C1 ZINC001150546190 842302598 /nfs/dbraw/zinc/30/25/98/842302598.db2.gz AINBRGRXQOYTFC-LBPRGKRZSA-N 0 1 294.355 0.314 20 30 CCEDMN CC(C)(C#N)c1ccc(NC(=O)Cc2nn[nH]n2)cn1 ZINC001176838536 842425235 /nfs/dbraw/zinc/42/52/35/842425235.db2.gz YZZPCYGCYFHJLC-UHFFFAOYSA-N 0 1 271.284 0.577 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001177181200 842518346 /nfs/dbraw/zinc/51/83/46/842518346.db2.gz BCLNMMVWDDKGLM-NSHDSACASA-N 0 1 290.367 0.713 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCCNCc1cnn(CC)n1 ZINC001177269675 842540635 /nfs/dbraw/zinc/54/06/35/842540635.db2.gz VCZVOZMPXXEYIC-GFCCVEGCSA-N 0 1 295.387 0.485 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N1C[C@H](O)C[C@@H]1CO ZINC001177916418 842708026 /nfs/dbraw/zinc/70/80/26/842708026.db2.gz WAYGJCORXLIKET-GMTAPVOTSA-N 0 1 280.349 0.384 20 30 CCEDMN C#CCC[C@H](O)CNCc1ccc(S(C)(=O)=O)o1 ZINC001179489931 843006527 /nfs/dbraw/zinc/00/65/27/843006527.db2.gz WUHVSTYPFXGEMX-JTQLQIEISA-N 0 1 271.338 0.547 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H](COC)OC)[C@H]1C ZINC001179502765 843008418 /nfs/dbraw/zinc/00/84/18/843008418.db2.gz AIZAYSLBWMYDAE-SDDRHHMPSA-N 0 1 290.791 0.979 20 30 CCEDMN CCOC(=O)C1(NC(=O)[C@@H](C)C#N)CCN(C)CC1 ZINC001179900764 843075243 /nfs/dbraw/zinc/07/52/43/843075243.db2.gz PBQIBOQVEAZUOL-JTQLQIEISA-N 0 1 267.329 0.290 20 30 CCEDMN CCN(CC)CC(=O)N[C@@H](CC(C)C)C(=O)NO ZINC001180391619 843162896 /nfs/dbraw/zinc/16/28/96/843162896.db2.gz WJLHYSJZQCZUND-JTQLQIEISA-N 0 1 259.350 0.365 20 30 CCEDMN N#Cc1cc(CC(=O)NCc2c[nH]nn2)ccc1F ZINC001181195522 843421333 /nfs/dbraw/zinc/42/13/33/843421333.db2.gz JMHNMLZXDQBXPL-UHFFFAOYSA-N 0 1 259.244 0.674 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2snnc2C)C1 ZINC001181617606 843556088 /nfs/dbraw/zinc/55/60/88/843556088.db2.gz FQWBFUPKUKMOHO-LLVKDONJSA-N 0 1 296.396 0.740 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H](NCc2ccn(CC)n2)C1 ZINC001181617485 843556415 /nfs/dbraw/zinc/55/64/15/843556415.db2.gz FEJAPIOERUYLCD-CQSZACIVSA-N 0 1 292.383 0.796 20 30 CCEDMN NC(=O)CC(=O)N1CC[C@@H](NCC#Cc2ccccc2)C1 ZINC001181759812 843601308 /nfs/dbraw/zinc/60/13/08/843601308.db2.gz BARHWEJTHIRFNX-CQSZACIVSA-N 0 1 285.347 0.104 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H](C)NC(C)=O)C2 ZINC001110265164 843759404 /nfs/dbraw/zinc/75/94/04/843759404.db2.gz HRBPEHRTVVBMSD-JHEVNIALSA-N 0 1 265.357 0.419 20 30 CCEDMN C=C[C@@H]1C[C@@]1(NC(=O)C1(N(C)C)CC1)C(=O)OCC ZINC001182602298 843875039 /nfs/dbraw/zinc/87/50/39/843875039.db2.gz ADHCLJLAXYNPQC-YGRLFVJLSA-N 0 1 266.341 0.705 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)Cc1ccc2nc[nH]c2c1 ZINC001182842701 843930174 /nfs/dbraw/zinc/93/01/74/843930174.db2.gz RHKXQGOZLUYSBB-NSHDSACASA-N 0 1 269.308 0.429 20 30 CCEDMN COCC#CC(=O)N[C@H]1CC[C@H](N2CCOCC2)CC1 ZINC001187136128 844657937 /nfs/dbraw/zinc/65/79/37/844657937.db2.gz JHUMVGNYWYMGOT-HDJSIYSDSA-N 0 1 280.368 0.396 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C(C)(F)F)C1 ZINC001188600269 844917265 /nfs/dbraw/zinc/91/72/65/844917265.db2.gz NXWQKUADNGJELR-NSHDSACASA-N 0 1 274.311 0.824 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cncs2)C1 ZINC001189116866 845040906 /nfs/dbraw/zinc/04/09/06/845040906.db2.gz ZHJMFLXXKRUXNZ-LBPRGKRZSA-N 0 1 293.392 0.939 20 30 CCEDMN CC[C@H](OC)C(=O)N1CC[C@H](N(C)CC#CCOC)C1 ZINC001189214201 845089537 /nfs/dbraw/zinc/08/95/37/845089537.db2.gz FDRHDHCVMYARDV-KBPBESRZSA-N 0 1 282.384 0.594 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)CCCCOC)C1 ZINC001189261583 845099583 /nfs/dbraw/zinc/09/95/83/845099583.db2.gz RPECDSUWMRMGBB-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@H](C)C(=O)N(C)C)C1 ZINC001189317844 845106808 /nfs/dbraw/zinc/10/68/08/845106808.db2.gz GCUIAIKSPPAEMN-OLZOCXBDSA-N 0 1 281.400 0.962 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@H](C)COC)C1 ZINC001189363038 845127996 /nfs/dbraw/zinc/12/79/96/845127996.db2.gz KQRSMKCUWRKPPW-KGLIPLIRSA-N 0 1 282.384 0.451 20 30 CCEDMN C#CCNC(=O)CC(=O)N1CC[C@@H](N(C)C[C@H](F)CC)C1 ZINC001189760205 845212306 /nfs/dbraw/zinc/21/23/06/845212306.db2.gz ITSANLBRRVSXMM-CHWSQXEVSA-N 0 1 297.374 0.407 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2coc(C)n2)C1 ZINC001189810229 845214246 /nfs/dbraw/zinc/21/42/46/845214246.db2.gz UTDYSIKWLUVXIB-CYBMUJFWSA-N 0 1 291.351 0.779 20 30 CCEDMN CCc1[nH]ncc1C(=O)Nc1c(C#N)cnn1C(=O)OC ZINC001190147191 845332035 /nfs/dbraw/zinc/33/20/35/845332035.db2.gz IOVVOSPHWOFIFF-UHFFFAOYSA-N 0 1 288.267 0.907 20 30 CCEDMN C=CCCN(C)[C@@H]1CCN(C(=O)C2CS(=O)(=O)C2)C1 ZINC001190336019 845390118 /nfs/dbraw/zinc/39/01/18/845390118.db2.gz DITRWSGGPGEYIB-GFCCVEGCSA-N 0 1 286.397 0.140 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COCCOCC)[C@@H]2C1 ZINC001190358700 845392204 /nfs/dbraw/zinc/39/22/04/845392204.db2.gz VRLRXUDRGQDTQK-UONOGXRCSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCC1(C(=O)N2CC[C@H](N(C)[C@H](C)C(N)=O)C2)CCC1 ZINC001190603242 845444229 /nfs/dbraw/zinc/44/42/29/845444229.db2.gz ANOXYSNZLAEZNH-OLZOCXBDSA-N 0 1 291.395 0.587 20 30 CCEDMN O=C1C=C2CN(S(=O)(=O)c3ncc[nH]3)CC[C@H]2S1 ZINC001190699382 845457518 /nfs/dbraw/zinc/45/75/18/845457518.db2.gz XVALLVJBEPWMHJ-MRVPVSSYSA-N 0 1 285.350 0.373 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)/C=C(\C)C2CC2)C1 ZINC001191415764 845647061 /nfs/dbraw/zinc/64/70/61/845647061.db2.gz JNWMUQONEXKGLF-DVFZLIDBSA-N 0 1 262.353 0.527 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@H](C)OC)C[C@H]1O ZINC001191724586 845717460 /nfs/dbraw/zinc/71/74/60/845717460.db2.gz MIBIYDSCHGBNDC-QJPTWQEYSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)[C@H](C)C(=O)NC)C1 ZINC001191727474 845718816 /nfs/dbraw/zinc/71/88/16/845718816.db2.gz NEBFFUPBIJOUSK-NEPJUHHUSA-N 0 1 281.400 0.866 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001191901709 845754744 /nfs/dbraw/zinc/75/47/44/845754744.db2.gz KQJZTPFEAWKWEB-GFCCVEGCSA-N 0 1 288.351 0.660 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2CC=CC2)C1 ZINC001191944310 845758421 /nfs/dbraw/zinc/75/84/21/845758421.db2.gz ANJZBCFTJZRAHW-ZIAGYGMSSA-N 0 1 280.368 0.317 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@]2(F)CCOC2)C1 ZINC001191946558 845759551 /nfs/dbraw/zinc/75/95/51/845759551.db2.gz CTUJUNHSFGEAKW-DZGCQCFKSA-N 0 1 298.358 0.298 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(F)=C(C)C)C1 ZINC001192223914 845806433 /nfs/dbraw/zinc/80/64/33/845806433.db2.gz XYCOWEDGLZCEPK-GHMZBOCLSA-N 0 1 254.305 0.434 20 30 CCEDMN COc1cc(C#N)ccc1C(=O)NCc1c[nH]nn1 ZINC001192268947 845811268 /nfs/dbraw/zinc/81/12/68/845811268.db2.gz VVOWGQNQGNSDID-UHFFFAOYSA-N 0 1 257.253 0.615 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CCNC2=O)C1 ZINC001192325558 845820796 /nfs/dbraw/zinc/82/07/96/845820796.db2.gz LUOANLVAZSEZPQ-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)CC[C@@H](C)OC)C1 ZINC001192351048 845824986 /nfs/dbraw/zinc/82/49/86/845824986.db2.gz CNVMOPDJONBVNM-JHJVBQTASA-N 0 1 270.373 0.539 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CC[C@@H](C)OC)C1 ZINC001192351048 845824996 /nfs/dbraw/zinc/82/49/96/845824996.db2.gz CNVMOPDJONBVNM-JHJVBQTASA-N 0 1 270.373 0.539 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(C[C@@H]2CCCCO2)C[C@H]1O ZINC001192509708 845860051 /nfs/dbraw/zinc/86/00/51/845860051.db2.gz COOSQBNRQIKLBV-RRFJBIMHSA-N 0 1 294.395 0.520 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)/C=C(/C)CC)C1 ZINC001192741875 845897954 /nfs/dbraw/zinc/89/79/54/845897954.db2.gz BXODOLSYLDPMIM-ISESHHHUSA-N 0 1 282.384 0.707 20 30 CCEDMN C#CCOCC[N@@H+](C)[C@@H](C)c1nc(-c2nnc[n-]2)no1 ZINC001193021245 845968222 /nfs/dbraw/zinc/96/82/22/845968222.db2.gz GNVXAMZSBZGMHX-VIFPVBQESA-N 0 1 276.300 0.497 20 30 CCEDMN C#CCOCC[N@H+](C)[C@@H](C)c1nc(-c2nnc[n-]2)no1 ZINC001193021245 845968227 /nfs/dbraw/zinc/96/82/27/845968227.db2.gz GNVXAMZSBZGMHX-VIFPVBQESA-N 0 1 276.300 0.497 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cncnc1Br ZINC001193103832 845977097 /nfs/dbraw/zinc/97/70/97/845977097.db2.gz BWJIPRWJMFXBIF-RXMQYKEDSA-N 0 1 291.130 0.893 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)[N-]c1c[nH+]c2ccccn12 ZINC001193104047 845978302 /nfs/dbraw/zinc/97/83/02/845978302.db2.gz DRJCVDIYZSJWJR-MRVPVSSYSA-N 0 1 250.283 0.988 20 30 CCEDMN Cc1nnc2ccc(NS(=O)(=O)[C@@H](C)C#N)cn12 ZINC001193111432 845981375 /nfs/dbraw/zinc/98/13/75/845981375.db2.gz GVAIHEVHILTWPH-ZETCQYMHSA-N 0 1 265.298 0.692 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CCC2SC(=O)C=C2C1 ZINC001193117540 845986083 /nfs/dbraw/zinc/98/60/83/845986083.db2.gz CDHFRZCDUWWMEA-VXNVDRBHSA-N 0 1 272.351 0.502 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N[C@H]1Oc2ccc(CCO)cc2O1 ZINC001193192811 846013688 /nfs/dbraw/zinc/01/36/88/846013688.db2.gz GDNXQKPVWQKFKM-PELKAZGASA-N 0 1 298.320 0.108 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CN([C@H](C)COC)C[C@H]1O ZINC001193240910 846031762 /nfs/dbraw/zinc/03/17/62/846031762.db2.gz MOIQAOATPKAWFR-BPLDGKMQSA-N 0 1 296.411 0.951 20 30 CCEDMN CN1CCO[C@H]2CN(C(=O)c3ccc(O)c(C#N)c3)C[C@H]21 ZINC001193506783 846101443 /nfs/dbraw/zinc/10/14/43/846101443.db2.gz PHPRNTAWCBSKMO-OCCSQVGLSA-N 0 1 287.319 0.419 20 30 CCEDMN C[C@]1(CO)CN(C(=O)c2ccc(O)c(C#N)c2)CC[C@H]1O ZINC001193505084 846101471 /nfs/dbraw/zinc/10/14/71/846101471.db2.gz CJDBRVKBCKHUAP-UKRRQHHQSA-N 0 1 290.319 0.469 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@@H]2CCC(=O)N2)ccc1O ZINC001193512321 846102550 /nfs/dbraw/zinc/10/25/50/846102550.db2.gz MIZKUHKDXANWIX-JTQLQIEISA-N 0 1 259.265 0.272 20 30 CCEDMN N#Cc1cc(C(=O)NC[C@H]2CNC(=O)O2)ccc1O ZINC001193518895 846103293 /nfs/dbraw/zinc/10/32/93/846103293.db2.gz KWFVTXVRIZMLFJ-VIFPVBQESA-N 0 1 261.237 0.102 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001193586883 846112700 /nfs/dbraw/zinc/11/27/00/846112700.db2.gz DVIMGODKRNBVNJ-UONOGXRCSA-N 0 1 279.384 0.313 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(CCOC)CC2)C1 ZINC001193638419 846133439 /nfs/dbraw/zinc/13/34/39/846133439.db2.gz TUWHGYPXAIWTFK-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCN(CCF)CC2)c1O ZINC001193644110 846134882 /nfs/dbraw/zinc/13/48/82/846134882.db2.gz PAYDNZIYGQSFPG-UHFFFAOYSA-N 0 1 277.299 0.991 20 30 CCEDMN CC(C)(CNC(=O)c1cccc(C#N)c1O)C(N)=O ZINC001193658110 846140700 /nfs/dbraw/zinc/14/07/00/846140700.db2.gz UCXOGIISYDKDPS-UHFFFAOYSA-N 0 1 261.281 0.505 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C(C)(C)C)C1 ZINC001194143632 846235286 /nfs/dbraw/zinc/23/52/86/846235286.db2.gz NAINOBINLWEIJB-YNEHKIRRSA-N 0 1 266.385 0.853 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(C(=O)OC)n[nH]1 ZINC001194297609 846257389 /nfs/dbraw/zinc/25/73/89/846257389.db2.gz GIMOGQNYYCIOFO-JTQLQIEISA-N 0 1 292.339 0.577 20 30 CCEDMN C=CCOC(=O)NC1(C(=O)OC)CCN(C)CC1 ZINC001194338299 846280759 /nfs/dbraw/zinc/28/07/59/846280759.db2.gz MKRFGGPZKCNBJI-UHFFFAOYSA-N 0 1 256.302 0.536 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2cc(O)cnc2Cl)c1N ZINC001195298274 846498368 /nfs/dbraw/zinc/49/83/68/846498368.db2.gz TZQFYFLZJKMRIS-UHFFFAOYSA-N 0 1 278.659 0.362 20 30 CCEDMN Cc1cc(C#N)cc(C(=O)Nc2cn[nH]c2C(N)=O)c1 ZINC001195409502 846524577 /nfs/dbraw/zinc/52/45/77/846524577.db2.gz RHJHSVCSYDHYSO-UHFFFAOYSA-N 0 1 269.264 0.941 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N[C@@H]1CN(CCCO)C[C@H]1O ZINC001195554477 846558192 /nfs/dbraw/zinc/55/81/92/846558192.db2.gz JLOACIBACVXYCL-JHJVBQTASA-N 0 1 270.373 0.132 20 30 CCEDMN N#Cc1ccc(C(=O)NC2(CCO)COC2)c(O)c1 ZINC001195764817 846614095 /nfs/dbraw/zinc/61/40/95/846614095.db2.gz QQDQHZLZCKQPLH-UHFFFAOYSA-N 0 1 262.265 0.145 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN(C(N)=O)CC2)c(O)c1 ZINC001195742142 846619572 /nfs/dbraw/zinc/61/95/72/846619572.db2.gz YVGMXZZVEPCDAX-UHFFFAOYSA-N 0 1 274.280 0.100 20 30 CCEDMN COC(=O)[C@H](C)N(C)C(=O)c1ccc(C#N)cc1O ZINC001195749798 846620935 /nfs/dbraw/zinc/62/09/35/846620935.db2.gz APVDWPHQZMRFCW-QMMMGPOBSA-N 0 1 262.265 0.897 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CC(C)(F)F)C1 ZINC001195996717 846662460 /nfs/dbraw/zinc/66/24/60/846662460.db2.gz ASDKUIIVZREEBZ-GHMZBOCLSA-N 0 1 292.326 0.396 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCC(C)(C)C)C1 ZINC001197073752 846839758 /nfs/dbraw/zinc/83/97/58/846839758.db2.gz BWFPDAHCRWTQEQ-CHWSQXEVSA-N 0 1 266.385 0.997 20 30 CCEDMN C=CCN1CCCN(C(=O)COC[C@@H]2CCOC2)CC1 ZINC001197322537 846895173 /nfs/dbraw/zinc/89/51/73/846895173.db2.gz CZRMPTGFNAKJOO-CQSZACIVSA-N 0 1 282.384 0.760 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)CCCOC)C1 ZINC001197558434 846931807 /nfs/dbraw/zinc/93/18/07/846931807.db2.gz BGCVIGFUOCEKFE-BFHYXJOUSA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCC[N@H+]1CCCN(C(=O)C(=O)NCC2CC2)CC1 ZINC001197605722 846939969 /nfs/dbraw/zinc/93/99/69/846939969.db2.gz CZHKIZYPGLTRGR-UHFFFAOYSA-N 0 1 279.384 0.623 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)c2c[nH]cc2C)C1 ZINC001198653703 847146482 /nfs/dbraw/zinc/14/64/82/847146482.db2.gz MKTSCWWLLGFOBP-ZIAGYGMSSA-N 0 1 293.367 0.301 20 30 CCEDMN COCCn1ccnc1C(=O)Nc1nc[nH]c1C#N ZINC001199404066 847324116 /nfs/dbraw/zinc/32/41/16/847324116.db2.gz RIHTYCYMPYWFLM-UHFFFAOYSA-N 0 1 260.257 0.377 20 30 CCEDMN N#Cc1cnc(C(=O)Nc2n[nH]c3nccnc23)cn1 ZINC001199662535 847386525 /nfs/dbraw/zinc/38/65/25/847386525.db2.gz VLNXUTGSEBCADM-UHFFFAOYSA-N 0 1 266.224 0.218 20 30 CCEDMN C=CCN1CCC2(CCN(CC(=O)NC3CC3)CC2)C1=O ZINC001273829873 847619314 /nfs/dbraw/zinc/61/93/14/847619314.db2.gz QHUDRZWDEDHHGR-UHFFFAOYSA-N 0 1 291.395 0.766 20 30 CCEDMN Cc1oncc1CNC/C=C\CNC(=O)[C@H](C)C#N ZINC001273911034 847821853 /nfs/dbraw/zinc/82/18/53/847821853.db2.gz RSOQEUBRYZGNAW-UMBAGQNISA-N 0 1 262.313 0.905 20 30 CCEDMN CCCCCCCC[C@H](O)CN[C@@H](CO)C(N)=O ZINC001252134962 847919017 /nfs/dbraw/zinc/91/90/17/847919017.db2.gz UVWUMHGXOHXMFK-RYUDHWBXSA-N 0 1 260.378 0.534 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1COC(=O)C1)C2 ZINC001095312793 847960990 /nfs/dbraw/zinc/96/09/90/847960990.db2.gz GRQJDSPIZKMOTN-RNJOBUHISA-N 0 1 278.352 0.847 20 30 CCEDMN C=C[C@@](C)(O)CN1CCOC[C@](O)(C(F)(F)F)C1 ZINC001252547468 848000490 /nfs/dbraw/zinc/00/04/90/848000490.db2.gz IJGDJWJEDCQODQ-ZJUUUORDSA-N 0 1 269.263 0.549 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N[C@H](CCC)c1nn[n-]n1 ZINC001137206432 848215322 /nfs/dbraw/zinc/21/53/22/848215322.db2.gz SBNZTOIEVUURJQ-SECBINFHSA-N 0 1 252.322 0.275 20 30 CCEDMN C#CCN1c2ccccc2[C@@]2(CCN(CC(=O)NC)C2)C1=O ZINC001274071848 848341485 /nfs/dbraw/zinc/34/14/85/848341485.db2.gz JYFGGJJSAONUFG-KRWDZBQOSA-N 0 1 297.358 0.356 20 30 CCEDMN C#CC[C@H](CO)NCCS(=O)(=O)c1cccc(C#N)c1 ZINC000717839619 848421932 /nfs/dbraw/zinc/42/19/32/848421932.db2.gz CUZOAFNFDDCMCR-CYBMUJFWSA-N 0 1 292.360 0.306 20 30 CCEDMN C=CCC(C)(C)C(=O)NC/C=C/CN[C@@H]1CCNC1=O ZINC001274395541 848468913 /nfs/dbraw/zinc/46/89/13/848468913.db2.gz XAZZBFSXULGRBR-BTDICHCPSA-N 0 1 279.384 0.739 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2Cc2c[nH]ccc2=O)C1=O ZINC001274451017 848479953 /nfs/dbraw/zinc/47/99/53/848479953.db2.gz YPKMNDIXLCUXHJ-INIZCTEOSA-N 0 1 285.347 0.575 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2Cc2ccc(N)nc2)C1=O ZINC001274543709 848499589 /nfs/dbraw/zinc/49/95/89/848499589.db2.gz LMSRIZYUHZHJIB-MRXNPFEDSA-N 0 1 284.363 0.864 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)c1ccc(=O)n(C)n1 ZINC001274560229 848506139 /nfs/dbraw/zinc/50/61/39/848506139.db2.gz SNWNLGPKQMEBJG-ARJAWSKDSA-N 0 1 296.758 0.408 20 30 CCEDMN Cn1cc(CN2CCO[C@H]3CN(C)C[C@H]32)cc1C#N ZINC001275045003 848620576 /nfs/dbraw/zinc/62/05/76/848620576.db2.gz JCQVWOBNICYZBN-KGLIPLIRSA-N 0 1 260.341 0.412 20 30 CCEDMN C[C@@H](CNCc1ccccc1C#N)NC(=O)CC(N)=O ZINC001275104922 848639392 /nfs/dbraw/zinc/63/93/92/848639392.db2.gz GIQQSMWXJZZLRW-JTQLQIEISA-N 0 1 274.324 0.028 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CCc1ccnn1C ZINC001275115591 848642229 /nfs/dbraw/zinc/64/22/29/848642229.db2.gz OYODTMFAFHMWDT-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)COC(C)C ZINC001275513830 848744076 /nfs/dbraw/zinc/74/40/76/848744076.db2.gz RUXYHBZQPMWPLQ-ZDUSSCGKSA-N 0 1 270.373 0.498 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1cc(C)ncn1 ZINC001275535126 848753630 /nfs/dbraw/zinc/75/36/30/848753630.db2.gz LAHVMRJMOBGSBP-CYBMUJFWSA-N 0 1 290.367 0.485 20 30 CCEDMN CCn1ccnc1C(=O)N[C@H](C)CN(C)CC#CCOC ZINC001275544795 848757320 /nfs/dbraw/zinc/75/73/20/848757320.db2.gz PVEHQXAMHUHVBQ-CYBMUJFWSA-N 0 1 292.383 0.603 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)C1(COC)CCOCC1 ZINC001275574206 848766356 /nfs/dbraw/zinc/76/63/56/848766356.db2.gz PZJVMXUQRRDWMV-ZDUSSCGKSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CC[N@H+](C)C[C@H](C)NC(=O)CCCn1c(=O)[n-][nH]c1=O ZINC001275593175 848770536 /nfs/dbraw/zinc/77/05/36/848770536.db2.gz ZMONRPCBAWNOCI-JTQLQIEISA-N 0 1 297.359 0.092 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccc2c(n1)OCCO2 ZINC001275599663 848771913 /nfs/dbraw/zinc/77/19/13/848771913.db2.gz JBXPPNMRDCXHME-LLVKDONJSA-N 0 1 289.335 0.536 20 30 CCEDMN COc1cc(C(=O)N2CC3(CN(C)C3)C2)ccc1C#N ZINC001275708841 848796996 /nfs/dbraw/zinc/79/69/96/848796996.db2.gz AIKHJWFWAYVTBL-UHFFFAOYSA-N 0 1 271.320 0.954 20 30 CCEDMN CN1CCO[C@H]2CN(C(=O)c3ccc(O)c(C#N)c3)C[C@@H]21 ZINC001275708273 848797354 /nfs/dbraw/zinc/79/73/54/848797354.db2.gz PHPRNTAWCBSKMO-JSGCOSHPSA-N 0 1 287.319 0.419 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)N(C)[C@@H](CC)C(N)=O ZINC001275782157 848822247 /nfs/dbraw/zinc/82/22/47/848822247.db2.gz OESDBAFYPJSBOL-QWRGUYRKSA-N 0 1 255.362 0.653 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1c(C)cnn1C ZINC001275905296 848861467 /nfs/dbraw/zinc/86/14/67/848861467.db2.gz XPNMRJRFRWYTPM-ZDUSSCGKSA-N 0 1 292.383 0.428 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)COc1cccnc1 ZINC001275957131 848874239 /nfs/dbraw/zinc/87/42/39/848874239.db2.gz ZHNRRNULSWWZAR-CYBMUJFWSA-N 0 1 275.352 0.920 20 30 CCEDMN C#CCCCN1CC2(CCN2COCCOC)C1 ZINC001275975791 848877491 /nfs/dbraw/zinc/87/74/91/848877491.db2.gz OYQYLTAJFOVRAM-UHFFFAOYSA-N 0 1 252.358 0.780 20 30 CCEDMN CN(C)C(=O)[C@@]12C[C@@H]1CN(C(=O)c1cccc(C#N)c1O)C2 ZINC001276214794 848964461 /nfs/dbraw/zinc/96/44/61/848964461.db2.gz ASZBPVPLWKUFQP-BDJLRTHQSA-N 0 1 299.330 0.814 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCn1cncn1)C2 ZINC001111236515 849103686 /nfs/dbraw/zinc/10/36/86/849103686.db2.gz YMRSCQXYLJKYOE-MCIONIFRSA-N 0 1 289.383 0.966 20 30 CCEDMN CN(CCCNC(=O)Cc1cnc[nH]1)c1ncccc1C#N ZINC001095746593 849132945 /nfs/dbraw/zinc/13/29/45/849132945.db2.gz TVQJDIVMMLUJAD-UHFFFAOYSA-N 0 1 298.350 0.862 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](F)C(C)C ZINC001114711718 849374677 /nfs/dbraw/zinc/37/46/77/849374677.db2.gz ZMLXNBVPJJIKFQ-RQJABVFESA-N 0 1 282.359 0.677 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](F)C(C)C ZINC001114711717 849375193 /nfs/dbraw/zinc/37/51/93/849375193.db2.gz ZMLXNBVPJJIKFQ-RFQIPJPRSA-N 0 1 282.359 0.677 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CCC(c2nn[nH]n2)CC1 ZINC000720446335 849464021 /nfs/dbraw/zinc/46/40/21/849464021.db2.gz WNIHEDHUSOSJDN-LBPRGKRZSA-N 0 1 262.317 0.846 20 30 CCEDMN CC[C@@H]1CN(C(=O)CN2CCCC2)CC[C@@H]1NCC#N ZINC001037978670 849617235 /nfs/dbraw/zinc/61/72/35/849617235.db2.gz MYMWCZZGVCIBGN-KGLIPLIRSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@]1(F)CCOC1)C2 ZINC001095916970 849621286 /nfs/dbraw/zinc/62/12/86/849621286.db2.gz PKBBBWKCHAXLDC-UKTARXLSSA-N 0 1 280.343 0.860 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N1CCC[C@@]1(C)C(=O)[O-] ZINC000380931090 849697999 /nfs/dbraw/zinc/69/79/99/849697999.db2.gz OFHLLOOMJFDSBP-AWEZNQCLSA-N 0 1 281.356 0.590 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1Nc1ccc(C#N)nn1 ZINC001067029138 849714731 /nfs/dbraw/zinc/71/47/31/849714731.db2.gz JMBPEBWGBZEQMZ-CABZTGNLSA-N 0 1 297.322 0.644 20 30 CCEDMN CN(C)Cc1ccoc1C(=O)NC[C@@H]1CCN1CC#N ZINC001038641390 849788357 /nfs/dbraw/zinc/78/83/57/849788357.db2.gz ARXWLVLNZFFSBV-LBPRGKRZSA-N 0 1 276.340 0.669 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cnn(CC)n1 ZINC001038233220 849826824 /nfs/dbraw/zinc/82/68/24/849826824.db2.gz DJCXVBUOHFODHU-LLVKDONJSA-N 0 1 263.345 0.678 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccnc2[nH]cnc21 ZINC001038308214 849846203 /nfs/dbraw/zinc/84/62/03/849846203.db2.gz XEWPVXIFCMCCRP-LLVKDONJSA-N 0 1 283.335 0.785 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cn[nH]c(=O)c1 ZINC001038378364 849873575 /nfs/dbraw/zinc/87/35/75/849873575.db2.gz OYUYAVMUAVUUCY-NSHDSACASA-N 0 1 260.297 0.010 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cccn(C)c1=O ZINC001038928919 850087120 /nfs/dbraw/zinc/08/71/20/850087120.db2.gz YSNKGNYHPNTOIG-GFCCVEGCSA-N 0 1 275.352 0.766 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1C ZINC001038975361 850108755 /nfs/dbraw/zinc/10/87/55/850108755.db2.gz HCNNELDQXVJOSL-CHWSQXEVSA-N 0 1 277.368 0.211 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(C(N)=O)cc1 ZINC001039019033 850121753 /nfs/dbraw/zinc/12/17/53/850121753.db2.gz SRPNZMPSSCXCEL-CQSZACIVSA-N 0 1 285.347 0.613 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCc2c[nH]nc2C1 ZINC001039048356 850135630 /nfs/dbraw/zinc/13/56/30/850135630.db2.gz SFCFEGOVSKTVGU-OCCSQVGLSA-N 0 1 286.379 0.728 20 30 CCEDMN N#CCNC1C[C@H]2CCC[C@@H](C1)N2C(=O)Cc1ncn[nH]1 ZINC001039308698 850169152 /nfs/dbraw/zinc/16/91/52/850169152.db2.gz ITNWGAHUKFRQLQ-YOGCLGLASA-N 0 1 288.355 0.372 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H]1COCCN1C ZINC001039359268 850179444 /nfs/dbraw/zinc/17/94/44/850179444.db2.gz GQVWWENHDQLHEC-ILXRZTDVSA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1cncnc1 ZINC001039367801 850180222 /nfs/dbraw/zinc/18/02/22/850180222.db2.gz SCNHSIFFCMYZHK-CABCVRRESA-N 0 1 284.363 0.718 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cc(=O)n(C)o1 ZINC001039366518 850180390 /nfs/dbraw/zinc/18/03/90/850180390.db2.gz LIHBNACDZIFRKD-NEPJUHHUSA-N 0 1 291.351 0.843 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC(=O)N(C)C1 ZINC001039382695 850182539 /nfs/dbraw/zinc/18/25/39/850182539.db2.gz CJTTXQPKOPQSKN-MJBXVCDLSA-N 0 1 291.395 0.716 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cn[nH]n1 ZINC001039398976 850184703 /nfs/dbraw/zinc/18/47/03/850184703.db2.gz YMMPTPOVVGUBRJ-MNOVXSKESA-N 0 1 261.329 0.670 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1CCC(=O)N1 ZINC001039451074 850192885 /nfs/dbraw/zinc/19/28/85/850192885.db2.gz GTRMKPUDVNNSOW-MJBXVCDLSA-N 0 1 289.379 0.354 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1C[C@H]2CCC[C@@H](C1)N2CC#N ZINC001039526895 850210571 /nfs/dbraw/zinc/21/05/71/850210571.db2.gz KDSHOOKYUJWTQH-HZSPNIEDSA-N 0 1 276.384 0.669 20 30 CCEDMN C#CCN1CCC[C@@]2(CCN(C(=O)c3ncn(C)n3)C2)C1 ZINC001040195624 850278239 /nfs/dbraw/zinc/27/82/39/850278239.db2.gz RETZLEDMRCPVDF-OAHLLOKOSA-N 0 1 287.367 0.376 20 30 CCEDMN C#CCN1CCC[C@]2(CCN(C(=O)c3nonc3C)C2)C1 ZINC001040552321 850301184 /nfs/dbraw/zinc/30/11/84/850301184.db2.gz HPEZZZUYINHFJO-HNNXBMFYSA-N 0 1 288.351 0.939 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3ccnn3C)C[C@@H]21 ZINC001041968725 850545467 /nfs/dbraw/zinc/54/54/67/850545467.db2.gz IHESOWPLSZZZGJ-HIFRSBDPSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CCN(C(=O)c3ncccc3[O-])C[C@H]21 ZINC001042024349 850560566 /nfs/dbraw/zinc/56/05/66/850560566.db2.gz XUNABBVJAYEVHJ-QWHCGFSZSA-N 0 1 285.347 0.957 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3ncc[nH]3)C[C@@H]21 ZINC001042047769 850568546 /nfs/dbraw/zinc/56/85/46/850568546.db2.gz XVWWARHKGWSTPY-NEPJUHHUSA-N 0 1 258.325 0.579 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H]2CCN(C(=O)Cc3nnc[n-]3)C[C@@H]21 ZINC001042306447 850612106 /nfs/dbraw/zinc/61/21/06/850612106.db2.gz GUHHISPWLQFYEM-OLZOCXBDSA-N 0 1 287.367 0.293 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]2CCN(C(=O)Cc3nnc[n-]3)C[C@@H]21 ZINC001042306447 850612110 /nfs/dbraw/zinc/61/21/10/850612110.db2.gz GUHHISPWLQFYEM-OLZOCXBDSA-N 0 1 287.367 0.293 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnn4cc[nH]c34)C[C@H]21 ZINC001042325761 850615300 /nfs/dbraw/zinc/61/53/00/850615300.db2.gz IMSPZMASPGLUII-TZMCWYRMSA-N 0 1 297.362 0.832 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@H]2CCC(=O)N2)C1 ZINC001042541867 850687752 /nfs/dbraw/zinc/68/77/52/850687752.db2.gz BOTIEGXPOXHMAS-GFCCVEGCSA-N 0 1 265.357 0.374 20 30 CCEDMN C[C@H]1C[C@@H](NCc2cnon2)CCN1C(=O)C#CC1CC1 ZINC001044593052 851128469 /nfs/dbraw/zinc/12/84/69/851128469.db2.gz MODWAVZNDVXDOJ-AAEUAGOBSA-N 0 1 288.351 0.952 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H]2CC[C@@H](C1)N2CC(=O)N(C)C ZINC001045733103 851302849 /nfs/dbraw/zinc/30/28/49/851302849.db2.gz BBKXGBILMLKMPQ-XQQFMLRXSA-N 0 1 292.383 0.300 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cc(CC)n[nH]2)C1 ZINC001046153830 851399107 /nfs/dbraw/zinc/39/91/07/851399107.db2.gz VKMKNZOBWIGFBG-CQSZACIVSA-N 0 1 260.341 0.800 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@]2(C)CCN(CC#N)C2)c1C ZINC001046199829 851417368 /nfs/dbraw/zinc/41/73/68/851417368.db2.gz KUFGJWAFYJCZIB-CYBMUJFWSA-N 0 1 261.329 0.744 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnnn2CC)C1 ZINC001046297707 851458133 /nfs/dbraw/zinc/45/81/33/851458133.db2.gz CIAYHUXNAWQSTP-AWEZNQCLSA-N 0 1 275.356 0.516 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cc3n(n2)CCO3)C1 ZINC001046383141 851481206 /nfs/dbraw/zinc/48/12/06/851481206.db2.gz ZDBHJPSCBPZFNJ-CQSZACIVSA-N 0 1 276.340 0.656 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001046429454 851498964 /nfs/dbraw/zinc/49/89/64/851498964.db2.gz SIKOLJMZAAENJN-CZUORRHYSA-N 0 1 286.379 0.659 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccnc(OC)n2)C1 ZINC001046477280 851521745 /nfs/dbraw/zinc/52/17/45/851521745.db2.gz RVFWFWCGEGQDDK-AWEZNQCLSA-N 0 1 276.340 0.865 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccn3nnnc3c2)C1 ZINC001046538877 851537113 /nfs/dbraw/zinc/53/71/13/851537113.db2.gz QJRUJPQRNIDERX-OAHLLOKOSA-N 0 1 298.350 0.342 20 30 CCEDMN C[C@]1(NC(=O)c2[nH]ncc2F)CCN(CC#N)C1 ZINC001046600688 851561669 /nfs/dbraw/zinc/56/16/69/851561669.db2.gz QZISOJIVFKDQHN-NSHDSACASA-N 0 1 251.265 0.267 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnc3cccnn32)C1 ZINC001046682509 851583591 /nfs/dbraw/zinc/58/35/91/851583591.db2.gz ZLSFPSNNWPQTFS-INIZCTEOSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCC(=O)N(C)C2)C1 ZINC001046854183 851632313 /nfs/dbraw/zinc/63/23/13/851632313.db2.gz LOTDABRDRHVYNB-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cnn(C)n1)C2 ZINC001096163816 851676071 /nfs/dbraw/zinc/67/60/71/851676071.db2.gz JSZGFRYCYSRMAY-MDZLAQPJSA-N 0 1 273.340 0.174 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cncc(F)c2)C1 ZINC001047342725 851728690 /nfs/dbraw/zinc/72/86/90/851728690.db2.gz UPQFKMPWMJOOOJ-KBPBESRZSA-N 0 1 291.326 0.361 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@H]2CC(C)(C)CO2)C1 ZINC001047454140 851770083 /nfs/dbraw/zinc/77/00/83/851770083.db2.gz YPGDMIRIFJFHCU-IHRRRGAJSA-N 0 1 296.411 0.881 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@@H](C)OC)C1 ZINC001481719229 893085511 /nfs/dbraw/zinc/08/55/11/893085511.db2.gz HPYPOITYYLFDCS-CHWSQXEVSA-N 0 1 252.358 0.967 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc(C#C)cc2)C1 ZINC001047481105 851780490 /nfs/dbraw/zinc/78/04/90/851780490.db2.gz WYBBSDVMJNRQKH-IRXDYDNUSA-N 0 1 296.370 0.808 20 30 CCEDMN Cn1nccc1CN1C[C@@H]2CN(C(=O)C#CC3CC3)C[C@@H]2C1 ZINC001048810369 852071087 /nfs/dbraw/zinc/07/10/87/852071087.db2.gz JYAFGFBOQZSUOH-GASCZTMLSA-N 0 1 298.390 0.724 20 30 CCEDMN N#CCN1C[C@@H]2CN(C(=O)[C@@H]3CCCc4[nH]ncc43)C[C@@H]2C1 ZINC001048821201 852073554 /nfs/dbraw/zinc/07/35/54/852073554.db2.gz VMCIJVXCQGSWEJ-FRRDWIJNSA-N 0 1 299.378 0.743 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N1C[C@H]2CN([C@@H](C)C(N)=O)C[C@H]2C1 ZINC001049033958 852144636 /nfs/dbraw/zinc/14/46/36/852144636.db2.gz BRRUIMZXPNHSST-BCUIYNNISA-N 0 1 293.411 0.853 20 30 CCEDMN C=C1CC(C)(C(=O)N2C[C@H]3CN(CC(=O)NC)C[C@H]3C2)C1 ZINC001049044023 852146883 /nfs/dbraw/zinc/14/68/83/852146883.db2.gz VTRRHFMVMPQDCI-BETUJISGSA-N 0 1 291.395 0.479 20 30 CCEDMN N#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)CCc1nc[nH]n1 ZINC001049409969 852262437 /nfs/dbraw/zinc/26/24/37/852262437.db2.gz FJWRPFAPFDJHMI-NWDGAFQWSA-N 0 1 288.355 0.326 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@@H]1CCN(C)C1=O ZINC001049651500 852335103 /nfs/dbraw/zinc/33/51/03/852335103.db2.gz IFEVXXYOQFWAKA-HZSPNIEDSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccncn1 ZINC001049792927 852374211 /nfs/dbraw/zinc/37/42/11/852374211.db2.gz LVSUVZCRWBVAQZ-KBPBESRZSA-N 0 1 270.336 0.789 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H]3CCCOC3)[C@@H]2C1 ZINC001049992420 852417052 /nfs/dbraw/zinc/41/70/52/852417052.db2.gz ADVSJYZMHMWOCV-SOUVJXGZSA-N 0 1 276.380 0.969 20 30 CCEDMN N#Cc1ccc(N[C@@H](CNC(=O)c2cnn[nH]2)C2CC2)nc1 ZINC001096853553 852458042 /nfs/dbraw/zinc/45/80/42/852458042.db2.gz KVSOMMRMWMWQPP-NSHDSACASA-N 0 1 297.322 0.692 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC1OCCCO1)C2 ZINC001097000564 852484229 /nfs/dbraw/zinc/48/42/29/852484229.db2.gz JSNSCFYOLQMXKH-UPJWGTAASA-N 0 1 278.352 0.494 20 30 CCEDMN C[C@@H](CNC(=O)[C@H]1CCCN1C)Nc1cncc(C#N)n1 ZINC001097728531 852594142 /nfs/dbraw/zinc/59/41/42/852594142.db2.gz CLUYTNKVPCDXCZ-CMPLNLGQSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@@H](CNC(=O)[C@@H]1CCCN1C)Nc1ccncc1C#N ZINC001097730679 852594966 /nfs/dbraw/zinc/59/49/66/852594966.db2.gz WYXAVUWYZCWOSK-FZMZJTMJSA-N 0 1 287.367 0.386 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@H](C)Nc2cnc(C#N)cn2)c1C ZINC001097760709 852601873 /nfs/dbraw/zinc/60/18/73/852601873.db2.gz VRONKBCDFUBDIE-QMMMGPOBSA-N 0 1 299.338 0.919 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cn1ccc(C)n1)C2 ZINC001097874441 852662557 /nfs/dbraw/zinc/66/25/57/852662557.db2.gz MRYPQDNQZPILRS-KFWWJZLASA-N 0 1 286.379 0.936 20 30 CCEDMN C#CCCN1CC2(C1)CN(C(=O)CN1CCCC1)CCO2 ZINC001053532802 852756030 /nfs/dbraw/zinc/75/60/30/852756030.db2.gz XNHKTPHDPSYSQH-UHFFFAOYSA-N 0 1 291.395 0.019 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)CN1CCCC1)CO2 ZINC001054010023 852868786 /nfs/dbraw/zinc/86/87/86/852868786.db2.gz KJFWYYSLGPVYPF-CYBMUJFWSA-N 0 1 279.384 0.228 20 30 CCEDMN N#CCN1Cc2ccccc2C[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001054046385 852872908 /nfs/dbraw/zinc/87/29/08/852872908.db2.gz KMLOKPXYPSEAER-CYBMUJFWSA-N 0 1 296.334 0.485 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCn2ccnn2)C[C@H]1C ZINC001054596927 852977816 /nfs/dbraw/zinc/97/78/16/852977816.db2.gz UJBIEWFWDYIQGF-PWSUYJOCSA-N 0 1 297.790 0.857 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C(C)(C)C(N)=O)C[C@@H]1C ZINC001054766101 853009993 /nfs/dbraw/zinc/00/99/93/853009993.db2.gz SLOLNYYDBSLNJC-WCBMZHEXSA-N 0 1 287.791 0.687 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H](C)[C@H](NCc2cnnn2C)C1 ZINC001054977369 853047520 /nfs/dbraw/zinc/04/75/20/853047520.db2.gz XGLKLRMLRJKSAI-DGCLKSJQSA-N 0 1 291.399 0.964 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2c[nH]c(=O)n2C)C[C@H]1C ZINC001054973250 853047784 /nfs/dbraw/zinc/04/77/84/853047784.db2.gz GCCOTHHQUWVUHV-SCZZXKLOSA-N 0 1 298.774 0.928 20 30 CCEDMN C[C@H](CNC(=O)c1ncn[nH]1)Nc1ncc(C#N)cc1F ZINC001097994947 853075531 /nfs/dbraw/zinc/07/55/31/853075531.db2.gz AEMYIXOBPJHARH-SSDOTTSWSA-N 0 1 289.274 0.441 20 30 CCEDMN C[C@H](CNC(=O)c1nc[nH]n1)Nc1ncc(C#N)cc1F ZINC001097994947 853075540 /nfs/dbraw/zinc/07/55/40/853075540.db2.gz AEMYIXOBPJHARH-SSDOTTSWSA-N 0 1 289.274 0.441 20 30 CCEDMN Cc1cc(C(=O)N2CCN(c3cncc(C#N)n3)CC2)n[nH]1 ZINC001055738871 853111250 /nfs/dbraw/zinc/11/12/50/853111250.db2.gz QSNCVOGZDUPCRD-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN N#Cc1ccc(N[C@@H]2CCN(C(=O)Cc3ncn[nH]3)C2)nc1 ZINC001056698251 853184117 /nfs/dbraw/zinc/18/41/17/853184117.db2.gz YUYZTIOUVRXIBW-LLVKDONJSA-N 0 1 297.322 0.327 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@@H](Nc2ccc(C#N)nc2)C1 ZINC001056748199 853201148 /nfs/dbraw/zinc/20/11/48/853201148.db2.gz CXABRHIJSQOCAC-GFCCVEGCSA-N 0 1 297.322 0.706 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C(C)(F)F)C1 ZINC001264072647 853244462 /nfs/dbraw/zinc/24/44/62/853244462.db2.gz BRPPTLRZQMIKMQ-NSHDSACASA-N 0 1 274.311 0.560 20 30 CCEDMN C#CC[N@H+](C)CCOCCN(C)C(=O)[C@@H]1CCCOC1 ZINC001264105079 853261709 /nfs/dbraw/zinc/26/17/09/853261709.db2.gz WACPRCRRKLQKJW-CQSZACIVSA-N 0 1 282.384 0.453 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3ccc(=O)n(C)c3)[C@@H]2C1 ZINC001050085700 853311786 /nfs/dbraw/zinc/31/17/86/853311786.db2.gz YLMFIFTTZXPTSH-DZGCQCFKSA-N 0 1 299.374 0.555 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3cn[nH]n3)[C@@H]2C1 ZINC001050085081 853312195 /nfs/dbraw/zinc/31/21/95/853312195.db2.gz RCULMTFHCQOMLX-WCQYABFASA-N 0 1 273.340 0.364 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3c(C)cnn3C)[C@@H]2C1 ZINC001050119530 853317078 /nfs/dbraw/zinc/31/70/78/853317078.db2.gz LJRKITXDPCVTKT-UONOGXRCSA-N 0 1 286.379 0.898 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cnc(C)n3C)[C@@H]2C1 ZINC001050125025 853317995 /nfs/dbraw/zinc/31/79/95/853317995.db2.gz QPLYPRCKRMJOIC-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN N#CCN1C[C@@H]2CCCN(C(=O)CCc3c[nH]nn3)[C@@H]2C1 ZINC001050143601 853326355 /nfs/dbraw/zinc/32/63/55/853326355.db2.gz UWCIEINOADIWCI-WCQYABFASA-N 0 1 288.355 0.184 20 30 CCEDMN N#CCN1C[C@@H]2CCCN(C(=O)CCc3cnn[nH]3)[C@@H]2C1 ZINC001050143601 853326357 /nfs/dbraw/zinc/32/63/57/853326357.db2.gz UWCIEINOADIWCI-WCQYABFASA-N 0 1 288.355 0.184 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCC(=O)N1)C2 ZINC001098024436 853388003 /nfs/dbraw/zinc/38/80/03/853388003.db2.gz LWCGKZNKJWODHP-LOWDOPEQSA-N 0 1 275.352 0.010 20 30 CCEDMN C#CCCN1CCOC[C@@H]1CNC(=O)c1[nH]ncc1F ZINC001051102139 853524665 /nfs/dbraw/zinc/52/46/65/853524665.db2.gz IYEAFFPWEUMLIX-JTQLQIEISA-N 0 1 280.303 0.003 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCO[C@@H](CNCc2ccns2)C1 ZINC001051493854 853596910 /nfs/dbraw/zinc/59/69/10/853596910.db2.gz AZAOYHAAKSXGAY-MNOVXSKESA-N 0 1 294.380 0.620 20 30 CCEDMN Cc1cc(CNC[C@H]2CN(C(=O)[C@@H](C)C#N)CCO2)on1 ZINC001051495778 853596924 /nfs/dbraw/zinc/59/69/24/853596924.db2.gz YBQSCXOKTTYUPY-GWCFXTLKSA-N 0 1 292.339 0.460 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)[C@@H](F)CC)C2)CC1 ZINC001052063810 853679065 /nfs/dbraw/zinc/67/90/65/853679065.db2.gz XZVGPWPJBMQNDF-CABCVRRESA-N 0 1 295.402 0.976 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](NC(=O)CN3CCCC3)C2)cn1 ZINC001058371902 853833818 /nfs/dbraw/zinc/83/38/18/853833818.db2.gz KKENKUWPSUYUDG-AWEZNQCLSA-N 0 1 299.378 0.744 20 30 CCEDMN N#Cc1cccnc1N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001058422619 853844030 /nfs/dbraw/zinc/84/40/30/853844030.db2.gz JKEDJIWVIVSAIV-SNVBAGLBSA-N 0 1 283.295 0.080 20 30 CCEDMN N#Cc1cccnc1N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001058422619 853844032 /nfs/dbraw/zinc/84/40/32/853844032.db2.gz JKEDJIWVIVSAIV-SNVBAGLBSA-N 0 1 283.295 0.080 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2(C)CC2)[C@@H](n2ccnn2)C1 ZINC001069934451 854006679 /nfs/dbraw/zinc/00/66/79/854006679.db2.gz QGRZHLXUCGLLDG-OLZOCXBDSA-N 0 1 287.367 0.443 20 30 CCEDMN C#CCCN1C[C@@H](F)C[C@@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070726435 854090508 /nfs/dbraw/zinc/09/05/08/854090508.db2.gz ZPUAPGHLXDWCRH-WDEREUQCSA-N 0 1 279.319 0.549 20 30 CCEDMN C#CCCN1C[C@@H](F)C[C@@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070726435 854090511 /nfs/dbraw/zinc/09/05/11/854090511.db2.gz ZPUAPGHLXDWCRH-WDEREUQCSA-N 0 1 279.319 0.549 20 30 CCEDMN C=C(C)CCC(=O)N1CCC[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001070821469 854095868 /nfs/dbraw/zinc/09/58/68/854095868.db2.gz ZVEXVTKIVAEDDH-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN C#CCN1CC[C@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@H](C)O2 ZINC001071167350 854132215 /nfs/dbraw/zinc/13/22/15/854132215.db2.gz XHPMBNJSPMHDKW-WFASDCNBSA-N 0 1 288.351 0.348 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)c1cn[nH]n1)C[C@H](C)O2 ZINC001071183767 854134326 /nfs/dbraw/zinc/13/43/26/854134326.db2.gz SOFFCXFIJYMNJW-SMDDNHRTSA-N 0 1 291.355 0.296 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC001071377865 854171937 /nfs/dbraw/zinc/17/19/37/854171937.db2.gz AJLZFSKFOMXYIT-LLVKDONJSA-N 0 1 291.355 0.421 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cnn(C)c2N)CC[C@@H]1C ZINC001071447252 854200246 /nfs/dbraw/zinc/20/02/46/854200246.db2.gz KLCFACYNWRDOME-NWDGAFQWSA-N 0 1 289.383 0.608 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2ccn(C)n2)CC[C@H]1C ZINC001071505362 854223384 /nfs/dbraw/zinc/22/33/84/854223384.db2.gz VADCLEHVUIPLJE-TZMCWYRMSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCCCC(=O)N[C@H]1CN(C(=O)c2ccn[nH]2)C[C@@H]1C ZINC001071651791 854259258 /nfs/dbraw/zinc/25/92/58/854259258.db2.gz OFBJKXFRHZRCGT-AAEUAGOBSA-N 0 1 288.351 0.790 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2ncc[nH]2)CC[C@H]1C ZINC001071939192 854323735 /nfs/dbraw/zinc/32/37/35/854323735.db2.gz RFNDMFYGTYZTNW-CHWSQXEVSA-N 0 1 274.368 0.945 20 30 CCEDMN C#CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001071988034 854332347 /nfs/dbraw/zinc/33/23/47/854332347.db2.gz KQUBIFQFOOIEEE-GHMZBOCLSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCCCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001071988034 854332353 /nfs/dbraw/zinc/33/23/53/854332353.db2.gz KQUBIFQFOOIEEE-GHMZBOCLSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2[nH]cnc2C)CC[C@H]1C ZINC001072026072 854337415 /nfs/dbraw/zinc/33/74/15/854337415.db2.gz BWVOJJUMUWMTKD-DGCLKSJQSA-N 0 1 274.368 0.863 20 30 CCEDMN O=C(c1cccc(C#CCO)c1)N1CCc2nc[nH]c2C1 ZINC000396889656 854384521 /nfs/dbraw/zinc/38/45/21/854384521.db2.gz PQEINSLDUYQEPE-UHFFFAOYSA-N 0 1 281.315 0.952 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3C[C@@H]3OCC)C2)C1 ZINC001072493180 854398478 /nfs/dbraw/zinc/39/84/78/854398478.db2.gz UMBIYUOUVAEGRB-STQMWFEESA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H](C)SC)C2)C1 ZINC001072500172 854400525 /nfs/dbraw/zinc/40/05/25/854400525.db2.gz NVDRIIGTOPTTCS-NSHDSACASA-N 0 1 252.383 0.905 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3ccnnc3C)C2)C1 ZINC001072898070 854490755 /nfs/dbraw/zinc/49/07/55/854490755.db2.gz HAXTWMDPHMDEMU-UHFFFAOYSA-N 0 1 270.336 0.566 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COc3cccnc3)C2)C1 ZINC001073060604 854523527 /nfs/dbraw/zinc/52/35/27/854523527.db2.gz CNEYRPLKHJZWST-UHFFFAOYSA-N 0 1 285.347 0.628 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3ccn(C)n3)C2)C1 ZINC001073429478 854557582 /nfs/dbraw/zinc/55/75/82/854557582.db2.gz DBXRYTJUTCRVAJ-UHFFFAOYSA-N 0 1 272.352 0.591 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H]2CCCOC2)C1 ZINC001073549480 854590464 /nfs/dbraw/zinc/59/04/64/854590464.db2.gz ZIPHGAMUWITMET-CABCVRRESA-N 0 1 294.395 0.643 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2nn(C)cc2C)C1 ZINC001073599408 854608570 /nfs/dbraw/zinc/60/85/70/854608570.db2.gz DSAFPIOCSCOPOO-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NC[C@@H]1CN(CC#N)CCCO1 ZINC001073663272 854627115 /nfs/dbraw/zinc/62/71/15/854627115.db2.gz XUXBIXFEQVGUKT-KGLIPLIRSA-N 0 1 294.399 0.201 20 30 CCEDMN C=CCN1CCO[C@@H]2CCN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC001074180967 854687966 /nfs/dbraw/zinc/68/79/66/854687966.db2.gz LBSMMOSLIYGCOR-QWHCGFSZSA-N 0 1 276.340 0.511 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H]3OCCN(CC#N)[C@@H]3C2)n[nH]1 ZINC001074185198 854689920 /nfs/dbraw/zinc/68/99/20/854689920.db2.gz YHLLDMOJISMGKR-OLZOCXBDSA-N 0 1 289.339 0.157 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1CCCC(=O)N1C)C2 ZINC001098302964 854855328 /nfs/dbraw/zinc/85/53/28/854855328.db2.gz NMECESVCPXSNKB-YIYPIFLZSA-N 0 1 291.395 0.905 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cncnc2)C1 ZINC001098803676 854887990 /nfs/dbraw/zinc/88/79/90/854887990.db2.gz CVYAARAWOCVOFN-ZFWWWQNUSA-N 0 1 270.336 0.694 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001098795021 854888920 /nfs/dbraw/zinc/88/89/20/854888920.db2.gz MCUFMVYCQWFARD-NHYWBVRUSA-N 0 1 288.351 0.641 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CNC(=O)NC ZINC001099103446 854913823 /nfs/dbraw/zinc/91/38/23/854913823.db2.gz ZPNORHFTSJMRRB-GFCCVEGCSA-N 0 1 294.399 0.155 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cnco2)C[C@H]1C(F)(F)F ZINC001099288024 854927090 /nfs/dbraw/zinc/92/70/90/854927090.db2.gz BLHSBVKWTKRTND-RKDXNWHRSA-N 0 1 287.241 0.900 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CC(C)(C)C)[C@@H](O)C1 ZINC001099673482 854963825 /nfs/dbraw/zinc/96/38/25/854963825.db2.gz WZMBJKZNFDHWDC-STQMWFEESA-N 0 1 266.385 0.997 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C=C/c2ccc[nH]2)[C@H](O)C1 ZINC001099796506 854997340 /nfs/dbraw/zinc/99/73/40/854997340.db2.gz DQAYDGNQLRCIKV-MFHOCJEASA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CC[N@H+](CCOC(C)C)C[C@H]1O ZINC001099805266 854999413 /nfs/dbraw/zinc/99/94/13/854999413.db2.gz ZDBKKFIAIRZKPX-HUUCEWRRSA-N 0 1 296.411 0.766 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)C#CC(C)C)[C@H](O)C1 ZINC001099823298 855003761 /nfs/dbraw/zinc/00/37/61/855003761.db2.gz BFAYEAGOUNNQOT-HUUCEWRRSA-N 0 1 294.395 0.400 20 30 CCEDMN COCCN1CC[C@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001099830175 855005189 /nfs/dbraw/zinc/00/51/89/855005189.db2.gz NXLHWDKLUXVJRY-STQMWFEESA-N 0 1 282.384 0.234 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCn2ccnc2)[C@H](O)C1 ZINC001099940021 855038558 /nfs/dbraw/zinc/03/85/58/855038558.db2.gz PHVPKXVHAKVXKC-CHWSQXEVSA-N 0 1 278.356 0.011 20 30 CCEDMN CCN(CCNC(=O)c1ncn[nH]1)c1ccc(C#N)cn1 ZINC001100584819 855193399 /nfs/dbraw/zinc/19/33/99/855193399.db2.gz PHKCKKVDKPNRHW-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN CCN(CCNC(=O)c1nc[nH]n1)c1ccc(C#N)cn1 ZINC001100584819 855193403 /nfs/dbraw/zinc/19/34/03/855193403.db2.gz PHKCKKVDKPNRHW-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN C#CCCCC(=O)N1C[C@H]2CN(CC(=O)NC)C[C@@]2(C)C1 ZINC001101216809 855276627 /nfs/dbraw/zinc/27/66/27/855276627.db2.gz KUOWHOBGIBQUQH-CJNGLKHVSA-N 0 1 291.395 0.316 20 30 CCEDMN CCCN(CCNC(=O)c1cnn[nH]1)c1ccncc1C#N ZINC001101267085 855277874 /nfs/dbraw/zinc/27/78/74/855277874.db2.gz LRYIAHKZWVKNEN-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN C=CCCCC(=O)N1C[C@H]2CN(CC(N)=O)C[C@@]2(C)C1 ZINC001101347849 855284826 /nfs/dbraw/zinc/28/48/26/855284826.db2.gz LRQZGFYEQDFFNT-DOMZBBRYSA-N 0 1 279.384 0.608 20 30 CCEDMN C=CCCCC(=O)N1C[C@H]2CN([C@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001101346969 855285112 /nfs/dbraw/zinc/28/51/12/855285112.db2.gz PDYHBPYQDQIEIX-IOASZLSFSA-N 0 1 293.411 0.997 20 30 CCEDMN N#Cc1ccc(N(CCNC(=O)c2cnn[nH]2)C2CC2)nc1 ZINC001101467487 855289431 /nfs/dbraw/zinc/28/94/31/855289431.db2.gz CFOBXHKDUQYTTJ-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN CCc1cc(C(=O)N(C)CCNc2nccnc2C#N)n[nH]1 ZINC001101508499 855300232 /nfs/dbraw/zinc/30/02/32/855300232.db2.gz IFUYGXRWHHSDCX-UHFFFAOYSA-N 0 1 299.338 0.818 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCNc2nccnc2C#N)[nH]1 ZINC001101531879 855309217 /nfs/dbraw/zinc/30/92/17/855309217.db2.gz DHRAKHZNBKWTAU-UHFFFAOYSA-N 0 1 285.311 0.564 20 30 CCEDMN C#CCCCCC(=O)NC[C@@H]1CN(CC(N)=O)C[C@H]1C ZINC001101893579 855387695 /nfs/dbraw/zinc/38/76/95/855387695.db2.gz IAFRJIFOMALBGL-CHWSQXEVSA-N 0 1 279.384 0.349 20 30 CCEDMN CC[C@H](CNC(=O)[C@@H](C)C#N)Nc1ncnc2[nH]cnc21 ZINC001103029659 855491354 /nfs/dbraw/zinc/49/13/54/855491354.db2.gz XWBHHZREEYUTQT-DTWKUNHWSA-N 0 1 287.327 0.819 20 30 CCEDMN CC[C@@H](CNC(=O)Cc1nnc[nH]1)Nc1ncccc1C#N ZINC001103186419 855503697 /nfs/dbraw/zinc/50/36/97/855503697.db2.gz JNLXWZMPJMEWNR-NSHDSACASA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)CNc1ncnc2[nH]cnc21 ZINC001103898038 855548077 /nfs/dbraw/zinc/54/80/77/855548077.db2.gz FASNXUPONWQSHF-DTWKUNHWSA-N 0 1 287.327 0.628 20 30 CCEDMN C#CCNC(=O)CC(=O)N[C@]12CCC[C@H]1CN(CCF)C2 ZINC001111713062 855588350 /nfs/dbraw/zinc/58/83/50/855588350.db2.gz SHLZCQBLFAXTFN-WFASDCNBSA-N 0 1 295.358 0.066 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3ncn[nH]3)CCC2)nn1 ZINC001111830048 855596152 /nfs/dbraw/zinc/59/61/52/855596152.db2.gz GZPSGDYAJSZBAO-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN N#Cc1ccc(NC2(CNC(=O)c3nc[nH]n3)CCC2)nn1 ZINC001111830048 855596156 /nfs/dbraw/zinc/59/61/56/855596156.db2.gz GZPSGDYAJSZBAO-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@@H](C)CC(N)=O)C1 ZINC001111831296 855598244 /nfs/dbraw/zinc/59/82/44/855598244.db2.gz DKZGQKZGDRDNJB-HUBLWGQQSA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cc(C)cn1 ZINC001115298680 855659414 /nfs/dbraw/zinc/65/94/14/855659414.db2.gz VQWCDUPPSRFDRG-NHAGDIPZSA-N 0 1 272.352 0.261 20 30 CCEDMN C#CCSCCNC(=O)C(=O)N1CCNC[C@H]1CCC ZINC001118038031 856143534 /nfs/dbraw/zinc/14/35/34/856143534.db2.gz PYNATTYKMITOKX-GFCCVEGCSA-N 0 1 297.424 0.070 20 30 CCEDMN Cn1cnc(CCCN[C@@H](C(N)=O)c2ccc(C#N)cc2)n1 ZINC001118258835 856227487 /nfs/dbraw/zinc/22/74/87/856227487.db2.gz PMJOWOFOBKFKLB-CQSZACIVSA-N 0 1 298.350 0.436 20 30 CCEDMN C#C[C@@H](C)N(C)C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001118374904 856278213 /nfs/dbraw/zinc/27/82/13/856278213.db2.gz YXFHGFPKOKEMHQ-NXEZZACHSA-N 0 1 277.328 0.819 20 30 CCEDMN Cn1cc([C@H](O)CNCc2cccc(C#N)n2)cn1 ZINC001119242825 856594958 /nfs/dbraw/zinc/59/49/58/856594958.db2.gz IAGGZCVIGDBOAE-CYBMUJFWSA-N 0 1 257.297 0.510 20 30 CCEDMN C#CCC1(O)CCN(C[C@H]2CN(CC)C(=O)O2)CC1 ZINC001119270371 856605511 /nfs/dbraw/zinc/60/55/11/856605511.db2.gz INFUEIMZRDZGOK-LBPRGKRZSA-N 0 1 266.341 0.677 20 30 CCEDMN CC(C)(C)N1CC[C@H]1C(=O)N1CCOC[C@@H]1C#N ZINC001119454705 856690656 /nfs/dbraw/zinc/69/06/56/856690656.db2.gz LDTAOILYOZCQFZ-QWRGUYRKSA-N 0 1 251.330 0.610 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)C[C@@H](C)Nc1ccc(C#N)cn1 ZINC001120398320 857056375 /nfs/dbraw/zinc/05/63/75/857056375.db2.gz DYYHOQKFVFSJSD-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)C(C)(C)C(N)=O ZINC001323263488 912229689 /nfs/dbraw/zinc/22/96/89/912229689.db2.gz ROAZRAVHBNHTAH-JTQLQIEISA-N 0 1 253.346 0.265 20 30 CCEDMN C=CCO[C@@H]1CC[N@H+](CCc2cn(CC(=O)OC)nn2)C1 ZINC001323727516 912492898 /nfs/dbraw/zinc/49/28/98/912492898.db2.gz GRQPYGCLOXJDPK-CYBMUJFWSA-N 0 1 294.355 0.271 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@H]1CCN(CCOC)C1)C1CC1 ZINC001324419856 912838258 /nfs/dbraw/zinc/83/82/58/912838258.db2.gz LDBDOUACPWLLCR-LBPRGKRZSA-N 0 1 295.383 0.000 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)Cn2cccn2)C1 ZINC001324572320 912912665 /nfs/dbraw/zinc/91/26/65/912912665.db2.gz FYQIZTZYTWMBSM-AWEZNQCLSA-N 0 1 260.341 0.487 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)CC[C@@]2(C)CCC(=O)N2)C1 ZINC001324681970 912981558 /nfs/dbraw/zinc/98/15/58/912981558.db2.gz YXXTWPSPIIWTJX-HZPDHXFCSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1(C)CCN(CC(N)=O)CC1 ZINC001324879651 913080445 /nfs/dbraw/zinc/08/04/45/913080445.db2.gz CGUYGJBGODEGQI-UHFFFAOYSA-N 0 1 281.400 0.902 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2CCCC2)C1 ZINC001325054826 913174011 /nfs/dbraw/zinc/17/40/11/913174011.db2.gz QTECVXUANFIZLJ-CQSZACIVSA-N 0 1 250.342 0.363 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1c[nH]c(C#N)c1 ZINC001394734000 913398307 /nfs/dbraw/zinc/39/83/07/913398307.db2.gz OSWHSWXDEVIFPV-LBPRGKRZSA-N 0 1 296.758 0.661 20 30 CCEDMN C#CCN(CC1CC1)[C@H](C)C(=O)NC(=O)NC(C)C ZINC001325512030 913444338 /nfs/dbraw/zinc/44/43/38/913444338.db2.gz HTPVNFURMFBPGI-LLVKDONJSA-N 0 1 265.357 0.954 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCCCNC(N)=O)C1 ZINC001282724827 891477228 /nfs/dbraw/zinc/47/72/28/891477228.db2.gz JUGIIAGAOMYAGT-LBPRGKRZSA-N 0 1 294.399 0.285 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@H]1c2c[nH]nc2CC[C@H]1C ZINC001480892291 891697649 /nfs/dbraw/zinc/69/76/49/891697649.db2.gz KRYUKKBNDOSJKT-BXUZGUMPSA-N 0 1 274.368 0.757 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@@H](OC)C(C)C ZINC001480907404 891738562 /nfs/dbraw/zinc/73/85/62/891738562.db2.gz UCBXQKHCPBARSO-ZDUSSCGKSA-N 0 1 270.373 0.355 20 30 CCEDMN C#CC[N@@H+](CC)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001480968542 891996780 /nfs/dbraw/zinc/99/67/80/891996780.db2.gz BPKGOBAFABNMDH-UHFFFAOYSA-N 0 1 250.302 0.109 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@@H](CNC(=O)CCC=C)C1 ZINC001481082175 892141617 /nfs/dbraw/zinc/14/16/17/892141617.db2.gz FSJVXOZFIXZMBB-KGLIPLIRSA-N 0 1 291.395 0.529 20 30 CCEDMN COCC#CCN(CCNC(=O)Cn1cccn1)C1CC1 ZINC001481158795 892246107 /nfs/dbraw/zinc/24/61/07/892246107.db2.gz ALCQBVSRNYTYIM-UHFFFAOYSA-N 0 1 290.367 0.114 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)n[nH]1 ZINC001325930153 913644087 /nfs/dbraw/zinc/64/40/87/913644087.db2.gz DUDLSQQCHZXSIP-VHSXEESVSA-N 0 1 275.312 0.143 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H]1CCc2nccn2C1)C1CC1 ZINC001481175756 892274648 /nfs/dbraw/zinc/27/46/48/892274648.db2.gz UORNVSIVTLVNPT-CYBMUJFWSA-N 0 1 286.379 0.659 20 30 CCEDMN C=CCCC(=O)NC[C@@H](CO)N[C@@H](C)c1n[nH]c(C)n1 ZINC001283744251 892329608 /nfs/dbraw/zinc/32/96/08/892329608.db2.gz FARRPKRCPVVKTP-ONGXEEELSA-N 0 1 281.360 0.207 20 30 CCEDMN C#CCOCCC(=O)N(C)CCNCc1oc(C)nc1C ZINC001481228699 892397343 /nfs/dbraw/zinc/39/73/43/892397343.db2.gz IECSGOQJGZOTOQ-UHFFFAOYSA-N 0 1 293.367 0.879 20 30 CCEDMN Cc1cccnc1CNCCN(CCO)C(=O)[C@H](C)C#N ZINC001325990963 913681658 /nfs/dbraw/zinc/68/16/58/913681658.db2.gz WGBMRTASKAXPAU-CYBMUJFWSA-N 0 1 290.367 0.460 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](CN(C)CC(=O)NC2CC2)C1 ZINC001481480766 892728628 /nfs/dbraw/zinc/72/86/28/892728628.db2.gz YIZJAGMIJZSNCU-RYUDHWBXSA-N 0 1 292.383 0.205 20 30 CCEDMN C#Cc1cncc(C(=O)NCC2CN(CCOCC)C2)c1 ZINC001481645876 892962147 /nfs/dbraw/zinc/96/21/47/892962147.db2.gz ZKDFNWMNCFWJBY-UHFFFAOYSA-N 0 1 287.363 0.761 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H](CC)N2CCCC2=O)C1 ZINC001481660874 892977755 /nfs/dbraw/zinc/97/77/55/892977755.db2.gz JTMYNGMCTGGWJY-CYBMUJFWSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCc2cn[nH]c2)C1 ZINC001481743012 893108403 /nfs/dbraw/zinc/10/84/03/893108403.db2.gz AMMUQHNYTUMKOE-ZDUSSCGKSA-N 0 1 260.341 0.508 20 30 CCEDMN CC[C@H](CNC(=O)CN1CCC(C)CC1)NCC#N ZINC001481860864 893225930 /nfs/dbraw/zinc/22/59/30/893225930.db2.gz GKSFUHQIKBZFFD-CYBMUJFWSA-N 0 1 266.389 0.726 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCN(C)CCNC(=O)C1CC1 ZINC001422153227 893411150 /nfs/dbraw/zinc/41/11/50/893411150.db2.gz RZNTUPVUBSZUQS-NSHDSACASA-N 0 1 280.372 0.062 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1CN(CC2CCC2)CCO1 ZINC001482191423 893484998 /nfs/dbraw/zinc/48/49/98/893484998.db2.gz CKRDTCRFQGUNPO-ZFWWWQNUSA-N 0 1 294.395 0.642 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1cc(OC)no1 ZINC001496688632 893630835 /nfs/dbraw/zinc/63/08/35/893630835.db2.gz CHHIGIAZLNXFJU-UHFFFAOYSA-N 0 1 265.313 0.710 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cccc(=O)[nH]1 ZINC001482588684 894311922 /nfs/dbraw/zinc/31/19/22/894311922.db2.gz VZRATOBOCYKCAQ-UHFFFAOYSA-N 0 1 291.351 0.441 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)CC[N@H+](C)[C@H](C)COC ZINC001482596070 894366188 /nfs/dbraw/zinc/36/61/88/894366188.db2.gz FQGUEMWIOPZSEZ-CHWSQXEVSA-N 0 1 270.373 0.450 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)Cc1cscn1 ZINC001482632149 894432725 /nfs/dbraw/zinc/43/27/25/894432725.db2.gz OIJLGQVWAYMIRU-UHFFFAOYSA-N 0 1 295.408 0.726 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)Cc1cscn1 ZINC001482632479 894433734 /nfs/dbraw/zinc/43/37/34/894433734.db2.gz UGXJFGFLKONCMM-UHFFFAOYSA-N 0 1 251.355 0.709 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H](C)NC(=O)c1ccco1 ZINC001482650806 894447614 /nfs/dbraw/zinc/44/76/14/894447614.db2.gz CIWQAJYNSHMLCU-LBPRGKRZSA-N 0 1 291.351 0.421 20 30 CCEDMN C#CC[NH2+]CCN(CC)C(=O)c1cnc(C2CC2)[n-]c1=O ZINC001482953955 894720740 /nfs/dbraw/zinc/72/07/40/894720740.db2.gz KBNYQDCEPKZHMB-UHFFFAOYSA-N 0 1 288.351 0.745 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001328430852 915189914 /nfs/dbraw/zinc/18/99/14/915189914.db2.gz RNJPXPZQXGBFCT-QPUJVOFHSA-N 0 1 266.301 0.209 20 30 CCEDMN O=C(C#CC1CC1)NC/C=C\CNCC(=O)N1CCCC1 ZINC001483005984 894796415 /nfs/dbraw/zinc/79/64/15/894796415.db2.gz JUMVTLHMBKOQTE-UPHRSURJSA-N 0 1 289.379 0.284 20 30 CCEDMN C=C(Cl)CNC/C=C\CNC(=O)C[C@H]1CCC(=O)N1 ZINC001483072871 894876491 /nfs/dbraw/zinc/87/64/91/894876491.db2.gz RCUHBXPCTNJFAZ-OTDNITJGSA-N 0 1 285.775 0.670 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc(C(N)=O)o1 ZINC001483246484 895377295 /nfs/dbraw/zinc/37/72/95/895377295.db2.gz QBIDXCVGLFCRMN-QMMMGPOBSA-N 0 1 285.731 0.839 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(C(N)=O)c[nH]1 ZINC001483277859 895409482 /nfs/dbraw/zinc/40/94/82/895409482.db2.gz JEOXVKVUOKJAQL-QMMMGPOBSA-N 0 1 284.747 0.574 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@]1(C)CCOC1 ZINC001483400186 895539153 /nfs/dbraw/zinc/53/91/53/895539153.db2.gz CTAKSJQZKMUQGG-JSGCOSHPSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001483425919 895558770 /nfs/dbraw/zinc/55/87/70/895558770.db2.gz KNQNBTQNBIRQLS-DOMZBBRYSA-N 0 1 279.384 0.505 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](CNCc1ccn(C)n1)C1CC1 ZINC001483550972 895638686 /nfs/dbraw/zinc/63/86/86/895638686.db2.gz SNGMQYJWRBMCMX-MFKMUULPSA-N 0 1 275.356 0.564 20 30 CCEDMN CN1C[C@@H](NS(=O)(=O)CC2(CC#N)CC2)C(C)(C)C1 ZINC001364967410 895770969 /nfs/dbraw/zinc/77/09/69/895770969.db2.gz MVUHJOPCIHWWJI-LLVKDONJSA-N 0 1 285.413 0.940 20 30 CCEDMN C=CCNC(=O)[C@@H](C)NC1(CNC(=O)C=C(C)C)CC1 ZINC001483713379 895894825 /nfs/dbraw/zinc/89/48/25/895894825.db2.gz PYRRHIXEMRUOSB-GFCCVEGCSA-N 0 1 279.384 0.882 20 30 CCEDMN CC(C)(C)CC(=O)NCC1(NCC(=O)NCC#N)CC1 ZINC001483715727 895899297 /nfs/dbraw/zinc/89/92/97/895899297.db2.gz INADTJVIFAJJFA-UHFFFAOYSA-N 0 1 280.372 0.301 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)[C@@H]2C[C@H]2CC)CC1 ZINC001483770347 896035525 /nfs/dbraw/zinc/03/55/25/896035525.db2.gz QUJFCYHLTOMKQU-YNEHKIRRSA-N 0 1 293.411 0.962 20 30 CCEDMN NC(=O)C(=O)N1CCC[C@@H]1CNCC#Cc1ccccc1 ZINC001483943590 896129999 /nfs/dbraw/zinc/12/99/99/896129999.db2.gz URGNINNQYJRDJL-CQSZACIVSA-N 0 1 285.347 0.104 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@](C)(NC(=O)COCC(F)F)C1 ZINC001484055753 896179606 /nfs/dbraw/zinc/17/96/06/896179606.db2.gz VYVVJRORNQOUCV-CYBMUJFWSA-N 0 1 274.311 0.872 20 30 CCEDMN C=CCN1CCC(F)(F)[C@H](CNC(=O)Cc2nc[nH]n2)C1 ZINC001484196562 896230768 /nfs/dbraw/zinc/23/07/68/896230768.db2.gz VAZGRVPTLRUIDW-SNVBAGLBSA-N 0 1 299.325 0.607 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2CC(C)(C)C2)C1 ZINC001484216845 896250314 /nfs/dbraw/zinc/25/03/14/896250314.db2.gz NMXMASJTWYODRR-HNNXBMFYSA-N 0 1 264.369 0.609 20 30 CCEDMN CC#CC[N@H+]1CC[C@](O)(CNC(=O)Cc2cnc(C)o2)C1 ZINC001484223522 896251228 /nfs/dbraw/zinc/25/12/28/896251228.db2.gz NQKSWTPFOZOUOW-HNNXBMFYSA-N 0 1 291.351 0.102 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)Cc2cnc(C)o2)C1 ZINC001484223522 896251238 /nfs/dbraw/zinc/25/12/38/896251238.db2.gz NQKSWTPFOZOUOW-HNNXBMFYSA-N 0 1 291.351 0.102 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)C#CC(C)(C)C)C1 ZINC001484219194 896256530 /nfs/dbraw/zinc/25/65/30/896256530.db2.gz VCHUERQIEODWGQ-MRXNPFEDSA-N 0 1 276.380 0.612 20 30 CCEDMN Cc1nnc([C@H](C)N[C@H]2C[C@H](CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001397112931 914049522 /nfs/dbraw/zinc/04/95/22/914049522.db2.gz SLGVFBRBHUGXOR-QSFUFRPTSA-N 0 1 290.371 0.818 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001484236375 896271039 /nfs/dbraw/zinc/27/10/39/896271039.db2.gz HPPQHBBZVRCYGV-NYTXWWLZSA-N 0 1 292.379 0.130 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ocnc2CC)C1 ZINC001484237192 896271796 /nfs/dbraw/zinc/27/17/96/896271796.db2.gz UDAANYQDTDYAPO-AWEZNQCLSA-N 0 1 277.324 0.037 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H]([C@@H](C)NCc2cnon2)C1 ZINC001484330300 896347644 /nfs/dbraw/zinc/34/76/44/896347644.db2.gz CZQOUQYCBNDQIG-VXGBXAGGSA-N 0 1 294.355 0.599 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)[C@H](C)NC(=O)CC)C1 ZINC001484437183 896405409 /nfs/dbraw/zinc/40/54/09/896405409.db2.gz NJSBIVVXZPQZIS-WFASDCNBSA-N 0 1 297.399 0.030 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1ccc[nH]1 ZINC001484474842 896426032 /nfs/dbraw/zinc/42/60/32/896426032.db2.gz CAWQGLIQMRKPRR-UHFFFAOYSA-N 0 1 271.748 0.791 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@@H](CCNCc2cnon2)C1 ZINC001484519437 896456284 /nfs/dbraw/zinc/45/62/84/896456284.db2.gz BOMHURMZAYXOKX-CYBMUJFWSA-N 0 1 288.351 0.811 20 30 CCEDMN CCCNC(=O)[C@H](C)N(C)CCCN(C)C(=O)[C@H](C)C#N ZINC001484735825 896579925 /nfs/dbraw/zinc/57/99/25/896579925.db2.gz DHFXJRNOWTWBLH-OLZOCXBDSA-N 0 1 296.415 0.841 20 30 CCEDMN CCc1cc(C(=O)N2CCC[C@](CO)(NCC#N)C2)n[nH]1 ZINC001484832474 896624140 /nfs/dbraw/zinc/62/41/40/896624140.db2.gz KAARIOSSLBFGJK-AWEZNQCLSA-N 0 1 291.355 0.052 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](NC(=O)CN2CCCC2)C1 ZINC001397201854 914094381 /nfs/dbraw/zinc/09/43/81/914094381.db2.gz NOQDOSDGKWMABU-IJLUTSLNSA-N 0 1 278.356 0.005 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2cncn2C)CC1 ZINC001485021217 896715380 /nfs/dbraw/zinc/71/53/80/896715380.db2.gz VLYXARSCNQVYSX-UHFFFAOYSA-N 0 1 290.367 0.000 20 30 CCEDMN N#C[C@H]1CC[C@@H](NC(=O)C(=O)N[C@@H]2CCN(C3CC3)C2)C1 ZINC001326700903 914104797 /nfs/dbraw/zinc/10/47/97/914104797.db2.gz GWNGRTVGRKRHRH-QJPTWQEYSA-N 0 1 290.367 0.148 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)CCC(=O)NCCC ZINC001485377783 897009001 /nfs/dbraw/zinc/00/90/01/897009001.db2.gz PXZBZDAUIKIGHO-CYBMUJFWSA-N 0 1 281.400 0.753 20 30 CCEDMN C=CCOCCN1CC([C@H](C)NC(=O)c2ccnnc2)C1 ZINC001485524892 897106561 /nfs/dbraw/zinc/10/65/61/897106561.db2.gz VGIRDHOJEJAKDE-LBPRGKRZSA-N 0 1 290.367 0.729 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@H](CNCc2nnnn2C)C1 ZINC001485677708 897178019 /nfs/dbraw/zinc/17/80/19/897178019.db2.gz XVUBGMCDYQFOBM-XYPYZODXSA-N 0 1 278.360 0.161 20 30 CCEDMN C#CC[N@@H+](C)CCOCCNC(=O)c1cc(C)[nH]c1C ZINC001485777852 897228937 /nfs/dbraw/zinc/22/89/37/897228937.db2.gz ZTYQEHWPSJISLG-UHFFFAOYSA-N 0 1 277.368 0.943 20 30 CCEDMN C#CC[N@@H+](C)CCOCCNC(=O)c1ccoc1 ZINC001485769654 897232660 /nfs/dbraw/zinc/23/26/60/897232660.db2.gz HKRPKASENRPVSL-UHFFFAOYSA-N 0 1 250.298 0.591 20 30 CCEDMN Cc1cc(C(=O)N2C[C@H]3[C@@H](C2)[C@@H]3NC(=O)[C@H](C)C#N)n[nH]1 ZINC001397351843 914143432 /nfs/dbraw/zinc/14/34/32/914143432.db2.gz LFKFESVGTLFPIX-BXSSEVMYSA-N 0 1 287.323 0.064 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)c1ncn[nH]1 ZINC001077739709 897382281 /nfs/dbraw/zinc/38/22/81/897382281.db2.gz GXHLRBFQPWIEPB-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C=CC(C)(C)C(=O)NCC[C@H](C)NC(=O)c1nc[nH]n1 ZINC001077739709 897382294 /nfs/dbraw/zinc/38/22/94/897382294.db2.gz GXHLRBFQPWIEPB-VIFPVBQESA-N 0 1 279.344 0.642 20 30 CCEDMN C=C(C)CCN1C[C@@H]2C[C@H]1CN2C(=O)c1ncn(C)n1 ZINC001032389041 897410154 /nfs/dbraw/zinc/41/01/54/897410154.db2.gz DMHMIDNFMCHSMN-RYUDHWBXSA-N 0 1 275.356 0.680 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@]1(C)CN(CCC)CCO1 ZINC001107903784 897473642 /nfs/dbraw/zinc/47/36/42/897473642.db2.gz XMRLBHHUZAYBSA-GJZGRUSLSA-N 0 1 284.400 0.931 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)CC(C)(C)O)C1 ZINC001107905586 897477017 /nfs/dbraw/zinc/47/70/17/897477017.db2.gz CFAGLJZYEWBNGG-OAHLLOKOSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2Cc2c[nH]nc2C)C1=O ZINC001272754846 897526968 /nfs/dbraw/zinc/52/69/68/897526968.db2.gz NLFSMDXAOGNHRZ-OAHLLOKOSA-N 0 1 272.352 0.918 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3ccon3)C2)C1=O ZINC001272756280 897539881 /nfs/dbraw/zinc/53/98/81/897539881.db2.gz COHIWANOWBJQQX-CQSZACIVSA-N 0 1 259.309 0.732 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1cccn2nnnc12 ZINC001032423481 897547423 /nfs/dbraw/zinc/54/74/23/897547423.db2.gz OUVFBTWBPOETPI-RYUDHWBXSA-N 0 1 298.350 0.599 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1OCCO[C@H]1C ZINC001032453008 897587326 /nfs/dbraw/zinc/58/73/26/897587326.db2.gz QEBOFSFMIXQWJL-XUXIUFHCSA-N 0 1 280.368 0.652 20 30 CCEDMN C#CCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCc2nc(C)[nH]c2C1 ZINC001032485353 897638876 /nfs/dbraw/zinc/63/88/76/897638876.db2.gz LGGGDTMFPWJIQE-RDBSUJKOSA-N 0 1 298.390 0.741 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCc1cnn(C)n1 ZINC001032631391 897849567 /nfs/dbraw/zinc/84/95/67/897849567.db2.gz NKHAUEPPIUYPPB-KBPBESRZSA-N 0 1 287.367 0.056 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)CCNC(=O)c1ncn[nH]1 ZINC001077830423 897882136 /nfs/dbraw/zinc/88/21/36/897882136.db2.gz PYQKIVKHOPXJIN-NSHDSACASA-N 0 1 291.355 0.623 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)CCNC(=O)c1nc[nH]n1 ZINC001077830423 897882146 /nfs/dbraw/zinc/88/21/46/897882146.db2.gz PYQKIVKHOPXJIN-NSHDSACASA-N 0 1 291.355 0.623 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)Cn1ccc(C)cc1=O ZINC001032656794 897903522 /nfs/dbraw/zinc/90/35/22/897903522.db2.gz MCTQXIBUFIJQQT-GJZGRUSLSA-N 0 1 299.374 0.465 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2(C)CCOCC2)C1 ZINC001077842306 897984980 /nfs/dbraw/zinc/98/49/80/897984980.db2.gz LOTLRGYFSRGDQP-CHWSQXEVSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2C[C@H]2c2cccnc2)[C@@H](O)C1 ZINC001083795574 898050904 /nfs/dbraw/zinc/05/09/04/898050904.db2.gz JPCPJNGFZKROML-JJXSEGSLSA-N 0 1 299.374 0.370 20 30 CCEDMN C=CCCCN1CCOC[C@H]1CNC(=O)c1cnn[nH]1 ZINC001272869582 898098371 /nfs/dbraw/zinc/09/83/71/898098371.db2.gz UIWHMZRWWOKJQD-LLVKDONJSA-N 0 1 279.344 0.202 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cn(CC)cn2)C1 ZINC001078014204 898182649 /nfs/dbraw/zinc/18/26/49/898182649.db2.gz NYMFFSAULZBFEW-DGCLKSJQSA-N 0 1 278.356 0.254 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccc(F)cc2)C1 ZINC001078108670 898237559 /nfs/dbraw/zinc/23/75/59/898237559.db2.gz XPYODDRASUXCFB-HUUCEWRRSA-N 0 1 290.338 0.553 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@H](C)NC(=O)[C@@H]1CCCCN1C ZINC001078136564 898262505 /nfs/dbraw/zinc/26/25/05/898262505.db2.gz PNOMAXPVNPGIRZ-AVGNSLFASA-N 0 1 294.399 0.641 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCC(=O)N(C)C1 ZINC001032803807 898390620 /nfs/dbraw/zinc/39/06/20/898390620.db2.gz MCOGCINOZDZMFH-RDBSUJKOSA-N 0 1 291.395 0.716 20 30 CCEDMN Cc1nsc(C)c1CN[C@H](CO)CNC(=O)[C@@H](C)C#N ZINC001485982313 898568220 /nfs/dbraw/zinc/56/82/20/898568220.db2.gz LAHYMQZXPWEPAW-KWQFWETISA-N 0 1 296.396 0.486 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](CO)NCc1ccsc1 ZINC001485991080 898580655 /nfs/dbraw/zinc/58/06/55/898580655.db2.gz NTNRPAVSYBSWJC-JSGCOSHPSA-N 0 1 298.408 0.642 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@H](C)Oc1cccc(F)c1 ZINC001485999255 898586050 /nfs/dbraw/zinc/58/60/50/898586050.db2.gz UQZFSHAOPSRDIU-WCQYABFASA-N 0 1 294.326 0.293 20 30 CCEDMN C=C(Cl)CN1CC[C@H]1CN(C)C(=O)Cc1ncn[nH]1 ZINC001397554429 914267187 /nfs/dbraw/zinc/26/71/87/914267187.db2.gz PDLOKOWJQCVUOX-JTQLQIEISA-N 0 1 283.763 0.632 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cnn(CC(C)C)c1 ZINC001486046992 898611174 /nfs/dbraw/zinc/61/11/74/898611174.db2.gz CFDRNJPWZMHDAY-CQSZACIVSA-N 0 1 292.383 0.243 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCOc1ccccc1C ZINC001486061720 898629009 /nfs/dbraw/zinc/62/90/09/898629009.db2.gz POWBIELIDYYDEX-CQSZACIVSA-N 0 1 290.363 0.464 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)/C=C/c1ccco1 ZINC001486323435 898778139 /nfs/dbraw/zinc/77/81/39/898778139.db2.gz KGABHPYFZRPJLY-GWJCSSMESA-N 0 1 276.336 0.677 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ccoc1 ZINC001486323334 898785985 /nfs/dbraw/zinc/78/59/85/898785985.db2.gz CDEUKYBRVFAUOM-GFCCVEGCSA-N 0 1 250.298 0.278 20 30 CCEDMN C=CCN(CCNC(=O)c1ncoc1C)CCOC ZINC001486426440 898863734 /nfs/dbraw/zinc/86/37/34/898863734.db2.gz MHPFOMUFIRWWBG-UHFFFAOYSA-N 0 1 267.329 0.847 20 30 CCEDMN C#CCN1CC=C(CNC(=O)C2(C(=O)NC)CCC2)CC1 ZINC001486518917 898919435 /nfs/dbraw/zinc/91/94/35/898919435.db2.gz BEKINEBGYJCMMS-UHFFFAOYSA-N 0 1 289.379 0.284 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001486957296 899083326 /nfs/dbraw/zinc/08/33/26/899083326.db2.gz NCQSDEUCHNQLBL-SECBINFHSA-N 0 1 279.344 0.594 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001486957296 899083342 /nfs/dbraw/zinc/08/33/42/899083342.db2.gz NCQSDEUCHNQLBL-SECBINFHSA-N 0 1 279.344 0.594 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H]1CN(C(=O)c2ncc[nH]2)CCO1 ZINC001410162572 899249365 /nfs/dbraw/zinc/24/93/65/899249365.db2.gz USNPAVXPABMVTQ-GHMZBOCLSA-N 0 1 298.774 0.981 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H](CC)NC(C)=O)C1 ZINC001324647056 899812466 /nfs/dbraw/zinc/81/24/66/899812466.db2.gz KWYAKXYVSXGJOG-UKRRQHHQSA-N 0 1 279.384 0.505 20 30 CCEDMN N#CC1(CNS(=O)(=O)N=S2(=O)CCCC2)CC1 ZINC000867221636 900060927 /nfs/dbraw/zinc/06/09/27/900060927.db2.gz CAXWMJQXPWKKET-UHFFFAOYSA-N 0 1 277.371 0.386 20 30 CCEDMN CC#CC[N@@H+](C)CCCN(C)C(=O)C[C@@H]1CCC(=O)NC1 ZINC001327212859 914420329 /nfs/dbraw/zinc/42/03/29/914420329.db2.gz JVGDYPRFXQXHKI-AWEZNQCLSA-N 0 1 293.411 0.706 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCc2ccnn2C)[C@H]1C ZINC001489266983 900456514 /nfs/dbraw/zinc/45/65/14/900456514.db2.gz AQNLKEKAOHCXMY-OCCSQVGLSA-N 0 1 274.368 0.565 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@H]1CCN1C(=O)c1ccn[nH]1 ZINC001489780578 900519791 /nfs/dbraw/zinc/51/97/91/900519791.db2.gz DTVFBVNIINECFU-VHSXEESVSA-N 0 1 275.312 0.242 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)[C@H]1C ZINC001490109220 900541879 /nfs/dbraw/zinc/54/18/79/900541879.db2.gz ASBHYPQCSDFZAP-ZJUUUORDSA-N 0 1 277.328 0.490 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCN(CC(=O)NC1CC1)C1CC1 ZINC001490367044 900610767 /nfs/dbraw/zinc/61/07/67/900610767.db2.gz LGMIPIDBNVRJNV-NSHDSACASA-N 0 1 292.383 0.395 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)CCn2ccnc2)C1 ZINC001490445332 900619336 /nfs/dbraw/zinc/61/93/36/900619336.db2.gz LFRLMABCONCNGA-CQSZACIVSA-N 0 1 274.368 0.877 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N[C@@H]1CCCN(CCO)C1 ZINC001490450739 900625026 /nfs/dbraw/zinc/62/50/26/900625026.db2.gz YJDFSEDQXFDKTR-ZIAGYGMSSA-N 0 1 297.399 0.030 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001490537087 900651029 /nfs/dbraw/zinc/65/10/29/900651029.db2.gz DGZHIVOITKVONN-GDBMZVCRSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CC[C@H](CNC(=O)CCC)C1 ZINC001493172387 900689458 /nfs/dbraw/zinc/68/94/58/900689458.db2.gz OBTCUDQNJZVHLU-CHWSQXEVSA-N 0 1 279.384 0.363 20 30 CCEDMN CC(=O)Nc1cccc(Cn2c(=O)[nH]cc(C#N)c2=O)c1 ZINC001412921201 902360644 /nfs/dbraw/zinc/36/06/44/902360644.db2.gz IIKRDKJXQLKYSH-UHFFFAOYSA-N 0 1 284.275 0.415 20 30 CCEDMN C=CC[NH2+][C@]1(CO)CCCN(C(=O)c2ncccc2[O-])C1 ZINC001327565562 914621415 /nfs/dbraw/zinc/62/14/15/914621415.db2.gz VSNYSTYVQLYFEB-OAHLLOKOSA-N 0 1 291.351 0.530 20 30 CCEDMN N#CC1(C(=O)N[C@H]2CCCN(CCCO)C2)CCOCC1 ZINC001412974565 902416697 /nfs/dbraw/zinc/41/66/97/902416697.db2.gz CWHWWGVBHCNXKT-ZDUSSCGKSA-N 0 1 295.383 0.270 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](C(=O)N(C)C)C1 ZINC001413008984 902470014 /nfs/dbraw/zinc/47/00/14/902470014.db2.gz HCCFQFSKMNPESB-GFCCVEGCSA-N 0 1 280.372 0.159 20 30 CCEDMN C#CC[NH2+][C@]1(CO)CCCN(C(=O)[C@@H]2CCCCN2C)C1 ZINC001327575870 914630039 /nfs/dbraw/zinc/63/00/39/914630039.db2.gz DCQMDODRHQQKBW-GOEBONIOSA-N 0 1 293.411 0.047 20 30 CCEDMN COC(=O)[C@H](CF)NS(=O)(=O)CC1(CC#N)CC1 ZINC001413348425 902897333 /nfs/dbraw/zinc/89/73/33/902897333.db2.gz ATOVVFWHEYIXGF-QMMMGPOBSA-N 0 1 278.305 0.111 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@]1(C)CNC(=O)C1 ZINC001049608369 903032153 /nfs/dbraw/zinc/03/21/53/903032153.db2.gz OWVOBKWORSGIIX-XJKCOSOUSA-N 0 1 289.379 0.211 20 30 CCEDMN COCC(=O)N[C@H]1C[C@H](NCc2ccccc2C#N)C1 ZINC001398533052 914686082 /nfs/dbraw/zinc/68/60/82/914686082.db2.gz NZGPUXZRBZYVOD-HDJSIYSDSA-N 0 1 273.336 0.941 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](CNC(=O)C2(C)CC2)C1 ZINC001491109049 903370606 /nfs/dbraw/zinc/37/06/06/903370606.db2.gz YDXNNYUDNPQAJO-CYBMUJFWSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H](CNC(=O)C2(C)CC2)C1 ZINC001491109050 903371075 /nfs/dbraw/zinc/37/10/75/903371075.db2.gz YDXNNYUDNPQAJO-ZDUSSCGKSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)[C@H]1CCCN(CC(N)=O)C1 ZINC001491287748 903485747 /nfs/dbraw/zinc/48/57/47/903485747.db2.gz IEJBHPXIHGUEKV-KBPBESRZSA-N 0 1 293.411 0.882 20 30 CCEDMN C#CCCCC(=O)NC[C@H](C)NCc1cncc(OC)n1 ZINC001491440083 903596464 /nfs/dbraw/zinc/59/64/64/903596464.db2.gz BAEIYZQDHZRECE-LBPRGKRZSA-N 0 1 290.367 0.883 20 30 CCEDMN CCn1ncc(CN[C@H](C)CCNC(=O)[C@H](C)C#N)n1 ZINC001442238364 903664120 /nfs/dbraw/zinc/66/41/20/903664120.db2.gz AKEKPFZQSQMQFQ-GHMZBOCLSA-N 0 1 278.360 0.442 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001150435535 903760486 /nfs/dbraw/zinc/76/04/86/903760486.db2.gz KCIHOTMJNHTUTG-STQMWFEESA-N 0 1 295.383 0.048 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@@](C)(F)CCCC ZINC001331741503 904013197 /nfs/dbraw/zinc/01/31/97/904013197.db2.gz VFLKESPAHRWSAC-JSGCOSHPSA-N 0 1 272.364 0.995 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC001299565833 904053467 /nfs/dbraw/zinc/05/34/67/904053467.db2.gz WNXVHEOLIOCZFO-CHWSQXEVSA-N 0 1 298.383 0.409 20 30 CCEDMN C=CCCC(=O)N1CC([C@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001280652235 904133237 /nfs/dbraw/zinc/13/32/37/904133237.db2.gz CGRBIQYFKDNAGQ-JTQLQIEISA-N 0 1 291.355 0.277 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@H](NC(=O)CC)CC1 ZINC001280689959 904139692 /nfs/dbraw/zinc/13/96/92/904139692.db2.gz ANUPJHTWTZMWAP-STQMWFEESA-N 0 1 279.384 0.505 20 30 CCEDMN CC#CC[N@H+](C)CCCN(C)C(=O)Cn1cc[nH+]c1C ZINC001280882950 904164536 /nfs/dbraw/zinc/16/45/36/904164536.db2.gz ADYKJHTVVHFZRK-UHFFFAOYSA-N 0 1 276.384 0.995 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CN(C)C(=O)c1[nH]nnc1C ZINC001281032413 904201642 /nfs/dbraw/zinc/20/16/42/904201642.db2.gz NUHYLNTWRZTANW-SNVBAGLBSA-N 0 1 291.355 0.493 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)C(N)=O ZINC001281881298 904360568 /nfs/dbraw/zinc/36/05/68/904360568.db2.gz JQEFMNQOAUKFEB-VIFPVBQESA-N 0 1 259.737 0.195 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1C[C@@H](NCc2nncn2C)C1 ZINC001316615144 904363407 /nfs/dbraw/zinc/36/34/07/904363407.db2.gz HLEPKLAHXBVCMH-BETUJISGSA-N 0 1 289.383 0.459 20 30 CCEDMN C#CC[N@H+](C)C[C@H]1CCCN1C(=O)c1cnns1 ZINC001281915815 904364357 /nfs/dbraw/zinc/36/43/57/904364357.db2.gz FMVCROPJOHUFNH-SNVBAGLBSA-N 0 1 264.354 0.708 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cnns1 ZINC001281915815 904364373 /nfs/dbraw/zinc/36/43/73/904364373.db2.gz FMVCROPJOHUFNH-SNVBAGLBSA-N 0 1 264.354 0.708 20 30 CCEDMN CN(CC#N)C[C@H]1CCCN1C(=O)Cc1ccn[nH]1 ZINC001281928000 904371617 /nfs/dbraw/zinc/37/16/17/904371617.db2.gz HWZYTYUOPJBBPX-GFCCVEGCSA-N 0 1 261.329 0.399 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H]1CC(=O)N(C)C1 ZINC001281940568 904372479 /nfs/dbraw/zinc/37/24/79/904372479.db2.gz UYWUXTFJFQAABW-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)N(C)[C@H]1CCCNC1=O ZINC001282385579 904466758 /nfs/dbraw/zinc/46/67/58/904466758.db2.gz XEFHRZKIOCNGGS-OLZOCXBDSA-N 0 1 279.384 0.361 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@H](CC)NC(C)=O ZINC001282462779 904483770 /nfs/dbraw/zinc/48/37/70/904483770.db2.gz ZCFSOXDEEGQNQO-YPMHNXCESA-N 0 1 267.373 0.361 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)CCNC(=O)CC(C)C ZINC001282504327 904496660 /nfs/dbraw/zinc/49/66/60/904496660.db2.gz NYZGRYWLLKWAJY-AWEZNQCLSA-N 0 1 295.427 0.999 20 30 CCEDMN C=CCCCC(=O)NC[C@@H]1[C@H]2CN(CC(=O)NCC)C[C@H]21 ZINC001282873781 904557904 /nfs/dbraw/zinc/55/79/04/904557904.db2.gz LFMFXSKFDKWIIC-ZSOGYDGISA-N 0 1 293.411 0.773 20 30 CCEDMN CC(C)(C)C#CC(=O)NCCCNC(=O)c1cnn[nH]1 ZINC001283247254 904779150 /nfs/dbraw/zinc/77/91/50/904779150.db2.gz WWUQOCXTDGZVPW-UHFFFAOYSA-N 0 1 277.328 0.090 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@H]1CCO[C@@H]1C ZINC001283416099 904840599 /nfs/dbraw/zinc/84/05/99/904840599.db2.gz JOZKRMIHYWVOOB-KGLIPLIRSA-N 0 1 282.384 0.499 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(CCNC(=O)C1CC1)C1CC1 ZINC001377521207 904964773 /nfs/dbraw/zinc/96/47/73/904964773.db2.gz ZNFQJWMSZOQNTG-LLVKDONJSA-N 0 1 292.383 0.253 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cccc(CC)n1 ZINC001283765828 905002921 /nfs/dbraw/zinc/00/29/21/905002921.db2.gz WTMRAAVHMIMASD-ZDUSSCGKSA-N 0 1 275.352 0.348 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cnoc1-c1ccco1 ZINC001283772043 905010998 /nfs/dbraw/zinc/01/09/98/905010998.db2.gz UKXGZDZIBIJKCL-SNVBAGLBSA-N 0 1 289.291 0.248 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cc(C)c(C)cn1 ZINC001283783794 905015077 /nfs/dbraw/zinc/01/50/77/905015077.db2.gz JVPLPRGBYFGRQH-ZDUSSCGKSA-N 0 1 275.352 0.402 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](CO)NCC(=O)NC(C)(C)C ZINC001283792618 905017503 /nfs/dbraw/zinc/01/75/03/905017503.db2.gz MLUSWAGLNXSOTK-NSHDSACASA-N 0 1 299.415 0.180 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H]1C[C@H]1c1ccccc1 ZINC001283826621 905042852 /nfs/dbraw/zinc/04/28/52/905042852.db2.gz XPEPPMWBIRQOAT-SOUVJXGZSA-N 0 1 272.348 0.490 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001283891910 905076725 /nfs/dbraw/zinc/07/67/25/905076725.db2.gz ZASJNDRQMQLHFH-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CC[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001283891910 905076742 /nfs/dbraw/zinc/07/67/42/905076742.db2.gz ZASJNDRQMQLHFH-MNOVXSKESA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H](C)OC)CCC[C@@H]12 ZINC001284043386 905129034 /nfs/dbraw/zinc/12/90/34/905129034.db2.gz AZMVONSTIPCQQK-OUCADQQQSA-N 0 1 250.342 0.768 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H](CCC)OC ZINC001284504767 905338548 /nfs/dbraw/zinc/33/85/48/905338548.db2.gz HRFHSWBRIDHYLD-KGLIPLIRSA-N 0 1 284.400 0.576 20 30 CCEDMN C=CCCC(=O)N1CC(NC(=O)[C@@H](C)Cc2cnc[nH]2)C1 ZINC001284910152 905484380 /nfs/dbraw/zinc/48/43/80/905484380.db2.gz LSUQAAYKNAKMCF-NSHDSACASA-N 0 1 290.367 0.882 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001285681833 905715591 /nfs/dbraw/zinc/71/55/91/905715591.db2.gz CNDHNRTUGGWDFS-MRVPVSSYSA-N 0 1 265.317 0.251 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001285681833 905715604 /nfs/dbraw/zinc/71/56/04/905715604.db2.gz CNDHNRTUGGWDFS-MRVPVSSYSA-N 0 1 265.317 0.251 20 30 CCEDMN N#Cc1ncn(CCNCc2ccc(Cl)nn2)n1 ZINC001333000752 905878800 /nfs/dbraw/zinc/87/88/00/905878800.db2.gz OKSIVRIRAJUUDK-UHFFFAOYSA-N 0 1 263.692 0.383 20 30 CCEDMN C#C[C@@H]1CCCCN1C(=O)NC[C@H]1CN(C)CCN1C ZINC001333723064 906090679 /nfs/dbraw/zinc/09/06/79/906090679.db2.gz JHMXVGUQQXKVSK-KGLIPLIRSA-N 0 1 278.400 0.430 20 30 CCEDMN C[C@H](C#N)C(=O)NCCCNC(=O)CCc1cnc[nH]1 ZINC001293399735 906471178 /nfs/dbraw/zinc/47/11/78/906471178.db2.gz CQNHWGPSWZOVIX-SNVBAGLBSA-N 0 1 277.328 0.124 20 30 CCEDMN Cc1cc(CNC[C@@H](NC(=O)[C@@H](C)C#N)C2CC2)ncn1 ZINC001379845309 906502806 /nfs/dbraw/zinc/50/28/06/906502806.db2.gz BMLIRVZWWKMYIP-IINYFYTJSA-N 0 1 287.367 0.929 20 30 CCEDMN C[C@@H](O)CCCN1CC[C@@H]1CNC(=O)c1c[nH]c(C#N)c1 ZINC001379961362 906558138 /nfs/dbraw/zinc/55/81/38/906558138.db2.gz GHEBEYNCDBVRFY-BXUZGUMPSA-N 0 1 290.367 0.851 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2ccc(C(N)=O)[nH]2)CC1 ZINC001380140254 906649017 /nfs/dbraw/zinc/64/90/17/906649017.db2.gz XTWMVBNUDWQFCH-UHFFFAOYSA-N 0 1 296.758 0.718 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)CNC(=O)[C@@H]1CCCN1C ZINC001295450670 906734185 /nfs/dbraw/zinc/73/41/85/906734185.db2.gz VVXGEECKWGWHAX-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCC1(C(=O)NC[C@H](C)NC(=O)c2ncn[nH]2)CCC1 ZINC001295638295 906777855 /nfs/dbraw/zinc/77/78/55/906777855.db2.gz OXHVSDABTLDVFF-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN C=CCC1(C(=O)NC[C@H](C)NC(=O)c2nc[nH]n2)CCC1 ZINC001295638295 906777866 /nfs/dbraw/zinc/77/78/66/906777866.db2.gz OXHVSDABTLDVFF-JTQLQIEISA-N 0 1 291.355 0.786 20 30 CCEDMN CC#CCCNC(=O)C(=O)NC[C@@H]1Cc2ccccc2CN1 ZINC001337540758 921267903 /nfs/dbraw/zinc/26/79/03/921267903.db2.gz XKTVXXGDSSBQNG-HNNXBMFYSA-N 0 1 299.374 0.347 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC001296064411 906857086 /nfs/dbraw/zinc/85/70/86/906857086.db2.gz QVBBFQLDFVKRDB-DCAQKATOSA-N 0 1 279.344 0.743 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC001296062918 906857403 /nfs/dbraw/zinc/85/74/03/906857403.db2.gz BLPMQKYVIKZUJA-AEJSXWLSSA-N 0 1 265.317 0.353 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N(C)CCNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC001296064502 906857926 /nfs/dbraw/zinc/85/79/26/906857926.db2.gz DILUFHNZPHUCOZ-GHMZBOCLSA-N 0 1 297.355 0.829 20 30 CCEDMN CCN(CCNC(=O)c1cnn[nH]1)C(=O)C#CC(C)C ZINC001296332282 906902806 /nfs/dbraw/zinc/90/28/06/906902806.db2.gz ZBCCNWIKJMTYPH-UHFFFAOYSA-N 0 1 277.328 0.042 20 30 CCEDMN CCNC(=O)CN1CCC2(CN(C(=O)[C@@H](C)C#N)C2)CC1 ZINC001380799866 906935991 /nfs/dbraw/zinc/93/59/91/906935991.db2.gz XIOMIRGPKYMSTP-LBPRGKRZSA-N 0 1 292.383 0.207 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](C)Cn3ncnc32)CC1 ZINC001337634016 921279692 /nfs/dbraw/zinc/27/96/92/921279692.db2.gz SRZMLZTTZCUALT-LBPRGKRZSA-N 0 1 287.367 0.606 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NCc1nc(CSC)n[nH]1 ZINC001337650793 921281803 /nfs/dbraw/zinc/28/18/03/921281803.db2.gz ITLMJWSJJGEKAC-QMMMGPOBSA-N 0 1 285.373 0.668 20 30 CCEDMN C=C[C@@H](COC)NC(=O)NCc1nnc(CSC)[nH]1 ZINC001337650793 921281806 /nfs/dbraw/zinc/28/18/06/921281806.db2.gz ITLMJWSJJGEKAC-QMMMGPOBSA-N 0 1 285.373 0.668 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001297248885 907045816 /nfs/dbraw/zinc/04/58/16/907045816.db2.gz FELVJDJCKLCCJY-SNVBAGLBSA-N 0 1 291.355 0.595 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001297248885 907045831 /nfs/dbraw/zinc/04/58/31/907045831.db2.gz FELVJDJCKLCCJY-SNVBAGLBSA-N 0 1 291.355 0.595 20 30 CCEDMN C=C(Cl)CN[C@]1(CO)CCCN(C(=O)CCOC)C1 ZINC001381034146 907046485 /nfs/dbraw/zinc/04/64/85/907046485.db2.gz WWPVVKGDRYJSFQ-CYBMUJFWSA-N 0 1 290.791 0.719 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)CNC(=O)[C@H]1CCCN1C ZINC001297728891 907110172 /nfs/dbraw/zinc/11/01/72/907110172.db2.gz BIGOQXYOMLDNOJ-CHWSQXEVSA-N 0 1 281.400 0.915 20 30 CCEDMN N#C[C@H](CO)NC(=O)c1n[nH]c2ccc(Cl)cc21 ZINC001297880360 907125329 /nfs/dbraw/zinc/12/53/29/907125329.db2.gz MCXQNUCZLHDJIX-SSDOTTSWSA-N 0 1 264.672 0.831 20 30 CCEDMN C=CCCOCC(=O)NC[C@@H](C)NC(=O)[C@@H]1CCCN1C ZINC001298557265 907269014 /nfs/dbraw/zinc/26/90/14/907269014.db2.gz RWILUSVLIVXZCJ-OLZOCXBDSA-N 0 1 297.399 0.294 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1COCCO1 ZINC001382082658 907515929 /nfs/dbraw/zinc/51/59/29/907515929.db2.gz ZHNALOYHUCKGCD-WDEREUQCSA-N 0 1 276.764 0.591 20 30 CCEDMN C=CCCCC(=O)N1CCC(NC(=O)c2ncn[nH]2)CC1 ZINC001492124396 907712397 /nfs/dbraw/zinc/71/23/97/907712397.db2.gz DCHGRSNYNHPDPB-UHFFFAOYSA-N 0 1 291.355 0.882 20 30 CCEDMN C=CCCCC(=O)N1CCC(NC(=O)c2nc[nH]n2)CC1 ZINC001492124396 907712408 /nfs/dbraw/zinc/71/24/08/907712408.db2.gz DCHGRSNYNHPDPB-UHFFFAOYSA-N 0 1 291.355 0.882 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H]1CCCNC(=O)c1[n-]nnc1C ZINC001492163315 907722688 /nfs/dbraw/zinc/72/26/88/907722688.db2.gz HCXKSONAQPRCGB-LBPRGKRZSA-N 0 1 275.356 0.721 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1CCCNC(=O)c1[n-]nnc1C ZINC001492163315 907722706 /nfs/dbraw/zinc/72/27/06/907722706.db2.gz HCXKSONAQPRCGB-LBPRGKRZSA-N 0 1 275.356 0.721 20 30 CCEDMN C=CCCC(=O)N1CCC[C@H](NC(=O)Cc2nnc[nH]2)C1 ZINC001492200250 907755460 /nfs/dbraw/zinc/75/54/60/907755460.db2.gz BDFJVWJONPTYFM-NSHDSACASA-N 0 1 291.355 0.421 20 30 CCEDMN CN(CC#N)CCNC(=O)c1cncc2nc[nH]c21 ZINC001492257380 907808959 /nfs/dbraw/zinc/80/89/59/907808959.db2.gz IVIZJJBIGGCZFD-UHFFFAOYSA-N 0 1 258.285 0.143 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H]1CCC[C@@H](OC)C1 ZINC001492393917 907902210 /nfs/dbraw/zinc/90/22/10/907902210.db2.gz MZVWDGAGCZAAQT-CHWSQXEVSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CC[NH+]1CCC(C(=O)NC2(c3nn[n-]n3)CCC2)CC1 ZINC001303222319 908067396 /nfs/dbraw/zinc/06/73/96/908067396.db2.gz RGDYMWDUCDXAJX-UHFFFAOYSA-N 0 1 288.355 0.040 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCCNC(=O)[C@H]2C[C@@H]2C)C1=O ZINC001316841251 908186392 /nfs/dbraw/zinc/18/63/92/908186392.db2.gz LEMNAWRPXJYUQV-IHRRRGAJSA-N 0 1 293.411 0.867 20 30 CCEDMN N#Cc1cncnc1NCc1n[nH]c(-c2ccncc2)n1 ZINC001307144795 908288174 /nfs/dbraw/zinc/28/81/74/908288174.db2.gz ZHOGFGMBTDTNDD-UHFFFAOYSA-N 0 1 278.279 0.562 20 30 CCEDMN CC(C)[C@@](C)(NCC(=O)NC1(C#N)CCC1)C(N)=O ZINC001307755382 908338534 /nfs/dbraw/zinc/33/85/34/908338534.db2.gz AIAJPNWVATYYRA-GFCCVEGCSA-N 0 1 266.345 0.038 20 30 CCEDMN N#Cc1csc(CN[C@@H]2CC[C@H](C(N)=O)C2)n1 ZINC001308433692 908413380 /nfs/dbraw/zinc/41/33/80/908413380.db2.gz OCCLDVLLFAVGTH-JGVFFNPUSA-N 0 1 250.327 0.758 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](N(C)C(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001385362786 908413483 /nfs/dbraw/zinc/41/34/83/908413483.db2.gz AEARRNKPYIRZTE-ONGXEEELSA-N 0 1 289.339 0.551 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](NC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001385608373 908465580 /nfs/dbraw/zinc/46/55/80/908465580.db2.gz BBSUOPIXBUEKEP-WPRPVWTQSA-N 0 1 275.312 0.209 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cn(-c2cccnc2)nn1 ZINC001317478985 908481171 /nfs/dbraw/zinc/48/11/71/908481171.db2.gz FMLVHBMIJJMLPW-UHFFFAOYSA-N 0 1 298.350 0.347 20 30 CCEDMN CC#CC[N@@H+](CC)CCNC(=O)[C@@H]1CCCCN1C(N)=O ZINC001317488014 908493999 /nfs/dbraw/zinc/49/39/99/908493999.db2.gz BUCKAAFPSWTRAH-ZDUSSCGKSA-N 0 1 294.399 0.381 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@@H]1CCCCN1C(N)=O ZINC001317488014 908494005 /nfs/dbraw/zinc/49/40/05/908494005.db2.gz BUCKAAFPSWTRAH-ZDUSSCGKSA-N 0 1 294.399 0.381 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@H](CC)OC)C1 ZINC001316743223 908509551 /nfs/dbraw/zinc/50/95/51/908509551.db2.gz DIMZHMJIVZJADX-KGLIPLIRSA-N 0 1 282.384 0.330 20 30 CCEDMN COCC#CCN1CCC[C@H]1CNC(=O)[C@H]1CCOC1 ZINC001317497649 908531371 /nfs/dbraw/zinc/53/13/71/908531371.db2.gz OFWCGUGCYKWOHA-KBPBESRZSA-N 0 1 280.368 0.253 20 30 CCEDMN C#CC[N@H+](CCO)[C@@H]1CCCN(C(=O)[C@H]2CCCOC2)C1 ZINC001316743976 908559952 /nfs/dbraw/zinc/55/99/52/908559952.db2.gz LFKBAASOEXJCON-LSDHHAIUSA-N 0 1 294.395 0.332 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)[C@H]2CCCOC2)C1 ZINC001316743976 908559964 /nfs/dbraw/zinc/55/99/64/908559964.db2.gz LFKBAASOEXJCON-LSDHHAIUSA-N 0 1 294.395 0.332 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)NC[C@@H]1CCN(CC#N)C1 ZINC001317520102 908585462 /nfs/dbraw/zinc/58/54/62/908585462.db2.gz JJTQSEUUYDVBQQ-QWHCGFSZSA-N 0 1 284.379 0.628 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCN([C@@H](C)C(=O)NC)C2)C1 ZINC001317529950 908599344 /nfs/dbraw/zinc/59/93/44/908599344.db2.gz DCBGFBGUDXGFJR-STQMWFEESA-N 0 1 293.411 0.915 20 30 CCEDMN C#Cc1cccnc1NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001338296294 921423935 /nfs/dbraw/zinc/42/39/35/921423935.db2.gz HORFUOREWUODRA-GOEBONIOSA-N 0 1 287.363 0.701 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@H]1CCN(CC(=O)NC)C1 ZINC001317533977 908612608 /nfs/dbraw/zinc/61/26/08/908612608.db2.gz BNBGHUMMFHISGE-QWHCGFSZSA-N 0 1 281.400 0.773 20 30 CCEDMN CO[C@@]1(C)CCCN(C(=O)NCC#CCN(C)C)C1 ZINC001313439874 908666489 /nfs/dbraw/zinc/66/64/89/908666489.db2.gz WSBMATXPZRAKMI-AWEZNQCLSA-N 0 1 267.373 0.762 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)C#N)NC(=O)c1ccn2cncc2c1 ZINC001386460795 908753192 /nfs/dbraw/zinc/75/31/92/908753192.db2.gz YNVZPHFNXVPXFH-WDEREUQCSA-N 0 1 299.334 0.728 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H](C)NC(=O)c1ccn2cncc2c1 ZINC001386460793 908754217 /nfs/dbraw/zinc/75/42/17/908754217.db2.gz YNVZPHFNXVPXFH-MNOVXSKESA-N 0 1 299.334 0.728 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CNC(=O)CC(C)(C)C)[C@H]1C ZINC001316801935 908777888 /nfs/dbraw/zinc/77/78/88/908777888.db2.gz RJGRRDIOEUFNGH-OLZOCXBDSA-N 0 1 293.411 0.751 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H](C)n2cccc2)CC1 ZINC001316963523 908874109 /nfs/dbraw/zinc/87/41/09/908874109.db2.gz JPOZTXVKANMHBO-HNNXBMFYSA-N 0 1 290.411 0.969 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)C2CC(F)(F)C2)CC1 ZINC001316963842 908876508 /nfs/dbraw/zinc/87/65/08/908876508.db2.gz MNWIJPSGBKYSMH-UHFFFAOYSA-N 0 1 299.365 0.789 20 30 CCEDMN C=CCCC(=O)NC1C[C@H]2CC[C@@H](C1)N2[C@@H](C)C(N)=O ZINC001317097419 908975881 /nfs/dbraw/zinc/97/58/81/908975881.db2.gz DLRJMUAVXOJFNQ-WODVXLOZSA-N 0 1 279.384 0.938 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCCN([C@@H](C)C(=O)NC)C1 ZINC001317128249 908999828 /nfs/dbraw/zinc/99/98/28/908999828.db2.gz MPPIWQRHQFAWPH-STQMWFEESA-N 0 1 297.399 0.152 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NCc2cnnn2CC)C1 ZINC001317298077 909125137 /nfs/dbraw/zinc/12/51/37/909125137.db2.gz BXWYGKAOGFQPAW-LBPRGKRZSA-N 0 1 277.372 0.955 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnn(CCOC)c1C ZINC001317446169 909212158 /nfs/dbraw/zinc/21/21/58/909212158.db2.gz XDZOTCUIXGOBQE-UHFFFAOYSA-N 0 1 292.383 0.523 20 30 CCEDMN C=C(C)CN(C)CCNC(=O)c1cn(C)c(C)cc1=O ZINC001317453384 909223448 /nfs/dbraw/zinc/22/34/48/909223448.db2.gz QZIIQFPSMRPUAQ-UHFFFAOYSA-N 0 1 277.368 0.931 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CC12CCOCC2 ZINC001317474943 909251446 /nfs/dbraw/zinc/25/14/46/909251446.db2.gz FCOPWDXIWGRBPB-ZDUSSCGKSA-N 0 1 264.369 0.874 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCCN(CC)[C@H]1CCNC1=O ZINC001317485817 909256789 /nfs/dbraw/zinc/25/67/89/909256789.db2.gz DRMHBJZXXJBNEX-SWLSCSKDSA-N 0 1 281.400 0.915 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)C[C@H]1CCNC1=O ZINC001317503406 909275687 /nfs/dbraw/zinc/27/56/87/909275687.db2.gz YJBSNRKQSOBGMS-OLZOCXBDSA-N 0 1 277.368 0.117 20 30 CCEDMN COCC#CCN1CC[C@@H](CNC(=O)c2cnccn2)C1 ZINC001317519637 909284848 /nfs/dbraw/zinc/28/48/48/909284848.db2.gz ZGZBUWJGFKBCGP-ZDUSSCGKSA-N 0 1 288.351 0.178 20 30 CCEDMN Cc1cc(C#N)c(=O)[n-]c1C(=O)N[C@@H]1CCC[N@H+](C)C1 ZINC001417639679 921486143 /nfs/dbraw/zinc/48/61/43/921486143.db2.gz KJGCMFBIIKVAEM-LLVKDONJSA-N 0 1 274.324 0.791 20 30 CCEDMN CC[C@H](F)C(=O)NCCN(CC#CCOC)C1CC1 ZINC001317554903 909334450 /nfs/dbraw/zinc/33/44/50/909334450.db2.gz LBUNQMPHBMCYCW-ZDUSSCGKSA-N 0 1 270.348 0.965 20 30 CCEDMN Cc1cc(CNCCN(C)C(=O)C#CC2CC2)ncn1 ZINC001317585261 909388085 /nfs/dbraw/zinc/38/80/85/909388085.db2.gz NTNGFZWGNKWWSQ-UHFFFAOYSA-N 0 1 272.352 0.746 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N(C)CCNCc1cnnn1C ZINC001317623044 909416782 /nfs/dbraw/zinc/41/67/82/909416782.db2.gz HMWIZJJDQMWVEF-AWEZNQCLSA-N 0 1 279.388 0.965 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CC[C@@H](C1)N2[C@H]1CCN(C)C1=O ZINC001317732827 909477321 /nfs/dbraw/zinc/47/73/21/909477321.db2.gz GOYLMLYJROLNKH-MJBXVCDLSA-N 0 1 291.395 0.859 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)C[C@@H]2CCCO2)C1 ZINC001318109090 909622425 /nfs/dbraw/zinc/62/24/25/909622425.db2.gz YQEYVUAAUPUMIY-AWEZNQCLSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)C[C@H]2CCOC2)C1 ZINC001318110912 909629374 /nfs/dbraw/zinc/62/93/74/909629374.db2.gz ZNWBWEQFSZLBTK-CYBMUJFWSA-N 0 1 282.384 0.664 20 30 CCEDMN C=CCOCCN1C[C@@H]2C[C@H]1CN2C(=O)CC(=O)NCC ZINC001318195278 909664321 /nfs/dbraw/zinc/66/43/21/909664321.db2.gz DCWGLJMNJXNDMM-STQMWFEESA-N 0 1 295.383 0.000 20 30 CCEDMN CCON(C)C(=O)CNC[C@@H](O)c1ccc(C#N)cc1 ZINC001338569078 921513373 /nfs/dbraw/zinc/51/33/73/921513373.db2.gz ZTPLXNNMNQKXMP-CYBMUJFWSA-N 0 1 277.324 0.591 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H](C)COC)C1 ZINC001318290555 909692346 /nfs/dbraw/zinc/69/23/46/909692346.db2.gz ASQHPSDIUMNWQK-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)CCOC[C@H]2CCCO2)C1 ZINC001318341725 909712499 /nfs/dbraw/zinc/71/24/99/909712499.db2.gz HBXCWSNUTASOMK-ZIAGYGMSSA-N 0 1 280.368 0.396 20 30 CCEDMN CN(CCNC(=O)c1ncn[nH]1)c1cccc(F)c1C#N ZINC001417677809 921522141 /nfs/dbraw/zinc/52/21/41/921522141.db2.gz UYABSEKTIKZBLO-UHFFFAOYSA-N 0 1 288.286 0.682 20 30 CCEDMN CN(CCNC(=O)c1nc[nH]n1)c1cccc(F)c1C#N ZINC001417677809 921522150 /nfs/dbraw/zinc/52/21/50/921522150.db2.gz UYABSEKTIKZBLO-UHFFFAOYSA-N 0 1 288.286 0.682 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H]1C[N@@H+](CCC(C)C)CCO1 ZINC001319309487 910089128 /nfs/dbraw/zinc/08/91/28/910089128.db2.gz KUULZAUGBOAOSZ-LSDHHAIUSA-N 0 1 296.411 0.888 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H]1CN(CCC(C)C)CCO1 ZINC001319309487 910089143 /nfs/dbraw/zinc/08/91/43/910089143.db2.gz KUULZAUGBOAOSZ-LSDHHAIUSA-N 0 1 296.411 0.888 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCC1(NCC#N)CCCC1 ZINC001319339986 910107344 /nfs/dbraw/zinc/10/73/44/910107344.db2.gz FOLGGIYIGJDYBR-GFCCVEGCSA-N 0 1 264.373 0.623 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](NCc2nnnn2C)[C@@H](C)C1 ZINC001319670989 910252187 /nfs/dbraw/zinc/25/21/87/910252187.db2.gz BFWZPHIHRNDFMX-RYUDHWBXSA-N 0 1 292.387 0.503 20 30 CCEDMN C[C@H]1CCCN(C(=O)CCc2nc[nH]n2)[C@H]1CNCC#N ZINC001319843245 910315864 /nfs/dbraw/zinc/31/58/64/910315864.db2.gz FLNPBCAAYGYTGB-RYUDHWBXSA-N 0 1 290.371 0.478 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C[C@H]1CCCO1 ZINC001319977533 910388936 /nfs/dbraw/zinc/38/89/36/910388936.db2.gz IHYXKLMJURZJSU-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001320069781 910446312 /nfs/dbraw/zinc/44/63/12/910446312.db2.gz ZOMHRTJFQUVSOQ-CQSZACIVSA-N 0 1 293.411 0.658 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@H]2CN(C(=O)C(C)C)CC[C@@H]21 ZINC001320098103 910461667 /nfs/dbraw/zinc/46/16/67/910461667.db2.gz VGKMXENCHLXXSV-KBPBESRZSA-N 0 1 293.411 0.867 20 30 CCEDMN C#CCN(CCN1CCCS1(=O)=O)CC1CC1 ZINC001320134529 910476798 /nfs/dbraw/zinc/47/67/98/910476798.db2.gz DDGWYQMHLLWMIW-UHFFFAOYSA-N 0 1 256.371 0.367 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1ccc(F)nc1 ZINC001320205696 910518815 /nfs/dbraw/zinc/51/88/15/910518815.db2.gz VJCZYUPALLWRDG-JTQLQIEISA-N 0 1 287.722 0.654 20 30 CCEDMN C#CCNC(=O)CNC/C=C\CNC(=O)C[C@@H]1C=CCC1 ZINC001320966284 910961385 /nfs/dbraw/zinc/96/13/85/910961385.db2.gz PCYVYTNZIBNTNK-GEXIGZQTSA-N 0 1 289.379 0.354 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC/C=C\CNCc1ccnn1C ZINC001321039217 911038993 /nfs/dbraw/zinc/03/89/93/911038993.db2.gz OFIDBJSIFOTGMD-IYKSTZQJSA-N 0 1 292.383 0.509 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CN(CCc2ccns2)C1 ZINC001391472283 911162755 /nfs/dbraw/zinc/16/27/55/911162755.db2.gz ICEYONLOTZAEJE-JTQLQIEISA-N 0 1 278.381 0.893 20 30 CCEDMN Cc1noc(CCCN2CC[C@@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001391588553 911248850 /nfs/dbraw/zinc/24/88/50/911248850.db2.gz SWOCXSUEAPZRNB-CMPLNLGQSA-N 0 1 291.355 0.661 20 30 CCEDMN C=CC[C@@H](N[C@H]1CCN(CCOC)C1)C(=O)OCC ZINC001339093496 921648832 /nfs/dbraw/zinc/64/88/32/921648832.db2.gz HJMFNQZLLDZIJF-QWHCGFSZSA-N 0 1 270.373 0.805 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@H](C)C#N)NC(=O)CN1CCCC1 ZINC001391678187 911320752 /nfs/dbraw/zinc/32/07/52/911320752.db2.gz UADVGEXEXITVPL-CHWSQXEVSA-N 0 1 294.399 0.499 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@](C)(CNCc1ccn(C)n1)C1CC1 ZINC001391790888 911403791 /nfs/dbraw/zinc/40/37/91/911403791.db2.gz XNBAXEJNUCXGAS-IAQYHMDHSA-N 0 1 289.383 0.954 20 30 CCEDMN C=CCn1c(CO)nnc1N1CCN(CC)[C@H](C)C1 ZINC001339164306 921671720 /nfs/dbraw/zinc/67/17/20/921671720.db2.gz AREQVOFDNHTKQV-LLVKDONJSA-N 0 1 265.361 0.487 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1coc(C)n1 ZINC001322147336 911679166 /nfs/dbraw/zinc/67/91/66/911679166.db2.gz YQCXMOPICWCWJV-NSHDSACASA-N 0 1 279.340 0.683 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@H](OC)C1CC1 ZINC001322150272 911685242 /nfs/dbraw/zinc/68/52/42/911685242.db2.gz ZGEQJTJEVZZQNQ-WCQYABFASA-N 0 1 252.358 0.871 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1nc(C)oc1C ZINC001322268991 911739529 /nfs/dbraw/zinc/73/95/29/911739529.db2.gz HWZHWDMLOIPXMC-LLVKDONJSA-N 0 1 293.367 0.991 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@H](C)Oc1ccccn1 ZINC001322282223 911742152 /nfs/dbraw/zinc/74/21/52/911742152.db2.gz WLCPRIMUCNLICN-STQMWFEESA-N 0 1 275.352 0.919 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cccn2c(=O)[nH]nc12 ZINC001322281251 911742812 /nfs/dbraw/zinc/74/28/12/911742812.db2.gz MVNQOVCURGZFOL-SNVBAGLBSA-N 0 1 287.323 0.118 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCNCc1ccon1 ZINC001322442100 911806216 /nfs/dbraw/zinc/80/62/16/911806216.db2.gz IWOPLUCVOQINJD-SNVBAGLBSA-N 0 1 250.302 0.772 20 30 CCEDMN COc1ccc(CNC[C@H](C)NC(=O)[C@@H](C)C#N)nn1 ZINC001392548331 911920533 /nfs/dbraw/zinc/92/05/33/911920533.db2.gz CIAYKLNADZWLKB-UWVGGRQHSA-N 0 1 277.328 0.239 20 30 CCEDMN Cc1c(C(=O)NCCn2cnc(C#N)n2)ccc2cncn21 ZINC001322749838 911934000 /nfs/dbraw/zinc/93/40/00/911934000.db2.gz FUIRKQMLJNMWGJ-UHFFFAOYSA-N 0 1 295.306 0.536 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)C[C@@H](C)NC(=O)c1ccc(C#N)[nH]1 ZINC001392654627 912050597 /nfs/dbraw/zinc/05/05/97/912050597.db2.gz XTLQKYCANRPTBM-SKDRFNHKSA-N 0 1 291.355 0.200 20 30 CCEDMN Cc1ccc2nc(CNC(=O)C(=O)NCCCC#N)[nH]c2c1 ZINC001323090921 912110372 /nfs/dbraw/zinc/11/03/72/912110372.db2.gz SATSJEIKDGMIEP-UHFFFAOYSA-N 0 1 299.334 0.908 20 30 CCEDMN CC(=Cc1cnnn1C)c1nc(C)c(C#N)c(=O)[nH]1 ZINC001339482769 921735191 /nfs/dbraw/zinc/73/51/91/921735191.db2.gz RAIDHOLLQCOJCS-DAXSKMNVSA-N 0 1 256.269 0.639 20 30 CCEDMN C=CC[C@H](NC(=O)CCCC[NH+]1CCOCC1)C(=O)[O-] ZINC001339524606 921749786 /nfs/dbraw/zinc/74/97/86/921749786.db2.gz ZNCBHOOWILPBDC-LBPRGKRZSA-N 0 1 284.356 0.634 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)c2cncn2C)CC1 ZINC001328528848 915253717 /nfs/dbraw/zinc/25/37/17/915253717.db2.gz FSNMVZXOJSJWJN-UHFFFAOYSA-N 0 1 278.356 0.163 20 30 CCEDMN C=CCn1c(N2CCN(C(C)C)CC2)nnc1C1(O)CC1 ZINC001339611820 921780484 /nfs/dbraw/zinc/78/04/84/921780484.db2.gz XLJOUCJSFGMNTE-UHFFFAOYSA-N 0 1 291.399 0.976 20 30 CCEDMN C=C[C@H](O)c1nnc(N2CCN(CC)CC2)n1C ZINC001339646562 921785768 /nfs/dbraw/zinc/78/57/68/921785768.db2.gz CTFGFGCZVIQAAO-JTQLQIEISA-N 0 1 251.334 0.176 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@H]1CCCCO1 ZINC001329414917 915897024 /nfs/dbraw/zinc/89/70/24/915897024.db2.gz MNKCQTPUFZNBGM-ZIAGYGMSSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cnn(C)c1-n1cccc1 ZINC001329500303 915969897 /nfs/dbraw/zinc/96/98/97/915969897.db2.gz GYUHAWMKMAJJGK-ZDUSSCGKSA-N 0 1 299.378 0.894 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@@](C)(NC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001400928860 915991060 /nfs/dbraw/zinc/99/10/60/915991060.db2.gz PTTFPTQIEFXTDX-YMTOWFKASA-N 0 1 289.339 0.599 20 30 CCEDMN C=C(Br)CNC[C@H](O)CNC(=O)C1CC1 ZINC001401494522 916283443 /nfs/dbraw/zinc/28/34/43/916283443.db2.gz TXGKBVGONXQNLO-VIFPVBQESA-N 0 1 277.162 0.372 20 30 CCEDMN C=C(Br)CNC[C@@H](O)CNC(=O)[C@H]1C[C@@H]1C ZINC001401559200 916326866 /nfs/dbraw/zinc/32/68/66/916326866.db2.gz PDNDGBDDBFZVPF-SFGNSQDASA-N 0 1 291.189 0.618 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)CNC(=O)CC)C1 ZINC001329972865 916327711 /nfs/dbraw/zinc/32/77/11/916327711.db2.gz SNSKMQWPJUOARD-JTQLQIEISA-N 0 1 253.346 0.135 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1COCCN1CC ZINC001401631624 916408897 /nfs/dbraw/zinc/40/88/97/916408897.db2.gz RJIRPDHJOKOPLU-NEPJUHHUSA-N 0 1 289.807 0.554 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2ncccn2)C(C)(C)C1 ZINC001330242201 916502429 /nfs/dbraw/zinc/50/24/29/916502429.db2.gz KTSZOMXRIWXCAX-ZDUSSCGKSA-N 0 1 286.379 0.869 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CN(C)CCN1CCCC1=O ZINC001401738927 916538448 /nfs/dbraw/zinc/53/84/48/916538448.db2.gz WDRQJLIDNPZORD-RYUDHWBXSA-N 0 1 280.372 0.205 20 30 CCEDMN C[C@@H](CC#N)C(=O)NCC(C)(C)N1CCN(C)CC1 ZINC001330394156 916607375 /nfs/dbraw/zinc/60/73/75/916607375.db2.gz MJAZAQAZYIRIRI-LBPRGKRZSA-N 0 1 266.389 0.678 20 30 CCEDMN C=C[C@H](COC)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC001330459272 916642476 /nfs/dbraw/zinc/64/24/76/916642476.db2.gz LTYKYNGQELGLJU-SNVBAGLBSA-N 0 1 299.352 0.384 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCN(CCc2ccnn2C)C1 ZINC001402167189 916844907 /nfs/dbraw/zinc/84/49/07/916844907.db2.gz AIZJOOBBIJROBW-NEPJUHHUSA-N 0 1 275.356 0.313 20 30 CCEDMN CNC(=O)CN1CCC(C)(CNC(=O)[C@H](C)C#N)CC1 ZINC001402189863 916859739 /nfs/dbraw/zinc/85/97/39/916859739.db2.gz MQUFBGVYBYNBGM-LLVKDONJSA-N 0 1 280.372 0.110 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](N[C@H](C)c2nncn2C)C1 ZINC001402504762 917061430 /nfs/dbraw/zinc/06/14/30/917061430.db2.gz ZTZYYWQHRDPIRL-GWOFURMSSA-N 0 1 276.344 0.273 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](N[C@@H](C)c2nncn2C)C1 ZINC001402504772 917062477 /nfs/dbraw/zinc/06/24/77/917062477.db2.gz ZTZYYWQHRDPIRL-NAKRPEOUSA-N 0 1 276.344 0.273 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@@H](C)OC ZINC001331103363 917089617 /nfs/dbraw/zinc/08/96/17/917089617.db2.gz XBGJEAACUDOOQY-GFCCVEGCSA-N 0 1 256.346 0.109 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)Cc1ccn(C)n1 ZINC001331123718 917107652 /nfs/dbraw/zinc/10/76/52/917107652.db2.gz YDEJZZJMDJTMCQ-UHFFFAOYSA-N 0 1 292.383 0.051 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@H](C)NCc1cnns1 ZINC001331440580 917337384 /nfs/dbraw/zinc/33/73/84/917337384.db2.gz SYCRUGGKTOIVLY-QWRGUYRKSA-N 0 1 296.396 0.513 20 30 CCEDMN C[C@@H](CN(C)C(=O)C(N)=O)NCc1cc(C#N)ccc1F ZINC001331545954 917407276 /nfs/dbraw/zinc/40/72/76/917407276.db2.gz WDWDNQLTLCZQOQ-VIFPVBQESA-N 0 1 292.314 0.119 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@@H]1CC=CCC1 ZINC001331665938 917492262 /nfs/dbraw/zinc/49/22/62/917492262.db2.gz MACKCHLGBXBJFU-CHWSQXEVSA-N 0 1 250.342 0.433 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1cc(C)nc(C)c1 ZINC001331727646 917551943 /nfs/dbraw/zinc/55/19/43/917551943.db2.gz YZJQESPRIDNRSY-CYBMUJFWSA-N 0 1 261.325 0.012 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1cccc(Cl)c1F ZINC001331790991 917609944 /nfs/dbraw/zinc/60/99/44/917609944.db2.gz RNWLMILAJQXURM-LLVKDONJSA-N 0 1 298.745 0.722 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)CNC(=O)NC)C1 ZINC001403789679 918013132 /nfs/dbraw/zinc/01/31/32/918013132.db2.gz TYCNQJKIPKIBHB-UWVGGRQHSA-N 0 1 288.779 0.247 20 30 CCEDMN C=C(C)CS(=O)(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001332299113 918028815 /nfs/dbraw/zinc/02/88/15/918028815.db2.gz BIIXTITZDRSFRJ-VIFPVBQESA-N 0 1 286.357 0.304 20 30 CCEDMN C=C(C)CS(=O)(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001332299113 918028827 /nfs/dbraw/zinc/02/88/27/918028827.db2.gz BIIXTITZDRSFRJ-VIFPVBQESA-N 0 1 286.357 0.304 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H](O)C(C)C ZINC001403849305 918065098 /nfs/dbraw/zinc/06/50/98/918065098.db2.gz TXIUMVKJJLCUEJ-GHMZBOCLSA-N 0 1 278.780 0.165 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)Cc1ccc[nH]1 ZINC001403876900 918078504 /nfs/dbraw/zinc/07/85/04/918078504.db2.gz JTDQQVMGSUFUSI-GFCCVEGCSA-N 0 1 285.775 0.719 20 30 CCEDMN N#Cc1csc(CN[C@@H]2CC[C@@H](O)[C@@H](O)CC2)n1 ZINC001332364689 918088520 /nfs/dbraw/zinc/08/85/20/918088520.db2.gz JQAYVSHILSKEAE-UIKWRGBMSA-N 0 1 267.354 0.769 20 30 CCEDMN CC#CCN(C)CCN(C(=O)Cc1nnc(C)[nH]1)C(C)C ZINC001332708176 918395167 /nfs/dbraw/zinc/39/51/67/918395167.db2.gz VSRQTLWNSHZWLV-UHFFFAOYSA-N 0 1 291.399 0.848 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N1CCC[N@@H+](CC(=O)[O-])CC1 ZINC001332798891 918445715 /nfs/dbraw/zinc/44/57/15/918445715.db2.gz WXCIATQMWJOHTO-CYBMUJFWSA-N 0 1 267.329 0.545 20 30 CCEDMN C#CC[C@H]1CCC[N@H+](CCc2cn(CC(=O)[O-])nn2)C1 ZINC001333143009 918685181 /nfs/dbraw/zinc/68/51/81/918685181.db2.gz BHDKZFPRROLTOQ-LBPRGKRZSA-N 0 1 276.340 0.641 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1CN(C)C(=O)N1 ZINC001405260876 918693777 /nfs/dbraw/zinc/69/37/77/918693777.db2.gz ABXLRRDOEUPQDS-ZJUUUORDSA-N 0 1 288.779 0.247 20 30 CCEDMN C=CC[C@@H](NC(=O)CC[NH+]1CCN(C(C)C)CC1)C(=O)[O-] ZINC001333332896 918810881 /nfs/dbraw/zinc/81/08/81/918810881.db2.gz XGHAXDWITXETEW-CYBMUJFWSA-N 0 1 297.399 0.548 20 30 CCEDMN CN(C)C1CN(C(=O)Nc2cc(CC#N)ccn2)C1 ZINC001333484884 918942961 /nfs/dbraw/zinc/94/29/61/918942961.db2.gz QPNREFBKSVTIID-UHFFFAOYSA-N 0 1 259.313 0.925 20 30 CCEDMN C=C(CO)C(=O)N[C@H]1CCCN2CCSC[C@H]12 ZINC001333642352 919065472 /nfs/dbraw/zinc/06/54/72/919065472.db2.gz BIMFYOGAZIRFAG-WDEREUQCSA-N 0 1 256.371 0.231 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)Cc1cscn1 ZINC001406297441 919161814 /nfs/dbraw/zinc/16/18/14/919161814.db2.gz XKPOVKKNVOXXGE-SNVBAGLBSA-N 0 1 289.788 0.505 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)[C@H](C)CNC(=O)C#CC1CC1 ZINC001334265757 919466686 /nfs/dbraw/zinc/46/66/86/919466686.db2.gz SWGZYDFVTZUACZ-SECBINFHSA-N 0 1 289.339 0.103 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)[C@]1(C)CCC(=O)N1 ZINC001407117853 919626703 /nfs/dbraw/zinc/62/67/03/919626703.db2.gz KXOKFOFJOHCWKT-FZMZJTMJSA-N 0 1 299.802 0.988 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001407781174 919886262 /nfs/dbraw/zinc/88/62/62/919886262.db2.gz QBKLXQOKIVBRDV-JQWIXIFHSA-N 0 1 289.339 0.219 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@@H](C)NC(=O)Cc1cnc[nH]1 ZINC001408498477 920205772 /nfs/dbraw/zinc/20/57/72/920205772.db2.gz ZRSSHSSULXISGE-NXEZZACHSA-N 0 1 277.328 0.123 20 30 CCEDMN CCc1nc(CNC(=O)Nc2ccn(CCC#N)n2)n[nH]1 ZINC001414403736 920307646 /nfs/dbraw/zinc/30/76/46/920307646.db2.gz YLRCATXJMLMYMU-UHFFFAOYSA-N 0 1 288.315 0.799 20 30 CCEDMN N#Cc1ccc2c(c1)CN(C(=O)CCc1nn[nH]n1)C2 ZINC001335731090 920404524 /nfs/dbraw/zinc/40/45/24/920404524.db2.gz OJDAEQGBZKSDPL-UHFFFAOYSA-N 0 1 268.280 0.546 20 30 CCEDMN CN(CCN1CCOC(C)(C)C1)C[C@H](O)CC#N ZINC001335966873 920532067 /nfs/dbraw/zinc/53/20/67/920532067.db2.gz LGRXUQYVFSMJJY-GFCCVEGCSA-N 0 1 255.362 0.304 20 30 CCEDMN C=CC[C@H]1CCN1CC(=O)N1CCC(C(N)=O)CC1 ZINC001336476894 920794687 /nfs/dbraw/zinc/79/46/87/920794687.db2.gz LZDDJVOOAPVEKI-LBPRGKRZSA-N 0 1 265.357 0.361 20 30 CCEDMN Cc1[nH]ncc1CCCNC(=O)[C@@H](C)n1cnc(C#N)n1 ZINC001339844174 921847764 /nfs/dbraw/zinc/84/77/64/921847764.db2.gz NNCPOJHCDPGTFE-SNVBAGLBSA-N 0 1 287.327 0.491 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H]1[C@H]1CCCOC1 ZINC001340396842 922073823 /nfs/dbraw/zinc/07/38/23/922073823.db2.gz BHRYGHJUIIMHBT-STQMWFEESA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCNCC(=O)N1CCOC[C@H]1c1noc(C(C)C)n1 ZINC001340450933 922089071 /nfs/dbraw/zinc/08/90/71/922089071.db2.gz ITTGYVAHOOMTGC-NSHDSACASA-N 0 1 292.339 0.316 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCCCNC2=O)CC1 ZINC001340538475 922114371 /nfs/dbraw/zinc/11/43/71/922114371.db2.gz HXHAFTDGOUJNMX-ZDUSSCGKSA-N 0 1 292.383 0.052 20 30 CCEDMN N#CC[C@@H](O)CN1CCC(NC(=O)C2CC2)CC1 ZINC001340899859 922263879 /nfs/dbraw/zinc/26/38/79/922263879.db2.gz LJABEXBVBNREPF-GFCCVEGCSA-N 0 1 251.330 0.252 20 30 CCEDMN Cc1nonc1CN[C@@H]1CC[C@H](CNC(=O)[C@@H](C)C#N)C1 ZINC001418717509 922383099 /nfs/dbraw/zinc/38/30/99/922383099.db2.gz FTEWADQBUCVZIU-ZMLRMANQSA-N 0 1 291.355 0.912 20 30 CCEDMN C#CCNCC(=O)N[C@H](CC1CC1)C(=O)OCC ZINC001341270437 922405126 /nfs/dbraw/zinc/40/51/26/922405126.db2.gz MTXGVJSBOFWKBD-LLVKDONJSA-N 0 1 252.314 0.057 20 30 CCEDMN Cc1nc([C@@H](C)N(CCNC(=O)[C@@H](C)C#N)C2CC2)n[nH]1 ZINC001418748997 922406835 /nfs/dbraw/zinc/40/68/35/922406835.db2.gz AQYWMYWGCWGZRH-VHSXEESVSA-N 0 1 290.371 0.914 20 30 CCEDMN Cc1nc(CN2CC[C@H](NC(=O)[C@@H](C)C#N)[C@H]2C)n[nH]1 ZINC001418788577 922423593 /nfs/dbraw/zinc/42/35/93/922423593.db2.gz ZWMMAOMGQHGZEJ-NGZCFLSTSA-N 0 1 276.344 0.352 20 30 CCEDMN C=C[C@H](O)c1nnc(N2CCN(C(C)C)CC2)n1C ZINC001341343742 922433757 /nfs/dbraw/zinc/43/37/57/922433757.db2.gz KFBUJDFBRHNZMY-NSHDSACASA-N 0 1 265.361 0.565 20 30 CCEDMN N#C[C@@H]1CCCN(C(=O)[C@H](N)Cc2ccccn2)C1 ZINC001341728937 922648274 /nfs/dbraw/zinc/64/82/74/922648274.db2.gz HKLBEOMMQRQOIR-WCQYABFASA-N 0 1 258.325 0.714 20 30 CCEDMN C#CCNCC(=O)NC[C@H]1CCCN1CC(F)(F)F ZINC001341794206 922676642 /nfs/dbraw/zinc/67/66/42/922676642.db2.gz BTEDTTZOMMFFST-SNVBAGLBSA-N 0 1 277.290 0.352 20 30 CCEDMN C#CCNCC(=O)N1CC[C@H](Oc2ccccc2)C1 ZINC001341897809 922713405 /nfs/dbraw/zinc/71/34/05/922713405.db2.gz OJSBGDQZAGDMBP-AWEZNQCLSA-N 0 1 258.321 0.889 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1ccc(=O)[nH]n1 ZINC001419700847 923004942 /nfs/dbraw/zinc/00/49/42/923004942.db2.gz VHCXYGCPHUYWTL-QMMMGPOBSA-N 0 1 270.720 0.643 20 30 CCEDMN C#CCNCC(=O)N[C@@H](c1nccn1C)C(F)(F)F ZINC001343030608 923173804 /nfs/dbraw/zinc/17/38/04/923173804.db2.gz OYECXYVTYZMELJ-VIFPVBQESA-N 0 1 274.246 0.363 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1)C1CC1 ZINC001343102936 923199996 /nfs/dbraw/zinc/19/99/96/923199996.db2.gz DJNRJOITRUHMTC-RYUDHWBXSA-N 0 1 291.395 0.905 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C)c1ccc2c(c1)CC(=O)N2 ZINC001343161641 923222433 /nfs/dbraw/zinc/22/24/33/923222433.db2.gz AVFGYDIHIVOABZ-JTQLQIEISA-N 0 1 271.320 0.581 20 30 CCEDMN C#CCNCC(=O)N1CCCC[C@@H]1c1noc(CC)n1 ZINC001343195959 923234079 /nfs/dbraw/zinc/23/40/79/923234079.db2.gz HZNKBXXSOJHDOU-LLVKDONJSA-N 0 1 276.340 0.908 20 30 CCEDMN N#C[C@H]1C[NH2+]C[C@@H]1NC(=O)c1ccc(Cl)cc1[O-] ZINC001343469314 923331700 /nfs/dbraw/zinc/33/17/00/923331700.db2.gz WWPNQRTYOXZVOZ-XVKPBYJWSA-N 0 1 265.700 0.887 20 30 CCEDMN N#C[C@H]1C[NH2+]C[C@H]1NC(=O)c1ccc(Cl)cc1[O-] ZINC001343469312 923332472 /nfs/dbraw/zinc/33/24/72/923332472.db2.gz WWPNQRTYOXZVOZ-OIBJUYFYSA-N 0 1 265.700 0.887 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cccnc1C ZINC001420148475 923347498 /nfs/dbraw/zinc/34/74/98/923347498.db2.gz BYJRYZRJZWYOQY-LLVKDONJSA-N 0 1 283.759 0.823 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H]1CC1(F)F ZINC001343563123 923371702 /nfs/dbraw/zinc/37/17/02/923371702.db2.gz IZIMHROVULDTBC-YUMQZZPRSA-N 0 1 260.240 0.503 20 30 CCEDMN C#CCNCC(=O)N1CCN([C@@H](C)c2nccs2)CC1 ZINC001343591944 923386997 /nfs/dbraw/zinc/38/69/97/923386997.db2.gz JPVXFDJZPMRBDL-LBPRGKRZSA-N 0 1 292.408 0.571 20 30 CCEDMN C=C(C)Cn1c(CO)nnc1N1CCN(CC)[C@H](C)C1 ZINC001343603129 923387178 /nfs/dbraw/zinc/38/71/78/923387178.db2.gz OGBKEHOTOLTLSR-GFCCVEGCSA-N 0 1 279.388 0.877 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)CCc1cnccn1 ZINC001420188433 923388629 /nfs/dbraw/zinc/38/86/29/923388629.db2.gz BDNMVWQQEAGHAM-LBPRGKRZSA-N 0 1 298.774 0.228 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C(C)(C)COC ZINC001420253283 923459761 /nfs/dbraw/zinc/45/97/61/923459761.db2.gz GSLZJLIZKBJJJM-JTQLQIEISA-N 0 1 278.780 0.478 20 30 CCEDMN COc1cc(C(=O)C(C#N)c2nccn2C)ncn1 ZINC001343841421 923482534 /nfs/dbraw/zinc/48/25/34/923482534.db2.gz FHMGEMGJOFOBTD-QMMMGPOBSA-N 0 1 257.253 0.709 20 30 CCEDMN COc1cc(C(=O)[C@H](C#N)c2nccn2C)ncn1 ZINC001343841421 923482537 /nfs/dbraw/zinc/48/25/37/923482537.db2.gz FHMGEMGJOFOBTD-QMMMGPOBSA-N 0 1 257.253 0.709 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1CCC(=O)N1C ZINC001420332146 923552953 /nfs/dbraw/zinc/55/29/53/923552953.db2.gz QGTDIQNODOLFFN-ZJUUUORDSA-N 0 1 273.764 0.454 20 30 CCEDMN C=CCCC(=O)N(C)C[C@H]1CCN1C(=O)c1[nH]nnc1C ZINC001344145393 923562504 /nfs/dbraw/zinc/56/25/04/923562504.db2.gz CGLKIBUVBQHJAT-LLVKDONJSA-N 0 1 291.355 0.752 20 30 CCEDMN N#CC(C(=O)CCn1cnnn1)c1cccc(F)n1 ZINC001345039675 923772807 /nfs/dbraw/zinc/77/28/07/923772807.db2.gz RSPCKSJFEOOHIO-QMMMGPOBSA-N 0 1 260.232 0.474 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N1C[C@H](C)NC[C@@H]1C ZINC001345170304 923817953 /nfs/dbraw/zinc/81/79/53/923817953.db2.gz OIQXMKFGJRQWEW-RYUDHWBXSA-N 0 1 265.357 0.115 20 30 CCEDMN C#CCN1CCC(OC(=O)C2(C(=O)OCC)CC2)CC1 ZINC001345672851 923944777 /nfs/dbraw/zinc/94/47/77/923944777.db2.gz BYXQWYKQOLWJOT-UHFFFAOYSA-N 0 1 279.336 0.971 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnc(C2CC2)s1 ZINC001345830845 923989330 /nfs/dbraw/zinc/98/93/30/923989330.db2.gz MVIWEFFHQFQZOS-BDAKNGLRSA-N 0 1 262.338 0.862 20 30 CCEDMN C[C@H]1CN2CCN1C[C@@H]2C(=O)N(C)C1(C#N)CCC1 ZINC001346228866 924121761 /nfs/dbraw/zinc/12/17/61/924121761.db2.gz LWXUXPCRWOAMPG-NWDGAFQWSA-N 0 1 262.357 0.279 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@]1(C)CCN(CCn2cncn2)C1 ZINC001421182057 924195255 /nfs/dbraw/zinc/19/52/55/924195255.db2.gz FLAVASANBBGAOG-DGCLKSJQSA-N 0 1 276.344 0.018 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)CCCCC#N ZINC001346453740 924220379 /nfs/dbraw/zinc/22/03/79/924220379.db2.gz ZTPUPUDCOXGYFF-CYBMUJFWSA-N 0 1 266.389 0.822 20 30 CCEDMN C#CCOCCNC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001346748713 924302353 /nfs/dbraw/zinc/30/23/53/924302353.db2.gz LMCNDSYYPULDEA-UHFFFAOYSA-N 0 1 292.339 0.007 20 30 CCEDMN C=CC[C@H](CC)NC(=O)[C@H]1CN2CCN1C[C@@H]2C ZINC001347545748 924476976 /nfs/dbraw/zinc/47/69/76/924476976.db2.gz WVNVAOSBDYOKSH-RWMBFGLXSA-N 0 1 251.374 0.846 20 30 CCEDMN C[N@@H+](CCO)CCNc1cc(C#N)cc(Cl)n1 ZINC001348313085 924649446 /nfs/dbraw/zinc/64/94/46/924649446.db2.gz XXWDBDBVJSLABF-UHFFFAOYSA-N 0 1 254.721 0.943 20 30 CCEDMN C=C(C)C[NH+]1CCN([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001348437172 924687520 /nfs/dbraw/zinc/68/75/20/924687520.db2.gz TVBGZVGODNCKES-CYBMUJFWSA-N 0 1 254.330 0.424 20 30 CCEDMN C=C(CC)CNC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001348817289 924813769 /nfs/dbraw/zinc/81/37/69/924813769.db2.gz RGEGFAOJHQYHEW-DZGCQCFKSA-N 0 1 297.399 0.478 20 30 CCEDMN C=C(C)CCC(=O)NCCNC(=O)C1=NC(=O)N(C)C1 ZINC001349142359 924891577 /nfs/dbraw/zinc/89/15/77/924891577.db2.gz BQYKWNMFSRAEFN-UHFFFAOYSA-N 0 1 280.328 0.328 20 30 CCEDMN C=CCC1(O)CN(C(=O)[C@H]2CCCN2C2CC2)C1 ZINC001349362541 924938802 /nfs/dbraw/zinc/93/88/02/924938802.db2.gz FNWOQVVQXDBRQE-GFCCVEGCSA-N 0 1 250.342 0.763 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCNC(=O)c1ncn[nH]1 ZINC001349453657 924963285 /nfs/dbraw/zinc/96/32/85/924963285.db2.gz ZDJCACWDRXFFSA-UHFFFAOYSA-N 0 1 265.317 0.253 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCNC(=O)c1nc[nH]n1 ZINC001349453657 924963289 /nfs/dbraw/zinc/96/32/89/924963289.db2.gz ZDJCACWDRXFFSA-UHFFFAOYSA-N 0 1 265.317 0.253 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCC2(CCNC2=O)CC1 ZINC001349700030 925026913 /nfs/dbraw/zinc/02/69/13/925026913.db2.gz QVXWKYRYJHIUBP-NSHDSACASA-N 0 1 266.341 0.706 20 30 CCEDMN C#CCN1CCC(OC(=O)CN2CCSC2=O)CC1 ZINC001349770147 925050379 /nfs/dbraw/zinc/05/03/79/925050379.db2.gz FERPUUWYGRUZKD-UHFFFAOYSA-N 0 1 282.365 0.796 20 30 CCEDMN CC[C@@H](C#N)C(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001349811608 925066352 /nfs/dbraw/zinc/06/63/52/925066352.db2.gz MAQKMIKTBKJJBK-IUCAKERBSA-N 0 1 263.301 0.822 20 30 CCEDMN C=CCC1(O)CN(C(=O)[C@@H](C)CN2CCOCC2)C1 ZINC001350583911 925230389 /nfs/dbraw/zinc/23/03/89/925230389.db2.gz OVTRPPCAIIYTBL-LBPRGKRZSA-N 0 1 268.357 0.104 20 30 CCEDMN C#CCN(C)c1nnc(C2CCN(CC#C)CC2)n1C ZINC001350759662 925271540 /nfs/dbraw/zinc/27/15/40/925271540.db2.gz PBHMDJGJBSZVOQ-UHFFFAOYSA-N 0 1 271.368 0.697 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@@H]1CC[C@H](CCC(=O)[O-])O1 ZINC001350801856 925284047 /nfs/dbraw/zinc/28/40/47/925284047.db2.gz WLXZBTSYFROTNV-OLZOCXBDSA-N 0 1 296.367 0.470 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](NC(=O)C#CC(C)C)C2)n[nH]1 ZINC001352815941 925649776 /nfs/dbraw/zinc/64/97/76/925649776.db2.gz RRMLPHFHDBIZIS-GFCCVEGCSA-N 0 1 288.351 0.708 20 30 CCEDMN C#CCC1(NC(=O)[C@@H]2CN3CCN2C[C@H]3C)CCOCC1 ZINC001352899050 925668091 /nfs/dbraw/zinc/66/80/91/925668091.db2.gz BMDFUJMJMZMHFV-KGLIPLIRSA-N 0 1 291.395 0.063 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)/C=C/c1cncc(F)c1 ZINC001353074452 925723465 /nfs/dbraw/zinc/72/34/65/925723465.db2.gz XJPIHQDOMMCVOW-QNDCKANFSA-N 0 1 260.272 0.462 20 30 CCEDMN C#CCCCC(=O)NCC1CC(NCc2cnn(C)n2)C1 ZINC001100283653 925796176 /nfs/dbraw/zinc/79/61/76/925796176.db2.gz RLADIZQSKPFPIL-UHFFFAOYSA-N 0 1 289.383 0.603 20 30 CCEDMN C[C@H](NC[C@@H](O)CC1(C#N)CCC1)c1nncn1C ZINC001353353387 925809673 /nfs/dbraw/zinc/80/96/73/925809673.db2.gz HFYZOXICIRCAFD-QWRGUYRKSA-N 0 1 263.345 0.911 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)NC(=O)[C@@H]1CCCN1C ZINC001354283207 926068710 /nfs/dbraw/zinc/06/87/10/926068710.db2.gz NPRWXKQIMWKYAE-NEPJUHHUSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCO[C@H]1CC[N@H+](C[C@H]2CN=C(c3cnn(C)c3)O2)C1 ZINC001354591465 926135177 /nfs/dbraw/zinc/13/51/77/926135177.db2.gz QTCUJWFPDBKYRJ-UONOGXRCSA-N 0 1 290.367 0.842 20 30 CCEDMN C=CCO[C@H]1CCN(C[C@H]2CN=C(c3cnn(C)c3)O2)C1 ZINC001354591465 926135181 /nfs/dbraw/zinc/13/51/81/926135181.db2.gz QTCUJWFPDBKYRJ-UONOGXRCSA-N 0 1 290.367 0.842 20 30 CCEDMN C=C[C@@](C)(O)c1cn(Cc2[nH]nc3c2COCC3)nn1 ZINC001355414963 926256763 /nfs/dbraw/zinc/25/67/63/926256763.db2.gz NZXJMEHCVJCOGH-CYBMUJFWSA-N 0 1 275.312 0.516 20 30 CCEDMN C=C(CC)Cn1nnnc1N1CCN(CCO)C(C)(C)C1 ZINC001355813570 926315079 /nfs/dbraw/zinc/31/50/79/926315079.db2.gz LHVGWWPJNOKPSP-UHFFFAOYSA-N 0 1 294.403 0.532 20 30 CCEDMN CC(=O)N1CCN(CCNC[C@H](C#N)CCC#N)CC1 ZINC001356570400 926402260 /nfs/dbraw/zinc/40/22/60/926402260.db2.gz SOTVXCFIQDLAQQ-AWEZNQCLSA-N 0 1 277.372 0.184 20 30 CCEDMN C=C(C)CCC(=O)N1CCC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001356993597 926469405 /nfs/dbraw/zinc/46/94/05/926469405.db2.gz UVIURJPHFWHQFK-LLVKDONJSA-N 0 1 291.355 0.882 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)CNC(=O)CN1CCCC1 ZINC001357021177 926477072 /nfs/dbraw/zinc/47/70/72/926477072.db2.gz OTZRACZCVRCNCK-AWEZNQCLSA-N 0 1 293.411 0.610 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H](C(=O)N[C@H](C)CCC)C1 ZINC001357226307 926498521 /nfs/dbraw/zinc/49/85/21/926498521.db2.gz FIPLOPGMKWBDST-ZIAGYGMSSA-N 0 1 293.411 0.753 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cccc2c1OCC2 ZINC001357444654 926532582 /nfs/dbraw/zinc/53/25/82/926532582.db2.gz HXWBBXDNRXAAJG-JQWIXIFHSA-N 0 1 257.293 0.463 20 30 CCEDMN C=CCCC(=O)NCC=CCNC(=O)c1cnn[nH]1 ZINC001357654269 926580795 /nfs/dbraw/zinc/58/07/95/926580795.db2.gz IDOUEWUPFIJUFG-SNAWJCMRSA-N 0 1 263.301 0.173 20 30 CCEDMN C[C@@H](CC#N)N(C)C(=O)CN1CCC(CO)CC1 ZINC001357901964 926608668 /nfs/dbraw/zinc/60/86/68/926608668.db2.gz ZLWHBKYIPGQKAI-NSHDSACASA-N 0 1 253.346 0.451 20 30 CCEDMN C#CCCCC(=O)N[C@@H](C)CNC(=O)[C@H]1CCCCN1C ZINC001358508280 926718102 /nfs/dbraw/zinc/71/81/02/926718102.db2.gz NTPZRVWBLAUEJP-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C=CCCCC(=O)N1CC(N(C)C(=O)Cc2ccn[nH]2)C1 ZINC001358724255 926804450 /nfs/dbraw/zinc/80/44/50/926804450.db2.gz QDJHRKPFUWKXNX-UHFFFAOYSA-N 0 1 290.367 0.978 20 30 CCEDMN C[C@@]1(NC(=O)C#CC2CC2)CCN(C(=O)c2ccn[nH]2)C1 ZINC001358792124 926823587 /nfs/dbraw/zinc/82/35/87/926823587.db2.gz LSFCJFGRWNUNHV-OAHLLOKOSA-N 0 1 286.335 0.544 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)[C@@H](C)NC(C)=O ZINC001421640223 926828907 /nfs/dbraw/zinc/82/89/07/926828907.db2.gz NCSPAVLSOCRYIM-ZYHUDNBSSA-N 0 1 287.791 0.844 20 30 CCEDMN C[C@H](C(=O)N(C)C)N(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001421841290 926940069 /nfs/dbraw/zinc/94/00/69/926940069.db2.gz OTVXZMURVIQADL-NWDGAFQWSA-N 0 1 282.388 0.403 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1cccc2[nH]c(CO)nc21 ZINC001361841376 927378989 /nfs/dbraw/zinc/37/89/89/927378989.db2.gz BNQIKSDTTJEVLW-VIFPVBQESA-N 0 1 286.291 0.420 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)c1ccc(Cc2nc[nH]n2)cc1 ZINC001361845820 927383410 /nfs/dbraw/zinc/38/34/10/927383410.db2.gz QGXHZXYOPMJVJA-ZDUSSCGKSA-N 0 1 297.318 0.760 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CC1CS(=O)(=O)C1 ZINC001422667341 927442363 /nfs/dbraw/zinc/44/23/63/927442363.db2.gz OPRQSEWXPYUFII-VIFPVBQESA-N 0 1 294.804 0.268 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H](CC)NC(C)=O ZINC001422710725 927464682 /nfs/dbraw/zinc/46/46/82/927464682.db2.gz NCFPVWFDWOLVCS-GXSJLCMTSA-N 0 1 275.780 0.748 20 30 CCEDMN C[C@H](CC#N)NC(=O)c1nc[nH]c(=O)c1Br ZINC001361950078 927469345 /nfs/dbraw/zinc/46/93/45/927469345.db2.gz PJHZHZBZOBZORZ-RXMQYKEDSA-N 0 1 285.101 0.977 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H]1CN(C(=O)c2nc[nH]n2)CCO1 ZINC001422861040 927557349 /nfs/dbraw/zinc/55/73/49/927557349.db2.gz RRWLWWCDHNCRJK-ZJUUUORDSA-N 0 1 299.762 0.376 20 30 CCEDMN Cc1n[nH]c(C(=O)N(CCC#N)CCC[NH+](C)C)c1[O-] ZINC001362078256 927590128 /nfs/dbraw/zinc/59/01/28/927590128.db2.gz MTJDZZUCJPGESD-UHFFFAOYSA-N 0 1 279.344 0.731 20 30 CCEDMN C=C(Cl)CN1CC(N(C)C(=O)CCc2nc[nH]n2)C1 ZINC001423404442 927844415 /nfs/dbraw/zinc/84/44/15/927844415.db2.gz RABBVACIOKADCP-UHFFFAOYSA-N 0 1 283.763 0.632 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cocn1 ZINC001423435899 927858508 /nfs/dbraw/zinc/85/85/08/927858508.db2.gz QPGZCLPVUVBVEA-SECBINFHSA-N 0 1 273.720 0.450 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1cncnc1 ZINC001423444603 927861322 /nfs/dbraw/zinc/86/13/22/927861322.db2.gz MNRGKWPTCLSTHC-NSHDSACASA-N 0 1 284.747 0.252 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCCN(C)CC(=O)N1CCC1 ZINC001424273199 928145634 /nfs/dbraw/zinc/14/56/34/928145634.db2.gz IZKRMFZELIJRTL-GFCCVEGCSA-N 0 1 280.372 0.159 20 30 CCEDMN Cc1cc(NC(=O)c2cnncc2O)nn1CCC#N ZINC001362597668 928229920 /nfs/dbraw/zinc/22/99/20/928229920.db2.gz DNPFNNZDIOTQJR-UHFFFAOYSA-N 0 1 272.268 0.853 20 30 CCEDMN N#Cc1ccc(C(=O)NCCN2CCC(O)CC2)cn1 ZINC001362693329 928367390 /nfs/dbraw/zinc/36/73/90/928367390.db2.gz DCASAWHKBGTXIN-UHFFFAOYSA-N 0 1 274.324 0.140 20 30 CCEDMN N#Cc1ccc(CNC(=O)CCc2nn[nH]n2)cc1Cl ZINC001363028173 928777777 /nfs/dbraw/zinc/77/77/77/928777777.db2.gz LDHJHQAGLNPYOP-UHFFFAOYSA-N 0 1 290.714 0.974 20 30 CCEDMN CCOC(=O)[C@H](NC(=O)C(C)C#N)[C@H](O)c1ccncc1 ZINC001363189093 928957990 /nfs/dbraw/zinc/95/79/90/928957990.db2.gz WVDMVMKCAWCXAC-YUSALJHKSA-N 0 1 291.307 0.323 20 30 CCEDMN N#Cc1ccc(C(=O)N2C[C@@H]3CCC[N@@H+]3C[C@@H]2CO)nc1 ZINC001363255610 929031606 /nfs/dbraw/zinc/03/16/06/929031606.db2.gz LSCOAKDDCUTIRY-QWHCGFSZSA-N 0 1 286.335 0.234 20 30 CCEDMN C[C@@]12COC[C@@H]1C[N@@H+](Cc1cc(=O)n3[n-]cc(C#N)c3n1)C2 ZINC001363264735 929049131 /nfs/dbraw/zinc/04/91/31/929049131.db2.gz DBBNRVHWQWCGHX-XHDPSFHLSA-N 0 1 299.334 0.775 20 30 CCEDMN N#Cc1ccnc(C(=O)N2CCC[C@@H](Cc3nn[nH]n3)C2)c1 ZINC001363436154 929226046 /nfs/dbraw/zinc/22/60/46/929226046.db2.gz PQJLQGODWIEOJZ-NSHDSACASA-N 0 1 297.322 0.561 20 30 CCEDMN COCc1nc(CNC(=O)CC2(C#N)CCOCC2)n[nH]1 ZINC001363473172 929277269 /nfs/dbraw/zinc/27/72/69/929277269.db2.gz OFFMYEACNQTGTN-UHFFFAOYSA-N 0 1 293.327 0.278 20 30 CCEDMN COCc1nnc(CNC(=O)CC2(C#N)CCOCC2)[nH]1 ZINC001363473172 929277280 /nfs/dbraw/zinc/27/72/80/929277280.db2.gz OFFMYEACNQTGTN-UHFFFAOYSA-N 0 1 293.327 0.278 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1C[C@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001443537476 929639508 /nfs/dbraw/zinc/63/95/08/929639508.db2.gz OSHIUQZQYLFKDK-DCAQKATOSA-N 0 1 289.339 0.123 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)C[C@@H](O)c1ccccc1 ZINC001371935716 929676098 /nfs/dbraw/zinc/67/60/98/929676098.db2.gz VMWSHUBYENPLPE-TZMCWYRMSA-N 0 1 275.352 0.928 20 30 CCEDMN N#Cc1ccc(CNC(=O)CCCc2nn[nH]n2)cc1 ZINC001444465674 929879559 /nfs/dbraw/zinc/87/95/59/929879559.db2.gz CWZKQPAOZAVTIQ-UHFFFAOYSA-N 0 1 270.296 0.710 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H](C)NC(N)=O ZINC001444597534 929913512 /nfs/dbraw/zinc/91/35/12/929913512.db2.gz PTCWIPLGGAYIQY-IUCAKERBSA-N 0 1 276.768 0.232 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@@H]1CCNC(=O)C1 ZINC001445554663 930168193 /nfs/dbraw/zinc/16/81/93/930168193.db2.gz YPZXRFOTAGCLJI-VHSXEESVSA-N 0 1 273.764 0.359 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)c1cnn(C)n1)C1CC1 ZINC001445998308 930302126 /nfs/dbraw/zinc/30/21/26/930302126.db2.gz YICGGWQLIPLEQT-JTQLQIEISA-N 0 1 283.763 0.666 20 30 CCEDMN CCC(C)(C)NC(=O)CNC1(CNC(=O)[C@@H](C)C#N)CC1 ZINC001446156197 930353328 /nfs/dbraw/zinc/35/33/28/930353328.db2.gz ITSABXHSPSPHIU-NSHDSACASA-N 0 1 294.399 0.689 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H]1CCC(=O)N1 ZINC001374207746 930456369 /nfs/dbraw/zinc/45/63/69/930456369.db2.gz SRRRHXQQZHIVES-VHSXEESVSA-N 0 1 273.764 0.454 20 30 CCEDMN C#CCN(CC#N)C(=O)c1nc[nH]c(=O)c1Br ZINC001448183019 930846902 /nfs/dbraw/zinc/84/69/02/930846902.db2.gz ARTOOTKBDHRPAW-UHFFFAOYSA-N 0 1 295.096 0.544 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CCn2cnnn2)CC1 ZINC001375507242 930901513 /nfs/dbraw/zinc/90/15/13/930901513.db2.gz FAIYZYIYDBUFGL-UHFFFAOYSA-N 0 1 284.751 0.054 20 30 CCEDMN Cc1nnc(CN[C@H]2C[C@H](CNC(=O)[C@@H](C)C#N)C2)[nH]1 ZINC001375526715 930908166 /nfs/dbraw/zinc/90/81/66/930908166.db2.gz VFYXXCLXWKXBNL-LSJOCFKGSA-N 0 1 276.344 0.257 20 30 CCEDMN Cc1nc([C@H](C)N2CC(N(C)C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001375667052 930946215 /nfs/dbraw/zinc/94/62/15/930946215.db2.gz KQUWHBGXJMHHSF-BDAKNGLRSA-N 0 1 276.344 0.476 20 30 CCEDMN COCC(=O)N[C@H]1CCN(Cc2ccc(C#N)cc2)C1 ZINC001376107287 931052315 /nfs/dbraw/zinc/05/23/15/931052315.db2.gz WTWKDWGJTSRZAI-AWEZNQCLSA-N 0 1 273.336 0.895 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)C1=CCOCC1 ZINC001449108050 931074052 /nfs/dbraw/zinc/07/40/52/931074052.db2.gz ICBYADFLCAZGGP-LBPRGKRZSA-N 0 1 288.775 0.495 20 30 CCEDMN Cc1nc(CN(C)CCN(C(=O)[C@@H](C)C#N)C(C)C)n[nH]1 ZINC001449253963 931111402 /nfs/dbraw/zinc/11/14/02/931111402.db2.gz ARIJKXJQBJVIEB-NSHDSACASA-N 0 1 292.387 0.942 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CC(NCc2nccn2C)C1 ZINC001449366589 931134450 /nfs/dbraw/zinc/13/44/50/931134450.db2.gz VKGHVWBQQMFDDE-VOMCLLRMSA-N 0 1 275.356 0.659 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)CCn2cnnn2)CCC1 ZINC001376803141 931282783 /nfs/dbraw/zinc/28/27/83/931282783.db2.gz MEINGUBHDPPUOA-UHFFFAOYSA-N 0 1 298.778 0.444 20 30 CCEDMN C#C[C@@H]1CCCC[N@@H+]1[C@@H]1CCN(CC(=O)[O-])C1=O ZINC001602673634 971241588 /nfs/dbraw/zinc/24/15/88/971241588.db2.gz YYHGRBGARHCBTJ-GHMZBOCLSA-N 0 1 250.298 0.160 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])Cc1cccn(C(F)F)c1=O ZINC001602695830 971312175 /nfs/dbraw/zinc/31/21/75/971312175.db2.gz UXGBRSKALPNFLN-UHFFFAOYSA-N 0 1 270.235 0.763 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])Cc1cccn(C(F)F)c1=O ZINC001602695830 971312180 /nfs/dbraw/zinc/31/21/80/971312180.db2.gz UXGBRSKALPNFLN-UHFFFAOYSA-N 0 1 270.235 0.763 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](C)[C@H](C(=O)[O-])C1 ZINC001602701886 971327544 /nfs/dbraw/zinc/32/75/44/971327544.db2.gz BOEYPBWULFMXBB-UPJWGTAASA-N 0 1 278.352 0.653 20 30 CCEDMN C=C(C)[C@@H](CO)n1cc([N+](=O)[O-])c(-c2nn[nH]n2)n1 ZINC001573300673 947117583 /nfs/dbraw/zinc/11/75/83/947117583.db2.gz SBOTZBHRGZZBDO-SSDOTTSWSA-N 0 1 265.233 0.081 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c[nH]c(-c3nn[nH]n3)c2)C1 ZINC001573342445 947542036 /nfs/dbraw/zinc/54/20/36/947542036.db2.gz ZMXHHNIKFLLGGF-SNVBAGLBSA-N 0 1 287.327 0.185 20 30 CCEDMN C[C@H]1C[C@@H](C(=O)[O-])C[N@@H+](CC(=O)NCCC#N)C1 ZINC000399060599 951630451 /nfs/dbraw/zinc/63/04/51/951630451.db2.gz IXCOWTUGGROYMW-VHSXEESVSA-N 0 1 253.302 0.059 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC001589440612 954706582 /nfs/dbraw/zinc/70/65/82/954706582.db2.gz AMXWOXUHNFDIGO-GWCFXTLKSA-N 0 1 267.329 0.590 20 30 CCEDMN CC[C@@H](C(=O)[O-])[N@@H+](C)CC(=O)N[C@@](C)(C#N)C1CC1 ZINC001589440612 954706594 /nfs/dbraw/zinc/70/65/94/954706594.db2.gz AMXWOXUHNFDIGO-GWCFXTLKSA-N 0 1 267.329 0.590 20 30 CCEDMN N#CC1(CCC[N@H+]2CCO[C@H](C(=O)[O-])C2)CCOCC1 ZINC001594603495 956156123 /nfs/dbraw/zinc/15/61/23/956156123.db2.gz DHCLPKBZQDADGY-LBPRGKRZSA-N 0 1 282.340 0.872 20 30 CCEDMN N#CCC(=O)N1CC[NH+](CCCCC(=O)[O-])CC1 ZINC001594606114 956177662 /nfs/dbraw/zinc/17/76/62/956177662.db2.gz QIWADMPVHRLEPV-UHFFFAOYSA-N 0 1 253.302 0.299 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001594610107 956200067 /nfs/dbraw/zinc/20/00/67/956200067.db2.gz KQJPHTSLGKHTQY-WDEREUQCSA-N 0 1 265.313 0.059 20 30 CCEDMN N#Cc1cnn2cc(C[N@@H+]3CCC[C@@H]3C(=O)[O-])cnc12 ZINC001594634344 956361824 /nfs/dbraw/zinc/36/18/24/956361824.db2.gz IJMQGMLSNGXYSA-LLVKDONJSA-N 0 1 271.280 0.650 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CC[N@H+](Cn2cccc(C#N)c2=O)C1 ZINC001593968322 956586530 /nfs/dbraw/zinc/58/65/30/956586530.db2.gz TZFOJYJXGOHQEC-CQSZACIVSA-N 0 1 291.307 0.101 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CC[N@@H+](Cn2cccc(C#N)c2=O)C1 ZINC001593968322 956586535 /nfs/dbraw/zinc/58/65/35/956586535.db2.gz TZFOJYJXGOHQEC-CQSZACIVSA-N 0 1 291.307 0.101 20 30 CCEDMN COC[C@]1(C(=O)[O-])C[N@@H+](CCCSCC#N)CCO1 ZINC001593985899 956778108 /nfs/dbraw/zinc/77/81/08/956778108.db2.gz LKTOVTQFUKVQBC-LBPRGKRZSA-N 0 1 288.369 0.435 20 30 CCEDMN C#CC[C@H]1CC[N@H+]([C@H]2CCN(CC(=O)[O-])C2=O)C1 ZINC001588392026 958029102 /nfs/dbraw/zinc/02/91/02/958029102.db2.gz RQQLHBISYWFPQI-QWRGUYRKSA-N 0 1 250.298 0.017 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)[C@H]2CC[C@@H](C(=O)[O-])C2)C1 ZINC001588430538 958257206 /nfs/dbraw/zinc/25/72/06/958257206.db2.gz NAPWOZATJAGPHN-YNEHKIRRSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)[C@H]2CC[C@@H](C(=O)[O-])C2)C1 ZINC001588430538 958257219 /nfs/dbraw/zinc/25/72/19/958257219.db2.gz NAPWOZATJAGPHN-YNEHKIRRSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@@H]1CO[C@@H](CCC(=O)[O-])C1 ZINC001573317820 958456157 /nfs/dbraw/zinc/45/61/57/958456157.db2.gz GKHCITXFOZXKEO-STQMWFEESA-N 0 1 296.367 0.328 20 30 CCEDMN CC#CC[N@H+]1C[C@@H](C(=O)[O-])C2(CC(C(=O)OC)C2)C1 ZINC001588478516 958567933 /nfs/dbraw/zinc/56/79/33/958567933.db2.gz DTQCTMCCVVEIGC-CVZZAPKMSA-N 0 1 265.309 0.596 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)NCc1c[nH+]c2n1CCCC2 ZINC001588542309 958970985 /nfs/dbraw/zinc/97/09/85/958970985.db2.gz WMUZOWRXOAHPEP-AWEZNQCLSA-N 0 1 290.323 0.840 20 30 CCEDMN CC(C)(C)C[N@@H+](CC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001588655851 960411192 /nfs/dbraw/zinc/41/11/92/960411192.db2.gz QWFQQMIJOIRWSC-UHFFFAOYSA-N 0 1 281.356 0.982 20 30 CCEDMN CC(C)(C)C[N@H+](CC(=O)[O-])CC(=O)NC1(C#N)CCC1 ZINC001588655851 960411188 /nfs/dbraw/zinc/41/11/88/960411188.db2.gz QWFQQMIJOIRWSC-UHFFFAOYSA-N 0 1 281.356 0.982 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@@H+](CC(=O)[O-])CC1CC1 ZINC001588812269 961525787 /nfs/dbraw/zinc/52/57/87/961525787.db2.gz GXWIKPIRTBRYPL-CQSZACIVSA-N 0 1 281.356 0.837 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+](CC(=O)[O-])CC1CC1 ZINC001588812269 961525803 /nfs/dbraw/zinc/52/58/03/961525803.db2.gz GXWIKPIRTBRYPL-CQSZACIVSA-N 0 1 281.356 0.837 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1C[C@@H](C)[N@H+](C)C[C@@H]1C ZINC001573332138 962826643 /nfs/dbraw/zinc/82/66/43/962826643.db2.gz JGTPWVGVZMUNJU-MNOVXSKESA-N 0 1 254.330 0.958 20 30 CCEDMN C=C(Cl)C[N@H+]1CC[C@H](NC(=O)CNC(=O)[O-])C[C@@H]1C ZINC001573338457 962874921 /nfs/dbraw/zinc/87/49/21/962874921.db2.gz BKMQENPIZVBUDQ-UWVGGRQHSA-N 0 1 289.763 0.976 20 30 CCEDMN C=C(Cl)C[N@@H+]1CC[C@H](NC(=O)CNC(=O)[O-])C[C@@H]1C ZINC001573338457 962874930 /nfs/dbraw/zinc/87/49/30/962874930.db2.gz BKMQENPIZVBUDQ-UWVGGRQHSA-N 0 1 289.763 0.976 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@@H](C)[C@H](NC(=O)CNC(=O)[O-])C1 ZINC001573343913 962909384 /nfs/dbraw/zinc/90/93/84/962909384.db2.gz MBXZGVOKLBMTBM-VXNVDRBHSA-N 0 1 275.736 0.443 20 30 CCEDMN C=C(Cl)C[N@@H+]1C[C@@H](C)[C@H](NC(=O)CNC(=O)[O-])C1 ZINC001573343913 962909394 /nfs/dbraw/zinc/90/93/94/962909394.db2.gz MBXZGVOKLBMTBM-VXNVDRBHSA-N 0 1 275.736 0.443 20 30 CCEDMN C=C(Cl)C[N@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001573344309 962917068 /nfs/dbraw/zinc/91/70/68/962917068.db2.gz SMBHVIDSHVDTII-OGBGREFGSA-N 0 1 284.743 0.506 20 30 CCEDMN C=C(Cl)C[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@H]1C(=O)[O-] ZINC001573344309 962917078 /nfs/dbraw/zinc/91/70/78/962917078.db2.gz SMBHVIDSHVDTII-OGBGREFGSA-N 0 1 284.743 0.506 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC001609696227 970454695 /nfs/dbraw/zinc/45/46/95/970454695.db2.gz CYCABMYUBMVHFX-ZJUUUORDSA-N 0 1 265.313 0.344 20 30 CCEDMN C[C@@H](CC#N)[NH2+][C@H]1CCCN(CCC(=O)[O-])C1=O ZINC001592221915 977403137 /nfs/dbraw/zinc/40/31/37/977403137.db2.gz OMOLNPXAWOBBCT-UWVGGRQHSA-N 0 1 253.302 0.344 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)N1CC[N@@H+](CCC(=O)[O-])[C@@H](C)C1 ZINC001594778582 981778463 /nfs/dbraw/zinc/77/84/63/981778463.db2.gz WGGBZCVTHTVLMQ-FZMZJTMJSA-N 0 1 281.356 0.934 20 30 CCEDMN C#C[C@@H]1CCC[N@@H+](CC(=O)N[C@@H](C(=O)[O-])[C@@H](C)CC)C1 ZINC001588383440 983381119 /nfs/dbraw/zinc/38/11/19/983381119.db2.gz ZNZNXTCGEQAUJV-OUCADQQQSA-N 0 1 280.368 0.947 20 30 CCEDMN C#C[C@@H]1CCC[N@H+](CC(=O)N[C@@H](C(=O)[O-])[C@@H](C)CC)C1 ZINC001588383440 983381123 /nfs/dbraw/zinc/38/11/23/983381123.db2.gz ZNZNXTCGEQAUJV-OUCADQQQSA-N 0 1 280.368 0.947 20 30 CCEDMN C#CC[N@H+](CCCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-])C(C)C ZINC001588469582 983502463 /nfs/dbraw/zinc/50/24/63/983502463.db2.gz LWFOJPPCFQLFGU-NEPJUHHUSA-N 0 1 266.341 0.557 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](Nc2cncc(C(=O)[O-])n2)C1 ZINC001588477541 983516841 /nfs/dbraw/zinc/51/68/41/983516841.db2.gz TYLGRXODIKVSJL-SNVBAGLBSA-N 0 1 260.297 0.684 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](Nc2cncc(C(=O)[O-])n2)C1 ZINC001588477541 983516844 /nfs/dbraw/zinc/51/68/44/983516844.db2.gz TYLGRXODIKVSJL-SNVBAGLBSA-N 0 1 260.297 0.684 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)O[C@@H]1C[C@@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588605211 983691488 /nfs/dbraw/zinc/69/14/88/983691488.db2.gz CUUOWYJHAILVOA-WISYIIOYSA-N 0 1 283.324 0.668 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1CC[N@H+](C)[C@H](C)[C@@H]1C ZINC001588615246 983711060 /nfs/dbraw/zinc/71/10/60/983711060.db2.gz OEZKQPVYXVPHJF-MNOVXSKESA-N 0 1 254.330 0.958 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NCCN1CC[N@H+](C)C(C)(C)C1 ZINC001588624327 983731665 /nfs/dbraw/zinc/73/16/65/983731665.db2.gz FHJPMHGXQWVNEW-UHFFFAOYSA-N 0 1 297.399 0.550 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CC[C@@H](C(=O)NCC(F)(F)F)C1 ZINC001588662861 983792024 /nfs/dbraw/zinc/79/20/24/983792024.db2.gz ACRHXTVKGHNFLH-SFYZADRCSA-N 0 1 280.246 0.626 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@@H](Cn2ccnn2)C1 ZINC001588663570 983795575 /nfs/dbraw/zinc/79/55/75/983795575.db2.gz NYFOKAJBOFVOPV-GHMZBOCLSA-N 0 1 250.302 0.629 20 30 CCEDMN C=C[C@H]1CCCC[N@@H+]1CCC(=O)N(C)CC(=O)[O-] ZINC001588719863 983963517 /nfs/dbraw/zinc/96/35/17/983963517.db2.gz ZQFIWUKHXHTCPS-NSHDSACASA-N 0 1 254.330 0.960 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@H](c2nc(C)n[nH]2)C1 ZINC001588729363 983989080 /nfs/dbraw/zinc/98/90/80/983989080.db2.gz JAUUDFGSMQSXOT-UWVGGRQHSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@@H+]1CC[C@H](c2nnc(C)[nH]2)C1 ZINC001588729363 983989081 /nfs/dbraw/zinc/98/90/81/983989081.db2.gz JAUUDFGSMQSXOT-UWVGGRQHSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@H](c2nnc(C)[nH]2)C1 ZINC001588729363 983989084 /nfs/dbraw/zinc/98/90/84/983989084.db2.gz JAUUDFGSMQSXOT-UWVGGRQHSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@@H+]1CC[C@H](c2n[nH]c(C)n2)C1 ZINC001588729363 983989086 /nfs/dbraw/zinc/98/90/86/983989086.db2.gz JAUUDFGSMQSXOT-UWVGGRQHSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@@H](C(=O)[O-])[N@H+]1CC[C@H](c2n[nH]c(C)n2)C1 ZINC001588729363 983989088 /nfs/dbraw/zinc/98/90/88/983989088.db2.gz JAUUDFGSMQSXOT-UWVGGRQHSA-N 0 1 250.302 0.932 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@H](CCC(N)=O)C1 ZINC001588729616 983992207 /nfs/dbraw/zinc/99/22/07/983992207.db2.gz LTVNSIAOEQCZCK-GHMZBOCLSA-N 0 1 254.330 0.993 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CCC[C@H](N2CCOCC2)C1 ZINC001588730100 983995622 /nfs/dbraw/zinc/99/56/22/983995622.db2.gz PJJKICVXUKVSHO-QWHCGFSZSA-N 0 1 268.357 0.812 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@](OC)(C(=O)OC)C1 ZINC001588730868 984001553 /nfs/dbraw/zinc/00/15/53/984001553.db2.gz XOWNWOAUWLYJBY-BXKDBHETSA-N 0 1 257.286 0.280 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001588825346 984299787 /nfs/dbraw/zinc/29/97/87/984299787.db2.gz LOIXIFRJRQUQEZ-PJXYFTJBSA-N 0 1 264.325 0.449 20 30 CCEDMN C=CCN1CC[C@@H]([NH2+][C@](C)(Cn2cccn2)C(=O)[O-])C1=O ZINC001588839455 984346929 /nfs/dbraw/zinc/34/69/29/984346929.db2.gz RTAVZAMQNWQMSX-BXUZGUMPSA-N 0 1 292.339 0.103 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)[C@@H]2C[C@@H]2C(=O)[O-])CC1 ZINC001588930978 984600714 /nfs/dbraw/zinc/60/07/14/984600714.db2.gz HQRLGIOPQARHHK-OLZOCXBDSA-N 0 1 276.336 0.479 20 30 CCEDMN C[C@@H]1C[C@H](C(=O)[O-])CC[N@H+]1CC(=O)N[C@](C)(C#N)C1CC1 ZINC001599878228 989448090 /nfs/dbraw/zinc/44/80/90/989448090.db2.gz PWLVECVTTFXSAS-UEKVPHQBSA-N 0 1 293.367 0.980 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](CCC(C)(C)C#N)C[C@H]1C(=O)[O-] ZINC001598824331 996365063 /nfs/dbraw/zinc/36/50/63/996365063.db2.gz ZRVMMUPXVIFVAP-NXEZZACHSA-N 0 1 268.313 0.732 20 30 CCEDMN COC[C@](C)([NH2+]CC(=O)N[C@@](C)(C#N)C(C)C)C(=O)[O-] ZINC001599154340 998344421 /nfs/dbraw/zinc/34/44/21/998344421.db2.gz KWIZFQRXENKZCT-STQMWFEESA-N 0 1 285.344 0.120 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)CC1(C)COC1 ZINC000599571565 361748292 /nfs/dbraw/zinc/74/82/92/361748292.db2.gz LSLDTUIBNRHZKY-GFCCVEGCSA-N 0 1 267.373 0.963 20 30 CCEDMN C[C@H]1CCN(CCNS(=O)(=O)c2cccnc2C#N)C1 ZINC000617473405 365647605 /nfs/dbraw/zinc/64/76/05/365647605.db2.gz LAUYTOVPEVIBIX-NSHDSACASA-N 0 1 294.380 0.573 20 30 CCEDMN CN1CCC(C#N)(NC(=O)C[C@H]2CCCO2)CC1 ZINC000094972542 349580657 /nfs/dbraw/zinc/58/06/57/349580657.db2.gz SEWBPPLIPDWJCL-LLVKDONJSA-N 0 1 251.330 0.660 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N1CC[C@@H](N2CC[C@H](O)C2)C1 ZINC000599938673 361835459 /nfs/dbraw/zinc/83/54/59/361835459.db2.gz DNKGSWONLRGVQT-NEPJUHHUSA-N 0 1 289.335 0.741 20 30 CCEDMN CCN(C(=O)c1ccc(NS(C)(=O)=O)nc1)[C@H](C)C#N ZINC000600109884 361868668 /nfs/dbraw/zinc/86/86/68/361868668.db2.gz QXMBSHIERFXBDB-SECBINFHSA-N 0 1 296.352 0.827 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCC(N2CCOCC2)CC1 ZINC000600106743 361869631 /nfs/dbraw/zinc/86/96/31/361869631.db2.gz HHTVJCKOXUTBEL-LBPRGKRZSA-N 0 1 265.357 0.859 20 30 CCEDMN CN(Cc1cnc2c(C#N)cnn2c1)[C@@H]1CCC(=O)N(C)C1 ZINC000600178609 361883445 /nfs/dbraw/zinc/88/34/45/361883445.db2.gz MDQPKFQKRZSYCL-CYBMUJFWSA-N 0 1 298.350 0.654 20 30 CCEDMN COCCN1CCC[C@H]1CNS(=O)(=O)CCCC#N ZINC000111339004 349771487 /nfs/dbraw/zinc/77/14/87/349771487.db2.gz BWOVTQUNMVFRFQ-LBPRGKRZSA-N 0 1 289.401 0.320 20 30 CCEDMN N#CC1(C(=O)NCCN2CC[C@H](O)C2)CCCC1 ZINC000600808656 362065905 /nfs/dbraw/zinc/06/59/05/362065905.db2.gz OGCXGAASYQVBLU-NSHDSACASA-N 0 1 251.330 0.253 20 30 CCEDMN CN1CCN(CCNC(=O)c2ccccc2CC#N)CC1 ZINC000600977166 362112279 /nfs/dbraw/zinc/11/22/79/362112279.db2.gz BGPFEMPTQIVCSG-UHFFFAOYSA-N 0 1 286.379 0.730 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)C2(C#N)CC3(CC3)C2)C1 ZINC000601126422 362152530 /nfs/dbraw/zinc/15/25/30/362152530.db2.gz YLQYQRQUQHHDBZ-GFCCVEGCSA-N 0 1 277.368 0.907 20 30 CCEDMN CCc1nc(CNS(=O)(=O)c2ccc(C#N)cc2)n[nH]1 ZINC000297274473 529740484 /nfs/dbraw/zinc/74/04/84/529740484.db2.gz ZQWIEBJOGAZJAY-UHFFFAOYSA-N 0 1 291.336 0.717 20 30 CCEDMN CN1C[C@H](NC([O-])=[NH+][C@@H]2CCn3ccnc3C2)CCC1=O ZINC000330199115 529827663 /nfs/dbraw/zinc/82/76/63/529827663.db2.gz UXLPSWSDJPHGBE-GHMZBOCLSA-N 0 1 291.355 0.322 20 30 CCEDMN CN1C[C@H]([NH+]=C([O-])N[C@@H]2CCn3ccnc3C2)CCC1=O ZINC000330199115 529827665 /nfs/dbraw/zinc/82/76/65/529827665.db2.gz UXLPSWSDJPHGBE-GHMZBOCLSA-N 0 1 291.355 0.322 20 30 CCEDMN CN(C[C@@H]1CCCN(CCCC#N)C1)S(C)(=O)=O ZINC000352544159 529948960 /nfs/dbraw/zinc/94/89/60/529948960.db2.gz OIUZHWUQOMCXBI-LBPRGKRZSA-N 0 1 273.402 0.894 20 30 CCEDMN CN(C)C1(CNS(=O)(=O)CC(C)(C)CC#N)CC1 ZINC000601450304 362277521 /nfs/dbraw/zinc/27/75/21/362277521.db2.gz QKZRNTORNAEYSW-UHFFFAOYSA-N 0 1 273.402 0.940 20 30 CCEDMN CNC(=O)C(C)(C)CN[C@H](C(N)=O)c1ccc(C#N)cc1 ZINC000158448571 290717908 /nfs/dbraw/zinc/71/79/08/290717908.db2.gz WBMVXYYRHDYYPH-LBPRGKRZSA-N 0 1 288.351 0.446 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CCc1c[nH]nn1)C(=O)OC ZINC000601785632 362392657 /nfs/dbraw/zinc/39/26/57/362392657.db2.gz TVQRRTSOKBRPCB-SNVBAGLBSA-N 0 1 266.301 0.361 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)CCc1cnn[nH]1)C(=O)OC ZINC000601785632 362392660 /nfs/dbraw/zinc/39/26/60/362392660.db2.gz TVQRRTSOKBRPCB-SNVBAGLBSA-N 0 1 266.301 0.361 20 30 CCEDMN CCN(CCC#N)C(=O)[C@@H]1C[N@H+]2CCC[C@@H]2CO1 ZINC000602080786 362475215 /nfs/dbraw/zinc/47/52/15/362475215.db2.gz YOWPWHXWTNTKKF-NEPJUHHUSA-N 0 1 251.330 0.612 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCCCc1cn[nH]c1C ZINC000273208438 192075566 /nfs/dbraw/zinc/07/55/66/192075566.db2.gz AEIKECKTWRHLSA-GFCCVEGCSA-N 0 1 266.345 0.887 20 30 CCEDMN C[C@@H](CNC(=O)c1cnccc1C#N)N1CCN(C)CC1 ZINC000358484455 232110174 /nfs/dbraw/zinc/11/01/74/232110174.db2.gz RJJAGIDZBTZIJX-LBPRGKRZSA-N 0 1 287.367 0.319 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CC[C@@](F)(C#N)C1 ZINC000602137630 362518153 /nfs/dbraw/zinc/51/81/53/362518153.db2.gz ABBNKSXUDDWOPN-CQSZACIVSA-N 0 1 283.347 0.809 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N1CC(C)(C#N)C1 ZINC000602143020 362521471 /nfs/dbraw/zinc/52/14/71/362521471.db2.gz PYSCJPVYXRJCOT-UHFFFAOYSA-N 0 1 265.357 0.717 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCN(CCC#N)CC1 ZINC000602204346 362557250 /nfs/dbraw/zinc/55/72/50/362557250.db2.gz LUAYVIQMGXHPTC-CYBMUJFWSA-N 0 1 264.373 0.529 20 30 CCEDMN N#CCc1cccc2c1CCN(C(=O)[C@@H]1CNCCO1)C2 ZINC000602573294 362687787 /nfs/dbraw/zinc/68/77/87/362687787.db2.gz BMNPCHVKTNUOOA-HNNXBMFYSA-N 0 1 285.347 0.626 20 30 CCEDMN C[C@H](CCS(C)(=O)=O)NCc1cccc(C#N)n1 ZINC000602567091 362683739 /nfs/dbraw/zinc/68/37/39/362683739.db2.gz HMBLDHYEKXDSIM-SNVBAGLBSA-N 0 1 267.354 0.866 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@@H](N)COC(C)C)C(=O)OC ZINC000602598723 362703356 /nfs/dbraw/zinc/70/33/56/362703356.db2.gz DVGHYCGWWZMIBC-QWRGUYRKSA-N 0 1 272.345 0.363 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)c1coc(CN)c1)C(=O)OC ZINC000602600944 362706539 /nfs/dbraw/zinc/70/65/39/362706539.db2.gz QAOLNKFSMNHILX-LLVKDONJSA-N 0 1 266.297 0.976 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCc1n[nH]c(COC)n1)OCC ZINC000617936153 365848568 /nfs/dbraw/zinc/84/85/68/365848568.db2.gz ACLDUXQGNJHCRZ-JTQLQIEISA-N 0 1 282.344 0.939 20 30 CCEDMN C=C(C)[C@H](CC(=O)NCc1nnc(COC)[nH]1)OCC ZINC000617936153 365848571 /nfs/dbraw/zinc/84/85/71/365848571.db2.gz ACLDUXQGNJHCRZ-JTQLQIEISA-N 0 1 282.344 0.939 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)C[C@@](C)(O)C2CC2)C[C@H](C)N1CC#N ZINC000602850107 362837441 /nfs/dbraw/zinc/83/74/41/362837441.db2.gz OSDVYFWJOAROOU-OCVGTWLNSA-N 0 1 295.427 0.817 20 30 CCEDMN C[C@@H]1CS(=O)(=O)C[C@@H]1NCc1cc(C#N)n(C)c1 ZINC000342459601 137095454 /nfs/dbraw/zinc/09/54/54/137095454.db2.gz UWVMEUADLAXWAW-SKDRFNHKSA-N 0 1 267.354 0.419 20 30 CCEDMN Cc1cc(C#N)cc(N2CCN(CCO)[C@@H](C)C2)n1 ZINC000343053335 137112126 /nfs/dbraw/zinc/11/21/26/137112126.db2.gz SHCCHCNPCNTTNF-LBPRGKRZSA-N 0 1 260.341 0.765 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2nc(SCCO)n[nH]2)cn1 ZINC000343846363 137161589 /nfs/dbraw/zinc/16/15/89/137161589.db2.gz ICLWWHCHMALAPZ-UHFFFAOYSA-N 0 1 290.308 0.408 20 30 CCEDMN CC[C@@]1(O)CCCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000602885014 362863494 /nfs/dbraw/zinc/86/34/94/362863494.db2.gz YBRVTGZAHZSWOK-CYBMUJFWSA-N 0 1 277.328 0.482 20 30 CCEDMN CC[C@@H]1C[C@@H](NC(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000602885603 362864662 /nfs/dbraw/zinc/86/46/62/362864662.db2.gz GOVLPSBOXFXSHC-WDEREUQCSA-N 0 1 277.328 0.793 20 30 CCEDMN C=CCC1(NC(=O)N=c2[nH]n(C)cc2C#N)CCOCC1 ZINC000602886695 362866336 /nfs/dbraw/zinc/86/63/36/362866336.db2.gz MNLLKXFAUKRDHY-UHFFFAOYSA-N 0 1 289.339 0.960 20 30 CCEDMN N#CCNC(=O)CN[C@@H](c1ccncc1)C1(CO)CCC1 ZINC000602901350 362875315 /nfs/dbraw/zinc/87/53/15/362875315.db2.gz HMHKQUVWCGFWCO-AWEZNQCLSA-N 0 1 288.351 0.515 20 30 CCEDMN CN1CCN(CCOc2ccccc2C#N)[C@@H](CO)C1 ZINC000602904831 362877929 /nfs/dbraw/zinc/87/79/29/362877929.db2.gz OYCHMVVAKPTYCS-CQSZACIVSA-N 0 1 275.352 0.545 20 30 CCEDMN Cn1cc(C(=O)NC[C@@H]2CCCN2CCCC#N)cn1 ZINC000602940486 362900574 /nfs/dbraw/zinc/90/05/74/362900574.db2.gz GLMCMQHODQURMR-ZDUSSCGKSA-N 0 1 275.356 0.918 20 30 CCEDMN C#CCN(CC)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000056361529 348590725 /nfs/dbraw/zinc/59/07/25/348590725.db2.gz JPHFSUMIYXEBHD-UHFFFAOYSA-N 0 1 272.312 0.271 20 30 CCEDMN N#CCNC(=O)CN1CC[C@H](O)[C@H]2CCCC[C@H]21 ZINC000602997995 362929135 /nfs/dbraw/zinc/92/91/35/362929135.db2.gz VFZGXVUPJNXLPY-TUAOUCFPSA-N 0 1 251.330 0.252 20 30 CCEDMN C=CCNC(=O)C(=O)Nc1ccn(-c2ccncc2)n1 ZINC000073242916 348947381 /nfs/dbraw/zinc/94/73/81/348947381.db2.gz QRIHRMJBYJKXOM-UHFFFAOYSA-N 0 1 271.280 0.508 20 30 CCEDMN Cc1nnc(SCC(=O)N[C@H](C#N)C(C)C)[nH]1 ZINC000073495231 348953067 /nfs/dbraw/zinc/95/30/67/348953067.db2.gz JTPHXPXIBAVADR-MRVPVSSYSA-N 0 1 253.331 0.870 20 30 CCEDMN Cc1n[nH]c(SCC(=O)N[C@H](C#N)C(C)C)n1 ZINC000073495231 348953071 /nfs/dbraw/zinc/95/30/71/348953071.db2.gz JTPHXPXIBAVADR-MRVPVSSYSA-N 0 1 253.331 0.870 20 30 CCEDMN COC1CCN(CCNC(=O)C2(C#N)CCC2)CC1 ZINC000079682890 349186421 /nfs/dbraw/zinc/18/64/21/349186421.db2.gz ORKAVHHFMOVUCV-UHFFFAOYSA-N 0 1 265.357 0.907 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)c1cc(C#N)cs1 ZINC000084188202 349316794 /nfs/dbraw/zinc/31/67/94/349316794.db2.gz FCTYVFHMPTVCOH-NSHDSACASA-N 0 1 292.408 0.985 20 30 CCEDMN CNCc1cn(CC(=O)N[C@@](C)(C#N)C(C)C)nn1 ZINC000603173423 362983454 /nfs/dbraw/zinc/98/34/54/362983454.db2.gz VWWGCDGDQUAVNV-LBPRGKRZSA-N 0 1 264.333 0.052 20 30 CCEDMN CC[C@H](O)CN1CCN(c2cccc(C#N)n2)CC1 ZINC000172761478 351008807 /nfs/dbraw/zinc/00/88/07/351008807.db2.gz JQGQJOYASGTJCQ-ZDUSSCGKSA-N 0 1 260.341 0.846 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)Cn1cc(CNC2CC2)nn1 ZINC000603207809 363000706 /nfs/dbraw/zinc/00/07/06/363000706.db2.gz CROJBUUTXMHYFL-LLVKDONJSA-N 0 1 290.371 0.538 20 30 CCEDMN Cc1nc(C(=O)NCCCc2nc(=O)[nH][nH]2)ccc1C#N ZINC000176761725 351184021 /nfs/dbraw/zinc/18/40/21/351184021.db2.gz DYJNOMCAMFHADN-UHFFFAOYSA-N 0 1 286.295 0.036 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)c2c[nH]c(C#N)c2)C1 ZINC000181168885 351304491 /nfs/dbraw/zinc/30/44/91/351304491.db2.gz MJFWDHIVDYOLCD-CQSZACIVSA-N 0 1 290.367 0.973 20 30 CCEDMN N#Cc1ccccc1OCCN1C[C@@H](O)C[C@H]1CO ZINC000186506469 351499837 /nfs/dbraw/zinc/49/98/37/351499837.db2.gz XOCGTZXIMCHNJN-STQMWFEESA-N 0 1 262.309 0.365 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)N1CCN2CCC1CC2 ZINC000190886509 351739668 /nfs/dbraw/zinc/73/96/68/351739668.db2.gz VHDMXCJGSYIREK-UHFFFAOYSA-N 0 1 258.325 0.817 20 30 CCEDMN CO[C@H](C)CNCCS(=O)(=O)c1cccc(C#N)c1 ZINC000224212524 351997311 /nfs/dbraw/zinc/99/73/11/351997311.db2.gz PELHJKOYSBBETI-LLVKDONJSA-N 0 1 282.365 0.956 20 30 CCEDMN CNC(=O)[C@H]1CCCN(Cc2ccnc(C#N)c2)C1 ZINC000228059675 352065256 /nfs/dbraw/zinc/06/52/56/352065256.db2.gz TXWWVPXSHBWJMT-LBPRGKRZSA-N 0 1 258.325 0.911 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)c1cccnc1C#N ZINC000235445819 352184395 /nfs/dbraw/zinc/18/43/95/352184395.db2.gz MYORIXBFFTVSAZ-SECBINFHSA-N 0 1 268.342 0.182 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)c2ccc3c(c2)N(C)CC3)[nH]1 ZINC000542495949 290950545 /nfs/dbraw/zinc/95/05/45/290950545.db2.gz BXDCSVXZBNNASZ-UHFFFAOYSA-N 0 1 281.319 0.958 20 30 CCEDMN C=CCSCCNCc1ccc(S(N)(=O)=O)o1 ZINC000231933186 352139114 /nfs/dbraw/zinc/13/91/14/352139114.db2.gz VDFQGROMHWPHAX-UHFFFAOYSA-N 0 1 276.383 0.936 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc3[nH]c(=O)[nH]c3c2)CC1 ZINC000603885982 363166070 /nfs/dbraw/zinc/16/60/70/363166070.db2.gz KTFKYTUJQRDHLA-UHFFFAOYSA-N 0 1 299.334 0.986 20 30 CCEDMN CC[C@H]1CN(CC(=O)NCc2ccc(C#N)cc2)C[C@H]1O ZINC000270972921 353009931 /nfs/dbraw/zinc/00/99/31/353009931.db2.gz WJESUAFIILJKGL-LSDHHAIUSA-N 0 1 287.363 0.877 20 30 CCEDMN C=CCS(=O)(=O)CCN[C@H]1CCCc2c1cnn2C ZINC000272933307 353106992 /nfs/dbraw/zinc/10/69/92/353106992.db2.gz ZWQOZNDJMZDJGW-LBPRGKRZSA-N 0 1 283.397 0.988 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cccnc2C#N)C[C@H]1C ZINC000273993603 353114304 /nfs/dbraw/zinc/11/43/04/353114304.db2.gz IZFVYZXRJPEQBT-VXGBXAGGSA-N 0 1 260.341 0.845 20 30 CCEDMN COC(=O)CCNC(=O)CN[C@H](C)c1ccc(C#N)cc1 ZINC000271662363 191266631 /nfs/dbraw/zinc/26/66/31/191266631.db2.gz LNXRHFRKJRIDMU-LLVKDONJSA-N 0 1 289.335 0.888 20 30 CCEDMN CN(C)CCNS(=O)(=O)c1ccc(F)cc1C#N ZINC000156016672 188238953 /nfs/dbraw/zinc/23/89/53/188238953.db2.gz XKFNRBMLVAHYJZ-UHFFFAOYSA-N 0 1 271.317 0.537 20 30 CCEDMN CCN(C)CCNS(=O)(=O)c1ccc(F)cc1C#N ZINC000156821169 188283698 /nfs/dbraw/zinc/28/36/98/188283698.db2.gz RPEPWSFGZOFWLF-UHFFFAOYSA-N 0 1 285.344 0.927 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2ccnc(C#N)c2)C1 ZINC000279636154 353307151 /nfs/dbraw/zinc/30/71/51/353307151.db2.gz ADTGZJAPWWGVJL-LBPRGKRZSA-N 0 1 274.324 0.404 20 30 CCEDMN CC#CCNC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000279829343 353318201 /nfs/dbraw/zinc/31/82/01/353318201.db2.gz VNTIHHRPAMZRJM-QMMMGPOBSA-N 0 1 264.247 0.829 20 30 CCEDMN CO[C@H]1COC[C@@H]1NCC(=O)NCc1ccc(C#N)cc1 ZINC000290200774 353714547 /nfs/dbraw/zinc/71/45/47/353714547.db2.gz TXKAWMSEFKVSHA-KBPBESRZSA-N 0 1 289.335 0.178 20 30 CCEDMN C[C@@H](NCc1cn(C)c(=O)n(C)c1=O)c1ccc(C#N)cc1 ZINC000299155723 353995676 /nfs/dbraw/zinc/99/56/76/353995676.db2.gz HYDHOLJLCAHAOO-LLVKDONJSA-N 0 1 298.346 0.806 20 30 CCEDMN COC[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@@H]1CCCO1 ZINC000618994220 366140432 /nfs/dbraw/zinc/14/04/32/366140432.db2.gz MPUXCTCAUFBASH-MNOVXSKESA-N 0 1 293.327 0.029 20 30 CCEDMN COCC1(C)CN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000618994176 366140477 /nfs/dbraw/zinc/14/04/77/366140477.db2.gz BWNZINFNMRJJMJ-UHFFFAOYSA-N 0 1 263.301 0.214 20 30 CCEDMN C#CCN(CC#C)C[C@H](O)CN1C[C@@H](C)O[C@H](C)C1 ZINC000304337529 354113901 /nfs/dbraw/zinc/11/39/01/354113901.db2.gz XKUREBSFYVQMCS-KFWWJZLASA-N 0 1 264.369 0.025 20 30 CCEDMN Cc1nccc([NH+]=C([O-])N[C@@H]2CCc3ncnn3C2)n1 ZINC000330268645 354314723 /nfs/dbraw/zinc/31/47/23/354314723.db2.gz IUBMORANEPODMS-SECBINFHSA-N 0 1 273.300 0.717 20 30 CCEDMN C[C@H]1CN(C2CC2)C[C@@H]1NS(=O)(=O)CCCC#N ZINC000331741278 354617388 /nfs/dbraw/zinc/61/73/88/354617388.db2.gz ATBWVJJUTNVXOD-JQWIXIFHSA-N 0 1 271.386 0.692 20 30 CCEDMN N#CC1(NC(=O)CN2CCC[C@@H](CC(N)=O)C2)CCC1 ZINC000347041980 355875255 /nfs/dbraw/zinc/87/52/55/355875255.db2.gz ZXDGDUXCZTZPAY-NSHDSACASA-N 0 1 278.356 0.136 20 30 CCEDMN COc1cc(C#N)ccc1S(=O)(=O)N(C)CCN(C)C ZINC000361977761 138041006 /nfs/dbraw/zinc/04/10/06/138041006.db2.gz XSSOISGCXSSYKP-UHFFFAOYSA-N 0 1 297.380 0.749 20 30 CCEDMN Cc1onc(CC(=O)N2CCN(C)[C@@H](C)[C@H]2C)c1C#N ZINC000354489820 356424250 /nfs/dbraw/zinc/42/42/50/356424250.db2.gz PKPOCSMVUZHBBG-VHSXEESVSA-N 0 1 276.340 0.948 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@@H](CO)CC2CCC2)[nH]1 ZINC000619536983 366277739 /nfs/dbraw/zinc/27/77/39/366277739.db2.gz OXLNPVQFOLQLCL-LLVKDONJSA-N 0 1 277.328 0.386 20 30 CCEDMN CN(C)CCN(C)S(=O)(=O)c1cccc(CC#N)c1 ZINC000619488817 366265580 /nfs/dbraw/zinc/26/55/80/366265580.db2.gz FPFGCZQKASHLHQ-UHFFFAOYSA-N 0 1 281.381 0.935 20 30 CCEDMN COC[C@@H](O)CN1CCN(c2cccc(C#N)n2)CC1 ZINC000355075585 356568794 /nfs/dbraw/zinc/56/87/94/356568794.db2.gz AETAAVJGRWOFID-ZDUSSCGKSA-N 0 1 276.340 0.083 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN(C[C@H]2CCCO2)CC1 ZINC000619715876 366332572 /nfs/dbraw/zinc/33/25/72/366332572.db2.gz XQGDQGUOADTHMW-CHWSQXEVSA-N 0 1 268.357 0.511 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCC[C@H](CN2CCOCC2)C1 ZINC000619782494 366351104 /nfs/dbraw/zinc/35/11/04/366351104.db2.gz YFOSAIGUMILRBN-ZIAGYGMSSA-N 0 1 282.384 0.758 20 30 CCEDMN N#Cc1nccn1CC1=Nc2ccccc2S(=O)(=O)N1 ZINC000356180898 356716957 /nfs/dbraw/zinc/71/69/57/356716957.db2.gz MFADVQBQEMKXME-UHFFFAOYSA-N 0 1 287.304 0.777 20 30 CCEDMN CCC[C@@H](NC(=O)c1cnn(C)c1C#N)c1nn[nH]n1 ZINC000356376801 356756002 /nfs/dbraw/zinc/75/60/02/356756002.db2.gz LAOZIZRABPSHNR-MRVPVSSYSA-N 0 1 274.288 0.076 20 30 CCEDMN CCC[C@H](NC(=O)c1cnn(C)c1C#N)c1nn[nH]n1 ZINC000356376802 356756579 /nfs/dbraw/zinc/75/65/79/356756579.db2.gz LAOZIZRABPSHNR-QMMMGPOBSA-N 0 1 274.288 0.076 20 30 CCEDMN N#Cc1ccc(CCC(=O)NCc2c[nH]nn2)cc1 ZINC000610486833 363566615 /nfs/dbraw/zinc/56/66/15/363566615.db2.gz HNWOAKGCVHJKIX-UHFFFAOYSA-N 0 1 255.281 0.925 20 30 CCEDMN N#Cc1ccnc(N2CCCN(CCO)CC2)c1[N+](=O)[O-] ZINC000134208744 283221750 /nfs/dbraw/zinc/22/17/50/283221750.db2.gz XHOSSEZHLLUFJM-UHFFFAOYSA-N 0 1 291.311 0.366 20 30 CCEDMN CN1C[C@H](NC(=O)N2CCn3cncc3C2)CCC1=O ZINC000329657721 283224769 /nfs/dbraw/zinc/22/47/69/283224769.db2.gz NAJMBUJLSFAPTJ-SNVBAGLBSA-N 0 1 277.328 0.234 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(O[C@@H]2CCOC2)CC1 ZINC000361423497 357047444 /nfs/dbraw/zinc/04/74/44/357047444.db2.gz CDQXPRRDUGVZBD-TZMCWYRMSA-N 0 1 282.384 0.947 20 30 CCEDMN CCOCCN(CC)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610563932 363577733 /nfs/dbraw/zinc/57/77/33/363577733.db2.gz NCGQFTANLKUGMU-UHFFFAOYSA-N 0 1 265.317 0.604 20 30 CCEDMN Cn1cc(CN2C[C@@H]3CCC[C@@H]3[C@@H]2C(N)=O)cc1C#N ZINC000364586253 357123158 /nfs/dbraw/zinc/12/31/58/357123158.db2.gz WPOLTTBKMCHPEE-FPMFFAJLSA-N 0 1 272.352 0.983 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC2CCSCC2)[nH]1 ZINC000610565003 363579821 /nfs/dbraw/zinc/57/98/21/363579821.db2.gz VHFIZEAETVBSQX-UHFFFAOYSA-N 0 1 265.342 0.731 20 30 CCEDMN CN(C[C@H]1CCOC1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000610564941 363579823 /nfs/dbraw/zinc/57/98/23/363579823.db2.gz UIWVYJNIFJXVKE-SECBINFHSA-N 0 1 263.301 0.214 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCCn2cccc2)[nH]1 ZINC000610634317 363589283 /nfs/dbraw/zinc/58/92/83/363589283.db2.gz BUFOWOXDORDHPM-UHFFFAOYSA-N 0 1 258.285 0.337 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2C[C@H]3CCC[C@@H]3C2)[nH]1 ZINC000610698535 363600096 /nfs/dbraw/zinc/60/00/96/363600096.db2.gz REPUDNIVGIGLHC-NXEZZACHSA-N 0 1 259.313 0.977 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)CCCC#N)[C@H](C)C1 ZINC000487461497 358286440 /nfs/dbraw/zinc/28/64/40/358286440.db2.gz MPXZOTLASDVDQK-VXGBXAGGSA-N 0 1 253.346 0.594 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](CO)[C@@H]1C ZINC000488749524 358289920 /nfs/dbraw/zinc/28/99/20/358289920.db2.gz JCNZNWGWEWUKEV-FRRDWIJNSA-N 0 1 267.373 0.697 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@](C)(O)C2)CC1 ZINC000491805002 358297785 /nfs/dbraw/zinc/29/77/85/358297785.db2.gz NQJRZNSOAYGJRA-CQSZACIVSA-N 0 1 250.342 0.315 20 30 CCEDMN COC(=O)[C@@]1(C)CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000610744195 363607015 /nfs/dbraw/zinc/60/70/15/363607015.db2.gz NTCMQJGGCOOEJQ-ZDUSSCGKSA-N 0 1 291.311 0.131 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CCC[C@@]1(C)CO ZINC000544760983 358549857 /nfs/dbraw/zinc/54/98/57/358549857.db2.gz AJDAQMNKAJGXID-KBPBESRZSA-N 0 1 267.373 0.888 20 30 CCEDMN C=CCNC(=O)NC(=O)CNC(C)(C)c1cnn(C)c1 ZINC000545292356 358550073 /nfs/dbraw/zinc/55/00/73/358550073.db2.gz IKCNYAFLZVTOIK-UHFFFAOYSA-N 0 1 279.344 0.257 20 30 CCEDMN Cc1nc(CN2CCN(c3cncc(C#N)n3)CC2)n[nH]1 ZINC000567750341 358581779 /nfs/dbraw/zinc/58/17/79/358581779.db2.gz RXQDAYZWSGYWLY-UHFFFAOYSA-N 0 1 284.327 0.097 20 30 CCEDMN C[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)C1CCOCC1 ZINC000610773247 363612650 /nfs/dbraw/zinc/61/26/50/363612650.db2.gz UJPUUKRYQCZGEL-SECBINFHSA-N 0 1 277.328 0.650 20 30 CCEDMN N#Cc1nccnc1NCCN1C[C@H]2CC[C@@H](C1)O2 ZINC000569795043 358793154 /nfs/dbraw/zinc/79/31/54/358793154.db2.gz CFJHYHWXGPQFSL-PHIMTYICSA-N 0 1 259.313 0.623 20 30 CCEDMN N#Cc1cccc(CN2CCC[C@@H](N3CCNCC3=O)C2)c1 ZINC000570726682 358889263 /nfs/dbraw/zinc/88/92/63/358889263.db2.gz FDZOFFYXJXWNRV-MRXNPFEDSA-N 0 1 298.390 0.954 20 30 CCEDMN C=CCCn1cc(C(=O)NC2(c3nnc[nH]3)CCC2)nn1 ZINC000572150233 358927392 /nfs/dbraw/zinc/92/73/92/358927392.db2.gz LIFILVHWVYYGAN-UHFFFAOYSA-N 0 1 287.327 0.782 20 30 CCEDMN N#Cc1ncn(CCNCc2nc3c(s2)CCC3)n1 ZINC000586782571 359290171 /nfs/dbraw/zinc/29/01/71/359290171.db2.gz UOTMDLCMIMRSBG-UHFFFAOYSA-N 0 1 274.353 0.885 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@H]([C@@H]3CCOC3)C2)[nH]1 ZINC000611007139 363648624 /nfs/dbraw/zinc/64/86/24/363648624.db2.gz MVGGKGAKIVIRAB-GHMZBOCLSA-N 0 1 289.339 0.604 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)c2cnccc2C#N)C1 ZINC000588753860 359335561 /nfs/dbraw/zinc/33/55/61/359335561.db2.gz QZSSMUZRDCAHJW-ZDUSSCGKSA-N 0 1 288.351 0.794 20 30 CCEDMN N#Cc1csc(C(=O)N[C@@H](CO)CN2CCCC2)c1 ZINC000588868654 359344242 /nfs/dbraw/zinc/34/42/42/359344242.db2.gz BEUFKPGNPGMVMX-LLVKDONJSA-N 0 1 279.365 0.806 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCN(CC)[C@@H](C)C1 ZINC000611092086 363658976 /nfs/dbraw/zinc/65/89/76/363658976.db2.gz IMSDMBHLQUXWAP-NWDGAFQWSA-N 0 1 282.388 0.413 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000590005726 359416202 /nfs/dbraw/zinc/41/62/02/359416202.db2.gz IUCXQAAGDLJSFB-NNYUYHANSA-N 0 1 263.341 0.107 20 30 CCEDMN CN(CCN1CCCS1(=O)=O)[C@H]1CC[C@@H](C#N)C1 ZINC000590162479 359427576 /nfs/dbraw/zinc/42/75/76/359427576.db2.gz OIXZJOFXBFATSO-NEPJUHHUSA-N 0 1 271.386 0.646 20 30 CCEDMN CC1(C)CN(c2cccnc2C#N)CCN1CCO ZINC000589596891 359392033 /nfs/dbraw/zinc/39/20/33/359392033.db2.gz IWVBOLYEQXHEIM-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN CO[C@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)C12CCC2 ZINC000590957443 359542717 /nfs/dbraw/zinc/54/27/17/359542717.db2.gz JCPGZDMQAXJGPU-QWRGUYRKSA-N 0 1 289.339 0.793 20 30 CCEDMN COC(=O)[C@@H]1CCC[C@@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000590819615 359527539 /nfs/dbraw/zinc/52/75/39/359527539.db2.gz OLMSSYXJGARSPE-ZJUUUORDSA-N 0 1 291.311 0.177 20 30 CCEDMN C[C@H]1CCN(Cc2cnc3c(C#N)cnn3c2)[C@H]1CO ZINC000563148899 291199281 /nfs/dbraw/zinc/19/92/81/291199281.db2.gz CEJLUMQRAQPKAE-GWCFXTLKSA-N 0 1 271.324 0.804 20 30 CCEDMN N#Cc1cnn2c1NC[C@H](C[N@H+]1CCCO[C@H](CF)C1)C2 ZINC000592517451 359868752 /nfs/dbraw/zinc/86/87/52/359868752.db2.gz WTZSQEFZYIGSOF-DGCLKSJQSA-N 0 1 293.346 0.857 20 30 CCEDMN CCCN1C[C@@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)CC1=O ZINC000592649223 359909471 /nfs/dbraw/zinc/90/94/71/359909471.db2.gz LGNLCBVTQARYIV-JTQLQIEISA-N 0 1 289.339 0.219 20 30 CCEDMN CC[C@H]1CC[C@H](C(=O)N=c2[nH]n(C)c(C)c2C#N)O1 ZINC000592655074 359910604 /nfs/dbraw/zinc/91/06/04/359910604.db2.gz VLFLMJDVFCVOMO-GXSJLCMTSA-N 0 1 262.313 0.918 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCC([C@H](C)CO)CC1 ZINC000593085351 360031316 /nfs/dbraw/zinc/03/13/16/360031316.db2.gz UZJSBTFWJYHQSC-NEPJUHHUSA-N 0 1 297.399 0.727 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC([C@H](C)CO)CC1 ZINC000593085354 360032002 /nfs/dbraw/zinc/03/20/02/360032002.db2.gz UZJSBTFWJYHQSC-VXGBXAGGSA-N 0 1 297.399 0.727 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CC[C@H](N2CCN(CC)CC2)C1 ZINC000593456958 360092641 /nfs/dbraw/zinc/09/26/41/360092641.db2.gz KIQHUOMIAAWWAX-KGLIPLIRSA-N 0 1 278.400 0.775 20 30 CCEDMN CC[C@@H](C#N)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000593466457 360094124 /nfs/dbraw/zinc/09/41/24/360094124.db2.gz WJRCCRFKBZYHNH-RYUDHWBXSA-N 0 1 252.362 0.288 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1CCCN(CC)CC1 ZINC000298211257 200361305 /nfs/dbraw/zinc/36/13/05/200361305.db2.gz YNRFRECISDFPBX-UHFFFAOYSA-N 0 1 267.373 0.575 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccsc1C#N)N(C)C ZINC000593610559 360120657 /nfs/dbraw/zinc/12/06/57/360120657.db2.gz VNCYLOABFZBATK-QMMMGPOBSA-N 0 1 273.383 0.848 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)n1 ZINC000593857028 360177203 /nfs/dbraw/zinc/17/72/03/360177203.db2.gz ROXKGKIHLQWINX-UHFFFAOYSA-N 0 1 297.322 0.029 20 30 CCEDMN N#CCCCCCC(=O)N1CCN(Cc2nnc[nH]2)CC1 ZINC000593857461 360177488 /nfs/dbraw/zinc/17/74/88/360177488.db2.gz ZZAPUUVGILGQMZ-UHFFFAOYSA-N 0 1 290.371 0.923 20 30 CCEDMN N#Cc1ccc(C(=O)N2CCN(Cc3nnc[nH]3)CC2)nc1 ZINC000593858204 360178807 /nfs/dbraw/zinc/17/88/07/360178807.db2.gz BAJSGWDSUMVDHY-UHFFFAOYSA-N 0 1 297.322 0.029 20 30 CCEDMN C[C@@H](O)CN(CC(=O)NC1(C#N)CCC1)C1CC1 ZINC000594063584 360245126 /nfs/dbraw/zinc/24/51/26/360245126.db2.gz ITBYEUMKWQXQLT-SNVBAGLBSA-N 0 1 251.330 0.394 20 30 CCEDMN CO[C@H]1CCC[C@@H]1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611388937 363711492 /nfs/dbraw/zinc/71/14/92/363711492.db2.gz XLGMKEKFAGTYKD-KOLCDFICSA-N 0 1 277.328 0.650 20 30 CCEDMN CC[C@H](CSC)NC(=O)N=c1[nH]n(C)cc1C#N ZINC000611388940 363712231 /nfs/dbraw/zinc/71/22/31/363712231.db2.gz ZNIFGQFEWRYACV-SECBINFHSA-N 0 1 267.358 0.977 20 30 CCEDMN COC(=O)CNC(=O)[C@H](C)N[C@@H](C)c1cccc(C#N)c1 ZINC000611387350 363712476 /nfs/dbraw/zinc/71/24/76/363712476.db2.gz LTFAAPJCWSSYCP-QWRGUYRKSA-N 0 1 289.335 0.887 20 30 CCEDMN CC1(C)CN(C(=O)CCCCC#N)CCN1CCO ZINC000594346325 360277851 /nfs/dbraw/zinc/27/78/51/360277851.db2.gz ZZSPXUBGRJXNHJ-UHFFFAOYSA-N 0 1 267.373 0.985 20 30 CCEDMN C[C@H](C#N)CNC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000611365538 363711009 /nfs/dbraw/zinc/71/10/09/363711009.db2.gz MEWWDUXGKBOYCF-SNVBAGLBSA-N 0 1 298.306 0.482 20 30 CCEDMN Cc1nc(CN2CCN(c3ccc(C#N)c(N)n3)CC2)n[nH]1 ZINC000594570809 360332097 /nfs/dbraw/zinc/33/20/97/360332097.db2.gz ZIIRCHTUZSSYOL-UHFFFAOYSA-N 0 1 298.354 0.284 20 30 CCEDMN C=CC[C@@H](CO)NS(=O)(=O)c1ncccc1[N+](=O)[O-] ZINC000594768092 360379462 /nfs/dbraw/zinc/37/94/62/360379462.db2.gz JBTPDXCXFIIRJT-QMMMGPOBSA-N 0 1 287.297 0.205 20 30 CCEDMN CN(CCC#N)S(=O)(=O)NC[C@@H]1CC[N@H+]1C(C)(C)C ZINC000594787675 360383269 /nfs/dbraw/zinc/38/32/69/360383269.db2.gz BWLGSHWLRRWOSS-NSHDSACASA-N 0 1 288.417 0.539 20 30 CCEDMN CN(CCC#N)S(=O)(=O)NC[C@@H]1CCN1C(C)(C)C ZINC000594787675 360383272 /nfs/dbraw/zinc/38/32/72/360383272.db2.gz BWLGSHWLRRWOSS-NSHDSACASA-N 0 1 288.417 0.539 20 30 CCEDMN CCc1cnccc1CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611519474 363732320 /nfs/dbraw/zinc/73/23/20/363732320.db2.gz YAKQLTYJTDDQAK-UHFFFAOYSA-N 0 1 284.323 0.993 20 30 CCEDMN CC(C)(C)OC(=O)C[C@@](C)(C#N)C(=O)NCc1c[nH]nn1 ZINC000611558187 363738312 /nfs/dbraw/zinc/73/83/12/363738312.db2.gz XRFTUNVQAQXQLL-ZDUSSCGKSA-N 0 1 293.327 0.683 20 30 CCEDMN N#CC1(CNC(=O)C(=O)N2CCNCC2)CCCCC1 ZINC000595278372 360475328 /nfs/dbraw/zinc/47/53/28/360475328.db2.gz NONXMZOIOSLKGO-UHFFFAOYSA-N 0 1 278.356 0.008 20 30 CCEDMN C[C@@H]1C[C@@H]([N-]S(=O)(=O)c2ccc(C#N)o2)CC[NH2+]1 ZINC000563445702 291230656 /nfs/dbraw/zinc/23/06/56/291230656.db2.gz JAKJWSVJYXYKRC-BDAKNGLRSA-N 0 1 269.326 0.570 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H]2COC[C@]2(C)C1 ZINC000595757575 360642233 /nfs/dbraw/zinc/64/22/33/360642233.db2.gz KRXHNCCUSHBGSV-NFAWXSAZSA-N 0 1 279.384 0.963 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000595749868 360639128 /nfs/dbraw/zinc/63/91/28/360639128.db2.gz MVBKYSARQZWSAE-PAPYEOQZSA-N 0 1 281.400 0.990 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)NC(=O)NCc1ccccc1 ZINC000595864359 360684324 /nfs/dbraw/zinc/68/43/24/360684324.db2.gz ZQNZJOJBACZXBT-DGCLKSJQSA-N 0 1 291.351 0.537 20 30 CCEDMN N#CCN(C(=O)c1cc(S(N)(=O)=O)ccc1O)C1CC1 ZINC000596916708 360978272 /nfs/dbraw/zinc/97/82/72/360978272.db2.gz QQEJBPXUGLIIQZ-UHFFFAOYSA-N 0 1 295.320 0.168 20 30 CCEDMN N#Cc1ccc2ncc(CN[C@H]3CCNC3=O)n2c1 ZINC000597171627 361023114 /nfs/dbraw/zinc/02/31/14/361023114.db2.gz XWUSTUAOHJCVCA-NSHDSACASA-N 0 1 255.281 0.184 20 30 CCEDMN C[C@@H](C#N)CNC(=O)c1cc(C(=O)NC[C@H](C)C#N)n[nH]1 ZINC000597201251 361029286 /nfs/dbraw/zinc/02/92/86/361029286.db2.gz MQXDFNYVCINJRB-DTORHVGOSA-N 0 1 288.311 0.189 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000597383312 361079808 /nfs/dbraw/zinc/07/98/08/361079808.db2.gz YRKLZWOFGGPLBH-PRHODGIISA-N 0 1 263.301 0.269 20 30 CCEDMN CN1CC2(C1)CCN(C(=O)c1ccc(C#N)nc1)C2 ZINC000597573054 361143031 /nfs/dbraw/zinc/14/30/31/361143031.db2.gz YRCKIJYJIOGAHT-UHFFFAOYSA-N 0 1 256.309 0.731 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCO[C@]2(CCOC2)C1 ZINC000598605718 361457713 /nfs/dbraw/zinc/45/77/13/361457713.db2.gz VIEVFZILLGEVNW-GXTWGEPZSA-N 0 1 268.357 0.778 20 30 CCEDMN N#Cc1cccc(NCCN2CC[C@@H](O)C2)c1[N+](=O)[O-] ZINC000599176332 361600003 /nfs/dbraw/zinc/60/00/03/361600003.db2.gz RAEZDSYPJHYLLU-LLVKDONJSA-N 0 1 276.296 0.945 20 30 CCEDMN COC(=O)c1ccc(NCCN2CC[C@H](O)C2)c(C#N)n1 ZINC000599199148 361609304 /nfs/dbraw/zinc/60/93/04/361609304.db2.gz FKIRWRKSRQTTNW-JTQLQIEISA-N 0 1 290.323 0.218 20 30 CCEDMN CCN(CC(=O)N(C)C)C[C@@H](O)CC1(C#N)CCOCC1 ZINC000599266869 361626537 /nfs/dbraw/zinc/62/65/37/361626537.db2.gz NSCNXHFJLCXDKU-ZDUSSCGKSA-N 0 1 297.399 0.468 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCCC[C@@H]2C(N)=O)CCOCC1 ZINC000599261984 361624202 /nfs/dbraw/zinc/62/42/02/361624202.db2.gz ZOJLJHBIHHFKNY-QWHCGFSZSA-N 0 1 295.383 0.398 20 30 CCEDMN CCO[C@@H]1COCC[C@H]1NC(=O)N=c1[nH]n(C)cc1C#N ZINC000612752013 364008328 /nfs/dbraw/zinc/00/83/28/364008328.db2.gz HRYHGACBLQMDJA-GHMZBOCLSA-N 0 1 293.327 0.029 20 30 CCEDMN N#Cc1cc(CN2CCn3c(=O)[nH]nc3C2)ccn1 ZINC000613865502 364418346 /nfs/dbraw/zinc/41/83/46/364418346.db2.gz PKTQKXZHTRJZGA-UHFFFAOYSA-N 0 1 256.269 0.266 20 30 CCEDMN CCN1CCN(CC(=O)NC2(C#N)CCC2)C[C@@H]1C ZINC000615226925 364889896 /nfs/dbraw/zinc/88/98/96/364889896.db2.gz BVXUITSOWWUKFS-LBPRGKRZSA-N 0 1 264.373 0.575 20 30 CCEDMN N#Cc1cnn2cc(CN[C@@H]3CC(=O)N(C4CC4)C3)cnc12 ZINC000564986100 291331329 /nfs/dbraw/zinc/33/13/29/291331329.db2.gz NWFFULLRSBFYRA-GFCCVEGCSA-N 0 1 296.334 0.454 20 30 CCEDMN CN(C)CCn1ccc(=NC(=O)N2CC[C@@](F)(C#N)C2)[nH]1 ZINC000615536532 364995895 /nfs/dbraw/zinc/99/58/95/364995895.db2.gz DFOJQVHSDYGBCE-CYBMUJFWSA-N 0 1 294.334 0.336 20 30 CCEDMN C[C@@H]1CN(C(=O)c2ccc(C#N)[nH]2)CCN1CC(C)(C)O ZINC000275707129 193110107 /nfs/dbraw/zinc/11/01/07/193110107.db2.gz VRXBGYSXPBZSMS-LLVKDONJSA-N 0 1 290.367 0.804 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)N(C)Cc1cnc[nH]1 ZINC000616921143 365468581 /nfs/dbraw/zinc/46/85/81/365468581.db2.gz GTNOEBYAQVHUSM-GFCCVEGCSA-N 0 1 264.329 0.839 20 30 CCEDMN CN([C@@H](C(N)=O)c1ccc(C#N)cc1)[C@@H]1CCC[C@H]1O ZINC000511537006 241205685 /nfs/dbraw/zinc/20/56/85/241205685.db2.gz MPGYRBFSIMGKTK-MGPQQGTHSA-N 0 1 273.336 0.930 20 30 CCEDMN C=CCNC(=O)CN1CCN(C[C@@H](OCC)C2CC2)CC1 ZINC000625042701 368048924 /nfs/dbraw/zinc/04/89/24/368048924.db2.gz GMIKNXBDCSXYQZ-OAHLLOKOSA-N 0 1 295.427 0.721 20 30 CCEDMN C=C[C@](C)(O)C(=O)N1CCC(N2CCOCC2)CC1 ZINC000628122307 369185322 /nfs/dbraw/zinc/18/53/22/369185322.db2.gz RQUWDWXRKXOMNK-AWEZNQCLSA-N 0 1 268.357 0.247 20 30 CCEDMN CO[C@H](CS(=O)(=O)Nc1cc(C)c(C#N)cn1)C1CC1 ZINC000567291203 291473437 /nfs/dbraw/zinc/47/34/37/291473437.db2.gz UILCNSHJVLJBFH-GFCCVEGCSA-N 0 1 295.364 0.850 20 30 CCEDMN Cc1cc([C@@H]2CCCN(C(=O)Cn3cnc(C#N)n3)C2)n[nH]1 ZINC000331752082 204123431 /nfs/dbraw/zinc/12/34/31/204123431.db2.gz AKSJTJJRSGJABG-LLVKDONJSA-N 0 1 299.338 0.588 20 30 CCEDMN N#CCCCS(=O)(=O)N[C@@H]1CCCN(CCCO)C1 ZINC000576598344 335224953 /nfs/dbraw/zinc/22/49/53/335224953.db2.gz JFTYBAZWBFLEAH-GFCCVEGCSA-N 0 1 289.401 0.056 20 30 CCEDMN C=C(C)[C@H](CO)N1CCN(CCc2cnccn2)CC1 ZINC000640100106 376236171 /nfs/dbraw/zinc/23/61/71/376236171.db2.gz VTDFABJRHFLDLI-HNNXBMFYSA-N 0 1 276.384 0.574 20 30 CCEDMN C=CCNC(=O)[C@H](C)n1cc(C(C)(C)NC)nn1 ZINC000640883815 376597784 /nfs/dbraw/zinc/59/77/84/376597784.db2.gz OIFFCIZBQGRFIE-VIFPVBQESA-N 0 1 251.334 0.596 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC(C)(COC)CC2)C1=O ZINC000491682618 261794695 /nfs/dbraw/zinc/79/46/95/261794695.db2.gz SUTSOGOOXFAJAW-LBPRGKRZSA-N 0 1 278.352 0.496 20 30 CCEDMN C=CCCCS(=O)(=O)NCc1n[nH]c(COC)n1 ZINC000657105776 412888614 /nfs/dbraw/zinc/88/86/14/412888614.db2.gz ZEJKJEAPKFLOMC-UHFFFAOYSA-N 0 1 274.346 0.337 20 30 CCEDMN COc1cc(CN(C)[C@@H]2COC[C@H]2O)ccc1C#N ZINC000289236742 197271234 /nfs/dbraw/zinc/27/12/34/197271234.db2.gz DIXCNCIOBKYLHG-CHWSQXEVSA-N 0 1 262.309 0.758 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(C2CCOCC2)CC1 ZINC000354586838 533111145 /nfs/dbraw/zinc/11/11/45/533111145.db2.gz QMPGLNGWRSSBSF-NSHDSACASA-N 0 1 251.330 0.469 20 30 CCEDMN C=CCCn1cc(CNC[C@@]2(O)CCO[C@H]2C)nn1 ZINC000657455492 412996271 /nfs/dbraw/zinc/99/62/71/412996271.db2.gz RUXMSGVDKNRFKI-AAEUAGOBSA-N 0 1 266.345 0.484 20 30 CCEDMN N#Cc1ccnc(C(=O)NCCN2CC=CCC2)c1 ZINC000288307617 197047859 /nfs/dbraw/zinc/04/78/59/197047859.db2.gz RYIUKKHFACIUHS-UHFFFAOYSA-N 0 1 256.309 0.945 20 30 CCEDMN C=CCC[NH2+]Cc1cnc(N2CC[NH+](C)CC2)nc1 ZINC000657582713 413027571 /nfs/dbraw/zinc/02/75/71/413027571.db2.gz BIGZQOBCGXWWFH-UHFFFAOYSA-N 0 1 261.373 0.894 20 30 CCEDMN N#CC1(C(=O)NC[C@@H]2CCCCN2CCO)CCC1 ZINC000332473153 260011792 /nfs/dbraw/zinc/01/17/92/260011792.db2.gz NSNSHRXUUGWWDD-LBPRGKRZSA-N 0 1 265.357 0.643 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@@H]1CCN(CCOC)C1 ZINC000286736248 388845383 /nfs/dbraw/zinc/84/53/83/388845383.db2.gz VZEZPKQKSOJFMI-CYBMUJFWSA-N 0 1 295.383 0.024 20 30 CCEDMN CCN(CC#N)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000049426482 388920278 /nfs/dbraw/zinc/92/02/78/388920278.db2.gz AUFVRSIPDKSJBG-UHFFFAOYSA-N 0 1 273.300 0.161 20 30 CCEDMN C[C@H](O)CN(C)CC(=O)N(C)C1(C#N)CCCCC1 ZINC000051837691 388927701 /nfs/dbraw/zinc/92/77/01/388927701.db2.gz QECFVISMFKFQHR-LBPRGKRZSA-N 0 1 267.373 0.984 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCN1CCN(C)CC1 ZINC000066942399 388946653 /nfs/dbraw/zinc/94/66/53/388946653.db2.gz FPKJGYJZCNDZTB-CYBMUJFWSA-N 0 1 269.389 0.331 20 30 CCEDMN C=CCOCCN1CCN(c2cnn(C)c2)CC1 ZINC000292738026 388954432 /nfs/dbraw/zinc/95/44/32/388954432.db2.gz HCUDADHZNMLKII-UHFFFAOYSA-N 0 1 250.346 0.745 20 30 CCEDMN C#CCNC(=O)CCN1CCNC(=O)C[C@H]1c1ccccc1 ZINC000293157919 388980101 /nfs/dbraw/zinc/98/01/01/388980101.db2.gz RGOYLDSZYMWHCZ-HNNXBMFYSA-N 0 1 299.374 0.689 20 30 CCEDMN C=CCC(F)(F)C(=O)NC[C@H]1CN(C)CCN1C ZINC000293576595 388984310 /nfs/dbraw/zinc/98/43/10/388984310.db2.gz DRWNEQVWDRSSKU-JTQLQIEISA-N 0 1 261.316 0.560 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCC(NC(N)=O)CC1 ZINC000079465445 388997287 /nfs/dbraw/zinc/99/72/87/388997287.db2.gz ZUWFIOXAVTWBRN-LBPRGKRZSA-N 0 1 296.415 0.932 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@H](O)CC(C)(C)C1 ZINC000295269623 388997925 /nfs/dbraw/zinc/99/79/25/388997925.db2.gz DWLMLRIGKTTYKN-NEPJUHHUSA-N 0 1 297.399 0.870 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+]1C[C@@H](C)[C@H](CO)C1 ZINC000190860194 389001501 /nfs/dbraw/zinc/00/15/01/389001501.db2.gz IDDBXHJQACXKLN-UPJWGTAASA-N 0 1 267.373 0.555 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCS[C@H](C)CC1 ZINC000192168186 389027622 /nfs/dbraw/zinc/02/76/22/389027622.db2.gz WDQPVMAJWQPJTE-SNVBAGLBSA-N 0 1 271.386 0.826 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CNC1(C(N)=O)CCCCC1 ZINC000088545443 389013805 /nfs/dbraw/zinc/01/38/05/389013805.db2.gz UDZPGTRRBCIJTM-GFCCVEGCSA-N 0 1 294.399 0.772 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000354311543 389019720 /nfs/dbraw/zinc/01/97/20/389019720.db2.gz LKBGVVWRRBPHOZ-ZDUSSCGKSA-N 0 1 270.373 0.662 20 30 CCEDMN C=C(C)CN(C)CC(=O)N1CCN(c2cnccn2)CC1 ZINC000193540184 389072481 /nfs/dbraw/zinc/07/24/81/389072481.db2.gz RWBHBZPEMHIYIU-UHFFFAOYSA-N 0 1 289.383 0.633 20 30 CCEDMN C[C@H]1CCNC(=O)[C@@H]1NCC(=O)NC1(C#N)CCCCC1 ZINC000193653484 389076307 /nfs/dbraw/zinc/07/63/07/389076307.db2.gz VLWJIXVUBJENRZ-WCQYABFASA-N 0 1 292.383 0.443 20 30 CCEDMN CCN1CCN([C@H]2CCN(C(=O)C(C)(C)C#N)C2)CC1 ZINC000106803732 389094094 /nfs/dbraw/zinc/09/40/94/389094094.db2.gz HLXYXUJEJXEORX-ZDUSSCGKSA-N 0 1 278.400 0.775 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@H]([C@H](C)O)C1 ZINC000305627930 389086892 /nfs/dbraw/zinc/08/68/92/389086892.db2.gz CWBMVAMOPLLXOZ-QWHCGFSZSA-N 0 1 267.373 0.841 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@@H](C)[C@@H](O)C1 ZINC000093333801 389036705 /nfs/dbraw/zinc/03/67/05/389036705.db2.gz NCUUFCWQVOBDJX-OLZOCXBDSA-N 0 1 252.358 0.890 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CC[C@H](C)[C@@H](O)C1 ZINC000093333802 389036929 /nfs/dbraw/zinc/03/69/29/389036929.db2.gz NCUUFCWQVOBDJX-STQMWFEESA-N 0 1 252.358 0.890 20 30 CCEDMN C[C@H](C#N)CN(C)C[C@@H]1CCCN(S(C)(=O)=O)C1 ZINC000093697237 389038351 /nfs/dbraw/zinc/03/83/51/389038351.db2.gz JERDAWDUDIQVIC-NEPJUHHUSA-N 0 1 273.402 0.749 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000355139251 389043869 /nfs/dbraw/zinc/04/38/69/389043869.db2.gz SANCCHOQOWKQCE-GFCCVEGCSA-N 0 1 277.368 0.540 20 30 CCEDMN CCCO[C@@H]1CCCN(CC(=O)NCC#N)CC1 ZINC000108868352 389099312 /nfs/dbraw/zinc/09/93/12/389099312.db2.gz FGYZVVSEDMSDQZ-GFCCVEGCSA-N 0 1 253.346 0.907 20 30 CCEDMN CN(C)[C@H]1CCN(S(=O)(=O)CCCCC#N)C1 ZINC000109342920 389100272 /nfs/dbraw/zinc/10/02/72/389100272.db2.gz UEBHPAMLMSEYNV-NSHDSACASA-N 0 1 259.375 0.646 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1cn[nH]c1-c1ccccn1 ZINC000355651937 389107495 /nfs/dbraw/zinc/10/74/95/389107495.db2.gz OIQJFWBTZPLTCU-UHFFFAOYSA-N 0 1 285.307 0.504 20 30 CCEDMN CC(C)[C@@H](CNC(=O)C(C)(C)C#N)N1CCN(C)CC1 ZINC000112914688 389128556 /nfs/dbraw/zinc/12/85/56/389128556.db2.gz DDAJDCJFZXHADP-CYBMUJFWSA-N 0 1 280.416 0.924 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000339335246 389130646 /nfs/dbraw/zinc/13/06/46/389130646.db2.gz HXVHQEBJDYMEEG-KBPBESRZSA-N 0 1 283.416 0.720 20 30 CCEDMN CC(C)(C)N1C[C@@H](NC(=O)NCCN2CCC2)CC1=O ZINC000328694913 389132354 /nfs/dbraw/zinc/13/23/54/389132354.db2.gz GEUJCJFFDYEFMQ-NSHDSACASA-N 0 1 282.388 0.595 20 30 CCEDMN O=C(NCCn1cncn1)N[C@@H]1CCC(=O)NC12CCC2 ZINC000328727547 389134381 /nfs/dbraw/zinc/13/43/81/389134381.db2.gz DHGRIBRINJJQCX-SNVBAGLBSA-N 0 1 292.343 0.823 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000339617239 389135733 /nfs/dbraw/zinc/13/57/33/389135733.db2.gz QYVSCHFZPWOSMD-XQQFMLRXSA-N 0 1 266.389 0.771 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCOC)[C@@H](CCC)C1 ZINC000121743712 389150101 /nfs/dbraw/zinc/15/01/01/389150101.db2.gz BDRKQXQHYGVTBN-AWEZNQCLSA-N 0 1 283.416 0.721 20 30 CCEDMN O=C(CN1CCn2c(=O)[nH]nc2C1)NCC1CCC1 ZINC000329655285 389182824 /nfs/dbraw/zinc/18/28/24/389182824.db2.gz MBMQWFNJBDBIQS-UHFFFAOYSA-N 0 1 265.317 0.556 20 30 CCEDMN O=C(N[C@@H]1CC[C@@H](F)C1)N1CCn2ncnc2C1 ZINC000329698404 389190100 /nfs/dbraw/zinc/19/01/00/389190100.db2.gz FPLYUYJFTDGTED-RKDXNWHRSA-N 0 1 253.281 0.898 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N[C@H](C)[C@H]1CCOC1 ZINC000329710094 389191329 /nfs/dbraw/zinc/19/13/29/389191329.db2.gz NLYPGIHYKDSOAU-MCIONIFRSA-N 0 1 298.431 0.551 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](CCC(N)=O)C1 ZINC000245395516 389206116 /nfs/dbraw/zinc/20/61/16/389206116.db2.gz HUSRYSPZGNSIRG-RYUDHWBXSA-N 0 1 265.357 0.102 20 30 CCEDMN CN(C(=O)N[C@@H]1CCc2nc[nH]c2C1)[C@H]1CCOC1 ZINC000329735599 389194331 /nfs/dbraw/zinc/19/43/31/389194331.db2.gz LCDWYNFHTHICKH-ZJUUUORDSA-N 0 1 264.329 0.902 20 30 CCEDMN CN(C([O-])=[NH+][C@@H]1CCc2nc[nH]c2C1)[C@H]1CCOC1 ZINC000329735599 389194332 /nfs/dbraw/zinc/19/43/32/389194332.db2.gz LCDWYNFHTHICKH-ZJUUUORDSA-N 0 1 264.329 0.902 20 30 CCEDMN CN(C([O-])=[NH+][C@@H]1CCc2[nH]cnc2C1)[C@H]1CCOC1 ZINC000329735599 389194335 /nfs/dbraw/zinc/19/43/35/389194335.db2.gz LCDWYNFHTHICKH-ZJUUUORDSA-N 0 1 264.329 0.902 20 30 CCEDMN C[C@@H](NC(=O)NCC1CCOCC1)c1nnc[nH]1 ZINC000329295240 389155096 /nfs/dbraw/zinc/15/50/96/389155096.db2.gz SIFDMNOJWBIYCH-MRVPVSSYSA-N 0 1 253.306 0.796 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NCCCCC#N ZINC000358825186 389160851 /nfs/dbraw/zinc/16/08/51/389160851.db2.gz FKEFFCSOJWGYBL-CYBMUJFWSA-N 0 1 281.404 0.615 20 30 CCEDMN O=C1N[C@H](Cc2cnc[nH]2)C(=O)N1CC#Cc1ccccc1 ZINC000358995375 389164655 /nfs/dbraw/zinc/16/46/55/389164655.db2.gz JZXCXPLUADILGW-CQSZACIVSA-N 0 1 294.314 0.924 20 30 CCEDMN O=C(N[C@H]1CCCOC1)N[C@H]1CCc2c[nH]nc2C1 ZINC000329467288 389165785 /nfs/dbraw/zinc/16/57/85/389165785.db2.gz WNMWDBMJELVODG-QWRGUYRKSA-N 0 1 264.329 0.950 20 30 CCEDMN C[C@@](O)(CNC(=O)c1cccn2c(O)nnc12)C1CC1 ZINC000329482367 389166643 /nfs/dbraw/zinc/16/66/43/389166643.db2.gz DTLSZLDZMINFOS-CYBMUJFWSA-N 0 1 276.296 0.901 20 30 CCEDMN CN1C[C@H](C(=O)NC2(c3nnc[nH]3)CCC2)CC1=O ZINC000329527682 389168888 /nfs/dbraw/zinc/16/88/88/389168888.db2.gz UJBJSWVUZGCEKE-MRVPVSSYSA-N 0 1 263.301 0.619 20 30 CCEDMN C[C@H](Cn1cncn1)NC(=O)N[C@@H]1CCO[C@@H](C)C1 ZINC000330596066 389244812 /nfs/dbraw/zinc/24/48/12/389244812.db2.gz CNYHPNVRQNIKIJ-OUAUKWLOSA-N 0 1 267.333 0.738 20 30 CCEDMN CCN1CCC[C@H]1CNS(=O)(=O)N(C)CCC#N ZINC000330656514 389249604 /nfs/dbraw/zinc/24/96/04/389249604.db2.gz UZJPSPQIBFHLEM-NSHDSACASA-N 0 1 274.390 0.151 20 30 CCEDMN CC#CCNC(=O)N1CCN(c2cc(OC)ccn2)CC1 ZINC000136016289 389263683 /nfs/dbraw/zinc/26/36/83/389263683.db2.gz XSVQBFUOUHDXQL-UHFFFAOYSA-N 0 1 288.351 0.945 20 30 CCEDMN O=C(N[C@@H]1CCO[C@H](C2CC2)C1)N1CCn2ncnc2C1 ZINC000329961129 389214620 /nfs/dbraw/zinc/21/46/20/389214620.db2.gz OJVZQVLQSCUOBA-NEPJUHHUSA-N 0 1 291.355 0.965 20 30 CCEDMN CN1C[C@H](NC(=O)N2CC[C@]3(CC[N@@H+](C)C3)C2)CC1=O ZINC000330185589 389218802 /nfs/dbraw/zinc/21/88/02/389218802.db2.gz JDVLOJKTXLGKLN-RISCZKNCSA-N 0 1 280.372 0.159 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CC)[C@@H]1CCOC1 ZINC000361591077 389221198 /nfs/dbraw/zinc/22/11/98/389221198.db2.gz LFTKJWDHFMAFQM-SNVBAGLBSA-N 0 1 255.318 0.109 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN[C@](C)(CC)C(N)=O ZINC000133110440 389231646 /nfs/dbraw/zinc/23/16/46/389231646.db2.gz YLADJCBGHYFPCQ-CYBMUJFWSA-N 0 1 255.362 0.655 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000330455176 389231770 /nfs/dbraw/zinc/23/17/70/389231770.db2.gz MUGKZZHTWZWGES-WFASDCNBSA-N 0 1 284.400 0.617 20 30 CCEDMN C[C@H](O)CN1CCN([C@@H](C)C(=O)N(C)CCC#N)C[C@@H]1C ZINC000247065254 389236369 /nfs/dbraw/zinc/23/63/69/389236369.db2.gz GDWUNAXWFDWKFF-IHRRRGAJSA-N 0 1 296.415 0.134 20 30 CCEDMN C[C@H]1CN(c2nccnc2C#N)CCN1CC1(C)COC1 ZINC000362465498 389237523 /nfs/dbraw/zinc/23/75/23/389237523.db2.gz UHCDCTAAQJBQAY-LBPRGKRZSA-N 0 1 287.367 0.895 20 30 CCEDMN CN(C(=O)CSCC#N)[C@H]1CCN(C2CC2)C1 ZINC000347002476 389343569 /nfs/dbraw/zinc/34/35/69/389343569.db2.gz CUJNPISBSLYOQD-NSHDSACASA-N 0 1 253.371 0.938 20 30 CCEDMN CC#CCCN1CC[C@H](S(=O)(=O)NC(C)C)C1 ZINC000156768335 389327409 /nfs/dbraw/zinc/32/74/09/389327409.db2.gz URYFYYGIPPJULC-LBPRGKRZSA-N 0 1 258.387 0.802 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@@H]1CN(C2CC2)C[C@H]1C ZINC000346539331 389331380 /nfs/dbraw/zinc/33/13/80/389331380.db2.gz WNAKQEKQCJYYTR-DGCLKSJQSA-N 0 1 279.384 0.620 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@@H](CO)C[C@H]1C ZINC000344131604 389278949 /nfs/dbraw/zinc/27/89/49/389278949.db2.gz NUNSIIGENGVSBQ-CHWSQXEVSA-N 0 1 267.373 0.841 20 30 CCEDMN CN(CC(=O)NC1(C#N)CCC1)C[C@@H]1CCC[C@H]1O ZINC000346971912 389342187 /nfs/dbraw/zinc/34/21/87/389342187.db2.gz BYMMZOQLSZGTPT-NWDGAFQWSA-N 0 1 265.357 0.642 20 30 CCEDMN N#CCCNC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000408368454 389342344 /nfs/dbraw/zinc/34/23/44/389342344.db2.gz IGUBKMAIANBBQH-GFCCVEGCSA-N 0 1 266.345 0.264 20 30 CCEDMN Cc1cccc2c1O[C@H](C(=O)N=c1[nH]n(C)cc1C#N)C2 ZINC000410543652 389385656 /nfs/dbraw/zinc/38/56/56/389385656.db2.gz YAKXGHGBQMYLAE-LBPRGKRZSA-N 0 1 282.303 0.964 20 30 CCEDMN C=CCN(CC=C)C(=O)NC[C@H]1CN(C)CCN1C ZINC000264724325 389349342 /nfs/dbraw/zinc/34/93/42/389349342.db2.gz FLDYOENTRKNTBG-ZDUSSCGKSA-N 0 1 266.389 0.616 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](c2nccn2C)C1 ZINC000373108700 389464216 /nfs/dbraw/zinc/46/42/16/389464216.db2.gz DICSWGHBZALFFX-QWHCGFSZSA-N 0 1 274.368 0.737 20 30 CCEDMN C=CCC[C@H](O)CN1CC[C@H](S(=O)(=O)NC(C)C)C1 ZINC000370857100 389420514 /nfs/dbraw/zinc/42/05/14/389420514.db2.gz XGWJOPYRUBQVMW-STQMWFEESA-N 0 1 290.429 0.716 20 30 CCEDMN C=C[C@H](CO)NS(=O)(=O)c1c(F)cc(F)cc1F ZINC000349811252 389439687 /nfs/dbraw/zinc/43/96/87/389439687.db2.gz NFUCNTQXTWQIDZ-SSDOTTSWSA-N 0 1 281.255 0.929 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN(C)CCCCO ZINC000349918207 389460126 /nfs/dbraw/zinc/46/01/26/389460126.db2.gz CKROKGBMGTWWCM-ZDUSSCGKSA-N 0 1 255.362 0.745 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@@H](CO)CN2CCCCC2)o1 ZINC000413802526 389560128 /nfs/dbraw/zinc/56/01/28/389560128.db2.gz UVEYWEANFGVMFP-LLVKDONJSA-N 0 1 277.324 0.728 20 30 CCEDMN CC#CCNC(=O)c1cccc(C(=O)NCC#CC)c1O ZINC000281194347 389609961 /nfs/dbraw/zinc/60/99/61/389609961.db2.gz KNCZSMMVIGYCSO-UHFFFAOYSA-N 0 1 284.315 0.898 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@](COC)(C(=O)OCC)C1 ZINC000281334468 389614326 /nfs/dbraw/zinc/61/43/26/389614326.db2.gz JPMNBQXUABBCID-HNNXBMFYSA-N 0 1 298.383 0.580 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@](C)(O)C(=O)OCC)C1=O ZINC000281443126 389616710 /nfs/dbraw/zinc/61/67/10/389616710.db2.gz UELNWEVJQYTRGD-SMDDNHRTSA-N 0 1 284.356 0.019 20 30 CCEDMN C=CCCn1cc(C(=O)NCCc2nc(C)n[nH]2)nn1 ZINC000424656957 389628639 /nfs/dbraw/zinc/62/86/39/389628639.db2.gz YUDRFXCFPLXLLI-UHFFFAOYSA-N 0 1 275.316 0.253 20 30 CCEDMN C=CCn1cc(CNC(=O)[C@@H]2CN(C(C)C)CCO2)nn1 ZINC000424907886 389635291 /nfs/dbraw/zinc/63/52/91/389635291.db2.gz LRQCIBLPICEQSO-ZDUSSCGKSA-N 0 1 293.371 0.190 20 30 CCEDMN C[C@H](NC(=O)CNc1cccc(C#N)c1)c1nnc[nH]1 ZINC000351636145 389643280 /nfs/dbraw/zinc/64/32/80/389643280.db2.gz MQADLPVYTYSBMB-VIFPVBQESA-N 0 1 270.296 0.966 20 30 CCEDMN CCNC(=O)c1ccc(=N[C@@H]2CC[C@H](C#N)C2)[nH]n1 ZINC000425274821 389644045 /nfs/dbraw/zinc/64/40/45/389644045.db2.gz ROIJHULETGYWMX-VHSXEESVSA-N 0 1 259.313 0.752 20 30 CCEDMN C=CCOCC(=O)NC1CCN([C@@H]2CCN(C)C2=O)CC1 ZINC000425300774 389644568 /nfs/dbraw/zinc/64/45/68/389644568.db2.gz ADRBJIYIHZGGPN-CYBMUJFWSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1C[C@H](O)C(C)(C)C1 ZINC000279792045 389595462 /nfs/dbraw/zinc/59/54/62/389595462.db2.gz OKXKKPDELGHLCB-UWVGGRQHSA-N 0 1 269.345 0.089 20 30 CCEDMN N#Cc1nccnc1N1CCN([C@H]2CCC[C@H]2O)CC1 ZINC000375771360 389711139 /nfs/dbraw/zinc/71/11/39/389711139.db2.gz KFKHJJPYMVGSLJ-QWHCGFSZSA-N 0 1 273.340 0.384 20 30 CCEDMN N#Cc1ccc(N2CCN([C@H]3CCC[C@H]3O)CC2)nc1 ZINC000375777568 389711718 /nfs/dbraw/zinc/71/17/18/389711718.db2.gz UCXDAKCOWRYYOS-UONOGXRCSA-N 0 1 272.352 0.989 20 30 CCEDMN C#CCN1CCCC[C@@H]1COC(=O)N[C@H]1CCOC1 ZINC000375805624 389715377 /nfs/dbraw/zinc/71/53/77/389715377.db2.gz MWZBXNCFYMJPEQ-QWHCGFSZSA-N 0 1 266.341 0.989 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](c2nnc[nH]2)C1 ZINC000425552347 389652862 /nfs/dbraw/zinc/65/28/62/389652862.db2.gz RISNNTPVGKCFCP-WDEREUQCSA-N 0 1 276.344 0.355 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@@H](c2nnc[nH]2)C1 ZINC000425552347 389652867 /nfs/dbraw/zinc/65/28/67/389652867.db2.gz RISNNTPVGKCFCP-WDEREUQCSA-N 0 1 276.344 0.355 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCN(C2CCOCC2)C[C@H]1C ZINC000428010011 389684537 /nfs/dbraw/zinc/68/45/37/389684537.db2.gz VQLTZNKJQJXKRN-VXGBXAGGSA-N 0 1 265.357 0.858 20 30 CCEDMN C[C@@H]1NCCN(S(=O)(=O)c2cncc(C#N)c2)[C@H]1C ZINC000379454736 389761283 /nfs/dbraw/zinc/76/12/83/389761283.db2.gz MWYJISFENOYKEQ-UWVGGRQHSA-N 0 1 280.353 0.324 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC2(CCN(C)C2=O)CC1 ZINC000376042198 389728760 /nfs/dbraw/zinc/72/87/60/389728760.db2.gz CJRKWHCVOQZFSY-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCCCS(=O)(=O)NC[C@@H]1CN2CCC[C@@H]2CO1 ZINC000376247266 389731980 /nfs/dbraw/zinc/73/19/80/389731980.db2.gz LQGPAWVBDOXAHE-CHWSQXEVSA-N 0 1 286.397 0.182 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NC[C@@H](Cc1ccccc1)N(C)C ZINC000416368577 389736971 /nfs/dbraw/zinc/73/69/71/389736971.db2.gz HLWORWKNRMKYOO-GXTWGEPZSA-N 0 1 295.408 0.991 20 30 CCEDMN N#Cc1ccc([C@H](C(N)=O)N2CCc3n[nH]nc3C2)cc1 ZINC000429298320 389749312 /nfs/dbraw/zinc/74/93/12/389749312.db2.gz DEXCMNLQPRGZAV-CYBMUJFWSA-N 0 1 282.307 0.261 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)NCCN1CCC(C)CC1 ZINC000352412029 389806061 /nfs/dbraw/zinc/80/60/61/389806061.db2.gz BESBMGQKTAFNDU-LLVKDONJSA-N 0 1 259.375 0.550 20 30 CCEDMN C=C[C@@](C)(CCOC)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000352439187 389810638 /nfs/dbraw/zinc/81/06/38/389810638.db2.gz OPWHVPUXSLQLQF-HOCLYGCPSA-N 0 1 297.443 0.967 20 30 CCEDMN CCC[C@@H](NC(=O)c1c[nH]c(C#N)c1)c1nn[nH]n1 ZINC000352443046 389811948 /nfs/dbraw/zinc/81/19/48/389811948.db2.gz CHUCNARNGARYDM-SECBINFHSA-N 0 1 259.273 0.671 20 30 CCEDMN C=CCCCC(=O)N(C)CC(=O)N1CCNCC1 ZINC000353042783 389844120 /nfs/dbraw/zinc/84/41/20/389844120.db2.gz FTMQQWDBCHTBPY-UHFFFAOYSA-N 0 1 253.346 0.233 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)NCC(C)(C)CC#N)C[C@@H](C)N1 ZINC000418968175 389885297 /nfs/dbraw/zinc/88/52/97/389885297.db2.gz AZQQBISYVIDLHD-GHMZBOCLSA-N 0 1 280.372 0.251 20 30 CCEDMN C=CCNC(=O)[C@H](C)N[C@@H](COC)[C@@H]1CCCO1 ZINC000432653872 389886603 /nfs/dbraw/zinc/88/66/03/389886603.db2.gz OMQFIFOHBPNLKQ-SRVKXCTJSA-N 0 1 256.346 0.461 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1C[C@H](C)N[C@@H](C)C1 ZINC000419156506 389888423 /nfs/dbraw/zinc/88/84/23/389888423.db2.gz VINOROLUVBMYPF-QWRGUYRKSA-N 0 1 285.413 0.231 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000419321546 389891194 /nfs/dbraw/zinc/89/11/94/389891194.db2.gz GECPSCUFVLQKGW-CABCVRRESA-N 0 1 297.443 0.798 20 30 CCEDMN C=CCOCCCN1CCC[C@@H]1C(=O)NCC(=O)OC ZINC000419348279 389892016 /nfs/dbraw/zinc/89/20/16/389892016.db2.gz AVKRBBYZHDEAAJ-GFCCVEGCSA-N 0 1 284.356 0.333 20 30 CCEDMN C[C@@H]1CN(C[C@H](O)CN(C)CC(C)(C)C#N)C[C@@H](C)O1 ZINC000433294195 389907820 /nfs/dbraw/zinc/90/78/20/389907820.db2.gz KGNVSUIGVIEBIJ-MGPQQGTHSA-N 0 1 283.416 0.938 20 30 CCEDMN C=CCCCNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000447947755 389974963 /nfs/dbraw/zinc/97/49/63/389974963.db2.gz HWBYWEDKCIFXKD-GFCCVEGCSA-N 0 1 254.378 0.498 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@H](O)C(C)(C)C1 ZINC000447875230 389967052 /nfs/dbraw/zinc/96/70/52/389967052.db2.gz PBSLKAVKKQHAPB-MNOVXSKESA-N 0 1 265.357 0.640 20 30 CCEDMN N#Cc1ccsc1NC(=O)CN[C@@H](CO)[C@H]1CCCO1 ZINC000447916805 389971548 /nfs/dbraw/zinc/97/15/48/389971548.db2.gz PPQNTEMXPRDUGH-WDEREUQCSA-N 0 1 295.364 0.688 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1C[C@@H](C)C[C@H](CO)C1 ZINC000420933477 390038522 /nfs/dbraw/zinc/03/85/22/390038522.db2.gz GALHMMUTSOPFRX-MJBXVCDLSA-N 0 1 281.400 0.945 20 30 CCEDMN COC[C@H](C)OC[C@H](O)CN1CCC(F)(C#N)CC1 ZINC000352484419 285358108 /nfs/dbraw/zinc/35/81/08/285358108.db2.gz OXLUJTIZQWOGGY-NWDGAFQWSA-N 0 1 274.336 0.726 20 30 CCEDMN C#C[C@H](C)N(C)C(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000491475586 390113918 /nfs/dbraw/zinc/11/39/18/390113918.db2.gz YDBBGUKUYFHCPZ-ZDUSSCGKSA-N 0 1 286.379 0.927 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC(C)=C[C@@H](C)C2)CC1 ZINC000491524685 390114434 /nfs/dbraw/zinc/11/44/34/390114434.db2.gz NJVYOWNCVXTMAE-CQSZACIVSA-N 0 1 275.396 0.662 20 30 CCEDMN N#Cc1cc(C(=O)N2CCC[C@@H](c3nn[nH]n3)C2)c[nH]1 ZINC000538709336 390197308 /nfs/dbraw/zinc/19/73/08/390197308.db2.gz LJAOPNICONBRIM-MRVPVSSYSA-N 0 1 271.284 0.419 20 30 CCEDMN CCN1CCN([C@H]2CCN(C(=O)NC[C@@H](C)C#N)C2)CC1 ZINC000541019560 390234312 /nfs/dbraw/zinc/23/43/12/390234312.db2.gz KSPLMVAHAMSDSN-KBPBESRZSA-N 0 1 293.415 0.567 20 30 CCEDMN C=CCN1C(=O)N=NC1SC[C@H]1COC(=O)N1 ZINC000497078149 390414004 /nfs/dbraw/zinc/41/40/04/390414004.db2.gz PINKNYZZKBPKSQ-ZCFIWIBFSA-N 0 1 256.287 0.370 20 30 CCEDMN C=CCn1cc(C(=O)N2CCN(C)[C@H](C(C)C)C2)nn1 ZINC000648879558 390432590 /nfs/dbraw/zinc/43/25/90/390432590.db2.gz UGEFBAAWOWLEDH-ZDUSSCGKSA-N 0 1 277.372 0.876 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2CCC(=O)[C@H](C)C2)[nH]n1C ZINC000648434702 390372562 /nfs/dbraw/zinc/37/25/62/390372562.db2.gz WVTICKJVESQZDE-SCZZXKLOSA-N 0 1 274.324 0.966 20 30 CCEDMN CN(Cc1cnc[nH]1)S(=O)(=O)CC1(CC#N)CC1 ZINC000564586342 390495575 /nfs/dbraw/zinc/49/55/75/390495575.db2.gz QBPYKSFBABQBKY-UHFFFAOYSA-N 0 1 268.342 0.865 20 30 CCEDMN CC(C)(C)c1nc(CN2CCN(C(=O)CC#N)CC2)n[nH]1 ZINC000565167098 390500695 /nfs/dbraw/zinc/50/06/95/390500695.db2.gz WSPGSJFYGCCAJP-UHFFFAOYSA-N 0 1 290.371 0.660 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)c1ccc2[nH]nnc2c1 ZINC000649192539 390518804 /nfs/dbraw/zinc/51/88/04/390518804.db2.gz KLPZHFMKFPQAON-SNVBAGLBSA-N 0 1 258.281 0.462 20 30 CCEDMN CN1CCC(C#N)(NC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000520991230 390591143 /nfs/dbraw/zinc/59/11/43/390591143.db2.gz QVARPHKKXIKOFX-UHFFFAOYSA-N 0 1 277.284 0.641 20 30 CCEDMN C=C(C)[C@H](CO)N1CCN(c2ccc(C(N)=O)cc2)CC1 ZINC000649316958 390574953 /nfs/dbraw/zinc/57/49/53/390574953.db2.gz HIZFZPDYRBPMSM-HNNXBMFYSA-N 0 1 289.379 0.845 20 30 CCEDMN C[C@H]1CN(Cc2ccc(C#N)cn2)CCN1CCO ZINC000566417272 390601198 /nfs/dbraw/zinc/60/11/98/390601198.db2.gz WQXGUFHOVFDWBQ-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN CCN(CC(=O)NC1(C#N)CCC1)[C@@H]1CCOC1 ZINC000569777821 390716505 /nfs/dbraw/zinc/71/65/05/390716505.db2.gz XACLGAVOPGSXPC-LLVKDONJSA-N 0 1 251.330 0.660 20 30 CCEDMN C=C[C@H](O)C(=O)NC[C@H](c1cccc(OC)c1)N(C)C ZINC000646573529 390695991 /nfs/dbraw/zinc/69/59/91/390695991.db2.gz IQJTWBTWXWDHFZ-KGLIPLIRSA-N 0 1 278.352 0.961 20 30 CCEDMN CC1(C(N)=O)CN(C[C@H](O)COc2ccccc2C#N)C1 ZINC000528540412 390755954 /nfs/dbraw/zinc/75/59/54/390755954.db2.gz ZSUPVIFGAGHBOT-LBPRGKRZSA-N 0 1 289.335 0.105 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1ccc(C#N)cc1)[C@@H](C)C(=O)[O-] ZINC000578016559 390859801 /nfs/dbraw/zinc/85/98/01/390859801.db2.gz BMZSLLXGZSIVIR-NSHDSACASA-N 0 1 289.335 0.969 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1ccc(C#N)cc1)[C@H](C)C(=O)[O-] ZINC000578016558 390859895 /nfs/dbraw/zinc/85/98/95/390859895.db2.gz BMZSLLXGZSIVIR-LLVKDONJSA-N 0 1 289.335 0.969 20 30 CCEDMN C=CCCn1cc(C(=O)NCC[N@@H+]2CC[C@@H](C)C2)nn1 ZINC000578127582 390865505 /nfs/dbraw/zinc/86/55/05/390865505.db2.gz FLHOCJYCJJYIEY-GFCCVEGCSA-N 0 1 277.372 0.926 20 30 CCEDMN COC(=O)C[C@H](C)C(=O)NC1(C#N)CCN(C)CC1 ZINC000532072255 390878722 /nfs/dbraw/zinc/87/87/22/390878722.db2.gz CGOFRHMOFUHUDG-JTQLQIEISA-N 0 1 267.329 0.290 20 30 CCEDMN CO[C@@H]1COC[C@H]1N[C@@H](C)C(=O)N[C@](C)(C#N)C(C)C ZINC000578766370 390901180 /nfs/dbraw/zinc/90/11/80/390901180.db2.gz PBHNXGLRSNVHJT-FMCLSXCISA-N 0 1 283.372 0.433 20 30 CCEDMN CNS(=O)(=O)CCNCc1cccc(C#N)c1 ZINC000190312497 130088532 /nfs/dbraw/zinc/08/85/32/130088532.db2.gz GGCNDONAKIHTIH-UHFFFAOYSA-N 0 1 253.327 0.197 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2ccc(C#N)cc2F)CC1 ZINC000263691170 131309563 /nfs/dbraw/zinc/30/95/63/131309563.db2.gz JTTBECQAJDVJRD-UHFFFAOYSA-N 0 1 290.342 0.908 20 30 CCEDMN C=CCNC(=O)CN[C@@H](C(C)C)[C@H](O)C(F)(F)F ZINC000685413966 545816074 /nfs/dbraw/zinc/81/60/74/545816074.db2.gz VVRZGNPLBZDWNF-UWVGGRQHSA-N 0 1 268.279 0.826 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cnc(C#N)cn2)[C@@H](C)C1 ZINC000275306797 135104670 /nfs/dbraw/zinc/10/46/70/135104670.db2.gz BKKBJOVXDNSCAR-WDEREUQCSA-N 0 1 261.329 0.240 20 30 CCEDMN N#Cc1csc(CN2CCn3c(=O)[nH]nc3C2)n1 ZINC000356445006 144003472 /nfs/dbraw/zinc/00/34/72/144003472.db2.gz ZERPHTJXLQMYME-UHFFFAOYSA-N 0 1 262.298 0.328 20 30 CCEDMN N#Cc1cnc(N2CCN([C@H]3CCC[C@@H]3O)CC2)cn1 ZINC000368382959 144221753 /nfs/dbraw/zinc/22/17/53/144221753.db2.gz LSDLYMMEUHGIMH-STQMWFEESA-N 0 1 273.340 0.384 20 30 CCEDMN N#Cc1cccc(F)c1CC(=O)NC1(c2nn[nH]n2)CC1 ZINC000552187332 286158238 /nfs/dbraw/zinc/15/82/38/286158238.db2.gz PXQIHTAFFXJBHV-UHFFFAOYSA-N 0 1 286.270 0.558 20 30 CCEDMN C=CCCn1cc(CN[C@@H]2CCC[C@H]2C(=O)NC)nn1 ZINC000653640610 412329792 /nfs/dbraw/zinc/32/97/92/412329792.db2.gz UPGORZZGQFHQHG-CHWSQXEVSA-N 0 1 277.372 0.859 20 30 CCEDMN COCC#CCN[C@H](c1cnn(C)c1)C1(CO)CCC1 ZINC000660602719 414410659 /nfs/dbraw/zinc/41/06/59/414410659.db2.gz WYYRABMZECVMLM-CQSZACIVSA-N 0 1 277.368 0.863 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@](CO)(OC)C2)C1=O ZINC000661390086 414615031 /nfs/dbraw/zinc/61/50/31/414615031.db2.gz PUZXALUSDBMZNV-GXTWGEPZSA-N 0 1 268.357 0.247 20 30 CCEDMN CN(CC(=O)N1CCNCC1)C(=O)c1cccc(C#N)c1 ZINC000038031236 174025080 /nfs/dbraw/zinc/02/50/80/174025080.db2.gz FDKQYPUAHLEDMI-UHFFFAOYSA-N 0 1 286.335 0.062 20 30 CCEDMN C=CCCCN1C[C@H]2[C@@H](C1)[C@H](OC)CS2(=O)=O ZINC000661885225 414682177 /nfs/dbraw/zinc/68/21/77/414682177.db2.gz WGXOIQAAKCYMOD-TUAOUCFPSA-N 0 1 259.371 0.696 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCCN(C)c1ccccc1 ZINC000662164035 414694708 /nfs/dbraw/zinc/69/47/08/414694708.db2.gz VVDFCYFPBFLWCM-CQSZACIVSA-N 0 1 291.395 0.766 20 30 CCEDMN C=C[C@H](CO)NCC(=O)N[C@H](Cc1ccccc1)C(C)=O ZINC000662163844 414694951 /nfs/dbraw/zinc/69/49/51/414694951.db2.gz NQGXXFQOXDBTAK-HUUCEWRRSA-N 0 1 290.363 0.439 20 30 CCEDMN C=CCCCNC(=O)NCc1n[nH]c(COC)n1 ZINC000664786457 415471756 /nfs/dbraw/zinc/47/17/56/415471756.db2.gz XFQDVANXKXCDKN-UHFFFAOYSA-N 0 1 253.306 0.717 20 30 CCEDMN C=CCCCNC(=O)NCc1nnc(COC)[nH]1 ZINC000664786457 415471763 /nfs/dbraw/zinc/47/17/63/415471763.db2.gz XFQDVANXKXCDKN-UHFFFAOYSA-N 0 1 253.306 0.717 20 30 CCEDMN C[C@H](NC(=O)NC[C@H]1CCCOC1)c1nnc[nH]1 ZINC000329255163 227387331 /nfs/dbraw/zinc/38/73/31/227387331.db2.gz BIGVWQUMTCQSDK-DTWKUNHWSA-N 0 1 253.306 0.796 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NC[C@]1(O)CCSC1 ZINC000329094463 227293147 /nfs/dbraw/zinc/29/31/47/227293147.db2.gz XNFTWDVBGYHTFS-DGCLKSJQSA-N 0 1 288.413 0.779 20 30 CCEDMN Cc1nc2n(n1)C[C@H]([NH+]=C([O-])NCc1ncoc1C)CC2 ZINC000329116568 227308906 /nfs/dbraw/zinc/30/89/06/227308906.db2.gz NLSUDBJPRPXRRR-SNVBAGLBSA-N 0 1 290.327 0.902 20 30 CCEDMN O=C(NC[C@@H](O)C1CC1)c1cccn2c(O)nnc12 ZINC000329332699 227449184 /nfs/dbraw/zinc/44/91/84/227449184.db2.gz JUEMKPBWZMFNOZ-SECBINFHSA-N 0 1 262.269 0.510 20 30 CCEDMN O=C(CCN1C(=O)CCC1=O)NCCN1CCSCC1 ZINC000329316113 545021853 /nfs/dbraw/zinc/02/18/53/545021853.db2.gz BIAZCQHXBUIXTC-UHFFFAOYSA-N 0 1 299.396 0.531 20 30 CCEDMN COCCN1CC[C@H](NC(=O)N(C)C[C@H]2CCCOC2)C1 ZINC000329593123 545023985 /nfs/dbraw/zinc/02/39/85/545023985.db2.gz OEYOCDDBKSGJGX-KGLIPLIRSA-N 0 1 299.415 0.980 20 30 CCEDMN Cc1nnc(SCCC(=O)N(C)CCC#N)[nH]1 ZINC000041632721 260184528 /nfs/dbraw/zinc/18/45/28/260184528.db2.gz UORJRMLRYRDYRX-UHFFFAOYSA-N 0 1 253.331 0.967 20 30 CCEDMN N#CC1(C(=O)NC[C@H]2C[N@H+]3CCC[C@H]3CO2)CCC1 ZINC000083798089 260190600 /nfs/dbraw/zinc/19/06/00/260190600.db2.gz QBVYJRDAAUNLJI-RYUDHWBXSA-N 0 1 263.341 0.660 20 30 CCEDMN CCCN(CC#N)C(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000056485443 260223177 /nfs/dbraw/zinc/22/31/77/260223177.db2.gz VPJNQURGAWICQZ-UHFFFAOYSA-N 0 1 287.327 0.551 20 30 CCEDMN C=CCN(C(=O)[C@@H]1CCN(C)C1)[C@H]1CCS(=O)(=O)C1 ZINC000521071511 260395713 /nfs/dbraw/zinc/39/57/13/260395713.db2.gz QNIGHGHXKCROMZ-NEPJUHHUSA-N 0 1 286.397 0.140 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@@H](C#N)C2)CC1 ZINC000490615488 261168841 /nfs/dbraw/zinc/16/88/41/261168841.db2.gz FIZJPOXUEHAURD-ZDUSSCGKSA-N 0 1 261.325 0.083 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H](C)CN1CCOCC1)C(C)C ZINC000490629820 261172582 /nfs/dbraw/zinc/17/25/82/261172582.db2.gz NVJZFKKCHLCGDJ-STQMWFEESA-N 0 1 252.358 0.729 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](C)[C@H]1CO ZINC000248861103 261205602 /nfs/dbraw/zinc/20/56/02/261205602.db2.gz ACWALGAUJDVZNB-FRRDWIJNSA-N 0 1 267.373 0.697 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H]2CCC[C@H]2O)CC1 ZINC000490899327 261241131 /nfs/dbraw/zinc/24/11/31/261241131.db2.gz OHJDSQBQZMWJLG-LSDHHAIUSA-N 0 1 278.396 0.951 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000249355385 261249765 /nfs/dbraw/zinc/24/97/65/261249765.db2.gz LAHZOEUMHLGCAW-CHWSQXEVSA-N 0 1 283.416 0.454 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@@H]1CN(C)CCN1C)C(C)C ZINC000490996984 261290647 /nfs/dbraw/zinc/29/06/47/261290647.db2.gz QWDYQOJVWVPACN-OLZOCXBDSA-N 0 1 266.389 0.189 20 30 CCEDMN CC#CCCN1CCC(N(C)S(C)(=O)=O)CC1 ZINC000336718526 262383127 /nfs/dbraw/zinc/38/31/27/262383127.db2.gz KFNMRLVLTFOSDK-UHFFFAOYSA-N 0 1 258.387 0.756 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(OCCO)CC2)C1=O ZINC000337171308 262427970 /nfs/dbraw/zinc/42/79/70/262427970.db2.gz DPSVNKUGWNNYBO-CYBMUJFWSA-N 0 1 268.357 0.247 20 30 CCEDMN Cc1nn(C)c(N2CCN(C[C@@H]3CCOC3)CC2)c1C#N ZINC000530413897 269927331 /nfs/dbraw/zinc/92/73/31/269927331.db2.gz FXLJGWGXTJWZJN-ZDUSSCGKSA-N 0 1 289.383 0.759 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)c2ccc(CC#N)cc2)C1 ZINC000270404313 262987766 /nfs/dbraw/zinc/98/77/66/262987766.db2.gz GDHVWAHTZNLYLD-OAHLLOKOSA-N 0 1 286.379 0.728 20 30 CCEDMN C[C@H](N[C@@H](C)c1cnn(C)c1)C(=O)N(C)CCC#N ZINC000273371802 263016323 /nfs/dbraw/zinc/01/63/23/263016323.db2.gz LBCVVWQCZFAQAM-QWRGUYRKSA-N 0 1 263.345 0.831 20 30 CCEDMN CO/N=C/C(=O)NC1CCN(Cc2ccncc2)CC1 ZINC000283693021 263083726 /nfs/dbraw/zinc/08/37/26/263083726.db2.gz HKDBBRLHITUHFK-MHWRWJLKSA-N 0 1 276.340 0.794 20 30 CCEDMN CN(CC(=O)OC(C)(C)C)[C@@H]1CN(CCCC#N)C[C@@H]1O ZINC000340216554 263096546 /nfs/dbraw/zinc/09/65/46/263096546.db2.gz LIMKPOJXJFOTRR-OLZOCXBDSA-N 0 1 297.399 0.609 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1CCCN(CC)CC1 ZINC000286488703 263098606 /nfs/dbraw/zinc/09/86/06/263098606.db2.gz MINOTIGOPZUPOW-UHFFFAOYSA-N 0 1 299.440 0.576 20 30 CCEDMN N#CCC(=O)N1CCN(C(=O)c2ccc(F)cc2O)CC1 ZINC000294702108 263166222 /nfs/dbraw/zinc/16/62/22/263166222.db2.gz VZAIDRPCKRLNGU-UHFFFAOYSA-N 0 1 291.282 0.729 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCN(CC)CC1 ZINC000043562502 263296230 /nfs/dbraw/zinc/29/62/30/263296230.db2.gz GKOWCSOBVBSRPL-NSHDSACASA-N 0 1 268.361 0.024 20 30 CCEDMN CC(C)N1CCN([C@@H](C)C(=O)N(C)CCC#N)CC1 ZINC000045778778 263302871 /nfs/dbraw/zinc/30/28/71/263302871.db2.gz NAMPMJJRDJAYRF-ZDUSSCGKSA-N 0 1 266.389 0.773 20 30 CCEDMN C=CC[C@H](CO)CNC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000341803690 263353763 /nfs/dbraw/zinc/35/37/63/263353763.db2.gz WVNCQZYUZBGXHI-KGLIPLIRSA-N 0 1 298.431 0.106 20 30 CCEDMN C=CCCC[C@@H](C)N=c1ccc(C(=O)NCCO)n[nH]1 ZINC000342787039 263485635 /nfs/dbraw/zinc/48/56/35/263485635.db2.gz NNXQYBVFXKAQSD-LLVKDONJSA-N 0 1 278.356 0.777 20 30 CCEDMN CCn1nncc1C(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000328797225 263872649 /nfs/dbraw/zinc/87/26/49/263872649.db2.gz DWOIOLLKLMYAPU-LLVKDONJSA-N 0 1 280.376 0.239 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](C)N(C)C[C@@H]1C ZINC000330584165 263981035 /nfs/dbraw/zinc/98/10/35/263981035.db2.gz KQULZEBHGQKMME-OLZOCXBDSA-N 0 1 266.389 0.773 20 30 CCEDMN C[C@H](Cn1cccn1)NC(=O)N1CC[C@@H](CO)C1 ZINC000330823447 264047900 /nfs/dbraw/zinc/04/79/00/264047900.db2.gz PZXWYOZLGTYMLQ-GHMZBOCLSA-N 0 1 252.318 0.500 20 30 CCEDMN CN(C)c1ccncc1C(=O)N[C@H]1CCCNC1=O ZINC000330836237 264051272 /nfs/dbraw/zinc/05/12/72/264051272.db2.gz WYYVWDUGYZRESL-JTQLQIEISA-N 0 1 262.313 0.731 20 30 CCEDMN CC(=O)NC[C@H]1CCCN(C([O-])=[NH+]CCn2cccn2)C1 ZINC000330837131 264051746 /nfs/dbraw/zinc/05/17/46/264051746.db2.gz UVMDKHLNQPKVSN-CYBMUJFWSA-N 0 1 293.371 0.645 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(Cc2n[nH]c(C)n2)CC1 ZINC000330866531 264057940 /nfs/dbraw/zinc/05/79/40/264057940.db2.gz OIPLTIPLEGIUGY-CQSZACIVSA-N 0 1 293.371 0.084 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)N1CCN(CC2CC2)CC1 ZINC000052165443 264065523 /nfs/dbraw/zinc/06/55/23/264065523.db2.gz MFLLDGBKECNBEN-LBPRGKRZSA-N 0 1 294.399 0.414 20 30 CCEDMN C[C@H](C#N)CN(C)C(=O)[C@@H](C)CN1CCOCC1 ZINC000330843006 264065843 /nfs/dbraw/zinc/06/58/43/264065843.db2.gz YPSPCMDWUDPSOS-NEPJUHHUSA-N 0 1 253.346 0.573 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)[C@@H](C)CN1CCOCC1 ZINC000330843008 264065855 /nfs/dbraw/zinc/06/58/55/264065855.db2.gz YPSPCMDWUDPSOS-RYUDHWBXSA-N 0 1 253.346 0.573 20 30 CCEDMN C[C@H](O)CN1CCN(C(=O)NCc2ccn(C)n2)C[C@@H]1C ZINC000330851250 264067663 /nfs/dbraw/zinc/06/76/63/264067663.db2.gz NOMMPAATPQPVIU-RYUDHWBXSA-N 0 1 295.387 0.221 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000330942292 264083642 /nfs/dbraw/zinc/08/36/42/264083642.db2.gz DPTSLSUDTFRWQP-OCCSQVGLSA-N 0 1 269.389 0.066 20 30 CCEDMN CCNC(=O)NC(=O)[C@H](C)N(C)C[C@@H]1CCCCO1 ZINC000330945615 264085292 /nfs/dbraw/zinc/08/52/92/264085292.db2.gz KDRKOFLVXAKFJS-QWRGUYRKSA-N 0 1 271.361 0.926 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000330948827 264085808 /nfs/dbraw/zinc/08/58/08/264085808.db2.gz JAZWLCYAUSSONO-GXTWGEPZSA-N 0 1 269.389 0.066 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CC[C@H](CN2CCOCC2)C1 ZINC000330951163 264086592 /nfs/dbraw/zinc/08/65/92/264086592.db2.gz KMTPWDJWMQTDTQ-HIFRSBDPSA-N 0 1 282.384 0.494 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000330982665 264103095 /nfs/dbraw/zinc/10/30/95/264103095.db2.gz VSOSVXZDLNMSOZ-INIZCTEOSA-N 0 1 296.411 0.884 20 30 CCEDMN CC(C)N(C[C@H](C)O)C(=O)NCCn1cncn1 ZINC000330987956 264104596 /nfs/dbraw/zinc/10/45/96/264104596.db2.gz RYNRFLNIBJMRMT-JTQLQIEISA-N 0 1 255.322 0.283 20 30 CCEDMN CNS(=O)(=O)CCNC(=O)c1c(C)n[nH]c1C(C)C ZINC000331294148 264197567 /nfs/dbraw/zinc/19/75/67/264197567.db2.gz LYKILXZFDVNVDC-UHFFFAOYSA-N 0 1 288.373 0.695 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCN([C@@H](CC)CO)CC1 ZINC000075959625 264334502 /nfs/dbraw/zinc/33/45/02/264334502.db2.gz NCOMICZUWCUXSM-HNNXBMFYSA-N 0 1 295.427 0.576 20 30 CCEDMN C[C@H](C(=O)N(CCC#N)CCCN(C)C)S(C)(=O)=O ZINC000114682515 266478116 /nfs/dbraw/zinc/47/81/16/266478116.db2.gz OSBUAFYDBYQUMM-LLVKDONJSA-N 0 1 289.401 0.113 20 30 CCEDMN CNS(=O)(=O)[C@H]1CCC[N@@H+](CCCCC#N)C1 ZINC000369287306 267232307 /nfs/dbraw/zinc/23/23/07/267232307.db2.gz GBOLTCXIQQINPO-NSHDSACASA-N 0 1 259.375 0.694 20 30 CCEDMN CNS(=O)(=O)[C@H]1CCCN(CCCCC#N)C1 ZINC000369287306 267232308 /nfs/dbraw/zinc/23/23/08/267232308.db2.gz GBOLTCXIQQINPO-NSHDSACASA-N 0 1 259.375 0.694 20 30 CCEDMN C[C@@H]1CN(C2CCOCC2)CCN1C(=O)CSCC#N ZINC000369492897 267246696 /nfs/dbraw/zinc/24/66/96/267246696.db2.gz XFLOXFYGQRXIPA-GFCCVEGCSA-N 0 1 297.424 0.955 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1CNC(=O)N1CCN[C@H](C)C1 ZINC000418128436 267357795 /nfs/dbraw/zinc/35/77/95/267357795.db2.gz BMAPZGMJLJIFBT-FRRDWIJNSA-N 0 1 267.373 0.971 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)N1CCN[C@H](C)C1 ZINC000418128442 267357898 /nfs/dbraw/zinc/35/78/98/267357898.db2.gz BMAPZGMJLJIFBT-UPJWGTAASA-N 0 1 267.373 0.971 20 30 CCEDMN CCNC(=O)NC(=O)CN1CCC(C)(COC)CC1 ZINC000330658361 280844425 /nfs/dbraw/zinc/84/44/25/280844425.db2.gz SHNJYEFHRPFLLF-UHFFFAOYSA-N 0 1 271.361 0.785 20 30 CCEDMN O=C(N[C@H]1CCc2ncnn2C1)N1C[C@H]2CC[C@@H](C1)O2 ZINC000329799440 295387819 /nfs/dbraw/zinc/38/78/19/295387819.db2.gz HLKMFJYQURNCEY-GARJFASQSA-N 0 1 277.328 0.370 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(C(=O)NCC(C)(C)C#N)C1 ZINC000413438524 328145592 /nfs/dbraw/zinc/14/55/92/328145592.db2.gz MAOZSLNFGCBHKF-LLVKDONJSA-N 0 1 296.371 0.282 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000285953141 328248311 /nfs/dbraw/zinc/24/83/11/328248311.db2.gz COGILFMFSHSAFY-GZMMTYOYSA-N 0 1 250.306 0.701 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H](CO)CC1 ZINC000339748112 327014477 /nfs/dbraw/zinc/01/44/77/327014477.db2.gz MZFQTFXKTZGINA-NSHDSACASA-N 0 1 269.345 0.093 20 30 CCEDMN CCNC(=O)N1CC[C@@H](NC[C@@H](C#N)CCC#N)C1 ZINC000558703400 327116075 /nfs/dbraw/zinc/11/60/75/327116075.db2.gz OJQVTFCNXOKJLF-VXGBXAGGSA-N 0 1 263.345 0.823 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@@H](C2CC2)C1 ZINC000363771623 327238510 /nfs/dbraw/zinc/23/85/10/327238510.db2.gz GWRKKZJDYBMVNQ-CYBMUJFWSA-N 0 1 265.357 0.859 20 30 CCEDMN C=CCNC(=O)c1ccc(NC(=O)[C@@H]2CNCCO2)cc1 ZINC000043709552 327262912 /nfs/dbraw/zinc/26/29/12/327262912.db2.gz IZQRXKMYWYARFF-ZDUSSCGKSA-N 0 1 289.335 0.529 20 30 CCEDMN N#CC1CCN(C[C@@H](O)Cn2cc([N+](=O)[O-])cn2)CC1 ZINC000173587365 329149578 /nfs/dbraw/zinc/14/95/78/329149578.db2.gz OOUQXYDLKPXRFC-GFCCVEGCSA-N 0 1 279.300 0.388 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N(C)Cc1nnc[nH]1 ZINC000177154360 329180585 /nfs/dbraw/zinc/18/05/85/329180585.db2.gz DXTNSJYGIWVPKH-NSHDSACASA-N 0 1 263.345 0.826 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC000185420806 329273296 /nfs/dbraw/zinc/27/32/96/329273296.db2.gz ACGKSGRQTBJNHL-CQSZACIVSA-N 0 1 265.401 0.932 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCN(C2CCOCC2)CC1 ZINC000186078993 329337170 /nfs/dbraw/zinc/33/71/70/329337170.db2.gz ZCHCJNPIXLNWGZ-GFCCVEGCSA-N 0 1 265.357 0.514 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000189930970 329390379 /nfs/dbraw/zinc/39/03/79/329390379.db2.gz ZUCNOWFAONRIDA-GFCCVEGCSA-N 0 1 269.389 0.066 20 30 CCEDMN C=C(C)COCCNC(=O)C(=O)N1CCNC[C@@H]1CCC ZINC000419408913 329724292 /nfs/dbraw/zinc/72/42/92/329724292.db2.gz YELQKDVXAWIBTK-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN CCC[C@@H]1CNCCN1C(=O)C(=O)NCCCCC#N ZINC000419656569 329743944 /nfs/dbraw/zinc/74/39/44/329743944.db2.gz VCGYKBBDBYPXBE-GFCCVEGCSA-N 0 1 280.372 0.397 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)N(C)CC(C)(C)C#N)[C@@H](C)CN1 ZINC000423633665 330213279 /nfs/dbraw/zinc/21/32/79/330213279.db2.gz PLUPIUJWSPTDAJ-QWRGUYRKSA-N 0 1 280.372 0.203 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1CCNC[C@@H]1CCC ZINC000423713362 330214487 /nfs/dbraw/zinc/21/44/87/330214487.db2.gz FETSMQZKGJEXRV-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCO[C@H]([C@H]3CCCO3)C2)CC1 ZINC000528401381 330261999 /nfs/dbraw/zinc/26/19/99/330261999.db2.gz BFYBDGVUSDPJDP-MJBXVCDLSA-N 0 1 280.368 0.921 20 30 CCEDMN C#CCN(C)CCCNc1nccn(C2CC2)c1=O ZINC000328117924 330315142 /nfs/dbraw/zinc/31/51/42/330315142.db2.gz PWXLNGFFQOZQQV-UHFFFAOYSA-N 0 1 260.341 0.945 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)N[C@@H]2CC[C@H](C#N)C2)[C@H](C)CN1 ZINC000424551040 330374252 /nfs/dbraw/zinc/37/42/52/330374252.db2.gz UVQFMFPKUFRFOH-WISYIIOYSA-N 0 1 278.356 0.004 20 30 CCEDMN C=CCN1CCC(NC(=O)NCC2([S@@](C)=O)CC2)CC1 ZINC000441174168 330762045 /nfs/dbraw/zinc/76/20/45/330762045.db2.gz DCAYPEIZCYVRSD-HXUWFJFHSA-N 0 1 299.440 0.847 20 30 CCEDMN C=CCN(CCOC)CCN1C(=O)N[C@@H](C)C1=O ZINC000459412218 331280439 /nfs/dbraw/zinc/28/04/39/331280439.db2.gz FOSNKLWLQJRBFR-JTQLQIEISA-N 0 1 255.318 0.061 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](C(=O)OC)[C@@H]1C ZINC000490715885 332108015 /nfs/dbraw/zinc/10/80/15/332108015.db2.gz ZXYGDRBMEDRKQE-DCAQKATOSA-N 0 1 252.314 0.008 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)Cc2ccon2)CC1 ZINC000490657729 332101058 /nfs/dbraw/zinc/10/10/58/332101058.db2.gz ZVVVLOBDNCLFBS-UHFFFAOYSA-N 0 1 261.325 0.978 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCS[C@@H](C)[C@@H]2C)CC1 ZINC000490760464 332112969 /nfs/dbraw/zinc/11/29/69/332112969.db2.gz LVIUOUBJLACKQG-KBPBESRZSA-N 0 1 295.452 0.590 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@H](O)COC(C)C)CC1 ZINC000490852322 332120652 /nfs/dbraw/zinc/12/06/52/332120652.db2.gz MDKXYGCTDCABRU-CQSZACIVSA-N 0 1 283.368 0.661 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC000490877113 332124481 /nfs/dbraw/zinc/12/44/81/332124481.db2.gz WMUVAJDJUVWRAR-KGLIPLIRSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCNC(=O)C(C#N)c1nc(C(=O)OCC)cs1 ZINC000490891838 332125073 /nfs/dbraw/zinc/12/50/73/332125073.db2.gz HGSOFRXSMDVGRH-QMMMGPOBSA-N 0 1 277.305 0.676 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)Cn1cc([N+](=O)[O-])cn1 ZINC000490892976 332125853 /nfs/dbraw/zinc/12/58/53/332125853.db2.gz PQSJMFRTGMXWPE-NSHDSACASA-N 0 1 292.295 0.432 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CC[C@H](C(C)(C)C)[C@@H](O)C1 ZINC000490951336 332132662 /nfs/dbraw/zinc/13/26/62/332132662.db2.gz WWFALGPMXNNCAL-AVGNSLFASA-N 0 1 266.385 0.853 20 30 CCEDMN C#CCN(CC#C)C(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000490971147 332134546 /nfs/dbraw/zinc/13/45/46/332134546.db2.gz BAJGNNHLAMHZNF-JTQLQIEISA-N 0 1 288.269 0.785 20 30 CCEDMN C#CCN1CCC(C(=O)NOC2CCOCC2)CC1 ZINC000491036740 332140794 /nfs/dbraw/zinc/14/07/94/332140794.db2.gz ARENSRILHVMURK-UHFFFAOYSA-N 0 1 266.341 0.558 20 30 CCEDMN C#CCN1CCC(C(=O)OCc2nc(N(C)C)no2)CC1 ZINC000491047023 332143086 /nfs/dbraw/zinc/14/30/86/332143086.db2.gz SKYTWPHACYOZEI-UHFFFAOYSA-N 0 1 292.339 0.524 20 30 CCEDMN C#CCNC(=O)CCN1CCc2ncc([N+](=O)[O-])cc2C1 ZINC000491165403 332159632 /nfs/dbraw/zinc/15/96/32/332159632.db2.gz KWMCIBGORIXHIQ-UHFFFAOYSA-N 0 1 288.307 0.487 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC2CC(OCC)C2)CC1 ZINC000491209456 332166283 /nfs/dbraw/zinc/16/62/83/332166283.db2.gz HKSQWAJPNNYKNV-UHFFFAOYSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(O[C@@H]2CCOC2)CC1 ZINC000491483691 332219483 /nfs/dbraw/zinc/21/94/83/332219483.db2.gz DNKAHYWYZSBULX-GXTWGEPZSA-N 0 1 280.368 0.394 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC2(COC)CCC2)CC1 ZINC000491496712 332223273 /nfs/dbraw/zinc/22/32/73/332223273.db2.gz SKAHSFNHYHKIRS-UHFFFAOYSA-N 0 1 293.411 0.170 20 30 CCEDMN C#CCN1CCC(C(=O)NCC2([S@](C)=O)CCC2)CC1 ZINC000491631696 332254174 /nfs/dbraw/zinc/25/41/74/332254174.db2.gz PEOHBROFYZNJQL-FQEVSTJZSA-N 0 1 296.436 0.749 20 30 CCEDMN C#CCN(C)C(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000491673743 332279862 /nfs/dbraw/zinc/27/98/62/332279862.db2.gz UXAGFKBNHBZYIS-UHFFFAOYSA-N 0 1 272.352 0.539 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NC[C@@]1(O)CCSC1 ZINC000491706430 332299309 /nfs/dbraw/zinc/29/93/09/332299309.db2.gz MXGDLRPVKRGYSY-ZDUSSCGKSA-N 0 1 285.413 0.109 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@](C)(C(=O)NC)C2)CC1 ZINC000491741545 332320386 /nfs/dbraw/zinc/32/03/86/332320386.db2.gz IRTASIWWVGFMCW-INIZCTEOSA-N 0 1 291.395 0.316 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H]2CC(=O)OC)CC1 ZINC000178889672 333078292 /nfs/dbraw/zinc/07/82/92/333078292.db2.gz SNDKUCACCZFLEM-AWEZNQCLSA-N 0 1 292.379 0.886 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1CC[C@@H](C)[C@@H](C(=O)[O-])C1 ZINC000516866563 333084629 /nfs/dbraw/zinc/08/46/29/333084629.db2.gz DZNGOOVWMISMMN-ZJUUUORDSA-N 0 1 283.328 0.041 20 30 CCEDMN CN1CCN(C[C@@H](O)CNc2cccc(CC#N)c2)CC1 ZINC000575982164 335137622 /nfs/dbraw/zinc/13/76/22/335137622.db2.gz ITQURSJRRQKANC-INIZCTEOSA-N 0 1 288.395 0.773 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000249050971 334325811 /nfs/dbraw/zinc/32/58/11/334325811.db2.gz AXAWSUUXYVLSMP-VXGBXAGGSA-N 0 1 282.388 0.633 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1C[C@@H]2CC[C@H](O)[C@H]2C1)C1CC1 ZINC000252845228 334396490 /nfs/dbraw/zinc/39/64/90/334396490.db2.gz CEJUFJQXYXHWJO-GZCFXPHUSA-N 0 1 277.368 0.498 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCC#Cc1ccccc1 ZINC000567726108 334755894 /nfs/dbraw/zinc/75/58/94/334755894.db2.gz ZQBGVPNYZOALNF-MRXNPFEDSA-N 0 1 271.364 0.834 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(C#N)cc1F)N(C)C ZINC000576937903 335266370 /nfs/dbraw/zinc/26/63/70/335266370.db2.gz JCRNYNATAFHPGS-VIFPVBQESA-N 0 1 285.344 0.926 20 30 CCEDMN Cc1nc(CCn2c(=O)[nH]cc(C#N)c2=O)cs1 ZINC000577513003 335363145 /nfs/dbraw/zinc/36/31/45/335363145.db2.gz SZFXMGUSKQBHRZ-UHFFFAOYSA-N 0 1 262.294 0.416 20 30 CCEDMN C[C@@H]1C[C@H](NC([O-])=[NH+]CCn2cncn2)CCO1 ZINC000329107508 533898705 /nfs/dbraw/zinc/89/87/05/533898705.db2.gz PDGXZDLHWSBGNR-NXEZZACHSA-N 0 1 253.306 0.349 20 30 CCEDMN C[C@@H]1C[C@H](NC(=O)NCCn2cncn2)CCO1 ZINC000329107508 533898710 /nfs/dbraw/zinc/89/87/10/533898710.db2.gz PDGXZDLHWSBGNR-NXEZZACHSA-N 0 1 253.306 0.349 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NC2(C#N)CCC2)[C@@H]1CO ZINC000347178972 534024668 /nfs/dbraw/zinc/02/46/68/534024668.db2.gz ZAUUQUXGLJUXSE-GHMZBOCLSA-N 0 1 251.330 0.252 20 30 CCEDMN [O-]C(NCCN1CCOCC1)=[NH+][C@@H]1CCn2ccnc2C1 ZINC000330173196 534752936 /nfs/dbraw/zinc/75/29/36/534752936.db2.gz HDDJBJXXRQUCFV-GFCCVEGCSA-N 0 1 293.371 0.034 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN(C3CCOCC3)CC2)c[nH]1 ZINC000181325785 526541017 /nfs/dbraw/zinc/54/10/17/526541017.db2.gz OBOGOEPKGONURS-UHFFFAOYSA-N 0 1 288.351 0.823 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@H](COC)C1 ZINC000112990708 526589878 /nfs/dbraw/zinc/58/98/78/526589878.db2.gz OTLDOEPSNCZKIM-NSHDSACASA-N 0 1 269.345 0.357 20 30 CCEDMN C=CCOCC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000353539346 526694967 /nfs/dbraw/zinc/69/49/67/526694967.db2.gz ZWTGMSOMWYKAQG-GFCCVEGCSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CCOC[C@H]1NC(=O)N(Cc2cn[nH]c2C)C1=O ZINC000348385386 526813675 /nfs/dbraw/zinc/81/36/75/526813675.db2.gz JNCGIJISHQQEPZ-SNVBAGLBSA-N 0 1 264.285 0.341 20 30 CCEDMN C=CC[C@@H](CO)CNS(=O)(=O)CCN(CC)CC ZINC000352241224 527080159 /nfs/dbraw/zinc/08/01/59/527080159.db2.gz IZDNBCAIUAVJEQ-GFCCVEGCSA-N 0 1 278.418 0.432 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC(CCN2CCOCC2)CC1 ZINC000667607105 545151179 /nfs/dbraw/zinc/15/11/79/545151179.db2.gz WFTVXFOBHUIZON-MRXNPFEDSA-N 0 1 296.411 0.884 20 30 CCEDMN CCN(CC(=O)N(CC)C[C@H](C)C#N)C[C@H](C)O ZINC000352205197 527893776 /nfs/dbraw/zinc/89/37/76/527893776.db2.gz ZKTUUDIWVRUYBM-NEPJUHHUSA-N 0 1 255.362 0.697 20 30 CCEDMN C=C(C)COCCNC(=O)N1CCN(CCO)C(C)(C)C1 ZINC000672951239 545276056 /nfs/dbraw/zinc/27/60/56/545276056.db2.gz MKINXJIERBYOKB-UHFFFAOYSA-N 0 1 299.415 0.677 20 30 CCEDMN C=CCCOCC(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000677928181 545377574 /nfs/dbraw/zinc/37/75/74/545377574.db2.gz TVBSWGKKBFYNMR-SNVBAGLBSA-N 0 1 265.317 0.498 20 30 CCEDMN C=C(C)[C@@H](CO)N(C)Cc1cnc(N(C)C)nc1 ZINC000679537749 545411001 /nfs/dbraw/zinc/41/10/01/545411001.db2.gz LNVKNZXILVTIDX-GFCCVEGCSA-N 0 1 250.346 0.911 20 30 CCEDMN C=CCN(C)C(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000681655143 545458880 /nfs/dbraw/zinc/45/88/80/545458880.db2.gz SYBWJELJXHLPBA-AWEZNQCLSA-N 0 1 296.415 0.220 20 30 CCEDMN CC#CC(=O)Nc1ccc(N2CCN(C)CC2)nc1 ZINC000788269567 581102661 /nfs/dbraw/zinc/10/26/61/581102661.db2.gz CYYQUGDGODGDTG-UHFFFAOYSA-N 0 1 258.325 0.795 20 30 CCEDMN C[C@H]1CN=C(NN=C2CCCc3cc(C#N)cnc32)N1 ZINC000788438088 581111142 /nfs/dbraw/zinc/11/11/42/581111142.db2.gz HRKZORMSWWSBBK-VIFPVBQESA-N 0 1 268.324 0.931 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCN(c2ccc(C#N)cn2)CC1 ZINC000789904934 581161549 /nfs/dbraw/zinc/16/15/49/581161549.db2.gz XHGAZCSGNKFUCV-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cc(Br)[nH]n2)CCO1 ZINC000791356018 581216952 /nfs/dbraw/zinc/21/69/52/581216952.db2.gz GHKFGGFLGLOUBM-ZCFIWIBFSA-N 0 1 285.101 0.537 20 30 CCEDMN COc1ccc(C=Nn2c(=O)c(C)n[nH]c2=S)cn1 ZINC000780196226 581222124 /nfs/dbraw/zinc/22/21/24/581222124.db2.gz NHDBMPZGKORSTD-UHFFFAOYSA-N 0 1 277.309 0.521 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COCc1ccnn1C ZINC000793126676 581284952 /nfs/dbraw/zinc/28/49/52/581284952.db2.gz VAIJHFHWDYOLTM-LLVKDONJSA-N 0 1 278.312 0.170 20 30 CCEDMN C[C@H]1COC(=O)C1N=Nc1ccccc1S(N)(=O)=O ZINC000794937652 581316302 /nfs/dbraw/zinc/31/63/02/581316302.db2.gz ZJLIUQUWEFORNZ-ZETCQYMHSA-N 0 1 283.309 0.295 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1c(C)n[nH]c1C ZINC000782967940 581329915 /nfs/dbraw/zinc/32/99/15/581329915.db2.gz FZXCCZQELMMGCK-UHFFFAOYSA-N 0 1 257.359 0.717 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H]2CCCCN2C(=O)[O-])CC1 ZINC000738456688 581330680 /nfs/dbraw/zinc/33/06/80/581330680.db2.gz ADNYWAOQTQWJTR-CYBMUJFWSA-N 0 1 293.367 0.686 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)c1[nH]nc2c1CCC2 ZINC000783618697 581353090 /nfs/dbraw/zinc/35/30/90/581353090.db2.gz UXJWSJWIRXHOCL-UHFFFAOYSA-N 0 1 283.353 0.229 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)c1[nH]nc2c1CCCC2 ZINC000783618178 581353276 /nfs/dbraw/zinc/35/32/76/581353276.db2.gz NQILYWONBYYPMZ-UHFFFAOYSA-N 0 1 297.380 0.619 20 30 CCEDMN C#CCNC(=O)CN1CCC([C@H]2CCCO2)CC1 ZINC000784362040 581381617 /nfs/dbraw/zinc/38/16/17/581381617.db2.gz NAJKZKSIBJPTAO-CYBMUJFWSA-N 0 1 250.342 0.627 20 30 CCEDMN COc1ccc2[nH]cc(C=NNC(=N)N)c2c1[N+](=O)[O-] ZINC000785452706 581410168 /nfs/dbraw/zinc/41/01/68/581410168.db2.gz HRZZGFKDZGVKDA-UHFFFAOYSA-N 0 1 276.256 0.902 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN2CCN(C)CC2)nc1 ZINC000728982931 581526517 /nfs/dbraw/zinc/52/65/17/581526517.db2.gz YXSZLDMHQBVUDO-UHFFFAOYSA-N 0 1 272.352 0.040 20 30 CCEDMN C#CCNC(=O)[C@@H](C)OC(=O)c1ccc2c(c1)n[nH]c2C=O ZINC000766173530 581555845 /nfs/dbraw/zinc/55/58/45/581555845.db2.gz MABBIGWBBGNIKQ-SECBINFHSA-N 0 1 299.286 0.670 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@@H](CCO)c1cccs1 ZINC000754397255 581590512 /nfs/dbraw/zinc/59/05/12/581590512.db2.gz XGJVANHFLMFQGV-JTQLQIEISA-N 0 1 297.380 0.773 20 30 CCEDMN C#CCNC(=O)COC(=O)C(C)(C)N(C)C1CCCC1 ZINC000754870547 581614506 /nfs/dbraw/zinc/61/45/06/581614506.db2.gz BRQKWLQJRBCBIQ-UHFFFAOYSA-N 0 1 280.368 0.932 20 30 CCEDMN CCNS(=O)(=O)CCNCc1ccc(C#N)c(C)c1 ZINC000767688077 581626859 /nfs/dbraw/zinc/62/68/59/581626859.db2.gz IUIHGHNLUTWRJV-UHFFFAOYSA-N 0 1 281.381 0.896 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@H](C)c2nn[nH]n2)cn1 ZINC000735387861 581642266 /nfs/dbraw/zinc/64/22/66/581642266.db2.gz IMUZPCSZUWANGO-VIFPVBQESA-N 0 1 270.296 0.452 20 30 CCEDMN C#CCN(CC)C(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000768721834 581652859 /nfs/dbraw/zinc/65/28/59/581652859.db2.gz RHUZOJIBOYHLNQ-UHFFFAOYSA-N 0 1 297.318 0.688 20 30 CCEDMN N#CCNC(=O)C[N@@H+]1CCC[C@@H]([C@H]2CCCN2C(=O)[O-])C1 ZINC000740305608 581662945 /nfs/dbraw/zinc/66/29/45/581662945.db2.gz QLNHKVILKBORPW-VXGBXAGGSA-N 0 1 294.355 0.481 20 30 CCEDMN C#CCN1CCC(C(=O)OCC(=O)NCC)CC1 ZINC000735939865 581673125 /nfs/dbraw/zinc/67/31/25/581673125.db2.gz KMSHXIOKYHTDOE-UHFFFAOYSA-N 0 1 252.314 0.011 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1C[C@H](C)N(C)C[C@H]1C ZINC000769143490 581674617 /nfs/dbraw/zinc/67/46/17/581674617.db2.gz BCZRKEPDGUALFH-MJBXVCDLSA-N 0 1 263.385 0.635 20 30 CCEDMN CC[C@@H]1CC[C@H](C(=O)C(C#N)C(=O)NC2CC2)O1 ZINC000757307676 581700322 /nfs/dbraw/zinc/70/03/22/581700322.db2.gz XMRFQTRPVOXKGH-OUAUKWLOSA-N 0 1 250.298 0.931 20 30 CCEDMN C#CCNC(=O)COC(=O)[C@@H](CC)N(CC)CC ZINC000741032203 581728323 /nfs/dbraw/zinc/72/83/23/581728323.db2.gz BCTOEXKKFDGKAC-LLVKDONJSA-N 0 1 254.330 0.399 20 30 CCEDMN CC(C)[C@H]([NH2+][C@@H](C(=O)[O-])c1ccc(C#N)cc1)C(N)=O ZINC000736390990 581757421 /nfs/dbraw/zinc/75/74/21/581757421.db2.gz YJLAJULPJHFJTM-NWDGAFQWSA-N 0 1 275.308 0.783 20 30 CCEDMN C#CCNC(=O)COC(=O)c1[nH]nc2c1CCCC2 ZINC000731704631 581777052 /nfs/dbraw/zinc/77/70/52/581777052.db2.gz SQLVMEGRBJEQBB-UHFFFAOYSA-N 0 1 261.281 0.195 20 30 CCEDMN CN1CCCC[C@H]1C(=O)NCCNc1ncccc1C#N ZINC000731871462 581785551 /nfs/dbraw/zinc/78/55/51/581785551.db2.gz LTMPMXYGESXPNB-ZDUSSCGKSA-N 0 1 287.367 0.966 20 30 CCEDMN COC(=O)C(C)(C)[C@H]1CCCN(CC(=O)NCCC#N)C1 ZINC000772375955 581855277 /nfs/dbraw/zinc/85/52/77/581855277.db2.gz VQDNHNLMWTZVIK-LBPRGKRZSA-N 0 1 295.383 0.927 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+](C)C[C@H](C)C(=O)[O-] ZINC000737094787 581902862 /nfs/dbraw/zinc/90/28/62/581902862.db2.gz VMEMMTDEVHGIMN-JTQLQIEISA-N 0 1 255.318 0.401 20 30 CCEDMN CON=CC(=O)N[C@H](C)C1(N2CCOCC2)CCCC1 ZINC000774004513 581952562 /nfs/dbraw/zinc/95/25/62/581952562.db2.gz UEXUKOCUJJPQGZ-GFCCVEGCSA-N 0 1 283.372 0.768 20 30 CCEDMN C#CCNC(=O)CONC(=O)C(C)(C)c1ccccc1 ZINC000747835013 581954248 /nfs/dbraw/zinc/95/42/48/581954248.db2.gz YMTWSQLYLBDHPH-UHFFFAOYSA-N 0 1 274.320 0.761 20 30 CCEDMN C[N@@H+](CCNc1ccc(S(N)(=O)=O)cc1C#N)C1CC1 ZINC000774526884 581955813 /nfs/dbraw/zinc/95/58/13/581955813.db2.gz URVIHGUTTIHLIQ-UHFFFAOYSA-N 0 1 294.380 0.712 20 30 CCEDMN N#Cc1cccc(OCC(=O)N2CCc3[nH]nnc3C2)c1 ZINC000748363014 581971754 /nfs/dbraw/zinc/97/17/54/581971754.db2.gz KJFKRHBNFNZYSK-UHFFFAOYSA-N 0 1 283.291 0.640 20 30 CCEDMN C#C[C@H](C)NC(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000775382475 582022058 /nfs/dbraw/zinc/02/20/58/582022058.db2.gz UTSFXQGAROIJBE-UWVGGRQHSA-N 0 1 284.319 0.819 20 30 CCEDMN C#C[C@H](N[C@H](C)C(=O)NC(=O)NC(C)C)[C@H]1CCCO1 ZINC000775794365 582039100 /nfs/dbraw/zinc/03/91/00/582039100.db2.gz QFEVJZQTJNEGLC-GRYCIOLGSA-N 0 1 281.356 0.380 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@H]1CNC(=O)[O-] ZINC000738459858 582052953 /nfs/dbraw/zinc/05/29/53/582052953.db2.gz GLMFQKGHCMCXJX-XQQFMLRXSA-N 0 1 293.367 0.637 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H]1CCC[C@H]1CNC(=O)[O-] ZINC000738459858 582052956 /nfs/dbraw/zinc/05/29/56/582052956.db2.gz GLMFQKGHCMCXJX-XQQFMLRXSA-N 0 1 293.367 0.637 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000738490484 582055527 /nfs/dbraw/zinc/05/55/27/582055527.db2.gz VQIMEULDPINAHN-NEPJUHHUSA-N 0 1 281.356 0.917 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)[N@H+]1CC[C@H](NC(=O)[O-])C1 ZINC000738490484 582055529 /nfs/dbraw/zinc/05/55/29/582055529.db2.gz VQIMEULDPINAHN-NEPJUHHUSA-N 0 1 281.356 0.917 20 30 CCEDMN C#CCNC(=O)CONC(=N)[C@@H]1COc2ccccc2O1 ZINC000764571589 582056698 /nfs/dbraw/zinc/05/66/98/582056698.db2.gz BKOXMXMVEXMIQD-LBPRGKRZSA-N 0 1 289.291 0.074 20 30 CCEDMN C#C[C@H](NC(=O)NCCN1CCC1)[C@@H]1CCCO1 ZINC000776226187 582061835 /nfs/dbraw/zinc/06/18/35/582061835.db2.gz DTWUKDBVBBBAOK-RYUDHWBXSA-N 0 1 251.330 0.172 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@H](Cc2ccncc2)C1 ZINC000765004946 582081714 /nfs/dbraw/zinc/08/17/14/582081714.db2.gz CSGMYCFDIDAJMW-CQSZACIVSA-N 0 1 272.352 0.976 20 30 CCEDMN C[C@](C#N)(CC(=O)[O-])C(=O)N[C@H]1CC[N@H+](C2CC2)C1 ZINC000819821619 597637678 /nfs/dbraw/zinc/63/76/78/597637678.db2.gz IUPYZVRFBNRSAM-TVQRCGJNSA-N 0 1 265.313 0.344 20 30 CCEDMN C#CCO[C@@H](C)C(=O)Nc1n[nH]c(C(=O)OCC)c1C ZINC000888133850 612997897 /nfs/dbraw/zinc/99/78/97/612997897.db2.gz DGBMFXBHKVFNEX-VIFPVBQESA-N 0 1 279.296 0.872 20 30 CCEDMN C#CCSCC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000895743801 613009372 /nfs/dbraw/zinc/00/93/72/613009372.db2.gz MEWHYIUHXSZWAR-UHFFFAOYSA-N 0 1 296.436 0.924 20 30 CCEDMN C#CCCN1CCN(C[C@H](O)C[C@](C)(O)C2CC2)CC1 ZINC000878314682 613011536 /nfs/dbraw/zinc/01/15/36/613011536.db2.gz ZSQLUFRODDPJEF-CVEARBPZSA-N 0 1 280.412 0.539 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(C2(O)CC2)CC1 ZINC000844364709 612947626 /nfs/dbraw/zinc/94/76/26/612947626.db2.gz VZUADTDAUKJXCN-LLVKDONJSA-N 0 1 250.342 0.361 20 30 CCEDMN C#CCSCC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000896430875 613012415 /nfs/dbraw/zinc/01/24/15/613012415.db2.gz ZGRGKZFEUQLHQE-LBPRGKRZSA-N 0 1 269.414 0.105 20 30 CCEDMN C#CCSCC(=O)N[C@@H]1CCN(CCOC)C1 ZINC000896259086 613013521 /nfs/dbraw/zinc/01/35/21/613013521.db2.gz QRGWGKNABVPSKM-LLVKDONJSA-N 0 1 256.371 0.190 20 30 CCEDMN C[C@]1(C(=O)[O-])CC[N@@H+](CC(=O)N(CCC#N)CCC#N)C1 ZINC000062935636 601951399 /nfs/dbraw/zinc/95/13/99/601951399.db2.gz GJJLRKSCXKYCLR-AWEZNQCLSA-N 0 1 292.339 0.439 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@@H+]2CCO[C@@H](CC(=O)[O-])C2)cc1 ZINC000833071766 602018739 /nfs/dbraw/zinc/01/87/39/602018739.db2.gz IJEQFVCGPMVUSA-UONOGXRCSA-N 0 1 290.319 0.767 20 30 CCEDMN N#Cc1ccc([C@H](O)C[N@H+]2CCO[C@@H](CC(=O)[O-])C2)cc1 ZINC000833071766 602018742 /nfs/dbraw/zinc/01/87/42/602018742.db2.gz IJEQFVCGPMVUSA-UONOGXRCSA-N 0 1 290.319 0.767 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(O)(C2CCC2)CC1 ZINC000932823132 612949821 /nfs/dbraw/zinc/94/98/21/612949821.db2.gz LUSSXEDDNPEUKU-LBPRGKRZSA-N 0 1 264.369 0.751 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CC1)COCCO2 ZINC000880540461 612949839 /nfs/dbraw/zinc/94/98/39/612949839.db2.gz DTPDWDLHRKAYKZ-LBPRGKRZSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(Cc2noc(C)n2)CC1 ZINC000932246059 612949683 /nfs/dbraw/zinc/94/96/83/612949683.db2.gz AZARQPRWTNLHOL-NSHDSACASA-N 0 1 290.367 0.770 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)C1CN([C@H]2CCOC2)C1 ZINC000899152167 613019329 /nfs/dbraw/zinc/01/93/29/613019329.db2.gz QHJJURGGUFUZGY-KBPBESRZSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)CCCc1nn[nH]n1 ZINC000825080824 613019456 /nfs/dbraw/zinc/01/94/56/613019456.db2.gz XQGYUECKUHAKSD-JTQLQIEISA-N 0 1 265.317 0.067 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)N[C@H](C)Cc1cc(C)[nH]n1 ZINC000921532053 613026980 /nfs/dbraw/zinc/02/69/80/613026980.db2.gz HLBFBVRMOMTUBU-KOLCDFICSA-N 0 1 264.329 0.333 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@@H]2CN(CC#N)CC[C@@H]2C)c1C ZINC000965627164 649935695 /nfs/dbraw/zinc/93/56/95/649935695.db2.gz RVZNKBQVWAFLJJ-JOYOIKCWSA-N 0 1 275.356 0.990 20 30 CCEDMN C[C@@H]1CCN(CCO)C[C@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000965910673 649940740 /nfs/dbraw/zinc/94/07/40/649940740.db2.gz OWVGBMLDNGQVGH-ZWNOBZJWSA-N 0 1 276.340 0.319 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CN(CC#N)CC[C@@H]2C)n[nH]1 ZINC000966000780 649946912 /nfs/dbraw/zinc/94/69/12/649946912.db2.gz RTRMTRQFGSWCPY-ONGXEEELSA-N 0 1 276.344 0.006 20 30 CCEDMN C[C@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1ccc(C#N)[nH]1 ZINC000833624387 605115861 /nfs/dbraw/zinc/11/58/61/605115861.db2.gz HXWVZGJDHYYPHU-JTQLQIEISA-N 0 1 290.323 0.507 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@H](NC(=O)[O-])[C@H]2C1 ZINC000833965418 605455100 /nfs/dbraw/zinc/45/51/00/605455100.db2.gz LWBAUUSPHHUHFH-SRVKXCTJSA-N 0 1 294.355 0.384 20 30 CCEDMN C#CCCS(=O)(=O)NC[C@H]1CCCCN1CC ZINC000808291459 613054403 /nfs/dbraw/zinc/05/44/03/613054403.db2.gz MTVIFRRDVMTCBM-GFCCVEGCSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCNCC(=O)NCC(=O)OCc1ccccc1 ZINC000911983006 612956342 /nfs/dbraw/zinc/95/63/42/612956342.db2.gz VWAQSDPWQVPEGL-UHFFFAOYSA-N 0 1 260.293 0.069 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CCN(C)CCN(C)CC1 ZINC000894936600 613083422 /nfs/dbraw/zinc/08/34/22/613083422.db2.gz IJXMTYYMKIUSDL-ZDUSSCGKSA-N 0 1 266.389 0.287 20 30 CCEDMN C#C[C@@H](CCC)NC(=O)NCC[N@@H+](CC)CCO ZINC000820654834 613085656 /nfs/dbraw/zinc/08/56/56/613085656.db2.gz VYUFOOTVGXBSBC-LBPRGKRZSA-N 0 1 255.362 0.402 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2CCCCC(=O)N2)C1 ZINC000972299353 613092880 /nfs/dbraw/zinc/09/28/80/613092880.db2.gz FMMFJTNPPGPLGJ-QWHCGFSZSA-N 0 1 277.368 0.211 20 30 CCEDMN Cc1cccc(Cn2c(N)nc(-c3nn[nH]n3)c2C#N)n1 ZINC000826298132 609174716 /nfs/dbraw/zinc/17/47/16/609174716.db2.gz FLCVRXOCFGDIJA-UHFFFAOYSA-N 0 1 281.283 0.269 20 30 CCEDMN C#CCN(C1CCN(C)CC1)S(=O)(=O)c1cscn1 ZINC000922026992 613098146 /nfs/dbraw/zinc/09/81/46/613098146.db2.gz WZSAPMJCSSXWMP-UHFFFAOYSA-N 0 1 299.421 0.861 20 30 CCEDMN C#CC1CCN(CC(=O)N(C)CC(=O)OCC)CC1 ZINC000830326144 612964358 /nfs/dbraw/zinc/96/43/58/612964358.db2.gz DAJJYNCKINIAFP-UHFFFAOYSA-N 0 1 266.341 0.353 20 30 CCEDMN CC(C)(C)OC(=O)COCC(=O)C(C#N)C(=O)NC1CC1 ZINC000842684723 613969192 /nfs/dbraw/zinc/96/91/92/613969192.db2.gz JNUNQBPIJJUREP-SNVBAGLBSA-N 0 1 296.323 0.332 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)c2ccc(C#N)n2C)n1 ZINC000894601357 617259536 /nfs/dbraw/zinc/25/95/36/617259536.db2.gz CAVSBYXEPOCMDH-UHFFFAOYSA-N 0 1 290.352 0.808 20 30 CCEDMN CSCc1nnc(CNC(=O)c2ccc(C#N)n2C)[nH]1 ZINC000894601357 617259537 /nfs/dbraw/zinc/25/95/37/617259537.db2.gz CAVSBYXEPOCMDH-UHFFFAOYSA-N 0 1 290.352 0.808 20 30 CCEDMN CSc1cccnc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000846676837 617281378 /nfs/dbraw/zinc/28/13/78/617281378.db2.gz IFMFMNBDUJXTAL-IUCAKERBSA-N 0 1 262.338 0.645 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](NC(=O)c2[nH]ncc2F)C1 ZINC000942351064 617291680 /nfs/dbraw/zinc/29/16/80/617291680.db2.gz OJKGXOAFYGUPDN-JGVFFNPUSA-N 0 1 279.275 0.039 20 30 CCEDMN COc1cccc(CCC(=O)N[C@H]2CNC[C@@H]2C#N)c1F ZINC000834499297 617296062 /nfs/dbraw/zinc/29/60/62/617296062.db2.gz GZCFAYIOFWASOZ-RYUDHWBXSA-N 0 1 291.326 0.995 20 30 CCEDMN C#CC1(O)CCN(C[C@@H]2[C@@H](C(=O)OC)C2(F)F)CC1 ZINC000895384294 612952325 /nfs/dbraw/zinc/95/23/25/612952325.db2.gz IZOQWYMQIDNTTL-ZJUUUORDSA-N 0 1 273.279 0.501 20 30 CCEDMN C#CC1(O)CN(C(=O)CN(C)CCc2ccccc2)C1 ZINC000890527563 612953654 /nfs/dbraw/zinc/95/36/54/612953654.db2.gz VGHIPHVSIDTKIJ-UHFFFAOYSA-N 0 1 272.348 0.367 20 30 CCEDMN C#CC1CCN(CC(=O)N2CCC[C@H](NC(C)=O)C2)CC1 ZINC000830330133 612964405 /nfs/dbraw/zinc/96/44/05/612964405.db2.gz QRMUBVLJLWMSDG-HNNXBMFYSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CC1CCN(CC(=O)N2CCO[C@@H](C)C2)CC1 ZINC000830301228 612964438 /nfs/dbraw/zinc/96/44/38/612964438.db2.gz IEZSOHJAYFMERZ-LBPRGKRZSA-N 0 1 250.342 0.579 20 30 CCEDMN C#CC[NH2+][C@@H]1CCN(C(=O)c2cnc3ccccc3c2[O-])C1 ZINC000969180686 612964573 /nfs/dbraw/zinc/96/45/73/612964573.db2.gz RDIQHZSFTZZJKJ-GFCCVEGCSA-N 0 1 295.342 0.965 20 30 CCEDMN C#CCC1(O)CCN(C(=O)N[C@H]2CC[N@H+](C3CC3)C2)CC1 ZINC000883415635 612972263 /nfs/dbraw/zinc/97/22/63/612972263.db2.gz AZERWMWSINKFIE-ZDUSSCGKSA-N 0 1 291.395 0.783 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H]1C[N@@H+](C2CC2)C[C@H]1C ZINC000806917223 612980817 /nfs/dbraw/zinc/98/08/17/612980817.db2.gz ZMNVIOARWUDESG-YPMHNXCESA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCCCNC(=O)C(=O)N[C@H]1CN(C2CC2)C[C@H]1C ZINC000806917223 612980818 /nfs/dbraw/zinc/98/08/18/612980818.db2.gz ZMNVIOARWUDESG-YPMHNXCESA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCCN(CCOC)[C@@H]1CCN(C2CCOCC2)C1=O ZINC000852751948 612989850 /nfs/dbraw/zinc/98/98/50/612989850.db2.gz KCNPRFAALOAMNP-OAHLLOKOSA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCONC(=O)[C@@H]1C[C@@]1(C(=O)NOCC#C)C(C)C ZINC000825136691 612992724 /nfs/dbraw/zinc/99/27/24/612992724.db2.gz FEZGLSGIBFOBPY-SMDDNHRTSA-N 0 1 278.308 0.011 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCc1nc(CSC)n[nH]1 ZINC000894603513 613002198 /nfs/dbraw/zinc/00/21/98/613002198.db2.gz ZDRCTFJCAWQHLS-MRVPVSSYSA-N 0 1 268.342 0.322 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCc1nnc(CSC)[nH]1 ZINC000894603513 613002200 /nfs/dbraw/zinc/00/22/00/613002200.db2.gz ZDRCTFJCAWQHLS-MRVPVSSYSA-N 0 1 268.342 0.322 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc3ncccn3n2)CC1 ZINC000982317687 613005690 /nfs/dbraw/zinc/00/56/90/613005690.db2.gz LGHZDKNMXIHBJT-UHFFFAOYSA-N 0 1 297.362 0.901 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnn3cccnc23)CC1 ZINC000981732060 613006944 /nfs/dbraw/zinc/00/69/44/613006944.db2.gz QDVIAAJHKYWBOX-UHFFFAOYSA-N 0 1 297.362 0.901 20 30 CCEDMN C#CCOc1ccccc1CNC[C@H](O)CS(C)(=O)=O ZINC000922826612 613008179 /nfs/dbraw/zinc/00/81/79/613008179.db2.gz DJEATYGFGOJBCA-ZDUSSCGKSA-N 0 1 297.376 0.194 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@@H](C(=O)[O-])C(C)C)CC1 ZINC000911251547 613009236 /nfs/dbraw/zinc/00/92/36/613009236.db2.gz AIACTZGRXWREJG-LBPRGKRZSA-N 0 1 266.341 0.511 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@]2(C)CCN(C(=O)[O-])C2)CC1 ZINC000823445212 613010377 /nfs/dbraw/zinc/01/03/77/613010377.db2.gz VYMWFTKEGSLWDW-OAHLLOKOSA-N 0 1 293.367 0.544 20 30 CCEDMN C#CCCN1CCN(c2cnc(N)c(Cl)n2)CC1 ZINC000892315193 613012574 /nfs/dbraw/zinc/01/25/74/613012574.db2.gz PYKKWJXQLKTUHV-UHFFFAOYSA-N 0 1 265.748 0.858 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@H](NC(=O)c2cnccn2)C1 ZINC000964774750 613016296 /nfs/dbraw/zinc/01/62/96/613016296.db2.gz QWZIQFCYRCSOMR-TZMCWYRMSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NC[C@@H]1CCN1CC ZINC000883747755 613035264 /nfs/dbraw/zinc/03/52/64/613035264.db2.gz QAKGWZOJKMSENV-RYUDHWBXSA-N 0 1 253.346 0.418 20 30 CCEDMN C#CC[C@@H](COC)NCc1ccc(C(=O)N(C)C)[nH]1 ZINC000858335495 613037974 /nfs/dbraw/zinc/03/79/74/613037974.db2.gz VNSQJJNWKJENMR-LBPRGKRZSA-N 0 1 263.341 0.844 20 30 CCEDMN C#CCCOC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000859124838 613049220 /nfs/dbraw/zinc/04/92/20/613049220.db2.gz YFTVZBKOUULDOG-LBPRGKRZSA-N 0 1 268.357 0.923 20 30 CCEDMN C#CC[C@H](CCOC)NC(=O)NC1CCN(CC#C)CC1 ZINC000923486065 613050801 /nfs/dbraw/zinc/05/08/01/613050801.db2.gz BLBDLYSVELNGNT-CQSZACIVSA-N 0 1 291.395 0.812 20 30 CCEDMN C#CC[C@H](CO)NC(=O)CSc1n[nH]c(=S)s1 ZINC000854545598 613053683 /nfs/dbraw/zinc/05/36/83/613053683.db2.gz MELRLFVFOSYOEJ-ZCFIWIBFSA-N 0 1 289.407 0.419 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1c[nH]c2ccc(C)cc2c1=O ZINC000854545745 613057335 /nfs/dbraw/zinc/05/73/35/613057335.db2.gz OZVHTVRUWBRCDI-LLVKDONJSA-N 0 1 284.315 0.951 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1cccc2nc(C)[nH]c21 ZINC000854541910 613057950 /nfs/dbraw/zinc/05/79/50/613057950.db2.gz MMQPTOXLUZQMSR-SNVBAGLBSA-N 0 1 257.293 0.985 20 30 CCEDMN C#CC[C@H](CO)NCc1cc(C(=O)OC(C)(C)C)nn1C ZINC000895490398 613058476 /nfs/dbraw/zinc/05/84/76/613058476.db2.gz NXSPJCHCRIDAAO-LLVKDONJSA-N 0 1 293.367 0.849 20 30 CCEDMN C#CCC[C@@H](O)CNS(=O)(=O)N=S(=O)(CC)CC ZINC000867226854 613059609 /nfs/dbraw/zinc/05/96/09/613059609.db2.gz QCUUHWZWNIMZGE-SNVBAGLBSA-N 0 1 296.414 0.103 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1CCN(C)C(C)(C)C1 ZINC000883747786 613061848 /nfs/dbraw/zinc/06/18/48/613061848.db2.gz QPNSIPWHKQUREE-GFCCVEGCSA-N 0 1 267.373 0.760 20 30 CCEDMN C#CC[C@H](COC)NC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000875926156 613062540 /nfs/dbraw/zinc/06/25/40/613062540.db2.gz BZZALAAOMLABCI-MGPQQGTHSA-N 0 1 297.399 0.121 20 30 CCEDMN C#CC[C@H]1CCCN(Cc2cn(CC(=O)OC)nn2)C1 ZINC000829815027 613072640 /nfs/dbraw/zinc/07/26/40/613072640.db2.gz DQCBHZHKKUOJTI-LBPRGKRZSA-N 0 1 276.340 0.686 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cn2cc(C3CC3)nn2)C1 ZINC000971673556 613081976 /nfs/dbraw/zinc/08/19/76/613081976.db2.gz HLKFIRLUFSSIHM-CYBMUJFWSA-N 0 1 287.367 0.321 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC000971893774 613082797 /nfs/dbraw/zinc/08/27/97/613082797.db2.gz SNQRXNXSQMURDB-HUUCEWRRSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC000971987633 613083394 /nfs/dbraw/zinc/08/33/94/613083394.db2.gz BRUCJRAOPPTIPZ-LJISPDSOSA-N 0 1 292.379 0.204 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NCc1nc(CSC)n[nH]1 ZINC000884295456 613083819 /nfs/dbraw/zinc/08/38/19/613083819.db2.gz XQEAHQOXYLCNFA-QMMMGPOBSA-N 0 1 267.358 0.879 20 30 CCEDMN C#C[C@@H](CC)NC(=O)NCc1nnc(CSC)[nH]1 ZINC000884295456 613083822 /nfs/dbraw/zinc/08/38/22/613083822.db2.gz XQEAHQOXYLCNFA-QMMMGPOBSA-N 0 1 267.358 0.879 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc3n(n2)CCC3)C1 ZINC000971645824 613085532 /nfs/dbraw/zinc/08/55/32/613085532.db2.gz XWPNWZJVAACYNL-CYBMUJFWSA-N 0 1 272.352 0.609 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc3c([nH]2)CCOC3)C1 ZINC000971665154 613085708 /nfs/dbraw/zinc/08/57/08/613085708.db2.gz KJSJKTQPPMFQFS-CYBMUJFWSA-N 0 1 287.363 0.867 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cccc3nnn(C)c32)C1 ZINC000980572823 613087155 /nfs/dbraw/zinc/08/71/55/613087155.db2.gz RFWYZUYKLCAZOS-GFCCVEGCSA-N 0 1 297.362 0.748 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cn3c(n2)COCC3)C1 ZINC000971787063 613087580 /nfs/dbraw/zinc/08/75/80/613087580.db2.gz FIDJEBFUFJLWOA-GFCCVEGCSA-N 0 1 288.351 0.193 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn(CC)c2)C1 ZINC000971226398 613087900 /nfs/dbraw/zinc/08/79/00/613087900.db2.gz JRBQBEUEIOCRCN-CYBMUJFWSA-N 0 1 260.341 0.683 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(=O)Nc2ccccc2)C1 ZINC000971861256 613088847 /nfs/dbraw/zinc/08/88/47/613088847.db2.gz KLIVGPFWXVUHQM-AWEZNQCLSA-N 0 1 285.347 0.791 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cc(C)on2)C1 ZINC000971227756 613090620 /nfs/dbraw/zinc/09/06/20/613090620.db2.gz XCEAKBVSXCBQFR-ZDUSSCGKSA-N 0 1 261.325 0.691 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cn2c(C)csc2=O)C1 ZINC000980375185 613090860 /nfs/dbraw/zinc/09/08/60/613090860.db2.gz SNVOJJGIYCICQG-LBPRGKRZSA-N 0 1 293.392 0.384 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cn2nccc2C)C1 ZINC000972445627 613091321 /nfs/dbraw/zinc/09/13/21/613091321.db2.gz JQEJOYDMRWJOHB-ZDUSSCGKSA-N 0 1 260.341 0.357 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](OC)C2CC2)C1 ZINC000971442760 613092275 /nfs/dbraw/zinc/09/22/75/613092275.db2.gz OGOVJNPTYASTFD-QWHCGFSZSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC000971550173 613093290 /nfs/dbraw/zinc/09/32/90/613093290.db2.gz SYEXVWCSCKTXSV-FRRDWIJNSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cc[n+]([O-])cc2)C1 ZINC000971017059 613094308 /nfs/dbraw/zinc/09/43/08/613094308.db2.gz AREHDTZIAMZUQK-ZDUSSCGKSA-N 0 1 259.309 0.100 20 30 CCEDMN C#C[C@@H](NC(=O)NCCCc1nc[nH]n1)C1CCOCC1 ZINC000823159434 613095554 /nfs/dbraw/zinc/09/55/54/613095554.db2.gz SXASJYHKTZUFFT-GFCCVEGCSA-N 0 1 291.355 0.465 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnc3n2CCC3)C1 ZINC000971704781 613096244 /nfs/dbraw/zinc/09/62/44/613096244.db2.gz XECBVGODEMFTMR-LBPRGKRZSA-N 0 1 272.352 0.609 20 30 CCEDMN C#CCN(CC#C)c1nnc(Cc2cc(C)n[nH]2)n1CC ZINC000871068431 613099506 /nfs/dbraw/zinc/09/95/06/613099506.db2.gz IQSSHOJGENTQJY-UHFFFAOYSA-N 0 1 282.351 0.993 20 30 CCEDMN C#CCN(CC#N)C(=O)c1cc([C@H]2CCCN2C)n[nH]1 ZINC000907979829 613101389 /nfs/dbraw/zinc/10/13/89/613101389.db2.gz NRTOLYTZTUAHIJ-CYBMUJFWSA-N 0 1 271.324 0.775 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)NCc2n[nH]c(COC)n2)CC1 ZINC000875730510 613108539 /nfs/dbraw/zinc/10/85/39/613108539.db2.gz IEYIVYRTUGOTEV-XYPYZODXSA-N 0 1 291.355 0.942 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)NCc2nnc(COC)[nH]2)CC1 ZINC000875730510 613108541 /nfs/dbraw/zinc/10/85/41/613108541.db2.gz IEYIVYRTUGOTEV-XYPYZODXSA-N 0 1 291.355 0.942 20 30 CCEDMN C#C[C@@](C)(CC)N[C@@H](C)C(=O)N(C)CC(=O)NC1CC1 ZINC000852102800 613113245 /nfs/dbraw/zinc/11/32/45/613113245.db2.gz QFXJKDMEXWRTFM-NHYWBVRUSA-N 0 1 279.384 0.503 20 30 CCEDMN C#C[C@H](C)NC(=O)N1CCN(CC(C)(C)O)CC1 ZINC000820429488 613115941 /nfs/dbraw/zinc/11/59/41/613115941.db2.gz WYHMOXUHAHKOGS-NSHDSACASA-N 0 1 253.346 0.106 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)CCN(C)C(=O)OC)CC1 ZINC000855888384 613128333 /nfs/dbraw/zinc/12/83/33/613128333.db2.gz UCHHVDDQIHYDFP-UHFFFAOYSA-N 0 1 295.383 0.488 20 30 CCEDMN C#C[C@H](CO)NC(=O)[C@H]1CCCN1Cc1ccccc1 ZINC000854769363 613128635 /nfs/dbraw/zinc/12/86/35/613128635.db2.gz KSGZESMIRXWNCH-HUUCEWRRSA-N 0 1 272.348 0.761 20 30 CCEDMN C#C[C@H](CO)NC(=O)c1cn[nH]c1-c1cnn(CC)c1 ZINC000854773765 613128807 /nfs/dbraw/zinc/12/88/07/613128807.db2.gz MEVWXSPSHAQSGU-SNVBAGLBSA-N 0 1 273.296 0.017 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H]3COC[C@H]3C2)CC1 ZINC000856151837 613129115 /nfs/dbraw/zinc/12/91/15/613129115.db2.gz VMGRLGQIINWXIQ-HUUCEWRRSA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](CNC(C)=O)C2)CC1 ZINC000982865294 613129278 /nfs/dbraw/zinc/12/92/78/613129278.db2.gz FUUOAAHKBSPYQM-AWEZNQCLSA-N 0 1 291.395 0.316 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@@H]3COC[C@]3(C)C2)CC1 ZINC000855837021 613129567 /nfs/dbraw/zinc/12/95/67/613129567.db2.gz FSXMJEGBGCTBGN-ZBFHGGJFSA-N 0 1 276.380 0.827 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](C)[C@@](C)(CO)C2)CC1 ZINC000856247170 613129652 /nfs/dbraw/zinc/12/96/52/613129652.db2.gz KWWAISQZVGEEIF-XJKSGUPXSA-N 0 1 278.396 0.809 20 30 CCEDMN C#CCN1CCC(C(=O)N=c2nc(C)[nH]n2CC)CC1 ZINC000912167863 613130031 /nfs/dbraw/zinc/13/00/31/613130031.db2.gz YIXBWMYKCXOMSG-UHFFFAOYSA-N 0 1 275.356 0.312 20 30 CCEDMN C#C[C@H](CO)NS(=O)(=O)c1occc1Br ZINC000916057553 613131174 /nfs/dbraw/zinc/13/11/74/613131174.db2.gz SDNSKVHZIMQMMO-ZCFIWIBFSA-N 0 1 294.126 0.315 20 30 CCEDMN C#CCN1CCC(NC(=O)C(C)(C)NC(=O)OC)CC1 ZINC000928658502 613132250 /nfs/dbraw/zinc/13/22/50/613132250.db2.gz VDCOMSDGWXSJSP-UHFFFAOYSA-N 0 1 281.356 0.335 20 30 CCEDMN C#CCN1CCC(NC(=O)CCSCC(=O)OC)CC1 ZINC000928657845 613132773 /nfs/dbraw/zinc/13/27/73/613132773.db2.gz BGXFGARIEJXIHB-UHFFFAOYSA-N 0 1 298.408 0.497 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2ccnn2C)CC1 ZINC000928653959 613132838 /nfs/dbraw/zinc/13/28/38/613132838.db2.gz HYLCIPGWOBYHBM-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN C#CCN1CCC(NC(=O)CCC(=O)NCCC)CC1 ZINC000928658425 613132921 /nfs/dbraw/zinc/13/29/21/613132921.db2.gz RSBRYRWPWWCRQO-UHFFFAOYSA-N 0 1 279.384 0.507 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2cc(OC)no2)CC1 ZINC000928648055 613133021 /nfs/dbraw/zinc/13/30/21/613133021.db2.gz DXFGCHFPLCMJSK-UHFFFAOYSA-N 0 1 291.351 0.830 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCc2nc(C)no2)CC1 ZINC000915962052 613133935 /nfs/dbraw/zinc/13/39/35/613133935.db2.gz UETHTURIOIFZHD-UHFFFAOYSA-N 0 1 291.355 0.317 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2nc(C)no2)CC1 ZINC000916391842 613134282 /nfs/dbraw/zinc/13/42/82/613134282.db2.gz UUMREDCMQXZAAO-UHFFFAOYSA-N 0 1 277.328 0.275 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H]2CCCCO2)CC1 ZINC000914387217 613134285 /nfs/dbraw/zinc/13/42/85/613134285.db2.gz UNVKYTXDWVLRLF-AWEZNQCLSA-N 0 1 279.384 0.952 20 30 CCEDMN C#CCN1CCC(NC(=O)NCc2cocn2)CC1 ZINC000917446811 613134608 /nfs/dbraw/zinc/13/46/08/613134608.db2.gz DLAQNOGYBDBSCD-UHFFFAOYSA-N 0 1 262.313 0.571 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C)Cn2cccn2)CC1 ZINC000914082039 613134733 /nfs/dbraw/zinc/13/47/33/613134733.db2.gz JIHRHJBVYPOIJD-ZDUSSCGKSA-N 0 1 289.383 0.668 20 30 CCEDMN C#CCN1CCC(NC(=O)Nc2cnc3nccn3c2)CC1 ZINC000931985426 613135448 /nfs/dbraw/zinc/13/54/48/613135448.db2.gz OCOYJGIWUOERRW-UHFFFAOYSA-N 0 1 298.350 0.948 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CCO[C@@H]2C)CC1 ZINC000921704775 613135479 /nfs/dbraw/zinc/13/54/79/613135479.db2.gz DJXHCMCCWKSAFM-YPMHNXCESA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CCC(=O)N2C(C)C)CC1 ZINC000928660975 613135802 /nfs/dbraw/zinc/13/58/02/613135802.db2.gz VZLUOHQXWKGRFN-AWEZNQCLSA-N 0 1 291.395 0.600 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](O)c2ccccn2)CC1 ZINC000928652882 613135811 /nfs/dbraw/zinc/13/58/11/613135811.db2.gz QBOZGOOYEOEVLR-AWEZNQCLSA-N 0 1 273.336 0.329 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](CC(C)C)NC(C)=O)CC1 ZINC000928660567 613135970 /nfs/dbraw/zinc/13/59/70/613135970.db2.gz MUYPMYWCHOMIBJ-OAHLLOKOSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H]2CC(=O)N(C3CC3)C2)CC1 ZINC000928659507 613135991 /nfs/dbraw/zinc/13/59/91/613135991.db2.gz OWGZNSZHXDJDPR-GFCCVEGCSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H]2CCCN(C(C)=O)C2)CC1 ZINC000928658164 613136800 /nfs/dbraw/zinc/13/68/00/613136800.db2.gz CFRHYRUTFMXBQH-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C(N)=O)o2)CC1 ZINC000928655167 613137085 /nfs/dbraw/zinc/13/70/85/613137085.db2.gz RPIJITZKHLGURB-UHFFFAOYSA-N 0 1 275.308 0.206 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(C)[nH]c(=O)c2)CC1 ZINC000928653855 613137134 /nfs/dbraw/zinc/13/71/34/613137134.db2.gz CWIWOEFASYDHAC-UHFFFAOYSA-N 0 1 273.336 0.923 20 30 CCEDMN C#CCN1CCC(NC(=O)c2c(C)nnn2CC)CC1 ZINC000928651530 613137256 /nfs/dbraw/zinc/13/72/56/613137256.db2.gz VBZSFOSOEZVJGC-UHFFFAOYSA-N 0 1 275.356 0.434 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn(CCF)c2)CC1 ZINC000928653495 613137615 /nfs/dbraw/zinc/13/76/15/613137615.db2.gz OLMSDJHWSQBLIW-UHFFFAOYSA-N 0 1 278.331 0.680 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccnc3[nH]c(=O)[nH]c32)CC1 ZINC000928648700 613137655 /nfs/dbraw/zinc/13/76/55/613137655.db2.gz ADLAFJYPGZDJLG-UHFFFAOYSA-N 0 1 299.334 0.491 20 30 CCEDMN C#CCN1CCC(NC(=S)NCC(=O)NC(C)C)CC1 ZINC000905657293 613138182 /nfs/dbraw/zinc/13/81/82/613138182.db2.gz JRLBHNLNIREKKQ-UHFFFAOYSA-N 0 1 296.440 0.073 20 30 CCEDMN C#CCN1CCC(Nc2cc3nnc(C)n3cn2)CC1 ZINC000895809515 613138598 /nfs/dbraw/zinc/13/85/98/613138598.db2.gz YDAVCRKPSGGSSG-UHFFFAOYSA-N 0 1 270.340 0.942 20 30 CCEDMN C#CCN1CCC(Nc2nnc(Cl)cc2C(N)=O)CC1 ZINC000895809523 613139111 /nfs/dbraw/zinc/13/91/11/613139111.db2.gz YKZRFQOHDFYBFJ-UHFFFAOYSA-N 0 1 293.758 0.738 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CCn3ccnn3)C2)C1 ZINC000981737129 613140734 /nfs/dbraw/zinc/14/07/34/613140734.db2.gz XDFSCEJDPCMOJU-UHFFFAOYSA-N 0 1 287.367 0.226 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H]3CO[C@H](C)C3)C2)C1 ZINC000981860741 613141732 /nfs/dbraw/zinc/14/17/32/613141732.db2.gz YDDWBDUAURIIQX-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)c1cnon1 ZINC000969084916 613147732 /nfs/dbraw/zinc/14/77/32/613147732.db2.gz GKTJKIGQUSNIEZ-LLVKDONJSA-N 0 1 262.313 0.677 20 30 CCEDMN C#CCN1CCCCC[C@H]1CNC(=O)c1[nH]nnc1C ZINC000968977251 613148939 /nfs/dbraw/zinc/14/89/39/613148939.db2.gz QDCBYLDKCYGKIW-LBPRGKRZSA-N 0 1 275.356 0.721 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)NC[C@H]1CCN1CC ZINC000890059708 613160935 /nfs/dbraw/zinc/16/09/35/613160935.db2.gz LDWDILMFSUOEJQ-NWDGAFQWSA-N 0 1 251.330 0.124 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)[C@H]1CC[N@@H+]1C(C)(C)C ZINC000897962692 613161770 /nfs/dbraw/zinc/16/17/70/613161770.db2.gz LZCJNVKPZWBKQC-NWDGAFQWSA-N 0 1 250.342 0.720 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)[C@H]1CCN1C(C)(C)C ZINC000897962692 613161771 /nfs/dbraw/zinc/16/17/71/613161771.db2.gz LZCJNVKPZWBKQC-NWDGAFQWSA-N 0 1 250.342 0.720 20 30 CCEDMN C#C[C@H]1COCCN1C(=O)c1c[nH]cc2ncnc1-2 ZINC000897963113 613162430 /nfs/dbraw/zinc/16/24/30/613162430.db2.gz SXWRMTQVYHRDDV-VIFPVBQESA-N 0 1 256.265 0.432 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C/c2cnn(C)c2)C1 ZINC000923590882 613165052 /nfs/dbraw/zinc/16/50/52/613165052.db2.gz HAHHNTQWIXYOAZ-PSKZRQQASA-N 0 1 272.352 0.647 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2nnc([C@@H]3CCOC3)[nH]2)cn1 ZINC000898857297 613182041 /nfs/dbraw/zinc/18/20/41/613182041.db2.gz ROEUHJXRZLWMLE-LLVKDONJSA-N 0 1 297.318 0.615 20 30 CCEDMN C#Cc1ccc(C(=O)NCc2n[nH]c([C@@H]3CCOC3)n2)cn1 ZINC000898857297 613182042 /nfs/dbraw/zinc/18/20/42/613182042.db2.gz ROEUHJXRZLWMLE-LLVKDONJSA-N 0 1 297.318 0.615 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)N[C@H](C)c2nnc(C)[nH]2)C1 ZINC000890365558 613187484 /nfs/dbraw/zinc/18/74/84/613187484.db2.gz VZBFFZVBORJPDE-PWSUYJOCSA-N 0 1 290.371 0.571 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H](C)c2n[nH]c(C)n2)nc1 ZINC000804815357 613188410 /nfs/dbraw/zinc/18/84/10/613188410.db2.gz KVWIDLJRBWFASN-MRVPVSSYSA-N 0 1 255.281 0.980 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CC[C@]3(CC3(F)F)C2)CC1 ZINC000844472362 613205546 /nfs/dbraw/zinc/20/55/46/613205546.db2.gz XCLDCSPQXXXWFD-AWEZNQCLSA-N 0 1 297.349 0.495 20 30 CCEDMN C#Cc1cnc(N2CCN([C@@H]3CCC[C@H]3O)CC2)nc1 ZINC000827558211 613209675 /nfs/dbraw/zinc/20/96/75/613209675.db2.gz XNVYUYHZPVZRFT-ZIAGYGMSSA-N 0 1 272.352 0.493 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)CC2OCCCO2)C1 ZINC000965946441 613213989 /nfs/dbraw/zinc/21/39/89/613213989.db2.gz NCAZBNGOTNDRCS-OLZOCXBDSA-N 0 1 280.368 0.599 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2[nH]cnc2C)C1 ZINC000968591745 613214291 /nfs/dbraw/zinc/21/42/91/613214291.db2.gz FDKXBBFXFLBOJD-RISCZKNCSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2csc(=O)[nH]2)C1 ZINC000967918850 613217280 /nfs/dbraw/zinc/21/72/80/613217280.db2.gz JFYLADBIVIUXDJ-ZJUUUORDSA-N 0 1 279.365 0.922 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC000966883221 613219104 /nfs/dbraw/zinc/21/91/04/613219104.db2.gz CFLIRBGCQBAYEP-MWLCHTKSSA-N 0 1 276.340 0.497 20 30 CCEDMN C(=NNC1=N[C@H]2CCCC[C@@H]2N1)c1cnc2ncccn12 ZINC000755813240 613223171 /nfs/dbraw/zinc/22/31/71/613223171.db2.gz BQYUJKBDNKPCMW-RYUDHWBXSA-N 0 1 283.339 0.923 20 30 CCEDMN c1c(CN=Nc2ccncn2)nnn1C[C@@H]1CCCO1 ZINC000872380413 613223891 /nfs/dbraw/zinc/22/38/91/613223891.db2.gz HQOMANOJAMOYGL-NSHDSACASA-N 0 1 273.300 0.693 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2COCCO2)C(C)(C)C1 ZINC000940589702 613229586 /nfs/dbraw/zinc/22/95/86/613229586.db2.gz XMPDVKPPDXGHGF-CHWSQXEVSA-N 0 1 280.368 0.252 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn(CCC)nn2)[C@@H](C)C1 ZINC000943797319 613240621 /nfs/dbraw/zinc/24/06/21/613240621.db2.gz HIAQDFFRRGEIDP-QWHCGFSZSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn3cccnc3n2)[C@H](C)C1 ZINC000942256403 613240732 /nfs/dbraw/zinc/24/07/32/613240732.db2.gz FCDNUYNHFVYMHC-CHWSQXEVSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnc3nccn3c2)[C@H](C)C1 ZINC000942494864 613241072 /nfs/dbraw/zinc/24/10/72/613241072.db2.gz BROLWZPJSANFTQ-TZMCWYRMSA-N 0 1 297.362 0.803 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnn(CC)c2)[C@H](C)C1 ZINC000941900065 613241887 /nfs/dbraw/zinc/24/18/87/613241887.db2.gz PGXLXQNLSDOHQZ-TZMCWYRMSA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnnn2CC)[C@H](C)C1 ZINC000942023282 613243034 /nfs/dbraw/zinc/24/30/34/613243034.db2.gz YQUQTNGSQROGMS-VXGBXAGGSA-N 0 1 275.356 0.371 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2csnn2)C[C@H]1C ZINC000947571812 613243714 /nfs/dbraw/zinc/24/37/14/613243714.db2.gz IDANDLYZHARIAH-NXEZZACHSA-N 0 1 264.354 0.754 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](NC(=O)c2ncccc2[O-])[C@H](C)C1 ZINC000941989961 613244324 /nfs/dbraw/zinc/24/43/24/613244324.db2.gz RRJZRGPLIPYTSF-VXGBXAGGSA-N 0 1 273.336 0.861 20 30 CCEDMN C=C(C)CCN1CC(N(CC)C(=O)[C@H]2COCCO2)C1 ZINC000949706951 613246425 /nfs/dbraw/zinc/24/64/25/613246425.db2.gz VZKWBRWQMILDCB-CQSZACIVSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC000967187746 613248596 /nfs/dbraw/zinc/24/85/96/613248596.db2.gz XCAQWYUCFHYBOB-JSGCOSHPSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)CC2OCCCO2)C1 ZINC000965946442 613251641 /nfs/dbraw/zinc/25/16/41/613251641.db2.gz NCAZBNGOTNDRCS-QWHCGFSZSA-N 0 1 280.368 0.599 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)[C@@H]2CCCN2C(N)=O)CC1 ZINC000957916085 613258957 /nfs/dbraw/zinc/25/89/57/613258957.db2.gz ZQBUIKJSBHPQBR-ZDUSSCGKSA-N 0 1 294.399 0.640 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CN2CCOCC2)C(C)(C)C1 ZINC000940672160 613259506 /nfs/dbraw/zinc/25/95/06/613259506.db2.gz GSPFPHWOHOABEP-AWEZNQCLSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cccn3nnnc23)[C@H](C)C1 ZINC000942084494 613271510 /nfs/dbraw/zinc/27/15/10/613271510.db2.gz UHCPQBBJBZMNHJ-YPMHNXCESA-N 0 1 298.350 0.198 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn(C)n2)C[C@@H]1C ZINC000948036730 613272089 /nfs/dbraw/zinc/27/20/89/613272089.db2.gz XIVADROPAUFFGU-RYUDHWBXSA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)cn2)C[C@H]1C ZINC000947200360 613273798 /nfs/dbraw/zinc/27/37/98/613273798.db2.gz KRQOBLCRGPNVMH-NEPJUHHUSA-N 0 1 260.341 0.636 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncc(OC)n2)[C@H](C)C1 ZINC000943333281 613274968 /nfs/dbraw/zinc/27/49/68/613274968.db2.gz HYYYDZRCFMPALR-NEPJUHHUSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncc(OC)n2)C[C@@H]1C ZINC000947778702 613275195 /nfs/dbraw/zinc/27/51/95/613275195.db2.gz IJJODIXYPCSARI-RYUDHWBXSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3cccnc23)[C@@H](C)C1 ZINC000942550423 613275828 /nfs/dbraw/zinc/27/58/28/613275828.db2.gz DJGMNTUSKNTCDP-JSGCOSHPSA-N 0 1 297.362 0.803 20 30 CCEDMN C=C(C)CN(C)C(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000909553735 613282300 /nfs/dbraw/zinc/28/23/00/613282300.db2.gz YEYZJHDEIAJFQY-NSHDSACASA-N 0 1 254.330 0.818 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(CC)cc2)C1 ZINC000958640034 613286369 /nfs/dbraw/zinc/28/63/69/613286369.db2.gz OEIZSFOIXFZPLY-JKSUJKDBSA-N 0 1 286.375 0.905 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc3c2CCC3)C1 ZINC000958744009 613286774 /nfs/dbraw/zinc/28/67/74/613286774.db2.gz DRNLXFNFZFJXQL-WMLDXEAASA-N 0 1 298.386 0.831 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccsc2C)C1 ZINC000958020731 613287486 /nfs/dbraw/zinc/28/74/86/613287486.db2.gz TUYNVVVWSOZCCF-WCQYABFASA-N 0 1 278.377 0.712 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ncccc2Cl)C1 ZINC000958605817 613287731 /nfs/dbraw/zinc/28/77/31/613287731.db2.gz GMNWOPPNAZTWED-CMPLNLGQSA-N 0 1 293.754 0.391 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2scnc2Cl)C1 ZINC000958677337 613287782 /nfs/dbraw/zinc/28/77/82/613287782.db2.gz NIOQRFIMODMVFL-DTWKUNHWSA-N 0 1 299.783 0.452 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(C)cc(C)c2)[C@H](O)C1 ZINC000958625561 613288207 /nfs/dbraw/zinc/28/82/07/613288207.db2.gz KVCMZCZHIRLXHH-HZPDHXFCSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(F)ccc2F)[C@H](O)C1 ZINC000958145304 613288949 /nfs/dbraw/zinc/28/89/49/613288949.db2.gz JFTUIZILAKCYSC-QMTHXVAHSA-N 0 1 294.301 0.621 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cc(CC)ccn2)[C@H](O)C1 ZINC000958092618 613289130 /nfs/dbraw/zinc/28/91/30/613289130.db2.gz VKCGDHMCZCSBHA-UKRRQHHQSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(COC)o2)[C@H](O)C1 ZINC000958236340 613289240 /nfs/dbraw/zinc/28/92/40/613289240.db2.gz SRHPETYGFNLVPU-DGCLKSJQSA-N 0 1 292.335 0.082 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccncc2C)[C@H](O)C1 ZINC000958056719 613289540 /nfs/dbraw/zinc/28/95/40/613289540.db2.gz QEAYCINQTSJCCX-TZMCWYRMSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2occc2CC)[C@H](O)C1 ZINC000958375180 613290535 /nfs/dbraw/zinc/29/05/35/613290535.db2.gz RCBTWBYBJJPLMV-CHWSQXEVSA-N 0 1 276.336 0.498 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2scnc2Cl)[C@H](O)C1 ZINC000958677339 613290882 /nfs/dbraw/zinc/29/08/82/613290882.db2.gz NIOQRFIMODMVFL-RKDXNWHRSA-N 0 1 299.783 0.452 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(F)cncc2F)C1 ZINC000958100882 613294037 /nfs/dbraw/zinc/29/40/37/613294037.db2.gz IKODEGFZUFPJNN-CABZTGNLSA-N 0 1 295.289 0.016 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(C)oc(C)c2C)C1 ZINC000958239246 613294199 /nfs/dbraw/zinc/29/41/99/613294199.db2.gz MARNCXKGAUMVQS-KBPBESRZSA-N 0 1 290.363 0.861 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccs2)C1 ZINC000957837711 613295134 /nfs/dbraw/zinc/29/51/34/613295134.db2.gz CUHIVOZRJAWURT-QWRGUYRKSA-N 0 1 264.350 0.404 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccoc2Cl)C1 ZINC000958011459 613295789 /nfs/dbraw/zinc/29/57/89/613295789.db2.gz ZNXDVSZROZCGKL-ONGXEEELSA-N 0 1 282.727 0.589 20 30 CCEDMN C#CCN1C[C@H](NC(=O)[C@H]2CCNC(=O)CC2)C(C)(C)C1 ZINC000974861912 613299238 /nfs/dbraw/zinc/29/92/38/613299238.db2.gz GOTXCCMCJNYHJK-OLZOCXBDSA-N 0 1 291.395 0.363 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)C(C)(C)C(N)=O)CC1 ZINC000981383603 613307613 /nfs/dbraw/zinc/30/76/13/613307613.db2.gz UNYRGCXRZQRPEV-UHFFFAOYSA-N 0 1 267.373 0.608 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCOCC1 ZINC000958724242 613315354 /nfs/dbraw/zinc/31/53/54/613315354.db2.gz RXSCJYKDFCOWLM-MQYQWHSLSA-N 0 1 262.353 0.483 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCn2cc(C)nc2C1 ZINC000960555134 613315576 /nfs/dbraw/zinc/31/55/76/613315576.db2.gz OSLTVICTQZXMEP-NYTXWWLZSA-N 0 1 298.390 0.434 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1c1cccnc1 ZINC000961851227 613316402 /nfs/dbraw/zinc/31/64/02/613316402.db2.gz VJAGASRJIUALDG-ZVDSWSACSA-N 0 1 281.359 0.865 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1COc2ccccc2O1 ZINC000962460366 613316862 /nfs/dbraw/zinc/31/68/62/613316862.db2.gz GTLJQJBFKIFDPZ-KOZAUXTDSA-N 0 1 298.342 0.506 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc(NC(N)=O)cc1 ZINC000962457252 613319077 /nfs/dbraw/zinc/31/90/77/613319077.db2.gz UAHRPFYGKGBSMV-WDNDVIMCSA-N 0 1 298.346 0.470 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2c(c1)NC(=O)C2 ZINC000960029536 613319764 /nfs/dbraw/zinc/31/97/64/613319764.db2.gz ARSMRUZMKLJYHR-VIKVFOODSA-N 0 1 295.342 0.474 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2nnn(C)c2c1 ZINC000962410008 613319800 /nfs/dbraw/zinc/31/98/00/613319800.db2.gz UINHRRBQLIASMD-JYAVWHMHSA-N 0 1 295.346 0.262 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn(C)nc1C1CC1 ZINC000960123083 613320864 /nfs/dbraw/zinc/32/08/64/613320864.db2.gz GACGQTNQVUWCLI-JYAVWHMHSA-N 0 1 284.363 0.591 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc(F)c1 ZINC000958524687 613321572 /nfs/dbraw/zinc/32/15/72/613321572.db2.gz FKLNXQAVSWMOGM-ITGUQSILSA-N 0 1 259.284 0.514 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc2ccccn2c1F ZINC000962193448 613322135 /nfs/dbraw/zinc/32/21/35/613322135.db2.gz IOGLKCWGOFRIRE-PJXYFTJBSA-N 0 1 298.321 0.767 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@](COC)(C(=O)OCC)C1 ZINC000799199159 613329169 /nfs/dbraw/zinc/32/91/69/613329169.db2.gz OIHZTPSCYQGBCP-OAHLLOKOSA-N 0 1 296.367 0.028 20 30 CCEDMN C=C(C)CON=C([O-])Nc1cc2n(n1)CC[N@@H+](C)C2 ZINC000880822723 613353725 /nfs/dbraw/zinc/35/37/25/613353725.db2.gz XYRAQUAGAJQUFJ-UHFFFAOYSA-N 0 1 265.317 0.958 20 30 CCEDMN C=C(C)CON=C([O-])Nc1cc2n(n1)CC[N@H+](C)C2 ZINC000880822723 613353726 /nfs/dbraw/zinc/35/37/26/613353726.db2.gz XYRAQUAGAJQUFJ-UHFFFAOYSA-N 0 1 265.317 0.958 20 30 CCEDMN C=C(C)C[C@@H](CO)NC(=O)[C@H]1CCCc2n[nH]nc21 ZINC000922737929 613355341 /nfs/dbraw/zinc/35/53/41/613355341.db2.gz VUNMERVHGLPXMX-UWVGGRQHSA-N 0 1 264.329 0.668 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)Cc1cnc[nH]1)C(=O)OC ZINC000913661106 613355859 /nfs/dbraw/zinc/35/58/59/613355859.db2.gz AWSALPVLVLZSBX-SNVBAGLBSA-N 0 1 251.286 0.576 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)NCc1cc(C)[nH]n1 ZINC000883434015 613357654 /nfs/dbraw/zinc/35/76/54/613357654.db2.gz DVJAKYSVRNNUGE-LLVKDONJSA-N 0 1 252.318 0.844 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC000907102823 613361011 /nfs/dbraw/zinc/36/10/11/613361011.db2.gz AGUYRSBAQBLECO-WOPDTQHZSA-N 0 1 291.355 0.887 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1CNC(=O)C1=NC(=O)N(C)C1 ZINC000847026926 613361980 /nfs/dbraw/zinc/36/19/80/613361980.db2.gz PEMMNOHWLMNLFT-KOLCDFICSA-N 0 1 265.313 0.837 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N1CCN(C)CCN(C)CC1 ZINC000888977930 613362948 /nfs/dbraw/zinc/36/29/48/613362948.db2.gz UPCGQPKSSBQUSY-HUUCEWRRSA-N 0 1 295.427 0.673 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N1CCC(c2nn[n-]n2)CC1 ZINC000913745431 613363692 /nfs/dbraw/zinc/36/36/92/613363692.db2.gz DJVMCQOJCHTPSU-UHFFFAOYSA-N 0 1 278.360 0.414 20 30 CCEDMN C=C(CO)C(=O)N1CCC[C@H](N2CCOCC2)CC1 ZINC000854330639 613367107 /nfs/dbraw/zinc/36/71/07/613367107.db2.gz KHCJWRRUSCUKNQ-ZDUSSCGKSA-N 0 1 268.357 0.248 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2=COCCO2)C1 ZINC000966688168 613384317 /nfs/dbraw/zinc/38/43/17/613384317.db2.gz PGICHZBONINJKH-SNVBAGLBSA-N 0 1 272.732 0.818 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)C2(C(N)=O)CC2)C[C@H]1C ZINC000939039339 613386336 /nfs/dbraw/zinc/38/63/36/613386336.db2.gz KWQCKYJPBIWGMD-PSASIEDQSA-N 0 1 285.775 0.441 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(CC)[C@H]1CCS(=O)(=O)C1 ZINC000876554226 613389087 /nfs/dbraw/zinc/38/90/87/613389087.db2.gz GQUBPHBRURBJRF-UWVGGRQHSA-N 0 1 294.804 0.492 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N1CCS(=O)(=O)[C@@H](C)[C@H]1C ZINC000876673037 613389553 /nfs/dbraw/zinc/38/95/53/613389553.db2.gz JELXDMMNJIFUTI-UTLUCORTSA-N 0 1 294.804 0.490 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCC2(CNC(=O)C2)CC1 ZINC000878154710 613396259 /nfs/dbraw/zinc/39/62/59/613396259.db2.gz AXAKVPXFLRBZDZ-NSHDSACASA-N 0 1 266.341 0.706 20 30 CCEDMN C=CC[C@@H](C(=O)OC)N1CCN(C(C)(C)CO)CC1 ZINC000878248415 613397393 /nfs/dbraw/zinc/39/73/93/613397393.db2.gz YGIDQABHDPEXCJ-LBPRGKRZSA-N 0 1 270.373 0.493 20 30 CCEDMN C=CC[C@H](C(=O)OC)N1CCN(CCO)[C@H](C)C1 ZINC000878464693 613411256 /nfs/dbraw/zinc/41/12/56/613411256.db2.gz FIJGXHBTOUGLOE-VXGBXAGGSA-N 0 1 256.346 0.103 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCN(CCF)C[C@@H]2C)nn1 ZINC000943480982 613429878 /nfs/dbraw/zinc/42/98/78/613429878.db2.gz HQLJSVDWFXNQTM-NWDGAFQWSA-N 0 1 295.362 0.874 20 30 CCEDMN C=CCn1cc(CN[C@@H](COC)[C@@H]2CCCOC2)nn1 ZINC000883231066 613435810 /nfs/dbraw/zinc/43/58/10/613435810.db2.gz YZIZIZFPPOYQLO-OCCSQVGLSA-N 0 1 280.372 0.995 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H]1CNC(=O)c1ncn[nH]1 ZINC000951574041 613441211 /nfs/dbraw/zinc/44/12/11/613441211.db2.gz QXVMSIFYGBZVIY-VIFPVBQESA-N 0 1 277.328 0.348 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H]1CNC(=O)c1nc[nH]n1 ZINC000951574041 613441214 /nfs/dbraw/zinc/44/12/14/613441214.db2.gz QXVMSIFYGBZVIY-VIFPVBQESA-N 0 1 277.328 0.348 20 30 CCEDMN C=CC(C)(C)NC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000895967728 613448938 /nfs/dbraw/zinc/44/89/38/613448938.db2.gz BFPSMAVZKBNTBT-NSHDSACASA-N 0 1 282.388 0.590 20 30 CCEDMN C=C[C@@H](O)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000814549875 613453912 /nfs/dbraw/zinc/45/39/12/613453912.db2.gz NVBAXJGDYYKIFZ-RKDXNWHRSA-N 0 1 280.305 0.718 20 30 CCEDMN C=C[C@@H](O)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000814549875 613453913 /nfs/dbraw/zinc/45/39/13/613453913.db2.gz NVBAXJGDYYKIFZ-RKDXNWHRSA-N 0 1 280.305 0.718 20 30 CCEDMN C=CCCCCCN1CCN2[C@@H](CNS2(=O)=O)C1 ZINC000933100879 613465203 /nfs/dbraw/zinc/46/52/03/613465203.db2.gz NUSXXAXTQSPPAN-LBPRGKRZSA-N 0 1 273.402 0.567 20 30 CCEDMN C=CCCC[C@H]1NC(=O)N(C[C@H]2CCN2C)C1=O ZINC000925197086 613475299 /nfs/dbraw/zinc/47/52/99/613475299.db2.gz QBAVUUKJMGOWCR-GHMZBOCLSA-N 0 1 251.330 0.967 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)CC(C)(C)O)CC2)C1 ZINC000941392173 613482077 /nfs/dbraw/zinc/48/20/77/613482077.db2.gz VQDHSXNMOKNEMQ-UHFFFAOYSA-N 0 1 295.427 0.552 20 30 CCEDMN C=CCCN1CC(N2CCN(C(=O)[C@@H]3CCCO3)CC2)C1 ZINC000941298073 613482221 /nfs/dbraw/zinc/48/22/21/613482221.db2.gz RNRSPZIDZYEOJL-HNNXBMFYSA-N 0 1 293.411 0.570 20 30 CCEDMN C=CCCN1CCO[C@@]2(CCN(C(=O)c3cn[nH]n3)C2)C1 ZINC000972448329 613513779 /nfs/dbraw/zinc/51/37/79/613513779.db2.gz XQOAUTFTWCQRRK-AWEZNQCLSA-N 0 1 291.355 0.298 20 30 CCEDMN CC#CCN1CC(N(CC)C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC000950055954 613526119 /nfs/dbraw/zinc/52/61/19/613526119.db2.gz RXUKUBCZOJHEPZ-VXGBXAGGSA-N 0 1 265.313 0.208 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)C2=CCOCC2)C1 ZINC000969549679 613529976 /nfs/dbraw/zinc/52/99/76/613529976.db2.gz VJHYOPIUKQPPCW-GFCCVEGCSA-N 0 1 262.353 0.793 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cc(C#N)c[nH]2)C1 ZINC000969463767 613532001 /nfs/dbraw/zinc/53/20/01/613532001.db2.gz UTQKGPGMPMEQIG-LLVKDONJSA-N 0 1 270.336 0.960 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC000969989232 613536389 /nfs/dbraw/zinc/53/63/89/613536389.db2.gz NRMPBQKQSDSPJP-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H](C)OC)C2)C1 ZINC000981650972 613542536 /nfs/dbraw/zinc/54/25/36/613542536.db2.gz APMHNUQYSVJPIP-CYBMUJFWSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC000833373902 613578062 /nfs/dbraw/zinc/57/80/62/613578062.db2.gz ILLRPZZIFMUZBC-OLZOCXBDSA-N 0 1 298.383 0.975 20 30 CCEDMN CC(=O)N1CCc2cc(C(=O)N[C@H]3CNC[C@@H]3C#N)ccc21 ZINC000834511972 613588065 /nfs/dbraw/zinc/58/80/65/613588065.db2.gz QJHCMKIHVYBXBR-KBPBESRZSA-N 0 1 298.346 0.437 20 30 CCEDMN C=CCC[C@H](NC(=O)[C@@H]1C[N@H+](CC)CCO1)C(=O)[O-] ZINC000909714500 613590007 /nfs/dbraw/zinc/59/00/07/613590007.db2.gz YAYSMQAEYRSKJM-QWRGUYRKSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCC[C@H](NC(=O)[C@@H]1C[N@@H+](CC)CCO1)C(=O)[O-] ZINC000909714500 613590009 /nfs/dbraw/zinc/59/00/09/613590009.db2.gz YAYSMQAEYRSKJM-QWRGUYRKSA-N 0 1 270.329 0.243 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2nc(C)cc(=O)[nH]2)CC1 ZINC000886655415 613591011 /nfs/dbraw/zinc/59/10/11/613591011.db2.gz OMSQJSFZODHXDY-ZDUSSCGKSA-N 0 1 292.383 0.940 20 30 CCEDMN C=CCN(C(=O)NCCCc1nc[nH]n1)[C@@H](C)COC ZINC000923127994 613605995 /nfs/dbraw/zinc/60/59/95/613605995.db2.gz JTQONJGRCTUYIV-NSHDSACASA-N 0 1 281.360 0.970 20 30 CCEDMN C=CCN(C)C(=O)CN1CCC[C@H](C(=O)OCC)C1 ZINC000907517634 613609101 /nfs/dbraw/zinc/60/91/01/613609101.db2.gz FNFNIUBLCWMUBY-LBPRGKRZSA-N 0 1 268.357 0.906 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974912734 613609390 /nfs/dbraw/zinc/60/93/90/613609390.db2.gz AAQAFEZQSQKOGL-QWHCGFSZSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2COCCO2)C(C)(C)C1 ZINC000972827512 613610490 /nfs/dbraw/zinc/61/04/90/613610490.db2.gz PWFJFBQWBAHGFL-CHWSQXEVSA-N 0 1 280.368 0.252 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C)co2)C1 ZINC000958334957 613612987 /nfs/dbraw/zinc/61/29/87/613612987.db2.gz RNHIPTCYUCDJOJ-QWHCGFSZSA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cccc(C)n2)[C@H](O)C1 ZINC000957878195 613614510 /nfs/dbraw/zinc/61/45/10/613614510.db2.gz IETDZKYQSLUCEP-UKRRQHHQSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)C2CCCCC2)C1 ZINC000957820705 613618235 /nfs/dbraw/zinc/61/82/35/613618235.db2.gz JRHUIQKGVOPYLD-GJZGRUSLSA-N 0 1 278.396 0.999 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cc2cncnc2)C(C)(C)C1 ZINC000974534036 613621337 /nfs/dbraw/zinc/62/13/37/613621337.db2.gz WJPMDNIJBPLHSF-AWEZNQCLSA-N 0 1 286.379 0.869 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H](C)n2cncn2)C(C)(C)C1 ZINC000974485463 613621350 /nfs/dbraw/zinc/62/13/50/613621350.db2.gz QTKHSCYPRPETNK-OLZOCXBDSA-N 0 1 289.383 0.689 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cc(=O)n(C)o2)C(C)(C)C1 ZINC000974499340 613623363 /nfs/dbraw/zinc/62/33/63/613623363.db2.gz MFTBSKHAAQZJSK-LBPRGKRZSA-N 0 1 291.351 0.442 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cccc(C)n2)C1 ZINC000957878194 613625142 /nfs/dbraw/zinc/62/51/42/613625142.db2.gz IETDZKYQSLUCEP-HIFRSBDPSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCc2c[nH]nc2C1 ZINC000962649972 613637521 /nfs/dbraw/zinc/63/75/21/613637521.db2.gz CQDAOWOXCQKKPR-YYWXWVFPSA-N 0 1 298.390 0.584 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc2n(n1)CCC2 ZINC000960185390 613639654 /nfs/dbraw/zinc/63/96/54/613639654.db2.gz BCJJEKIQVCWZMZ-NHAGDIPZSA-N 0 1 284.363 0.513 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nn(C)cc1Cl ZINC000960070398 613642909 /nfs/dbraw/zinc/64/29/09/613642909.db2.gz ZAYMLAMMNOQNBJ-IAZYJMLFSA-N 0 1 292.770 0.757 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000839714462 613644807 /nfs/dbraw/zinc/64/48/07/613644807.db2.gz FHKVKZPPYPFGQZ-RKDXNWHRSA-N 0 1 256.258 0.229 20 30 CCEDMN C=CCN(CC=C)C(=O)C[NH2+][C@]1(C(=O)[O-])CCOC1 ZINC000833375013 613646913 /nfs/dbraw/zinc/64/69/13/613646913.db2.gz DNULCZMPKWRFNI-CYBMUJFWSA-N 0 1 268.313 0.020 20 30 CCEDMN C=CCN(CC=C)C(=O)Cn1cnc(-c2nn[nH]n2)c1C#N ZINC000823903951 613648117 /nfs/dbraw/zinc/64/81/17/613648117.db2.gz OPJRXNRSNYTVKD-UHFFFAOYSA-N 0 1 298.310 0.135 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)N1CC[C@](O)(C(=O)OC)C1 ZINC000880560154 613648173 /nfs/dbraw/zinc/64/81/73/613648173.db2.gz LTGOKAHAJXZMFM-IUODEOHRSA-N 0 1 296.367 0.185 20 30 CCEDMN CC(=NNC1=NCCN1)c1ccc2c(c1)OCCO2 ZINC000841662773 613654472 /nfs/dbraw/zinc/65/44/72/613654472.db2.gz QYECUBZYSJEIRN-UHFFFAOYSA-N 0 1 260.297 0.731 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(=O)n(C)cn2)C1 ZINC000969875428 613664015 /nfs/dbraw/zinc/66/40/15/613664015.db2.gz CFVAIAPVBBBRHQ-SNVBAGLBSA-N 0 1 276.340 0.016 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cccc(=O)n2C)C1 ZINC000970403587 613665754 /nfs/dbraw/zinc/66/57/54/613665754.db2.gz CTZRBOYMJJHOTL-LLVKDONJSA-N 0 1 275.352 0.621 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc3nncn3c2)C1 ZINC000970577810 613665898 /nfs/dbraw/zinc/66/58/98/613665898.db2.gz RIUPKYMHYJAXJN-LLVKDONJSA-N 0 1 285.351 0.965 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cccn(C)c2=O)C1 ZINC000970754191 613665988 /nfs/dbraw/zinc/66/59/88/613665988.db2.gz QXSKSHBYGXVETP-LLVKDONJSA-N 0 1 275.352 0.621 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2nc(C)c(C)[nH]c2=O)C1 ZINC000969949148 613667517 /nfs/dbraw/zinc/66/75/17/613667517.db2.gz OKRDGDJZCGDAPJ-LLVKDONJSA-N 0 1 290.367 0.623 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC000970932963 613668861 /nfs/dbraw/zinc/66/88/61/613668861.db2.gz XPHYAOAHYWOCGZ-NWDGAFQWSA-N 0 1 279.384 0.477 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC000969918894 613669342 /nfs/dbraw/zinc/66/93/42/613669342.db2.gz JDISUNVSQPMDFR-CMPLNLGQSA-N 0 1 265.357 0.278 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccn(C)c(=O)c2)C1 ZINC000969989727 613672472 /nfs/dbraw/zinc/67/24/72/613672472.db2.gz PXDNXHYADGYLNC-NSHDSACASA-N 0 1 275.352 0.621 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@H]2CC(=O)N(C(C)C)C2)CC1 ZINC000981272252 613693755 /nfs/dbraw/zinc/69/37/55/613693755.db2.gz AMRCYBCUURQAQL-AWEZNQCLSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CCCN(C(=O)c2cnn(C)c2N)CC1 ZINC000981022630 613698755 /nfs/dbraw/zinc/69/87/55/613698755.db2.gz SRDZXHOETOJRBQ-UHFFFAOYSA-N 0 1 263.345 0.336 20 30 CCEDMN C=CCN1CCN(CN2CC[C@@](C)(C(=O)NC)C2)C1=O ZINC000840061046 613721021 /nfs/dbraw/zinc/72/10/21/613721021.db2.gz CGSFPUQVOZPEPP-CQSZACIVSA-N 0 1 280.372 0.326 20 30 CCEDMN C=CCN1CCN(CN2CC[C@@H](C(=O)N(C)C)C2)C1=O ZINC000840173258 613721070 /nfs/dbraw/zinc/72/10/70/613721070.db2.gz AOPVVAHDSNJPCM-GFCCVEGCSA-N 0 1 280.372 0.278 20 30 CCEDMN C=CCN1CCN(CN2C[C@@H](C)N(C)[C@@H](C)C2)C1=O ZINC000840090009 613721969 /nfs/dbraw/zinc/72/19/69/613721969.db2.gz DXXAHUPPETVJNV-BETUJISGSA-N 0 1 266.389 0.892 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cnn(C)c2)C1 ZINC000965528547 613732643 /nfs/dbraw/zinc/73/26/43/613732643.db2.gz QRZGFZPPTZKMKW-TZMCWYRMSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@H](NC(=O)Cc2nonc2C)C1 ZINC000968493869 613733656 /nfs/dbraw/zinc/73/36/56/613733656.db2.gz YQYKZHFIDFFJSP-ZWNOBZJWSA-N 0 1 278.356 0.933 20 30 CCEDMN CC(=O)NCC[N@@H+](CC1(C#N)CC1)[C@H](C)C(=O)[O-] ZINC000819770156 613737030 /nfs/dbraw/zinc/73/70/30/613737030.db2.gz ILCRCLIEAFHPNR-SECBINFHSA-N 0 1 253.302 0.201 20 30 CCEDMN CC(=O)NCC[N@H+](CC1(C#N)CC1)[C@H](C)C(=O)[O-] ZINC000819770156 613737026 /nfs/dbraw/zinc/73/70/26/613737026.db2.gz ILCRCLIEAFHPNR-SECBINFHSA-N 0 1 253.302 0.201 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC3(CC2)C[C@@H](O)CCO3)C1=O ZINC000933056824 613739114 /nfs/dbraw/zinc/73/91/14/613739114.db2.gz VKJXCIOCFDMSIA-UONOGXRCSA-N 0 1 294.395 0.779 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@H]2c2nnc[nH]2)C1=O ZINC000931140793 613739842 /nfs/dbraw/zinc/73/98/42/613739842.db2.gz ZCVBLHBSYCHMLQ-WDEREUQCSA-N 0 1 261.329 0.729 20 30 CCEDMN C=CCN1CC[C@@H](N[C@]2(CC(=O)OC)CCCOC2)C1=O ZINC000799164369 613763447 /nfs/dbraw/zinc/76/34/47/613763447.db2.gz JWMCAAAHQKOUTC-DOMZBBRYSA-N 0 1 296.367 0.475 20 30 CCEDMN C=CCN1CC[C@@H](N[C@@H]2COCC[C@H]2OC)C1=O ZINC000934034378 613763678 /nfs/dbraw/zinc/76/36/78/613763678.db2.gz GBPVKZRSNFXBSQ-IJLUTSLNSA-N 0 1 254.330 0.167 20 30 CCEDMN C=CCN1CC[C@@H](OC(=O)CCCCc2cn[nH]n2)C1=O ZINC000798651975 613764077 /nfs/dbraw/zinc/76/40/77/613764077.db2.gz UTUSJUCHQGJDLY-GFCCVEGCSA-N 0 1 292.339 0.848 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)CN2CCOCC2)C1 ZINC000965921762 613771977 /nfs/dbraw/zinc/77/19/77/613771977.db2.gz OHPCJHKJXDHXQK-UONOGXRCSA-N 0 1 281.400 0.331 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](O)CCC2(O)CCC2)C1=O ZINC000930310910 613777253 /nfs/dbraw/zinc/77/72/53/613777253.db2.gz SZJWHZFFKWGQAI-KBPBESRZSA-N 0 1 296.411 0.761 20 30 CCEDMN C=CCN1CC[C@H](NC2(C(N)=O)CCCC2)C1=O ZINC000799140162 613801851 /nfs/dbraw/zinc/80/18/51/613801851.db2.gz WHRTYDXBLLMIRF-JTQLQIEISA-N 0 1 251.330 0.161 20 30 CCEDMN C=CCN1CC[C@H](N[C@@]2(CC(=O)OC)CCCOC2)C1=O ZINC000799164371 613802351 /nfs/dbraw/zinc/80/23/51/613802351.db2.gz JWMCAAAHQKOUTC-SWLSCSKDSA-N 0 1 296.367 0.475 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C(C)(C)C1 ZINC000977525093 613827625 /nfs/dbraw/zinc/82/76/25/613827625.db2.gz IOPVKAZHGHIPAG-KGLIPLIRSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCNC(=O)CNCc1cccc(C(=O)N(C)C)c1 ZINC000917135218 613847306 /nfs/dbraw/zinc/84/73/06/613847306.db2.gz IPFIVXCTISKIIP-UHFFFAOYSA-N 0 1 275.352 0.780 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N[C@@H](C)c1ccnn1C ZINC000871927923 613851075 /nfs/dbraw/zinc/85/10/75/613851075.db2.gz SIZYAOZUHYXQJH-VHSXEESVSA-N 0 1 279.344 0.471 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(OC(C)=O)CC1 ZINC000153237400 613854998 /nfs/dbraw/zinc/85/49/98/613854998.db2.gz HSCNEKGAMDOGBI-JTQLQIEISA-N 0 1 254.330 0.705 20 30 CCEDMN C=CCNc1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000834506662 613877249 /nfs/dbraw/zinc/87/72/49/613877249.db2.gz UTDNDRZEAWVKNW-DTWKUNHWSA-N 0 1 277.353 0.582 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](c2[nH]ncc2N)C1 ZINC000907402505 613879134 /nfs/dbraw/zinc/87/91/34/613879134.db2.gz LTNWZTYGXSHDPH-VIFPVBQESA-N 0 1 250.302 0.510 20 30 CCEDMN C=CCOCCCC(=O)N[C@@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC000896556715 613879750 /nfs/dbraw/zinc/87/97/50/613879750.db2.gz QABIUPUHJVTYJU-UONOGXRCSA-N 0 1 283.416 0.720 20 30 CCEDMN C=CCOCCCNC(=O)[C@]1(C)C[C@@H](O)CN1C ZINC000912501143 613880288 /nfs/dbraw/zinc/88/02/88/613880288.db2.gz ZGZHVVTZRWHSJJ-YPMHNXCESA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCONC(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000838709543 613883413 /nfs/dbraw/zinc/88/34/13/613883413.db2.gz BWPUBBJPPSTVNQ-LLVKDONJSA-N 0 1 271.361 0.591 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)COC[C@@H]1CCCO1 ZINC000113653451 613934042 /nfs/dbraw/zinc/93/40/42/613934042.db2.gz OVBMLIUAWGVRCG-WDEREUQCSA-N 0 1 268.313 0.415 20 30 CCEDMN CC(C)OC(=O)C1CCN(C[C@H](O)CC#N)CC1 ZINC000931752957 613985445 /nfs/dbraw/zinc/98/54/45/613985445.db2.gz QSVDMIIKKBQYOD-GFCCVEGCSA-N 0 1 254.330 0.925 20 30 CCEDMN CC(C)[C@@H](C#N)N1CCN(C(=O)[C@H](O)c2c[nH]cn2)CC1 ZINC000865091099 614071623 /nfs/dbraw/zinc/07/16/23/614071623.db2.gz RRYPOWHXSPUAPR-CHWSQXEVSA-N 0 1 291.355 0.135 20 30 CCEDMN CC(=O)Nc1cccc(CC(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834485266 614204171 /nfs/dbraw/zinc/20/41/71/614204171.db2.gz UMDZJNUQCMIKLT-GXTWGEPZSA-N 0 1 286.335 0.415 20 30 CCEDMN CC(C)Cn1ccc(C(=O)NCC#CCN(C)C)n1 ZINC000913525374 614240295 /nfs/dbraw/zinc/24/02/95/614240295.db2.gz ULMZLYARNHECGU-UHFFFAOYSA-N 0 1 262.357 0.834 20 30 CCEDMN CC(C)N(C)c1ccc(C=NNC2=NCCN2)cn1 ZINC000841660818 614285504 /nfs/dbraw/zinc/28/55/04/614285504.db2.gz JRTAMFKVFOWKTQ-UHFFFAOYSA-N 0 1 260.345 0.809 20 30 CCEDMN CC(C)(C(=O)N[C@H]1CNC[C@@H]1C#N)c1cccnc1 ZINC000834505720 614303879 /nfs/dbraw/zinc/30/38/79/614303879.db2.gz NERXLNHIFKFJJF-JQWIXIFHSA-N 0 1 258.325 0.587 20 30 CCEDMN CC(C)N1CC(=Nn2ccc(=O)[nH]c2=O)[C@H](C)C1 ZINC000863184742 614315371 /nfs/dbraw/zinc/31/53/71/614315371.db2.gz IVLBXPIRJWHRGG-SECBINFHSA-N 0 1 250.302 0.513 20 30 CCEDMN CC(C)C(=O)N1CCC(C(=O)NCC#CCN(C)C)CC1 ZINC000913516662 614348507 /nfs/dbraw/zinc/34/85/07/614348507.db2.gz ZPRUMEMFDQLQAH-UHFFFAOYSA-N 0 1 293.411 0.562 20 30 CCEDMN CCC(C#N)(CC)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000859278277 614470489 /nfs/dbraw/zinc/47/04/89/614470489.db2.gz OGYJGSAFGQRHIR-VIFPVBQESA-N 0 1 278.316 0.430 20 30 CCEDMN CCCCn1ncc(C(=O)N[C@H]2CNC[C@H]2C#N)c1C ZINC000834480082 614494260 /nfs/dbraw/zinc/49/42/60/614494260.db2.gz KWBOYTPIDGDVHY-YPMHNXCESA-N 0 1 275.356 0.833 20 30 CCEDMN CC1(C)CCCN(C(=O)[C@@H](O)c2c[nH]cn2)[C@H]1C#N ZINC000867832451 614526896 /nfs/dbraw/zinc/52/68/96/614526896.db2.gz MZBILQZQDDQWND-QWRGUYRKSA-N 0 1 262.313 0.984 20 30 CCEDMN CC1(C)CNCCN1S(=O)(=O)c1ccsc1C#N ZINC000903487372 614699779 /nfs/dbraw/zinc/69/97/79/614699779.db2.gz XTIYRZGWGXHDGJ-UHFFFAOYSA-N 0 1 285.394 0.992 20 30 CCEDMN CC1(C)[C@@]2(C)CC[C@]1(C(=O)N[C@@H]1CNC[C@@H]1C#N)OC2=O ZINC000876801851 614872045 /nfs/dbraw/zinc/87/20/45/614872045.db2.gz JTHSBNYHQBAQCD-WVGQAWGZSA-N 0 1 291.351 0.336 20 30 CCEDMN CCCCCCCNC(=O)C(=O)N1CCNC[C@@H]1COC ZINC000911337680 614901225 /nfs/dbraw/zinc/90/12/25/614901225.db2.gz BBNMRRMZNLEZKF-CYBMUJFWSA-N 0 1 299.415 0.520 20 30 CCEDMN CCCCC[C@H](O)CC(=O)NCC#CCN(C)C ZINC000913519295 614944895 /nfs/dbraw/zinc/94/48/95/614944895.db2.gz VASCSKVGRGXCLX-ZDUSSCGKSA-N 0 1 254.374 0.999 20 30 CCEDMN CCN(CCC#N)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000896859261 615021098 /nfs/dbraw/zinc/02/10/98/615021098.db2.gz BDXXPWKBJYTTFL-GFCCVEGCSA-N 0 1 251.330 0.469 20 30 CCEDMN CCN(CCC#N)C(=O)CN[C@@H]1COCC[C@H]1OC ZINC000934039780 615022701 /nfs/dbraw/zinc/02/27/01/615022701.db2.gz BPYQLNRYCAAMTC-VXGBXAGGSA-N 0 1 269.345 0.142 20 30 CCEDMN CCN(CCC(=O)NC)CC(=O)N[C@](C)(C#N)C1CC1 ZINC000933869676 615030773 /nfs/dbraw/zinc/03/07/73/615030773.db2.gz ZFCDBBSWNJIAMO-CQSZACIVSA-N 0 1 280.372 0.253 20 30 CCEDMN CCN(CCC(=O)NC)CC(=O)N[C@](C)(C#N)C(C)C ZINC000933869009 615030826 /nfs/dbraw/zinc/03/08/26/615030826.db2.gz JHWAFWIAHJFWAO-CQSZACIVSA-N 0 1 282.388 0.499 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@H]1CCOC[C@@H]1OC ZINC000880430223 615064351 /nfs/dbraw/zinc/06/43/51/615064351.db2.gz RDIIJSJWVYMHFZ-RDBSUJKOSA-N 0 1 297.399 0.730 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)[C@]1(C#N)CCCOC1 ZINC000882497687 615323843 /nfs/dbraw/zinc/32/38/43/615323843.db2.gz LLNAWMGRWDLWOV-AAEUAGOBSA-N 0 1 251.330 0.517 20 30 CCEDMN CCOC(=O)[C@H](C)C1CN(C[C@H](O)CN(C)CCC#N)C1 ZINC000871992523 615337769 /nfs/dbraw/zinc/33/77/69/615337769.db2.gz HLIJERKKVVJYPM-TZMCWYRMSA-N 0 1 297.399 0.324 20 30 CCEDMN CCO[C@@H](C(=O)C(C#N)C(=O)NC1CC1)C1CC1 ZINC000860472498 615398971 /nfs/dbraw/zinc/39/89/71/615398971.db2.gz BFINAQZAOSEFPY-CMPLNLGQSA-N 0 1 250.298 0.789 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC(C)C)[C@H]1CCOC1 ZINC000870142952 615476727 /nfs/dbraw/zinc/47/67/27/615476727.db2.gz OZTCDUDNECOEKO-GVXVVHGQSA-N 0 1 282.340 0.661 20 30 CCEDMN CCO[C@H]1COCC[C@@H]1CC(=O)NCC#CCN(C)C ZINC000913524957 615490855 /nfs/dbraw/zinc/49/08/55/615490855.db2.gz DFXPEKOBSPSYNQ-KGLIPLIRSA-N 0 1 282.384 0.499 20 30 CCEDMN CN(C)CC#CCNC(=O)COCc1ccccc1 ZINC000913515191 615858277 /nfs/dbraw/zinc/85/82/77/615858277.db2.gz MVYPEZOPFWDZCQ-UHFFFAOYSA-N 0 1 260.337 0.884 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccnn1[C@H]1CCOC1 ZINC000934099845 615859076 /nfs/dbraw/zinc/85/90/76/615859076.db2.gz MKKVAQCFMNGBAY-LBPRGKRZSA-N 0 1 291.355 0.531 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@]1(C2CCCC2)CCNC1=O ZINC000913524479 615859525 /nfs/dbraw/zinc/85/95/25/615859525.db2.gz OCPYACJHEOTUJA-INIZCTEOSA-N 0 1 291.395 0.364 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1nccc(N(C)C)n1 ZINC000930735109 615859541 /nfs/dbraw/zinc/85/95/41/615859541.db2.gz NBEBIYVCSUBKEW-UHFFFAOYSA-N 0 1 276.344 0.229 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@]12C[C@H]1COC21CCC1 ZINC000827971974 615859998 /nfs/dbraw/zinc/85/99/98/615859998.db2.gz NLCYRXPGTNKNJH-WFASDCNBSA-N 0 1 262.353 0.627 20 30 CCEDMN CN(C)CC#CCNC(=O)c1c2c(nn1C)CCCC2 ZINC000913519584 615860053 /nfs/dbraw/zinc/86/00/53/615860053.db2.gz GJJFCHQSVZKOJT-UHFFFAOYSA-N 0 1 274.368 0.594 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2nc[nH]c2n1 ZINC000913520055 615861111 /nfs/dbraw/zinc/86/11/11/615861111.db2.gz AVHVJTROGVNVFJ-UHFFFAOYSA-N 0 1 257.297 0.253 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc(NC(N)=O)c1 ZINC000913515692 615861134 /nfs/dbraw/zinc/86/11/34/615861134.db2.gz JCMWOWLHVWFJCW-UHFFFAOYSA-N 0 1 274.324 0.472 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2[nH]cnc2c1F ZINC000913524581 615861395 /nfs/dbraw/zinc/86/13/95/615861395.db2.gz SJMFWYDSTJEGNK-UHFFFAOYSA-N 0 1 274.299 0.997 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccn(C(C)(C)C)c1=O ZINC000913525029 615861450 /nfs/dbraw/zinc/86/14/50/615861450.db2.gz HLANUTZRPSXCTH-UHFFFAOYSA-N 0 1 289.379 0.898 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)C1CCC(NCC#N)CC1 ZINC000963092240 615897935 /nfs/dbraw/zinc/89/79/35/615897935.db2.gz POIVIBYBOCABPH-UHFFFAOYSA-N 0 1 261.329 0.906 20 30 CCEDMN CN(C(=O)c1ccn[nH]1)[C@H]1CCCN(CC#N)CC1 ZINC000948207781 615899954 /nfs/dbraw/zinc/89/99/54/615899954.db2.gz AOVUJKAQUQLUNQ-NSHDSACASA-N 0 1 261.329 0.860 20 30 CCEDMN CCN1C(=O)N=NC1C1CCN(C[C@H](O)CC#N)CC1 ZINC000930058258 615924037 /nfs/dbraw/zinc/92/40/37/615924037.db2.gz JFRFHCKDVXYADS-LLVKDONJSA-N 0 1 279.344 0.458 20 30 CCEDMN CN(C)S(=O)(=O)NCCNCC#Cc1ccccc1 ZINC000894419588 615968427 /nfs/dbraw/zinc/96/84/27/615968427.db2.gz TYXOXASRVCORJR-UHFFFAOYSA-N 0 1 281.381 0.024 20 30 CCEDMN CN(C)c1ccc(C(=O)N[C@H]2CNC[C@H]2C#N)c(F)c1 ZINC000834480392 616013505 /nfs/dbraw/zinc/01/35/05/616013505.db2.gz PLXNNDQRAIOBDL-RNCFNFMXSA-N 0 1 276.315 0.733 20 30 CCEDMN CN(C)c1ccc(NC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000841002262 616018027 /nfs/dbraw/zinc/01/80/27/616018027.db2.gz XSTYSEVFZBEIRA-GWCFXTLKSA-N 0 1 273.340 0.986 20 30 CCEDMN CN(CC#N)[C@@H]1CCN(C(=O)c2cc(C3CC3)[nH]n2)C1 ZINC000972408749 616040474 /nfs/dbraw/zinc/04/04/74/616040474.db2.gz JBGIEQIWWXFSLC-LLVKDONJSA-N 0 1 273.340 0.957 20 30 CCEDMN CN(CC(=O)N[C@@H]1CNC[C@H]1C#N)c1ccc(Cl)cn1 ZINC000834521888 616048775 /nfs/dbraw/zinc/04/87/75/616048775.db2.gz YBHZXSVCPDRERS-MWLCHTKSSA-N 0 1 293.758 0.399 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+]1CC[C@H](C(=O)[O-])C1 ZINC000820579785 616067444 /nfs/dbraw/zinc/06/74/44/616067444.db2.gz HGILUQZUMSAMIY-JTQLQIEISA-N 0 1 253.302 0.155 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1cc(C2CC2)no1 ZINC000905464010 616067732 /nfs/dbraw/zinc/06/77/32/616067732.db2.gz CBXWHRGTULFLLR-LBPRGKRZSA-N 0 1 278.356 0.848 20 30 CCEDMN CN(CCc1cccc(C#N)c1)C(=O)[C@H]1CNCCO1 ZINC000877224165 616124938 /nfs/dbraw/zinc/12/49/38/616124938.db2.gz TYNUNBNLIZWCQK-CQSZACIVSA-N 0 1 273.336 0.548 20 30 CCEDMN C[N@H+]1CCC[C@@H]2CN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC[C@@H]21 ZINC000820620410 616197705 /nfs/dbraw/zinc/19/77/05/616197705.db2.gz MBDMEHHZEXRFGS-XUJVJEKNSA-N 0 1 293.367 0.934 20 30 CCEDMN CN1CCC[C@@H]2[C@H]1CCN2S(=O)(=O)CCCC#N ZINC000872662320 616200671 /nfs/dbraw/zinc/20/06/71/616200671.db2.gz DFUVTHFTSFNDHO-VXGBXAGGSA-N 0 1 271.386 0.788 20 30 CCEDMN CN1CC[C@@H]1CNC(=O)Nc1cc(CC#N)ccn1 ZINC000932165159 616296517 /nfs/dbraw/zinc/29/65/17/616296517.db2.gz WCUXEUAUDXXAQF-LLVKDONJSA-N 0 1 259.313 0.973 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCCC#C[Si](C)(C)C ZINC000912326255 616344523 /nfs/dbraw/zinc/34/45/23/616344523.db2.gz HNXDWBXAHUXRTG-GXTWGEPZSA-N 0 1 282.460 0.829 20 30 CCEDMN COC(=O)C1(C#N)CCN(C[C@]2(OC)CCOC2)CC1 ZINC000877566343 616345330 /nfs/dbraw/zinc/34/53/30/616345330.db2.gz GXDJTHCALLJBBZ-CQSZACIVSA-N 0 1 282.340 0.571 20 30 CCEDMN CN1CC[C@H](N2CCC(NC(=O)C#CC3CC3)CC2)C1=O ZINC000843887210 616405425 /nfs/dbraw/zinc/40/54/25/616405425.db2.gz ZSXMMFWAVQCWGK-AWEZNQCLSA-N 0 1 289.379 0.211 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN[C@@H]1CC(=O)N(C)C1 ZINC000865441114 616448284 /nfs/dbraw/zinc/44/82/84/616448284.db2.gz PMUPGELXQWZFNB-CYBMUJFWSA-N 0 1 272.352 0.945 20 30 CCEDMN CN1C[C@H](O)C[C@@]1(C)C(=O)Nc1cc(C#N)ccc1O ZINC000901224865 616478848 /nfs/dbraw/zinc/47/88/48/616478848.db2.gz DZBZKKMGAMSYFF-YGRLFVJLSA-N 0 1 275.308 0.657 20 30 CCEDMN COCCNC(=O)[C@@H](C#N)C(=O)C(C)=Cc1ccccn1 ZINC000847624426 616491203 /nfs/dbraw/zinc/49/12/03/616491203.db2.gz VAWSLMBJCJFADQ-STRFDMGBSA-N 0 1 287.319 0.956 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N1CCC[C@@H](CC#N)C1 ZINC000912293307 616495336 /nfs/dbraw/zinc/49/53/36/616495336.db2.gz ZMMGTKCFSHBMGC-OUCADQQQSA-N 0 1 265.357 0.594 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)C[C@H](C)C1CC1 ZINC000920789759 616495892 /nfs/dbraw/zinc/49/58/92/616495892.db2.gz CGDWERSNWYGPFG-GXSJLCMTSA-N 0 1 252.314 0.894 20 30 CCEDMN COC(=O)[C@@H]1OCC[C@@H]1NCc1ccc(OC)c(C#N)c1 ZINC000852414489 616497943 /nfs/dbraw/zinc/49/79/43/616497943.db2.gz QKALHZZCPRMIOO-GXTWGEPZSA-N 0 1 290.319 0.987 20 30 CCEDMN COC(=O)CCCN1CCC(=NN(C)C[C@H](C)O)CC1 ZINC000841653594 616529978 /nfs/dbraw/zinc/52/99/78/616529978.db2.gz REVNOSSWUVRGAF-LBPRGKRZSA-N 0 1 285.388 0.704 20 30 CCEDMN COC(=O)[C@@](C)(O)CN(C)Cc1cc(C#N)cs1 ZINC000933771756 616536992 /nfs/dbraw/zinc/53/69/92/616536992.db2.gz IHDXKVQLJXCFPH-LBPRGKRZSA-N 0 1 268.338 0.976 20 30 CCEDMN COC(=O)[C@H]1CCC[C@H](C(=O)NCC#CCN(C)C)C1 ZINC000913518989 616658605 /nfs/dbraw/zinc/65/86/05/616658605.db2.gz IVGBXNHVMUWVEM-STQMWFEESA-N 0 1 280.368 0.647 20 30 CCEDMN CNC(=O)NC(=O)[C@H](C)N(C)CCc1cccc(C#N)c1 ZINC000879434599 616708782 /nfs/dbraw/zinc/70/87/82/616708782.db2.gz LQWCLCKCIAWHFV-NSHDSACASA-N 0 1 288.351 0.877 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CC(C)(C)COC ZINC000842286235 616901589 /nfs/dbraw/zinc/90/15/89/616901589.db2.gz WFYHTZVXBHPOED-LLVKDONJSA-N 0 1 284.356 0.911 20 30 CCEDMN COCc1csc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000852827716 616917499 /nfs/dbraw/zinc/91/74/99/616917499.db2.gz ODZKIXQDVWYCGS-NXEZZACHSA-N 0 1 265.338 0.736 20 30 CCEDMN COCCN(C)C(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000867947207 616950775 /nfs/dbraw/zinc/95/07/75/616950775.db2.gz BXNSOQNDBJGEDC-CQSZACIVSA-N 0 1 273.336 0.850 20 30 CCEDMN CO[C@@H](C(=O)C(C#N)C(=O)NC1CC1)C1CCC1 ZINC000850758200 617131296 /nfs/dbraw/zinc/13/12/96/617131296.db2.gz AFEHITNKMYURQO-CMPLNLGQSA-N 0 1 250.298 0.789 20 30 CCEDMN COc1cc(C(=O)N[C@@H]2CNC[C@H]2C#N)ccc1[N+](=O)[O-] ZINC000834494731 617165329 /nfs/dbraw/zinc/16/53/29/617165329.db2.gz PESJURLLJBZDCA-NXEZZACHSA-N 0 1 290.279 0.445 20 30 CCEDMN C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCC[C@H](C(N)=O)C1 ZINC001026084063 625330956 /nfs/dbraw/zinc/33/09/56/625330956.db2.gz PLZAGPXECQYDKA-QSLWVIQJSA-N 0 1 291.395 0.511 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCO[C@@H](CC)C1 ZINC001026136677 625340968 /nfs/dbraw/zinc/34/09/68/625340968.db2.gz JLHKEFFBCNQVBS-BTFPBAQTSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1C[C@]12CCOC2 ZINC001026137364 625341120 /nfs/dbraw/zinc/34/11/20/625341120.db2.gz ZEEKMCNCSVKKSQ-JYKNGBAOSA-N 0 1 260.337 0.093 20 30 CCEDMN CCc1cc(C(=O)N2C[C@@H]3CC[C@H](NCC#N)[C@@H]3C2)n[nH]1 ZINC001026207491 625357573 /nfs/dbraw/zinc/35/75/73/625357573.db2.gz VJCRYCMTILMURI-UHTWSYAYSA-N 0 1 287.367 0.936 20 30 CCEDMN Cc1ncc(C(=O)N2C[C@@H]3CC[C@H](NCC#N)[C@@H]3C2)[nH]1 ZINC001026219304 625360531 /nfs/dbraw/zinc/36/05/31/625360531.db2.gz LPGQZZNYNAYNHU-TUAOUCFPSA-N 0 1 273.340 0.682 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC001008251659 625425785 /nfs/dbraw/zinc/42/57/85/625425785.db2.gz NQZJKGBXEUZYKC-SWLSCSKDSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001008303611 625436436 /nfs/dbraw/zinc/43/64/36/625436436.db2.gz LMHGKFOQXUPHSU-OCCSQVGLSA-N 0 1 268.332 0.719 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CNCc2cnon2)C1 ZINC001026733582 625581351 /nfs/dbraw/zinc/58/13/51/625581351.db2.gz WQGIMLXKKVFGNE-ZJUUUORDSA-N 0 1 263.301 0.167 20 30 CCEDMN O=C(N[C@@H]1CC[N@H+](C2CC2)C1)c1cccc(C#CCO)c1 ZINC000044679118 622697907 /nfs/dbraw/zinc/69/79/07/622697907.db2.gz NIRQDHVTFUMVSM-OAHLLOKOSA-N 0 1 284.359 0.997 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@]2(C1)CN(CC1CC1)CCO2 ZINC000972297420 622748718 /nfs/dbraw/zinc/74/87/18/622748718.db2.gz IXEJBZYSYRVFCL-SWLSCSKDSA-N 0 1 277.368 0.859 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)Cc2[nH]nc3ccccc32)C1 ZINC001023125974 622920530 /nfs/dbraw/zinc/92/05/30/622920530.db2.gz BVSXRNIYGWSNEO-PHIMTYICSA-N 0 1 283.335 0.866 20 30 CCEDMN Cn1nc(C(=O)Nc2cc(C#N)ccc2O)ccc1=O ZINC000176823806 623083691 /nfs/dbraw/zinc/08/36/91/623083691.db2.gz YHYJBMVFWIEXIN-UHFFFAOYSA-N 0 1 270.248 0.610 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H](C)C1CCOCC1 ZINC000179381777 623250366 /nfs/dbraw/zinc/25/03/66/623250366.db2.gz ALKQBRKRCAXSLW-CMPLNLGQSA-N 0 1 282.340 0.521 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H]1CNC(=O)c1[nH]nc(C)c1[O-] ZINC001024396267 623310848 /nfs/dbraw/zinc/31/08/48/623310848.db2.gz OJQMNZOCVVIEGK-LLVKDONJSA-N 0 1 276.340 0.641 20 30 CCEDMN C=C(C)CN1CCCC[C@H]1CNC(=O)c1cn(C)nn1 ZINC001024420289 623317175 /nfs/dbraw/zinc/31/71/75/623317175.db2.gz LSYWZUMVADOQJM-LBPRGKRZSA-N 0 1 277.372 0.976 20 30 CCEDMN C=CCN1CCCC[C@H]1CNC(=O)[C@H]1CCC(=O)N1C ZINC001024615702 623399468 /nfs/dbraw/zinc/39/94/68/623399468.db2.gz ZJJQJCKVXZBAKR-QWHCGFSZSA-N 0 1 279.384 0.764 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001024646464 623407198 /nfs/dbraw/zinc/40/71/98/623407198.db2.gz YTFRBAQDVCZNFF-KBPBESRZSA-N 0 1 291.395 0.649 20 30 CCEDMN C[C@@H]1CN(CCCOC(=O)CSCC#N)CCO1 ZINC000893392780 625652467 /nfs/dbraw/zinc/65/24/67/625652467.db2.gz UMZJZBUKAIZQAZ-LLVKDONJSA-N 0 1 272.370 0.897 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)[C@H]2CC(=O)N(C)C2)C1 ZINC001007454058 623643572 /nfs/dbraw/zinc/64/35/72/623643572.db2.gz VRAMQALFCYKCQG-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001007505080 623653586 /nfs/dbraw/zinc/65/35/86/623653586.db2.gz SDNUIJAVPXTODE-LBPRGKRZSA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CCN1CCC[C@@H]1[C@H]1CCCCN1C(=O)C(N)=O ZINC001025268027 623681609 /nfs/dbraw/zinc/68/16/09/623681609.db2.gz UVFDAWIRAIOJBO-CHWSQXEVSA-N 0 1 277.368 0.341 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[C@@H](C)C[C@H]1C(=O)[O-] ZINC000327953278 623817274 /nfs/dbraw/zinc/81/72/74/623817274.db2.gz AMWIQNHVJMQUIC-OLZOCXBDSA-N 0 1 295.383 0.836 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]([C@@H](C)NC(=O)c2cccnn2)C1 ZINC001025572503 623833842 /nfs/dbraw/zinc/83/38/42/623833842.db2.gz DTEYVELQHOMLJT-CHWSQXEVSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N(CC(=O)[O-])C1CC1 ZINC000328279387 623875664 /nfs/dbraw/zinc/87/56/64/623875664.db2.gz PIUFSUNEOOQRCH-UHFFFAOYSA-N 0 1 267.329 0.200 20 30 CCEDMN C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCOC[C@H]1OC ZINC001025811862 623886502 /nfs/dbraw/zinc/88/65/02/623886502.db2.gz XCCWFOZPXPDYDZ-ZHMBSYLPSA-N 0 1 294.395 0.660 20 30 CCEDMN C#CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCOC[C@@H]1OC ZINC001025811687 623886725 /nfs/dbraw/zinc/88/67/25/623886725.db2.gz CJZVOJRALKRXGP-JHZZJYKESA-N 0 1 292.379 0.108 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@@H]1CCOC[C@@H]1OC ZINC001025811687 623886728 /nfs/dbraw/zinc/88/67/28/623886728.db2.gz CJZVOJRALKRXGP-JHZZJYKESA-N 0 1 292.379 0.108 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCC[C@H]1OC ZINC001025823081 623889535 /nfs/dbraw/zinc/88/95/35/623889535.db2.gz OIQAUIRMSAGBJC-ODXJTPSBSA-N 0 1 262.353 0.481 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CC[C@@H](OC)C1 ZINC001025835975 623892056 /nfs/dbraw/zinc/89/20/56/623892056.db2.gz QTMLTYRUAPCZRJ-ZSAUSMIDSA-N 0 1 276.380 0.871 20 30 CCEDMN N#CCN[C@@H]1CCN(C(=O)c2ccc3cncn3c2)C1 ZINC000969349760 624488261 /nfs/dbraw/zinc/48/82/61/624488261.db2.gz LLEIKEFHENYRHE-GFCCVEGCSA-N 0 1 269.308 0.662 20 30 CCEDMN C[C@@H](NC(=O)[C@@H]1CCCc2[nH]cnc21)C1CN(CC#N)C1 ZINC000970407715 624684411 /nfs/dbraw/zinc/68/44/11/624684411.db2.gz NTXJRMCIFRIWAW-ZYHUDNBSSA-N 0 1 287.367 0.790 20 30 CCEDMN C#CCCS(=O)(=O)N[C@@H](C)c1n[nH]c(CC)n1 ZINC000289243330 624715153 /nfs/dbraw/zinc/71/51/53/624715153.db2.gz SXRBBUQWWQTKTJ-QMMMGPOBSA-N 0 1 256.331 0.371 20 30 CCEDMN O=C(C#CC1CC1)N1CC[C@H]2CN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001021587495 624790735 /nfs/dbraw/zinc/79/07/35/624790735.db2.gz CDHNWLIYYGUXGA-GXTWGEPZSA-N 0 1 298.346 0.496 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cc(C)n[nH]2)C1 ZINC001006846957 624859606 /nfs/dbraw/zinc/85/96/06/624859606.db2.gz OYQHRHIRROGHOH-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H]2CN(C(=O)c3ccn[nH]3)C[C@H]21 ZINC001022565830 625022103 /nfs/dbraw/zinc/02/21/03/625022103.db2.gz ZHCQEKVPSDNGOO-JFGNBEQYSA-N 0 1 287.323 0.242 20 30 CCEDMN C#CC[N@H+](C)[C@H]1CCCN(C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001027369814 625855116 /nfs/dbraw/zinc/85/51/16/625855116.db2.gz DGOGFUGFNNNCAI-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)C1=COCCO1 ZINC001027739746 630889078 /nfs/dbraw/zinc/88/90/78/630889078.db2.gz ROEHJIVNNKKVBI-GFCCVEGCSA-N 0 1 264.325 0.479 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)n1C)[C@H]1CN(C)CCN1C ZINC000896541164 632273480 /nfs/dbraw/zinc/27/34/80/632273480.db2.gz ZQWCGNRVJOPSJH-SMDDNHRTSA-N 0 1 289.383 0.261 20 30 CCEDMN C[C@@H](NC(=O)c1cc(C#N)cn1C)[C@H]1CN(C)CCN1C ZINC000896557971 632286258 /nfs/dbraw/zinc/28/62/58/632286258.db2.gz WUDNQRJSTRRJII-BXUZGUMPSA-N 0 1 289.383 0.261 20 30 CCEDMN N#Cc1nccnc1N1CC[C@@H]2[C@H]1CCC[N@@H+]2CCO ZINC000897222517 632426658 /nfs/dbraw/zinc/42/66/58/632426658.db2.gz VKWPZGOJENMRBX-CHWSQXEVSA-N 0 1 273.340 0.384 20 30 CCEDMN N#Cc1nccnc1N1CC[C@@H]2[C@H]1CCCN2CCO ZINC000897222517 632426661 /nfs/dbraw/zinc/42/66/61/632426661.db2.gz VKWPZGOJENMRBX-CHWSQXEVSA-N 0 1 273.340 0.384 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2cc(=O)n(C)cn2)C1 ZINC001007525716 650081618 /nfs/dbraw/zinc/08/16/18/650081618.db2.gz XQCNWEWYLMQUQN-LLVKDONJSA-N 0 1 276.340 0.161 20 30 CCEDMN C#CCN(C)C1CCN(C(=O)[C@@H]2CCCS2(=O)=O)CC1 ZINC000985795154 632644261 /nfs/dbraw/zinc/64/42/61/632644261.db2.gz LDXBQAWTUVYKQJ-ZDUSSCGKSA-N 0 1 298.408 0.120 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H]2COCCN2CC)C1 ZINC001007716031 650089136 /nfs/dbraw/zinc/08/91/36/650089136.db2.gz CKZIGPKCMLKNEP-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001007879221 650095983 /nfs/dbraw/zinc/09/59/83/650095983.db2.gz YKSGMOJKGHYDSZ-KBPBESRZSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2ccnc(OC)n2)C1 ZINC001007902585 650096844 /nfs/dbraw/zinc/09/68/44/650096844.db2.gz NVHFONCZEQPFOK-LBPRGKRZSA-N 0 1 288.351 0.703 20 30 CCEDMN Cn1ccc(CNCCNc2ccc(C#N)cn2)n1 ZINC000897398782 632782761 /nfs/dbraw/zinc/78/27/61/632782761.db2.gz QEDZFEQAFNQXDS-UHFFFAOYSA-N 0 1 256.313 0.888 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC001008036246 650100180 /nfs/dbraw/zinc/10/01/80/650100180.db2.gz GNHVSVSJGQSBPW-LBPRGKRZSA-N 0 1 277.372 0.607 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cnn(C)n2)[C@H]1C ZINC000987182131 632836716 /nfs/dbraw/zinc/83/67/16/632836716.db2.gz AZYWLKRSNIGNRT-UWVGGRQHSA-N 0 1 283.763 0.760 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](NC(=O)CN2CCCC2)[C@H]1C ZINC000988100030 632947436 /nfs/dbraw/zinc/94/74/36/632947436.db2.gz LYXDAKSTIVEWHG-UPJWGTAASA-N 0 1 292.383 0.347 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)C2CN(C(C)=O)C2)C1 ZINC000988721668 633092246 /nfs/dbraw/zinc/09/22/46/633092246.db2.gz UZTVXHDDUXQKOJ-GWCFXTLKSA-N 0 1 299.802 0.796 20 30 CCEDMN C[C@@H]1C[C@H](NCc2cnnn2C)CN1C(=O)C#CC1CC1 ZINC000988823516 633117199 /nfs/dbraw/zinc/11/71/99/633117199.db2.gz CCKKHLANQRTOJY-YPMHNXCESA-N 0 1 287.367 0.308 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](NCC#N)C[C@H]2C)CC1 ZINC000989828697 633364763 /nfs/dbraw/zinc/36/47/63/633364763.db2.gz HTCAGMCQPPXWEF-UKRRQHHQSA-N 0 1 288.395 0.434 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(NC(=O)CC)C2)CC1 ZINC000990019656 633414479 /nfs/dbraw/zinc/41/44/79/633414479.db2.gz PZXFXDVHROFWRE-UHFFFAOYSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001008438283 650114766 /nfs/dbraw/zinc/11/47/66/650114766.db2.gz WIIBWJGRWRDAKW-FZMZJTMJSA-N 0 1 265.357 0.422 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(NCc2cnn(C)n2)CC1 ZINC000990261680 633498673 /nfs/dbraw/zinc/49/86/73/633498673.db2.gz HIXHAFKBILPIOE-SNVBAGLBSA-N 0 1 276.344 0.055 20 30 CCEDMN Cc1n[nH]c(C(=O)NC2CN(C(=O)[C@@H](C)C#N)C2)c1C ZINC000990549478 633552903 /nfs/dbraw/zinc/55/29/03/633552903.db2.gz VRZISABGUGYFPN-ZETCQYMHSA-N 0 1 275.312 0.127 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCCCC(=O)N2)C1 ZINC001008494449 650116937 /nfs/dbraw/zinc/11/69/37/650116937.db2.gz UZKPRTYFAZJBNH-OLZOCXBDSA-N 0 1 279.384 0.812 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H]2CCC(=O)N2)C1 ZINC001008858613 650129741 /nfs/dbraw/zinc/12/97/41/650129741.db2.gz ZHQHXFGIHLTNPT-QWHCGFSZSA-N 0 1 277.368 0.259 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCCN(CCO)C2)nc1 ZINC001009269293 650141300 /nfs/dbraw/zinc/14/13/00/650141300.db2.gz NSVILEXCONSJKE-CYBMUJFWSA-N 0 1 273.336 0.249 20 30 CCEDMN Cc1nc(Br)c(C=NN[C@@H](C)CO)[nH]1 ZINC000901193765 634205013 /nfs/dbraw/zinc/20/50/13/634205013.db2.gz DQYATFJUNUSVHR-YFKPBYRVSA-N 0 1 261.123 0.785 20 30 CCEDMN Cc1ccc(C=NNC2=[NH+]C[C@H](C)N2)cc1C(=O)[O-] ZINC000901216361 634209744 /nfs/dbraw/zinc/20/97/44/634209744.db2.gz QNMBCOYDBBFLLR-VIFPVBQESA-N 0 1 260.297 0.964 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cnn(CC3CCC3)c2)N1 ZINC000901216904 634210711 /nfs/dbraw/zinc/21/07/11/634210711.db2.gz SQVJAXAIGPXDPP-SNVBAGLBSA-N 0 1 260.345 0.954 20 30 CCEDMN C[C@@H]1C[C@H](Cn2c(=O)[nH]cc(C#N)c2=O)C[C@H](C)O1 ZINC000901567012 634260752 /nfs/dbraw/zinc/26/07/52/634260752.db2.gz WWMVYWACOIEXPE-MYJAWHEDSA-N 0 1 263.297 0.612 20 30 CCEDMN C#CCCN1CCC[C@@H](NC(=O)c2nonc2C)C1 ZINC001009724692 650156847 /nfs/dbraw/zinc/15/68/47/650156847.db2.gz OXRMPRPHPUDBLW-LLVKDONJSA-N 0 1 262.313 0.596 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cc3n(n2)CCCC3=O)=NO1 ZINC000907158699 635085097 /nfs/dbraw/zinc/08/50/97/635085097.db2.gz DRWVAEBSKSJWPE-SSDOTTSWSA-N 0 1 262.269 0.712 20 30 CCEDMN O=C(C#Cc1ccccc1)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907475539 635128878 /nfs/dbraw/zinc/12/88/78/635128878.db2.gz OSPNQDUJNUBFAR-GFCCVEGCSA-N 0 1 299.359 0.868 20 30 CCEDMN Cc1nc(CN2CC[C@H](NC(=O)c3cc(C#N)c[nH]3)C2)n[nH]1 ZINC001010478231 650232341 /nfs/dbraw/zinc/23/23/41/650232341.db2.gz LWLPHTUXWGFGRW-NSHDSACASA-N 0 1 299.338 0.317 20 30 CCEDMN Cc1nc2sccn2c1C(=O)NCC#CCN(C)C ZINC000913524258 636833895 /nfs/dbraw/zinc/83/38/95/636833895.db2.gz FYHGXNRXSZEFQW-UHFFFAOYSA-N 0 1 276.365 0.999 20 30 CCEDMN C[C@H](OC[C@H]1CCCCO1)C(=O)NCC#CCN(C)C ZINC000913517613 636834713 /nfs/dbraw/zinc/83/47/13/636834713.db2.gz IUGCITMEDUZTON-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN Cc1cc(C)c(C(=O)NCC#CCN(C)C)c(=O)[nH]1 ZINC000913518933 636835018 /nfs/dbraw/zinc/83/50/18/636835018.db2.gz HDKZZRSJHSDOOX-UHFFFAOYSA-N 0 1 261.325 0.699 20 30 CCEDMN C#CCC[N@H+]1CC[C@]2(NC(=O)c3cnn[nH]3)CCC[C@@H]12 ZINC000991572869 636910755 /nfs/dbraw/zinc/91/07/55/636910755.db2.gz VTLLSPFIQFGZEG-TZMCWYRMSA-N 0 1 273.340 0.555 20 30 CCEDMN C#CCC[N@H+]1CC[C@@]2(NC(=O)c3cnn[nH]3)CCC[C@H]12 ZINC000991572861 636910838 /nfs/dbraw/zinc/91/08/38/636910838.db2.gz VTLLSPFIQFGZEG-JSGCOSHPSA-N 0 1 273.340 0.555 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCN(C(=O)Cc2ncn[nH]2)CC1 ZINC001011913436 636989398 /nfs/dbraw/zinc/98/93/98/636989398.db2.gz RZRBIJXHQRRGAP-UHFFFAOYSA-N 0 1 291.355 0.230 20 30 CCEDMN N#Cc1ccc(CC(=O)NC23CCN(CC2)C3)cn1 ZINC000913637720 637393803 /nfs/dbraw/zinc/39/38/03/637393803.db2.gz LKOGPENNMRVJCG-UHFFFAOYSA-N 0 1 256.309 0.460 20 30 CCEDMN N#CC1(CC(=O)N2CCC(c3nn[nH]n3)CC2)CC1 ZINC000913746485 637431406 /nfs/dbraw/zinc/43/14/06/637431406.db2.gz WEZOOTHFPLFTBA-UHFFFAOYSA-N 0 1 260.301 0.600 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2csnn2)C1 ZINC001015426001 637636548 /nfs/dbraw/zinc/63/65/48/637636548.db2.gz CENVWQMOPHZQEL-SECBINFHSA-N 0 1 250.327 0.366 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001007132202 637642221 /nfs/dbraw/zinc/64/22/21/637642221.db2.gz ZTEHWUUPTRZBHZ-LLVKDONJSA-N 0 1 276.340 0.641 20 30 CCEDMN CC#CC[N@H+]1CCC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001007132202 637642226 /nfs/dbraw/zinc/64/22/26/637642226.db2.gz ZTEHWUUPTRZBHZ-LLVKDONJSA-N 0 1 276.340 0.641 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccncc1Br ZINC000834480126 637680506 /nfs/dbraw/zinc/68/05/06/637680506.db2.gz LIZKDUXXVDMOTP-XCBNKYQSSA-N 0 1 295.140 0.685 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C2CN(C(C)=O)C2)[C@H]1C ZINC000993269196 637761939 /nfs/dbraw/zinc/76/19/39/637761939.db2.gz UEBCCYFXBBUFPA-IUODEOHRSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CN2CCCCC2=O)[C@@H]1C ZINC000993512900 637816446 /nfs/dbraw/zinc/81/64/46/637816446.db2.gz AYOHYRDIVIKXLE-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2c[nH]c(=O)n2C)[C@@H]1C ZINC000993699118 637837630 /nfs/dbraw/zinc/83/76/30/637837630.db2.gz JCVLMPIFIVWJOT-WDEREUQCSA-N 0 1 276.340 0.342 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2c[nH]c(=O)n2C)[C@H]1C ZINC000993702586 637839047 /nfs/dbraw/zinc/83/90/47/637839047.db2.gz PSEZOZXQMXWSOT-VXGBXAGGSA-N 0 1 290.367 0.732 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@H]1C ZINC000993774413 637846187 /nfs/dbraw/zinc/84/61/87/637846187.db2.gz MCMXDHIRWJHFBA-GHMZBOCLSA-N 0 1 276.340 0.342 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cn2ccc(C)n2)[C@@H]1C ZINC000993858553 637850341 /nfs/dbraw/zinc/85/03/41/637850341.db2.gz RPQIXDNUXFUZBM-KBPBESRZSA-N 0 1 274.368 0.794 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2c[nH]cn2)[C@H]1C ZINC000993885043 637851831 /nfs/dbraw/zinc/85/18/31/637851831.db2.gz HVDMUSSQZYSGKY-YPMHNXCESA-N 0 1 260.341 0.555 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(CCO)[C@H]2C)nc1 ZINC000994237410 637879050 /nfs/dbraw/zinc/87/90/50/637879050.db2.gz FXLOFNLGSUPMLX-JSGCOSHPSA-N 0 1 287.363 0.638 20 30 CCEDMN C[C@H]1[C@H](NC(=O)CN2CCCC2)CCCN1CC#N ZINC000994407461 637935048 /nfs/dbraw/zinc/93/50/48/637935048.db2.gz MBLSNJHBGPZJOQ-QWHCGFSZSA-N 0 1 264.373 0.575 20 30 CCEDMN Cc1cc(C(=O)N(C)[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC000939395133 638358648 /nfs/dbraw/zinc/35/86/48/638358648.db2.gz CNBIGVGFGVRQGD-KOLCDFICSA-N 0 1 289.339 0.551 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](N(C)C(=O)c2ccn[nH]2)C1 ZINC000939395053 638358880 /nfs/dbraw/zinc/35/88/80/638358880.db2.gz CABJHZLIMBXDIT-NXEZZACHSA-N 0 1 275.312 0.242 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@@H]1CNC(=O)[C@H]1CCCN1C ZINC000944253200 638765908 /nfs/dbraw/zinc/76/59/08/638765908.db2.gz MPJVRCOEZYHVCX-JHJVBQTASA-N 0 1 292.383 0.347 20 30 CCEDMN C[C@@H]1CN(CC#N)CC[C@H]1NC(=O)c1ccc2[nH]nnc2c1 ZINC000944810768 638782347 /nfs/dbraw/zinc/78/23/47/638782347.db2.gz KSTOGQQZELEUNY-ZYHUDNBSSA-N 0 1 298.350 0.922 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(N3CCOCC3)CCC2)C1 ZINC001015918389 638802664 /nfs/dbraw/zinc/80/26/64/638802664.db2.gz XQHCXIVJKXMQPJ-AWEZNQCLSA-N 0 1 293.411 0.618 20 30 CCEDMN N#CCNC1CCN(C(=O)[C@@H]2CCc3[nH]nnc3C2)CC1 ZINC000997195029 638859214 /nfs/dbraw/zinc/85/92/14/638859214.db2.gz YEZFJLOAKDBBMW-SNVBAGLBSA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCNC1CCN(C(=O)[C@@H]2CCc3nn[nH]c3C2)CC1 ZINC000997195029 638859216 /nfs/dbraw/zinc/85/92/16/638859216.db2.gz YEZFJLOAKDBBMW-SNVBAGLBSA-N 0 1 288.355 0.014 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC[C@H](NCc2ccn(C)n2)C1 ZINC000998746169 638938823 /nfs/dbraw/zinc/93/88/23/638938823.db2.gz KWOTZHCESQCNCM-YPMHNXCESA-N 0 1 275.356 0.660 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC001014514053 650458071 /nfs/dbraw/zinc/45/80/71/650458071.db2.gz VQYDQTYZQBOXKA-ZDUSSCGKSA-N 0 1 262.357 0.822 20 30 CCEDMN N#CCN[C@@H]1CCCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC000999207629 638967646 /nfs/dbraw/zinc/96/76/46/638967646.db2.gz IBAHNFGSKHOUTN-SNVBAGLBSA-N 0 1 284.323 0.676 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)C[C@@H]2CCNC2=O)C1 ZINC000999339231 638974212 /nfs/dbraw/zinc/97/42/12/638974212.db2.gz FGDVTHYIIXGEGU-NWDGAFQWSA-N 0 1 299.802 0.846 20 30 CCEDMN N#CCN[C@H]1CCCN(C(=O)CCc2cnc[nH]2)C1 ZINC000999317121 638974235 /nfs/dbraw/zinc/97/42/35/638974235.db2.gz NIZALMCZBMJKEA-LBPRGKRZSA-N 0 1 261.329 0.446 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC000999916304 639018400 /nfs/dbraw/zinc/01/84/00/639018400.db2.gz SJFPCIBPUZYRCK-NEPJUHHUSA-N 0 1 299.802 0.940 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CC(=O)N(CC(C)C)C2)C1 ZINC001014615909 650468248 /nfs/dbraw/zinc/46/82/48/650468248.db2.gz JTDPQZVTMQRBSS-UONOGXRCSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001014635102 650471342 /nfs/dbraw/zinc/47/13/42/650471342.db2.gz PLAKHOVEHOJIFS-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2nccn2CC)CC1 ZINC001000619224 639143903 /nfs/dbraw/zinc/14/39/03/639143903.db2.gz QXYMSUGAIVEXQS-UHFFFAOYSA-N 0 1 272.352 0.898 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2C[C@@H](C)CC(=O)N2)CC1 ZINC001000693232 639153761 /nfs/dbraw/zinc/15/37/61/639153761.db2.gz PYNNJQDGOSPWQG-TZMCWYRMSA-N 0 1 289.379 0.283 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)Cn2cncn2)C1 ZINC001000861569 639179288 /nfs/dbraw/zinc/17/92/88/639179288.db2.gz INNBVEASCWBUFK-NSHDSACASA-N 0 1 283.763 0.611 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)c2cnn[n-]2)CC1 ZINC001000900859 639186846 /nfs/dbraw/zinc/18/68/46/639186846.db2.gz AYAJYVQNJXKONU-UHFFFAOYSA-N 0 1 259.313 0.190 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(COC)on2)CC1 ZINC001001236395 639265680 /nfs/dbraw/zinc/26/56/80/639265680.db2.gz BERHMXSLTCLUJB-UHFFFAOYSA-N 0 1 289.335 0.816 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001014797003 650489933 /nfs/dbraw/zinc/48/99/33/650489933.db2.gz PQANQCJAPLAFOE-GFCCVEGCSA-N 0 1 260.341 0.338 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnc3n2CCOC3)C1 ZINC001014808761 650489962 /nfs/dbraw/zinc/48/99/62/650489962.db2.gz YCUMBHJESQZLMJ-GFCCVEGCSA-N 0 1 290.367 0.794 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001014799008 650489984 /nfs/dbraw/zinc/48/99/84/650489984.db2.gz WPHJBUSTGWEHFW-GMTAPVOTSA-N 0 1 259.313 0.227 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C1 ZINC001014811371 650491568 /nfs/dbraw/zinc/49/15/68/650491568.db2.gz LMZMLYAJCVCAIR-JHJVBQTASA-N 0 1 272.352 0.727 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccc3n[nH]nc3n2)CC1 ZINC001002971408 639486367 /nfs/dbraw/zinc/48/63/67/639486367.db2.gz AMGSLMJJOVBQGY-UHFFFAOYSA-N 0 1 298.350 0.570 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2c(C)nnn2CC)CC1 ZINC001002995171 639490086 /nfs/dbraw/zinc/49/00/86/639490086.db2.gz NMQKCJVBEHOJMH-UHFFFAOYSA-N 0 1 289.383 0.824 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@]2(C)CCNC(=O)C2)CC1 ZINC001003146521 639512570 /nfs/dbraw/zinc/51/25/70/639512570.db2.gz RZSBHBRZOHXMFJ-INIZCTEOSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccc(C(N)=O)o2)CC1 ZINC001003279240 639524353 /nfs/dbraw/zinc/52/43/53/639524353.db2.gz YBWWBDYLQVIRCX-UHFFFAOYSA-N 0 1 289.335 0.596 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cn(C)c(=O)[nH]2)CC1 ZINC001003561169 639567207 /nfs/dbraw/zinc/56/72/07/639567207.db2.gz KIBGZPFZGRIUGC-UHFFFAOYSA-N 0 1 276.340 0.343 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(C(N)=O)c2)C1 ZINC001014969421 650508790 /nfs/dbraw/zinc/50/87/90/650508790.db2.gz UVPYKMISAJUDCY-CYBMUJFWSA-N 0 1 273.336 0.776 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](C)[C@H]2CCC(=O)N2)CC1 ZINC001003671396 639591966 /nfs/dbraw/zinc/59/19/66/639591966.db2.gz IFLXGOZVYSYLJB-GXTWGEPZSA-N 0 1 291.395 0.505 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(CNC(=O)c2ncn[nH]2)C1 ZINC001003684604 639594010 /nfs/dbraw/zinc/59/40/10/639594010.db2.gz BZUFVKKZJJDJSH-UHFFFAOYSA-N 0 1 277.328 0.205 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(CNC(=O)c2nc[nH]n2)C1 ZINC001003684604 639594016 /nfs/dbraw/zinc/59/40/16/639594016.db2.gz BZUFVKKZJJDJSH-UHFFFAOYSA-N 0 1 277.328 0.205 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCn3cncc3C2)C1 ZINC001014989600 650512288 /nfs/dbraw/zinc/51/22/88/650512288.db2.gz IPGAFKCNFJMDCC-KGLIPLIRSA-N 0 1 286.379 0.659 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cc3ncccn3n2)CC1 ZINC001003829818 639631481 /nfs/dbraw/zinc/63/14/81/639631481.db2.gz OETZXFFQCCPXTA-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@H]2CCc3[nH]nnc3C2)CC1 ZINC001003957007 639656023 /nfs/dbraw/zinc/65/60/23/639656023.db2.gz DFYUWIWUHNBKQM-JTQLQIEISA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN1CCC(NC(=O)[C@H]2CCc3nn[nH]c3C2)CC1 ZINC001003957007 639656029 /nfs/dbraw/zinc/65/60/29/639656029.db2.gz DFYUWIWUHNBKQM-JTQLQIEISA-N 0 1 288.355 0.014 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc(C(N)=O)nc2)CC1 ZINC001004259344 639739560 /nfs/dbraw/zinc/73/95/60/639739560.db2.gz GGNLVGQPSKKNOZ-UHFFFAOYSA-N 0 1 286.335 0.008 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cc(C)n(C)n2)CC1 ZINC001005155426 639883254 /nfs/dbraw/zinc/88/32/54/639883254.db2.gz IYMXKYPPRHCZAB-UHFFFAOYSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccc(=O)n(C)c2)CC1 ZINC001005639168 639945541 /nfs/dbraw/zinc/94/55/41/639945541.db2.gz DMCKSABBQFCTEY-UHFFFAOYSA-N 0 1 287.363 0.555 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cn(C)nc2OC)CC1 ZINC001005817991 639973810 /nfs/dbraw/zinc/97/38/10/639973810.db2.gz OPSKIZHKAUEKQA-UHFFFAOYSA-N 0 1 290.367 0.598 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)Cc2cc(C)nn2C)C1 ZINC001015257787 650544446 /nfs/dbraw/zinc/54/44/46/650544446.db2.gz PQVNHXKYUUDIOS-GFCCVEGCSA-N 0 1 260.341 0.095 20 30 CCEDMN C[C@H](C[N@@H+](C)C[C@@H](O)COc1ccccc1C#N)C(=O)[O-] ZINC000923247499 640060887 /nfs/dbraw/zinc/06/08/87/640060887.db2.gz GNNDRCRRBQSGEH-DGCLKSJQSA-N 0 1 292.335 0.950 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCO[C@H]2C)CC1 ZINC001006205572 640206490 /nfs/dbraw/zinc/20/64/90/640206490.db2.gz RRCQKVJJRPFLFY-GXTWGEPZSA-N 0 1 264.369 0.967 20 30 CCEDMN Cc1nnc(N=NC2C[N@@H+](C3CC3)C[C@H]2C)[n-]c1=O ZINC000755882183 640339894 /nfs/dbraw/zinc/33/98/94/640339894.db2.gz XSMZBEGKWZXTHF-SSDOTTSWSA-N 0 1 262.317 0.768 20 30 CCEDMN N#C[C@@H]1COCCN1C(=O)c1cc(Br)[nH]n1 ZINC000798294779 640489923 /nfs/dbraw/zinc/48/99/23/640489923.db2.gz MECHIXCCMQMNMD-ZCFIWIBFSA-N 0 1 285.101 0.537 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001015591561 650586695 /nfs/dbraw/zinc/58/66/95/650586695.db2.gz HMGGISYQDBSKER-RISCZKNCSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cc2c[nH]c3cnccc23)C1 ZINC001015605458 650589567 /nfs/dbraw/zinc/58/95/67/650589567.db2.gz NVIXFOINZCKMDO-ZDUSSCGKSA-N 0 1 282.347 0.929 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCc3cnn(C)c3C2)C1 ZINC001015613242 650592860 /nfs/dbraw/zinc/59/28/60/650592860.db2.gz CMYNQOLZCYYMKQ-OCCSQVGLSA-N 0 1 288.395 0.902 20 30 CCEDMN C[C@@H](C#N)OCCN1CCC[C@@H](CNS(C)(=O)=O)C1 ZINC000801930517 640676984 /nfs/dbraw/zinc/67/69/84/640676984.db2.gz QVUBZBYUUFATFT-RYUDHWBXSA-N 0 1 289.401 0.176 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc(C)c(C(N)=O)cn2)C1 ZINC001015732378 650619397 /nfs/dbraw/zinc/61/93/97/650619397.db2.gz RPCVJEGNWPJLEB-LLVKDONJSA-N 0 1 288.351 0.479 20 30 CCEDMN Nc1ncc2c(n1)CCCC2=NNC1=N[C@@H]2CCCC[C@@H]2N1 ZINC000814886308 641080094 /nfs/dbraw/zinc/08/00/94/641080094.db2.gz XTELQZDJLUYHNX-BETUJISGSA-N 0 1 299.382 0.959 20 30 CCEDMN C=CCn1cc(C(=O)N[C@@H]2CCN([C@H](C)COC)C2)nn1 ZINC001015810282 650633728 /nfs/dbraw/zinc/63/37/28/650633728.db2.gz FATXUBAJGBGJON-VXGBXAGGSA-N 0 1 293.371 0.303 20 30 CCEDMN C[C@@H](C(=O)N[C@@H]1CCCN(O)C1=O)N1CCCCCC1 ZINC000816759170 641215960 /nfs/dbraw/zinc/21/59/60/641215960.db2.gz GXPVWSKYXSIARH-NWDGAFQWSA-N 0 1 283.372 0.747 20 30 CCEDMN O=C1NCC(=NNC2=N[C@@H]3CCCC[C@@H]3N2)c2ccccc21 ZINC000817326049 641254437 /nfs/dbraw/zinc/25/44/37/641254437.db2.gz LHPOHRRWAGEDHH-BETUJISGSA-N 0 1 297.362 0.994 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2nc3ncccn3n2)C1 ZINC001015873177 650644005 /nfs/dbraw/zinc/64/40/05/650644005.db2.gz TULJHJWYMNBDDK-NSHDSACASA-N 0 1 286.339 0.505 20 30 CCEDMN N#CCNC(=O)CNC[C@H](O)c1ccc(Cl)c(F)c1 ZINC000819312229 641400308 /nfs/dbraw/zinc/40/03/08/641400308.db2.gz RTUAZXGAMSTWNM-NSHDSACASA-N 0 1 285.706 0.742 20 30 CCEDMN C[C@H](CC(=O)C(C#N)C(=O)NC1CC1)n1cccn1 ZINC000819945671 641442137 /nfs/dbraw/zinc/44/21/37/641442137.db2.gz WTNHYHFGXUQYDT-KOLCDFICSA-N 0 1 260.297 0.822 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2cnc(OC)nc2)C1 ZINC001015938525 650660206 /nfs/dbraw/zinc/66/02/06/650660206.db2.gz OVTDMHFDRJSVTJ-LBPRGKRZSA-N 0 1 274.324 0.313 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ccc(OC)nn2)C1 ZINC001015946154 650661574 /nfs/dbraw/zinc/66/15/74/650661574.db2.gz UAJFVXXROGTLBA-LLVKDONJSA-N 0 1 274.324 0.313 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)C(=O)N2CC[C@@H](C)C2)C1 ZINC001015964521 650665159 /nfs/dbraw/zinc/66/51/59/650665159.db2.gz ZHQMGLXAGWMOQW-OLZOCXBDSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCCCN(C)C2=O)C1 ZINC001015985719 650669490 /nfs/dbraw/zinc/66/94/90/650669490.db2.gz CHZXJOUAFZOUFE-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cnn(CCOC)c2)C1 ZINC001016122418 650683765 /nfs/dbraw/zinc/68/37/65/650683765.db2.gz GGRJBBZWDQXZRR-CQSZACIVSA-N 0 1 292.383 0.910 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2n[nH]c3ccccc32)C1 ZINC001016162241 650693235 /nfs/dbraw/zinc/69/32/35/650693235.db2.gz YVRAVYDJGOAWLS-SNVBAGLBSA-N 0 1 269.308 0.891 20 30 CCEDMN N#C[C@H](NC(=O)c1cc(S(N)(=O)=O)ccc1O)C1CC1 ZINC000826931379 641968377 /nfs/dbraw/zinc/96/83/77/641968377.db2.gz HGFUMICKQWQQDI-JTQLQIEISA-N 0 1 295.320 0.072 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cccc3nnn(C)c32)C1 ZINC001016436284 650731977 /nfs/dbraw/zinc/73/19/77/650731977.db2.gz HGVVYFHBKGERQJ-GFCCVEGCSA-N 0 1 297.362 0.796 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C(N)=O)ccn2)C1 ZINC001016445145 650732853 /nfs/dbraw/zinc/73/28/53/650732853.db2.gz CHWQUZWSYIUOMK-GFCCVEGCSA-N 0 1 288.351 0.561 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CCN(C2CCOCC2)C[C@@H]1C ZINC000830690400 642285736 /nfs/dbraw/zinc/28/57/36/642285736.db2.gz JYXRIUUKCIDWKM-UONOGXRCSA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C2CC(NC(C)=O)C2)CC1 ZINC001016617610 650749313 /nfs/dbraw/zinc/74/93/13/650749313.db2.gz OSUBBPFCLHZNPC-UHFFFAOYSA-N 0 1 291.395 0.457 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1ccn(-c2ccccc2)n1 ZINC000834480995 642572583 /nfs/dbraw/zinc/57/25/83/642572583.db2.gz YTCQABMIXOGPRO-WFASDCNBSA-N 0 1 295.346 0.643 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2c(c1)CCN2 ZINC000834484045 642575060 /nfs/dbraw/zinc/57/50/60/642575060.db2.gz CWPUYLZBUKKBMP-DGCLKSJQSA-N 0 1 256.309 0.496 20 30 CCEDMN CN(C)C(=O)c1cccc(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834484771 642575606 /nfs/dbraw/zinc/57/56/06/642575606.db2.gz MVEMTJPVLFAKMP-OLZOCXBDSA-N 0 1 286.335 0.230 20 30 CCEDMN Cc1nn(CC(=O)N[C@@H]2CNC[C@H]2C#N)c(C)c1Cl ZINC000834489397 642579600 /nfs/dbraw/zinc/57/96/00/642579600.db2.gz MBXIPGYYODSUPL-NXEZZACHSA-N 0 1 281.747 0.381 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000834489680 642580278 /nfs/dbraw/zinc/58/02/78/642580278.db2.gz OPHCYKDNHRICHP-WRWORJQWSA-N 0 1 278.243 0.575 20 30 CCEDMN N#C[C@@H]1C[NH2+]C[C@@H]1NC(=O)c1n[n-]c2ccccc2c1=O ZINC000834490751 642581075 /nfs/dbraw/zinc/58/10/75/642581075.db2.gz WWFAIWDRDTUFJD-KCJUWKMLSA-N 0 1 283.291 0.177 20 30 CCEDMN Cc1sc(=O)n(CCC(=O)N[C@@H]2CNC[C@@H]2C#N)c1C ZINC000834490663 642581301 /nfs/dbraw/zinc/58/13/01/642581301.db2.gz WPSLBDBCBWHUJE-WDEREUQCSA-N 0 1 294.380 0.145 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)cc([N+](=O)[O-])c1 ZINC000834493201 642582697 /nfs/dbraw/zinc/58/26/97/642582697.db2.gz BQKQWBHXAKEPNG-JQWIXIFHSA-N 0 1 274.280 0.745 20 30 CCEDMN C[C@H](OC[C@@H]1CCCCO1)C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834494854 642584965 /nfs/dbraw/zinc/58/49/65/642584965.db2.gz QFKYNCAVNNHOPH-QNWHQSFQSA-N 0 1 281.356 0.188 20 30 CCEDMN COc1ccccc1C1(C(=O)N[C@@H]2CNC[C@@H]2C#N)CC1 ZINC000834494795 642585540 /nfs/dbraw/zinc/58/55/40/642585540.db2.gz PJMOZLHILGPRFG-WCQYABFASA-N 0 1 285.347 0.955 20 30 CCEDMN Cc1[nH]nc2nc(C)cc(C(=O)N[C@@H]3CNC[C@@H]3C#N)c12 ZINC000834494781 642585768 /nfs/dbraw/zinc/58/57/68/642585768.db2.gz PHPSQKGDSWRBSZ-GXSJLCMTSA-N 0 1 284.323 0.416 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COc1ccc([N+](=O)[O-])cc1 ZINC000834505354 642591861 /nfs/dbraw/zinc/59/18/61/642591861.db2.gz JXVXNRJEDFNATJ-SKDRFNHKSA-N 0 1 290.279 0.201 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccc(N2CCCC2=O)cc1 ZINC000834506217 642593556 /nfs/dbraw/zinc/59/35/56/642593556.db2.gz QTGLZQCURJUZBX-JSGCOSHPSA-N 0 1 298.346 0.655 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc2c(c1)OCCO2 ZINC000834510524 642595760 /nfs/dbraw/zinc/59/57/60/642595760.db2.gz BYJLUDMLNSEGCF-MNOVXSKESA-N 0 1 273.292 0.299 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)ccc1[N+](=O)[O-] ZINC000834511653 642597607 /nfs/dbraw/zinc/59/76/07/642597607.db2.gz NHUPCEMSZSDOHU-MNOVXSKESA-N 0 1 274.280 0.745 20 30 CCEDMN C[C@H](Oc1cccc(F)c1)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834515834 642598567 /nfs/dbraw/zinc/59/85/67/642598567.db2.gz CDJYBXRFUASMGA-KWBADKCTSA-N 0 1 277.299 0.821 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@H]2C#N)oc2c1C(=O)CCC2 ZINC000834521694 642601462 /nfs/dbraw/zinc/60/14/62/642601462.db2.gz QBKSTPLPSQMKPS-NXEZZACHSA-N 0 1 287.319 0.948 20 30 CCEDMN Cc1sc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1[N+](=O)[O-] ZINC000834517835 642601769 /nfs/dbraw/zinc/60/17/69/642601769.db2.gz ZXZLYTLVIHQBJG-HTQZYQBOSA-N 0 1 280.309 0.806 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1ccc([N+](=O)[O-])cc1 ZINC000841193709 642806963 /nfs/dbraw/zinc/80/69/63/642806963.db2.gz WLEVALVNVLULEW-KWQFWETISA-N 0 1 275.268 0.828 20 30 CCEDMN C=CCN1C[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn[nH]1 ZINC001017429723 650801805 /nfs/dbraw/zinc/80/18/05/650801805.db2.gz JGCPENSKOGYMBW-BETUJISGSA-N 0 1 260.341 0.813 20 30 CCEDMN C[C@@H](O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C#N)c[nH]1 ZINC001017454520 650803092 /nfs/dbraw/zinc/80/30/92/650803092.db2.gz IUEPPMBAXISWQI-RTXFEEFZSA-N 0 1 288.351 0.556 20 30 CCEDMN C#CCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cccc2nn[nH]c21 ZINC001017582496 650812019 /nfs/dbraw/zinc/81/20/19/650812019.db2.gz OTAQQXIZVMQNAA-TXEJJXNPSA-N 0 1 295.346 0.880 20 30 CCEDMN N#Cc1cnn2cc(CNC[C@H](O)C(F)(F)F)cnc12 ZINC000843404430 643048505 /nfs/dbraw/zinc/04/85/05/643048505.db2.gz IERHIKZVPKKTHV-VIFPVBQESA-N 0 1 285.229 0.614 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc([N+](=O)[O-])ccc1N ZINC000843459832 643062666 /nfs/dbraw/zinc/06/26/66/643062666.db2.gz IZGMDHGEPKOZPR-CPCISQLKSA-N 0 1 275.268 0.018 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)CCC(=O)NC1CCCC1 ZINC000843458917 643063042 /nfs/dbraw/zinc/06/30/42/643063042.db2.gz ABWAAZAKMUYJMC-JQWIXIFHSA-N 0 1 278.356 0.053 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)N[C@@H]1C[NH2+]C[C@H]1C#N ZINC000843459224 643063733 /nfs/dbraw/zinc/06/37/33/643063733.db2.gz COPPDXLLANCKTN-NXEZZACHSA-N 0 1 261.329 0.683 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc([N+](=O)[O-])o1 ZINC000843461475 643066752 /nfs/dbraw/zinc/06/67/52/643066752.db2.gz LNSGEBXJJFSGAR-RNFRBKRXSA-N 0 1 250.214 0.029 20 30 CCEDMN Cc1cc(F)cc(C(=O)N[C@H]2CNC[C@H]2C#N)c1[N+](=O)[O-] ZINC000843461869 643066931 /nfs/dbraw/zinc/06/69/31/643066931.db2.gz XRRGFRFSBJRUJN-KCJUWKMLSA-N 0 1 292.270 0.884 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)CO[C@H]1CCOC1 ZINC001017550001 650810886 /nfs/dbraw/zinc/81/08/86/650810886.db2.gz QMENUEOMOFNJNX-ZNMIVQPWSA-N 0 1 292.379 0.490 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1[nH]c(=O)[nH]c1C ZINC001017639321 650815347 /nfs/dbraw/zinc/81/53/47/650815347.db2.gz HTXCUOLXBPRMMI-TXEJJXNPSA-N 0 1 288.351 0.736 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CC[C@@H]1C(N)=O ZINC001017792090 650822179 /nfs/dbraw/zinc/82/21/79/650822179.db2.gz HVNNBFIDMWAWHU-CRWXNKLISA-N 0 1 289.379 0.196 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1c[nH]c2ccccc12 ZINC000846678423 643441233 /nfs/dbraw/zinc/44/12/33/643441233.db2.gz TXZSGXKGZDSGQN-RISCZKNCSA-N 0 1 268.320 0.938 20 30 CCEDMN Cn1cc(CC(=O)N[C@H]2CNC[C@@H]2C#N)c2ccccc21 ZINC000846675985 643441275 /nfs/dbraw/zinc/44/12/75/643441275.db2.gz AIDHYXBZWZCXNS-JSGCOSHPSA-N 0 1 282.347 0.949 20 30 CCEDMN C#C[C@H]1CCCN([C@H](C)C(=O)NC(=O)NCC=C)C1 ZINC000847022630 643478902 /nfs/dbraw/zinc/47/89/02/643478902.db2.gz IHFXIGWLLPNBCW-NEPJUHHUSA-N 0 1 263.341 0.732 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)NCCCc2nc[nH]n2)cc1 ZINC000847034151 643481294 /nfs/dbraw/zinc/48/12/94/643481294.db2.gz XPKVVVOWVZEAIS-CYBMUJFWSA-N 0 1 285.307 0.459 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CC(CC)CC)CC1 ZINC000851873957 643894217 /nfs/dbraw/zinc/89/42/17/643894217.db2.gz VRMMANZOEJBJES-UHFFFAOYSA-N 0 1 287.429 0.508 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccc2c1OC(F)(F)O2 ZINC000852828226 644013818 /nfs/dbraw/zinc/01/38/18/644013818.db2.gz VWCZFOHVJFDSIY-VXNVDRBHSA-N 0 1 295.245 0.849 20 30 CCEDMN Cc1ccn([C@H](C)CC(=O)N[C@H]2CNC[C@@H]2C#N)n1 ZINC000852874846 644036406 /nfs/dbraw/zinc/03/64/06/644036406.db2.gz CZXAYHCYZSMYIG-WOPDTQHZSA-N 0 1 261.329 0.370 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2cc(C(F)(F)F)nn2C)N1 ZINC000853330270 644098915 /nfs/dbraw/zinc/09/89/15/644098915.db2.gz LQNSEGANZVMHHH-ZCFIWIBFSA-N 0 1 274.250 0.710 20 30 CCEDMN O=C(C#Cc1ccccc1)NC[C@@H]1CN2CCN1CCC2 ZINC000854352550 644191440 /nfs/dbraw/zinc/19/14/40/644191440.db2.gz FYDXWCOFEMHKBO-MRXNPFEDSA-N 0 1 283.375 0.544 20 30 CCEDMN Cn1cc(CNCCn2cnc(C#N)n2)c(Cl)n1 ZINC000856787470 644435830 /nfs/dbraw/zinc/43/58/30/644435830.db2.gz DEYTVHUFLLJORH-UHFFFAOYSA-N 0 1 265.708 0.326 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001018934279 650922778 /nfs/dbraw/zinc/92/27/78/650922778.db2.gz KSVYIYBJRUFDLC-RYUDHWBXSA-N 0 1 299.802 0.798 20 30 CCEDMN C#CCNC(=O)N1CCC(CC)(N2CCOCC2)CC1 ZINC000858090734 644594397 /nfs/dbraw/zinc/59/43/97/644594397.db2.gz QSXNXOMVWSUJID-UHFFFAOYSA-N 0 1 279.384 0.906 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1cccc2c1OCC2 ZINC000859723586 644726436 /nfs/dbraw/zinc/72/64/36/644726436.db2.gz XKIGJVWQNKNDKR-JQWIXIFHSA-N 0 1 272.308 0.855 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCNC2=O)C1 ZINC001019234984 650949614 /nfs/dbraw/zinc/94/96/14/650949614.db2.gz XPLQALXTOXMGHJ-VHSXEESVSA-N 0 1 271.748 0.066 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1cn(C2CC2)nn1 ZINC000860482388 644804183 /nfs/dbraw/zinc/80/41/83/644804183.db2.gz FTWOCXLREWTYCE-JTQLQIEISA-N 0 1 296.290 0.969 20 30 CCEDMN O=C1CN=C(NN=C2CCCC[C@H]2N2CCCCC2)N1 ZINC000863175084 645065614 /nfs/dbraw/zinc/06/56/14/645065614.db2.gz QRGXUXGMOOBRHI-GFCCVEGCSA-N 0 1 277.372 0.846 20 30 CCEDMN C[C@@H]1C(N=NC2=NCC(=O)[N-]2)CC[N@@H+]1Cc1ccccc1 ZINC000863177716 645066911 /nfs/dbraw/zinc/06/69/11/645066911.db2.gz VMKFUFQZYFBXPC-LLVKDONJSA-N 0 1 285.351 0.712 20 30 CCEDMN C[C@@H]1C(N=NC2=NCC(=O)[N-]2)CC[N@H+]1Cc1ccccc1 ZINC000863177716 645066917 /nfs/dbraw/zinc/06/69/17/645066917.db2.gz VMKFUFQZYFBXPC-LLVKDONJSA-N 0 1 285.351 0.712 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)C(C#N)C(=O)NC1CC1 ZINC000119616584 645119964 /nfs/dbraw/zinc/11/99/64/645119964.db2.gz JMHBYAIYYXIJIW-ONGXEEELSA-N 0 1 250.298 0.955 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CCn2cnnn2)C1 ZINC001019557934 650987934 /nfs/dbraw/zinc/98/79/34/650987934.db2.gz WAIGRYPUPJOYML-JTQLQIEISA-N 0 1 284.751 0.006 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2cccn(C)c2=O)C1 ZINC001019674028 650997419 /nfs/dbraw/zinc/99/74/19/650997419.db2.gz XEDBUBDXWGHZRR-NSHDSACASA-N 0 1 295.770 0.942 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@@H](NC(=O)C[C@H]1COCCN1)C2 ZINC000868038676 645709318 /nfs/dbraw/zinc/70/93/18/645709318.db2.gz YXNZJZZIWGKEMT-GJZGRUSLSA-N 0 1 285.347 0.520 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)[C@@H]2C[C@H]2c2cnc[nH]2)C1 ZINC001020255323 651052989 /nfs/dbraw/zinc/05/29/89/651052989.db2.gz IFMRWYMACYUTPC-GWOFURMSSA-N 0 1 259.313 0.274 20 30 CCEDMN N#Cc1cccc(O[N-]C(=O)[C@H]2C[N@H+]3CCN2CCC3)c1 ZINC000870691699 646017977 /nfs/dbraw/zinc/01/79/77/646017977.db2.gz PCFOIFIAEOXPPR-CQSZACIVSA-N 0 1 286.335 0.358 20 30 CCEDMN C[C@@H](C#N)OCCN(C)[C@@H]1CCCCN(C)C1=O ZINC000872265853 646233282 /nfs/dbraw/zinc/23/32/82/646233282.db2.gz MSYGTIWQOGGCQI-NWDGAFQWSA-N 0 1 253.346 0.858 20 30 CCEDMN Cc1cc(=NN=Cc2cn(C[C@H]3CCOC3)nn2)nc[nH]1 ZINC000872351988 646249900 /nfs/dbraw/zinc/24/99/00/646249900.db2.gz YZIIZSJSCJEEHJ-LLVKDONJSA-N 0 1 287.327 0.859 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)NCCn1ccnc1 ZINC000876709016 646647499 /nfs/dbraw/zinc/64/74/99/646647499.db2.gz GYENBISPKUZBTQ-OAHLLOKOSA-N 0 1 295.346 0.758 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1csc(C2CC2)n1 ZINC000876801834 646668500 /nfs/dbraw/zinc/66/85/00/646668500.db2.gz JNHLELBHGISZJZ-KOLCDFICSA-N 0 1 276.365 0.791 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccnc1C1CC1 ZINC000876801621 646668682 /nfs/dbraw/zinc/66/86/82/646668682.db2.gz GQQGFSWBMFGVRY-CMPLNLGQSA-N 0 1 256.309 0.800 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cn1ccc(C(F)F)n1 ZINC000876801785 646669187 /nfs/dbraw/zinc/66/91/87/646669187.db2.gz IPQBPIAREQWVNZ-CBAPKCEASA-N 0 1 269.255 0.048 20 30 CCEDMN Cc1cc(C(=O)N[C@H]2CNC[C@@H]2C#N)c2nccn2c1 ZINC000876803472 646670599 /nfs/dbraw/zinc/67/05/99/646670599.db2.gz WQZJBLWCSJQQPI-JQWIXIFHSA-N 0 1 269.308 0.484 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NCC(F)(F)CN ZINC000876808068 646672764 /nfs/dbraw/zinc/67/27/64/646672764.db2.gz DACOQATULFQXLK-LBPRGKRZSA-N 0 1 294.305 0.455 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CC2(O)CCCC2)C[C@@H](C)N1CC#N ZINC000878771207 646976604 /nfs/dbraw/zinc/97/66/04/646976604.db2.gz GDBBKMLAEGSNHY-KFWWJZLASA-N 0 1 295.427 0.961 20 30 CCEDMN C[C@@H]1CCc2[nH]ncc2[C@H]1C(=O)N1CCOC[C@H]1C#N ZINC000878975048 647005560 /nfs/dbraw/zinc/00/55/60/647005560.db2.gz WORQAGHDEAWYQP-BREBYQMCSA-N 0 1 274.324 0.827 20 30 CCEDMN N#Cc1ccc(CN2CCC[C@@H](CO)[C@H]2CO)o1 ZINC000879366844 647051699 /nfs/dbraw/zinc/05/16/99/647051699.db2.gz XJOJXMOIVKLIAH-GXFFZTMASA-N 0 1 250.298 0.716 20 30 CCEDMN C[C@H](C(=O)NC(N)=O)N(C)CCc1cccc(C#N)c1 ZINC000879434463 647058172 /nfs/dbraw/zinc/05/81/72/647058172.db2.gz JGWKMSPVYLDXAE-SNVBAGLBSA-N 0 1 274.324 0.616 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@@H]2CSC[C@@H]2C1 ZINC000879558159 647073846 /nfs/dbraw/zinc/07/38/46/647073846.db2.gz RKFMHBFTVJPMCY-MNOVXSKESA-N 0 1 253.371 0.701 20 30 CCEDMN N#Cc1ccc([C@H](O)CN2CC[C@@H](O)[C@@H](O)C2)cc1 ZINC000879612224 647083547 /nfs/dbraw/zinc/08/35/47/647083547.db2.gz TZDXAARVXSRGQR-MCIONIFRSA-N 0 1 262.309 0.019 20 30 CCEDMN N#CCSCCCN1CC[C@@H]2CS(=O)(=O)C[C@H]2C1 ZINC000879930052 647127918 /nfs/dbraw/zinc/12/79/18/647127918.db2.gz BPEWTNBOCVYYTQ-VXGBXAGGSA-N 0 1 288.438 1.000 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H](CO)CN2CCCC2)co1 ZINC000879989166 647139339 /nfs/dbraw/zinc/13/93/39/647139339.db2.gz CVGKVIDJQPTLHE-LLVKDONJSA-N 0 1 263.297 0.338 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cccn2nccc12 ZINC000884249097 647679636 /nfs/dbraw/zinc/67/96/36/647679636.db2.gz DCCGZRYVLSYSIK-MWLCHTKSSA-N 0 1 255.281 0.176 20 30 CCEDMN Cn1nc2c(c1C(=O)N[C@H]1CNC[C@@H]1C#N)CSCC2 ZINC000884250455 647680612 /nfs/dbraw/zinc/68/06/12/647680612.db2.gz FMQJDHVNYAHISH-KWQFWETISA-N 0 1 291.380 0.051 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cnc(CC2CC2)s1 ZINC000884251017 647682009 /nfs/dbraw/zinc/68/20/09/647682009.db2.gz UMSJOZWSXZSWQG-ZJUUUORDSA-N 0 1 276.365 0.937 20 30 CCEDMN Cc1cnc(Cl)c(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000884251121 647682269 /nfs/dbraw/zinc/68/22/69/647682269.db2.gz VZEJNBRUAFAIBG-WCBMZHEXSA-N 0 1 264.716 0.885 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C[C@H]1NC(=O)c2ccccc21 ZINC000884250912 647682520 /nfs/dbraw/zinc/68/25/20/647682520.db2.gz RIXUYFXGYOVFPY-WQAKAFBOSA-N 0 1 284.319 0.089 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN([C@H]3CCOC3)CC2)CCC1 ZINC000886086789 647898683 /nfs/dbraw/zinc/89/86/83/647898683.db2.gz MHZNHZCUGOTAHD-GJZGRUSLSA-N 0 1 293.411 0.838 20 30 CCEDMN COc1nnccc1NC(=O)NCC#CCN(C)C ZINC000931449693 648990724 /nfs/dbraw/zinc/99/07/24/648990724.db2.gz CUFPIVKLAADZEH-UHFFFAOYSA-N 0 1 263.301 0.172 20 30 CCEDMN C[C@@H]1CN(CC#Cc2ccccc2)C[C@H]1CS(N)(=O)=O ZINC000933696906 649136638 /nfs/dbraw/zinc/13/66/38/649136638.db2.gz BTSJMLZEONJAAO-HIFRSBDPSA-N 0 1 292.404 0.895 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCO[C@@H]3COCC[C@@H]32)CCC1 ZINC000933968289 649151621 /nfs/dbraw/zinc/15/16/21/649151621.db2.gz KVCOKDVBSCKBFG-HZSPNIEDSA-N 0 1 280.368 0.921 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC2(CC1)CN(CCCO)CCO2 ZINC000949320716 649418681 /nfs/dbraw/zinc/41/86/81/649418681.db2.gz UETQQAPEQSJBIX-CYBMUJFWSA-N 0 1 295.383 0.222 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2CCN2C(=O)c2ccn[nH]2)[nH]1 ZINC000949445405 649424805 /nfs/dbraw/zinc/42/48/05/649424805.db2.gz VSWDFWCDGPJMQK-JTQLQIEISA-N 0 1 298.306 0.254 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000192072126 649497695 /nfs/dbraw/zinc/49/76/95/649497695.db2.gz KIGRPHRZLPYZKE-CYBMUJFWSA-N 0 1 251.374 0.542 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cn2ccnc2)CC1 ZINC001006470936 649519519 /nfs/dbraw/zinc/51/95/19/649519519.db2.gz LGLVKDUGJBLKQU-UHFFFAOYSA-N 0 1 260.341 0.439 20 30 CCEDMN C#C[C@@H](C)NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000248615456 649735002 /nfs/dbraw/zinc/73/50/02/649735002.db2.gz ZIKLYINTUFHIOI-UPJWGTAASA-N 0 1 267.373 0.807 20 30 CCEDMN CN(C)CC#CCNC(=O)NCc1cccc(F)n1 ZINC001230636068 805636573 /nfs/dbraw/zinc/63/65/73/805636573.db2.gz YQGFLCZZOYWLRH-UHFFFAOYSA-N 0 1 264.304 0.585 20 30 CCEDMN CCO[C@@H](C(=O)NCCN(C)CC#CCOC)C1CC1 ZINC001266274904 790379442 /nfs/dbraw/zinc/37/94/42/790379442.db2.gz FSSUZLGZLRXJIZ-CQSZACIVSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cscn2)CC1 ZINC001266281705 790393520 /nfs/dbraw/zinc/39/35/20/790393520.db2.gz XWGDYOXBAPTGLS-UHFFFAOYSA-N 0 1 280.397 0.677 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cnc(C)n2C)CC1 ZINC001266290042 790427131 /nfs/dbraw/zinc/42/71/31/790427131.db2.gz LEQKUWQQWAHWRY-UHFFFAOYSA-N 0 1 291.399 0.262 20 30 CCEDMN N#Cc1cccc(CN2CC[C@H](NC(=O)CC(N)=O)C2)c1 ZINC001266310090 790485837 /nfs/dbraw/zinc/48/58/37/790485837.db2.gz DBAUYXXNYAZVEX-ZDUSSCGKSA-N 0 1 286.335 0.124 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H]1CCN(CC(=C)C)C1 ZINC001266320106 790499146 /nfs/dbraw/zinc/49/91/46/790499146.db2.gz YVZRWGKJRZSUMT-QWHCGFSZSA-N 0 1 250.342 0.791 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)CO[C@H]2CCOC2)C1 ZINC001266319970 790500243 /nfs/dbraw/zinc/50/02/43/790500243.db2.gz WKPYNENLJAVCQF-KBPBESRZSA-N 0 1 298.383 0.185 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(-n2cccn2)ccn1 ZINC001266323739 790506556 /nfs/dbraw/zinc/50/65/56/790506556.db2.gz LWADSLFYHLVLKD-UHFFFAOYSA-N 0 1 283.335 0.562 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@H]1NC(=O)c2ccccc21 ZINC001266339895 790543613 /nfs/dbraw/zinc/54/36/13/790543613.db2.gz AQWPSFSVZCPMSJ-CQSZACIVSA-N 0 1 285.347 0.542 20 30 CCEDMN C=C(C)CCN(C)CCNC(=O)CS(=O)(=O)C(C)C ZINC001266360006 790585419 /nfs/dbraw/zinc/58/54/19/790585419.db2.gz NSZBLZAZYGGNLG-UHFFFAOYSA-N 0 1 290.429 0.824 20 30 CCEDMN COCC#CCN(C)CCNC(=O)CCn1cc(C)cn1 ZINC001266362430 790591864 /nfs/dbraw/zinc/59/18/64/790591864.db2.gz IEKSLURJADJWHW-UHFFFAOYSA-N 0 1 292.383 0.279 20 30 CCEDMN C[C@@H](C(N)=O)N1CCC2(C[C@H]2NC(=O)C#CC2CC2)CC1 ZINC001266371388 790605530 /nfs/dbraw/zinc/60/55/30/790605530.db2.gz XGBHMTOPYOSBNJ-WCQYABFASA-N 0 1 289.379 0.244 20 30 CCEDMN N#Cc1cccnc1N[C@H]1CCN(C(=O)Cc2ncn[nH]2)C1 ZINC001058959643 790629447 /nfs/dbraw/zinc/62/94/47/790629447.db2.gz DUCYGFWVNRHNOT-NSHDSACASA-N 0 1 297.322 0.327 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCO[C@@H]2CN(CC)C[C@@H]21 ZINC001083198590 790755891 /nfs/dbraw/zinc/75/58/91/790755891.db2.gz FNQAEHPJBSGZKJ-ZOBORPQBSA-N 0 1 280.368 0.509 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCCCc1c[nH]nn1 ZINC001266474443 790769307 /nfs/dbraw/zinc/76/93/07/790769307.db2.gz NTJWPHJLDDNLDE-CQSZACIVSA-N 0 1 289.383 0.731 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)C2(C(=O)NC)CC2)C1 ZINC001210124310 790794846 /nfs/dbraw/zinc/79/48/46/790794846.db2.gz AAMHHOKBANEZAX-NSHDSACASA-N 0 1 299.802 0.846 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccsc2)[C@@H](O)C1 ZINC001083328275 790795729 /nfs/dbraw/zinc/79/57/29/790795729.db2.gz IDOXJRUDMUIAOD-NEPJUHHUSA-N 0 1 264.350 0.546 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)[C@H]1C[C@H](C)CO1 ZINC001266566410 790938356 /nfs/dbraw/zinc/93/83/56/790938356.db2.gz CDKWIYHMQWPREI-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN Cc1cocc1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001279438179 790948830 /nfs/dbraw/zinc/94/88/30/790948830.db2.gz AFTIOPUGVOADFP-UHFFFAOYSA-N 0 1 290.367 0.895 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OC)[C@@H](C)CC ZINC001266902272 791331891 /nfs/dbraw/zinc/33/18/91/791331891.db2.gz QSUOPJSLDCFTJJ-ODXJTPSBSA-N 0 1 264.369 0.727 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CC[N@@H+]1[C@@H](C)COC ZINC001231247791 805758984 /nfs/dbraw/zinc/75/89/84/805758984.db2.gz RIVWUEHNYOMESW-QWHCGFSZSA-N 0 1 270.373 0.757 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@H]1CCN1[C@@H](C)COC ZINC001231247791 805758990 /nfs/dbraw/zinc/75/89/90/805758990.db2.gz RIVWUEHNYOMESW-QWHCGFSZSA-N 0 1 270.373 0.757 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)[C@@]1(C)CCOC1 ZINC001277528982 805812898 /nfs/dbraw/zinc/81/28/98/805812898.db2.gz SHNMQJBVAMRFLI-HNNXBMFYSA-N 0 1 282.384 0.501 20 30 CCEDMN N#Cc1ccnc(CN2CCN(CCCO)CC2)c1 ZINC001232478501 805945657 /nfs/dbraw/zinc/94/56/57/805945657.db2.gz WFHZUKFLXNPBLI-UHFFFAOYSA-N 0 1 260.341 0.453 20 30 CCEDMN CN(C)C(=O)CN1C[C@@H]2CCN(c3ccc(C#N)cn3)[C@@H]2C1 ZINC001277687285 805961265 /nfs/dbraw/zinc/96/12/65/805961265.db2.gz LRKYSSSTSBXRCO-UONOGXRCSA-N 0 1 299.378 0.552 20 30 CCEDMN N#Cc1ccc(O)c(CN2CCn3cnnc3C2)c1 ZINC001232673809 805968268 /nfs/dbraw/zinc/96/82/68/805968268.db2.gz NTBZYNYLMDJUEZ-UHFFFAOYSA-N 0 1 255.281 0.871 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H](C)NCc1cnc(C)cn1 ZINC001277771135 806028425 /nfs/dbraw/zinc/02/84/25/806028425.db2.gz LRYPOIUQJUULQR-CYBMUJFWSA-N 0 1 292.383 0.924 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@@H]([C@@H](C)NC(=O)CCC)C1 ZINC001266893800 791325092 /nfs/dbraw/zinc/32/50/92/791325092.db2.gz WTHJBIKYPLKPSR-ZIAGYGMSSA-N 0 1 293.411 0.753 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)CO[C@@H]2CCOC2)CC1 ZINC001279555276 791440500 /nfs/dbraw/zinc/44/05/00/791440500.db2.gz XPJQZUDUGWFWNI-OAHLLOKOSA-N 0 1 292.379 0.564 20 30 CCEDMN COCC#CC[N@@H+](C)CCCNC(=O)[C@H]1C[C@@H]1C ZINC001233503086 806069971 /nfs/dbraw/zinc/06/99/71/806069971.db2.gz OGBGVBDGLSXUJR-STQMWFEESA-N 0 1 252.358 0.730 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038139210 791526954 /nfs/dbraw/zinc/52/69/54/791526954.db2.gz HDOYSJKSFSXJPJ-GFCCVEGCSA-N 0 1 256.309 0.714 20 30 CCEDMN CO[C@@H](C)CN1CC[C@@H]1CNC(=O)c1cc(C#N)c[nH]1 ZINC001038139203 791527298 /nfs/dbraw/zinc/52/72/98/791527298.db2.gz HDJYLBHTVFUGSW-CMPLNLGQSA-N 0 1 276.340 0.725 20 30 CCEDMN N#CCN1CC[C@@H]2CCN(C(=O)CCc3nc[nH]n3)C[C@H]21 ZINC001042005199 791621881 /nfs/dbraw/zinc/62/18/81/791621881.db2.gz CYQXYOXMEOBTGQ-VXGBXAGGSA-N 0 1 288.355 0.184 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccnn1C(C)C ZINC001267197267 791659595 /nfs/dbraw/zinc/65/95/95/791659595.db2.gz IMAVUWDDNHUNGJ-UHFFFAOYSA-N 0 1 292.383 0.775 20 30 CCEDMN CC#CCN(C)CCNC(=O)Cc1ncccc1F ZINC001267213301 791682464 /nfs/dbraw/zinc/68/24/64/791682464.db2.gz GXIOZGGAPNCMTA-UHFFFAOYSA-N 0 1 263.316 0.835 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccc(C)[nH]c1=O ZINC001267219000 791690707 /nfs/dbraw/zinc/69/07/07/791690707.db2.gz JBCLCYAANUDJQC-UHFFFAOYSA-N 0 1 291.351 0.407 20 30 CCEDMN C=CCOC[C@@H]1c2c(ncn2C)CCN1Cc1c[nH]nn1 ZINC001203206046 791704144 /nfs/dbraw/zinc/70/41/44/791704144.db2.gz RKHGXVPORDLVDQ-CYBMUJFWSA-N 0 1 288.355 0.840 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)CN1CCOCC1 ZINC001233750575 806113126 /nfs/dbraw/zinc/11/31/26/806113126.db2.gz GQWDUKHXHUUSAV-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)c1ccoc1 ZINC001233910241 806146079 /nfs/dbraw/zinc/14/60/79/806146079.db2.gz LRMLZEBFIPJTPW-UHFFFAOYSA-N 0 1 277.324 0.081 20 30 CCEDMN C#CCCCC(=O)N(C)C[C@@H]1CCN1CCOCCOC ZINC001233948585 806156078 /nfs/dbraw/zinc/15/60/78/806156078.db2.gz YWKUPUCPFOKCKT-HNNXBMFYSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@@H](C)CC ZINC001234127665 806187224 /nfs/dbraw/zinc/18/72/24/806187224.db2.gz ZEKAWICVKGLEGP-LBPRGKRZSA-N 0 1 267.373 0.220 20 30 CCEDMN COC[C@@H](C)N1CC[C@H]1CN(C)C(=O)C#CC1CC1 ZINC001234195791 806202228 /nfs/dbraw/zinc/20/22/28/806202228.db2.gz NMPKNGSUBQCAFC-OCCSQVGLSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)C[C@H]1CCN1CCOCC ZINC001234265982 806212736 /nfs/dbraw/zinc/21/27/36/806212736.db2.gz NNNIARRDOYUBRL-ZIAGYGMSSA-N 0 1 282.384 0.594 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CCN1CCOCC ZINC001234265978 806213760 /nfs/dbraw/zinc/21/37/60/806213760.db2.gz NNNIARRDOYUBRL-UONOGXRCSA-N 0 1 282.384 0.594 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1ncc[nH]1 ZINC001267241239 793230033 /nfs/dbraw/zinc/23/00/33/793230033.db2.gz PNGATKUQNVFVAD-UHFFFAOYSA-N 0 1 264.329 0.111 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CNC(=O)c1ccoc1 ZINC001234478803 806257659 /nfs/dbraw/zinc/25/76/59/806257659.db2.gz RZMRHUJASLBMSZ-CYBMUJFWSA-N 0 1 291.351 0.728 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)C[C@H]1CCNC1=O ZINC001235280035 806398238 /nfs/dbraw/zinc/39/82/38/806398238.db2.gz HZJINYDZCPSWPP-CHWSQXEVSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCCC(=O)NCCCN(C)CC(=O)NCCOCC ZINC001235480978 806430826 /nfs/dbraw/zinc/43/08/26/806430826.db2.gz QZTZPYSDEUBSFV-UHFFFAOYSA-N 0 1 299.415 0.543 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@]1(CC)CCNC1=O ZINC001235572112 806459908 /nfs/dbraw/zinc/45/99/08/806459908.db2.gz WOQCJLACPCIZIB-BBRMVZONSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C1(C(=O)NC)CC1 ZINC001277962664 806493057 /nfs/dbraw/zinc/49/30/57/806493057.db2.gz KSFIYMGJIZBKSS-JTQLQIEISA-N 0 1 287.791 0.702 20 30 CCEDMN C=CCC[N@@H+]1CC[C@H]1CN(C)C(=O)C[C@@H]1CCN(C)C1=O ZINC001235702509 806494693 /nfs/dbraw/zinc/49/46/93/806494693.db2.gz RAJUNFJTUFDAIX-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)Cc2cnn(C)c2)CC1 ZINC001278039448 806625016 /nfs/dbraw/zinc/62/50/16/806625016.db2.gz QAJMTNOPJUTBAY-UHFFFAOYSA-N 0 1 274.368 0.567 20 30 CCEDMN CN1CC2(C1)SCC[C@@H]2C(=O)N1CC(CC#N)C1 ZINC001278097006 806662398 /nfs/dbraw/zinc/66/23/98/806662398.db2.gz UEJWOWUJOZKYHZ-LLVKDONJSA-N 0 1 265.382 0.796 20 30 CCEDMN N#Cc1cc(CN2CCC3(CC2)CNC(=O)CO3)ccn1 ZINC001237465826 806671229 /nfs/dbraw/zinc/67/12/29/806671229.db2.gz LGXUPENPTRBRLN-UHFFFAOYSA-N 0 1 286.335 0.434 20 30 CCEDMN C#Cc1cccc(CNC(=O)N2CCNC[C@H]2COC)c1 ZINC001120538256 798852631 /nfs/dbraw/zinc/85/26/31/798852631.db2.gz NMBWURFWAROSCK-HNNXBMFYSA-N 0 1 287.363 0.798 20 30 CCEDMN C=CCn1c(C(N)=O)nnc1N1CCN(C(C)C)CC1 ZINC001121613312 799060682 /nfs/dbraw/zinc/06/06/82/799060682.db2.gz WOORPXBSAVVGEM-UHFFFAOYSA-N 0 1 278.360 0.093 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C(C1CCC1)C1CCC1 ZINC001278139797 806715240 /nfs/dbraw/zinc/71/52/40/806715240.db2.gz VXIUBIUDCXGBEJ-CQSZACIVSA-N 0 1 278.396 0.903 20 30 CCEDMN C#CCNCC(=O)N[C@H](CC(C)(C)C)C(=O)OC ZINC001122086902 799139493 /nfs/dbraw/zinc/13/94/93/799139493.db2.gz YRPXAIMILRDWOY-SNVBAGLBSA-N 0 1 254.330 0.303 20 30 CCEDMN COCCC(=O)NCCNCC#Cc1ccccc1 ZINC001122394452 799223000 /nfs/dbraw/zinc/22/30/00/799223000.db2.gz WHHQVMVVNRUUKS-UHFFFAOYSA-N 0 1 260.337 0.780 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)[C@@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC001122453135 799237680 /nfs/dbraw/zinc/23/76/80/799237680.db2.gz VULUEQVPLAOASP-LNFKQOIKSA-N 0 1 262.309 0.835 20 30 CCEDMN Cn1cc(C(=O)NCCNCC#Cc2ccccc2)cn1 ZINC001123353145 799395651 /nfs/dbraw/zinc/39/56/51/799395651.db2.gz DXAICYJRIOSXIS-UHFFFAOYSA-N 0 1 282.347 0.791 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)Cc1cccc(COC)c1 ZINC001278178521 806742068 /nfs/dbraw/zinc/74/20/68/806742068.db2.gz HYXNLNMZUURKER-OAHLLOKOSA-N 0 1 290.363 0.075 20 30 CCEDMN C#CCN(CC1CC1)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001123787527 799473664 /nfs/dbraw/zinc/47/36/64/799473664.db2.gz GGDYJSKLKLPGOT-AWEZNQCLSA-N 0 1 292.427 0.677 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)[C@@H]1C[N@@H+]2CCN1C[C@@H]2C ZINC001123858281 799487174 /nfs/dbraw/zinc/48/71/74/799487174.db2.gz ZAYJHRUEGBSGGB-MJBXVCDLSA-N 0 1 263.385 0.942 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001123858281 799487179 /nfs/dbraw/zinc/48/71/79/799487179.db2.gz ZAYJHRUEGBSGGB-MJBXVCDLSA-N 0 1 263.385 0.942 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H](C)n1cccn1 ZINC001123910762 799502289 /nfs/dbraw/zinc/50/22/89/799502289.db2.gz FCZIXYORZOSYGW-JTQLQIEISA-N 0 1 256.737 0.902 20 30 CCEDMN C=CCOCCCC(=O)NCCNCc1cnc(C)cn1 ZINC001125255695 799670326 /nfs/dbraw/zinc/67/03/26/799670326.db2.gz LMPYBRZGOZTVDV-UHFFFAOYSA-N 0 1 292.383 0.974 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1(c2cccc(C)c2)CC1 ZINC001278202967 806771122 /nfs/dbraw/zinc/77/11/22/806771122.db2.gz XDQOIUVSRPQIEI-OAHLLOKOSA-N 0 1 286.375 0.727 20 30 CCEDMN COCCN(CC#N)C(=O)CCSc1nnc(C)[nH]1 ZINC001141802609 800036941 /nfs/dbraw/zinc/03/69/41/800036941.db2.gz FAPJAOXPCZBYNX-UHFFFAOYSA-N 0 1 283.357 0.594 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@@H](C)SC)C1 ZINC001149237847 800193999 /nfs/dbraw/zinc/19/39/99/800193999.db2.gz UNMLITPHSRBZIX-OLZOCXBDSA-N 0 1 284.425 0.968 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)C[C@H]2CCCO2)C1 ZINC001149248097 800239412 /nfs/dbraw/zinc/23/94/12/800239412.db2.gz ORBXZUINSFPQMV-ZIAGYGMSSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C[C@H]2CCOC2)C1 ZINC001149448529 800264442 /nfs/dbraw/zinc/26/44/42/800264442.db2.gz RPXZNCJSXHQKFY-KGLIPLIRSA-N 0 1 282.384 0.806 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H](C)n2cncn2)C1 ZINC001149549492 800275460 /nfs/dbraw/zinc/27/54/60/800275460.db2.gz OAJCHAKXEGFJOX-OLZOCXBDSA-N 0 1 293.371 0.232 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CO[C@@H]2CCOC2)C1 ZINC001149721549 800294353 /nfs/dbraw/zinc/29/43/53/800294353.db2.gz OTPNDZFADRYUDF-ZIAGYGMSSA-N 0 1 298.383 0.185 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C2(CF)CC2)C1 ZINC001150306915 800328892 /nfs/dbraw/zinc/32/88/92/800328892.db2.gz LIMCDLYPHRUREI-ZDUSSCGKSA-N 0 1 282.359 0.967 20 30 CCEDMN N#Cc1cc(NS(=O)(=O)c2cc(F)ccc2N)ccn1 ZINC001206633509 800328948 /nfs/dbraw/zinc/32/89/48/800328948.db2.gz OEJGZGVRFDPLRT-UHFFFAOYSA-N 0 1 292.295 0.897 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(=O)n(CCC)n1 ZINC001150685187 800358523 /nfs/dbraw/zinc/35/85/23/800358523.db2.gz HEQOEKKANAZBLD-UHFFFAOYSA-N 0 1 298.774 0.725 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)Cn2ccnc2)C1 ZINC001150824170 800369781 /nfs/dbraw/zinc/36/97/81/800369781.db2.gz HOGZPQQZPOTRNE-CQSZACIVSA-N 0 1 290.367 0.114 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1ccn2cncc2c1 ZINC001151904500 800469085 /nfs/dbraw/zinc/46/90/85/800469085.db2.gz NJSUTLVRYWJPPM-JTQLQIEISA-N 0 1 279.321 0.536 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1cc(C)no1 ZINC001153081561 800593709 /nfs/dbraw/zinc/59/37/09/800593709.db2.gz SWQHVDNMNZCJRJ-UHFFFAOYSA-N 0 1 267.329 0.782 20 30 CCEDMN C=CCCOCC(=O)NCCNCc1ccccn1 ZINC001153080723 800594279 /nfs/dbraw/zinc/59/42/79/800594279.db2.gz DMSZTASLVHWPKY-UHFFFAOYSA-N 0 1 263.341 0.880 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCN[C@H](C)c1n[nH]c(C)n1 ZINC001153149358 800602676 /nfs/dbraw/zinc/60/26/76/800602676.db2.gz WIJSNCZJPUKQDO-NXEZZACHSA-N 0 1 281.360 0.471 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N(Cc1nn[nH]n1)CC(C)C ZINC001153812425 800671556 /nfs/dbraw/zinc/67/15/56/800671556.db2.gz XPSABIIOVUNVBO-MNOVXSKESA-N 0 1 279.344 0.775 20 30 CCEDMN COC(=O)[C@H](N)C1CCN(c2cc(C#N)cc(C)n2)CC1 ZINC001155169052 800922468 /nfs/dbraw/zinc/92/24/68/800922468.db2.gz IGNOPIYPCSXDOM-CQSZACIVSA-N 0 1 288.351 0.978 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2cc(C#N)cc(C)n2)CC1 ZINC001155169050 800922888 /nfs/dbraw/zinc/92/28/88/800922888.db2.gz IGNOPIYPCSXDOM-AWEZNQCLSA-N 0 1 288.351 0.978 20 30 CCEDMN CC(C)C[C@H](NC(=O)c1cccc2cncn21)C(=O)NO ZINC001155806188 801055852 /nfs/dbraw/zinc/05/58/52/801055852.db2.gz XZUDXRGTXLIBPR-NSHDSACASA-N 0 1 290.323 0.984 20 30 CCEDMN C=CCOCCCC(=O)NCCCNCc1nncn1C ZINC001156160070 801119094 /nfs/dbraw/zinc/11/90/94/801119094.db2.gz NEIRJPMHASCFNB-UHFFFAOYSA-N 0 1 295.387 0.394 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ncc(C#N)cc1C#N ZINC001156826186 801243771 /nfs/dbraw/zinc/24/37/71/801243771.db2.gz VXMSJFAWTTTWPJ-UHFFFAOYSA-N 0 1 265.280 0.257 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)N1CC[C@@H](C(N)=O)C1 ZINC001156883100 801253671 /nfs/dbraw/zinc/25/36/71/801253671.db2.gz IMJKPZVPDBGDJN-SECBINFHSA-N 0 1 283.291 0.382 20 30 CCEDMN N#Cc1ccc2c(c1)[nH]nc2C(=O)n1cc(F)c(=O)nc1N ZINC001156889144 801255499 /nfs/dbraw/zinc/25/54/99/801255499.db2.gz JDLYDWMNMXFACJ-UHFFFAOYSA-N 0 1 298.237 0.644 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCCNCc1cn(CC)nn1 ZINC001157202634 801324916 /nfs/dbraw/zinc/32/49/16/801324916.db2.gz VZSUPCOTVUFADD-AWEZNQCLSA-N 0 1 295.387 0.221 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cc1cnn(C)c1 ZINC001157657083 801448214 /nfs/dbraw/zinc/44/82/14/801448214.db2.gz YKBNUABRQIRAQK-OAHLLOKOSA-N 0 1 288.395 0.909 20 30 CCEDMN CCn1nc(C)c(CNCCCNC(=O)C#CC2CC2)n1 ZINC001157682553 801454341 /nfs/dbraw/zinc/45/43/41/801454341.db2.gz JTSFQSRKZBKCMU-UHFFFAOYSA-N 0 1 289.383 0.616 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCNCc1nc(C)c(C)o1 ZINC001157869414 801506453 /nfs/dbraw/zinc/50/64/53/801506453.db2.gz LNKJSSQAKNLESY-ZDUSSCGKSA-N 0 1 293.367 0.926 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCCN[C@@H](C)c1nnc(C)[nH]1 ZINC001157874500 801507577 /nfs/dbraw/zinc/50/75/77/801507577.db2.gz QJBPBYUFHBVNFR-QWRGUYRKSA-N 0 1 293.371 0.308 20 30 CCEDMN CN1CCN(C(=N)Nc2ncc(C#N)cc2C#N)CC1 ZINC001157914335 801518567 /nfs/dbraw/zinc/51/85/67/801518567.db2.gz BWICRVBAOSVRSH-UHFFFAOYSA-N 0 1 269.312 0.419 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CO[C@H]1CCOC1 ZINC001157944873 801535371 /nfs/dbraw/zinc/53/53/71/801535371.db2.gz DZXSDGOGWCLEPM-CABCVRRESA-N 0 1 294.395 0.738 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H]1C[C@H]1C(N)=O ZINC001158491303 801658325 /nfs/dbraw/zinc/65/83/25/801658325.db2.gz NCCULBPTMJHPLC-UPJWGTAASA-N 0 1 277.368 0.054 20 30 CCEDMN C=C(C)CCC(=O)NCCCNCc1nnnn1C ZINC001159015249 801739674 /nfs/dbraw/zinc/73/96/74/801739674.db2.gz WEWYYRSNOZUXAR-UHFFFAOYSA-N 0 1 266.349 0.162 20 30 CCEDMN CN1CC(CNc2nc(C#N)c(C#N)nc2Cl)C1 ZINC001159218011 801775012 /nfs/dbraw/zinc/77/50/12/801775012.db2.gz JJZVFUKXJBQTME-UHFFFAOYSA-N 0 1 262.704 0.847 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC001159563607 801834044 /nfs/dbraw/zinc/83/40/44/801834044.db2.gz QWXAISWDEWWJRT-UONOGXRCSA-N 0 1 290.363 0.567 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)c2cn(C)nn2)CC1 ZINC001160020744 801899658 /nfs/dbraw/zinc/89/96/58/801899658.db2.gz FXBLOXAKOPVOMR-UHFFFAOYSA-N 0 1 273.340 0.200 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H](C)NC(=O)CC)CC1 ZINC001160221965 801920293 /nfs/dbraw/zinc/92/02/93/801920293.db2.gz SQQYTIDKPILXCK-ZDUSSCGKSA-N 0 1 291.395 0.673 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2CCC(=O)NC2)CC1 ZINC001160574184 801972667 /nfs/dbraw/zinc/97/26/67/801972667.db2.gz MIULMIXYVWOHAQ-CQSZACIVSA-N 0 1 289.379 0.284 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCO[C@H](COc2ccccc2)C1 ZINC001160753895 802015686 /nfs/dbraw/zinc/01/56/86/802015686.db2.gz AZZHSAPFUARZSL-HOCLYGCPSA-N 0 1 288.347 0.643 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@@H](CC(=O)OC)C1 ZINC001160833454 802025054 /nfs/dbraw/zinc/02/50/54/802025054.db2.gz QLHKORATODEKQR-GWCFXTLKSA-N 0 1 252.314 0.139 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC(Oc2cnccn2)CC1 ZINC001160890780 802042836 /nfs/dbraw/zinc/04/28/36/802042836.db2.gz RBPRAWQHJHGKSQ-AWEZNQCLSA-N 0 1 274.324 0.197 20 30 CCEDMN CO/N=C(\C(=O)N1CCN[C@H](C)C1)c1ccco1 ZINC001161014908 802062359 /nfs/dbraw/zinc/06/23/59/802062359.db2.gz RZZRXIAZQJCMOS-CSCQJOBLSA-N 0 1 251.286 0.450 20 30 CCEDMN C[C@H](C#N)N(C)C(=O)CNC(=O)[C@@H](N)Cc1ccccc1 ZINC001161143712 802101401 /nfs/dbraw/zinc/10/14/01/802101401.db2.gz CLXTUPMJGNUSRQ-YPMHNXCESA-N 0 1 288.351 0.043 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CC[C@@H](Oc2ccnc(OC)c2)C1 ZINC001161394285 802145280 /nfs/dbraw/zinc/14/52/80/802145280.db2.gz LSLGKTMMRIVANB-DOMZBBRYSA-N 0 1 289.335 0.421 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)C[C@@H]2CCC(=O)N2)CC1 ZINC001161477880 802161130 /nfs/dbraw/zinc/16/11/30/802161130.db2.gz INDGBLMXAQHRLN-AWEZNQCLSA-N 0 1 289.379 0.427 20 30 CCEDMN N#CCSCC(=O)NCc1n[nH]c(-c2ccncc2)n1 ZINC001162028815 802267078 /nfs/dbraw/zinc/26/70/78/802267078.db2.gz KNODVIUAEPZYSP-UHFFFAOYSA-N 0 1 288.336 0.740 20 30 CCEDMN N#Cc1c[nH]nc1NC(=O)CCCCc1cn[nH]n1 ZINC001162744101 802411042 /nfs/dbraw/zinc/41/10/42/802411042.db2.gz UVAFPMSRMUOXIT-UHFFFAOYSA-N 0 1 259.273 0.751 20 30 CCEDMN N#CCCCC(=O)NCc1n[nH]c([C@@H]2CCCO2)n1 ZINC001163599006 802575562 /nfs/dbraw/zinc/57/55/62/802575562.db2.gz PISUWVXQPWWEQW-VIFPVBQESA-N 0 1 263.301 0.966 20 30 CCEDMN Cc1nn[n-]c1C(=O)NCCC[NH2+]CC#Cc1ccccc1 ZINC001163740300 802602629 /nfs/dbraw/zinc/60/26/29/802602629.db2.gz RHCMQJQLDFPYAN-UHFFFAOYSA-N 0 1 297.362 0.874 20 30 CCEDMN N#CCc1cc(NCCCc2c[nH][nH]c2=O)ccn1 ZINC001164397051 802737331 /nfs/dbraw/zinc/73/73/31/802737331.db2.gz XTEQWBRVRHGRDT-JTQLQIEISA-N 0 1 257.297 0.493 20 30 CCEDMN COc1nc(N=C(NC#N)c2ccncc2)nc2nc[nH]c21 ZINC001164506075 802751400 /nfs/dbraw/zinc/75/14/00/802751400.db2.gz XMSIXFSQXBVTRN-UHFFFAOYSA-N 0 1 294.278 0.905 20 30 CCEDMN COCCCN1CCN(c2nc(C)ncc2C#N)CC1 ZINC001165097654 802781793 /nfs/dbraw/zinc/78/17/93/802781793.db2.gz MYURNOKLWCSFPO-UHFFFAOYSA-N 0 1 275.356 0.815 20 30 CCEDMN Cc1nc(C#N)cnc1N1CC(N(C)[C@@H]2CCOC2)C1 ZINC001165205390 802796020 /nfs/dbraw/zinc/79/60/20/802796020.db2.gz ODSZIMWRMCQFPP-GFCCVEGCSA-N 0 1 273.340 0.566 20 30 CCEDMN C#Cc1cncc(C(=O)NCCCNCc2nncn2C)c1 ZINC001166299487 802898802 /nfs/dbraw/zinc/89/88/02/802898802.db2.gz SEWWHFXDSFARAJ-UHFFFAOYSA-N 0 1 298.350 0.101 20 30 CCEDMN Cc1cc(F)ccc1Cn1c(=O)[nH]cc(C#N)c1=O ZINC001166383337 802903891 /nfs/dbraw/zinc/90/38/91/802903891.db2.gz JDAZUOOVRWHOAJ-UHFFFAOYSA-N 0 1 259.240 0.904 20 30 CCEDMN N#Cc1cncc(N2CCC(NC(=O)C(F)(F)F)CC2)n1 ZINC001166896109 802973738 /nfs/dbraw/zinc/97/37/38/802973738.db2.gz FPLSUCNVSNASCI-UHFFFAOYSA-N 0 1 299.256 0.996 20 30 CCEDMN N#Cc1nccnc1N1CCC(NC(=O)C(F)(F)F)CC1 ZINC001166894950 802974159 /nfs/dbraw/zinc/97/41/59/802974159.db2.gz MVZJIJGWHBXNQY-UHFFFAOYSA-N 0 1 299.256 0.996 20 30 CCEDMN C=CCOCCN1C[C@@H](C)[C@H](NC(=O)C(F)F)C1 ZINC001205698595 803159398 /nfs/dbraw/zinc/15/93/98/803159398.db2.gz ZCZWIHXFATXNRX-NXEZZACHSA-N 0 1 262.300 0.891 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)COC)C1 ZINC001270611366 813836598 /nfs/dbraw/zinc/83/65/98/813836598.db2.gz JVKMBKZDPHHYCI-GFCCVEGCSA-N 0 1 256.346 0.416 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCc2ncc[nH]2)C1 ZINC001206919373 803309054 /nfs/dbraw/zinc/30/90/54/803309054.db2.gz RABWYLIFMDLWDK-CHWSQXEVSA-N 0 1 274.368 0.802 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)C(C)(C)C(N)=O)C1 ZINC001207628650 803426805 /nfs/dbraw/zinc/42/68/05/803426805.db2.gz JWVBKFFQBKKKQG-NXEZZACHSA-N 0 1 253.346 0.120 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCOC[C@H]2CCCO2)C1 ZINC001207826331 803454305 /nfs/dbraw/zinc/45/43/05/803454305.db2.gz KVDJTDOESGBQTK-RBSFLKMASA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCOCC[N@H+](C)CCN(C)C(=O)c1[nH]nnc1C ZINC001272080632 814938313 /nfs/dbraw/zinc/93/83/13/814938313.db2.gz QAQPWXJTBORRSL-UHFFFAOYSA-N 0 1 281.360 0.320 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)COCC(F)F)C1 ZINC001208088184 803488871 /nfs/dbraw/zinc/48/88/71/803488871.db2.gz QIBZFRDILXGIBV-GHMZBOCLSA-N 0 1 274.311 0.728 20 30 CCEDMN C=CCOCCN1C[C@@H](C)[C@H](NC(=O)c2cnco2)C1 ZINC001208242125 803498986 /nfs/dbraw/zinc/49/89/86/803498986.db2.gz BUQGXYAXYLGWGD-VXGBXAGGSA-N 0 1 279.340 0.927 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](C)OC)[C@H](OC)C1 ZINC001211949097 814941995 /nfs/dbraw/zinc/94/19/95/814941995.db2.gz BXOSMLMBXGREJO-QJPTWQEYSA-N 0 1 256.346 0.413 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2cc(C)on2)C1 ZINC001208564985 803523352 /nfs/dbraw/zinc/52/33/52/803523352.db2.gz NYUYPSCIPHERJV-BXUZGUMPSA-N 0 1 275.352 0.985 20 30 CCEDMN N#CCCN1CCN(CCCC2OCCO2)CC1 ZINC001209311747 803593065 /nfs/dbraw/zinc/59/30/65/803593065.db2.gz GSRWMCPCRZWKDZ-UHFFFAOYSA-N 0 1 253.346 0.671 20 30 CCEDMN CCOC(=O)CN(CCC#N)Cc1cn[nH]c1C ZINC001209558905 803612184 /nfs/dbraw/zinc/61/21/84/803612184.db2.gz NWCRKFVXXAQCNQ-UHFFFAOYSA-N 0 1 250.302 0.997 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H](C)COC)C1 ZINC001209896409 803633861 /nfs/dbraw/zinc/63/38/61/803633861.db2.gz LKYILRLUWFKERD-RBSFLKMASA-N 0 1 296.411 0.745 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCCCC(N)=O)C1 ZINC001210180004 803641059 /nfs/dbraw/zinc/64/10/59/803641059.db2.gz WCXLWQUFZSUYCI-CHWSQXEVSA-N 0 1 279.384 0.492 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)CCC(=O)NCCC)C1 ZINC001210404107 803649003 /nfs/dbraw/zinc/64/90/03/803649003.db2.gz KUDZBHDJOWUWLE-ZIAGYGMSSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001210447420 803650560 /nfs/dbraw/zinc/65/05/60/803650560.db2.gz ASTCJCVEZCHJAW-MRVWCRGKSA-N 0 1 279.384 0.217 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](CC(C)C)NC(C)=O)C1 ZINC001210454516 803650728 /nfs/dbraw/zinc/65/07/28/803650728.db2.gz AQLOCGOYORAZMK-VHDGCEQUSA-N 0 1 293.411 0.607 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CN(CC)C[C@H]1OC ZINC001213963868 803707421 /nfs/dbraw/zinc/70/74/21/803707421.db2.gz NBAYZTDYTPPYFH-VXGBXAGGSA-N 0 1 256.346 0.414 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cccn3nnnc23)[C@H]1C ZINC001088591303 814958720 /nfs/dbraw/zinc/95/87/20/814958720.db2.gz XLIXOEFCTKVSTF-YPMHNXCESA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)COCCC)[C@H](OC)C1 ZINC001211983541 814960922 /nfs/dbraw/zinc/96/09/22/814960922.db2.gz ILCMRAQPMMAFHE-CHWSQXEVSA-N 0 1 268.357 0.252 20 30 CCEDMN C=CCCC(=O)N1CCO[C@@H]2CN(C[C@@H](C)OC)C[C@@H]21 ZINC001217504138 803892829 /nfs/dbraw/zinc/89/28/29/803892829.db2.gz UAAZZZWROCRGQP-HZSPNIEDSA-N 0 1 282.384 0.899 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)CO[C@@H](C)CC)[C@H]2C1 ZINC001217660803 803900373 /nfs/dbraw/zinc/90/03/73/803900373.db2.gz ZVNQKJQOFCQMLK-SOUVJXGZSA-N 0 1 294.395 0.736 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1Oc1ccc(Cl)cc1CNN ZINC001218199378 803936028 /nfs/dbraw/zinc/93/60/28/803936028.db2.gz FDNDITMONLSLQK-BXKDBHETSA-N 0 1 266.732 0.794 20 30 CCEDMN C=CCOCCN1C[C@H]2OCCN(C(=O)[C@H]3C[C@@H]3C)[C@H]2C1 ZINC001218447078 803958514 /nfs/dbraw/zinc/95/85/14/803958514.db2.gz GOLXOWYIDMOCBF-ZQDZILKHSA-N 0 1 294.395 0.757 20 30 CCEDMN CO[C@@H]1CN(CC=C(C)C)C[C@H]1NC(=O)[C@@H](C)C#N ZINC001212041228 814983950 /nfs/dbraw/zinc/98/39/50/814983950.db2.gz JCIJRYSKXWBCOQ-YNEHKIRRSA-N 0 1 265.357 0.928 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](NC(=O)c2ccc3nn[n-]c3n2)[C@H]1C ZINC001088607744 814989494 /nfs/dbraw/zinc/98/94/94/814989494.db2.gz OMNLTFGVIADRPR-MNOVXSKESA-N 0 1 298.350 0.569 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc3n[nH]nc3n2)[C@H]1C ZINC001088607744 814989508 /nfs/dbraw/zinc/98/95/08/814989508.db2.gz OMNLTFGVIADRPR-MNOVXSKESA-N 0 1 298.350 0.569 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)C[C@@H](C)COC)[C@H]2C1 ZINC001218880438 804145989 /nfs/dbraw/zinc/14/59/89/804145989.db2.gz FVSKTNLQBXOLPA-QLFBSQMISA-N 0 1 294.395 0.594 20 30 CCEDMN C[C@]1(C(=O)N([O-])C(=N)c2ccccc2N)CC[NH2+]C1 ZINC001218967363 804167587 /nfs/dbraw/zinc/16/75/87/804167587.db2.gz BNDOICOSCNKRMM-ZDUSSCGKSA-N 0 1 262.313 0.812 20 30 CCEDMN N=C(c1ccccc1N)N([O-])C(=O)[C@H]1CC[NH2+]C[C@@H]1F ZINC001218967518 804168252 /nfs/dbraw/zinc/16/82/52/804168252.db2.gz CSVYGKVFQVRQMP-WPRPVWTQSA-N 0 1 280.303 0.760 20 30 CCEDMN N#Cc1cc(N)c(NC(=O)[C@@H]([NH3+])Cc2c[nH]cn2)cc1C#N ZINC001218986753 804175160 /nfs/dbraw/zinc/17/51/60/804175160.db2.gz CJLQCUQNZHXHLA-LBPRGKRZSA-N 0 1 295.306 0.244 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)/C=C\C(C)(C)C)[C@@H](O)C1 ZINC001219376964 804290759 /nfs/dbraw/zinc/29/07/59/804290759.db2.gz IYQJCDYBEYVLGA-PBWNMSGQSA-N 0 1 252.358 0.936 20 30 CCEDMN C#CCCCCC(=O)N[C@@H]1CN(CCC[C@@H](C)O)C[C@@H]1O ZINC001219490541 804328966 /nfs/dbraw/zinc/32/89/66/804328966.db2.gz MKAXOVGKCVFWLW-KFWWJZLASA-N 0 1 296.411 0.502 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C=C/c2ccc[nH]2)[C@@H](O)C1 ZINC001219519649 804336341 /nfs/dbraw/zinc/33/63/41/804336341.db2.gz VZIBYJQSMFXCBM-AFJNRPGYSA-N 0 1 273.336 0.213 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2(CCOC)CC2)[C@@H](O)C1 ZINC001219772654 804419772 /nfs/dbraw/zinc/41/97/72/804419772.db2.gz TUWHGYPXAIWTFK-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](C)CC(F)(F)F)[C@@H](O)C1 ZINC001220053337 804486942 /nfs/dbraw/zinc/48/69/42/804486942.db2.gz HGUNDGWAQOGXCK-MXWKQRLJSA-N 0 1 292.301 0.760 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(CCCOC)C[C@@H]2O)CCC1 ZINC001220280913 804560467 /nfs/dbraw/zinc/56/04/67/804560467.db2.gz YNXZYPWCSBECNQ-KGLIPLIRSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCCC1(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@@H]2O)CC1 ZINC001220289853 804563189 /nfs/dbraw/zinc/56/31/89/804563189.db2.gz FKBKVBDXTVJCSB-UPJWGTAASA-N 0 1 282.384 0.275 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@@](C)(C=C)CC)[C@@H](O)C1 ZINC001220293615 804564527 /nfs/dbraw/zinc/56/45/27/804564527.db2.gz NOXRWPBBRVQIAT-YCPHGPKFSA-N 0 1 296.411 0.953 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H](C)n2ccnc2)[C@@H](O)C1 ZINC001220441956 804606174 /nfs/dbraw/zinc/60/61/74/804606174.db2.gz UFBHVKGQXQJIRC-MCIONIFRSA-N 0 1 290.367 0.019 20 30 CCEDMN C#C[C@@H](C)NC(=O)[C@@H]([NH3+])CCCC[N-]C(=O)C(F)(F)F ZINC001220458296 804608376 /nfs/dbraw/zinc/60/83/76/804608376.db2.gz MPJSUWQJEMBCDA-BDAKNGLRSA-N 0 1 293.289 0.300 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H](CC)CCC)[C@@H](O)C1 ZINC001220632804 804640343 /nfs/dbraw/zinc/64/03/43/804640343.db2.gz KHUZXOWKQGTICJ-MCIONIFRSA-N 0 1 266.385 0.997 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1ccn(CC(F)(F)F)n1 ZINC001221107949 804732479 /nfs/dbraw/zinc/73/24/79/804732479.db2.gz MROLKXMLTXFBHV-JTQLQIEISA-N 0 1 274.246 0.412 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCCn2ccnc2)[C@@H](O)C1 ZINC001221161282 804737866 /nfs/dbraw/zinc/73/78/66/804737866.db2.gz FKYLRAKEZILRPV-KGLIPLIRSA-N 0 1 292.383 0.401 20 30 CCEDMN C#CCCC1(CCNC(=O)[C@@H]2CCCN2C(=O)CN)N=N1 ZINC001221407551 804808517 /nfs/dbraw/zinc/80/85/17/804808517.db2.gz CHYPXHNIERQNQC-NSHDSACASA-N 0 1 291.355 0.018 20 30 CCEDMN C#C[C@](C)(N)C(=O)N[C@@H](c1cccc(N)n1)C(C)C ZINC001221406988 804808857 /nfs/dbraw/zinc/80/88/57/804808857.db2.gz YQULBYZVJKUIDL-OCCSQVGLSA-N 0 1 260.341 0.828 20 30 CCEDMN COCC#CCN1CC[C@@H]2CCN(C(=O)COC)C[C@@H]21 ZINC001222155535 804874731 /nfs/dbraw/zinc/87/47/31/804874731.db2.gz KIDRQOULCSTNJM-KGLIPLIRSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H]2CCN(C(=O)CC)C[C@@H]21 ZINC001222152770 804875305 /nfs/dbraw/zinc/87/53/05/804875305.db2.gz ZZCKUZKVXGOSQD-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(CNC(=O)CC)CC1 ZINC001222208176 804882902 /nfs/dbraw/zinc/88/29/02/804882902.db2.gz SSHCNQWTKAWWED-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C=CCNC(=O)CN1CCC(CNC(=O)CCOC)CC1 ZINC001222208155 804883300 /nfs/dbraw/zinc/88/33/00/804883300.db2.gz SIIKDOKNGXXCTH-UHFFFAOYSA-N 0 1 297.399 0.153 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CC[C@H]2CC[N@@H+](CCO)[C@H]2C1 ZINC001222397436 804897523 /nfs/dbraw/zinc/89/75/23/804897523.db2.gz AWFPDJHSRIHQHF-KFWWJZLASA-N 0 1 296.411 0.883 20 30 CCEDMN C#CCCCCC(=O)NCC1CCN(CC(N)=O)CC1 ZINC001222907478 804938669 /nfs/dbraw/zinc/93/86/69/804938669.db2.gz OHNJXTZGGXXAAD-UHFFFAOYSA-N 0 1 279.384 0.494 20 30 CCEDMN C#CCCCC(=O)NCC1CCN(CC(=O)NCC)CC1 ZINC001222987000 804944754 /nfs/dbraw/zinc/94/47/54/804944754.db2.gz FWUJEIXDVUFNJX-UHFFFAOYSA-N 0 1 293.411 0.754 20 30 CCEDMN C#CCO[C@H](C)C(=O)NCC1CCN(CCF)CC1 ZINC001223183124 804959269 /nfs/dbraw/zinc/95/92/69/804959269.db2.gz SMIFABKRABVDFJ-GFCCVEGCSA-N 0 1 270.348 0.822 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC1CCN(CCF)CC1 ZINC001223183127 804959415 /nfs/dbraw/zinc/95/94/15/804959415.db2.gz SMIFABKRABVDFJ-LBPRGKRZSA-N 0 1 270.348 0.822 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)NC[C@H]1CCN1CC#N ZINC001276939107 805002326 /nfs/dbraw/zinc/00/23/26/805002326.db2.gz CZWOCEMJXKWVPI-ZYHUDNBSSA-N 0 1 261.329 0.302 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)COCc1ccncc1 ZINC001276946650 805009865 /nfs/dbraw/zinc/00/98/65/805009865.db2.gz AMWDMDPCOSFKEO-HNNXBMFYSA-N 0 1 287.363 0.812 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccnn2CCOC)[C@H]1C ZINC001088647951 815048425 /nfs/dbraw/zinc/04/84/25/815048425.db2.gz ULBORYKIMFNYKI-OLZOCXBDSA-N 0 1 292.383 0.908 20 30 CCEDMN CCOC(=O)C[C@@H](CC#N)OCCN1CCN(C)CC1 ZINC001224551954 805053137 /nfs/dbraw/zinc/05/31/37/805053137.db2.gz MMHAFXQTINVBJZ-CYBMUJFWSA-N 0 1 283.372 0.486 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CCNC(=O)C2)[C@H]1C ZINC001088657407 815051377 /nfs/dbraw/zinc/05/13/77/815051377.db2.gz DHGVOHMJKYVKEL-TYNCELHUSA-N 0 1 277.368 0.115 20 30 CCEDMN Cc1nc(CN2CCC(NC(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001226640353 805260417 /nfs/dbraw/zinc/26/04/17/805260417.db2.gz MMXHQQCLVHIOLQ-SECBINFHSA-N 0 1 276.344 0.353 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCCNCc1cn(C)nn1 ZINC001277147361 805266556 /nfs/dbraw/zinc/26/65/56/805266556.db2.gz DBZGNJXRQPGYFX-QWRGUYRKSA-N 0 1 278.360 0.349 20 30 CCEDMN C#C[C@@H](Oc1cc(=O)[nH]c(OC)n1)C(=O)OCC ZINC001227000467 805307782 /nfs/dbraw/zinc/30/77/82/805307782.db2.gz KIRJNRGTTCDJKI-SSDOTTSWSA-N 0 1 252.226 0.135 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC1CCN(CC#CC)CC1 ZINC001227341960 805341530 /nfs/dbraw/zinc/34/15/30/805341530.db2.gz FVYPAKNRWIYCCZ-ZDUSSCGKSA-N 0 1 262.353 0.629 20 30 CCEDMN C#CCNC1(CNC(=O)C(=O)Nc2ccc(C)cc2)CC1 ZINC001277245663 805398250 /nfs/dbraw/zinc/39/82/50/805398250.db2.gz IWKGUQQBSRRMQX-UHFFFAOYSA-N 0 1 285.347 0.805 20 30 CCEDMN C#CCN1CCC(NC(=O)CCc2nc(C)no2)CC1 ZINC001228535234 805454329 /nfs/dbraw/zinc/45/43/29/805454329.db2.gz SJIFKIJOYTWVEZ-UHFFFAOYSA-N 0 1 276.340 0.524 20 30 CCEDMN CN(C)CC#CCNC(=O)N[C@H]1CCCSC1 ZINC001229111293 805503753 /nfs/dbraw/zinc/50/37/53/805503753.db2.gz QBHATXSPOCVWGC-NSHDSACASA-N 0 1 255.387 0.746 20 30 CCEDMN CC#CCCCC(=O)NC1CCN([C@H](C)C(=O)NC)CC1 ZINC001228779907 805478934 /nfs/dbraw/zinc/47/89/34/805478934.db2.gz VDDVMFYIUCSTBO-CYBMUJFWSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H](C)Cc2cnn(C)c2)C1 ZINC001278434362 807176376 /nfs/dbraw/zinc/17/63/76/807176376.db2.gz QBGDFAQWRKSYLU-CJNGLKHVSA-N 0 1 288.395 0.813 20 30 CCEDMN C#Cc1cccc(CN[C@H]2CN[C@H](C(=O)OC)C2)c1 ZINC001246262154 807200833 /nfs/dbraw/zinc/20/08/33/807200833.db2.gz HVGVJNJOACTZCO-KGLIPLIRSA-N 0 1 258.321 0.661 20 30 CCEDMN Cc1n[nH]cc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001248679546 807519598 /nfs/dbraw/zinc/51/95/98/807519598.db2.gz OTFJCPWQRCEGQP-UHFFFAOYSA-N 0 1 250.287 0.149 20 30 CCEDMN N#CCNC[C@H]1CC[C@H](NC(=O)CCc2cnc[nH]2)C1 ZINC001278566454 807597716 /nfs/dbraw/zinc/59/77/16/807597716.db2.gz XQCIXFJBWBEHLR-RYUDHWBXSA-N 0 1 275.356 0.740 20 30 CCEDMN C#CCOC[C@H](O)CN1CCCC[C@H]1C(=O)NCCC ZINC001251848099 807718469 /nfs/dbraw/zinc/71/84/69/807718469.db2.gz TYGAMOOXSDFIRZ-KGLIPLIRSA-N 0 1 282.384 0.378 20 30 CCEDMN C#CCOC[C@@H](O)CNc1cccc2ncn(C)c21 ZINC001251871574 807729147 /nfs/dbraw/zinc/72/91/47/807729147.db2.gz NCFCOWGPKKCNOS-NSHDSACASA-N 0 1 259.309 0.996 20 30 CCEDMN C#CCOC[C@@H](O)CNCc1ccc(Cl)cn1 ZINC001251887858 807734618 /nfs/dbraw/zinc/73/46/18/807734618.db2.gz LAKPUEAWDMKEBM-LBPRGKRZSA-N 0 1 254.717 0.835 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCC[C@@H](NC(=O)OCC=C)C1 ZINC001252471679 807883181 /nfs/dbraw/zinc/88/31/81/807883181.db2.gz GHSQXRLKYVENEC-KGLIPLIRSA-N 0 1 298.383 0.927 20 30 CCEDMN C=C[C@@](C)(O)CN1CCN(c2ncc(C)cn2)CC1 ZINC001252558644 807910800 /nfs/dbraw/zinc/91/08/00/807910800.db2.gz YRMLOVJIZFROPG-CQSZACIVSA-N 0 1 262.357 0.844 20 30 CCEDMN C=C[C@](C)(O)CN[C@@H](c1ccccc1)[C@@H](O)C(=O)OC ZINC001252573884 807913479 /nfs/dbraw/zinc/91/34/79/807913479.db2.gz KAQXZGBNTOYTID-GUTXKFCHSA-N 0 1 279.336 0.788 20 30 CCEDMN C#Cc1nc(Br)cnc1NS(=O)(=O)CC ZINC001253277363 808042239 /nfs/dbraw/zinc/04/22/39/808042239.db2.gz KECXLGDFEYQKIW-UHFFFAOYSA-N 0 1 290.142 0.982 20 30 CCEDMN C=C[C@H](O)CNc1ccc(N2CCN(C)CC2)c(CO)c1 ZINC001253588779 808094052 /nfs/dbraw/zinc/09/40/52/808094052.db2.gz ALCAAOWJGLEKQW-HNNXBMFYSA-N 0 1 291.395 0.890 20 30 CCEDMN C=C[C@@H](O)CNc1ccc(N2CCN(C)CC2)c(CO)c1 ZINC001253588783 808094605 /nfs/dbraw/zinc/09/46/05/808094605.db2.gz ALCAAOWJGLEKQW-OAHLLOKOSA-N 0 1 291.395 0.890 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cc3cnccc3o2)C1 ZINC001278790849 808250390 /nfs/dbraw/zinc/25/03/90/808250390.db2.gz LGFYHEXEUQBWPQ-MRXNPFEDSA-N 0 1 299.330 0.628 20 30 CCEDMN CC1(C)CN([C@@H]2CC[C@@H](C#N)C2)CCN1CC(N)=O ZINC001254671241 808271800 /nfs/dbraw/zinc/27/18/00/808271800.db2.gz QAUKHASKDZNDMB-VXGBXAGGSA-N 0 1 264.373 0.560 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1ncoc1C1CC1 ZINC001278878422 808418564 /nfs/dbraw/zinc/41/85/64/808418564.db2.gz NYHOSFPVCCOOFA-GFCCVEGCSA-N 0 1 291.351 0.550 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)c1ccnc(C)n1 ZINC001278882313 808424981 /nfs/dbraw/zinc/42/49/81/808424981.db2.gz DTGXJJBGMUDWLQ-ZDUSSCGKSA-N 0 1 290.367 0.173 20 30 CCEDMN C#CCN1CC(O)(CNC(=O)[C@H]2CCCCN2C(C)C)C1 ZINC001278910927 808470652 /nfs/dbraw/zinc/47/06/52/808470652.db2.gz NRJSHHIKCDVUJD-CQSZACIVSA-N 0 1 293.411 0.045 20 30 CCEDMN C[C@H](O)[C@H](NC(=O)NCC#CCN(C)C)c1ccccc1 ZINC001256584487 808537498 /nfs/dbraw/zinc/53/74/98/808537498.db2.gz DQKWHWJZGZAIGD-ZFWWWQNUSA-N 0 1 289.379 0.973 20 30 CCEDMN COC(=O)[C@@H]1CC[C@@H](N2CC(CC#N)C2)C[C@H]1C(=O)OC ZINC001257734924 808632784 /nfs/dbraw/zinc/63/27/84/808632784.db2.gz USRCHGHEHNQMNV-JHJVBQTASA-N 0 1 294.351 0.963 20 30 CCEDMN O=C(C#CC1CC1)N1CCN(CC[C@H]2CCOC2)CC1 ZINC001258291776 808669114 /nfs/dbraw/zinc/66/91/14/808669114.db2.gz GSNDYVHFAPPDFX-HNNXBMFYSA-N 0 1 276.380 0.971 20 30 CCEDMN COC(=O)CS(=O)(=O)Nc1ccc(C#N)cc1C ZINC001259016811 808716002 /nfs/dbraw/zinc/71/60/02/808716002.db2.gz BEHZWRCCFIEAHD-UHFFFAOYSA-N 0 1 268.294 0.781 20 30 CCEDMN C#Cc1cc(=NS(=O)(=O)c2cnn(CC)c2)cc[nH]1 ZINC001259832170 808782596 /nfs/dbraw/zinc/78/25/96/808782596.db2.gz JMAVBMLTOIFWHS-UHFFFAOYSA-N 0 1 276.321 0.502 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CCNC(=O)CCc1nc[nH]n1 ZINC001076082871 815239940 /nfs/dbraw/zinc/23/99/40/815239940.db2.gz DPSQCOXXTFZPGG-NSHDSACASA-N 0 1 293.371 0.715 20 30 CCEDMN COCC#CC(=O)N1CCC(c2n[nH]c(=O)o2)CC1 ZINC001261739935 809071588 /nfs/dbraw/zinc/07/15/88/809071588.db2.gz LHDYUPQRJDWNOA-UHFFFAOYSA-N 0 1 265.269 0.131 20 30 CCEDMN CC#CC(=O)N1CCc2nc[nH]c2[C@H]1c1ccnn1C ZINC001261878162 809117598 /nfs/dbraw/zinc/11/75/98/809117598.db2.gz FHYJZNGDGVVBFW-CQSZACIVSA-N 0 1 269.308 0.641 20 30 CCEDMN COCC#CC(=O)N1CCc2nc[nH]c2[C@@H]1c1ccnn1C ZINC001261878874 809117913 /nfs/dbraw/zinc/11/79/13/809117913.db2.gz YREFQYOMPWYQDU-HNNXBMFYSA-N 0 1 299.334 0.267 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cnc4cccnn34)[C@@H]2C1 ZINC001076334841 815278123 /nfs/dbraw/zinc/27/81/23/815278123.db2.gz MUBYTLRJFIMVNU-GXTWGEPZSA-N 0 1 295.346 0.509 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cncc(OC)n3)[C@@H]2C1 ZINC001076327020 815278285 /nfs/dbraw/zinc/27/82/85/815278285.db2.gz FGRKUGPPMBDVQM-WCQYABFASA-N 0 1 288.351 0.818 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CCN(C(=O)CCc3cn[nH]c3)[C@@H]2C1 ZINC001076341598 815279437 /nfs/dbraw/zinc/27/94/37/815279437.db2.gz YLQPWEKAHWFMLA-LSDHHAIUSA-N 0 1 286.379 0.898 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)CCc3cn[nH]c3)[C@@H]2C1 ZINC001076341598 815279441 /nfs/dbraw/zinc/27/94/41/815279441.db2.gz YLQPWEKAHWFMLA-LSDHHAIUSA-N 0 1 286.379 0.898 20 30 CCEDMN COCC[C@@H]1CNCCN1C(=O)NCC(C)(C)C#N ZINC001262580686 809355412 /nfs/dbraw/zinc/35/54/12/809355412.db2.gz WWXYVBBPTQIAJH-LLVKDONJSA-N 0 1 268.361 0.556 20 30 CCEDMN N#Cc1csc(CNC(=O)N2CCNCC2)n1 ZINC001262600274 809369509 /nfs/dbraw/zinc/36/95/09/809369509.db2.gz WNWQNRQVMKKLKK-UHFFFAOYSA-N 0 1 251.315 0.130 20 30 CCEDMN C#CCNCC(=O)N(C)Cc1nccn1C(F)F ZINC001263095468 809440192 /nfs/dbraw/zinc/44/01/92/809440192.db2.gz MXNKGTKFZRGVEX-UHFFFAOYSA-N 0 1 256.256 0.459 20 30 CCEDMN Cn1nc(C(=O)N[C@@H]2CNC[C@H]2C#N)cc1C(F)F ZINC001263105262 809446475 /nfs/dbraw/zinc/44/64/75/809446475.db2.gz IZFXGKJLNNMFSE-HTRCEHHLSA-N 0 1 269.255 0.199 20 30 CCEDMN C#CCNCC(=O)N1CCO[C@H](c2ccc(OC)cc2)C1 ZINC001263176052 809470987 /nfs/dbraw/zinc/47/09/87/809470987.db2.gz TZVCMOLTQDQCRM-HNNXBMFYSA-N 0 1 288.347 0.818 20 30 CCEDMN CN(C)C1CN(C(=O)c2cccc(C#CCO)c2)C1 ZINC000382673736 809552333 /nfs/dbraw/zinc/55/23/33/809552333.db2.gz XXQWDAVAXDTENS-UHFFFAOYSA-N 0 1 258.321 0.416 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001263911667 809605455 /nfs/dbraw/zinc/60/54/55/809605455.db2.gz PEOFNVJSCHELOV-GFCCVEGCSA-N 0 1 292.387 0.489 20 30 CCEDMN CCO[C@@H]1CN(C(=O)[C@@H]2CCCN2C)C[C@H]1CNCC#N ZINC001263963585 809614130 /nfs/dbraw/zinc/61/41/30/809614130.db2.gz IOOPDFCCIXIVPR-HZSPNIEDSA-N 0 1 294.399 0.057 20 30 CCEDMN C=CCCC(=O)NC[C@@]1(CO)COC[C@@H]2CCCN21 ZINC001263994104 809619764 /nfs/dbraw/zinc/61/97/64/809619764.db2.gz FZNDSWLYSQJZND-GXTWGEPZSA-N 0 1 268.357 0.295 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)c2ccnnc2)C1 ZINC001264078090 809627794 /nfs/dbraw/zinc/62/77/94/809627794.db2.gz BVUQJLFUEFCFDE-CQSZACIVSA-N 0 1 288.351 0.009 20 30 CCEDMN C=CCN(CC)CCCNC(=O)CS(C)(=O)=O ZINC001265101543 809707615 /nfs/dbraw/zinc/70/76/15/809707615.db2.gz QDCWJOBKFVAWRQ-UHFFFAOYSA-N 0 1 262.375 0.045 20 30 CCEDMN CCNC(=O)CN(CC)CCCNC(=O)[C@@H](C)C#N ZINC001265105956 809705520 /nfs/dbraw/zinc/70/55/20/809705520.db2.gz BYMOTJCQAHYBTA-NSHDSACASA-N 0 1 268.361 0.110 20 30 CCEDMN C[C@H](NCC#N)[C@H]1CCCCN1C(=O)C1=NC(=O)N(C)C1 ZINC001265089896 809705882 /nfs/dbraw/zinc/70/58/82/809705882.db2.gz SZTZAWQYWIVJDU-CMPLNLGQSA-N 0 1 291.355 0.622 20 30 CCEDMN C=CCN1CCN(CCCNC(=O)C2(COC)CC2)CC1 ZINC001265246554 809731187 /nfs/dbraw/zinc/73/11/87/809731187.db2.gz ZZUZUWWQJWMMGX-UHFFFAOYSA-N 0 1 295.427 0.723 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@]2(C)CCCOC2)CC1 ZINC001265264972 809734555 /nfs/dbraw/zinc/73/45/55/809734555.db2.gz KTMXCQGXFPMLDW-MRXNPFEDSA-N 0 1 295.427 0.723 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)[C@@H]2CCCO2)C1 ZINC001265267730 809736367 /nfs/dbraw/zinc/73/63/67/809736367.db2.gz AVXWOAFMZFXIPB-OLZOCXBDSA-N 0 1 268.357 0.559 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)[C@H](C)OCC)C1 ZINC001265270853 809737452 /nfs/dbraw/zinc/73/74/52/809737452.db2.gz NBPGDCKCUMKRLI-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)CCCC(C)=O)C1 ZINC001265270310 809737966 /nfs/dbraw/zinc/73/79/66/809737966.db2.gz CITCEAULJHHSHL-CYBMUJFWSA-N 0 1 250.342 0.960 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)C2(C(=O)NC)CCC2)C1 ZINC001265312384 809755930 /nfs/dbraw/zinc/75/59/30/809755930.db2.gz MMJVSWJEUGZFEQ-GFCCVEGCSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCCCC(=O)N1CCC[C@@H](CN(C)CC(N)=O)C1 ZINC001265394554 809771844 /nfs/dbraw/zinc/77/18/44/809771844.db2.gz DRAFHDPDNNHMEJ-ZDUSSCGKSA-N 0 1 281.400 0.998 20 30 CCEDMN C[C@H](CNC(=O)CSCC#N)NCc1ccns1 ZINC001265755470 809839709 /nfs/dbraw/zinc/83/97/09/809839709.db2.gz YDCYCLJAGMHFFT-SECBINFHSA-N 0 1 284.410 0.994 20 30 CCEDMN Cc1ncc(CN(C)CCCNC(=O)[C@@H](C)C#N)cn1 ZINC001265815148 809861617 /nfs/dbraw/zinc/86/16/17/809861617.db2.gz POQNJWRXDRULRC-NSHDSACASA-N 0 1 275.356 0.883 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001038504557 815324976 /nfs/dbraw/zinc/32/49/76/815324976.db2.gz ORKMDVVYORNUIH-JTQLQIEISA-N 0 1 286.339 0.733 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@H](NCc2ccn(C)n2)C1 ZINC001265903318 809890904 /nfs/dbraw/zinc/89/09/04/809890904.db2.gz MPZPQRFAACBLFN-JSGCOSHPSA-N 0 1 290.367 0.149 20 30 CCEDMN C[C@H](CCNC(=O)C#CC1CC1)NCc1ncnn1C ZINC001266144410 809947478 /nfs/dbraw/zinc/94/74/78/809947478.db2.gz RCGSDHPWDARXLL-LLVKDONJSA-N 0 1 275.356 0.213 20 30 CCEDMN C[C@H](NC(=O)CN1CCCC1)C1CCN(CC#N)CC1 ZINC001279164173 809971563 /nfs/dbraw/zinc/97/15/63/809971563.db2.gz KWNSSCIEOYVNAI-ZDUSSCGKSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C(C)(C)NC(C)=O ZINC001279588708 809989406 /nfs/dbraw/zinc/98/94/06/809989406.db2.gz UCGBGTSJZYXQTO-ZIAGYGMSSA-N 0 1 291.395 0.600 20 30 CCEDMN C=CCCN1CCOC[C@H]1CNC(=O)CN1CCCC1 ZINC001280422209 810019227 /nfs/dbraw/zinc/01/92/27/810019227.db2.gz VPIZXXYYCNYUJK-CQSZACIVSA-N 0 1 281.400 0.475 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)no2)C1 ZINC001076736418 815358436 /nfs/dbraw/zinc/35/84/36/815358436.db2.gz SHGPYLYZEUDYJI-GHMZBOCLSA-N 0 1 265.313 0.334 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2coc(C)c2)C1 ZINC001076925834 815415872 /nfs/dbraw/zinc/41/58/72/815415872.db2.gz BDILQNWMBJBPBZ-CHWSQXEVSA-N 0 1 264.325 0.939 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)C[C@H](C)COC ZINC001267279509 811087780 /nfs/dbraw/zinc/08/77/80/811087780.db2.gz GLHVDNCOCVOGNE-QWHCGFSZSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)c2cocn2)C1 ZINC001267288958 811101156 /nfs/dbraw/zinc/10/11/56/811101156.db2.gz WNIOFHZQCIBQKA-NSHDSACASA-N 0 1 292.339 0.029 20 30 CCEDMN C=CCCOCC(=O)NC[C@H]1CCN(CCF)C1 ZINC001267309108 811130985 /nfs/dbraw/zinc/13/09/85/811130985.db2.gz JASKWQICYIJPEZ-GFCCVEGCSA-N 0 1 258.337 0.987 20 30 CCEDMN COc1nc(-n2c(C)ncc(CN)c2=N)ccc1C#N ZINC001167672820 811134824 /nfs/dbraw/zinc/13/48/24/811134824.db2.gz WWRPVPWTYVKKGO-UHFFFAOYSA-N 0 1 270.296 0.394 20 30 CCEDMN CCc1nnc(-n2c(C)ncc(CN)c2=N)c(C#N)c1CC ZINC001167672975 811149233 /nfs/dbraw/zinc/14/92/33/811149233.db2.gz DMPPJAWBBPXFRB-UHFFFAOYSA-N 0 1 297.366 0.905 20 30 CCEDMN CC#CCN(CCNC(=O)c1ccn2nnnc2c1)C1CC1 ZINC001267330723 811164770 /nfs/dbraw/zinc/16/47/70/811164770.db2.gz LGWBYPRYVBEVCC-UHFFFAOYSA-N 0 1 298.350 0.342 20 30 CCEDMN COc1ccnc(CNCCN(C)C(=O)[C@H](C)C#N)c1 ZINC001267354912 811202645 /nfs/dbraw/zinc/20/26/45/811202645.db2.gz CBDDMMSSVFXLRA-LLVKDONJSA-N 0 1 276.340 0.798 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CCN(C(=O)C(C)(C)C(N)=O)C[C@H]21 ZINC001042102462 811208730 /nfs/dbraw/zinc/20/87/30/811208730.db2.gz NEGDVEXNCXFYJP-NWDGAFQWSA-N 0 1 277.368 0.054 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)C(C)(C)C(N)=O)C[C@H]21 ZINC001042102462 811208734 /nfs/dbraw/zinc/20/87/34/811208734.db2.gz NEGDVEXNCXFYJP-NWDGAFQWSA-N 0 1 277.368 0.054 20 30 CCEDMN Cc1nnc(CNC[C@@H]2CCCN(C(=O)[C@H](C)C#N)C2)o1 ZINC001023895553 811278522 /nfs/dbraw/zinc/27/85/22/811278522.db2.gz PQKLUVWXSFMBCC-PWSUYJOCSA-N 0 1 291.355 0.866 20 30 CCEDMN COc1ncccc1CNCCNC(=O)c1ccc(C#N)[nH]1 ZINC001125776698 811320801 /nfs/dbraw/zinc/32/08/01/811320801.db2.gz VJMWBOSWOSMRNY-UHFFFAOYSA-N 0 1 299.334 0.810 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@H](CC(C)C)C(N)=O ZINC001267479073 811363549 /nfs/dbraw/zinc/36/35/49/811363549.db2.gz YOIWXGSVGQSSFJ-LLVKDONJSA-N 0 1 289.807 0.935 20 30 CCEDMN C[C@@H](NCC#N)C1CCN(C(=O)CCc2c[nH]nn2)CC1 ZINC001267491547 811371883 /nfs/dbraw/zinc/37/18/83/811371883.db2.gz JLHHOZKUMKFBOJ-LLVKDONJSA-N 0 1 290.371 0.478 20 30 CCEDMN C[C@@H](NCC#N)C1CCN(C(=O)CCc2cnn[nH]2)CC1 ZINC001267491547 811371895 /nfs/dbraw/zinc/37/18/95/811371895.db2.gz JLHHOZKUMKFBOJ-LLVKDONJSA-N 0 1 290.371 0.478 20 30 CCEDMN C=CCN1C[C@@H]2CCN(C(=O)c3cnccn3)[C@@H]2C1 ZINC001075504020 811395934 /nfs/dbraw/zinc/39/59/34/811395934.db2.gz RAAIAEUXELAJGO-WCQYABFASA-N 0 1 258.325 0.809 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cccc(CC)n2)C1 ZINC001077142223 815450665 /nfs/dbraw/zinc/45/06/65/815450665.db2.gz YBEGNNKDTZTHLR-HUUCEWRRSA-N 0 1 287.363 0.442 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc(CC)n2)C1 ZINC001077142223 815450667 /nfs/dbraw/zinc/45/06/67/815450667.db2.gz YBEGNNKDTZTHLR-HUUCEWRRSA-N 0 1 287.363 0.442 20 30 CCEDMN CN(CC#N)C[C@H]1CCN(C(=O)CCc2cnc[nH]2)C1 ZINC001267584220 811483040 /nfs/dbraw/zinc/48/30/40/811483040.db2.gz CKKVXPUYFBLCNN-GFCCVEGCSA-N 0 1 275.356 0.646 20 30 CCEDMN C[C@@H](CNc1ncnc2[nH]cnc21)N(C)C(=O)C#CC1CC1 ZINC001104554820 811485859 /nfs/dbraw/zinc/48/58/59/811485859.db2.gz IQCSPPFGTJGHEZ-JTQLQIEISA-N 0 1 298.350 0.977 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cnc(C3CC3)o2)C1 ZINC001077157027 815452183 /nfs/dbraw/zinc/45/21/83/815452183.db2.gz QGOFSHHLMORVRQ-VXGBXAGGSA-N 0 1 291.351 0.903 20 30 CCEDMN C=C(C)CN1CCN(CCN(C)C(=O)[C@@H](C)C#N)CC1 ZINC001267606059 811545478 /nfs/dbraw/zinc/54/54/78/811545478.db2.gz MISBZVPYPDORHB-AWEZNQCLSA-N 0 1 278.400 0.798 20 30 CCEDMN C=CCOCCCC(=O)N[C@H]1CCCN(CCO)C1 ZINC001267623660 811581673 /nfs/dbraw/zinc/58/16/73/811581673.db2.gz FKXDELFPGIDVCS-ZDUSSCGKSA-N 0 1 270.373 0.542 20 30 CCEDMN CC(C)(C)C#CC(=O)NCC1CN(CCn2cccn2)C1 ZINC001267680976 811647176 /nfs/dbraw/zinc/64/71/76/811647176.db2.gz DTBXHXOVBRBNPQ-UHFFFAOYSA-N 0 1 288.395 0.981 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)C(=O)NCC2CC2)C1 ZINC001267694785 811660733 /nfs/dbraw/zinc/66/07/33/811660733.db2.gz HNBUJOMXVGHZPJ-UHFFFAOYSA-N 0 1 265.357 0.137 20 30 CCEDMN C=CCOCCN1CC[C@H](N(C)C(=O)c2ccnnc2)C1 ZINC001267773329 811773606 /nfs/dbraw/zinc/77/36/06/811773606.db2.gz LYYODJZWXYRWDU-AWEZNQCLSA-N 0 1 290.367 0.826 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)CNC(=O)CC)C1 ZINC001267783915 811783707 /nfs/dbraw/zinc/78/37/07/811783707.db2.gz GCUQOBZADXWNLM-LBPRGKRZSA-N 0 1 265.357 0.069 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)C3CC3)C2)nn1 ZINC001105129686 811850826 /nfs/dbraw/zinc/85/08/26/811850826.db2.gz YPGMMPWJNDSOJS-UHFFFAOYSA-N 0 1 273.340 0.184 20 30 CCEDMN Cn1ccc(CC(=O)NCCNCc2ccccc2C#N)n1 ZINC001126971628 815482512 /nfs/dbraw/zinc/48/25/12/815482512.db2.gz KXFAYADCOYZCCF-UHFFFAOYSA-N 0 1 297.362 0.740 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N1CCC[C@@H]1CNCC#N ZINC001267958395 811894716 /nfs/dbraw/zinc/89/47/16/811894716.db2.gz KWLSLTRHPMXIEM-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)[C@@H]3CC34CC4)C2)nn1 ZINC001105332881 811939163 /nfs/dbraw/zinc/93/91/63/811939163.db2.gz CTKWOURKVJXKFV-AWEZNQCLSA-N 0 1 299.378 0.574 20 30 CCEDMN N#Cc1cc(C(=O)NCCNCc2cncs2)c[nH]1 ZINC001125994869 811972234 /nfs/dbraw/zinc/97/22/34/811972234.db2.gz NLXPRACKJIPMNG-UHFFFAOYSA-N 0 1 275.337 0.862 20 30 CCEDMN C=C(Cl)C[NH2+]CCNC(=O)c1ncccc1[O-] ZINC001126118664 812017370 /nfs/dbraw/zinc/01/73/70/812017370.db2.gz GEZPYQZSDWACRW-UHFFFAOYSA-N 0 1 255.705 0.859 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1c(C)ncn1C ZINC001027948138 812158530 /nfs/dbraw/zinc/15/85/30/812158530.db2.gz ADSINEXPUQEREC-CYBMUJFWSA-N 0 1 274.368 0.946 20 30 CCEDMN C=CC[N@@H+]1CCC[C@@H]1CNC(=O)[C@H]1CN(C)C[C@@H](C)O1 ZINC001027966170 812173968 /nfs/dbraw/zinc/17/39/68/812173968.db2.gz LISBURKKDLAWIR-MGPQQGTHSA-N 0 1 281.400 0.472 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@@H]1CCc2nccn2C1 ZINC001027973681 812183962 /nfs/dbraw/zinc/18/39/62/812183962.db2.gz WWDSFTSKZFQHGE-ZIAGYGMSSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1ccnc(OC)c1 ZINC001027986194 812195611 /nfs/dbraw/zinc/19/56/11/812195611.db2.gz UIDLZGBSGTXOBI-CYBMUJFWSA-N 0 1 273.336 0.918 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](C)OC)[C@@H]2C1 ZINC001075594063 812205613 /nfs/dbraw/zinc/20/56/13/812205613.db2.gz XKUXNYKDFRJWNB-RWMBFGLXSA-N 0 1 250.342 0.577 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cn2cccnc2n1 ZINC001027997272 812205710 /nfs/dbraw/zinc/20/57/10/812205710.db2.gz LTZDPRVWIQVFHW-GFCCVEGCSA-N 0 1 283.335 0.557 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(C(N)=O)cn1C ZINC001027999984 812207846 /nfs/dbraw/zinc/20/78/46/812207846.db2.gz VIHBMIGYRPAZAJ-LBPRGKRZSA-N 0 1 290.367 0.504 20 30 CCEDMN C#CCCN1CCC[C@H]1CNC(=O)c1cn(C)ccc1=O ZINC001028017885 812216179 /nfs/dbraw/zinc/21/61/79/812216179.db2.gz ZWLBBFJMNKNQSM-ZDUSSCGKSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnc2c(c1)ncn2C ZINC001028072868 812248306 /nfs/dbraw/zinc/24/83/06/812248306.db2.gz LAIALTAGOFZCLJ-CYBMUJFWSA-N 0 1 297.362 0.796 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnn2cc(C)cnc12 ZINC001028175256 812330663 /nfs/dbraw/zinc/33/06/63/812330663.db2.gz DTXDHOUYINPOIB-CYBMUJFWSA-N 0 1 297.362 0.865 20 30 CCEDMN C=C(Cl)CN1CCC[C@H]1CNC(=O)c1ncn[nH]1 ZINC001028209419 812374081 /nfs/dbraw/zinc/37/40/81/812374081.db2.gz KGXWMDDQBMRPSZ-VIFPVBQESA-N 0 1 269.736 0.751 20 30 CCEDMN C=C(Cl)CN1CCC[C@H]1CNC(=O)c1nc[nH]n1 ZINC001028209419 812374088 /nfs/dbraw/zinc/37/40/88/812374088.db2.gz KGXWMDDQBMRPSZ-VIFPVBQESA-N 0 1 269.736 0.751 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@]12C[C@H]1COC2 ZINC001268236541 812399138 /nfs/dbraw/zinc/39/91/38/812399138.db2.gz DJJMNBOHRVFQSX-ZFWWWQNUSA-N 0 1 280.368 0.063 20 30 CCEDMN C=CCCN(C)CCN(C)C(=O)[C@@H](C)S(C)(=O)=O ZINC001268239849 812406022 /nfs/dbraw/zinc/40/60/22/812406022.db2.gz GBHZXFGDWQQHNI-LLVKDONJSA-N 0 1 276.402 0.386 20 30 CCEDMN C[C@H]1C[C@@H](CN2CC(NC(=O)CSCC#N)C2)CCO1 ZINC001268245255 812411040 /nfs/dbraw/zinc/41/10/40/812411040.db2.gz DRSNJIIQXFKBIM-RYUDHWBXSA-N 0 1 297.424 0.859 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCC(=O)NC1CCCC1 ZINC001268251702 812417358 /nfs/dbraw/zinc/41/73/58/812417358.db2.gz FLXZFLJKDZNMCV-UHFFFAOYSA-N 0 1 293.411 0.849 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)[C@H](F)C(C)C ZINC001268322092 812485524 /nfs/dbraw/zinc/48/55/24/812485524.db2.gz WRWNHYXLGLKKME-NXEZZACHSA-N 0 1 266.744 0.800 20 30 CCEDMN C#CCCN1CCC[C@@H]1CNC(=O)c1c[nH]c(C)cc1=O ZINC001028241798 812472482 /nfs/dbraw/zinc/47/24/82/812472482.db2.gz ULKSZPRLTZOKBZ-CYBMUJFWSA-N 0 1 287.363 0.901 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1ccc(OC)nn1 ZINC001028244700 812479736 /nfs/dbraw/zinc/47/97/36/812479736.db2.gz JRGJZTYJNZJDJW-GFCCVEGCSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnn2ccc(C)nc12 ZINC001028267100 812532570 /nfs/dbraw/zinc/53/25/70/812532570.db2.gz DMNFHIDZQKIENF-ZDUSSCGKSA-N 0 1 297.362 0.865 20 30 CCEDMN C#CCCNCc1cn(C2CN(C(=O)C(C)(C)C)C2)nn1 ZINC001105365203 812633812 /nfs/dbraw/zinc/63/38/12/812633812.db2.gz KTLRYGIFOFZSSA-UHFFFAOYSA-N 0 1 289.383 0.820 20 30 CCEDMN CCN(CCNCc1ccc(C#N)c(F)c1)C(=O)C(N)=O ZINC001268475502 812634325 /nfs/dbraw/zinc/63/43/25/812634325.db2.gz CXWMUBIADAUNAM-UHFFFAOYSA-N 0 1 292.314 0.121 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCNCc1cnoc1C ZINC001126366067 812682565 /nfs/dbraw/zinc/68/25/65/812682565.db2.gz QIVQTBNEIRTJRN-ZDUSSCGKSA-N 0 1 267.329 0.516 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1conc1CC ZINC001126365633 812683996 /nfs/dbraw/zinc/68/39/96/812683996.db2.gz MDTLOTDJSGNMKN-CQSZACIVSA-N 0 1 281.356 0.770 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2cncnc2C)C1 ZINC001028419836 812692223 /nfs/dbraw/zinc/69/22/23/812692223.db2.gz FTSBCQRQNWMHCG-LBPRGKRZSA-N 0 1 258.325 0.470 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](CNC(=O)c2ncccc2[O-])C1 ZINC001028500268 812778969 /nfs/dbraw/zinc/77/89/69/812778969.db2.gz NXVGLHGYJHDTNA-LLVKDONJSA-N 0 1 259.309 0.472 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001268550393 812791658 /nfs/dbraw/zinc/79/16/58/812791658.db2.gz XUPUPKVXDNHQGM-ZYOFXKKJSA-N 0 1 299.802 0.869 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCN[C@H](C)c1n[nH]c(C)n1 ZINC001126377979 812810964 /nfs/dbraw/zinc/81/09/64/812810964.db2.gz HLDMVCMGNYHLHT-NOZJJQNGSA-N 0 1 281.360 0.207 20 30 CCEDMN N#CCN1CC[C@@H](CNC(=O)[C@@H]2CCCc3[nH]ncc32)C1 ZINC001028536817 812826868 /nfs/dbraw/zinc/82/68/68/812826868.db2.gz YVZLUVOXMJQNST-NWDGAFQWSA-N 0 1 287.367 0.791 20 30 CCEDMN O=C(NC/C=C\CNCC#Cc1ccccc1)c1cnon1 ZINC001268605783 812945012 /nfs/dbraw/zinc/94/50/12/812945012.db2.gz KLBCLLCLBDADFC-PLNGDYQASA-N 0 1 296.330 0.997 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cn1cccn1 ZINC001268637451 812960914 /nfs/dbraw/zinc/96/09/14/812960914.db2.gz FSZVEWZTBIKZFM-SNVBAGLBSA-N 0 1 256.737 0.730 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H](C)CNCc1oc(C)nc1C ZINC001268688575 813011327 /nfs/dbraw/zinc/01/13/27/813011327.db2.gz IYIXCCPPIKODRD-CMPLNLGQSA-N 0 1 293.367 0.924 20 30 CCEDMN C=CCN(C)C[C@H](C)NC(=O)COc1c(C)occc1=O ZINC001268737655 813053360 /nfs/dbraw/zinc/05/33/60/813053360.db2.gz LLYQOWVUYPGJTQ-NSHDSACASA-N 0 1 294.351 0.950 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCNC(=O)C(C)(C)C ZINC001268740388 813058698 /nfs/dbraw/zinc/05/86/98/813058698.db2.gz JPPRAHYCVURROZ-LBPRGKRZSA-N 0 1 281.400 0.609 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H](OC)[C@@H]2CCOC2)C1 ZINC001268859153 813118658 /nfs/dbraw/zinc/11/86/58/813118658.db2.gz XZMMFGPLUWTKCI-CHWSQXEVSA-N 0 1 268.357 0.272 20 30 CCEDMN C/C=C/C(=O)N1Cc2n[nH]c(C(=O)N3CC(CC#N)C3)c2C1 ZINC001268871213 813125404 /nfs/dbraw/zinc/12/54/04/813125404.db2.gz IEDIJYWVEMHOEP-NSCUHMNNSA-N 0 1 299.334 0.814 20 30 CCEDMN N#CC1(C(=O)N2CC[C@H]3C[C@]32C(=O)NCc2cnc[nH]2)CC1 ZINC001269020975 813180948 /nfs/dbraw/zinc/18/09/48/813180948.db2.gz XGBKTOHSDXHYSC-ZUZCIYMTSA-N 0 1 299.334 0.321 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2cc(OC)no2)C1 ZINC001269030073 813184213 /nfs/dbraw/zinc/18/42/13/813184213.db2.gz ZYULHAVKLRHKSG-LBPRGKRZSA-N 0 1 291.351 0.830 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1cc(C)ncn1 ZINC001127045520 815599600 /nfs/dbraw/zinc/59/96/00/815599600.db2.gz CSLPAALKBLMNRC-LBPRGKRZSA-N 0 1 276.340 0.029 20 30 CCEDMN CN1CCC12CN(C(=O)c1n[nH]c3cc(C#N)ccc31)C2 ZINC001269141864 813235221 /nfs/dbraw/zinc/23/52/21/813235221.db2.gz TWBQLNJYLXTITH-UHFFFAOYSA-N 0 1 281.319 0.965 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)c1c[nH]c(=O)n1C ZINC001269273438 813302051 /nfs/dbraw/zinc/30/20/51/813302051.db2.gz XRWACYBLGIWVQW-NSHDSACASA-N 0 1 294.355 0.132 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)COC[C@@H]2CCCO2)C1 ZINC001269301504 813315443 /nfs/dbraw/zinc/31/54/43/813315443.db2.gz LQXLWYCNAYDKQN-KGLIPLIRSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@@H]2CCNC2=O)C1 ZINC001269330399 813329241 /nfs/dbraw/zinc/32/92/41/813329241.db2.gz IMNQXFKUWCIHGI-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CC[C@@H](CNC(=O)[C@@H]1CCCCN1C)NCC#N ZINC001269454194 813376575 /nfs/dbraw/zinc/37/65/75/813376575.db2.gz LPAOSMOESYRYCU-RYUDHWBXSA-N 0 1 252.362 0.479 20 30 CCEDMN CC(C)N1CCO[C@@H](C(=O)NC[C@H]2CCN(CC#N)C2)C1 ZINC001028757811 813446520 /nfs/dbraw/zinc/44/65/20/813446520.db2.gz JVVIVROIERKEEN-ZIAGYGMSSA-N 0 1 294.399 0.057 20 30 CCEDMN C#CCO[C@H](C)C(=O)N1CC[C@]2(CCN(CCF)C2)C1 ZINC001269731011 813484972 /nfs/dbraw/zinc/48/49/72/813484972.db2.gz DYOVLPMIGXBRHE-HIFRSBDPSA-N 0 1 282.359 0.919 20 30 CCEDMN C=CCN1CCC2(CCN(C(=O)[C@H](C)N(C)C)CC2)C1=O ZINC001269797429 813511720 /nfs/dbraw/zinc/51/17/20/813511720.db2.gz XUBSYARPYJILJM-ZDUSSCGKSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCNC(=O)CN1CC[C@]2(C1)CCCCN(C(C)=O)C2 ZINC001270505507 813788570 /nfs/dbraw/zinc/78/85/70/813788570.db2.gz SCQOLPTVWODBFE-INIZCTEOSA-N 0 1 291.395 0.460 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCn2ccnc2C1 ZINC001038425179 813833719 /nfs/dbraw/zinc/83/37/19/813833719.db2.gz YSFFWRGNZPESNZ-ZIAGYGMSSA-N 0 1 286.379 0.659 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H]1CN(CCC2CC2)CCO1 ZINC001270638348 813863281 /nfs/dbraw/zinc/86/32/81/813863281.db2.gz LHWKLRBEVZHMMX-ZBFHGGJFSA-N 0 1 296.411 0.931 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)Cn2ccccc2=O)C1 ZINC001270658228 813876883 /nfs/dbraw/zinc/87/68/83/813876883.db2.gz NZXPWQKNAVIZFE-MRXNPFEDSA-N 0 1 287.363 0.452 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)CCCC(N)=O)C1 ZINC001270701457 813899242 /nfs/dbraw/zinc/89/92/42/813899242.db2.gz TZSICEIQBPIERJ-CYBMUJFWSA-N 0 1 297.399 0.425 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnn2C)[C@H]1CC ZINC001087518029 814049979 /nfs/dbraw/zinc/04/99/79/814049979.db2.gz VHQDRDPXHBOFLA-NWDGAFQWSA-N 0 1 260.341 0.636 20 30 CCEDMN C=CCn1cccc1C(=O)N1C[C@H]2CC[C@@H](C1)N2CCO ZINC001029337846 814076590 /nfs/dbraw/zinc/07/65/90/814076590.db2.gz MMMLHMNIWDJCFZ-OKILXGFUSA-N 0 1 289.379 0.955 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)/C=C\c2ccco2)C1 ZINC001271108357 814154784 /nfs/dbraw/zinc/15/47/84/814154784.db2.gz BKNPMQNDMFXQFA-YVACAVLKSA-N 0 1 274.320 0.479 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnc3n[nH]nc3c2)[C@H]1CC ZINC001087604523 814173680 /nfs/dbraw/zinc/17/36/80/814173680.db2.gz YXDKKDXIACRUQR-WCQYABFASA-N 0 1 298.350 0.569 20 30 CCEDMN CC[C@@H]1[C@@H](NC(=O)CN2CC[C@@H](C)C2)CCN1CC#N ZINC001087726896 814198492 /nfs/dbraw/zinc/19/84/92/814198492.db2.gz WPZWRDXMVLGARB-HZSPNIEDSA-N 0 1 278.400 0.821 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccc(C(N)=O)[nH]2)[C@H]1CC ZINC001087728473 814199901 /nfs/dbraw/zinc/19/99/01/814199901.db2.gz YRCYYRRITKXBHY-GXFFZTMASA-N 0 1 288.351 0.330 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2(F)CCCC2)C1 ZINC001271160708 814253989 /nfs/dbraw/zinc/25/39/89/814253989.db2.gz XPSGLGNUXJFGQR-CYBMUJFWSA-N 0 1 268.332 0.455 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H](C)c2cccnc2)C1 ZINC001271207341 814333424 /nfs/dbraw/zinc/33/34/24/814333424.db2.gz BXQXPQCJKWNWJS-CJNGLKHVSA-N 0 1 287.363 0.371 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)[C@@H]2CCOC2)C1 ZINC001271254786 814354421 /nfs/dbraw/zinc/35/44/21/814354421.db2.gz NDCBEYWKYFMMNW-QWHCGFSZSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCCN1CC(O)(CNC(=O)CCc2cnc[nH]2)C1 ZINC001271298110 814368337 /nfs/dbraw/zinc/36/83/37/814368337.db2.gz UPUFHFKOVZUQHS-UHFFFAOYSA-N 0 1 278.356 0.081 20 30 CCEDMN C=CCC[C@@H](C)N1CC(O)(CNC(=O)Cc2c[nH]cn2)C1 ZINC001271361275 814391448 /nfs/dbraw/zinc/39/14/48/814391448.db2.gz LKKFIJSUMXDEJX-GFCCVEGCSA-N 0 1 292.383 0.470 20 30 CCEDMN C#Cc1ccc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCCO)cn1 ZINC001029558612 814424157 /nfs/dbraw/zinc/42/41/57/814424157.db2.gz NOFJPUXNYHKNMW-IYBDPMFKSA-N 0 1 299.374 0.734 20 30 CCEDMN CC#CC[N@@H+]1CC[C@@H]2CN(C(=O)Cc3nnc[n-]3)C[C@@H]2C1 ZINC001088165977 814431693 /nfs/dbraw/zinc/43/16/93/814431693.db2.gz GJPPIKOGDXNPBQ-OLZOCXBDSA-N 0 1 287.367 0.151 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]2CN(C(=O)Cc3nnc[n-]3)C[C@@H]2C1 ZINC001088165977 814431697 /nfs/dbraw/zinc/43/16/97/814431697.db2.gz GJPPIKOGDXNPBQ-OLZOCXBDSA-N 0 1 287.367 0.151 20 30 CCEDMN C#C[C@@H](Oc1c[nH]c(C(=O)OC)cc1=O)C(=O)OCC ZINC001227786482 814509793 /nfs/dbraw/zinc/50/97/93/814509793.db2.gz SDQPFLQTIWXGLK-SNVBAGLBSA-N 0 1 279.248 0.105 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)C#CC(C)C)C1 ZINC001271956670 814650804 /nfs/dbraw/zinc/65/08/04/814650804.db2.gz FIQJCCJROXDIDT-OAHLLOKOSA-N 0 1 264.369 0.775 20 30 CCEDMN CCO[C@@H]1C[C@H]1C(=O)N(C)CCN(C)CC#CCOC ZINC001271969898 814658009 /nfs/dbraw/zinc/65/80/09/814658009.db2.gz SJZMLMPWLLIUML-ZIAGYGMSSA-N 0 1 282.384 0.451 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)Cc1ccoc1 ZINC001271998261 814673849 /nfs/dbraw/zinc/67/38/49/814673849.db2.gz NWOWEKFNXBSDIO-UHFFFAOYSA-N 0 1 278.352 0.862 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnc(C)[nH]2)[C@H]1C ZINC001088496409 814747022 /nfs/dbraw/zinc/74/70/22/814747022.db2.gz VIKYKYILGYRMCA-PWSUYJOCSA-N 0 1 260.341 0.934 20 30 CCEDMN C=CCCC(=O)NCC[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001075884981 814859105 /nfs/dbraw/zinc/85/91/05/814859105.db2.gz YDJXFLJEFSWNHR-JTQLQIEISA-N 0 1 279.344 0.324 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@@H](NC(=O)Cc3cnc[nH]3)C2)cn1 ZINC001059847679 814894821 /nfs/dbraw/zinc/89/48/21/814894821.db2.gz OTHJVHAMUUZQTI-BETUJISGSA-N 0 1 296.334 0.978 20 30 CCEDMN C=C(Cl)CN1CC[C@H](NC(=O)Cc2n[nH]c(C)n2)[C@H]1C ZINC001088557864 814900375 /nfs/dbraw/zinc/90/03/75/814900375.db2.gz JILBBMTYHZANAD-KOLCDFICSA-N 0 1 297.790 0.987 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ncc2ccccn21 ZINC001272067858 814905112 /nfs/dbraw/zinc/90/51/12/814905112.db2.gz PUFJACIJHHZFET-UHFFFAOYSA-N 0 1 270.336 0.971 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cc2cncc(C)c2)[C@@H](O)C1 ZINC001083672555 815643538 /nfs/dbraw/zinc/64/35/38/815643538.db2.gz ITGUGKGTGUKILF-CABCVRRESA-N 0 1 287.363 0.117 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H]1CNCC#N ZINC001105971998 816028700 /nfs/dbraw/zinc/02/87/00/816028700.db2.gz ZYBPQUDLRLDCHK-GHMZBOCLSA-N 0 1 261.329 0.160 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)CN2CCC(C)(C)C2)CCN1CC#N ZINC001088860420 816093301 /nfs/dbraw/zinc/09/33/01/816093301.db2.gz KLTORZXCSGEHKE-OLZOCXBDSA-N 0 1 278.400 0.821 20 30 CCEDMN Cc1nc2c([nH]1)CC[C@@H](C(=O)NC1CN(CC#N)C1)C2 ZINC001030552889 816104329 /nfs/dbraw/zinc/10/43/29/816104329.db2.gz PFRUTLBKARRPQV-SNVBAGLBSA-N 0 1 273.340 0.147 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2c3c(nn2C)CCC3)[C@H]1C ZINC001088976989 816199650 /nfs/dbraw/zinc/19/96/50/816199650.db2.gz ZDCCIFANWRDYCZ-YPMHNXCESA-N 0 1 286.379 0.735 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCc3[nH]nnc3C2)CCN1CC#N ZINC001088978684 816200289 /nfs/dbraw/zinc/20/02/89/816200289.db2.gz YLKIDFSNCJRCED-MXWKQRLJSA-N 0 1 288.355 0.012 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)[C@@H]2CCc3nn[nH]c3C2)CCN1CC#N ZINC001088978684 816200294 /nfs/dbraw/zinc/20/02/94/816200294.db2.gz YLKIDFSNCJRCED-MXWKQRLJSA-N 0 1 288.355 0.012 20 30 CCEDMN C#CCN1CC(NC(=O)[C@H]2c3cn[nH]c3CC[C@@H]2C)C1 ZINC001030697395 816231161 /nfs/dbraw/zinc/23/11/61/816231161.db2.gz RRTJHQVYBAAGDY-IINYFYTJSA-N 0 1 272.352 0.509 20 30 CCEDMN C#CCN1CC[C@]2(CCN(Cc3cocn3)C2)C1=O ZINC001272374335 816371983 /nfs/dbraw/zinc/37/19/83/816371983.db2.gz CILCSHWECCODJF-AWEZNQCLSA-N 0 1 259.309 0.732 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](O)CNCc1nccn1C ZINC001272496113 816504330 /nfs/dbraw/zinc/50/43/30/816504330.db2.gz LNMILNYLSVUXGJ-LLVKDONJSA-N 0 1 280.372 0.199 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)C1(C#N)CCSCC1 ZINC001122011154 816531152 /nfs/dbraw/zinc/53/11/52/816531152.db2.gz JBMROSFSODSXGD-ZJUUUORDSA-N 0 1 264.354 0.251 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)Cc1ccn(C)n1 ZINC001234227481 816545106 /nfs/dbraw/zinc/54/51/06/816545106.db2.gz ZGQOVXNFJDFGKO-AWEZNQCLSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@]2(C)C=CCC2)C1 ZINC001077528821 816620672 /nfs/dbraw/zinc/62/06/72/816620672.db2.gz FAMHHMMRLMZZCV-UMVBOHGHSA-N 0 1 262.353 0.527 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H](OC)C2CC2)[C@H](OC)C1 ZINC001212203144 816819926 /nfs/dbraw/zinc/81/99/26/816819926.db2.gz DGOMTLOBGKDGCS-MGPQQGTHSA-N 0 1 282.384 0.803 20 30 CCEDMN N#Cc1ccc(CN2CC(NC(=O)Cc3nnc[nH]3)C2)cc1 ZINC001031231023 816860034 /nfs/dbraw/zinc/86/00/34/816860034.db2.gz OHPLATMRUSDDEW-UHFFFAOYSA-N 0 1 296.334 0.219 20 30 CCEDMN CCOCCN1C[C@@H](NC(=O)C#CC(C)C)[C@H](OC)C1 ZINC001212228633 817035441 /nfs/dbraw/zinc/03/54/41/817035441.db2.gz ROJZFQRTMNEUNT-ZIAGYGMSSA-N 0 1 282.384 0.498 20 30 CCEDMN CC1(C)C[C@H](NC(=O)Cc2nnc[nH]2)CCN(CC#N)C1 ZINC001089538537 817181932 /nfs/dbraw/zinc/18/19/32/817181932.db2.gz WMFCEPKRBMTJCI-LLVKDONJSA-N 0 1 290.371 0.478 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCNC(=O)C2)C1 ZINC001031610921 817183541 /nfs/dbraw/zinc/18/35/41/817183541.db2.gz BGZOQPMYPMVPKJ-GFCCVEGCSA-N 0 1 265.357 0.137 20 30 CCEDMN C=CCN1CC(CNC(=O)C2CCC(O)CC2)C1 ZINC001031634700 817205799 /nfs/dbraw/zinc/20/57/99/817205799.db2.gz FSORQGUBVHMVIO-UHFFFAOYSA-N 0 1 252.358 0.772 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cccn3nnnc23)C1 ZINC001031697576 817251973 /nfs/dbraw/zinc/25/19/73/817251973.db2.gz WXYHRTUQMNCTBY-UHFFFAOYSA-N 0 1 286.339 0.362 20 30 CCEDMN C#CCN(C)Cc1ccccc1CNC(=O)c1nnn(C)n1 ZINC001272675033 817263928 /nfs/dbraw/zinc/26/39/28/817263928.db2.gz DVBYAOUZGXSECI-UHFFFAOYSA-N 0 1 298.350 0.205 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(OCC)n[nH]2)C1 ZINC001031761712 817347619 /nfs/dbraw/zinc/34/76/19/817347619.db2.gz YPPBIUATTWBRAE-UHFFFAOYSA-N 0 1 264.329 0.656 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCCN(C(N)=O)C2)C1 ZINC001031828199 817400458 /nfs/dbraw/zinc/40/04/58/817400458.db2.gz SMJONNQGKXQCOF-LBPRGKRZSA-N 0 1 280.372 0.011 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(OC)n(C)n2)C1 ZINC001031834366 817404061 /nfs/dbraw/zinc/40/40/61/817404061.db2.gz CVBLWGLYAOTCMZ-UHFFFAOYSA-N 0 1 276.340 0.114 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnc3n[nH]nc32)C1 ZINC001031834461 817404121 /nfs/dbraw/zinc/40/41/21/817404121.db2.gz IOVGIXFQLJPHTO-UHFFFAOYSA-N 0 1 272.312 0.201 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc3c(c2)ncn3C)C1 ZINC001031895414 817448005 /nfs/dbraw/zinc/44/80/05/817448005.db2.gz AASZJIMKMCMSOW-UHFFFAOYSA-N 0 1 285.351 0.816 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCOC[C@H]2C)C1 ZINC001031958026 817495112 /nfs/dbraw/zinc/49/51/12/817495112.db2.gz LPXKILZFGYEYSI-YPMHNXCESA-N 0 1 252.358 0.893 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](NC(=O)C[NH+]2CCC[C@H]2C)[C@@H](O)C1 ZINC001083778344 817573656 /nfs/dbraw/zinc/57/36/56/817573656.db2.gz JIZISWZBRYLDLY-MCIONIFRSA-N 0 1 281.400 0.208 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2nc(C)oc2C)C1 ZINC001032069759 817601893 /nfs/dbraw/zinc/60/18/93/817601893.db2.gz ALJCTSXUJYPCNL-UHFFFAOYSA-N 0 1 261.325 0.976 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc(CC)nn2C)C1 ZINC001032070411 817603345 /nfs/dbraw/zinc/60/33/45/817603345.db2.gz WDCZPGPRFAIXAQ-UHFFFAOYSA-N 0 1 262.357 0.830 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccc(-n3cncn3)n2)C1 ZINC001032078947 817608901 /nfs/dbraw/zinc/60/89/01/817608901.db2.gz AGTQLDLWQBGDQB-UHFFFAOYSA-N 0 1 298.350 0.510 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCNCC#Cc1ccccc1 ZINC001124889083 817617013 /nfs/dbraw/zinc/61/70/13/817617013.db2.gz PEJXWTMOYWTPOD-ZDUSSCGKSA-N 0 1 255.321 0.904 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cn([C@H]3CCOC3)nn2)C1 ZINC001032115212 817643255 /nfs/dbraw/zinc/64/32/55/817643255.db2.gz MEXWYLRZZWHTNB-LBPRGKRZSA-N 0 1 291.355 0.087 20 30 CCEDMN C#Cc1ccc(C(=O)NCC2CN(CCOC)C2)cn1 ZINC001032135489 817662424 /nfs/dbraw/zinc/66/24/24/817662424.db2.gz WRVJXMUEMNVSTQ-UHFFFAOYSA-N 0 1 273.336 0.371 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cccnc2OCC#C)C1 ZINC001032137174 817662581 /nfs/dbraw/zinc/66/25/81/817662581.db2.gz XQJYELGLDKUAPX-UHFFFAOYSA-N 0 1 297.358 0.779 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccccc2-n2cnnn2)C1 ZINC001032180494 817680566 /nfs/dbraw/zinc/68/05/66/817680566.db2.gz DKODBNVEFDGVMU-UHFFFAOYSA-N 0 1 298.350 0.510 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)COC)CC2(CCOCC2)C1 ZINC001099557544 817770361 /nfs/dbraw/zinc/77/03/61/817770361.db2.gz HIRIGRRZYYHJBD-ZDUSSCGKSA-N 0 1 282.384 0.806 20 30 CCEDMN CCCCN1CC(n2cc(CNC(=O)[C@H](C)C#N)nn2)C1 ZINC001107149290 817794684 /nfs/dbraw/zinc/79/46/84/817794684.db2.gz AIUJHMFHSDYGMO-LLVKDONJSA-N 0 1 290.371 0.711 20 30 CCEDMN C=C1CCC(C(=O)N[C@@H]2CN(C[C@H](C)OC)C[C@H]2O)CC1 ZINC001077622699 817881922 /nfs/dbraw/zinc/88/19/22/817881922.db2.gz XKXYNTFGYVLOSD-NWANDNLSSA-N 0 1 296.411 0.929 20 30 CCEDMN CO[C@H](C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032337947 817902134 /nfs/dbraw/zinc/90/21/34/817902134.db2.gz KOJLLHQZWKZSHS-WXHSDQCUSA-N 0 1 288.351 0.820 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C[C@@H](CC)OC)C[C@H]2O)C1 ZINC001077644654 817908321 /nfs/dbraw/zinc/90/83/21/817908321.db2.gz ZOQOAYXLKQEJKT-MGPQQGTHSA-N 0 1 296.411 0.929 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H](C)CNc2ccc(C#N)nn2)c1C ZINC001107663411 817923104 /nfs/dbraw/zinc/92/31/04/817923104.db2.gz BZVOJGFMRMDOMW-MRVPVSSYSA-N 0 1 299.338 0.919 20 30 CCEDMN CCCN1CCO[C@](C)(CNC(=O)CSCC#N)C1 ZINC001107753611 817984790 /nfs/dbraw/zinc/98/47/90/817984790.db2.gz IVNVVVKPKOBUAQ-CYBMUJFWSA-N 0 1 285.413 0.860 20 30 CCEDMN COC[C@H](C)N1C[C@@H]2C[C@H]1CN2C(=O)c1c[nH]c(C#N)c1 ZINC001032364686 818009800 /nfs/dbraw/zinc/00/98/00/818009800.db2.gz PNPRXBYMWOMXNO-BPNCWPANSA-N 0 1 288.351 0.820 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)COC ZINC001098833723 818031811 /nfs/dbraw/zinc/03/18/11/818031811.db2.gz TZXFJVZQQPWAHJ-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN C=C(C)CN1CCO[C@](C)(CNC(=O)[C@H]2CCCN2C)C1 ZINC001107817629 818032746 /nfs/dbraw/zinc/03/27/46/818032746.db2.gz VAUKQFLTVBQIDX-GDBMZVCRSA-N 0 1 295.427 0.864 20 30 CCEDMN CC(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C[C@@H]1O ZINC001089975777 818101195 /nfs/dbraw/zinc/10/11/95/818101195.db2.gz LPGPHHYVNUQZSA-CABCVRRESA-N 0 1 273.336 0.630 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3ccncc3)C2)OCC1=O ZINC001272949448 818106096 /nfs/dbraw/zinc/10/60/96/818106096.db2.gz KTNHCJLDAHDNBH-INIZCTEOSA-N 0 1 285.347 0.518 20 30 CCEDMN COCC#CC(=O)N1CC2(C1)CCN(Cc1ccc[nH]1)C2 ZINC001272954836 818122702 /nfs/dbraw/zinc/12/27/02/818122702.db2.gz NQUSRZQJDDRBEQ-UHFFFAOYSA-N 0 1 287.363 0.699 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2cc(C)on2)[C@@H](O)C1 ZINC001090024824 818284122 /nfs/dbraw/zinc/28/41/22/818284122.db2.gz BKFNYCTUNRRZKP-YPMHNXCESA-N 0 1 279.340 0.724 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2cnn(C)c2C)C1 ZINC001032905609 818350873 /nfs/dbraw/zinc/35/08/73/818350873.db2.gz LGZFDRWHPCTZGY-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCCO2)C1 ZINC001032921852 818363542 /nfs/dbraw/zinc/36/35/42/818363542.db2.gz YHVJGGPZZAKEJL-STQMWFEESA-N 0 1 250.342 0.721 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ccoc2)[C@@H](O)C1 ZINC001090068420 818453031 /nfs/dbraw/zinc/45/30/31/818453031.db2.gz LBKNTQRFSITLTH-NEPJUHHUSA-N 0 1 250.298 0.631 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2Cc2cnc[nH]2)C1=O ZINC001273023269 818454063 /nfs/dbraw/zinc/45/40/63/818454063.db2.gz FZIJQGITCVIQEH-CQSZACIVSA-N 0 1 258.325 0.610 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cnc(N)s1 ZINC001273026144 818466765 /nfs/dbraw/zinc/46/67/65/818466765.db2.gz KXDXSBWAOJFVTD-VXGBXAGGSA-N 0 1 290.392 0.924 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cc2nnc(C)o2)C1 ZINC001033058656 818473864 /nfs/dbraw/zinc/47/38/64/818473864.db2.gz RAGQQNYPNBGCQI-NSHDSACASA-N 0 1 264.329 0.639 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cccn2C)[C@H](O)C1 ZINC001090092896 818497537 /nfs/dbraw/zinc/49/75/37/818497537.db2.gz PXCSVADTUNQVFL-GXTWGEPZSA-N 0 1 275.352 0.213 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)n[nH]2)[C@H](O)C1 ZINC001090100889 818516266 /nfs/dbraw/zinc/51/62/66/818516266.db2.gz USWGHLZKDAPUHP-WCQYABFASA-N 0 1 278.356 0.323 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033168939 818598110 /nfs/dbraw/zinc/59/81/10/818598110.db2.gz HLDDUKSERPTSBM-JTQLQIEISA-N 0 1 264.329 0.756 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C2CC(OC)C2)C1 ZINC001033172423 818599135 /nfs/dbraw/zinc/59/91/35/818599135.db2.gz SAQPYVRSRBXREP-ROKHWSDSSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H](N(C)C(=O)[C@H]2C[NH+](C)C[C@@H](C)O2)C1 ZINC001033194902 818637139 /nfs/dbraw/zinc/63/71/39/818637139.db2.gz DWYBBYDJWFTJCR-RBSFLKMASA-N 0 1 293.411 0.262 20 30 CCEDMN CC#CC[N@H+]1CC[C@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033193372 818638195 /nfs/dbraw/zinc/63/81/95/818638195.db2.gz SQFNZDPWEBYVMA-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@]2(C)CCNC(=O)C2)C1 ZINC001033193372 818638198 /nfs/dbraw/zinc/63/81/98/818638198.db2.gz SQFNZDPWEBYVMA-XJKSGUPXSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001033202339 818646986 /nfs/dbraw/zinc/64/69/86/818646986.db2.gz FONYIVCCBVTJSP-QWHCGFSZSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCn3nccc32)C1 ZINC001033201852 818649229 /nfs/dbraw/zinc/64/92/29/818649229.db2.gz IANWLQAEMMXQLY-UONOGXRCSA-N 0 1 286.379 0.926 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCc3nccn3C2)C1 ZINC001033208791 818653281 /nfs/dbraw/zinc/65/32/81/818653281.db2.gz WDPTVLMSRMDFEL-ZIAGYGMSSA-N 0 1 286.379 0.611 20 30 CCEDMN C#CCCN1CC[C@H](N(C)C(=O)c2ccc(C(N)=O)o2)C1 ZINC001033242962 818672608 /nfs/dbraw/zinc/67/26/08/818672608.db2.gz LLSDLZJYQNPBLZ-NSHDSACASA-N 0 1 289.335 0.548 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001033248554 818676176 /nfs/dbraw/zinc/67/61/76/818676176.db2.gz ROGBGMMXJFESKQ-STQMWFEESA-N 0 1 277.368 0.211 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccnc(OC)n2)C1 ZINC001033258232 818679365 /nfs/dbraw/zinc/67/93/65/818679365.db2.gz ZXTNGTAHMXCNOI-NSHDSACASA-N 0 1 276.340 0.818 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCN(C)C2=O)C1 ZINC001033341722 818726279 /nfs/dbraw/zinc/72/62/79/818726279.db2.gz PHDLQKNGDWECMY-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)c2c(C)nc[nH]c2=O)C1 ZINC001033348702 818729525 /nfs/dbraw/zinc/72/95/25/818729525.db2.gz ZKNTXLBMFITOHO-LLVKDONJSA-N 0 1 274.324 0.270 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033428102 818774734 /nfs/dbraw/zinc/77/47/34/818774734.db2.gz OJIWMAFKZJPXLE-FRRDWIJNSA-N 0 1 277.368 0.054 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)CSC)[C@@H](n2ccnn2)C1 ZINC001128677281 818803470 /nfs/dbraw/zinc/80/34/70/818803470.db2.gz FVZCCETZNUCYJD-NEPJUHHUSA-N 0 1 295.412 0.559 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2ccc(OC)nn2)C1 ZINC001033556337 818830169 /nfs/dbraw/zinc/83/01/69/818830169.db2.gz ZEHFMRPKYSLKLR-NSHDSACASA-N 0 1 276.340 0.818 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC001033629843 818860389 /nfs/dbraw/zinc/86/03/89/818860389.db2.gz QQMKLMDIIAKAKB-OLZOCXBDSA-N 0 1 277.368 0.163 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)c2cnn(C)c2OC)C1 ZINC001033628544 818863857 /nfs/dbraw/zinc/86/38/57/818863857.db2.gz UBBDKPSNLQGAGW-NSHDSACASA-N 0 1 278.356 0.761 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C[C@@H]2CCCOC2)C1 ZINC001033666270 818873867 /nfs/dbraw/zinc/87/38/67/818873867.db2.gz ATUZXIZCQLOZRD-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2COCCO2)C1 ZINC001033759926 818918236 /nfs/dbraw/zinc/91/82/36/818918236.db2.gz BRNZBTQNDVBLFK-QWHCGFSZSA-N 0 1 268.357 0.511 20 30 CCEDMN CCN(C(=O)c1ccc(C#N)[nH]1)[C@H]1CC[N@@H+](C[C@H](C)O)C1 ZINC001033800173 818939581 /nfs/dbraw/zinc/93/95/81/818939581.db2.gz BMWKFDLUJBQMAQ-AAEUAGOBSA-N 0 1 290.367 0.804 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033803285 818947792 /nfs/dbraw/zinc/94/77/92/818947792.db2.gz BZZVODRAWLDSKI-AWEZNQCLSA-N 0 1 277.368 0.021 20 30 CCEDMN C=C(C)CN1CC[C@H](N(CC)C(=O)c2cn(C)nn2)C1 ZINC001033823718 818950845 /nfs/dbraw/zinc/95/08/45/818950845.db2.gz JQFQRHMSMCNXCU-LBPRGKRZSA-N 0 1 277.372 0.928 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033919920 818993985 /nfs/dbraw/zinc/99/39/85/818993985.db2.gz OZMOUUBWGDCQNO-LLVKDONJSA-N 0 1 278.356 0.848 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2c[nH]c(=O)n2C)C1 ZINC001033921808 818995910 /nfs/dbraw/zinc/99/59/10/818995910.db2.gz FZCSSYJFPHOCPA-GFCCVEGCSA-N 0 1 290.367 0.685 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CC=CC2)[C@@H](O)C1 ZINC001090155582 818996790 /nfs/dbraw/zinc/99/67/90/818996790.db2.gz OPPAXFFNOLYKCV-KBPBESRZSA-N 0 1 262.353 0.527 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(=O)[nH]2)[C@@H](O)C1 ZINC001090168428 819004887 /nfs/dbraw/zinc/00/48/87/819004887.db2.gz AYEZUIIITRWUQI-PWSUYJOCSA-N 0 1 277.324 0.138 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ocnc2C)[C@H](O)C1 ZINC001090172944 819018887 /nfs/dbraw/zinc/01/88/87/819018887.db2.gz XYQHTNUVIYWSDP-NWDGAFQWSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001034031255 819035344 /nfs/dbraw/zinc/03/53/44/819035344.db2.gz GSURIMSEQZCMJI-CABCVRRESA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CC(F)(F)C2)[C@@H](O)C1 ZINC001090182684 819046200 /nfs/dbraw/zinc/04/62/00/819046200.db2.gz NSDXDSJOFADERK-QWRGUYRKSA-N 0 1 274.311 0.769 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCCO2)C1 ZINC001034086684 819062765 /nfs/dbraw/zinc/06/27/65/819062765.db2.gz CZABGXAJOCBVLL-QWHCGFSZSA-N 0 1 250.342 0.769 20 30 CCEDMN CCCN1C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](n2ccnn2)C1 ZINC001128834408 819069546 /nfs/dbraw/zinc/06/95/46/819069546.db2.gz MQLDRPWTRLZIEB-TUAOUCFPSA-N 0 1 276.344 0.189 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCOC2)C1 ZINC001034124727 819072191 /nfs/dbraw/zinc/07/21/91/819072191.db2.gz WOJIVGGLWCMFNG-CHWSQXEVSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2cnc(C)[nH]2)C1 ZINC001034134152 819091120 /nfs/dbraw/zinc/09/11/20/819091120.db2.gz ZQWWTLUASONTSC-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC001034153655 819099746 /nfs/dbraw/zinc/09/97/46/819099746.db2.gz GBPLGSXQKFJKKP-ZDUSSCGKSA-N 0 1 274.368 0.865 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2cn(C)nn2)C1 ZINC001034192130 819115727 /nfs/dbraw/zinc/11/57/27/819115727.db2.gz JMVACAMGVCIAPY-GFCCVEGCSA-N 0 1 275.356 0.423 20 30 CCEDMN C=CCN1CCCC[C@H](NC(=O)c2cnnn2C)C1 ZINC001034195933 819120624 /nfs/dbraw/zinc/12/06/24/819120624.db2.gz XXAQIZDLDUCEGJ-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@@H](NC(=O)[C@@H]2CCCNC2=O)C1 ZINC001034255057 819144585 /nfs/dbraw/zinc/14/45/85/819144585.db2.gz YDBUBDUGWGFJRJ-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCCNC2=O)C1 ZINC001034255057 819144593 /nfs/dbraw/zinc/14/45/93/819144593.db2.gz YDBUBDUGWGFJRJ-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001034266851 819148171 /nfs/dbraw/zinc/14/81/71/819148171.db2.gz ZRXULEJJJWDAIF-ZIAGYGMSSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CC)C[C@@H]1n1ccnn1 ZINC001128939697 819167810 /nfs/dbraw/zinc/16/78/10/819167810.db2.gz YZIZRMBEZVUUFZ-OLZOCXBDSA-N 0 1 275.356 0.443 20 30 CCEDMN CC#CC[N@H+]1CCCC[C@@H](NC(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001034340794 819173218 /nfs/dbraw/zinc/17/32/18/819173218.db2.gz VGDXODZQLZZGEM-OLZOCXBDSA-N 0 1 292.383 0.004 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CN(C)C(=O)N2)C1 ZINC001034340794 819173220 /nfs/dbraw/zinc/17/32/20/819173220.db2.gz VGDXODZQLZZGEM-OLZOCXBDSA-N 0 1 292.383 0.004 20 30 CCEDMN C=CCN1CCCC[C@H](NC(=O)c2[nH]nnc2C)C1 ZINC001034345975 819177785 /nfs/dbraw/zinc/17/77/85/819177785.db2.gz WIPSOLMNEABFDY-NSHDSACASA-N 0 1 263.345 0.883 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC001034368026 819180004 /nfs/dbraw/zinc/18/00/04/819180004.db2.gz HULZEKWEVBQXMM-GFCCVEGCSA-N 0 1 288.351 0.378 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cc2nonc2C)C1 ZINC001034465179 819207713 /nfs/dbraw/zinc/20/77/13/819207713.db2.gz MNDOOCDPPHCVAC-GFCCVEGCSA-N 0 1 276.340 0.524 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CSC(C)C)[C@H](O)C1 ZINC001099677871 819276067 /nfs/dbraw/zinc/27/60/67/819276067.db2.gz CBLUXNYCTSDWOA-VXGBXAGGSA-N 0 1 272.414 0.865 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2[nH]ccc2C)[C@H](O)C1 ZINC001090234527 819284402 /nfs/dbraw/zinc/28/44/02/819284402.db2.gz PETDPTSKSOKORU-VXGBXAGGSA-N 0 1 263.341 0.674 20 30 CCEDMN N#CCN1CCC2(C1)CCN(C(=O)[C@@H]1CC1[N+](=O)[O-])CC2 ZINC001035187189 819418537 /nfs/dbraw/zinc/41/85/37/819418537.db2.gz PHALQHJHIDJALP-VXGBXAGGSA-N 0 1 292.339 0.490 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2ccn[nH]2)C1 ZINC001035304830 819457642 /nfs/dbraw/zinc/45/76/42/819457642.db2.gz ZMTVLUQJPMKBFI-GFCCVEGCSA-N 0 1 278.356 0.807 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CCNC(=O)C1)CC2 ZINC001035686319 819602448 /nfs/dbraw/zinc/60/24/48/819602448.db2.gz ABWPXBDHNCRJJI-ZDUSSCGKSA-N 0 1 289.379 0.070 20 30 CCEDMN CCc1cc(C(=O)N2CC[C@@H](NCC#N)[C@@H](C)C2)n[nH]1 ZINC001035923383 819653535 /nfs/dbraw/zinc/65/35/35/819653535.db2.gz CTVVYFNJTLJBSN-CMPLNLGQSA-N 0 1 275.356 0.936 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnc[nH]2)CC[C@H]1NCC#N ZINC001036047041 819677480 /nfs/dbraw/zinc/67/74/80/819677480.db2.gz MFSTVNLCWNGBSO-WCQYABFASA-N 0 1 275.356 0.692 20 30 CCEDMN C#CC1(O)CCN(CC(=O)N2CCCC[C@@H]2C)CC1 ZINC000708087371 819757007 /nfs/dbraw/zinc/75/70/07/819757007.db2.gz HVADYXULIXCQNA-ZDUSSCGKSA-N 0 1 264.369 0.848 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H]3[C@@H](CCN3CC#N)C2)n[nH]1 ZINC001036659409 819876150 /nfs/dbraw/zinc/87/61/50/819876150.db2.gz YTFGLPFQJKLKCL-WCQYABFASA-N 0 1 273.340 0.778 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H]3[C@H](CCN3CC#N)C2)[nH]1 ZINC001036669066 819975578 /nfs/dbraw/zinc/97/55/78/819975578.db2.gz YULKFPQTXLSJDO-YPMHNXCESA-N 0 1 273.340 0.778 20 30 CCEDMN Cc1nnc(CN)n1-c1ncc2c(C#N)c[nH]c2n1 ZINC001163348813 820026570 /nfs/dbraw/zinc/02/65/70/820026570.db2.gz UYEOKSYODWPRKU-UHFFFAOYSA-N 0 1 254.257 0.177 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(F)cncc2F)[C@@H](O)C1 ZINC001090295252 820220029 /nfs/dbraw/zinc/22/00/29/820220029.db2.gz MEFOLKYUIHCVIK-RYUDHWBXSA-N 0 1 297.305 0.711 20 30 CCEDMN Cc1nc2cccc(C(=O)N[C@@H]3CN(CC#N)C[C@@H]3O)c2[nH]1 ZINC001083921922 820271313 /nfs/dbraw/zinc/27/13/13/820271313.db2.gz ZNSZODGRJWIFDU-OLZOCXBDSA-N 0 1 299.334 0.170 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2nnn(C)c2C)C1 ZINC001079522275 820420331 /nfs/dbraw/zinc/42/03/31/820420331.db2.gz IXYMONZKFSCHJK-ZYHUDNBSSA-N 0 1 275.356 0.197 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc(C)ncn2)C1 ZINC001079582463 820429282 /nfs/dbraw/zinc/42/92/82/820429282.db2.gz ACXPSPMZVVQKAX-BXUZGUMPSA-N 0 1 272.352 0.858 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc(OC)nc2)C1 ZINC001080083591 820514020 /nfs/dbraw/zinc/51/40/20/820514020.db2.gz BJSIQBXTMCDLFZ-DGCLKSJQSA-N 0 1 273.336 0.774 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccnn2C)C1 ZINC001080175839 820523771 /nfs/dbraw/zinc/52/37/71/820523771.db2.gz BBUXZLBDGYXXLL-AAVRWANBSA-N 0 1 286.379 0.593 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCO[C@H]2CC)C1 ZINC001080169067 820526187 /nfs/dbraw/zinc/52/61/87/820526187.db2.gz NLSOFBZEBQLDDJ-SYQHCUMBSA-N 0 1 264.369 0.871 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2c(C)nn(C)c2C)C1 ZINC001080212768 820528092 /nfs/dbraw/zinc/52/80/92/820528092.db2.gz PXVFSXACKQXBDF-IAQYHMDHSA-N 0 1 288.395 0.649 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001080306444 820545407 /nfs/dbraw/zinc/54/54/07/820545407.db2.gz TVTIPPMXYQPJAR-IOASZLSFSA-N 0 1 291.395 0.363 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2nccn3ccnc23)C1 ZINC001080464337 820579821 /nfs/dbraw/zinc/57/98/21/820579821.db2.gz SNDAZRBGXQWXDX-VXGBXAGGSA-N 0 1 283.335 0.413 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cn2ccc(C)n2)C1 ZINC001080469763 820580388 /nfs/dbraw/zinc/58/03/88/820580388.db2.gz ZLDHNLDJIIRNNK-TZMCWYRMSA-N 0 1 274.368 0.651 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001080605767 820598772 /nfs/dbraw/zinc/59/87/72/820598772.db2.gz MPQNQIGWFDYRIV-JHJVBQTASA-N 0 1 277.368 0.115 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)Cn2ccnc2)C1 ZINC001081038006 820670746 /nfs/dbraw/zinc/67/07/46/820670746.db2.gz KCPFOFPGLNEZMP-CHWSQXEVSA-N 0 1 260.341 0.343 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(C)on2)[C@H](OC)C1 ZINC001081328688 820731070 /nfs/dbraw/zinc/73/10/70/820731070.db2.gz BQYHMBUUHDCGIG-CHWSQXEVSA-N 0 1 277.324 0.435 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cc[nH]c(=O)c2)[C@H](OC)C1 ZINC001081512582 820781941 /nfs/dbraw/zinc/78/19/41/820781941.db2.gz CKIKVONHZDVKFD-CHWSQXEVSA-N 0 1 291.351 0.792 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C[C@@H]2CCCCO2)[C@H](OC)C1 ZINC001081515716 820782115 /nfs/dbraw/zinc/78/21/15/820782115.db2.gz HKQBUPJZQPRHBS-RRFJBIMHSA-N 0 1 294.395 0.784 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](NC(=O)c2ncccc2[O-])[C@H](OC)C1 ZINC001081578704 820792301 /nfs/dbraw/zinc/79/23/01/820792301.db2.gz RMZBBACAEJFFOR-DGCLKSJQSA-N 0 1 289.335 0.240 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2nccc(C)n2)[C@H](OC)C1 ZINC001081607978 820805623 /nfs/dbraw/zinc/80/56/23/820805623.db2.gz UEXBASQZQYXYQP-CHWSQXEVSA-N 0 1 288.351 0.237 20 30 CCEDMN C#CCC[N@@H+]1C[C@@H](NC(=O)c2ccn(CC)n2)[C@H](OC)C1 ZINC001082201227 820915187 /nfs/dbraw/zinc/91/51/87/820915187.db2.gz QGPGDJAUEXBFLC-ZIAGYGMSSA-N 0 1 290.367 0.355 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccn(CC)n2)[C@H](OC)C1 ZINC001082201227 820915190 /nfs/dbraw/zinc/91/51/90/820915190.db2.gz QGPGDJAUEXBFLC-ZIAGYGMSSA-N 0 1 290.367 0.355 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N[C@@H]1CN(CC#N)C[C@H]1OC ZINC001082384502 820953728 /nfs/dbraw/zinc/95/37/28/820953728.db2.gz ADXSZAPTIFSUNK-MGPQQGTHSA-N 0 1 294.399 0.200 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@@H]2CCNC2=O)C[C@H]1C ZINC001082683137 821020850 /nfs/dbraw/zinc/02/08/50/821020850.db2.gz VNNJEXPCYZPZIP-FBIMIBRVSA-N 0 1 285.775 0.312 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)[C@H]2CCN(C)C2=O)C[C@H]1C ZINC001082692882 821020993 /nfs/dbraw/zinc/02/09/93/821020993.db2.gz WVSOLDGLTPAFJP-ADEWGFFLSA-N 0 1 299.802 0.654 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)C3CCOCC3)[C@H]2C1 ZINC001082975393 821085133 /nfs/dbraw/zinc/08/51/33/821085133.db2.gz OEBKBZTXOIZJCV-LSDHHAIUSA-N 0 1 294.395 0.901 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)[C@H](C)OC)[C@H]2C1 ZINC001083016881 821110230 /nfs/dbraw/zinc/11/02/30/821110230.db2.gz YUEZYLOHSWCJFJ-RWMBFGLXSA-N 0 1 268.357 0.509 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cc(C)ncn3)[C@@H]2C1 ZINC001084287019 821251517 /nfs/dbraw/zinc/25/15/17/821251517.db2.gz BNXABZCBKPNYRT-UKRRQHHQSA-N 0 1 284.363 0.955 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)Cc3cn(C)nc3C)[C@@H]2C1 ZINC001084413336 821282581 /nfs/dbraw/zinc/28/25/81/821282581.db2.gz RYTAHBLUCRALJG-UKRRQHHQSA-N 0 1 288.395 0.990 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CCc3cnc[nH]3)[C@@H]2C1 ZINC001084394315 821286438 /nfs/dbraw/zinc/28/64/38/821286438.db2.gz ATHFMNXZETWKOC-DGCLKSJQSA-N 0 1 273.340 0.399 20 30 CCEDMN C#CCN1CC[C@@H]2CN(C(=O)CC3=CCOCC3)[C@@H]2C1 ZINC001084634319 821331959 /nfs/dbraw/zinc/33/19/59/821331959.db2.gz LNJPPBZTJLJHBI-HUUCEWRRSA-N 0 1 274.364 0.889 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)CN(C)C(C)=O ZINC001098922816 821410023 /nfs/dbraw/zinc/41/00/23/821410023.db2.gz VMCLFMUTQGZBCT-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN COc1nc(C)ccc1C(=O)NCC#CCN(C)C ZINC000824845542 821641336 /nfs/dbraw/zinc/64/13/36/821641336.db2.gz DMWVWYZOJBRLLG-UHFFFAOYSA-N 0 1 261.325 0.693 20 30 CCEDMN CN(CCNC(=O)[C@@H]1CCCN1C)c1ncccc1C#N ZINC001099965996 821663671 /nfs/dbraw/zinc/66/36/71/821663671.db2.gz HGVICJSZZNTJCH-ZDUSSCGKSA-N 0 1 287.367 0.600 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)[C@@H]1CCCN1C ZINC001085513764 821760314 /nfs/dbraw/zinc/76/03/14/821760314.db2.gz ZMBDVTQSPLTHGK-NEPJUHHUSA-N 0 1 250.346 0.137 20 30 CCEDMN COCCN1CC[C@H]1CN(C)C(=O)c1cc(C#N)c[nH]1 ZINC001085534291 821774533 /nfs/dbraw/zinc/77/45/33/821774533.db2.gz HFIZHABGTDUHFD-LBPRGKRZSA-N 0 1 276.340 0.679 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CCN(C)C(=O)C1 ZINC001085677029 821898776 /nfs/dbraw/zinc/89/87/76/821898776.db2.gz CRKFMRRGSQZYEU-UONOGXRCSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1c(C)nc[nH]c1=O ZINC001085778681 821947774 /nfs/dbraw/zinc/94/77/74/821947774.db2.gz DXPPOGHKYMESPP-LBPRGKRZSA-N 0 1 288.351 0.660 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CC[C@@H](C(N)=O)O1 ZINC001085817427 821969035 /nfs/dbraw/zinc/96/90/35/821969035.db2.gz XVTXAMYREMNXKC-RWMBFGLXSA-N 0 1 295.383 0.128 20 30 CCEDMN C=CCOCCN1CCN([C@H]2CCN(C(C)=O)C2)CC1 ZINC001273366059 821984445 /nfs/dbraw/zinc/98/44/45/821984445.db2.gz RACAKCBSECLOEF-HNNXBMFYSA-N 0 1 281.400 0.427 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cccnn1 ZINC001085887535 822003204 /nfs/dbraw/zinc/00/32/04/822003204.db2.gz BZSAHIMFMHGAKX-LBPRGKRZSA-N 0 1 258.325 0.646 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@@H]1CCC(=O)N1 ZINC001085934109 822023026 /nfs/dbraw/zinc/02/30/26/822023026.db2.gz SBVSFOVOHZGFIC-RYUDHWBXSA-N 0 1 265.357 0.374 20 30 CCEDMN CC#CCN1CCO[C@@](C)(CNC(=O)Cc2cnc[nH]2)C1 ZINC001108244919 822130081 /nfs/dbraw/zinc/13/00/81/822130081.db2.gz PLWAFKHAYTYOPR-HNNXBMFYSA-N 0 1 290.367 0.183 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(CCOC)CCC2)[C@@H](O)C1 ZINC001100090653 822268233 /nfs/dbraw/zinc/26/82/33/822268233.db2.gz YNXCGOMFRGWWOX-KBPBESRZSA-N 0 1 296.411 0.931 20 30 CCEDMN Cc1ccc(C#N)c(N(C)[C@H](C)CNC(=O)c2cnn[nH]2)n1 ZINC001113970124 837386640 /nfs/dbraw/zinc/38/66/40/837386640.db2.gz JDDYRMUNQMCEMC-SNVBAGLBSA-N 0 1 299.338 0.635 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)COC(C)C ZINC001113987797 837396468 /nfs/dbraw/zinc/39/64/68/837396468.db2.gz KRUNAGJIEBFCON-NHAGDIPZSA-N 0 1 280.368 0.108 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCOC1 ZINC001114002893 837400295 /nfs/dbraw/zinc/40/02/95/837400295.db2.gz PVRJRBCVNBCFJR-BYNSBNAKSA-N 0 1 292.379 0.109 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)CSC ZINC001114054670 837417116 /nfs/dbraw/zinc/41/71/16/837417116.db2.gz PCMLZBPHCSCVLR-MQYQWHSLSA-N 0 1 296.436 0.682 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccoc1 ZINC001114166610 837460987 /nfs/dbraw/zinc/46/09/87/837460987.db2.gz VKIGQFMUMQOGCD-FOLVSLTJSA-N 0 1 288.347 0.518 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1ncc[nH]1 ZINC001114206011 837471705 /nfs/dbraw/zinc/47/17/05/837471705.db2.gz COZWHMKVLUYWDV-YABSGUDNSA-N 0 1 258.325 0.022 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(C(F)F)CC1 ZINC001114285110 837496256 /nfs/dbraw/zinc/49/62/56/837496256.db2.gz HDOWMXPBTDXGGU-GDNZZTSVSA-N 0 1 298.333 0.728 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)[C@@H](F)CC)C[C@@H]1n1ccnn1 ZINC001129966461 837599024 /nfs/dbraw/zinc/59/90/24/837599024.db2.gz QTCBJESIIBKOOH-XQQFMLRXSA-N 0 1 293.346 0.391 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cc1ccc(C(N)=O)nc1 ZINC001130248534 837737948 /nfs/dbraw/zinc/73/79/48/837737948.db2.gz IVXOUFWUBFFNFZ-UHFFFAOYSA-N 0 1 296.758 0.181 20 30 CCEDMN CC#CCN1CC[C@@H](c2n[nH]cc2CNC(=O)[C@H](C)C#N)C1 ZINC001130364910 837780482 /nfs/dbraw/zinc/78/04/82/837780482.db2.gz KSCOZAZCTMBTDQ-CHWSQXEVSA-N 0 1 299.378 0.998 20 30 CCEDMN N#C[C@H](Cc1ccc(O)cc1)C(=O)N1CCN2CC[C@H]2C1 ZINC001183607813 844042856 /nfs/dbraw/zinc/04/28/56/844042856.db2.gz GKKPNLLZPUDPFU-KBPBESRZSA-N 0 1 285.347 0.991 20 30 CCEDMN C=C(C)CN1CCO[C@](C)(CNC(=O)CN2CCCC2)C1 ZINC001108397694 836013694 /nfs/dbraw/zinc/01/36/94/836013694.db2.gz XMAWGWHJAOYACB-MRXNPFEDSA-N 0 1 295.427 0.865 20 30 CCEDMN N#CCc1ccc(-n2nnnc2CN)cc1[N+](=O)[O-] ZINC001168924506 836059745 /nfs/dbraw/zinc/05/97/45/836059745.db2.gz LEEKENSKHDWRDM-UHFFFAOYSA-N 0 1 259.229 0.095 20 30 CCEDMN N#C[C@@H]1CN([C@@H]2CC[C@@H](C[N+](=O)[O-])C2)CCC1=O ZINC001169034594 836110729 /nfs/dbraw/zinc/11/07/29/836110729.db2.gz IENNGUSYUAJHNR-GMTAPVOTSA-N 0 1 251.286 0.846 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCOCC)C2 ZINC001109047020 836604170 /nfs/dbraw/zinc/60/41/70/836604170.db2.gz YVQITUFHPKFYCR-AGIUHOORSA-N 0 1 250.342 0.768 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1nc(Cl)cnc1C#N ZINC001156825666 836710892 /nfs/dbraw/zinc/71/08/92/836710892.db2.gz USZBSQYOQOMGRN-UHFFFAOYSA-N 0 1 275.703 0.434 20 30 CCEDMN C=C(C)C[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1(C(=O)NC)CC1)C2 ZINC001109836907 836737589 /nfs/dbraw/zinc/73/75/89/836737589.db2.gz HWQJVMCTAYETKC-AGIUHOORSA-N 0 1 291.395 0.810 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CC(=O)NCC1CC1)C2 ZINC001109952001 836749587 /nfs/dbraw/zinc/74/95/87/836749587.db2.gz MUVHOELDLHQKQT-RDBSUJKOSA-N 0 1 291.395 0.810 20 30 CCEDMN N#Cc1c[nH]c2ncc(NC[C@H]3COCCN3)cc12 ZINC001170042508 836769879 /nfs/dbraw/zinc/76/98/79/836769879.db2.gz AFQBCMBBQHARBN-NSHDSACASA-N 0 1 257.297 0.835 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](NC(=O)c2ccc3c[nH+]cn3c2)[C@@H](O)C1 ZINC001090436382 836780840 /nfs/dbraw/zinc/78/08/40/836780840.db2.gz QDDDSIPDDJZVEI-GJZGRUSLSA-N 0 1 298.346 0.133 20 30 CCEDMN C=CCOCC(=O)N1CCN(C[C@@H]2C[C@@]23CCOC3)CC1 ZINC001112710450 836881096 /nfs/dbraw/zinc/88/10/96/836881096.db2.gz AXCYITJZNBLTPP-GOEBONIOSA-N 0 1 294.395 0.760 20 30 CCEDMN C=CCCN1CCN(C(=O)COCCOC)CC1 ZINC001112728157 836889025 /nfs/dbraw/zinc/88/90/25/836889025.db2.gz XLWCJHGPAKNDEP-UHFFFAOYSA-N 0 1 256.346 0.370 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2cnnn2C)CC1 ZINC001112816593 836926139 /nfs/dbraw/zinc/92/61/39/836926139.db2.gz USZDZDUDJDHCBG-UHFFFAOYSA-N 0 1 263.345 0.539 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2cn(C)nn2)CC1 ZINC001112817569 836929825 /nfs/dbraw/zinc/92/98/25/836929825.db2.gz SPEUJOFDMDULBD-GFCCVEGCSA-N 0 1 277.372 0.928 20 30 CCEDMN C=CCCCN1CCN(C(=O)CNC(=O)NC)CC1 ZINC001112927560 836967354 /nfs/dbraw/zinc/96/73/54/836967354.db2.gz OLBFCGVMKZBFOP-UHFFFAOYSA-N 0 1 268.361 0.026 20 30 CCEDMN COCC#CCN1CCN(C(=O)[C@H]2CCCCN2C)CC1 ZINC001113019801 836999888 /nfs/dbraw/zinc/99/98/88/836999888.db2.gz YMRACSKYAPFPPS-OAHLLOKOSA-N 0 1 293.411 0.265 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@@H]1CCC(=O)N(C)C1)C2 ZINC001098466838 837127088 /nfs/dbraw/zinc/12/70/88/837127088.db2.gz AVRWFMOPDCUMDZ-SYQHCUMBSA-N 0 1 291.395 0.762 20 30 CCEDMN C[C@H](CNC(=O)Cc1nnc[nH]1)N(C)c1ccncc1C#N ZINC001113713927 837203614 /nfs/dbraw/zinc/20/36/14/837203614.db2.gz BSPKKCLFRHKFOJ-SNVBAGLBSA-N 0 1 299.338 0.255 20 30 CCEDMN COCC(=O)N[C@@H]1[C@H]2CN(Cc3ccc(C#N)s3)C[C@H]21 ZINC001113765083 837222178 /nfs/dbraw/zinc/22/21/78/837222178.db2.gz RCMDFBYUWBCCDP-IMRBUKKESA-N 0 1 291.376 0.813 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(C3CC3)on2)[C@@H](O)C1 ZINC001090467394 837295999 /nfs/dbraw/zinc/29/59/99/837295999.db2.gz QVQFTFPAWUUGBI-AAEUAGOBSA-N 0 1 291.351 0.903 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCn1cccn1 ZINC001113881259 837351443 /nfs/dbraw/zinc/35/14/43/837351443.db2.gz WHPJVXJDYYMWDF-NHAGDIPZSA-N 0 1 272.352 0.343 20 30 CCEDMN C[C@@H](CNC(=O)Cc1cnc[nH]1)N(C)c1cncc(C#N)n1 ZINC001113898050 837359043 /nfs/dbraw/zinc/35/90/43/837359043.db2.gz KAMKYEOPMUOPIB-JTQLQIEISA-N 0 1 299.338 0.255 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)OCC ZINC001113931267 837374246 /nfs/dbraw/zinc/37/42/46/837374246.db2.gz AGPDYMIGCVQLRR-NDBYEHHHSA-N 0 1 250.342 0.481 20 30 CCEDMN CN(CCCN(C)C(=O)c1ccoc1)CC(=O)NCC#N ZINC001273489144 844266796 /nfs/dbraw/zinc/26/67/96/844266796.db2.gz XLVNNMDJZDZBDT-UHFFFAOYSA-N 0 1 292.339 0.313 20 30 CCEDMN Cc1c(C(=O)NCCNCC#N)ccc2cncn21 ZINC001130656045 837883221 /nfs/dbraw/zinc/88/32/21/837883221.db2.gz GVYFSNKJWICNSX-UHFFFAOYSA-N 0 1 257.297 0.486 20 30 CCEDMN C=CCN1CCOC[C@@H]1c1nc(CNC(=O)CCC)n[nH]1 ZINC001130822824 837931553 /nfs/dbraw/zinc/93/15/53/837931553.db2.gz MXCGKGILXPPQHE-LLVKDONJSA-N 0 1 293.371 0.780 20 30 CCEDMN C=CCN1CCOC[C@@H]1c1nnc(CNC(=O)CCC)[nH]1 ZINC001130822824 837931560 /nfs/dbraw/zinc/93/15/60/837931560.db2.gz MXCGKGILXPPQHE-LLVKDONJSA-N 0 1 293.371 0.780 20 30 CCEDMN C=CCCN1CCOC[C@@H]1c1nc(CNC(=O)CC)n[nH]1 ZINC001130826445 837935822 /nfs/dbraw/zinc/93/58/22/837935822.db2.gz ZMRBABVBCPQNBD-LLVKDONJSA-N 0 1 293.371 0.780 20 30 CCEDMN C=CCCN1CCOC[C@@H]1c1nnc(CNC(=O)CC)[nH]1 ZINC001130826445 837935824 /nfs/dbraw/zinc/93/58/24/837935824.db2.gz ZMRBABVBCPQNBD-LLVKDONJSA-N 0 1 293.371 0.780 20 30 CCEDMN Cc1cnc(CN)n1-c1nc(C#N)c(C#N)nc1Cl ZINC001158163570 837951657 /nfs/dbraw/zinc/95/16/57/837951657.db2.gz AESDUDGEEJQRFE-UHFFFAOYSA-N 0 1 273.687 0.826 20 30 CCEDMN COC(=O)[C@@H]1CC[C@H](NC2(C#N)CCN(C)CC2)C1 ZINC001170529034 837968492 /nfs/dbraw/zinc/96/84/92/837968492.db2.gz GETNFJGBXJJZCB-NEPJUHHUSA-N 0 1 265.357 0.906 20 30 CCEDMN CC#CCN1CC[C@]2(C1)CN(C(=O)COCC)C[C@@H](C)O2 ZINC001131639744 838164174 /nfs/dbraw/zinc/16/41/74/838164174.db2.gz WQMUMSUVBNERBH-ZBFHGGJFSA-N 0 1 294.395 0.738 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCNC(=O)CC1 ZINC001131840802 838240970 /nfs/dbraw/zinc/24/09/70/838240970.db2.gz VMAGFKJROUXPGV-SNVBAGLBSA-N 0 1 273.764 0.361 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CN(C)C(C)=O)CC[C@H]1C ZINC001131833842 838245212 /nfs/dbraw/zinc/24/52/12/838245212.db2.gz FZBNFLIBAJTYSD-OCCSQVGLSA-N 0 1 279.384 0.457 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)COCCOC)CC[C@@H]1C ZINC001131931636 838267979 /nfs/dbraw/zinc/26/79/79/838267979.db2.gz VLGQGWWHEIFMMY-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1csnn1 ZINC001131971938 838278653 /nfs/dbraw/zinc/27/86/53/838278653.db2.gz DPZPSRLOWVYHHH-UHFFFAOYSA-N 0 1 291.174 0.766 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CC(C)(C)O)CC[C@H]1C ZINC001132030388 838305195 /nfs/dbraw/zinc/30/51/95/838305195.db2.gz ULDVEOFVZIXTGX-VXGBXAGGSA-N 0 1 252.358 0.750 20 30 CCEDMN COCC#CC[N@H+]1C[C@@H](NC(=O)c2cnn[n-]2)CC[C@@H]1C ZINC001132150790 838327346 /nfs/dbraw/zinc/32/73/46/838327346.db2.gz WOSVSNFMWKILKB-RYUDHWBXSA-N 0 1 291.355 0.037 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCc2nc(C)no2)CC[C@@H]1C ZINC001132211802 838336498 /nfs/dbraw/zinc/33/64/98/838336498.db2.gz RLBAKNQWEBCUKG-WCQYABFASA-N 0 1 290.367 0.913 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C2(C(N)=O)CC2)CC[C@@H]1C ZINC001132262616 838349361 /nfs/dbraw/zinc/34/93/61/838349361.db2.gz ZXNZYMSHZZRVGE-RYUDHWBXSA-N 0 1 277.368 0.244 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)C2(C(N)=O)CC2)CC[C@@H]1C ZINC001132262615 838349399 /nfs/dbraw/zinc/34/93/99/838349399.db2.gz ZXNZYMSHZZRVGE-NWDGAFQWSA-N 0 1 277.368 0.244 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)CCn2cnnn2)CC[C@H]1C ZINC001132380747 838380966 /nfs/dbraw/zinc/38/09/66/838380966.db2.gz OSONTOOCMOZXEL-OLZOCXBDSA-N 0 1 290.371 0.056 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CCCn2cncn2)CC[C@H]1C ZINC001132407710 838387610 /nfs/dbraw/zinc/38/76/10/838387610.db2.gz YYHSVZFSWVPOHA-ZIAGYGMSSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCOCCOC)CC[C@H]1C ZINC001132528555 838424512 /nfs/dbraw/zinc/42/45/12/838424512.db2.gz DCVBRZZLXOGBPQ-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCc2cn(C)nn2)CC[C@H]1C ZINC001132545836 838427462 /nfs/dbraw/zinc/42/74/62/838427462.db2.gz FMJRMTPUDRQLRA-OLZOCXBDSA-N 0 1 289.383 0.350 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)NCCNCc1cnnn1C ZINC001132568982 838437713 /nfs/dbraw/zinc/43/77/13/838437713.db2.gz IWRJXPDMOOUJOR-GHMZBOCLSA-N 0 1 265.361 0.479 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@H]1CCc2cnn(C)c2C1 ZINC001132895323 838514646 /nfs/dbraw/zinc/51/46/46/838514646.db2.gz FRODQJROMQGKCB-NSHDSACASA-N 0 1 296.802 0.983 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@@H](C)NCc1cnon1 ZINC001133909414 838772857 /nfs/dbraw/zinc/77/28/57/838772857.db2.gz LMQZQFLEAWWOOV-GHMZBOCLSA-N 0 1 282.344 0.645 20 30 CCEDMN Cc1[nH]c(NC(=O)[C@@H]2CN(C)CCN2C)c(C#N)c1C ZINC001185242991 844368581 /nfs/dbraw/zinc/36/85/81/844368581.db2.gz CAIMMRROYAYHBH-LBPRGKRZSA-N 0 1 275.356 0.688 20 30 CCEDMN C=CCNC(=O)c1ccc(N[C@](N)(CC)CO)nc1 ZINC001170859487 839442171 /nfs/dbraw/zinc/44/21/71/839442171.db2.gz RTWOYSLZEMZNPS-CYBMUJFWSA-N 0 1 264.329 0.467 20 30 CCEDMN CC[C@@](N)(CO)Nc1cnc2ccc(C#N)cc2n1 ZINC001170860800 839449166 /nfs/dbraw/zinc/44/91/66/839449166.db2.gz ZQVDNFWTTXKZPA-ZDUSSCGKSA-N 0 1 257.297 0.971 20 30 CCEDMN CCOC(=O)CCNC(=O)NC1(C#N)CCN(C)CC1 ZINC001187244409 844677181 /nfs/dbraw/zinc/67/71/81/844677181.db2.gz UAKDRZPRVWGLAK-UHFFFAOYSA-N 0 1 282.344 0.227 20 30 CCEDMN C[C@H](C(=O)N(C)C)[N@@H+](C)CCCN(C)C(=O)C#CC1CC1 ZINC001273507529 844451931 /nfs/dbraw/zinc/45/19/31/844451931.db2.gz DDTOVRDHJQPWKH-CYBMUJFWSA-N 0 1 293.411 0.657 20 30 CCEDMN C[C@H](C(=O)N(C)C)N(C)CCCN(C)C(=O)C#CC1CC1 ZINC001273507529 844451933 /nfs/dbraw/zinc/45/19/33/844451933.db2.gz DDTOVRDHJQPWKH-CYBMUJFWSA-N 0 1 293.411 0.657 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCO ZINC001110367522 844452908 /nfs/dbraw/zinc/45/29/08/844452908.db2.gz KKMJSNZCYIPCOB-SYQHCUMBSA-N 0 1 282.384 0.681 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2[nH]c(C)nc2C)[C@@H](O)C1 ZINC001090582298 839656601 /nfs/dbraw/zinc/65/66/01/839656601.db2.gz XUYPEZZDFNZKGK-OLZOCXBDSA-N 0 1 290.367 0.215 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc(N)c([N+](=O)[O-])c1 ZINC001170982943 839659620 /nfs/dbraw/zinc/65/96/20/839659620.db2.gz CWIWCBIBFHMDKU-UHFFFAOYSA-N 0 1 276.296 0.472 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cccnn2)[C@@H](O)C1 ZINC001090667610 839716921 /nfs/dbraw/zinc/71/69/21/839716921.db2.gz MHEVBUJVKPKECR-AAEUAGOBSA-N 0 1 276.340 0.218 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nc(C)oc2C)[C@@H](O)C1 ZINC001090673239 839726808 /nfs/dbraw/zinc/72/68/08/839726808.db2.gz JTGHDNSQSJMIHD-NEPJUHHUSA-N 0 1 279.340 0.642 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cnn(CC)c2)[C@@H](O)C1 ZINC001090713724 839751367 /nfs/dbraw/zinc/75/13/67/839751367.db2.gz YJXPCHZQYGQRRL-KGLIPLIRSA-N 0 1 292.383 0.183 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(C2CC2)C2CC2)[C@@H](O)C1 ZINC001090712049 839752116 /nfs/dbraw/zinc/75/21/16/839752116.db2.gz CVVIRQABIVDMOK-CABCVRRESA-N 0 1 290.407 0.997 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H]2CCC[C@]2(NC(C)=O)C1 ZINC001111556719 839784881 /nfs/dbraw/zinc/78/48/81/839784881.db2.gz RZEKMAYETOVMJS-JSGCOSHPSA-N 0 1 265.357 0.279 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c[nH]cc2C)[C@H](O)C1 ZINC001090808866 839827625 /nfs/dbraw/zinc/82/76/25/839827625.db2.gz PDJFXQSYYOZYMN-UONOGXRCSA-N 0 1 275.352 0.511 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccc[nH]2)[C@H](O)C1 ZINC001090824403 839840277 /nfs/dbraw/zinc/84/02/77/839840277.db2.gz PORANUAEEPVUSM-UONOGXRCSA-N 0 1 275.352 0.132 20 30 CCEDMN C=CCN1CC[C@H](N2CCC(NC(=O)NC)CC2)C1=O ZINC001171169449 840023333 /nfs/dbraw/zinc/02/33/33/840023333.db2.gz GUQRYXIGHVPRKM-LBPRGKRZSA-N 0 1 280.372 0.167 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@H](C)COC)C1 ZINC001149384713 840127897 /nfs/dbraw/zinc/12/78/97/840127897.db2.gz WKVSJGITWKZTID-OLZOCXBDSA-N 0 1 270.373 0.662 20 30 CCEDMN CC(C)N1CCO[C@H](C(=O)NCC2CC(NCC#N)C2)C1 ZINC001091201581 840130620 /nfs/dbraw/zinc/13/06/20/840130620.db2.gz YWVNSMPJHYFDCX-RUXDESIVSA-N 0 1 294.399 0.104 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1C[C@H]2CN(CC#N)C[C@@]2(C)C1 ZINC001091531189 840256369 /nfs/dbraw/zinc/25/63/69/840256369.db2.gz MEEXQKVEFDOYBL-IPYPFGDCSA-N 0 1 276.384 0.384 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)c3ccc[nH]3)C2)C1 ZINC001146992560 840435755 /nfs/dbraw/zinc/43/57/55/840435755.db2.gz SKMJYNALTJRZJU-UHFFFAOYSA-N 0 1 287.363 0.812 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)CC3OCCCO3)C[C@]2(C)C1 ZINC001091613722 840478111 /nfs/dbraw/zinc/47/81/11/840478111.db2.gz LNESTSYESUJYIX-CJNGLKHVSA-N 0 1 292.379 0.553 20 30 CCEDMN C=C(Cl)CNCCNC(=O)COCCCOC ZINC001147056158 840481509 /nfs/dbraw/zinc/48/15/09/840481509.db2.gz NEXIWRGCZKMRDG-UHFFFAOYSA-N 0 1 264.753 0.498 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CNC(=O)CCC)C2)C1 ZINC001147117284 840492924 /nfs/dbraw/zinc/49/29/24/840492924.db2.gz RJLWAWBNFFNGFG-UHFFFAOYSA-N 0 1 277.368 0.070 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COC(C)C)C2)C1 ZINC001147147971 840502043 /nfs/dbraw/zinc/50/20/43/840502043.db2.gz ZQMQEGJWNGPLQW-UHFFFAOYSA-N 0 1 250.342 0.579 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCCCN1C(N)=O ZINC001147359989 840568918 /nfs/dbraw/zinc/56/89/18/840568918.db2.gz YVRWQYQWYKYIMO-JTQLQIEISA-N 0 1 288.779 0.378 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CC2(C1)CCN(CCF)C2 ZINC001147445836 840599667 /nfs/dbraw/zinc/59/96/67/840599667.db2.gz LRJJKWOVZQHVLI-CYBMUJFWSA-N 0 1 270.348 0.817 20 30 CCEDMN CC[C@@H](F)C(=O)N1CC2(C1)CCN(CC#CCOC)C2 ZINC001148059932 840734759 /nfs/dbraw/zinc/73/47/59/840734759.db2.gz RCSUWTDOXRGNAM-CYBMUJFWSA-N 0 1 282.359 0.919 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cc(C)ncn2)cn1 ZINC001148382917 840796421 /nfs/dbraw/zinc/79/64/21/840796421.db2.gz KEMQPLMRBVAIKU-UHFFFAOYSA-N 0 1 295.346 0.681 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C(F)F)C1 ZINC001149038578 840923550 /nfs/dbraw/zinc/92/35/50/840923550.db2.gz OYJWTXGMUUJMSE-JTQLQIEISA-N 0 1 260.284 0.482 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CN(C(=O)CCc3cncnc3)C[C@]2(C)C1 ZINC001091853760 840969071 /nfs/dbraw/zinc/96/90/71/840969071.db2.gz UIDGCTSVIVQOKW-WBVHZDCISA-N 0 1 298.390 0.823 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@@H]2CCC[C@]2(NC(=O)CC)C1 ZINC001111557388 841152525 /nfs/dbraw/zinc/15/25/25/841152525.db2.gz DCUFXFAORQHUGV-WWGRRREGSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CC[C@H]2CN(C(=O)c3cn(C)ccc3=O)CC[C@H]21 ZINC001036768267 841186270 /nfs/dbraw/zinc/18/62/70/841186270.db2.gz CTKRROVAAMFEAL-DZGCQCFKSA-N 0 1 299.374 0.555 20 30 CCEDMN C#CC[N@@H+]1C[C@@H]2CCN(C(=O)COC(C)(C)C)[C@@H]2C1 ZINC001187605319 844740070 /nfs/dbraw/zinc/74/00/70/844740070.db2.gz DONBNGWZKWMYME-QWHCGFSZSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COC(C)(C)C)[C@@H]2C1 ZINC001187605319 844740077 /nfs/dbraw/zinc/74/00/77/844740077.db2.gz DONBNGWZKWMYME-QWHCGFSZSA-N 0 1 264.369 0.967 20 30 CCEDMN CC1(N2CCOCC2)CCN(C(=O)[C@@H]2C[C@@H]2C#N)CC1 ZINC001186976961 844631331 /nfs/dbraw/zinc/63/13/31/844631331.db2.gz HOJQWHPLRVLCRK-CHWSQXEVSA-N 0 1 277.368 0.859 20 30 CCEDMN Cc1noc(CNC[C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@H]2C)n1 ZINC001093678618 841426336 /nfs/dbraw/zinc/42/63/36/841426336.db2.gz LZZODDDGUUJPBE-HOSYDEDBSA-N 0 1 291.355 0.722 20 30 CCEDMN Cc1nc(NCCNC(=O)Cc2nnc[nH]2)ccc1C#N ZINC001093852578 841476755 /nfs/dbraw/zinc/47/67/55/841476755.db2.gz QLGHTZIZLHSKEM-UHFFFAOYSA-N 0 1 285.311 0.151 20 30 CCEDMN Cc1cc(NCCNC(=O)CCc2cnc[nH]2)c(C#N)cn1 ZINC001094225072 841571837 /nfs/dbraw/zinc/57/18/37/841571837.db2.gz NWEDJLWLIYFQAS-UHFFFAOYSA-N 0 1 298.350 0.568 20 30 CCEDMN N#Cc1nccnc1NCCCNC(=O)Cc1cnc[nH]1 ZINC001094808382 841728147 /nfs/dbraw/zinc/72/81/47/841728147.db2.gz MOVVHDUJDVYLAK-UHFFFAOYSA-N 0 1 285.311 0.232 20 30 CCEDMN N#Cc1cnccc1NCCCNC(=O)CCc1cnc[nH]1 ZINC001094856390 841776051 /nfs/dbraw/zinc/77/60/51/841776051.db2.gz YTWPQKNNZHEPPO-UHFFFAOYSA-N 0 1 298.350 0.649 20 30 CCEDMN C=CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC(=O)N1)C2 ZINC001095239741 842122356 /nfs/dbraw/zinc/12/23/56/842122356.db2.gz HLRBZLLVGATAIX-WYUUTHIRSA-N 0 1 263.341 0.173 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC(=O)N1)C2 ZINC001095239741 842122365 /nfs/dbraw/zinc/12/23/65/842122365.db2.gz HLRBZLLVGATAIX-WYUUTHIRSA-N 0 1 263.341 0.173 20 30 CCEDMN CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC(=O)N1)C2 ZINC001095238833 842124074 /nfs/dbraw/zinc/12/40/74/842124074.db2.gz KCHASXPIBXPQKN-NDBYEHHHSA-N 0 1 275.352 0.010 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H]1CCC(=O)N1)C2 ZINC001095238833 842124078 /nfs/dbraw/zinc/12/40/78/842124078.db2.gz KCHASXPIBXPQKN-NDBYEHHHSA-N 0 1 275.352 0.010 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@@H]1CCOC1)C2 ZINC001095253521 842131603 /nfs/dbraw/zinc/13/16/03/842131603.db2.gz IZMDYIMAUSKWFL-CRWXNKLISA-N 0 1 262.353 0.768 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)CCCCC(=O)NC)C2 ZINC001110179103 842226768 /nfs/dbraw/zinc/22/67/68/842226768.db2.gz UWRLKKHPSQHIIE-RDBSUJKOSA-N 0 1 291.395 0.648 20 30 CCEDMN N#Cc1cccc([C@H](O)CN2CC[C@@H](O)[C@@H](O)C2)c1 ZINC001175410236 842247664 /nfs/dbraw/zinc/24/76/64/842247664.db2.gz HSFDWRXWBXSKCB-MCIONIFRSA-N 0 1 262.309 0.019 20 30 CCEDMN CN1CCN(c2ccc(-n3nc(N)c(C#N)c3N)cn2)CC1 ZINC001176654460 842402664 /nfs/dbraw/zinc/40/26/64/842402664.db2.gz DYCURBYSCPOVNS-UHFFFAOYSA-N 0 1 298.354 0.055 20 30 CCEDMN Cc1cc(C#N)nc(NC2(CNC(=O)c3ncn[nH]3)CC2)n1 ZINC001110200242 842506773 /nfs/dbraw/zinc/50/67/73/842506773.db2.gz YMYIZQYVAGMCAO-UHFFFAOYSA-N 0 1 298.310 0.149 20 30 CCEDMN Cc1cc(C#N)nc(NC2(CNC(=O)c3nc[nH]n3)CC2)n1 ZINC001110200242 842506776 /nfs/dbraw/zinc/50/67/76/842506776.db2.gz YMYIZQYVAGMCAO-UHFFFAOYSA-N 0 1 298.310 0.149 20 30 CCEDMN N#Cc1cc(CC(=O)N2CCN3CC[C@@H]3C2)ccn1 ZINC001177670762 842648504 /nfs/dbraw/zinc/64/85/04/842648504.db2.gz JKVGIPLXBDJGAR-CYBMUJFWSA-N 0 1 256.309 0.412 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](COC)c1nn[nH]n1 ZINC001177827400 842672107 /nfs/dbraw/zinc/67/21/07/842672107.db2.gz FZNFOYJYKANSKA-VIFPVBQESA-N 0 1 251.290 0.197 20 30 CCEDMN COc1ccc(CC(=O)Nc2nc[nH]c2C#N)cn1 ZINC001177877404 842712666 /nfs/dbraw/zinc/71/26/66/842712666.db2.gz UIOCGINRAXHKQJ-UHFFFAOYSA-N 0 1 257.253 0.866 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@H]1CCCN(c2ccncn2)C1 ZINC001178123764 842779983 /nfs/dbraw/zinc/77/99/83/842779983.db2.gz RQBDCMKATFGJFU-JTQLQIEISA-N 0 1 297.322 0.926 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC[C@@H]1C[N@@H+](CC=C)CCCO1 ZINC001150810466 842887882 /nfs/dbraw/zinc/88/78/82/842887882.db2.gz DKEKXCKVDRWPAS-ZIAGYGMSSA-N 0 1 282.384 0.971 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC[C@@H]1CN(CC=C)CCCO1 ZINC001150810466 842887885 /nfs/dbraw/zinc/88/78/85/842887885.db2.gz DKEKXCKVDRWPAS-ZIAGYGMSSA-N 0 1 282.384 0.971 20 30 CCEDMN C=C(C)C(=O)NCCCNC(=O)[C@H]1CCCCN1C ZINC001178697093 842902534 /nfs/dbraw/zinc/90/25/34/842902534.db2.gz BOUMICWPDCBIEU-GFCCVEGCSA-N 0 1 267.373 0.669 20 30 CCEDMN CCOC(=O)[C@@H]1c2n[nH]cc2CCN1C(=O)C(C)C#N ZINC001179894905 843070524 /nfs/dbraw/zinc/07/05/24/843070524.db2.gz FXIHSDDYJBJJSW-KCJUWKMLSA-N 0 1 276.296 0.558 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N[C@H](C)C1=NN(C)CC1=O ZINC001179926900 843080709 /nfs/dbraw/zinc/08/07/09/843080709.db2.gz PKTNQNJZXAXTRO-KOLCDFICSA-N 0 1 294.355 0.774 20 30 CCEDMN CC(C)N1CCN(CC(=O)Nc2[nH]cnc2C#N)CC1 ZINC001180343216 843147820 /nfs/dbraw/zinc/14/78/20/843147820.db2.gz RWGVPNDHZABJQC-UHFFFAOYSA-N 0 1 276.344 0.246 20 30 CCEDMN CCN(CC)CC(=O)Nc1c(C#N)cnn1C(=O)OC ZINC001180390948 843161380 /nfs/dbraw/zinc/16/13/80/843161380.db2.gz KSIIRDKZGAPOKO-UHFFFAOYSA-N 0 1 279.300 0.650 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)Cc1[nH]nc2ccccc21 ZINC001182062213 843702078 /nfs/dbraw/zinc/70/20/78/843702078.db2.gz OGMTUIRVJYNHAK-NSHDSACASA-N 0 1 293.348 0.693 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CC[C@@H](NCc2ccccn2)C1 ZINC001182504666 843846943 /nfs/dbraw/zinc/84/69/43/843846943.db2.gz HHMVEUTWWQMTSN-DZGCQCFKSA-N 0 1 287.363 0.810 20 30 CCEDMN C#CC(C)(C)C(=O)Nc1[nH]nc(SC)c1C(N)=O ZINC001182633873 843868364 /nfs/dbraw/zinc/86/83/64/843868364.db2.gz FZDGKSQZMSRTBA-UHFFFAOYSA-N 0 1 266.326 0.828 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](CC)OCC)[C@@H]2C1 ZINC001187101144 844649991 /nfs/dbraw/zinc/64/99/91/844649991.db2.gz JCWFRYZRJBIEQC-MJBXVCDLSA-N 0 1 264.369 0.967 20 30 CCEDMN COCC#CC(=O)Nc1nc[nH]c(=O)c1Br ZINC001187122861 844653705 /nfs/dbraw/zinc/65/37/05/844653705.db2.gz DSJNUOZVPFIMIA-UHFFFAOYSA-N 0 1 286.085 0.533 20 30 CCEDMN C=CCCC(=O)N1CC[C@H]2CN([C@H](CC)C(N)=O)C[C@H]21 ZINC001187240684 844675726 /nfs/dbraw/zinc/67/57/26/844675726.db2.gz WJFGXSUTXNIEQG-YNEHKIRRSA-N 0 1 279.384 0.749 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(=O)C2CCC2)C1 ZINC001188099966 844830678 /nfs/dbraw/zinc/83/06/78/844830678.db2.gz PMIBLNKWIUHRHM-GFCCVEGCSA-N 0 1 299.802 0.846 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@H]1CCN(C(=O)C2CC2)C1 ZINC001188572424 844905638 /nfs/dbraw/zinc/90/56/38/844905638.db2.gz IFZRQPTVXDRJNZ-YPMHNXCESA-N 0 1 279.384 0.620 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C2CCOCC2)C1 ZINC001188580555 844924407 /nfs/dbraw/zinc/92/44/07/844924407.db2.gz SIUPCCBORQLHQY-OAHLLOKOSA-N 0 1 294.395 0.596 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)C(C)C)C1 ZINC001188906580 844995379 /nfs/dbraw/zinc/99/53/79/844995379.db2.gz OMDVBJDGSZWVCX-CYBMUJFWSA-N 0 1 252.358 0.825 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCn2cccn2)C1 ZINC001188906612 844996824 /nfs/dbraw/zinc/99/68/24/844996824.db2.gz PPWPJHSKNQSGQF-AWEZNQCLSA-N 0 1 274.368 0.829 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@H]1CCN(C(=O)CSCC#N)C1 ZINC001188974577 845012026 /nfs/dbraw/zinc/01/20/26/845012026.db2.gz RCMYFLZQKPBLBY-WDEREUQCSA-N 0 1 298.412 0.040 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@@H](C)SC)C1 ZINC001189014201 845025261 /nfs/dbraw/zinc/02/52/61/845025261.db2.gz VWQRRBAJJOFLEX-OLZOCXBDSA-N 0 1 284.425 0.920 20 30 CCEDMN C=CCOC(=O)N[C@@H]1CCCN(C(=O)c2nc(C)n[nH]2)C1 ZINC001189867818 845235707 /nfs/dbraw/zinc/23/57/07/845235707.db2.gz QTSSYKSZTRQGRX-SNVBAGLBSA-N 0 1 293.327 0.630 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCc2ncc[nH]2)C1 ZINC001189875310 845245150 /nfs/dbraw/zinc/24/51/50/845245150.db2.gz YQNXJRDXXMRSBI-ZDUSSCGKSA-N 0 1 274.368 0.898 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](N(C)CC#CCOC)C1 ZINC001189910501 845265731 /nfs/dbraw/zinc/26/57/31/845265731.db2.gz PMQQMRCAIGVLLH-OAHLLOKOSA-N 0 1 276.380 0.972 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](OC)C2CC2)C1 ZINC001189917632 845267396 /nfs/dbraw/zinc/26/73/96/845267396.db2.gz ITMYUUSUFHGBPI-KGLIPLIRSA-N 0 1 264.369 0.967 20 30 CCEDMN CC#CC[N@H+](C)[C@H]1CCN(C(=O)c2cnn(CC)n2)C1 ZINC001189973217 845287399 /nfs/dbraw/zinc/28/73/99/845287399.db2.gz LUHAANJLVFKNJH-LBPRGKRZSA-N 0 1 275.356 0.468 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnn(CC)n2)C1 ZINC001189973217 845287411 /nfs/dbraw/zinc/28/74/11/845287411.db2.gz LUHAANJLVFKNJH-LBPRGKRZSA-N 0 1 275.356 0.468 20 30 CCEDMN C#Cc1cnc(NC(=O)c2cn[nH]c2CC)c(C#C)n1 ZINC001190132688 845346801 /nfs/dbraw/zinc/34/68/01/845346801.db2.gz WTBMIOCEOYTFHO-UHFFFAOYSA-N 0 1 265.276 0.977 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H](C)NC(=O)CC)C1 ZINC001190327130 845388010 /nfs/dbraw/zinc/38/80/10/845388010.db2.gz BGVBZLJDXFGZQH-CHWSQXEVSA-N 0 1 279.384 0.457 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)N[C@@H](C)C(=O)OC ZINC001190573235 845434201 /nfs/dbraw/zinc/43/42/01/845434201.db2.gz PHPQUPUIDFSNAD-QWRGUYRKSA-N 0 1 269.345 0.498 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnc3n[nH]nc3c2)C1 ZINC001190684707 845464299 /nfs/dbraw/zinc/46/42/99/845464299.db2.gz MITOYSQIRHHNFT-LBPRGKRZSA-N 0 1 298.350 0.523 20 30 CCEDMN C=CC[C@@](C)(NS(=O)(=O)c1ncc[nH]1)C(=O)OC ZINC001190762556 845476275 /nfs/dbraw/zinc/47/62/75/845476275.db2.gz LZDKHERVRYRDOW-SNVBAGLBSA-N 0 1 273.314 0.196 20 30 CCEDMN C=C[C@@H]1C[C@@]1(NS(=O)(=O)c1ncc[nH]1)C(=O)OCC ZINC001190748579 845489685 /nfs/dbraw/zinc/48/96/85/845489685.db2.gz DKRVFPXQZAJNBQ-KCJUWKMLSA-N 0 1 285.325 0.196 20 30 CCEDMN CC(C)(O)C#Cc1ccc(C(=O)NCc2nn[nH]n2)cc1 ZINC001191188833 845613673 /nfs/dbraw/zinc/61/36/73/845613673.db2.gz KEWXZMMTUUQEHX-UHFFFAOYSA-N 0 1 285.307 0.252 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)Cc2cc(C)nn2C)C1 ZINC001191318767 845632535 /nfs/dbraw/zinc/63/25/35/845632535.db2.gz DXVDPVOUGUGLDY-CQSZACIVSA-N 0 1 288.395 0.827 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cc2cc(C)nn2C)C1 ZINC001191318767 845632539 /nfs/dbraw/zinc/63/25/39/845632539.db2.gz DXVDPVOUGUGLDY-CQSZACIVSA-N 0 1 288.395 0.827 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCc2nc(C)no2)C1 ZINC001191632014 845699019 /nfs/dbraw/zinc/69/90/19/845699019.db2.gz ANXYOGNFMJEGMI-ZDUSSCGKSA-N 0 1 290.367 0.867 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOCCCC)C[C@H]1O ZINC001191653088 845709006 /nfs/dbraw/zinc/70/90/06/845709006.db2.gz YNLDMRVFLKZSIL-ZIAGYGMSSA-N 0 1 284.400 0.931 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@H](C)CCC)C1 ZINC001191686941 845719064 /nfs/dbraw/zinc/71/90/64/845719064.db2.gz LOMVLEJGDQNUEN-MGPQQGTHSA-N 0 1 266.385 0.997 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)C(C)(C)NC(=O)NCC)C1 ZINC001192672725 845889720 /nfs/dbraw/zinc/88/97/20/845889720.db2.gz XACNWPSKOHOGPE-LBPRGKRZSA-N 0 1 294.399 0.250 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CNC(=O)CCC(C)C)C1 ZINC001192889362 845925823 /nfs/dbraw/zinc/92/58/23/845925823.db2.gz WAVQIHAKCAXLET-AWEZNQCLSA-N 0 1 293.411 0.705 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)Nc1cnc2c(c1)COCC2 ZINC001193095202 845993219 /nfs/dbraw/zinc/99/32/19/845993219.db2.gz RSWHOPXUSQKYSX-MRVPVSSYSA-N 0 1 267.310 0.808 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2nonc2C)C1 ZINC001193171161 845995176 /nfs/dbraw/zinc/99/51/76/845995176.db2.gz RLHSSCDZVJEXBG-LBPRGKRZSA-N 0 1 276.340 0.477 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NCCN1CCCCCC1 ZINC001193159957 846009600 /nfs/dbraw/zinc/00/96/00/846009600.db2.gz UWAQIDRZVWKRLF-NSHDSACASA-N 0 1 259.375 0.694 20 30 CCEDMN COC(=O)[C@@H]1C[C@H](F)CN1C(=O)c1ccc(O)c(C#N)c1 ZINC001193505781 846101013 /nfs/dbraw/zinc/10/10/13/846101013.db2.gz IHLGOPWEVAQLPE-QWRGUYRKSA-N 0 1 292.266 0.989 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@@H](O)[C@H](CO)C2)ccc1O ZINC001193507292 846101890 /nfs/dbraw/zinc/10/18/90/846101890.db2.gz VBUPGNCDBUDVER-WCQYABFASA-N 0 1 276.292 0.079 20 30 CCEDMN N#Cc1cc(C(=O)NC2CC(CO)(CO)C2)ccc1O ZINC001193514506 846103004 /nfs/dbraw/zinc/10/30/04/846103004.db2.gz FIRZXOQXXHVNJC-UHFFFAOYSA-N 0 1 276.292 0.127 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H](NC(C)=O)C(C)C)C1 ZINC001193580467 846110502 /nfs/dbraw/zinc/11/05/02/846110502.db2.gz SZKNHLQNNJYBPK-HUUCEWRRSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(COC)CCCC2)C1 ZINC001193584354 846112671 /nfs/dbraw/zinc/11/26/71/846112671.db2.gz FSPNFFGQFIYUEK-ZIAGYGMSSA-N 0 1 294.395 0.378 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCC(=O)NC(C)C)C1 ZINC001193550069 846115396 /nfs/dbraw/zinc/11/53/96/846115396.db2.gz GDXRHMOIPCGMKV-AWEZNQCLSA-N 0 1 293.411 0.847 20 30 CCEDMN CN1CCN(C(=O)c2cccc(C#N)c2O)CC1=O ZINC001193635719 846131285 /nfs/dbraw/zinc/13/12/85/846131285.db2.gz ZHGHGZDAUYKGFX-UHFFFAOYSA-N 0 1 259.265 0.178 20 30 CCEDMN CC(=O)NC[C@@H](C)CNC(=O)c1cccc(C#N)c1O ZINC001193658729 846140393 /nfs/dbraw/zinc/14/03/93/846140393.db2.gz FODQKKMBRBJIPV-SECBINFHSA-N 0 1 275.308 0.766 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)COCCOCC)C1 ZINC001194315511 846261690 /nfs/dbraw/zinc/26/16/90/846261690.db2.gz PKCPPMPHWGNLMJ-AWEZNQCLSA-N 0 1 282.384 0.596 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCCCC(=O)NC)C1 ZINC001194387928 846282567 /nfs/dbraw/zinc/28/25/67/846282567.db2.gz ILYPEHAPJYVGKF-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CC(F)F)C1 ZINC001194808505 846395528 /nfs/dbraw/zinc/39/55/28/846395528.db2.gz FFPNSYASRQTUAT-GMTAPVOTSA-N 0 1 274.311 0.462 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(CCOC)C[C@H]1O ZINC001195254736 846485636 /nfs/dbraw/zinc/48/56/36/846485636.db2.gz GGHUONSQGKEWBT-VXGBXAGGSA-N 0 1 270.373 0.396 20 30 CCEDMN C=C(C)C(C)(C)C(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1O ZINC001195255586 846486056 /nfs/dbraw/zinc/48/60/56/846486056.db2.gz VRMGTVCLFDNKDZ-QJPTWQEYSA-N 0 1 270.373 0.131 20 30 CCEDMN C#CCN1C[C@]2(CC1=O)CCCCN2[C@@H](CC)C(N)=O ZINC001273703863 846596122 /nfs/dbraw/zinc/59/61/22/846596122.db2.gz LHSAIHSZDWGWNB-SWLSCSKDSA-N 0 1 277.368 0.341 20 30 CCEDMN CN1CCO[C@H](CNC(=O)c2ccc(C#N)cc2O)C1 ZINC001195757305 846610818 /nfs/dbraw/zinc/61/08/18/846610818.db2.gz ARSSAMYFDKXAOF-LLVKDONJSA-N 0 1 275.308 0.324 20 30 CCEDMN CN1C[C@@H](NC(=O)c2ccc(C#N)cc2O)CCC1=O ZINC001195764162 846614213 /nfs/dbraw/zinc/61/42/13/846614213.db2.gz HHBXFVURWITXFV-JTQLQIEISA-N 0 1 273.292 0.614 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C2(CC=C)CCC2)C1 ZINC001195896964 846639310 /nfs/dbraw/zinc/63/93/10/846639310.db2.gz IGVCEAHJLRKPOJ-ZIAGYGMSSA-N 0 1 276.380 0.917 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)[C@@](C)(C=C)CC)C1 ZINC001195923854 846648769 /nfs/dbraw/zinc/64/87/69/846648769.db2.gz NOXRWPBBRVQIAT-FMKPAKJESA-N 0 1 296.411 0.953 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@@H]1CN(CCCO)C[C@H]1O ZINC001195924731 846649856 /nfs/dbraw/zinc/64/98/56/846649856.db2.gz YVEPMDLMEWMJKM-YRGRVCCFSA-N 0 1 270.373 0.132 20 30 CCEDMN C[C@@H](C#N)OCCN1CCC[C@H](c2nnc(N)o2)C1 ZINC001196526339 846761728 /nfs/dbraw/zinc/76/17/28/846761728.db2.gz ORXFQPHLMNWHDX-UWVGGRQHSA-N 0 1 265.317 0.760 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@]2(COC)CCOC2)CC1 ZINC001196673232 846787170 /nfs/dbraw/zinc/78/71/70/846787170.db2.gz CXXHFQPUAFVYJO-MRXNPFEDSA-N 0 1 294.395 0.597 20 30 CCEDMN CC(=O)Nc1c[nH]c(C(=O)Nc2nc[nH]c2C#N)c1 ZINC001196871203 846812827 /nfs/dbraw/zinc/81/28/27/846812827.db2.gz GXMMGEUIAKNGGY-UHFFFAOYSA-N 0 1 258.241 0.820 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CCCOC)C1 ZINC001197558435 846931999 /nfs/dbraw/zinc/93/19/99/846931999.db2.gz BGCVIGFUOCEKFE-MGPQQGTHSA-N 0 1 282.384 0.234 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)n2cccn2)C1 ZINC001197612293 846933678 /nfs/dbraw/zinc/93/36/78/846933678.db2.gz MDHPODABFCMSQZ-MGPQQGTHSA-N 0 1 292.383 0.572 20 30 CCEDMN C#CCC[N@@H+]1CCCN(C(=O)[C@@H](C)OCCOCC)CC1 ZINC001198236359 847071618 /nfs/dbraw/zinc/07/16/18/847071618.db2.gz KYVZAOOJDNVJNA-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@H](C)OCCOCC)CC1 ZINC001198236359 847071626 /nfs/dbraw/zinc/07/16/26/847071626.db2.gz KYVZAOOJDNVJNA-OAHLLOKOSA-N 0 1 296.411 0.986 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CCCN(CCO)CC1 ZINC001198342828 847091275 /nfs/dbraw/zinc/09/12/75/847091275.db2.gz BOFNFLPCLPCIMF-GFCCVEGCSA-N 0 1 256.346 0.104 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1cnccc1N(C)C ZINC001199352109 847295183 /nfs/dbraw/zinc/29/51/83/847295183.db2.gz RDHZZGRGPWRUCL-JTQLQIEISA-N 0 1 276.296 0.333 20 30 CCEDMN N#Cc1cnc(C(=O)N2CCN3CCC2CC3)cn1 ZINC001199664585 847388640 /nfs/dbraw/zinc/38/86/40/847388640.db2.gz AGADZWAPUPOHTO-UHFFFAOYSA-N 0 1 257.297 0.268 20 30 CCEDMN C#CCN1CC[C@@]2(CCCN2C(C)(C)C(=O)NC)C1=O ZINC001273839557 847650607 /nfs/dbraw/zinc/65/06/07/847650607.db2.gz ROFFAOBXPSEYDD-HNNXBMFYSA-N 0 1 277.368 0.211 20 30 CCEDMN Cc1ccc(S(=O)(=O)NCCN(C)C)cc1C#N ZINC001201288324 847753552 /nfs/dbraw/zinc/75/35/52/847753552.db2.gz YBIIZUMCTFCRDJ-UHFFFAOYSA-N 0 1 267.354 0.707 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)NC(=O)COC)C2 ZINC001110588830 847908737 /nfs/dbraw/zinc/90/87/37/847908737.db2.gz QJHSDCAMPCRMOM-LOWDOPEQSA-N 0 1 295.383 0.045 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1ccnc(OC)n1 ZINC001153135025 847930580 /nfs/dbraw/zinc/93/05/80/847930580.db2.gz LGECLCCNZCPNQL-LLVKDONJSA-N 0 1 294.355 0.282 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ccc(OC)c(OC)n1 ZINC001252495219 847990995 /nfs/dbraw/zinc/99/09/95/847990995.db2.gz KYHRNIDRDNWIND-LBPRGKRZSA-N 0 1 282.340 0.752 20 30 CCEDMN C#CCN1CCN(C(=O)c2cc(C(F)F)[nH]n2)CC1 ZINC000878447769 848136854 /nfs/dbraw/zinc/13/68/54/848136854.db2.gz NTGKZGZSFGWFLA-UHFFFAOYSA-N 0 1 268.267 0.738 20 30 CCEDMN C=CCNC(=O)NC1(C(=O)OC)CCN(C)CC1 ZINC001202577794 848182175 /nfs/dbraw/zinc/18/21/75/848182175.db2.gz LLKMLGRTYXSSJK-UHFFFAOYSA-N 0 1 255.318 0.109 20 30 CCEDMN C=CCC1(O)CCN(CC(=O)N2CCO[C@H](C)C2)CC1 ZINC000717465585 848267090 /nfs/dbraw/zinc/26/70/90/848267090.db2.gz UCILZGJAGFGGPQ-CYBMUJFWSA-N 0 1 282.384 0.637 20 30 CCEDMN CC(C)OC(=O)CN1CC[C@]2(CCN(CCC#N)C2)C1=O ZINC001274031546 848312613 /nfs/dbraw/zinc/31/26/13/848312613.db2.gz UJTMXTBXHRNHHG-HNNXBMFYSA-N 0 1 293.367 0.776 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cncnc1C ZINC001274129974 848356955 /nfs/dbraw/zinc/35/69/55/848356955.db2.gz ZIFIYPGDKYTORZ-HUUCEWRRSA-N 0 1 284.363 0.984 20 30 CCEDMN C#CC[C@H](CO)NS(=O)(=O)c1c(F)cccc1F ZINC000717784904 848390194 /nfs/dbraw/zinc/39/01/94/848390194.db2.gz UCFOSYQXYVKFOH-MRVPVSSYSA-N 0 1 275.276 0.627 20 30 CCEDMN C#CCN1CC[C@@]2(CCN(Cc3ncc(C)cn3)C2)C1=O ZINC001274442118 848477009 /nfs/dbraw/zinc/47/70/09/848477009.db2.gz MJDUEPGSVXNYKO-MRXNPFEDSA-N 0 1 284.363 0.843 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N(C(=O)c1ccnc(C#N)c1)C2 ZINC001274660751 848533863 /nfs/dbraw/zinc/53/38/63/848533863.db2.gz XGRPNWXSIDYODY-MFKMUULPSA-N 0 1 256.309 0.729 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)c2cc(C)no2)CC1 ZINC001274891399 848585232 /nfs/dbraw/zinc/58/52/32/848585232.db2.gz FSGBVEXJXSWRGO-UHFFFAOYSA-N 0 1 291.351 0.563 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)CNCc1cc(C)no1 ZINC001275098191 848636713 /nfs/dbraw/zinc/63/67/13/848636713.db2.gz AVNKVZMSUCYSNI-NSHDSACASA-N 0 1 267.329 0.780 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H](C)CNC(=O)c1ccc(=O)n(C)c1 ZINC001275865455 848850326 /nfs/dbraw/zinc/85/03/26/848850326.db2.gz KMRWWWJLXGSMSD-LBPRGKRZSA-N 0 1 275.352 0.459 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1ccc(=O)n(C)c1 ZINC001275865455 848850336 /nfs/dbraw/zinc/85/03/36/848850336.db2.gz KMRWWWJLXGSMSD-LBPRGKRZSA-N 0 1 275.352 0.459 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)c1cc(F)c[nH]1 ZINC001275882383 848856760 /nfs/dbraw/zinc/85/67/60/848856760.db2.gz NGNDANYFOFQBTA-LLVKDONJSA-N 0 1 281.331 0.854 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CCCn1ccccc1=O ZINC001275896836 848860039 /nfs/dbraw/zinc/86/00/39/848860039.db2.gz FETBVAZBVFSCIB-CQSZACIVSA-N 0 1 289.379 0.698 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCCNCc1nonc1C ZINC001276001733 848887621 /nfs/dbraw/zinc/88/76/21/848887621.db2.gz LSBOVZQVVFMUMN-LBPRGKRZSA-N 0 1 294.355 0.354 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@H]3C[C@]32C(N)=O)ccc1O ZINC001276156607 848942749 /nfs/dbraw/zinc/94/27/49/848942749.db2.gz VVLLQGSWMCDKLB-IINYFYTJSA-N 0 1 271.276 0.354 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1COCCN1CC)C2 ZINC001095655584 849003446 /nfs/dbraw/zinc/00/34/46/849003446.db2.gz LIULAQYUTAZQOR-QPSCCSFWSA-N 0 1 293.411 0.615 20 30 CCEDMN CN(CCCNC(=O)Cc1cnc[nH]1)c1nccnc1C#N ZINC001095746681 849133307 /nfs/dbraw/zinc/13/33/07/849133307.db2.gz XCGQRSMVBIHWGM-UHFFFAOYSA-N 0 1 299.338 0.257 20 30 CCEDMN CN(CCNC(=O)c1[nH]ncc1F)c1ccnc(C#N)n1 ZINC001100310704 849139470 /nfs/dbraw/zinc/13/94/70/849139470.db2.gz FVYIYPZQVCSLLB-UHFFFAOYSA-N 0 1 289.274 0.077 20 30 CCEDMN Cn1ccc(CNC2CC(CNC(=O)C#CC3CC3)C2)n1 ZINC001100313572 849173907 /nfs/dbraw/zinc/17/39/07/849173907.db2.gz FANKYHQEQIBZJT-UHFFFAOYSA-N 0 1 286.379 0.818 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCN(C)C1=O)C2 ZINC001095885478 849337232 /nfs/dbraw/zinc/33/72/32/849337232.db2.gz IFOULWSGCOCTGW-RFGFWPKPSA-N 0 1 289.379 0.210 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1[C@H]2CN([C@H](C)C(=O)NC)C[C@H]21 ZINC001114718462 849379636 /nfs/dbraw/zinc/37/96/36/849379636.db2.gz OLIOIXUMRSFKLD-CXTNEJHOSA-N 0 1 291.395 0.361 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N[C@@H]1[C@H]2CN(CC(=O)N(C)C)C[C@H]21 ZINC001114792512 849395735 /nfs/dbraw/zinc/39/57/35/849395735.db2.gz UMXMNALAEJHMCW-DMEJVMROSA-N 0 1 293.411 0.723 20 30 CCEDMN CC[C@@H]1C[C@H]1C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001114855777 849443161 /nfs/dbraw/zinc/44/31/61/849443161.db2.gz DKAOKAQOCDNXSN-ZSAUSMIDSA-N 0 1 276.380 0.729 20 30 CCEDMN C=C[C@@H](O)CN1CCN(c2ncccc2OC)CC1 ZINC001253580885 849549449 /nfs/dbraw/zinc/54/94/49/849549449.db2.gz AKCZAHSMNZGJEN-GFCCVEGCSA-N 0 1 263.341 0.759 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cn(C)nc1C ZINC001114927005 849658284 /nfs/dbraw/zinc/65/82/84/849658284.db2.gz GEWPBPSFNRFARN-FOLVSLTJSA-N 0 1 286.379 0.341 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NC[C@@H](C(=O)[O-])C(C)C ZINC000380757761 849691545 /nfs/dbraw/zinc/69/15/45/849691545.db2.gz CUHKHGIKFNMURG-GFCCVEGCSA-N 0 1 283.372 0.598 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@H](C(=O)[O-])C1CC1 ZINC000380912733 849696456 /nfs/dbraw/zinc/69/64/56/849696456.db2.gz JWICLHXVWVHARF-NSHDSACASA-N 0 1 267.329 0.104 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cncc2[nH]cnc21 ZINC001038279359 849837145 /nfs/dbraw/zinc/83/71/45/849837145.db2.gz FEQNZZIXSAHEJE-LLVKDONJSA-N 0 1 283.335 0.785 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1cnc[nH]c1=O ZINC001038381232 849874751 /nfs/dbraw/zinc/87/47/51/849874751.db2.gz DWZDQBQVFJGHBZ-SNVBAGLBSA-N 0 1 262.313 0.562 20 30 CCEDMN C=CCN1CC[C@@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001038420040 849889852 /nfs/dbraw/zinc/88/98/52/849889852.db2.gz YKEHTVYPCQYHBE-OLZOCXBDSA-N 0 1 251.374 0.847 20 30 CCEDMN N#Cc1cccc(CN2CC[C@H]2CNC(=O)c2cnn[nH]2)c1 ZINC001038434375 849895371 /nfs/dbraw/zinc/89/53/71/849895371.db2.gz JNTJVQVFKMDIJA-ZDUSSCGKSA-N 0 1 296.334 0.681 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1nccn2ccnc12 ZINC001038715468 849994861 /nfs/dbraw/zinc/99/48/61/849994861.db2.gz HLHXNUYYJYELAG-LBPRGKRZSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1CCc2n[nH]nc2C1 ZINC001038916782 850085616 /nfs/dbraw/zinc/08/56/16/850085616.db2.gz IODLHKFUPHWDNR-NEPJUHHUSA-N 0 1 287.367 0.123 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@@H]1COC(=O)C1 ZINC001039357799 850178943 /nfs/dbraw/zinc/17/89/43/850178943.db2.gz OAZUZQAQHKQMJU-MJBXVCDLSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)C[C@H]1CCNC1=O ZINC001039383652 850182083 /nfs/dbraw/zinc/18/20/83/850182083.db2.gz HLAVVEWLBLVONL-MCIONIFRSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)Cc1ccn(C)n1 ZINC001039374051 850182494 /nfs/dbraw/zinc/18/24/94/850182494.db2.gz ATCYMCQFUGGIFV-CABCVRRESA-N 0 1 286.379 0.661 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1cnc[nH]c1=O ZINC001039390952 850184294 /nfs/dbraw/zinc/18/42/94/850184294.db2.gz PNMYJGYALFSJNC-NEPJUHHUSA-N 0 1 286.335 0.494 20 30 CCEDMN C#CCN1CCC[C@@]2(CCN(C(=O)c3nonc3C)C2)C1 ZINC001040552322 850300903 /nfs/dbraw/zinc/30/09/03/850300903.db2.gz HPEZZZUYINHFJO-OAHLLOKOSA-N 0 1 288.351 0.939 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001041543554 850464772 /nfs/dbraw/zinc/46/47/72/850464772.db2.gz KAMMPMJCOMBQRL-OAHLLOKOSA-N 0 1 279.384 0.608 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnsn3)C[C@@H]21 ZINC001041956140 850540613 /nfs/dbraw/zinc/54/06/13/850540613.db2.gz ZDJBNORLLBVJNU-PWSUYJOCSA-N 0 1 276.365 0.708 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3n[nH]nc3C)C[C@H]21 ZINC001042184246 850589839 /nfs/dbraw/zinc/58/98/39/850589839.db2.gz VBJFMQMBCIQCPP-CHWSQXEVSA-N 0 1 287.367 0.673 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cnc(C)n3C)C[C@H]21 ZINC001042196041 850592832 /nfs/dbraw/zinc/59/28/32/850592832.db2.gz GICJITKRYSYDRM-DZGCQCFKSA-N 0 1 286.379 0.898 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)Cc2nnc(C)[nH]2)C1 ZINC001042701409 850738621 /nfs/dbraw/zinc/73/86/21/850738621.db2.gz LLOHAHQLOQDJGY-UHFFFAOYSA-N 0 1 277.372 0.764 20 30 CCEDMN C=CCCN1CC(N(C)C(=O)C[C@H]2CCN(C)C2=O)C1 ZINC001043021531 850799522 /nfs/dbraw/zinc/79/95/22/850799522.db2.gz DGVGXEPVTOBADD-GFCCVEGCSA-N 0 1 279.384 0.574 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C2CN(C[C@@H]3CCOC3)C2)cn1 ZINC001044204221 851042546 /nfs/dbraw/zinc/04/25/46/851042546.db2.gz VSDWUOSFGZADHK-ZDUSSCGKSA-N 0 1 299.374 0.856 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)CC1 ZINC001045443147 851257875 /nfs/dbraw/zinc/25/78/75/851257875.db2.gz XURIUYCILFVADE-CHWSQXEVSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)[C@H]2CC(=O)N(CC)C2)CC1 ZINC001045456857 851263107 /nfs/dbraw/zinc/26/31/07/851263107.db2.gz HBRJEIFDYGCTJJ-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN C=CCN1CC[C@@H](N2CC[C@](C)(NC(C)=O)C2)C1=O ZINC001046091522 851366821 /nfs/dbraw/zinc/36/68/21/851366821.db2.gz RUIROIITVQYASV-OCCSQVGLSA-N 0 1 265.357 0.374 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccnn2C)C1 ZINC001046181189 851410590 /nfs/dbraw/zinc/41/05/90/851410590.db2.gz QDCYWGIKZGLKSP-AWEZNQCLSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCOC2)C1 ZINC001046182634 851413698 /nfs/dbraw/zinc/41/36/98/851413698.db2.gz IIWMHTWMHPBZIQ-TZMCWYRMSA-N 0 1 250.342 0.627 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC001046238874 851434542 /nfs/dbraw/zinc/43/45/42/851434542.db2.gz IEARHQJZDGLUGB-CQSZACIVSA-N 0 1 276.340 0.641 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2CCNC(=O)C2)C1 ZINC001046240206 851434854 /nfs/dbraw/zinc/43/48/54/851434854.db2.gz QBGUVLPHQPJYRY-SWLSCSKDSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2ncccc2[O-])C1 ZINC001046262353 851444635 /nfs/dbraw/zinc/44/46/35/851444635.db2.gz PNADXZMHVUQOBM-CQSZACIVSA-N 0 1 259.309 0.615 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2ncccc2O)C1 ZINC001046262353 851444639 /nfs/dbraw/zinc/44/46/39/851444639.db2.gz PNADXZMHVUQOBM-CQSZACIVSA-N 0 1 259.309 0.615 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cn(CCOC)nn2)C1 ZINC001046305399 851461328 /nfs/dbraw/zinc/46/13/28/851461328.db2.gz JASQVNPLGVXSQK-CQSZACIVSA-N 0 1 293.371 0.305 20 30 CCEDMN C[C@]1(NC(=O)[C@@H]2CCCc3[nH]ncc32)CCN(CC#N)C1 ZINC001046311390 851464022 /nfs/dbraw/zinc/46/40/22/851464022.db2.gz JGWBOQKIRAINRH-ABAIWWIYSA-N 0 1 287.367 0.934 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)[C@H]2OCCO[C@H]2C)C1 ZINC001046383792 851482180 /nfs/dbraw/zinc/48/21/80/851482180.db2.gz RPXUQYZHVBFKFP-SGMGOOAPSA-N 0 1 268.357 0.557 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccnn2CCOC)C1 ZINC001046409776 851492319 /nfs/dbraw/zinc/49/23/19/851492319.db2.gz PKRDONUQEQCQEV-HNNXBMFYSA-N 0 1 292.383 0.910 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCN(C)C(=O)C2)C1 ZINC001046429449 851499817 /nfs/dbraw/zinc/49/98/17/851499817.db2.gz SHAXOYZBSWXKEC-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ncc(OC)cn2)C1 ZINC001046470868 851517991 /nfs/dbraw/zinc/51/79/91/851517991.db2.gz RDYUCEGAFFUHTR-AWEZNQCLSA-N 0 1 276.340 0.865 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccn3nnnc3c2)C1 ZINC001046539142 851537582 /nfs/dbraw/zinc/53/75/82/851537582.db2.gz SYPIMQJOBTYBCU-AWEZNQCLSA-N 0 1 286.339 0.505 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@]1(C)CCN(CC#N)C1 ZINC001046552901 851546457 /nfs/dbraw/zinc/54/64/57/851546457.db2.gz AHWKXENILVTTIB-GXTWGEPZSA-N 0 1 264.373 0.575 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cnc(C)n2C)C1 ZINC001046576238 851553895 /nfs/dbraw/zinc/55/38/95/851553895.db2.gz ZPHHMLLKZQWRSE-HNNXBMFYSA-N 0 1 274.368 0.946 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@](C)(NC(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001046622475 851566697 /nfs/dbraw/zinc/56/66/97/851566697.db2.gz BYSNMVNRAYCROD-HNNXBMFYSA-N 0 1 290.367 0.884 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](C)(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001046622233 851568709 /nfs/dbraw/zinc/56/87/09/851568709.db2.gz UYPKWZXTQMEJJX-WOSRLPQWSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@H]2C[C@H]2C(=O)N(C)C)C1 ZINC001046622233 851568710 /nfs/dbraw/zinc/56/87/10/851568710.db2.gz UYPKWZXTQMEJJX-WOSRLPQWSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@H]2CCc3nc[nH]c3C2)C1 ZINC001046759157 851605669 /nfs/dbraw/zinc/60/56/69/851605669.db2.gz VQODAUSWWTVKRD-LRDDRELGSA-N 0 1 286.379 0.728 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](C)(NC(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC001046769787 851609716 /nfs/dbraw/zinc/60/97/16/851609716.db2.gz ASSIVYBMHDBABQ-OAHLLOKOSA-N 0 1 299.334 0.262 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cccn3c(=O)[nH]nc23)C1 ZINC001046769787 851609720 /nfs/dbraw/zinc/60/97/20/851609720.db2.gz ASSIVYBMHDBABQ-OAHLLOKOSA-N 0 1 299.334 0.262 20 30 CCEDMN C=CC[N@@H+]1CC[C@](C)(NC(=O)c2nonc2C)C1 ZINC001046870370 851636125 /nfs/dbraw/zinc/63/61/25/851636125.db2.gz MLIZAEPCQVEVMU-LBPRGKRZSA-N 0 1 250.302 0.758 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2nonc2C)C1 ZINC001046870370 851636131 /nfs/dbraw/zinc/63/61/31/851636131.db2.gz MLIZAEPCQVEVMU-LBPRGKRZSA-N 0 1 250.302 0.758 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@H]2CCCO2)C1 ZINC001047313264 851711152 /nfs/dbraw/zinc/71/11/52/851711152.db2.gz QIVXGCKXNOQVEB-RDBSUJKOSA-N 0 1 280.368 0.082 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ocnc2C)C1 ZINC001047336801 851723483 /nfs/dbraw/zinc/72/34/83/851723483.db2.gz KOYKLTPGZBIYGZ-RYUDHWBXSA-N 0 1 279.340 0.676 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2CC(C)C2)C1 ZINC001047344081 851729122 /nfs/dbraw/zinc/72/91/22/851729122.db2.gz YUUYBUCCQISHIS-HOAMVYINSA-N 0 1 264.369 0.559 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCCCO2)C1 ZINC001047348941 851730333 /nfs/dbraw/zinc/73/03/33/851730333.db2.gz HCNVXEAJZMNCPP-IHRRRGAJSA-N 0 1 280.368 0.082 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cc(C)sn2)C1 ZINC001047364884 851737476 /nfs/dbraw/zinc/73/74/76/851737476.db2.gz HDLNEJYCSHCIPL-STQMWFEESA-N 0 1 293.392 0.592 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2c(C)ccn2C)C1 ZINC001047362481 851738382 /nfs/dbraw/zinc/73/83/82/851738382.db2.gz WKSUKNGDNDPYCD-KBPBESRZSA-N 0 1 289.379 0.474 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccoc2CC)C1 ZINC001047365506 851740692 /nfs/dbraw/zinc/74/06/92/851740692.db2.gz NYNSPODZZVECFA-KBPBESRZSA-N 0 1 290.363 0.982 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccccc2)C1 ZINC001047432453 851761380 /nfs/dbraw/zinc/76/13/80/851761380.db2.gz RBPMTNXVNSWLSI-HOTGVXAUSA-N 0 1 286.375 0.756 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccc3cncn3c2)C1 ZINC001047458007 851770818 /nfs/dbraw/zinc/77/08/18/851770818.db2.gz DNYIFVBRAZOXGQ-GJZGRUSLSA-N 0 1 298.346 0.085 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2occc2CC)C1 ZINC001047515608 851795936 /nfs/dbraw/zinc/79/59/36/851795936.db2.gz GPNSKBQELVJFCG-KBPBESRZSA-N 0 1 290.363 0.982 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)[C@H]2CN(CCOC)C[C@@H]2O)C1 ZINC001047519962 851798378 /nfs/dbraw/zinc/79/83/78/851798378.db2.gz ZNBLNXLPEBLCRN-STQMWFEESA-N 0 1 282.384 0.493 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2cncc(C#C)c2)C1 ZINC001047521044 851801004 /nfs/dbraw/zinc/80/10/04/851801004.db2.gz JXDCBHWCRFAEJT-HOTGVXAUSA-N 0 1 297.358 0.203 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)[C@H]2CC23CC3)C1 ZINC001047542944 851808870 /nfs/dbraw/zinc/80/88/70/851808870.db2.gz GXXUEZSCYDAYQB-AGIUHOORSA-N 0 1 264.369 0.866 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cnn(CC)c1)C2 ZINC001096316317 851922198 /nfs/dbraw/zinc/92/21/98/851922198.db2.gz LDZYYHOMBWAZLL-ILXRZTDVSA-N 0 1 286.379 0.800 20 30 CCEDMN C=CC[N@@H+]1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001048782844 852056167 /nfs/dbraw/zinc/05/61/67/852056167.db2.gz RVKCCULZJWFGDZ-TXEJJXNPSA-N 0 1 273.336 0.977 20 30 CCEDMN C=CC[N@H+]1C[C@H]2CN(C(=O)c3ncccc3[O-])C[C@H]2C1 ZINC001048782844 852056174 /nfs/dbraw/zinc/05/61/74/852056174.db2.gz RVKCCULZJWFGDZ-TXEJJXNPSA-N 0 1 273.336 0.977 20 30 CCEDMN C#CC[N@H+]1C[C@H]2CN(C(=O)c3cc(OC)ccn3)C[C@H]2C1 ZINC001048975610 852125820 /nfs/dbraw/zinc/12/58/20/852125820.db2.gz TUBKEPPMCKZMST-BETUJISGSA-N 0 1 285.347 0.727 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ccc(=O)[nH]n1 ZINC001049288376 852219843 /nfs/dbraw/zinc/21/98/43/852219843.db2.gz BLFVDHQXEOOMTL-STQMWFEESA-N 0 1 286.335 0.494 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cc[n+]([O-])cc1 ZINC001049311254 852225839 /nfs/dbraw/zinc/22/58/39/852225839.db2.gz JBCJILGSARKDDJ-LSDHHAIUSA-N 0 1 285.347 0.632 20 30 CCEDMN C#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cc[n+]([O-])cc1 ZINC001049311251 852226658 /nfs/dbraw/zinc/22/66/58/852226658.db2.gz JBCJILGSARKDDJ-CABCVRRESA-N 0 1 285.347 0.632 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnn(C)c1 ZINC001049318115 852229596 /nfs/dbraw/zinc/22/95/96/852229596.db2.gz JDZJNZJZOGKIIB-ZIAGYGMSSA-N 0 1 272.352 0.732 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)OC ZINC001049362216 852240385 /nfs/dbraw/zinc/24/03/85/852240385.db2.gz USAHICAAMLHSTK-RWMBFGLXSA-N 0 1 250.342 0.720 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cn(C)cn1 ZINC001049418434 852266279 /nfs/dbraw/zinc/26/62/79/852266279.db2.gz IYORBHYUQKQWNX-ZIAGYGMSSA-N 0 1 272.352 0.732 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1c(C)n[nH]c1C)C2 ZINC001096532651 852284431 /nfs/dbraw/zinc/28/44/31/852284431.db2.gz PJEODOCGVBLJLF-SNPRPXQTSA-N 0 1 286.379 0.924 20 30 CCEDMN N#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)CCc1cnc[nH]1 ZINC001049516574 852300150 /nfs/dbraw/zinc/30/01/50/852300150.db2.gz DFIOFYZAUATLQC-ZIAGYGMSSA-N 0 1 287.367 0.931 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1cncn1C ZINC001049578522 852317211 /nfs/dbraw/zinc/31/72/11/852317211.db2.gz WXOQMMVTAIWNAS-QWHCGFSZSA-N 0 1 272.352 0.732 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H]1[C@@H]2COC[C@@H]21 ZINC001049654825 852336542 /nfs/dbraw/zinc/33/65/42/852336542.db2.gz MBCGXPQYMYFBBF-XPABHHOTSA-N 0 1 274.364 0.577 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1c[nH]c(=O)cn1 ZINC001049678190 852343088 /nfs/dbraw/zinc/34/30/88/852343088.db2.gz YOBYFTKMDMHKTI-STQMWFEESA-N 0 1 286.335 0.082 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1ccnnc1 ZINC001049750674 852366433 /nfs/dbraw/zinc/36/64/33/852366433.db2.gz ZKRIHDIDVLDXII-ZIAGYGMSSA-N 0 1 270.336 0.789 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1ncccn1 ZINC001049799418 852375638 /nfs/dbraw/zinc/37/56/38/852375638.db2.gz VPYKUMUPMKHQPI-STQMWFEESA-N 0 1 270.336 0.789 20 30 CCEDMN CC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cnon1 ZINC001049808595 852377419 /nfs/dbraw/zinc/37/74/19/852377419.db2.gz QVYQPWQLPHCREG-CHWSQXEVSA-N 0 1 274.324 0.772 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCC[C@@H]3[C@@H]2CC[N@@H+]3CCO)cn1 ZINC001049854944 852387059 /nfs/dbraw/zinc/38/70/59/852387059.db2.gz OVZAMJMEXBLKQE-CVEARBPZSA-N 0 1 299.374 0.734 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H]3CCCOC3)[C@@H]2C1 ZINC001049992419 852416513 /nfs/dbraw/zinc/41/65/13/852416513.db2.gz ADVSJYZMHMWOCV-RRFJBIMHSA-N 0 1 276.380 0.969 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1C[C@@H](C)CC(=O)N1)C2 ZINC001097092021 852497478 /nfs/dbraw/zinc/49/74/78/852497478.db2.gz BNGNJFIJMCMZFE-KSTCHIGDSA-N 0 1 291.395 0.809 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ccn(C)c(=O)c1)C2 ZINC001097358203 852529873 /nfs/dbraw/zinc/52/98/73/852529873.db2.gz ZJFZLPYLHZAEAY-MCIONIFRSA-N 0 1 287.363 0.906 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN1CCCNC1=O)C2 ZINC001097425218 852537837 /nfs/dbraw/zinc/53/78/37/852537837.db2.gz HTGCSHKJEJTBSU-UPJWGTAASA-N 0 1 292.383 0.309 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cc(OC)n(C)n1)C2 ZINC001097499824 852539701 /nfs/dbraw/zinc/53/97/01/852539701.db2.gz PQWMBEQDMSPKEG-WZRBSPASSA-N 0 1 290.367 0.950 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@H]1CCC(=O)N1C)C2 ZINC001097634209 852552244 /nfs/dbraw/zinc/55/22/44/852552244.db2.gz FNIFUQVHVJCSJO-SYQHCUMBSA-N 0 1 291.395 0.905 20 30 CCEDMN CC#CC[N@H+]1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(=O)n1C)C2 ZINC001097647984 852554699 /nfs/dbraw/zinc/55/46/99/852554699.db2.gz KXXCAIWWUJPXTR-UTUOFQBUSA-N 0 1 288.351 0.484 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(=O)n1C)C2 ZINC001097647984 852554706 /nfs/dbraw/zinc/55/47/06/852554706.db2.gz KXXCAIWWUJPXTR-UTUOFQBUSA-N 0 1 288.351 0.484 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c(C)nc[nH]c1=O)C2 ZINC001097689087 852577389 /nfs/dbraw/zinc/57/73/89/852577389.db2.gz KMKPVZQTFCDHGI-UTUOFQBUSA-N 0 1 286.335 0.459 20 30 CCEDMN C[C@H](CNC(=O)[C@H]1CCCN1C)Nc1nccnc1C#N ZINC001097729403 852593806 /nfs/dbraw/zinc/59/38/06/852593806.db2.gz JOLYRBQSLHITOQ-ZYHUDNBSSA-N 0 1 288.355 0.359 20 30 CCEDMN Cc1nc(CC(=O)NC[C@H](C)Nc2ccc(C#N)nc2)n[nH]1 ZINC001097806573 852622615 /nfs/dbraw/zinc/62/26/15/852622615.db2.gz PKHIMQRLGGNPGL-VIFPVBQESA-N 0 1 299.338 0.539 20 30 CCEDMN N#CCN1CCC[C@@H](NC(=O)Cc2cnc[nH]2)CC1 ZINC001052749987 852651357 /nfs/dbraw/zinc/65/13/57/852651357.db2.gz FIICRTVUXBUWFU-LLVKDONJSA-N 0 1 261.329 0.446 20 30 CCEDMN N#CCN1CC[C@@]2(C1)CCCN(C(=O)c1ccn[nH]1)C2 ZINC001054093047 852882906 /nfs/dbraw/zinc/88/29/06/852882906.db2.gz WPQIQUGMXDDBHK-CQSZACIVSA-N 0 1 273.340 0.861 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ccc(=O)[nH]n2)C[C@@H]1C ZINC001054358295 852934468 /nfs/dbraw/zinc/93/44/68/852934468.db2.gz CBJYUFMUOSUWFW-KWQFWETISA-N 0 1 296.758 0.985 20 30 CCEDMN Cc1ncc(C(=O)N2CCN(c3cnc(C#N)cn3)CC2)[nH]1 ZINC001055745767 853113184 /nfs/dbraw/zinc/11/31/84/853113184.db2.gz CHIGPVCPSLWBPJ-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)[C@@H]3COC(=O)N3)[C@@H]2C1 ZINC001050037802 853298388 /nfs/dbraw/zinc/29/83/88/853298388.db2.gz ALHXKYIVFLEXSM-RWMBFGLXSA-N 0 1 291.351 0.041 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CC(C)(C)O)[C@@H]2C1 ZINC001050039184 853302165 /nfs/dbraw/zinc/30/21/65/853302165.db2.gz YDDDGTWKDKIWKO-QWHCGFSZSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)CCc3cn[nH]c3)[C@@H]2C1 ZINC001050160589 853327841 /nfs/dbraw/zinc/32/78/41/853327841.db2.gz JYPIYLBRXXKGCW-LSDHHAIUSA-N 0 1 286.379 0.898 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CCN1c1ccc(C#N)nc1 ZINC001050516881 853389139 /nfs/dbraw/zinc/38/91/39/853389139.db2.gz NERPOLONEABCGG-SKDRFNHKSA-N 0 1 297.322 0.469 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CCN1c1ccc(C#N)nc1 ZINC001050516881 853389144 /nfs/dbraw/zinc/38/91/44/853389144.db2.gz NERPOLONEABCGG-SKDRFNHKSA-N 0 1 297.322 0.469 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2ncn[nH]2)CCN1c1ncccc1C#N ZINC001050517524 853389597 /nfs/dbraw/zinc/38/95/97/853389597.db2.gz ZKSIRMOSCUUTQJ-KOLCDFICSA-N 0 1 297.322 0.469 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)c2nc[nH]n2)CCN1c1ncccc1C#N ZINC001050517524 853389604 /nfs/dbraw/zinc/38/96/04/853389604.db2.gz ZKSIRMOSCUUTQJ-KOLCDFICSA-N 0 1 297.322 0.469 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC2(C1)CCN(CC#N)CC2 ZINC001050612757 853402154 /nfs/dbraw/zinc/40/21/54/853402154.db2.gz BXPGSEOJQYPHQN-UHFFFAOYSA-N 0 1 274.328 0.175 20 30 CCEDMN C=CCCN1CCOC[C@@H]1CNC(=O)[C@@H]1CCCCN1C ZINC001050981314 853500745 /nfs/dbraw/zinc/50/07/45/853500745.db2.gz GFIBYGQFQHFGTJ-GJZGRUSLSA-N 0 1 295.427 0.864 20 30 CCEDMN C=C(C)CCN1CCOC[C@@H]1CNC(=O)c1cnn[nH]1 ZINC001050996586 853503087 /nfs/dbraw/zinc/50/30/87/853503087.db2.gz TUWJYRZSSXHNTA-NSHDSACASA-N 0 1 279.344 0.202 20 30 CCEDMN N#CCN1CCOC[C@H]1CNC(=O)c1ccn2cncc2c1 ZINC001051003537 853504941 /nfs/dbraw/zinc/50/49/41/853504941.db2.gz OQDKYJHSCUAZDD-CQSZACIVSA-N 0 1 299.334 0.288 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)c2[n-]nnc2C)CC1 ZINC001052615206 853768797 /nfs/dbraw/zinc/76/87/97/853768797.db2.gz HWQHQNIPJUHMGW-NSHDSACASA-N 0 1 261.329 0.331 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2[n-]nnc2C)CC1 ZINC001052615206 853768801 /nfs/dbraw/zinc/76/88/01/853768801.db2.gz HWQHQNIPJUHMGW-NSHDSACASA-N 0 1 261.329 0.331 20 30 CCEDMN CC[C@@H](CC#N)N1CCC[C@@H](NC(=O)CN(C)C)C1 ZINC001255176376 853781497 /nfs/dbraw/zinc/78/14/97/853781497.db2.gz AKSWGXWPWSJUEP-OLZOCXBDSA-N 0 1 266.389 0.821 20 30 CCEDMN C[N@H+]1CCC[C@@H]1C(=O)N[C@H]1CCN(c2ncccc2C#N)C1 ZINC001058315043 853822714 /nfs/dbraw/zinc/82/27/14/853822714.db2.gz WSPDEZRMVKHFCW-UONOGXRCSA-N 0 1 299.378 0.742 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1CCN(c2ncccc2C#N)C1 ZINC001058315043 853822716 /nfs/dbraw/zinc/82/27/16/853822716.db2.gz WSPDEZRMVKHFCW-UONOGXRCSA-N 0 1 299.378 0.742 20 30 CCEDMN C[C@@H]1[C@@H](Nc2ccnc(C#N)n2)CCN1C(=O)c1ccn[nH]1 ZINC001068749240 853921756 /nfs/dbraw/zinc/92/17/56/853921756.db2.gz FEKTXNJLQIVNBE-ZJUUUORDSA-N 0 1 297.322 0.208 20 30 CCEDMN C[C@H]1C[C@H](Nc2nccnc2C#N)CN1C(=O)c1ccn[nH]1 ZINC001069018044 853939473 /nfs/dbraw/zinc/93/94/73/853939473.db2.gz NBAMXKZYENSPKG-UWVGGRQHSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C2(C)CC(=C)C2)C[C@@H]1n1ccnn1 ZINC001070270687 854039548 /nfs/dbraw/zinc/03/95/48/854039548.db2.gz OJTHZSBGSIHSGS-KGLIPLIRSA-N 0 1 299.378 0.609 20 30 CCEDMN Cc1cc(C(=O)N2CCC[C@@H](NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001070486752 854065186 /nfs/dbraw/zinc/06/51/86/854065186.db2.gz HAMRJFJZHXITIX-MWLCHTKSSA-N 0 1 289.339 0.599 20 30 CCEDMN C=C(C)CCN1CCOC[C@H]1c1nc(CNC(C)=O)n[nH]1 ZINC001070576980 854077643 /nfs/dbraw/zinc/07/76/43/854077643.db2.gz KGZLECPSIPOBSE-LBPRGKRZSA-N 0 1 293.371 0.780 20 30 CCEDMN C=C(C)CCN1CCOC[C@H]1c1nnc(CNC(C)=O)[nH]1 ZINC001070576980 854077651 /nfs/dbraw/zinc/07/76/51/854077651.db2.gz KGZLECPSIPOBSE-LBPRGKRZSA-N 0 1 293.371 0.780 20 30 CCEDMN C#CCN1C[C@@H](OC)C[C@H]1Cn1ccc(NC(=O)CC)n1 ZINC001070593229 854079707 /nfs/dbraw/zinc/07/97/07/854079707.db2.gz UNSXZRISMCQKNI-STQMWFEESA-N 0 1 290.367 0.954 20 30 CCEDMN CC(=O)NCc1n[nH]c([C@H]2C[C@H](F)CN2CC#N)n1 ZINC001070726067 854090518 /nfs/dbraw/zinc/09/05/18/854090518.db2.gz GWGJCYATKJZEBC-DTWKUNHWSA-N 0 1 266.280 0.049 20 30 CCEDMN CC(=O)NCc1nnc([C@H]2C[C@H](F)CN2CC#N)[nH]1 ZINC001070726067 854090520 /nfs/dbraw/zinc/09/05/20/854090520.db2.gz GWGJCYATKJZEBC-DTWKUNHWSA-N 0 1 266.280 0.049 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1ccc(C(=O)[O-])cn1 ZINC000392905773 854097105 /nfs/dbraw/zinc/09/71/05/854097105.db2.gz UHXYMALYBAVEMX-UHFFFAOYSA-N 0 1 275.308 0.465 20 30 CCEDMN C#CCN1CC[C@@]2(C1)CN(C(=O)c1ccn[nH]1)C[C@@H](C)O2 ZINC001071139501 854128413 /nfs/dbraw/zinc/12/84/13/854128413.db2.gz WEJHDXWHRNRGIL-IUODEOHRSA-N 0 1 288.351 0.348 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2nccnc2N)CC[C@H]1C ZINC001071396118 854175495 /nfs/dbraw/zinc/17/54/95/854175495.db2.gz HSPSNOAFIIOMFE-GHMZBOCLSA-N 0 1 275.356 0.828 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cc[nH]n2)CC[C@H]1C ZINC001071403728 854177853 /nfs/dbraw/zinc/17/78/53/854177853.db2.gz CJTVTPCVRZIQFP-DGCLKSJQSA-N 0 1 260.341 0.555 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)CC[C@H]1C ZINC001071589264 854243463 /nfs/dbraw/zinc/24/34/63/854243463.db2.gz RVCDHOJQCZFAIS-KOLCDFICSA-N 0 1 276.340 0.640 20 30 CCEDMN CC#CC[N@H+]1C[C@H](NC(=O)c2cnn[n-]2)CC[C@@H]1C ZINC001071631758 854251299 /nfs/dbraw/zinc/25/12/99/854251299.db2.gz RARRDSWVOOMIOS-WDEREUQCSA-N 0 1 261.329 0.411 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)c2c[nH]c(=O)n2C)CC[C@H]1C ZINC001071742635 854277929 /nfs/dbraw/zinc/27/79/29/854277929.db2.gz ROUNHVVROHWVDU-NEPJUHHUSA-N 0 1 290.367 0.732 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2c(C)nc[nH]c2=O)CC[C@H]1C ZINC001071762950 854283253 /nfs/dbraw/zinc/28/32/53/854283253.db2.gz RIGXKTKITSLUCZ-ZYHUDNBSSA-N 0 1 288.351 0.707 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2ccc(C)n2)CC[C@@H]1C ZINC001071831353 854302082 /nfs/dbraw/zinc/30/20/82/854302082.db2.gz FEWABJWLIYMQHM-UONOGXRCSA-N 0 1 274.368 0.794 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2c[nH]cn2)CC[C@@H]1C ZINC001071848553 854307479 /nfs/dbraw/zinc/30/74/79/854307479.db2.gz UJQCBXANBOZCBY-RYUDHWBXSA-N 0 1 260.341 0.555 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cn2nccc2C)CC[C@H]1C ZINC001071865993 854310056 /nfs/dbraw/zinc/31/00/56/854310056.db2.gz PNDGZNBITBFWRA-OCCSQVGLSA-N 0 1 274.368 0.794 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@H](C)[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001072226321 854359425 /nfs/dbraw/zinc/35/94/25/854359425.db2.gz NHUFFGLBCBSTQA-CMPLNLGQSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnccn3)C2)C1 ZINC001072413534 854380826 /nfs/dbraw/zinc/38/08/26/854380826.db2.gz OXWBFQREVXDRKF-UHFFFAOYSA-N 0 1 256.309 0.258 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3C[C@H]3OCC)C2)C1 ZINC001072493179 854399073 /nfs/dbraw/zinc/39/90/73/854399073.db2.gz UMBIYUOUVAEGRB-QWHCGFSZSA-N 0 1 262.353 0.579 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)SC)C2)C1 ZINC001072500171 854400475 /nfs/dbraw/zinc/40/04/75/854400475.db2.gz NVDRIIGTOPTTCS-LLVKDONJSA-N 0 1 252.383 0.905 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCCCO3)C2)C1 ZINC001072630881 854433268 /nfs/dbraw/zinc/43/32/68/854433268.db2.gz DMHCPUCTMDVAOP-ZDUSSCGKSA-N 0 1 262.353 0.723 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C(=O)Cc2c[nH]cn2)C[C@@H]1C ZINC001072799932 854464381 /nfs/dbraw/zinc/46/43/81/854464381.db2.gz ZVABZOVRIAESEZ-WCQYABFASA-N 0 1 290.367 0.882 20 30 CCEDMN CN1CC2(C1)CCN(C(=O)c1cccc(C#CCO)c1)C2 ZINC000398774405 854488958 /nfs/dbraw/zinc/48/89/58/854488958.db2.gz FLUXLTZQXMTRQO-UHFFFAOYSA-N 0 1 284.359 0.808 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3n[nH]c4c3CCC4)C2)C1 ZINC001072894115 854489410 /nfs/dbraw/zinc/48/94/10/854489410.db2.gz YMYWJESRTPRDPL-UHFFFAOYSA-N 0 1 284.363 0.680 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H](C)c3cncnc3)C2)C1 ZINC001072912373 854492509 /nfs/dbraw/zinc/49/25/09/854492509.db2.gz FMFOEITVMYFQLD-ZDUSSCGKSA-N 0 1 284.363 0.748 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3n[nH]cc3F)C2)C1 ZINC001072958596 854502246 /nfs/dbraw/zinc/50/22/46/854502246.db2.gz JUTRKHUOICMZPT-UHFFFAOYSA-N 0 1 262.288 0.330 20 30 CCEDMN CC1CCN(CC(=O)N2CC3(C2)CCN(CC#N)C3)CC1 ZINC001073077473 854524756 /nfs/dbraw/zinc/52/47/56/854524756.db2.gz ZFJMEZMELHIUDX-UHFFFAOYSA-N 0 1 290.411 0.776 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)[C@@H]3CCc4[nH]cnc4C3)C2)C1 ZINC001073110517 854530499 /nfs/dbraw/zinc/53/04/99/854530499.db2.gz FNAIVEKXIMRGSZ-GFCCVEGCSA-N 0 1 299.378 0.572 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cn(C)nc2C)C1 ZINC001073557180 854595317 /nfs/dbraw/zinc/59/53/17/854595317.db2.gz ZIRCVBZKYWZXRY-CYBMUJFWSA-N 0 1 292.383 0.735 20 30 CCEDMN C#CCN1CCCO[C@H](CNC(=O)c2c(C)ccn2C)C1 ZINC001073584840 854603845 /nfs/dbraw/zinc/60/38/45/854603845.db2.gz ZXCKYGMBDWIPOS-CQSZACIVSA-N 0 1 289.379 0.787 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cnnc(C)c2)C1 ZINC001073585578 854604082 /nfs/dbraw/zinc/60/40/82/854604082.db2.gz MALSBXFGNPNOHF-CQSZACIVSA-N 0 1 290.367 0.792 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)C2CC(OC)C2)C1 ZINC001073648227 854625190 /nfs/dbraw/zinc/62/51/90/854625190.db2.gz WBAWZVINTAMSBY-RUXDESIVSA-N 0 1 282.384 0.805 20 30 CCEDMN C=C(C)CCN1CCO[C@H]2CCN(C(=O)CC(N)=O)C[C@H]21 ZINC001074200364 854695743 /nfs/dbraw/zinc/69/57/43/854695743.db2.gz RUCZNFBLBDYAPK-OLZOCXBDSA-N 0 1 295.383 0.130 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2cn(C)nn2)C1 ZINC001098822376 854891104 /nfs/dbraw/zinc/89/11/04/854891104.db2.gz JIBSWJAZJCHBKG-FZMZJTMJSA-N 0 1 273.340 0.033 20 30 CCEDMN C[C@@H]1CCCN1CC(=O)N[C@]12CCC[C@H]1CN(CC#N)C2 ZINC001099028324 854907471 /nfs/dbraw/zinc/90/74/71/854907471.db2.gz WCZPFJAUGNUXPF-YCPHGPKFSA-N 0 1 290.411 0.965 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C(C)=C/C)[C@H](O)C1 ZINC001099779204 854994855 /nfs/dbraw/zinc/99/48/55/854994855.db2.gz XVCFEIVIBGGSRI-BFHNITGGSA-N 0 1 250.342 0.527 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C(F)=C(C)C)[C@H](O)C1 ZINC001099781557 854995450 /nfs/dbraw/zinc/99/54/50/854995450.db2.gz YAONUJBLVXFVJP-VXGBXAGGSA-N 0 1 268.332 0.825 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2(O)CCC2)[C@H](O)C1 ZINC001099824059 855004541 /nfs/dbraw/zinc/00/45/41/855004541.db2.gz OJHUGGNGMLYYDY-VXGBXAGGSA-N 0 1 268.357 0.029 20 30 CCEDMN CCOCCN1CC[C@@H](NC(=O)C#CC(C)(C)C)[C@@H](O)C1 ZINC001099830677 855006039 /nfs/dbraw/zinc/00/60/39/855006039.db2.gz WDBODUOBDLPFRD-KGLIPLIRSA-N 0 1 296.411 0.624 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CCN(CCO)C[C@@H]1O ZINC001099847148 855010707 /nfs/dbraw/zinc/01/07/07/855010707.db2.gz IDYJHSOOOXKGIL-NEPJUHHUSA-N 0 1 270.373 0.132 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2(C)CC2)[C@@H](O)C1 ZINC001099927714 855035771 /nfs/dbraw/zinc/03/57/71/855035771.db2.gz DYIUWIXRWOJTQF-NEPJUHHUSA-N 0 1 252.358 0.914 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(C)(C)C=C)[C@H](O)C1 ZINC001099944287 855041694 /nfs/dbraw/zinc/04/16/94/855041694.db2.gz LLYLNBPFGVCCTN-NWDGAFQWSA-N 0 1 252.358 0.936 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)C2(CF)CC2)[C@@H](O)C1 ZINC001100000523 855066547 /nfs/dbraw/zinc/06/65/47/855066547.db2.gz GGIXBXOKZVVLQC-NEPJUHHUSA-N 0 1 268.332 0.311 20 30 CCEDMN Cc1cc(CC(=O)NCCN(C)c2ccc(C#N)nc2)[nH]n1 ZINC001100023523 855075477 /nfs/dbraw/zinc/07/54/77/855075477.db2.gz LXOPLIDHWVIGGV-UHFFFAOYSA-N 0 1 298.350 0.780 20 30 CCEDMN CCOC(=O)N1CC[C@H](NC2(C#N)CCN(C)CC2)C1 ZINC001256024994 855121954 /nfs/dbraw/zinc/12/19/54/855121954.db2.gz FBPLFVAIBLESNS-LBPRGKRZSA-N 0 1 280.372 0.795 20 30 CCEDMN Cc1ccc(C#N)c(N(C)CCNC(=O)c2cnn[nH]2)n1 ZINC001100400776 855160792 /nfs/dbraw/zinc/16/07/92/855160792.db2.gz QMKVOUCNRUPQHR-UHFFFAOYSA-N 0 1 285.311 0.246 20 30 CCEDMN CCN(CCNC(=O)c1ncn[nH]1)c1ccc(C#N)nc1 ZINC001100584799 855193065 /nfs/dbraw/zinc/19/30/65/855193065.db2.gz OKYQSMNHMJKNEB-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN CCN(CCNC(=O)c1nc[nH]n1)c1ccc(C#N)nc1 ZINC001100584799 855193067 /nfs/dbraw/zinc/19/30/67/855193067.db2.gz OKYQSMNHMJKNEB-UHFFFAOYSA-N 0 1 285.311 0.328 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H]1CCCN1c1ncnc2[nH]cnc21 ZINC001100809753 855229125 /nfs/dbraw/zinc/22/91/25/855229125.db2.gz ILWBNHXEZFZMRL-VHSXEESVSA-N 0 1 299.338 0.598 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)C2CCC2)[C@H](C)C1 ZINC001101658513 855332998 /nfs/dbraw/zinc/33/29/98/855332998.db2.gz WNUXHLQOUNSCSM-TZMCWYRMSA-N 0 1 293.411 0.773 20 30 CCEDMN C=CCNC(=O)CN1C[C@@H](CNC(=O)[C@@H](C)C#N)[C@H](C)C1 ZINC001101822246 855373095 /nfs/dbraw/zinc/37/30/95/855373095.db2.gz JBUOVGKHTMAUFP-YNEHKIRRSA-N 0 1 292.383 0.132 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)NC[C@@H]1CN(CC#N)C[C@H]1C ZINC001102015971 855407865 /nfs/dbraw/zinc/40/78/65/855407865.db2.gz WZNLCKYYTPDNAM-MGPQQGTHSA-N 0 1 298.406 0.874 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1CCNC(=O)CCc1nc[nH]n1 ZINC001102837582 855473872 /nfs/dbraw/zinc/47/38/72/855473872.db2.gz VKTOQFAAZQDGOS-VXGBXAGGSA-N 0 1 290.371 0.335 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H](C)C#N)Nc1ncnc2[nH]cnc21 ZINC001103029658 855491369 /nfs/dbraw/zinc/49/13/69/855491369.db2.gz XWBHHZREEYUTQT-BDAKNGLRSA-N 0 1 287.327 0.819 20 30 CCEDMN N#CCN1C[C@@H]2CCC[C@]2(NC(=O)CCc2nc[nH]n2)C1 ZINC001111687534 855586217 /nfs/dbraw/zinc/58/62/17/855586217.db2.gz DEUSVVVIZZRVGR-FZMZJTMJSA-N 0 1 288.355 0.232 20 30 CCEDMN C[C@H](CN(C)C(=O)Cc1ccn[nH]1)Nc1cnc(C#N)cn1 ZINC001115668184 855688918 /nfs/dbraw/zinc/68/89/18/855688918.db2.gz YLIBGWJTDKMYFA-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C=C[C@H](COC)NC(=O)C(=O)N[C@@H]1CCCc2cn[nH]c21 ZINC001117384349 855961961 /nfs/dbraw/zinc/96/19/61/855961961.db2.gz WGGZUUNTYKMKIZ-GHMZBOCLSA-N 0 1 292.339 0.221 20 30 CCEDMN Cc1nnsc1C=Nn1c(=O)c(C)n[nH]c1=S ZINC001117735573 856065015 /nfs/dbraw/zinc/06/50/15/856065015.db2.gz HYCPDTOUSVRHSP-UHFFFAOYSA-N 0 1 268.327 0.278 20 30 CCEDMN C=CCO[C@@H]1CCN([C@@H]2CCN(CCC(=O)OC)C2=O)C1 ZINC001118558949 856341094 /nfs/dbraw/zinc/34/10/94/856341094.db2.gz TVQXQAYEPGSBTQ-CHWSQXEVSA-N 0 1 296.367 0.427 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)N(C)Cc1nnc[nH]1 ZINC001118872056 856473724 /nfs/dbraw/zinc/47/37/24/856473724.db2.gz GAVRDLQHXQIZCS-JTQLQIEISA-N 0 1 265.317 0.375 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)NC[C@H]2CC[NH+]2CC)C1 ZINC001119304186 856624629 /nfs/dbraw/zinc/62/46/29/856624629.db2.gz IVDSUEGFAGPNKR-UONOGXRCSA-N 0 1 278.400 0.477 20 30 CCEDMN C=CC[C@@H](CO)NCc1ccc(S(C)(=O)=O)o1 ZINC001119345162 856644113 /nfs/dbraw/zinc/64/41/13/856644113.db2.gz JKTJKBYEVVKTDN-VIFPVBQESA-N 0 1 259.327 0.710 20 30 CCEDMN C=CCC[C@H](NC(=O)NCC[N@H+]1CCCOCC1)C(=O)[O-] ZINC001119577756 856751052 /nfs/dbraw/zinc/75/10/52/856751052.db2.gz NFKZDXBEQGDAEZ-LBPRGKRZSA-N 0 1 299.371 0.427 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H]2CCC3(COC3)O2)C1 ZINC001119795958 856879284 /nfs/dbraw/zinc/87/92/84/856879284.db2.gz GAWXVOPVAPOYKQ-RYUDHWBXSA-N 0 1 252.314 0.285 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)C(C)(C)C(N)=O ZINC001323263489 912232245 /nfs/dbraw/zinc/23/22/45/912232245.db2.gz ROAZRAVHBNHTAH-SNVBAGLBSA-N 0 1 253.346 0.265 20 30 CCEDMN C=CCOCCN1CC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001323264250 912232541 /nfs/dbraw/zinc/23/25/41/912232541.db2.gz WQCSETMQKPDDTD-CHWSQXEVSA-N 0 1 295.383 0.048 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2CCCOC)nc1 ZINC001323338065 912281353 /nfs/dbraw/zinc/28/13/53/912281353.db2.gz YIQZGULOAKSHED-AWEZNQCLSA-N 0 1 287.363 0.904 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H](CC)N1CCCC1=O ZINC001323359088 912295101 /nfs/dbraw/zinc/29/51/01/912295101.db2.gz ZERKYRJPEDMKBE-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN CN1CCC(C#N)(NC(=O)COCc2ccncc2)CC1 ZINC001323392835 912318418 /nfs/dbraw/zinc/31/84/18/912318418.db2.gz KDAAGTVVFUMJMT-UHFFFAOYSA-N 0 1 288.351 0.702 20 30 CCEDMN C#CCNC(=O)CNC1(CNC(=O)/C=C(\C)C2CC2)CC1 ZINC001323397189 912322631 /nfs/dbraw/zinc/32/26/31/912322631.db2.gz OYDQHHLDBHUQSU-FMIVXFBMSA-N 0 1 289.379 0.331 20 30 CCEDMN CCCNC(=O)CN1C[C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@@H]2C1 ZINC001393419667 912558800 /nfs/dbraw/zinc/55/88/00/912558800.db2.gz XCLIWNMQWFJATJ-RWMBFGLXSA-N 0 1 292.383 0.062 20 30 CCEDMN C=C[C@@H](COC)NC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC001324023456 912632374 /nfs/dbraw/zinc/63/23/74/912632374.db2.gz VXABUMCFTCMZOZ-OLZOCXBDSA-N 0 1 284.404 0.123 20 30 CCEDMN N#Cc1csc(CNCCN2CCC(CO)CC2)n1 ZINC001324570107 912913140 /nfs/dbraw/zinc/91/31/40/912913140.db2.gz ANSSXSBRVSCUGP-UHFFFAOYSA-N 0 1 280.397 0.809 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CC[C@]2(C)CCC(=O)N2)C1 ZINC001324681969 912982184 /nfs/dbraw/zinc/98/21/84/912982184.db2.gz YXXTWPSPIIWTJX-HOTGVXAUSA-N 0 1 291.395 0.649 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](CC(N)=O)C2)CC1 ZINC001325236778 913297091 /nfs/dbraw/zinc/29/70/91/913297091.db2.gz OWWSGXSMFVSPSO-LBPRGKRZSA-N 0 1 277.368 0.056 20 30 CCEDMN CC#CCN(CCNC(=O)[C@@H]1CC[C@H]1C(N)=O)C1CC1 ZINC001493402432 891434934 /nfs/dbraw/zinc/43/49/34/891434934.db2.gz ZSUZXKPHLSXFML-CHWSQXEVSA-N 0 1 277.368 0.102 20 30 CCEDMN C=CCCCC(=O)N[C@H]1C[C@@H](NCc2nncn2C)C1 ZINC001266707802 891633082 /nfs/dbraw/zinc/63/30/82/891633082.db2.gz FCEWEKFUGWYJIM-TXEJJXNPSA-N 0 1 277.372 0.908 20 30 CCEDMN N#CCCCNC(=O)C(=O)NC[C@@H]1CCCCN1C1CC1 ZINC001325800009 913581615 /nfs/dbraw/zinc/58/16/15/913581615.db2.gz WFOANKFJKAVIAW-ZDUSSCGKSA-N 0 1 292.383 0.539 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc2[nH]ccc2n1 ZINC001480890383 891679093 /nfs/dbraw/zinc/67/90/93/891679093.db2.gz ATRPJNUCETWKBF-UHFFFAOYSA-N 0 1 256.309 0.858 20 30 CCEDMN C=CC(C)(C)C(=O)NCCN(C)CCN1CCNC1=O ZINC001480900183 891716146 /nfs/dbraw/zinc/71/61/46/891716146.db2.gz ZCGILPWBXJBNOY-UHFFFAOYSA-N 0 1 282.388 0.272 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCCNC(=O)c1cnn[nH]1 ZINC001283294994 891728187 /nfs/dbraw/zinc/72/81/87/891728187.db2.gz JUSGOPDMITYWBZ-VHSXEESVSA-N 0 1 279.344 0.499 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1ccc(F)nc1 ZINC001283359701 891752873 /nfs/dbraw/zinc/75/28/73/891752873.db2.gz MCOVFKMMKIGGMD-UHFFFAOYSA-N 0 1 293.342 0.922 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)CC1(O)CCC1 ZINC001267267813 891857869 /nfs/dbraw/zinc/85/78/69/891857869.db2.gz UEZKSOFZCDNRAU-CYBMUJFWSA-N 0 1 264.369 0.895 20 30 CCEDMN C#CCOCCC(=O)N[C@]1(CO)CCCN(CC(=C)C)C1 ZINC001325834974 913603336 /nfs/dbraw/zinc/60/33/36/913603336.db2.gz LJSLJMFLTGURQS-MRXNPFEDSA-N 0 1 294.395 0.546 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)c2cccnn2)C1 ZINC001325859531 913611227 /nfs/dbraw/zinc/61/12/27/913611227.db2.gz FMIAFNPRAUVTSI-HNNXBMFYSA-N 0 1 290.367 0.609 20 30 CCEDMN CC#CCN(C)CCNC(=O)CC[C@]1(C)CCC(=O)N1 ZINC001480955906 891954947 /nfs/dbraw/zinc/95/49/47/891954947.db2.gz HUJYTUAINGPXJH-OAHLLOKOSA-N 0 1 279.384 0.507 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccn(CCOC)n1 ZINC001480988012 892018882 /nfs/dbraw/zinc/01/88/82/892018882.db2.gz PPQUNISRCGPHMW-UHFFFAOYSA-N 0 1 278.356 0.214 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NCCN(CC)[C@H]1CCNC1=O ZINC001480992623 892025883 /nfs/dbraw/zinc/02/58/83/892025883.db2.gz WQKGQSSCAGZZOO-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@H](C)[C@H]1CCCO1 ZINC001480999470 892036762 /nfs/dbraw/zinc/03/67/62/892036762.db2.gz TURLLDJTQMOJKJ-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H](C)[C@H]1CCCO1 ZINC001480999471 892037051 /nfs/dbraw/zinc/03/70/51/892037051.db2.gz TURLLDJTQMOJKJ-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)COCC(F)F ZINC001481050390 892113608 /nfs/dbraw/zinc/11/36/08/892113608.db2.gz OHMKCGKZMVDKHB-SNVBAGLBSA-N 0 1 260.284 0.482 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CC[C@@]1(C)CCC(=O)N1 ZINC001481073244 892130770 /nfs/dbraw/zinc/13/07/70/892130770.db2.gz ITAKDHFUGYEIAM-BBRMVZONSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](CNC(=O)c2cc[nH]c2)C1 ZINC001481093309 892157451 /nfs/dbraw/zinc/15/74/51/892157451.db2.gz CHNOFPVSLPHSOD-GFCCVEGCSA-N 0 1 290.367 0.369 20 30 CCEDMN C=CCCCC(=O)NC[C@H]1CCN(CC(=O)NCC)C1 ZINC001481107465 892170700 /nfs/dbraw/zinc/17/07/00/892170700.db2.gz CAXWPHJMDWKZNF-CYBMUJFWSA-N 0 1 281.400 0.917 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H]2CCN(CC(=O)N(C)C)C2)C1 ZINC001481111246 892173206 /nfs/dbraw/zinc/17/32/06/892173206.db2.gz UESFKVRGVLCOLZ-CYBMUJFWSA-N 0 1 293.411 0.869 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCN[C@@H](C)c1cnccn1 ZINC001481214824 892359752 /nfs/dbraw/zinc/35/97/52/892359752.db2.gz AXFPHZAZTMMEOM-MNOVXSKESA-N 0 1 261.329 0.745 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)[C@@H](C)CS(C)(=O)=O ZINC001481321186 892577070 /nfs/dbraw/zinc/57/70/70/892577070.db2.gz WKEAVYPWLGJJGT-VIFPVBQESA-N 0 1 296.820 0.468 20 30 CCEDMN C=C(Cl)CN[C@@H](CC)CNC(=O)c1c[nH]c(=O)n1C ZINC001421438066 892610001 /nfs/dbraw/zinc/61/00/01/892610001.db2.gz MYSDQVNQHAZBLO-VIFPVBQESA-N 0 1 286.763 0.976 20 30 CCEDMN CC#CCN1CC[C@H](N(CCC)C(=O)CC(N)=O)C1 ZINC001481394541 892611411 /nfs/dbraw/zinc/61/14/11/892611411.db2.gz KYKPVDVWQQOERQ-LBPRGKRZSA-N 0 1 265.357 0.198 20 30 CCEDMN C#CCN1CC[C@@H](N(CCC)C(=O)C[C@H](C)NC(N)=O)C1 ZINC001481399648 892613533 /nfs/dbraw/zinc/61/35/33/892613533.db2.gz MRNIREHTZRDLFK-QWHCGFSZSA-N 0 1 294.399 0.379 20 30 CCEDMN C=C(Cl)CN(C)C[C@@H]1CCN(C(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001481499590 892747055 /nfs/dbraw/zinc/74/70/55/892747055.db2.gz XZPPLUCZESNGMR-TUAOUCFPSA-N 0 1 299.802 0.641 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001481536721 892785266 /nfs/dbraw/zinc/78/52/66/892785266.db2.gz JWHUZBNBZJSPAO-BYNSBNAKSA-N 0 1 294.395 0.662 20 30 CCEDMN Cc1cc(CNC[C@@H](C)N(C)C(=O)[C@H](C)C#N)ncn1 ZINC001421872198 892789056 /nfs/dbraw/zinc/78/90/56/892789056.db2.gz LQAQPOOAVRTCAV-ZYHUDNBSSA-N 0 1 275.356 0.881 20 30 CCEDMN C=CCC[C@@H](C)N1CC(NC(=O)[C@H]2CCC(=O)N2C)C1 ZINC001481578469 892854042 /nfs/dbraw/zinc/85/40/42/892854042.db2.gz WIRLYZFGJMKQFO-DGCLKSJQSA-N 0 1 279.384 0.762 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)N1C[C@@H]2C[C@H]1CN2CC ZINC001481677755 892994387 /nfs/dbraw/zinc/99/43/87/892994387.db2.gz AQLVKTHVYKRDJG-IHRRRGAJSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)COCCOC)C1 ZINC001481761634 893124895 /nfs/dbraw/zinc/12/48/95/893124895.db2.gz MTHRUEUTDWUBJC-CYBMUJFWSA-N 0 1 268.357 0.205 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)C(=O)NCC1CC1)CC2 ZINC001482246189 893652769 /nfs/dbraw/zinc/65/27/69/893652769.db2.gz YWKGEDIYQLMGKN-UHFFFAOYSA-N 0 1 291.395 0.623 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CN1CCOCC1 ZINC001482273547 893733353 /nfs/dbraw/zinc/73/33/53/893733353.db2.gz MNMOVLQVJFUKGK-LBPRGKRZSA-N 0 1 289.807 0.508 20 30 CCEDMN CC[C@@H](CNC(=O)C#CC1CC1)NC(=O)[C@H]1CCCN1C ZINC001285055259 893802452 /nfs/dbraw/zinc/80/24/52/893802452.db2.gz HJGUKCDOHDYNOD-UONOGXRCSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](CC)CNC(=O)c1cnn[nH]1 ZINC001285073738 893806477 /nfs/dbraw/zinc/80/64/77/893806477.db2.gz SOSKVDKEQHYIDX-SNVBAGLBSA-N 0 1 279.344 0.786 20 30 CCEDMN CC[C@H](CNC(=O)[C@H]1CCCN1C)NC(=O)C#CC1CC1 ZINC001285082881 893810210 /nfs/dbraw/zinc/81/02/10/893810210.db2.gz NTSRUPPCUQMZAX-ZIAGYGMSSA-N 0 1 291.395 0.505 20 30 CCEDMN CC(C)(C#N)CS(=O)(=O)NCc1n[nH]c(C2CC2)n1 ZINC001414271900 893813482 /nfs/dbraw/zinc/81/34/82/893813482.db2.gz UIISKXQFOFTZDX-UHFFFAOYSA-N 0 1 283.357 0.651 20 30 CCEDMN C=C(Cl)CNC[C@H](CC(C)C)NC(=O)CC(N)=O ZINC001482379859 893933724 /nfs/dbraw/zinc/93/37/24/893933724.db2.gz QSMOQLCVUHCOLR-JTQLQIEISA-N 0 1 275.780 0.735 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CCNCc2nncn2C)C1 ZINC001326223531 913833796 /nfs/dbraw/zinc/83/37/96/913833796.db2.gz IKRVVUYTKQUSPP-VXGBXAGGSA-N 0 1 290.371 0.303 20 30 CCEDMN C[C@@H](NCC#N)[C@@H]1CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC001482477420 894094793 /nfs/dbraw/zinc/09/47/93/894094793.db2.gz FXJDALNHHIHQKI-GHMZBOCLSA-N 0 1 276.344 0.087 20 30 CCEDMN COCC[N@H+]1CC[C@H](NC(=O)c2cc(C#N)c[nH]2)[C@H]1C ZINC001088511200 894331737 /nfs/dbraw/zinc/33/17/37/894331737.db2.gz CWVCMATVTDZZEV-PWSUYJOCSA-N 0 1 276.340 0.725 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1=CCCC1 ZINC001482720852 894519673 /nfs/dbraw/zinc/51/96/73/894519673.db2.gz HXEWTAJFUGUNPW-NSHDSACASA-N 0 1 258.749 0.916 20 30 CCEDMN CCN(CCNCc1ccnc(OC)n1)C(=O)[C@H](C)C#N ZINC001482914794 894694327 /nfs/dbraw/zinc/69/43/27/894694327.db2.gz UILMDWFLFOUINQ-LLVKDONJSA-N 0 1 291.355 0.583 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H]1CN(C)C(=O)CN1CCCC1 ZINC001396477047 913894055 /nfs/dbraw/zinc/89/40/55/913894055.db2.gz HSXMLTDHUZNWBF-CHWSQXEVSA-N 0 1 292.383 0.301 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](C)S(C)(=O)=O ZINC001483139597 895015538 /nfs/dbraw/zinc/01/55/38/895015538.db2.gz BKGQNFLOUHGDJH-BDAKNGLRSA-N 0 1 282.793 0.266 20 30 CCEDMN C=C(Br)CNC[C@H](C)NC(=O)COC ZINC001483202504 895091309 /nfs/dbraw/zinc/09/13/09/895091309.db2.gz XCRRXZYXFDXYOC-QMMMGPOBSA-N 0 1 265.151 0.636 20 30 CCEDMN Cc1nnsc1CNC[C@H](C)NC(=O)C#CC1CC1 ZINC001483226079 895140211 /nfs/dbraw/zinc/14/02/11/895140211.db2.gz HANKXHQAOHMALN-VIFPVBQESA-N 0 1 278.381 0.854 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@@H](C)NC(=O)C(F)F)C1=O ZINC001483302978 895422557 /nfs/dbraw/zinc/42/25/57/895422557.db2.gz XULBQDBWCAPJRA-ZJUUUORDSA-N 0 1 289.326 0.475 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](C)NC(=O)C(F)F)C1=O ZINC001483302974 895424871 /nfs/dbraw/zinc/42/48/71/895424871.db2.gz XULBQDBWCAPJRA-NXEZZACHSA-N 0 1 289.326 0.475 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)CC(C)(C)O ZINC001483331139 895466668 /nfs/dbraw/zinc/46/66/68/895466668.db2.gz LJQURCIENPLYQU-GFCCVEGCSA-N 0 1 270.373 0.234 20 30 CCEDMN C[C@@H](CN(C)[C@H]1CCCNC1=O)NC(=O)C#CC(C)(C)C ZINC001483335294 895472380 /nfs/dbraw/zinc/47/23/80/895472380.db2.gz AQRQTNIXLYTLKY-STQMWFEESA-N 0 1 293.411 0.751 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)C1(F)CCOCC1 ZINC001483347691 895486143 /nfs/dbraw/zinc/48/61/43/895486143.db2.gz YFXRYUNHMGWYTD-LBPRGKRZSA-N 0 1 270.348 0.965 20 30 CCEDMN C=C(C)CCN(C)C[C@@H](C)NC(=O)[C@@H](C)S(C)(=O)=O ZINC001483351605 895487942 /nfs/dbraw/zinc/48/79/42/895487942.db2.gz ALFZQECROSZORK-VXGBXAGGSA-N 0 1 290.429 0.822 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)C1(NC(C)=O)CCCC1 ZINC001483394977 895532922 /nfs/dbraw/zinc/53/29/22/895532922.db2.gz FPIPADMOVMNSER-GFCCVEGCSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCCn1cncn1 ZINC001483401491 895543736 /nfs/dbraw/zinc/54/37/36/895543736.db2.gz ASOQOCTWOKBWKG-LBPRGKRZSA-N 0 1 263.345 0.128 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)c1ccnc(C)n1 ZINC001483419142 895552639 /nfs/dbraw/zinc/55/26/39/895552639.db2.gz FWUWSXBHWIFVLL-LBPRGKRZSA-N 0 1 290.367 0.485 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CNC(=O)C1CCCCC1 ZINC001483418390 895553793 /nfs/dbraw/zinc/55/37/93/895553793.db2.gz UMCIRCKDUAHWOB-CYBMUJFWSA-N 0 1 293.411 0.753 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@H](C)n1cncn1 ZINC001483641732 895780923 /nfs/dbraw/zinc/78/09/23/895780923.db2.gz HWBLHFIJQGJRKY-RYUDHWBXSA-N 0 1 261.329 0.053 20 30 CCEDMN C=CCN(CCNC(=O)[C@H]1C[C@]12CCOC2)CCOC ZINC001508055497 895785495 /nfs/dbraw/zinc/78/54/95/895785495.db2.gz FKGOYPYPBGSWTJ-HIFRSBDPSA-N 0 1 282.384 0.664 20 30 CCEDMN C=CCOCCN1CC[C@H]1CNC(=O)Cn1ccnc1 ZINC001483704462 895883314 /nfs/dbraw/zinc/88/33/14/895883314.db2.gz ANUPEYTWVQSSGZ-ZDUSSCGKSA-N 0 1 278.356 0.276 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cnn(C)n3)CCC[C@@H]12 ZINC000992333427 895907905 /nfs/dbraw/zinc/90/79/05/895907905.db2.gz WAMYSTVMEZBRFB-OCCSQVGLSA-N 0 1 273.340 0.175 20 30 CCEDMN O=C(C#CC1CC1)NCC1(NCC(=O)N2CCCC2)CC1 ZINC001483733038 895936287 /nfs/dbraw/zinc/93/62/87/895936287.db2.gz RDGICSIQFLLJCR-UHFFFAOYSA-N 0 1 289.379 0.261 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CCC2(C)C)[C@@H](O)C1 ZINC001083710701 895964994 /nfs/dbraw/zinc/96/49/94/895964994.db2.gz KLEVZEBGUCWJQW-UPJWGTAASA-N 0 1 264.369 0.607 20 30 CCEDMN C#CCN(C(=O)[C@@H]1CCC[N@@H+]1C)C1CCN(CC#N)CC1 ZINC001483980863 896142311 /nfs/dbraw/zinc/14/23/11/896142311.db2.gz PMQYKARVNACUAM-HNNXBMFYSA-N 0 1 288.395 0.530 20 30 CCEDMN C#CCN(C(=O)[C@@H]1CCCN1C)C1CCN(CC#N)CC1 ZINC001483980863 896142316 /nfs/dbraw/zinc/14/23/16/896142316.db2.gz PMQYKARVNACUAM-HNNXBMFYSA-N 0 1 288.395 0.530 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)CNC(=O)C(C)(C)C)C1 ZINC001484070705 896182406 /nfs/dbraw/zinc/18/24/06/896182406.db2.gz IHGJBEZIGFWHPK-MRXNPFEDSA-N 0 1 293.411 0.753 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)[C@H](CC)SC)C1 ZINC001484214516 896247456 /nfs/dbraw/zinc/24/74/56/896247456.db2.gz KMQJTKDIRQHFCG-JSGCOSHPSA-N 0 1 284.425 0.704 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@](C)(C=C)CCOC)C1 ZINC001484231894 896263209 /nfs/dbraw/zinc/26/32/09/896263209.db2.gz SKFHJHYNNCIOMU-HZPDHXFCSA-N 0 1 294.395 0.401 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)[C@@]2(C)CCOC2)C1 ZINC001484304057 896335447 /nfs/dbraw/zinc/33/54/47/896335447.db2.gz SCYLLLGHBPNENM-ZFWWWQNUSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCN1CCC[C@](CO)(NC(=O)[C@H](OCC)C2CC2)C1 ZINC001484435733 896406344 /nfs/dbraw/zinc/40/63/44/896406344.db2.gz RRZTXDPVLAKKRV-ZBFHGGJFSA-N 0 1 296.411 0.931 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@@H]2CCCN(C(C)=O)[C@@H]2C1 ZINC001484467501 896420621 /nfs/dbraw/zinc/42/06/21/896420621.db2.gz KGOQHVQHNHFAMR-FPMFFAJLSA-N 0 1 279.384 0.620 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2c[nH]c(=O)cn2)CCC1 ZINC001484648718 896517483 /nfs/dbraw/zinc/51/74/83/896517483.db2.gz JVRMGVVSGSECJT-UHFFFAOYSA-N 0 1 296.758 0.765 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@@H](NC(=O)C2CC2)CC1 ZINC001484704930 896549474 /nfs/dbraw/zinc/54/94/74/896549474.db2.gz SEUPLLNBVBQNRL-CYBMUJFWSA-N 0 1 279.384 0.669 20 30 CCEDMN CC#CCCCC(=O)N[C@@H]1CCCN(CC(=O)NC)CC1 ZINC001484720905 896565770 /nfs/dbraw/zinc/56/57/70/896565770.db2.gz XCHSKXJFUHGAPU-CQSZACIVSA-N 0 1 293.411 0.897 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CCN([C@H](C)C(N)=O)CC1(C)C ZINC001484935555 896671391 /nfs/dbraw/zinc/67/13/91/896671391.db2.gz WBFKCNMJJFVICE-CHWSQXEVSA-N 0 1 293.411 0.880 20 30 CCEDMN CC[C@H](C(N)=O)N(C)C[C@@H]1CCCN1C(=O)C#CC1CC1 ZINC001485056129 896730602 /nfs/dbraw/zinc/73/06/02/896730602.db2.gz PONDZNXBCSOWLK-UONOGXRCSA-N 0 1 291.395 0.587 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](COC)OC ZINC001485069697 896746479 /nfs/dbraw/zinc/74/64/79/896746479.db2.gz RBIXRRHGCXXTRD-KBPBESRZSA-N 0 1 282.384 0.594 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)C[C@@H]1CCC(=O)N1 ZINC001485071021 896752284 /nfs/dbraw/zinc/75/22/84/896752284.db2.gz IDWSAMLCYJJHDU-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CC[NH2+][C@@H](C)[C@H](C)NC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001485200064 896845048 /nfs/dbraw/zinc/84/50/48/896845048.db2.gz PHOCWBUHXZJHBH-UWVGGRQHSA-N 0 1 288.351 0.789 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)c1coc(OC)n1 ZINC001485332087 896954110 /nfs/dbraw/zinc/95/41/10/896954110.db2.gz KJMFXFYGIQFIFF-JTQLQIEISA-N 0 1 265.313 0.757 20 30 CCEDMN C#CC[N@@H+]1C[C@@]2(C)CN(C(=O)CNC(=O)OC)C[C@@]2(C)C1 ZINC001485665967 897168498 /nfs/dbraw/zinc/16/84/98/897168498.db2.gz HWBFLAZMAZEDDS-GASCZTMLSA-N 0 1 293.367 0.146 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@]1(C)CN(CC2CC2)CCO1 ZINC001107821207 897324283 /nfs/dbraw/zinc/32/42/83/897324283.db2.gz KZAYQYQXLFIFLA-BXUZGUMPSA-N 0 1 265.357 0.763 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@@H]2CC23CC3)C1 ZINC001077730967 897364394 /nfs/dbraw/zinc/36/43/94/897364394.db2.gz BIJSSNRWMWPRPZ-QJPTWQEYSA-N 0 1 250.342 0.524 20 30 CCEDMN Cc1ccc(C#N)c(NC[C@@H](C)NC(=O)Cc2nnc[nH]2)n1 ZINC001107920861 897500136 /nfs/dbraw/zinc/50/01/36/897500136.db2.gz JERFJTWMLMXHOO-SNVBAGLBSA-N 0 1 299.338 0.539 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ncc(OC)cn1 ZINC001032511544 897676193 /nfs/dbraw/zinc/67/61/93/897676193.db2.gz RKHKNGIIIGHEOC-RYUDHWBXSA-N 0 1 288.351 0.960 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cn(C)c(=O)cn1 ZINC001032528931 897708841 /nfs/dbraw/zinc/70/88/41/897708841.db2.gz LOSDIYAJRPDIMA-RYUDHWBXSA-N 0 1 288.351 0.255 20 30 CCEDMN C=CCN1C(=O)COCC12CN(Cc1cnccc1N)C2 ZINC001272808336 897757766 /nfs/dbraw/zinc/75/77/66/897757766.db2.gz PCAYZRKCQNDGNZ-UHFFFAOYSA-N 0 1 288.351 0.093 20 30 CCEDMN CC#CCNCc1cccc(N2CC[C@@H](NC(C)=O)C2)n1 ZINC001128071483 897943410 /nfs/dbraw/zinc/94/34/10/897943410.db2.gz VVXQNZKSVJZGCL-OAHLLOKOSA-N 0 1 286.379 0.909 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(Cc1cnccn1)CC2 ZINC001272834565 898057722 /nfs/dbraw/zinc/05/77/22/898057722.db2.gz ZGUHRQUPUWSFJA-CQSZACIVSA-N 0 1 284.363 0.828 20 30 CCEDMN C=CCCCN1CCOC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC001272894866 898140956 /nfs/dbraw/zinc/14/09/56/898140956.db2.gz UUIAQEDXBQNONY-NSHDSACASA-N 0 1 279.344 0.202 20 30 CCEDMN C=CCCCN1CCOC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC001272894866 898140964 /nfs/dbraw/zinc/14/09/64/898140964.db2.gz UUIAQEDXBQNONY-NSHDSACASA-N 0 1 279.344 0.202 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cccc(OC)c2)C1 ZINC001078065928 898212790 /nfs/dbraw/zinc/21/27/90/898212790.db2.gz YXIGCZUAKQVUAU-HUUCEWRRSA-N 0 1 288.347 0.493 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)CCN1CCCC1=O ZINC001032789083 898229806 /nfs/dbraw/zinc/22/98/06/898229806.db2.gz UEXDWGMABAVGPD-KBPBESRZSA-N 0 1 289.379 0.307 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H](C)CCNC(=O)[C@H](C)C#N)[nH]n1 ZINC001078130818 898249859 /nfs/dbraw/zinc/24/98/59/898249859.db2.gz NTLYIKHYFYBLAD-ZJUUUORDSA-N 0 1 291.355 0.431 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2cc(CC)n(C)n2)C1 ZINC001078283750 898332372 /nfs/dbraw/zinc/33/23/72/898332372.db2.gz MRQGBWFKOQFTOW-ZIAGYGMSSA-N 0 1 292.383 0.334 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(CC)n(C)n2)C1 ZINC001078283750 898332387 /nfs/dbraw/zinc/33/23/87/898332387.db2.gz MRQGBWFKOQFTOW-ZIAGYGMSSA-N 0 1 292.383 0.334 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CC(C)C)[C@@H](O)C1 ZINC001099639675 898420857 /nfs/dbraw/zinc/42/08/57/898420857.db2.gz MYUYGFMEGKAKTA-OLZOCXBDSA-N 0 1 252.358 0.607 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cnc2[nH]ccc2c1 ZINC001486006892 898603094 /nfs/dbraw/zinc/60/30/94/898603094.db2.gz VOJNHRPMPVKLQH-ZDUSSCGKSA-N 0 1 286.335 0.218 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(C)n([C@H](C)CC)n1 ZINC001486011538 898606283 /nfs/dbraw/zinc/60/62/83/898606283.db2.gz VQTRCTTUGDYWLK-YPMHNXCESA-N 0 1 292.383 0.476 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H]1COc2ccccc21 ZINC001486065058 898633260 /nfs/dbraw/zinc/63/32/60/898633260.db2.gz FAKIJDJTEHFIHA-JSGCOSHPSA-N 0 1 288.347 0.253 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCn2cnnn2)[C@@H]1C ZINC001486182997 898698393 /nfs/dbraw/zinc/69/83/93/898698393.db2.gz BTHNVOBHXJRYIJ-STQMWFEESA-N 0 1 290.371 0.056 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCCC(=O)NCC)[C@H]1C ZINC001486183653 898703646 /nfs/dbraw/zinc/70/36/46/898703646.db2.gz KNCSOITYTGDRSL-ZIAGYGMSSA-N 0 1 293.411 0.895 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)c1ccccn1 ZINC001486258157 898733303 /nfs/dbraw/zinc/73/33/03/898733303.db2.gz RCJXDXIDLVEHIO-NSHDSACASA-N 0 1 283.759 0.857 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)[C@H](C)NC(=O)C1=NC(=O)N(C)C1 ZINC001486667630 898957598 /nfs/dbraw/zinc/95/75/98/898957598.db2.gz YOFNSJLTZDMRHD-UWVGGRQHSA-N 0 1 294.355 0.715 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CCC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001487370725 899162396 /nfs/dbraw/zinc/16/23/96/899162396.db2.gz JOKBYFQRISFCTL-MNOVXSKESA-N 0 1 291.355 0.928 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)C(C)(C)NC(C)=O)C1 ZINC001494608153 899163152 /nfs/dbraw/zinc/16/31/52/899163152.db2.gz AUZDFCRYFQARME-UHFFFAOYSA-N 0 1 297.399 0.152 20 30 CCEDMN C#CCN1CCC(CO)(NC(=O)CCC(F)(F)F)CC1 ZINC001328519996 915250050 /nfs/dbraw/zinc/25/00/50/915250050.db2.gz MJFBNZITXDRMNB-UHFFFAOYSA-N 0 1 292.301 0.905 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)[C@H](C)COC)[C@@H]2C1 ZINC001187304831 900006154 /nfs/dbraw/zinc/00/61/54/900006154.db2.gz NDBIGVQQDNNZOB-QLFBSQMISA-N 0 1 294.395 0.451 20 30 CCEDMN CN(C)CCN(Cc1ccccc1)S(=O)(=O)CC#N ZINC001192933215 900025717 /nfs/dbraw/zinc/02/57/17/900025717.db2.gz LELGKALIHSHPBU-UHFFFAOYSA-N 0 1 281.381 0.904 20 30 CCEDMN COC(=O)c1cc(NS(=O)(=O)[C@@H](C)C#N)cn1C ZINC001193107637 900034540 /nfs/dbraw/zinc/03/45/40/900034540.db2.gz YXXNVHDCRVJRCR-ZETCQYMHSA-N 0 1 271.298 0.465 20 30 CCEDMN CC#CCCCC(=O)N1CCCN(CCOCCO)CC1 ZINC001196839660 900056199 /nfs/dbraw/zinc/05/61/99/900056199.db2.gz ZRMXBJQWEAFOBN-UHFFFAOYSA-N 0 1 296.411 0.723 20 30 CCEDMN C=C(C)CCC(=O)N(C)C[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001487797252 900133550 /nfs/dbraw/zinc/13/35/50/900133550.db2.gz XMHGCBXRRWTRPQ-SNVBAGLBSA-N 0 1 279.344 0.738 20 30 CCEDMN C=C[C@H](CC)CC(=O)N(C)C[C@@H](C)NC(=O)c1ncn[nH]1 ZINC001487872279 900151709 /nfs/dbraw/zinc/15/17/09/900151709.db2.gz DGBHYUAISOZZQR-GHMZBOCLSA-N 0 1 293.371 0.984 20 30 CCEDMN C=C[C@H](CC)CC(=O)N(C)C[C@@H](C)NC(=O)c1nc[nH]n1 ZINC001487872279 900151718 /nfs/dbraw/zinc/15/17/18/900151718.db2.gz DGBHYUAISOZZQR-GHMZBOCLSA-N 0 1 293.371 0.984 20 30 CCEDMN Cc1nc([C@H](C)NCCN(C)C(=O)CSCC#N)n[nH]1 ZINC001317571858 900261487 /nfs/dbraw/zinc/26/14/87/900261487.db2.gz XBMSBWUTSGJEPR-VIFPVBQESA-N 0 1 296.400 0.479 20 30 CCEDMN C=CCCCCCN1CC[C@H]1CN(C)C(=O)C(N)=O ZINC001488654421 900330824 /nfs/dbraw/zinc/33/08/24/900330824.db2.gz MRVZITURZOZSPK-LBPRGKRZSA-N 0 1 267.373 0.751 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@]1(C)CCC(=O)N1 ZINC001488655736 900334704 /nfs/dbraw/zinc/33/47/04/900334704.db2.gz AXKBBUFHGMHRRK-WFASDCNBSA-N 0 1 279.384 0.764 20 30 CCEDMN C=CCN1CCN(CCNC(=O)c2cnc(C)s2)CC1 ZINC001490484073 900636398 /nfs/dbraw/zinc/63/63/98/900636398.db2.gz GGUYVJRVFUVNFT-UHFFFAOYSA-N 0 1 294.424 0.985 20 30 CCEDMN COC[C@@H](C)N1CCN(CCNC(=O)C#CC(C)C)CC1 ZINC001490492023 900639303 /nfs/dbraw/zinc/63/93/03/900639303.db2.gz BVFIKXCGTQQISN-OAHLLOKOSA-N 0 1 295.427 0.415 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)CSCC#N)CC1 ZINC001490462096 900643389 /nfs/dbraw/zinc/64/33/89/900643389.db2.gz BVBNIJPCOSRGBA-UHFFFAOYSA-N 0 1 294.424 0.000 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCCN1CCN(C[C@@H](C)O)CC1 ZINC001490501448 900643913 /nfs/dbraw/zinc/64/39/13/900643913.db2.gz VGYBTIDWFKJWNA-ZBFHGGJFSA-N 0 1 297.443 0.703 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CN(C)C(=O)C1CC1)C2 ZINC001110621774 900728674 /nfs/dbraw/zinc/72/86/74/900728674.db2.gz SQYSFZNZLUAUCK-MCIONIFRSA-N 0 1 289.379 0.210 20 30 CCEDMN C=CCCCN1CC(NC(=O)CCc2cn(C)nn2)C1 ZINC001318098506 900814516 /nfs/dbraw/zinc/81/45/16/900814516.db2.gz OPGSJFNOHBLGQH-UHFFFAOYSA-N 0 1 277.372 0.514 20 30 CCEDMN C#CCNC(=O)CN1CC[C@H]2CN(C(=O)CC)CC[C@@H]21 ZINC001320093685 900914857 /nfs/dbraw/zinc/91/48/57/900914857.db2.gz ABPKIXOSVFWBSQ-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCCOCC(=O)N(C)CCNC(=O)[C@H]1CCCN1C ZINC001296185613 900942845 /nfs/dbraw/zinc/94/28/45/900942845.db2.gz LRLMNCPMCYQVKU-CYBMUJFWSA-N 0 1 297.399 0.248 20 30 CCEDMN CCNC(=O)CN1CCC[C@H](NC(=O)C#CC(C)C)CC1 ZINC001280732839 901189981 /nfs/dbraw/zinc/18/99/81/901189981.db2.gz HJUBMSGKNWKTPF-AWEZNQCLSA-N 0 1 293.411 0.753 20 30 CCEDMN CCCC[C@H](CNC(=O)c1cnn[nH]1)NC(=O)[C@@H](C)C#N ZINC001411894292 901311245 /nfs/dbraw/zinc/31/12/45/901311245.db2.gz XVYXXZMYEQKCGH-VHSXEESVSA-N 0 1 292.343 0.369 20 30 CCEDMN N#Cc1ccncc1C(=O)N1CCC[C@@H](c2nn[nH]n2)C1 ZINC001412056689 901430034 /nfs/dbraw/zinc/43/00/34/901430034.db2.gz JLYAMIJBCAMTSX-SNVBAGLBSA-N 0 1 283.295 0.486 20 30 CCEDMN CN(C)C(=O)[C@H]1CC12CN(C(=O)c1cccc(C#N)c1O)C2 ZINC001276216010 901973443 /nfs/dbraw/zinc/97/34/43/901973443.db2.gz VMTLGSJSFWGIAW-GFCCVEGCSA-N 0 1 299.330 0.814 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@@H]2[C@H]3CC[C@H](C3)[C@@H]2C(N)=O)c1O ZINC001276216180 901974140 /nfs/dbraw/zinc/97/41/40/901974140.db2.gz XZAWUNWDMIKZMS-FIDNZITISA-N 0 1 299.330 0.894 20 30 CCEDMN CCn1ccc(CN[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)n1 ZINC001490878268 902222510 /nfs/dbraw/zinc/22/25/10/902222510.db2.gz GTVSEDXZFNLZMF-DRZSPHRISA-N 0 1 275.356 0.799 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2nnc([C@H]3CCOC3)[nH]2)[nH]1 ZINC001412865860 902312662 /nfs/dbraw/zinc/31/26/62/902312662.db2.gz XNAPAKLGCQEMAY-QMMMGPOBSA-N 0 1 286.295 0.438 20 30 CCEDMN N#Cc1ccc(C(=O)NCc2n[nH]c([C@H]3CCOC3)n2)[nH]1 ZINC001412865860 902312678 /nfs/dbraw/zinc/31/26/78/902312678.db2.gz XNAPAKLGCQEMAY-QMMMGPOBSA-N 0 1 286.295 0.438 20 30 CCEDMN C[C@@H]1CN(Cc2cc(=O)n3[n-]cc(C#N)c3n2)CC[N@H+]1C ZINC001412963163 902395167 /nfs/dbraw/zinc/39/51/67/902395167.db2.gz MKFZWQLZQHBSIG-SNVBAGLBSA-N 0 1 286.339 0.442 20 30 CCEDMN C[N@H+]1C[C@H]2CCN(Cc3cc(=O)n4[n-]cc(C#N)c4n3)[C@@H]2C1 ZINC001412992612 902443746 /nfs/dbraw/zinc/44/37/46/902443746.db2.gz KSJYCEMMAWRULZ-ZWNOBZJWSA-N 0 1 298.350 0.442 20 30 CCEDMN COC(=O)C1(CN2CCC(C#N)(C(=O)OC)CC2)CC1 ZINC001412991472 902451474 /nfs/dbraw/zinc/45/14/74/902451474.db2.gz JXBUKIGFPCIDAT-UHFFFAOYSA-N 0 1 280.324 0.718 20 30 CCEDMN C#CC[NH2+][C@]1(CO)CCCN(C(=O)[C@H]2CCCCN2C)C1 ZINC001327575869 914630986 /nfs/dbraw/zinc/63/09/86/914630986.db2.gz DCQMDODRHQQKBW-GDBMZVCRSA-N 0 1 293.411 0.047 20 30 CCEDMN C#CCN1CCC(C(=O)N2Cc3cncnc3C2)CC1 ZINC001327640161 914674215 /nfs/dbraw/zinc/67/42/15/914674215.db2.gz USKBJHOVMUADRT-UHFFFAOYSA-N 0 1 270.336 0.664 20 30 CCEDMN COCC#CCN1CCC[C@H](CNC(=O)COC(C)C)C1 ZINC001491097393 903359790 /nfs/dbraw/zinc/35/97/90/903359790.db2.gz FIOSQMLJVYFSMQ-OAHLLOKOSA-N 0 1 296.411 0.889 20 30 CCEDMN COCCOCCN1CCCC[C@@H]1CNC(=O)[C@@H](C)C#N ZINC001491204842 903443203 /nfs/dbraw/zinc/44/32/03/903443203.db2.gz MPXGMJGXGBRPFF-UONOGXRCSA-N 0 1 297.399 0.780 20 30 CCEDMN C#CCN1CC[C@H](CNC(=O)C(=O)C(C)(C)C)C1 ZINC001493186451 903662256 /nfs/dbraw/zinc/66/22/56/903662256.db2.gz XZONPKUEWUNNJV-LLVKDONJSA-N 0 1 250.342 0.673 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)Cn1ccc(C(F)F)n1 ZINC001329459358 903785231 /nfs/dbraw/zinc/78/52/31/903785231.db2.gz JIOZQRVPDUUYBE-SNVBAGLBSA-N 0 1 284.310 0.890 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)N(C)[C@H](CC)C(N)=O ZINC001329524755 903805643 /nfs/dbraw/zinc/80/56/43/903805643.db2.gz RTDVGYBWMKNSLF-WDEREUQCSA-N 0 1 269.389 0.899 20 30 CCEDMN Nc1nonc1C(=Nc1ccc(F)cc1O)NO ZINC001210014639 903817070 /nfs/dbraw/zinc/81/70/70/903817070.db2.gz MIXVBNIGJPHXFE-UHFFFAOYSA-N 0 1 253.193 0.554 20 30 CCEDMN C=C(C)CCC(=O)N(C)CCCN(C)[C@H](C)C(N)=O ZINC001280821300 904102650 /nfs/dbraw/zinc/10/26/50/904102650.db2.gz FFFDVVDUOQKVED-GFCCVEGCSA-N 0 1 269.389 0.997 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)[C@H]1C ZINC001281129497 904232337 /nfs/dbraw/zinc/23/23/37/904232337.db2.gz GFBZCOZAUIASSL-GHMZBOCLSA-N 0 1 289.339 0.327 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)[C@H]1C ZINC001281129497 904232348 /nfs/dbraw/zinc/23/23/48/904232348.db2.gz GFBZCOZAUIASSL-GHMZBOCLSA-N 0 1 289.339 0.327 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)N(C)[C@@H]1CCCNC1=O ZINC001282385580 904465208 /nfs/dbraw/zinc/46/52/08/904465208.db2.gz XEFHRZKIOCNGGS-QWHCGFSZSA-N 0 1 279.384 0.361 20 30 CCEDMN COCCCN1CCO[C@@]2(CCN(C(=O)[C@@H](C)C#N)C2)C1 ZINC001282775233 904540455 /nfs/dbraw/zinc/54/04/55/904540455.db2.gz OVMMZAHBPZJFSJ-ZFWWWQNUSA-N 0 1 295.383 0.486 20 30 CCEDMN C=CCOCC(=O)NCCNC(=O)C(C)(C)c1cnc[nH]1 ZINC001282945031 904625439 /nfs/dbraw/zinc/62/54/39/904625439.db2.gz JNFVECABBLMEDU-UHFFFAOYSA-N 0 1 294.355 0.122 20 30 CCEDMN C#CCCCC(=O)NCCCNC(=O)[C@H]1CCCN1C ZINC001283209279 904757585 /nfs/dbraw/zinc/75/75/85/904757585.db2.gz UTDYWESDJHRYBX-CYBMUJFWSA-N 0 1 279.384 0.507 20 30 CCEDMN C[C@H](CCCNCC#N)NC(=O)[C@H](C)Cc1cnc[nH]1 ZINC001283247790 904777626 /nfs/dbraw/zinc/77/76/26/904777626.db2.gz IAQAJSCWAWFDGT-VXGBXAGGSA-N 0 1 277.372 0.986 20 30 CCEDMN CN(C(=O)CCc1nc[nH]n1)[C@H](CNCC#N)C1CC1 ZINC001283493650 904871878 /nfs/dbraw/zinc/87/18/78/904871878.db2.gz PINXWCXRCVODRM-LLVKDONJSA-N 0 1 276.344 0.087 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1sc(C)cc1C ZINC001283760713 905003264 /nfs/dbraw/zinc/00/32/64/905003264.db2.gz YTIPEHPEOWZNSE-LLVKDONJSA-N 0 1 266.366 0.678 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](C)Oc1ccccc1F ZINC001283760637 905004355 /nfs/dbraw/zinc/00/43/55/905004355.db2.gz XBYJJCRVOXPHIL-RYUDHWBXSA-N 0 1 294.326 0.293 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001284100935 905148064 /nfs/dbraw/zinc/14/80/64/905148064.db2.gz VFLVGHZDPHBCLO-ISTVAULSSA-N 0 1 291.355 0.784 20 30 CCEDMN C=C[C@](C)(CC)C(=O)N[C@H]1C[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001284100935 905148074 /nfs/dbraw/zinc/14/80/74/905148074.db2.gz VFLVGHZDPHBCLO-ISTVAULSSA-N 0 1 291.355 0.784 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001284237381 905217829 /nfs/dbraw/zinc/21/78/29/905217829.db2.gz AFYQJMUBZGJSIJ-SECBINFHSA-N 0 1 279.344 0.642 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)[C@@H](CCC)OC ZINC001284504771 905316180 /nfs/dbraw/zinc/31/61/80/905316180.db2.gz HRFHSWBRIDHYLD-UONOGXRCSA-N 0 1 284.400 0.576 20 30 CCEDMN CC[C@@H](F)CN(C)C[C@@H](O)CN(C)C(=O)C#CC1CC1 ZINC001284491272 905323475 /nfs/dbraw/zinc/32/34/75/905323475.db2.gz ACLCLERHTFZRBZ-ZIAGYGMSSA-N 0 1 284.375 0.899 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)C(N)=O)C(C)(C)C ZINC001284626019 905402129 /nfs/dbraw/zinc/40/21/29/905402129.db2.gz VVEZKXUYJUDPNK-MRVPVSSYSA-N 0 1 261.753 0.345 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCCN(C(=O)c2[nH]nnc2C)C1 ZINC001284866803 905449191 /nfs/dbraw/zinc/44/91/91/905449191.db2.gz AZEBLVUQWXEZPE-NSHDSACASA-N 0 1 291.355 0.800 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)Cc2nnc(C)[nH]2)CC1 ZINC001284910774 905483496 /nfs/dbraw/zinc/48/34/96/905483496.db2.gz VEFLDCBAWXTOEW-UHFFFAOYSA-N 0 1 287.367 0.427 20 30 CCEDMN C=CCO[C@H](C)C(=O)N[C@H](CC)CNC(=O)c1cnn[nH]1 ZINC001285072331 905539498 /nfs/dbraw/zinc/53/94/98/905539498.db2.gz FVUYBNKSHBEYAP-NXEZZACHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001378260025 905542553 /nfs/dbraw/zinc/54/25/53/905542553.db2.gz WDLNRODYZAIHJV-GHMZBOCLSA-N 0 1 287.791 0.702 20 30 CCEDMN N#CCCN1CCN(C[C@@H]2C[C@H]3COC[C@H]3O2)CC1 ZINC001332827795 905554685 /nfs/dbraw/zinc/55/46/85/905554685.db2.gz BNFKTLVKSHBOOE-MELADBBJSA-N 0 1 265.357 0.322 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)NCC=CCNC(=O)c1cnn[nH]1 ZINC001285584994 905681841 /nfs/dbraw/zinc/68/18/41/905681841.db2.gz FUBOMPDWWCVZDC-UZYOAWRESA-N 0 1 291.355 0.809 20 30 CCEDMN C=CCCC(=O)NCC1(NC(=O)Cc2n[nH]c(C)n2)CC1 ZINC001285909697 905809970 /nfs/dbraw/zinc/80/99/70/905809970.db2.gz CJGMGJYLGVJYTN-UHFFFAOYSA-N 0 1 291.355 0.387 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@@H](COC)OC ZINC001378807461 905827412 /nfs/dbraw/zinc/82/74/12/905827412.db2.gz DDGZRUIOWSPQJX-WDEREUQCSA-N 0 1 278.780 0.837 20 30 CCEDMN C=CCCC(=O)N[C@H]1C[C@@H](CNC(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001290036404 906105828 /nfs/dbraw/zinc/10/58/28/906105828.db2.gz JXEQHDRBENMQDP-WRWGMCAJSA-N 0 1 295.339 0.629 20 30 CCEDMN C#CC1(O)CCN(C(=O)C(C)(C)c2c[nH]cn2)CC1 ZINC001333980433 906135108 /nfs/dbraw/zinc/13/51/08/906135108.db2.gz RYAHFVHRYKOWKL-UHFFFAOYSA-N 0 1 261.325 0.674 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)C[C@@H](C)NC(=O)CC)CC1 ZINC001337245040 921222248 /nfs/dbraw/zinc/22/22/48/921222248.db2.gz FVPVDSRGJNPFLS-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)Cc2cnn(C)c2)CC1 ZINC001380053735 906612303 /nfs/dbraw/zinc/61/23/03/906612303.db2.gz HHESCFVDAPZNGE-UHFFFAOYSA-N 0 1 282.775 0.954 20 30 CCEDMN Cn1nncc1CNC1(CNC(=O)c2c[nH]c(C#N)c2)CC1 ZINC001380068539 906622975 /nfs/dbraw/zinc/62/29/75/906622975.db2.gz DVNBUDWOWVFIJI-UHFFFAOYSA-N 0 1 299.338 0.067 20 30 CCEDMN C[C@@]1(C(=O)Nc2cc(C#N)ccc2O)CCNC1=O ZINC001295828098 906810737 /nfs/dbraw/zinc/81/07/37/906810737.db2.gz MAQNFXXUHFOUJM-CYBMUJFWSA-N 0 1 259.265 0.729 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)[C@H](C)OCC)CCO1 ZINC001380905041 906987571 /nfs/dbraw/zinc/98/75/71/906987571.db2.gz RGEIEVLBAUSKDV-RYUDHWBXSA-N 0 1 290.791 0.981 20 30 CCEDMN C#CCCCC(=O)NCC1CN(C(=O)c2[nH]nnc2C)C1 ZINC001297190839 907039858 /nfs/dbraw/zinc/03/98/58/907039858.db2.gz CEUHJUCLEQWZMG-UHFFFAOYSA-N 0 1 289.339 0.105 20 30 CCEDMN CCn1cnc(C=Nn2c(=O)c(C)n[nH]c2=S)c1 ZINC001337761654 921291816 /nfs/dbraw/zinc/29/18/16/921291816.db2.gz DPFRLMLBSQBTPW-UHFFFAOYSA-N 0 1 264.314 0.334 20 30 CCEDMN C=CC[C@H](NC(=O)CCc1nc[nH]n1)C(=O)OCC ZINC001337826781 921296463 /nfs/dbraw/zinc/29/64/63/921296463.db2.gz RVSKSQZDEHVXND-VIFPVBQESA-N 0 1 266.301 0.361 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)c1ncn(C)n1 ZINC001381356643 907170818 /nfs/dbraw/zinc/17/08/18/907170818.db2.gz AESRTJBAFCBRDN-RKDXNWHRSA-N 0 1 271.752 0.664 20 30 CCEDMN C=CCCCC(=O)NC[C@@H](C)NC(=O)C1=NC(=O)N(C)C1 ZINC001298699541 907302397 /nfs/dbraw/zinc/30/23/97/907302397.db2.gz YQZBGWFFJUZPMO-SNVBAGLBSA-N 0 1 294.355 0.717 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1cncn1C ZINC001491636225 907472580 /nfs/dbraw/zinc/47/25/80/907472580.db2.gz CYELBRNJXBGHTJ-SNVBAGLBSA-N 0 1 270.764 0.809 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1CC(=O)N(C)C1 ZINC001382086019 907539189 /nfs/dbraw/zinc/53/91/89/907539189.db2.gz NBRCQEANFGLGRU-QWRGUYRKSA-N 0 1 287.791 0.654 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@@H](COC)OC ZINC001382116777 907542375 /nfs/dbraw/zinc/54/23/75/907542375.db2.gz ATNPRYPZIPQNIN-WDEREUQCSA-N 0 1 278.780 0.837 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](C[NH2+]Cc2nc(=O)n(C)[n-]2)C1 ZINC001491789859 907571082 /nfs/dbraw/zinc/57/10/82/907571082.db2.gz INRNKUQHEJTDNO-NSHDSACASA-N 0 1 293.371 0.013 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001491921296 907642111 /nfs/dbraw/zinc/64/21/11/907642111.db2.gz STWSPZNNAIKJKT-NXEZZACHSA-N 0 1 275.312 0.242 20 30 CCEDMN C#CCCCC(=O)NCC[C@@H](C)NCc1cnon1 ZINC001492014259 907675382 /nfs/dbraw/zinc/67/53/82/907675382.db2.gz WVJGEKWCRCPLDW-LLVKDONJSA-N 0 1 264.329 0.858 20 30 CCEDMN CC#CCCCC(=O)NCC[C@H](C)NCc1cn(C)nn1 ZINC001492071833 907691048 /nfs/dbraw/zinc/69/10/48/907691048.db2.gz MHWLKSWMILYHFO-ZDUSSCGKSA-N 0 1 291.399 0.993 20 30 CCEDMN C=CC[NH2+][C@@H](C)CCNC(=O)c1[nH]c(=O)[n-]c(=O)c1OC ZINC001492110391 907705478 /nfs/dbraw/zinc/70/54/78/907705478.db2.gz FEMHDKHCDBARAP-QMMMGPOBSA-N 0 1 296.327 0.180 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)Cn1cccn1 ZINC001382394454 907717669 /nfs/dbraw/zinc/71/76/69/907717669.db2.gz YWVVYTFPTHGPJR-NSHDSACASA-N 0 1 286.763 0.045 20 30 CCEDMN C=C(C)CCN(C)CCNC(=O)CC1CS(=O)(=O)C1 ZINC001492311408 907839849 /nfs/dbraw/zinc/83/98/49/907839849.db2.gz MPIHSDBQQJHTNQ-UHFFFAOYSA-N 0 1 288.413 0.435 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)N(Cc1nn[nH]n1)CC(C)C ZINC001303202292 908063689 /nfs/dbraw/zinc/06/36/89/908063689.db2.gz XYMPQWCGQSTYNE-UHFFFAOYSA-N 0 1 295.343 0.694 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)C#CC1CC1 ZINC001304090124 908143763 /nfs/dbraw/zinc/14/37/63/908143763.db2.gz YFFXROAIHOJNBR-LLVKDONJSA-N 0 1 261.281 0.023 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnn(CCCOC)c1 ZINC001317464837 908256071 /nfs/dbraw/zinc/25/60/71/908256071.db2.gz AQHSJGURAUPRCV-UHFFFAOYSA-N 0 1 292.383 0.605 20 30 CCEDMN Cc1ncc(NC(=O)NCC#CCN(C)C)cc1C(N)=O ZINC001311102889 908531043 /nfs/dbraw/zinc/53/10/43/908531043.db2.gz KESQJXWXKNVLQO-UHFFFAOYSA-N 0 1 289.339 0.175 20 30 CCEDMN C=CC1CCN(C(=O)Nc2nn[nH]c2C(=O)NC)CC1 ZINC001311171303 908535275 /nfs/dbraw/zinc/53/52/75/908535275.db2.gz ATUDXEGYXIHFDW-UHFFFAOYSA-N 0 1 278.316 0.594 20 30 CCEDMN CO[C@@H]1C[C@H](NC(=O)NCC#CCN(C)C)C1(C)C ZINC001312171686 908587467 /nfs/dbraw/zinc/58/74/67/908587467.db2.gz AAXCDHCLZNDWSA-NWDGAFQWSA-N 0 1 267.373 0.664 20 30 CCEDMN Cc1noc(CN(C)C(=O)NCC#CCN(C)C)n1 ZINC001313439441 908665123 /nfs/dbraw/zinc/66/51/23/908665123.db2.gz LOQIJDHPUQNMEN-UHFFFAOYSA-N 0 1 265.317 0.084 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H](C)NC(C)=O)CC1 ZINC001316928277 908688218 /nfs/dbraw/zinc/68/82/18/908688218.db2.gz GUBMTZUHXYECDA-LLVKDONJSA-N 0 1 265.357 0.067 20 30 CCEDMN COCCN1CCN(CCCNC(=O)C#CC(C)C)CC1 ZINC001316957890 908702598 /nfs/dbraw/zinc/70/25/98/908702598.db2.gz IRADRDQDFCTRFE-UHFFFAOYSA-N 0 1 295.427 0.416 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1CCN(CCOC(C)C)C1 ZINC001316976694 908708574 /nfs/dbraw/zinc/70/85/74/908708574.db2.gz BAVJTKVDGUOZCV-KGLIPLIRSA-N 0 1 282.384 0.640 20 30 CCEDMN CC(=O)N[C@@H]1CC[N@@H+](CC(=O)NCC#N)CC(C)(C)C1 ZINC001316808234 908777048 /nfs/dbraw/zinc/77/70/48/908777048.db2.gz SDDSHFGTEWQOBZ-GFCCVEGCSA-N 0 1 280.372 0.253 20 30 CCEDMN CC(=O)N[C@@H]1CCN(CC(=O)NCC#N)CC(C)(C)C1 ZINC001316808234 908777061 /nfs/dbraw/zinc/77/70/61/908777061.db2.gz SDDSHFGTEWQOBZ-GFCCVEGCSA-N 0 1 280.372 0.253 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](NC(C)=O)CC(C)(C)C1 ZINC001316808154 908778987 /nfs/dbraw/zinc/77/89/87/908778987.db2.gz QHHSABJCUSKRAI-OCCSQVGLSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C1(C2CC2)CC1 ZINC001316830350 908798309 /nfs/dbraw/zinc/79/83/09/908798309.db2.gz MMZHQMAOBBZSOH-UHFFFAOYSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)[C@H]1CC[C@@H](C)C1 ZINC001316853952 908808178 /nfs/dbraw/zinc/80/81/78/908808178.db2.gz IZHKQQLCPANROA-KGLIPLIRSA-N 0 1 293.411 0.610 20 30 CCEDMN C#CCNC(=O)CN(CC)CCCNC(=O)[C@@H]1CC1(C)C ZINC001316887807 908819920 /nfs/dbraw/zinc/81/99/20/908819920.db2.gz BJUBTHVWOFQVSN-ZDUSSCGKSA-N 0 1 293.411 0.610 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)CCc2ccncn2)CC1 ZINC001316930508 908853868 /nfs/dbraw/zinc/85/38/68/908853868.db2.gz DUBDLIZGDSSIDZ-UHFFFAOYSA-N 0 1 286.379 0.965 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H](CCCC)NC(N)=O)C1 ZINC001316955212 908865815 /nfs/dbraw/zinc/86/58/15/908865815.db2.gz YVMPXKNVNYWCKU-CHWSQXEVSA-N 0 1 296.415 0.980 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)c2coc(C)c2)CC1 ZINC001316963157 908871122 /nfs/dbraw/zinc/87/11/22/908871122.db2.gz GISAWCKYSNBFKY-UHFFFAOYSA-N 0 1 289.379 0.959 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1CC[C@H](NCC#N)C1 ZINC001317057638 908946366 /nfs/dbraw/zinc/94/63/66/908946366.db2.gz YUYROMGWQLDNRK-RYUDHWBXSA-N 0 1 270.352 0.380 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)CO[C@@H]1CCOC1 ZINC001317170607 909029516 /nfs/dbraw/zinc/02/95/16/909029516.db2.gz DWTXAWHNGZJNLN-ZIAGYGMSSA-N 0 1 282.384 0.949 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCCN1C(=O)[C@H]1CCNC1=O ZINC001317188337 909036555 /nfs/dbraw/zinc/03/65/55/909036555.db2.gz JCEWFWLQBRXDKM-RYUDHWBXSA-N 0 1 299.802 0.846 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@H]2CNC(=O)[C@@H](C)C#N)[nH]1 ZINC001387394935 909124101 /nfs/dbraw/zinc/12/41/01/909124101.db2.gz ZVGDEUOBVFBAMQ-ONGXEEELSA-N 0 1 289.339 0.599 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C1(CCOC)CC1 ZINC001317448587 909221599 /nfs/dbraw/zinc/22/15/99/909221599.db2.gz PKMFASOHDRGEOA-UHFFFAOYSA-N 0 1 282.384 0.501 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc(CNC(C)=O)cc1 ZINC001317451296 909221845 /nfs/dbraw/zinc/22/18/45/909221845.db2.gz LQPISCSBXYACEY-UHFFFAOYSA-N 0 1 287.363 0.618 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1cnc(Cl)n1C ZINC001317453708 909223257 /nfs/dbraw/zinc/22/32/57/909223257.db2.gz VFQZZMCXJIFWKT-UHFFFAOYSA-N 0 1 268.748 0.758 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@]1(CC(C)C)CCNC1=O ZINC001317453672 909227650 /nfs/dbraw/zinc/22/76/50/909227650.db2.gz UEEUWJUQGKPHAY-MRXNPFEDSA-N 0 1 293.411 0.610 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccc(OC)nn1 ZINC001317464854 909244756 /nfs/dbraw/zinc/24/47/56/909244756.db2.gz BAEAIGBBJQHXCL-UHFFFAOYSA-N 0 1 262.313 0.170 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnn2c1OCCC2 ZINC001317482889 909253069 /nfs/dbraw/zinc/25/30/69/909253069.db2.gz YAEDAZHVTYRGIK-UHFFFAOYSA-N 0 1 276.340 0.351 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCCC(=O)N(C)C ZINC001317491065 909259537 /nfs/dbraw/zinc/25/95/37/909259537.db2.gz NSJRVFTXPIBHGX-UHFFFAOYSA-N 0 1 267.373 0.316 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)COCc1ccnn1C ZINC001317504171 909274272 /nfs/dbraw/zinc/27/42/72/909274272.db2.gz VVEMDZRERGXHEG-ZDUSSCGKSA-N 0 1 290.367 0.151 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H]1CCN(CC(=O)NCC)C1 ZINC001317521776 909290631 /nfs/dbraw/zinc/29/06/31/909290631.db2.gz ZTQFMKOBZKTWQY-ZDUSSCGKSA-N 0 1 281.400 0.917 20 30 CCEDMN CC#CCN(CCNC(=O)c1cnc2n[nH]nc2c1)C1CC1 ZINC001317552826 909326651 /nfs/dbraw/zinc/32/66/51/909326651.db2.gz WWOXAUJNXWYQJZ-UHFFFAOYSA-N 0 1 298.350 0.570 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N(C)CCNCC#N ZINC001317592166 909390806 /nfs/dbraw/zinc/39/08/06/909390806.db2.gz QQZCRXFYGWGBAP-LLVKDONJSA-N 0 1 258.341 0.238 20 30 CCEDMN C[C@H]1C[C@@H]1C(=O)NCC[C@@H]1CCN(CC(=O)NCC#N)C1 ZINC001317796785 909499590 /nfs/dbraw/zinc/49/95/90/909499590.db2.gz FYFAUNRXXFNKSX-XQQFMLRXSA-N 0 1 292.383 0.110 20 30 CCEDMN C=C(C)CN1CCN(CCN(C)C(=O)[C@H]2CCOC2)CC1 ZINC001317817019 909508145 /nfs/dbraw/zinc/50/81/45/909508145.db2.gz SHCXFFURALJFMK-HNNXBMFYSA-N 0 1 295.427 0.675 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1C[C@@H]2C[C@H]1CN2C(C)C ZINC001318198466 909661976 /nfs/dbraw/zinc/66/19/76/909661976.db2.gz UYRPCYYDIBFAHC-AVGNSLFASA-N 0 1 250.342 0.718 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H](CCCC)NC(N)=O)C1 ZINC001318309323 909700823 /nfs/dbraw/zinc/70/08/23/909700823.db2.gz MAQQSBGXYUCMFR-RYUDHWBXSA-N 0 1 282.388 0.590 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H](C)OCCOCC)C1 ZINC001318444928 909761844 /nfs/dbraw/zinc/76/18/44/909761844.db2.gz HKSLIAPIMCHNDX-CABCVRRESA-N 0 1 296.411 0.984 20 30 CCEDMN C=CCN(C(=O)c1cnc(C)[nH]1)[C@H]1CCS(=O)(=O)C1 ZINC001318664912 909854247 /nfs/dbraw/zinc/85/42/47/909854247.db2.gz MFOXMQOYFNTYEA-JTQLQIEISA-N 0 1 283.353 0.533 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)C1CN(C(C)=O)C1)C1CC1 ZINC001389594029 909988934 /nfs/dbraw/zinc/98/89/34/909988934.db2.gz RWUGMZCTWQKMMI-ZDUSSCGKSA-N 0 1 299.802 0.702 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CN(C(=O)CCc2cnc[nH]2)C1 ZINC001389923445 910147304 /nfs/dbraw/zinc/14/73/04/910147304.db2.gz MUGJDBVGZFFNPD-JTQLQIEISA-N 0 1 289.339 0.077 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N(C)[C@H](C)CNCC#N ZINC001319467843 910165733 /nfs/dbraw/zinc/16/57/33/910165733.db2.gz WSMUMUDKSXENNP-VXGBXAGGSA-N 0 1 272.368 0.626 20 30 CCEDMN CC(C)C[C@H](CNCC#N)NC(=O)[C@H]1CCCN1C ZINC001319621224 910233289 /nfs/dbraw/zinc/23/32/89/910233289.db2.gz MNQAPESBOAOWOW-CHWSQXEVSA-N 0 1 266.389 0.725 20 30 CCEDMN CC(C)c1nc(CN[C@H](C)CNC(=O)[C@@H](C)C#N)n[nH]1 ZINC001390340807 910361250 /nfs/dbraw/zinc/36/12/50/910361250.db2.gz MTZMHNOVLWUPIQ-VHSXEESVSA-N 0 1 278.360 0.682 20 30 CCEDMN CCc1cc(C(=O)N(C)CCN(C)CC#CCOC)[nH]n1 ZINC001319979633 910390049 /nfs/dbraw/zinc/39/00/49/910390049.db2.gz XEWKNQXWTNMOSF-UHFFFAOYSA-N 0 1 292.383 0.626 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1c(C)ncn1C ZINC001320009549 910414084 /nfs/dbraw/zinc/41/40/84/910414084.db2.gz MADVIHOMVNNDLM-UHFFFAOYSA-N 0 1 262.357 0.756 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)c1ccc2nnn(C)c2c1 ZINC001320078886 910451864 /nfs/dbraw/zinc/45/18/64/910451864.db2.gz MNDHQCAELOLHQC-UHFFFAOYSA-N 0 1 299.378 0.995 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)[C@](C)(NC(C)=O)C(C)C ZINC001320090904 910456250 /nfs/dbraw/zinc/45/62/50/910456250.db2.gz QGHHPVCSGLDHCJ-MRXNPFEDSA-N 0 1 295.427 0.951 20 30 CCEDMN C#CCCCC(=O)N1CC[C@@H]2[C@@H](CC[N@@H+]2CC(=O)NC)C1 ZINC001320100397 910462976 /nfs/dbraw/zinc/46/29/76/910462976.db2.gz YUUODTMGUOMHHT-UONOGXRCSA-N 0 1 291.395 0.459 20 30 CCEDMN N#Cc1ccc([C@H](O)C(=O)NCCN2CC=CC2)cc1 ZINC001320203292 910513373 /nfs/dbraw/zinc/51/33/73/910513373.db2.gz RJHPZDYQXVANJM-AWEZNQCLSA-N 0 1 271.320 0.580 20 30 CCEDMN CCN(CCNCc1n[nH]c(C2CC2)n1)C(=O)[C@@H](C)C#N ZINC001320694662 910808243 /nfs/dbraw/zinc/80/82/43/910808243.db2.gz TYTDIHSILJYOKW-JTQLQIEISA-N 0 1 290.371 0.780 20 30 CCEDMN C=CCO[C@H]1CCN([C@H](C)C(=O)NCCCOC)C1 ZINC001320898233 910926699 /nfs/dbraw/zinc/92/66/99/910926699.db2.gz KAJLDMGEKVOQBO-OLZOCXBDSA-N 0 1 270.373 0.805 20 30 CCEDMN Cc1nc(-c2ncccn2)[nH]c(=O)c1CC(=O)N[C@@H](C)C#N ZINC001339079105 921645519 /nfs/dbraw/zinc/64/55/19/921645519.db2.gz JXWUMEBMQDGPNL-QMMMGPOBSA-N 0 1 298.306 0.518 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H](C)C#N)NCc1cc(OC)no1 ZINC001391672349 911313101 /nfs/dbraw/zinc/31/31/01/911313101.db2.gz WQGYDLZAFTVXAZ-ZJUUUORDSA-N 0 1 280.328 0.827 20 30 CCEDMN C=C(Cl)CNC[C@H]1CCCN1C(=O)C[C@@H]1CCNC1=O ZINC001391860686 911424397 /nfs/dbraw/zinc/42/43/97/911424397.db2.gz HUTYYEVAECLSDO-NWDGAFQWSA-N 0 1 299.802 0.846 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)Cc1cnn(C)c1 ZINC001322124795 911664851 /nfs/dbraw/zinc/66/48/51/911664851.db2.gz UXCWLBXMMPPJOY-CYBMUJFWSA-N 0 1 292.383 0.049 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)C[C@@H]1CCOC1 ZINC001322133096 911670565 /nfs/dbraw/zinc/67/05/65/911670565.db2.gz DDUAKCXWXIAUKT-STQMWFEESA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1[nH]c(=O)[nH]c1C ZINC001322184078 911698585 /nfs/dbraw/zinc/69/85/85/911698585.db2.gz XQYDCDVBWUCKSD-MRVPVSSYSA-N 0 1 250.302 0.107 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1c(C)nc[nH]c1=O ZINC001322231295 911717522 /nfs/dbraw/zinc/71/75/22/911717522.db2.gz ROIFVQWYTJTRRL-SECBINFHSA-N 0 1 262.313 0.174 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001322275458 911744543 /nfs/dbraw/zinc/74/45/43/911744543.db2.gz YIEHFJWAXBKORD-UONOGXRCSA-N 0 1 293.411 0.705 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1COc2ccccc2O1 ZINC001322292639 911751065 /nfs/dbraw/zinc/75/10/65/911751065.db2.gz HPVMNKYAWYDQRC-WFASDCNBSA-N 0 1 288.347 0.896 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)CCCn1cccn1 ZINC001322297988 911752966 /nfs/dbraw/zinc/75/29/66/911752966.db2.gz FTADIQCNYJTYJT-CYBMUJFWSA-N 0 1 262.357 0.733 20 30 CCEDMN C[C@H](CO)NN=Cc1ccc(N2CCN(C)CC2)c(F)c1 ZINC001322339322 911769757 /nfs/dbraw/zinc/76/97/57/911769757.db2.gz OMESHTORLXWNJM-GFCCVEGCSA-N 0 1 294.374 0.882 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ncccc1OC ZINC001392423440 911792502 /nfs/dbraw/zinc/79/25/02/911792502.db2.gz UINQVTROOLIRKI-SNVBAGLBSA-N 0 1 299.758 0.523 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CNCC(=O)Nc1nccs1 ZINC001392463500 911823354 /nfs/dbraw/zinc/82/33/54/911823354.db2.gz BGGVBOXLCQBCRW-RKDXNWHRSA-N 0 1 295.368 0.336 20 30 CCEDMN CC[C@H](C(N)=O)N(C)C[C@H](C)NC(=O)c1ccc(C#N)[nH]1 ZINC001392654625 912050261 /nfs/dbraw/zinc/05/02/61/912050261.db2.gz XTLQKYCANRPTBM-JOYOIKCWSA-N 0 1 291.355 0.200 20 30 CCEDMN C#CCCCCNC(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC001323185077 912161916 /nfs/dbraw/zinc/16/19/16/912161916.db2.gz XYEUBBZVMUFZDR-LBPRGKRZSA-N 0 1 277.368 0.259 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@@H]2CCc3c[nH]nc3C2)CC1 ZINC001323203395 912180753 /nfs/dbraw/zinc/18/07/53/912180753.db2.gz BVVBMAGDEHFYKV-LLVKDONJSA-N 0 1 287.367 0.619 20 30 CCEDMN C=CCOCCCC(=O)N1CCN(C2CN(CC)C2)CC1 ZINC001328116328 914976952 /nfs/dbraw/zinc/97/69/52/914976952.db2.gz KXKQDCKLTIPBMN-UHFFFAOYSA-N 0 1 295.427 0.818 20 30 CCEDMN Cc1cc(CNC(=O)N2CC[C@](O)(CC#N)C2)n[nH]1 ZINC001328134720 914989199 /nfs/dbraw/zinc/98/91/99/914989199.db2.gz LKHHFWKARBYUSS-GFCCVEGCSA-N 0 1 263.301 0.278 20 30 CCEDMN COCc1nc(CNC(=O)c2c[nH]c(C#N)c2)n[nH]1 ZINC001328439087 915197780 /nfs/dbraw/zinc/19/77/80/915197780.db2.gz NHDVBSPKYTYIIR-UHFFFAOYSA-N 0 1 260.257 0.081 20 30 CCEDMN COCc1nnc(CNC(=O)c2c[nH]c(C#N)c2)[nH]1 ZINC001328439087 915197792 /nfs/dbraw/zinc/19/77/92/915197792.db2.gz NHDVBSPKYTYIIR-UHFFFAOYSA-N 0 1 260.257 0.081 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N(CC)[C@H]1CCN(C)C1 ZINC001328501822 915238225 /nfs/dbraw/zinc/23/82/25/915238225.db2.gz RJEZZDVYPZIOJG-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)[C@H]2CC[C@H]2C(=O)[O-])CC1 ZINC001339604240 921774199 /nfs/dbraw/zinc/77/41/99/921774199.db2.gz ZRIQWPNCVYWTFD-NWDGAFQWSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)CCCC(=O)[O-])CC1 ZINC001339604070 921775104 /nfs/dbraw/zinc/77/51/04/921775104.db2.gz XGLUKFHKLJDQEK-UHFFFAOYSA-N 0 1 252.314 0.409 20 30 CCEDMN C[C@@H](Cc1cnc[nH]1)C(=O)NC1CC(CNCC#N)C1 ZINC001328926968 915522231 /nfs/dbraw/zinc/52/22/31/915522231.db2.gz FLRGWALQMSCHJW-UNXYVOJBSA-N 0 1 275.356 0.596 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC001418052747 921792632 /nfs/dbraw/zinc/79/26/32/921792632.db2.gz RWYVUURULGIVJX-LSJOCFKGSA-N 0 1 288.355 0.579 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@H](NCc2nnc(C3CC3)[nH]2)C1 ZINC001418052745 921792713 /nfs/dbraw/zinc/79/27/13/921792713.db2.gz RWYVUURULGIVJX-FBIMIBRVSA-N 0 1 288.355 0.579 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)[C@@H](C)OCC1CC1 ZINC001329394129 915870015 /nfs/dbraw/zinc/87/00/15/915870015.db2.gz SXFRARYVXFDQGS-ZIAGYGMSSA-N 0 1 296.411 0.888 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)Cn1cc(C)cn1 ZINC001329391547 915871244 /nfs/dbraw/zinc/87/12/44/915871244.db2.gz FSSMGVUBHOVTHO-ZDUSSCGKSA-N 0 1 262.357 0.651 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)CCCCC(N)=O ZINC001329592446 916045855 /nfs/dbraw/zinc/04/58/55/916045855.db2.gz PDMNBWCBWXKCQS-NSHDSACASA-N 0 1 253.346 0.102 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1=COCCC1 ZINC001401509172 916298942 /nfs/dbraw/zinc/29/89/42/916298942.db2.gz WDKSSYQDDCFPAA-NSHDSACASA-N 0 1 274.748 0.500 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@H](OC)C(C)C ZINC001401552010 916315662 /nfs/dbraw/zinc/31/56/62/916315662.db2.gz WBQNLQCPNHFJIO-WDEREUQCSA-N 0 1 278.780 0.477 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cnoc1C1CC1 ZINC001401567079 916331235 /nfs/dbraw/zinc/33/12/35/916331235.db2.gz NCKYIUOYQAWGKE-JTQLQIEISA-N 0 1 299.758 0.985 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)C[C@@H]1CCC(=O)NC1 ZINC001401685109 916481172 /nfs/dbraw/zinc/48/11/72/916481172.db2.gz AIKDLBGREHHYJO-QWRGUYRKSA-N 0 1 287.791 0.750 20 30 CCEDMN Cc1cc(CNC(=O)C(=O)N2CCC[C@@H](CC#N)C2)n[nH]1 ZINC001330448689 916634213 /nfs/dbraw/zinc/63/42/13/916634213.db2.gz BIQKREHASFBLCX-NSHDSACASA-N 0 1 289.339 0.487 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCCC2(CN(C(=O)CC)C2)C1 ZINC001330473560 916652898 /nfs/dbraw/zinc/65/28/98/916652898.db2.gz AJWLXWUFMZXKCO-ZDUSSCGKSA-N 0 1 291.395 0.459 20 30 CCEDMN N#CCc1cccc(NC(=O)C[C@@H]2COCCN2)n1 ZINC001330968028 916996110 /nfs/dbraw/zinc/99/61/10/916996110.db2.gz BFMMBZBOBZEDTD-LLVKDONJSA-N 0 1 260.297 0.465 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ocnc1C(F)F ZINC001331040661 917041482 /nfs/dbraw/zinc/04/14/82/917041482.db2.gz FZMLUCIGIVPKQW-WDSKDSINSA-N 0 1 256.212 0.454 20 30 CCEDMN COCC#CCN(C)CCOCCNC(=O)[C@@H]1CC12CC2 ZINC001331149807 917127268 /nfs/dbraw/zinc/12/72/68/917127268.db2.gz OIACBKHXBWGHTK-AWEZNQCLSA-N 0 1 294.395 0.501 20 30 CCEDMN C[C@@H](C(=O)N(C)Cc1cnc[nH]1)n1cnc(C#N)n1 ZINC001331222386 917195164 /nfs/dbraw/zinc/19/51/64/917195164.db2.gz MYKBIDBLIONDTG-QMMMGPOBSA-N 0 1 259.273 0.092 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)N[C@H]1CC[N@@H+](CC2CC2)C1 ZINC001331300954 917250800 /nfs/dbraw/zinc/25/08/00/917250800.db2.gz AGAKJEMRQVROBL-ZDUSSCGKSA-N 0 1 279.384 0.621 20 30 CCEDMN CC(C)C#CC(=O)N(C)C[C@H](C)NCc1cnnn1C ZINC001331443688 917336736 /nfs/dbraw/zinc/33/67/36/917336736.db2.gz VGIOUXOXQNNPBD-LBPRGKRZSA-N 0 1 277.372 0.411 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)Cc1nc(C)sc1C ZINC001331737987 917562138 /nfs/dbraw/zinc/56/21/38/917562138.db2.gz DMYTUDSKIQFOME-NSHDSACASA-N 0 1 281.381 0.002 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(COC)cs1 ZINC001331739312 917562192 /nfs/dbraw/zinc/56/21/92/917562192.db2.gz IFANGWXBHHDEMY-GFCCVEGCSA-N 0 1 296.392 0.598 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cncc(CC)c1 ZINC001331760969 917581423 /nfs/dbraw/zinc/58/14/23/917581423.db2.gz XQIRTLCCWZQIQO-CQSZACIVSA-N 0 1 275.352 0.348 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](CO)NCc1cccnc1 ZINC001331770640 917592606 /nfs/dbraw/zinc/59/26/06/917592606.db2.gz HWIWWCVJESUHAT-HNNXBMFYSA-N 0 1 289.379 0.842 20 30 CCEDMN C=C1CCC(C(=O)NC[C@H](CO)N[C@@H](CC)C(N)=O)CC1 ZINC001331787579 917605929 /nfs/dbraw/zinc/60/59/29/917605929.db2.gz KGRMDGWDSDYNCN-OLZOCXBDSA-N 0 1 297.399 0.063 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](C)Cc1ccco1 ZINC001331804197 917621539 /nfs/dbraw/zinc/62/15/39/917621539.db2.gz LKIKYQMCVKOCPB-RYUDHWBXSA-N 0 1 264.325 0.158 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)CCc1ccccc1 ZINC001331818681 917635402 /nfs/dbraw/zinc/63/54/02/917635402.db2.gz IJBONTIQZINMOJ-CQSZACIVSA-N 0 1 260.337 0.319 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1csc2c1CCCC2 ZINC001331820556 917638113 /nfs/dbraw/zinc/63/81/13/917638113.db2.gz VEEGKFSNXRHWTD-NSHDSACASA-N 0 1 292.404 0.940 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001332334934 918063182 /nfs/dbraw/zinc/06/31/82/918063182.db2.gz PDYOGKHELDXTGX-WDEREUQCSA-N 0 1 295.339 0.041 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C[C@H](C)COC ZINC001332664405 918366517 /nfs/dbraw/zinc/36/65/17/918366517.db2.gz LSRDCKFGHAXIFW-UONOGXRCSA-N 0 1 284.400 0.433 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)Cc1ccccc1C ZINC001332678671 918376978 /nfs/dbraw/zinc/37/69/78/918376978.db2.gz RLCVJQNHIAVGEH-MRXNPFEDSA-N 0 1 288.391 0.922 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc(C[S@@](C)=O)c1 ZINC001332707978 918394870 /nfs/dbraw/zinc/39/48/70/918394870.db2.gz RZVCRKRMZXZZDT-HXUWFJFHSA-N 0 1 292.404 0.860 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1CN(Cc2ccc(CO)cc2)C1 ZINC001404872161 918502769 /nfs/dbraw/zinc/50/27/69/918502769.db2.gz IEQKLWDMQPHWCC-GFCCVEGCSA-N 0 1 287.363 0.887 20 30 CCEDMN CC1(C)C(=O)N[C@@H]1C1CCN(C[C@H](O)CC#N)CC1 ZINC001333052229 918621482 /nfs/dbraw/zinc/62/14/82/918621482.db2.gz FSHQRPOCRJVHDE-VXGBXAGGSA-N 0 1 265.357 0.498 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H]2C[C@H]3COC[C@@H]3O2)C1 ZINC001333081065 918638557 /nfs/dbraw/zinc/63/85/57/918638557.db2.gz GOSZSCWZLKWZJP-CYDGBPFRSA-N 0 1 252.314 0.141 20 30 CCEDMN CC[C@@H](CNC(=O)[C@H](C)C#N)NCc1cc(C)n(C)n1 ZINC001405184819 918639039 /nfs/dbraw/zinc/63/90/39/918639039.db2.gz DFDKQPWGIHUURB-PWSUYJOCSA-N 0 1 277.372 0.873 20 30 CCEDMN C#CCN1CC=C(CNC(=O)C[C@H]2CCC(=O)NC2)CC1 ZINC001333348910 918827644 /nfs/dbraw/zinc/82/76/44/918827644.db2.gz BMBROHZHHASGKX-CQSZACIVSA-N 0 1 289.379 0.284 20 30 CCEDMN COCC#CC(=O)N1CCCN(C[C@H]2CCCO2)CC1 ZINC001333923037 919251673 /nfs/dbraw/zinc/25/16/73/919251673.db2.gz KTHKPFMZQKNUEW-CQSZACIVSA-N 0 1 280.368 0.350 20 30 CCEDMN C=C(C)C[C@H](NC(=O)CC[NH+]1CCN(CC)CC1)C(=O)[O-] ZINC001334206304 919426430 /nfs/dbraw/zinc/42/64/30/919426430.db2.gz UPXKWMKNIUMXKP-ZDUSSCGKSA-N 0 1 297.399 0.550 20 30 CCEDMN C#C[C@@H](NS(=O)(=O)c1cc(C(=O)OC)no1)C(C)C ZINC001334956258 919864537 /nfs/dbraw/zinc/86/45/37/919864537.db2.gz DRXZLXDVOGAOJR-MRVPVSSYSA-N 0 1 286.309 0.397 20 30 CCEDMN C=CCOC[C@@H](NC(=O)NCC[N@H+](C)C1CC1)C(=O)[O-] ZINC001334962028 919868054 /nfs/dbraw/zinc/86/80/54/919868054.db2.gz HWVJLTSFGQYYCV-LLVKDONJSA-N 0 1 285.344 0.036 20 30 CCEDMN C#CCN1CCC(C(=O)NC2CCC(=O)CC2)CC1 ZINC001335124111 919970820 /nfs/dbraw/zinc/97/08/20/919970820.db2.gz XKOQNSVNQODBMO-UHFFFAOYSA-N 0 1 262.353 0.960 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CCN(O)C2=O)ccc2cncn21 ZINC001335286765 920092317 /nfs/dbraw/zinc/09/23/17/920092317.db2.gz AZDOSEYTIDTBTF-NSHDSACASA-N 0 1 274.280 0.363 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001408377107 920172005 /nfs/dbraw/zinc/17/20/05/920172005.db2.gz QOPMFCHRSNVNES-MNOVXSKESA-N 0 1 289.339 0.077 20 30 CCEDMN N#Cc1ccnc(N2CC[C@@H](c3nc[nH]n3)C2)c1[N+](=O)[O-] ZINC001335491860 920234429 /nfs/dbraw/zinc/23/44/29/920234429.db2.gz QBBUPSXVMWZYJS-SECBINFHSA-N 0 1 285.267 0.973 20 30 CCEDMN C=C(C)CCC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001335790716 920435709 /nfs/dbraw/zinc/43/57/09/920435709.db2.gz SSJJUSOBCXJJBR-VIFPVBQESA-N 0 1 251.290 0.456 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)C(=O)N(C)Cc1cnc[nH]1 ZINC001336540925 920822848 /nfs/dbraw/zinc/82/28/48/920822848.db2.gz RKJQOWVCXGCYQA-LBPRGKRZSA-N 0 1 274.324 0.382 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(-c2ccn(C)n2)on1 ZINC001336598227 920862141 /nfs/dbraw/zinc/86/21/41/920862141.db2.gz DZUWHXQRLCGCKW-UHFFFAOYSA-N 0 1 287.323 0.370 20 30 CCEDMN C[C@@H]1C[C@@H](NC[C@H](O)CC2(C#N)CC2)c2ncnn21 ZINC001336833764 921047316 /nfs/dbraw/zinc/04/73/16/921047316.db2.gz LHUJBEQTXYOOFR-GMTAPVOTSA-N 0 1 261.329 0.928 20 30 CCEDMN CC(C)[C@@H]1C[C@H](C(=O)N[C@H]2CNC[C@H]2C#N)CCO1 ZINC001340135023 921975944 /nfs/dbraw/zinc/97/59/44/921975944.db2.gz RTOIVNRCWNQTEN-NDBYEHHHSA-N 0 1 265.357 0.665 20 30 CCEDMN C#CCNCC(=O)N(C)[C@@H]1C[C@](C)(OC)C1(C)C ZINC001340753207 922197677 /nfs/dbraw/zinc/19/76/77/922197677.db2.gz NQBMQYZFLILQNT-RISCZKNCSA-N 0 1 252.358 0.871 20 30 CCEDMN C#CCNCC(=O)N1CCC(N(C)CC(F)F)CC1 ZINC001340882639 922258006 /nfs/dbraw/zinc/25/80/06/922258006.db2.gz ZDHDXQSOOIKFJO-UHFFFAOYSA-N 0 1 273.327 0.397 20 30 CCEDMN CCCNC(=O)CN1CC[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001418674125 922353489 /nfs/dbraw/zinc/35/34/89/922353489.db2.gz YNQCKTGBEVTBTF-VXGBXAGGSA-N 0 1 280.372 0.110 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1CC[C@@H](NCc2cn(C)nn2)C1 ZINC001418725060 922387216 /nfs/dbraw/zinc/38/72/16/922387216.db2.gz XEEPIVQHIQEFPE-GRYCIOLGSA-N 0 1 290.371 0.349 20 30 CCEDMN C#CCNCC(=O)N1CC[C@@H](C(=O)OC)C[C@H]1C ZINC001341376543 922454311 /nfs/dbraw/zinc/45/43/11/922454311.db2.gz KUALJOZKPBGUMC-GHMZBOCLSA-N 0 1 252.314 0.009 20 30 CCEDMN C#CCNCC(=O)NCc1cc2c([nH]c1=O)CCCC2 ZINC001341547801 922544885 /nfs/dbraw/zinc/54/48/85/922544885.db2.gz OHOQXXPCCUMCQB-UHFFFAOYSA-N 0 1 273.336 0.505 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc2ncccc2o1 ZINC001341749071 922654893 /nfs/dbraw/zinc/65/48/93/922654893.db2.gz WDQLWFPCKXKHHM-WPRPVWTQSA-N 0 1 256.265 0.669 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC)C1CCOCC1 ZINC001341848640 922695680 /nfs/dbraw/zinc/69/56/80/922695680.db2.gz DBVKILBOMDCYCQ-PWSUYJOCSA-N 0 1 268.313 0.273 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1coc(C(N)=O)c1 ZINC001342595093 923016116 /nfs/dbraw/zinc/01/61/16/923016116.db2.gz HZJFTWCQCBEZPH-SECBINFHSA-N 0 1 298.258 0.735 20 30 CCEDMN N#C[C@@H](C(=O)Nc1ccccn1)C(=O)c1coc(C(N)=O)c1 ZINC001342595093 923016130 /nfs/dbraw/zinc/01/61/30/923016130.db2.gz HZJFTWCQCBEZPH-SECBINFHSA-N 0 1 298.258 0.735 20 30 CCEDMN N#CC(C(=O)CCCOC1CCOCC1)C(=O)NC1CC1 ZINC001343502777 923352603 /nfs/dbraw/zinc/35/26/03/923352603.db2.gz YZDCSDQBSBUBBG-ZDUSSCGKSA-N 0 1 294.351 0.950 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H](C)CNCC(=O)Nc1ccccc1 ZINC001420274544 923485146 /nfs/dbraw/zinc/48/51/46/923485146.db2.gz XSUULFOOVSBVAH-VXGBXAGGSA-N 0 1 288.351 0.879 20 30 CCEDMN Cc1nc(CN2CCC[C@H]2CNC(=O)[C@H](C)C#N)n[nH]1 ZINC001420468494 923689157 /nfs/dbraw/zinc/68/91/57/923689157.db2.gz CZQGCPDDEGRESP-KOLCDFICSA-N 0 1 276.344 0.353 20 30 CCEDMN Cc1nc[nH]c1C(=O)OCCOC1(C#N)CCOCC1 ZINC001344966990 923748102 /nfs/dbraw/zinc/74/81/02/923748102.db2.gz ALBSOCRUBGHOQL-UHFFFAOYSA-N 0 1 279.296 0.964 20 30 CCEDMN C=CCNC(=O)Cc1noc(C23CCC(CC2)N3)n1 ZINC001345170230 923819404 /nfs/dbraw/zinc/81/94/04/923819404.db2.gz VTULWCRYPWERSE-UHFFFAOYSA-N 0 1 262.313 0.655 20 30 CCEDMN C#CCN1CCC(OC(=O)c2nn(CC)nc2C)CC1 ZINC001346149929 924087684 /nfs/dbraw/zinc/08/76/84/924087684.db2.gz CROQKQAGDQSLGB-UHFFFAOYSA-N 0 1 276.340 0.861 20 30 CCEDMN CC(C)(O)CN1CCN(c2cnc(C#N)c(C#N)n2)CC1 ZINC001421057638 924122852 /nfs/dbraw/zinc/12/28/52/924122852.db2.gz XRJUXQGQRUMHGT-UHFFFAOYSA-N 0 1 286.339 0.113 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)[C@@H]1CN2CCN1C[C@@H]2C ZINC001346284884 924145227 /nfs/dbraw/zinc/14/52/27/924145227.db2.gz YYHGBWDATBDXMW-AGIUHOORSA-N 0 1 264.373 0.383 20 30 CCEDMN C[C@H]1CN2CC[N@H+]1C[C@H]2C(=O)NCCCCC#N ZINC001346410689 924193835 /nfs/dbraw/zinc/19/38/35/924193835.db2.gz OEPXQQABMRRHHS-RYUDHWBXSA-N 0 1 250.346 0.185 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)NCCCCC#N ZINC001346410689 924193837 /nfs/dbraw/zinc/19/38/37/924193837.db2.gz OEPXQQABMRRHHS-RYUDHWBXSA-N 0 1 250.346 0.185 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)COC)CCOCC1 ZINC001421299832 924264296 /nfs/dbraw/zinc/26/42/96/924264296.db2.gz ZYWZGIICUNGVEM-UHFFFAOYSA-N 0 1 276.764 0.640 20 30 CCEDMN CC#CC[N@@H+](CCCNC(=O)[C@H](C)NC(N)=O)C1CC1 ZINC001479866602 924278406 /nfs/dbraw/zinc/27/84/06/924278406.db2.gz BXQDKEHZWULCSN-NSHDSACASA-N 0 1 280.372 0.037 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CN3CCC2CC3)nc1 ZINC001347294071 924416459 /nfs/dbraw/zinc/41/64/59/924416459.db2.gz YCXWOFNNCQDLTJ-CQSZACIVSA-N 0 1 255.321 0.887 20 30 CCEDMN C=CCOCCNC(=O)C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC001347348367 924428229 /nfs/dbraw/zinc/42/82/29/924428229.db2.gz OHZYYFSZYFONOL-JTQLQIEISA-N 0 1 294.355 0.084 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H](OC)C2CC2)CC1 ZINC001479886833 924483291 /nfs/dbraw/zinc/48/32/91/924483291.db2.gz FFWQWDWNRRMLDN-CQSZACIVSA-N 0 1 264.369 0.967 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H](OC)C2CC2)CC1 ZINC001479886832 924483787 /nfs/dbraw/zinc/48/37/87/924483787.db2.gz FFWQWDWNRRMLDN-AWEZNQCLSA-N 0 1 264.369 0.967 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@H]2C(=O)NC1(C#N)CCCC1 ZINC001347604317 924491973 /nfs/dbraw/zinc/49/19/73/924491973.db2.gz WVGRMEQSFFJUOB-NEPJUHHUSA-N 0 1 262.357 0.327 20 30 CCEDMN C=C(C)CN(C)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001347622196 924495538 /nfs/dbraw/zinc/49/55/38/924495538.db2.gz XZZHJPAQUVUQQO-ZDUSSCGKSA-N 0 1 268.405 0.840 20 30 CCEDMN C[C@H](O)CNN=Cc1ccc(N2CCN(C)CC2)c(F)c1 ZINC001347634173 924499066 /nfs/dbraw/zinc/49/90/66/924499066.db2.gz HHQDABQTJFUGHB-LBPRGKRZSA-N 0 1 294.374 0.882 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NC[C@@H](O)c1cnc[nH]1 ZINC001347649423 924501516 /nfs/dbraw/zinc/50/15/16/924501516.db2.gz VBBKHQJUFULZGJ-DGCLKSJQSA-N 0 1 267.329 0.788 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)N(CC#N)C1CCCC1 ZINC001347741653 924524385 /nfs/dbraw/zinc/52/43/85/924524385.db2.gz DGHJANQCBJXBST-JSGCOSHPSA-N 0 1 276.384 0.669 20 30 CCEDMN C=C(C)C[NH+]1CCN([C@@]2(C(=O)[O-])CCOC2)CC1 ZINC001348437173 924687942 /nfs/dbraw/zinc/68/79/42/924687942.db2.gz TVBGZVGODNCKES-ZDUSSCGKSA-N 0 1 254.330 0.424 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNC(=O)c1[nH]nc(C)c1C ZINC001348680373 924772163 /nfs/dbraw/zinc/77/21/63/924772163.db2.gz WNGPWBLPHADDLB-NSHDSACASA-N 0 1 294.355 0.464 20 30 CCEDMN C=C1CC(C)(C(=O)NCCNC(=O)Cc2n[nH]c(C)n2)C1 ZINC001348934290 924846841 /nfs/dbraw/zinc/84/68/41/924846841.db2.gz BCOJWKNFPAFANW-UHFFFAOYSA-N 0 1 291.355 0.244 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(COC)C2)CC1 ZINC001349711327 925030551 /nfs/dbraw/zinc/03/05/51/925030551.db2.gz RLKFACPPVVWFGL-UHFFFAOYSA-N 0 1 250.342 0.436 20 30 CCEDMN C#CCN(C(=O)NC[C@@H](C)N1CCN(C)CC1)C1CC1 ZINC001349879956 925083879 /nfs/dbraw/zinc/08/38/79/925083879.db2.gz KYSFEVWGOAIHMV-CYBMUJFWSA-N 0 1 278.400 0.430 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@]1([C@H]2CCCCO2)CCCO1 ZINC001350136175 925132699 /nfs/dbraw/zinc/13/26/99/925132699.db2.gz WBDBIXJPNQASML-ZBFHGGJFSA-N 0 1 294.395 0.786 20 30 CCEDMN CSCc1nnc(CNC(=O)[C@H](C)CC#N)[nH]1 ZINC001350857497 925299075 /nfs/dbraw/zinc/29/90/75/925299075.db2.gz NAOXFHAIYRPUBL-SSDOTTSWSA-N 0 1 253.331 0.834 20 30 CCEDMN CSCc1n[nH]c(CNC(=O)[C@H](C)CC#N)n1 ZINC001350857497 925299065 /nfs/dbraw/zinc/29/90/65/925299065.db2.gz NAOXFHAIYRPUBL-SSDOTTSWSA-N 0 1 253.331 0.834 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)CCc1nc[nH]n1 ZINC001351691484 925456523 /nfs/dbraw/zinc/45/65/23/925456523.db2.gz ATDBFBBDVVKDMN-JTQLQIEISA-N 0 1 250.302 0.282 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2CCNC[C@H]2C(C)C)CC1 ZINC001351695149 925457662 /nfs/dbraw/zinc/45/76/62/925457662.db2.gz SUSKYVRQGFUKCE-AWEZNQCLSA-N 0 1 293.411 0.867 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1nccc2occc21 ZINC001353073144 925722469 /nfs/dbraw/zinc/72/24/69/925722469.db2.gz PTYCAJSOLFORRW-WPRPVWTQSA-N 0 1 256.265 0.669 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@H](CNC(=O)C(C)C)C1 ZINC001353261959 925775406 /nfs/dbraw/zinc/77/54/06/925775406.db2.gz SICUDHNPFQOKOZ-CYBMUJFWSA-N 0 1 279.384 0.220 20 30 CCEDMN C=CCCC[C@@H](NC(=O)C[C@H]1COCCN1)C(=O)OC ZINC001354840513 926172856 /nfs/dbraw/zinc/17/28/56/926172856.db2.gz JXGCDVCMAKKZJH-NWDGAFQWSA-N 0 1 284.356 0.379 20 30 CCEDMN N#C[C@@H](C(=O)CCN1C(=O)CCC1=O)c1ccncn1 ZINC001357625059 926572963 /nfs/dbraw/zinc/57/29/63/926572963.db2.gz YDWKZTNRUDOKAB-SECBINFHSA-N 0 1 272.264 0.192 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)CNC(=O)c1cnn[nH]1 ZINC001358125318 926641463 /nfs/dbraw/zinc/64/14/63/926641463.db2.gz PUQGTRVWVVKPAX-SNVBAGLBSA-N 0 1 277.328 0.233 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001358125532 926642294 /nfs/dbraw/zinc/64/22/94/926642294.db2.gz XDVRWVHBGUPYSS-GFCCVEGCSA-N 0 1 290.367 0.767 20 30 CCEDMN C=CCCC(=O)N[C@@H](C)CNC(=O)CCc1cnc[nH]1 ZINC001358463625 926703371 /nfs/dbraw/zinc/70/33/71/926703371.db2.gz HOZOFMSAILHQLJ-NSHDSACASA-N 0 1 278.356 0.929 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)CNC(=O)Cc1cnc[nH]1 ZINC001358502978 926717402 /nfs/dbraw/zinc/71/74/02/926717402.db2.gz XDVRWVHBGUPYSS-LBPRGKRZSA-N 0 1 290.367 0.767 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN([C@H]3CCOC3)CC2)CC1 ZINC001422008734 927034269 /nfs/dbraw/zinc/03/42/69/927034269.db2.gz DASFLZXFYWILOM-UONOGXRCSA-N 0 1 279.384 0.448 20 30 CCEDMN N#C[C@H]1C[C@H]1C(=O)N1CCN(CC2CCOCC2)CC1 ZINC001361442800 927059849 /nfs/dbraw/zinc/05/98/49/927059849.db2.gz HUYDGJHONCMMFC-ZIAGYGMSSA-N 0 1 277.368 0.717 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cc(C2CC2)n[nH]1 ZINC001422358533 927243119 /nfs/dbraw/zinc/24/31/19/927243119.db2.gz ARLCECIESMEYTL-JTQLQIEISA-N 0 1 298.774 0.720 20 30 CCEDMN CN(CC(=O)Nc1cnn(CC#N)c1)[C@@H]1CCC[C@H]1O ZINC001361688426 927249459 /nfs/dbraw/zinc/24/94/59/927249459.db2.gz LOUXSNLFGLPEGC-VXGBXAGGSA-N 0 1 277.328 0.190 20 30 CCEDMN C=C(Br)CNC(=O)CN(C)[C@@H]1CCC[C@H]1O ZINC001361689538 927252155 /nfs/dbraw/zinc/25/21/55/927252155.db2.gz BZOQXVWHDFXMKD-NXEZZACHSA-N 0 1 291.189 0.856 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)c1cscc1C#N ZINC001361727130 927278599 /nfs/dbraw/zinc/27/85/99/927278599.db2.gz SRLCBNNHGAWHDS-UHFFFAOYSA-N 0 1 276.281 0.350 20 30 CCEDMN N#Cc1ccnc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)c1 ZINC001361863247 927400108 /nfs/dbraw/zinc/40/01/08/927400108.db2.gz RCLRBVDRQQIJLI-UHFFFAOYSA-N 0 1 297.278 0.216 20 30 CCEDMN Cc1cc(NC(=O)CCCc2nn[nH]n2)n(CCC#N)n1 ZINC001361886998 927421742 /nfs/dbraw/zinc/42/17/42/927421742.db2.gz FONYCNMLRPSMMO-UHFFFAOYSA-N 0 1 288.315 0.580 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCc1cnn(C)n1 ZINC001422695896 927455492 /nfs/dbraw/zinc/45/54/92/927455492.db2.gz LAGVHNFTPMSKFI-JTQLQIEISA-N 0 1 285.779 0.595 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)[C@]2(C#N)CCCOC2)n[nH]1 ZINC001362414168 927983973 /nfs/dbraw/zinc/98/39/73/927983973.db2.gz NXGRTAAMLYVSKT-ZANVPECISA-N 0 1 277.328 0.865 20 30 CCEDMN C[C@@H](CC#N)N(C)S(=O)(=O)NC[C@H]1CC[N@@H+](C)C1 ZINC001424186504 928119794 /nfs/dbraw/zinc/11/97/94/928119794.db2.gz KVZOATHOYZMDDF-WDEREUQCSA-N 0 1 274.390 0.006 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CCNC(N)=O)C[C@H]1C ZINC001424490534 928199286 /nfs/dbraw/zinc/19/92/86/928199286.db2.gz SIQYMCHIUWAEFZ-SCZZXKLOSA-N 0 1 288.779 0.234 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)CCn1cncn1 ZINC001424744139 928273628 /nfs/dbraw/zinc/27/36/28/928273628.db2.gz AGSIAHATYPQUDI-MNOVXSKESA-N 0 1 285.779 0.904 20 30 CCEDMN C[C@@H](CNC(=O)c1ncn[nH]1)N(C)Cc1ccccc1C#N ZINC001425042111 928411646 /nfs/dbraw/zinc/41/16/46/928411646.db2.gz OEFMRISEOGSQPE-NSHDSACASA-N 0 1 298.350 0.927 20 30 CCEDMN C[C@@H](CNC(=O)c1nc[nH]n1)N(C)Cc1ccccc1C#N ZINC001425042111 928411652 /nfs/dbraw/zinc/41/16/52/928411652.db2.gz OEFMRISEOGSQPE-NSHDSACASA-N 0 1 298.350 0.927 20 30 CCEDMN C[C@H](CN(C)C(=O)CN1CCCC1)NC(=O)[C@@H](C)C#N ZINC001425082180 928426719 /nfs/dbraw/zinc/42/67/19/928426719.db2.gz NELPUMSJVQKODI-NWDGAFQWSA-N 0 1 280.372 0.205 20 30 CCEDMN Cc1c(C(=O)NC(C)(C)c2c[nH]nn2)cnn1CCC#N ZINC001362830335 928544626 /nfs/dbraw/zinc/54/46/26/928544626.db2.gz IRVZSIQJTSLSEN-UHFFFAOYSA-N 0 1 287.327 0.888 20 30 CCEDMN Cc1ccncc1CN[C@H](CO)CNC(=O)[C@@H](C)C#N ZINC001425708773 928633528 /nfs/dbraw/zinc/63/35/28/928633528.db2.gz GOXRJLCDSWAREE-AAEUAGOBSA-N 0 1 276.340 0.116 20 30 CCEDMN CCNC(=O)CN1CCC([C@H](C)NC(=O)[C@@H](C)C#N)CC1 ZINC001426189001 928783854 /nfs/dbraw/zinc/78/38/54/928783854.db2.gz AZDPZOKSTMKCTL-RYUDHWBXSA-N 0 1 294.399 0.499 20 30 CCEDMN C[C@H](CC(N)=O)C(=O)Nc1nc2ccc(C#N)cc2[nH]1 ZINC001363166876 928936919 /nfs/dbraw/zinc/93/69/19/928936919.db2.gz HLFMDIOZMFAIFY-SSDOTTSWSA-N 0 1 271.280 0.885 20 30 CCEDMN C[C@@H]1COCCC[N@@H+]1Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001363211901 928979413 /nfs/dbraw/zinc/97/94/13/928979413.db2.gz OXTPBUCGLJSGLS-SNVBAGLBSA-N 0 1 287.323 0.917 20 30 CCEDMN C[C@@H]1COCCC[N@H+]1Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001363211901 928979422 /nfs/dbraw/zinc/97/94/22/928979422.db2.gz OXTPBUCGLJSGLS-SNVBAGLBSA-N 0 1 287.323 0.917 20 30 CCEDMN CN(Cc1cnc[nH]1)C(=O)[C@@H](O)c1ccc(C#N)cc1 ZINC001363482687 929285863 /nfs/dbraw/zinc/28/58/63/929285863.db2.gz VCTVRDZHNNBVTF-ZDUSSCGKSA-N 0 1 270.292 0.973 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)c2cc(F)cc3nn[nH]c32)CCO1 ZINC001363765219 929555073 /nfs/dbraw/zinc/55/50/73/929555073.db2.gz ZTLIVHSSXYHCMI-ZDUSSCGKSA-N 0 1 289.270 0.852 20 30 CCEDMN COC[C@H]1CC[N@@H+](Cc2cc(=O)n3[n-]cc(C#N)c3n2)C1 ZINC001363782587 929569224 /nfs/dbraw/zinc/56/92/24/929569224.db2.gz DYNXNPBAFCJRAI-JTQLQIEISA-N 0 1 287.323 0.775 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1CCCN1CCn1cncn1 ZINC001372500139 929859896 /nfs/dbraw/zinc/85/98/96/929859896.db2.gz LHDAYVDNHRAHQH-NEPJUHHUSA-N 0 1 276.344 0.018 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)CS(C)(=O)=O)C(C)C ZINC001444846522 929960502 /nfs/dbraw/zinc/96/05/02/929960502.db2.gz KVHIELLXUVWATR-SNVBAGLBSA-N 0 1 296.820 0.514 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)[C@@H]1CCCOC1 ZINC001445151848 930052286 /nfs/dbraw/zinc/05/22/86/930052286.db2.gz JOTDFSJTLKSNMT-MNOVXSKESA-N 0 1 276.764 0.232 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc2n(n1)CCO2 ZINC001445556411 930167649 /nfs/dbraw/zinc/16/76/49/930167649.db2.gz CIMGUAXITZFXDL-VIFPVBQESA-N 0 1 284.747 0.736 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001374284817 930478810 /nfs/dbraw/zinc/47/88/10/930478810.db2.gz WBYMDYYALWGESF-AEJSXWLSSA-N 0 1 273.764 0.297 20 30 CCEDMN C[C@@H](C#N)C(=O)N(CCNC(=O)[C@H]1CCCN1C)C1CC1 ZINC001374289344 930478233 /nfs/dbraw/zinc/47/82/33/930478233.db2.gz UNDBUMJBLDUEFA-WCQYABFASA-N 0 1 292.383 0.347 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CCC3N=NC(=O)N3C2)c1 ZINC001447047457 930554112 /nfs/dbraw/zinc/55/41/12/930554112.db2.gz GGNVJIUHPUBSQA-VIFPVBQESA-N 0 1 299.290 0.762 20 30 CCEDMN N#Cc1ccc(F)c(CNC[C@@H](O)CNC(=O)C2CC2)c1 ZINC001374567323 930561779 /nfs/dbraw/zinc/56/17/79/930561779.db2.gz SDLOBMNGSSEJRW-CYBMUJFWSA-N 0 1 291.326 0.674 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C1(CCF)CC1 ZINC001374636143 930602225 /nfs/dbraw/zinc/60/22/25/930602225.db2.gz SPINJHFJNBQRNM-JTQLQIEISA-N 0 1 278.755 0.945 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cn2c(n1)COCC2 ZINC001374777176 930658580 /nfs/dbraw/zinc/65/85/80/930658580.db2.gz QIZGOAPDAMEDOW-SNVBAGLBSA-N 0 1 298.774 0.874 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)C1=CCOCC1 ZINC001449108047 931073002 /nfs/dbraw/zinc/07/30/02/931073002.db2.gz ICBYADFLCAZGGP-GFCCVEGCSA-N 0 1 288.775 0.495 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H](C)CC(N)=O)C1 ZINC001376330292 931124532 /nfs/dbraw/zinc/12/45/32/931124532.db2.gz GTQXBJVIEMPBOX-SCZZXKLOSA-N 0 1 273.764 0.441 20 30 CCEDMN N#Cc1ccc(F)c(C(=O)NCCc2nc[nH]n2)c1 ZINC001450097732 931230065 /nfs/dbraw/zinc/23/00/65/931230065.db2.gz GTRKRPPHGHKSPK-UHFFFAOYSA-N 0 1 259.244 0.788 20 30 CCEDMN COCCN(CC#N)C(=O)c1cccc2[nH]c(CO)nc21 ZINC001450854725 931348273 /nfs/dbraw/zinc/34/82/73/931348273.db2.gz WNSYDQRQBXCJAB-UHFFFAOYSA-N 0 1 288.307 0.667 20 30 CCEDMN CCCC[N@@H+](C)CCNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC001589648096 949758428 /nfs/dbraw/zinc/75/84/28/949758428.db2.gz NHVAIINQASKAAQ-ZDUSSCGKSA-N 0 1 269.345 0.839 20 30 CCEDMN C[C@@H]1CCN(c2cnc(C#N)c(-c3nn[nH]n3)n2)C[C@@H]1F ZINC001576214260 950665452 /nfs/dbraw/zinc/66/54/52/950665452.db2.gz NZXKOGNMISOABL-SFYZADRCSA-N 0 1 288.290 0.713 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N(C)C[C@H](C)C(=O)[O-] ZINC000328171349 951122896 /nfs/dbraw/zinc/12/28/96/951122896.db2.gz KPPUQTJSRSLPNV-NSHDSACASA-N 0 1 269.345 0.304 20 30 CCEDMN N#CC1(C[C@@H](O)C[N@H+]2CC[C@H](C(=O)[O-])C2)CCC1 ZINC001594603604 956155745 /nfs/dbraw/zinc/15/57/45/956155745.db2.gz GHVCQYMSQOFWHN-WDEREUQCSA-N 0 1 252.314 0.838 20 30 CCEDMN N#CC1(C[C@@H](O)C[N@H+]2CCC[C@@H]2C(=O)[O-])CCC1 ZINC001594603624 956157885 /nfs/dbraw/zinc/15/78/85/956157885.db2.gz HMCWDDLYGOKFMQ-GHMZBOCLSA-N 0 1 252.314 0.980 20 30 CCEDMN COC[C@@]1(C(=O)[O-])C[N@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001593984505 956749852 /nfs/dbraw/zinc/74/98/52/956749852.db2.gz QIEPTUWCDHAMSJ-OAHLLOKOSA-N 0 1 290.319 0.860 20 30 CCEDMN COC[C@@]1(C(=O)[O-])C[N@@H+](Cc2ccc(C#N)cc2)CCO1 ZINC001593984505 956749860 /nfs/dbraw/zinc/74/98/60/956749860.db2.gz QIEPTUWCDHAMSJ-OAHLLOKOSA-N 0 1 290.319 0.860 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H](O)CC[C@@H](O)c1ccccc1 ZINC001588424138 958209555 /nfs/dbraw/zinc/20/95/55/958209555.db2.gz JZHGIVNGGVOYTF-HUUCEWRRSA-N 0 1 291.347 0.881 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H](O)CC[C@@H](O)c1ccccc1 ZINC001588424138 958209566 /nfs/dbraw/zinc/20/95/66/958209566.db2.gz JZHGIVNGGVOYTF-HUUCEWRRSA-N 0 1 291.347 0.881 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H](O)c1ccc(F)cc1 ZINC001588424729 958219727 /nfs/dbraw/zinc/21/97/27/958219727.db2.gz XBTXXWAJZUEDML-GFCCVEGCSA-N 0 1 251.257 0.879 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H](O)c1ccc(F)cc1 ZINC001588424729 958219745 /nfs/dbraw/zinc/21/97/45/958219745.db2.gz XBTXXWAJZUEDML-GFCCVEGCSA-N 0 1 251.257 0.879 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)N(C)CCC(=O)[O-])C1 ZINC001588430580 958258577 /nfs/dbraw/zinc/25/85/77/958258577.db2.gz NZFJESBDWPCXMZ-LLVKDONJSA-N 0 1 267.329 0.200 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)N(C)CCC(=O)[O-])C1 ZINC001588430580 958258592 /nfs/dbraw/zinc/25/85/92/958258592.db2.gz NZFJESBDWPCXMZ-LLVKDONJSA-N 0 1 267.329 0.200 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)C(C)(C)C(=O)[O-])C1 ZINC001588430998 958264166 /nfs/dbraw/zinc/26/41/66/958264166.db2.gz RPSOLLOVMDSFSN-JTQLQIEISA-N 0 1 252.314 0.311 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)C(C)(C)C(=O)[O-])C1 ZINC001588430998 958264183 /nfs/dbraw/zinc/26/41/83/958264183.db2.gz RPSOLLOVMDSFSN-JTQLQIEISA-N 0 1 252.314 0.311 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)[C@@H](C(=O)[O-])C1CC1 ZINC001588430967 958264620 /nfs/dbraw/zinc/26/46/20/958264620.db2.gz RKQACJRVZIZNCA-NWDGAFQWSA-N 0 1 265.309 0.738 20 30 CCEDMN C=CCN1CC[C@H]([N@@H+](C)CCCCC(=O)[O-])C1=O ZINC001588463899 958486425 /nfs/dbraw/zinc/48/64/25/958486425.db2.gz AQDJUAVZCPWAIC-NSHDSACASA-N 0 1 254.330 0.960 20 30 CCEDMN CC(=O)NCC[N@@H+](Cc1cc(C#N)ccn1)[C@H](C)C(=O)[O-] ZINC001573352516 958566325 /nfs/dbraw/zinc/56/63/25/958566325.db2.gz GOOJZKSAACVEMD-SNVBAGLBSA-N 0 1 290.323 0.364 20 30 CCEDMN C[C@H](C(=O)[O-])[N@@H+](C[C@H](O)CC1(C#N)CCOCC1)C1CC1 ZINC001588593084 959365648 /nfs/dbraw/zinc/36/56/48/959365648.db2.gz WJELYPOGDRDEBQ-DGCLKSJQSA-N 0 1 296.367 0.995 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@](C)(C(=O)[O-])C1 ZINC000226867010 959906006 /nfs/dbraw/zinc/90/60/06/959906006.db2.gz JBZSWXNGNZLSTA-MFKMUULPSA-N 0 1 252.314 0.311 20 30 CCEDMN COC[C@]1(C(=O)[O-])CC[N@@H+](C[C@H](O)CC2(C#N)CC2)C1 ZINC001574133808 962556199 /nfs/dbraw/zinc/55/61/99/962556199.db2.gz MJXJCURSPCFBKS-RISCZKNCSA-N 0 1 282.340 0.464 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CC[N@@H+](Cc2cc(C#N)ccn2)C1 ZINC001574134347 962557922 /nfs/dbraw/zinc/55/79/22/962557922.db2.gz QBSDRCJAGYLNNF-CQSZACIVSA-N 0 1 275.308 0.876 20 30 CCEDMN C#CC[N@H+](C)Cc1ccccc1CNC(=O)CNC(=O)[O-] ZINC001573301874 962639620 /nfs/dbraw/zinc/63/96/20/962639620.db2.gz LJHKKSXQRPIRHL-UHFFFAOYSA-N 0 1 289.335 0.635 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@]2(CCN(C(=O)CNC(=O)[O-])C2)C1 ZINC001573360250 963035433 /nfs/dbraw/zinc/03/54/33/963035433.db2.gz KRIFTSIFVPBXIU-CQSZACIVSA-N 0 1 281.356 0.755 20 30 CCEDMN C=CC[N@@H+](CC)CCCNC(=O)[C@@H]1C[C@@H]1C(=O)[O-] ZINC001573360676 963041530 /nfs/dbraw/zinc/04/15/30/963041530.db2.gz VCDPSPKEIVKYPU-MNOVXSKESA-N 0 1 254.330 0.721 20 30 CCEDMN C#CCC[N@H+](C)CCN(C(=O)[C@H]1C[C@H]1C(=O)[O-])C(C)C ZINC001588447891 964091726 /nfs/dbraw/zinc/09/17/26/964091726.db2.gz RSPIHAOFOFDBHF-QWHCGFSZSA-N 0 1 280.368 0.899 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1C[C@H](C)C[C@H](C(=O)[O-])C1 ZINC001603368812 972886008 /nfs/dbraw/zinc/88/60/08/972886008.db2.gz UGAJFSWYUHKMEE-UTUOFQBUSA-N 0 1 281.356 0.790 20 30 CCEDMN C=C(Br)C[N@@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC000401910977 973524746 /nfs/dbraw/zinc/52/47/46/973524746.db2.gz SYYLOWSOFPSLJK-YUMQZZPRSA-N 0 1 264.119 0.662 20 30 CCEDMN C=C(Br)C[N@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC000401910977 973524753 /nfs/dbraw/zinc/52/47/53/973524753.db2.gz SYYLOWSOFPSLJK-YUMQZZPRSA-N 0 1 264.119 0.662 20 30 CCEDMN N#Cc1ccc(C[N@H+]2C[C@@H](O)C[C@H](C(=O)[O-])C2)c(F)c1 ZINC000401929410 973541346 /nfs/dbraw/zinc/54/13/46/973541346.db2.gz USQXDEKBUHFPRS-RYUDHWBXSA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1ccc(C[N@H+]2C[C@@H](O)C[C@H](C(=O)[O-])C2)cc1 ZINC000401936696 973545809 /nfs/dbraw/zinc/54/58/09/973545809.db2.gz MXYMHINVXVJCJF-STQMWFEESA-N 0 1 260.293 0.826 20 30 CCEDMN N#CCC1(C[N@@H+]2C[C@@H]3COC[C@]3(C(=O)[O-])C2)CC1 ZINC001605581638 973859982 /nfs/dbraw/zinc/85/99/82/973859982.db2.gz FWHYBURLDOGRJF-ZWNOBZJWSA-N 0 1 250.298 0.713 20 30 CCEDMN C[C@@H](CC#N)[NH2+][C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001592221916 977402926 /nfs/dbraw/zinc/40/29/26/977402926.db2.gz OMOLNPXAWOBBCT-VHSXEESVSA-N 0 1 253.302 0.344 20 30 CCEDMN C#CC[C@H](COC)[NH2+]C1CCN(CCCC(=O)[O-])CC1 ZINC001588393377 983397556 /nfs/dbraw/zinc/39/75/56/983397556.db2.gz ASBSBAVIVZORPT-CQSZACIVSA-N 0 1 282.384 0.944 20 30 CCEDMN C#CC[C@H](COC)NC1CC[NH+](CCCC(=O)[O-])CC1 ZINC001588393377 983397561 /nfs/dbraw/zinc/39/75/61/983397561.db2.gz ASBSBAVIVZORPT-CQSZACIVSA-N 0 1 282.384 0.944 20 30 CCEDMN C#CC[C@@H]1CC[N@H+]([C@H]2CCN(CCC(=O)[O-])C2=O)C1 ZINC001588434521 983470506 /nfs/dbraw/zinc/47/05/06/983470506.db2.gz MHXZNMCMVHQLCB-NEPJUHHUSA-N 0 1 264.325 0.407 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)Nc1cc(C(=O)[O-])n(C)n1 ZINC001588463815 983492319 /nfs/dbraw/zinc/49/23/19/983492319.db2.gz MHHYQWUQMGVHFZ-UHFFFAOYSA-N 0 1 293.327 0.195 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)C[C@@H](C)CC(=O)[O-])C1 ZINC001588475322 983508499 /nfs/dbraw/zinc/50/84/99/983508499.db2.gz BZDJPUHZXQCTEV-NEPJUHHUSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)C[C@@H](C)CC(=O)[O-])C1 ZINC001588475322 983508500 /nfs/dbraw/zinc/50/85/00/983508500.db2.gz BZDJPUHZXQCTEV-NEPJUHHUSA-N 0 1 266.341 0.701 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)C2(C(=O)[O-])CC2)CC1 ZINC001588477590 983516813 /nfs/dbraw/zinc/51/68/13/983516813.db2.gz VNHPGMUPJQPQNG-UHFFFAOYSA-N 0 1 250.298 0.019 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)C2(C(=O)[O-])CC2)CC1 ZINC001588477590 983516815 /nfs/dbraw/zinc/51/68/15/983516815.db2.gz VNHPGMUPJQPQNG-UHFFFAOYSA-N 0 1 250.298 0.019 20 30 CCEDMN C#CC[NH2+]CC(=O)Nc1nnc(CCCC(=O)[O-])s1 ZINC001588485371 983525043 /nfs/dbraw/zinc/52/50/43/983525043.db2.gz MMTGGSZMNJAKGS-UHFFFAOYSA-N 0 1 282.325 0.107 20 30 CCEDMN C=C(C)CONC(=O)N1CC[N@H+](C)C[C@@H](C(=O)[O-])C1 ZINC001588573361 983652021 /nfs/dbraw/zinc/65/20/21/983652021.db2.gz IJPRPWZLIUHKFS-SNVBAGLBSA-N 0 1 271.317 0.152 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](N2CCOCC2)CC1 ZINC001588662580 983790300 /nfs/dbraw/zinc/79/03/00/983790300.db2.gz CJCZFGRLRUIWQI-STQMWFEESA-N 0 1 268.357 0.812 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1C[C@@H]2CCC[C@]2(C(=O)OC)C1 ZINC001588670119 983818529 /nfs/dbraw/zinc/81/85/29/983818529.db2.gz WMJLFNKENIKRQY-KWBADKCTSA-N 0 1 253.298 0.901 20 30 CCEDMN C=C[C@@H](C(=O)OC)[N@H+]1C[C@H]2COCC[C@@]2(C(=O)[O-])C1 ZINC001588671466 983820308 /nfs/dbraw/zinc/82/03/08/983820308.db2.gz AKJCJKSOLGERAZ-OUJBWJOFSA-N 0 1 269.297 0.137 20 30 CCEDMN C=C[C@H](C(=O)[O-])n1cc(CCC[NH+]2CCOCC2)nn1 ZINC001588672820 983826730 /nfs/dbraw/zinc/82/67/30/983826730.db2.gz ZCNQEUYSIPZJKF-GFCCVEGCSA-N 0 1 280.328 0.355 20 30 CCEDMN C=CCC[C@H](O)C[NH2+][C@H](C(=O)[O-])c1ccnn1C ZINC001588778746 984162543 /nfs/dbraw/zinc/16/25/43/984162543.db2.gz KXRRGECTRCVYST-ONGXEEELSA-N 0 1 253.302 0.463 20 30 CCEDMN C=CCCOCC(=O)N1CC[N@@H+](CCC(=O)[O-])[C@@H](C)C1 ZINC001588810506 984267572 /nfs/dbraw/zinc/26/75/72/984267572.db2.gz UULBWEPNBKMKLB-LBPRGKRZSA-N 0 1 284.356 0.587 20 30 CCEDMN C=CCCn1cc(C[N@H+]2CC[C@@](OC)(C(=O)[O-])C2)nn1 ZINC001588820086 984281276 /nfs/dbraw/zinc/28/12/76/984281276.db2.gz PSQDEBDQMBIOIY-ZDUSSCGKSA-N 0 1 280.328 0.530 20 30 CCEDMN C=CCCn1cc(C[N@@H+]2CC[C@@](OC)(C(=O)[O-])C2)nn1 ZINC001588820086 984281283 /nfs/dbraw/zinc/28/12/83/984281283.db2.gz PSQDEBDQMBIOIY-ZDUSSCGKSA-N 0 1 280.328 0.530 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CCC[C@@H]2CC(=O)[O-])C1=O ZINC001588839064 984344576 /nfs/dbraw/zinc/34/45/76/984344576.db2.gz NXTLPHGLCMYTJJ-GHMZBOCLSA-N 0 1 252.314 0.712 20 30 CCEDMN C=CCOC[C@@H](NC(=O)CC[N@H+]1CC[C@@H](F)C1)C(=O)[O-] ZINC001588854012 984387998 /nfs/dbraw/zinc/38/79/98/984387998.db2.gz NXYOJEBFTKQWPG-GHMZBOCLSA-N 0 1 288.319 0.192 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCCN(CCC(=O)[O-])C1=O ZINC001588931112 984601406 /nfs/dbraw/zinc/60/14/06/984601406.db2.gz KYONJGQCKAAJLI-LLVKDONJSA-N 0 1 252.314 0.407 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1C[C@H](C)[C@@](C)(C(=O)[O-])C1 ZINC001589339848 986241540 /nfs/dbraw/zinc/24/15/40/986241540.db2.gz KRSJWSJYFNGIMD-MJVIPROJSA-N 0 1 281.356 0.790 20 30 CCEDMN CN(C(=O)C[NH+]1CCC(C#N)(C(=O)[O-])CC1)C1CC1 ZINC001598021985 990210387 /nfs/dbraw/zinc/21/03/87/990210387.db2.gz BXVBTDMZBPOYSB-UHFFFAOYSA-N 0 1 265.313 0.298 20 30 CCEDMN CC(C)[N@@H+](C)C[C@@H](O)Cn1cc(C(=O)[O-])cc(C#N)c1=O ZINC001591549800 992736002 /nfs/dbraw/zinc/73/60/02/992736002.db2.gz PMGNCJWQBNFYKI-GFCCVEGCSA-N 0 1 293.323 0.119 20 30 CCEDMN C[N@H+]1CCC[C@H]1Cn1cc(C(=O)[O-])cc(C#N)c1=O ZINC001598594176 993480258 /nfs/dbraw/zinc/48/02/58/993480258.db2.gz OPULEACVPGLEAU-NSHDSACASA-N 0 1 261.281 0.512 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](Cc2cncc(C#N)c2)C[C@H]1C(=O)[O-] ZINC001598824552 996367935 /nfs/dbraw/zinc/36/79/35/996367935.db2.gz HLOQAEDQCXLFEP-VXGBXAGGSA-N 0 1 289.291 0.259 20 30 CCEDMN COC(=O)[C@H]1C[N@@H+](Cc2cncc(C#N)c2)C[C@@H]1C(=O)[O-] ZINC001598824550 996368045 /nfs/dbraw/zinc/36/80/45/996368045.db2.gz HLOQAEDQCXLFEP-RYUDHWBXSA-N 0 1 289.291 0.259 20 30 CCEDMN COC[C@@]1(C(=O)[O-])CCCC[N@H+]1C[C@H](O)CC#N ZINC001599187333 997050581 /nfs/dbraw/zinc/05/05/81/997050581.db2.gz FVZKQGSVFRKPDC-ZYHUDNBSSA-N 0 1 256.302 0.217 20 30 CCEDMN C[C@H]1CN(C(=O)CC(C)(C)C#N)CC[N@H+]1CCC(=O)[O-] ZINC001594466959 999692730 /nfs/dbraw/zinc/69/27/30/999692730.db2.gz VDSCSXIAKHWVIF-NSHDSACASA-N 0 1 281.356 0.934 20 30 CCEDMN C=CCNC(=O)CN1CC[C@H](C(=O)OC)[C@@H]2CCC[C@@H]21 ZINC000599653409 361773482 /nfs/dbraw/zinc/77/34/82/361773482.db2.gz NTELLOFHRDKERB-AVGNSLFASA-N 0 1 280.368 0.952 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@](C)(CO)[C@](C)(CO)C1 ZINC000599624861 361762684 /nfs/dbraw/zinc/76/26/84/361762684.db2.gz XVFCJIXIXFKLBR-GASCZTMLSA-N 0 1 297.399 0.061 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](C)[C@H](O)C1 ZINC000093344812 349540681 /nfs/dbraw/zinc/54/06/81/349540681.db2.gz FYRQDLZSLCBUPG-FRRDWIJNSA-N 0 1 267.373 0.697 20 30 CCEDMN CC1CC(C#N)(C(=O)N2CC[C@H](N3CC[C@@H](O)C3)C2)C1 ZINC000599938065 361834940 /nfs/dbraw/zinc/83/49/40/361834940.db2.gz LOMXCWYZPQVCPY-SBEAFCBVSA-N 0 1 277.368 0.594 20 30 CCEDMN C=CCS(=O)(=O)CCNC(=O)c1ccc(F)cc1O ZINC000273104224 192044237 /nfs/dbraw/zinc/04/42/37/192044237.db2.gz QGSDJEQNUOBFMR-UHFFFAOYSA-N 0 1 287.312 0.862 20 30 CCEDMN CC1CC(C#N)(C(=O)NCCN2CC[C@@H](O)C2)C1 ZINC000600534674 362004692 /nfs/dbraw/zinc/00/46/92/362004692.db2.gz PLJJLLKDBNOQKS-QWKFWESOSA-N 0 1 251.330 0.109 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NCCN1CC[C@@H](O)C1 ZINC000600535142 362005477 /nfs/dbraw/zinc/00/54/77/362005477.db2.gz PFUNLPZKJJHOAS-GFCCVEGCSA-N 0 1 274.324 0.058 20 30 CCEDMN N#CCN1CCN(S(=O)(=O)c2cc(O)cc(F)c2)CC1 ZINC000601331733 362228223 /nfs/dbraw/zinc/22/82/23/362228223.db2.gz CQIHIYYROLJEJD-UHFFFAOYSA-N 0 1 299.327 0.361 20 30 CCEDMN CN1CC[C@@H]2OCCN(CC(=O)Nc3nncs3)[C@@H]2C1 ZINC000329026236 529798500 /nfs/dbraw/zinc/79/85/00/529798500.db2.gz OASTWJDHVBZYHF-ZJUUUORDSA-N 0 1 297.384 0.531 20 30 CCEDMN CN(CCC#N)C(=O)c1cccc(N2CCN(C)CC2)n1 ZINC000347738662 529868003 /nfs/dbraw/zinc/86/80/03/529868003.db2.gz MHJVUUZPBVARPQ-UHFFFAOYSA-N 0 1 287.367 0.819 20 30 CCEDMN CN([C@H]1CCC[C@@H]1C#N)S(=O)(=O)c1ncc[nH]1 ZINC000601510676 362296515 /nfs/dbraw/zinc/29/65/15/362296515.db2.gz ZCJRFTXXMLZUJD-BDAKNGLRSA-N 0 1 254.315 0.722 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)NCC1(N(C)C)CC1 ZINC000601450053 362276411 /nfs/dbraw/zinc/27/64/11/362276411.db2.gz HOVLTVGVOHOYHV-NSHDSACASA-N 0 1 288.417 0.397 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)[C@@H](C)N(C)C)CC1 ZINC000602089522 362480513 /nfs/dbraw/zinc/48/05/13/362480513.db2.gz UQBVUIVENQOEDT-OLZOCXBDSA-N 0 1 266.389 0.629 20 30 CCEDMN CCC[C@@H](OCC)C(=O)N=c1[nH]n(C)cc1C#N ZINC000602279042 362573689 /nfs/dbraw/zinc/57/36/89/362573689.db2.gz BGIDZLNXBIOGRQ-SNVBAGLBSA-N 0 1 250.302 0.857 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)[C@@H]2C[C@H]2C2CCOCC2)[nH]1 ZINC000602283925 362574857 /nfs/dbraw/zinc/57/48/57/362574857.db2.gz UKUPBHISKDSZIF-NWDGAFQWSA-N 0 1 274.324 0.715 20 30 CCEDMN CN(CC(=O)N1CCN(CCC#N)CC1)[C@H]1CCSC1 ZINC000602205915 362558199 /nfs/dbraw/zinc/55/81/99/362558199.db2.gz LDQHNSSSQNUGBU-ZDUSSCGKSA-N 0 1 296.440 0.482 20 30 CCEDMN N#CC1(CNC(=O)NCCN2CC[C@@H](O)C2)CCCCC1 ZINC000602342867 362592951 /nfs/dbraw/zinc/59/29/51/362592951.db2.gz XKEIKUUNZKUROX-CYBMUJFWSA-N 0 1 294.399 0.826 20 30 CCEDMN C=CCCSCCNC(=O)[C@@]1(C)CNCCO1 ZINC000602529921 362660256 /nfs/dbraw/zinc/66/02/56/362660256.db2.gz PYCIHHWHHVMIRX-GFCCVEGCSA-N 0 1 258.387 0.790 20 30 CCEDMN COCC[N@@H+]1CC[C@H](NCc2cccc(C#N)n2)C1 ZINC000602548215 362671823 /nfs/dbraw/zinc/67/18/23/362671823.db2.gz SBLOYUNSOZGONX-AWEZNQCLSA-N 0 1 260.341 0.764 20 30 CCEDMN CS(=O)(=O)N1CCC[C@@H](NCc2cccc(C#N)n2)C1 ZINC000602535516 362664290 /nfs/dbraw/zinc/66/42/90/362664290.db2.gz MTTBRCRQOFCKKM-CYBMUJFWSA-N 0 1 294.380 0.467 20 30 CCEDMN C[C@H](Oc1ccc(C#N)cc1)C(=O)NC[C@H]1COCCN1 ZINC000602549145 362673663 /nfs/dbraw/zinc/67/36/63/362673663.db2.gz CHGREKQXQADDFH-AAEUAGOBSA-N 0 1 289.335 0.430 20 30 CCEDMN CN(C)C(=O)[C@@H]1CC[C@@H](CNCc2cccc(C#N)n2)O1 ZINC000602582825 362690364 /nfs/dbraw/zinc/69/03/64/362690364.db2.gz PBUJCXRGXQDJJJ-KBPBESRZSA-N 0 1 288.351 0.679 20 30 CCEDMN N#CCC1(CN2CCC(CS(N)(=O)=O)CC2)CC1 ZINC000602795719 362805022 /nfs/dbraw/zinc/80/50/22/362805022.db2.gz BWEOJGITSXZDLP-UHFFFAOYSA-N 0 1 271.386 0.681 20 30 CCEDMN CC(C)CN1CCO[C@H](CNC(=O)CN[C@H](C)CC#N)C1 ZINC000602860667 362846021 /nfs/dbraw/zinc/84/60/21/362846021.db2.gz DGSUKPNHXJFNOW-ZIAGYGMSSA-N 0 1 296.415 0.351 20 30 CCEDMN CCOc1ncccc1CNC[C@H](O)CN(C)CCC#N ZINC000573794609 334966531 /nfs/dbraw/zinc/96/65/31/334966531.db2.gz WRJLTGWEPPBGKJ-AWEZNQCLSA-N 0 1 292.383 0.776 20 30 CCEDMN C[C@H]1C[C@H](NS(=O)(=O)c2ccc(C#N)o2)CCN1C ZINC000344537877 137199784 /nfs/dbraw/zinc/19/97/84/137199784.db2.gz KPRRIKIWCCDNKE-VHSXEESVSA-N 0 1 283.353 0.912 20 30 CCEDMN C=CCN(CC=C)C(=O)C[N@@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000035083969 348155202 /nfs/dbraw/zinc/15/52/02/348155202.db2.gz OLBQATWHGYGPSV-LBPRGKRZSA-N 0 1 266.341 0.984 20 30 CCEDMN C[C@@H](Oc1ccc(C#N)cc1)C(=O)NCc1nnc[nH]1 ZINC000037920728 348219287 /nfs/dbraw/zinc/21/92/87/348219287.db2.gz FYFYXZDEHQLIAS-SECBINFHSA-N 0 1 271.280 0.760 20 30 CCEDMN CO[C@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)CC1(C)C ZINC000602881403 362860578 /nfs/dbraw/zinc/86/05/78/362860578.db2.gz QBUWGLSQNQAFQE-NSHDSACASA-N 0 1 291.355 0.992 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(C(=O)NCCC)CC1 ZINC000042686577 348288597 /nfs/dbraw/zinc/28/85/97/348288597.db2.gz QAUXJVKCYPMRDB-LBPRGKRZSA-N 0 1 281.400 0.915 20 30 CCEDMN COCCOC[C@H](O)CN(C)[C@H]1CCC[C@H]1C#N ZINC000602981479 362920629 /nfs/dbraw/zinc/92/06/29/362920629.db2.gz DZJMMXMWDAUOFK-XQQFMLRXSA-N 0 1 256.346 0.634 20 30 CCEDMN CN(CC(=O)N1CCN(C)CC1)[C@@H]1CC[C@@H](C#N)C1 ZINC000602989493 362925690 /nfs/dbraw/zinc/92/56/90/362925690.db2.gz QPTQSMZOXDKWNS-CHWSQXEVSA-N 0 1 264.373 0.384 20 30 CCEDMN CCN(C)CCNS(=O)(=O)c1cccc(C#N)c1 ZINC000050166146 348469282 /nfs/dbraw/zinc/46/92/82/348469282.db2.gz XLIWDBZGYRMTIJ-UHFFFAOYSA-N 0 1 267.354 0.788 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](C)OC[C@H]2C)CC1 ZINC000068063990 348795325 /nfs/dbraw/zinc/79/53/25/348795325.db2.gz RWVHKRBSYMQWGV-OLZOCXBDSA-N 0 1 264.369 0.967 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC2(CNC(=O)C2)CC1 ZINC000075013020 349017347 /nfs/dbraw/zinc/01/73/47/349017347.db2.gz UVBRLDJDWUMLFO-UHFFFAOYSA-N 0 1 292.383 0.351 20 30 CCEDMN N#CCN(CC#N)C(=O)CC1(N2CCOCC2)CCCC1 ZINC000077984935 349126849 /nfs/dbraw/zinc/12/68/49/349126849.db2.gz WGNLWPRNWVPRHZ-UHFFFAOYSA-N 0 1 290.367 0.897 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCCC[C@H]1CO ZINC000079962863 349196816 /nfs/dbraw/zinc/19/68/16/349196816.db2.gz ALIZLHGPVMBISJ-LBPRGKRZSA-N 0 1 253.346 0.595 20 30 CCEDMN COCCNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000124134297 350090118 /nfs/dbraw/zinc/09/01/18/350090118.db2.gz MECWGJYTRLMKSY-UHFFFAOYSA-N 0 1 276.264 0.761 20 30 CCEDMN C[C@H](NS(=O)(=O)c1cccc(C#N)c1)c1nnc[nH]1 ZINC000128957562 350202774 /nfs/dbraw/zinc/20/27/74/350202774.db2.gz FSVVMXOZVZUPED-QMMMGPOBSA-N 0 1 277.309 0.716 20 30 CCEDMN CN(CC(=O)N1CCC(C#N)CC1)c1ncnc2[nH]cnc21 ZINC000146881642 350466942 /nfs/dbraw/zinc/46/69/42/350466942.db2.gz YNJOVLKPUBHFAA-UHFFFAOYSA-N 0 1 299.338 0.551 20 30 CCEDMN C[C@H]1CN(C(=O)C2(N(C)C)CC2)C[C@H](C)N1CC#N ZINC000603093524 362959468 /nfs/dbraw/zinc/95/94/68/362959468.db2.gz QVASYYTVEWOKIJ-RYUDHWBXSA-N 0 1 264.373 0.525 20 30 CCEDMN N#Cc1ccc2ncc(CN3CCC(C(N)=O)CC3)n2c1 ZINC000158598429 350660308 /nfs/dbraw/zinc/66/03/08/350660308.db2.gz NJTRNHYGKQGSJM-UHFFFAOYSA-N 0 1 283.335 0.903 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)Cn1cc(CNC2CC2)nn1 ZINC000603172680 362983688 /nfs/dbraw/zinc/98/36/88/362983688.db2.gz SXCHFUQPBFSKJP-CQSZACIVSA-N 0 1 290.371 0.585 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N(C)CCC(=O)NC ZINC000170782197 350860420 /nfs/dbraw/zinc/86/04/20/350860420.db2.gz IKVOIAZLBFHBRS-LBPRGKRZSA-N 0 1 269.389 0.867 20 30 CCEDMN CC(C)NS(=O)(=O)CCNCc1cccc(C#N)c1 ZINC000171767585 350934088 /nfs/dbraw/zinc/93/40/88/350934088.db2.gz WPEVFWVWTUROBX-UHFFFAOYSA-N 0 1 281.381 0.976 20 30 CCEDMN CNCc1cn(Cc2cccc(C(=O)OC)c2C#N)nn1 ZINC000603240249 363018478 /nfs/dbraw/zinc/01/84/78/363018478.db2.gz DIXKSOPRCMEASZ-UHFFFAOYSA-N 0 1 285.307 0.704 20 30 CCEDMN COc1ccc(CNCC(=O)N2CCOCC2)cc1C#N ZINC000181034740 351300170 /nfs/dbraw/zinc/30/01/70/351300170.db2.gz OEQRWMABDWHYAH-UHFFFAOYSA-N 0 1 289.335 0.515 20 30 CCEDMN CN1CCN(Cc2cn(Cc3ccncc3C#N)nn2)CC1 ZINC000603255880 363026225 /nfs/dbraw/zinc/02/62/25/363026225.db2.gz ZFUPVYYCZVINPO-UHFFFAOYSA-N 0 1 297.366 0.340 20 30 CCEDMN CN(CCN(C)S(=O)(=O)CC1(C#N)CC1)C1CC1 ZINC000185225952 351438560 /nfs/dbraw/zinc/43/85/60/351438560.db2.gz OMVRQDOOIYROCW-UHFFFAOYSA-N 0 1 271.386 0.646 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1C[C@@H](O)C[C@H]1CO ZINC000186489773 351498034 /nfs/dbraw/zinc/49/80/34/351498034.db2.gz FMPNEDXZNUNACH-AVGNSLFASA-N 0 1 268.357 0.003 20 30 CCEDMN C=CCOCCCNC(=O)[C@@H](C)CN1CCOCC1 ZINC000618526443 366038093 /nfs/dbraw/zinc/03/80/93/366038093.db2.gz OYVYXGCDIKDDJO-ZDUSSCGKSA-N 0 1 270.373 0.664 20 30 CCEDMN CSc1nn(CC(=O)Nc2ccc(C#N)cc2)c(=O)[nH]1 ZINC000194573835 351874587 /nfs/dbraw/zinc/87/45/87/351874587.db2.gz HQVPYLKHCSODLD-UHFFFAOYSA-N 0 1 289.320 0.804 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)Cc2ccc(C#N)cc2)C1 ZINC000225357782 352002536 /nfs/dbraw/zinc/00/25/36/352002536.db2.gz ZUVYGVIZKQTCSR-HNNXBMFYSA-N 0 1 286.379 0.463 20 30 CCEDMN CN(C)S(=O)(=O)CCNCc1cc(C#N)cs1 ZINC000230992507 352123957 /nfs/dbraw/zinc/12/39/57/352123957.db2.gz YWEMQLLBEYFFPR-UHFFFAOYSA-N 0 1 273.383 0.601 20 30 CCEDMN CN(CCN1CCCC1)S(=O)(=O)c1cccnc1C#N ZINC000234298458 352156345 /nfs/dbraw/zinc/15/63/45/352156345.db2.gz GAIVOAUHPSKVIH-UHFFFAOYSA-N 0 1 294.380 0.670 20 30 CCEDMN C#CCNC(=O)c1ccc(NCCc2n[nH]c(C)n2)nc1 ZINC000271641485 191254301 /nfs/dbraw/zinc/25/43/01/191254301.db2.gz PUVRJQVJWKZONM-UHFFFAOYSA-N 0 1 284.323 0.526 20 30 CCEDMN C#CCNC(=O)c1ccc(NCCc2nnc(C)[nH]2)nc1 ZINC000271641485 191254303 /nfs/dbraw/zinc/25/43/03/191254303.db2.gz PUVRJQVJWKZONM-UHFFFAOYSA-N 0 1 284.323 0.526 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2cnccc2C#N)CC1 ZINC000269942951 352888561 /nfs/dbraw/zinc/88/85/61/352888561.db2.gz HBTFXZXGNKZRCY-UHFFFAOYSA-N 0 1 273.340 0.163 20 30 CCEDMN C[C@H]1CN(c2ccc(C#N)cn2)[C@@H](C)CN1CCO ZINC000418997295 234281515 /nfs/dbraw/zinc/28/15/15/234281515.db2.gz LDHJRKABHNDXGV-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN C[C@@H]1CN(c2cnc(C#N)cn2)[C@@H](C)CN1CCO ZINC000418995637 234281963 /nfs/dbraw/zinc/28/19/63/234281963.db2.gz DCTSDLLKQDERAW-MNOVXSKESA-N 0 1 261.329 0.240 20 30 CCEDMN Cc1cc(C#N)nc(N2C[C@H](C)N(CCO)C[C@@H]2C)n1 ZINC000419009644 234287698 /nfs/dbraw/zinc/28/76/98/234287698.db2.gz XNDPXPMRFGLLPA-RYUDHWBXSA-N 0 1 275.356 0.548 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](C(=O)NCC(F)(F)F)C1 ZINC000275072731 353183048 /nfs/dbraw/zinc/18/30/48/353183048.db2.gz APSKWLSGNLKVMR-SECBINFHSA-N 0 1 293.289 0.289 20 30 CCEDMN C=CCN(C)[C@H](C)C(=O)N1CCC[C@H](C(N)=O)C1 ZINC000275128665 353186940 /nfs/dbraw/zinc/18/69/40/353186940.db2.gz BKUZQKBRZVSCQT-MNOVXSKESA-N 0 1 253.346 0.217 20 30 CCEDMN CC[C@H](CO)N1CCN(c2cccnc2C#N)CC1 ZINC000277862541 353270454 /nfs/dbraw/zinc/27/04/54/353270454.db2.gz OLQPVUXJZOIWHZ-GFCCVEGCSA-N 0 1 260.341 0.846 20 30 CCEDMN C=CCOc1ccc(CN2C[C@@H](O)[C@H](O)C2)cc1OC ZINC000278357109 353295726 /nfs/dbraw/zinc/29/57/26/353295726.db2.gz JFFKXPPGSVBFML-CHWSQXEVSA-N 0 1 279.336 0.797 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000279622504 353305973 /nfs/dbraw/zinc/30/59/73/353305973.db2.gz BARNUFYRGDVJPZ-IUCAKERBSA-N 0 1 296.289 0.743 20 30 CCEDMN COc1cc(C#N)ccc1CN(CCO)C[C@@H](C)O ZINC000289884431 353692353 /nfs/dbraw/zinc/69/23/53/353692353.db2.gz RNIORAWZOFVTMH-LLVKDONJSA-N 0 1 264.325 0.742 20 30 CCEDMN CSC1(CNC(=O)N=c2[nH]n(C)cc2C#N)CC1 ZINC000618994184 366140297 /nfs/dbraw/zinc/14/02/97/366140297.db2.gz DMEXJFIZJITOHP-UHFFFAOYSA-N 0 1 265.342 0.731 20 30 CCEDMN O=C(NO)c1n[nH]cc1I ZINC000306307724 354173966 /nfs/dbraw/zinc/17/39/66/354173966.db2.gz FUSDBGJDKUGJCM-UHFFFAOYSA-N 0 1 252.999 0.133 20 30 CCEDMN Cc1cc(C#N)nc(N2C[C@H]3OCCN(C)[C@@H]3C2)n1 ZINC000329356951 354255635 /nfs/dbraw/zinc/25/56/35/354255635.db2.gz FXGKWCVVRSPLHJ-VXGBXAGGSA-N 0 1 259.313 0.176 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CCO[C@@H](C(C)(C)C)C2)[nH]1 ZINC000619203986 366216823 /nfs/dbraw/zinc/21/68/23/366216823.db2.gz NILHZLYRBFNTDS-LLVKDONJSA-N 0 1 291.355 0.992 20 30 CCEDMN C[C@@H]1CN(CC(=O)NCc2cccc(C#N)c2)CC[C@@H]1O ZINC000331151769 354468420 /nfs/dbraw/zinc/46/84/20/354468420.db2.gz OFBJOWOBBTUACX-DOMZBBRYSA-N 0 1 287.363 0.877 20 30 CCEDMN N#Cc1ccccc1CN1CCn2c(=O)[nH]nc2C1 ZINC000332580057 354699944 /nfs/dbraw/zinc/69/99/44/354699944.db2.gz ICCGKUALRJIQPT-UHFFFAOYSA-N 0 1 255.281 0.871 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCO[C@@H]2CCN(C)C[C@H]21 ZINC000332675610 354718065 /nfs/dbraw/zinc/71/80/65/354718065.db2.gz CXQCXEVYPQBGCL-ZIAGYGMSSA-N 0 1 294.399 0.153 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1CCC[C@H]1CCO ZINC000336833326 355207374 /nfs/dbraw/zinc/20/73/74/355207374.db2.gz GPGZAZPWACFWDD-ZDUSSCGKSA-N 0 1 278.356 0.489 20 30 CCEDMN CCC(=O)N[C@@H]1CCCN(CCOCCC#N)C1 ZINC000338946179 355458320 /nfs/dbraw/zinc/45/83/20/355458320.db2.gz UIZZFJNPNWYMOO-GFCCVEGCSA-N 0 1 253.346 0.907 20 30 CCEDMN N#Cc1cccc(N2C(=O)N[C@@H](Cc3cnc[nH]3)C2=O)c1 ZINC000348726567 355965499 /nfs/dbraw/zinc/96/54/99/355965499.db2.gz GLSFKENFTONPRU-LBPRGKRZSA-N 0 1 281.275 0.949 20 30 CCEDMN N#Cc1ncn(CCNCc2ccc([N+](=O)[O-])cc2F)n1 ZINC000353037038 356143947 /nfs/dbraw/zinc/14/39/47/356143947.db2.gz IJDQFJXCENKIED-UHFFFAOYSA-N 0 1 290.258 0.987 20 30 CCEDMN C=C(C)CN(C)C(=O)C(=O)NCCN1CC=C(C)CC1 ZINC000354054891 356336929 /nfs/dbraw/zinc/33/69/29/356336929.db2.gz VPUCEMBWMOMJKA-UHFFFAOYSA-N 0 1 279.384 0.789 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)CNc2ccc(C#N)cc2)n1 ZINC000354524131 356431278 /nfs/dbraw/zinc/43/12/78/356431278.db2.gz WEWYOIKFAWZARR-UHFFFAOYSA-N 0 1 284.323 0.756 20 30 CCEDMN N#Cc1ccc(C[C@H](C#N)C(=O)NCc2c[nH]nn2)cc1 ZINC000619377364 366241866 /nfs/dbraw/zinc/24/18/66/366241866.db2.gz UIMWWXUMWJOVGP-GFCCVEGCSA-N 0 1 280.291 0.675 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)CSCC#N)C1 ZINC000609269150 363486554 /nfs/dbraw/zinc/48/65/54/363486554.db2.gz MCFAZUISINVXNK-NSHDSACASA-N 0 1 271.386 0.470 20 30 CCEDMN Cc1cc(CNc2ncc([N+](=O)[O-])cc2C#N)n[nH]1 ZINC000609595741 363507481 /nfs/dbraw/zinc/50/74/81/363507481.db2.gz CAVBEGLTAPULNV-UHFFFAOYSA-N 0 1 258.241 0.927 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(CCCO)C1CC1 ZINC000610132995 363538283 /nfs/dbraw/zinc/53/82/83/363538283.db2.gz QABNCLDBWIETFF-GFCCVEGCSA-N 0 1 267.373 0.841 20 30 CCEDMN C=C[C@H](C(=O)OC)N1CCN([C@@H]2CCC[C@@H]2O)CC1 ZINC000619717202 366333655 /nfs/dbraw/zinc/33/36/55/366333655.db2.gz JODDTUUCVOOZRK-UPJWGTAASA-N 0 1 268.357 0.245 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H]2C(=O)NCc2c[nH]nn2)cc1 ZINC000610494491 363569066 /nfs/dbraw/zinc/56/90/66/363569066.db2.gz PAYCUUAASLLNMK-AWEZNQCLSA-N 0 1 296.334 0.962 20 30 CCEDMN Cn1cc(CNCC(=O)NCc2ccco2)cc1C#N ZINC000359950515 356925833 /nfs/dbraw/zinc/92/58/33/356925833.db2.gz RKAREBPBJDDUOQ-UHFFFAOYSA-N 0 1 272.308 0.896 20 30 CCEDMN N#Cc1c(NCCc2nc[nH]n2)nc2ccccn12 ZINC000360119782 356950697 /nfs/dbraw/zinc/95/06/97/356950697.db2.gz XKBBRHVNOMWXQF-UHFFFAOYSA-N 0 1 253.269 0.979 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@@H](O)C1(C)C ZINC000361417503 357047468 /nfs/dbraw/zinc/04/74/68/357047468.db2.gz XDIYCSBMGGKWLA-NWDGAFQWSA-N 0 1 267.373 0.840 20 30 CCEDMN Cc1csc(CNC(=O)N=c2[nH]n(C)cc2C#N)n1 ZINC000610527969 363572642 /nfs/dbraw/zinc/57/26/42/363572642.db2.gz CJYHGABTASRUQE-UHFFFAOYSA-N 0 1 276.325 0.800 20 30 CCEDMN COCCCCNC(=O)N=c1[nH]n(C)cc1C#N ZINC000610527725 363573072 /nfs/dbraw/zinc/57/30/72/363573072.db2.gz ANTKFPORCDZWQK-UHFFFAOYSA-N 0 1 251.290 0.262 20 30 CCEDMN N#Cc1cccnc1N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000376057915 357429232 /nfs/dbraw/zinc/42/92/32/357429232.db2.gz RSAOJOIHDJVHOL-KBPBESRZSA-N 0 1 272.352 0.989 20 30 CCEDMN CC[C@@](C)(C#N)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000394797169 357758871 /nfs/dbraw/zinc/75/88/71/357758871.db2.gz LWANSOINFCCYQC-OCCSQVGLSA-N 0 1 264.373 0.432 20 30 CCEDMN COCCN1CCC[C@@H](NC(=O)C2(C#N)CCOCC2)C1 ZINC000398920643 357778797 /nfs/dbraw/zinc/77/87/97/357778797.db2.gz DANAZKRPPLNICJ-CYBMUJFWSA-N 0 1 295.383 0.534 20 30 CCEDMN CC[C@H]1C[C@@H](C(=O)N=c2[nH]n(C)cc2C#N)CCO1 ZINC000408154031 357943060 /nfs/dbraw/zinc/94/30/60/357943060.db2.gz HRHGETFLRULSLK-ONGXEEELSA-N 0 1 262.313 0.857 20 30 CCEDMN N#Cc1nccc(NCCCCN2CCOCC2)n1 ZINC000562129289 291138155 /nfs/dbraw/zinc/13/81/55/291138155.db2.gz MOZRQOOBAHONOF-UHFFFAOYSA-N 0 1 261.329 0.294 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2C[C@@H]3CCC[C@@H]3C2)[nH]1 ZINC000610698534 363600787 /nfs/dbraw/zinc/60/07/87/363600787.db2.gz REPUDNIVGIGLHC-AOOOYVTPSA-N 0 1 259.313 0.977 20 30 CCEDMN NC(=O)[C@H]1CCCN1CCCNC(=O)C#Cc1ccccc1 ZINC000267048494 283350221 /nfs/dbraw/zinc/35/02/21/283350221.db2.gz VOSJESIRPCVTSV-OAHLLOKOSA-N 0 1 299.374 0.494 20 30 CCEDMN C#C[C@H](CO)NC[C@@H](O)COc1c(C)cccc1C ZINC000491666166 358295830 /nfs/dbraw/zinc/29/58/30/358295830.db2.gz SYMORLWMFMPFBM-ZIAGYGMSSA-N 0 1 263.337 0.627 20 30 CCEDMN N#Cc1ccc(O[C@H]2CCN([C@H]3COC[C@H]3O)C2)nc1 ZINC000562379159 291148353 /nfs/dbraw/zinc/14/83/53/291148353.db2.gz VKTKDGBWAJHWER-RWMBFGLXSA-N 0 1 275.308 0.166 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NCCCc1nc[nH]n1 ZINC000568101487 358634073 /nfs/dbraw/zinc/63/40/73/358634073.db2.gz BKZIPSVWNOCVBF-UHFFFAOYSA-N 0 1 270.296 0.742 20 30 CCEDMN CC[C@H](COC)NCCS(=O)(=O)c1ccc(C#N)cn1 ZINC000569475642 358767402 /nfs/dbraw/zinc/76/74/02/358767402.db2.gz LCTISMUTJSFXRW-GFCCVEGCSA-N 0 1 297.380 0.742 20 30 CCEDMN Cn1nnc(CN=c2ccc3cc(C#N)ccc3[nH]2)n1 ZINC000569878552 358802155 /nfs/dbraw/zinc/80/21/55/358802155.db2.gz NJLSVJYCJJOEPG-UHFFFAOYSA-N 0 1 265.280 0.664 20 30 CCEDMN COC(=O)[C@@H](COC(C)C)N(C)C(=O)C(C)C#N ZINC000610835349 363618536 /nfs/dbraw/zinc/61/85/36/363618536.db2.gz IYXWGUINJPRLTP-VHSXEESVSA-N 0 1 256.302 0.571 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)N2CCC(CC#N)CC2)C[C@H](C)N1 ZINC000582414524 359143455 /nfs/dbraw/zinc/14/34/55/359143455.db2.gz BCOQAROPGSGXFL-RYUDHWBXSA-N 0 1 292.383 0.347 20 30 CCEDMN N#Cc1ccc(N2CCC[C@H](c3n[nH]c(=O)o3)C2)nn1 ZINC000585701976 359264786 /nfs/dbraw/zinc/26/47/86/359264786.db2.gz LMDXTUWTVWIDSM-QMMMGPOBSA-N 0 1 272.268 0.821 20 30 CCEDMN N#Cc1ccc(CNC[C@@H](O)CN2CCCC2=O)c(F)c1 ZINC000610946772 363636927 /nfs/dbraw/zinc/63/69/27/363636927.db2.gz XIPSTCWUDQCXAZ-CYBMUJFWSA-N 0 1 291.326 0.770 20 30 CCEDMN CC(C)[N@H+](C)C[C@H](C)NC(=O)N=c1[n-]n(C)cc1C#N ZINC000589244455 359373837 /nfs/dbraw/zinc/37/38/37/359373837.db2.gz BCDMOYIDTMWYJW-JTQLQIEISA-N 0 1 278.360 0.564 20 30 CCEDMN CCn1cc(CNC(=O)N=c2[nH]n(C)cc2C#N)cn1 ZINC000588259700 359319810 /nfs/dbraw/zinc/31/98/10/359319810.db2.gz HTLZKOMHGDTCTM-UHFFFAOYSA-N 0 1 273.300 0.252 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H](CO)C2CCCC2)[nH]1 ZINC000589332540 359378533 /nfs/dbraw/zinc/37/85/33/359378533.db2.gz LCJVALXEQICFMP-LLVKDONJSA-N 0 1 277.328 0.386 20 30 CCEDMN N#Cc1ccc([C@@H](C(N)=O)N2CCc3cn[nH]c3C2)cc1 ZINC000611008660 363648272 /nfs/dbraw/zinc/64/82/72/363648272.db2.gz GETUHOYFEYHLNC-AWEZNQCLSA-N 0 1 281.319 0.866 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCc2cn[nH]c2C1 ZINC000611009043 363648466 /nfs/dbraw/zinc/64/84/66/363648466.db2.gz QTQMECCHTKZPJU-UHFFFAOYSA-N 0 1 261.329 0.530 20 30 CCEDMN COCCN1CCC[C@H](NC(=O)c2cccc(C#N)n2)C1 ZINC000589398097 359379673 /nfs/dbraw/zinc/37/96/73/359379673.db2.gz RTKRKMZFKXUMLJ-ZDUSSCGKSA-N 0 1 288.351 0.794 20 30 CCEDMN CC(C)(C#N)C(=O)N1CC[C@H](N2CC[C@@H](O)C2)C1 ZINC000588863997 359343438 /nfs/dbraw/zinc/34/34/38/359343438.db2.gz VLJIRGRWYSMDIV-WDEREUQCSA-N 0 1 251.330 0.204 20 30 CCEDMN CC(C)n1cc(CNC2CCN(CC#N)CC2)nn1 ZINC000588925312 359348818 /nfs/dbraw/zinc/34/88/18/359348818.db2.gz MZNDBOQDAULWIG-UHFFFAOYSA-N 0 1 262.361 0.937 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1C[C@H]2[C@H](CO)[C@H]2C1 ZINC000590005729 359416040 /nfs/dbraw/zinc/41/60/40/359416040.db2.gz IUCXQAAGDLJSFB-WYUUTHIRSA-N 0 1 263.341 0.107 20 30 CCEDMN N#Cc1cc(C(=O)NCc2nn[nH]n2)cnc1C(F)(F)F ZINC000590240024 359432913 /nfs/dbraw/zinc/43/29/13/359432913.db2.gz NWFAOKJVIPSRET-UHFFFAOYSA-N 0 1 297.200 0.415 20 30 CCEDMN CCC[C@H](NC(=O)c1cccc(C#N)n1)c1nn[nH]n1 ZINC000589840145 359405058 /nfs/dbraw/zinc/40/50/58/359405058.db2.gz SLUUCSLVSPKYQI-VIFPVBQESA-N 0 1 271.284 0.738 20 30 CCEDMN Cn1cc(C#N)cc1C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000590355358 359443396 /nfs/dbraw/zinc/44/33/96/359443396.db2.gz RGCPBUMBVSTNTE-CYBMUJFWSA-N 0 1 287.367 0.016 20 30 CCEDMN C=C[C@@H](C(=O)OC)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000590737009 359512512 /nfs/dbraw/zinc/51/25/12/359512512.db2.gz IEFGWMLYTQTOTP-IUCAKERBSA-N 0 1 267.285 0.682 20 30 CCEDMN CCc1nc(CNC(=O)c2ncc(C#N)cc2C)n[nH]1 ZINC000590766727 359521281 /nfs/dbraw/zinc/52/12/81/359521281.db2.gz CNEKIUNRFBYKRK-UHFFFAOYSA-N 0 1 270.296 0.872 20 30 CCEDMN CCn1ccnc1[C@H](C)NC[C@@H](O)CN(C)CCC#N ZINC000591769949 359656237 /nfs/dbraw/zinc/65/62/37/359656237.db2.gz YDVGHLTVBKTPDQ-QWHCGFSZSA-N 0 1 279.388 0.760 20 30 CCEDMN N#CCN1CCC(Nc2nc(N)nc3c2CCCC3)CC1 ZINC000591909335 359689596 /nfs/dbraw/zinc/68/95/96/359689596.db2.gz MFVJOANONLUQNF-UHFFFAOYSA-N 0 1 286.383 0.590 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(CC)CCSC ZINC000592200475 359790120 /nfs/dbraw/zinc/79/01/20/359790120.db2.gz ZFNPNUHAJMYEIV-UHFFFAOYSA-N 0 1 259.375 0.683 20 30 CCEDMN N#CC[C@@]1(O)CCN(C[C@@H](O)CC(F)(F)F)C1 ZINC000592150061 359768679 /nfs/dbraw/zinc/76/86/79/359768679.db2.gz ANIATWAOXNGNSO-DTWKUNHWSA-N 0 1 252.236 0.650 20 30 CCEDMN Cn1nnc2cc(CN3CC[C@](O)(CC#N)C3)ccc21 ZINC000592149515 359768872 /nfs/dbraw/zinc/76/88/72/359768872.db2.gz QJGINWHCIXLFPF-CQSZACIVSA-N 0 1 271.324 0.819 20 30 CCEDMN C#CCCCCC(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC000297653263 200253831 /nfs/dbraw/zinc/25/38/31/200253831.db2.gz FFNJTOYMEPESIO-UHFFFAOYSA-N 0 1 275.312 0.829 20 30 CCEDMN C[C@@H]1CCCN1C(=O)CCN1CCN(CCC#N)CC1 ZINC000611176126 363671887 /nfs/dbraw/zinc/67/18/87/363671887.db2.gz WEQKEPHPVIYFCT-CQSZACIVSA-N 0 1 278.400 0.919 20 30 CCEDMN CN(CC(=O)NC1CCN(CC#N)CC1)[C@@H]1CCSC1 ZINC000611204448 363680211 /nfs/dbraw/zinc/68/02/11/363680211.db2.gz KIDKMZBWJUIJJM-CYBMUJFWSA-N 0 1 296.440 0.528 20 30 CCEDMN CN(CCCCO)Cc1cnc2c(C#N)cnn2c1 ZINC000592509349 359865249 /nfs/dbraw/zinc/86/52/49/359865249.db2.gz KHMARGHIJHEXCQ-UHFFFAOYSA-N 0 1 259.313 0.805 20 30 CCEDMN COC(=O)[C@H]1CCN(Cc2cnc3c(C#N)cnn3c2)[C@@H]1C ZINC000592509345 359865315 /nfs/dbraw/zinc/86/53/15/359865315.db2.gz KGOKWXOWBXIDCK-MFKMUULPSA-N 0 1 299.334 0.984 20 30 CCEDMN CN(CC(=O)N[C@]1(C#N)CCSC1)[C@H]1CCSC1 ZINC000611200540 363678690 /nfs/dbraw/zinc/67/86/90/363678690.db2.gz KZXUOPMJBVDFLC-JQWIXIFHSA-N 0 1 285.438 0.939 20 30 CCEDMN C=CCC(F)(F)C(=O)Nc1nn[nH]c1C(=O)NC ZINC000297799993 200283061 /nfs/dbraw/zinc/28/30/61/200283061.db2.gz SCGYFEQWNLJRSZ-UHFFFAOYSA-N 0 1 259.216 0.314 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2ccnc(C)n2)[nH]n1C ZINC000592645049 359908525 /nfs/dbraw/zinc/90/85/25/359908525.db2.gz CKZJSDVAONYDMQ-UHFFFAOYSA-N 0 1 256.269 0.373 20 30 CCEDMN N#CC[C@]1(O)CCN(C(=O)c2cc(O)cc([N+](=O)[O-])c2)C1 ZINC000592846041 359952534 /nfs/dbraw/zinc/95/25/34/359952534.db2.gz OHCYKKUNFDKMJQ-ZDUSSCGKSA-N 0 1 291.263 0.791 20 30 CCEDMN COCCOCCN1CCN([C@@H](C)CCC#N)CC1 ZINC000593117693 360043396 /nfs/dbraw/zinc/04/33/96/360043396.db2.gz RJOIPGRNHJCMFN-AWEZNQCLSA-N 0 1 269.389 0.959 20 30 CCEDMN CC[C@H](C#N)C(=O)NC[C@H](C)N1CCN(C)CC1 ZINC000593466456 360094060 /nfs/dbraw/zinc/09/40/60/360094060.db2.gz WJRCCRFKBZYHNH-NWDGAFQWSA-N 0 1 252.362 0.288 20 30 CCEDMN CC[C@@H](C#N)C(=O)NCCN1CCC(OC)CC1 ZINC000593508957 360103161 /nfs/dbraw/zinc/10/31/61/360103161.db2.gz JAPQPUWQDDPYBF-NSHDSACASA-N 0 1 253.346 0.763 20 30 CCEDMN CCOC(=O)C(NC(=O)C(C#N)CC)C(=O)OCC ZINC000593393693 360077348 /nfs/dbraw/zinc/07/73/48/360077348.db2.gz DEIXHJUCHXGNOK-MRVPVSSYSA-N 0 1 270.285 0.147 20 30 CCEDMN CCN1CCN(CC(=O)NCC2(C#N)CCCC2)CC1 ZINC000593779783 360150656 /nfs/dbraw/zinc/15/06/56/360150656.db2.gz QSDRYXMMHDHXLC-UHFFFAOYSA-N 0 1 278.400 0.824 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CCN(CC(C)(C)O)[C@@H](C)C1 ZINC000593637157 360125552 /nfs/dbraw/zinc/12/55/52/360125552.db2.gz GWQWTCIHKVVOJY-RYUDHWBXSA-N 0 1 267.373 0.840 20 30 CCEDMN C[C@@H](NCc1cc(=O)n(C)c(=O)n1C)c1cccc(C#N)c1 ZINC000611387099 363712566 /nfs/dbraw/zinc/71/25/66/363712566.db2.gz DZPJWKCLFFRFKA-LLVKDONJSA-N 0 1 298.346 0.806 20 30 CCEDMN C[C@@H](NC(=O)N=c1[nH]n(C)cc1C#N)[C@@H]1CCCCO1 ZINC000611481072 363721139 /nfs/dbraw/zinc/72/11/39/363721139.db2.gz VZXOUPMFXQWHCT-KOLCDFICSA-N 0 1 277.328 0.793 20 30 CCEDMN N#Cc1ccc(S(=O)(=O)[N-][C@@H]2CC23CC[NH2+]CC3)o1 ZINC000595112156 360438581 /nfs/dbraw/zinc/43/85/81/360438581.db2.gz UFOAVUCTYWDCPW-SNVBAGLBSA-N 0 1 281.337 0.572 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H](c2nn[nH]n2)c2ccccc2)c[nH]1 ZINC000611521867 363732388 /nfs/dbraw/zinc/73/23/88/363732388.db2.gz KDXAWDKOMPGEAB-GFCCVEGCSA-N 0 1 293.290 0.919 20 30 CCEDMN CN(CCc1ccc(C#N)cc1)CC(=O)N1CCOCC1 ZINC000595287409 360481105 /nfs/dbraw/zinc/48/11/05/360481105.db2.gz SENXPCKGQJMKBF-UHFFFAOYSA-N 0 1 287.363 0.891 20 30 CCEDMN CC(C)[C@@H]1CN(S(=O)(=O)c2ccc(C#N)o2)CCN1 ZINC000595410119 360524247 /nfs/dbraw/zinc/52/42/47/360524247.db2.gz BSECURUSOAQJED-NSHDSACASA-N 0 1 283.353 0.770 20 30 CCEDMN CCc1nc([C@@H](C)N2CCN(C(=O)CC#N)CC2)n[nH]1 ZINC000595510495 360556912 /nfs/dbraw/zinc/55/69/12/360556912.db2.gz CWYPHIQUDMFSOK-SNVBAGLBSA-N 0 1 276.344 0.486 20 30 CCEDMN C[C@@H]1CN(CCN2CC[C@](O)(CC#N)C2)C[C@H](C)O1 ZINC000595558039 360580204 /nfs/dbraw/zinc/58/02/04/360580204.db2.gz NJBYTPVNYQZJFZ-HZSPNIEDSA-N 0 1 267.373 0.446 20 30 CCEDMN CNS(=O)(=O)CCCN1CCC[C@@H](CC#N)C1 ZINC000595617386 360598330 /nfs/dbraw/zinc/59/83/30/360598330.db2.gz ZLIRDZPUPUGEDM-NSHDSACASA-N 0 1 259.375 0.551 20 30 CCEDMN N#CC1(NC(=O)CN2CC[C@@H]3COC[C@H]3C2)CCC1 ZINC000595828587 360670170 /nfs/dbraw/zinc/67/01/70/360670170.db2.gz XZUHWCNSTZNQKG-VXGBXAGGSA-N 0 1 263.341 0.517 20 30 CCEDMN C[C@H]1CNCCN1C(=O)CC1(C#N)CCOCC1 ZINC000595920556 360704151 /nfs/dbraw/zinc/70/41/51/360704151.db2.gz UWXKZPCTSRWCMN-NSHDSACASA-N 0 1 251.330 0.517 20 30 CCEDMN CN(CC1CN(C)C1)c1ncc(C#N)cc1[N+](=O)[O-] ZINC000596454218 360899580 /nfs/dbraw/zinc/89/95/80/360899580.db2.gz PWIVBJRZTNLMQO-UHFFFAOYSA-N 0 1 261.285 0.859 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@@H]2CN3CCN2CCC3)s1 ZINC000597626017 361167055 /nfs/dbraw/zinc/16/70/55/361167055.db2.gz SAQSUTMUNMZZSP-LLVKDONJSA-N 0 1 290.392 0.739 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000597677011 361188068 /nfs/dbraw/zinc/18/80/68/361188068.db2.gz FXIGJWYZCSJGQD-NEPJUHHUSA-N 0 1 265.357 0.858 20 30 CCEDMN C[C@@H]1CCN(C[C@H](O)CC(C)(C)C#N)[C@@H](C(N)=O)C1 ZINC000598608885 361458156 /nfs/dbraw/zinc/45/81/56/361458156.db2.gz YQEHWGIHGPCKIX-IJLUTSLNSA-N 0 1 267.373 0.873 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CC[C@H](CS(C)(=O)=O)C1 ZINC000598618766 361461227 /nfs/dbraw/zinc/46/12/27/361461227.db2.gz QBGIPGABNOGECI-RYUDHWBXSA-N 0 1 288.413 0.654 20 30 CCEDMN COC[C@]1(C(N)=O)CCCN1C[C@H](O)CC(C)(C)C#N ZINC000598626027 361466420 /nfs/dbraw/zinc/46/64/20/361466420.db2.gz WZSMHBSMHNMGBE-RISCZKNCSA-N 0 1 283.372 0.253 20 30 CCEDMN C[C@@H]1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C[C@H]1CO ZINC000598646753 361470539 /nfs/dbraw/zinc/47/05/39/361470539.db2.gz YCIRSKFBFNVBPG-XUJVJEKNSA-N 0 1 279.384 0.745 20 30 CCEDMN COC(=O)CN(C[C@H](O)CC(C)(C)C#N)C1CCOCC1 ZINC000598596964 361454915 /nfs/dbraw/zinc/45/49/15/361454915.db2.gz VAZCSKZJUNAPBM-CYBMUJFWSA-N 0 1 298.383 0.941 20 30 CCEDMN Cn1ccnc1N1CCN(C[C@H](O)CC(C)(C)C#N)CC1 ZINC000598597713 361454944 /nfs/dbraw/zinc/45/49/44/361454944.db2.gz UICVHUPUDQVMNK-CYBMUJFWSA-N 0 1 291.399 0.843 20 30 CCEDMN C[C@@H](CC#N)NC(=O)c1ccc(NS(C)(=O)=O)nc1 ZINC000599221025 361614653 /nfs/dbraw/zinc/61/46/53/361614653.db2.gz JYHMBSDEOCABHS-QMMMGPOBSA-N 0 1 282.325 0.485 20 30 CCEDMN CC(C)NC(=O)CN(C)C[C@H](O)CC1(C#N)CCOCC1 ZINC000599260584 361623712 /nfs/dbraw/zinc/62/37/12/361623712.db2.gz BXOQGDABKHFFSN-CYBMUJFWSA-N 0 1 297.399 0.514 20 30 CCEDMN COC1CC(C(=O)NC2(C#N)CCN(C)CC2)C1 ZINC000599378563 361674920 /nfs/dbraw/zinc/67/49/20/361674920.db2.gz DCRLTUIMJVHRFB-UHFFFAOYSA-N 0 1 251.330 0.516 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NCc1cnc(C(=O)OC)cn1 ZINC000599433309 361699779 /nfs/dbraw/zinc/69/97/79/361699779.db2.gz QLSZWTIINWAQJC-PWSUYJOCSA-N 0 1 263.297 0.696 20 30 CCEDMN COCCN(CCC#N)C(=O)[C@@H](C)CN1CCOCC1 ZINC000611992788 363825938 /nfs/dbraw/zinc/82/59/38/363825938.db2.gz FXJXOLOFUWPLCC-ZDUSSCGKSA-N 0 1 283.372 0.343 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NCCN1CCC(OC)CC1 ZINC000612058242 363838117 /nfs/dbraw/zinc/83/81/17/363838117.db2.gz WWQSIUHHMICQOY-CYBMUJFWSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C[C@](C)(O)C(=O)NCCN1CCC(OC)CC1 ZINC000612058246 363838321 /nfs/dbraw/zinc/83/83/21/363838321.db2.gz WWQSIUHHMICQOY-ZDUSSCGKSA-N 0 1 256.346 0.150 20 30 CCEDMN N#CCc1ccc(OC[C@@H](O)CNC2(C(N)=O)CC2)cc1 ZINC000612471680 363933585 /nfs/dbraw/zinc/93/35/85/363933585.db2.gz WYXINVSGPCRXDG-LBPRGKRZSA-N 0 1 289.335 0.100 20 30 CCEDMN CN(CC1(O)CCC1)C(=O)N=c1[nH]n(C)cc1C#N ZINC000612752055 364008025 /nfs/dbraw/zinc/00/80/25/364008025.db2.gz RNSJXQDGKLCCQD-UHFFFAOYSA-N 0 1 263.301 0.092 20 30 CCEDMN Cc1nc(CN2CCN(c3ccnc(C#N)n3)CC2)n[nH]1 ZINC000564740412 291297629 /nfs/dbraw/zinc/29/76/29/291297629.db2.gz LUINYZPIUOCXBX-UHFFFAOYSA-N 0 1 284.327 0.097 20 30 CCEDMN C=C(C)C[C@@H](NC(C)=O)C(=O)NCCN1CCCOCC1 ZINC000613796968 364376159 /nfs/dbraw/zinc/37/61/59/364376159.db2.gz DYHQQGLTPJMVOX-CQSZACIVSA-N 0 1 297.399 0.296 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N1CCC[C@@H](CN2CCOCC2)C1 ZINC000614492028 364628159 /nfs/dbraw/zinc/62/81/59/364628159.db2.gz ZHNKWCIGPWYHFZ-DZGCQCFKSA-N 0 1 282.384 0.494 20 30 CCEDMN C=CCOCC(=O)N1CCC(N2CCOC[C@@H]2C)CC1 ZINC000615089829 364844756 /nfs/dbraw/zinc/84/47/56/364844756.db2.gz NKHIZRMVKMBETI-ZDUSSCGKSA-N 0 1 282.384 0.901 20 30 CCEDMN N#CC1(NC(=O)CN[C@@]2(CO)CCc3ccccc32)CCC1 ZINC000615226164 364889690 /nfs/dbraw/zinc/88/96/90/364889690.db2.gz FWTOEKCZUPBADA-QGZVFWFLSA-N 0 1 299.374 0.972 20 30 CCEDMN C=CCCn1cc(CNCCN2CC[C@@H](O)C2)nn1 ZINC000623759609 367648278 /nfs/dbraw/zinc/64/82/78/367648278.db2.gz RSFJJPSEFFJWBV-CYBMUJFWSA-N 0 1 265.361 0.010 20 30 CCEDMN CN(CC#CCN1C(=O)c2ccccc2C1=O)CCO ZINC000625087172 368061746 /nfs/dbraw/zinc/06/17/46/368061746.db2.gz RFVNLCPCWBQXGA-UHFFFAOYSA-N 0 1 272.304 0.210 20 30 CCEDMN C[C@H](O)CN(C)CC#CCN1C(=O)c2ccccc2C1=O ZINC000625190042 368094150 /nfs/dbraw/zinc/09/41/50/368094150.db2.gz SUGSFYRXHYCUCN-LBPRGKRZSA-N 0 1 286.331 0.599 20 30 CCEDMN C[C@H]1CN(C)CCN1CC(=O)NCc1ccc(C#N)cc1 ZINC000330660277 203090002 /nfs/dbraw/zinc/09/00/02/203090002.db2.gz BMQTWJIOCBGFBQ-ZDUSSCGKSA-N 0 1 286.379 0.810 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCCN1CC[C@@H](O)C1 ZINC000629171656 369547666 /nfs/dbraw/zinc/54/76/66/369547666.db2.gz HJACHNDNFCJRRA-TZMCWYRMSA-N 0 1 270.373 0.398 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)NCCN1CC[C@H](O)C1 ZINC000629912998 369818994 /nfs/dbraw/zinc/81/89/94/369818994.db2.gz QUKGWMWKQDYVQP-RYUDHWBXSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N1CCNC[C@@H]1C(C)C ZINC000629815218 369784579 /nfs/dbraw/zinc/78/45/79/369784579.db2.gz QCPWXPWNIUQIJR-GFCCVEGCSA-N 0 1 267.373 0.477 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)CCc1c[nH]nn1 ZINC000632840829 371295687 /nfs/dbraw/zinc/29/56/87/371295687.db2.gz MNDLSVOCQHNWFL-ZWNOBZJWSA-N 0 1 264.329 0.835 20 30 CCEDMN C=C(C)[C@H]1OCC[C@@H]1CNC(=O)CCc1cnn[nH]1 ZINC000632840829 371295700 /nfs/dbraw/zinc/29/57/00/371295700.db2.gz MNDLSVOCQHNWFL-ZWNOBZJWSA-N 0 1 264.329 0.835 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)CCc1c[nH]nn1 ZINC000632840826 371296123 /nfs/dbraw/zinc/29/61/23/371296123.db2.gz MNDLSVOCQHNWFL-GWCFXTLKSA-N 0 1 264.329 0.835 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)CCc1cnn[nH]1 ZINC000632840826 371296131 /nfs/dbraw/zinc/29/61/31/371296131.db2.gz MNDLSVOCQHNWFL-GWCFXTLKSA-N 0 1 264.329 0.835 20 30 CCEDMN C[C@H](C(=O)NC[C@@H]1CN(C)CCN1C)n1cccn1 ZINC000329219091 227361095 /nfs/dbraw/zinc/36/10/95/227361095.db2.gz AQYKLWDSMDBUMG-VXGBXAGGSA-N 0 1 265.361 0.646 20 30 CCEDMN C=CCN(C(=O)NCCCN1CCC[C@H]1C(N)=O)C1CC1 ZINC000637376064 374123669 /nfs/dbraw/zinc/12/36/69/374123669.db2.gz ZTNUECFSNOARLI-ZDUSSCGKSA-N 0 1 294.399 0.686 20 30 CCEDMN C=CCOc1ccccc1C(=O)NC[C@H]1COCCN1 ZINC000638622036 375220575 /nfs/dbraw/zinc/22/05/75/375220575.db2.gz TXXVLJAKWYIOGS-LBPRGKRZSA-N 0 1 276.336 0.970 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCc2cncn2C)C1=O ZINC000639623659 375867650 /nfs/dbraw/zinc/86/76/50/375867650.db2.gz SCGGEKBIEZNEED-CYBMUJFWSA-N 0 1 262.357 0.681 20 30 CCEDMN C=CCNC(=O)[C@H](C)n1cc([C@H](N)[C@H]2CCCO2)nn1 ZINC000640883328 376596559 /nfs/dbraw/zinc/59/65/59/376596559.db2.gz GGAOEJXATGJITB-WCQGTBRESA-N 0 1 279.344 0.320 20 30 CCEDMN C=CCOCCCN1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000656840589 412857889 /nfs/dbraw/zinc/85/78/89/412857889.db2.gz YMLMALPGJHJFCN-NSHDSACASA-N 0 1 278.312 0.465 20 30 CCEDMN COc1cc(C#N)ccc1CN1CCC[C@@](O)(CO)C1 ZINC000459636521 249047875 /nfs/dbraw/zinc/04/78/75/249047875.db2.gz REVKWPPMHORMHJ-HNNXBMFYSA-N 0 1 276.336 0.886 20 30 CCEDMN Cn1nccc1[C@@H](CO)NCCOc1cccc(C#N)c1 ZINC000459743604 249058848 /nfs/dbraw/zinc/05/88/48/249058848.db2.gz FXABNDGBRFCJHO-CQSZACIVSA-N 0 1 286.335 0.994 20 30 CCEDMN Cn1nccc1[C@H](CO)NCCOc1ccc(C#N)cc1 ZINC000459755685 249060068 /nfs/dbraw/zinc/06/00/68/249060068.db2.gz SIAZMJQAYJRFNN-AWEZNQCLSA-N 0 1 286.335 0.994 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+]C[C@](C)(O)C1CC1)CC2 ZINC000329226530 227364654 /nfs/dbraw/zinc/36/46/54/227364654.db2.gz AMFADXHOBIMQRH-RISCZKNCSA-N 0 1 293.371 0.566 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NC[C@](C)(O)C1CC1)CC2 ZINC000329226530 227364661 /nfs/dbraw/zinc/36/46/61/227364661.db2.gz AMFADXHOBIMQRH-RISCZKNCSA-N 0 1 293.371 0.566 20 30 CCEDMN CN1CCN(CCNC(=O)c2ccc(F)c(C#N)c2)CC1 ZINC000279281742 194365816 /nfs/dbraw/zinc/36/58/16/194365816.db2.gz WXAYATZTPWACMZ-UHFFFAOYSA-N 0 1 290.342 0.675 20 30 CCEDMN C=CCCn1cc(CNCCCn2cncn2)nn1 ZINC000657301291 412953762 /nfs/dbraw/zinc/95/37/62/412953762.db2.gz ZBECRLNRJQGAIA-UHFFFAOYSA-N 0 1 261.333 0.626 20 30 CCEDMN CC[C@@H](O)[C@H]1CCCCN1CC(=O)NCCC#N ZINC000733995955 581538066 /nfs/dbraw/zinc/53/80/66/581538066.db2.gz BRYLBDKYHWNGRL-VXGBXAGGSA-N 0 1 253.346 0.642 20 30 CCEDMN Cc1oc(C#N)cc1C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000280977406 195055228 /nfs/dbraw/zinc/05/52/28/195055228.db2.gz CQZYZBMRSWZICN-ZETCQYMHSA-N 0 1 274.284 0.849 20 30 CCEDMN C=CCCOCCNC(=O)NCCN1CCCOCC1 ZINC000187341353 388878043 /nfs/dbraw/zinc/87/80/43/388878043.db2.gz COGWDVGDLSFLFN-UHFFFAOYSA-N 0 1 285.388 0.601 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)NOCCCC(=O)OC ZINC000290521694 388906183 /nfs/dbraw/zinc/90/61/83/388906183.db2.gz GFDQBMQEZUDXOR-ZYHUDNBSSA-N 0 1 271.313 0.969 20 30 CCEDMN C=C[C@@H](CO)NC(=O)[C@@H](NC(=O)C(F)(F)F)C(C)C ZINC000290605865 388908555 /nfs/dbraw/zinc/90/85/55/388908555.db2.gz NNBCDKNZEQQWAV-YUMQZZPRSA-N 0 1 282.262 0.353 20 30 CCEDMN Cc1cc(C#N)nc(N2CCC[C@@H](c3n[nH]c(=O)o3)C2)n1 ZINC000291012704 388916245 /nfs/dbraw/zinc/91/62/45/388916245.db2.gz WLCIDQAFXMMHTI-SECBINFHSA-N 0 1 286.295 0.717 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2ccn(CCC(N)=O)n2)cc1O ZINC000188521388 388931687 /nfs/dbraw/zinc/93/16/87/388931687.db2.gz IMHMZTVFBUBQEZ-UHFFFAOYSA-N 0 1 299.290 0.588 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(C(=O)N(C)OC)CC2)C1=O ZINC000291680108 388932926 /nfs/dbraw/zinc/93/29/26/388932926.db2.gz GVSRGCWWEZSOJJ-CYBMUJFWSA-N 0 1 295.383 0.505 20 30 CCEDMN N#Cc1ccc(N2CCCN(CCO)CC2)c([N+](=O)[O-])c1 ZINC000071349576 388957911 /nfs/dbraw/zinc/95/79/11/388957911.db2.gz AKVYHHCSXQJYIH-UHFFFAOYSA-N 0 1 290.323 0.971 20 30 CCEDMN C#CCNC(=O)CCN1CCN(Cc2ccco2)CC1 ZINC000293336305 388981843 /nfs/dbraw/zinc/98/18/43/388981843.db2.gz CYXNFTFBBNAVAI-UHFFFAOYSA-N 0 1 275.352 0.537 20 30 CCEDMN C#CCNC(=O)CCN(C)Cc1cc(C(=O)OC)c(C)o1 ZINC000293546684 388984905 /nfs/dbraw/zinc/98/49/05/388984905.db2.gz QUVHVBNZUSYRHE-UHFFFAOYSA-N 0 1 292.335 0.946 20 30 CCEDMN C=CCC(F)(F)C(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000293570564 388984925 /nfs/dbraw/zinc/98/49/25/388984925.db2.gz TUCFUVQDTDJZQV-ZETCQYMHSA-N 0 1 259.260 0.973 20 30 CCEDMN C#CCNC(=O)CCN1CCC2(C1)Oc1ccccc1O2 ZINC000293587258 388985797 /nfs/dbraw/zinc/98/57/97/388985797.db2.gz OBASJIONLSTXSF-UHFFFAOYSA-N 0 1 286.331 0.999 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CC[C@@H](O)CC(C)(C)C1 ZINC000295269630 388998025 /nfs/dbraw/zinc/99/80/25/388998025.db2.gz DWLMLRIGKTTYKN-VXGBXAGGSA-N 0 1 297.399 0.870 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)NCCN(C)C1CC1 ZINC000336926442 389032807 /nfs/dbraw/zinc/03/28/07/389032807.db2.gz VXGXZIKUDYNKKC-NSHDSACASA-N 0 1 288.417 0.397 20 30 CCEDMN C=CCN1CC[C@H](N2CCN(C(C)C)CC2)C1=O ZINC000337136646 389047484 /nfs/dbraw/zinc/04/74/84/389047484.db2.gz OQKIWNZAODDRMG-ZDUSSCGKSA-N 0 1 251.374 0.799 20 30 CCEDMN C=CCN1CC[C@H](N[C@@](C)(COC)CC(=O)OC)C1=O ZINC000337182821 389050777 /nfs/dbraw/zinc/05/07/77/389050777.db2.gz NISCOIFLXONKLJ-SMDDNHRTSA-N 0 1 284.356 0.331 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCn2cc(C)cn2)C1=O ZINC000337204573 389054828 /nfs/dbraw/zinc/05/48/28/389054828.db2.gz HXDIDYIXLYNVER-ZDUSSCGKSA-N 0 1 262.357 0.910 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@H](CN2CCOCC2)C1 ZINC000337703381 389066026 /nfs/dbraw/zinc/06/60/26/389066026.db2.gz WDLJLBAABRFCSM-QWHCGFSZSA-N 0 1 265.357 0.717 20 30 CCEDMN C[C@H](CC#N)N(C)S(=O)(=O)N(C)CCN(C)C1CC1 ZINC000337846066 389070072 /nfs/dbraw/zinc/07/00/72/389070072.db2.gz WYWWCLRRVIXYDL-LLVKDONJSA-N 0 1 288.417 0.491 20 30 CCEDMN C=CCCC(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000102467494 389074799 /nfs/dbraw/zinc/07/47/99/389074799.db2.gz KFTWCCPYCJKMLH-UHFFFAOYSA-N 0 1 253.346 0.185 20 30 CCEDMN CSc1n[nH]c(NC(=O)c2cnn(C)c2C#N)n1 ZINC000355418127 389075739 /nfs/dbraw/zinc/07/57/39/389075739.db2.gz IEVWSFQTZMLFLX-UHFFFAOYSA-N 0 1 263.286 0.384 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCCC[C@H]1CO ZINC000303108098 389080203 /nfs/dbraw/zinc/08/02/03/389080203.db2.gz HEYLBFTUNYGYAG-STQMWFEESA-N 0 1 267.373 0.841 20 30 CCEDMN CCN1CCN([C@@H]2CCN(C(=O)C(C)(C)C#N)C2)CC1 ZINC000106803731 389094178 /nfs/dbraw/zinc/09/41/78/389094178.db2.gz HLXYXUJEJXEORX-CYBMUJFWSA-N 0 1 278.400 0.775 20 30 CCEDMN CC#CCCNC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000355153235 389043796 /nfs/dbraw/zinc/04/37/96/389043796.db2.gz CTUGBZZXMITABN-STQMWFEESA-N 0 1 267.373 0.496 20 30 CCEDMN C[C@@H]1CN(CCC(=O)N(C)CCC#N)[C@@H](C)CO1 ZINC000223209413 389143030 /nfs/dbraw/zinc/14/30/30/389143030.db2.gz JZQUUVLZXNIUQA-NWDGAFQWSA-N 0 1 253.346 0.858 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)Cn2cnnn2)n[nH]1 ZINC000328911725 389145083 /nfs/dbraw/zinc/14/50/83/389145083.db2.gz GRGKGCFMMZUOGB-LURJTMIESA-N 0 1 250.266 0.071 20 30 CCEDMN CC#CCNC(=O)[C@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000355585867 389097413 /nfs/dbraw/zinc/09/74/13/389097413.db2.gz HUEIJXXONWTXNK-ZDUSSCGKSA-N 0 1 290.367 0.623 20 30 CCEDMN CC#CCNC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000358448382 389150518 /nfs/dbraw/zinc/15/05/18/389150518.db2.gz DZXHSNWWAXFBNL-AWEZNQCLSA-N 0 1 280.416 0.581 20 30 CCEDMN C=C(C)CS(=O)(=O)N1CCO[C@H]2CCN(CC)C[C@@H]21 ZINC000339015801 389121548 /nfs/dbraw/zinc/12/15/48/389121548.db2.gz SBRZXZJAAPQCFB-STQMWFEESA-N 0 1 288.413 0.687 20 30 CCEDMN N#Cc1cnc(C(=O)NC2(c3nn[nH]n3)CC2)c(Cl)c1 ZINC000357080927 389130787 /nfs/dbraw/zinc/13/07/87/389130787.db2.gz TZGKVYSUCAVJNV-UHFFFAOYSA-N 0 1 289.686 0.539 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@H](c2cnn(C)c2)C1 ZINC000116318031 389134000 /nfs/dbraw/zinc/13/40/00/389134000.db2.gz IGRXFHGWFNKHHZ-STQMWFEESA-N 0 1 289.383 0.970 20 30 CCEDMN C=CCN1CC[C@H](N(CCO)[C@@H]2CCO[C@@H]2C)C1=O ZINC000328844926 389141143 /nfs/dbraw/zinc/14/11/43/389141143.db2.gz VGDRZRSNMMRZFN-UPJWGTAASA-N 0 1 268.357 0.245 20 30 CCEDMN CO[C@@H]1CN(C([O-])=[NH+][C@H]2CCc3[nH]cnc3C2)C[C@@H]1OC ZINC000329697006 389188917 /nfs/dbraw/zinc/18/89/17/389188917.db2.gz CCDZHIXGYPDDDT-TVYUQYBPSA-N 0 1 294.355 0.527 20 30 CCEDMN O=C(N[C@@H]1CCc2nc[nH]c2C1)N1CCC[C@@H](CO)C1 ZINC000329747289 389195997 /nfs/dbraw/zinc/19/59/97/389195997.db2.gz SFPMLRZTYCCZRT-GHMZBOCLSA-N 0 1 278.356 0.885 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)N[C@H]2CCN(C(C)C)C2=O)n[nH]1 ZINC000329418041 389162522 /nfs/dbraw/zinc/16/25/22/389162522.db2.gz GDQPFOKUPMKAGU-WPRPVWTQSA-N 0 1 294.359 0.687 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000358979887 389163909 /nfs/dbraw/zinc/16/39/09/389163909.db2.gz PLSSBLHTIIALIY-STQMWFEESA-N 0 1 281.404 0.423 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCCC[C@H]1CN1CCOCC1 ZINC000247555502 389240975 /nfs/dbraw/zinc/24/09/75/389240975.db2.gz QINMDMRTHUDJBR-CABCVRRESA-N 0 1 293.411 0.311 20 30 CCEDMN COC(=O)[C@@H]1CN(C(=O)NCCCn2cccn2)CCO1 ZINC000330591088 389244006 /nfs/dbraw/zinc/24/40/06/389244006.db2.gz QPGPWLYBUXDHFP-NSHDSACASA-N 0 1 296.327 0.061 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@@H]([C@H](C)O)C1 ZINC000248609353 389247833 /nfs/dbraw/zinc/24/78/33/389247833.db2.gz VWSLPHCXVZNCRO-FRRDWIJNSA-N 0 1 267.373 0.697 20 30 CCEDMN C#CCCNS(=O)(=O)c1scnc1C(=O)OC ZINC000134712927 389248244 /nfs/dbraw/zinc/24/82/44/389248244.db2.gz SICDZQHIHAMXAJ-UHFFFAOYSA-N 0 1 274.323 0.231 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN1CC[C@H](C)[C@@H](O)C1 ZINC000330644854 389248773 /nfs/dbraw/zinc/24/87/73/389248773.db2.gz FYRQDLZSLCBUPG-AGIUHOORSA-N 0 1 267.373 0.697 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)c2ccnn2C)[nH]1 ZINC000330939932 389257652 /nfs/dbraw/zinc/25/76/52/389257652.db2.gz BJXHJTFDRICBNZ-UHFFFAOYSA-N 0 1 258.285 0.743 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)C[C@H](C)C(=O)OC ZINC000246333613 389227524 /nfs/dbraw/zinc/22/75/24/389227524.db2.gz IIODURLNLHCHHZ-NEPJUHHUSA-N 0 1 283.372 0.735 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@@H](c2cnn(C)c2)C1 ZINC000246927350 389233681 /nfs/dbraw/zinc/23/36/81/389233681.db2.gz IGRXFHGWFNKHHZ-CHWSQXEVSA-N 0 1 289.383 0.970 20 30 CCEDMN N#Cc1cccc(C(=O)Nc2nn[nH]c2C(N)=O)c1 ZINC000362413397 389236228 /nfs/dbraw/zinc/23/62/28/389236228.db2.gz XWRCJSPSHVPLFM-UHFFFAOYSA-N 0 1 256.225 0.028 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1CC[C@@]2(CCOC2)C1)C1CC1 ZINC000247360703 389239054 /nfs/dbraw/zinc/23/90/54/389239054.db2.gz OMTQHLPSGZCPQW-LSDHHAIUSA-N 0 1 277.368 0.907 20 30 CCEDMN C[C@](C#N)(NC(=O)CN1CC[C@H](O)C1(C)C)C1CC1 ZINC000331691390 389310868 /nfs/dbraw/zinc/31/08/68/389310868.db2.gz XEINEKSXNCKSCK-SMDDNHRTSA-N 0 1 265.357 0.640 20 30 CCEDMN CC(C)(C)[C@H](NCC(=O)NC1(C#N)CCC1)C(N)=O ZINC000346976927 389343058 /nfs/dbraw/zinc/34/30/58/389343058.db2.gz RVIMEGNAGCNKQU-SNVBAGLBSA-N 0 1 266.345 0.038 20 30 CCEDMN CC(C)N1CCN([C@H](C)C(=O)NC2(C#N)CCC2)CC1 ZINC000345866067 389316452 /nfs/dbraw/zinc/31/64/52/389316452.db2.gz SPQUYNZRLYIQBF-CYBMUJFWSA-N 0 1 278.400 0.963 20 30 CCEDMN N#CCCCNC(=O)N1CCN(C[C@@H]2CCOC2)CC1 ZINC000345835880 389316826 /nfs/dbraw/zinc/31/68/26/389316826.db2.gz GFJNJLSUYPXPAH-ZDUSSCGKSA-N 0 1 280.372 0.654 20 30 CCEDMN C[C@H](C(=O)NC1(C#N)CCC1)N1CC[C@H](CO)C1 ZINC000346245501 389324274 /nfs/dbraw/zinc/32/42/74/389324274.db2.gz CUORXDXKMGJGIO-MNOVXSKESA-N 0 1 251.330 0.252 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N(C)[C@@H]1CCCN(C)C1 ZINC000407955338 260040498 /nfs/dbraw/zinc/04/04/98/260040498.db2.gz JGHADNFRHIPLEO-NWDGAFQWSA-N 0 1 288.417 0.349 20 30 CCEDMN Cc1nc(C(=O)NC[C@H]2CN(C)CCN2C)ccc1C#N ZINC000264624161 389339308 /nfs/dbraw/zinc/33/93/08/389339308.db2.gz BXDKMMACICPZCU-ZDUSSCGKSA-N 0 1 287.367 0.237 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)CN1CC[C@@H](O)C1(C)C ZINC000311747310 262340377 /nfs/dbraw/zinc/34/03/77/262340377.db2.gz UHHAHHWSTSEVDL-RISCZKNCSA-N 0 1 267.373 0.886 20 30 CCEDMN C=CC[C@H](CO)NC(=O)NCCCN1C[C@@H](C)O[C@@H](C)C1 ZINC000346861242 389340789 /nfs/dbraw/zinc/34/07/89/389340789.db2.gz ACKFALIHMIXZJA-HZSPNIEDSA-N 0 1 299.415 0.722 20 30 CCEDMN CC(C)[C@](C)(NCC(=O)N[C@@](C)(C#N)C1CC1)C(N)=O ZINC000347709839 389356337 /nfs/dbraw/zinc/35/63/37/389356337.db2.gz VCRMXQGMKPEAGX-KBPBESRZSA-N 0 1 280.372 0.284 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)CCCCO ZINC000349923640 389461499 /nfs/dbraw/zinc/46/14/99/389461499.db2.gz YKMMUTMGQSYHGS-LBPRGKRZSA-N 0 1 255.362 0.699 20 30 CCEDMN CN(CCCCO)CC(=O)NCc1cccc(C#N)c1 ZINC000349954690 389468308 /nfs/dbraw/zinc/46/83/08/389468308.db2.gz NOTYMYUKBCAPAN-UHFFFAOYSA-N 0 1 275.352 0.879 20 30 CCEDMN CN(C)CC(=O)N1CCN([C@@H](C#N)c2ccccc2)CC1 ZINC000171357286 389474709 /nfs/dbraw/zinc/47/47/09/389474709.db2.gz FCDJPSSZZOGRLY-HNNXBMFYSA-N 0 1 286.379 0.957 20 30 CCEDMN CC#CCN1CCCC[C@H]1CCNS(C)(=O)=O ZINC000159398317 262352683 /nfs/dbraw/zinc/35/26/83/262352683.db2.gz PNWIYBFGHXIARP-LBPRGKRZSA-N 0 1 258.387 0.804 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000333757671 389442466 /nfs/dbraw/zinc/44/24/66/389442466.db2.gz YYRIZVWNBYOHOI-MCIONIFRSA-N 0 1 296.411 0.881 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)c1cccc(C#N)c1 ZINC000168808058 389451321 /nfs/dbraw/zinc/45/13/21/389451321.db2.gz DYDLUZHYHMANFO-JTQLQIEISA-N 0 1 267.354 0.787 20 30 CCEDMN C=CCNC(=O)CNC(=O)c1sccc1CN(C)C ZINC000272002679 389455749 /nfs/dbraw/zinc/45/57/49/389455749.db2.gz WNGVVAMJDWGSLR-UHFFFAOYSA-N 0 1 281.381 0.842 20 30 CCEDMN C[C@H](O)CN1CCN(c2ncccc2C#N)[C@@H](C)C1 ZINC000275382664 389510470 /nfs/dbraw/zinc/51/04/70/389510470.db2.gz PIHSMTIBADDCCU-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2CCNC[C@@H]2C)CC1 ZINC000335036373 389532507 /nfs/dbraw/zinc/53/25/07/389532507.db2.gz PZIPZAPGQXEJQA-NSHDSACASA-N 0 1 265.357 0.231 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)C(=O)N1CCNC(C)(C)C1 ZINC000335042055 389533810 /nfs/dbraw/zinc/53/38/10/389533810.db2.gz KXXVZDFGRKANIO-GFCCVEGCSA-N 0 1 279.384 0.764 20 30 CCEDMN CNC(=O)[C@@H](C)CN(C)CC(=O)Nc1ccc(C#N)cc1 ZINC000176362101 389556924 /nfs/dbraw/zinc/55/69/24/389556924.db2.gz SJWJMGVUICDPAM-NSHDSACASA-N 0 1 288.351 0.811 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC(c2c[nH]cn2)CC1 ZINC000374208865 389558544 /nfs/dbraw/zinc/55/85/44/389558544.db2.gz NVYDSKLSGHXZDA-NSHDSACASA-N 0 1 260.341 0.727 20 30 CCEDMN COc1ccc(C(=O)Cn2cnc(C#N)n2)c2c1C(=O)CO2 ZINC000351558688 389614413 /nfs/dbraw/zinc/61/44/13/389614413.db2.gz MYNZQMGJETVDRD-UHFFFAOYSA-N 0 1 298.258 0.616 20 30 CCEDMN COC(=O)[C@@H]1CN(C)CCN(Cc2ccc(C#N)o2)C1 ZINC000414487771 389619020 /nfs/dbraw/zinc/61/90/20/389619020.db2.gz KZXZAYZRWBRIIX-LLVKDONJSA-N 0 1 277.324 0.688 20 30 CCEDMN O=c1[nH]nc2n1CCN(CC#Cc1ccc(F)cc1)C2 ZINC000336069255 389622894 /nfs/dbraw/zinc/62/28/94/389622894.db2.gz WDCVQSVUZGYUAD-UHFFFAOYSA-N 0 1 272.283 0.990 20 30 CCEDMN C=CCCn1cc(C(=O)Nc2nc(SC)n[nH]2)nn1 ZINC000424629338 389627203 /nfs/dbraw/zinc/62/72/03/389627203.db2.gz QYESMGRGOIINJC-UHFFFAOYSA-N 0 1 279.329 0.947 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(Cc2ncc[nH]2)CC1 ZINC000351602211 389627982 /nfs/dbraw/zinc/62/79/82/389627982.db2.gz XTJYOFUQXCVUEV-ZDUSSCGKSA-N 0 1 264.373 0.854 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)N[C@@H]1CC[C@H](C#N)C1 ZINC000424966002 389637178 /nfs/dbraw/zinc/63/71/78/389637178.db2.gz LAMNXMZETIARGP-FRRDWIJNSA-N 0 1 265.357 0.763 20 30 CCEDMN NC(=O)[C@@]1(O)CCN(CC#Cc2ccc(F)cc2)C1 ZINC000279405576 389572795 /nfs/dbraw/zinc/57/27/95/389572795.db2.gz ZZIJNBXPGMGOGW-CQSZACIVSA-N 0 1 262.284 0.099 20 30 CCEDMN C=CCCS(=O)(=O)NC[C@H](C)CN1CCN(C)CC1 ZINC000351399524 389580539 /nfs/dbraw/zinc/58/05/39/389580539.db2.gz BEXQEYOWTVXPAV-ZDUSSCGKSA-N 0 1 289.445 0.365 20 30 CCEDMN CN(CCC#N)C[C@H](O)CN1C[C@@]2(CCCO2)[C@H]1C1CC1 ZINC000414128409 389602191 /nfs/dbraw/zinc/60/21/91/389602191.db2.gz RVWGVMSUTHRHMT-XHSDSOJGSA-N 0 1 293.411 0.836 20 30 CCEDMN C[C@@H](C#N)CNC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000182768089 389715914 /nfs/dbraw/zinc/71/59/14/389715914.db2.gz BYMFFGHZRXFNDF-ZDUSSCGKSA-N 0 1 294.399 0.900 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC(CC(=O)NC)CC2)C1=O ZINC000282049197 389649954 /nfs/dbraw/zinc/64/99/54/389649954.db2.gz RNDVYEFERCZDJE-CYBMUJFWSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCN1CC[C@@H](N2CCC[C@@H](C(=O)OC)C2)C1=O ZINC000282070494 389653715 /nfs/dbraw/zinc/65/37/15/389653715.db2.gz SVVRAYASLFMREM-VXGBXAGGSA-N 0 1 266.341 0.658 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCC[C@@H]1CCO ZINC000426263324 389662402 /nfs/dbraw/zinc/66/24/02/389662402.db2.gz LCUAMIRNHFHBDV-QWHCGFSZSA-N 0 1 267.373 0.841 20 30 CCEDMN C[C@@H]1CN(C(=O)C(=O)Nc2sccc2C#N)CCN1 ZINC000415436513 389683047 /nfs/dbraw/zinc/68/30/47/389683047.db2.gz OHXDPVSVJCUHIQ-MRVPVSSYSA-N 0 1 278.337 0.379 20 30 CCEDMN C[C@H]1CN(C(=O)C(=O)Nc2ccc(F)cc2C#N)CCN1 ZINC000415429009 389683503 /nfs/dbraw/zinc/68/35/03/389683503.db2.gz HYIIJIROGKBGMH-VIFPVBQESA-N 0 1 290.298 0.456 20 30 CCEDMN C=CCNC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000351804270 389686577 /nfs/dbraw/zinc/68/65/77/389686577.db2.gz RRPJUFFWZQLRAY-GFCCVEGCSA-N 0 1 278.356 0.785 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCO[C@]2(CCCOC2)C1 ZINC000375631612 389695444 /nfs/dbraw/zinc/69/54/44/389695444.db2.gz QISYGRKJLRFXNM-OAHLLOKOSA-N 0 1 295.383 0.630 20 30 CCEDMN C[C@@H]1[C@H](C)NCCN1S(=O)(=O)c1cncc(C#N)c1 ZINC000379454742 389761067 /nfs/dbraw/zinc/76/10/67/389761067.db2.gz MWYJISFENOYKEQ-VHSXEESVSA-N 0 1 280.353 0.324 20 30 CCEDMN C[C@@H]1[C@@H](CO)CCN1CC(=O)N(CCC#N)CCC#N ZINC000395214768 389776715 /nfs/dbraw/zinc/77/67/15/389776715.db2.gz WFCMLLIVZQHPEA-CHWSQXEVSA-N 0 1 278.356 0.345 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCc2n[nH]nc2C1 ZINC000429313006 389750384 /nfs/dbraw/zinc/75/03/84/389750384.db2.gz PMDDTUXKTCPCNK-NSHDSACASA-N 0 1 277.372 0.976 20 30 CCEDMN CCOC(=O)[C@@H](Cc1ccccn1)NC(=O)C(C)C#N ZINC000430511094 389803594 /nfs/dbraw/zinc/80/35/94/389803594.db2.gz OBRDRAINBBHOJC-CMPLNLGQSA-N 0 1 275.308 0.832 20 30 CCEDMN C=CCCOCCNC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000419482271 389892779 /nfs/dbraw/zinc/89/27/79/389892779.db2.gz XSAMLMNJXGPFHR-ZIAGYGMSSA-N 0 1 299.415 0.676 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(CC(C)(C)O)C[C@H]1C ZINC000444390245 389905649 /nfs/dbraw/zinc/90/56/49/389905649.db2.gz UARFVMDEBVOVOC-WDEREUQCSA-N 0 1 253.346 0.450 20 30 CCEDMN C[C@@H]1CN(C[C@@H](O)CN(C)CC(C)(C)C#N)C[C@H](C)O1 ZINC000433294196 389907872 /nfs/dbraw/zinc/90/78/72/389907872.db2.gz KGNVSUIGVIEBIJ-MJBXVCDLSA-N 0 1 283.416 0.938 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CCC[N@@H+]1CCC)C(=O)OC ZINC000435945936 389967515 /nfs/dbraw/zinc/96/75/15/389967515.db2.gz WMYRECLQDXOQFM-QWHCGFSZSA-N 0 1 298.383 0.721 20 30 CCEDMN C=CCOC[C@H](NC(=O)[C@H]1CCCN1CCC)C(=O)OC ZINC000435945936 389967517 /nfs/dbraw/zinc/96/75/17/389967517.db2.gz WMYRECLQDXOQFM-QWHCGFSZSA-N 0 1 298.383 0.721 20 30 CCEDMN COC[C@H](NCC(=O)N[C@](C)(C#N)C1CC1)[C@H]1CCCO1 ZINC000434798219 389947205 /nfs/dbraw/zinc/94/72/05/389947205.db2.gz YIPSPKJOOGVAEJ-GZBFAFLISA-N 0 1 295.383 0.578 20 30 CCEDMN C#CCN(C(=O)c1cnc(CCOCCOC)[nH]1)C1CC1 ZINC000491696492 390116162 /nfs/dbraw/zinc/11/61/62/390116162.db2.gz JFRGMEIRLUIPOM-UHFFFAOYSA-N 0 1 291.351 0.853 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)c1c(C)cnn1C ZINC000491744098 390116454 /nfs/dbraw/zinc/11/64/54/390116454.db2.gz VAPXWHXSCUFCPJ-GFCCVEGCSA-N 0 1 261.325 0.983 20 30 CCEDMN CC1(C)CCN(CC(=O)N2CCO[C@@H](C#N)C2)C1 ZINC000580092891 390220542 /nfs/dbraw/zinc/22/05/42/390220542.db2.gz SWYKWDBWCUTONS-NSHDSACASA-N 0 1 251.330 0.469 20 30 CCEDMN CN1CC=C(CNS(=O)(=O)CCCCC#N)CC1 ZINC000538674907 390193926 /nfs/dbraw/zinc/19/39/26/390193926.db2.gz JVZNBMNVYZVNNO-UHFFFAOYSA-N 0 1 271.386 0.862 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(COC(=O)N2)CC1 ZINC000495291052 390233135 /nfs/dbraw/zinc/23/31/35/390233135.db2.gz DLVHCJWIYMRCDP-SNVBAGLBSA-N 0 1 267.329 0.252 20 30 CCEDMN COCC#CCN[C@H](C(N)=O)[C@@H](C)c1ccccc1 ZINC000644706970 390288584 /nfs/dbraw/zinc/28/85/84/390288584.db2.gz CTOPBYXUNXJARS-JSGCOSHPSA-N 0 1 260.337 0.883 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CN2CCOC2=O)C1 ZINC000496864370 390376266 /nfs/dbraw/zinc/37/62/66/390376266.db2.gz LAMSOVIZEYHNSC-LLVKDONJSA-N 0 1 267.329 0.063 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)NCc1cn[nH]c1C ZINC000645175950 390382575 /nfs/dbraw/zinc/38/25/75/390382575.db2.gz DUUZFLYOCVZTTA-NSHDSACASA-N 0 1 250.302 0.292 20 30 CCEDMN CN(C)C[C@](C)(C#N)NC(=O)c1ccc2cncn2c1 ZINC000515352723 390474667 /nfs/dbraw/zinc/47/46/67/390474667.db2.gz MSDAASWJFAOBTE-AWEZNQCLSA-N 0 1 271.324 0.908 20 30 CCEDMN C[C@@H]1CN(c2ncncc2C#N)CCN1CC(C)(C)O ZINC000566150092 390586827 /nfs/dbraw/zinc/58/68/27/390586827.db2.gz VOVNVAHZRAJWQI-LLVKDONJSA-N 0 1 275.356 0.630 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCC(CN2CCOCC2)CC1 ZINC000646683064 390708677 /nfs/dbraw/zinc/70/86/77/390708677.db2.gz HOIUWRWWWQFNKT-ZDUSSCGKSA-N 0 1 268.357 0.104 20 30 CCEDMN C[C@@H](C#N)S(=O)(=O)NC[C@H]1CCN1C1CCCC1 ZINC000528547857 390756586 /nfs/dbraw/zinc/75/65/86/390756586.db2.gz JFHCUMWQUXHEPP-CMPLNLGQSA-N 0 1 271.386 0.835 20 30 CCEDMN CCOC(=O)c1c(C)n[nH]c1Cn1cnc(C#N)n1 ZINC000572199649 390772445 /nfs/dbraw/zinc/77/24/45/390772445.db2.gz CNJSELPQXCEPSO-UHFFFAOYSA-N 0 1 260.257 0.406 20 30 CCEDMN C=CCCn1cc(C(=O)NCC[N@@H+]2CC[C@H](C)C2)nn1 ZINC000578127583 390865499 /nfs/dbraw/zinc/86/54/99/390865499.db2.gz FLHOCJYCJJYIEY-LBPRGKRZSA-N 0 1 277.372 0.926 20 30 CCEDMN CC(C)(NC(=O)Cc1cccc(C#N)c1)c1nn[nH]n1 ZINC000531152486 390877930 /nfs/dbraw/zinc/87/79/30/390877930.db2.gz FUUIHRRDLFDCRN-UHFFFAOYSA-N 0 1 270.296 0.665 20 30 CCEDMN N#CC[C@@H]1CC[C@H](NC(=O)NC[C@H]2COCCN2)C1 ZINC000529326439 390808957 /nfs/dbraw/zinc/80/89/57/390808957.db2.gz OBHUYFBIQWROEK-SRVKXCTJSA-N 0 1 266.345 0.356 20 30 CCEDMN CC1(C#N)CN(CC2=Nc3ccccc3S(=O)(=O)N2)C1 ZINC000575426983 390840599 /nfs/dbraw/zinc/84/05/99/390840599.db2.gz GDZZTPOIXSLNDX-UHFFFAOYSA-N 0 1 290.348 0.854 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](O)CCOCC)C1=O ZINC000337227316 262437743 /nfs/dbraw/zinc/43/77/43/262437743.db2.gz QBTHCXNNZRIZGP-QWHCGFSZSA-N 0 1 270.373 0.493 20 30 CCEDMN C[C@H]1CN(CC(=O)NCc2ccc(C#N)cc2)C[C@H]1O ZINC000351776685 397462429 /nfs/dbraw/zinc/46/24/29/397462429.db2.gz CSNXIISVCRKCJG-SMDDNHRTSA-N 0 1 273.336 0.487 20 30 CCEDMN C[C@@H](CO)N1CCCN(C(=O)c2ccnc(C#N)c2)CC1 ZINC000357002023 144049830 /nfs/dbraw/zinc/04/98/30/144049830.db2.gz OLHIDEZRIVSSIX-LBPRGKRZSA-N 0 1 288.351 0.482 20 30 CCEDMN COc1cc(C#N)ccc1OCC(=O)NCc1nnc[nH]1 ZINC000358578698 144163923 /nfs/dbraw/zinc/16/39/23/144163923.db2.gz UERJNYDJYJPYGQ-UHFFFAOYSA-N 0 1 287.279 0.380 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)NC[C@@H]2C[C@@H]2C)[nH]1 ZINC000359064413 144192802 /nfs/dbraw/zinc/19/28/02/144192802.db2.gz VHJFZKRGGPPVJU-UWVGGRQHSA-N 0 1 289.339 0.468 20 30 CCEDMN N#Cc1cnc(N2CCN([C@@H]3CCC[C@H]3O)CC2)cn1 ZINC000368382956 144221589 /nfs/dbraw/zinc/22/15/89/144221589.db2.gz LSDLYMMEUHGIMH-CHWSQXEVSA-N 0 1 273.340 0.384 20 30 CCEDMN N#Cc1ccc(NC(=O)CCN2CCC[C@H]2C(N)=O)cc1 ZINC000020294689 171135348 /nfs/dbraw/zinc/13/53/48/171135348.db2.gz BZMRPHLRDJSHSB-ZDUSSCGKSA-N 0 1 286.335 0.837 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)N1CCC(O)(CO)CC1 ZINC000661617282 414654834 /nfs/dbraw/zinc/65/48/34/414654834.db2.gz AFIAFFHYKDJTAP-ZDUSSCGKSA-N 0 1 282.384 0.395 20 30 CCEDMN C=CCNC(=O)CN(CCN1CCOCC1)CC1CC1 ZINC000661973439 414685796 /nfs/dbraw/zinc/68/57/96/414685796.db2.gz ATRJITXYHWYSDK-UHFFFAOYSA-N 0 1 281.400 0.333 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CC[C@@H](CN2CCCC2=O)C1 ZINC000662058596 414689615 /nfs/dbraw/zinc/68/96/15/414689615.db2.gz CPJFGJDPJSROSC-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C=C[C@H](CO)N[C@H](C)C(=O)Nc1cccc(C(=O)NC)c1 ZINC000662168236 414695038 /nfs/dbraw/zinc/69/50/38/414695038.db2.gz ZNWADUUSPNNXTI-ZYHUDNBSSA-N 0 1 291.351 0.510 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1cccc(OCC)c1 ZINC000662167262 414695084 /nfs/dbraw/zinc/69/50/84/414695084.db2.gz XGQGUSVCPMHWIK-CYBMUJFWSA-N 0 1 278.352 0.838 20 30 CCEDMN C=C[C@H](CO)NCC(=O)Nc1cc(C(C)(C)C)nn1C ZINC000662167799 414695320 /nfs/dbraw/zinc/69/53/20/414695320.db2.gz HMGDAEPVOQXDDA-SNVBAGLBSA-N 0 1 280.372 0.793 20 30 CCEDMN C=CCOCCNC(=O)c1cc(C(C)(C)N)no1 ZINC000662921080 414895618 /nfs/dbraw/zinc/89/56/18/414895618.db2.gz IPNUSSJZFPXTCJ-UHFFFAOYSA-N 0 1 253.302 0.801 20 30 CCEDMN C=CCOCCNC(=O)NCc1n[nH]c(C2CC2)n1 ZINC000664988477 415506210 /nfs/dbraw/zinc/50/62/10/415506210.db2.gz ARDWSJCJTSSBJE-UHFFFAOYSA-N 0 1 265.317 0.684 20 30 CCEDMN COC[C@H]1CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000347062295 226009708 /nfs/dbraw/zinc/00/97/08/226009708.db2.gz VHJCWAAVZDVALX-NSHDSACASA-N 0 1 251.330 0.517 20 30 CCEDMN CC(C#N)C(=O)N=c1ccn(Cc2ccncc2)[nH]1 ZINC000347040470 226025112 /nfs/dbraw/zinc/02/51/12/226025112.db2.gz RCAUIYCLPQTPSO-SNVBAGLBSA-N 0 1 255.281 0.846 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCCc1cnccn1 ZINC000329179720 227340896 /nfs/dbraw/zinc/34/08/96/227340896.db2.gz DCPULMXJLWIYLS-GFCCVEGCSA-N 0 1 278.356 0.944 20 30 CCEDMN C[C@H](Cn1cncn1)NC(=O)NC[C@]1(O)CCSC1 ZINC000330003165 228013347 /nfs/dbraw/zinc/01/33/47/228013347.db2.gz BMOBCPXMUBHBLI-MWLCHTKSSA-N 0 1 285.373 0.038 20 30 CCEDMN C[C@@H]1COCC[C@@H]1NC(=O)NC[C@@H]1CN(C)CCN1C ZINC000330334157 228154968 /nfs/dbraw/zinc/15/49/68/228154968.db2.gz QKEFVDGMPSOZGX-UPJWGTAASA-N 0 1 284.404 0.161 20 30 CCEDMN C=CCN(CC=C)C(=O)CN(C)C[C@H](O)C1CC1 ZINC000079769535 544475994 /nfs/dbraw/zinc/47/59/94/544475994.db2.gz ZRGKFXHMGFTAPW-ZDUSSCGKSA-N 0 1 252.358 0.890 20 30 CCEDMN Cc1cc(CNC([O-])=[NH+][C@@H]2CCc3nc(C)nn3C2)on1 ZINC000328812375 545018752 /nfs/dbraw/zinc/01/87/52/545018752.db2.gz MZNVTNBTXNVSFJ-SNVBAGLBSA-N 0 1 290.327 0.902 20 30 CCEDMN COCCN1CC[C@H](NC(=O)[C@@]2(O)CCSC2)C1 ZINC000328957902 545020574 /nfs/dbraw/zinc/02/05/74/545020574.db2.gz JPGIOCCYHBZVBA-CMPLNLGQSA-N 0 1 274.386 0.532 20 30 CCEDMN CN1CCOC[C@@H]1C(=O)N[C@@H]1CCc2[nH]cnc2C1 ZINC000328955072 545020591 /nfs/dbraw/zinc/02/05/91/545020591.db2.gz IREWARYZXFBWOZ-BXKDBHETSA-N 0 1 264.329 0.554 20 30 CCEDMN N#Cc1cc2c(nc1NC[C@@H]1COCCN1)CCOC2 ZINC000600953679 420492979 /nfs/dbraw/zinc/49/29/79/420492979.db2.gz AKJNLIXIPYAJEB-GFCCVEGCSA-N 0 1 274.324 0.426 20 30 CCEDMN C[C@H](NC(=O)Nc1nn(C)cc1C#N)c1nnc[nH]1 ZINC000611519471 420495068 /nfs/dbraw/zinc/49/50/68/420495068.db2.gz UMRPZXHTIASFDP-LURJTMIESA-N 0 1 260.261 0.293 20 30 CCEDMN C[C@@H](OC[C@@H]1CCCO1)C(=O)NC1(C#N)CCN(C)CC1 ZINC000518025601 260336075 /nfs/dbraw/zinc/33/60/75/260336075.db2.gz XZHUZJRAGMTMJZ-OLZOCXBDSA-N 0 1 295.383 0.675 20 30 CCEDMN CN(C)c1ccc(C(N)=NOCC(=O)NCC2CC2)cc1 ZINC000154260593 261089792 /nfs/dbraw/zinc/08/97/92/261089792.db2.gz UMJLNTGYTNMKOH-UHFFFAOYSA-N 0 1 290.367 0.916 20 30 CCEDMN C#CCN1CCC[C@H]1COC(=O)[C@H](C)NC(=O)OC ZINC000490901204 261242210 /nfs/dbraw/zinc/24/22/10/261242210.db2.gz ZMMGMQNZNVHLAQ-QWRGUYRKSA-N 0 1 268.313 0.372 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@@](CC)(CO)C1 ZINC000249527277 261254547 /nfs/dbraw/zinc/25/45/47/261254547.db2.gz AFLFZOYAGYMEPM-IUODEOHRSA-N 0 1 297.399 0.871 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CCN(CC)C[C@H]2C)nc1 ZINC000491220956 261376811 /nfs/dbraw/zinc/37/68/11/261376811.db2.gz RTRYSGWVHDWJEB-CYBMUJFWSA-N 0 1 286.379 0.975 20 30 CCEDMN N#CCNC(=O)CSc1nc(-c2cccnc2)n[nH]1 ZINC000108662473 262056312 /nfs/dbraw/zinc/05/63/12/262056312.db2.gz SIKKSCICJVQBEY-UHFFFAOYSA-N 0 1 274.309 0.599 20 30 CCEDMN Cc1cc(=NC(=O)/C=C\CN(C)C)[nH]n1CCC#N ZINC000492323435 262203698 /nfs/dbraw/zinc/20/36/98/262203698.db2.gz ZEIYKYGLGGOPFT-XQRVVYSFSA-N 0 1 261.329 0.583 20 30 CCEDMN CNS(=O)(=O)C[C@@H]1CCCN1CCC(C)(C)C#N ZINC000336727321 262385143 /nfs/dbraw/zinc/38/51/43/262385143.db2.gz LZLNNRHSTSIECA-NSHDSACASA-N 0 1 273.402 0.940 20 30 CCEDMN CCN(C[C@H](C)C#N)S(=O)(=O)NCCN1CCCC1 ZINC000336888460 262402038 /nfs/dbraw/zinc/40/20/38/262402038.db2.gz HUKHPYRPOSTUBA-GFCCVEGCSA-N 0 1 288.417 0.398 20 30 CCEDMN COC(=O)CN(C)C(=O)C(C#N)Cc1ccc(C#N)cc1 ZINC000280590951 263063282 /nfs/dbraw/zinc/06/32/82/263063282.db2.gz DWPGYERYTVWNNP-CYBMUJFWSA-N 0 1 285.303 0.872 20 30 CCEDMN COC[C@@](C)(C#N)NC(=O)c1ccc2cncn2c1 ZINC000285364517 263092502 /nfs/dbraw/zinc/09/25/02/263092502.db2.gz STKPWUFSPRPBAI-CYBMUJFWSA-N 0 1 258.281 0.993 20 30 CCEDMN C[C@H](C#N)NC(=O)[C@H](C)NC(=O)c1n[nH]c2ccccc21 ZINC000340433940 263146704 /nfs/dbraw/zinc/14/67/04/263146704.db2.gz JQRLAHLCFPUFCH-BDAKNGLRSA-N 0 1 285.307 0.709 20 30 CCEDMN C=CCCCNC(=O)C(=O)N[C@@H]1CCCN(C)[C@H]1C ZINC000342499112 263442581 /nfs/dbraw/zinc/44/25/81/263442581.db2.gz CMQSJZSVXCYTPK-NWDGAFQWSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCN(CC=C)C(=O)CN1CCC(CC(N)=O)CC1 ZINC000048024892 263814270 /nfs/dbraw/zinc/81/42/70/263814270.db2.gz HVPSBRZCVVHSCT-UHFFFAOYSA-N 0 1 279.384 0.774 20 30 CCEDMN Cc1noc(CNC(=O)N2CCN(C[C@H](C)O)[C@@H](C)C2)n1 ZINC000330698496 264005926 /nfs/dbraw/zinc/00/59/26/264005926.db2.gz GPXZEVHBJXYLFL-UWVGGRQHSA-N 0 1 297.359 0.179 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2[C@H]3CN(CC#N)C[C@H]32)ccc2cncn21 ZINC000960695759 649857591 /nfs/dbraw/zinc/85/75/91/649857591.db2.gz BECWCCCKUZEBHD-FICVDOATSA-N 0 1 295.346 0.826 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCN(C)C[C@@H]1C ZINC000330672853 264013638 /nfs/dbraw/zinc/01/36/38/264013638.db2.gz OOQQSVCDXDRUNW-LBPRGKRZSA-N 0 1 252.362 0.384 20 30 CCEDMN CC(C)OCCNC(=O)NC[C@@H]1CN(C)CCN1C ZINC000330827395 264049176 /nfs/dbraw/zinc/04/91/76/264049176.db2.gz IWOJLOYZOCDZMY-GFCCVEGCSA-N 0 1 272.393 0.161 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(C[C@@H](C)O)[C@H](CC)C1 ZINC000330889994 264064013 /nfs/dbraw/zinc/06/40/13/264064013.db2.gz BOKLRQCXGGJVIR-NFAWXSAZSA-N 0 1 284.400 0.617 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cc(OC)no2)C1 ZINC000330907392 264074788 /nfs/dbraw/zinc/07/47/88/264074788.db2.gz SWKNMRJKFIFVTQ-VIFPVBQESA-N 0 1 269.301 0.709 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(Cc2ccc(C(=O)NC)cc2)C1 ZINC000330943177 264084446 /nfs/dbraw/zinc/08/44/46/264084446.db2.gz HJNKYZRGBVFESW-CYBMUJFWSA-N 0 1 290.367 0.754 20 30 CCEDMN CCC(=O)N1CC[C@H](NC(=O)NCc2cn[nH]c2C)C1 ZINC000331011321 264107189 /nfs/dbraw/zinc/10/71/89/264107189.db2.gz VVZORMOYJOVKQF-NSHDSACASA-N 0 1 279.344 0.733 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N1CCn2c(n[nH]c2=O)C1 ZINC000331077813 264115345 /nfs/dbraw/zinc/11/53/45/264115345.db2.gz XHYULNASXVZUTP-NSHDSACASA-N 0 1 293.371 0.612 20 30 CCEDMN C[C@@H](CN1CCN(C)CC1)NC(=O)NCCC#N ZINC000331121723 264130810 /nfs/dbraw/zinc/13/08/10/264130810.db2.gz BJTKQOUMYAWDIE-NSHDSACASA-N 0 1 253.350 0.040 20 30 CCEDMN C=CCCCCCN1CCN2C(=O)NC(=O)[C@@H]2C1 ZINC000331136697 264135114 /nfs/dbraw/zinc/13/51/14/264135114.db2.gz VWUPBCNHSBJOAF-NSHDSACASA-N 0 1 251.330 0.969 20 30 CCEDMN CCc1nc2n(n1)C[C@H]([NH+]=C([O-])N[C@H](CO)C(C)C)CC2 ZINC000331159424 264142429 /nfs/dbraw/zinc/14/24/29/264142429.db2.gz DUWQKNAHJYMWCM-GHMZBOCLSA-N 0 1 295.387 0.676 20 30 CCEDMN CCc1nc2n(n1)C[C@H](NC(=O)N[C@H](CO)C(C)C)CC2 ZINC000331159424 264142432 /nfs/dbraw/zinc/14/24/32/264142432.db2.gz DUWQKNAHJYMWCM-GHMZBOCLSA-N 0 1 295.387 0.676 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@H](C)C[C@@H]1[C@@H](C)O ZINC000331276568 264170564 /nfs/dbraw/zinc/17/05/64/264170564.db2.gz FOJGISLBAXDWHZ-QJPTWQEYSA-N 0 1 283.372 0.684 20 30 CCEDMN C=CCC[C@H](O)CN1CCN(c2cccnn2)CC1 ZINC000126245599 264210031 /nfs/dbraw/zinc/21/00/31/264210031.db2.gz RVQMVVWOOOXHOX-ZDUSSCGKSA-N 0 1 262.357 0.926 20 30 CCEDMN COC[C@](C)(C#N)NC(=O)CN(C)[C@@H]1CCSC1 ZINC000126473919 264220956 /nfs/dbraw/zinc/22/09/56/264220956.db2.gz KOHRKEWNXUSUIG-PWSUYJOCSA-N 0 1 271.386 0.469 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CCC[C@@H](C)[C@@H]1CO ZINC000333974590 264226539 /nfs/dbraw/zinc/22/65/39/264226539.db2.gz SNWHUSURWVFYQB-MNOVXSKESA-N 0 1 269.345 0.091 20 30 CCEDMN C=CCN1CC[C@H](N[C@H](C(N)=O)C(C)(C)C)C1=O ZINC000282092885 264495317 /nfs/dbraw/zinc/49/53/17/264495317.db2.gz VKDHWGNYRGPIGQ-VHSXEESVSA-N 0 1 253.346 0.263 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C(C)C)N1CCN(C)CC1 ZINC000356450676 266117454 /nfs/dbraw/zinc/11/74/54/266117454.db2.gz FQROFTPJVLFIOU-AWEZNQCLSA-N 0 1 283.416 0.577 20 30 CCEDMN CN(C)[C@H](Cc1ccccc1)C(=O)N1CC(O)(CC#N)C1 ZINC000356548739 266134975 /nfs/dbraw/zinc/13/49/75/266134975.db2.gz DCEHHRZZHYTGQG-CQSZACIVSA-N 0 1 287.363 0.646 20 30 CCEDMN CC(C)CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)N[C@H](C)C#N ZINC000362734645 267040708 /nfs/dbraw/zinc/04/07/08/267040708.db2.gz NNWHEGGICVGCSR-ZYHUDNBSSA-N 0 1 291.355 0.511 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H]1C[C@H](O)c1cnn(C)c1 ZINC000369232751 267227973 /nfs/dbraw/zinc/22/79/73/267227973.db2.gz ZHMYGZSXEILIDX-KBPBESRZSA-N 0 1 292.383 0.610 20 30 CCEDMN CCN(C[C@@H](C)C#N)S(=O)(=O)N1CCCN(C)CC1 ZINC000370020307 267285072 /nfs/dbraw/zinc/28/50/72/267285072.db2.gz NLHOKJKOIONPDS-LBPRGKRZSA-N 0 1 288.417 0.350 20 30 CCEDMN N#Cc1ccc(CN2C[C@@H]3C(=O)NC(=O)[C@@H]3C2)s1 ZINC000519708852 268223998 /nfs/dbraw/zinc/22/39/98/268223998.db2.gz PFNSPYFSQFXMDJ-AOOOYVTPSA-N 0 1 261.306 0.324 20 30 CCEDMN C=CCNC(=O)CN1CCC2(C[C@H]2C(=O)OC)CC1 ZINC000265025389 276898478 /nfs/dbraw/zinc/89/84/78/276898478.db2.gz HRUKRGJGQBGMCT-NSHDSACASA-N 0 1 266.341 0.564 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@H]2OCCN(C)[C@H]2C1 ZINC000332672931 289251438 /nfs/dbraw/zinc/25/14/38/289251438.db2.gz IUXAEISMXKWUCO-HZSPNIEDSA-N 0 1 294.399 0.152 20 30 CCEDMN O=C(N[C@H]1CCn2ccnc2C1)N1CCOCC1 ZINC000330225744 295387980 /nfs/dbraw/zinc/38/79/80/295387980.db2.gz UBVQKKZGXVKQGU-JTQLQIEISA-N 0 1 250.302 0.444 20 30 CCEDMN C[C@@H]1C[C@H](NC[C@@H](O)CN(C)CCC#N)c2nccn21 ZINC000570711565 327613148 /nfs/dbraw/zinc/61/31/48/327613148.db2.gz WTUMKOAIMMDRJA-UPJWGTAASA-N 0 1 277.372 0.685 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)[N@H+]1CCC[C@@H](O)CC1 ZINC000339779243 328068314 /nfs/dbraw/zinc/06/83/14/328068314.db2.gz LLIOAFUWVUQSKM-NWDGAFQWSA-N 0 1 265.357 0.784 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCC[C@@H](O)CC1 ZINC000339779243 328068315 /nfs/dbraw/zinc/06/83/15/328068315.db2.gz LLIOAFUWVUQSKM-NWDGAFQWSA-N 0 1 265.357 0.784 20 30 CCEDMN CC(C)(C)[C@@H](C#N)NC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000291836213 328266712 /nfs/dbraw/zinc/26/67/12/328266712.db2.gz GKESXSYREVRQCE-SSDOTTSWSA-N 0 1 251.246 0.986 20 30 CCEDMN COC[C@@H](C)OC[C@H](O)CNCC1(C#N)CCC1 ZINC000566118902 327140955 /nfs/dbraw/zinc/14/09/55/327140955.db2.gz JYKFIAOBWGJZFR-VXGBXAGGSA-N 0 1 256.346 0.682 20 30 CCEDMN C#CC[N@@H+](C)CCCNS(=O)(=O)c1cccc(C#N)c1 ZINC000293825954 327157705 /nfs/dbraw/zinc/15/77/05/327157705.db2.gz PIZDKNQUSMPDCK-UHFFFAOYSA-N 0 1 291.376 0.792 20 30 CCEDMN CN1CCC(C#N)(NC(=O)COC[C@@H]2CCCO2)CC1 ZINC000536498305 327241658 /nfs/dbraw/zinc/24/16/58/327241658.db2.gz SZYAEBGSLXZWRH-LBPRGKRZSA-N 0 1 281.356 0.286 20 30 CCEDMN CC(=O)c1ccc(OC[C@H](O)CNCC#CCO)cc1 ZINC000579353894 327363226 /nfs/dbraw/zinc/36/32/26/327363226.db2.gz LGPYOCCEAOHHTL-CQSZACIVSA-N 0 1 277.320 0.214 20 30 CCEDMN CNC(=O)NC(=O)[C@@H](C)N1CCC(CCCO)CC1 ZINC000330806355 327368650 /nfs/dbraw/zinc/36/86/50/327368650.db2.gz MFOWUYRJVDULCD-SNVBAGLBSA-N 0 1 271.361 0.519 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CCOCC2CC2)CC1 ZINC000536789738 328697534 /nfs/dbraw/zinc/69/75/34/328697534.db2.gz IAXYGUJDEUOIAR-UHFFFAOYSA-N 0 1 265.357 0.907 20 30 CCEDMN N#CCC(=O)N1CCN(C[C@H]2CCCC[C@H]2O)CC1 ZINC000563969502 328842152 /nfs/dbraw/zinc/84/21/52/328842152.db2.gz XFTXEEHMPXQKCL-CHWSQXEVSA-N 0 1 265.357 0.595 20 30 CCEDMN CC(C)[C@@]1(CO)CCN(CC(=O)NC2(C#N)CCC2)C1 ZINC000377647486 329022638 /nfs/dbraw/zinc/02/26/38/329022638.db2.gz IVPOGIOOZCBQRY-AWEZNQCLSA-N 0 1 279.384 0.889 20 30 CCEDMN C#CCSCCNC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC000378106536 329040154 /nfs/dbraw/zinc/04/01/54/329040154.db2.gz ZYZHMQJVWBCLIS-JTQLQIEISA-N 0 1 278.381 0.933 20 30 CCEDMN C=CCN1CC[C@H](N2CCC[C@@H](N3CCOCC3)C2)C1=O ZINC000583152623 329323430 /nfs/dbraw/zinc/32/34/30/329323430.db2.gz YXNYMKAUNOTERT-CABCVRRESA-N 0 1 293.411 0.570 20 30 CCEDMN CC#CCNC(=O)N[C@@H](C)CCN1CC[NH+](C)CC1 ZINC000185910131 329332397 /nfs/dbraw/zinc/33/23/97/329332397.db2.gz XTJKVQDJFTZYEN-ZDUSSCGKSA-N 0 1 266.389 0.335 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@@H+]1C[C@@H]2CCC[C@@]2(C(=O)[O-])C1 ZINC000262201892 330003874 /nfs/dbraw/zinc/00/38/74/330003874.db2.gz SFOCZSFMVDYEFW-IINYFYTJSA-N 0 1 295.339 0.185 20 30 CCEDMN CC#CCNC(=O)NC1CC([N@@H+](CC)CC(=O)[O-])C1 ZINC000263498097 330057998 /nfs/dbraw/zinc/05/79/98/330057998.db2.gz UJUJPWWTGFIFHZ-UHFFFAOYSA-N 0 1 267.329 0.246 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NS(=O)(=O)CCN(CC)CC ZINC000451902411 331046810 /nfs/dbraw/zinc/04/68/10/331046810.db2.gz OKLQFDUXEJXTEF-OLZOCXBDSA-N 0 1 290.429 0.981 20 30 CCEDMN COCCN1CC[C@@H](NC(=O)N(C)CC(C)(C)C#N)C1 ZINC000458412875 331250916 /nfs/dbraw/zinc/25/09/16/331250916.db2.gz BXMRQIGXDJABNY-GFCCVEGCSA-N 0 1 282.388 0.898 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@H](C)N[C@@H]1COC[C@@H]1OC ZINC000459826482 331302296 /nfs/dbraw/zinc/30/22/96/331302296.db2.gz MDZKLCAYIZDZQH-XQQFMLRXSA-N 0 1 270.373 0.803 20 30 CCEDMN C#CCNC(=O)c1ccc2c(=O)[nH]c(=S)[nH]c2c1 ZINC000490539367 332090943 /nfs/dbraw/zinc/09/09/43/332090943.db2.gz LFGCARJUFNFKES-UHFFFAOYSA-N 0 1 259.290 0.987 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)CCc2ccccc2)CC1 ZINC000490740199 332110833 /nfs/dbraw/zinc/11/08/33/332110833.db2.gz JGQYQLSIXVKBOR-UHFFFAOYSA-N 0 1 299.418 0.938 20 30 CCEDMN C#CCN(CC#CC)CCCOC(=O)NC(N)=O ZINC000490922490 332129493 /nfs/dbraw/zinc/12/94/93/332129493.db2.gz FAFZHWHUFQHYQT-UHFFFAOYSA-N 0 1 251.286 0.140 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)COc1cnn(C)c1 ZINC000491106729 332150469 /nfs/dbraw/zinc/15/04/69/332150469.db2.gz YZJVJZSGNKFFNJ-GFCCVEGCSA-N 0 1 277.324 0.440 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1C[C@@H](C)O[C@@]2(CCOC2)C1 ZINC000491122598 332152873 /nfs/dbraw/zinc/15/28/73/332152873.db2.gz WWQSAYVTOFOPBA-OCCSQVGLSA-N 0 1 266.341 0.006 20 30 CCEDMN C#C[C@H](CC)NC(=O)N=c1[nH]n(C)cc1C(=O)OCC ZINC000491148489 332156872 /nfs/dbraw/zinc/15/68/72/332156872.db2.gz WDNDNKOUTMOOQI-SECBINFHSA-N 0 1 278.312 0.552 20 30 CCEDMN C#CCNC(=O)[C@H](C)ON=C(N)CN1[C@@H](C)CCC[C@@H]1C ZINC000491210139 332166762 /nfs/dbraw/zinc/16/67/62/332166762.db2.gz QLOKDTGWGSSRIR-AVGNSLFASA-N 0 1 294.399 0.886 20 30 CCEDMN C#CCN1CCN(C(=O)CN(C)C(C)(C)COC)CC1 ZINC000491360230 332196492 /nfs/dbraw/zinc/19/64/92/332196492.db2.gz DNNJFCCRATXJAI-UHFFFAOYSA-N 0 1 281.400 0.121 20 30 CCEDMN C#CCN(C(=O)C(=O)N1CCN[C@@H](C)C1)C1CCCCC1 ZINC000491428425 332209988 /nfs/dbraw/zinc/20/99/88/332209988.db2.gz OZCSWWQDWCIJPB-ZDUSSCGKSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1C(=O)C[C@H](N2CCC(OCC3CC3)CC2)C1=O ZINC000491636814 332257602 /nfs/dbraw/zinc/25/76/02/332257602.db2.gz VWXJHDCFSQSZQS-AWEZNQCLSA-N 0 1 290.363 0.638 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)C[C@@H]1CCC(=O)NC1 ZINC000491658513 332269526 /nfs/dbraw/zinc/26/95/26/332269526.db2.gz BVUPLNSHEAQDLK-QWHCGFSZSA-N 0 1 278.352 0.544 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)COC[C@H]1CCOC1 ZINC000491726793 332310210 /nfs/dbraw/zinc/31/02/10/332310210.db2.gz GJXRENBWIXBACL-UONOGXRCSA-N 0 1 281.352 0.680 20 30 CCEDMN C#CCN(CC(=O)Nc1nn[nH]n1)[C@H]1CCc2ccccc21 ZINC000491727954 332310823 /nfs/dbraw/zinc/31/08/23/332310823.db2.gz CPVNVPCSPKNXBH-ZDUSSCGKSA-N 0 1 296.334 0.761 20 30 CCEDMN C#CCNC(=O)C1CCN(Cc2n[nH]c(C(C)C)n2)CC1 ZINC000491764086 332332696 /nfs/dbraw/zinc/33/26/96/332332696.db2.gz CMMLRYVONHHQTQ-UHFFFAOYSA-N 0 1 289.383 0.890 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN(C)Cc1nnc[nH]1 ZINC000177135978 333053862 /nfs/dbraw/zinc/05/38/62/333053862.db2.gz UIJWNESHSOGXJW-JTQLQIEISA-N 0 1 264.333 0.245 20 30 CCEDMN C[C@H](C#N)CNC(=O)CN(C)c1ncnc2[nH]cnc21 ZINC000522015873 333230457 /nfs/dbraw/zinc/23/04/57/333230457.db2.gz YFCGCUNDFYRXAX-MRVPVSSYSA-N 0 1 273.300 0.065 20 30 CCEDMN C[C@@H]1CC[C@@H](C)N1CC(=O)N[C@]1(C#N)CCOC1 ZINC000547488338 334092998 /nfs/dbraw/zinc/09/29/98/334092998.db2.gz PFEXTBLRMQWXMF-WZRBSPASSA-N 0 1 251.330 0.658 20 30 CCEDMN CN(CC(=O)N[C@@]1(C#N)CCOC1)[C@@H]1CCSC1 ZINC000548140523 334142149 /nfs/dbraw/zinc/14/21/49/334142149.db2.gz DKXVXBKUUDSSFN-ZYHUDNBSSA-N 0 1 269.370 0.223 20 30 CCEDMN C[C@H](CO)N(CC(=O)NC1(C#N)CCCCC1)C[C@H](C)O ZINC000248860927 334319919 /nfs/dbraw/zinc/31/99/19/334319919.db2.gz AAXLEVMWOJJTBT-OLZOCXBDSA-N 0 1 297.399 0.393 20 30 CCEDMN C[C@H]1CCN(CC(=O)N(CCC#N)CCC#N)[C@H]1CO ZINC000248953369 334322940 /nfs/dbraw/zinc/32/29/40/334322940.db2.gz PXIKALRZMSQYIL-STQMWFEESA-N 0 1 278.356 0.345 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)N1CC[C@H](O)[C@H](C)C1 ZINC000249560058 334336685 /nfs/dbraw/zinc/33/66/85/334336685.db2.gz LHJZKLIAIHJGMB-UTUOFQBUSA-N 0 1 253.346 0.450 20 30 CCEDMN C=C[C@@H]1CCCCN1C(=O)NCC(=O)N1CCNCC1 ZINC000569086065 334854331 /nfs/dbraw/zinc/85/43/31/334854331.db2.gz GFZYVCBVBVGLHB-GFCCVEGCSA-N 0 1 280.372 0.168 20 30 CCEDMN CCOC(=O)CCCCCn1c(=O)[nH]cc(C#N)c1=O ZINC000576823730 335252204 /nfs/dbraw/zinc/25/22/04/335252204.db2.gz YTXQITDYTZUHDF-UHFFFAOYSA-N 0 1 279.296 0.532 20 30 CCEDMN CNC(=O)CCCN1CCN(c2ccc(C#N)cn2)CC1 ZINC000577046844 335289328 /nfs/dbraw/zinc/28/93/28/335289328.db2.gz MJMJOQPZJCSSTP-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN CC[C@H](CO)N(C)CC(=O)N(CCC#N)CCC#N ZINC000345836815 335338281 /nfs/dbraw/zinc/33/82/81/335338281.db2.gz OITWZEAGUSALHG-GFCCVEGCSA-N 0 1 266.345 0.345 20 30 CCEDMN CN(CCC#N)C[C@H](O)CNCc1cccc2c1OCO2 ZINC000577588956 335374379 /nfs/dbraw/zinc/37/43/79/335374379.db2.gz NEWXDJBOJBAHQH-CYBMUJFWSA-N 0 1 291.351 0.711 20 30 CCEDMN COc1ccc(CCNCCC#N)cc1S(N)(=O)=O ZINC000581246292 336031242 /nfs/dbraw/zinc/03/12/42/336031242.db2.gz OPWYYYUWRGVMSY-UHFFFAOYSA-N 0 1 283.353 0.388 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cncc(C#N)n2)[C@H](C)C1 ZINC000581307319 336044604 /nfs/dbraw/zinc/04/46/04/336044604.db2.gz MEBCKRGFXRNPKY-GHMZBOCLSA-N 0 1 261.329 0.240 20 30 CCEDMN C[C@@H]1CCNC(=O)[C@H]1NCc1cnc2c(C#N)cnn2c1 ZINC000581429822 336075940 /nfs/dbraw/zinc/07/59/40/336075940.db2.gz JUSMPJLYCKNDIN-SKDRFNHKSA-N 0 1 284.323 0.215 20 30 CCEDMN C[C@@H]1C[C@H](NS(=O)(=O)CC2(C#N)CC2)CN1C1CC1 ZINC000165953096 533959386 /nfs/dbraw/zinc/95/93/86/533959386.db2.gz ZQNUPUZIYKTQCF-MNOVXSKESA-N 0 1 283.397 0.835 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)N(C)C[C@@H]1CCOC1)CC2 ZINC000330182748 534702842 /nfs/dbraw/zinc/70/28/42/534702842.db2.gz HMXFVMKBBZOUKF-NWDGAFQWSA-N 0 1 293.371 0.784 20 30 CCEDMN Cc1nnc(NC[C@H]2CN(C)CCN2C)c(C#N)c1C ZINC000068808349 526371086 /nfs/dbraw/zinc/37/10/86/526371086.db2.gz ROJVMLYTKXBMKN-LBPRGKRZSA-N 0 1 274.372 0.623 20 30 CCEDMN C=CCCNC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC000665940706 545110299 /nfs/dbraw/zinc/11/02/99/545110299.db2.gz JZIMZOYUVLQENY-NEPJUHHUSA-N 0 1 255.362 0.659 20 30 CCEDMN C=CCNC(=O)CN1CCN(CCC(=C)C)CC1 ZINC000352097186 526537817 /nfs/dbraw/zinc/53/78/17/526537817.db2.gz OQHBRXQLICVKKJ-UHFFFAOYSA-N 0 1 251.374 0.872 20 30 CCEDMN C=CCNC(=O)CNCc1ccc2c(c1)oc(=O)n2C ZINC000352747993 526570525 /nfs/dbraw/zinc/57/05/25/526570525.db2.gz GLWBSGDITURIOP-UHFFFAOYSA-N 0 1 275.308 0.523 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@H]1CCCOC1 ZINC000352220032 526586055 /nfs/dbraw/zinc/58/60/55/526586055.db2.gz GMZXSUNPTCFMRS-JTQLQIEISA-N 0 1 255.318 0.109 20 30 CCEDMN C=CCOCCCNC(=O)N1C[C@@H](C)N(CCO)C[C@H]1C ZINC000666514529 545124510 /nfs/dbraw/zinc/12/45/10/545124510.db2.gz CBDNHYDTVLDHDE-ZIAGYGMSSA-N 0 1 299.415 0.676 20 30 CCEDMN C=C1CCN(C(=O)c2cc(S(N)(=O)=O)ccc2O)CC1 ZINC000668303512 545172163 /nfs/dbraw/zinc/17/21/63/545172163.db2.gz KIFKURNUCZXVBO-UHFFFAOYSA-N 0 1 296.348 0.832 20 30 CCEDMN CCN1CCN(CC(=O)N(C)CC(C)(C)C#N)CC1 ZINC000353210331 529136552 /nfs/dbraw/zinc/13/65/52/529136552.db2.gz YXYKWEPXMRVYGS-UHFFFAOYSA-N 0 1 266.389 0.632 20 30 CCEDMN C=C[C@@H](C)NC(=O)N[C@H]1CCN(CCN2CCOCC2)C1 ZINC000674934375 545319009 /nfs/dbraw/zinc/31/90/09/545319009.db2.gz ZEEQJISYNGEHDL-KGLIPLIRSA-N 0 1 296.415 0.267 20 30 CCEDMN COCC#CCN1CCN(CCOC(C)C)CC1 ZINC000677820478 545374009 /nfs/dbraw/zinc/37/40/09/545374009.db2.gz OVENPOSSVHABSZ-UHFFFAOYSA-N 0 1 254.374 0.679 20 30 CCEDMN CC#CCCNC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000682280414 545477047 /nfs/dbraw/zinc/47/70/47/545477047.db2.gz JHFWUZZNUSIXRJ-UHFFFAOYSA-N 0 1 297.318 0.735 20 30 CCEDMN CC(CS(C)(=O)=O)N=Nc1ncncc1Cl ZINC000780279256 581224009 /nfs/dbraw/zinc/22/40/09/581224009.db2.gz JJQSOEGDRCVFGC-UHFFFAOYSA-N 0 1 262.722 0.962 20 30 CCEDMN CC(=NNC1=NC[C@@H](C)N1)c1cn2ccccc2n1 ZINC000788438695 581111170 /nfs/dbraw/zinc/11/11/70/581111170.db2.gz MHEGVSHIZBNYBW-SECBINFHSA-N 0 1 256.313 0.996 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC([C@@H](O)c2ncc[nH]2)CC1 ZINC000788474638 581112730 /nfs/dbraw/zinc/11/27/30/581112730.db2.gz ZFIRCCBNILINIL-WCQYABFASA-N 0 1 290.367 0.293 20 30 CCEDMN C[C@H]1CN=C(NN=C2CCCc3[nH]c(=O)ccc32)N1 ZINC000779794394 581205510 /nfs/dbraw/zinc/20/55/10/581205510.db2.gz HKZASJXZDIAKDI-QMMMGPOBSA-N 0 1 259.313 0.765 20 30 CCEDMN Cc1nn(C)c(Cl)c1C=NNC1=NC[C@H](C)N1 ZINC000779799030 581206478 /nfs/dbraw/zinc/20/64/78/581206478.db2.gz IUXIKUSWQLRWTK-LURJTMIESA-N 0 1 254.725 0.653 20 30 CCEDMN Cc1nnc(NC(=O)[C@H](O)c2ccc(C#N)cc2)[nH]1 ZINC000780929756 581250025 /nfs/dbraw/zinc/25/00/25/581250025.db2.gz YVNLEKPXUMKDMF-SNVBAGLBSA-N 0 1 257.253 0.657 20 30 CCEDMN Cc1n[nH]c(NC(=O)[C@H](O)c2ccc(C#N)cc2)n1 ZINC000780929756 581250027 /nfs/dbraw/zinc/25/00/27/581250027.db2.gz YVNLEKPXUMKDMF-SNVBAGLBSA-N 0 1 257.253 0.657 20 30 CCEDMN C#CCCCCCC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000780932193 581250324 /nfs/dbraw/zinc/25/03/24/581250324.db2.gz LEINCSIFPLPCNS-LLVKDONJSA-N 0 1 277.328 0.683 20 30 CCEDMN N#Cc1ccc([C@@H](O)C(=O)NCc2cnc[nH]2)cc1 ZINC000780946725 581251401 /nfs/dbraw/zinc/25/14/01/581251401.db2.gz FWJSJKLQMYDVAE-GFCCVEGCSA-N 0 1 256.265 0.631 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@]2(C1)CCCOC2 ZINC000792938729 581276561 /nfs/dbraw/zinc/27/65/61/581276561.db2.gz DOBCLHPOYGBGMW-ZDUSSCGKSA-N 0 1 251.330 0.519 20 30 CCEDMN C[C@@H]1COC(=O)C1N=Nc1ccccc1S(N)(=O)=O ZINC000794937645 581316169 /nfs/dbraw/zinc/31/61/69/581316169.db2.gz ZJLIUQUWEFORNZ-SSDOTTSWSA-N 0 1 283.309 0.295 20 30 CCEDMN N#CCCNC(=O)CN1CCOC[C@H](C2CCC2)C1 ZINC000795286606 581324189 /nfs/dbraw/zinc/32/41/89/581324189.db2.gz LCVNQWJOUUKNDY-CYBMUJFWSA-N 0 1 265.357 0.765 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NCCCN(C(=O)[O-])C(C)C ZINC000738461445 581333718 /nfs/dbraw/zinc/33/37/18/581333718.db2.gz SEQINEOYXHZGOE-ZDUSSCGKSA-N 0 1 295.383 0.979 20 30 CCEDMN C#CCNC(=O)CN1CCC([C@@H]2CCOC2)CC1 ZINC000784341642 581380862 /nfs/dbraw/zinc/38/08/62/581380862.db2.gz LTLYXYFRFKSLSO-CYBMUJFWSA-N 0 1 250.342 0.484 20 30 CCEDMN N#CC(C(=O)COC(F)F)C(=O)NC1CCCC1 ZINC000796805477 581451246 /nfs/dbraw/zinc/45/12/46/581451246.db2.gz ZVCPCYARUKMCJQ-QMMMGPOBSA-N 0 1 260.240 0.993 20 30 CCEDMN C#C[C@H](NC(=O)[C@H]1CCCN1C1CC1)[C@H]1CCCO1 ZINC000787534698 581472060 /nfs/dbraw/zinc/47/20/60/581472060.db2.gz SHZPNRADMYVTRI-BFHYXJOUSA-N 0 1 262.353 0.910 20 30 CCEDMN CN1CCc2cc(C=NN[C@@H]3CCS(=O)(=O)C3)ccc21 ZINC000752712675 581513268 /nfs/dbraw/zinc/51/32/68/581513268.db2.gz CSKIMGHXTAMCKZ-CYBMUJFWSA-N 0 1 293.392 0.790 20 30 CCEDMN CCS(=O)(=O)C=C(C)NNc1nc(C)cc(=O)[nH]1 ZINC000733743474 581523467 /nfs/dbraw/zinc/52/34/67/581523467.db2.gz UEVBNBMSUGWDCS-UHFFFAOYSA-N 0 1 272.330 0.713 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@H+](C)[C@H](C)CNC(=O)[O-] ZINC000739405606 581547617 /nfs/dbraw/zinc/54/76/17/581547617.db2.gz XUXIOHVZUYTYQC-GHMZBOCLSA-N 0 1 284.360 0.582 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)C[N@@H+](C)[C@H](C)CNC(=O)[O-] ZINC000739405606 581547619 /nfs/dbraw/zinc/54/76/19/581547619.db2.gz XUXIOHVZUYTYQC-GHMZBOCLSA-N 0 1 284.360 0.582 20 30 CCEDMN C#CCOCCNC(=O)N1CCN(CC2CC2)CC1 ZINC000753381374 581575298 /nfs/dbraw/zinc/57/52/98/581575298.db2.gz KRCXOIHCWQFGKH-UHFFFAOYSA-N 0 1 265.357 0.373 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N[C@@H](CCO)c1cccs1 ZINC000754386619 581589943 /nfs/dbraw/zinc/58/99/43/581589943.db2.gz LAQYSHXEAZJMJW-MNOVXSKESA-N 0 1 266.366 0.899 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CC(C)C)CC1 ZINC000755425445 581639677 /nfs/dbraw/zinc/63/96/77/581639677.db2.gz IYPMQJSLFPVNNB-UHFFFAOYSA-N 0 1 252.358 0.827 20 30 CCEDMN CCC(=Nn1c(=O)c(C)n[nH]c1=S)c1nccn1C ZINC000755511896 581643377 /nfs/dbraw/zinc/64/33/77/581643377.db2.gz YBUKUIIPCMZQTD-UHFFFAOYSA-N 0 1 278.341 0.631 20 30 CCEDMN C#CCCCNC(=O)C(=O)Nc1cnn(-c2ccncc2)c1 ZINC000768727403 581653457 /nfs/dbraw/zinc/65/34/57/581653457.db2.gz OQBUSTIDHZGYQK-UHFFFAOYSA-N 0 1 297.318 0.735 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000736200129 581714253 /nfs/dbraw/zinc/71/42/53/581714253.db2.gz OIFWTFWYNPYWHD-MNOVXSKESA-N 0 1 267.329 0.544 20 30 CCEDMN C[N@@H+]1CCCC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)CC1 ZINC000758110036 581721521 /nfs/dbraw/zinc/72/15/21/581721521.db2.gz LRUSQHPGVVMVSJ-UHFFFAOYSA-N 0 1 275.316 0.316 20 30 CCEDMN C[N@H+]1CCCC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)CC1 ZINC000758110036 581721523 /nfs/dbraw/zinc/72/15/23/581721523.db2.gz LRUSQHPGVVMVSJ-UHFFFAOYSA-N 0 1 275.316 0.316 20 30 CCEDMN C#CCNC(=S)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000758189005 581725504 /nfs/dbraw/zinc/72/55/04/581725504.db2.gz LLYCZLIFWYUYIG-GFCCVEGCSA-N 0 1 269.414 0.441 20 30 CCEDMN CN(C)CC(=O)N[C@H]1CN(C(=O)OC(C)(C)C)C[C@@H]1C#N ZINC000758755829 581768007 /nfs/dbraw/zinc/76/80/07/581768007.db2.gz VFMPPIHNSBXWDK-QWRGUYRKSA-N 0 1 296.371 0.423 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CCN(C2CC2)[C@@H](C#N)C1 ZINC000732679271 581842075 /nfs/dbraw/zinc/84/20/75/581842075.db2.gz WQYHJVUUEYVVIV-KBPBESRZSA-N 0 1 276.384 0.669 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H](C(N)=O)C(C)C)CC1 ZINC000772110098 581843627 /nfs/dbraw/zinc/84/36/27/581843627.db2.gz ONGJTZPTYCZBOG-GFCCVEGCSA-N 0 1 266.341 0.385 20 30 CCEDMN C#CCCCN1CCN([C@@H](C)C(=O)NC2CC2)CC1 ZINC000766895208 581874016 /nfs/dbraw/zinc/87/40/16/581874016.db2.gz AENUNKJWNKNHSW-ZDUSSCGKSA-N 0 1 263.385 0.685 20 30 CCEDMN CN(CCO)c1ccc(C=NNCCS(C)(=O)=O)cc1 ZINC000733204244 581890873 /nfs/dbraw/zinc/89/08/73/581890873.db2.gz OSJMJWYYSXGDQC-UHFFFAOYSA-N 0 1 299.396 0.083 20 30 CCEDMN C#CCCNC(=O)NCCCCN1CCOCC1 ZINC000733341093 581901741 /nfs/dbraw/zinc/90/17/41/581901741.db2.gz LQKKTYKXXDTASB-UHFFFAOYSA-N 0 1 253.346 0.421 20 30 CCEDMN C[Si](C)(C)Cn1c(N)nc(-c2nn[nH]n2)c1C#N ZINC000737196538 581922368 /nfs/dbraw/zinc/92/23/68/581922368.db2.gz OUQHQNUJJGCXJC-UHFFFAOYSA-N 0 1 262.353 0.394 20 30 CCEDMN C[C@@H](C#N)NC(=O)CSc1n[nH]c(=S)s1 ZINC000747370014 581938082 /nfs/dbraw/zinc/93/80/82/581938082.db2.gz ZURBEQHAPRDFGX-BYPYZUCNSA-N 0 1 260.369 0.947 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)C1(F)CCCC1 ZINC000773977250 581950381 /nfs/dbraw/zinc/95/03/81/581950381.db2.gz VUSFTICMMCNAGZ-SECBINFHSA-N 0 1 256.277 0.740 20 30 CCEDMN C[C@H]1CC(N=Nc2nccc(N3CCOCC3)n2)CN1C ZINC000762602774 581953386 /nfs/dbraw/zinc/95/33/86/581953386.db2.gz IWUKDHAZPVYTRY-NSHDSACASA-N 0 1 290.371 0.805 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)CN2CCCNC2=O)c1 ZINC000748002760 581959452 /nfs/dbraw/zinc/95/94/52/581959452.db2.gz OTGDJKPBTPQTSX-UHFFFAOYSA-N 0 1 274.280 0.618 20 30 CCEDMN Cc1nc(-c2nn[nH]n2)c(N=NC2CCCOC2)o1 ZINC000737467417 581962257 /nfs/dbraw/zinc/96/22/57/581962257.db2.gz OBPSCLWULIQLOP-UHFFFAOYSA-N 0 1 263.261 0.741 20 30 CCEDMN C#C[C@@H](NC(=O)c1ccc2[nH]nnc2c1)[C@H]1CCCO1 ZINC000775342895 582019839 /nfs/dbraw/zinc/01/98/39/582019839.db2.gz WXQRSIYCBLWJKG-ZWNOBZJWSA-N 0 1 270.292 0.869 20 30 CCEDMN O=S1(=O)CC[C@@H](NN=Cc2cc(F)c(O)c(F)c2)C1 ZINC000749849975 582025230 /nfs/dbraw/zinc/02/52/30/582025230.db2.gz HWUANJNZHRYRAB-MRVPVSSYSA-N 0 1 290.291 0.781 20 30 CCEDMN C#C[C@@H](NCCn1cc([N+](=O)[O-])ccc1=O)[C@@H]1CCCO1 ZINC000775790963 582038047 /nfs/dbraw/zinc/03/80/47/582038047.db2.gz RHPPIMMLLVMUKA-OLZOCXBDSA-N 0 1 291.307 0.527 20 30 CCEDMN C#C[C@H](N[C@@H]1CCN(CC(F)(F)F)C1=O)[C@H]1CCCO1 ZINC000775792752 582038989 /nfs/dbraw/zinc/03/89/89/582038989.db2.gz ADMIRRTXBBRGPB-HBNTYKKESA-N 0 1 290.285 0.920 20 30 CCEDMN C#CCC[NH+]1CCN(C(=O)CCC(C)(C)NC(=O)[O-])CC1 ZINC000738457542 582052557 /nfs/dbraw/zinc/05/25/57/582052557.db2.gz QDVRJAGFVJFEOC-UHFFFAOYSA-N 0 1 295.383 0.980 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@H+](C)[C@H](C)CNC(=O)[O-] ZINC000738471113 582054372 /nfs/dbraw/zinc/05/43/72/582054372.db2.gz POLNEAIKPMNKQW-LLVKDONJSA-N 0 1 271.361 0.999 20 30 CCEDMN C=C(C)CN(CC)C(=O)C[N@@H+](C)[C@H](C)CNC(=O)[O-] ZINC000738471113 582054374 /nfs/dbraw/zinc/05/43/74/582054374.db2.gz POLNEAIKPMNKQW-LLVKDONJSA-N 0 1 271.361 0.999 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](CNC(=O)[O-])C1 ZINC000738496198 582056786 /nfs/dbraw/zinc/05/67/86/582056786.db2.gz UJFXXYRQYAFYKP-NXEZZACHSA-N 0 1 255.318 0.267 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@H](CNC(=O)[O-])C1 ZINC000738599402 582064858 /nfs/dbraw/zinc/06/48/58/582064858.db2.gz FDQYJHPDGDJIFP-WDEREUQCSA-N 0 1 282.344 0.336 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000738606059 582065490 /nfs/dbraw/zinc/06/54/90/582065490.db2.gz ZXAMHWWJSYBYDE-NXEZZACHSA-N 0 1 268.317 0.089 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](NC(=O)[O-])C1 ZINC000738606059 582065493 /nfs/dbraw/zinc/06/54/93/582065493.db2.gz ZXAMHWWJSYBYDE-NXEZZACHSA-N 0 1 268.317 0.089 20 30 CCEDMN O=[N+]([O-])c1ccc(O)cc1C=NN[C@@H]1CCS(=O)(=O)C1 ZINC000751127090 582070539 /nfs/dbraw/zinc/07/05/39/582070539.db2.gz HZPVXJYFEVUSKG-SECBINFHSA-N 0 1 299.308 0.411 20 30 CCEDMN CC(C)=C(C)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000751195410 582072372 /nfs/dbraw/zinc/07/23/72/582072372.db2.gz NDYIEFUMJNJZKS-WYMLVPIESA-N 0 1 277.324 0.985 20 30 CCEDMN N#Cc1ccc([C@@H](C(N)=O)[N@H+]2CC[C@@H](C(=O)[O-])C2)cc1 ZINC000739105393 582102608 /nfs/dbraw/zinc/10/26/08/582102608.db2.gz GTCMSZPNSPVXHO-NEPJUHHUSA-N 0 1 273.292 0.491 20 30 CCEDMN C=C(C)C[C@H](CO)NS(=O)(=O)N=S1(=O)CCCC1 ZINC000882847037 613358443 /nfs/dbraw/zinc/35/84/43/613358443.db2.gz ZUXVXGILYMLJNI-SNVBAGLBSA-N 0 1 296.414 0.410 20 30 CCEDMN CCCCCS(=O)(=O)CC(=O)NC1=NO[C@@H](C)C1 ZINC000765380336 614925688 /nfs/dbraw/zinc/92/56/88/614925688.db2.gz LTLOWAVRESPOBD-VIFPVBQESA-N 0 1 276.358 0.830 20 30 CCEDMN C[C@H]1CCC[N@@H+](CCNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820237748 597634908 /nfs/dbraw/zinc/63/49/08/597634908.db2.gz CXMLZFLJLJWUFK-SMDDNHRTSA-N 0 1 281.356 0.839 20 30 CCEDMN C#CCCN(CCOC)S(=O)(=O)CCN(CC)CC ZINC000851877677 612989648 /nfs/dbraw/zinc/98/96/48/612989648.db2.gz OMHRFLIPWXEYIH-UHFFFAOYSA-N 0 1 290.429 0.630 20 30 CCEDMN C#CCO[N-]C(=O)[C@H](CC)[N@@H+]1CCO[C@@H](CC)C1 ZINC000855606753 612992994 /nfs/dbraw/zinc/99/29/94/612992994.db2.gz PNCZPHOCAWKZGR-RYUDHWBXSA-N 0 1 254.330 0.557 20 30 CCEDMN C#CCO[N-]C(=O)[C@H](CC)[N@H+]1CCO[C@@H](CC)C1 ZINC000855606753 612992996 /nfs/dbraw/zinc/99/29/96/612992996.db2.gz PNCZPHOCAWKZGR-RYUDHWBXSA-N 0 1 254.330 0.557 20 30 CCEDMN N#CCNC1CC2(C1)CC(NC(=O)[C@@H]1CC1[N+](=O)[O-])C2 ZINC000963789326 649914046 /nfs/dbraw/zinc/91/40/46/649914046.db2.gz XWQYIFIOEVNWRF-GYEOWSFSSA-N 0 1 278.312 0.192 20 30 CCEDMN N#CCNC1CC2(C1)CC(NC(=O)c1[nH]ncc1F)C2 ZINC000964932250 649927120 /nfs/dbraw/zinc/92/71/20/649927120.db2.gz AGCSBKIUIJEGEP-UHFFFAOYSA-N 0 1 277.303 0.703 20 30 CCEDMN C[C@]1(C(=O)[O-])CC[N@H+]([C@@H](C(N)=O)c2ccc(C#N)cc2)C1 ZINC000828020577 601913773 /nfs/dbraw/zinc/91/37/73/601913773.db2.gz TVLWNBLFWSINCW-DOMZBBRYSA-N 0 1 287.319 0.881 20 30 CCEDMN C#CCSCCNC(=O)NCCN1CCC(O)CC1 ZINC000893128821 613016751 /nfs/dbraw/zinc/01/67/51/613016751.db2.gz RGYYGIJXJSIGAI-UHFFFAOYSA-N 0 1 285.413 0.109 20 30 CCEDMN C#CC1(F)CN(C(=O)c2n[nH]c(C)c2[N+](=O)[O-])C1 ZINC000881944552 612949333 /nfs/dbraw/zinc/94/93/33/612949333.db2.gz ONITYMSCGRHZDK-UHFFFAOYSA-N 0 1 252.205 0.424 20 30 CCEDMN C#CC1(O)CCN(C(=O)CN(C)[C@H]2CCSC2)CC1 ZINC000899117630 612950796 /nfs/dbraw/zinc/95/07/96/612950796.db2.gz HJHUFMFJVNFTDK-LBPRGKRZSA-N 0 1 282.409 0.410 20 30 CCEDMN C[C@@H]1CC[C@H](C)[N@@H+]1CC(=O)N1CCN(C(=O)[O-])C[C@@H]1C#N ZINC000825872287 604084694 /nfs/dbraw/zinc/08/46/94/604084694.db2.gz NKQSYYYRYPJXAC-TUAOUCFPSA-N 0 1 294.355 0.574 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NCc1n[nH]c(CC)n1 ZINC000875626455 613035613 /nfs/dbraw/zinc/03/56/13/613035613.db2.gz UJFLXEHDLTWZAN-VIFPVBQESA-N 0 1 265.317 0.205 20 30 CCEDMN C#CC[C@@H]1CCN(CC(=O)NCC(=O)NC(C)(C)C)C1 ZINC000886363082 613046943 /nfs/dbraw/zinc/04/69/43/613046943.db2.gz ZQTDTYLMMCUMKS-GFCCVEGCSA-N 0 1 279.384 0.363 20 30 CCEDMN C#C[C@@H](C)NS(=O)(=O)C[C@@]12CC[N@@H+](C1)CCC2 ZINC000915040360 613082159 /nfs/dbraw/zinc/08/21/59/613082159.db2.gz BZSKEVOUHNJYFI-NEPJUHHUSA-N 0 1 256.371 0.413 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCCC(=O)N2C)C1 ZINC000980289124 613082487 /nfs/dbraw/zinc/08/24/87/613082487.db2.gz MCTZPTSENKKIFX-OLZOCXBDSA-N 0 1 277.368 0.163 20 30 CCEDMN C#C[C@@H](CC)NC(=O)N1CCN(CC(C)(C)O)[C@H](C)C1 ZINC000821567370 613083239 /nfs/dbraw/zinc/08/32/39/613083239.db2.gz LJJNIFZPTICXEY-OLZOCXBDSA-N 0 1 281.400 0.885 20 30 CCEDMN C#CC1(O)CN(C(=O)[C@@H]2C[C@H](C)Cc3c[nH]nc32)C1 ZINC000890526031 612957873 /nfs/dbraw/zinc/95/78/73/612957873.db2.gz FUTXKQJSGIKXIO-MWLCHTKSSA-N 0 1 259.309 0.282 20 30 CCEDMN C=CC1(S(=O)(=O)[N-]C(=O)[C@H]([NH3+])CCC(C)C)CC1 ZINC000901059637 613451486 /nfs/dbraw/zinc/45/14/86/613451486.db2.gz RWOKFJLOIFZLIH-SNVBAGLBSA-N 0 1 274.386 0.915 20 30 CCEDMN C=CCN(CCOC)C(=O)[C@]1(C)C[C@@H](O)CN1C ZINC000907700241 613649976 /nfs/dbraw/zinc/64/99/76/613649976.db2.gz UPGIEBIFQSNNOT-YPMHNXCESA-N 0 1 256.346 0.103 20 30 CCEDMN C#CCCCCNC(=O)NCCN1CC[C@@H](O)C1 ZINC000875375421 612977939 /nfs/dbraw/zinc/97/79/39/612977939.db2.gz XBIFKQHXEWCQRP-GFCCVEGCSA-N 0 1 253.346 0.156 20 30 CCEDMN COc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1OC ZINC000834512541 617232808 /nfs/dbraw/zinc/23/28/08/617232808.db2.gz YLVODDFBKIGVEJ-WDEREUQCSA-N 0 1 275.308 0.545 20 30 CCEDMN COc1ccc(CC(=O)NCC#CCN(C)C)cc1O ZINC000913525383 617241120 /nfs/dbraw/zinc/24/11/20/617241120.db2.gz UZOQDNCAQOQDQK-UHFFFAOYSA-N 0 1 276.336 0.625 20 30 CCEDMN COc1ccc(CCC(=O)N[C@H]2CNC[C@@H]2C#N)cc1F ZINC000834516442 617245005 /nfs/dbraw/zinc/24/50/05/617245005.db2.gz IQJNMKMJOFCANF-AAEUAGOBSA-N 0 1 291.326 0.995 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(C2CN(CCCF)C2)CC1 ZINC000941347841 617290402 /nfs/dbraw/zinc/29/04/02/617290402.db2.gz DQANEVNZEBNJEN-LBPRGKRZSA-N 0 1 282.363 0.334 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H](NC(=O)[C@@H]2CCCCN2C)C1 ZINC000942200894 617291390 /nfs/dbraw/zinc/29/13/90/617291390.db2.gz KQLDFSUPFYQTDF-XQQFMLRXSA-N 0 1 292.383 0.347 20 30 CCEDMN COc1cccc(CC(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834512145 617295733 /nfs/dbraw/zinc/29/57/33/617295733.db2.gz SESUJVNBWZNJMK-AAEUAGOBSA-N 0 1 259.309 0.465 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2ccc3cncn3c2)CC1 ZINC000899119271 612951885 /nfs/dbraw/zinc/95/18/85/612951885.db2.gz KBTXEAJGQIYYNC-UHFFFAOYSA-N 0 1 269.304 0.935 20 30 CCEDMN C#CC1CCN(C(=O)N[C@H]2CCN(CCOC)C2)CC1 ZINC000826046290 612963944 /nfs/dbraw/zinc/96/39/44/612963944.db2.gz NMSIYHLXVGHOSQ-AWEZNQCLSA-N 0 1 279.384 0.762 20 30 CCEDMN C#CC1CCN(CC(=O)NC[C@@H]2CCCO2)CC1 ZINC000830305390 612964472 /nfs/dbraw/zinc/96/44/72/612964472.db2.gz MXMAKXMFFWQIII-ZDUSSCGKSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN[C@@H]1c2ccccc2C[C@H]1NC(=O)Cc1c[nH]cn1 ZINC000960314460 612971026 /nfs/dbraw/zinc/97/10/26/612971026.db2.gz YSBVYVUTERABKY-NVXWUHKLSA-N 0 1 294.358 0.957 20 30 CCEDMN C#CCC1(O)CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000880479412 612974026 /nfs/dbraw/zinc/97/40/26/612974026.db2.gz UFUAEWOIIBRMGO-CYBMUJFWSA-N 0 1 277.368 0.597 20 30 CCEDMN C#CCCCCCCN1CCN(CC(=O)NCC#C)CC1 ZINC000876687108 612976674 /nfs/dbraw/zinc/97/66/74/612976674.db2.gz FPNYERSZYMAQDO-UHFFFAOYSA-N 0 1 289.423 0.937 20 30 CCEDMN C#CCCCCCN1CCN(CCS(N)(=O)=O)CC1 ZINC000878260679 612976925 /nfs/dbraw/zinc/97/69/25/612976925.db2.gz ZSFCKGXXBXNGQY-UHFFFAOYSA-N 0 1 287.429 0.086 20 30 CCEDMN C#CCOCCNC(=O)C1CCC(N2CCOCC2)CC1 ZINC000907343700 612985346 /nfs/dbraw/zinc/98/53/46/612985346.db2.gz CVMZTHMRHXBHLI-UHFFFAOYSA-N 0 1 294.395 0.643 20 30 CCEDMN C#CCO[N-]C(=O)[C@H](Cc1cnc[nH]1)NC(=O)CC(C)C ZINC000812784055 612993092 /nfs/dbraw/zinc/99/30/92/612993092.db2.gz SHWJOEDQKIOKPU-LBPRGKRZSA-N 0 1 292.339 0.164 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000823297900 613001887 /nfs/dbraw/zinc/00/18/87/613001887.db2.gz LFBYIUYKAOLMJE-HUUCEWRRSA-N 0 1 295.427 0.413 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC000982944617 613005485 /nfs/dbraw/zinc/00/54/85/613005485.db2.gz NPQRDIHIBXHBPS-UHFFFAOYSA-N 0 1 288.351 0.285 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@H]2OCC[C@H]2C)CC1 ZINC000981984957 613005564 /nfs/dbraw/zinc/00/55/64/613005564.db2.gz GDRICHUDVNNVMI-KGLIPLIRSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cccn3nnnc23)CC1 ZINC000981244263 613006271 /nfs/dbraw/zinc/00/62/71/613006271.db2.gz XTUVOZVKIPANSF-UHFFFAOYSA-N 0 1 298.350 0.296 20 30 CCEDMN C#CCCN1CCCN(C(=O)c2cnnn2CC)CC1 ZINC000981109725 613006792 /nfs/dbraw/zinc/00/67/92/613006792.db2.gz MWHOZVWKQICWDK-UHFFFAOYSA-N 0 1 275.356 0.469 20 30 CCEDMN C#CCOc1ccccc1CNC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000839682593 613008041 /nfs/dbraw/zinc/00/80/41/613008041.db2.gz GMHBWHOFQDBPPP-VXGBXAGGSA-N 0 1 274.276 0.980 20 30 CCEDMN C#CCCN1CCN(C(=O)[C@H]2CCc3nc[nH]c3C2)CC1 ZINC000825077592 613010342 /nfs/dbraw/zinc/01/03/42/613010342.db2.gz BPQBHWPNMRUMDX-ZDUSSCGKSA-N 0 1 286.379 0.682 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2cnn(CC)n2)C1 ZINC000965973700 613014816 /nfs/dbraw/zinc/01/48/16/613014816.db2.gz FCJYOVHHMCUZNJ-OCCSQVGLSA-N 0 1 289.383 0.762 20 30 CCEDMN C#CCCN1CC[C@@H](C)[C@@H](NC(=O)c2ncccn2)C1 ZINC000968450412 613014908 /nfs/dbraw/zinc/01/49/08/613014908.db2.gz UMWXGQISTTZPSG-OLZOCXBDSA-N 0 1 272.352 0.940 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1cc(F)cc(F)c1O ZINC000936064244 613029015 /nfs/dbraw/zinc/02/90/15/613029015.db2.gz ZNOKTUPFNSCBHJ-QMMMGPOBSA-N 0 1 255.220 0.784 20 30 CCEDMN C#CC[C@H](CCOC)N1C(=O)N[C@@H](Cc2cnc[nH]2)C1=O ZINC000863538905 613048786 /nfs/dbraw/zinc/04/87/86/613048786.db2.gz SMAGQXIOSYNBBF-NEPJUHHUSA-N 0 1 290.323 0.301 20 30 CCEDMN C#CCCOC(=O)NC1CCN([C@@H]2CCN(C)C2=O)CC1 ZINC000867442242 613049954 /nfs/dbraw/zinc/04/99/54/613049954.db2.gz YOAWZBNJERZYPM-CYBMUJFWSA-N 0 1 293.367 0.431 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1cn[nH]c1-c1ccccn1 ZINC000811493641 613063300 /nfs/dbraw/zinc/06/33/00/613063300.db2.gz MDFSWNYKKKYOBG-NSHDSACASA-N 0 1 284.319 0.976 20 30 CCEDMN C#CCC[C@H](O)CNC(=O)c1cccc2nc(CO)[nH]c21 ZINC000907976863 613063779 /nfs/dbraw/zinc/06/37/79/613063779.db2.gz AXOVLNJZWCRMLG-JTQLQIEISA-N 0 1 287.319 0.559 20 30 CCEDMN C#CCN(C(=O)N[C@@H]1CCN(CCOC)C1)C(C)C ZINC000919636527 613067789 /nfs/dbraw/zinc/06/77/89/613067789.db2.gz XPGBQNYAOKHHBT-CYBMUJFWSA-N 0 1 267.373 0.760 20 30 CCEDMN C#CC[C@H](NC(=O)[C@H]1CCc2[nH]cnc2C1)C(=O)OC ZINC000854909757 613069794 /nfs/dbraw/zinc/06/97/94/613069794.db2.gz HWWPLOYKWYETQG-ONGXEEELSA-N 0 1 275.308 0.196 20 30 CCEDMN C#CCN(C)CCCNC(=O)c1cn(C)ccc1=O ZINC000820679262 613078467 /nfs/dbraw/zinc/07/84/67/613078467.db2.gz BUUXZYDRNGUSNZ-UHFFFAOYSA-N 0 1 261.325 0.070 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cc(CC)nn2C)C1 ZINC000971991458 613081198 /nfs/dbraw/zinc/08/11/98/613081198.db2.gz OTAKTXNWNMFPKC-CQSZACIVSA-N 0 1 288.395 0.691 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2c(C)nn(C)c2C)C1 ZINC000972042860 613081204 /nfs/dbraw/zinc/08/12/04/613081204.db2.gz SQELLUBWSUKMGO-CQSZACIVSA-N 0 1 288.395 0.745 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CC(=O)N(C(C)C)C2)C1 ZINC000971633840 613082268 /nfs/dbraw/zinc/08/22/68/613082268.db2.gz QTSIKPNYXNWVGN-ZIAGYGMSSA-N 0 1 291.395 0.409 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@]2(C)CCC(=O)NC2)C1 ZINC000972146941 613084508 /nfs/dbraw/zinc/08/45/08/613084508.db2.gz GKSLFLGQPXOQCX-IUODEOHRSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnn3cc[nH]c23)C1 ZINC000980269770 613087686 /nfs/dbraw/zinc/08/76/86/613087686.db2.gz JYMCNYGGRJXRQK-LLVKDONJSA-N 0 1 271.324 0.442 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H](OC)C2CCC2)C1 ZINC000972027615 613091210 /nfs/dbraw/zinc/09/12/10/613091210.db2.gz UHUWOSNFQHTHRX-KBPBESRZSA-N 0 1 264.369 0.967 20 30 CCEDMN C#C[C@@H](NC(=O)NCc1n[nH]c(C)n1)C1CCOCC1 ZINC000864266294 613096872 /nfs/dbraw/zinc/09/68/72/613096872.db2.gz HMMMHAFCFMPMFH-LLVKDONJSA-N 0 1 277.328 0.341 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cnn3cccnc23)C1 ZINC000972060348 613096918 /nfs/dbraw/zinc/09/69/18/613096918.db2.gz CMEPDWJAOCWSHA-LBPRGKRZSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2cncnc2C)C1 ZINC000971143723 613096933 /nfs/dbraw/zinc/09/69/33/613096933.db2.gz XBJCJTYLOMFATB-LBPRGKRZSA-N 0 1 258.325 0.565 20 30 CCEDMN C#CCN(CC#CC)C(=O)C1CN([C@@H]2CCOC2)C1 ZINC000900855760 613099595 /nfs/dbraw/zinc/09/95/95/613099595.db2.gz KGUBJPCXMLFRDE-CQSZACIVSA-N 0 1 260.337 0.192 20 30 CCEDMN C#C[C@@H](NCc1ccc(S(N)(=O)=O)cc1)[C@H]1CCCO1 ZINC000877418384 613099919 /nfs/dbraw/zinc/09/99/19/613099919.db2.gz YCUSSZSEGOYKSN-ZIAGYGMSSA-N 0 1 294.376 0.604 20 30 CCEDMN COc1ccccc1NC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000841001882 617316293 /nfs/dbraw/zinc/31/62/93/617316293.db2.gz XTAQZMABOVZLPE-ONGXEEELSA-N 0 1 260.297 0.928 20 30 CCEDMN C#CC[N@H+](CCc1cn(CC(=O)[O-])nn1)C1CCCC1 ZINC000833364370 613107686 /nfs/dbraw/zinc/10/76/86/613107686.db2.gz KTRZYNYFDOMKER-UHFFFAOYSA-N 0 1 276.340 0.783 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)NC[C@@H]1CCN1CC ZINC000890059707 613109815 /nfs/dbraw/zinc/10/98/15/613109815.db2.gz LDWDILMFSUOEJQ-NEPJUHHUSA-N 0 1 251.330 0.124 20 30 CCEDMN C#C[C@H](CCC)NC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897553513 613122363 /nfs/dbraw/zinc/12/23/63/613122363.db2.gz QAJZRQFUKLZBKX-CHWSQXEVSA-N 0 1 250.342 0.625 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](O)[C@H]2C)CC1 ZINC000899746698 613128546 /nfs/dbraw/zinc/12/85/46/613128546.db2.gz HGXHSOCYERERII-TZMCWYRMSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCO[C@H](CCF)C2)CC1 ZINC000863078068 613129138 /nfs/dbraw/zinc/12/91/38/613129138.db2.gz PCFXKOIKCUHTNH-CQSZACIVSA-N 0 1 282.359 0.919 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@](C)(NC(C)=O)C2)CC1 ZINC000956615954 613129470 /nfs/dbraw/zinc/12/94/70/613129470.db2.gz YWLSFJIZPQLTQB-INIZCTEOSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(C(=O)OCC)CCC2)CC1 ZINC000928655014 613132311 /nfs/dbraw/zinc/13/23/11/613132311.db2.gz PYNNEHGMHFBARA-UHFFFAOYSA-N 0 1 292.379 0.934 20 30 CCEDMN C#CCN1CCC(NC(=O)/C=C\c2cnn(C)c2)CC1 ZINC000928658756 613132554 /nfs/dbraw/zinc/13/25/54/613132554.db2.gz PVEKODFJBLCOIP-PLNGDYQASA-N 0 1 272.352 0.647 20 30 CCEDMN C#C[C@H](NC(=O)CN(C)[C@H]1CCSC1)C1CCOCC1 ZINC000856107432 613133085 /nfs/dbraw/zinc/13/30/85/613133085.db2.gz NRLGBCSSGGMHBD-KBPBESRZSA-N 0 1 296.436 0.968 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ccccc2CO)CC1 ZINC000928652565 613133250 /nfs/dbraw/zinc/13/32/50/613133250.db2.gz CTVSOVTWZCLGQW-UHFFFAOYSA-N 0 1 286.375 0.935 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC[C@H](COC)OC)CC1 ZINC000923182882 613133800 /nfs/dbraw/zinc/13/38/00/613133800.db2.gz GVGQTAUSVYQFKW-CQSZACIVSA-N 0 1 297.399 0.435 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H](CC)OC)CC1 ZINC000922542914 613134319 /nfs/dbraw/zinc/13/43/19/613134319.db2.gz GSNHMTGZDGXGJR-ZDUSSCGKSA-N 0 1 267.373 0.808 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@]2(C)CCOC2)CC1 ZINC000918491146 613134616 /nfs/dbraw/zinc/13/46/16/613134616.db2.gz UAADLLXHUKQOCX-OAHLLOKOSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H]2CCO[C@H](C)C2)CC1 ZINC000921754188 613134986 /nfs/dbraw/zinc/13/49/86/613134986.db2.gz KGJFHHOEUHNXAX-TZMCWYRMSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)[C@H](C)OC)CC1 ZINC000922540140 613135421 /nfs/dbraw/zinc/13/54/21/613135421.db2.gz GOUKXSHDUWDDMP-NEPJUHHUSA-N 0 1 267.373 0.807 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2COC(C)(C)C2)CC1 ZINC000923175416 613135440 /nfs/dbraw/zinc/13/54/40/613135440.db2.gz URHWVELZBTYRKP-CYBMUJFWSA-N 0 1 279.384 0.951 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](O)C(C)(C)C)CC1 ZINC000928654608 613136146 /nfs/dbraw/zinc/13/61/46/613136146.db2.gz JCINNALSHSAHMK-LBPRGKRZSA-N 0 1 252.358 0.607 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](CC)C(=O)OC)CC1 ZINC000928652879 613136158 /nfs/dbraw/zinc/13/61/58/613136158.db2.gz PYEQNLFABSENLT-LBPRGKRZSA-N 0 1 266.341 0.399 20 30 CCEDMN C#C[C@H](NC(=O)N1CCNC[C@H]1C(C)C)[C@H]1CCCO1 ZINC000861618773 613136168 /nfs/dbraw/zinc/13/61/68/613136168.db2.gz UJGLBYZKPLSNBJ-MELADBBJSA-N 0 1 279.384 0.807 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](O)c2cccnc2)CC1 ZINC000928653694 613136760 /nfs/dbraw/zinc/13/67/60/613136760.db2.gz WYSMYGYYSQOUJN-CQSZACIVSA-N 0 1 273.336 0.329 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cc(OC)n(C)n2)CC1 ZINC000928652783 613137239 /nfs/dbraw/zinc/13/72/39/613137239.db2.gz MKUFSMGOUMGWMT-UHFFFAOYSA-N 0 1 276.340 0.256 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnn(C(C)C)c2N)CC1 ZINC000928653635 613137537 /nfs/dbraw/zinc/13/75/37/613137537.db2.gz TYQZSWSCWWZDNJ-UHFFFAOYSA-N 0 1 289.383 0.874 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cnc(N(C)C)cn2)CC1 ZINC000928655087 613137716 /nfs/dbraw/zinc/13/77/16/613137716.db2.gz QVKAIQDSTVNIPJ-UHFFFAOYSA-N 0 1 287.367 0.370 20 30 CCEDMN C#CCN1CCC(NC(=O)c2noc(COC)n2)CC1 ZINC000902946447 613138141 /nfs/dbraw/zinc/13/81/41/613138141.db2.gz YAJMBIIRFJDKTG-UHFFFAOYSA-N 0 1 278.312 0.043 20 30 CCEDMN C#CCN1CCC(NC(=O)c2nnc(C)s2)CC1 ZINC000902940534 613138347 /nfs/dbraw/zinc/13/83/47/613138347.db2.gz SIOQDRCNPIEQIQ-UHFFFAOYSA-N 0 1 264.354 0.674 20 30 CCEDMN C#CCN1CCC2(CC1)CN(C(=O)[C@H]1CCOC1)CCO2 ZINC000949044898 613140145 /nfs/dbraw/zinc/14/01/45/613140145.db2.gz BMTLSFFITJHSCV-AWEZNQCLSA-N 0 1 292.379 0.350 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)CCn3cncn3)C2)C1 ZINC000981627825 613140705 /nfs/dbraw/zinc/14/07/05/613140705.db2.gz PPORLNHHGIVCJT-UHFFFAOYSA-N 0 1 287.367 0.226 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)C3=COCCO3)C2)C1 ZINC000981602375 613140855 /nfs/dbraw/zinc/14/08/55/613140855.db2.gz KKOFJRRURMXSLQ-UHFFFAOYSA-N 0 1 276.336 0.432 20 30 CCEDMN C#C[C@H](NC(=O)NC[C@H]1CCN1CC)[C@H]1CCCO1 ZINC000883580844 613141422 /nfs/dbraw/zinc/14/14/22/613141422.db2.gz KRJILSUIENUGNV-FRRDWIJNSA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3C[C@@H](C)CO3)C2)C1 ZINC000981902836 613143259 /nfs/dbraw/zinc/14/32/59/613143259.db2.gz IDHSJZIMJXIUIE-ZIAGYGMSSA-N 0 1 276.380 0.969 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1CCCN1C)C1CCOCC1 ZINC000856109276 613145729 /nfs/dbraw/zinc/14/57/29/613145729.db2.gz PBXQZAWYOOTGBC-STQMWFEESA-N 0 1 250.342 0.625 20 30 CCEDMN C#C[C@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)[C@H]1CCCO1 ZINC000832417528 613145769 /nfs/dbraw/zinc/14/57/69/613145769.db2.gz HLPFMMBNCJKXJK-JFGNBEQYSA-N 0 1 274.324 0.522 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@@H]1CCNC(=O)C1 ZINC000968812024 613146005 /nfs/dbraw/zinc/14/60/05/613146005.db2.gz IQULQVQVAIJGEM-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)/C=C/c2cnccn2)C1 ZINC000923583792 613165300 /nfs/dbraw/zinc/16/53/00/613165300.db2.gz CYOQJXBGCRAXST-VBROQKIQSA-N 0 1 270.336 0.704 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCOC[C@H]2c2nn[nH]n2)cc1 ZINC000913499364 613171184 /nfs/dbraw/zinc/17/11/84/613171184.db2.gz OFUHKTXUIGQJON-LBPRGKRZSA-N 0 1 283.291 0.395 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@H](c3[nH]ncc3N)C2)nc1 ZINC000907401098 613174559 /nfs/dbraw/zinc/17/45/59/613174559.db2.gz AGWKJVIOIOYUBQ-NSHDSACASA-N 0 1 281.319 0.998 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@@H](CC)NC(C)=O ZINC000870195287 613176736 /nfs/dbraw/zinc/17/67/36/613176736.db2.gz QVCWUMXESKUGGR-CHWSQXEVSA-N 0 1 266.341 0.542 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)[C@H](C)CC(=O)[O-] ZINC000833364236 613176849 /nfs/dbraw/zinc/17/68/49/613176849.db2.gz HYAKCDHCZZTCMO-GHMZBOCLSA-N 0 1 253.298 0.738 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)/C=C/[C@@H]2CCOC2)C1 ZINC000923556026 613180719 /nfs/dbraw/zinc/18/07/19/613180719.db2.gz BGPREOZALNZABR-IHDARNGBSA-N 0 1 262.353 0.793 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2[C@H]3CN(CCF)C[C@H]32)cn1 ZINC000979013766 613182057 /nfs/dbraw/zinc/18/20/57/613182057.db2.gz GAVPFKRLOCFHAP-QKDCVEJESA-N 0 1 287.338 0.940 20 30 CCEDMN C#Cc1ccc(NC[C@H](O)CN2CCN(C)CC2)cc1 ZINC000899918033 613195762 /nfs/dbraw/zinc/19/57/62/613195762.db2.gz HQSVVTDVZZPJII-INIZCTEOSA-N 0 1 273.380 0.688 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCc1n[nH]c(COC)n1 ZINC000855517352 613206388 /nfs/dbraw/zinc/20/63/88/613206388.db2.gz QPXIMJLTFUMGRD-UHFFFAOYSA-N 0 1 284.319 0.791 20 30 CCEDMN C#Cc1ccccc1CC(=O)NCc1nnc(COC)[nH]1 ZINC000855517352 613206390 /nfs/dbraw/zinc/20/63/90/613206390.db2.gz QPXIMJLTFUMGRD-UHFFFAOYSA-N 0 1 284.319 0.791 20 30 CCEDMN C#Cc1cccnc1N1CCN(C(C)(C)CO)CC1 ZINC000853339266 613208438 /nfs/dbraw/zinc/20/84/38/613208438.db2.gz ZSIBCNRAJZPVJG-UHFFFAOYSA-N 0 1 259.353 0.956 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966000671 613214479 /nfs/dbraw/zinc/21/44/79/613214479.db2.gz QRUMWVLHNMPBOU-PWSUYJOCSA-N 0 1 275.356 0.115 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC000965755143 613217922 /nfs/dbraw/zinc/21/79/22/613217922.db2.gz CIOFPQCYDIPAQG-DGCLKSJQSA-N 0 1 260.341 0.412 20 30 CCEDMN C#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC000966557242 613220215 /nfs/dbraw/zinc/22/02/15/613220215.db2.gz CAXMFAGZKPYHDE-ZWNOBZJWSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](C)[C@H](NC(=O)c2ccc3nn[n-]c3n2)C1 ZINC000966557242 613220217 /nfs/dbraw/zinc/22/02/17/613220217.db2.gz CAXMFAGZKPYHDE-ZWNOBZJWSA-N 0 1 298.350 0.426 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H](C)n2cncn2)C(C)(C)C1 ZINC000940692658 613229717 /nfs/dbraw/zinc/22/97/17/613229717.db2.gz CVHREKALPLAFPU-QWHCGFSZSA-N 0 1 289.383 0.689 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cc(OC)ncn2)[C@H](C)C1 ZINC000942057813 613233757 /nfs/dbraw/zinc/23/37/57/613233757.db2.gz PPAMSILOSIUTFF-VXGBXAGGSA-N 0 1 288.351 0.559 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cncnc2)[C@@H](C)C1 ZINC000941974903 613241388 /nfs/dbraw/zinc/24/13/88/613241388.db2.gz HEMQFPSQHLGWCZ-WCQYABFASA-N 0 1 258.325 0.550 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnnn2C)C(C)(C)C1 ZINC000940722775 613241923 /nfs/dbraw/zinc/24/19/23/613241923.db2.gz ZXJSTVAMGARCBR-GFCCVEGCSA-N 0 1 275.356 0.279 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cnsn2)C[C@H]1C ZINC000947080114 613242779 /nfs/dbraw/zinc/24/27/79/613242779.db2.gz HBPZSYZHTKQKDJ-NXEZZACHSA-N 0 1 264.354 0.754 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2csnn2)C[C@@H]1C ZINC000947571815 613243247 /nfs/dbraw/zinc/24/32/47/613243247.db2.gz IDANDLYZHARIAH-VHSXEESVSA-N 0 1 264.354 0.754 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C[C@H]1C ZINC000947205398 613243493 /nfs/dbraw/zinc/24/34/93/613243493.db2.gz PUMCFFZPRSKGBK-MWLCHTKSSA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCN1CC[C@H](C)[C@@H](NC(=O)Cn2nc(C)cc2C)C1 ZINC000967282881 613247514 /nfs/dbraw/zinc/24/75/14/613247514.db2.gz CJGCVSBQASWXIM-WFASDCNBSA-N 0 1 288.395 0.960 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2COC(=O)C2)C(C)(C)C1 ZINC000940678655 613259368 /nfs/dbraw/zinc/25/93/68/613259368.db2.gz VSBDOYCGCNYDTB-OLZOCXBDSA-N 0 1 292.379 0.790 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn(CC)n2)[C@@H](C)C1 ZINC000943302098 613272171 /nfs/dbraw/zinc/27/21/71/613272171.db2.gz FAMYCQRYLGYURI-STQMWFEESA-N 0 1 274.368 0.976 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccn3nnnc3c2)C[C@@H]1C ZINC000947615131 613272202 /nfs/dbraw/zinc/27/22/02/613272202.db2.gz FLHZXVHQNIZSOO-AAEUAGOBSA-N 0 1 298.350 0.340 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn(C)nn2)C[C@H]1C ZINC000947261551 613273373 /nfs/dbraw/zinc/27/33/73/613273373.db2.gz KOPRIDZZFZPFJO-MNOVXSKESA-N 0 1 261.329 0.031 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnns2)[C@H](C)C1 ZINC000941853632 613275904 /nfs/dbraw/zinc/27/59/04/613275904.db2.gz ICUVAHAPPTYTKE-ZJUUUORDSA-N 0 1 264.354 0.612 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccnc2N)[C@H](C)C1 ZINC000941903919 613278247 /nfs/dbraw/zinc/27/82/47/613278247.db2.gz HLJMTNODMQLLOP-MNOVXSKESA-N 0 1 273.340 0.132 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@H]2COC(=O)C2)C(C)(C)C1 ZINC000973039796 613280522 /nfs/dbraw/zinc/28/05/22/613280522.db2.gz ZLZXDTZXYQSIIS-VXGBXAGGSA-N 0 1 278.352 0.399 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc[nH]c2CCC)C1 ZINC000958335419 613286107 /nfs/dbraw/zinc/28/61/07/613286107.db2.gz XBLXCGJAVOKROQ-SWLSCSKDSA-N 0 1 289.379 0.623 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc(F)c2)C1 ZINC000957826259 613286517 /nfs/dbraw/zinc/28/65/17/613286517.db2.gz OHPJRHLPGRQEFR-GXTWGEPZSA-N 0 1 276.311 0.481 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2ccc(F)c(F)c2)[C@H](O)C1 ZINC000958138654 613288997 /nfs/dbraw/zinc/28/89/97/613288997.db2.gz HRVXYOUPHYGBEH-BXUZGUMPSA-N 0 1 294.301 0.621 20 30 CCEDMN C=C(C)CN(CC)C(=O)CN(CC)CCC(N)=O ZINC000932482328 613293001 /nfs/dbraw/zinc/29/30/01/613293001.db2.gz SGVWAUNFKJRYAQ-UHFFFAOYSA-N 0 1 255.362 0.608 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc3n[nH]cc3c2)C1 ZINC000958351016 613294916 /nfs/dbraw/zinc/29/49/16/613294916.db2.gz WSYRURYXTKSOAX-ZFWWWQNUSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(Cl)o2)C1 ZINC000957881793 613295007 /nfs/dbraw/zinc/29/50/07/613295007.db2.gz FXPIHGPTMZKOAT-UWVGGRQHSA-N 0 1 282.727 0.589 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cnn(C)c2C)C(C)(C)C1 ZINC000974662316 613297964 /nfs/dbraw/zinc/29/79/64/613297964.db2.gz GZWMBBUFDWTKKG-AWEZNQCLSA-N 0 1 288.395 0.731 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2ccn(C)c(=O)c2)C(C)(C)C1 ZINC000974758681 613300807 /nfs/dbraw/zinc/30/08/07/613300807.db2.gz WODQSAIAJMDMCZ-ZDUSSCGKSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cc(Cl)c[nH]2)C1 ZINC000957869226 613303030 /nfs/dbraw/zinc/30/30/30/613303030.db2.gz LTMCVJBKLDLOJZ-SKDRFNHKSA-N 0 1 281.743 0.324 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(C=C)cc2)C1 ZINC000958425522 613303100 /nfs/dbraw/zinc/30/31/00/613303100.db2.gz ZFVXSTONVVWELH-CVEARBPZSA-N 0 1 284.359 0.985 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2scnc2CC)C1 ZINC000958125667 613304564 /nfs/dbraw/zinc/30/45/64/613304564.db2.gz ACFKIHNIOIIMIQ-PWSUYJOCSA-N 0 1 293.392 0.361 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2CCNC2=O)CC1 ZINC000981749686 613310984 /nfs/dbraw/zinc/31/09/84/613310984.db2.gz GAYBMLWXDUPGHH-LBPRGKRZSA-N 0 1 265.357 0.233 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1cn(C)nc1Cl ZINC000961754994 613314485 /nfs/dbraw/zinc/31/44/85/613314485.db2.gz GJBNRCVKUDDQPG-PJXYFTJBSA-N 0 1 292.770 0.296 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc(F)cn1 ZINC000960593266 613314589 /nfs/dbraw/zinc/31/45/89/613314589.db2.gz PJPGDESQDUUSIR-NHAGDIPZSA-N 0 1 273.311 0.443 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)n1nc(C)cc1C ZINC000962963363 613315189 /nfs/dbraw/zinc/31/51/89/613315189.db2.gz PAADGWBJAWTJGI-KBXIAJHMSA-N 0 1 286.379 0.741 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCO[C@H]1C=C ZINC000959943563 613315520 /nfs/dbraw/zinc/31/55/20/613315520.db2.gz DXXNRQDPCIPTOL-MRLBHPIUSA-N 0 1 274.364 0.647 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCc2c[nH]nc21 ZINC000959916890 613315714 /nfs/dbraw/zinc/31/57/14/613315714.db2.gz XOYRKXVAGFLGED-CXTNEJHOSA-N 0 1 284.363 0.509 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](C)n1nc(C)cc1C ZINC000962963360 613316105 /nfs/dbraw/zinc/31/61/05/613316105.db2.gz PAADGWBJAWTJGI-BYNSBNAKSA-N 0 1 286.379 0.741 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC000961329135 613316186 /nfs/dbraw/zinc/31/61/86/613316186.db2.gz LIXQCZZIKNIQMX-NTASLKFISA-N 0 1 284.363 0.203 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c[nH]nc1CCC ZINC000960700638 613318230 /nfs/dbraw/zinc/31/82/30/613318230.db2.gz DMQYPCKZQKKHIZ-IMRBUKKESA-N 0 1 272.352 0.655 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cn2c(n1)CC[C@H](C)C2 ZINC000960546837 613320946 /nfs/dbraw/zinc/32/09/46/613320946.db2.gz JQDFEVZWQWHTHQ-BCUIYNNISA-N 0 1 298.390 0.759 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn2cc(C)cnc12 ZINC000961925527 613321133 /nfs/dbraw/zinc/32/11/33/613321133.db2.gz WTNZTQGCDBNZLX-WDNDVIMCSA-N 0 1 295.346 0.331 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cncc2ncn(C)c21 ZINC000960358256 613321145 /nfs/dbraw/zinc/32/11/45/613321145.db2.gz YMRMUQMFXFXHNW-IMRBUKKESA-N 0 1 295.346 0.262 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnn(C)c1C ZINC000958255820 613321590 /nfs/dbraw/zinc/32/15/90/613321590.db2.gz YZERLMPMRYONAR-ITGUQSILSA-N 0 1 258.325 0.022 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H](C)c2n[nH]c(CC)n2)CC1 ZINC000851739449 613323607 /nfs/dbraw/zinc/32/36/07/613323607.db2.gz AUQIIOZLUQBMCP-LLVKDONJSA-N 0 1 289.383 0.890 20 30 CCEDMN C#CCNC(=O)CCN1CCN(C)c2ncccc2C1 ZINC000872319787 613324764 /nfs/dbraw/zinc/32/47/64/613324764.db2.gz QPABODFSVIATLO-UHFFFAOYSA-N 0 1 272.352 0.473 20 30 CCEDMN C#CCNC(=O)CCN1CCO[C@@H]([C@H]2CCCO2)C1 ZINC000815060861 613325272 /nfs/dbraw/zinc/32/52/72/613325272.db2.gz CYQCXWSGILEPCI-CHWSQXEVSA-N 0 1 266.341 0.006 20 30 CCEDMN C#CCNC(=O)CN1CCN(C)C[C@H]1Cc1ccccc1 ZINC000844426537 613329683 /nfs/dbraw/zinc/32/96/83/613329683.db2.gz VGGYGWYFCYXPQN-MRXNPFEDSA-N 0 1 285.391 0.595 20 30 CCEDMN C=C(C)CONC(=O)NCc1n[nH]c(C2CC2)n1 ZINC000845610596 613353389 /nfs/dbraw/zinc/35/33/89/613353389.db2.gz KYAQSXLKPVVQED-UHFFFAOYSA-N 0 1 251.290 0.989 20 30 CCEDMN C=C(C)C[C@@H](CO)NS(=O)(=O)N=[S@@](C)(=O)CC ZINC000882846083 613355628 /nfs/dbraw/zinc/35/56/28/613355628.db2.gz DXXCCVTUJGAFBK-FVMDXXJSSA-N 0 1 284.403 0.266 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)N[C@@H]1CC[N@H+](CCOC)C1)C(=O)[O-] ZINC000908945711 613355897 /nfs/dbraw/zinc/35/58/97/613355897.db2.gz FGYSZQDSABLRKZ-VXGBXAGGSA-N 0 1 299.371 0.426 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)NCc1cn[nH]c1C ZINC000883138571 613357600 /nfs/dbraw/zinc/35/76/00/613357600.db2.gz IOZXHWTWJXZBTC-LLVKDONJSA-N 0 1 252.318 0.844 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1CNC(=O)C1CN([C@@H]2CCOC2)C1 ZINC000897639918 613362045 /nfs/dbraw/zinc/36/20/45/613362045.db2.gz JZTHPUMCVFEWTD-CFVMTHIKSA-N 0 1 294.395 0.805 20 30 CCEDMN C=C(C)[C@H]1OCC[C@H]1C(=O)N(C)CC[N@@H+](C)CCO ZINC000912612697 613362762 /nfs/dbraw/zinc/36/27/62/613362762.db2.gz VRLJCIZXRCDXJR-CHWSQXEVSA-N 0 1 270.373 0.350 20 30 CCEDMN C=C(CC[NH+](C)C)C(=O)N1CCSC[C@H]1c1nn[n-]n1 ZINC000907483435 613364184 /nfs/dbraw/zinc/36/41/84/613364184.db2.gz YUPIKLUBUSJAJE-JTQLQIEISA-N 0 1 296.400 0.324 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC000968426841 613375818 /nfs/dbraw/zinc/37/58/18/613375818.db2.gz NJYSLSQAFAUWGT-PSASIEDQSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN1CC[C@@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC000968426841 613375819 /nfs/dbraw/zinc/37/58/19/613375819.db2.gz NJYSLSQAFAUWGT-PSASIEDQSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC000968714117 613385484 /nfs/dbraw/zinc/38/54/84/613385484.db2.gz CWKYREVAVBTMGB-YUSALJHKSA-N 0 1 299.802 0.844 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ncn(C)n2)C1 ZINC000968581245 613386058 /nfs/dbraw/zinc/38/60/58/613386058.db2.gz WFZIKHSKIQFQBM-SECBINFHSA-N 0 1 269.736 0.372 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(CCS(C)(=O)=O)C(C)C ZINC000876884896 613388999 /nfs/dbraw/zinc/38/89/99/613388999.db2.gz HCZBLUICCFJCIE-JTQLQIEISA-N 0 1 296.820 0.738 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(CCS(C)(=O)=O)C1CC1 ZINC000876891761 613389093 /nfs/dbraw/zinc/38/90/93/613389093.db2.gz FRORYUAVYDLUOC-JTQLQIEISA-N 0 1 294.804 0.492 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H](NCc3cnnn3C)C2)C1 ZINC000969878906 613393988 /nfs/dbraw/zinc/39/39/88/613393988.db2.gz QPIWYICWPNXWEL-GFCCVEGCSA-N 0 1 289.383 0.862 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H]2CNC(=O)c2ncn[nH]2)C1 ZINC000951594566 613394067 /nfs/dbraw/zinc/39/40/67/613394067.db2.gz ZGCGPKLAHPVBNF-SNVBAGLBSA-N 0 1 289.339 0.492 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H]2CNC(=O)c2nc[nH]n2)C1 ZINC000951594566 613394068 /nfs/dbraw/zinc/39/40/68/613394068.db2.gz ZGCGPKLAHPVBNF-SNVBAGLBSA-N 0 1 289.339 0.492 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H]2CNC(=O)c2ncn[nH]2)C1 ZINC000951594565 613394334 /nfs/dbraw/zinc/39/43/34/613394334.db2.gz ZGCGPKLAHPVBNF-JTQLQIEISA-N 0 1 289.339 0.492 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@H]2CNC(=O)c2nc[nH]n2)C1 ZINC000951594565 613394335 /nfs/dbraw/zinc/39/43/35/613394335.db2.gz ZGCGPKLAHPVBNF-JTQLQIEISA-N 0 1 289.339 0.492 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NC[C@H]1CC[N@@H+]1CC ZINC000890065721 613401683 /nfs/dbraw/zinc/40/16/83/613401683.db2.gz MKLURRGIKNPARH-VXGBXAGGSA-N 0 1 255.362 0.564 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NC[C@H]1CCN1CC ZINC000890065721 613401685 /nfs/dbraw/zinc/40/16/85/613401685.db2.gz MKLURRGIKNPARH-VXGBXAGGSA-N 0 1 255.362 0.564 20 30 CCEDMN C=CC[C@@H](CO)CNCc1cn(C[C@@H]2CCCO2)nn1 ZINC000865520620 613403225 /nfs/dbraw/zinc/40/32/25/613403225.db2.gz UYTANTMBJFHJOE-OCCSQVGLSA-N 0 1 280.372 0.731 20 30 CCEDMN C=CCn1c(SCC(=O)c2cnn(CC)n2)n[nH]c1=O ZINC000841671759 613424390 /nfs/dbraw/zinc/42/43/90/613424390.db2.gz WZAKAVPRMYEKED-UHFFFAOYSA-N 0 1 294.340 0.756 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)c1ncn[nH]1 ZINC000944184338 613431984 /nfs/dbraw/zinc/43/19/84/613431984.db2.gz ORARBYNBOBSHCD-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@@H]1CNC(=O)c1nc[nH]n1 ZINC000944184338 613431985 /nfs/dbraw/zinc/43/19/85/613431985.db2.gz ORARBYNBOBSHCD-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](NCc2cnnn2C)C1 ZINC000969586284 613436670 /nfs/dbraw/zinc/43/66/70/613436670.db2.gz JBZLYIICWITUHX-LLVKDONJSA-N 0 1 277.372 0.718 20 30 CCEDMN C=CCn1cccc1C(=O)N[C@@H](C)C1CN(CCO)C1 ZINC000969837790 613437746 /nfs/dbraw/zinc/43/77/46/613437746.db2.gz VETZPSPUBNYZMH-LBPRGKRZSA-N 0 1 277.368 0.717 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](N(C)[C@H]2CCNC2=O)C1 ZINC000972083355 613439147 /nfs/dbraw/zinc/43/91/47/613439147.db2.gz XUSWSXGPCPFZBW-RYUDHWBXSA-N 0 1 279.384 0.620 20 30 CCEDMN C=C[C@@H](C)NC(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC000833372346 613442343 /nfs/dbraw/zinc/44/23/43/613442343.db2.gz QLDZZUYVHYKXFG-GHMZBOCLSA-N 0 1 269.345 0.751 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000827961456 613447893 /nfs/dbraw/zinc/44/78/93/613447893.db2.gz OOXXUNGVBLHHDU-VHSXEESVSA-N 0 1 265.317 0.702 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC000932281854 613448249 /nfs/dbraw/zinc/44/82/49/613448249.db2.gz CRMCSMFKXXTNNF-VHSXEESVSA-N 0 1 265.317 0.702 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N1CCCN(CCO)CC1 ZINC000981990984 613463105 /nfs/dbraw/zinc/46/31/05/613463105.db2.gz ZTOPMODBVMPGHR-OLZOCXBDSA-N 0 1 268.357 0.104 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@H](C)[C@H]1CN(C)CCN1C ZINC000896538958 613467135 /nfs/dbraw/zinc/46/71/35/613467135.db2.gz IJSZJIVMRJYXSH-SYQHCUMBSA-N 0 1 281.400 0.328 20 30 CCEDMN C=CCCC[C@@H](NC(=O)[C@@H]1CC1[N+](=O)[O-])C(=O)OC ZINC000928323635 613471004 /nfs/dbraw/zinc/47/10/04/613471004.db2.gz PQVMSFYFJKZCCF-OPRDCNLKSA-N 0 1 270.285 0.666 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NS(=O)(=O)N=S(=O)(CC)CC ZINC000867351835 613472126 /nfs/dbraw/zinc/47/21/26/613472126.db2.gz HXFOGEOEZASHNZ-ZJUUUORDSA-N 0 1 296.414 0.672 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1CCOC[C@@H]1c1nn[nH]n1 ZINC000827961439 613488954 /nfs/dbraw/zinc/48/89/54/613488954.db2.gz OOXXUNGVBLHHDU-NXEZZACHSA-N 0 1 265.317 0.702 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@H]2CCNC2=O)CC1 ZINC000948734036 613504643 /nfs/dbraw/zinc/50/46/43/613504643.db2.gz MNNSXDXPNWHRKY-GFCCVEGCSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC000957559705 613510140 /nfs/dbraw/zinc/51/01/40/613510140.db2.gz MCAMANVVIFEINE-UHFFFAOYSA-N 0 1 276.340 0.114 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896548113 613520604 /nfs/dbraw/zinc/52/06/04/613520604.db2.gz JSKSZAGIVGRIKZ-UONOGXRCSA-N 0 1 265.401 0.931 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC000970945098 613531216 /nfs/dbraw/zinc/53/12/16/613531216.db2.gz MQTSFUHGBOECQK-IUODEOHRSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)c2cc(C)n[nH]2)C1 ZINC000969356164 613532474 /nfs/dbraw/zinc/53/24/74/613532474.db2.gz SIONDPSKJJDAJM-LLVKDONJSA-N 0 1 260.341 0.792 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)c2nc(C)c[nH]2)C1 ZINC000970556873 613540510 /nfs/dbraw/zinc/54/05/10/613540510.db2.gz HYXBIVGDQZMNKT-NSHDSACASA-N 0 1 260.341 0.792 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)C(C)(C)C(N)=O)C2)C1 ZINC000981825509 613541527 /nfs/dbraw/zinc/54/15/27/613541527.db2.gz HWCWWXZIXHUTMW-UHFFFAOYSA-N 0 1 291.395 0.446 20 30 CCEDMN CC#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966075707 613571739 /nfs/dbraw/zinc/57/17/39/613571739.db2.gz KOWHGXOSAIWFBS-HIFRSBDPSA-N 0 1 288.395 0.813 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](C)[C@H](NC(=O)c2[nH]nc(C)c2[O-])C1 ZINC000965917644 613576532 /nfs/dbraw/zinc/57/65/32/613576532.db2.gz YGOMLQUUWVTHBN-ZYHUDNBSSA-N 0 1 290.367 0.887 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](C)C1 ZINC000941963124 613588224 /nfs/dbraw/zinc/58/82/24/613588224.db2.gz JQNWDJIDNLBCBN-ZYHUDNBSSA-N 0 1 290.367 0.887 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cn2cccn2)C1 ZINC000965147981 613590662 /nfs/dbraw/zinc/59/06/62/613590662.db2.gz HACIZEKGUPWTHO-KBPBESRZSA-N 0 1 274.368 0.733 20 30 CCEDMN C=CCC[C@H](O)CN1CCC[C@H]2OCCNC(=O)[C@@H]21 ZINC000879718628 613591300 /nfs/dbraw/zinc/59/13/00/613591300.db2.gz GZTCPIKWYACWSS-YNEHKIRRSA-N 0 1 268.357 0.293 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)Cc2nnc(C)o2)C1 ZINC000965995081 613593655 /nfs/dbraw/zinc/59/36/55/613593655.db2.gz CHDPLLYSFGWILE-WCQYABFASA-N 0 1 290.367 0.770 20 30 CCEDMN C=CCCn1cc(COC(=O)CCc2nc[nH]n2)nn1 ZINC000848728374 613599812 /nfs/dbraw/zinc/59/98/12/613599812.db2.gz ICJYRXVPXCLHEM-UHFFFAOYSA-N 0 1 276.300 0.648 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)C2CN(C(C)=O)C2)C(C)(C)C1 ZINC000974503205 613608446 /nfs/dbraw/zinc/60/84/46/613608446.db2.gz JQMQUAJKYWIYTH-CQSZACIVSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCN(C)C(=O)C(=O)NC[C@H]1Cc2ccccc2CN1 ZINC000885154031 613608551 /nfs/dbraw/zinc/60/85/51/613608551.db2.gz PEXNJUDBFBKPCZ-CQSZACIVSA-N 0 1 287.363 0.462 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)C2C=CC=CC=C2)C1 ZINC000958106927 613611972 /nfs/dbraw/zinc/61/19/72/613611972.db2.gz ZYUUWRDPIVWRCB-JKSUJKDBSA-N 0 1 286.375 0.717 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2oc(C)nc2C)C1 ZINC000957954222 613613920 /nfs/dbraw/zinc/61/39/20/613613920.db2.gz ZTMWXAHHOLIUDW-QWHCGFSZSA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccc(OC)o2)[C@H](O)C1 ZINC000958514583 613614946 /nfs/dbraw/zinc/61/49/46/613614946.db2.gz BGRNEKCLOCZGKF-VXGBXAGGSA-N 0 1 292.335 0.334 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccnc(F)c2)[C@H](O)C1 ZINC000957923368 613615377 /nfs/dbraw/zinc/61/53/77/613615377.db2.gz YFJSOBOHESRXOV-CHWSQXEVSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccoc2Cl)[C@H](O)C1 ZINC000958010967 613615670 /nfs/dbraw/zinc/61/56/70/613615670.db2.gz QTVSMCDIWXAJBV-ZYHUDNBSSA-N 0 1 296.754 0.979 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2csnc2C)[C@H](O)C1 ZINC000957982110 613615915 /nfs/dbraw/zinc/61/59/15/613615915.db2.gz ICWKIAZLKANEEV-DGCLKSJQSA-N 0 1 293.392 0.497 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2cccnc2C)C1 ZINC000958359010 613618547 /nfs/dbraw/zinc/61/85/47/613618547.db2.gz ACQKOLQISACKIM-ZFWWWQNUSA-N 0 1 287.363 0.436 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccoc2)C1 ZINC000957854700 613619606 /nfs/dbraw/zinc/61/96/06/613619606.db2.gz DGPNJJYSRCYGHZ-STQMWFEESA-N 0 1 262.309 0.325 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)[C@@H]2CCCNC2=O)C(C)(C)C1 ZINC000974688244 613621133 /nfs/dbraw/zinc/62/11/33/613621133.db2.gz UJTNWUKXRVPVFJ-OLZOCXBDSA-N 0 1 291.395 0.363 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)C2CC3(CCC3)C2)C1 ZINC000958328126 613624708 /nfs/dbraw/zinc/62/47/08/613624708.db2.gz AHUQJMRXFUWJKR-CABCVRRESA-N 0 1 290.407 0.999 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccc(F)nc2)C1 ZINC000957923029 613625096 /nfs/dbraw/zinc/62/50/96/613625096.db2.gz SGXBXBGMTDVXBV-OLZOCXBDSA-N 0 1 291.326 0.267 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cccs2)C1 ZINC000957839302 613625802 /nfs/dbraw/zinc/62/58/02/613625802.db2.gz XEGLYPVYRPVEAR-NEPJUHHUSA-N 0 1 278.377 0.794 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H]1CCCO1 ZINC000958308389 613634443 /nfs/dbraw/zinc/63/44/43/613634443.db2.gz ZIHFJBMEFYNULO-CXTNEJHOSA-N 0 1 262.353 0.625 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1[nH]c(=O)[nH]c1C ZINC000960414390 613638947 /nfs/dbraw/zinc/63/89/47/613638947.db2.gz UHPGDIAGLMLION-IAZYJMLFSA-N 0 1 274.324 0.107 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(C)cn1 ZINC000958144975 613641720 /nfs/dbraw/zinc/64/17/20/613641720.db2.gz XMKYOTWQXNUTRT-IMRBUKKESA-N 0 1 270.336 0.468 20 30 CCEDMN C=CCN(CC(=O)OCC)C(=O)[C@@H](N)COC(C)C ZINC000851637604 613644856 /nfs/dbraw/zinc/64/48/56/613644856.db2.gz JILXMWLFTPLGQV-NSHDSACASA-N 0 1 272.345 0.316 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cc(OC)ncn2)C1 ZINC000969676485 613664829 /nfs/dbraw/zinc/66/48/29/613664829.db2.gz ASYMTRSJKICILJ-SNVBAGLBSA-N 0 1 276.340 0.721 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2cn(CC=C)nn2)C1 ZINC000970548069 613666325 /nfs/dbraw/zinc/66/63/25/613666325.db2.gz LZLGKUBPYCZALJ-LLVKDONJSA-N 0 1 275.356 0.700 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)C2CCN(C(N)=O)CC2)C1 ZINC000970859185 613668171 /nfs/dbraw/zinc/66/81/71/613668171.db2.gz ACLREFHNDUDZIX-NSHDSACASA-N 0 1 294.399 0.400 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC000970432978 613670139 /nfs/dbraw/zinc/67/01/39/613670139.db2.gz FLCZIMYWYLVZPD-TUAOUCFPSA-N 0 1 279.384 0.511 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC000970652698 613670579 /nfs/dbraw/zinc/67/05/79/613670579.db2.gz BXVNVBDAJVBAOM-VIFPVBQESA-N 0 1 276.340 0.350 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@]2(C)CNC(=O)C2)CC1 ZINC000981673016 613693905 /nfs/dbraw/zinc/69/39/05/613693905.db2.gz DJEJOFVEZUAUPE-CQSZACIVSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCC(=O)N2CCCC2)C1=O ZINC000838982108 613738685 /nfs/dbraw/zinc/73/86/85/613738685.db2.gz YBKYSIJIBNQIIG-CYBMUJFWSA-N 0 1 279.384 0.718 20 30 CCEDMN C=CCN1CC[C@@H]([N@H+]2CCCC[C@H]2C(=O)[O-])C1=O ZINC000873646430 613739686 /nfs/dbraw/zinc/73/96/86/613739686.db2.gz HLBMDFNDAOHWIN-MNOVXSKESA-N 0 1 252.314 0.712 20 30 CCEDMN C=CCN1CC[C@@H]([N@@H+]2CCCC[C@H]2C(=O)[O-])C1=O ZINC000873646430 613739690 /nfs/dbraw/zinc/73/96/90/613739690.db2.gz HLBMDFNDAOHWIN-MNOVXSKESA-N 0 1 252.314 0.712 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)C2CC(=O)NC(=O)C2)C1 ZINC000968418755 613767093 /nfs/dbraw/zinc/76/70/93/613767093.db2.gz NSWKEYWBMOHQAF-JQWIXIFHSA-N 0 1 293.367 0.052 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cc2ccn(C)n2)C1 ZINC000966053618 613772354 /nfs/dbraw/zinc/77/23/54/613772354.db2.gz ZRZIROGGYRQIDV-GXTWGEPZSA-N 0 1 276.384 0.975 20 30 CCEDMN C=CCN1CC[C@H](N(C)c2cc(C)nc(N)n2)C1=O ZINC000798404443 613777643 /nfs/dbraw/zinc/77/76/43/613777643.db2.gz KTECEIATDXMNAR-JTQLQIEISA-N 0 1 261.329 0.590 20 30 CCEDMN C=CCN1CC[C@H](N2CCC([C@@H]3COC(=O)N3)CC2)C1=O ZINC000932773933 613777647 /nfs/dbraw/zinc/77/76/47/613777647.db2.gz SGGHQHQWDQPICA-STQMWFEESA-N 0 1 293.367 0.594 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@@H]2CCN(C(C)=O)C2)C(C)(C)C1 ZINC000977525099 613807753 /nfs/dbraw/zinc/80/77/53/613807753.db2.gz IOPVKAZHGHIPAG-ZIAGYGMSSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CC(=O)N(CC)C2)C(C)(C)C1 ZINC000974659688 613827628 /nfs/dbraw/zinc/82/76/28/613827628.db2.gz SKRLMJVLEWFCHJ-OLZOCXBDSA-N 0 1 293.411 0.867 20 30 CCEDMN C=CCNC(=O)CN1CCN[C@@H](c2cccc(OC)c2)C1 ZINC000879526929 613845724 /nfs/dbraw/zinc/84/57/24/613845724.db2.gz VEUCKGPRYOWNPY-OAHLLOKOSA-N 0 1 289.379 0.944 20 30 CCEDMN C=CCNC(=O)CN[C@H]1CCC2(C[C@@H]1F)OCCO2 ZINC000893317359 613847310 /nfs/dbraw/zinc/84/73/10/613847310.db2.gz FUOKVCYGEDRWMU-QWRGUYRKSA-N 0 1 272.320 0.512 20 30 CCEDMN C=CCNC(=O)Cc1noc(CNCC2CC2)n1 ZINC000843216161 613848983 /nfs/dbraw/zinc/84/89/83/613848983.db2.gz WBXYMWGPOANUHB-UHFFFAOYSA-N 0 1 250.302 0.414 20 30 CCEDMN C=CCNC(=O)[C@@H](C)n1cc(CNC(C)C)nn1 ZINC000905786231 613854414 /nfs/dbraw/zinc/85/44/14/613854414.db2.gz AWXLVMNTCZLKNT-SNVBAGLBSA-N 0 1 251.334 0.639 20 30 CCEDMN C=CCOCCNC(=O)N1CCN(C)CCN(C)CC1 ZINC000894096401 613880783 /nfs/dbraw/zinc/88/07/83/613880783.db2.gz ZREMVRVXAHYWIA-UHFFFAOYSA-N 0 1 284.404 0.078 20 30 CCEDMN C=CCOCCNS(=O)(=O)N=[S@](C)(=O)CC ZINC000867071848 613881256 /nfs/dbraw/zinc/88/12/56/613881256.db2.gz MPPBBRZATVKTPS-OAHLLOKOSA-N 0 1 270.376 0.141 20 30 CCEDMN C=CCONC(=O)N1CCN([C@H]2CCC[C@@H]2O)CC1 ZINC000838706716 613883633 /nfs/dbraw/zinc/88/36/33/613883633.db2.gz ANLOBSNLYOGKTA-RYUDHWBXSA-N 0 1 269.345 0.345 20 30 CCEDMN CC(=O)NCc1ccc(C(=O)N[C@@H]2CNC[C@H]2C#N)s1 ZINC000834494901 613943736 /nfs/dbraw/zinc/94/37/36/613943736.db2.gz QQJRABOIVFTLHS-MWLCHTKSSA-N 0 1 292.364 0.226 20 30 CCEDMN CC(C)(C)c1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)[nH]n1 ZINC000834479880 614047503 /nfs/dbraw/zinc/04/75/03/614047503.db2.gz IYCWOEMDRAABJX-WCBMZHEXSA-N 0 1 261.329 0.549 20 30 CCEDMN CC(=O)N[C@H](CC1CCC1)C(=O)NCC#CCN(C)C ZINC000913521656 614115035 /nfs/dbraw/zinc/11/50/35/614115035.db2.gz INJRJYJQVGRASK-CQSZACIVSA-N 0 1 279.384 0.363 20 30 CCEDMN CC(C)[C@H](C#N)N1CCN(C(=O)CN2C[C@@H]3C[C@@H]3C2)CC1 ZINC000886346107 614186879 /nfs/dbraw/zinc/18/68/79/614186879.db2.gz ARDCIXLQTKJKEZ-ZNMIVQPWSA-N 0 1 290.411 0.630 20 30 CCEDMN CC(C)[C@H](NC(=O)N[C@H]1CNC[C@H]1C#N)C(F)(F)F ZINC000841209464 614233234 /nfs/dbraw/zinc/23/32/34/614233234.db2.gz RLJPIRQLOWIIOG-VGMNWLOBSA-N 0 1 278.278 0.984 20 30 CCEDMN CC(=O)c1cc(C(=O)N[C@@H]2CNC[C@@H]2C#N)n(C)c1 ZINC000834499575 614247494 /nfs/dbraw/zinc/24/74/94/614247494.db2.gz JSHQRLROAUFXHU-WDEREUQCSA-N 0 1 260.297 0.069 20 30 CCEDMN CC(C)(C#N)CNC(=O)C1CN([C@H]2CCOC2)C1 ZINC000897355886 614285783 /nfs/dbraw/zinc/28/57/83/614285783.db2.gz HLXSVONTEPHJMW-NSHDSACASA-N 0 1 251.330 0.373 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CCSC[C@@H]1c1nn[nH]n1 ZINC000907479300 614314800 /nfs/dbraw/zinc/31/48/00/614314800.db2.gz BLXOUIOEVFFVBH-SECBINFHSA-N 0 1 279.369 0.866 20 30 CCEDMN CCCCS(=O)(=O)C=C(O)NC1=NO[C@@H](C)C1 ZINC000935137544 614459874 /nfs/dbraw/zinc/45/98/74/614459874.db2.gz ZBCBYFFCCKNFQQ-QMMMGPOBSA-N 0 1 262.331 0.440 20 30 CCEDMN CC1(C)CN(CC#N)CC[C@H]1NC(=O)Cc1nnc[nH]1 ZINC000941155783 614604623 /nfs/dbraw/zinc/60/46/23/614604623.db2.gz VYDHQTCFJKYAEB-SNVBAGLBSA-N 0 1 276.344 0.087 20 30 CCEDMN CCC1(CC(=O)NC2(C#N)CCN(C)CC2)COC1 ZINC000932177673 614672456 /nfs/dbraw/zinc/67/24/56/614672456.db2.gz CCIOYVOBLYOWNL-UHFFFAOYSA-N 0 1 265.357 0.907 20 30 CCEDMN CCCCCCN(C)CC(=O)NCC(=O)NCC#N ZINC000804820920 614905471 /nfs/dbraw/zinc/90/54/71/614905471.db2.gz SNIDFLCPVWXKLK-UHFFFAOYSA-N 0 1 268.361 0.254 20 30 CCEDMN CCCCCNC(=O)Cn1cnc(-c2nn[nH]n2)c1C#N ZINC000825276305 614923141 /nfs/dbraw/zinc/92/31/41/614923141.db2.gz VAKHUJCJGNRDIE-UHFFFAOYSA-N 0 1 288.315 0.241 20 30 CCEDMN CCCCCNC(=O)[C@H](C#N)C(=O)CCc1nc[nH]n1 ZINC000150915748 614923927 /nfs/dbraw/zinc/92/39/27/614923927.db2.gz QNCIXWPWRMLJMQ-SNVBAGLBSA-N 0 1 277.328 0.753 20 30 CCEDMN CCCCCNC(=O)[C@H](C#N)C(=O)c1cn(C)c(=O)n1C ZINC000892464720 614924061 /nfs/dbraw/zinc/92/40/61/614924061.db2.gz IYTLZDLFFHOBQM-SNVBAGLBSA-N 0 1 292.339 0.353 20 30 CCEDMN CCN(CC)C(=O)CCCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000834495375 614962561 /nfs/dbraw/zinc/96/25/61/614962561.db2.gz UYYBOGQONWKJJX-VXGBXAGGSA-N 0 1 280.372 0.253 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@H]1CN(C)C(=O)[O-] ZINC000827112141 615021284 /nfs/dbraw/zinc/02/12/84/615021284.db2.gz WXUFKYPKNYAEEF-LBPRGKRZSA-N 0 1 296.371 0.823 20 30 CCEDMN CCN(CCC#N)C(=O)COC(=O)c1[nH]nc2c1CCC2 ZINC000919331471 615022970 /nfs/dbraw/zinc/02/29/70/615022970.db2.gz LAQPDHHIJJZHOI-UHFFFAOYSA-N 0 1 290.323 0.817 20 30 CCEDMN CCC[C@H](C)N1C[C@H](C(=O)N[C@@H]2CNC[C@H]2C#N)CC1=O ZINC000843459658 615038849 /nfs/dbraw/zinc/03/88/49/615038849.db2.gz GDCYLXRRPGWYPD-UMSGYPCISA-N 0 1 292.383 0.251 20 30 CCEDMN CCN(CCO)c1ccc(C=[NH+]NC2=NCCN2)c(C)c1 ZINC000841661088 615055517 /nfs/dbraw/zinc/05/55/17/615055517.db2.gz JXSIEIFXRVRYDO-UHFFFAOYSA-N 0 1 289.383 0.696 20 30 CCEDMN CCN(CCO)c1ccc(C=NNC2=NCCN2)c(C)c1 ZINC000841661088 615055519 /nfs/dbraw/zinc/05/55/19/615055519.db2.gz JXSIEIFXRVRYDO-UHFFFAOYSA-N 0 1 289.383 0.696 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)COC(=O)[C@@H]1CC1[N+](=O)[O-] ZINC000840672272 615061738 /nfs/dbraw/zinc/06/17/38/615061738.db2.gz HDABHCYJHZDMOM-IVZWLZJFSA-N 0 1 283.284 0.203 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CN(C)[C@@H]1CCOC[C@@H]1OC ZINC000880430220 615064382 /nfs/dbraw/zinc/06/43/82/615064382.db2.gz RDIIJSJWVYMHFZ-MCIONIFRSA-N 0 1 297.399 0.730 20 30 CCEDMN CCOC1CC2(C[C@@H]2C(=O)N[C@H]2CNC[C@@H]2C#N)C1 ZINC000870941124 615221779 /nfs/dbraw/zinc/22/17/79/615221779.db2.gz REQIQFRIGMVUPT-VKVJQFQGSA-N 0 1 263.341 0.419 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)N1CC[C@@](O)(CC#N)C1 ZINC000890059237 615314979 /nfs/dbraw/zinc/31/49/79/615314979.db2.gz GAZFHLXXMVSCMQ-AAEUAGOBSA-N 0 1 266.345 0.141 20 30 CCEDMN CCN1CC[C@H]1CNC(=O)NC1CCN(CC#N)CC1 ZINC000883349172 615316580 /nfs/dbraw/zinc/31/65/80/615316580.db2.gz RJPRXJAMQFKUOA-ZDUSSCGKSA-N 0 1 279.388 0.368 20 30 CCEDMN CC[N@H+]1C[C@H](C)N(C(=O)[C@](C)(C#N)CC(=O)[O-])C[C@@H]1C ZINC000820348271 615393631 /nfs/dbraw/zinc/39/36/31/615393631.db2.gz OPDZYOLAZZOBMI-MJVIPROJSA-N 0 1 281.356 0.932 20 30 CCEDMN CC[N@@H+]1C[C@H](NC(=O)[C@](C)(C#N)CC(=O)[O-])[C@@H](C)C1 ZINC000820347930 615397131 /nfs/dbraw/zinc/39/71/31/615397131.db2.gz AKEOKMDQCHNUQL-KWBADKCTSA-N 0 1 267.329 0.447 20 30 CCEDMN CC[C@@H](CNS(C)(=O)=O)NCC#Cc1ccccc1 ZINC000894693245 615485126 /nfs/dbraw/zinc/48/51/26/615485126.db2.gz BKFZKHNBFGMZJT-AWEZNQCLSA-N 0 1 280.393 0.956 20 30 CCEDMN CCOc1ccc(OCC(=O)N[C@H]2CNC[C@@H]2C#N)cc1 ZINC000834506328 615512495 /nfs/dbraw/zinc/51/24/95/615512495.db2.gz RXXXKFSGQYRPBB-FZMZJTMJSA-N 0 1 289.335 0.692 20 30 CCEDMN CCOc1ccccc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834512293 615518051 /nfs/dbraw/zinc/51/80/51/615518051.db2.gz UOJAXXIYXXTFSB-PWSUYJOCSA-N 0 1 259.309 0.927 20 30 CCEDMN CC[C@H](C#N)C(=O)N[C@H](C)[C@@H]1CN(C)CCN1C ZINC000896533704 615599892 /nfs/dbraw/zinc/59/98/92/615599892.db2.gz JDBLEWSWZQAGIZ-UTUOFQBUSA-N 0 1 252.362 0.287 20 30 CCEDMN CC[C@H](C#N)Sc1nc(O)cc(=O)n1CCOC ZINC000901654468 615600109 /nfs/dbraw/zinc/60/01/09/615600109.db2.gz PZTYOTXKRYFREA-MRVPVSSYSA-N 0 1 269.326 0.990 20 30 CCEDMN CCc1cc(CNS(=O)(=O)N(CC)CCC#N)n[nH]1 ZINC000800056605 615650817 /nfs/dbraw/zinc/65/08/17/615650817.db2.gz UKWZFFDNTDTVGM-UHFFFAOYSA-N 0 1 285.373 0.542 20 30 CCEDMN CCc1ccc(C#CC(=O)NC[C@@H]2C[C@H](O)CN2C)cc1 ZINC000924980523 615660562 /nfs/dbraw/zinc/66/05/62/615660562.db2.gz SNIOATPZALEWTI-HOTGVXAUSA-N 0 1 286.375 0.782 20 30 CCEDMN CCn1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1C1CC1 ZINC000884250295 615689492 /nfs/dbraw/zinc/68/94/92/615689492.db2.gz BHMBPHQMTFRZGC-ZYHUDNBSSA-N 0 1 273.340 0.622 20 30 CCEDMN CCc1nc2ccccc2n1CC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834511781 615758746 /nfs/dbraw/zinc/75/87/46/615758746.db2.gz NXMMHUPCWXFNAH-WCQYABFASA-N 0 1 297.362 0.826 20 30 CCEDMN CC[C@H](NC[C@H](O)CC#N)c1cccc(S(N)(=O)=O)c1 ZINC000809579044 615818927 /nfs/dbraw/zinc/81/89/27/615818927.db2.gz VCNGNJXZSGWNRG-YPMHNXCESA-N 0 1 297.380 0.649 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C\c1ccc(C(N)=O)o1 ZINC000913524299 615857051 /nfs/dbraw/zinc/85/70/51/615857051.db2.gz HHMIUORXGUDVJO-VURMDHGXSA-N 0 1 275.308 0.073 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C\C1CCOCC1 ZINC000913518445 615857181 /nfs/dbraw/zinc/85/71/81/615857181.db2.gz POAFVLIHWGZCQU-WAYWQWQTSA-N 0 1 250.342 0.650 20 30 CCEDMN CN(C)CC#CCNC(=O)C1(c2cccnc2)CC1 ZINC000913521029 615857712 /nfs/dbraw/zinc/85/77/12/615857712.db2.gz INHOEOVVVDVBHK-UHFFFAOYSA-N 0 1 257.337 0.794 20 30 CCEDMN CN(C)CC#CCNC(=O)CN(C)C(=O)OC(C)(C)C ZINC000913518142 615857875 /nfs/dbraw/zinc/85/78/75/615857875.db2.gz FZYVJZXQDSJUDA-UHFFFAOYSA-N 0 1 283.372 0.535 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@]1(C)COc2ccccc2O1 ZINC000913524185 615859516 /nfs/dbraw/zinc/85/95/16/615859516.db2.gz CQSMJLQIPJXKDV-MRXNPFEDSA-N 0 1 288.347 0.898 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCO[C@H]1c1ccncc1 ZINC000913523525 615859579 /nfs/dbraw/zinc/85/95/79/615859579.db2.gz DDDKBRWXOKNOHM-CABCVRRESA-N 0 1 287.363 0.840 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc2[nH]ccc2c1 ZINC000913523028 615860038 /nfs/dbraw/zinc/86/00/38/615860038.db2.gz JPRWUOYVABEHJY-UHFFFAOYSA-N 0 1 256.309 0.809 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1C[C@@]1(C)Br ZINC000913524517 615860101 /nfs/dbraw/zinc/86/01/01/615860101.db2.gz PNCBRKRSWRJWIW-MWLCHTKSSA-N 0 1 273.174 0.841 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc([N+](=O)[O-])cn1 ZINC000913519911 615860536 /nfs/dbraw/zinc/86/05/36/615860536.db2.gz ULEOCCAOOZVELQ-UHFFFAOYSA-N 0 1 262.269 0.285 20 30 CCEDMN C[NH+](C)CC#CCNC(=O)c1ccc([O-])c(F)c1 ZINC000913521222 615860576 /nfs/dbraw/zinc/86/05/76/615860576.db2.gz PLLKANXCFVDWJQ-UHFFFAOYSA-N 0 1 250.273 0.826 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc([C@@H]2CCC(=O)N2)cc1 ZINC000913525171 615860786 /nfs/dbraw/zinc/86/07/86/615860786.db2.gz MSBMZQLLARQNHS-HNNXBMFYSA-N 0 1 299.374 0.933 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc([N+](=O)[O-])cn1C ZINC000905151728 615860795 /nfs/dbraw/zinc/86/07/95/615860795.db2.gz QJCWELNGMZCEKU-UHFFFAOYSA-N 0 1 264.285 0.228 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(C(F)F)n(C)n1 ZINC000827971650 615860847 /nfs/dbraw/zinc/86/08/47/615860847.db2.gz CUFFQMGSLYWRED-UHFFFAOYSA-N 0 1 270.283 0.653 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc2cccn2cn1 ZINC000827972330 615860998 /nfs/dbraw/zinc/86/09/98/615860998.db2.gz ZGMCTILBMKCOCP-UHFFFAOYSA-N 0 1 256.309 0.629 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc2cccnn21 ZINC000913523032 615861651 /nfs/dbraw/zinc/86/16/51/615861651.db2.gz JUVTXAGONDOZDT-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN CN(C)CC(=O)N1CCN(Cc2ccc(C#N)o2)CC1 ZINC000877959223 615863677 /nfs/dbraw/zinc/86/36/77/615863677.db2.gz SQBKMHLBEFJNFI-UHFFFAOYSA-N 0 1 276.340 0.357 20 30 CCEDMN CC[C@](C)(C#N)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC000896540034 615942081 /nfs/dbraw/zinc/94/20/81/615942081.db2.gz COWTWMYXXYFAGO-SGMGOOAPSA-N 0 1 266.389 0.677 20 30 CCEDMN CN(C)c1ccc(C(N)=NOCC2(CO)COC2)cc1 ZINC000127352527 616011272 /nfs/dbraw/zinc/01/12/72/616011272.db2.gz MSALNVFPOPYRBG-UHFFFAOYSA-N 0 1 279.340 0.398 20 30 CCEDMN CN(C)c1ccc(C#N)cc1CN1CC[C@H](O)[C@@H](CO)C1 ZINC000877592029 616011981 /nfs/dbraw/zinc/01/19/81/616011981.db2.gz KJMAPLPAIUGENV-ZBFHGGJFSA-N 0 1 289.379 0.799 20 30 CCEDMN CN(C)c1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000834512460 616020606 /nfs/dbraw/zinc/02/06/06/616020606.db2.gz XJGJRWAFGIWSIL-DGCLKSJQSA-N 0 1 258.325 0.594 20 30 CCEDMN CN(C)c1ccncc1C(=O)N1CC[C@H](N(C)CC#N)C1 ZINC000972742165 616030523 /nfs/dbraw/zinc/03/05/23/616030523.db2.gz HKAFXJXYPNSTLJ-LBPRGKRZSA-N 0 1 287.367 0.817 20 30 CCEDMN CN(CC(=O)N1CCO[C@](C)(C#N)C1)[C@@H]1CCSC1 ZINC000887680575 616044938 /nfs/dbraw/zinc/04/49/38/616044938.db2.gz IYFXSKBGKFYSQI-DGCLKSJQSA-N 0 1 283.397 0.565 20 30 CCEDMN CN(CCC#N)C(=O)CCN1CCCn2nncc2C1 ZINC000886460980 616067480 /nfs/dbraw/zinc/06/74/80/616067480.db2.gz DZHQHXXFEKNAAN-UHFFFAOYSA-N 0 1 276.344 0.246 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N[C@H]1CN(CC#N)CC1(C)C ZINC000974727671 616184460 /nfs/dbraw/zinc/18/44/60/616184460.db2.gz CFGXOJWYUAFJHE-STQMWFEESA-N 0 1 278.400 0.821 20 30 CCEDMN CN1CCCN(C(=O)[C@@]2(C#N)CCCOC2)CC1 ZINC000864443388 616185519 /nfs/dbraw/zinc/18/55/19/616185519.db2.gz ZUTZTZIONXMTOX-CYBMUJFWSA-N 0 1 251.330 0.471 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCC#C[C@@H]1CCCCO1 ZINC000891105770 616192942 /nfs/dbraw/zinc/19/29/42/616192942.db2.gz CPVHVXZCTACRRU-QWHCGFSZSA-N 0 1 250.342 0.769 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2ccsc2C#N)C1 ZINC000906641155 616237861 /nfs/dbraw/zinc/23/78/61/616237861.db2.gz GFRPDRRPDNBUEM-JTQLQIEISA-N 0 1 278.381 0.595 20 30 CCEDMN CN1CCOC[C@@]1(CO)CNCc1cccc(F)c1C#N ZINC000896456438 616249308 /nfs/dbraw/zinc/24/93/08/616249308.db2.gz DJPNNKDZLSUGMZ-HNNXBMFYSA-N 0 1 293.342 0.480 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCc1ccc(C#N)c(F)c1 ZINC000909390529 616345961 /nfs/dbraw/zinc/34/59/61/616345961.db2.gz HXSIXCKAGJJXOY-SWLSCSKDSA-N 0 1 291.326 0.769 20 30 CCEDMN COCCN1CCOC2(CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000949320181 616376549 /nfs/dbraw/zinc/37/65/49/616376549.db2.gz HDWNFIUTXCXNQE-CYBMUJFWSA-N 0 1 295.383 0.486 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H](C)C(C)(F)F ZINC000815907143 616491779 /nfs/dbraw/zinc/49/17/79/616491779.db2.gz SYQCKKXEHOAHQW-SFYZADRCSA-N 0 1 262.256 0.749 20 30 CCEDMN COCCNC(=O)C(C#N)C(=O)[C@@H]1CC[C@@H]2C[C@@H]2C1 ZINC000817713669 616495843 /nfs/dbraw/zinc/49/58/43/616495843.db2.gz DWFVXXUMGMHWHJ-DDHJBXDOSA-N 0 1 264.325 0.894 20 30 CCEDMN COCC#CCN1CCC([C@]2(C)COC(=O)N2)CC1 ZINC000932098011 616527717 /nfs/dbraw/zinc/52/77/17/616527717.db2.gz ZDWLEUJEAXCZIE-AWEZNQCLSA-N 0 1 266.341 0.847 20 30 CCEDMN COC(=O)[C@@](C)(O)CN1CCC2(CC1)CC(=O)C=CO2 ZINC000933646970 616538079 /nfs/dbraw/zinc/53/80/79/616538079.db2.gz SWBBOKUQPYDMTL-ZDUSSCGKSA-N 0 1 283.324 0.248 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)CN1CCC(C)CC1 ZINC000871342383 616544293 /nfs/dbraw/zinc/54/42/93/616544293.db2.gz LPJRXTMZFCPYGY-UHFFFAOYSA-N 0 1 281.356 0.634 20 30 CCEDMN COC(=O)CCNC(=O)[C@@H]1NCCc2cc(C#N)ccc21 ZINC000876596499 616564382 /nfs/dbraw/zinc/56/43/82/616564382.db2.gz RHALGMSHSLAMIA-CQSZACIVSA-N 0 1 287.319 0.424 20 30 CCEDMN COC(=O)CNC(=O)CCN(C)Cc1cc(C#N)cs1 ZINC000933778771 616595541 /nfs/dbraw/zinc/59/55/41/616595541.db2.gz SRHNKGAOXFOPJQ-UHFFFAOYSA-N 0 1 295.364 0.731 20 30 CCEDMN COC(=O)[C@H](Cc1cncs1)NC(=O)C(C)C#N ZINC000832677929 616611431 /nfs/dbraw/zinc/61/14/31/616611431.db2.gz LXUYDEHYTRIQEY-CBAPKCEASA-N 0 1 267.310 0.503 20 30 CCEDMN COC(=O)[C@H]1CC[N@@H+](CCCC#N)[C@H](C(=O)[O-])C1 ZINC000833710517 616664265 /nfs/dbraw/zinc/66/42/65/616664265.db2.gz WCBQGLFIKNFZNS-UWVGGRQHSA-N 0 1 254.286 0.628 20 30 CCEDMN CNC(=O)[C@H](C)C[N@@H+](C)[C@@H](C(=O)[O-])c1ccc(C#N)cc1 ZINC000830975645 616842726 /nfs/dbraw/zinc/84/27/26/616842726.db2.gz PAEFVGSQURNIHS-ZWNOBZJWSA-N 0 1 289.335 0.998 20 30 CCEDMN COC(=O)c1cc(CN=Nc2cnnn2C)n(C)c1C ZINC000814981960 616843513 /nfs/dbraw/zinc/84/35/13/616843513.db2.gz SPCUJNGHLMEKPD-UHFFFAOYSA-N 0 1 276.300 0.695 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@@H](CC(C)C)OC ZINC000842286279 616897609 /nfs/dbraw/zinc/89/76/09/616897609.db2.gz XGLASKHDORVCFV-NWDGAFQWSA-N 0 1 284.356 0.909 20 30 CCEDMN COCCCn1cc(C(=O)NCC#CCN(C)C)cn1 ZINC000913524570 616934207 /nfs/dbraw/zinc/93/42/07/616934207.db2.gz SCIRZRHDBPOYSO-UHFFFAOYSA-N 0 1 278.356 0.214 20 30 CCEDMN CO[C@H]1CN(Cc2cncc(C#N)c2)[C@@](C)(CO)C1 ZINC000931469772 617111383 /nfs/dbraw/zinc/11/13/83/617111383.db2.gz GHWWVKQEFZKVRX-ZIAGYGMSSA-N 0 1 261.325 0.925 20 30 CCEDMN CO[C@@H](C)CN1CC(N2CCN(C(=O)[C@H](C)C#N)CC2)C1 ZINC000941347878 617149713 /nfs/dbraw/zinc/14/97/13/617149713.db2.gz FTGGPRCBGRMZJC-OLZOCXBDSA-N 0 1 294.399 0.009 20 30 CCEDMN C=CC[N@@H+]1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCC[C@H](C(N)=O)C1 ZINC001026084061 625331369 /nfs/dbraw/zinc/33/13/69/625331369.db2.gz PLZAGPXECQYDKA-MEBFFEOJSA-N 0 1 291.395 0.511 20 30 CCEDMN CCn1ncc(CNC[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001026732323 625572914 /nfs/dbraw/zinc/57/29/14/625572914.db2.gz IDELTXHLLQCSPA-NWDGAFQWSA-N 0 1 290.371 0.396 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CNCc2ncccn2)C1 ZINC001026732839 625578796 /nfs/dbraw/zinc/57/87/96/625578796.db2.gz OGOXVYVHUKMKMW-NEPJUHHUSA-N 0 1 273.340 0.574 20 30 CCEDMN Cc1noc(CNC[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001026732719 625579052 /nfs/dbraw/zinc/57/90/52/625579052.db2.gz MSONZBNNXIBNHL-GXSJLCMTSA-N 0 1 277.328 0.476 20 30 CCEDMN CCc1noc(CNC[C@@H]2CCN(C(=O)[C@@H](C)C#N)C2)n1 ZINC001026735418 625582072 /nfs/dbraw/zinc/58/20/72/625582072.db2.gz MZEKWRAHPWJNNI-QWRGUYRKSA-N 0 1 291.355 0.730 20 30 CCEDMN C#CCN1CCC[C@H](CNC(=O)c2ncc(OC)cn2)C1 ZINC001023479577 623039480 /nfs/dbraw/zinc/03/94/80/623039480.db2.gz MMGMLPNLFFXGIJ-GFCCVEGCSA-N 0 1 288.351 0.560 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CCN(CC#N)[C@@H](C)C2)n[nH]1 ZINC000947295264 623055693 /nfs/dbraw/zinc/05/56/93/623055693.db2.gz JGMRYLCNAGHOBK-ONGXEEELSA-N 0 1 276.344 0.148 20 30 CCEDMN C#CCC[N@@H+]1CCCC[C@@H]1CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001024520130 623344218 /nfs/dbraw/zinc/34/42/18/623344218.db2.gz DGGRETPIYCOOOU-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@@H]1CNC(=O)[C@@H]1CCNC1=O ZINC001024599621 623389499 /nfs/dbraw/zinc/38/94/99/623389499.db2.gz NFTWGFOBLQBLHS-CHWSQXEVSA-N 0 1 277.368 0.117 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)c1c[nH]c(=O)cn1 ZINC001024624756 623400492 /nfs/dbraw/zinc/40/04/92/623400492.db2.gz ZDSQOTMZNLSASB-LLVKDONJSA-N 0 1 276.340 0.540 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001024653588 623411574 /nfs/dbraw/zinc/41/15/74/623411574.db2.gz GCMXTOVCFDGIGT-RDBSUJKOSA-N 0 1 291.395 0.492 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001024653588 623411585 /nfs/dbraw/zinc/41/15/85/623411585.db2.gz GCMXTOVCFDGIGT-RDBSUJKOSA-N 0 1 291.395 0.492 20 30 CCEDMN O=C(NCC#CCO)N1CCCC[C@H]1c1nnc[nH]1 ZINC000923763966 623441849 /nfs/dbraw/zinc/44/18/49/623441849.db2.gz GEVLCYKVTAZPMC-JTQLQIEISA-N 0 1 263.301 0.037 20 30 CCEDMN COc1cccc(CN[C@H]2CCN(C(=O)[C@H](C)C#N)C2)n1 ZINC001018629880 623699679 /nfs/dbraw/zinc/69/96/79/623699679.db2.gz BZCNCJYPTSZEFW-YPMHNXCESA-N 0 1 288.351 0.940 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H](CCC)C(=O)[O-] ZINC000327978135 623817578 /nfs/dbraw/zinc/81/75/78/623817578.db2.gz HZNBRRTXZZHYTR-LLVKDONJSA-N 0 1 269.345 0.494 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1CC(OCC)C1 ZINC001025767672 623880838 /nfs/dbraw/zinc/88/08/38/623880838.db2.gz FUAQMEZRVYFQLM-QSCRHYGLSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCC[C@H]1OC ZINC001025823083 623890223 /nfs/dbraw/zinc/89/02/23/623890223.db2.gz OIQAUIRMSAGBJC-RGDJUOJXSA-N 0 1 262.353 0.481 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@H](CNCc2nncn2C)C1 ZINC001026994773 625685282 /nfs/dbraw/zinc/68/52/82/625685282.db2.gz YHZNNWGMRQDFAL-GFCCVEGCSA-N 0 1 291.399 0.965 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H](C)C2CN(CC#N)C2)c1C ZINC000969404332 624497527 /nfs/dbraw/zinc/49/75/27/624497527.db2.gz LJXKXKFXBPPTOS-SNVBAGLBSA-N 0 1 261.329 0.600 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)NC[C@H]1CN(C)CCN1C ZINC000932524127 624630299 /nfs/dbraw/zinc/63/02/99/624630299.db2.gz CUCXMALPPSUGEB-AWEZNQCLSA-N 0 1 286.379 0.842 20 30 CCEDMN N#CCN[C@H]1C[C@H](NC(=O)c2n[nH]c3ccccc32)C1 ZINC001021004088 624640813 /nfs/dbraw/zinc/64/08/13/624640813.db2.gz GCMGEKMCZNEOHC-MGCOHNPYSA-N 0 1 269.308 0.937 20 30 CCEDMN Cn1ccnc1CN[C@H]1C[C@@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001021984366 624858988 /nfs/dbraw/zinc/85/89/88/624858988.db2.gz UPEHLMADRHIKPL-TXEJJXNPSA-N 0 1 298.350 0.670 20 30 CCEDMN Cc1cc(C#N)nc(NCCN2CCN(C)CC2)c1 ZINC000891620180 624892390 /nfs/dbraw/zinc/89/23/90/624892390.db2.gz QOPFZQLEDPWMGD-UHFFFAOYSA-N 0 1 259.357 0.921 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@@H](N(C)CC#N)C2)[nH]1 ZINC001027334138 625835940 /nfs/dbraw/zinc/83/59/40/625835940.db2.gz IUDWXNAARNQZHE-LLVKDONJSA-N 0 1 261.329 0.778 20 30 CCEDMN C[C@@H](NCCN1CCNC1=O)c1cc(C#N)ccn1 ZINC000903092904 634535270 /nfs/dbraw/zinc/53/52/70/634535270.db2.gz FKJUSZRNJXQIBI-SNVBAGLBSA-N 0 1 259.313 0.629 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cnn(C)c1N ZINC001027836410 630962759 /nfs/dbraw/zinc/96/27/59/630962759.db2.gz JDSIBPKQRWUPCR-SNVBAGLBSA-N 0 1 263.345 0.383 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H]1CNC(=O)c1cc(=O)n(C)o1 ZINC001027851101 630979586 /nfs/dbraw/zinc/97/95/86/630979586.db2.gz FHZVXIWWQNUICL-JTQLQIEISA-N 0 1 265.313 0.359 20 30 CCEDMN Cc1cc(C[C@@H](C)NC(=O)N2CCO[C@](C)(C#N)C2)n[nH]1 ZINC000893746990 631450693 /nfs/dbraw/zinc/45/06/93/631450693.db2.gz UZMPKVJBCDCEQD-QMTHXVAHSA-N 0 1 291.355 0.973 20 30 CCEDMN Cn1ccc(CN[C@@H]2CCN(C(=O)c3cc(C#N)c[nH]3)C2)n1 ZINC000968341137 650011839 /nfs/dbraw/zinc/01/18/39/650011839.db2.gz LQLMFJSICASYAW-CYBMUJFWSA-N 0 1 298.350 0.624 20 30 CCEDMN C#CCCN1CCC[C@H](NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001006644904 650046494 /nfs/dbraw/zinc/04/64/94/650046494.db2.gz NJBNTKBOCIPQSF-AWEZNQCLSA-N 0 1 273.336 0.538 20 30 CCEDMN Cc1cc(C#N)nc(N[C@H](C)[C@@H]2CN(C)CCN2C)n1 ZINC000895248246 632031305 /nfs/dbraw/zinc/03/13/05/632031305.db2.gz ZBTMQFVBHBJJNY-YPMHNXCESA-N 0 1 274.372 0.703 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@@H]2COCCO2)C1 ZINC001006810872 650053787 /nfs/dbraw/zinc/05/37/87/650053787.db2.gz LAWYQHBEEGFBMO-STQMWFEESA-N 0 1 268.357 0.559 20 30 CCEDMN C[C@@H](NC(=O)C1(C#N)CC2(CC2)C1)[C@@H]1CN(C)CCN1C ZINC000896528337 632262159 /nfs/dbraw/zinc/26/21/59/632262159.db2.gz RZXPABXZJBIAGD-OLZOCXBDSA-N 0 1 290.411 0.821 20 30 CCEDMN C[C@@H](NC(=O)CC1(C#N)CC1)[C@@H]1CN(C)CCN1C ZINC000896539495 632273073 /nfs/dbraw/zinc/27/30/73/632273073.db2.gz PORYZNZZYWLWCU-NEPJUHHUSA-N 0 1 264.373 0.431 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)cn1)[C@@H]1C[N@@H+](C)CCN1C ZINC000896560438 632287004 /nfs/dbraw/zinc/28/70/04/632287004.db2.gz QECZLMOGVXJIPF-FZMZJTMJSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@H](NC(=O)c1ccc(C#N)cn1)[C@@H]1CN(C)CCN1C ZINC000896560438 632287011 /nfs/dbraw/zinc/28/70/11/632287011.db2.gz QECZLMOGVXJIPF-FZMZJTMJSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC([N@@H+](C)Cc2n[nH]c(=O)[n-]2)CC1 ZINC000985339068 632441198 /nfs/dbraw/zinc/44/11/98/632441198.db2.gz QHCOHOKCYILQKO-SECBINFHSA-N 0 1 292.343 0.093 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cn2cccc(C)c2=O)C1 ZINC001007318626 650074415 /nfs/dbraw/zinc/07/44/15/650074415.db2.gz AVJHFRRIRGSVOQ-CQSZACIVSA-N 0 1 289.379 0.923 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001007484982 650079544 /nfs/dbraw/zinc/07/95/44/650079544.db2.gz VQFQKPPHGWDRMX-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001007552334 650083544 /nfs/dbraw/zinc/08/35/44/650083544.db2.gz JHWUBUHAMZWMGW-JTQLQIEISA-N 0 1 264.329 0.804 20 30 CCEDMN C=C(C)CN1CCC[C@@H](NC(=O)c2cn(C)c(=O)cn2)C1 ZINC001007983901 650099007 /nfs/dbraw/zinc/09/90/07/650099007.db2.gz NZPWMNWAMIJTSF-GFCCVEGCSA-N 0 1 290.367 0.551 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2OC[C@@H]3COCC[C@H]23)C1 ZINC001008036470 650100275 /nfs/dbraw/zinc/10/02/75/650100275.db2.gz IKJVLHRUXDMNBQ-ZQDZILKHSA-N 0 1 294.395 0.805 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)CCn2ccnn2)C1 ZINC000988714056 633089283 /nfs/dbraw/zinc/08/92/83/633089283.db2.gz SLTFWURMQVVCEJ-VXGBXAGGSA-N 0 1 297.790 1.000 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@@H](C)N(C(=O)C2CN(C(C)=O)C2)C1 ZINC000988721671 633092140 /nfs/dbraw/zinc/09/21/40/633092140.db2.gz UZTVXHDDUXQKOJ-MFKMUULPSA-N 0 1 299.802 0.796 20 30 CCEDMN C[C@H]1C[C@@H](NCC#N)CN1C(=O)CCc1cnc[nH]1 ZINC000988934726 633128604 /nfs/dbraw/zinc/12/86/04/633128604.db2.gz UTACYMQHZGJBCZ-CMPLNLGQSA-N 0 1 261.329 0.445 20 30 CCEDMN C=C(Cl)CN[C@H]1C[C@H](C)N(C(=O)c2nnn(C)n2)C1 ZINC000989309188 633188675 /nfs/dbraw/zinc/18/86/75/633188675.db2.gz SQYGSIAIXQKAIB-IUCAKERBSA-N 0 1 284.751 0.155 20 30 CCEDMN Cc1nc(CNC(=O)N2CCC[C@@H](CC#N)C2)n[nH]1 ZINC000898351411 633309244 /nfs/dbraw/zinc/30/92/44/633309244.db2.gz QJDUQDBSRSKRCY-JTQLQIEISA-N 0 1 262.317 0.948 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3ccn[nH]3)CCC[C@H]12 ZINC000989970333 633395662 /nfs/dbraw/zinc/39/56/62/633395662.db2.gz OMIBFPNCUDRPER-JSGCOSHPSA-N 0 1 258.325 0.770 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC001014514526 633466770 /nfs/dbraw/zinc/46/67/70/633466770.db2.gz XAMYLBMUTYEBEO-AWEZNQCLSA-N 0 1 274.368 0.659 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(NCc2ncccn2)CC1 ZINC000990261323 633497899 /nfs/dbraw/zinc/49/78/99/633497899.db2.gz DKSDMBVHGUAVFY-LLVKDONJSA-N 0 1 273.340 0.717 20 30 CCEDMN C#CC[N@H+]1CC[C@@]2(NC(=O)c3[nH]nc(C)c3[O-])CCC[C@H]12 ZINC000990264347 633499078 /nfs/dbraw/zinc/49/90/78/633499078.db2.gz GGWMWLPSYMYNNI-NHYWBVRUSA-N 0 1 288.351 0.784 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnn(C)c3N)CCC[C@H]12 ZINC000990354500 633515666 /nfs/dbraw/zinc/51/56/66/633515666.db2.gz MNFLBKYDLAVGDY-SWLSCSKDSA-N 0 1 287.367 0.362 20 30 CCEDMN Cc1nnc(SCC(=O)Nc2cnn(CC#N)c2)[nH]1 ZINC000746187918 633551747 /nfs/dbraw/zinc/55/17/47/633551747.db2.gz YPDTUTMLVOOBEY-UHFFFAOYSA-N 0 1 277.313 0.564 20 30 CCEDMN Cc1n[nH]c(SCC(=O)Nc2cnn(CC#N)c2)n1 ZINC000746187918 633551756 /nfs/dbraw/zinc/55/17/56/633551756.db2.gz YPDTUTMLVOOBEY-UHFFFAOYSA-N 0 1 277.313 0.564 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cnnn3CC)CCC[C@@H]12 ZINC000990585391 633557880 /nfs/dbraw/zinc/55/78/80/633557880.db2.gz CFLRPGUFPDXXPU-UKRRQHHQSA-N 0 1 287.367 0.658 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)[C@@H]2CCc3[nH]nnc3C2)C1 ZINC001009200782 650139672 /nfs/dbraw/zinc/13/96/72/650139672.db2.gz FSUPSRUALCCKRX-MNOVXSKESA-N 0 1 288.355 0.014 20 30 CCEDMN N#CCN1CCC[C@H](NC(=O)[C@@H]2CCc3nn[nH]c3C2)C1 ZINC001009200782 650139675 /nfs/dbraw/zinc/13/96/75/650139675.db2.gz FSUPSRUALCCKRX-MNOVXSKESA-N 0 1 288.355 0.014 20 30 CCEDMN N#Cc1ccc(F)c(CNC[C@@H](O)C2(O)CCOCC2)c1 ZINC000905519107 634874322 /nfs/dbraw/zinc/87/43/22/634874322.db2.gz REVWUJZUQFDINF-CQSZACIVSA-N 0 1 294.326 0.689 20 30 CCEDMN CC(C)CN(CCC#N)C[C@H](O)C[C@]1(O)CCOC1 ZINC000905882386 634924717 /nfs/dbraw/zinc/92/47/17/634924717.db2.gz GTZMWUHVXPFIJD-ZIAGYGMSSA-N 0 1 270.373 0.760 20 30 CCEDMN N#CC1CN(C(=O)C2CCC(N3CCOCC3)CC2)C1 ZINC000908399999 635365726 /nfs/dbraw/zinc/36/57/26/635365726.db2.gz WLKMLYJOWABXIK-UHFFFAOYSA-N 0 1 277.368 0.859 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2CCC(O)CC2)C1 ZINC001010766050 650262429 /nfs/dbraw/zinc/26/24/29/650262429.db2.gz MRVZEFXKPOLLOW-CPCZMJQVSA-N 0 1 252.358 0.914 20 30 CCEDMN N#C[C@]1(C(=O)NCCN2CC[C@@H](O)C2)CC2CCC1CC2 ZINC000913172486 636762604 /nfs/dbraw/zinc/76/26/04/636762604.db2.gz YELLRFKVVOHZIA-UWTIDYAISA-N 0 1 291.395 0.889 20 30 CCEDMN C[C@H](Oc1ccccn1)C(=O)NCC#CCN(C)C ZINC000913523027 636832653 /nfs/dbraw/zinc/83/26/53/636832653.db2.gz JOXFJSWVHJJLLL-LBPRGKRZSA-N 0 1 261.325 0.530 20 30 CCEDMN Cc1nn(C)c2nc(C)c(C(=O)NCC#CCN(C)C)cc12 ZINC000913516185 636834303 /nfs/dbraw/zinc/83/43/03/636834303.db2.gz FJRYAJJDFOOTEH-UHFFFAOYSA-N 0 1 299.378 0.880 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCN(C(=O)[C@H]2CCCCN2C)CC1 ZINC001011404398 650301123 /nfs/dbraw/zinc/30/11/23/650301123.db2.gz BQAZPQLRCXZXCI-QWHCGFSZSA-N 0 1 292.383 0.301 20 30 CCEDMN N#CCN1CC[C@@]2(NC(=O)Cc3cnc[nH]3)CCC[C@@H]12 ZINC000992279139 637353068 /nfs/dbraw/zinc/35/30/68/637353068.db2.gz XYRNGJIFUBUETM-OCCSQVGLSA-N 0 1 273.340 0.589 20 30 CCEDMN O=C(C#Cc1ccc2c(c1)OCO2)NC12CCN(CC1)C2 ZINC000913631309 637383870 /nfs/dbraw/zinc/38/38/70/637383870.db2.gz PFMBISHZTVRLEQ-UHFFFAOYSA-N 0 1 284.315 0.731 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2csnn2)C1 ZINC001015426002 637636976 /nfs/dbraw/zinc/63/69/76/637636976.db2.gz CENVWQMOPHZQEL-VIFPVBQESA-N 0 1 250.327 0.366 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)C2=COCCO2)[C@@H]1C ZINC000993003520 637661776 /nfs/dbraw/zinc/66/17/76/637661776.db2.gz CITVFYQZFDQTIG-RYUDHWBXSA-N 0 1 264.325 0.477 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2ccon2)[C@@H]1C ZINC000993154810 637718931 /nfs/dbraw/zinc/71/89/31/637718931.db2.gz JPXAHDJQUNQOSS-AAEUAGOBSA-N 0 1 261.325 0.819 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2ccn(C)n2)[C@@H]1C ZINC000993319826 637781936 /nfs/dbraw/zinc/78/19/36/637781936.db2.gz XEGXAEHSWXQEQM-GXTWGEPZSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)[C@@H]1C ZINC000993609971 637819955 /nfs/dbraw/zinc/81/99/55/637819955.db2.gz ZGPWLFNSEUBETP-GXTWGEPZSA-N 0 1 287.363 0.932 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)c2cn(C)c(=O)[nH]2)[C@H]1C ZINC000993724558 637834715 /nfs/dbraw/zinc/83/47/15/637834715.db2.gz AOAHEISFQMTGDT-VXGBXAGGSA-N 0 1 290.367 0.732 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2nonc2C)[C@H]1C ZINC000994130866 637870717 /nfs/dbraw/zinc/87/07/17/637870717.db2.gz XWAJBRNQEJUWPF-CHWSQXEVSA-N 0 1 290.367 0.913 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cncn2C)[C@@H]1C ZINC000994207216 637875345 /nfs/dbraw/zinc/87/53/45/637875345.db2.gz JXDJUYMUVPTGCP-JSGCOSHPSA-N 0 1 274.368 0.565 20 30 CCEDMN Cc1cc(OCC(=O)C(C#N)C(=O)NC(C)C)no1 ZINC000916947060 637936323 /nfs/dbraw/zinc/93/63/23/637936323.db2.gz SMMCBZWOEMXSDB-VIFPVBQESA-N 0 1 265.269 0.595 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2COCCN2C)C1 ZINC001007162098 637952700 /nfs/dbraw/zinc/95/27/00/637952700.db2.gz IFYLKJVKCOJQFQ-CHWSQXEVSA-N 0 1 267.373 0.084 20 30 CCEDMN N#Cc1c[nH]c(=O)n(C[C@H]2C[C@@H]3[C@H](C2)C3(F)F)c1=O ZINC000917859561 637991638 /nfs/dbraw/zinc/99/16/38/637991638.db2.gz WNJLZXAKSPCEAR-ZFRGLUTLSA-N 0 1 267.235 0.700 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1C[C@@H](NCC#N)C(C)(C)C1 ZINC000995553871 638776493 /nfs/dbraw/zinc/77/64/93/638776493.db2.gz UMTOYRQMBKTIJV-NWDGAFQWSA-N 0 1 264.373 0.431 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@H](NCc2nccn2C)C(C)(C)C1 ZINC000995567102 638778143 /nfs/dbraw/zinc/77/81/43/638778143.db2.gz VQUVLHWXVQBXOP-RYUDHWBXSA-N 0 1 289.383 0.906 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC(NC(=O)C2=NC(=O)N(C)C2)C1 ZINC000999052272 638956441 /nfs/dbraw/zinc/95/64/41/638956441.db2.gz HVVBAMBRTCKWBJ-UHFFFAOYSA-N 0 1 292.339 0.279 20 30 CCEDMN Cn1nncc1CN[C@H]1CCCN(C(=O)C#CC2CC2)C1 ZINC000999125797 638962731 /nfs/dbraw/zinc/96/27/31/638962731.db2.gz CICXKRCNNGYZAM-ZDUSSCGKSA-N 0 1 287.367 0.309 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@@H]2CNC(=O)N2)C1 ZINC001000035698 639037410 /nfs/dbraw/zinc/03/74/10/639037410.db2.gz GYRGLZJZDBBCJR-UWVGGRQHSA-N 0 1 286.763 0.001 20 30 CCEDMN C#CCN1CCN(C(=O)CCSc2nnc(C)[nH]2)CC1 ZINC000073640681 639053258 /nfs/dbraw/zinc/05/32/58/639053258.db2.gz LZXFMGGWBVLXJG-UHFFFAOYSA-N 0 1 293.396 0.373 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cn(C)cn2)CC1 ZINC001000572702 639124226 /nfs/dbraw/zinc/12/42/26/639124226.db2.gz LVOCKUHZTJCQQX-UHFFFAOYSA-N 0 1 272.352 0.805 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(C)ncn2)CC1 ZINC001000580197 639125880 /nfs/dbraw/zinc/12/58/80/639125880.db2.gz OCKRKUHRXGYXHL-UHFFFAOYSA-N 0 1 270.336 0.780 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)c2cc(=O)n(C)o2)CC1 ZINC001000618210 639144258 /nfs/dbraw/zinc/14/42/58/639144258.db2.gz AUPQDMKKHBNLOI-UHFFFAOYSA-N 0 1 289.335 0.364 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CC(=O)N[C@H](C)C2)CC1 ZINC001000876226 639178858 /nfs/dbraw/zinc/17/88/58/639178858.db2.gz REUCDMYDABWULX-TZMCWYRMSA-N 0 1 289.379 0.283 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2cn[nH]c2-c2ccccn2)C1 ZINC001014673522 650477305 /nfs/dbraw/zinc/47/73/05/650477305.db2.gz NGDNMQBCUJFGDT-LLVKDONJSA-N 0 1 296.334 0.799 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cc(C(N)=O)co2)CC1 ZINC001001140116 639246113 /nfs/dbraw/zinc/24/61/13/639246113.db2.gz XBCVCVYFVYNVNI-UHFFFAOYSA-N 0 1 287.319 0.374 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCc3c[nH]nc3C2)C1 ZINC001016307655 639415064 /nfs/dbraw/zinc/41/50/64/639415064.db2.gz UTSLNGUELHJLQP-OCCSQVGLSA-N 0 1 286.379 0.728 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ncn(C)n2)CC1 ZINC001002740472 639450619 /nfs/dbraw/zinc/45/06/19/639450619.db2.gz BXNDNVAASPUASV-UHFFFAOYSA-N 0 1 261.329 0.033 20 30 CCEDMN C#CCN1CCC(NC(=O)Cn2cc(C3CC3)nn2)CC1 ZINC001003004379 639494512 /nfs/dbraw/zinc/49/45/12/639494512.db2.gz LMIVKPZZVGJXHV-UHFFFAOYSA-N 0 1 287.367 0.369 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CC(=O)N[C@@H](C)C2)CC1 ZINC001003221370 639517975 /nfs/dbraw/zinc/51/79/75/639517975.db2.gz IBGRUWDXUXWBCT-STQMWFEESA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2coc(OCC)n2)C1 ZINC001015096883 650528634 /nfs/dbraw/zinc/52/86/34/650528634.db2.gz MEINPCVNAWYBCJ-LLVKDONJSA-N 0 1 277.324 0.901 20 30 CCEDMN N#CCN1CC[C@@H](NC(=O)c2ccn3cncc3c2)C1 ZINC001015113433 650529252 /nfs/dbraw/zinc/52/92/52/650529252.db2.gz HZRXSYLFKHBAHS-GFCCVEGCSA-N 0 1 269.308 0.662 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2cncnc2C)CC1 ZINC001005134091 639879937 /nfs/dbraw/zinc/87/99/37/639879937.db2.gz NPMUGKDUHPSGRA-UHFFFAOYSA-N 0 1 272.352 0.955 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@@H]2CCCN(C(C)=O)C2)C1 ZINC001015156863 650533173 /nfs/dbraw/zinc/53/31/73/650533173.db2.gz VBZTZOPSEHOTLO-HUUCEWRRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)c2cc(=O)n(C)o2)CC1 ZINC001005326186 639899268 /nfs/dbraw/zinc/89/92/68/639899268.db2.gz XHXXQGUYZWVMJP-UHFFFAOYSA-N 0 1 291.351 0.538 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@H]2CCC(=O)NC2)CC1 ZINC001005494611 639912671 /nfs/dbraw/zinc/91/26/71/639912671.db2.gz YUZJHALYQAEANQ-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ncc(OC)cn2)C1 ZINC001015213774 650539330 /nfs/dbraw/zinc/53/93/30/650539330.db2.gz WGWYVOBEYBLWJB-LLVKDONJSA-N 0 1 274.324 0.313 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@H]2CCN(C)C2=O)CC1 ZINC001005844753 639985676 /nfs/dbraw/zinc/98/56/76/639985676.db2.gz DGJJEQLJIZFWGN-AWEZNQCLSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(OCC)no2)C1 ZINC001015298222 650551508 /nfs/dbraw/zinc/55/15/08/650551508.db2.gz DOSPXNNEXBWLKR-LLVKDONJSA-N 0 1 277.324 0.901 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)Cn2nccc2C)CC1 ZINC001006074403 640120015 /nfs/dbraw/zinc/12/00/15/640120015.db2.gz CCBZWSWCRZESPB-UHFFFAOYSA-N 0 1 274.368 0.748 20 30 CCEDMN Cc1cc[nH+]c([N-]N=Cc2cn(C)c(=O)n(C)c2=O)c1 ZINC000755901044 640338846 /nfs/dbraw/zinc/33/88/46/640338846.db2.gz SOZSPUMDBFMSDZ-UHFFFAOYSA-N 0 1 273.296 0.233 20 30 CCEDMN C[C@H](C#N)OCCN(C)Cc1cnc(N(C)C)nc1 ZINC000801939026 640677791 /nfs/dbraw/zinc/67/77/91/640677791.db2.gz PAESPPCEVYCRFM-LLVKDONJSA-N 0 1 263.345 0.903 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1cc2n(n1)CCO2 ZINC000810536563 640936871 /nfs/dbraw/zinc/93/68/71/640936871.db2.gz RJUHCFYARRIXGQ-SECBINFHSA-N 0 1 297.274 0.632 20 30 CCEDMN Cn1cc[nH]c(=NN=C2COc3ccccc32)c1=O ZINC000814863736 641078251 /nfs/dbraw/zinc/07/82/51/641078251.db2.gz ZNSFEHSNXUZRAL-UHFFFAOYSA-N 0 1 256.265 0.989 20 30 CCEDMN Cn1ccnc(NN=C2COc3ccccc32)c1=O ZINC000814863736 641078254 /nfs/dbraw/zinc/07/82/54/641078254.db2.gz ZNSFEHSNXUZRAL-UHFFFAOYSA-N 0 1 256.265 0.989 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(COC)on2)C1 ZINC001015803236 650632599 /nfs/dbraw/zinc/63/25/99/650632599.db2.gz JVNQZCBPUMFCOM-LLVKDONJSA-N 0 1 277.324 0.648 20 30 CCEDMN C=CCn1cc(C(=O)N[C@H]2CCN(CC(=C)C)C2)nn1 ZINC001015810534 650633212 /nfs/dbraw/zinc/63/32/12/650633212.db2.gz LNYHZBZKKIIATM-LBPRGKRZSA-N 0 1 275.356 0.844 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc3nncn3c2)C1 ZINC001015833314 650636778 /nfs/dbraw/zinc/63/67/78/650636778.db2.gz CRIJDUJHONFXKG-LBPRGKRZSA-N 0 1 271.324 0.719 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@H]2CCc3[nH]cnc3C2)C1 ZINC001015958648 650663595 /nfs/dbraw/zinc/66/35/95/650663595.db2.gz RTSUGBOMPOZERN-NWDGAFQWSA-N 0 1 272.352 0.338 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCc3n[nH]cc3C2)C1 ZINC001015987869 650669656 /nfs/dbraw/zinc/66/96/56/650669656.db2.gz OSYJPRVQDJHINV-YPMHNXCESA-N 0 1 274.368 0.891 20 30 CCEDMN CC(C)(C(=O)N[C@@H]1CCN(CC#N)C1)c1cnc[nH]1 ZINC001016002012 650673587 /nfs/dbraw/zinc/67/35/87/650673587.db2.gz SIHJVMZQJVQJFT-SNVBAGLBSA-N 0 1 261.329 0.401 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2ccc(N(C)C)nn2)C1 ZINC001016007294 650675030 /nfs/dbraw/zinc/67/50/30/650675030.db2.gz OVAYDQQEDXDCQR-GFCCVEGCSA-N 0 1 289.383 0.923 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccn(C)c2=O)C1 ZINC001016014296 650675688 /nfs/dbraw/zinc/67/56/88/650675688.db2.gz WQSDHADZLVQOJD-NSHDSACASA-N 0 1 261.325 0.375 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCCCC(=O)N2)C1 ZINC001016099641 650680876 /nfs/dbraw/zinc/68/08/76/650680876.db2.gz CCMOVCIEFDIWJN-STQMWFEESA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2ncccc2OC)C1 ZINC001016092369 650680927 /nfs/dbraw/zinc/68/09/27/650680927.db2.gz JOSQQQKDNMLILY-GFCCVEGCSA-N 0 1 273.336 0.918 20 30 CCEDMN N#CCSCCCn1cnc(-c2nn[nH]n2)c1C#N ZINC000826382122 641930983 /nfs/dbraw/zinc/93/09/83/641930983.db2.gz PILCQSBMPYKNKS-UHFFFAOYSA-N 0 1 274.313 0.582 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H]2CCCOC2)C1 ZINC001016279070 650711974 /nfs/dbraw/zinc/71/19/74/650711974.db2.gz WCDSKZRGMAIPJH-QWHCGFSZSA-N 0 1 250.342 0.627 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829273675 642154509 /nfs/dbraw/zinc/15/45/09/642154509.db2.gz WVZGWWPMULAKKS-GFCCVEGCSA-N 0 1 265.357 0.717 20 30 CCEDMN C=C(Cl)CN1CCC2(C[C@H]2NC(=O)C(N)=O)CC1 ZINC001016799653 650761414 /nfs/dbraw/zinc/76/14/14/650761414.db2.gz LGLFXLBKRONRNL-SECBINFHSA-N 0 1 271.748 0.195 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccncc1Cl ZINC000834481039 642574131 /nfs/dbraw/zinc/57/41/31/642574131.db2.gz ZLPFQGTZUCYDAW-GMSGAONNSA-N 0 1 250.689 0.576 20 30 CCEDMN Cc1nc(C(=O)N[C@H]2CNC[C@@H]2C#N)nn1-c1ccccc1 ZINC000834484437 642575203 /nfs/dbraw/zinc/57/52/03/642575203.db2.gz IVHFACXMLCBPRR-AAEUAGOBSA-N 0 1 296.334 0.417 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ncn(-c2ccccc2)n1 ZINC000834484813 642575979 /nfs/dbraw/zinc/57/59/79/642575979.db2.gz NMNGOEPMHZZXDD-ZYHUDNBSSA-N 0 1 282.307 0.109 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@@H]2C#N)cnn1C(C)C ZINC000834484685 642576218 /nfs/dbraw/zinc/57/62/18/642576218.db2.gz LVGUDUJVXKHNEC-CMPLNLGQSA-N 0 1 261.329 0.614 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCc1ccccc1N ZINC000834485237 642576447 /nfs/dbraw/zinc/57/64/47/642576447.db2.gz TYYSZWFYJSZCKO-YPMHNXCESA-N 0 1 258.325 0.429 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1csc([C@H]2CCCO2)n1 ZINC000834485030 642576887 /nfs/dbraw/zinc/57/68/87/642576887.db2.gz QYGHEYHSYDSPKJ-WCABBAIRSA-N 0 1 292.364 0.836 20 30 CCEDMN CN(C)C(=O)c1cccc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1 ZINC000834484773 642577018 /nfs/dbraw/zinc/57/70/18/642577018.db2.gz MVEMTJPVLFAKMP-STQMWFEESA-N 0 1 286.335 0.230 20 30 CCEDMN Cc1nn(CC(=O)N[C@@H]2CNC[C@@H]2C#N)c(C)c1Cl ZINC000834489402 642579485 /nfs/dbraw/zinc/57/94/85/642579485.db2.gz MBXIPGYYODSUPL-VHSXEESVSA-N 0 1 281.747 0.381 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc([N+](=O)[O-])cc1F ZINC000834489679 642579941 /nfs/dbraw/zinc/57/99/41/642579941.db2.gz OPHCYKDNHRICHP-RDDDGLTNSA-N 0 1 278.243 0.575 20 30 CCEDMN Cc1[nH]nc2nc(C)cc(C(=O)N[C@@H]3CNC[C@H]3C#N)c12 ZINC000834494783 642585129 /nfs/dbraw/zinc/58/51/29/642585129.db2.gz PHPSQKGDSWRBSZ-MWLCHTKSSA-N 0 1 284.323 0.416 20 30 CCEDMN C[C@H](CC(=O)N[C@H]1CNC[C@H]1C#N)NC(=O)C1CCCC1 ZINC000834495402 642585802 /nfs/dbraw/zinc/58/58/02/642585802.db2.gz VJBDBLCIYHYEBO-RTXFEEFZSA-N 0 1 292.383 0.299 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccn(-c2cccc(F)c2)n1 ZINC000834494611 642585887 /nfs/dbraw/zinc/58/58/87/642585887.db2.gz ORDADKDKWADGEK-QMTHXVAHSA-N 0 1 299.309 0.853 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(-n2ccnc2)ccn1 ZINC000834500566 642588464 /nfs/dbraw/zinc/58/84/64/642588464.db2.gz URDOZKCCJXILJD-MFKMUULPSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccc2cc[nH]c2n1 ZINC000834499311 642588530 /nfs/dbraw/zinc/58/85/30/642588530.db2.gz HBNBPDYSYUNXKT-GXSJLCMTSA-N 0 1 255.281 0.404 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cc(F)cc([N+](=O)[O-])c1N ZINC000834499457 642588990 /nfs/dbraw/zinc/58/89/90/642588990.db2.gz IOJJFEDFGOHGSF-MUWHJKNJSA-N 0 1 293.258 0.157 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccnc2ccncc21 ZINC000834505244 642591454 /nfs/dbraw/zinc/59/14/54/642591454.db2.gz JLPCTVDGGWVZBX-NOZJJQNGSA-N 0 1 267.292 0.471 20 30 CCEDMN C[C@H](Oc1ccc(F)cc1)C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834506080 642593532 /nfs/dbraw/zinc/59/35/32/642593532.db2.gz PNLXDLGHMFTHGC-OUJBWJOFSA-N 0 1 277.299 0.821 20 30 CCEDMN Cc1ccc(O[C@H](C)C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834506392 642593654 /nfs/dbraw/zinc/59/36/54/642593654.db2.gz SHVCJKHENOROBF-MBNYWOFBSA-N 0 1 273.336 0.990 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc([N+](=O)[O-])s1 ZINC000834512112 642596806 /nfs/dbraw/zinc/59/68/06/642596806.db2.gz RWEQFSDNCDALQT-RQJHMYQMSA-N 0 1 266.282 0.498 20 30 CCEDMN Cc1ccc(C)c(OCC(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834511660 642597120 /nfs/dbraw/zinc/59/71/20/642597120.db2.gz NLFAAUHBPMKTSN-OLZOCXBDSA-N 0 1 273.336 0.910 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)COc1ccc(Cl)cc1 ZINC000834511757 642597277 /nfs/dbraw/zinc/59/72/77/642597277.db2.gz NSEUIEIJAIEQJB-JOYOIKCWSA-N 0 1 279.727 0.947 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)CCc1nc2ccccc2[nH]1 ZINC000834512584 642598623 /nfs/dbraw/zinc/59/86/23/642598623.db2.gz YYEPMRXGAJBBMD-GXFFZTMASA-N 0 1 283.335 0.723 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cn(-c2ccccc2)nn1 ZINC000834516658 642600127 /nfs/dbraw/zinc/60/01/27/642600127.db2.gz KJGRAPFMUWBMQI-PWSUYJOCSA-N 0 1 282.307 0.109 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)Cc1ccc2c(c1)CCO2 ZINC000834517461 642600491 /nfs/dbraw/zinc/60/04/91/642600491.db2.gz VVQSZAOWUKNQPK-CHWSQXEVSA-N 0 1 271.320 0.392 20 30 CCEDMN COc1ccc(C#N)cc1NC(=O)N[C@H]1CNC[C@H]1C#N ZINC000841091074 642802797 /nfs/dbraw/zinc/80/27/97/642802797.db2.gz STYKJIOLNSUBRA-PWSUYJOCSA-N 0 1 285.307 0.800 20 30 CCEDMN Cc1ccc(NC(=O)N[C@@H]2CNC[C@@H]2C#N)c(N(C)C)n1 ZINC000841155207 642805255 /nfs/dbraw/zinc/80/52/55/642805255.db2.gz TZRNPTJAJOLUPH-CMPLNLGQSA-N 0 1 288.355 0.689 20 30 CCEDMN COc1ncncc1C=NNCCN1CCCCC1 ZINC000842523851 642907406 /nfs/dbraw/zinc/90/74/06/642907406.db2.gz ASGMJNMEYGOCAG-UHFFFAOYSA-N 0 1 263.345 0.895 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)c1ccn[nH]1 ZINC001017367580 650796896 /nfs/dbraw/zinc/79/68/96/650796896.db2.gz RFQBGQFOVOMGMY-TXEJJXNPSA-N 0 1 258.325 0.722 20 30 CCEDMN C[C@H](O)CN1C[C@H]2CC[C@@H](C1)N2C(=O)c1cc(C#N)c[nH]1 ZINC001017454524 650802868 /nfs/dbraw/zinc/80/28/68/650802868.db2.gz IUEPPMBAXISWQI-WCFLWFBJSA-N 0 1 288.351 0.556 20 30 CCEDMN C=C(C)CN1C[C@H]2CC[C@@H](C1)N2C(=O)CCc1nnc[nH]1 ZINC001017454655 650803715 /nfs/dbraw/zinc/80/37/15/650803715.db2.gz PFIGHIQCWSLVDZ-BETUJISGSA-N 0 1 289.383 0.989 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CNc1ccccc1[N+](=O)[O-] ZINC000843463821 643067668 /nfs/dbraw/zinc/06/76/68/643067668.db2.gz YECOARSUMPQMHZ-MWLCHTKSSA-N 0 1 289.295 0.234 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCCNC1=O ZINC001017637850 650814975 /nfs/dbraw/zinc/81/49/75/650814975.db2.gz KEROVDLCGHJAQB-MJBXVCDLSA-N 0 1 289.379 0.211 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1cn2ccccc2n1 ZINC000844194159 643177361 /nfs/dbraw/zinc/17/73/61/643177361.db2.gz AQMQGSSJDUDQFJ-JQWIXIFHSA-N 0 1 269.308 0.105 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1c[nH]c2ncccc12 ZINC000844194492 643177987 /nfs/dbraw/zinc/17/79/87/643177987.db2.gz IWFFBDWQWKJFAY-LDYMZIIASA-N 0 1 255.281 0.404 20 30 CCEDMN Cc1cccn2cc(CC(=O)N[C@@H]3CNC[C@@H]3C#N)nc12 ZINC000844195499 643178815 /nfs/dbraw/zinc/17/88/15/643178815.db2.gz VCWCZGRBOQJXFS-WCQYABFASA-N 0 1 283.335 0.413 20 30 CCEDMN N#Cc1ccc([C@@H](O)CN2C[C@@H]3C[C@H]2C[S@]3=O)cc1 ZINC000844430666 643214190 /nfs/dbraw/zinc/21/41/90/643214190.db2.gz CKXJBNANXCLKFF-WFTHSTBKSA-N 0 1 276.361 0.797 20 30 CCEDMN O=C(C#CC1CC1)N1CCC[C@H](c2n[nH]c(=O)o2)C1 ZINC000847089157 643488493 /nfs/dbraw/zinc/48/84/93/643488493.db2.gz DVSQZCDNYNQQKT-JTQLQIEISA-N 0 1 261.281 0.895 20 30 CCEDMN CN(C)c1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1Cl ZINC000848418894 643633965 /nfs/dbraw/zinc/63/39/65/643633965.db2.gz OGSHXTQIDSPMQW-GXSJLCMTSA-N 0 1 293.758 0.642 20 30 CCEDMN C[C@H](CC(=O)N[C@@H]1CNC[C@H]1C#N)[C@H]1CCCO1 ZINC000852827674 644013727 /nfs/dbraw/zinc/01/37/27/644013727.db2.gz NBDJRMCWMVRIAF-DDHJBXDOSA-N 0 1 251.330 0.419 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ncoc1-c1ccon1 ZINC000852828173 644013885 /nfs/dbraw/zinc/01/38/85/644013885.db2.gz UVRDNYRYCLZVEP-APPZFPTMSA-N 0 1 273.252 0.171 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C1CC2(CC2(F)F)C1 ZINC000852828451 644014238 /nfs/dbraw/zinc/01/42/38/644014238.db2.gz XWYFCVDUIYSDLV-UVCIYIGYSA-N 0 1 255.268 0.650 20 30 CCEDMN Cc1nnsc1CN[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001018631361 650886201 /nfs/dbraw/zinc/88/62/01/650886201.db2.gz CYNMFYJJQNYFQA-SCZZXKLOSA-N 0 1 279.369 0.697 20 30 CCEDMN O=C(N[C@@H]1CCCN(O)C1=O)[C@@H]1CCc2[nH]cnc2C1 ZINC000854648984 644242570 /nfs/dbraw/zinc/24/25/70/644242570.db2.gz NTZLFROWLSGBCW-PSASIEDQSA-N 0 1 278.312 0.011 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001018858038 650916819 /nfs/dbraw/zinc/91/68/19/650916819.db2.gz CWKYREVAVBTMGB-ADEWGFFLSA-N 0 1 299.802 0.844 20 30 CCEDMN N#CCN[C@H]1CCN(C(=O)c2c[nH]cc3ncnc2-3)C1 ZINC001018877159 650918027 /nfs/dbraw/zinc/91/80/27/650918027.db2.gz NZJDJTFISATELG-VIFPVBQESA-N 0 1 270.296 0.286 20 30 CCEDMN C#CCCN(CCOC)C(=O)c1n[nH]c(C)c1[N+](=O)[O-] ZINC000856387334 644415089 /nfs/dbraw/zinc/41/50/89/644415089.db2.gz BDKVQZDEAKFDGF-UHFFFAOYSA-N 0 1 280.284 0.738 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001019120048 650940429 /nfs/dbraw/zinc/94/04/29/650940429.db2.gz LOGYLURDVOJLEG-RYUDHWBXSA-N 0 1 299.802 0.988 20 30 CCEDMN N#Cc1cnn2cc(CN3C[C@@H]4C(=O)OC[C@@H]4C3)cnc12 ZINC000862545236 645005899 /nfs/dbraw/zinc/00/58/99/645005899.db2.gz LNIKJOKZXGIRFF-RYUDHWBXSA-N 0 1 283.291 0.206 20 30 CCEDMN N#CC(C(=O)C[C@@H]1CCCCO1)C(=O)NC1CC1 ZINC000120054083 645227545 /nfs/dbraw/zinc/22/75/45/645227545.db2.gz QCSBEXFXQZLKPX-WDEREUQCSA-N 0 1 250.298 0.933 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001019678693 650997525 /nfs/dbraw/zinc/99/75/25/650997525.db2.gz TXFULAHCGJJECY-UWVGGRQHSA-N 0 1 271.748 0.208 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@H]2C(=O)N[C@@H]1CCOC1 ZINC000867980592 645698338 /nfs/dbraw/zinc/69/83/38/645698338.db2.gz XFOCIRHDAOSJLO-TZMCWYRMSA-N 0 1 271.320 0.650 20 30 CCEDMN Cn1ccnc1CN[C@H]1C[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001020088692 651037001 /nfs/dbraw/zinc/03/70/01/651037001.db2.gz UPEHLMADRHIKPL-HAQNSBGRSA-N 0 1 298.350 0.670 20 30 CCEDMN N#Cc1cc(C(=O)N2CCN3C[C@H](O)C[C@H]3C2)co1 ZINC000869194295 645857974 /nfs/dbraw/zinc/85/79/74/645857974.db2.gz AJUKDWSGSCZXNW-WDEREUQCSA-N 0 1 261.281 0.042 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cccn(C2CC2)c1=O ZINC000870940312 646054181 /nfs/dbraw/zinc/05/41/81/646054181.db2.gz IFMIKRCZBZSYBG-JOYOIKCWSA-N 0 1 272.308 0.025 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cnn(CC2CC2)c1 ZINC000870940712 646054539 /nfs/dbraw/zinc/05/45/39/646054539.db2.gz LSFMJJZCBIEKSP-CMPLNLGQSA-N 0 1 259.313 0.134 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)C(F)(F)C1CCOCC1 ZINC000876801432 646668459 /nfs/dbraw/zinc/66/84/59/646668459.db2.gz CEKFHYAGTPVNLX-WPRPVWTQSA-N 0 1 273.283 0.276 20 30 CCEDMN Cc1nc2sccn2c1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000876801939 646668515 /nfs/dbraw/zinc/66/85/15/646668515.db2.gz KRRUQDXZDJUDDE-BDAKNGLRSA-N 0 1 275.337 0.546 20 30 CCEDMN C[C@H]1O[C@@H](C)[C@H](C)[C@H]1C(=O)N[C@@H]1CNC[C@H]1C#N ZINC000876802148 646669387 /nfs/dbraw/zinc/66/93/87/646669387.db2.gz LZWLNPKTLBHLJX-WGWHJZDNSA-N 0 1 251.330 0.274 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)C1(Nc2ccccc2)CC1 ZINC000876803148 646670682 /nfs/dbraw/zinc/67/06/82/646670682.db2.gz UMVYLBWEKHTKLF-WCQYABFASA-N 0 1 270.336 0.859 20 30 CCEDMN Cc1cn2ccc(C(=O)N[C@@H]3CNC[C@H]3C#N)cc2n1 ZINC000876803565 646670737 /nfs/dbraw/zinc/67/07/37/646670737.db2.gz WYGNTLYKGYHRIS-VXGBXAGGSA-N 0 1 269.308 0.484 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1C[C@H]1C1CCOCC1 ZINC000876803642 646671836 /nfs/dbraw/zinc/67/18/36/646671836.db2.gz XSRKTEIXCZRDBL-YVECIDJPSA-N 0 1 263.341 0.277 20 30 CCEDMN N#Cc1ccc2ncc(CN3C[C@H](CO)[C@H](CO)C3)n2c1 ZINC000877764956 646840783 /nfs/dbraw/zinc/84/07/83/646840783.db2.gz UQZJPVLEFDUUKT-BETUJISGSA-N 0 1 286.335 0.239 20 30 CCEDMN C[C@H](C#N)OCCN1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000878773524 646977762 /nfs/dbraw/zinc/97/77/62/646977762.db2.gz YZSONQCQMIFVCN-RWMBFGLXSA-N 0 1 250.346 0.833 20 30 CCEDMN CC(=NN1CC[NH+](C)CC1)c1cncc(C(=O)[O-])c1 ZINC000884370547 647721825 /nfs/dbraw/zinc/72/18/25/647721825.db2.gz NMBWIUFNCACTCJ-UHFFFAOYSA-N 0 1 262.313 0.751 20 30 CCEDMN N#CC1(C[C@H](O)CN2CCN3C(=O)CC[C@H]3C2)CCC1 ZINC000886099538 647901233 /nfs/dbraw/zinc/90/12/33/647901233.db2.gz ZEQRPIHNWKEDNF-STQMWFEESA-N 0 1 277.368 0.738 20 30 CCEDMN N#Cc1cncc(CN2CCN(CCCCO)CC2)c1 ZINC000930007114 648811905 /nfs/dbraw/zinc/81/19/05/648811905.db2.gz KLLKRLLCYKMQPE-UHFFFAOYSA-N 0 1 274.368 0.843 20 30 CCEDMN C[C@H](C#N)CN(CC1CN(S(C)(=O)=O)C1)C1CC1 ZINC000930088388 648821601 /nfs/dbraw/zinc/82/16/01/648821601.db2.gz SEWWMOGUPBWBAM-SNVBAGLBSA-N 0 1 271.386 0.502 20 30 CCEDMN Cc1cc(NC(=O)NCC#CCN(C)C)nn1CCC#N ZINC000930634839 648884440 /nfs/dbraw/zinc/88/44/40/648884440.db2.gz XEWMJWVCIAVMMY-UHFFFAOYSA-N 0 1 288.355 0.792 20 30 CCEDMN C[C@@H]1CC[C@@H](C(N)=O)[C@H](C)N1CC(=O)NC1(C#N)CCC1 ZINC000930909050 648923443 /nfs/dbraw/zinc/92/34/43/648923443.db2.gz DJXPDYWHKLFWAM-GRYCIOLGSA-N 0 1 292.383 0.523 20 30 CCEDMN C#CC[N@@H+](C[C@](O)(C(N)=O)c1ccccc1)C(C)C ZINC000932386895 649069435 /nfs/dbraw/zinc/06/94/35/649069435.db2.gz CQFRGOPDWWDMON-OAHLLOKOSA-N 0 1 260.337 0.703 20 30 CCEDMN N#CC[C@H](NC(=O)NCCN1CC[C@H](O)C1)C(F)(F)F ZINC000932893765 649098843 /nfs/dbraw/zinc/09/88/43/649098843.db2.gz CWHMDCAVPOEHPZ-IUCAKERBSA-N 0 1 294.277 0.197 20 30 CCEDMN O=C(C#CC1CC1)N1CCC2(CC1)CN(CCO)CCO2 ZINC000949358462 649420055 /nfs/dbraw/zinc/42/00/55/649420055.db2.gz WALQQSRRUUIOGM-UHFFFAOYSA-N 0 1 292.379 0.086 20 30 CCEDMN CN1CCO[C@@H]2CN(c3nc(C#N)ccc3[N+](=O)[O-])C[C@H]21 ZINC001164664753 719392940 /nfs/dbraw/zinc/39/29/40/719392940.db2.gz COXCQBFILPPYFG-VXGBXAGGSA-N 0 1 289.295 0.381 20 30 CCEDMN Cc1cc(C#N)cc(N2C[C@H]3OCCN(C)[C@@H]3C2)n1 ZINC000408109052 719469713 /nfs/dbraw/zinc/46/97/13/719469713.db2.gz WZQUQFVNXBRTNK-CHWSQXEVSA-N 0 1 258.325 0.781 20 30 CCEDMN CCO[C@H](C(=O)NCCN(C)CC#CCOC)C1CC1 ZINC001266274903 790379183 /nfs/dbraw/zinc/37/91/83/790379183.db2.gz FSSUZLGZLRXJIZ-AWEZNQCLSA-N 0 1 282.384 0.499 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@@H](C)CC)CC1 ZINC001266279288 790388299 /nfs/dbraw/zinc/38/82/99/790388299.db2.gz LATCYOHEYPGYFM-ZDUSSCGKSA-N 0 1 253.390 0.952 20 30 CCEDMN C#CCN(C)CCNC(=O)CCOC[C@H]1CCCO1 ZINC001266290920 790429626 /nfs/dbraw/zinc/42/96/26/790429626.db2.gz PFBWOANLKLZULY-CYBMUJFWSA-N 0 1 268.357 0.253 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CNC(=O)N2)CC1 ZINC001266390290 790623501 /nfs/dbraw/zinc/62/35/01/790623501.db2.gz RIWLDPLKBWNTFS-CYBMUJFWSA-N 0 1 292.383 0.004 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccnc(NC(C)=O)c1 ZINC001266425346 790674841 /nfs/dbraw/zinc/67/48/41/790674841.db2.gz LJDKGQMJPFSMIH-UHFFFAOYSA-N 0 1 288.351 0.725 20 30 CCEDMN C=CCOCC(=O)NC[C@@H]1CCCN1[C@@H]1CCN(C)C1=O ZINC001266453437 790743451 /nfs/dbraw/zinc/74/34/51/790743451.db2.gz FSDOWOXMHAKUKL-QWHCGFSZSA-N 0 1 295.383 0.000 20 30 CCEDMN C#CCCN1C[C@H]2OCCN(C(=O)[C@@H]3C[C@H]3CC)[C@H]2C1 ZINC001083199228 790766993 /nfs/dbraw/zinc/76/69/93/790766993.db2.gz ONQZAKVXMCXAOK-APIJFGDWSA-N 0 1 276.380 0.967 20 30 CCEDMN C#CCCN1C[C@H]2CC[C@@H](C1)N2C(=O)[C@H](C)CC(N)=O ZINC001266491199 790784024 /nfs/dbraw/zinc/78/40/24/790784024.db2.gz GXCVZIVJCLZXKK-UPJWGTAASA-N 0 1 277.368 0.196 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)Cn1ccccc1=O ZINC001266492460 790784630 /nfs/dbraw/zinc/78/46/30/790784630.db2.gz PJNZJAOLEPBGST-CYBMUJFWSA-N 0 1 273.336 0.062 20 30 CCEDMN Cc1cc(CNCCNC(=O)c2cc(C#N)c[nH]2)ncn1 ZINC001125361054 790800562 /nfs/dbraw/zinc/80/05/62/790800562.db2.gz OXRRBJXZXIUKNB-UHFFFAOYSA-N 0 1 284.323 0.504 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nc(C)c[nH]1 ZINC001114947540 790804012 /nfs/dbraw/zinc/80/40/12/790804012.db2.gz LOLHCPOXUOPDHO-ITGUQSILSA-N 0 1 288.351 0.028 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@H](NCc2ocnc2C)C1 ZINC001266524649 790869440 /nfs/dbraw/zinc/86/94/40/790869440.db2.gz YCTOFTZZTLEODK-ZDUSSCGKSA-N 0 1 291.351 0.713 20 30 CCEDMN COc1cccc(CNCCNC(=O)c2cc(C#N)c[nH]2)n1 ZINC001125391069 790873800 /nfs/dbraw/zinc/87/38/00/790873800.db2.gz QEYVQUYAQPOCHL-UHFFFAOYSA-N 0 1 299.334 0.810 20 30 CCEDMN NC(=O)CN1CC[C@H](CNC(=O)CC#Cc2ccccc2)C1 ZINC001266548983 790911201 /nfs/dbraw/zinc/91/12/01/790911201.db2.gz LJBNNWGOSIVRDB-OAHLLOKOSA-N 0 1 299.374 0.352 20 30 CCEDMN CCO[C@H]1C[C@H]1C(=O)NCCN(CC#CCOC)C1CC1 ZINC001266583944 790981890 /nfs/dbraw/zinc/98/18/90/790981890.db2.gz PHULJJLTAZFVRB-CABCVRRESA-N 0 1 294.395 0.642 20 30 CCEDMN CCO[C@@H]1C[C@H]1C(=O)NCCN(CC#CCOC)C1CC1 ZINC001266583946 790983214 /nfs/dbraw/zinc/98/32/14/790983214.db2.gz PHULJJLTAZFVRB-HUUCEWRRSA-N 0 1 294.395 0.642 20 30 CCEDMN C#CCN(CCNC(=O)C1CCC(O)CC1)C1CC1 ZINC001266590970 790992968 /nfs/dbraw/zinc/99/29/68/790992968.db2.gz UZUNDFNMSHSAKW-UHFFFAOYSA-N 0 1 264.369 0.751 20 30 CCEDMN Cc1nnc([C@@H](C)N[C@H]2C[C@H](NC(=O)C#CC3CC3)C2)[nH]1 ZINC001266591527 790995315 /nfs/dbraw/zinc/99/53/15/790995315.db2.gz NXXBVWLHBCVCKC-OASPWFOLSA-N 0 1 287.367 0.824 20 30 CCEDMN CC#CCN(CCNC(=O)C[C@@H]1CCNC1=O)C1CC1 ZINC001266594836 790999451 /nfs/dbraw/zinc/99/94/51/790999451.db2.gz SFVJWEUIFZFKGC-LBPRGKRZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN(CCNC(=O)Cc1cnn(CC)c1)C1CC1 ZINC001266615127 791036516 /nfs/dbraw/zinc/03/65/16/791036516.db2.gz AFBPTISIUQHSDL-UHFFFAOYSA-N 0 1 274.368 0.659 20 30 CCEDMN C=C(C)CN1CCC[C@@](CO)(NC(=O)[C@@H](C)COC)C1 ZINC001279470878 791065524 /nfs/dbraw/zinc/06/55/24/791065524.db2.gz JAPNULRMNGXTMX-DZGCQCFKSA-N 0 1 284.400 0.788 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)CCn1cncn1 ZINC001230777097 805666054 /nfs/dbraw/zinc/66/60/54/805666054.db2.gz XBEHWVCMBWIUJN-CYBMUJFWSA-N 0 1 277.372 0.777 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)CC ZINC001231000396 805708019 /nfs/dbraw/zinc/70/80/19/805708019.db2.gz SHELXCCATZQYIR-LLVKDONJSA-N 0 1 255.362 0.525 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@H](CC)OC ZINC001231116038 805732948 /nfs/dbraw/zinc/73/29/48/805732948.db2.gz YECCUZNLNJCWNC-OLZOCXBDSA-N 0 1 252.358 0.967 20 30 CCEDMN C=CCOCC(=O)N(C)C[C@@H]1CCN1C[C@H](C)O ZINC001231241798 805757899 /nfs/dbraw/zinc/75/78/99/805757899.db2.gz ZLCBUXUVXLGJDQ-RYUDHWBXSA-N 0 1 256.346 0.103 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H]1CCN1CCc1ccnn1C ZINC001231293128 805771358 /nfs/dbraw/zinc/77/13/58/805771358.db2.gz JUPIFUMWQGVANZ-TZMCWYRMSA-N 0 1 289.383 0.655 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)C[C@H](C)OC)C2)C1 ZINC001277509258 805782885 /nfs/dbraw/zinc/78/28/85/805782885.db2.gz JOIDQQUEQBGLGT-ZFWWWQNUSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C[C@@H]1CCOC1 ZINC001231402051 805792654 /nfs/dbraw/zinc/79/26/54/805792654.db2.gz QYWHHEPKLWKLHR-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C=C[C@@H](CC)CC(=O)N1CC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001277521976 805809733 /nfs/dbraw/zinc/80/97/33/805809733.db2.gz ABNZLLSOJNGQMD-XJKSGUPXSA-N 0 1 293.411 0.998 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)Cn1nccc1C ZINC001277529321 805813434 /nfs/dbraw/zinc/81/34/34/805813434.db2.gz XYUYPYKLRHWUGO-UHFFFAOYSA-N 0 1 292.383 0.279 20 30 CCEDMN COc1cc(C#N)ccc1CN1CC[C@H](O)[C@H](CO)C1 ZINC001231616479 805820042 /nfs/dbraw/zinc/82/00/42/805820042.db2.gz BKXGXRJTVGPIGW-KBPBESRZSA-N 0 1 276.336 0.742 20 30 CCEDMN CC(C)(C)N(Cc1cc(C#N)ccn1)C[C@@H](O)CO ZINC001232477979 805945887 /nfs/dbraw/zinc/94/58/87/805945887.db2.gz QRHZGFPVXUGIMS-CYBMUJFWSA-N 0 1 263.341 0.907 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cn(C)nc3C)C[C@@H]21 ZINC001041974807 791581250 /nfs/dbraw/zinc/58/12/50/791581250.db2.gz GSWLRGAPFQFEJL-HIFRSBDPSA-N 0 1 286.379 0.898 20 30 CCEDMN CC#CCN1CC[C@H]1CN(C)C(=O)CCc1nc[nH]n1 ZINC001233531486 806077524 /nfs/dbraw/zinc/07/75/24/806077524.db2.gz ZRSCRVXKYPSHTL-LBPRGKRZSA-N 0 1 275.356 0.293 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cn(CCOC)nn1 ZINC001038241484 791620172 /nfs/dbraw/zinc/62/01/72/791620172.db2.gz VWIDVDPTMHCLJO-LBPRGKRZSA-N 0 1 293.371 0.305 20 30 CCEDMN COC(=O)[C@H](CS)Nc1nc(F)nc2nc[nH]c21 ZINC001167618592 791638447 /nfs/dbraw/zinc/63/84/47/791638447.db2.gz LEUXQKSIBFGSMQ-BYPYZUCNSA-N 0 1 271.277 0.375 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C[C@H]1CCOC1 ZINC001267190076 791647142 /nfs/dbraw/zinc/64/71/42/791647142.db2.gz ZLIUYJPSCJEHHV-CYBMUJFWSA-N 0 1 268.357 0.111 20 30 CCEDMN C#Cc1ccc(C(=O)N2CCO[C@@H]3CN(C(C)C)C[C@@H]32)nc1 ZINC001083252390 791665197 /nfs/dbraw/zinc/66/51/97/791665197.db2.gz KGEWRDIDYRMQFX-JKSUJKDBSA-N 0 1 299.374 0.997 20 30 CCEDMN C#CCN(C)CCNC(=O)c1c(C)[nH]cc1NC(C)=O ZINC001267204881 791669878 /nfs/dbraw/zinc/66/98/78/791669878.db2.gz OLHADUOYRQHQHY-UHFFFAOYSA-N 0 1 276.340 0.576 20 30 CCEDMN COCC#CCN(C)CCNC(=O)C[C@@H](C)COC ZINC001267224267 791700713 /nfs/dbraw/zinc/70/07/13/791700713.db2.gz ACPQVIOJJQZCRB-CYBMUJFWSA-N 0 1 270.373 0.357 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@H](C)Cc1cnn(C)c1 ZINC001267225677 791702495 /nfs/dbraw/zinc/70/24/95/791702495.db2.gz YLLKNIGXZNODOO-CYBMUJFWSA-N 0 1 276.384 0.670 20 30 CCEDMN C#CCN(C)CCNC(=O)[C@@H](C)NC(=O)c1ccccc1 ZINC001267229659 791706786 /nfs/dbraw/zinc/70/67/86/791706786.db2.gz RTMZTHLFVGTYGJ-CYBMUJFWSA-N 0 1 287.363 0.486 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cn(C2CCC2)nn1 ZINC001267241683 793228475 /nfs/dbraw/zinc/22/84/75/793228475.db2.gz HEQMMYZKYGRMPY-UHFFFAOYSA-N 0 1 275.356 0.688 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)[C@@H]1CCCOCC1 ZINC001267240577 793229913 /nfs/dbraw/zinc/22/99/13/793229913.db2.gz QVFTZPUATBOKOI-OAHLLOKOSA-N 0 1 296.411 0.891 20 30 CCEDMN C#CCN(CC)CCNC(=O)C[C@@H](C)n1cccn1 ZINC001267254138 793249626 /nfs/dbraw/zinc/24/96/26/793249626.db2.gz FDPCNHLASXFICM-CYBMUJFWSA-N 0 1 262.357 0.906 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cnc2n[nH]c(C)c2c1 ZINC001267256516 793253596 /nfs/dbraw/zinc/25/35/96/793253596.db2.gz ZTEINMDQFADEBA-UHFFFAOYSA-N 0 1 285.351 0.951 20 30 CCEDMN C=CCOC[C@H](O)C[N@H+]1CCC(F)(F)[C@@H](N)C1 ZINC001246030147 807126965 /nfs/dbraw/zinc/12/69/65/807126965.db2.gz FAZPCUVBSBACIU-ZJUUUORDSA-N 0 1 250.289 0.218 20 30 CCEDMN C=C1O[C@@H](C)C(=O)C1Oc1cc(=O)n(C)c(=O)[nH]1 ZINC001234501305 806261996 /nfs/dbraw/zinc/26/19/96/806261996.db2.gz LFHQPAQEIJWENF-YFKPBYRVSA-N 0 1 252.226 0.084 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)/C(C)=C\CC ZINC001234984389 806328362 /nfs/dbraw/zinc/32/83/62/806328362.db2.gz PPXINZZCBIOTJS-RNQWEJQRSA-N 0 1 293.411 0.919 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cc1cnn(C)c1C ZINC001235209068 806388547 /nfs/dbraw/zinc/38/85/47/806388547.db2.gz IPHHBSWMBCSVPN-CQSZACIVSA-N 0 1 276.384 0.990 20 30 CCEDMN C=CCOCC(=O)NCCCN(C)CC(=O)N[C@H](C)CC ZINC001235697627 806494480 /nfs/dbraw/zinc/49/44/80/806494480.db2.gz BGCDBUDLOINTLR-CYBMUJFWSA-N 0 1 299.415 0.542 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@@H](CO)NCc1cncs1 ZINC001278070297 806649725 /nfs/dbraw/zinc/64/97/25/806649725.db2.gz HMLOKBUHVOEMTO-GWCFXTLKSA-N 0 1 299.396 0.037 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccccc1COC ZINC001278105817 806675375 /nfs/dbraw/zinc/67/53/75/806675375.db2.gz PMRBBZLEFYKMIX-ZDUSSCGKSA-N 0 1 276.336 0.147 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)CCc2cn[nH]c2)C1 ZINC001278432714 807162847 /nfs/dbraw/zinc/16/28/47/807162847.db2.gz GZQZUALXKUDGHF-AWEZNQCLSA-N 0 1 260.341 0.556 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)C(=O)Nc2ccc(C#N)cn2)CCN1C ZINC001121054828 798988397 /nfs/dbraw/zinc/98/83/97/798988397.db2.gz DOSFXJOBNURKGZ-ONGXEEELSA-N 0 1 287.323 0.101 20 30 CCEDMN C[C@@H]1[C@@H](NC(=O)C(=O)Nc2ccc(C#N)cn2)CCN1C ZINC001121054826 798988887 /nfs/dbraw/zinc/98/88/87/798988887.db2.gz DOSFXJOBNURKGZ-KOLCDFICSA-N 0 1 287.323 0.101 20 30 CCEDMN C[C@H]1[C@@H](NC(=O)C(=O)Nc2cccc(C#N)n2)CCN1C ZINC001121059053 798989677 /nfs/dbraw/zinc/98/96/77/798989677.db2.gz XWNPJAWUQZBGQB-ONGXEEELSA-N 0 1 287.323 0.101 20 30 CCEDMN Cn1c(-c2c[nH]nn2)nnc1N1CCC[C@H](C#N)C1 ZINC001121268297 799024700 /nfs/dbraw/zinc/02/47/00/799024700.db2.gz NZOLSYOBQFPWBH-MRVPVSSYSA-N 0 1 258.289 0.340 20 30 CCEDMN C#CCN(CC)c1nnc(C2=NO[C@@H](CO)C2)n1CC=C ZINC001121551288 799057096 /nfs/dbraw/zinc/05/70/96/799057096.db2.gz AKZOAXFBWYFNDT-LLVKDONJSA-N 0 1 289.339 0.409 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1ccc(C)c(Cl)c1 ZINC001278148700 806719668 /nfs/dbraw/zinc/71/96/68/806719668.db2.gz ZCXZKEBXVWHDIZ-LBPRGKRZSA-N 0 1 280.755 0.962 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)C1CC2(CCC2)C1 ZINC001278137728 806713353 /nfs/dbraw/zinc/71/33/53/806713353.db2.gz ISDXHPUFVNONPQ-CQSZACIVSA-N 0 1 294.395 0.283 20 30 CCEDMN C=CCN(Cc1ccccc1F)C(=O)[C@H](N)CO ZINC001121853978 799077773 /nfs/dbraw/zinc/07/77/73/799077773.db2.gz KONOTCZGCTWGOI-GFCCVEGCSA-N 0 1 252.289 0.660 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](CCO)c1ccccc1 ZINC001121880048 799082022 /nfs/dbraw/zinc/08/20/22/799082022.db2.gz DTOYEHZYDNGFAL-CQSZACIVSA-N 0 1 260.337 0.492 20 30 CCEDMN Cn1nc(C2CC2)cc1C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC001122011978 799121114 /nfs/dbraw/zinc/12/11/14/799121114.db2.gz YEBQIVUOYFCIFE-GXSJLCMTSA-N 0 1 259.313 0.139 20 30 CCEDMN C#CCNCC(=O)NCC[C@H]1CC[C@H](C(F)(F)F)O1 ZINC001122187373 799165125 /nfs/dbraw/zinc/16/51/25/799165125.db2.gz IGBKCQTVVXIXPH-NXEZZACHSA-N 0 1 278.274 0.825 20 30 CCEDMN COCC(=O)NCCNCc1cc(C#N)ccc1F ZINC001122316670 799196316 /nfs/dbraw/zinc/19/63/16/799196316.db2.gz LZXIKPMBUFBLJC-UHFFFAOYSA-N 0 1 265.288 0.550 20 30 CCEDMN C=C(C)Cn1c(C2=NO[C@H](CO)C2)nnc1N1CCCC1 ZINC001122501407 799251311 /nfs/dbraw/zinc/25/13/11/799251311.db2.gz OHOKEIFUIWSQDI-NSHDSACASA-N 0 1 291.355 0.940 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001123494059 799419911 /nfs/dbraw/zinc/41/99/11/799419911.db2.gz FPSVRLJSPRGWKB-OLZOCXBDSA-N 0 1 251.374 0.799 20 30 CCEDMN CC[C@H](C#N)C(=O)N1CCC[C@@H](Cc2nn[nH]n2)C1 ZINC001123910726 799502473 /nfs/dbraw/zinc/50/24/73/799502473.db2.gz VCBRNBPGHAAUKI-VHSXEESVSA-N 0 1 262.317 0.531 20 30 CCEDMN C#CCOCCN(C)C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001124133397 799564823 /nfs/dbraw/zinc/56/48/23/799564823.db2.gz RNOWRHKCIXEKJT-LLVKDONJSA-N 0 1 261.325 0.623 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](C)Oc1ccc(C)cc1 ZINC001278195436 806757695 /nfs/dbraw/zinc/75/76/95/806757695.db2.gz SIUXNXBXIHMMLG-KGLIPLIRSA-N 0 1 290.363 0.462 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1nnn(C)c1C ZINC001125169841 799665208 /nfs/dbraw/zinc/66/52/08/799665208.db2.gz KQHFMDCUROEBPW-UHFFFAOYSA-N 0 1 257.725 0.195 20 30 CCEDMN C#CCN(C(=O)c1ccc(=O)[nH]c1)C1CCN(CC#C)CC1 ZINC001278200480 806770479 /nfs/dbraw/zinc/77/04/79/806770479.db2.gz KNQTVTPPSYOWRY-UHFFFAOYSA-N 0 1 297.358 0.960 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)CN2CCCCC2=O)C1 ZINC001276396084 799762529 /nfs/dbraw/zinc/76/25/29/799762529.db2.gz BOXHBICLUGHCRI-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1cnn(C)c1C1CC1 ZINC001278214661 806776911 /nfs/dbraw/zinc/77/69/11/806776911.db2.gz YKWQXTKONYEACE-LBPRGKRZSA-N 0 1 290.367 0.001 20 30 CCEDMN C=CCO[C@H]1CO[C@@]2(C1)CCCN(CC(=O)N(C)C)C2 ZINC001203014696 799889640 /nfs/dbraw/zinc/88/96/40/799889640.db2.gz MXAUAVIFJHMDSC-UKRRQHHQSA-N 0 1 282.384 0.901 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)[C@H](C)OC)C1 ZINC001149309789 800245597 /nfs/dbraw/zinc/24/55/97/800245597.db2.gz IXTOQNPQQITCTC-STQMWFEESA-N 0 1 268.357 0.252 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)COCCC)C1 ZINC001149341909 800249278 /nfs/dbraw/zinc/24/92/78/800249278.db2.gz RUKKDNONTNLFGO-CQSZACIVSA-N 0 1 282.384 0.643 20 30 CCEDMN CC#CCN1CCCO[C@H](CNC(=O)C[C@H](C)OC)C1 ZINC001149361182 800252277 /nfs/dbraw/zinc/25/22/77/800252277.db2.gz FZSPOJDHGUGQFP-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN CON=CC(=O)N1CCN[C@@H](Cc2ccc(F)cc2)C1 ZINC001149542997 800273990 /nfs/dbraw/zinc/27/39/90/800273990.db2.gz QWSZARCOZTVFCE-ZDUSSCGKSA-N 0 1 279.315 0.801 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CC(C)(C)O)C1 ZINC001149630859 800284254 /nfs/dbraw/zinc/28/42/54/800284254.db2.gz DENARVNSLDMWNJ-LBPRGKRZSA-N 0 1 270.373 0.541 20 30 CCEDMN C=CC[N@H+]1CCCO[C@@H](CNC(=O)CCc2ncc[nH]2)C1 ZINC001149652379 800288369 /nfs/dbraw/zinc/28/83/69/800288369.db2.gz AHESTMVWLUQNDT-ZDUSSCGKSA-N 0 1 292.383 0.735 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)CCn2ccnc2)C1 ZINC001150053656 800314520 /nfs/dbraw/zinc/31/45/20/800314520.db2.gz LJHIKNADXGDWJN-CQSZACIVSA-N 0 1 292.383 0.666 20 30 CCEDMN C[C@@H]1CCCN1CC(=O)NC[C@@H]1CN(CC#N)CCCO1 ZINC001150316549 800330174 /nfs/dbraw/zinc/33/01/74/800330174.db2.gz FBGRLWGRFMDFFL-ZIAGYGMSSA-N 0 1 294.399 0.201 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cn2nccc2C)C1 ZINC001150385903 800333613 /nfs/dbraw/zinc/33/36/13/800333613.db2.gz IBNXQRDJECNRBR-CQSZACIVSA-N 0 1 292.383 0.585 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cc2ncc[nH]2)C1 ZINC001150507428 800343416 /nfs/dbraw/zinc/34/34/16/800343416.db2.gz FUIAFVPCBHUXSV-GFCCVEGCSA-N 0 1 278.356 0.345 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)[C@@H](C)COC)CC1 ZINC001151021140 800386110 /nfs/dbraw/zinc/38/61/10/800386110.db2.gz MVMIMIQWJBUZEV-AWEZNQCLSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)[C@@H](C)C#N)CC1 ZINC001151026962 800388075 /nfs/dbraw/zinc/38/80/75/800388075.db2.gz LPOINYOQDAEPPP-ZDUSSCGKSA-N 0 1 277.368 0.767 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCc2nncn2C1 ZINC001152145638 800495409 /nfs/dbraw/zinc/49/54/09/800495409.db2.gz CVDTTYZIUPWCMT-SNVBAGLBSA-N 0 1 283.763 0.299 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)[N@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001152370502 800513273 /nfs/dbraw/zinc/51/32/73/800513273.db2.gz IZSRZTQDZWAJRI-SNVBAGLBSA-N 0 1 297.359 0.040 20 30 CCEDMN C=CCOCC(=O)NC[C@@H](C)[N@@H+](CC)Cc1n[nH]c(=O)[n-]1 ZINC001152370502 800513276 /nfs/dbraw/zinc/51/32/76/800513276.db2.gz IZSRZTQDZWAJRI-SNVBAGLBSA-N 0 1 297.359 0.040 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@H]1CCCN1C ZINC001152386955 800515996 /nfs/dbraw/zinc/51/59/96/800515996.db2.gz UVJLFQSQTBNHQO-VXGBXAGGSA-N 0 1 252.362 0.431 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@](C)(NC(C)=O)C(C)C ZINC001152885267 800564522 /nfs/dbraw/zinc/56/45/22/800564522.db2.gz SHIWQODULSWHLI-CYBMUJFWSA-N 0 1 289.807 0.996 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCNCc1n[nH]c(C(C)C)n1 ZINC001153135220 800600855 /nfs/dbraw/zinc/60/08/55/800600855.db2.gz NYQQCJCOAYTKOR-NSHDSACASA-N 0 1 295.387 0.725 20 30 CCEDMN CC#CC[N@H+](CC)[C@H](C)CNC(=O)c1cc(OC)ncn1 ZINC001153388105 800627871 /nfs/dbraw/zinc/62/78/71/800627871.db2.gz RWFFHPLBHOYQQO-GFCCVEGCSA-N 0 1 290.367 0.949 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1cc2cn[nH]c2cc1OC ZINC001154798417 800847889 /nfs/dbraw/zinc/84/78/89/800847889.db2.gz PBUVGPMEAHNNIO-VIFPVBQESA-N 0 1 261.281 0.848 20 30 CCEDMN C=CCCC(=O)NCCCNCc1cnn(C)n1 ZINC001155579548 801014209 /nfs/dbraw/zinc/01/42/09/801014209.db2.gz GLJGOIAJPQGTEH-UHFFFAOYSA-N 0 1 251.334 0.377 20 30 CCEDMN N#CCc1cncc(NCCNC(=O)C(F)(F)F)n1 ZINC001156203572 801129464 /nfs/dbraw/zinc/12/94/64/801129464.db2.gz RAXXBDAIUVELKP-UHFFFAOYSA-N 0 1 273.218 0.633 20 30 CCEDMN COC(=O)c1cc(C#N)cnc1N1CCN2CC[C@@H]2C1 ZINC001158432541 801647729 /nfs/dbraw/zinc/64/77/29/801647729.db2.gz WYDCUZCFPBQTQG-LLVKDONJSA-N 0 1 272.308 0.634 20 30 CCEDMN C=C(C)CCC(=O)NCCC[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001159014928 801739321 /nfs/dbraw/zinc/73/93/21/801739321.db2.gz KZQYDWNBLCDGMH-UHFFFAOYSA-N 0 1 281.360 0.061 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)NCCCNCC#N ZINC001159155643 801767048 /nfs/dbraw/zinc/76/70/48/801767048.db2.gz SAQLUINSDOUGMC-LLVKDONJSA-N 0 1 258.341 0.286 20 30 CCEDMN CC(C)C[C@H](NC(=O)CN)C(=O)N(CCC#N)CCC#N ZINC001160751394 802013968 /nfs/dbraw/zinc/01/39/68/802013968.db2.gz VBTDYRFXQVZFJS-LBPRGKRZSA-N 0 1 293.371 0.132 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC(Oc2ncc(F)cn2)CC1 ZINC001161313749 802124102 /nfs/dbraw/zinc/12/41/02/802124102.db2.gz VSRHOMHNYIQTDA-AWEZNQCLSA-N 0 1 292.314 0.336 20 30 CCEDMN N#CCNCCCNC(=O)c1c[nH]c2ccccc2c1=O ZINC001161685271 802199256 /nfs/dbraw/zinc/19/92/56/802199256.db2.gz FHBDEEMIONZLPB-UHFFFAOYSA-N 0 1 284.319 0.761 20 30 CCEDMN CC(=O)CC(C)=Nc1ccc([N+](=O)[O-])c(C(N)=O)n1 ZINC001163733725 802600619 /nfs/dbraw/zinc/60/06/19/802600619.db2.gz ZKBNPXCJKKVWHN-WAYWQWQTSA-N 0 1 264.241 0.993 20 30 CCEDMN N#CCNCC1(CCNC(=O)[C@@H]2CC2[N+](=O)[O-])CC1 ZINC001164491042 802748097 /nfs/dbraw/zinc/74/80/97/802748097.db2.gz YFUQBTHPLBUFEK-NXEZZACHSA-N 0 1 266.301 0.051 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1[nH]ccc2ncc(C#N)c1-2 ZINC001165442417 802844694 /nfs/dbraw/zinc/84/46/94/802844694.db2.gz NPFZWZDNRHQTLS-LLVKDONJSA-N 0 1 285.307 0.386 20 30 CCEDMN COCc1nn(-c2cc(C)nc(C#N)n2)c2c1CNC2 ZINC001165633721 802858872 /nfs/dbraw/zinc/85/88/72/802858872.db2.gz VCCHNSHFRSNUDG-UHFFFAOYSA-N 0 1 270.296 0.592 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cnc2n1CCOC2 ZINC001038363395 811760923 /nfs/dbraw/zinc/76/09/23/811760923.db2.gz BDYGJNRCAZLWKK-LBPRGKRZSA-N 0 1 290.367 0.794 20 30 CCEDMN CN(CCc1ccccc1)CC(=O)NCCCNCC#N ZINC001166751791 802956796 /nfs/dbraw/zinc/95/67/96/802956796.db2.gz WLKSAYPPGWKBIP-UHFFFAOYSA-N 0 1 288.395 0.780 20 30 CCEDMN C[C@@H](C#N)C(=O)NC1CN(CC2CCOCC2)C1 ZINC001268259957 812426059 /nfs/dbraw/zinc/42/60/59/812426059.db2.gz RLLITAWTRBEROY-JTQLQIEISA-N 0 1 251.330 0.373 20 30 CCEDMN N#CCNCC1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC001204868389 803107048 /nfs/dbraw/zinc/10/70/48/803107048.db2.gz BMYZWRKWMQQTEN-UHFFFAOYSA-N 0 1 261.329 0.304 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN([C@H]2CCN(C)C2=O)C[C@H]1C ZINC001206545102 803266221 /nfs/dbraw/zinc/26/62/21/803266221.db2.gz QQZMEMDXZAMMSS-UPJWGTAASA-N 0 1 279.384 0.620 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001206877581 803297202 /nfs/dbraw/zinc/29/72/02/803297202.db2.gz RTYUWVOJYDCFHB-UXIGCNINSA-N 0 1 264.369 0.607 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)C1(N(C)C)CC1 ZINC001270728308 813909314 /nfs/dbraw/zinc/90/93/14/813909314.db2.gz NGVMXCURFISDNE-CHWSQXEVSA-N 0 1 289.379 0.306 20 30 CCEDMN C#CCNC(=O)CC(=O)N[C@@H]1CN(C/C=C/Cl)C[C@H]1C ZINC001206892805 803301767 /nfs/dbraw/zinc/30/17/67/803301767.db2.gz IZYJXINARFLEFB-GKUNOOHESA-N 0 1 297.786 0.315 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CN(CC#CC)C[C@H]1C ZINC001206917148 803306303 /nfs/dbraw/zinc/30/63/03/803306303.db2.gz RNXSXOHYFMXARF-NFAWXSAZSA-N 0 1 264.369 0.773 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H](OC)C2CC2)C1 ZINC001206925637 803308654 /nfs/dbraw/zinc/30/86/54/803308654.db2.gz HYJBJAVAEZFOAD-RAIGVLPGSA-N 0 1 250.342 0.481 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@]1(O)CCN(CC#CC)C1 ZINC001271153466 814219904 /nfs/dbraw/zinc/21/99/04/814219904.db2.gz UVMLNDSBVOOMFE-HNNXBMFYSA-N 0 1 264.369 0.919 20 30 CCEDMN Cn1cc(CN2CC[C@H]3[C@@H]2CCN3C(=O)CO)cc1C#N ZINC001271274477 814360795 /nfs/dbraw/zinc/36/07/95/814360795.db2.gz CDHBAISCCMHFQV-KBPBESRZSA-N 0 1 288.351 0.064 20 30 CCEDMN N#Cc1ccc(-c2noc([C@H]3NCC[C@@H]3O)n2)nc1 ZINC001211940151 814938069 /nfs/dbraw/zinc/93/80/69/814938069.db2.gz GSMVOQCQPMZCDR-UWVGGRQHSA-N 0 1 257.253 0.399 20 30 CCEDMN O=C(C#CC1CC1)N[C@H](CNCc1cnns1)C1CC1 ZINC001276583995 803541770 /nfs/dbraw/zinc/54/17/70/803541770.db2.gz LKXHZPZASIVTJO-CYBMUJFWSA-N 0 1 290.392 0.936 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCOCCOC)C1 ZINC001211429489 803682258 /nfs/dbraw/zinc/68/22/58/803682258.db2.gz NBFPUKVLMHNOSE-CHWSQXEVSA-N 0 1 268.357 0.109 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CNC(=O)CC)C[C@H]1C ZINC001214337703 803735132 /nfs/dbraw/zinc/73/51/32/803735132.db2.gz AUVOBWYTCGFCES-MWLCHTKSSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CC(=O)N(C)C)C[C@H]1C ZINC001215825584 803826703 /nfs/dbraw/zinc/82/67/03/803826703.db2.gz YPRLSBTVOLCZTR-MWLCHTKSSA-N 0 1 287.791 0.654 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(CC)C2)[C@H]1C ZINC001088604373 814968903 /nfs/dbraw/zinc/96/89/03/814968903.db2.gz WTFURUKGQOZFGP-RDBSUJKOSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(C)CN1C[C@H]2OCCN(C(=O)CCCOC)[C@H]2C1 ZINC001217375204 803887649 /nfs/dbraw/zinc/88/76/49/803887649.db2.gz VOBNCJOFVQUCJF-UONOGXRCSA-N 0 1 282.384 0.901 20 30 CCEDMN COCCCCN1C[C@H]2OCCN(C(=O)[C@H](C)C#N)[C@H]2C1 ZINC001217554950 803895508 /nfs/dbraw/zinc/89/55/08/803895508.db2.gz LCOJXXSKPUPTCO-HZSPNIEDSA-N 0 1 295.383 0.484 20 30 CCEDMN N#Cc1nc[nH]c1NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001218594153 804025387 /nfs/dbraw/zinc/02/53/87/804025387.db2.gz HUWPDQJPOQPZLF-NSHDSACASA-N 0 1 280.291 0.662 20 30 CCEDMN C[S@](=O)CC[C@H](N)C(=O)Nc1ccsc1C#N ZINC001218623854 804039979 /nfs/dbraw/zinc/03/99/79/804039979.db2.gz CFEQSGJMKXOPKO-SKLCBMLGSA-N 0 1 271.367 0.654 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)CC1CC1 ZINC001272096709 814992048 /nfs/dbraw/zinc/99/20/48/814992048.db2.gz DZCRUXRZNCZFBA-UHFFFAOYSA-N 0 1 260.765 0.950 20 30 CCEDMN CC/C=C/CCN1C[C@@H](NC(=O)CSCC#N)[C@@H](O)C1 ZINC001219216445 804241298 /nfs/dbraw/zinc/24/12/98/804241298.db2.gz MDUYRNLURPDWNL-HNFMAKEJSA-N 0 1 297.424 0.761 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCSCC)[C@@H](O)C1 ZINC001219261633 804251649 /nfs/dbraw/zinc/25/16/49/804251649.db2.gz SLFGPLBIOCESGE-NEPJUHHUSA-N 0 1 272.414 0.867 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)CSCCC)[C@@H](O)C1 ZINC001219277249 804254458 /nfs/dbraw/zinc/25/44/58/804254458.db2.gz PLWMSCUEPAMZLO-NEPJUHHUSA-N 0 1 272.414 0.867 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)/C(C)=C/CC)[C@@H](O)C1 ZINC001219295564 804260268 /nfs/dbraw/zinc/26/02/68/804260268.db2.gz WVSWBXFGYGNCOZ-BDPFXEMMSA-N 0 1 282.384 0.707 20 30 CCEDMN C=CCCCN1C[C@@H](NC(=O)c2ncoc2CC)[C@@H](O)C1 ZINC001219401051 804299101 /nfs/dbraw/zinc/29/91/01/804299101.db2.gz KTGBILGLUYZZOH-NEPJUHHUSA-N 0 1 293.367 0.978 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC[C@@H]2CCOC2)[C@@H](O)C1 ZINC001219450766 804321304 /nfs/dbraw/zinc/32/13/04/804321304.db2.gz YUCAOBGKQOXPTC-MCIONIFRSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H]2CC2(C)C)[C@@H](O)C1 ZINC001219467375 804324766 /nfs/dbraw/zinc/32/47/66/804324766.db2.gz JEYFUXZZGVZNHK-UPJWGTAASA-N 0 1 282.384 0.396 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@@H]1O ZINC001219539646 804340171 /nfs/dbraw/zinc/34/01/71/804340171.db2.gz UTTOLJNWBCHQGU-MJBXVCDLSA-N 0 1 282.384 0.112 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(CCOCC=C)C[C@@H]1O ZINC001219539440 804341041 /nfs/dbraw/zinc/34/10/41/804341041.db2.gz LEBHDCJXXJZDOU-KGLIPLIRSA-N 0 1 280.368 0.154 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)C(C)=C2CCC2)[C@@H](O)C1 ZINC001219578739 804357788 /nfs/dbraw/zinc/35/77/88/804357788.db2.gz MNTKMHPEMVXBGU-CABCVRRESA-N 0 1 294.395 0.851 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C(C)(C)COC)[C@@H](O)C1 ZINC001220000122 804467756 /nfs/dbraw/zinc/46/77/56/804467756.db2.gz AQSNCIGTEFHLLP-NEPJUHHUSA-N 0 1 270.373 0.396 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cccc(-n2cccn2)c1 ZINC001220010661 804470037 /nfs/dbraw/zinc/47/00/37/804470037.db2.gz HULFHNWJMXLXDB-HNNXBMFYSA-N 0 1 268.320 0.839 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)[C@H]2C[C@H]3C[C@H]3C2)[C@@H](O)C1 ZINC001220196491 804533740 /nfs/dbraw/zinc/53/37/40/804533740.db2.gz TXCKSRQLOYRAHI-FQKPHLNHSA-N 0 1 294.395 0.396 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCCc1cn2ccccc2n1 ZINC001220278552 804560535 /nfs/dbraw/zinc/56/05/35/804560535.db2.gz WZSLQPPCGPTPDS-AWEZNQCLSA-N 0 1 256.309 0.344 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H]1CCO[C@H]1C ZINC001272138825 815027253 /nfs/dbraw/zinc/02/72/53/815027253.db2.gz ZRLSYLYNVCNHKD-UONOGXRCSA-N 0 1 282.384 0.451 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CC[C@@H]2CCCOC2)[C@@H](O)C1 ZINC001220651844 804642604 /nfs/dbraw/zinc/64/26/04/804642604.db2.gz NAGYKRUYIMJHHQ-ZNMIVQPWSA-N 0 1 296.411 0.931 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1cc[nH]c(=O)c1 ZINC001272143490 815028537 /nfs/dbraw/zinc/02/85/37/815028537.db2.gz ZTSMFEQSTLVUNQ-UHFFFAOYSA-N 0 1 299.758 0.564 20 30 CCEDMN C#C[C@](C)(N)C(=O)NCc1cc(-c2ccncc2)n[nH]1 ZINC001220693957 804650733 /nfs/dbraw/zinc/65/07/33/804650733.db2.gz IAYCLNGITPKDRS-AWEZNQCLSA-N 0 1 269.308 0.439 20 30 CCEDMN N#Cc1ccccc1C[C@H](N)C(=O)NC1(C#N)CCOCC1 ZINC001220967280 804710767 /nfs/dbraw/zinc/71/07/67/804710767.db2.gz YVLXJSQMXBUHJF-AWEZNQCLSA-N 0 1 298.346 0.617 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@@H]2O)CCCC1 ZINC001221196881 804743426 /nfs/dbraw/zinc/74/34/26/804743426.db2.gz OIZXQDQASAAVSI-MCIONIFRSA-N 0 1 296.411 0.665 20 30 CCEDMN CC(=O)OC[C@H](N)C(=O)N[C@@](C)(C#N)CC(C)C ZINC001221292392 804768370 /nfs/dbraw/zinc/76/83/70/804768370.db2.gz LALYNFGGRVNFKS-CMPLNLGQSA-N 0 1 255.318 0.321 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)[C@H](COC)OC)[C@@H]2C1 ZINC001221802584 804847998 /nfs/dbraw/zinc/84/79/98/804847998.db2.gz LTEAJKXZZCNLBM-MCIONIFRSA-N 0 1 282.384 0.757 20 30 CCEDMN CCO[C@H](C)C(=O)NCC1CCN(CC#CCOC)CC1 ZINC001222465119 804902825 /nfs/dbraw/zinc/90/28/25/804902825.db2.gz XAMMGDIAHDNBRK-CQSZACIVSA-N 0 1 296.411 0.889 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)C[C@H]1COC(=O)C1 ZINC001276835607 804931328 /nfs/dbraw/zinc/93/13/28/804931328.db2.gz SBULYASYICYABU-NEPJUHHUSA-N 0 1 266.341 0.706 20 30 CCEDMN C=C[C@@H]1O[C@@H](OCc2nn[nH]n2)[C@H]2OC(C)(C)O[C@@H]12 ZINC001223028110 804947150 /nfs/dbraw/zinc/94/71/50/804947150.db2.gz XLJLUYNWEDGEKL-MIBSWOBISA-N 0 1 268.273 0.147 20 30 CCEDMN N#Cc1ccc(C(=O)N2CC3(C[C@H]3C(N)=O)C2)c(O)c1 ZINC001276864640 804953883 /nfs/dbraw/zinc/95/38/83/804953883.db2.gz DQGXFDDKGHKHMT-JTQLQIEISA-N 0 1 271.276 0.211 20 30 CCEDMN CCNC(=O)CN1CCC(CNC(=O)C#CC2CC2)CC1 ZINC001223129638 804956641 /nfs/dbraw/zinc/95/66/41/804956641.db2.gz FMEAJIUQEUPHIL-UHFFFAOYSA-N 0 1 291.395 0.364 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)Cc1cn(C)nc1C ZINC001276866435 804956698 /nfs/dbraw/zinc/95/66/98/804956698.db2.gz DCXJJAWQXFVEBO-CQSZACIVSA-N 0 1 274.368 0.485 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2cnn3ncccc23)[C@H]1C ZINC001088627456 815044032 /nfs/dbraw/zinc/04/40/32/815044032.db2.gz OSTGKGTWJYCDDZ-OCCSQVGLSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)C[C@@](C)(O)C2CC2)C1 ZINC001278381250 807053984 /nfs/dbraw/zinc/05/39/84/807053984.db2.gz ISQJUSPPHDCNSY-HUUCEWRRSA-N 0 1 264.369 0.751 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC(NC(=O)C(F)F)CC1 ZINC001225740478 805156703 /nfs/dbraw/zinc/15/67/03/805156703.db2.gz NJTWGTLMOHDUDW-VIFPVBQESA-N 0 1 289.326 0.523 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](C)NC(C)=O)CC1 ZINC001225964542 805181846 /nfs/dbraw/zinc/18/18/46/805181846.db2.gz REBVKZYRUAIBQA-LLVKDONJSA-N 0 1 265.357 0.115 20 30 CCEDMN COCC#CCN1CCC(NC(=O)CCSC)CC1 ZINC001225986394 805184223 /nfs/dbraw/zinc/18/42/23/805184223.db2.gz CDALEZQAZNNFPT-UHFFFAOYSA-N 0 1 284.425 0.970 20 30 CCEDMN CC#CCN1CCC(NC(=O)CNC(=O)C2CC2)CC1 ZINC001226268419 805213756 /nfs/dbraw/zinc/21/37/56/805213756.db2.gz BJCHDPLECLNPJM-UHFFFAOYSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](C)COC)CC1 ZINC001226579305 805250100 /nfs/dbraw/zinc/25/01/00/805250100.db2.gz KMXOKXDJQICBHD-GFCCVEGCSA-N 0 1 252.358 0.873 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@@H]2C[C@H]2C)CC1 ZINC001277140002 805251417 /nfs/dbraw/zinc/25/14/17/805251417.db2.gz GDXSSRQCWRSQQL-IJLUTSLNSA-N 0 1 277.368 0.019 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)[C@@H]1CO[C@H](C)C1 ZINC001272196989 815064976 /nfs/dbraw/zinc/06/49/76/815064976.db2.gz QDGMQRSZGJQBGI-NEPJUHHUSA-N 0 1 290.791 0.574 20 30 CCEDMN COc1nc(O[C@@H]2CCN(CC#N)C2)cc(=O)[nH]1 ZINC001227000101 805306607 /nfs/dbraw/zinc/30/66/07/805306607.db2.gz DYGOGFOFICTVQR-MRVPVSSYSA-N 0 1 250.258 0.167 20 30 CCEDMN C#C[C@@H](Oc1[nH]c(=O)nc2[nH]ccc21)C(=O)OCC ZINC001227270732 805337598 /nfs/dbraw/zinc/33/75/98/805337598.db2.gz JQKPMMKUTBBNMA-MRVPVSSYSA-N 0 1 261.237 0.607 20 30 CCEDMN CC[C@@H](C#CC(=O)OC)Oc1[nH]c(=S)nnc1C ZINC001227599890 805370612 /nfs/dbraw/zinc/37/06/12/805370612.db2.gz BALMGLBSWGMXFU-QMMMGPOBSA-N 0 1 267.310 0.803 20 30 CCEDMN CN1[C@@H]2C[C@@H](Oc3nc(=O)[nH]c4c3COC4)C[C@H]1[C@@H]1O[C@@H]12 ZINC001227686047 805378514 /nfs/dbraw/zinc/37/85/14/805378514.db2.gz FASRSVBPDTVIIL-PDTZJJQMSA-N 0 1 291.307 0.204 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H](OC)[C@H]2CCOC2)CC1 ZINC001228494132 805451734 /nfs/dbraw/zinc/45/17/34/805451734.db2.gz XSQGRPUFNVZDTB-ZFWWWQNUSA-N 0 1 294.395 0.642 20 30 CCEDMN CC#CCN1CCC(NC(=O)CCn2ccnc2)CC1 ZINC001228516083 805453367 /nfs/dbraw/zinc/45/33/67/805453367.db2.gz GUYCAAJVFWFRNH-UHFFFAOYSA-N 0 1 274.368 0.877 20 30 CCEDMN Cc1cc(CN2CC3(C[C@@H]3C(=O)N3CC(CC#N)C3)C2)n[nH]1 ZINC001277349837 805497482 /nfs/dbraw/zinc/49/74/82/805497482.db2.gz AOYVKSABKWOBLC-CQSZACIVSA-N 0 1 299.378 0.912 20 30 CCEDMN C#C[C@H](Oc1nc(C)nc2[nH]cnc21)C(=O)OCC ZINC001229438180 805533958 /nfs/dbraw/zinc/53/39/58/805533958.db2.gz CZBKEFUUWQDAGG-QMMMGPOBSA-N 0 1 260.253 0.605 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](C)OCCOC)CC1 ZINC001229446590 805536299 /nfs/dbraw/zinc/53/62/99/805536299.db2.gz ZWSXHDVXYCJXCK-LBPRGKRZSA-N 0 1 268.357 0.252 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)[C@@H](C)n2cncn2)C1 ZINC001278380924 807054925 /nfs/dbraw/zinc/05/49/25/807054925.db2.gz FAZAFCWASUOBAA-TZMCWYRMSA-N 0 1 275.356 0.443 20 30 CCEDMN C#CCCCC(=O)N[C@]1(C)CCN([C@@H]2CCCNC2=O)C1 ZINC001278388603 807060029 /nfs/dbraw/zinc/06/00/29/807060029.db2.gz MKXNSKGYSIPJNM-CZUORRHYSA-N 0 1 291.395 0.649 20 30 CCEDMN CCC[N@@H+](C)CC(=O)N[C@@]12CCC[C@H]1N(CC#N)CC2 ZINC001278466553 807385793 /nfs/dbraw/zinc/38/57/93/807385793.db2.gz JNWGSJXDMADULP-UKRRQHHQSA-N 0 1 278.400 0.965 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)Cn2nc(C)cc2C)[C@H]1C ZINC001088722519 815119432 /nfs/dbraw/zinc/11/94/32/815119432.db2.gz AIMTYJTYZAYUMK-KGLIPLIRSA-N 0 1 274.368 0.712 20 30 CCEDMN C=CCCCNC(=S)N1CC(N2CCN(C)CC2)C1 ZINC001247739284 807485009 /nfs/dbraw/zinc/48/50/09/807485009.db2.gz NOOWPMWLXFVXQM-UHFFFAOYSA-N 0 1 282.457 0.759 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccn(CCOC)n2)[C@H]1C ZINC001088734285 815122817 /nfs/dbraw/zinc/12/28/17/815122817.db2.gz RAVMCWSGWDNXFY-OLZOCXBDSA-N 0 1 292.383 0.908 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@H](NC(=O)C2(C(=O)NC)CC2)[C@@H]1C ZINC001278521695 807562718 /nfs/dbraw/zinc/56/27/18/807562718.db2.gz PPHHUYQZNCBLGL-STQMWFEESA-N 0 1 291.395 0.505 20 30 CCEDMN C#CCC[N@@H+]1CCC[C@@H](NC(=O)C2(C(=O)NC)CC2)[C@@H]1C ZINC001278521694 807562828 /nfs/dbraw/zinc/56/28/28/807562828.db2.gz PPHHUYQZNCBLGL-QWHCGFSZSA-N 0 1 291.395 0.505 20 30 CCEDMN Cc1nccnc1CNCCNC(=O)C#CC(C)C ZINC001126815679 815130974 /nfs/dbraw/zinc/13/09/74/815130974.db2.gz IFSCTWZUJGYUML-UHFFFAOYSA-N 0 1 260.341 0.650 20 30 CCEDMN C=CCC[C@H](CO)NC(=O)N[C@H]1CCc2nc[nH]c2C1 ZINC001251642513 807692195 /nfs/dbraw/zinc/69/21/95/807692195.db2.gz SXYVNFAFXDCPRD-WDEREUQCSA-N 0 1 278.356 0.893 20 30 CCEDMN CN(C)CC#CCNC(=O)N(C)C[C@H]1CCC[C@@H]1O ZINC001251707808 807695102 /nfs/dbraw/zinc/69/51/02/807695102.db2.gz GTLHASGQRMOPCE-OLZOCXBDSA-N 0 1 267.373 0.354 20 30 CCEDMN CN(C)CC#CCNC(=O)N1CC[C@H]2CC[C@@H](C1)[S@]2=O ZINC001251707606 807695658 /nfs/dbraw/zinc/69/56/58/807695658.db2.gz AHAYYAGHJKZCCL-UVARLRKDSA-N 0 1 297.424 0.246 20 30 CCEDMN C[C@H]1OCC[C@]12CN(C(=O)NCC#CCN(C)C)CCO2 ZINC001251707782 807695851 /nfs/dbraw/zinc/69/58/51/807695851.db2.gz GDSDVSJHFMOYRA-HIFRSBDPSA-N 0 1 295.383 0.141 20 30 CCEDMN C#CCOC[C@H](O)CN1CC(CNC(=O)OC(C)(C)C)C1 ZINC001251835599 807716039 /nfs/dbraw/zinc/71/60/39/807716039.db2.gz NLOFWZLGFMFWNK-CYBMUJFWSA-N 0 1 298.383 0.454 20 30 CCEDMN C#CCOC[C@H](O)CN1CCN(C)c2ncccc2C1 ZINC001251842324 807717080 /nfs/dbraw/zinc/71/70/80/807717080.db2.gz AYCBDXGFYQSMAG-CQSZACIVSA-N 0 1 275.352 0.344 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(Cc2ccccn2)CC1 ZINC001251840983 807717496 /nfs/dbraw/zinc/71/74/96/807717496.db2.gz YDSQDYTXHKPIGL-INIZCTEOSA-N 0 1 289.379 0.210 20 30 CCEDMN C#CCOC[C@@H](O)CNc1ccc2nc(CO)[nH]c2c1 ZINC001251867728 807727676 /nfs/dbraw/zinc/72/76/76/807727676.db2.gz MSCQXDAZSFDOCX-NSHDSACASA-N 0 1 275.308 0.478 20 30 CCEDMN C#CCOC[C@@H](O)CN[C@H](CC(=O)OC)c1cccnc1 ZINC001251893406 807737555 /nfs/dbraw/zinc/73/75/55/807737555.db2.gz CYKFXNAREFFSRH-UONOGXRCSA-N 0 1 292.335 0.286 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cn(CC(C)C)cn1 ZINC001251900084 807741262 /nfs/dbraw/zinc/74/12/62/807741262.db2.gz JBSJCYSKIOIGCB-CQSZACIVSA-N 0 1 265.357 0.639 20 30 CCEDMN C#CCOC[C@H](O)CN[C@H]1CCc2ccccc2C1=O ZINC001251904293 807743250 /nfs/dbraw/zinc/74/32/50/807743250.db2.gz XAJPPHOKLAXJRT-HIFRSBDPSA-N 0 1 273.332 0.784 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@]2(C)CNC(=O)C2)[C@H]1C ZINC001088765467 815146121 /nfs/dbraw/zinc/14/61/21/815146121.db2.gz FTQFFKIELWOCRW-TYNCELHUSA-N 0 1 277.368 0.115 20 30 CCEDMN C=CCCC(=O)NC[C@]1(C)CCCN(CC(=O)NC)C1 ZINC001278648455 807799179 /nfs/dbraw/zinc/79/91/79/807799179.db2.gz QFDSIVAZEZVTLV-HNNXBMFYSA-N 0 1 281.400 0.917 20 30 CCEDMN Cc1nc(CC(=O)NC[C@@]2(C)CCCN(CC#N)C2)n[nH]1 ZINC001278659093 807807404 /nfs/dbraw/zinc/80/74/04/807807404.db2.gz QSUKHCXMFPAAAM-CQSZACIVSA-N 0 1 290.371 0.398 20 30 CCEDMN C=CCOC[C@H](O)CN[C@H](C)c1nnc2ccccn21 ZINC001252451045 807868640 /nfs/dbraw/zinc/86/86/40/807868640.db2.gz LBIFNYPDABGTGO-VXGBXAGGSA-N 0 1 276.340 0.943 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@H](C)c1nnc2ccccn21 ZINC001252451040 807868883 /nfs/dbraw/zinc/86/88/83/807868883.db2.gz LBIFNYPDABGTGO-NEPJUHHUSA-N 0 1 276.340 0.943 20 30 CCEDMN C=CCOC[C@@H](O)CNc1nc(Cl)nc2[nH]cnc21 ZINC001252454058 807869901 /nfs/dbraw/zinc/86/99/01/807869901.db2.gz KNRSMBLKGGPQJJ-ZETCQYMHSA-N 0 1 283.719 0.933 20 30 CCEDMN C=CCOC[C@H](O)CN1CCC[C@@H](NC(=O)OCC=C)C1 ZINC001252471681 807882826 /nfs/dbraw/zinc/88/28/26/807882826.db2.gz GHSQXRLKYVENEC-ZIAGYGMSSA-N 0 1 298.383 0.927 20 30 CCEDMN C=CCOC[C@H](O)CNCc1ccccc1S(C)(=O)=O ZINC001252490135 807891032 /nfs/dbraw/zinc/89/10/32/807891032.db2.gz DQSUUIHATFRMPZ-CYBMUJFWSA-N 0 1 299.392 0.743 20 30 CCEDMN C=CCOC[C@H](O)CN[C@H](CO)c1ccccn1 ZINC001252491677 807892667 /nfs/dbraw/zinc/89/26/67/807892667.db2.gz IAVJYWISGBEAFZ-DGCLKSJQSA-N 0 1 252.314 0.268 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]nnc2C)[C@H]1C ZINC001088788638 815157733 /nfs/dbraw/zinc/15/77/33/815157733.db2.gz UZUPAYVVTYIOLS-MNOVXSKESA-N 0 1 261.329 0.329 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)C2(C(=O)NC)CC2)C1 ZINC001278681815 807955025 /nfs/dbraw/zinc/95/50/25/807955025.db2.gz LWQFNGUNIFFBOA-OAHLLOKOSA-N 0 1 291.395 0.364 20 30 CCEDMN N#CCCNC(=O)CN1CC[C@H]2OCCC[C@H]2C1 ZINC001253182517 808022364 /nfs/dbraw/zinc/02/23/64/808022364.db2.gz QGQFZUFUYLBQOC-NWDGAFQWSA-N 0 1 251.330 0.517 20 30 CCEDMN C[C@H]1CN(O)C(=O)[C@H]1NC[C@H](O)c1ccc(F)c(F)c1 ZINC001253379048 808051137 /nfs/dbraw/zinc/05/11/37/808051137.db2.gz VAIQZBOEKBISRX-QILRFPOHSA-N 0 1 286.278 0.824 20 30 CCEDMN C=C[C@@H](O)CN1Cc2ccnn2C[C@H](C(=O)NC(C)C)C1 ZINC001253576289 808084092 /nfs/dbraw/zinc/08/40/92/808084092.db2.gz LQUQBYYSYCNYKS-TZMCWYRMSA-N 0 1 292.383 0.386 20 30 CCEDMN C=C[C@@H](O)CN1C[C@@H](NC(=O)CC2CC2)[C@H]2COC[C@H]21 ZINC001253576318 808084420 /nfs/dbraw/zinc/08/44/20/808084420.db2.gz BWIZMNVLLBQHEJ-AAVRWANBSA-N 0 1 280.368 0.149 20 30 CCEDMN C=C[C@H](O)CN1CCN(c2cc(OC)ncn2)CC1 ZINC001253586311 808092642 /nfs/dbraw/zinc/09/26/42/808092642.db2.gz LGSNERQBMXMYQU-NSHDSACASA-N 0 1 264.329 0.154 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C[C@@H]2CCCO2)C1 ZINC001278760231 808170032 /nfs/dbraw/zinc/17/00/32/808170032.db2.gz JUTQUDGQBOMWAE-ZFWWWQNUSA-N 0 1 280.368 0.132 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H]2[C@@H]3CCCC[C@@H]32)C1 ZINC001278770687 808197972 /nfs/dbraw/zinc/19/79/72/808197972.db2.gz CZHJUPDXDHHBJM-NHIYQJMISA-N 0 1 276.380 0.609 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2CC(F)(F)C2)C1 ZINC001278771098 808199412 /nfs/dbraw/zinc/19/94/12/808199412.db2.gz JMOALEXYXHNOLW-GFCCVEGCSA-N 0 1 272.295 0.218 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C2(C(F)F)CC2)C1 ZINC001278776613 808207261 /nfs/dbraw/zinc/20/72/61/808207261.db2.gz KYERQJUYICZLSY-GFCCVEGCSA-N 0 1 272.295 0.218 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2c(C)coc2C)C1 ZINC001278777227 808215944 /nfs/dbraw/zinc/21/59/44/808215944.db2.gz VVTWYYMTQWPFRK-HNNXBMFYSA-N 0 1 276.336 0.696 20 30 CCEDMN CC(C)(C)OC(=O)C[C@H](N[C@H]1CC[C@H](C#N)C1)C(N)=O ZINC001254658090 808270555 /nfs/dbraw/zinc/27/05/55/808270555.db2.gz UBBDFHUGSAEBHA-DCAQKATOSA-N 0 1 281.356 0.854 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C2(CF)CCC2)C1 ZINC001278806044 808276530 /nfs/dbraw/zinc/27/65/30/808276530.db2.gz UKHWQNPXEQZMNX-HNNXBMFYSA-N 0 1 282.359 0.703 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H](C)C2CCCC2)C1 ZINC001278815438 808280218 /nfs/dbraw/zinc/28/02/18/808280218.db2.gz DKRCXANJWQCTPT-XJKSGUPXSA-N 0 1 278.396 0.999 20 30 CCEDMN COc1ccnc(C(=N)N[C@@H]2CC3CCN(CC3)C2)n1 ZINC001254839299 808283840 /nfs/dbraw/zinc/28/38/40/808283840.db2.gz PIWSMUCFVMGRRP-LLVKDONJSA-N 0 1 275.356 0.675 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)c1c(C)ncn1C ZINC001278860433 808350734 /nfs/dbraw/zinc/35/07/34/808350734.db2.gz WPJYRXLEIGDWAU-CYBMUJFWSA-N 0 1 292.383 0.117 20 30 CCEDMN C=C[C@H]1CCCCN1CC(=O)N1CCC(C(N)=O)CC1 ZINC001256091987 808469188 /nfs/dbraw/zinc/46/91/88/808469188.db2.gz XERZXLUONNUMSO-ZDUSSCGKSA-N 0 1 279.384 0.751 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@](F)(CO)C2)CC1 ZINC001256718935 808554485 /nfs/dbraw/zinc/55/44/85/808554485.db2.gz FZTGUCXXWVUMRJ-CQSZACIVSA-N 0 1 268.332 0.265 20 30 CCEDMN C[C@H]1CN([O-])C(=O)[C@H]1[NH2+]C1CCC2(COC2)CC1 ZINC001257900822 808642980 /nfs/dbraw/zinc/64/29/80/808642980.db2.gz ORJZIOCXUQINIS-ONGXEEELSA-N 0 1 254.330 0.771 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3C[C@H]3c3ccnn3C)[C@@H]2C1 ZINC001076075721 815239722 /nfs/dbraw/zinc/23/97/22/815239722.db2.gz KSOLPIMTOLLOEK-DSJMHWKBSA-N 0 1 298.390 0.690 20 30 CCEDMN C#C[C@H](C)NS(=O)(=O)c1nc2nc(Cl)ccn2n1 ZINC001260180707 808855006 /nfs/dbraw/zinc/85/50/06/808855006.db2.gz WWUGBUDSZAVLND-LURJTMIESA-N 0 1 285.716 0.078 20 30 CCEDMN CS(=O)(=O)CS(=O)(=O)Nc1cccc(C#N)c1F ZINC001260590642 808871119 /nfs/dbraw/zinc/87/11/19/808871119.db2.gz LMQNRLMEVQPICE-UHFFFAOYSA-N 0 1 292.313 0.441 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3c[nH]nc3C)[C@@H]2C1 ZINC001076111184 815244305 /nfs/dbraw/zinc/24/43/05/815244305.db2.gz PEMZQTLDRDLZEH-WCQYABFASA-N 0 1 258.325 0.498 20 30 CCEDMN C=CCO[C@@H]1CCN(C(=O)C(=O)N2CCNC(C)(C)C2)C1 ZINC001261424640 808975569 /nfs/dbraw/zinc/97/55/69/808975569.db2.gz ZQSZRUZYVMKVDS-GFCCVEGCSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CCNC(=O)Cc1noc(CNCC(C)C)n1 ZINC001261484060 808993735 /nfs/dbraw/zinc/99/37/35/808993735.db2.gz NJYIZTQNRVFGHF-UHFFFAOYSA-N 0 1 252.318 0.660 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3ccc(OC)cn3)[C@@H]2C1 ZINC001076174505 815255092 /nfs/dbraw/zinc/25/50/92/815255092.db2.gz OJKNOBNMZJGTOT-XJKSGUPXSA-N 0 1 299.374 0.799 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCc4nc[nH]c43)[C@@H]2C1 ZINC001076228904 815262316 /nfs/dbraw/zinc/26/23/16/815262316.db2.gz PBQADGQIWYDDNB-GZBFAFLISA-N 0 1 298.390 0.996 20 30 CCEDMN C=CCOCCNCc1cc(C(=O)OCC)n[nH]1 ZINC001261671338 809052015 /nfs/dbraw/zinc/05/20/15/809052015.db2.gz NQYDXEMLXVDMIR-UHFFFAOYSA-N 0 1 253.302 0.879 20 30 CCEDMN C[C@@H]1C(=O)N(NC(=O)c2csc(C#N)c2)C(=O)N1C ZINC001262152212 809207275 /nfs/dbraw/zinc/20/72/75/809207275.db2.gz LIWJMGDHPUKAES-ZCFIWIBFSA-N 0 1 278.293 0.547 20 30 CCEDMN C=CCO[C@H]1CCN(CC(=O)N2CCOC(C)(C)C2)C1 ZINC001262254959 809259252 /nfs/dbraw/zinc/25/92/52/809259252.db2.gz AIQZUHSZZGMXQG-ZDUSSCGKSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCO[C@H]1CCN(c2nnc(-c3c[nH]nn3)n2C)C1 ZINC001262851538 809416666 /nfs/dbraw/zinc/41/66/66/809416666.db2.gz LCXNXZXONGWLFQ-VIFPVBQESA-N 0 1 275.316 0.382 20 30 CCEDMN C#CCNCC(=O)NCCCNC(=O)c1ccccc1 ZINC001263080100 809435660 /nfs/dbraw/zinc/43/56/60/809435660.db2.gz NQPGNMYXZDOPDL-UHFFFAOYSA-N 0 1 273.336 0.146 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1onc2c1CCCC2 ZINC001263105528 809446634 /nfs/dbraw/zinc/44/66/34/809446634.db2.gz QRURORBPUIUUNI-KCJUWKMLSA-N 0 1 260.297 0.395 20 30 CCEDMN Cc1ncsc1/C=C/C(=O)N[C@@H]1CNC[C@@H]1C#N ZINC001263105501 809446778 /nfs/dbraw/zinc/44/67/78/809446778.db2.gz QEGVTWXXYNIEMB-MDCNRGEUSA-N 0 1 262.338 0.693 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCO[C@H]1c1ccccc1 ZINC001263169903 809469406 /nfs/dbraw/zinc/46/94/06/809469406.db2.gz BHDTTXJIRCIQRD-HIFRSBDPSA-N 0 1 258.321 0.856 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001076410263 815293030 /nfs/dbraw/zinc/29/30/30/815293030.db2.gz GHEJTSZFTWQONQ-NSHDSACASA-N 0 1 291.355 0.018 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)CCCOC)C1 ZINC001264073374 809627308 /nfs/dbraw/zinc/62/73/08/809627308.db2.gz QDOOXSNTXIFLHY-AWEZNQCLSA-N 0 1 282.384 0.332 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(=O)NCC2CC2)[C@H]1C ZINC001264165505 809635956 /nfs/dbraw/zinc/63/59/56/809635956.db2.gz KPOBSUPULBZYPA-PWSUYJOCSA-N 0 1 265.357 0.278 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCCN1C(=O)CCCCC(N)=O ZINC001264187138 809636407 /nfs/dbraw/zinc/63/64/07/809636407.db2.gz GUXNIUCPVZIUDJ-AWEZNQCLSA-N 0 1 293.411 0.978 20 30 CCEDMN C#CCNCc1cc(=O)[nH]c(CNC(=O)[C@@H](C)SC)n1 ZINC001264580250 809664375 /nfs/dbraw/zinc/66/43/75/809664375.db2.gz QVHOAANEGCBYIW-SECBINFHSA-N 0 1 294.380 0.273 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CCN(CC(N)=O)CC(C)(C)C1 ZINC001264751592 809676873 /nfs/dbraw/zinc/67/68/73/809676873.db2.gz LAGJVMPUXICZRQ-GFCCVEGCSA-N 0 1 297.399 0.281 20 30 CCEDMN CN(CCCNC(=O)C1CC(C)(C)C1)CC(=O)NCC#N ZINC001264982130 809686372 /nfs/dbraw/zinc/68/63/72/809686372.db2.gz DPFCEGXPTCNURF-UHFFFAOYSA-N 0 1 294.399 0.500 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@@H]1CCO[C@@H]1C ZINC001265052079 809699813 /nfs/dbraw/zinc/69/98/13/809699813.db2.gz GMOYYNSUIQZSBV-CHWSQXEVSA-N 0 1 297.399 0.152 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)/C(C)=C/C)CC1 ZINC001265241870 809730521 /nfs/dbraw/zinc/73/05/21/809730521.db2.gz JHGGRTOSDFQXIR-LHHJGKSTSA-N 0 1 263.385 0.710 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)[C@@]2(F)CCOC2)C1 ZINC001265298828 809750605 /nfs/dbraw/zinc/75/06/05/809750605.db2.gz CMFQCCYYQLELSE-GXTWGEPZSA-N 0 1 286.347 0.508 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@@H](C)n2ccnc2)C1 ZINC001265306421 809753493 /nfs/dbraw/zinc/75/34/93/809753493.db2.gz PQQUHFBFCSITER-CHWSQXEVSA-N 0 1 260.341 0.658 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H](NC(=O)COC)C(C)C)C1 ZINC001265306774 809753595 /nfs/dbraw/zinc/75/35/95/809753595.db2.gz XCJWIRQHKWUXSM-OCCSQVGLSA-N 0 1 297.399 0.150 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)[C@H]1CCNC1=O)C1CC1 ZINC001265366756 809765758 /nfs/dbraw/zinc/76/57/58/809765758.db2.gz TVWMUBVHDSUKPW-QWRGUYRKSA-N 0 1 285.775 0.359 20 30 CCEDMN C=CCOCC(=O)N1CC[C@H](NCc2snnc2C)C1 ZINC001265422225 809776121 /nfs/dbraw/zinc/77/61/21/809776121.db2.gz FQWBFUPKUKMOHO-NSHDSACASA-N 0 1 296.396 0.740 20 30 CCEDMN CC[C@@H](OC)C(=O)NC[C@H]1CCCN(CC#CCOC)C1 ZINC001265577189 809807846 /nfs/dbraw/zinc/80/78/46/809807846.db2.gz CWZKPMMAZZKRAV-HUUCEWRRSA-N 0 1 296.411 0.889 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)c1ccnc2n[nH]nc21 ZINC001038504559 815324874 /nfs/dbraw/zinc/32/48/74/815324874.db2.gz ORKMDVVYORNUIH-SNVBAGLBSA-N 0 1 286.339 0.733 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)CCCNC(=O)C1CC(C)C1 ZINC001265824995 809866366 /nfs/dbraw/zinc/86/63/66/809866366.db2.gz MXBJDQPLRMCFTN-ROKHWSDSSA-N 0 1 293.411 0.609 20 30 CCEDMN CC(C)C#CC(=O)NCC[C@@H](C)NCc1cnn(C)n1 ZINC001266141270 809947054 /nfs/dbraw/zinc/94/70/54/809947054.db2.gz YRJZKNSKIXVZFM-GFCCVEGCSA-N 0 1 277.372 0.459 20 30 CCEDMN CCn1cc(CN[C@H](C)CCNC(=O)C#CC2CC2)nn1 ZINC001266144416 809947448 /nfs/dbraw/zinc/94/74/48/809947448.db2.gz REFLDHBWLBAHPT-GFCCVEGCSA-N 0 1 289.383 0.696 20 30 CCEDMN C=CCOCCN1CCC[C@H](NC(=O)c2ccnn2C)C1 ZINC001266204786 809962386 /nfs/dbraw/zinc/96/23/86/809962386.db2.gz GQBYLLVNOWKOPL-ZDUSSCGKSA-N 0 1 292.383 0.817 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)C2CCC2)CC1 ZINC001279415912 809977520 /nfs/dbraw/zinc/97/75/20/809977520.db2.gz GJNDXAPOLBAYER-UHFFFAOYSA-N 0 1 264.373 0.480 20 30 CCEDMN C#CCN1CC=C(CNC(=O)CCn2cncn2)CC1 ZINC001279484794 809984468 /nfs/dbraw/zinc/98/44/68/809984468.db2.gz YBBUJQLSIJHUCP-UHFFFAOYSA-N 0 1 273.340 0.050 20 30 CCEDMN COCC#CCN1CC=C(CNC(=O)[C@@H](C)OC)CC1 ZINC001279502459 809984734 /nfs/dbraw/zinc/98/47/34/809984734.db2.gz OHEPSIFQVQLBTR-CYBMUJFWSA-N 0 1 280.368 0.419 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1=CCN(CCn2cncn2)CC1 ZINC001279520254 809985794 /nfs/dbraw/zinc/98/57/94/809985794.db2.gz LTYKEPOLTIVTNY-LBPRGKRZSA-N 0 1 288.355 0.186 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C2CC2)C1 ZINC001076850421 815391795 /nfs/dbraw/zinc/39/17/95/815391795.db2.gz WZLKULWOAQUWAY-RAIGVLPGSA-N 0 1 252.358 0.770 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2c(C)ccn2C)C1 ZINC001076927572 815417283 /nfs/dbraw/zinc/41/72/83/815417283.db2.gz SKIZYHUXILNLPL-CHWSQXEVSA-N 0 1 275.352 0.132 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)C(=O)NCC(F)F ZINC001267269773 811073587 /nfs/dbraw/zinc/07/35/87/811073587.db2.gz DSOKNKXHHCDMKW-SECBINFHSA-N 0 1 275.299 0.134 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@]1(C)CCNC(=O)C1 ZINC001267271559 811075536 /nfs/dbraw/zinc/07/55/36/811075536.db2.gz XUZNKVGVNKYSCA-WFASDCNBSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCCCC(=O)NC[C@H]1CCCN1[C@@H](C)C(=O)NC ZINC001267273588 811078920 /nfs/dbraw/zinc/07/89/20/811078920.db2.gz XPCFZFJIQPRBTA-UONOGXRCSA-N 0 1 293.411 0.895 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H](CC(C)C)C(N)=O ZINC001267282550 811091722 /nfs/dbraw/zinc/09/17/22/811091722.db2.gz DVZNZZIEJWBNCY-STQMWFEESA-N 0 1 279.384 0.348 20 30 CCEDMN C#CCN(CCNC(=O)CCc1cncnc1)C1CC1 ZINC001267329304 811162128 /nfs/dbraw/zinc/16/21/28/811162128.db2.gz GUCOBVXSLWYRAQ-UHFFFAOYSA-N 0 1 272.352 0.623 20 30 CCEDMN C#CCN(CCNC(=O)c1ccn(C)c(=O)c1)C1CC1 ZINC001267328128 811162786 /nfs/dbraw/zinc/16/27/86/811162786.db2.gz LPFCBZFZZVPATK-UHFFFAOYSA-N 0 1 273.336 0.213 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H](CNCc2cnn(C)n2)C1 ZINC001023894501 811278802 /nfs/dbraw/zinc/27/88/02/811278802.db2.gz COUBAADFXBQGKN-RYUDHWBXSA-N 0 1 290.371 0.303 20 30 CCEDMN N#Cc1cnccc1N1CC[C@@H](NC(=O)Cc2cnc[nH]2)C1 ZINC001058562052 811319646 /nfs/dbraw/zinc/31/96/46/811319646.db2.gz AZAXMUHXJSGGMK-GFCCVEGCSA-N 0 1 296.334 0.614 20 30 CCEDMN C#CCN[C@H](CNC(=O)CN(C)C(C)=O)c1ccccc1 ZINC001267518289 811396550 /nfs/dbraw/zinc/39/65/50/811396550.db2.gz ZLNAVVSZMXSIIZ-OAHLLOKOSA-N 0 1 287.363 0.545 20 30 CCEDMN C#CCN[C@H](CNC(=O)c1cn(C)c(=O)[nH]1)c1ccccc1 ZINC001267523606 811403683 /nfs/dbraw/zinc/40/36/83/811403683.db2.gz VPFXDDOSARTLTE-CYBMUJFWSA-N 0 1 298.346 0.820 20 30 CCEDMN C=C(Cl)C[NH2+]CC1(CCNC(=O)Cc2nnc[nH]2)CC1 ZINC001167694069 811457189 /nfs/dbraw/zinc/45/71/89/811457189.db2.gz BRNLVAAVDGNFAU-UHFFFAOYSA-N 0 1 297.790 0.976 20 30 CCEDMN C=CCN1CCN(CCN(C)C(=O)C[C@H]2CCOC2)CC1 ZINC001267573772 811466505 /nfs/dbraw/zinc/46/65/05/811466505.db2.gz LMNSKQSOVFGISQ-OAHLLOKOSA-N 0 1 295.427 0.675 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2cnc(C)o2)C1 ZINC001077125753 815450856 /nfs/dbraw/zinc/45/08/56/815450856.db2.gz WHUKSSBGTTZHIQ-CHWSQXEVSA-N 0 1 279.340 0.263 20 30 CCEDMN C[C@H](CNc1ncnc2[nH]cnc21)N(C)C(=O)C#CC1CC1 ZINC001104554821 811486287 /nfs/dbraw/zinc/48/62/87/811486287.db2.gz IQCSPPFGTJGHEZ-SNVBAGLBSA-N 0 1 298.350 0.977 20 30 CCEDMN C[C@H](CNc1ccc(C#N)nc1)N(C)C(=O)Cc1ncn[nH]1 ZINC001104673724 811549951 /nfs/dbraw/zinc/54/99/51/811549951.db2.gz PVZCWMRBKGBGTP-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H](C)CC(N)=O)C1 ZINC001267628800 811586691 /nfs/dbraw/zinc/58/66/91/811586691.db2.gz CQWMPSRCJVNKMJ-QWRGUYRKSA-N 0 1 253.346 0.265 20 30 CCEDMN C=CCCC(=O)NC1CN(Cc2n[nH]c(C3CC3)n2)C1 ZINC001267642280 811605303 /nfs/dbraw/zinc/60/53/03/811605303.db2.gz AZIZENSSRLZWJO-UHFFFAOYSA-N 0 1 275.356 0.949 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NC1CN(CCC2CCOCC2)C1 ZINC001267644842 811609742 /nfs/dbraw/zinc/60/97/42/811609742.db2.gz XJIHVXAKNVAXLZ-MRXNPFEDSA-N 0 1 296.411 0.931 20 30 CCEDMN Cc1nn[nH]c1C(=O)N(C)[C@H](C)CNc1ncccc1C#N ZINC001104753394 811690651 /nfs/dbraw/zinc/69/06/51/811690651.db2.gz ZQGNVHTYGVHLSV-SECBINFHSA-N 0 1 299.338 0.952 20 30 CCEDMN C=CCOCCN1CC[C@@H](N(C)C(=O)c2ccnnc2)C1 ZINC001267773330 811773136 /nfs/dbraw/zinc/77/31/36/811773136.db2.gz LYYODJZWXYRWDU-CQSZACIVSA-N 0 1 290.367 0.826 20 30 CCEDMN C#CCNC(=O)CNCc1ccc(CNC(C)=O)cc1 ZINC001267931727 811874227 /nfs/dbraw/zinc/87/42/27/811874227.db2.gz BDQQBMPEHWBIFB-UHFFFAOYSA-N 0 1 273.336 0.162 20 30 CCEDMN C[C@@H](C(=O)NCCNCc1ccccc1C#N)n1cncn1 ZINC001125931079 811876516 /nfs/dbraw/zinc/87/65/16/811876516.db2.gz QZBGLWZFHBULAL-LBPRGKRZSA-N 0 1 298.350 0.617 20 30 CCEDMN C[C@H](CNCC#N)CNC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC001267985282 811924615 /nfs/dbraw/zinc/92/46/15/811924615.db2.gz DLPDRPWDIAFINX-GHMZBOCLSA-N 0 1 275.356 0.695 20 30 CCEDMN Cc1nccnc1CNCCNC(=O)c1c[nH]c(C#N)c1 ZINC001125995214 811972481 /nfs/dbraw/zinc/97/24/81/811972481.db2.gz XCZGATSSDBNITO-UHFFFAOYSA-N 0 1 284.323 0.504 20 30 CCEDMN Cc1ncc(CNCCNC(=O)c2c[nH]c(C#N)c2)o1 ZINC001125994853 811972645 /nfs/dbraw/zinc/97/26/45/811972645.db2.gz NAUPQIVCRBWMSO-UHFFFAOYSA-N 0 1 273.296 0.702 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1OCC[C@H]1C ZINC001038712957 811989103 /nfs/dbraw/zinc/98/91/03/811989103.db2.gz PEWJJIXYYOINFC-UPJWGTAASA-N 0 1 250.342 0.625 20 30 CCEDMN C=CCCCN1CCO[C@H](CNC(=O)c2ccn(C)n2)C1 ZINC001268028721 812019014 /nfs/dbraw/zinc/01/90/14/812019014.db2.gz LZESZJXQADGPAI-CYBMUJFWSA-N 0 1 292.383 0.817 20 30 CCEDMN C#CCNCC(=O)NC1CCN(C(=O)C(C)(C)C)CC1 ZINC000092492539 812048929 /nfs/dbraw/zinc/04/89/29/812048929.db2.gz WLXAAJRJVJFUAU-UHFFFAOYSA-N 0 1 279.384 0.363 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CNC(=O)c1cnc(Cl)n1C ZINC001038511684 815498594 /nfs/dbraw/zinc/49/85/94/815498594.db2.gz QBXOPOJUWKFJOO-SNVBAGLBSA-N 0 1 280.759 0.901 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnc(Cl)n1C ZINC001038511684 815498596 /nfs/dbraw/zinc/49/85/96/815498596.db2.gz QBXOPOJUWKFJOO-SNVBAGLBSA-N 0 1 280.759 0.901 20 30 CCEDMN CC#CC[N@@H+]1CCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001027917023 812140071 /nfs/dbraw/zinc/14/00/71/812140071.db2.gz YYACOQCPNBNYPV-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001027917023 812140075 /nfs/dbraw/zinc/14/00/75/812140075.db2.gz YYACOQCPNBNYPV-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnc2n1CCC2 ZINC001027940678 812154036 /nfs/dbraw/zinc/15/40/36/812154036.db2.gz QOLKWHRYNCZWMA-LBPRGKRZSA-N 0 1 272.352 0.657 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)[C@H](C)CNCc1cnnn1C ZINC001268059168 812163970 /nfs/dbraw/zinc/16/39/70/812163970.db2.gz LJKLDOFMXUINBQ-BXUZGUMPSA-N 0 1 295.387 0.079 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnc[nH]c1=O ZINC001027957980 812166538 /nfs/dbraw/zinc/16/65/38/812166538.db2.gz UWLGDUDPQKNKNJ-JTQLQIEISA-N 0 1 260.297 0.010 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)c1cnc[nH]c1=O ZINC001027957981 812166914 /nfs/dbraw/zinc/16/69/14/812166914.db2.gz UWLGDUDPQKNKNJ-SNVBAGLBSA-N 0 1 260.297 0.010 20 30 CCEDMN C#CCO[C@H](C)C(=O)N(C)[C@H](C)CNCc1cnoc1C ZINC001268063113 812173856 /nfs/dbraw/zinc/17/38/56/812173856.db2.gz LGFOBQCOEVEDPP-DGCLKSJQSA-N 0 1 293.367 0.958 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](Nc3cncc(C#N)n3)C2)[nH]1 ZINC001058848717 812189199 /nfs/dbraw/zinc/18/91/99/812189199.db2.gz MBUGSSPFDLTRSR-JTQLQIEISA-N 0 1 297.322 0.706 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](Nc3nccnc3C#N)C2)[nH]1 ZINC001058848340 812189948 /nfs/dbraw/zinc/18/99/48/812189948.db2.gz CQTCIYQAKHHEIM-JTQLQIEISA-N 0 1 297.322 0.706 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cn(C)nc1OC ZINC001028070616 812247922 /nfs/dbraw/zinc/24/79/22/812247922.db2.gz HAUXEGMPNSKCCS-LLVKDONJSA-N 0 1 278.356 0.809 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1CCCc2nn[nH]c21 ZINC001028103436 812279758 /nfs/dbraw/zinc/27/97/58/812279758.db2.gz OJPNKLKWTONNGQ-NWDGAFQWSA-N 0 1 287.367 0.438 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1nccn2ccnc12 ZINC001028143562 812311149 /nfs/dbraw/zinc/31/11/49/812311149.db2.gz JTKLKWOVBNEFPV-CYBMUJFWSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)CCn2cccn2)C1 ZINC001268158763 812314370 /nfs/dbraw/zinc/31/43/70/812314370.db2.gz XQZQNULXYGOIEQ-AWEZNQCLSA-N 0 1 274.368 0.877 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1cnn2cc(C)cnc12 ZINC001028175257 812330229 /nfs/dbraw/zinc/33/02/29/812330229.db2.gz DTXDHOUYINPOIB-ZDUSSCGKSA-N 0 1 297.362 0.865 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)[C@H](CC)OC)[C@@H]2C1 ZINC001075607473 812341551 /nfs/dbraw/zinc/34/15/51/812341551.db2.gz BWPUZODSSZUCFO-MJBXVCDLSA-N 0 1 264.369 0.967 20 30 CCEDMN CC(C)[C@@H](CNC(=O)[C@@H]1CCCCN1C)NCC#N ZINC001268211316 812366341 /nfs/dbraw/zinc/36/63/41/812366341.db2.gz KYWUAQDFJOVIGY-OLZOCXBDSA-N 0 1 266.389 0.725 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)c1ccnc(OC)c1 ZINC001268242266 812408878 /nfs/dbraw/zinc/40/88/78/812408878.db2.gz IZUCTBSYADSSRV-UHFFFAOYSA-N 0 1 261.325 0.727 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)c1cc(C(N)=O)c[nH]1 ZINC001028227867 812434053 /nfs/dbraw/zinc/43/40/53/812434053.db2.gz DQFLMARPNGJNHD-LLVKDONJSA-N 0 1 276.340 0.494 20 30 CCEDMN C#CCCCC(=O)NC1CN(C[C@H]2CCOC2)C1 ZINC001268279225 812441384 /nfs/dbraw/zinc/44/13/84/812441384.db2.gz FDXRCZCTYJNWQT-GFCCVEGCSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](O)CNCc1c(C)noc1C ZINC001268305784 812469799 /nfs/dbraw/zinc/46/97/99/812469799.db2.gz XCLHGNJIBFOVSE-ZDUSSCGKSA-N 0 1 293.367 0.662 20 30 CCEDMN C#CCCCC(=O)NCCNCc1cnc(C)nc1 ZINC001126339561 812474776 /nfs/dbraw/zinc/47/47/76/812474776.db2.gz ZOLRPHDLKSCVLL-UHFFFAOYSA-N 0 1 260.341 0.794 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](O)CNCc1cc(C)no1 ZINC001268316374 812480842 /nfs/dbraw/zinc/48/08/42/812480842.db2.gz KWBZVZRKVMWJAC-LLVKDONJSA-N 0 1 281.356 0.762 20 30 CCEDMN C=CCCC(=O)N[C@H](C)CCN[C@@H](C)c1nnnn1C ZINC001268347109 812510230 /nfs/dbraw/zinc/51/02/30/812510230.db2.gz BAFCWJYEUHMVOD-MNOVXSKESA-N 0 1 280.376 0.722 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H](C)CCNCc1nonc1C ZINC001268364939 812523589 /nfs/dbraw/zinc/52/35/89/812523589.db2.gz GXNAKQLWAMSATP-PWSUYJOCSA-N 0 1 294.355 0.401 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)Cc3cc(C)on3)[C@@H]2C1 ZINC001075626596 812545658 /nfs/dbraw/zinc/54/56/58/812545658.db2.gz KYASWZZRRRXCNU-GXTWGEPZSA-N 0 1 273.336 0.691 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cnn2cc[nH]c12 ZINC001028284941 812579936 /nfs/dbraw/zinc/57/99/36/812579936.db2.gz OHEVDMPCUXGYNN-LBPRGKRZSA-N 0 1 285.351 0.880 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H]1CCc2nncn2C1 ZINC001028333493 812623321 /nfs/dbraw/zinc/62/33/21/812623321.db2.gz MGZHLMCZXCMWKR-QWHCGFSZSA-N 0 1 287.367 0.054 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1[nH]cnc1C ZINC001028356031 812641561 /nfs/dbraw/zinc/64/15/61/812641561.db2.gz XVTHGYAAUFWPJT-LBPRGKRZSA-N 0 1 260.341 0.936 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2c(C)noc2C)C1 ZINC001028398766 812674046 /nfs/dbraw/zinc/67/40/46/812674046.db2.gz CNCKZDMJONSWLO-LBPRGKRZSA-N 0 1 261.325 0.976 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2CCN(CCF)C2)[nH]1 ZINC001028486256 812753688 /nfs/dbraw/zinc/75/36/88/812753688.db2.gz CWUKNRXCTQDUEF-SNVBAGLBSA-N 0 1 264.304 0.908 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)[C@@H]2CCCc3c[nH]nc32)C1 ZINC001028542438 812829800 /nfs/dbraw/zinc/82/98/00/812829800.db2.gz QEROEYMJUKCJKS-GXTWGEPZSA-N 0 1 286.379 0.901 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H](Nc2ncccc2C#N)C1 ZINC001059025257 812850438 /nfs/dbraw/zinc/85/04/38/812850438.db2.gz BHNJIFAYUXNJKM-NSHDSACASA-N 0 1 297.322 0.706 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cncc(C)c2)[C@@H](O)C1 ZINC001083384907 812927283 /nfs/dbraw/zinc/92/72/83/812927283.db2.gz XNQWLAREMNWEFJ-KGLIPLIRSA-N 0 1 273.336 0.188 20 30 CCEDMN Cn1nccc1CC(=O)NCCNCC#Cc1ccccc1 ZINC001126392846 812966766 /nfs/dbraw/zinc/96/67/66/812966766.db2.gz APHNYZQRLHCKSZ-UHFFFAOYSA-N 0 1 296.374 0.720 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H](C)CNCc1cc(OC)no1 ZINC001268646465 812974035 /nfs/dbraw/zinc/97/40/35/812974035.db2.gz SOMGFUVXDOSXJB-MNOVXSKESA-N 0 1 295.339 0.316 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)[C@H](C)OC ZINC001268725297 813039999 /nfs/dbraw/zinc/03/99/99/813039999.db2.gz SNGRWRYGIMGVJL-RYUDHWBXSA-N 0 1 256.346 0.108 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1cn(CC=C)nn1 ZINC001268752234 813062703 /nfs/dbraw/zinc/06/27/03/813062703.db2.gz XXTFVMWEEKBJRW-LLVKDONJSA-N 0 1 261.329 0.147 20 30 CCEDMN C=CCOCCN1CC(CNC(=O)c2cc(C)ncn2)C1 ZINC001268817938 813101202 /nfs/dbraw/zinc/10/12/02/813101202.db2.gz ATSAQYJCBZVZRB-UHFFFAOYSA-N 0 1 290.367 0.649 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2C(=O)c1cnc[nH]1 ZINC001268868117 813124283 /nfs/dbraw/zinc/12/42/83/813124283.db2.gz QHMRECFJXMDTRE-VXGBXAGGSA-N 0 1 272.308 0.248 20 30 CCEDMN O=C(CCS)N1CC[C@@H]2C[C@@]21C(=O)NCc1cnc[nH]1 ZINC001268891225 813134941 /nfs/dbraw/zinc/13/49/41/813134941.db2.gz CBJYLLGDEJLWDX-RNCFNFMXSA-N 0 1 294.380 0.337 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@@H]2C[C@H]1CN2C[C@H](C)O ZINC001268944379 813152867 /nfs/dbraw/zinc/15/28/67/813152867.db2.gz USVNKUDBXHYTPB-AVGNSLFASA-N 0 1 250.342 0.312 20 30 CCEDMN CN1CCN(C(=O)CCS)C[C@]2(CCCC(=O)N2)C1 ZINC001268959770 813161695 /nfs/dbraw/zinc/16/16/95/813161695.db2.gz KAAOZHCXIVJWNQ-ZDUSSCGKSA-N 0 1 285.413 0.119 20 30 CCEDMN C=C[C@H](CC)CC(=O)N1C[C@@H]2C[C@H]1CN2C[C@@H](O)COC ZINC001268960306 813161755 /nfs/dbraw/zinc/16/17/55/813161755.db2.gz LZPIRHNVDBHFFO-CBBWQLFWSA-N 0 1 296.411 0.881 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1CCN(CCOCC(C)C)C1 ZINC001269025858 813182781 /nfs/dbraw/zinc/18/27/81/813182781.db2.gz JBLHFQXBETVGKG-CABCVRRESA-N 0 1 296.411 0.888 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CCN(C(=O)C(C)(C)C#N)C2 ZINC001269072595 813204258 /nfs/dbraw/zinc/20/42/58/813204258.db2.gz OAYISTCPEAKERT-UHFFFAOYSA-N 0 1 289.339 0.546 20 30 CCEDMN C#CCN1CCC2(CCN(C(=O)c3ccn[nH]3)CC2)C1=O ZINC001269131585 813230909 /nfs/dbraw/zinc/23/09/09/813230909.db2.gz GQRGJZNEYWZTLV-UHFFFAOYSA-N 0 1 286.335 0.498 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)Cc1c(C)nn(C)c1C ZINC001269266774 813296971 /nfs/dbraw/zinc/29/69/71/813296971.db2.gz JTMSBOFXTUBBGW-AWEZNQCLSA-N 0 1 288.395 0.793 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](CNC(=O)c2ccnc3n[nH]nc32)C1 ZINC001028681863 813303403 /nfs/dbraw/zinc/30/34/03/813303403.db2.gz CQYDQZZLHZECNZ-NSHDSACASA-N 0 1 298.350 0.428 20 30 CCEDMN C#CCN1CC[C@@H](CNC(=O)c2cc(=O)c(OC)c[nH]2)C1 ZINC001028691623 813314258 /nfs/dbraw/zinc/31/42/58/813314258.db2.gz XXXAGXWZRJNQHS-NSHDSACASA-N 0 1 289.335 0.068 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)Cn2ccccc2=O)CC1 ZINC001270260774 813702178 /nfs/dbraw/zinc/70/21/78/813702178.db2.gz GWIWZIHXBGWSSL-UHFFFAOYSA-N 0 1 287.363 0.452 20 30 CCEDMN C#CCN(C(=O)c1cn[nH]n1)C1CCN(CC#CC)CC1 ZINC001270326483 813720123 /nfs/dbraw/zinc/72/01/23/813720123.db2.gz XWONCTMXQNOBES-UHFFFAOYSA-N 0 1 285.351 0.368 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(C1)CC[N@@H+](Cc1cn[nH]c1)C2 ZINC001270501407 813787517 /nfs/dbraw/zinc/78/75/17/813787517.db2.gz LEZQQVZKIQVDFX-NSHDSACASA-N 0 1 273.340 0.604 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(C1)CCN(Cc1cn[nH]c1)C2 ZINC001270501407 813787522 /nfs/dbraw/zinc/78/75/22/813787522.db2.gz LEZQQVZKIQVDFX-NSHDSACASA-N 0 1 273.340 0.604 20 30 CCEDMN C[C@@]1(NC(=O)C#CC2CC2)CCN(CCn2cncn2)C1 ZINC001270584785 813824379 /nfs/dbraw/zinc/82/43/79/813824379.db2.gz VVGPKIGCECTZBU-OAHLLOKOSA-N 0 1 287.367 0.272 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1COCCN1CC ZINC001038425824 813845032 /nfs/dbraw/zinc/84/50/32/813845032.db2.gz CFIQIPZWAYGUIY-UONOGXRCSA-N 0 1 281.400 0.474 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1COCCN1CC ZINC001038425825 813845986 /nfs/dbraw/zinc/84/59/86/813845986.db2.gz CFIQIPZWAYGUIY-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@H]1C[C@H](Nc2ccncc2C#N)C1 ZINC001059167516 813847814 /nfs/dbraw/zinc/84/78/14/813847814.db2.gz UISRDGHGQSETDO-YDHLFZDLSA-N 0 1 299.378 0.528 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001059210047 813941630 /nfs/dbraw/zinc/94/16/30/813941630.db2.gz ZGPYQYQMOOXFFH-WDEREUQCSA-N 0 1 289.339 0.538 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H](C)NC(C)=O)CC2 ZINC001270833848 813963301 /nfs/dbraw/zinc/96/33/01/813963301.db2.gz LVGCXMDVFNPEMQ-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2ccc(=O)[nH]n2)[C@H]1CC ZINC001087494510 813964978 /nfs/dbraw/zinc/96/49/78/813964978.db2.gz VFYAXDZVRSQEKT-WCQYABFASA-N 0 1 288.351 0.788 20 30 CCEDMN N#CCCNC(=O)CNC(=O)c1c[nH]c2ccccc2c1=O ZINC000340458229 814015891 /nfs/dbraw/zinc/01/58/91/814015891.db2.gz POZCTVPOPJJGTM-UHFFFAOYSA-N 0 1 298.302 0.288 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CC2(C)C)[C@@H](O)C1 ZINC001083412431 814018634 /nfs/dbraw/zinc/01/86/34/814018634.db2.gz GRZLOYLJIGOCJS-UTUOFQBUSA-N 0 1 250.342 0.217 20 30 CCEDMN N#Cc1ccc(N[C@H]2C[C@H](NC(=O)Cc3nnc[nH]3)C2)nc1 ZINC001059302682 814084947 /nfs/dbraw/zinc/08/49/47/814084947.db2.gz DTGAFJLWTNTXRO-XYPYZODXSA-N 0 1 297.322 0.373 20 30 CCEDMN C#CCN1CCC[C@](C)(CNC(=O)[C@H](C)CC(N)=O)C1 ZINC001270981848 814107694 /nfs/dbraw/zinc/10/76/94/814107694.db2.gz COFZBPQBKGZJSB-IUODEOHRSA-N 0 1 279.384 0.349 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@]2(C)CNC(=O)C2)[C@H]1CC ZINC001087668575 814182727 /nfs/dbraw/zinc/18/27/27/814182727.db2.gz BVKCYNVOCQWOBQ-ZOWXZIJZSA-N 0 1 277.368 0.115 20 30 CCEDMN N#CCN1C[C@@H]2CCN(C(=O)c3c[nH]cc4ncnc3-4)[C@@H]2C1 ZINC001075816917 814185315 /nfs/dbraw/zinc/18/53/15/814185315.db2.gz XWIKKOUWPJIPRV-GXFFZTMASA-N 0 1 296.334 0.628 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@H]3CC[C@@H](C2)N3CCOC)c1 ZINC001029466715 814279439 /nfs/dbraw/zinc/27/94/39/814279439.db2.gz JHXLVQPLKSNMKK-IYBDPMFKSA-N 0 1 299.374 0.998 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)[C@H]2C[C@@H]2c2ccccc2)C1 ZINC001271200050 814329890 /nfs/dbraw/zinc/32/98/90/814329890.db2.gz CZHJSCWZFLLGHV-RYRKJORJSA-N 0 1 298.386 0.976 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)[C@@H](CC)CCC)C1 ZINC001271211148 814335874 /nfs/dbraw/zinc/33/58/74/814335874.db2.gz VQBYRSXOFMSMLY-DZGCQCFKSA-N 0 1 266.385 0.999 20 30 CCEDMN C#C[C@H](Oc1[nH]c(=S)nnc1C)C(=O)OCC ZINC001227599859 814432401 /nfs/dbraw/zinc/43/24/01/814432401.db2.gz AXKCINRTZQFGEN-ZETCQYMHSA-N 0 1 253.283 0.412 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N1CCCCC[C@H](NCC#N)C1 ZINC001088278545 814568503 /nfs/dbraw/zinc/56/85/03/814568503.db2.gz XGSWPWILUOTKNW-UONOGXRCSA-N 0 1 278.400 0.965 20 30 CCEDMN CNC(=O)CN1CCC[C@@]2(CCN(C(=O)[C@H](C)C#N)C2)C1 ZINC001040119288 814585774 /nfs/dbraw/zinc/58/57/74/814585774.db2.gz IUEYILDPABBRBT-IUODEOHRSA-N 0 1 292.383 0.207 20 30 CCEDMN Cc1occc1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001271905738 814626915 /nfs/dbraw/zinc/62/69/15/814626915.db2.gz UITXLUNKZUNWIO-UHFFFAOYSA-N 0 1 290.367 0.895 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)c2cc(C#N)c[nH]2)C1 ZINC001271951512 814647994 /nfs/dbraw/zinc/64/79/94/814647994.db2.gz WSDGYSBQIRPKCO-OAHLLOKOSA-N 0 1 288.351 0.629 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1ccc[nH]1 ZINC001271962219 814654299 /nfs/dbraw/zinc/65/42/99/814654299.db2.gz INXMBEFYQCCTLO-UHFFFAOYSA-N 0 1 263.341 0.668 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CCC(=O)N2)[C@H]1C ZINC001088494274 814743349 /nfs/dbraw/zinc/74/33/49/814743349.db2.gz SSKOPGAGDMARES-OUAUKWLOSA-N 0 1 251.330 0.030 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@@H]3CCCc4nccn43)[C@@H]2C1 ZINC001075883270 814762978 /nfs/dbraw/zinc/76/29/78/814762978.db2.gz VXUJMOHMKSKIGZ-SOUVJXGZSA-N 0 1 298.390 0.926 20 30 CCEDMN CC#CC[N@@H+](C)CCN(C)C(=O)COc1cc(C)on1 ZINC001272040616 814866694 /nfs/dbraw/zinc/86/66/94/814866694.db2.gz BUQLAEDAXISMJU-UHFFFAOYSA-N 0 1 279.340 0.775 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)COc1cc(C)on1 ZINC001272040616 814866696 /nfs/dbraw/zinc/86/66/96/814866696.db2.gz BUQLAEDAXISMJU-UHFFFAOYSA-N 0 1 279.340 0.775 20 30 CCEDMN COCC#CCN1C[C@@H]2CCCN(C(=O)COC)[C@@H]2C1 ZINC001272052587 814884829 /nfs/dbraw/zinc/88/48/29/814884829.db2.gz CQPYIWOFHHRZED-UONOGXRCSA-N 0 1 280.368 0.205 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCCNCc1c(C)noc1C ZINC001127039916 815590195 /nfs/dbraw/zinc/59/01/95/815590195.db2.gz UKJSOPVCDKDHDJ-LBPRGKRZSA-N 0 1 279.340 0.536 20 30 CCEDMN N#CCN1CC[C@@H](Oc2[nH]c(=O)[nH]c(=O)c2F)C1 ZINC001229123287 814920646 /nfs/dbraw/zinc/92/06/46/814920646.db2.gz CFUVHHMQXGFBTQ-ZCFIWIBFSA-N 0 1 254.221 0.004 20 30 CCEDMN C=CCN1CCC(N2C(=O)CN(CCOC)C2=O)CC1 ZINC000348890388 815634293 /nfs/dbraw/zinc/63/42/93/815634293.db2.gz BGJAXQIWDGDHGB-UHFFFAOYSA-N 0 1 281.356 0.547 20 30 CCEDMN C=CCCCN1CC(OC2CCN(C(=O)C(N)=O)CC2)C1 ZINC001105722071 815679744 /nfs/dbraw/zinc/67/97/44/815679744.db2.gz UXDIVNUCDOUHLC-UHFFFAOYSA-N 0 1 295.383 0.130 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC1CN(Cc2cccc(C#N)c2)C1 ZINC001030154714 815843349 /nfs/dbraw/zinc/84/33/49/815843349.db2.gz JPSSCELZMUXLKG-MRXNPFEDSA-N 0 1 298.390 0.953 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cc(CCC)n[nH]2)[C@@H](O)C1 ZINC001083687857 816396954 /nfs/dbraw/zinc/39/69/54/816396954.db2.gz NSGVASYBIFLGHH-KGLIPLIRSA-N 0 1 290.367 0.160 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cn[nH]c2)C[C@H]1C(F)(F)F ZINC001099217315 816734847 /nfs/dbraw/zinc/73/48/47/816734847.db2.gz HWCAGVCVCBTEOS-NXEZZACHSA-N 0 1 286.257 0.635 20 30 CCEDMN C[C@H](CCNc1nccnc1C#N)NC(=O)c1cnn[nH]1 ZINC001106636820 816830582 /nfs/dbraw/zinc/83/05/82/816830582.db2.gz AKENQFQCRLWIRZ-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CCNc1cncc(C#N)n1)NC(=O)c1cnn[nH]1 ZINC001106637451 816830863 /nfs/dbraw/zinc/83/08/63/816830863.db2.gz HJTOSPUDTAIZKP-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2cncnc2)C[C@H]1C(F)(F)F ZINC001099242946 816836731 /nfs/dbraw/zinc/83/67/31/816836731.db2.gz ZCJOFGONEYUUSS-GHMZBOCLSA-N 0 1 298.268 0.702 20 30 CCEDMN Cc1n[nH]c(C(C)C)c1C(=O)NC1CN(CC#N)C1 ZINC001031224135 816843831 /nfs/dbraw/zinc/84/38/31/816843831.db2.gz BSEWLPNZHMNRJF-UHFFFAOYSA-N 0 1 261.329 0.779 20 30 CCEDMN CC#CCN(C)C(=O)N[C@@H](C)[C@@H]1CN(C)CCN1C ZINC001123796728 817071137 /nfs/dbraw/zinc/07/11/37/817071137.db2.gz ZOMFKJASSIDKTK-STQMWFEESA-N 0 1 266.389 0.285 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@@H]1COCCO1 ZINC001123870178 817136630 /nfs/dbraw/zinc/13/66/30/817136630.db2.gz OCOWYFHGFSYGTG-HNNXBMFYSA-N 0 1 288.347 0.159 20 30 CCEDMN C#C[C@H]1CCCN(C(=O)NC[C@@H](C)N2CCN(C)CC2)C1 ZINC001123885258 817153978 /nfs/dbraw/zinc/15/39/78/817153978.db2.gz DABFKZVNNKVHJQ-CABCVRRESA-N 0 1 292.427 0.677 20 30 CCEDMN CC[C@H](CN1C[C@@H](NC(=O)C#CC(C)C)[C@H](OC)C1)OC ZINC001212241043 817169569 /nfs/dbraw/zinc/16/95/69/817169569.db2.gz ITDJGTHGVVDRTO-RBSFLKMASA-N 0 1 296.411 0.886 20 30 CCEDMN C#CCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1cnn(C)c1 ZINC001272676049 817273224 /nfs/dbraw/zinc/27/32/24/817273224.db2.gz NWXBCMRWMVMHOZ-ZIAGYGMSSA-N 0 1 272.352 0.619 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CC(=O)N(CC)C2)C1 ZINC001031710307 817273281 /nfs/dbraw/zinc/27/32/81/817273281.db2.gz ZKXPEHVMMYYPFM-ZDUSSCGKSA-N 0 1 279.384 0.479 20 30 CCEDMN COC(=O)[C@@H]1CN(Cc2ccc(C#N)cc2[O-])C[C@@H]1[NH3+] ZINC001236349790 817278158 /nfs/dbraw/zinc/27/81/58/817278158.db2.gz HOQLYTWGWKBTRT-NEPJUHHUSA-N 0 1 275.308 0.196 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn3ncccc23)C1 ZINC001031736443 817301180 /nfs/dbraw/zinc/30/11/80/817301180.db2.gz LVXPYAOAMZXJFC-UHFFFAOYSA-N 0 1 283.335 0.414 20 30 CCEDMN C#CCN1CC(CNC(=O)c2[nH]nc(CC)c2Cl)C1 ZINC001031738220 817302206 /nfs/dbraw/zinc/30/22/06/817302206.db2.gz JIOKBBZXYPRIAN-UHFFFAOYSA-N 0 1 280.759 0.920 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)[C@H]1CCNC1=O ZINC001089680736 817310796 /nfs/dbraw/zinc/31/07/96/817310796.db2.gz QVCMOHDULKSOMA-STQMWFEESA-N 0 1 291.395 0.363 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2C[C@H](C)O)cc1 ZINC001038589515 817323065 /nfs/dbraw/zinc/32/30/65/817323065.db2.gz QGAAWHCJWSITJX-WFASDCNBSA-N 0 1 272.348 0.853 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001031754777 817340244 /nfs/dbraw/zinc/34/02/44/817340244.db2.gz OXGKZBQIJQUFJU-GFCCVEGCSA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc3c(c2)nnn3C)C1 ZINC001031770988 817355935 /nfs/dbraw/zinc/35/59/35/817355935.db2.gz YHHSZTJNKYZHGO-UHFFFAOYSA-N 0 1 285.351 0.816 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cnn(C)c2Cl)C1 ZINC001031774258 817357438 /nfs/dbraw/zinc/35/74/38/817357438.db2.gz UPRVCWZBDMVFIQ-UHFFFAOYSA-N 0 1 280.759 0.758 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)C[C@H]2CCCO2)C1 ZINC001047312879 817372091 /nfs/dbraw/zinc/37/20/91/817372091.db2.gz KQKBRBOJOLLDEV-RDBSUJKOSA-N 0 1 282.384 0.635 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2ccn(CCOC)n2)C1 ZINC001031836359 817405824 /nfs/dbraw/zinc/40/58/24/817405824.db2.gz ZZDVDDABTFONAK-UHFFFAOYSA-N 0 1 292.383 0.767 20 30 CCEDMN CC#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1cn[nH]n1 ZINC001089832328 817415436 /nfs/dbraw/zinc/41/54/36/817415436.db2.gz UFDLYHZBECXETQ-JLNYLFASSA-N 0 1 299.378 0.610 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cc(=O)c(OC)c[nH]2)C1 ZINC001031849568 817416347 /nfs/dbraw/zinc/41/63/47/817416347.db2.gz QNVZXXLHGSXPHB-UHFFFAOYSA-N 0 1 289.335 0.068 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cn(C)cn2)C1 ZINC001047350981 817419227 /nfs/dbraw/zinc/41/92/27/817419227.db2.gz SUCNABYAXQGYKH-STQMWFEESA-N 0 1 278.356 0.113 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnc3c(c2)nnn3C)C1 ZINC001031856423 817420491 /nfs/dbraw/zinc/42/04/91/817420491.db2.gz ZJQRODCMFCDCQU-UHFFFAOYSA-N 0 1 286.339 0.211 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001031877435 817435860 /nfs/dbraw/zinc/43/58/60/817435860.db2.gz QGOCOAYOTUMKIS-ZDUSSCGKSA-N 0 1 279.384 0.527 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@@H]1CCOC1 ZINC001124496017 817492287 /nfs/dbraw/zinc/49/22/87/817492287.db2.gz UZSSVXSGLPNELQ-SECBINFHSA-N 0 1 277.162 0.637 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@]23C[C@H]2CCC3)C1 ZINC001047464233 817532992 /nfs/dbraw/zinc/53/29/92/817532992.db2.gz LQQAHXFQUHOHFL-ORIJERBGSA-N 0 1 276.380 0.703 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cn(CC)nn2)C1 ZINC001032081614 817613307 /nfs/dbraw/zinc/61/33/07/817613307.db2.gz SOIOBUINHGHBAO-UHFFFAOYSA-N 0 1 263.345 0.536 20 30 CCEDMN C[C@H](C#N)C(=O)NCCNCC#Cc1ccccc1 ZINC001124889079 817616974 /nfs/dbraw/zinc/61/69/74/817616974.db2.gz PEJXWTMOYWTPOD-CYBMUJFWSA-N 0 1 255.321 0.904 20 30 CCEDMN CCc1noc(C)c1CNCCNC(=O)[C@H](C)C#N ZINC001124889419 817617839 /nfs/dbraw/zinc/61/78/39/817617839.db2.gz QDRLGJSWHFECGU-SECBINFHSA-N 0 1 264.329 0.911 20 30 CCEDMN Cc1cnc([C@@H](C)NCCNC(=O)[C@H](C)C#N)cn1 ZINC001124895361 817618755 /nfs/dbraw/zinc/61/87/55/817618755.db2.gz GXCPHBXXGYDCLF-MWLCHTKSSA-N 0 1 261.329 0.712 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccc(N(C)C)nn2)C1 ZINC001032134191 817660192 /nfs/dbraw/zinc/66/01/92/817660192.db2.gz DXICBLXNCFLMGQ-UHFFFAOYSA-N 0 1 287.367 0.228 20 30 CCEDMN C=CCCCN1CC(n2cc(CNC(C)=O)nn2)C1 ZINC001107106181 817736007 /nfs/dbraw/zinc/73/60/07/817736007.db2.gz YPDWGWOMEDVRDG-UHFFFAOYSA-N 0 1 263.345 0.737 20 30 CCEDMN N#Cc1cccnc1NC/C=C\CNC(=O)Cc1nnc[nH]1 ZINC001107334360 817835851 /nfs/dbraw/zinc/83/58/51/817835851.db2.gz XYUOTTPDDKZKII-UPHRSURJSA-N 0 1 297.322 0.398 20 30 CCEDMN C=C(Cl)CN1C[C@@H]2C[C@H]1CN2C(=O)CCc1nc[nH]n1 ZINC001032341187 817913105 /nfs/dbraw/zinc/91/31/05/817913105.db2.gz MNZWJUDDYCJJDC-QWRGUYRKSA-N 0 1 295.774 0.775 20 30 CCEDMN C[C@H](CNc1nccnc1C#N)NC(=O)[C@@H]1CCC[N@H+]1C ZINC001107645471 817913332 /nfs/dbraw/zinc/91/33/32/817913332.db2.gz VEWHMNXLLKARDI-PWSUYJOCSA-N 0 1 288.355 0.359 20 30 CCEDMN C[C@H](CNc1nccnc1C#N)NC(=O)[C@@H]1CCCN1C ZINC001107645471 817913337 /nfs/dbraw/zinc/91/33/37/817913337.db2.gz VEWHMNXLLKARDI-PWSUYJOCSA-N 0 1 288.355 0.359 20 30 CCEDMN C=C(C)CN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCNC(=O)C1 ZINC001032353289 817966545 /nfs/dbraw/zinc/96/65/45/817966545.db2.gz SLECGYHZNRDFLE-AGIUHOORSA-N 0 1 277.368 0.374 20 30 CCEDMN CO[C@@H](C)CN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(C#N)[nH]1 ZINC001032362363 817994939 /nfs/dbraw/zinc/99/49/39/817994939.db2.gz XKXPQXDRNDQCAY-DRZSPHRISA-N 0 1 288.351 0.820 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)COCC=C)C1 ZINC001107813142 818029721 /nfs/dbraw/zinc/02/97/21/818029721.db2.gz ONFAOTSBVCMHET-OAHLLOKOSA-N 0 1 282.384 0.972 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCNC(=O)Cc1cnc[nH]1 ZINC001078752034 818042988 /nfs/dbraw/zinc/04/29/88/818042988.db2.gz HILMZIYOCGRZAA-UWVGGRQHSA-N 0 1 277.328 0.123 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccccc2)[C@@H](O)C1 ZINC001090023115 818282128 /nfs/dbraw/zinc/28/21/28/818282128.db2.gz OBCTVFKDYOTFAW-CABCVRRESA-N 0 1 272.348 0.875 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CC23CCC3)[C@@H](O)C1 ZINC001083819561 818287200 /nfs/dbraw/zinc/28/72/00/818287200.db2.gz GYWKPAUWQGPREU-XQQFMLRXSA-N 0 1 262.353 0.361 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ccsc2)[C@H](O)C1 ZINC001090047319 818342569 /nfs/dbraw/zinc/34/25/69/818342569.db2.gz RUXMSEIYHLJNDL-ZIAGYGMSSA-N 0 1 292.404 0.865 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)Cc2cnn(C)c2)C1 ZINC001032947653 818377071 /nfs/dbraw/zinc/37/70/71/818377071.db2.gz PPTCZNMGEXCQOP-CYBMUJFWSA-N 0 1 260.341 0.129 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCCOC2)C1 ZINC001032954425 818383728 /nfs/dbraw/zinc/38/37/28/818383728.db2.gz WEEYDMZSJRWKMZ-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2ccon2)C1 ZINC001032965796 818394648 /nfs/dbraw/zinc/39/46/48/818394648.db2.gz DLXFZYWWFUPOBF-ZDUSSCGKSA-N 0 1 261.325 0.773 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1COCCN1C(=O)c1ccn[nH]1 ZINC001061395684 818443507 /nfs/dbraw/zinc/44/35/07/818443507.db2.gz AGSIOWYAEPKKBD-LLVKDONJSA-N 0 1 292.339 0.333 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CC2OCCCO2)C1 ZINC001033036007 818446537 /nfs/dbraw/zinc/44/65/37/818446537.db2.gz LLKUZDVTKXFZNL-LBPRGKRZSA-N 0 1 266.341 0.305 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H](N(C)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033034951 818447140 /nfs/dbraw/zinc/44/71/40/818447140.db2.gz DEGSYYBZNRZAQQ-LLVKDONJSA-N 0 1 277.324 0.148 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2cc(=O)n(C)o2)C1 ZINC001033034951 818447146 /nfs/dbraw/zinc/44/71/46/818447146.db2.gz DEGSYYBZNRZAQQ-LLVKDONJSA-N 0 1 277.324 0.148 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@H]2CCc3ncncc3C2)C1 ZINC001033139427 818564818 /nfs/dbraw/zinc/56/48/18/818564818.db2.gz KACSHRYRBLHLON-ZFWWWQNUSA-N 0 1 298.390 0.747 20 30 CCEDMN C#CCN1CC[C@@]2(CCC[N@H+]2C[C@@H]2CCCO2)C1=O ZINC001273073674 818569913 /nfs/dbraw/zinc/56/99/13/818569913.db2.gz WQZIKTHMSAHNKF-ZFWWWQNUSA-N 0 1 262.353 0.866 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cc2cn(C)nc2C)C1 ZINC001033148287 818573068 /nfs/dbraw/zinc/57/30/68/818573068.db2.gz IIYXIFJLLVDNBN-AWEZNQCLSA-N 0 1 276.384 0.990 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001033171910 818600770 /nfs/dbraw/zinc/60/07/70/818600770.db2.gz HPEKUVLKECUPMT-NSHDSACASA-N 0 1 276.340 0.593 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001033204901 818649350 /nfs/dbraw/zinc/64/93/50/818649350.db2.gz APOKCWKPEYVKHS-HIFRSBDPSA-N 0 1 286.379 0.611 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001033210864 818651304 /nfs/dbraw/zinc/65/13/04/818651304.db2.gz GJBYHFMFBUIKLM-UONOGXRCSA-N 0 1 286.379 0.611 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)c2ccc(=O)n(C)c2)C1 ZINC001033218590 818659091 /nfs/dbraw/zinc/65/90/91/818659091.db2.gz ZZGWMKVXBGSYFV-ZDUSSCGKSA-N 0 1 273.336 0.165 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cocn2)[C@H](O)C1 ZINC001090130535 818692209 /nfs/dbraw/zinc/69/22/09/818692209.db2.gz VBGODHUQXRVAAX-GXSJLCMTSA-N 0 1 251.286 0.026 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001033430219 818776251 /nfs/dbraw/zinc/77/62/51/818776251.db2.gz YJVBSMFLZBIVEJ-HZSPNIEDSA-N 0 1 291.395 0.444 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C(=O)N2CCC[C@H](C)C2)C1 ZINC001033478383 818793229 /nfs/dbraw/zinc/79/32/29/818793229.db2.gz BPHURJUWPYPKHC-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCc3n[nH]nc3C2)C1 ZINC001033577988 818836388 /nfs/dbraw/zinc/83/63/88/818836388.db2.gz SJZIDADRCPLKTE-VXGBXAGGSA-N 0 1 287.367 0.076 20 30 CCEDMN CN(C)c1ccncc1C(=O)N(C)[C@@H]1CCN(CC#N)C1 ZINC001033584968 818845095 /nfs/dbraw/zinc/84/50/95/818845095.db2.gz CXBWYLPTXUYFRF-GFCCVEGCSA-N 0 1 287.367 0.817 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)Cc2[nH]cnc2C)C1 ZINC001033616803 818852869 /nfs/dbraw/zinc/85/28/69/818852869.db2.gz MDJRQMDQZGNIBE-ZDUSSCGKSA-N 0 1 274.368 0.817 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)Cn2c(C)csc2=O)C1 ZINC001033649655 818872909 /nfs/dbraw/zinc/87/29/09/818872909.db2.gz DYTZHOXJCIGUCX-LBPRGKRZSA-N 0 1 295.408 0.937 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001033712744 818899724 /nfs/dbraw/zinc/89/97/24/818899724.db2.gz JWUDDPZILQPLQQ-OLZOCXBDSA-N 0 1 292.383 0.086 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)C2=COCCO2)C1 ZINC001033743305 818914030 /nfs/dbraw/zinc/91/40/30/818914030.db2.gz SMNHDBXSAWFSGU-GFCCVEGCSA-N 0 1 266.341 0.983 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@@H]2COCCN2C)C1 ZINC001033794083 818942301 /nfs/dbraw/zinc/94/23/01/818942301.db2.gz FDISVYPOVVJLSH-KGLIPLIRSA-N 0 1 281.400 0.426 20 30 CCEDMN C=C(C)CN1CC[C@@H](N(CC)C(=O)c2ncn(C)n2)C1 ZINC001033820429 818958230 /nfs/dbraw/zinc/95/82/30/818958230.db2.gz MIDNEUJIWIKJQE-GFCCVEGCSA-N 0 1 277.372 0.928 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033853533 818968439 /nfs/dbraw/zinc/96/84/39/818968439.db2.gz QZXQGKPLKCZNHG-OLZOCXBDSA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)[C@H](C)OC)[C@@H](n2ccnn2)C1 ZINC001128780368 818985631 /nfs/dbraw/zinc/98/56/31/818985631.db2.gz JFGWSIVEBFVURE-XQQFMLRXSA-N 0 1 293.371 0.231 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCNC2=O)C1 ZINC001033920230 818993717 /nfs/dbraw/zinc/99/37/17/818993717.db2.gz RKPFQKOFBQHDGM-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2CC=CC2)[C@H](O)C1 ZINC001090155585 818997171 /nfs/dbraw/zinc/99/71/71/818997171.db2.gz OPPAXFFNOLYKCV-UONOGXRCSA-N 0 1 262.353 0.527 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@@H]2CCN(C)C2=O)C1 ZINC001033923370 818999148 /nfs/dbraw/zinc/99/91/48/818999148.db2.gz QWVLUDQTQNSEOJ-QWHCGFSZSA-N 0 1 279.384 0.574 20 30 CCEDMN CC(C)N1C[C@@H](NC(=O)[C@@H](C)C#N)[C@@H](n2ccnn2)C1 ZINC001128834462 819070210 /nfs/dbraw/zinc/07/02/10/819070210.db2.gz OTBFVKXQQLKQFH-TUAOUCFPSA-N 0 1 276.344 0.188 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cc2cc[nH]n2)C1 ZINC001034142266 819086835 /nfs/dbraw/zinc/08/68/35/819086835.db2.gz KLZZKLYFQXDBQP-ZDUSSCGKSA-N 0 1 260.341 0.556 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)[C@@H](C)CC(N)=O)C1 ZINC001108117896 819087918 /nfs/dbraw/zinc/08/79/18/819087918.db2.gz OILPSWYBTDXUHN-WFASDCNBSA-N 0 1 297.399 0.281 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2cccnc2)[C@H](O)C1 ZINC001090199773 819134372 /nfs/dbraw/zinc/13/43/72/819134372.db2.gz JKFTVBYTZOGCTO-LSDHHAIUSA-N 0 1 287.363 0.199 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cccnc2)[C@H](O)C1 ZINC001090198266 819137835 /nfs/dbraw/zinc/13/78/35/819137835.db2.gz WAJGDOPTMWKYSA-ZIAGYGMSSA-N 0 1 275.352 0.362 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2cnn3ccncc23)C1 ZINC001034251360 819141490 /nfs/dbraw/zinc/14/14/90/819141490.db2.gz RRGUIIKSZJDKAK-ZDUSSCGKSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001034285361 819151309 /nfs/dbraw/zinc/15/13/09/819151309.db2.gz CKBFTPBHLFBAMQ-UONOGXRCSA-N 0 1 291.395 0.507 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCC(=O)NC2)C1 ZINC001034285363 819152349 /nfs/dbraw/zinc/15/23/49/819152349.db2.gz CKBFTPBHLFBAMQ-ZIAGYGMSSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2csnc2C)[C@H](O)C1 ZINC001090204285 819153554 /nfs/dbraw/zinc/15/35/54/819153554.db2.gz OOBBWWPQMMFMLC-NWDGAFQWSA-N 0 1 281.381 0.803 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001034327527 819167618 /nfs/dbraw/zinc/16/76/18/819167618.db2.gz SHKQNJUPKCFAFU-WFASDCNBSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001034353245 819174268 /nfs/dbraw/zinc/17/42/68/819174268.db2.gz GYAIPBDYAKQFPN-BYNSBNAKSA-N 0 1 276.380 0.873 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)c2[nH]nnc2C)C1 ZINC001034345246 819177246 /nfs/dbraw/zinc/17/72/46/819177246.db2.gz PFUSTONTHNHOGR-LLVKDONJSA-N 0 1 261.329 0.331 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@]2(C)CCNC2=O)C1 ZINC001034395265 819193767 /nfs/dbraw/zinc/19/37/67/819193767.db2.gz VCBAQUOMHKTWFX-SWLSCSKDSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001034497934 819218392 /nfs/dbraw/zinc/21/83/92/819218392.db2.gz FJXNOCWTVTZCGC-OLZOCXBDSA-N 0 1 277.368 0.259 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2c(C)ccn2C)[C@@H](O)C1 ZINC001090219571 819224354 /nfs/dbraw/zinc/22/43/54/819224354.db2.gz SWHYLAURWCVLMQ-KBPBESRZSA-N 0 1 289.379 0.522 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)Cn2ccccc2=O)C1 ZINC001034519363 819233127 /nfs/dbraw/zinc/23/31/27/819233127.db2.gz ITBCPEGBMDUODS-AWEZNQCLSA-N 0 1 287.363 0.452 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2nccc(C)n2)[C@@H](O)C1 ZINC001090229578 819276979 /nfs/dbraw/zinc/27/69/79/819276979.db2.gz CVWTWPXZCIJLSU-RYUDHWBXSA-N 0 1 276.340 0.136 20 30 CCEDMN CN1CC(C(=O)N[C@H]2CC[C@H](NCC#N)CC2)=NC1=O ZINC001034725251 819312198 /nfs/dbraw/zinc/31/21/98/819312198.db2.gz IYXVMASJLXLIAX-MGCOHNPYSA-N 0 1 277.328 0.280 20 30 CCEDMN N#Cc1cc(CN2C[C@H](CO)[C@H](CO)C2)ccc1F ZINC000705371162 819503175 /nfs/dbraw/zinc/50/31/75/819503175.db2.gz WQAWIQBEABIRJS-BETUJISGSA-N 0 1 264.300 0.730 20 30 CCEDMN N#Cc1ccc(F)c(CN2C[C@H](CO)[C@H](CO)C2)c1 ZINC000705371583 819503268 /nfs/dbraw/zinc/50/32/68/819503268.db2.gz ZELUEVMJXJFLBW-BETUJISGSA-N 0 1 264.300 0.730 20 30 CCEDMN C#CCCN1CCO[C@@H](CNC(=O)[C@H]2CCCCN2C)C1 ZINC001035415398 819517385 /nfs/dbraw/zinc/51/73/85/819517385.db2.gz BFZDBIKFQVWIRI-LSDHHAIUSA-N 0 1 293.411 0.311 20 30 CCEDMN C=CCN1CCO[C@@H](CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001035413426 819518764 /nfs/dbraw/zinc/51/87/64/819518764.db2.gz CELPFAGTMMTCAE-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN C=C(C)CCN1CCO[C@H](CNC(=O)c2cccnn2)C1 ZINC001035553945 819565231 /nfs/dbraw/zinc/56/52/31/819565231.db2.gz KVFKNCHWUDJAIL-CYBMUJFWSA-N 0 1 290.367 0.873 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cc[nH]n1)CC2 ZINC001035655204 819593825 /nfs/dbraw/zinc/59/38/25/819593825.db2.gz FYKXPNDZMZOKPD-UHFFFAOYSA-N 0 1 258.325 0.581 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1ccn[nH]1)CC2 ZINC001035655204 819593834 /nfs/dbraw/zinc/59/38/34/819593834.db2.gz FYKXPNDZMZOKPD-UHFFFAOYSA-N 0 1 258.325 0.581 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cc[n+]([O-])cc1)CC2 ZINC001035645269 819598382 /nfs/dbraw/zinc/59/83/82/819598382.db2.gz MAFOMCABGXHLCG-UHFFFAOYSA-N 0 1 285.347 0.491 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@H]1C(=O)OC)CC2 ZINC001035667103 819605945 /nfs/dbraw/zinc/60/59/45/819605945.db2.gz DWOQCCFJFCUGTA-CHWSQXEVSA-N 0 1 290.363 0.353 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)[C@H]1CCOC1)CC2 ZINC001035665239 819606982 /nfs/dbraw/zinc/60/69/82/819606982.db2.gz BAMPBRAXISOANN-AWEZNQCLSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1c[nH]c(C)n1)CC2 ZINC001035670944 819607678 /nfs/dbraw/zinc/60/76/78/819607678.db2.gz QHTQHKCRLBLZOJ-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN C=CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCNC1=O)CC2 ZINC001035726530 819615300 /nfs/dbraw/zinc/61/53/00/819615300.db2.gz BUIWGIBTVUXECS-CYBMUJFWSA-N 0 1 291.395 0.623 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCN(C)C1=O)CC2 ZINC001035773709 819627894 /nfs/dbraw/zinc/62/78/94/819627894.db2.gz ZTGCRWHBZWRSHZ-CYBMUJFWSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CC1(O)CCN(C[C@@H](O)CC(F)(F)F)CC1 ZINC000708165699 819761550 /nfs/dbraw/zinc/76/15/50/819761550.db2.gz OCBZJJBOCDBUQD-VIFPVBQESA-N 0 1 251.248 0.760 20 30 CCEDMN C=C(Br)CNC(=O)[C@]1(C)CNCCO1 ZINC000710710701 819829403 /nfs/dbraw/zinc/82/94/03/819829403.db2.gz LCVUELLTJHSBEB-VIFPVBQESA-N 0 1 263.135 0.390 20 30 CCEDMN C=C(Cl)CN1CC[C@H]2CN(C(=O)CC(N)=O)CC[C@H]21 ZINC001036671970 819980176 /nfs/dbraw/zinc/98/01/76/819980176.db2.gz SAQBKPXEWOQYET-WDEREUQCSA-N 0 1 285.775 0.537 20 30 CCEDMN N#Cc1cncc(NC[C@H]2CCCN2C(=O)c2ccn[nH]2)n1 ZINC001063428798 820148830 /nfs/dbraw/zinc/14/88/30/820148830.db2.gz VIZAFFSPHHKZKY-LLVKDONJSA-N 0 1 297.322 0.788 20 30 CCEDMN C#CCCCC(=O)NC1(CNC(=O)c2cnn[nH]2)CCC1 ZINC001063582468 820159198 /nfs/dbraw/zinc/15/91/98/820159198.db2.gz SRNVASSBCJTPHS-UHFFFAOYSA-N 0 1 289.339 0.377 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1(NC(=O)Cc2cnc[nH]2)CCC1 ZINC001064309418 820193194 /nfs/dbraw/zinc/19/31/94/820193194.db2.gz WCDIITQHJJYDGF-JTQLQIEISA-N 0 1 289.339 0.267 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ncc(F)cc2F)[C@H](O)C1 ZINC001090358717 820297207 /nfs/dbraw/zinc/29/72/07/820297207.db2.gz OMWUIGYPKVJDHB-VXGBXAGGSA-N 0 1 297.305 0.711 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)C1(C#N)CCOCC1 ZINC000712272204 820299082 /nfs/dbraw/zinc/29/90/82/820299082.db2.gz PQHBTZYWDYJFQT-LLVKDONJSA-N 0 1 251.330 0.517 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2[nH]c(C)cc2C)[C@H](O)C1 ZINC001090363441 820300522 /nfs/dbraw/zinc/30/05/22/820300522.db2.gz OIXLRAWFGAIHEF-UONOGXRCSA-N 0 1 289.379 0.820 20 30 CCEDMN C=CCCC(=O)N(CC)C1CN(C(=O)c2[nH]nnc2C)C1 ZINC001079473328 820405380 /nfs/dbraw/zinc/40/53/80/820405380.db2.gz JDZMTUDZSZIDIY-UHFFFAOYSA-N 0 1 291.355 0.752 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2ccnn2C)C1 ZINC001079474517 820405921 /nfs/dbraw/zinc/40/59/21/820405921.db2.gz FXCIZCWLWOIUCI-VXGBXAGGSA-N 0 1 260.341 0.494 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC001079559706 820427005 /nfs/dbraw/zinc/42/70/05/820427005.db2.gz XBDKZSABLGYQAL-ZWNOBZJWSA-N 0 1 260.341 0.330 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC001079559706 820427011 /nfs/dbraw/zinc/42/70/11/820427011.db2.gz XBDKZSABLGYQAL-ZWNOBZJWSA-N 0 1 260.341 0.330 20 30 CCEDMN C=C(Cl)CN1C[C@@H](C)[C@H](NC(=O)Cc2n[nH]c(C)n2)C1 ZINC001079668654 820447412 /nfs/dbraw/zinc/44/74/12/820447412.db2.gz NRWINYMJSDIQEJ-LDYMZIIASA-N 0 1 297.790 0.845 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cn(C)c(C)cc2=O)C1 ZINC001079904517 820483081 /nfs/dbraw/zinc/48/30/81/820483081.db2.gz JMBKXSGGOOSYOU-BXUZGUMPSA-N 0 1 289.379 0.930 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCCn3nccc32)C1 ZINC001079987051 820495024 /nfs/dbraw/zinc/49/50/24/820495024.db2.gz VLFXEHPZTOZFLN-MGPQQGTHSA-N 0 1 286.379 0.830 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCn3ccnc3C2)C1 ZINC001079991763 820496114 /nfs/dbraw/zinc/49/61/14/820496114.db2.gz RGAJRVZOLYJFRJ-HZSPNIEDSA-N 0 1 286.379 0.515 20 30 CCEDMN C[C@@H]1CN(CC#N)C[C@H]1NC(=O)c1[nH]nc2c1CCC2 ZINC001080027465 820504337 /nfs/dbraw/zinc/50/43/37/820504337.db2.gz KWEOSXYLHUOMIS-BXKDBHETSA-N 0 1 273.340 0.472 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)[C@@]2(C)CCC(=O)NC2)C1 ZINC001080304283 820543191 /nfs/dbraw/zinc/54/31/91/820543191.db2.gz DDLWDTKQRQSMOW-JMSVASOKSA-N 0 1 279.384 0.525 20 30 CCEDMN C[C@@H](CCNC(=O)Cc1cnc[nH]1)Nc1ccncc1C#N ZINC001099713023 820575816 /nfs/dbraw/zinc/57/58/16/820575816.db2.gz JQPPTVRILSTLMC-NSHDSACASA-N 0 1 298.350 0.648 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2ccc3[nH]c(=O)[nH]c3c2)C1 ZINC001080526917 820589947 /nfs/dbraw/zinc/58/99/47/820589947.db2.gz FRWJOXUPIOFLEA-QMTHXVAHSA-N 0 1 298.346 0.952 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c[nH]nc2C2CC2)C1 ZINC001080703593 820624377 /nfs/dbraw/zinc/62/43/77/820624377.db2.gz IHQHJQLXUFBANE-ZWNOBZJWSA-N 0 1 272.352 0.970 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2Cc3cccnc3C2)C1 ZINC001080847808 820646205 /nfs/dbraw/zinc/64/62/05/820646205.db2.gz NAINJPUKYOAKDZ-XNRPHZJLSA-N 0 1 283.375 0.866 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C2=COCCC2)[C@H](OC)C1 ZINC001081429584 820765597 /nfs/dbraw/zinc/76/55/97/820765597.db2.gz RUTGOJZDPZIRDE-ZIAGYGMSSA-N 0 1 278.352 0.519 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)c2cnc(C)[nH]2)[C@H](OC)C1 ZINC001081438251 820772509 /nfs/dbraw/zinc/77/25/09/820772509.db2.gz ZZUXERUDZATGMI-CHWSQXEVSA-N 0 1 278.356 0.723 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CC2(F)F)[C@H](OC)C1 ZINC001081631140 820797919 /nfs/dbraw/zinc/79/79/19/820797919.db2.gz RRLJDFVAOBPLKH-HBNTYKKESA-N 0 1 272.295 0.480 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@H]2OC)C1 ZINC001082111932 820903020 /nfs/dbraw/zinc/90/30/20/820903020.db2.gz PAHQAPOJTRXCJT-YNEHKIRRSA-N 0 1 282.384 0.539 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2cccnn2)[C@H](OC)C1 ZINC001082260718 820926884 /nfs/dbraw/zinc/92/68/84/820926884.db2.gz HDJMOGWQFRKKOM-CHWSQXEVSA-N 0 1 276.340 0.482 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@]2(C)CCOC2)[C@H](OC)C1 ZINC001082275825 820932728 /nfs/dbraw/zinc/93/27/28/820932728.db2.gz NWMILHDMCRUWDU-UMVBOHGHSA-N 0 1 280.368 0.252 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ncccc2CC)[C@@H](O)C1 ZINC001090375196 821001421 /nfs/dbraw/zinc/00/14/21/821001421.db2.gz RIXYGTWBADNCJX-KBPBESRZSA-N 0 1 289.379 0.995 20 30 CCEDMN CC#CCN1C[C@H]2OCCN(C(=O)[C@@H]3CCCN3C)[C@H]2C1 ZINC001083023780 821111928 /nfs/dbraw/zinc/11/19/28/821111928.db2.gz OVAZIKYFGMPQPQ-SOUVJXGZSA-N 0 1 291.395 0.016 20 30 CCEDMN C#CCN1C[C@H]2OCCN(C(=O)[C@@H]3CCCCN3C)[C@H]2C1 ZINC001083168858 821154180 /nfs/dbraw/zinc/15/41/80/821154180.db2.gz QJZGYQHFOOZTLS-SOUVJXGZSA-N 0 1 291.395 0.016 20 30 CCEDMN Cc1nc(CN2CC[C@@H]3CN(C(=O)[C@H](C)C#N)[C@@H]3C2)n[nH]1 ZINC001084236800 821249764 /nfs/dbraw/zinc/24/97/64/821249764.db2.gz RAJBDQMZDMLWTE-YUSALJHKSA-N 0 1 288.355 0.306 20 30 CCEDMN C=C(C)CN1CC[C@@H]2CN(C(=O)c3ncn(C)n3)[C@@H]2C1 ZINC001084325400 821270831 /nfs/dbraw/zinc/27/08/31/821270831.db2.gz UXVVTRUVUKJQCS-VXGBXAGGSA-N 0 1 275.356 0.538 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@]3(C)CCNC3=O)[C@@H]2C1 ZINC001084657708 821340483 /nfs/dbraw/zinc/34/04/83/821340483.db2.gz KWLREOVFFCSNDT-LALPHHSUSA-N 0 1 277.368 0.231 20 30 CCEDMN C=C1CC(C)(C(=O)N2C[C@H]3CCN(CCO)C[C@H]32)C1 ZINC001084646240 821334305 /nfs/dbraw/zinc/33/43/05/821334305.db2.gz ZUQOEPFYFMYKLN-CHWSQXEVSA-N 0 1 264.369 0.868 20 30 CCEDMN C#CCN1C(=O)C[C@@]2(CCCN(Cc3cncnc3)C2)C1=O ZINC001273230661 821381278 /nfs/dbraw/zinc/38/12/78/821381278.db2.gz LJZRBOHSGIDNSV-MRXNPFEDSA-N 0 1 298.346 0.451 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C(F)F)CC2)[C@H](O)C1 ZINC001099836361 821408675 /nfs/dbraw/zinc/40/86/75/821408675.db2.gz YUYIHJSOBZUKMB-WDEREUQCSA-N 0 1 286.322 0.606 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)C(=O)N[C@H]1CCN(C2CC2)C1 ZINC001119681759 821416629 /nfs/dbraw/zinc/41/66/29/821416629.db2.gz WIZBMXHTSYKAFN-STQMWFEESA-N 0 1 289.379 0.354 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCc2ccncn2)[C@@H](O)C1 ZINC001099856064 821447092 /nfs/dbraw/zinc/44/70/92/821447092.db2.gz RDXFXLCRHITBBK-KBPBESRZSA-N 0 1 290.367 0.147 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cc(C)nn1C ZINC001085505194 821749411 /nfs/dbraw/zinc/74/94/11/821749411.db2.gz PLCMZFAZBNSHIW-CYBMUJFWSA-N 0 1 274.368 0.898 20 30 CCEDMN CN(C)c1ccc(C(N)=NOC[C@@H]2CNC(=O)C2)cc1 ZINC000828302517 821757209 /nfs/dbraw/zinc/75/72/09/821757209.db2.gz OUNYTSNNISSSNR-JTQLQIEISA-N 0 1 276.340 0.526 20 30 CCEDMN C=CCCN1CC[C@H]1CN(C)C(=O)c1nccnc1N ZINC001085524255 821770138 /nfs/dbraw/zinc/77/01/38/821770138.db2.gz HCXVWDLBGISDKJ-NSHDSACASA-N 0 1 275.356 0.781 20 30 CCEDMN N#Cc1cnnc(N2CCN(CCCCCO)CC2)c1 ZINC000828748001 821816979 /nfs/dbraw/zinc/81/69/79/821816979.db2.gz ZREQDCVMGQVUAP-UHFFFAOYSA-N 0 1 275.356 0.633 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1cnc[nH]c1=O ZINC001085663092 821882365 /nfs/dbraw/zinc/88/23/65/821882365.db2.gz XWDIELLBUKOKFB-LLVKDONJSA-N 0 1 274.324 0.352 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCC(=O)NC1 ZINC001085689084 821902091 /nfs/dbraw/zinc/90/20/91/821902091.db2.gz YOMPDSMYHSEDMB-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCN(C)C1=O ZINC001085770198 821940932 /nfs/dbraw/zinc/94/09/32/821940932.db2.gz APNVTLIQLVHRPO-CHWSQXEVSA-N 0 1 279.384 0.574 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1C[C@H]1C(=O)N(C)C ZINC001085819859 821967011 /nfs/dbraw/zinc/96/70/11/821967011.db2.gz KHOBQWMEAHTANB-MJBXVCDLSA-N 0 1 293.411 0.820 20 30 CCEDMN CN(C[C@@H]1CCN1CC#N)C(=O)c1cc(C2CC2)[nH]n1 ZINC001085836955 821973823 /nfs/dbraw/zinc/97/38/23/821973823.db2.gz XVZMZTDZVYLCJO-NSHDSACASA-N 0 1 273.340 0.957 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCCN1C(N)=O ZINC001086001698 822064754 /nfs/dbraw/zinc/06/47/54/822064754.db2.gz OGVDWWXLDWBBNX-OLZOCXBDSA-N 0 1 292.383 0.086 20 30 CCEDMN CN(C)c1ccncc1C(=O)NC[C@H]1C[C@@H](NCC#N)C1 ZINC001086255172 822177615 /nfs/dbraw/zinc/17/76/15/822177615.db2.gz MNZFOJASBPILIM-TXEJJXNPSA-N 0 1 287.367 0.769 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2cnccn2)[C@H](O)C1 ZINC001100066513 822213407 /nfs/dbraw/zinc/21/34/07/822213407.db2.gz JIWSSPLHWCISTO-ZIAGYGMSSA-N 0 1 290.367 0.147 20 30 CCEDMN C=CCOCC(=O)NCCCNCc1nnc(C)n1CC ZINC001155724674 822239242 /nfs/dbraw/zinc/23/92/42/822239242.db2.gz DPCKJQGESGIYFE-UHFFFAOYSA-N 0 1 295.387 0.405 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1[C@H]2CN(Cc3cncn3C)C[C@H]21 ZINC001114064947 837425404 /nfs/dbraw/zinc/42/54/04/837425404.db2.gz XFKPPVDLLCXYBR-FTYKPCCVSA-N 0 1 273.340 0.126 20 30 CCEDMN C/C=C(\C)C(=O)NC1[C@H]2CN(CC#CCOC)C[C@@H]12 ZINC001114125883 837445791 /nfs/dbraw/zinc/44/57/91/837445791.db2.gz QERLLSYZNBIIQD-CGUNSBGISA-N 0 1 262.353 0.649 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCCO1 ZINC001114140634 837452353 /nfs/dbraw/zinc/45/23/53/837452353.db2.gz JRFMXDFMBDWIJW-LJISPDSOSA-N 0 1 292.379 0.252 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1C[C@@H]2CCC[C@]2(NC(C)=O)C1 ZINC001111554655 837473750 /nfs/dbraw/zinc/47/37/50/837473750.db2.gz AMFQSRRIAKVAKX-ZLDLUXBVSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(C(F)F)CC1 ZINC001114281070 837496313 /nfs/dbraw/zinc/49/63/13/837496313.db2.gz UGKCKLIIUBJYTG-MYJAWHEDSA-N 0 1 254.280 0.711 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H](OCC)C1CC1 ZINC001114294131 837498250 /nfs/dbraw/zinc/49/82/50/837498250.db2.gz UKXPTIPGEZGGBN-CBBWQLFWSA-N 0 1 276.380 0.871 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnn2ncccc12 ZINC001129582910 837534647 /nfs/dbraw/zinc/53/46/47/837534647.db2.gz KAFXVYUOTSHTHB-UHFFFAOYSA-N 0 1 279.731 0.801 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CCC=C)C[C@@H]1n1ccnn1 ZINC001129666636 837540792 /nfs/dbraw/zinc/54/07/92/837540792.db2.gz DFMDGSFOVXBJPF-OLZOCXBDSA-N 0 1 273.340 0.219 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCn2cncc2C1 ZINC001130401133 837784008 /nfs/dbraw/zinc/78/40/08/837784008.db2.gz PZZRLZABVNTOQE-LLVKDONJSA-N 0 1 282.775 0.904 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCn2ccnc2C1 ZINC001130480724 837804515 /nfs/dbraw/zinc/80/45/15/837804515.db2.gz BAEMJDGCTRIZGR-LLVKDONJSA-N 0 1 282.775 0.904 20 30 CCEDMN C#CCNC(=O)[C@H](C)N(C)CCCN(C)C(=O)CC(C)C ZINC001273481492 844029234 /nfs/dbraw/zinc/02/92/34/844029234.db2.gz GOHVWSUTPSISCS-AWEZNQCLSA-N 0 1 295.427 0.951 20 30 CCEDMN N#C[C@H](Cc1ccc(O)cc1)C(=O)Nc1cn[nH]c1C(N)=O ZINC001183605886 844043425 /nfs/dbraw/zinc/04/34/25/844043425.db2.gz UEKXUGRHZFFTMR-VIFPVBQESA-N 0 1 299.290 0.535 20 30 CCEDMN C=CCCN1CCO[C@](C)(CNC(=O)[C@@H]2CCC(=O)N2)C1 ZINC001108353983 835986972 /nfs/dbraw/zinc/98/69/72/835986972.db2.gz VAMYRDSCRWMPCI-SWLSCSKDSA-N 0 1 295.383 0.048 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H](C)CNc2ccc(C#N)nc2)n[nH]1 ZINC001108357608 835988552 /nfs/dbraw/zinc/98/85/52/835988552.db2.gz WVDBTPLHXPDFHR-VIFPVBQESA-N 0 1 299.338 0.539 20 30 CCEDMN CO/N=C/c1cc(-n2nnnc2CN)cc(F)c1F ZINC001168924410 836059044 /nfs/dbraw/zinc/05/90/44/836059044.db2.gz VLHABQHBPCSAOC-LHHJGKSTSA-N 0 1 268.227 0.380 20 30 CCEDMN C=CCCN1CCO[C@@](C)(CNC(=O)c2nonc2C)C1 ZINC001108439764 836110480 /nfs/dbraw/zinc/11/04/80/836110480.db2.gz TYAHAOISXXLOIY-AWEZNQCLSA-N 0 1 294.355 0.775 20 30 CCEDMN CCOC(=O)C1(Nc2ccc(C#N)nn2)CCN(C)CC1 ZINC001169490925 836321236 /nfs/dbraw/zinc/32/12/36/836321236.db2.gz OEORHUXDMWOJLN-UHFFFAOYSA-N 0 1 289.339 0.788 20 30 CCEDMN CC(=O)N1CC[C@@H](N2CCC3SC(=O)C=C3C2)C1 ZINC001169536969 836336161 /nfs/dbraw/zinc/33/61/61/836336161.db2.gz HIZRFTRZVDBJRC-NEPJUHHUSA-N 0 1 266.366 0.881 20 30 CCEDMN CNCc1cc(NC(=NO)c2ccc(OC)cn2)ncn1 ZINC001169599422 836372412 /nfs/dbraw/zinc/37/24/12/836372412.db2.gz AAZJPQBOKNNALR-UHFFFAOYSA-N 0 1 288.311 0.848 20 30 CCEDMN CC(C)CN1CC(N2C[C@H](NC(=O)[C@H](C)C#N)CC2=O)C1 ZINC001108528155 836422549 /nfs/dbraw/zinc/42/25/49/836422549.db2.gz USKURFOCXVBNIZ-VXGBXAGGSA-N 0 1 292.383 0.203 20 30 CCEDMN C=C[C@@H](CO)Nc1ccc2[nH]c(C(=O)OC)nc2n1 ZINC001169784348 836445495 /nfs/dbraw/zinc/44/54/95/836445495.db2.gz FYGOQHFYZVUWSG-ZETCQYMHSA-N 0 1 262.269 0.703 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2nc[nH]n2)CC[C@@H]1CNCC#N ZINC001184161942 844174157 /nfs/dbraw/zinc/17/41/57/844174157.db2.gz OSQMVTDEZFIBDJ-NWDGAFQWSA-N 0 1 290.371 0.335 20 30 CCEDMN Cc1nc(N(C)C[C@H](C)NC(=O)c2ncn[nH]2)ccc1C#N ZINC001109063187 836607872 /nfs/dbraw/zinc/60/78/72/836607872.db2.gz NFWKIFDCVJFJOV-VIFPVBQESA-N 0 1 299.338 0.635 20 30 CCEDMN Cc1nc(N(C)C[C@H](C)NC(=O)c2nc[nH]n2)ccc1C#N ZINC001109063187 836607877 /nfs/dbraw/zinc/60/78/77/836607877.db2.gz NFWKIFDCVJFJOV-VIFPVBQESA-N 0 1 299.338 0.635 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@H](C)NC(=O)CC)C2 ZINC001109321139 836656741 /nfs/dbraw/zinc/65/67/41/836656741.db2.gz PSIRFGXNVHYHPE-CRWXNKLISA-N 0 1 291.395 0.646 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H](C)NC(=O)CC)C2 ZINC001109323984 836657539 /nfs/dbraw/zinc/65/75/39/836657539.db2.gz QUMLOARNTUTLRD-NDBYEHHHSA-N 0 1 279.384 0.809 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)COCCCOC)C2 ZINC001109947271 836749677 /nfs/dbraw/zinc/74/96/77/836749677.db2.gz XSCUCEZWZPIPFS-ILXRZTDVSA-N 0 1 294.395 0.784 20 30 CCEDMN N#Cc1cnc(NC[C@@H](NC(=O)c2cnn[nH]2)C2CC2)cn1 ZINC001110012258 836762026 /nfs/dbraw/zinc/76/20/26/836762026.db2.gz FDVSMBPLMCVXNQ-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCOCC(=O)N1CCN(CC[C@H]2CCOC2)CC1 ZINC001112708721 836876191 /nfs/dbraw/zinc/87/61/91/836876191.db2.gz UJNCSYZSFFMBET-AWEZNQCLSA-N 0 1 282.384 0.760 20 30 CCEDMN CCCCCCCN1CCN(C(=O)CC(N)=O)CC1 ZINC001112735099 836886538 /nfs/dbraw/zinc/88/65/38/836886538.db2.gz YWJIDUUFLQGWHZ-UHFFFAOYSA-N 0 1 269.389 0.976 20 30 CCEDMN C=CCCCCCN1CCN(C(=O)[C@@H]2COC(=O)N2)CC1 ZINC001112815205 836925515 /nfs/dbraw/zinc/92/55/15/836925515.db2.gz IFMXIJYYYQWOPI-ZDUSSCGKSA-N 0 1 295.383 0.985 20 30 CCEDMN C=CCCN1CCN(C(=O)C[C@@H](C)NC(N)=O)CC1 ZINC001112854161 836944951 /nfs/dbraw/zinc/94/49/51/836944951.db2.gz XQZSSCAXEYCUBK-LLVKDONJSA-N 0 1 268.361 0.154 20 30 CCEDMN C[C@H](NC(=O)c1ncn[nH]1)[C@H](C)Nc1nccnc1C#N ZINC001113115236 837030851 /nfs/dbraw/zinc/03/08/51/837030851.db2.gz XZWSVLYVWGXETE-YUMQZZPRSA-N 0 1 286.299 0.085 20 30 CCEDMN C[C@H](NC(=O)c1nc[nH]n1)[C@H](C)Nc1nccnc1C#N ZINC001113115236 837030861 /nfs/dbraw/zinc/03/08/61/837030861.db2.gz XZWSVLYVWGXETE-YUMQZZPRSA-N 0 1 286.299 0.085 20 30 CCEDMN C=CCC[C@@H](C)N1CCN(C(=O)c2nnn(C)n2)CC1 ZINC001113207107 837054970 /nfs/dbraw/zinc/05/49/70/837054970.db2.gz BVVLGBVAKZUXBU-LLVKDONJSA-N 0 1 278.360 0.323 20 30 CCEDMN S=C1NCCN1CCNCC#Cc1ccccc1 ZINC001184441776 844232447 /nfs/dbraw/zinc/23/24/47/844232447.db2.gz ZVJMIWGVOUACTN-UHFFFAOYSA-N 0 1 259.378 0.818 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@H](C)OCCOC)CC1 ZINC001113400296 837109738 /nfs/dbraw/zinc/10/97/38/837109738.db2.gz KDDRTIBIUDPISE-ZDUSSCGKSA-N 0 1 270.373 0.758 20 30 CCEDMN C=CCCN1CCN(C(=O)CC(=O)N(C)C)CC1 ZINC001113414475 837112677 /nfs/dbraw/zinc/11/26/77/837112677.db2.gz ZKGRFPBBKFYQHO-UHFFFAOYSA-N 0 1 253.346 0.185 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CC(=O)N(C)C)CC1 ZINC001113414082 837112715 /nfs/dbraw/zinc/11/27/15/837112715.db2.gz GGFREUANQPJANP-UHFFFAOYSA-N 0 1 267.373 0.575 20 30 CCEDMN C=CCCCN1CCN(C(=O)C2CC(=O)NC(=O)C2)CC1 ZINC001113427400 837113889 /nfs/dbraw/zinc/11/38/89/837113889.db2.gz RBIQPWGRJRXVOK-UHFFFAOYSA-N 0 1 293.367 0.150 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)c2cnn(C)n2)CC1 ZINC001113428262 837114221 /nfs/dbraw/zinc/11/42/21/837114221.db2.gz NFRBTCLRNNXUPX-LBPRGKRZSA-N 0 1 277.372 0.928 20 30 CCEDMN C=CCCCN1CCN(C(=O)c2ccc(=O)n(C)n2)CC1 ZINC001113610147 837175322 /nfs/dbraw/zinc/17/53/22/837175322.db2.gz LLGGIPSYXPBUML-UHFFFAOYSA-N 0 1 290.367 0.504 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccnc1 ZINC001113777823 837234641 /nfs/dbraw/zinc/23/46/41/837234641.db2.gz RNKIHWQRAMEBAS-FICVDOATSA-N 0 1 285.347 0.391 20 30 CCEDMN C=CCCCN1CC2(C1)COCC(=O)N2CCOCC ZINC001273486861 844253519 /nfs/dbraw/zinc/25/35/19/844253519.db2.gz QAOOLGPWHRZVMT-UHFFFAOYSA-N 0 1 282.384 0.902 20 30 CCEDMN CCO[C@H](C)C(=O)N[C@@H]1[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001113929840 837372021 /nfs/dbraw/zinc/37/20/21/837372021.db2.gz SGHOMJWIOGSUON-MQYQWHSLSA-N 0 1 280.368 0.108 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1ccc(=O)n(C)c1 ZINC001130762054 837916977 /nfs/dbraw/zinc/91/69/77/837916977.db2.gz JPQNECYDIXAMPE-UHFFFAOYSA-N 0 1 269.732 0.457 20 30 CCEDMN N#Cc1ccc(-c2ccncc2)nc1-n1nnnc1CN ZINC001158076442 837919111 /nfs/dbraw/zinc/91/91/11/837919111.db2.gz ALTXWKVKRLOAIG-UHFFFAOYSA-N 0 1 278.279 0.450 20 30 CCEDMN C=C(Cl)CNCCNC(=O)c1cnc2nccn2c1 ZINC001131344887 838057466 /nfs/dbraw/zinc/05/74/66/838057466.db2.gz QRNSIVPODHHHBD-UHFFFAOYSA-N 0 1 279.731 0.801 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCn2cncn2)CC[C@H]1C ZINC001131813274 838234440 /nfs/dbraw/zinc/23/44/40/838234440.db2.gz NRSWSKXYJRMECY-OLZOCXBDSA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCN1C[C@H](NC(=O)CN(C)C(=O)C(C)C)CC[C@@H]1C ZINC001132083779 838314865 /nfs/dbraw/zinc/31/48/65/838314865.db2.gz WPQQUCGDMQTZBD-UONOGXRCSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C(C)(C)C(N)=O)CC[C@H]1C ZINC001132121022 838319730 /nfs/dbraw/zinc/31/97/30/838319730.db2.gz YMPYYPMLBMOQMG-MNOVXSKESA-N 0 1 265.357 0.100 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cnnn2CC)cc1 ZINC001132215957 838338482 /nfs/dbraw/zinc/33/84/82/838338482.db2.gz TWFORALAQIIGCA-UHFFFAOYSA-N 0 1 297.362 0.799 20 30 CCEDMN C[C@@H](CC(N)=O)C(=O)NCCNCc1ccccc1C#N ZINC001132352163 838365884 /nfs/dbraw/zinc/36/58/84/838365884.db2.gz KYEPDUFUCSLWDU-NSHDSACASA-N 0 1 288.351 0.276 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)c2cnon2)CC[C@@H]1C ZINC001132369532 838375409 /nfs/dbraw/zinc/37/54/09/838375409.db2.gz YLTWHMGVQXCQQQ-RYUDHWBXSA-N 0 1 294.355 0.855 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2csc(=O)[nH]2)[C@@H](O)C1 ZINC001090504577 838388438 /nfs/dbraw/zinc/38/84/38/838388438.db2.gz QMCAXNQUERUWAF-WPRPVWTQSA-N 0 1 283.353 0.200 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCCS(C)(=O)=O ZINC001132568612 838437912 /nfs/dbraw/zinc/43/79/12/838437912.db2.gz AWBARPZECRYVFQ-QWRGUYRKSA-N 0 1 276.402 0.195 20 30 CCEDMN C=C(Br)CNCCNC(=O)[C@@]1(F)CCOC1 ZINC001132864479 838510311 /nfs/dbraw/zinc/51/03/11/838510311.db2.gz VZVDVAMWSLGTKP-SNVBAGLBSA-N 0 1 295.152 0.729 20 30 CCEDMN C#CCNCC(=O)NCCc1ccc(OC)c(OC)c1 ZINC000105679702 838582017 /nfs/dbraw/zinc/58/20/17/838582017.db2.gz GOBNSHWPXQQUDH-UHFFFAOYSA-N 0 1 276.336 0.585 20 30 CCEDMN C#Cc1cncc(C(=O)NCCN[C@@H](C)c2cnccn2)c1 ZINC001133593301 838677329 /nfs/dbraw/zinc/67/73/29/838677329.db2.gz XYDIGBAHWBXBRO-LBPRGKRZSA-N 0 1 295.346 0.934 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@H](C)NCc1nncn1C ZINC001133909795 838772953 /nfs/dbraw/zinc/77/29/53/838772953.db2.gz RBZOOJMAENGCBM-NWDGAFQWSA-N 0 1 295.387 0.391 20 30 CCEDMN C=CCOCC(=O)N[C@@H](C)C[C@H](C)NCc1nonc1C ZINC001133909728 838773857 /nfs/dbraw/zinc/77/38/57/838773857.db2.gz QAMWTWDDPHPIKC-QWRGUYRKSA-N 0 1 296.371 0.954 20 30 CCEDMN CN1CCN(C)[C@@H](C(=O)Nc2ccc(C#N)cc2O)C1 ZINC001185255910 844379922 /nfs/dbraw/zinc/37/99/22/844379922.db2.gz RVIIFODYBLNCOE-GFCCVEGCSA-N 0 1 274.324 0.448 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)N2CCCC[C@@H]2C#N)C1 ZINC001185251293 844380892 /nfs/dbraw/zinc/38/08/92/844380892.db2.gz MSFOUPRFEICSAP-NEPJUHHUSA-N 0 1 250.346 0.137 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)C[C@@H](C)NCc1nncn1C ZINC001134341329 838947038 /nfs/dbraw/zinc/94/70/38/838947038.db2.gz NEOMYWIBKDECCH-OLZOCXBDSA-N 0 1 291.399 0.847 20 30 CCEDMN C=C(C)C[NH2+]CCNC(=O)c1cnc(C2CC2)[n-]c1=O ZINC001134890271 839109092 /nfs/dbraw/zinc/10/90/92/839109092.db2.gz FALGODYPNDDCAL-UHFFFAOYSA-N 0 1 276.340 0.955 20 30 CCEDMN C=CCn1cc(C(=O)NCCNCc2ocnc2C)nn1 ZINC001134973141 839127703 /nfs/dbraw/zinc/12/77/03/839127703.db2.gz FHQCMFBOSPCRDS-UHFFFAOYSA-N 0 1 290.327 0.280 20 30 CCEDMN C=CCn1cc(C(=O)NCCNCc2ccccn2)nn1 ZINC001134980965 839134950 /nfs/dbraw/zinc/13/49/50/839134950.db2.gz PRNSAPJJSNCDND-UHFFFAOYSA-N 0 1 286.339 0.379 20 30 CCEDMN COCC#CC[NH2+][C@H](C)C[C@H](C)NC(=O)c1[n-]nnc1C ZINC001135166213 839171432 /nfs/dbraw/zinc/17/14/32/839171432.db2.gz LAVDCGHASKEFRV-MNOVXSKESA-N 0 1 293.371 0.249 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCn1cnccc1=O ZINC001136017565 839500720 /nfs/dbraw/zinc/50/07/20/839500720.db2.gz NGXVXCAAALFLKZ-UHFFFAOYSA-N 0 1 284.747 0.092 20 30 CCEDMN C[C@H](C(N)=O)N(C)CCCN(C)C(=O)C#CC1CC1 ZINC001273507677 844445844 /nfs/dbraw/zinc/44/58/44/844445844.db2.gz IVLYPBWKLLCMJX-LLVKDONJSA-N 0 1 265.357 0.054 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2ocnc2CC)[C@H](O)C1 ZINC001090561707 839644069 /nfs/dbraw/zinc/64/40/69/839644069.db2.gz CSCHDJVGSOGIDB-VXGBXAGGSA-N 0 1 279.340 0.588 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2conc2C2CC2)[C@@H](O)C1 ZINC001090567252 839647793 /nfs/dbraw/zinc/64/77/93/839647793.db2.gz UHWHHZIYNMWGFH-OLZOCXBDSA-N 0 1 291.351 0.903 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2coc(COC)n2)[C@@H](O)C1 ZINC001090687308 839733877 /nfs/dbraw/zinc/73/38/77/839733877.db2.gz VAPLPZVGYIFSTJ-PWSUYJOCSA-N 0 1 295.339 0.172 20 30 CCEDMN Cc1cc(CCC(=O)NC2(C#N)CCN(C)CC2)[nH]n1 ZINC001144004075 839910089 /nfs/dbraw/zinc/91/00/89/839910089.db2.gz QXWXRMODMSXBOS-UHFFFAOYSA-N 0 1 275.356 0.755 20 30 CCEDMN N#Cc1cncc(CN2CCC3(CC2)CC(=O)NC(=O)C3)c1 ZINC001144083667 839934382 /nfs/dbraw/zinc/93/43/82/839934382.db2.gz NFKQWTWCTKHDBV-UHFFFAOYSA-N 0 1 298.346 0.972 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H](C)OCCOC)C1 ZINC001186059715 844505325 /nfs/dbraw/zinc/50/53/25/844505325.db2.gz QYVBMHVTLIFRAX-NWDGAFQWSA-N 0 1 290.791 0.981 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)NCCNCC#N)c2C1 ZINC001146262174 840283961 /nfs/dbraw/zinc/28/39/61/840283961.db2.gz KEAWCGPJVHGIIZ-VIFPVBQESA-N 0 1 261.329 0.377 20 30 CCEDMN N#C[C@H]1COCCN1C(=O)CCN1CC[C@@H](F)C1 ZINC001146518181 840318515 /nfs/dbraw/zinc/31/85/15/840318515.db2.gz ZIMZCMZKBOHGLD-MNOVXSKESA-N 0 1 255.293 0.171 20 30 CCEDMN CCC(=O)N1CC2(C1)CCN(CC#CCOC)C2 ZINC001146838019 840394192 /nfs/dbraw/zinc/39/41/92/840394192.db2.gz FFPQESTZEDGVAY-UHFFFAOYSA-N 0 1 250.342 0.581 20 30 CCEDMN C#CC[N@@H+]1CCC2(CN(C(=O)CSCC#N)C2)C1 ZINC001147026708 840468658 /nfs/dbraw/zinc/46/86/58/840468658.db2.gz ZCJHMUMXDCDDCM-UHFFFAOYSA-N 0 1 263.366 0.411 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CSCC#N)C2)C1 ZINC001147026708 840468665 /nfs/dbraw/zinc/46/86/65/840468665.db2.gz ZCJHMUMXDCDDCM-UHFFFAOYSA-N 0 1 263.366 0.411 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)c3ccon3)C2)C1 ZINC001147079651 840490557 /nfs/dbraw/zinc/49/05/57/840490557.db2.gz NOQIKGUQZAREJB-UHFFFAOYSA-N 0 1 289.335 0.472 20 30 CCEDMN C#CCCCCC(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001147368733 840571749 /nfs/dbraw/zinc/57/17/49/840571749.db2.gz JINIOYOUQGUSRO-ZDUSSCGKSA-N 0 1 291.395 0.588 20 30 CCEDMN C=C(C)CCC(=O)N1CC2(C1)CCN(CC(=O)N(C)C)C2 ZINC001147640279 840645072 /nfs/dbraw/zinc/64/50/72/840645072.db2.gz TWULBZPYNBFOFI-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)COCC(F)F)C2)C1 ZINC001147972501 840726650 /nfs/dbraw/zinc/72/66/50/840726650.db2.gz UQKURMNAGMNQJB-UHFFFAOYSA-N 0 1 272.295 0.436 20 30 CCEDMN COCC#CCN1CCC2(CN(C(=O)c3cnco3)C2)C1 ZINC001148005129 840729862 /nfs/dbraw/zinc/72/98/62/840729862.db2.gz LTOJWQXUMOWZDZ-UHFFFAOYSA-N 0 1 289.335 0.472 20 30 CCEDMN C=CC[N@@H+]1CCC2(CN(C(=O)[C@@H](COC)OC)C2)C1 ZINC001148250281 840770586 /nfs/dbraw/zinc/77/05/86/840770586.db2.gz VLJYPTMSBRMOIF-GFCCVEGCSA-N 0 1 268.357 0.368 20 30 CCEDMN C=C(Cl)CNCCNC(=O)[C@@H]1CCCN1C(C)=O ZINC001148432004 840801012 /nfs/dbraw/zinc/80/10/12/840801012.db2.gz GPJYBSRAOGXHDF-NSHDSACASA-N 0 1 273.764 0.456 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3(C(=O)NC)CCC3)C2)C1 ZINC001148666301 840880125 /nfs/dbraw/zinc/88/01/25/840880125.db2.gz PQDSSDOYFOUGKM-UHFFFAOYSA-N 0 1 289.379 0.070 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCN(c2ncnc3[nH]cnc32)[C@@H]1C ZINC001091944468 840978119 /nfs/dbraw/zinc/97/81/19/840978119.db2.gz BKUZGVGQFSKZJI-AEJSXWLSSA-N 0 1 299.338 0.596 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CC(=O)NCC2CC2)C1 ZINC001186941745 844618205 /nfs/dbraw/zinc/61/82/05/844618205.db2.gz PTJWNTWWUDVQEA-GFCCVEGCSA-N 0 1 299.802 0.846 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CC[C@H]2[C@H](CCN2CC#N)C1 ZINC001036789936 841218880 /nfs/dbraw/zinc/21/88/80/841218880.db2.gz ZVPSMZUDNRQGAN-MNOVXSKESA-N 0 1 274.328 0.173 20 30 CCEDMN CCO[C@H](C)C(=O)N1CC[C@H]2CN(CC#CCOC)C[C@H]21 ZINC001186966929 844628625 /nfs/dbraw/zinc/62/86/25/844628625.db2.gz YBOLFPIZJACHOG-QLFBSQMISA-N 0 1 294.395 0.594 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NC[C@]12CCC[C@H]1N(CC#N)CC2 ZINC001094379889 841630058 /nfs/dbraw/zinc/63/00/58/841630058.db2.gz FHOLBPXOUOBIKF-IIAWOOMASA-N 0 1 290.411 0.965 20 30 CCEDMN Cc1cc(NCCCNC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001094881570 841867324 /nfs/dbraw/zinc/86/73/24/841867324.db2.gz OHMAKTLEPZGECG-UHFFFAOYSA-N 0 1 285.311 0.034 20 30 CCEDMN COC(=O)[C@@H]1CCC[C@@H]([NH2+][C@@H]2C(=O)N([O-])C[C@@H]2C)CC1 ZINC001172803144 841980235 /nfs/dbraw/zinc/98/02/35/841980235.db2.gz ZYUXETYQHUGFRR-QCNOEVLYSA-N 0 1 284.356 0.934 20 30 CCEDMN N#CCN[C@H]1C[C@H]2C[C@@H](C1)N(C(=O)CN1CCCC1)C2 ZINC001095057660 842015540 /nfs/dbraw/zinc/01/55/40/842015540.db2.gz SLLFPJZNWNMKDS-IHRRRGAJSA-N 0 1 276.384 0.575 20 30 CCEDMN C#CCN(C)[C@@H]1C[C@@H](C(=O)OC)[C@@H](C(=O)OC)C1 ZINC001173583780 842113298 /nfs/dbraw/zinc/11/32/98/842113298.db2.gz TZZWJSKZVRVJQY-RTCCRHLQSA-N 0 1 253.298 0.292 20 30 CCEDMN CC(C)c1nc(CC(=O)Nc2nc[nH]c2C#N)no1 ZINC001175253003 842233727 /nfs/dbraw/zinc/23/37/27/842233727.db2.gz PPZOXZSXSUCNKH-UHFFFAOYSA-N 0 1 260.257 0.969 20 30 CCEDMN C[C@@H](CCCCNCC#N)NC(=O)Cc1cnc[nH]1 ZINC001175479735 842252812 /nfs/dbraw/zinc/25/28/12/842252812.db2.gz SXXMQWGZRQESAL-NSHDSACASA-N 0 1 263.345 0.740 20 30 CCEDMN C=CCOC(=O)c1c(N)nn(-c2ccc3n[nH]nc3c2)c1N ZINC001175999057 842300774 /nfs/dbraw/zinc/30/07/74/842300774.db2.gz UZTDSTZPKABXQD-UHFFFAOYSA-N 0 1 299.294 0.651 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)Nc1[nH]ccc1C#N ZINC001176345570 842355105 /nfs/dbraw/zinc/35/51/05/842355105.db2.gz QPXONNWFKIVMDQ-LLVKDONJSA-N 0 1 286.295 0.295 20 30 CCEDMN CC(=O)N[C@H](Cc1cnc[nH]1)C(=O)NCC(C)(C)S ZINC001176385865 842362935 /nfs/dbraw/zinc/36/29/35/842362935.db2.gz YQZFQKDDJGVEFG-SNVBAGLBSA-N 0 1 284.385 0.282 20 30 CCEDMN CC(=O)Nc1ccc(NC(=O)Cc2nn[nH]n2)cc1C#N ZINC001176842661 842430016 /nfs/dbraw/zinc/43/00/16/842430016.db2.gz OYOUFPWUGFYTMV-UHFFFAOYSA-N 0 1 285.267 0.211 20 30 CCEDMN C=CCCOCC(=O)NCCCN[C@H](C)c1nncn1C ZINC001177269451 842541566 /nfs/dbraw/zinc/54/15/66/842541566.db2.gz MQYAPTWGQRNEGY-GFCCVEGCSA-N 0 1 295.387 0.565 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCCN[C@H](C)c1nncn1C ZINC001177273670 842542269 /nfs/dbraw/zinc/54/22/69/842542269.db2.gz VQCNGVBUPCHDDF-NEPJUHHUSA-N 0 1 295.387 0.563 20 30 CCEDMN C[C@H]1C(=O)NCCN1C(=O)C(C#N)Cc1cccs1 ZINC001177910385 842707390 /nfs/dbraw/zinc/70/73/90/842707390.db2.gz ZYYXELYLCOUNJS-VHSXEESVSA-N 0 1 277.349 0.777 20 30 CCEDMN CNOCCNC(=O)C(C#N)Cc1cccs1 ZINC001177914774 842707969 /nfs/dbraw/zinc/70/79/69/842707969.db2.gz DGMPMGMBPDBARV-SECBINFHSA-N 0 1 253.327 0.698 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC[C@@H]1C[N@@H+](CC=C)CCCO1 ZINC001150810465 842887788 /nfs/dbraw/zinc/88/77/88/842887788.db2.gz DKEKXCKVDRWPAS-UONOGXRCSA-N 0 1 282.384 0.971 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NC[C@@H]1CN(CC=C)CCCO1 ZINC001150810465 842887791 /nfs/dbraw/zinc/88/77/91/842887791.db2.gz DKEKXCKVDRWPAS-UONOGXRCSA-N 0 1 282.384 0.971 20 30 CCEDMN C#Cc1cnc(NC(=O)CN(CC)CC)c(C#C)n1 ZINC001180385336 843158925 /nfs/dbraw/zinc/15/89/25/843158925.db2.gz FAGFPXDEBLMXDP-UHFFFAOYSA-N 0 1 256.309 0.720 20 30 CCEDMN N#Cc1ccccc1CC(=O)Nc1cn[nH]c1C(N)=O ZINC001181797736 843615533 /nfs/dbraw/zinc/61/55/33/843615533.db2.gz UAYCTVKLHDMPJQ-UHFFFAOYSA-N 0 1 269.264 0.561 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)N1CCOC[C@@H]1C#N ZINC001181997079 843668462 /nfs/dbraw/zinc/66/84/62/843668462.db2.gz VLSIJLIRNVPNOV-NWDGAFQWSA-N 0 1 251.330 0.612 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCn1cncn1)C2 ZINC001110288246 843798786 /nfs/dbraw/zinc/79/87/86/843798786.db2.gz CUGONDBNFMXUHO-UPJWGTAASA-N 0 1 273.340 0.023 20 30 CCEDMN COCC1(C(=O)Nc2nc[nH]c2C#N)CCOCC1 ZINC001182427396 843809785 /nfs/dbraw/zinc/80/97/85/843809785.db2.gz MOSXAUJZXXROMI-UHFFFAOYSA-N 0 1 264.285 0.663 20 30 CCEDMN N#C[C@H]1CN(C(=O)Cc2ccc3nc[nH]c3c2)CCO1 ZINC001182844966 843920291 /nfs/dbraw/zinc/92/02/91/843920291.db2.gz SHZLYQORWYHVNK-NSHDSACASA-N 0 1 270.292 0.856 20 30 CCEDMN C=C(CN1CCCC1)C(=O)N=c1ncnc2[nH][nH]cc1-2 ZINC001183027706 843952840 /nfs/dbraw/zinc/95/28/40/843952840.db2.gz WFMZRAMPEVOWBS-UHFFFAOYSA-N 0 1 272.312 0.317 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccccc1-c1nn[nH]n1 ZINC001186992256 844636914 /nfs/dbraw/zinc/63/69/14/844636914.db2.gz UQQOYZPSCSSLFW-UHFFFAOYSA-N 0 1 280.251 0.714 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)COCCCC)[C@@H]2C1 ZINC001187425331 844722893 /nfs/dbraw/zinc/72/28/93/844722893.db2.gz QJTOXSGVAQTDPZ-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCCCC(=O)N1CC[C@H]2CN([C@H](CC)C(N)=O)C[C@H]21 ZINC001187706173 844749200 /nfs/dbraw/zinc/74/92/00/844749200.db2.gz GIFNDNRCSGGGNZ-BFHYXJOUSA-N 0 1 291.395 0.587 20 30 CCEDMN COCCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H](C)C#N)C2 ZINC001110396670 844767398 /nfs/dbraw/zinc/76/73/98/844767398.db2.gz VNGYKRXCBYJDLT-KKOKHZNYSA-N 0 1 251.330 0.514 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C2CCOCC2)C1 ZINC001188608854 844920301 /nfs/dbraw/zinc/92/03/01/844920301.db2.gz DREKZIIVVSDQHH-AWEZNQCLSA-N 0 1 264.369 0.969 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)[C@@H]1CCN(C(=O)C(C)(C)F)C1 ZINC001188617678 844920537 /nfs/dbraw/zinc/92/05/37/844920537.db2.gz IGZNQHOSRVHJOU-NWDGAFQWSA-N 0 1 299.390 0.958 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)c2ccc[nH]2)C1 ZINC001188852329 844989709 /nfs/dbraw/zinc/98/97/09/844989709.db2.gz MRHZRZUIINAZFC-CYBMUJFWSA-N 0 1 275.352 0.811 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)C[C@@H]2CCCO2)C1 ZINC001189012666 845022174 /nfs/dbraw/zinc/02/21/74/845022174.db2.gz MCGSESFYKCNLEV-GJZGRUSLSA-N 0 1 294.395 0.738 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)COCC2CC2)C1 ZINC001189060279 845032104 /nfs/dbraw/zinc/03/21/04/845032104.db2.gz YXMTVEGHPFAISV-HNNXBMFYSA-N 0 1 294.395 0.596 20 30 CCEDMN COc1ccc(C#N)c(C(=O)Nc2cn[nH]c2C(N)=O)c1 ZINC001189066977 845033334 /nfs/dbraw/zinc/03/33/34/845033334.db2.gz NQLDJMIXBKARKJ-UHFFFAOYSA-N 0 1 285.263 0.641 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)Cn2cc(C)cn2)C1 ZINC001189143266 845063819 /nfs/dbraw/zinc/06/38/19/845063819.db2.gz XSQFZVQIFKNMKP-CQSZACIVSA-N 0 1 274.368 0.748 20 30 CCEDMN C=CCCC(=O)N1CC[C@H](N(C)[C@@H](CC)C(N)=O)C1 ZINC001189318440 845107674 /nfs/dbraw/zinc/10/76/74/845107674.db2.gz LSQWZNPCAOJMLX-RYUDHWBXSA-N 0 1 267.373 0.749 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2c[nH]c(C)n2)C1 ZINC001189370337 845118312 /nfs/dbraw/zinc/11/83/12/845118312.db2.gz KFGYVTZJNALVHR-ZDUSSCGKSA-N 0 1 290.367 0.514 20 30 CCEDMN CN(CC#Cc1ccccc1)[C@H]1CCN(C(=O)CC(N)=O)C1 ZINC001189488991 845146931 /nfs/dbraw/zinc/14/69/31/845146931.db2.gz DPYZBALNZLNQES-HNNXBMFYSA-N 0 1 299.374 0.446 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)C[C@H]2COC(=O)C2)C1 ZINC001189641359 845176677 /nfs/dbraw/zinc/17/66/77/845176677.db2.gz GXTKVLQEDRVPRZ-OLZOCXBDSA-N 0 1 278.352 0.496 20 30 CCEDMN C#CCCCCC(=O)N1CC[C@@H](N(C)[C@H](C)C(=O)NC)C1 ZINC001189744659 845199022 /nfs/dbraw/zinc/19/90/22/845199022.db2.gz WRIJNUXAVBCQJG-ZIAGYGMSSA-N 0 1 293.411 0.847 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CCCC(=O)NC)C1 ZINC001189770523 845203455 /nfs/dbraw/zinc/20/34/55/845203455.db2.gz VHTQXGXLWTVKNU-ZDUSSCGKSA-N 0 1 279.384 0.459 20 30 CCEDMN C=CCC1(S(=O)(=O)NC2CCN(CCO)CC2)CC1 ZINC001190824027 845511500 /nfs/dbraw/zinc/51/15/00/845511500.db2.gz HGOJNNMCFVWXCE-UHFFFAOYSA-N 0 1 288.413 0.471 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)CCC(C)C)C1 ZINC001190937352 845540928 /nfs/dbraw/zinc/54/09/28/845540928.db2.gz VJUVUJDIRGNAFF-CHWSQXEVSA-N 0 1 252.358 0.607 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)COC(C)C)C1 ZINC001191541071 845676413 /nfs/dbraw/zinc/67/64/13/845676413.db2.gz YEJGBAKYTCUQML-VXGBXAGGSA-N 0 1 256.346 0.149 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COCC(F)F)C1 ZINC001191543703 845677447 /nfs/dbraw/zinc/67/74/47/845677447.db2.gz QKWQWBPLKVQOEA-LLVKDONJSA-N 0 1 274.311 0.824 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)COCCC)C1 ZINC001191573496 845696883 /nfs/dbraw/zinc/69/68/83/845696883.db2.gz NUVUZWIGPYGWSV-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)c2cnco2)C1 ZINC001191609598 845703105 /nfs/dbraw/zinc/70/31/05/845703105.db2.gz ZRPIGKPASVHZGE-LBPRGKRZSA-N 0 1 277.324 0.471 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC001191798451 845738896 /nfs/dbraw/zinc/73/88/96/845738896.db2.gz FYQLTFGIOBGPGO-OLZOCXBDSA-N 0 1 277.368 0.163 20 30 CCEDMN CC/C=C\CCN1C[C@@H](O)[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001191863098 845743674 /nfs/dbraw/zinc/74/36/74/845743674.db2.gz CJZFWUDBCUPMAD-RGIBJUCOSA-N 0 1 265.357 0.664 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)CC)C1 ZINC001192517330 845862835 /nfs/dbraw/zinc/86/28/35/845862835.db2.gz DHYAQRSPRCEUCC-BFHYXJOUSA-N 0 1 284.400 0.787 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1c(O)ccc(F)c1F ZINC001192741919 845897789 /nfs/dbraw/zinc/89/77/89/845897789.db2.gz PJSQYFJAHHYKQV-ZETCQYMHSA-N 0 1 267.235 0.608 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)c2cnc3cccnn23)C1 ZINC001192724033 845903032 /nfs/dbraw/zinc/90/30/32/845903032.db2.gz QJNBTIVSMWUVSS-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN1CC[C@]2(CC[N@H+](Cc3ccc(O)cn3)C2)C1=O ZINC001273648336 845922239 /nfs/dbraw/zinc/92/22/39/845922239.db2.gz DPBBFELXKLJDPC-INIZCTEOSA-N 0 1 285.347 0.845 20 30 CCEDMN COc1nc(C)nc(Cl)c1NS(=O)(=O)CC#N ZINC001192943070 845930273 /nfs/dbraw/zinc/93/02/73/845930273.db2.gz IXENGVXWXRRVRD-UHFFFAOYSA-N 0 1 276.705 0.712 20 30 CCEDMN COCC#CCN(C)[C@H]1CCN(C(=O)[C@]2(C)CCOC2)C1 ZINC001193048259 845963542 /nfs/dbraw/zinc/96/35/42/845963542.db2.gz MVDYJKLGMMDBQU-GOEBONIOSA-N 0 1 294.395 0.596 20 30 CCEDMN C[C@H](CN1CCC(C)CC1)NS(=O)(=O)[C@@H](C)C#N ZINC001193170876 845994336 /nfs/dbraw/zinc/99/43/36/845994336.db2.gz UTDNJPASHOZYRH-NEPJUHHUSA-N 0 1 273.402 0.938 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(CC=C)CC=C)C1 ZINC001193231520 846028864 /nfs/dbraw/zinc/02/88/64/846028864.db2.gz HCUWFDNQFBEJNH-HUUCEWRRSA-N 0 1 276.380 0.939 20 30 CCEDMN CC(C)(C)N(C[C@@H](O)CO)C(=O)c1ccc(O)c(C#N)c1 ZINC001193505038 846100857 /nfs/dbraw/zinc/10/08/57/846100857.db2.gz BWJBTRVGNSUXDR-GFCCVEGCSA-N 0 1 292.335 0.858 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C2(C(=O)NC)CCC2)C1 ZINC001193630009 846129883 /nfs/dbraw/zinc/12/98/83/846129883.db2.gz AMDXOAOIQUTDKF-GFCCVEGCSA-N 0 1 277.368 0.069 20 30 CCEDMN N#Cc1cccc(C(=O)N2C[C@@H]3COC[C@H](C2)C3=O)c1O ZINC001193646375 846138986 /nfs/dbraw/zinc/13/89/86/846138986.db2.gz IKUOUXSWGXFKIQ-PHIMTYICSA-N 0 1 286.287 0.551 20 30 CCEDMN C[C@H]1COCCN1CCNC(=O)c1cccc(C#N)c1O ZINC001193660544 846139763 /nfs/dbraw/zinc/13/97/63/846139763.db2.gz ZMSUIKUYHJARPN-NSHDSACASA-N 0 1 289.335 0.714 20 30 CCEDMN C#CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)C(C)(C)CC(F)F)C1 ZINC001193670342 846142094 /nfs/dbraw/zinc/14/20/94/846142094.db2.gz AGJRKPRECBEUPV-GHMZBOCLSA-N 0 1 288.338 0.852 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C(C)(C)CC(F)F)C1 ZINC001193670342 846142096 /nfs/dbraw/zinc/14/20/96/846142096.db2.gz AGJRKPRECBEUPV-GHMZBOCLSA-N 0 1 288.338 0.852 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)[C@@H](CCC)NC(N)=O)C1 ZINC001193695905 846146191 /nfs/dbraw/zinc/14/61/91/846146191.db2.gz MORZGFCUMTWJJU-QWHCGFSZSA-N 0 1 294.399 0.379 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](O)[C@H](NC(=O)C[NH+](C)C2CCC2)C1 ZINC001193820923 846159723 /nfs/dbraw/zinc/15/97/23/846159723.db2.gz ADUIKOMYFMCTAQ-ZIAGYGMSSA-N 0 1 281.400 0.208 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C2(C3CC3)CC2)C1 ZINC001193978645 846192130 /nfs/dbraw/zinc/19/21/30/846192130.db2.gz VGOSQMOGCQJTDN-ZIAGYGMSSA-N 0 1 294.395 0.541 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@](C)(NC(C)=O)C(C)C)C1 ZINC001194367304 846276063 /nfs/dbraw/zinc/27/60/63/846276063.db2.gz DTZHHGAUGBRFET-HOCLYGCPSA-N 0 1 293.411 0.703 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@](C)(NC(C)=O)C(C)C)C1 ZINC001194367305 846277763 /nfs/dbraw/zinc/27/77/63/846277763.db2.gz DTZHHGAUGBRFET-ZBFHGGJFSA-N 0 1 293.411 0.703 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)CCC(F)F)C1 ZINC001194746139 846367661 /nfs/dbraw/zinc/36/76/61/846367661.db2.gz SLNPFBMQZHZCFE-NXEZZACHSA-N 0 1 262.300 0.769 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)CC(F)(F)F)C1 ZINC001194899520 846411942 /nfs/dbraw/zinc/41/19/42/846411942.db2.gz HGUNDGWAQOGXCK-GMTAPVOTSA-N 0 1 292.301 0.760 20 30 CCEDMN C=CCCC[N@@H+]1C[C@@H](O)[C@H](NC(=O)COCC(F)F)C1 ZINC001194975065 846432266 /nfs/dbraw/zinc/43/22/66/846432266.db2.gz AFAKSDLXTLHCMD-GHMZBOCLSA-N 0 1 292.326 0.396 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CC(=O)NCC)C2 ZINC001110467834 846477130 /nfs/dbraw/zinc/47/71/30/846477130.db2.gz KAOYGPBHZIEAAQ-UPJWGTAASA-N 0 1 277.368 0.257 20 30 CCEDMN COCC#CCN1CCCN(C(=O)c2cnc(C)[nH]2)CC1 ZINC001195261150 846487550 /nfs/dbraw/zinc/48/75/50/846487550.db2.gz VUTRFCMTXRGGHW-UHFFFAOYSA-N 0 1 290.367 0.516 20 30 CCEDMN C=CCN1CCCN(C(=O)CNC(=O)CC)CC1 ZINC001195275816 846489474 /nfs/dbraw/zinc/48/94/74/846489474.db2.gz VJZNQDQJVAEUAN-UHFFFAOYSA-N 0 1 253.346 0.233 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCCOCC)C[C@H]1O ZINC001195312116 846501414 /nfs/dbraw/zinc/50/14/14/846501414.db2.gz FOSATNJTECUXNN-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)C/C=C(\C)C=C)C1 ZINC001195444346 846531593 /nfs/dbraw/zinc/53/15/93/846531593.db2.gz OKXXSODFWJEATH-KUYFCZACSA-N 0 1 294.395 0.873 20 30 CCEDMN C=CCNC(=O)[C@H]1CC12CCN(CC(=O)NCC)CC2 ZINC001273699556 846541025 /nfs/dbraw/zinc/54/10/25/846541025.db2.gz ZUBUIRXQCAZQNF-GFCCVEGCSA-N 0 1 279.384 0.527 20 30 CCEDMN C=CCN1CC[C@]2(CCCN2[C@@H](CC)C(N)=O)C1=O ZINC001273703393 846594507 /nfs/dbraw/zinc/59/45/07/846594507.db2.gz GSDJNRCTMWFMDT-SMDDNHRTSA-N 0 1 265.357 0.503 20 30 CCEDMN N#Cc1ccc(C(=O)Nc2c[nH]nc2C(N)=O)c(O)c1 ZINC001195733315 846603988 /nfs/dbraw/zinc/60/39/88/846603988.db2.gz JGWGXPKSRRGFDC-UHFFFAOYSA-N 0 1 271.236 0.338 20 30 CCEDMN Cc1nonc1CNC(=O)c1ccc(C#N)cc1O ZINC001195763887 846613291 /nfs/dbraw/zinc/61/32/91/846613291.db2.gz FDKWFEAZNDYUAM-UHFFFAOYSA-N 0 1 258.237 0.885 20 30 CCEDMN C=CCN1CCCN(C(=O)CCNC(=O)NC)CC1 ZINC001195737152 846617154 /nfs/dbraw/zinc/61/71/54/846617154.db2.gz WJQUIIBVXWIZIX-UHFFFAOYSA-N 0 1 268.361 0.026 20 30 CCEDMN CC(C)C#CC(=O)N1CCCN(C[C@@H](C)O)CC1 ZINC001195774834 846623307 /nfs/dbraw/zinc/62/33/07/846623307.db2.gz DAUIRMLORVXNCL-CYBMUJFWSA-N 0 1 252.358 0.561 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)COc2cccnc2)C1 ZINC001196961932 846825873 /nfs/dbraw/zinc/82/58/73/846825873.db2.gz YJRDJOMUKSISII-ZIAGYGMSSA-N 0 1 291.351 0.198 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@H](COC)OC)CC1 ZINC001197084144 846838475 /nfs/dbraw/zinc/83/84/75/846838475.db2.gz SIOQUEXNWHRHML-GFCCVEGCSA-N 0 1 256.346 0.368 20 30 CCEDMN C=CCN1CCCN(C(=O)C2(C(=O)NC)CC2)CC1 ZINC001197417181 846906626 /nfs/dbraw/zinc/90/66/26/846906626.db2.gz HPIJYBXQESDASV-UHFFFAOYSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCO[C@H](C)C(=O)N1CCCN(CCOC)CC1 ZINC001198343747 847090637 /nfs/dbraw/zinc/09/06/37/847090637.db2.gz NNVDZGMWPPHMBD-CYBMUJFWSA-N 0 1 270.373 0.758 20 30 CCEDMN Cc1cnc2ncc(C(=O)Nc3nc[nH]c3C#N)n2c1 ZINC001198360153 847104189 /nfs/dbraw/zinc/10/41/89/847104189.db2.gz CJYCAATUISQJRM-UHFFFAOYSA-N 0 1 267.252 0.885 20 30 CCEDMN N#C[C@H]1CNCCN1C(=O)c1cncn1-c1ccncc1 ZINC001198540684 847130797 /nfs/dbraw/zinc/13/07/97/847130797.db2.gz BFHQXRHMLVYRPS-LBPRGKRZSA-N 0 1 282.307 0.205 20 30 CCEDMN N#C[C@@H]1CN(C(=O)c2cncn2-c2ccncc2)CCO1 ZINC001198549710 847132667 /nfs/dbraw/zinc/13/26/67/847132667.db2.gz SMEIOAOTPBKQFY-GFCCVEGCSA-N 0 1 283.291 0.632 20 30 CCEDMN CCOc1ncc(C(=O)Nc2nc[nH]c2C#N)cn1 ZINC001199225510 847261547 /nfs/dbraw/zinc/26/15/47/847261547.db2.gz GZLHIGPYYRXMRI-UHFFFAOYSA-N 0 1 258.241 0.722 20 30 CCEDMN CN1CCC[C@@](C)(NC(=O)c2cnc(C#N)cn2)C1 ZINC001199669535 847391537 /nfs/dbraw/zinc/39/15/37/847391537.db2.gz FPKKLQYKAMUZLA-CYBMUJFWSA-N 0 1 259.313 0.562 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)CNC(C)=O ZINC001273805686 847537334 /nfs/dbraw/zinc/53/73/34/847537334.db2.gz UPMCHMFWFFBPTQ-ONEGZZNKSA-N 0 1 259.737 0.137 20 30 CCEDMN C=CCN1CC[C@@]2(CCN(CCS(C)(=O)=O)C2)C1=O ZINC001273811711 847547381 /nfs/dbraw/zinc/54/73/81/847547381.db2.gz PHQDVWIQPIXWGY-CYBMUJFWSA-N 0 1 286.397 0.141 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#CC ZINC001110551366 847586079 /nfs/dbraw/zinc/58/60/79/847586079.db2.gz WNCMSAMVUXALDS-KFWWJZLASA-N 0 1 274.364 0.771 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1C[C@H]2CC[C@@H]1[N@H+]2CC#CC ZINC001110551366 847586075 /nfs/dbraw/zinc/58/60/75/847586075.db2.gz WNCMSAMVUXALDS-KFWWJZLASA-N 0 1 274.364 0.771 20 30 CCEDMN C=CCNC(=S)N1CC([N@H+](C)[C@@H]2CCOC2)C1 ZINC001200289456 847597207 /nfs/dbraw/zinc/59/72/07/847597207.db2.gz VSWWGKKHZUARCB-SNVBAGLBSA-N 0 1 255.387 0.452 20 30 CCEDMN C=CCNC(=S)N1CC(N(C)[C@@H]2CCOC2)C1 ZINC001200289456 847597213 /nfs/dbraw/zinc/59/72/13/847597213.db2.gz VSWWGKKHZUARCB-SNVBAGLBSA-N 0 1 255.387 0.452 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CCOCC ZINC001110552248 847613165 /nfs/dbraw/zinc/61/31/65/847613165.db2.gz RYNKMYKWXJTCHV-TUVASFSCSA-N 0 1 294.395 0.783 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2CC(=O)NC1CC1 ZINC001273830247 847619766 /nfs/dbraw/zinc/61/97/66/847619766.db2.gz WULXAIVLKPRDTG-CHWSQXEVSA-N 0 1 277.368 0.516 20 30 CCEDMN C#CCOCCC(=O)N1CCC(NCc2ccon2)CC1 ZINC001200513804 847647402 /nfs/dbraw/zinc/64/74/02/847647402.db2.gz KSRIOXHVPRFVIU-UHFFFAOYSA-N 0 1 291.351 0.795 20 30 CCEDMN C=C[C@@H](O)CN1CCC[C@]12CCN(CC(F)F)C2=O ZINC001273883657 847731819 /nfs/dbraw/zinc/73/18/19/847731819.db2.gz AJNBIVBKPJXCEU-ZWNOBZJWSA-N 0 1 274.311 0.865 20 30 CCEDMN N#Cc1n[nH]cc1NC(=O)c1cc2c[nH]cnc-2n1 ZINC001152989960 847885151 /nfs/dbraw/zinc/88/51/51/847885151.db2.gz PSVRMXAZVOXNLL-UHFFFAOYSA-N 0 1 253.225 0.757 20 30 CCEDMN C=CCOC[C@H](O)CNc1cc(C(=O)OC)n[nH]1 ZINC001252453810 847972318 /nfs/dbraw/zinc/97/23/18/847972318.db2.gz LAVKLMNGHLGOCE-MRVPVSSYSA-N 0 1 255.274 0.172 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C1=CCOCC1)C2 ZINC001095344401 848252511 /nfs/dbraw/zinc/25/25/11/848252511.db2.gz VECFWODVWHPTTE-RDBSUJKOSA-N 0 1 260.337 0.688 20 30 CCEDMN C=C(C)CN1CC[C@@]2(CCCN2CCNC(C)=O)C1=O ZINC001273999985 848268472 /nfs/dbraw/zinc/26/84/72/848268472.db2.gz PHYWWGGOIXESHO-HNNXBMFYSA-N 0 1 279.384 0.766 20 30 CCEDMN N#CCCCN1CC[C@]2(CCN(Cc3nnc[nH]3)C2)C1=O ZINC001274355323 848457980 /nfs/dbraw/zinc/45/79/80/848457980.db2.gz DUUXBRKAHQTPSW-AWEZNQCLSA-N 0 1 288.355 0.533 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)c1ccc(=O)n(C)n1 ZINC001274560230 848505581 /nfs/dbraw/zinc/50/55/81/848505581.db2.gz SNWNLGPKQMEBJG-ONEGZZNKSA-N 0 1 296.758 0.408 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)CNCc1ccn(CC)n1 ZINC001274733610 848555473 /nfs/dbraw/zinc/55/54/73/848555473.db2.gz ZXBAANOPGHLBGM-DOMZBBRYSA-N 0 1 294.399 0.824 20 30 CCEDMN C=CCN1CCC(CO)(NC(=O)[C@H]2C[C@@H]2C)CC1 ZINC001274891325 848585941 /nfs/dbraw/zinc/58/59/41/848585941.db2.gz BTMGQSDKMDSXAG-RYUDHWBXSA-N 0 1 252.358 0.772 20 30 CCEDMN CN1CCC[C@H]1C(=O)N1CCCC[C@H]1CNCC#N ZINC001274984827 848607906 /nfs/dbraw/zinc/60/79/06/848607906.db2.gz LZZNYMFZVNRSRU-STQMWFEESA-N 0 1 264.373 0.575 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)[C@H]1CCOC1 ZINC001275094551 848635598 /nfs/dbraw/zinc/63/55/98/848635598.db2.gz OXTIMYSVBPNHHK-QWHCGFSZSA-N 0 1 250.342 0.579 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)[C@@H]1C[C@@H]1C#N)C2 ZINC001275345073 848694563 /nfs/dbraw/zinc/69/45/63/848694563.db2.gz OJULBDPPZJEZBM-HTQZYQBOSA-N 0 1 273.296 0.113 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccc(-n2cncn2)nc1 ZINC001275615081 848775433 /nfs/dbraw/zinc/77/54/33/848775433.db2.gz OAMCOKHZFMRACN-LBPRGKRZSA-N 0 1 298.350 0.346 20 30 CCEDMN CN1C[C@H]2C[C@@H](C1)N2C(=O)c1ccc(O)c(C#N)c1 ZINC001275707897 848797373 /nfs/dbraw/zinc/79/73/73/848797373.db2.gz BZOGXKMCGNTHPH-TXEJJXNPSA-N 0 1 257.293 0.792 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnn(C)c1C1CC1 ZINC001275736953 848803916 /nfs/dbraw/zinc/80/39/16/848803916.db2.gz DCTHQDMHROUZOU-NSHDSACASA-N 0 1 274.368 0.981 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1ccoc1 ZINC001275766003 848814344 /nfs/dbraw/zinc/81/43/44/848814344.db2.gz YPLZZUPFQUAOTQ-LBPRGKRZSA-N 0 1 264.325 0.980 20 30 CCEDMN Cc1nc(CN(C)[C@H](C)CNC(=O)CSCC#N)n[nH]1 ZINC001275774316 848817628 /nfs/dbraw/zinc/81/76/28/848817628.db2.gz RLWDWMFWUFBLOB-SECBINFHSA-N 0 1 296.400 0.306 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)C[C@H]1COC(=O)C1 ZINC001275810693 848832059 /nfs/dbraw/zinc/83/20/59/848832059.db2.gz LRJRSRLZIFZYOA-QWHCGFSZSA-N 0 1 296.367 0.026 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCCOCC1 ZINC001275818657 848834381 /nfs/dbraw/zinc/83/43/81/848834381.db2.gz KREWIXBWOPKBHQ-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CC[N@H+](C)[C@@H](C)CNC(=O)c1n[nH]c2c1CCCC2 ZINC001275865487 848849368 /nfs/dbraw/zinc/84/93/68/848849368.db2.gz KWWWXMWBCVRKDL-NSHDSACASA-N 0 1 274.368 0.972 20 30 CCEDMN COCC#CCN(C)[C@H](C)CNC(=O)CCn1cccc1 ZINC001275962807 848875626 /nfs/dbraw/zinc/87/56/26/848875626.db2.gz JTHSMBWVYAAEIU-OAHLLOKOSA-N 0 1 291.395 0.965 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1cccn(C)c1=O ZINC001275977003 848879663 /nfs/dbraw/zinc/87/96/63/848879663.db2.gz LOMQODCSVULSJY-NSHDSACASA-N 0 1 261.325 0.069 20 30 CCEDMN CN(C)C(=O)[C@H]1CC12CN(C(=O)c1ccc(O)c(C#N)c1)C2 ZINC001276155442 848941386 /nfs/dbraw/zinc/94/13/86/848941386.db2.gz HQROXRCYCPEJRO-GFCCVEGCSA-N 0 1 299.330 0.814 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)C[C@H]1CCN(C)C1=O)C2 ZINC001095587245 848993906 /nfs/dbraw/zinc/99/39/06/848993906.db2.gz BWIGADUTEBMAOL-MQYQWHSLSA-N 0 1 289.379 0.210 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCC(=O)NC1)C2 ZINC001095664594 849004669 /nfs/dbraw/zinc/00/46/69/849004669.db2.gz FSFHGFFZOYTVRJ-MQYQWHSLSA-N 0 1 289.379 0.257 20 30 CCEDMN C#C[C@H](CO)NC[C@H](O)c1ccc([N+](=O)[O-])cc1 ZINC000718142702 849231384 /nfs/dbraw/zinc/23/13/84/849231384.db2.gz FGSYZPFIMZSAHA-PWSUYJOCSA-N 0 1 250.254 0.212 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)NC(=O)C(C)(C)C ZINC001114658579 849349251 /nfs/dbraw/zinc/34/92/51/849349251.db2.gz SLLWLRIQZVRAHW-NDBYEHHHSA-N 0 1 291.395 0.217 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1conc1C ZINC001114761447 849389494 /nfs/dbraw/zinc/38/94/94/849389494.db2.gz LJINHBGUCPPMFQ-IMRBUKKESA-N 0 1 289.335 0.293 20 30 CCEDMN CCN(CCCNC(=O)c1ncn[nH]1)c1ccncc1C#N ZINC001095906404 849438575 /nfs/dbraw/zinc/43/85/75/849438575.db2.gz RIASITZODIKKMW-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN CCN(CCCNC(=O)c1nc[nH]n1)c1ccncc1C#N ZINC001095906404 849438581 /nfs/dbraw/zinc/43/85/81/849438581.db2.gz RIASITZODIKKMW-UHFFFAOYSA-N 0 1 299.338 0.718 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@H](CC1CC1)C(=O)[O-] ZINC000380867817 849695217 /nfs/dbraw/zinc/69/52/17/849695217.db2.gz XQVAQCUELTXTJM-GFCCVEGCSA-N 0 1 281.356 0.494 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1cnc(C)n1C ZINC001038625259 849699069 /nfs/dbraw/zinc/69/90/69/849699069.db2.gz JVDCFYZWEJAXJQ-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN C[C@H]1CN(C(=O)c2ccn[nH]2)C[C@H]1Nc1ccnc(C#N)n1 ZINC001067028913 849713998 /nfs/dbraw/zinc/71/39/98/849713998.db2.gz DSTFJLWOQRCMLR-GXSJLCMTSA-N 0 1 297.322 0.066 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccnc2c1nnn2C ZINC001038636426 849759148 /nfs/dbraw/zinc/75/91/48/849759148.db2.gz OSAXAYDFOUKSPV-LLVKDONJSA-N 0 1 298.350 0.191 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)c1cc(OC)ncn1 ZINC001038254393 849831658 /nfs/dbraw/zinc/83/16/58/849831658.db2.gz VOLKNDKOJZGGFZ-LLVKDONJSA-N 0 1 276.340 0.865 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CC(=O)N(C)C1 ZINC001038311616 849846342 /nfs/dbraw/zinc/84/63/42/849846342.db2.gz PSLUZGNSVNGXDL-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@@H]1CCCNC1=O ZINC001038366023 849865760 /nfs/dbraw/zinc/86/57/60/849865760.db2.gz IXJPSIFUCCOGMF-VXGBXAGGSA-N 0 1 265.357 0.279 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCc2cncn2C1 ZINC001038423878 849890218 /nfs/dbraw/zinc/89/02/18/849890218.db2.gz GWQWGHKSQFDPBZ-UKRRQHHQSA-N 0 1 286.379 0.659 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1c[nH]nc1CC ZINC001038454903 849909615 /nfs/dbraw/zinc/90/96/15/849909615.db2.gz CODMSOAREFZTIY-NSHDSACASA-N 0 1 260.341 0.800 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@H]1CC[C@@H](C(N)=O)C1 ZINC001038711157 849992184 /nfs/dbraw/zinc/99/21/84/849992184.db2.gz SVHKORAILOADJR-FRRDWIJNSA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCN1CC[C@H]1CNC(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001038894366 850071016 /nfs/dbraw/zinc/07/10/16/850071016.db2.gz RECNSYQUNPGJRR-NEPJUHHUSA-N 0 1 272.352 0.338 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CCN2C[C@H](C)O)cn1 ZINC001038931705 850091569 /nfs/dbraw/zinc/09/15/69/850091569.db2.gz JQDZUWSSAFXBBG-FZMZJTMJSA-N 0 1 273.336 0.248 20 30 CCEDMN C=CCCN1CC[C@H]1CNC(=O)c1cnn(C)c1OC ZINC001038962799 850102428 /nfs/dbraw/zinc/10/24/28/850102428.db2.gz WCWGNVYHMGOTCR-NSHDSACASA-N 0 1 278.356 0.809 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)CN1CCCC1=O ZINC001039331022 850173622 /nfs/dbraw/zinc/17/36/22/850173622.db2.gz RZABYUCHDFXMME-KGLIPLIRSA-N 0 1 289.379 0.307 20 30 CCEDMN COc1cc(C=Nn2c(=O)c(C)n[nH]c2=S)ccc1O ZINC000725392471 850232818 /nfs/dbraw/zinc/23/28/18/850232818.db2.gz FQCXBZQXDSTLLT-UHFFFAOYSA-N 0 1 292.320 0.832 20 30 CCEDMN C#CCN1CC[C@]2(CCN(C(=O)c3nonc3C)C2)C1 ZINC001041856282 850512429 /nfs/dbraw/zinc/51/24/29/850512429.db2.gz COIVMZMWUXLSKV-AWEZNQCLSA-N 0 1 274.324 0.549 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)CSC)C[C@@H]21 ZINC001041921336 850529711 /nfs/dbraw/zinc/52/97/11/850529711.db2.gz VIIQFEPRTNAHAE-RYUDHWBXSA-N 0 1 252.383 0.905 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3nccnc3N)C[C@@H]21 ZINC001041986458 850549670 /nfs/dbraw/zinc/54/96/70/850549670.db2.gz QSELTRMTDFJTMU-RYUDHWBXSA-N 0 1 285.351 0.228 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3c(C)ncn3C)C[C@H]21 ZINC001042105581 850578166 /nfs/dbraw/zinc/57/81/66/850578166.db2.gz VJDXKZLFWATABV-UONOGXRCSA-N 0 1 286.379 0.898 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)cn2)C[C@H]1NC(=O)c1ncn[nH]1 ZINC001042130067 850581635 /nfs/dbraw/zinc/58/16/35/850581635.db2.gz HFSGLSGHTFMXNP-MWLCHTKSSA-N 0 1 297.322 0.326 20 30 CCEDMN C[C@@H]1CN(c2ccc(C#N)cn2)C[C@H]1NC(=O)c1nc[nH]n1 ZINC001042130067 850581641 /nfs/dbraw/zinc/58/16/41/850581641.db2.gz HFSGLSGHTFMXNP-MWLCHTKSSA-N 0 1 297.322 0.326 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)CCc3c[nH]nn3)C[C@H]21 ZINC001042239105 850600505 /nfs/dbraw/zinc/60/05/05/850600505.db2.gz DCBCHTPJQOJPPE-WCQYABFASA-N 0 1 288.355 0.184 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)CCc3cnn[nH]3)C[C@H]21 ZINC001042239105 850600509 /nfs/dbraw/zinc/60/05/09/850600509.db2.gz DCBCHTPJQOJPPE-WCQYABFASA-N 0 1 288.355 0.184 20 30 CCEDMN N#CCN1CC[C@H]2CCN(C(=O)CN3CCCC3)C[C@H]21 ZINC001042358292 850620564 /nfs/dbraw/zinc/62/05/64/850620564.db2.gz QAGGXTLCELTDHL-UONOGXRCSA-N 0 1 276.384 0.529 20 30 CCEDMN COc1cc(CNC[C@@H]2CCCN2C(=O)[C@H](C)C#N)on1 ZINC001044961037 851194051 /nfs/dbraw/zinc/19/40/51/851194051.db2.gz LNFADNCLHNVNIM-MNOVXSKESA-N 0 1 292.339 0.923 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccc(=O)[nH]n2)CC1 ZINC001045354666 851240462 /nfs/dbraw/zinc/24/04/62/851240462.db2.gz ZNMIEQGBMTZZES-UHFFFAOYSA-N 0 1 274.324 0.400 20 30 CCEDMN CC1(C)CCN(CC(=O)N[C@H]2C[C@H]3CC[C@@H]2N3CC#N)C1 ZINC001096020889 851240984 /nfs/dbraw/zinc/24/09/84/851240984.db2.gz UHPBXJFPSHJFDY-RDBSUJKOSA-N 0 1 290.411 0.963 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cnc3cccnn32)CC1 ZINC001045581742 851284457 /nfs/dbraw/zinc/28/44/57/851284457.db2.gz GSJWCLWWYDZLCJ-UHFFFAOYSA-N 0 1 297.362 0.947 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCCC[C@@]2(CCN(CC(N)=O)C2)C1 ZINC001045924600 851334656 /nfs/dbraw/zinc/33/46/56/851334656.db2.gz MSQYJXFZYYXIIS-DOMZBBRYSA-N 0 1 292.383 0.336 20 30 CCEDMN C#CC[N@@H+]1CC[C@H](N2CCN(C(=O)[C@H](CC)OC)CC2)C1 ZINC001046009867 851348174 /nfs/dbraw/zinc/34/81/74/851348174.db2.gz FBSULMWXDCYNSV-GJZGRUSLSA-N 0 1 293.411 0.263 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2ccc3n[nH]nc3n2)C1 ZINC001046361738 851477068 /nfs/dbraw/zinc/47/70/68/851477068.db2.gz KQCRPJWSVDHATN-AWEZNQCLSA-N 0 1 286.339 0.733 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@H]2CCCc3nccn32)C1 ZINC001046372945 851480215 /nfs/dbraw/zinc/48/02/15/851480215.db2.gz RQZVMJPSROOVTK-CZUORRHYSA-N 0 1 286.379 0.974 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2cnc3n[nH]nc3c2)C1 ZINC001046382176 851481628 /nfs/dbraw/zinc/48/16/28/851481628.db2.gz PDYHDMMSQUUMFD-OAHLLOKOSA-N 0 1 298.350 0.570 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C1 ZINC001046385079 851481709 /nfs/dbraw/zinc/48/17/09/851481709.db2.gz JYPFZQPTQFKKKT-JMSVASOKSA-N 0 1 272.352 0.727 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2C[C@H]2c2c[nH]cn2)C1 ZINC001046385079 851481715 /nfs/dbraw/zinc/48/17/15/851481715.db2.gz JYPFZQPTQFKKKT-JMSVASOKSA-N 0 1 272.352 0.727 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cncc3ncn(C)c32)C1 ZINC001046386080 851483889 /nfs/dbraw/zinc/48/38/89/851483889.db2.gz WALJBXFFMAAIPQ-MRXNPFEDSA-N 0 1 297.362 0.796 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cn[nH]c(=O)c2)C1 ZINC001046399021 851488463 /nfs/dbraw/zinc/48/84/63/851488463.db2.gz ZXBHNPVGRRGJEY-AWEZNQCLSA-N 0 1 274.324 0.400 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cn3cccnc3n2)C1 ZINC001046446190 851508866 /nfs/dbraw/zinc/50/88/66/851508866.db2.gz OIHVMFDWFUEYND-INIZCTEOSA-N 0 1 297.362 0.947 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2ccnc(OC)n2)C1 ZINC001046476818 851521556 /nfs/dbraw/zinc/52/15/56/851521556.db2.gz IACJQCJPEFSNQZ-OAHLLOKOSA-N 0 1 288.351 0.703 20 30 CCEDMN C#CCCN1CC[C@](C)(NC(=O)c2c[nH]c(C)cc2=O)C1 ZINC001046748470 851603376 /nfs/dbraw/zinc/60/33/76/851603376.db2.gz OFTUOASTAAMBOI-INIZCTEOSA-N 0 1 287.363 0.901 20 30 CCEDMN C#CC[N@@H+]1CC[C@](C)(NC(=O)[C@H]2CCc3n[nH]nc3C2)C1 ZINC001046770075 851610274 /nfs/dbraw/zinc/61/02/74/851610274.db2.gz BYUDDDSABPYLEJ-NHYWBVRUSA-N 0 1 287.367 0.123 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2cccn(C)c2=O)C1 ZINC001046781848 851612651 /nfs/dbraw/zinc/61/26/51/851612651.db2.gz QZOTXKZIYPVXPW-HNNXBMFYSA-N 0 1 273.336 0.213 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2cnn(C)c2OC)C1 ZINC001046802647 851616325 /nfs/dbraw/zinc/61/63/25/851616325.db2.gz JXUPOOPAEDQZEK-CQSZACIVSA-N 0 1 278.356 0.809 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)[C@@H]2CN(C(C)=O)CCO2)C1 ZINC001046814905 851621407 /nfs/dbraw/zinc/62/14/07/851621407.db2.gz MXSVBNJPBLSENC-ZFWWWQNUSA-N 0 1 295.383 0.000 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001046872132 851635828 /nfs/dbraw/zinc/63/58/28/851635828.db2.gz AYNFVQMOBIFHPP-OAHLLOKOSA-N 0 1 288.351 0.561 20 30 CCEDMN C=CCN1CC[C@@](C)(NC(=O)c2ccc(C(N)=O)nc2)C1 ZINC001046872132 851635834 /nfs/dbraw/zinc/63/58/34/851635834.db2.gz AYNFVQMOBIFHPP-OAHLLOKOSA-N 0 1 288.351 0.561 20 30 CCEDMN C=CCS(=O)(=O)Nc1ncnc2c1cnn2C ZINC001259922906 851650831 /nfs/dbraw/zinc/65/08/31/851650831.db2.gz XTVSRTVCOYEJBW-UHFFFAOYSA-N 0 1 253.287 0.291 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ncoc2C)C1 ZINC001047317876 851714698 /nfs/dbraw/zinc/71/46/98/851714698.db2.gz SPMYWIZTQUTFSS-RYUDHWBXSA-N 0 1 277.324 0.123 20 30 CCEDMN C=C(Cl)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cnc(C)[nH]2)C1 ZINC001047328032 851720031 /nfs/dbraw/zinc/72/00/31/851720031.db2.gz YIYLNXMZIHDWFK-RYUDHWBXSA-N 0 1 298.774 0.588 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cccc(=O)[nH]2)C1 ZINC001047336934 851725529 /nfs/dbraw/zinc/72/55/29/851725529.db2.gz QKXFNXJAYVEANQ-STQMWFEESA-N 0 1 291.351 0.480 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)Cc2cc(C)n[nH]2)C1 ZINC001047343663 851728300 /nfs/dbraw/zinc/72/83/00/851728300.db2.gz PSKZDNHBOSCASD-KBPBESRZSA-N 0 1 292.383 0.340 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)[C@@H]2CCCOCC2)C1 ZINC001047363558 851737693 /nfs/dbraw/zinc/73/76/93/851737693.db2.gz KSPRLIXEWGSVND-ILXRZTDVSA-N 0 1 294.395 0.330 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C(C)(C)C2CC2)C1 ZINC001047493522 851787595 /nfs/dbraw/zinc/78/75/95/851787595.db2.gz LLMDFTLVGRVZOK-KBPBESRZSA-N 0 1 278.396 0.949 20 30 CCEDMN C=CC[N@H+]1C[C@H](O)[C@@H](N(C)C(=O)Cn2cc(Cl)cn2)C1 ZINC001047547827 851811584 /nfs/dbraw/zinc/81/15/84/851811584.db2.gz DCMNQFRGBVCWSZ-RYUDHWBXSA-N 0 1 298.774 0.226 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cn2cc(Cl)cn2)C1 ZINC001047547827 851811586 /nfs/dbraw/zinc/81/15/86/851811586.db2.gz DCMNQFRGBVCWSZ-RYUDHWBXSA-N 0 1 298.774 0.226 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CCN(c2ncnc3[nH]cnc32)C1 ZINC001096365486 851961566 /nfs/dbraw/zinc/96/15/66/851961566.db2.gz YWTFKPNZCSZBJE-LLVKDONJSA-N 0 1 296.334 0.461 20 30 CCEDMN Cc1nc(CN2C[C@@H]3CN(C(=O)[C@H](C)C#N)C[C@@H]3C2)n[nH]1 ZINC001048725793 852035711 /nfs/dbraw/zinc/03/57/11/852035711.db2.gz VYSLONXTOGGBBY-JLLWLGSASA-N 0 1 288.355 0.163 20 30 CCEDMN C=CC[N@@H+]1C[C@H]2CN(C(=O)c3cnc[nH]c3=O)C[C@H]2C1 ZINC001048883734 852098015 /nfs/dbraw/zinc/09/80/15/852098015.db2.gz SMFLOHAYDNDVTP-PHIMTYICSA-N 0 1 274.324 0.372 20 30 CCEDMN C=CC(C)(C)C(=O)N1C[C@@H]2C[N@@H+]([C@@H](C)C(=O)NC)C[C@@H]2C1 ZINC001048979711 852125953 /nfs/dbraw/zinc/12/59/53/852125953.db2.gz BFLDLVBFJWCTLX-RWMBFGLXSA-N 0 1 293.411 0.723 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1cc[n+]([O-])cc1 ZINC001049311252 852225367 /nfs/dbraw/zinc/22/53/67/852225367.db2.gz JBCJILGSARKDDJ-GJZGRUSLSA-N 0 1 285.347 0.632 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)n1cncn1 ZINC001049426819 852267362 /nfs/dbraw/zinc/26/73/62/852267362.db2.gz AHPNTUQFFFITFY-MELADBBJSA-N 0 1 287.367 0.538 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)[C@H](C)n1cncn1 ZINC001049426819 852267369 /nfs/dbraw/zinc/26/73/69/852267369.db2.gz AHPNTUQFFFITFY-MELADBBJSA-N 0 1 287.367 0.538 20 30 CCEDMN CC#CCN1CC[C@H]2[C@H]1CCCN2C(=O)c1cnnn1C ZINC001049451176 852281670 /nfs/dbraw/zinc/28/16/70/852281670.db2.gz OZAWGKZZBDRCLP-OLZOCXBDSA-N 0 1 287.367 0.517 20 30 CCEDMN C#CCN1CC[C@@H]2[C@@H]1CCCN2C(=O)c1c[nH]c(=O)cn1 ZINC001049678189 852342769 /nfs/dbraw/zinc/34/27/69/852342769.db2.gz YOBYFTKMDMHKTI-QWHCGFSZSA-N 0 1 286.335 0.082 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H]2[C@H]1CCCN2C(=O)c1cccn(C)c1=O ZINC001049855947 852386380 /nfs/dbraw/zinc/38/63/80/852386380.db2.gz WAHNVFOHTGYLPF-HUUCEWRRSA-N 0 1 299.374 0.697 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3cnc(C)cn3)[C@@H]2C1 ZINC001049961979 852409515 /nfs/dbraw/zinc/40/95/15/852409515.db2.gz MWDBERIYOATFGX-DZGCQCFKSA-N 0 1 284.363 0.955 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@H](C)OC)[C@@H]2C1 ZINC001049988298 852414973 /nfs/dbraw/zinc/41/49/73/852414973.db2.gz KTBYOTLAOVACNK-RWMBFGLXSA-N 0 1 250.342 0.577 20 30 CCEDMN N#Cc1nccnc1N[C@@H](CNC(=O)c1ncn[nH]1)C1CC1 ZINC001096705467 852428629 /nfs/dbraw/zinc/42/86/29/852428629.db2.gz RQLZHFAAEZHKRP-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1nccnc1N[C@@H](CNC(=O)c1nc[nH]n1)C1CC1 ZINC001096705467 852428636 /nfs/dbraw/zinc/42/86/36/852428636.db2.gz RQLZHFAAEZHKRP-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN C=C(C)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nccnc1N)C2 ZINC001096891396 852462233 /nfs/dbraw/zinc/46/22/33/852462233.db2.gz BQKMDQNTWYTOSY-UTUOFQBUSA-N 0 1 287.367 0.970 20 30 CCEDMN Cc1n[nH]c(C(=O)NC[C@@H](C)Nc2ccc(C#N)nn2)c1C ZINC001097759765 852601610 /nfs/dbraw/zinc/60/16/10/852601610.db2.gz IZDJMSLPJPPKQT-MRVPVSSYSA-N 0 1 299.338 0.919 20 30 CCEDMN C=CCN1CC2(C1)CN(C(=O)[C@@H]1CCCN1C)CCO2 ZINC001053189790 852704980 /nfs/dbraw/zinc/70/49/80/852704980.db2.gz ZSSVFJHSOICSGX-ZDUSSCGKSA-N 0 1 279.384 0.180 20 30 CCEDMN C=CCN1CC2(C1)C[C@@H](NC(=O)c1[nH]nc(C)c1C)CO2 ZINC001053761023 852810049 /nfs/dbraw/zinc/81/00/49/852810049.db2.gz SEQIYDGVXXWGBU-GFCCVEGCSA-N 0 1 290.367 0.786 20 30 CCEDMN C=CC(C)(C)C(=O)N1CCC[C@]2(CCN(CC(N)=O)C2)C1 ZINC001054175129 852890810 /nfs/dbraw/zinc/89/08/10/852890810.db2.gz HZKPWZBJYLYOBB-MRXNPFEDSA-N 0 1 293.411 0.998 20 30 CCEDMN N#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)Cc1cnc[nH]1)C2 ZINC001097935783 852898784 /nfs/dbraw/zinc/89/87/84/852898784.db2.gz MACTXHOBHWTGHB-UTUOFQBUSA-N 0 1 259.313 0.197 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cnn(C)c2N)C[C@@H]1C ZINC001054592857 852976010 /nfs/dbraw/zinc/97/60/10/852976010.db2.gz BXMHUHAJKUUIIN-KWQFWETISA-N 0 1 297.790 0.805 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)C2CN(C(C)=O)C2)C[C@@H]1C ZINC001054601555 852977559 /nfs/dbraw/zinc/97/75/59/852977559.db2.gz PGEFWROJYVLBHO-ZANVPECISA-N 0 1 299.802 0.654 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2cc(=O)n(C)o2)C[C@@H]1C ZINC001054601314 852977908 /nfs/dbraw/zinc/97/79/08/852977908.db2.gz OBHQUVTVMAPKEP-WPRPVWTQSA-N 0 1 299.758 0.781 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)c2ncn(C)n2)C[C@@H]1C ZINC001054614884 852982519 /nfs/dbraw/zinc/98/25/19/852982519.db2.gz BDEZUWLBNDATMN-WPRPVWTQSA-N 0 1 283.763 0.618 20 30 CCEDMN C[C@@H]1CN(C(=O)C#CC2CC2)C[C@@H]1NCc1cnns1 ZINC001054655745 852990818 /nfs/dbraw/zinc/99/08/18/852990818.db2.gz JAYXHOMWRNIGIY-MFKMUULPSA-N 0 1 290.392 0.888 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2nnn(C)n2)C[C@@H]1C ZINC001054981287 853049202 /nfs/dbraw/zinc/04/92/02/853049202.db2.gz MFNKSOZTUBWNKM-IONNQARKSA-N 0 1 284.751 0.013 20 30 CCEDMN N#Cc1cnc(N[C@@H]2CCN(C(=O)c3ccn[nH]3)C2)cn1 ZINC001056557935 853170346 /nfs/dbraw/zinc/17/03/46/853170346.db2.gz HELOMUNNAJDEOQ-SECBINFHSA-N 0 1 283.295 0.398 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)[C@@H](C)n3cncn3)[C@@H]2C1 ZINC001050026317 853297087 /nfs/dbraw/zinc/29/70/87/853297087.db2.gz XXQKZIFVBZOLIF-HZSPNIEDSA-N 0 1 287.367 0.395 20 30 CCEDMN N#CCN1CCC2(CN(C(=O)CCc3cnc[nH]3)C2)CC1 ZINC001050540360 853395504 /nfs/dbraw/zinc/39/55/04/853395504.db2.gz ITFYNXSGXREULY-UHFFFAOYSA-N 0 1 287.367 0.790 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCO[C@@H](CNCc2cnns2)C1 ZINC001051495214 853596374 /nfs/dbraw/zinc/59/63/74/853596374.db2.gz SHJBSQKBRMAIDU-ZJUUUORDSA-N 0 1 295.368 0.015 20 30 CCEDMN C=C(C)CN1CCN([C@@H]2CCN(C(C)=O)C2)CC1 ZINC001051959126 853662853 /nfs/dbraw/zinc/66/28/53/853662853.db2.gz FEXLSZJAAITHLH-CQSZACIVSA-N 0 1 251.374 0.801 20 30 CCEDMN C#CCCN1CCN([C@@H]2CCN(C(=O)C(C)(C)F)C2)CC1 ZINC001051968977 853666337 /nfs/dbraw/zinc/66/63/37/853666337.db2.gz HIBCMHIKEXDOIR-CQSZACIVSA-N 0 1 295.402 0.976 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)[C@@H](F)CC)C2)CC1 ZINC001052063811 853679151 /nfs/dbraw/zinc/67/91/51/853679151.db2.gz XZVGPWPJBMQNDF-GJZGRUSLSA-N 0 1 295.402 0.976 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](NC(=O)c3ncn[nH]3)C2)cn1 ZINC001058422915 853844137 /nfs/dbraw/zinc/84/41/37/853844137.db2.gz RWPOCDLMGDBDSX-SNVBAGLBSA-N 0 1 283.295 0.080 20 30 CCEDMN N#Cc1ccc(N2CC[C@@H](NC(=O)c3nc[nH]n3)C2)cn1 ZINC001058422915 853844141 /nfs/dbraw/zinc/84/41/41/853844141.db2.gz RWPOCDLMGDBDSX-SNVBAGLBSA-N 0 1 283.295 0.080 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)C(C)(C)F)[C@@H](n2ccnn2)C1 ZINC001069889863 853994599 /nfs/dbraw/zinc/99/45/99/853994599.db2.gz QYVPBIIZDOMOBE-NEPJUHHUSA-N 0 1 295.362 0.944 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)c2ccoc2C)C[C@@H]1n1ccnn1 ZINC001070134471 854028740 /nfs/dbraw/zinc/02/87/40/854028740.db2.gz YWRZOZSDDXIOMP-KGLIPLIRSA-N 0 1 299.334 0.468 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)CC(C)(F)F)C[C@@H]1n1ccnn1 ZINC001070268813 854039072 /nfs/dbraw/zinc/03/90/72/854039072.db2.gz OPHPZMYJPJMTCB-MNOVXSKESA-N 0 1 297.309 0.298 20 30 CCEDMN CC#CCN1CC[C@]2(C1)CN(C(=O)COC)C[C@@H](C)O2 ZINC001071113342 854122415 /nfs/dbraw/zinc/12/24/15/854122415.db2.gz JWQDTONDJHQFLV-HIFRSBDPSA-N 0 1 280.368 0.348 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cn2cc(C)cn2)CC[C@H]1C ZINC001071376551 854171976 /nfs/dbraw/zinc/17/19/76/854171976.db2.gz WCDNNZKIJLOSNO-ZIAGYGMSSA-N 0 1 274.368 0.794 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2ncn(C)n2)CC[C@H]1C ZINC001071488983 854217298 /nfs/dbraw/zinc/21/72/98/854217298.db2.gz YBCVDEVJRIBVOY-GHMZBOCLSA-N 0 1 263.345 0.584 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)Cn2nccn2)CC[C@@H]1C ZINC001071534329 854232601 /nfs/dbraw/zinc/23/26/01/854232601.db2.gz PVPKRLMNPVXUSL-QWHCGFSZSA-N 0 1 275.356 0.271 20 30 CCEDMN C#CCN1C[C@H](NC(=O)Cc2cnn(C)c2C)CC[C@H]1C ZINC001071557956 854236652 /nfs/dbraw/zinc/23/66/52/854236652.db2.gz XOHXSLAKTVXSGZ-IUODEOHRSA-N 0 1 288.395 0.873 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)CC[C@@H]1C ZINC001071589266 854243309 /nfs/dbraw/zinc/24/33/09/854243309.db2.gz RVCDHOJQCZFAIS-ONGXEEELSA-N 0 1 276.340 0.640 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)Cn3cccn3)C2)C1 ZINC001072468928 854393118 /nfs/dbraw/zinc/39/31/18/854393118.db2.gz BEOWKDLZIWSWNT-UHFFFAOYSA-N 0 1 258.325 0.051 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@@H]3CCOC3)C2)C1 ZINC001072571243 854418270 /nfs/dbraw/zinc/41/82/70/854418270.db2.gz OSFFJCMJIRYADU-ZDUSSCGKSA-N 0 1 262.353 0.581 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@]34C[C@H]3COC4)C2)C1 ZINC001072755176 854457769 /nfs/dbraw/zinc/45/77/69/854457769.db2.gz XPAFLAAIEYPSEP-WFASDCNBSA-N 0 1 260.337 0.190 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CC(=O)N[C@H](C)C3)C2)C1 ZINC001072884801 854487015 /nfs/dbraw/zinc/48/70/15/854487015.db2.gz LFZJRQVKXRSHBR-CHWSQXEVSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cccc(=O)n3C)C2)C1 ZINC001072969471 854505688 /nfs/dbraw/zinc/50/56/88/854505688.db2.gz BAKWZOIGJGWUCS-UHFFFAOYSA-N 0 1 285.347 0.166 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnn4cc[nH]c34)C2)C1 ZINC001073151280 854538248 /nfs/dbraw/zinc/53/82/48/854538248.db2.gz DATKXLBLVZRANA-UHFFFAOYSA-N 0 1 283.335 0.444 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2cc(C#N)c[nH]2)C1 ZINC001073566855 854598080 /nfs/dbraw/zinc/59/80/80/854598080.db2.gz VXNUYCHDGISNCB-CYBMUJFWSA-N 0 1 288.351 0.893 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)c2ccc(C#N)[nH]2)C1 ZINC001073580439 854602895 /nfs/dbraw/zinc/60/28/95/854602895.db2.gz BLXIRAVKOUAHTO-CYBMUJFWSA-N 0 1 288.351 0.893 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)c2ccnnc2)C1 ZINC001073791823 854646135 /nfs/dbraw/zinc/64/61/35/854646135.db2.gz RJXRLIYTLAFFQF-AWEZNQCLSA-N 0 1 288.351 0.321 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2nc(C)c[nH]2)C1 ZINC001073809813 854648282 /nfs/dbraw/zinc/64/82/82/854648282.db2.gz ABBRACNGQVMNED-LBPRGKRZSA-N 0 1 278.356 0.725 20 30 CCEDMN C[C@H](CNC(=O)CCc1c[nH]nn1)Nc1ccc(C#N)cn1 ZINC001098294214 854855356 /nfs/dbraw/zinc/85/53/56/854855356.db2.gz LZKCZTPDQHTITC-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@H](CNC(=O)CCc1cnn[nH]1)Nc1ccc(C#N)cn1 ZINC001098294214 854855357 /nfs/dbraw/zinc/85/53/57/854855357.db2.gz LZKCZTPDQHTITC-SNVBAGLBSA-N 0 1 299.338 0.621 20 30 CCEDMN C#CC[N@@H+]1CC(C)(C)CC[C@@H]1CNC(=O)Cc1nnc[nH]1 ZINC001099299253 854926815 /nfs/dbraw/zinc/92/68/15/854926815.db2.gz RFWFBSBGYQDJBB-GFCCVEGCSA-N 0 1 289.383 0.587 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)Cc1nc[nH]n1 ZINC001099299253 854926823 /nfs/dbraw/zinc/92/68/23/854926823.db2.gz RFWFBSBGYQDJBB-GFCCVEGCSA-N 0 1 289.383 0.587 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COC2CCCC2)[C@H](O)C1 ZINC001099710569 854973322 /nfs/dbraw/zinc/97/33/22/854973322.db2.gz ZGDXZOSWNFWFML-ZIAGYGMSSA-N 0 1 282.384 0.683 20 30 CCEDMN C[C@H](CCNC(=O)c1cnn[nH]1)Nc1nccnc1C#N ZINC001099777040 854991846 /nfs/dbraw/zinc/99/18/46/854991846.db2.gz CUANZQKYMNUSLF-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COC(C)(C)C)[C@H](O)C1 ZINC001099794615 854996550 /nfs/dbraw/zinc/99/65/50/854996550.db2.gz PDWGWBPYNDTSKY-VXGBXAGGSA-N 0 1 270.373 0.539 20 30 CCEDMN C=CCC(CC=C)C(=O)N[C@@H]1CCN(CCO)C[C@@H]1O ZINC001099847473 855010383 /nfs/dbraw/zinc/01/03/83/855010383.db2.gz NGRUJKCRNIZTMV-KGLIPLIRSA-N 0 1 282.384 0.299 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@H]1CCN(CCO)C[C@@H]1O ZINC001099847150 855010827 /nfs/dbraw/zinc/01/08/27/855010827.db2.gz IDYJHSOOOXKGIL-RYUDHWBXSA-N 0 1 270.373 0.132 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(CCOC)CC2)[C@@H](O)C1 ZINC001099871489 855012093 /nfs/dbraw/zinc/01/20/93/855012093.db2.gz FLYYAJVZQCAMAA-OLZOCXBDSA-N 0 1 282.384 0.541 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C2(COC)CCC2)[C@@H](O)C1 ZINC001099925243 855034043 /nfs/dbraw/zinc/03/40/43/855034043.db2.gz ALACVJZJQXYREO-STQMWFEESA-N 0 1 282.384 0.541 20 30 CCEDMN CCNC(=O)CN1C[C@@H]2CN(C(=O)[C@@H](C)C#N)C[C@]2(C)C1 ZINC001101174105 855271987 /nfs/dbraw/zinc/27/19/87/855271987.db2.gz FNKDRFGBXMCKTP-ZOWXZIJZSA-N 0 1 292.383 0.062 20 30 CCEDMN N#Cc1ccc(N(CCNC(=O)c2cnn[nH]2)C2CC2)cn1 ZINC001101467586 855290148 /nfs/dbraw/zinc/29/01/48/855290148.db2.gz JRYCZPHIUCTCPS-UHFFFAOYSA-N 0 1 297.322 0.470 20 30 CCEDMN CN(CCNc1ccc(C#N)nc1)C(=O)c1ccn[nH]1 ZINC001101510273 855302128 /nfs/dbraw/zinc/30/21/28/855302128.db2.gz ZXEUIOYUSVYREU-UHFFFAOYSA-N 0 1 270.296 0.860 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CN(CC(=O)NC)C[C@H]1C ZINC001101803751 855369596 /nfs/dbraw/zinc/36/95/96/855369596.db2.gz BRBPCEIXRPDKQE-VXGBXAGGSA-N 0 1 267.373 0.383 20 30 CCEDMN C=CCN1C[C@@H](CNC(=O)CCS(C)(=O)=O)[C@H](C)C1 ZINC001102094417 855415699 /nfs/dbraw/zinc/41/56/99/855415699.db2.gz LZNVTLVLWYXHJO-VXGBXAGGSA-N 0 1 288.413 0.291 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H](CNC(=O)[C@@H](F)CC)[C@H](C)C1 ZINC001102231430 855419920 /nfs/dbraw/zinc/41/99/20/855419920.db2.gz JLUKWGNZFDDRJA-UPJWGTAASA-N 0 1 297.374 0.168 20 30 CCEDMN N#Cc1cnc(NC2(CNC(=O)c3ncn[nH]3)CCC2)cn1 ZINC001111830915 855596655 /nfs/dbraw/zinc/59/66/55/855596655.db2.gz DVICBXDJFIGWFY-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN N#Cc1cnc(NC2(CNC(=O)c3nc[nH]n3)CCC2)cn1 ZINC001111830915 855596662 /nfs/dbraw/zinc/59/66/62/855596662.db2.gz DVICBXDJFIGWFY-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)[C@H](C)CC(N)=O)C1 ZINC001111831297 855597907 /nfs/dbraw/zinc/59/79/07/855597907.db2.gz DKZGQKZGDRDNJB-XUJVJEKNSA-N 0 1 277.368 0.102 20 30 CCEDMN N#Cc1nccc(NC2(CNC(=O)c3cnn[nH]3)CCC2)n1 ZINC001111926440 855604856 /nfs/dbraw/zinc/60/48/56/855604856.db2.gz OSASVQBXRBVGMZ-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)C ZINC001115154054 855646678 /nfs/dbraw/zinc/64/66/78/855646678.db2.gz DBCRMRLPWCNLTI-WUHRBBMRSA-N 0 1 293.411 0.770 20 30 CCEDMN COCCN1CCN(c2cccc(CC#N)n2)CC1 ZINC001116636560 855801255 /nfs/dbraw/zinc/80/12/55/855801255.db2.gz PUGIVCDXHHHSFA-UHFFFAOYSA-N 0 1 260.341 0.916 20 30 CCEDMN C=CCN(C(=O)C(=O)N[C@H]1CN(C2CC2)C[C@H]1C)C1CC1 ZINC001117589612 856021893 /nfs/dbraw/zinc/02/18/93/856021893.db2.gz BRTIHJSLRPAOAX-RISCZKNCSA-N 0 1 291.395 0.762 20 30 CCEDMN N#CCCOCCN1CCN(CCCCO)CC1 ZINC001117707007 856052417 /nfs/dbraw/zinc/05/24/17/856052417.db2.gz UIPCXXXAFYIEFB-UHFFFAOYSA-N 0 1 255.362 0.307 20 30 CCEDMN CCN1CCCN(C(=O)C(=O)N2CC[C@@](F)(C#N)C2)CC1 ZINC001117835160 856087496 /nfs/dbraw/zinc/08/74/96/856087496.db2.gz OFEMKQSEWBIYFI-CQSZACIVSA-N 0 1 296.346 0.005 20 30 CCEDMN N#Cc1cc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)co1 ZINC001119040110 856538099 /nfs/dbraw/zinc/53/80/99/856538099.db2.gz WOZYICFNZSLRPD-QMMMGPOBSA-N 0 1 257.253 0.899 20 30 CCEDMN C[C@H](C#N)C(=O)NC1(C)CCN(CC(=O)N2CCC2)CC1 ZINC001393059167 912361195 /nfs/dbraw/zinc/36/11/95/912361195.db2.gz SDDRTLZOHNIGJL-GFCCVEGCSA-N 0 1 292.383 0.349 20 30 CCEDMN C[C@H]1CN(C2CC2)C[C@@H]1NC(=O)C(=O)NCCCC#N ZINC001323549760 912407412 /nfs/dbraw/zinc/40/74/12/912407412.db2.gz FUKWXGJUZDRHDE-JQWIXIFHSA-N 0 1 278.356 0.005 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@H]2CNC(=O)[C@H](C)C#N)n[nH]1 ZINC001393460128 912584750 /nfs/dbraw/zinc/58/47/50/912584750.db2.gz YKHKPGDPDYGBDT-SCZZXKLOSA-N 0 1 275.312 0.209 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@@H]2CCNC(=O)C2)CCC1 ZINC001393545676 912640867 /nfs/dbraw/zinc/64/08/67/912640867.db2.gz IJDFDYYNGGIXHT-LLVKDONJSA-N 0 1 299.802 0.894 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2nnn(C)n2)CCC1 ZINC001393552426 912643153 /nfs/dbraw/zinc/64/31/53/912643153.db2.gz TUVJBGSRVDYKFL-UHFFFAOYSA-N 0 1 284.751 0.205 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)Cc2nc[nH]n2)CCC1 ZINC001393566841 912652330 /nfs/dbraw/zinc/65/23/30/912652330.db2.gz INGVZKREJOTIJK-UHFFFAOYSA-N 0 1 283.763 0.728 20 30 CCEDMN C#CCN(C(=O)C(C)C)C1CCN([C@@H](CC)C(N)=O)CC1 ZINC001324361731 912801888 /nfs/dbraw/zinc/80/18/88/912801888.db2.gz FVTDLMPEHBOHTQ-AWEZNQCLSA-N 0 1 293.411 0.833 20 30 CCEDMN COCCC1N=NC(=S)N1N=Cc1[nH]cnc1C ZINC001324430247 912841590 /nfs/dbraw/zinc/84/15/90/912841590.db2.gz ZKRLGEUVEVGRPC-UHFFFAOYSA-N 0 1 266.330 0.669 20 30 CCEDMN CC[C@H](C(N)=O)N(C)[C@@H](C)CNC(=O)c1cc(C#N)c[nH]1 ZINC001394047159 912944530 /nfs/dbraw/zinc/94/45/30/912944530.db2.gz CVLHPEWROKXQCJ-JOYOIKCWSA-N 0 1 291.355 0.200 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)Cn2nccc2C)C1 ZINC001324655503 912966833 /nfs/dbraw/zinc/96/68/33/912966833.db2.gz FOBPNIFCXRPPSX-CQSZACIVSA-N 0 1 260.341 0.405 20 30 CCEDMN C[C@H](NC(=O)NC1CCN(CC#N)CC1)c1nnc[nH]1 ZINC001325038767 913162616 /nfs/dbraw/zinc/16/26/16/913162616.db2.gz NHEHHKBAKGUBTI-VIFPVBQESA-N 0 1 277.332 0.153 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cccc(CC)n2)C1 ZINC001325100373 913213297 /nfs/dbraw/zinc/21/32/97/913213297.db2.gz ABEUPFHPUKFEBH-MRXNPFEDSA-N 0 1 287.363 0.444 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CC2CC(F)(F)C2)C1 ZINC001325110035 913218166 /nfs/dbraw/zinc/21/81/66/913218166.db2.gz IVCYVVDLTFYSEI-CYBMUJFWSA-N 0 1 286.322 0.608 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)CNC(=O)NC ZINC001394506097 913226475 /nfs/dbraw/zinc/22/64/75/913226475.db2.gz QQWDULPIGBIHRE-SECBINFHSA-N 0 1 276.768 0.104 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2ccc(F)c(C)c2)C1 ZINC001325121837 913229203 /nfs/dbraw/zinc/22/92/03/913229203.db2.gz ACYSQDAZVHEVTI-MRXNPFEDSA-N 0 1 290.338 0.934 20 30 CCEDMN C[C@@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)C[C@@H]1CNCC#N ZINC001325510514 913444616 /nfs/dbraw/zinc/44/46/16/913444616.db2.gz WLXXSWRTVPALPI-MNOVXSKESA-N 0 1 298.350 0.779 20 30 CCEDMN COCC#CCN(C)CCNC(=O)[C@H]1C[C@@H]1C(F)F ZINC001480860974 891403390 /nfs/dbraw/zinc/40/33/90/891403390.db2.gz SSTORWWZSFIKLF-QWRGUYRKSA-N 0 1 274.311 0.585 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001282397393 891433031 /nfs/dbraw/zinc/43/30/31/891433031.db2.gz FCQJUXPUHYPWTJ-STQMWFEESA-N 0 1 279.384 0.315 20 30 CCEDMN CC#CCN(C)CCNC(=O)Cc1ccc2c(c1)NC(=O)C2 ZINC001480874365 891492879 /nfs/dbraw/zinc/49/28/79/891492879.db2.gz BDAWAPBRQCHELO-UHFFFAOYSA-N 0 1 299.374 0.795 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)NCCNC(=O)C#CC1CC1 ZINC001283002967 891606973 /nfs/dbraw/zinc/60/69/73/891606973.db2.gz OEBVQRDXWYRUGV-ZDUSSCGKSA-N 0 1 297.374 0.312 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cc(C)nc2n[nH]c(C)c21 ZINC001480925888 891839844 /nfs/dbraw/zinc/83/98/44/891839844.db2.gz KOZXLZFZJFSWQQ-UHFFFAOYSA-N 0 1 285.351 0.870 20 30 CCEDMN CC#CC[N@@H+](CC)CCNC(=O)Cc1cnn(C)c1 ZINC001480963991 891988795 /nfs/dbraw/zinc/98/87/95/891988795.db2.gz HMHBVDXRHQOVLX-UHFFFAOYSA-N 0 1 262.357 0.424 20 30 CCEDMN CC#CCN(CC)CCNC(=O)Cc1cnn(C)c1 ZINC001480963991 891988817 /nfs/dbraw/zinc/98/88/17/891988817.db2.gz HMHBVDXRHQOVLX-UHFFFAOYSA-N 0 1 262.357 0.424 20 30 CCEDMN COCC#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)[C@@H](C)OC ZINC001325872931 913618747 /nfs/dbraw/zinc/61/87/47/913618747.db2.gz DFZFQFREHYAUGW-RBSFLKMASA-N 0 1 294.395 0.736 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H](CC(C)C)NC(C)=O ZINC001481065091 892125816 /nfs/dbraw/zinc/12/58/16/892125816.db2.gz LOGPNXCKIZWFTE-LSDHHAIUSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)C(=O)NCC(F)F ZINC001325897296 913630734 /nfs/dbraw/zinc/63/07/34/913630734.db2.gz KIWMAGJTGVQUHS-GHMZBOCLSA-N 0 1 299.321 0.066 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CC[N@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481083818 892140980 /nfs/dbraw/zinc/14/09/80/892140980.db2.gz PXOFSVIBORFEPG-JTQLQIEISA-N 0 1 279.344 0.415 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CC[N@@H+](Cc2n[nH]c(=O)[n-]2)C1 ZINC001481083818 892140988 /nfs/dbraw/zinc/14/09/88/892140988.db2.gz PXOFSVIBORFEPG-JTQLQIEISA-N 0 1 279.344 0.415 20 30 CCEDMN CCCN(CC#CCOC)CCNC(=O)c1ccncn1 ZINC001481149915 892235073 /nfs/dbraw/zinc/23/50/73/892235073.db2.gz PKXFVNAXUDEZJA-UHFFFAOYSA-N 0 1 290.367 0.568 20 30 CCEDMN C#CCN(CCNC(=O)[C@]1(CC)CCNC1=O)C1CC1 ZINC001481173080 892272125 /nfs/dbraw/zinc/27/21/25/892272125.db2.gz REPOVTGLJGVYAP-OAHLLOKOSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN(CCNC(=O)[C@@H]1CCCc2nn[nH]c21)C1CC1 ZINC001481182090 892282223 /nfs/dbraw/zinc/28/22/23/892282223.db2.gz FMOYUICXCLBACH-GFCCVEGCSA-N 0 1 287.367 0.438 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]1CNC(=O)CCc1c[nH]nn1 ZINC001420862536 892466818 /nfs/dbraw/zinc/46/68/18/892466818.db2.gz AAHSJDIWQKJLII-LLVKDONJSA-N 0 1 283.763 0.680 20 30 CCEDMN C=C(Cl)CN1CC[C@@H]1CNC(=O)CCc1cnn[nH]1 ZINC001420862536 892466822 /nfs/dbraw/zinc/46/68/22/892466822.db2.gz AAHSJDIWQKJLII-LLVKDONJSA-N 0 1 283.763 0.680 20 30 CCEDMN C=CCN1CC[C@@H](N(CCC)C(=O)[C@H](C)NC(N)=O)C1 ZINC001481395035 892610996 /nfs/dbraw/zinc/61/09/96/892610996.db2.gz KSSZVFKSPRHUTJ-NWDGAFQWSA-N 0 1 282.388 0.542 20 30 CCEDMN N#CCNC(=O)CN1CC[C@@H](CCNC(=O)C2CCC2)C1 ZINC001481415785 892629107 /nfs/dbraw/zinc/62/91/07/892629107.db2.gz QCZANPBFPMZMJD-GFCCVEGCSA-N 0 1 292.383 0.254 20 30 CCEDMN C=C1CC(C)(C(=O)N(C)CCN2CCN(CCO)CC2)C1 ZINC001481471860 892716331 /nfs/dbraw/zinc/71/63/31/892716331.db2.gz VRHWOLWHDUTRKX-UHFFFAOYSA-N 0 1 295.427 0.411 20 30 CCEDMN CCOCCN1CCC[C@H](NC(=O)CSCC#N)C1 ZINC001481531740 892781302 /nfs/dbraw/zinc/78/13/02/892781302.db2.gz AFSMBNWONQKWJL-LBPRGKRZSA-N 0 1 285.413 0.860 20 30 CCEDMN Cc1nocc1CNCCN(CCO)C(=O)C#CC1CC1 ZINC001326020338 913699634 /nfs/dbraw/zinc/69/96/34/913699634.db2.gz ASMMTNCBAPARBX-UHFFFAOYSA-N 0 1 291.351 0.307 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2C[N@@H+]3CCCOC)c1 ZINC001481677650 892996454 /nfs/dbraw/zinc/99/64/54/892996454.db2.gz IKDGHAMDWPIOQY-HOTGVXAUSA-N 0 1 299.374 0.998 20 30 CCEDMN C#Cc1cncc(C(=O)N2C[C@@H]3C[C@H]2CN3CCCOC)c1 ZINC001481677650 892996459 /nfs/dbraw/zinc/99/64/59/892996459.db2.gz IKDGHAMDWPIOQY-HOTGVXAUSA-N 0 1 299.374 0.998 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ncccn2)C1 ZINC001481707381 893053019 /nfs/dbraw/zinc/05/30/19/893053019.db2.gz MHWAQKRATGLTSH-GFCCVEGCSA-N 0 1 276.340 0.483 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)[C@@H]1CCN(CCOCC(F)F)C1 ZINC001481719640 893087547 /nfs/dbraw/zinc/08/75/47/893087547.db2.gz IXNKXMNWMSYYDA-GHMZBOCLSA-N 0 1 289.326 0.960 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)C[C@@H]2CCOC2)C1 ZINC001481761416 893125585 /nfs/dbraw/zinc/12/55/85/893125585.db2.gz KUJBTHDUBQLSLO-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN C#CCNC(=O)CNC/C=C/CNC(=O)C1CCCC1 ZINC001497508891 893190437 /nfs/dbraw/zinc/19/04/37/893190437.db2.gz ACHWHRZIQVVOGC-AATRIKPKSA-N 0 1 277.368 0.188 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)CCCn2cncn2)[C@H]1C ZINC001284151186 893320592 /nfs/dbraw/zinc/32/05/92/893320592.db2.gz FCHDFQQKVHJRHQ-ZIAGYGMSSA-N 0 1 289.383 0.661 20 30 CCEDMN C#CCCCC(=O)N1CCC2(CCN(CC(N)=O)C2)CC1 ZINC001482068706 893351996 /nfs/dbraw/zinc/35/19/96/893351996.db2.gz FINXJRPEDHEXCE-UHFFFAOYSA-N 0 1 291.395 0.590 20 30 CCEDMN C[C@H](CNCc1ncnn1CCF)CNC(=O)[C@H](C)C#N ZINC001482091648 893392206 /nfs/dbraw/zinc/39/22/06/893392206.db2.gz VXIZRAKCIIUQTK-GHMZBOCLSA-N 0 1 296.350 0.249 20 30 CCEDMN CC(C)C#CC(=O)NCC1(C)CCN([C@H](C)C(N)=O)CC1 ZINC001270879171 893949705 /nfs/dbraw/zinc/94/97/05/893949705.db2.gz JYIAQTHNUJEJTP-CYBMUJFWSA-N 0 1 293.411 0.738 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cc[nH]c1 ZINC001496710992 893985178 /nfs/dbraw/zinc/98/51/78/893985178.db2.gz ZMGMIWRLWRWDTL-UHFFFAOYSA-N 0 1 263.341 0.668 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CC(=O)N(CC(C)C)C1 ZINC001482600034 894385293 /nfs/dbraw/zinc/38/52/93/894385293.db2.gz BNAAWCSTEZVNDW-CQSZACIVSA-N 0 1 293.411 0.514 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@@H](C)[C@@H](C)COC ZINC001482602279 894388469 /nfs/dbraw/zinc/38/84/69/894388469.db2.gz VYIZIPUJAUIWBU-GJZGRUSLSA-N 0 1 298.427 0.945 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)Cn1nnc2c1CCCC2 ZINC001482628754 894431109 /nfs/dbraw/zinc/43/11/09/894431109.db2.gz XQVIVOIQQVMANI-UHFFFAOYSA-N 0 1 289.383 0.180 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C[C@@H]1CCCO1 ZINC001482710492 894527098 /nfs/dbraw/zinc/52/70/98/894527098.db2.gz ZGPWIEGLEDJPNO-QWRGUYRKSA-N 0 1 276.764 0.375 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](O)CN[C@H](C)c1cnccn1 ZINC001482735553 894536567 /nfs/dbraw/zinc/53/65/67/894536567.db2.gz ZXPFBHAZAYLGKC-CHWSQXEVSA-N 0 1 292.383 0.961 20 30 CCEDMN CCN(CCNCc1cncc(OC)n1)C(=O)[C@H](C)C#N ZINC001482914268 894694075 /nfs/dbraw/zinc/69/40/75/894694075.db2.gz KMOHHCDJIMHCSQ-LLVKDONJSA-N 0 1 291.355 0.583 20 30 CCEDMN C=C(C)CCC(=O)NC/C=C/CN[C@@H]1CCNC1=O ZINC001483014057 894801246 /nfs/dbraw/zinc/80/12/46/894801246.db2.gz JDZCYQZHYRCFCD-AAOUONPWSA-N 0 1 265.357 0.493 20 30 CCEDMN N#CCNCC[C@@H]1CCN(C(=O)CCc2c[nH]nn2)C1 ZINC001326371937 913919841 /nfs/dbraw/zinc/91/98/41/913919841.db2.gz TULWBXYJWODQDX-LLVKDONJSA-N 0 1 276.344 0.089 20 30 CCEDMN N#CCNCC[C@@H]1CCN(C(=O)CCc2cnn[nH]2)C1 ZINC001326371937 913919856 /nfs/dbraw/zinc/91/98/56/913919856.db2.gz TULWBXYJWODQDX-LLVKDONJSA-N 0 1 276.344 0.089 20 30 CCEDMN COc1ncccc1CNC[C@H](C)NC(=O)[C@H](C)C#N ZINC001483215943 895122235 /nfs/dbraw/zinc/12/22/35/895122235.db2.gz WHQMLNXBVCAFMQ-MNOVXSKESA-N 0 1 276.340 0.844 20 30 CCEDMN COCC#CCN[C@@H](CO)CNC(=O)c1cccs1 ZINC001507171927 895459017 /nfs/dbraw/zinc/45/90/17/895459017.db2.gz NMFCPFLSAUGWQU-LLVKDONJSA-N 0 1 282.365 0.078 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)CCCNC(=O)C(C)(C)C ZINC001483371973 895511545 /nfs/dbraw/zinc/51/15/45/895511545.db2.gz YOZLEWAHUONSRG-ZDUSSCGKSA-N 0 1 295.427 0.999 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1cnc(C)n1C ZINC001483376530 895515205 /nfs/dbraw/zinc/51/52/05/895515205.db2.gz BRHDNCGGUGBFBP-GFCCVEGCSA-N 0 1 292.383 0.428 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)[C@H]1CCc2nnc(C)n2C1 ZINC001483421026 895553066 /nfs/dbraw/zinc/55/30/66/895553066.db2.gz PJKRXZRYGNCENE-YPMHNXCESA-N 0 1 289.383 0.219 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H](F)CC ZINC001507497639 895621026 /nfs/dbraw/zinc/62/10/26/895621026.db2.gz OUOMORXBXQKKJC-NXEZZACHSA-N 0 1 266.744 0.896 20 30 CCEDMN C=CCOCC(=O)NC[C@H]1CCN1[C@H](C)c1n[nH]c(C)n1 ZINC001483639631 895774581 /nfs/dbraw/zinc/77/45/81/895774581.db2.gz JYZRAAMWBBRNNE-ZYHUDNBSSA-N 0 1 293.371 0.567 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)NC[C@H]1CCN1CC#N ZINC001483653047 895800186 /nfs/dbraw/zinc/80/01/86/895800186.db2.gz LIUZUBASACXVPY-NEPJUHHUSA-N 0 1 270.352 0.380 20 30 CCEDMN N#Cc1ccc(C(=O)NCC2(NCCF)CC2)[nH]1 ZINC001483727365 895924429 /nfs/dbraw/zinc/92/44/29/895924429.db2.gz OMMCGKNELXKGPA-UHFFFAOYSA-N 0 1 250.277 0.708 20 30 CCEDMN C=CCCC(=O)N1CCO[C@@H](CNCc2ccn(C)n2)C1 ZINC001280564873 896000931 /nfs/dbraw/zinc/00/09/31/896000931.db2.gz BBUSMCAMAKOCQS-AWEZNQCLSA-N 0 1 292.383 0.703 20 30 CCEDMN N#CCNC(=O)CNC1(CNC(=O)[C@@H]2CC[C@@H](F)C2)CC1 ZINC001483762443 896023779 /nfs/dbraw/zinc/02/37/79/896023779.db2.gz JDULHOTVMBBUCC-GHMZBOCLSA-N 0 1 296.346 0.003 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)/C=C(\C)CC)C1 ZINC001484217658 896244005 /nfs/dbraw/zinc/24/40/05/896244005.db2.gz DXCRJYTXMRQKRV-NRMKIYEFSA-N 0 1 264.369 0.919 20 30 CCEDMN C#CC[N@@H+]1CC[C@](O)(CNC(=O)c2ncc(F)cc2F)C1 ZINC001484221990 896251360 /nfs/dbraw/zinc/25/13/60/896251360.db2.gz ABAHLQYBDXSXGX-AWEZNQCLSA-N 0 1 295.289 0.160 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)c2ncc(F)cc2F)C1 ZINC001484221990 896251376 /nfs/dbraw/zinc/25/13/76/896251376.db2.gz ABAHLQYBDXSXGX-AWEZNQCLSA-N 0 1 295.289 0.160 20 30 CCEDMN C=CC[N@@H+]1CC[C@@](O)(CNC(=O)Cc2ccccc2)C1 ZINC001484224802 896256066 /nfs/dbraw/zinc/25/60/66/896256066.db2.gz PVWPTKKKYBHZFN-MRXNPFEDSA-N 0 1 274.364 0.968 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C[C@H](C)C(F)(F)F)C1 ZINC001484234351 896268797 /nfs/dbraw/zinc/26/87/97/896268797.db2.gz UCZPFOQMSLUOKW-CMPLNLGQSA-N 0 1 292.301 0.761 20 30 CCEDMN C#CCCN1CC(O)(CNC(=O)c2cccc3nc[nH]c32)C1 ZINC001484301224 896329701 /nfs/dbraw/zinc/32/97/01/896329701.db2.gz GMGQMEHDXFHYMK-UHFFFAOYSA-N 0 1 298.346 0.363 20 30 CCEDMN C=CCOCC(=O)N1CC[C@@H]([C@H](C)NCc2cnon2)C1 ZINC001484330298 896346799 /nfs/dbraw/zinc/34/67/99/896346799.db2.gz CZQOUQYCBNDQIG-NWDGAFQWSA-N 0 1 294.355 0.599 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](N2CCN(CCCO)CC2)C1 ZINC001484702063 896545061 /nfs/dbraw/zinc/54/50/61/896545061.db2.gz RTWKAPFYYCNTTA-OAHLLOKOSA-N 0 1 295.427 0.554 20 30 CCEDMN C#CCNC(=O)C[N@H+](C)CCCN(C)C(=O)[C@H](C)SC ZINC001484733793 896573778 /nfs/dbraw/zinc/57/37/78/896573778.db2.gz PMRUTCPIGRFBFB-LBPRGKRZSA-N 0 1 299.440 0.268 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)[C@H](C)SC ZINC001484733793 896573787 /nfs/dbraw/zinc/57/37/87/896573787.db2.gz PMRUTCPIGRFBFB-LBPRGKRZSA-N 0 1 299.440 0.268 20 30 CCEDMN CC(C)NC(=O)CN(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001484736302 896579836 /nfs/dbraw/zinc/57/98/36/896579836.db2.gz JOVBPGCNAFSNBF-LBPRGKRZSA-N 0 1 282.388 0.451 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](C)OCC ZINC001485047224 896732717 /nfs/dbraw/zinc/73/27/17/896732717.db2.gz JEQGEDWDXFFWAY-STQMWFEESA-N 0 1 252.358 0.967 20 30 CCEDMN CC[C@@H](OC)C(=O)N1CCC[C@@H]1CN(C)CC#CCOC ZINC001485052121 896737948 /nfs/dbraw/zinc/73/79/48/896737948.db2.gz VYPVLQLOUDLFKT-HUUCEWRRSA-N 0 1 296.411 0.984 20 30 CCEDMN C=CCCC(=O)NC1CC(CNCc2cn(C)nn2)C1 ZINC001485089191 896763641 /nfs/dbraw/zinc/76/36/41/896763641.db2.gz PQTKRQFOMUQSNP-UHFFFAOYSA-N 0 1 277.372 0.766 20 30 CCEDMN C[C@@H](NCC#Cc1ccc(F)cc1)[C@H](C)NC(=O)C(N)=O ZINC001485191354 896854660 /nfs/dbraw/zinc/85/46/60/896854660.db2.gz RSNVHZYJPVQECM-MNOVXSKESA-N 0 1 291.326 0.145 20 30 CCEDMN Cc1cc(C(=O)N(C)CC(C)(C)CNCC#N)n[nH]1 ZINC001485248237 896883702 /nfs/dbraw/zinc/88/37/02/896883702.db2.gz XDPWNKJKGIWRCH-UHFFFAOYSA-N 0 1 263.345 0.930 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccn(CC(F)F)n1 ZINC001485312767 896934854 /nfs/dbraw/zinc/93/48/54/896934854.db2.gz JYQCLGFGDLHMFM-JTQLQIEISA-N 0 1 284.310 0.832 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)C[C@H]1CCC(=O)NC1 ZINC001485314513 896938593 /nfs/dbraw/zinc/93/85/93/896938593.db2.gz AXNVMPQTRPMXDM-QWHCGFSZSA-N 0 1 279.384 0.363 20 30 CCEDMN CC#CCCCC(=O)NC[C@@H](C)N(C)[C@H]1CCNC1=O ZINC001485340720 896963662 /nfs/dbraw/zinc/96/36/62/896963662.db2.gz YTDRDXUKSUJILD-OLZOCXBDSA-N 0 1 279.384 0.505 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001485353910 896981043 /nfs/dbraw/zinc/98/10/43/896981043.db2.gz KQZLBJLOVNWJCK-YJNKXOJESA-N 0 1 294.395 0.640 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CCN(C(=O)CC)C1 ZINC001485369122 896999129 /nfs/dbraw/zinc/99/91/29/896999129.db2.gz IEXCOTXJNWPZDJ-QWHCGFSZSA-N 0 1 279.384 0.315 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)c1cnn(CCOC)c1 ZINC001485377879 897006824 /nfs/dbraw/zinc/00/68/24/897006824.db2.gz NUXNDJQIKAFVMU-CYBMUJFWSA-N 0 1 292.383 0.603 20 30 CCEDMN CC#CCN(C)[C@@H](C)CNC(=O)COC[C@H]1CCCO1 ZINC001485395262 897017955 /nfs/dbraw/zinc/01/79/55/897017955.db2.gz LMULKAMLTSZJNV-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@@H](C)C1CN(CC=C)C1 ZINC001485503922 897091932 /nfs/dbraw/zinc/09/19/32/897091932.db2.gz PMFHKGBBOSKYAC-RYUDHWBXSA-N 0 1 250.342 0.647 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)COc2cc(C)on2)C1 ZINC001485509877 897093813 /nfs/dbraw/zinc/09/38/13/897093813.db2.gz KOGWUBHPPZMYQO-GFCCVEGCSA-N 0 1 291.351 0.822 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1[C@H]2CN(CC(=O)NC(C)C)C[C@H]21 ZINC001485594935 897137791 /nfs/dbraw/zinc/13/77/91/897137791.db2.gz RIXGXCPNXOBVRZ-ZSOGYDGISA-N 0 1 293.411 0.771 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H](C)CCCNCc1ncnn1C ZINC001485721439 897201284 /nfs/dbraw/zinc/20/12/84/897201284.db2.gz NTNFEYHUTDFPSD-ZDUSSCGKSA-N 0 1 291.399 0.849 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC23CCC3)C1 ZINC001077750704 897403808 /nfs/dbraw/zinc/40/38/08/897403808.db2.gz PBWKNFUMBLWJCB-JHJVBQTASA-N 0 1 264.369 0.914 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@@H](C)NC(=O)c2ncn[nH]2)n1 ZINC001107894277 897457424 /nfs/dbraw/zinc/45/74/24/897457424.db2.gz FGKPFZZHGVOPPT-MRVPVSSYSA-N 0 1 286.299 0.005 20 30 CCEDMN Cc1cc(C#N)nc(NC[C@@H](C)NC(=O)c2nc[nH]n2)n1 ZINC001107894277 897457427 /nfs/dbraw/zinc/45/74/27/897457427.db2.gz FGKPFZZHGVOPPT-MRVPVSSYSA-N 0 1 286.299 0.005 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NC[C@]1(C)CN(CC2CC2)CCO1 ZINC001107902305 897468482 /nfs/dbraw/zinc/46/84/82/897468482.db2.gz HBHONWCYEDZVBQ-JKSUJKDBSA-N 0 1 296.411 0.931 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@]1(C)CN(CCC=C)CCO1 ZINC001107939375 897525706 /nfs/dbraw/zinc/52/57/06/897525706.db2.gz AJVVHSZMYLCMSA-GDBMZVCRSA-N 0 1 294.395 0.808 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@H](CNc2ncnc3[nH]cnc32)C1 ZINC001060824677 897534935 /nfs/dbraw/zinc/53/49/35/897534935.db2.gz HOXLEFAYXYMJFK-VHSXEESVSA-N 0 1 299.338 0.725 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1c(C)ncn1C ZINC001032460397 897598785 /nfs/dbraw/zinc/59/87/85/897598785.db2.gz LBFKGKRYQPHDTF-STQMWFEESA-N 0 1 272.352 0.651 20 30 CCEDMN CCn1cc(CNCC[C@H](C)NC(=O)C#CC2CC2)nn1 ZINC001272772857 897644171 /nfs/dbraw/zinc/64/41/71/897644171.db2.gz HLEOYLAISFLFOT-LBPRGKRZSA-N 0 1 289.383 0.696 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1C[C@H]1c1cncn1C ZINC001032636611 897864584 /nfs/dbraw/zinc/86/45/84/897864584.db2.gz NUVBMYKGKUFYMO-BYNSBNAKSA-N 0 1 298.390 0.832 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@H]1CCc2n[nH]cc2C1 ZINC001032729706 898022903 /nfs/dbraw/zinc/02/29/03/898022903.db2.gz JWZTZQZJXMEPLP-QEJZJMRPSA-N 0 1 298.390 0.823 20 30 CCEDMN C#CCN1CCC2(CCN(Cc3cnccn3)CC2)C1=O ZINC001272833427 898055465 /nfs/dbraw/zinc/05/54/65/898055465.db2.gz KTTXJJDYNJVHQI-UHFFFAOYSA-N 0 1 284.363 0.924 20 30 CCEDMN C#CCN1CC[C@]2(CCCN2CC(OC)OC)C1=O ZINC001272862065 898089101 /nfs/dbraw/zinc/08/91/01/898089101.db2.gz RKNJKGPABMZBQJ-CQSZACIVSA-N 0 1 266.341 0.305 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2ccc(C)cc2)C1 ZINC001078064543 898212396 /nfs/dbraw/zinc/21/23/96/898212396.db2.gz PFPRZKQDZOOCIV-HUUCEWRRSA-N 0 1 272.348 0.793 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)Cc2ccc(C)nc2)C1 ZINC001078185302 898283377 /nfs/dbraw/zinc/28/33/77/898283377.db2.gz ZUVUURCIDZGXFY-HUUCEWRRSA-N 0 1 287.363 0.117 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H]2CC23CCOCC3)C1 ZINC001078292706 898334610 /nfs/dbraw/zinc/33/46/10/898334610.db2.gz UMXIBAYPTGYIMP-MGPQQGTHSA-N 0 1 294.395 0.541 20 30 CCEDMN Cc1cc(CC(=O)N[C@@H]2C[C@@H](NCC#N)C23CCC3)[nH]n1 ZINC001078595268 898421976 /nfs/dbraw/zinc/42/19/76/898421976.db2.gz WSIUAJMKRSNLRJ-CHWSQXEVSA-N 0 1 287.367 0.801 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@H](C)NCc1nccn1C ZINC001485854434 898462645 /nfs/dbraw/zinc/46/26/45/898462645.db2.gz DCBQNVURHFCTMY-QWRGUYRKSA-N 0 1 263.345 0.516 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)[C@H](C)OCC(C)C ZINC001485981487 898567318 /nfs/dbraw/zinc/56/73/18/898567318.db2.gz YWYGSFQAJSSORM-STQMWFEESA-N 0 1 270.373 0.138 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H]1CCCC2(CC2)C1 ZINC001485996705 898582030 /nfs/dbraw/zinc/58/20/30/898582030.db2.gz IJQROGPUUZOSEJ-STQMWFEESA-N 0 1 264.369 0.657 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc2c(c1)COC2 ZINC001486045840 898611396 /nfs/dbraw/zinc/61/13/96/898611396.db2.gz ZMWBCDDVVPBWIZ-OAHLLOKOSA-N 0 1 288.347 0.420 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cnc(C2CC2)s1 ZINC001486055079 898640959 /nfs/dbraw/zinc/64/09/59/898640959.db2.gz IUGJWBSAMMADAW-LLVKDONJSA-N 0 1 293.392 0.724 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1c(F)cccc1Cl ZINC001486053412 898641341 /nfs/dbraw/zinc/64/13/41/898641341.db2.gz AOIORCIIDCOWEQ-VIFPVBQESA-N 0 1 284.718 0.793 20 30 CCEDMN Cc1cc(CN[C@H]2C[C@@H](CNC(=O)[C@H](C)C#N)C2)nn1C ZINC001397563345 914272819 /nfs/dbraw/zinc/27/28/19/914272819.db2.gz HDXKESVONRDHOT-RTXFEEFZSA-N 0 1 289.383 0.873 20 30 CCEDMN COCC#CCN1CC[C@]2(NC(=O)[C@@H](C)OC)CCC[C@@H]12 ZINC001486137267 898685108 /nfs/dbraw/zinc/68/51/08/898685108.db2.gz BYNAEHYTODNDMM-IIAWOOMASA-N 0 1 294.395 0.784 20 30 CCEDMN C=CCCC(=O)N(C)C[C@H](O)CNCc1ocnc1C ZINC001486266581 898749497 /nfs/dbraw/zinc/74/94/97/898749497.db2.gz VVFOTHAZHSUMHH-GFCCVEGCSA-N 0 1 281.356 0.858 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)C[C@H](O)CNCc1ccn(C)n1 ZINC001486295865 898763132 /nfs/dbraw/zinc/76/31/32/898763132.db2.gz NSBFRAONZRMDFS-CYBMUJFWSA-N 0 1 294.399 0.541 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@@H](OC)C1CCCC1 ZINC001486357758 898813631 /nfs/dbraw/zinc/81/36/31/898813631.db2.gz UAFVYNDZRMFGOV-CABCVRRESA-N 0 1 296.411 0.576 20 30 CCEDMN C#CCN(C)CCN(C(=O)CCn1ccnn1)C(C)C ZINC001486368022 898836512 /nfs/dbraw/zinc/83/65/12/898836512.db2.gz RQXIEDHIVGFZPS-UHFFFAOYSA-N 0 1 277.372 0.470 20 30 CCEDMN CN(C(=O)c1c[nH]c2cccc(C#N)c21)c1nn[nH]n1 ZINC001301549992 899030277 /nfs/dbraw/zinc/03/02/77/899030277.db2.gz DGWTZLDAUANYLS-UHFFFAOYSA-N 0 1 267.252 0.829 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001486957297 899081680 /nfs/dbraw/zinc/08/16/80/899081680.db2.gz NCQSDEUCHNQLBL-VIFPVBQESA-N 0 1 279.344 0.594 20 30 CCEDMN C=CC(C)(C)C(=O)N(C)[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001486957297 899081691 /nfs/dbraw/zinc/08/16/91/899081691.db2.gz NCQSDEUCHNQLBL-VIFPVBQESA-N 0 1 279.344 0.594 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N[C@H]1CCN(CCOCC2CC2)C1 ZINC001494704208 899570946 /nfs/dbraw/zinc/57/09/46/899570946.db2.gz ADQUXASQDARWGN-ZFWWWQNUSA-N 0 1 294.395 0.642 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CN(C(=O)C[N@@H+](C)C2CCC2)C1 ZINC001410933810 899636586 /nfs/dbraw/zinc/63/65/86/899636586.db2.gz YUVYANYVAMBAPX-NSHDSACASA-N 0 1 292.383 0.300 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)C[C@@H](C)OC ZINC001410970003 899646989 /nfs/dbraw/zinc/64/69/89/899646989.db2.gz DKDPLGCWZVLPBE-GHMZBOCLSA-N 0 1 278.780 0.573 20 30 CCEDMN Cc1oncc1CNC[C@@H](O)CN(C)C(=O)[C@@H](C)C#N ZINC001410981546 899650491 /nfs/dbraw/zinc/65/04/91/899650491.db2.gz MWEOOGGJKPNZKF-JOYOIKCWSA-N 0 1 280.328 0.052 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)[C@@H]1CCC(=O)NC1 ZINC001130701966 899816651 /nfs/dbraw/zinc/81/66/51/899816651.db2.gz BNQGRPAYMQVNAN-OAHLLOKOSA-N 0 1 299.374 0.270 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)N1CCCN(CCOCCO)CC1 ZINC001196830587 900058602 /nfs/dbraw/zinc/05/86/02/900058602.db2.gz LMNRLKHNSLLKIE-GJZGRUSLSA-N 0 1 298.427 0.988 20 30 CCEDMN Cc1cc(CC(=O)N[C@H](C)CCNC(=O)[C@@H](C)C#N)[nH]n1 ZINC001397854137 914409244 /nfs/dbraw/zinc/40/92/44/914409244.db2.gz NTLYIKHYFYBLAD-VHSXEESVSA-N 0 1 291.355 0.431 20 30 CCEDMN CC#CCN(CCO)[C@@H]1CCCN(C(=O)[C@H](C)OC)C1 ZINC001489149757 900441139 /nfs/dbraw/zinc/44/11/39/900441139.db2.gz LQAVJFNDLHAXBC-UONOGXRCSA-N 0 1 282.384 0.330 20 30 CCEDMN C#CCNC(=O)CN(C)CCCN(C)C(=O)[C@H](C)C(C)C ZINC001327263685 914450731 /nfs/dbraw/zinc/45/07/31/914450731.db2.gz GDBJNPYFYLKPRK-CQSZACIVSA-N 0 1 295.427 0.808 20 30 CCEDMN C#Cc1ccc(C(=O)NCCCN(C)CC(=O)NC)cc1 ZINC001490231775 900572788 /nfs/dbraw/zinc/57/27/88/900572788.db2.gz DXYAHUVWFMZAHH-UHFFFAOYSA-N 0 1 287.363 0.466 20 30 CCEDMN C=C(C)CN1CCN(CCNC(=O)[C@H]2C[C@H](C)CO2)CC1 ZINC001490499038 900640015 /nfs/dbraw/zinc/64/00/15/900640015.db2.gz BMHUUZPPLRTFKH-LSDHHAIUSA-N 0 1 295.427 0.721 20 30 CCEDMN C=CCOCC[N@H+]1CC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001490537112 900650289 /nfs/dbraw/zinc/65/02/89/900650289.db2.gz DYBQDVIVSQUWAB-ZDUSSCGKSA-N 0 1 288.351 0.893 20 30 CCEDMN C=CCOCCN1CC[C@H](NC(=O)c2c[nH]c(C#N)c2)C1 ZINC001490537112 900650299 /nfs/dbraw/zinc/65/02/99/900650299.db2.gz DYBQDVIVSQUWAB-ZDUSSCGKSA-N 0 1 288.351 0.893 20 30 CCEDMN C#CCC[NH2+][C@@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001490654283 900691850 /nfs/dbraw/zinc/69/18/50/900691850.db2.gz HFKFAKLWBZDHHA-LBPRGKRZSA-N 0 1 273.336 0.909 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)CO[C@@H]1CCOC1 ZINC001275108227 900993373 /nfs/dbraw/zinc/99/33/73/900993373.db2.gz JKWGFIIUNVBFBA-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN Cc1cc(C(=O)NC[C@H]2CN(C)CCN2C)ncc1C#N ZINC001411781050 901251317 /nfs/dbraw/zinc/25/13/17/901251317.db2.gz APSKYGOVIICHJY-ZDUSSCGKSA-N 0 1 287.367 0.237 20 30 CCEDMN CCCC[C@@H](CNC(=O)c1cnn[nH]1)NC(=O)[C@@H](C)C#N ZINC001411894289 901310402 /nfs/dbraw/zinc/31/04/02/901310402.db2.gz XVYXXZMYEQKCGH-UWVGGRQHSA-N 0 1 292.343 0.369 20 30 CCEDMN COC(=O)C1(C#N)CCN(C(=O)CCc2cnc[nH]2)CC1 ZINC001412116999 901480143 /nfs/dbraw/zinc/48/01/43/901480143.db2.gz VZZWXFYHRAKBHX-UHFFFAOYSA-N 0 1 290.323 0.648 20 30 CCEDMN Cn1ccc(CCC(=O)NC2(C#N)CCN(C)CC2)n1 ZINC001327826922 902272875 /nfs/dbraw/zinc/27/28/75/902272875.db2.gz MUIBAEPHIPUYBV-UHFFFAOYSA-N 0 1 275.356 0.457 20 30 CCEDMN CN(CC(=O)Nc1cccc(C#N)c1)Cc1n[nH]c(=O)o1 ZINC001413008693 902470533 /nfs/dbraw/zinc/47/05/33/902470533.db2.gz CPHNVHZWNHPHAR-UHFFFAOYSA-N 0 1 287.279 0.717 20 30 CCEDMN N#Cc1ccc(CNC(=O)C2CN([C@@H]3CCOC3)C2)s1 ZINC001413157958 902752340 /nfs/dbraw/zinc/75/23/40/902752340.db2.gz VIYNOLBNGFZMGT-LLVKDONJSA-N 0 1 291.376 0.957 20 30 CCEDMN N#Cc1ccc2c(c1)C[C@H](NC(=O)CCc1nn[nH]n1)C2 ZINC001413430713 902948270 /nfs/dbraw/zinc/94/82/70/902948270.db2.gz CQAMFTKTAXFYRV-GFCCVEGCSA-N 0 1 282.307 0.288 20 30 CCEDMN N#CCc1cccc(C(=O)NC[C@@H](O)c2cnc[nH]2)c1 ZINC001413553222 903044843 /nfs/dbraw/zinc/04/48/43/903044843.db2.gz KUBUEBSTBQTNHI-CYBMUJFWSA-N 0 1 270.292 0.939 20 30 CCEDMN COCC#CCN1CCC[C@H](CNC(=O)[C@@H](C)OC)C1 ZINC001491092336 903362618 /nfs/dbraw/zinc/36/26/18/903362618.db2.gz BNXXSDZTGKVGNL-ZIAGYGMSSA-N 0 1 282.384 0.499 20 30 CCEDMN C=C(Br)CN[C@@H](C)CNC(=O)COC ZINC001491371311 903511400 /nfs/dbraw/zinc/51/14/00/903511400.db2.gz DNSVHHNHFZBMRU-QMMMGPOBSA-N 0 1 265.151 0.636 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)c1cnns1 ZINC001491400528 903548059 /nfs/dbraw/zinc/54/80/59/903548059.db2.gz CTQNNDZXXITUFD-SSDOTTSWSA-N 0 1 260.750 0.999 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)Cc1cn(C)nc1C ZINC001329462535 903785152 /nfs/dbraw/zinc/78/51/52/903785152.db2.gz YPHPNRYBTJEZSD-GFCCVEGCSA-N 0 1 276.384 0.731 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1c(F)cccc1F ZINC001331820474 904016924 /nfs/dbraw/zinc/01/69/24/904016924.db2.gz SSAXDDVNPKRURJ-VIFPVBQESA-N 0 1 268.263 0.278 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@H](C)[C@@H](C)C(=O)[O-] ZINC001332173652 904070385 /nfs/dbraw/zinc/07/03/85/904070385.db2.gz ZLBQYUYMXTUMOX-MXWKQRLJSA-N 0 1 252.314 0.309 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)CCCOC ZINC001282354836 904452823 /nfs/dbraw/zinc/45/28/23/904452823.db2.gz HKJUZFKPTYXLLG-ZDUSSCGKSA-N 0 1 270.373 0.499 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H](C)N(C)[C@H]1CCCNC1=O ZINC001282385581 904465352 /nfs/dbraw/zinc/46/53/52/904465352.db2.gz XEFHRZKIOCNGGS-STQMWFEESA-N 0 1 279.384 0.361 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)CCN2CCCC2=O)C1 ZINC001282743915 904536898 /nfs/dbraw/zinc/53/68/98/904536898.db2.gz GDJWLKVNQFLFFX-LBPRGKRZSA-N 0 1 279.384 0.621 20 30 CCEDMN CC(C)C#CC(=O)N[C@H]1CN(CCO)CC1(C)C ZINC001282801673 904542422 /nfs/dbraw/zinc/54/24/22/904542422.db2.gz VTSNNOUBKLKCFZ-LBPRGKRZSA-N 0 1 252.358 0.465 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H](C)NC(=O)CC)C(C)(C)C1 ZINC001282812402 904548658 /nfs/dbraw/zinc/54/86/58/904548658.db2.gz VVUBYKBOWQTZDQ-CHWSQXEVSA-N 0 1 293.411 0.751 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCCNCc1ccn(C)n1 ZINC001283144766 904709026 /nfs/dbraw/zinc/70/90/26/904709026.db2.gz BHWHSKJAGPGBLK-RYUDHWBXSA-N 0 1 277.372 0.954 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CC[C@@H](NC(=O)c2ncn[nH]2)C1 ZINC001283341826 904814223 /nfs/dbraw/zinc/81/42/23/904814223.db2.gz TUCWTVXEIPAUPB-SNVBAGLBSA-N 0 1 289.339 0.185 20 30 CCEDMN CC(C)(C)C#CC(=O)N1CC[C@@H](NC(=O)c2nc[nH]n2)C1 ZINC001283341826 904814237 /nfs/dbraw/zinc/81/42/37/904814237.db2.gz TUCWTVXEIPAUPB-SNVBAGLBSA-N 0 1 289.339 0.185 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)C1(Cc2ccccc2)CC1 ZINC001283763517 905001930 /nfs/dbraw/zinc/00/19/30/905001930.db2.gz HYHVHFNJWDYZPZ-OAHLLOKOSA-N 0 1 286.375 0.709 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)[C@H](C)OCC1CC1 ZINC001284483523 905310628 /nfs/dbraw/zinc/31/06/28/905310628.db2.gz UAAWBEAVFKIMNO-GXTWGEPZSA-N 0 1 282.384 0.186 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)C[C@@H](O)CN(C)CC#CC)nc1 ZINC001284517788 905350878 /nfs/dbraw/zinc/35/08/78/905350878.db2.gz YOVFXVOXVIABBT-HNNXBMFYSA-N 0 1 299.374 0.451 20 30 CCEDMN C=C[C@](C)(CC)C(=O)NCC=CCNC(=O)c1cnn[nH]1 ZINC001285584991 905680925 /nfs/dbraw/zinc/68/09/25/905680925.db2.gz FUBOMPDWWCVZDC-LMVHVUTASA-N 0 1 291.355 0.809 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001285630044 905697845 /nfs/dbraw/zinc/69/78/45/905697845.db2.gz ASMSLLUVDLQZQP-ZJUUUORDSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001285630044 905697849 /nfs/dbraw/zinc/69/78/49/905697849.db2.gz ASMSLLUVDLQZQP-ZJUUUORDSA-N 0 1 295.343 0.020 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)CNC(=O)CC ZINC001378751714 905794987 /nfs/dbraw/zinc/79/49/87/905794987.db2.gz JCAHZHUWFJLWCF-JTQLQIEISA-N 0 1 275.780 0.702 20 30 CCEDMN C=CCCCC(=O)NC[C@@H](CO)NC(=O)[C@@H]1CCCN1C ZINC001287835752 905973748 /nfs/dbraw/zinc/97/37/48/905973748.db2.gz ZFCGHMASBHROSV-STQMWFEESA-N 0 1 297.399 0.030 20 30 CCEDMN N#Cc1ccc(CN[C@@H](CO)CNC(=O)C2CCC2)cc1 ZINC001379336896 906157043 /nfs/dbraw/zinc/15/70/43/906157043.db2.gz UUYKVIJDLNVHOU-OAHLLOKOSA-N 0 1 287.363 0.925 20 30 CCEDMN CCC(=O)NC[C@H](CO)NCc1ccc(C#N)c(F)c1 ZINC001379338666 906157695 /nfs/dbraw/zinc/15/76/95/906157695.db2.gz YWHMJOJGGLEIEF-GFCCVEGCSA-N 0 1 279.315 0.674 20 30 CCEDMN C#CCC1(O)CCN(C(=O)c2cnncc2O)CC1 ZINC001337358849 921240968 /nfs/dbraw/zinc/24/09/68/921240968.db2.gz VQEWSAJWTYAEKC-UHFFFAOYSA-N 0 1 261.281 0.173 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CCNC(=O)C2)CC1 ZINC001380070185 906622894 /nfs/dbraw/zinc/62/28/94/906622894.db2.gz BNJQWMQTKUMYMZ-JTQLQIEISA-N 0 1 285.775 0.504 20 30 CCEDMN CC#CCCCC(=O)N1CC[C@H](NC(=O)c2cnn[nH]2)C1 ZINC001294771806 906630940 /nfs/dbraw/zinc/63/09/40/906630940.db2.gz QCRUQTUUUIONLF-NSHDSACASA-N 0 1 289.339 0.329 20 30 CCEDMN C=C[C@@H](CC)CC(=O)NC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001295614917 906770143 /nfs/dbraw/zinc/77/01/43/906770143.db2.gz HDGNQMVFJLFZMF-UWVGGRQHSA-N 0 1 279.344 0.642 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)N(C)CCNC(=O)Cc1nnc[nH]1 ZINC001296245807 906883158 /nfs/dbraw/zinc/88/31/58/906883158.db2.gz DMNQEAQJXTWADI-LLVKDONJSA-N 0 1 293.371 0.524 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2CC(=O)N(C)C2)CCC1 ZINC001380856683 906965167 /nfs/dbraw/zinc/96/51/67/906965167.db2.gz GPXPPYHJBZKOKS-NSHDSACASA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(C)CCC(=O)N1CC(NC(=O)Cc2nnc[nH]2)C1 ZINC001297152414 907029315 /nfs/dbraw/zinc/02/93/15/907029315.db2.gz RAQNPEXQLLDKRX-UHFFFAOYSA-N 0 1 277.328 0.031 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)CNC(C)=O)C[C@@H]1C ZINC001381113174 907074821 /nfs/dbraw/zinc/07/48/21/907074821.db2.gz ZFXRLCXENPZBJI-GZMMTYOYSA-N 0 1 273.764 0.312 20 30 CCEDMN N#CCC[C@@H](C#N)CNCC[C@@H]1CCCS1(=O)=O ZINC001337779373 921292666 /nfs/dbraw/zinc/29/26/66/921292666.db2.gz IXADEFYPLDKWIJ-RYUDHWBXSA-N 0 1 269.370 0.987 20 30 CCEDMN C=CCCC(=O)N[C@H](CNC(=O)Cc1nnc[nH]1)C(C)C ZINC001298017739 907139334 /nfs/dbraw/zinc/13/93/34/907139334.db2.gz IONHHVPGGHRXLS-LLVKDONJSA-N 0 1 293.371 0.570 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NC[C@H](C)NC(=O)c1cnn[nH]1 ZINC001298820047 907337367 /nfs/dbraw/zinc/33/73/67/907337367.db2.gz BUTSBXAKYNPIDN-ZJUUUORDSA-N 0 1 279.344 0.642 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H]1[C@H]2CN(Cc3cncn3C)C[C@H]21 ZINC001381875758 907439417 /nfs/dbraw/zinc/43/94/17/907439417.db2.gz HFKWQBJKQRWQMQ-ZRJCITRHSA-N 0 1 287.367 0.374 20 30 CCEDMN C=C(Cl)CN(CCNC(=O)c1ncn[nH]1)CCOC ZINC001382547996 907782854 /nfs/dbraw/zinc/78/28/54/907782854.db2.gz NRNYVTLVQVPOOH-UHFFFAOYSA-N 0 1 287.751 0.235 20 30 CCEDMN C=C(Cl)CN(CCNC(=O)c1nc[nH]n1)CCOC ZINC001382547996 907782857 /nfs/dbraw/zinc/78/28/57/907782857.db2.gz NRNYVTLVQVPOOH-UHFFFAOYSA-N 0 1 287.751 0.235 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1snnc1CC ZINC001492322359 907850461 /nfs/dbraw/zinc/85/04/61/907850461.db2.gz BJEPZDMEWUPDRJ-UHFFFAOYSA-N 0 1 266.370 0.785 20 30 CCEDMN C#CCN(C)CCNC(=O)Cc1cn2cccc(C)c2n1 ZINC001492455973 907926562 /nfs/dbraw/zinc/92/65/62/907926562.db2.gz VFBXRSDMPDLPAU-UHFFFAOYSA-N 0 1 284.363 0.866 20 30 CCEDMN CCCC[C@H](ON=C(C)C)C(=O)NCc1n[nH]c(CO)n1 ZINC001301192649 907958559 /nfs/dbraw/zinc/95/85/59/907958559.db2.gz HRTRHGHYFQQIQW-JTQLQIEISA-N 0 1 297.359 0.884 20 30 CCEDMN CCCC[C@H](ON=C(C)C)C(=O)NCc1nnc(CO)[nH]1 ZINC001301192649 907958563 /nfs/dbraw/zinc/95/85/63/907958563.db2.gz HRTRHGHYFQQIQW-JTQLQIEISA-N 0 1 297.359 0.884 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H](O)c2cnc[nH]2)s1 ZINC001303583423 908101204 /nfs/dbraw/zinc/10/12/04/908101204.db2.gz GNVORHDTZCKPOX-VIFPVBQESA-N 0 1 262.294 0.806 20 30 CCEDMN C#CCN(C)CCNC(=O)c1ccc2c(c1)OCC(=O)N2 ZINC001317449473 908244440 /nfs/dbraw/zinc/24/44/40/908244440.db2.gz AKFUOOAUSUFLPH-UHFFFAOYSA-N 0 1 287.319 0.312 20 30 CCEDMN C#Cc1cccc(NC(=O)NC(=O)CN(C)C[C@H](C)O)c1 ZINC001307479848 908317188 /nfs/dbraw/zinc/31/71/88/908317188.db2.gz RGEDVTDMNFGCGC-NSHDSACASA-N 0 1 289.335 0.629 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1cccc(NC(N)=O)c1 ZINC001317489972 908495221 /nfs/dbraw/zinc/49/52/21/908495221.db2.gz JFCBKJKZVKLWJY-UHFFFAOYSA-N 0 1 288.351 0.862 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)NC[C@H]1CCN(CC#N)C1 ZINC001317520100 908584020 /nfs/dbraw/zinc/58/40/20/908584020.db2.gz JJTQSEUUYDVBQQ-CHWSQXEVSA-N 0 1 284.379 0.628 20 30 CCEDMN CO[C@H]1C[C@@H](NC(=O)NCC#CCN(C)C)C1(C)C ZINC001312171685 908588378 /nfs/dbraw/zinc/58/83/78/908588378.db2.gz AAXCDHCLZNDWSA-NEPJUHHUSA-N 0 1 267.373 0.664 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)c2ncccn2)C1 ZINC001316745686 908762041 /nfs/dbraw/zinc/76/20/41/908762041.db2.gz JBMTVMZPRZVXEC-ZDUSSCGKSA-N 0 1 288.351 0.009 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H](NC(C)=O)CC(C)(C)C1 ZINC001316808153 908779315 /nfs/dbraw/zinc/77/93/15/908779315.db2.gz QHHSABJCUSKRAI-JSGCOSHPSA-N 0 1 293.411 0.751 20 30 CCEDMN C=C(C)CN(C)CCCNC(=O)C(C)(C)S(C)(=O)=O ZINC001316854518 908809713 /nfs/dbraw/zinc/80/97/13/908809713.db2.gz LSCYKDXFBHTAMZ-UHFFFAOYSA-N 0 1 290.429 0.824 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H]1CCCN(CCOCC)C1 ZINC001316942527 908863748 /nfs/dbraw/zinc/86/37/48/908863748.db2.gz BFDRKHYBNXRTJR-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)CCCCC(N)=O)C1 ZINC001316955310 908868590 /nfs/dbraw/zinc/86/85/90/908868590.db2.gz IUXPWFLDMUOOME-LBPRGKRZSA-N 0 1 267.373 0.799 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCC(=O)NC2CCCC2)C1 ZINC001316989365 908897846 /nfs/dbraw/zinc/89/78/46/908897846.db2.gz BYLOESNFGVYQNR-AWEZNQCLSA-N 0 1 291.395 0.649 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)C(C)(C)C(N)=O)C1CC1 ZINC001317014131 908918848 /nfs/dbraw/zinc/91/88/48/908918848.db2.gz BGJMLUKYVCIFAO-JTQLQIEISA-N 0 1 287.791 0.735 20 30 CCEDMN C=CC[C@H](NC(=O)[C@@H]1CCCN1C)C(=O)OCC ZINC001338420988 921457588 /nfs/dbraw/zinc/45/75/88/921457588.db2.gz XWKNOLHZHPWQAC-QWRGUYRKSA-N 0 1 254.330 0.705 20 30 CCEDMN C=CCCC(=O)NC[C@@H]1CCCN(CC(=O)NC)C1 ZINC001317128987 908999628 /nfs/dbraw/zinc/99/96/28/908999628.db2.gz SVVFNTDHPIKXES-LBPRGKRZSA-N 0 1 267.373 0.527 20 30 CCEDMN C=C(C)CCC(=O)NCCN(C)CCn1cncn1 ZINC001317447075 909213290 /nfs/dbraw/zinc/21/32/90/909213290.db2.gz RQWCMECMKMBGSB-UHFFFAOYSA-N 0 1 265.361 0.682 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCOC[C@@H]1CCCO1 ZINC001317453268 909223299 /nfs/dbraw/zinc/22/32/99/909223299.db2.gz OKVHEIYNPRCIPW-AWEZNQCLSA-N 0 1 282.384 0.643 20 30 CCEDMN CC#CCCCC(=O)NCCN(C)CCN1CCCC1=O ZINC001317456818 909229192 /nfs/dbraw/zinc/22/91/92/909229192.db2.gz IEEMFXOJMHXJFO-UHFFFAOYSA-N 0 1 293.411 0.850 20 30 CCEDMN C#CCN(C)CCNC(=O)c1nccn2ccnc12 ZINC001317462143 909241255 /nfs/dbraw/zinc/24/12/55/909241255.db2.gz ZFYSTGIHCAUVPW-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCc1cnn(C)c1 ZINC001317491595 909262350 /nfs/dbraw/zinc/26/23/50/909262350.db2.gz BQMPXCQTAYHZRN-UHFFFAOYSA-N 0 1 262.357 0.424 20 30 CCEDMN N#CCc1ccccc1C(=O)N1CCO[C@@H](c2nn[nH]n2)C1 ZINC001417636266 921480122 /nfs/dbraw/zinc/48/01/22/921480122.db2.gz VKBUDXAHMXGHBD-GFCCVEGCSA-N 0 1 298.306 0.479 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)Cc1cncs1 ZINC001317501653 909276496 /nfs/dbraw/zinc/27/64/96/909276496.db2.gz DNPCOPDPBYSUPT-LLVKDONJSA-N 0 1 263.366 0.899 20 30 CCEDMN C=CCNC(=O)CN1CC[C@@H](CNC(=O)C(C)C)C1 ZINC001317514236 909279372 /nfs/dbraw/zinc/27/93/72/909279372.db2.gz BTEXUIFQAWLQCA-LBPRGKRZSA-N 0 1 267.373 0.383 20 30 CCEDMN CC[C@H](C(N)=O)N1CC[C@@H](CNC(=O)C#CC(C)(C)C)C1 ZINC001317524062 909291606 /nfs/dbraw/zinc/29/16/06/909291606.db2.gz XUMPCIFZLSKOLU-QWHCGFSZSA-N 0 1 293.411 0.738 20 30 CCEDMN COCC#CCN(CCNC(=O)Cc1c[nH]cn1)C1CC1 ZINC001317558488 909333672 /nfs/dbraw/zinc/33/36/72/909333672.db2.gz JKSXESSGLVYTNL-UHFFFAOYSA-N 0 1 290.367 0.183 20 30 CCEDMN CN(CCNCC#Cc1ccccc1)C(=O)[C@@H]1CCNC1=O ZINC001317619213 909412770 /nfs/dbraw/zinc/41/27/70/909412770.db2.gz TVVXMNYUELZBFL-OAHLLOKOSA-N 0 1 299.374 0.222 20 30 CCEDMN C[C@H](NCC#N)C1CCN(C(=O)C2=NC(=O)N(C)C2)CC1 ZINC001317672771 909464313 /nfs/dbraw/zinc/46/43/13/909464313.db2.gz ADHFISZZMMWCNC-JTQLQIEISA-N 0 1 291.355 0.480 20 30 CCEDMN C#CCN[C@@H](CNC(=O)[C@@H](C)CC(N)=O)c1ccccc1 ZINC001317721539 909476047 /nfs/dbraw/zinc/47/60/47/909476047.db2.gz HGUQTBMEUWQHPS-JSGCOSHPSA-N 0 1 287.363 0.578 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)CC2CC2)CC1 ZINC001317813950 909510903 /nfs/dbraw/zinc/51/09/03/909510903.db2.gz PHICWQBWVYVHQF-UHFFFAOYSA-N 0 1 277.412 0.886 20 30 CCEDMN C#CCCN1CCN(CCN(C)C(=O)C(C)(C)F)CC1 ZINC001317813965 909512578 /nfs/dbraw/zinc/51/25/78/909512578.db2.gz PMZYATQFONHYLB-UHFFFAOYSA-N 0 1 283.391 0.834 20 30 CCEDMN CNC(=O)CN(C)C[C@@H]1CCN(C(=O)C#CC(C)(C)C)C1 ZINC001317837660 909522906 /nfs/dbraw/zinc/52/29/06/909522906.db2.gz SSHWWZAJUGDAPG-ZDUSSCGKSA-N 0 1 293.411 0.562 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@@H]1CCCN(CC#CC)C1 ZINC001317930900 909544085 /nfs/dbraw/zinc/54/40/85/909544085.db2.gz PGMWLNJCEYCDSB-HIFRSBDPSA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@H](OC)c2cnn(C)c2)C1 ZINC001317978009 909557965 /nfs/dbraw/zinc/55/79/65/909557965.db2.gz TYFGXRCDINWVEG-UONOGXRCSA-N 0 1 292.383 0.874 20 30 CCEDMN C=CCCCN1CC(NC(=O)CCC(=O)NCC)C1 ZINC001318008991 909574104 /nfs/dbraw/zinc/57/41/04/909574104.db2.gz CPIPOTQUVVWHOU-UHFFFAOYSA-N 0 1 267.373 0.669 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)CCCOC)C1 ZINC001318389396 909734333 /nfs/dbraw/zinc/73/43/33/909734333.db2.gz PVZVIOSAIPRTEA-CYBMUJFWSA-N 0 1 252.358 0.969 20 30 CCEDMN CN(C(=O)C#CC1CC1)[C@@H]1CCN(CCn2cccn2)C1 ZINC001318403453 909742906 /nfs/dbraw/zinc/74/29/06/909742906.db2.gz SANUADPPSNYCTP-OAHLLOKOSA-N 0 1 286.379 0.829 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)CCc2cn(C)nc2C)C1 ZINC001318437028 909756439 /nfs/dbraw/zinc/75/64/39/909756439.db2.gz MFFLFQFOAUZUSA-HNNXBMFYSA-N 0 1 288.395 0.827 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H]1C[N@@H+](CCCC=C)CCO1 ZINC001319308888 910086597 /nfs/dbraw/zinc/08/65/97/910086597.db2.gz GQRLHBZHTMOVFN-LSDHHAIUSA-N 0 1 294.395 0.808 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@@H]1CN(CCCC=C)CCO1 ZINC001319308888 910086611 /nfs/dbraw/zinc/08/66/11/910086611.db2.gz GQRLHBZHTMOVFN-LSDHHAIUSA-N 0 1 294.395 0.808 20 30 CCEDMN CCCn1ncnc1CN[C@H]1C[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001389834209 910106147 /nfs/dbraw/zinc/10/61/47/910106147.db2.gz GNMVLYBBWRKYEB-SRVKXCTJSA-N 0 1 290.371 0.585 20 30 CCEDMN C[C@H](CNC(=O)[C@@H](C)C#N)NCc1cc2n(n1)CCC2 ZINC001390298084 910316816 /nfs/dbraw/zinc/31/68/16/910316816.db2.gz CCEDQDJDMVTDQN-WDEREUQCSA-N 0 1 275.356 0.583 20 30 CCEDMN CC#CCN(C)CCN(C)C(=O)C(C)(C)N1CCOCC1 ZINC001320061254 910442380 /nfs/dbraw/zinc/44/23/80/910442380.db2.gz IOKLRGLVKHSARB-UHFFFAOYSA-N 0 1 295.427 0.511 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CCN1CCCC1=O ZINC001320085487 910459403 /nfs/dbraw/zinc/45/94/03/910459403.db2.gz RXDYKTYOWGXZQB-UHFFFAOYSA-N 0 1 265.357 0.022 20 30 CCEDMN CN1CC(C(=O)N[C@H]2CCC[C@H]2CNCC#N)=NC1=O ZINC001320166619 910487267 /nfs/dbraw/zinc/48/72/67/910487267.db2.gz RYJCZXSLBFFHSS-UWVGGRQHSA-N 0 1 277.328 0.137 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)CCCF ZINC001320260971 910564956 /nfs/dbraw/zinc/56/49/56/910564956.db2.gz VTJZEPUUSDUTMK-SECBINFHSA-N 0 1 252.717 0.555 20 30 CCEDMN C=C[C@@H](COC)NCc1ccc(OC)c(OCC(N)=O)c1 ZINC001320592639 910754948 /nfs/dbraw/zinc/75/49/48/910754948.db2.gz SEVAFQFEPAKXTO-LBPRGKRZSA-N 0 1 294.351 0.850 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CCC(C(N)=O)CC1 ZINC001320755862 910844961 /nfs/dbraw/zinc/84/49/61/910844961.db2.gz UETLSGUKYFNOMN-JTQLQIEISA-N 0 1 278.356 0.135 20 30 CCEDMN C=CCO[C@H]1CCN([C@H](C)C(=O)NC(=O)NC2CC2)C1 ZINC001320898753 910923736 /nfs/dbraw/zinc/92/37/36/910923736.db2.gz MZPLYIIWJUKDEE-PWSUYJOCSA-N 0 1 281.356 0.640 20 30 CCEDMN C=CCO[C@H]1CCN(C(=O)CCc2nc[nH]n2)C1 ZINC001320933640 910944602 /nfs/dbraw/zinc/94/46/02/910944602.db2.gz YAUQKMKCDZUVGD-JTQLQIEISA-N 0 1 250.302 0.541 20 30 CCEDMN C=C(Cl)CNC/C=C/CNC(=O)c1cn[nH]c(=O)c1 ZINC001321108371 911090353 /nfs/dbraw/zinc/09/03/53/911090353.db2.gz RUVGUBKQXIIEFU-NSCUHMNNSA-N 0 1 282.731 0.810 20 30 CCEDMN C=C[C@@H](COC)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC001339042296 921633104 /nfs/dbraw/zinc/63/31/04/921633104.db2.gz ARXGXVSOEMTIBP-ZETCQYMHSA-N 0 1 282.262 0.761 20 30 CCEDMN C=CCC1(C(=O)NC/C=C/CN[C@@H]2CCNC2=O)CCC1 ZINC001321234158 911180729 /nfs/dbraw/zinc/18/07/29/911180729.db2.gz UQSYPOWLZLAHER-ITDFMYJTSA-N 0 1 291.395 0.883 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CNCc1nccn1C ZINC001321499554 911331928 /nfs/dbraw/zinc/33/19/28/911331928.db2.gz HFHMEKCZRSLAOW-GFCCVEGCSA-N 0 1 262.357 0.818 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)Cn1ccnc1 ZINC001321761258 911478062 /nfs/dbraw/zinc/47/80/62/911478062.db2.gz ZUWGBFRDDIEHOF-SNVBAGLBSA-N 0 1 256.737 0.730 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)NCc1cn[nH]c1C ZINC001321829411 911511860 /nfs/dbraw/zinc/51/18/60/911511860.db2.gz OQKILFIWIILLOB-LBPRGKRZSA-N 0 1 276.340 0.901 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)Cc1cc(C)on1 ZINC001322129484 911672993 /nfs/dbraw/zinc/67/29/93/911672993.db2.gz IFGMIIHVMBIERD-GFCCVEGCSA-N 0 1 293.367 0.612 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccnc2[nH]cnc21 ZINC001322167864 911693932 /nfs/dbraw/zinc/69/39/32/911693932.db2.gz HCPUJFMKWOJGIL-JTQLQIEISA-N 0 1 271.324 0.641 20 30 CCEDMN C#CC[N@@H+](C)C[C@@H](C)NC(=O)[C@@H]1CCC[NH+]1CC#C ZINC001322173976 911695469 /nfs/dbraw/zinc/69/54/69/911695469.db2.gz CUDYJVNOMUDVJJ-KGLIPLIRSA-N 0 1 261.369 0.154 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)COCc1ccnn1C ZINC001322183649 911698949 /nfs/dbraw/zinc/69/89/49/911698949.db2.gz UDKSGEDAJGGXCE-GFCCVEGCSA-N 0 1 278.356 0.006 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1csc(NC(C)=O)n1 ZINC001322193316 911704050 /nfs/dbraw/zinc/70/40/50/911704050.db2.gz KJPLZYHQBGAORH-VIFPVBQESA-N 0 1 294.380 0.785 20 30 CCEDMN CC#CCCCC(=O)N[C@@H](C)CN(C)[C@H]1CCN(C)C1=O ZINC001322222510 911712158 /nfs/dbraw/zinc/71/21/58/911712158.db2.gz GIXRQYVCYVIDNF-KBPBESRZSA-N 0 1 293.411 0.847 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CC2CCOCC2)CC1 ZINC001322943101 912027997 /nfs/dbraw/zinc/02/79/97/912027997.db2.gz WEKIJSDOLWARCL-UHFFFAOYSA-N 0 1 265.357 0.907 20 30 CCEDMN N#CCNC[C@@H](NC(=O)[C@@H]1CCCc2n[nH]nc21)C1CC1 ZINC001323067736 912098870 /nfs/dbraw/zinc/09/88/70/912098870.db2.gz SNMAZTXWXFRWJO-ZYHUDNBSSA-N 0 1 288.355 0.233 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)C1(C(=O)NC)CC1)C1CC1 ZINC001323080449 912105746 /nfs/dbraw/zinc/10/57/46/912105746.db2.gz HMPLRBQPXCQYAB-NSHDSACASA-N 0 1 299.802 0.750 20 30 CCEDMN C=CCO[C@@H]1CCN(C[C@H]2CCS(=O)(=O)C2)C1 ZINC001339474430 921732693 /nfs/dbraw/zinc/73/26/93/921732693.db2.gz HWDZLPSCSCUITA-VXGBXAGGSA-N 0 1 259.371 0.698 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)CC(C)(C)O ZINC001328720048 915383180 /nfs/dbraw/zinc/38/31/80/915383180.db2.gz LOPDMADIFULHQP-GFCCVEGCSA-N 0 1 252.358 0.703 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)c1cccc(C(N)=O)c1 ZINC001328734554 915396956 /nfs/dbraw/zinc/39/69/56/915396956.db2.gz YIGMDWKRNZUZKB-OAHLLOKOSA-N 0 1 299.374 0.955 20 30 CCEDMN C#CCOCCC(=O)N1CCC[C@@H]1CN(C)CC#C ZINC001328735566 915399864 /nfs/dbraw/zinc/39/98/64/915399864.db2.gz DGTRKJCMKBPNPD-CQSZACIVSA-N 0 1 262.353 0.582 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCC[C@@H]1CN(C)CC#N ZINC001328752637 915410147 /nfs/dbraw/zinc/41/01/47/915410147.db2.gz KJCGDIXUUPRFNH-SNVBAGLBSA-N 0 1 262.317 0.173 20 30 CCEDMN CCC(=O)NC[C@@H]1CCN(CC(=O)N(CC)CCC#N)C1 ZINC001399900150 915428376 /nfs/dbraw/zinc/42/83/76/915428376.db2.gz MQWWKLVBIFRIFH-ZDUSSCGKSA-N 0 1 294.399 0.597 20 30 CCEDMN CC#CCN(C)C(=O)C(=O)N1CCN(C(C)(C)CC)CC1 ZINC001329142765 915703142 /nfs/dbraw/zinc/70/31/42/915703142.db2.gz MUFIRKXBNDIPOV-UHFFFAOYSA-N 0 1 293.411 0.801 20 30 CCEDMN CCn1cc(CCN2CC[C@H](NC(=O)[C@H](C)C#N)C2)cn1 ZINC001400604097 915822430 /nfs/dbraw/zinc/82/24/30/915822430.db2.gz FNWPFYNVRHOYQX-OCCSQVGLSA-N 0 1 289.383 0.796 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)nc1 ZINC001329455950 915929553 /nfs/dbraw/zinc/92/95/53/915929553.db2.gz WKIXFJYURJQVBW-NSHDSACASA-N 0 1 267.292 0.811 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@H]1CCc2ncncc2C1 ZINC001329462626 915938769 /nfs/dbraw/zinc/93/87/69/915938769.db2.gz ZLGUGLGKJGHYSW-OLZOCXBDSA-N 0 1 286.379 0.651 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)[C@H]1C[C@@H]1C ZINC001329523425 915985683 /nfs/dbraw/zinc/98/56/83/915985683.db2.gz JTHLSMOAZIABKU-AVGNSLFASA-N 0 1 252.358 0.729 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCCCN(C)C1=O ZINC001329592679 916044080 /nfs/dbraw/zinc/04/40/80/916044080.db2.gz SJUXVNWUJLXMQH-OLZOCXBDSA-N 0 1 279.384 0.315 20 30 CCEDMN C=CC[C@@H]1CC[N@H+](CCc2cn(CC(=O)[O-])nn2)C1 ZINC001329591239 916045768 /nfs/dbraw/zinc/04/57/68/916045768.db2.gz YXBBREPASATRKS-LLVKDONJSA-N 0 1 264.329 0.803 20 30 CCEDMN CN1CCC(C#N)(NC(=O)CC2(C#N)CCOCC2)CC1 ZINC001329638160 916087509 /nfs/dbraw/zinc/08/75/09/916087509.db2.gz XHDRMLQDZBDFSK-UHFFFAOYSA-N 0 1 290.367 0.801 20 30 CCEDMN C#CCOCCC(=O)N[C@H]1CN(CC#C)CC1(C)C ZINC001330201632 916473282 /nfs/dbraw/zinc/47/32/82/916473282.db2.gz FGUGPOURJNLJEH-ZDUSSCGKSA-N 0 1 262.353 0.486 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1C[C@@H]2[C@@H](CNC(=O)CCC)[C@@H]2C1 ZINC001330263979 916516589 /nfs/dbraw/zinc/51/65/89/916516589.db2.gz WZEJGHKXQFLIEU-SYQHCUMBSA-N 0 1 293.411 0.771 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@@H](C)CC(N)=O)C1CC1 ZINC001401847146 916633827 /nfs/dbraw/zinc/63/38/27/916633827.db2.gz GNSSQPVDTCVCNE-GZMMTYOYSA-N 0 1 287.791 0.735 20 30 CCEDMN C=C(Cl)CNC[C@H](NC(=O)[C@@H]1CCC(=O)N1)C1CC1 ZINC001401866846 916641567 /nfs/dbraw/zinc/64/15/67/916641567.db2.gz IRTVQKZFZVWORP-QWRGUYRKSA-N 0 1 285.775 0.502 20 30 CCEDMN CNC(=O)C[N@@H+](C)C1CCN(C(=O)C#CC(C)C)CC1 ZINC001331015338 917024035 /nfs/dbraw/zinc/02/40/35/917024035.db2.gz CSESPGDKJBQLPB-UHFFFAOYSA-N 0 1 279.384 0.315 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1C[C@@H](N[C@@H](C)c2nncn2C)C1 ZINC001402504767 917063952 /nfs/dbraw/zinc/06/39/52/917063952.db2.gz ZTZYYWQHRDPIRL-LMLFDSFASA-N 0 1 276.344 0.273 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCC[N@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001402609244 917124316 /nfs/dbraw/zinc/12/43/16/917124316.db2.gz SHURTHWJIWBBEG-NXEZZACHSA-N 0 1 292.343 0.141 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCC[N@@H+](Cc2n[nH]c(=O)[n-]2)CC1 ZINC001402609244 917124324 /nfs/dbraw/zinc/12/43/24/917124324.db2.gz SHURTHWJIWBBEG-NXEZZACHSA-N 0 1 292.343 0.141 20 30 CCEDMN CC(C)NC(=O)CN1CCC[C@@H](NC(=O)[C@@H](C)C#N)CC1 ZINC001402613053 917131572 /nfs/dbraw/zinc/13/15/72/917131572.db2.gz XSBFVFVPDGCTHW-QWHCGFSZSA-N 0 1 294.399 0.641 20 30 CCEDMN Cc1nc(CN2CCC[C@H](CNC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001402660998 917159247 /nfs/dbraw/zinc/15/92/47/917159247.db2.gz FWFIMRXYBGFDQE-ZYHUDNBSSA-N 0 1 290.371 0.601 20 30 CCEDMN C=C(Cl)CN[C@H]1CN(C(=O)CNC(=O)OC)C[C@@H]1C ZINC001402772706 917218149 /nfs/dbraw/zinc/21/81/49/917218149.db2.gz JGSWTGCOLOQDKU-WPRPVWTQSA-N 0 1 289.763 0.531 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H](NC(C)=O)C(C)C ZINC001403094419 917475515 /nfs/dbraw/zinc/47/55/15/917475515.db2.gz SJRWXJXWOBORLL-CMPLNLGQSA-N 0 1 289.807 0.994 20 30 CCEDMN Cc1ncccc1CN[C@H](CO)CNC(=O)C#CC1CC1 ZINC001331712277 917538448 /nfs/dbraw/zinc/53/84/48/917538448.db2.gz MZGVVMDTVVAVEU-HNNXBMFYSA-N 0 1 287.363 0.370 20 30 CCEDMN O=C(NC[C@H](CO)NCC#Cc1ccccc1)c1cc[nH]c1 ZINC001331723601 917548006 /nfs/dbraw/zinc/54/80/06/917548006.db2.gz DBHMRQXLQLURFT-MRXNPFEDSA-N 0 1 297.358 0.747 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)[C@@H]1CCC=CCCC1 ZINC001331740141 917566086 /nfs/dbraw/zinc/56/60/86/917566086.db2.gz OASVXYYPGBGYNW-ZIAGYGMSSA-N 0 1 264.369 0.823 20 30 CCEDMN COC(=O)c1cc2n(n1)CCCC2N=Nc1cnnn1C ZINC001331968319 917767152 /nfs/dbraw/zinc/76/71/52/917767152.db2.gz HGJJCSPJBIAPMW-UHFFFAOYSA-N 0 1 289.299 0.408 20 30 CCEDMN Cc1n[nH]c(C)c1C[C@@H](C)C(=O)NCC#CCN(C)C ZINC001332227767 917971054 /nfs/dbraw/zinc/97/10/54/917971054.db2.gz FXSONWILEBTZCB-LLVKDONJSA-N 0 1 276.384 0.886 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@H](C)N(C(=O)CNC(=O)NC)C1 ZINC001403789693 918013170 /nfs/dbraw/zinc/01/31/70/918013170.db2.gz TYCNQJKIPKIBHB-VHSXEESVSA-N 0 1 288.779 0.247 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)[C@H]1CCCO1 ZINC001403833379 918043397 /nfs/dbraw/zinc/04/33/97/918043397.db2.gz QJRYSTOJZSMMJE-GHMZBOCLSA-N 0 1 276.764 0.327 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C[C@H](O)CN(C)Cc1cccnc1 ZINC001403895739 918098756 /nfs/dbraw/zinc/09/87/56/918098756.db2.gz MYEWLZWYRSYSCB-GXTWGEPZSA-N 0 1 290.367 0.492 20 30 CCEDMN C=C(Br)CNC[C@H](O)CN(C)C(C)=O ZINC001332466801 918179611 /nfs/dbraw/zinc/17/96/11/918179611.db2.gz ABCBLNXPOWXLNV-VIFPVBQESA-N 0 1 265.151 0.324 20 30 CCEDMN CC#CCN(C)C[C@H](O)CN(C)C(=O)C1CC(F)(F)C1 ZINC001332633455 918332265 /nfs/dbraw/zinc/33/22/65/918332265.db2.gz NBLNYVMSALTHKH-LBPRGKRZSA-N 0 1 288.338 0.806 20 30 CCEDMN CC#CCN(C)C[C@@H](O)CN(C)C(=O)C1CC(F)(F)C1 ZINC001332633453 918334296 /nfs/dbraw/zinc/33/42/96/918334296.db2.gz NBLNYVMSALTHKH-GFCCVEGCSA-N 0 1 288.338 0.806 20 30 CCEDMN C=C(Cl)C[N@@H+]1CC[C@H](CCNC(=O)c2nc[nH]n2)C1 ZINC001404511126 918374905 /nfs/dbraw/zinc/37/49/05/918374905.db2.gz HVBPAMATSIGWIB-JTQLQIEISA-N 0 1 283.763 0.999 20 30 CCEDMN C#CC[C@H](CO)NC(=O)c1[nH]nc2c1C[C@H](C)CC2 ZINC001332852792 918485143 /nfs/dbraw/zinc/48/51/43/918485143.db2.gz HUAQSVCAIAWAGZ-NXEZZACHSA-N 0 1 261.325 0.649 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC1CN(Cc2ccc(CO)cc2)C1 ZINC001404872170 918502306 /nfs/dbraw/zinc/50/23/06/918502306.db2.gz IEQKLWDMQPHWCC-LBPRGKRZSA-N 0 1 287.363 0.887 20 30 CCEDMN C=CCOCC(=O)N(C)C1CC(NCc2ncnn2C)C1 ZINC001333043325 918618568 /nfs/dbraw/zinc/61/85/68/918618568.db2.gz UPQJZKXNXMNEFX-UHFFFAOYSA-N 0 1 293.371 0.097 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H]2C[C@@H]3COC[C@@H]3O2)C1 ZINC001333081069 918638717 /nfs/dbraw/zinc/63/87/17/918638717.db2.gz GOSZSCWZLKWZJP-VOAKCMCISA-N 0 1 252.314 0.141 20 30 CCEDMN N#CC[C@]1(O)CCN(C[C@@H]2C[C@H]3COC[C@H]3O2)C1 ZINC001333081067 918639864 /nfs/dbraw/zinc/63/98/64/918639864.db2.gz GOSZSCWZLKWZJP-RVMXOQNASA-N 0 1 252.314 0.141 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1cnccc1C ZINC001406211042 919114834 /nfs/dbraw/zinc/11/48/34/919114834.db2.gz LHKUVNXIFHRBSP-NSHDSACASA-N 0 1 283.759 0.823 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1ccnnc1C ZINC001406233658 919129691 /nfs/dbraw/zinc/12/96/91/919129691.db2.gz GVFGKSRFVHXNBM-SNVBAGLBSA-N 0 1 284.747 0.218 20 30 CCEDMN COc1cncc(C=CCCn2c(=O)[nH]cc(C#N)c2=O)c1 ZINC001413927396 919190235 /nfs/dbraw/zinc/19/02/35/919190235.db2.gz CUMWTEPOSJQMCJ-DUXPYHPUSA-N 0 1 298.302 0.915 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@@H]1CCC(=O)NC1 ZINC001406379421 919223950 /nfs/dbraw/zinc/22/39/50/919223950.db2.gz VUELXXRJNUAWNW-NXEZZACHSA-N 0 1 273.764 0.359 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)NC[C@]1(C(=O)[O-])CCCOC1 ZINC001334206520 919423910 /nfs/dbraw/zinc/42/39/10/919423910.db2.gz WDCQBKDVJDNVMX-SWLSCSKDSA-N 0 1 294.351 0.082 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@]1(C(=O)[O-])CCCOC1 ZINC001334206520 919423920 /nfs/dbraw/zinc/42/39/20/919423920.db2.gz WDCQBKDVJDNVMX-SWLSCSKDSA-N 0 1 294.351 0.082 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@@H]3C[C@@H](O)CN3C2=O)CC1 ZINC001334369954 919527417 /nfs/dbraw/zinc/52/74/17/919527417.db2.gz FJBQAGODXMDIAL-NEPJUHHUSA-N 0 1 279.340 0.034 20 30 CCEDMN C[S@@](=O)c1ccc(CN[C@H]2CCCN(O)C2=O)cc1 ZINC001334374754 919535280 /nfs/dbraw/zinc/53/52/80/919535280.db2.gz MLUMLBGUUNCGNU-HXPMCKFVSA-N 0 1 282.365 0.894 20 30 CCEDMN CC#CCCNC(=O)C[N@H+]1CCC[C@@H](C(=O)[O-])C1 ZINC001335029023 919908639 /nfs/dbraw/zinc/90/86/39/919908639.db2.gz MCJBJPSOYAPSHB-LLVKDONJSA-N 0 1 252.314 0.313 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2ccn(C)n2)CCO1 ZINC001407855130 919920489 /nfs/dbraw/zinc/92/04/89/919920489.db2.gz QSWYBXCSPDXLIF-LLVKDONJSA-N 0 1 298.774 0.603 20 30 CCEDMN C=CCCCC(=O)N1CC([C@@H](C)NC(=O)c2ncn[nH]2)C1 ZINC001335099013 919953790 /nfs/dbraw/zinc/95/37/90/919953790.db2.gz KXYWYYKUVCLAFQ-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CCCCC(=O)N1CC([C@@H](C)NC(=O)c2nc[nH]n2)C1 ZINC001335099013 919953805 /nfs/dbraw/zinc/95/38/05/919953805.db2.gz KXYWYYKUVCLAFQ-SNVBAGLBSA-N 0 1 291.355 0.738 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N2CCNC(C)(C)C2)C1 ZINC001335393055 920165483 /nfs/dbraw/zinc/16/54/83/920165483.db2.gz QXTOTEMJAFYLOE-GFCCVEGCSA-N 0 1 279.384 0.621 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2CCCCN2C)nn1 ZINC001336202106 920657022 /nfs/dbraw/zinc/65/70/22/920657022.db2.gz NNHJBNSSQMVXKQ-NSHDSACASA-N 0 1 263.345 0.678 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)NCc1n[nH]c(COC)n1 ZINC001336836743 921050101 /nfs/dbraw/zinc/05/01/01/921050101.db2.gz JOHCLFPZIWNXBE-JTQLQIEISA-N 0 1 277.328 0.648 20 30 CCEDMN C#C[C@H]1CCCCN1C(=O)NCc1nnc(COC)[nH]1 ZINC001336836743 921050106 /nfs/dbraw/zinc/05/01/06/921050106.db2.gz JOHCLFPZIWNXBE-JTQLQIEISA-N 0 1 277.328 0.648 20 30 CCEDMN C=C(C)CCNC(=O)N1CCNC[C@@H]1CCOC ZINC001336895006 921088050 /nfs/dbraw/zinc/08/80/50/921088050.db2.gz XFGXLXCWSODSBK-LBPRGKRZSA-N 0 1 255.362 0.973 20 30 CCEDMN C#CCN1CCC(NC(=O)NOCCOC(C)C)CC1 ZINC001339907097 921875148 /nfs/dbraw/zinc/87/51/48/921875148.db2.gz FXFCYYQXVSJRAT-UHFFFAOYSA-N 0 1 283.372 0.740 20 30 CCEDMN C#CCNCC(=O)NCCCC(=O)N1CCc2ccccc21 ZINC001340065029 921941981 /nfs/dbraw/zinc/94/19/81/921941981.db2.gz XBHQKOXZCOTXBV-UHFFFAOYSA-N 0 1 299.374 0.695 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H](CCC)NC(N)=O ZINC001418302603 922008164 /nfs/dbraw/zinc/00/81/64/922008164.db2.gz NXGYDEPCIUZBLS-VHSXEESVSA-N 0 1 290.795 0.670 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)[C@@H]1CNc2ccccc21 ZINC001340266608 922033034 /nfs/dbraw/zinc/03/30/34/922033034.db2.gz NQMJUSGKWLHYOR-IRUJWGPZSA-N 0 1 256.309 0.423 20 30 CCEDMN C#CCNCC(=O)N1CCC[C@@H]1[C@@H]1CCCOC1 ZINC001340396838 922074820 /nfs/dbraw/zinc/07/48/20/922074820.db2.gz BHRYGHJUIIMHBT-CHWSQXEVSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1CCCc2c1ccc(=O)n2C ZINC001340557710 922122509 /nfs/dbraw/zinc/12/25/09/922122509.db2.gz PHICQHIPFLUAEB-GFCCVEGCSA-N 0 1 273.336 0.102 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)C(=O)N1CCC[C@@](C)(C#N)C1 ZINC001340615203 922142562 /nfs/dbraw/zinc/14/25/62/922142562.db2.gz DMMMMKPLYHGFJG-AWEZNQCLSA-N 0 1 289.339 0.487 20 30 CCEDMN C#CCNCC(=O)N(C)[C@@H]1C[C@@](C)(OC)C1(C)C ZINC001340753205 922198537 /nfs/dbraw/zinc/19/85/37/922198537.db2.gz NQBMQYZFLILQNT-BXUZGUMPSA-N 0 1 252.358 0.871 20 30 CCEDMN N#Cc1ccc(C(=O)NC[C@H]2COCCN2)c(F)c1 ZINC001340846003 922238924 /nfs/dbraw/zinc/23/89/24/922238924.db2.gz UWJMLGUPWNNXPG-JTQLQIEISA-N 0 1 263.272 0.416 20 30 CCEDMN Cc1nn(C)cc1CN1CCC[C@H]1CNC(=O)[C@H](C)C#N ZINC001418597793 922293907 /nfs/dbraw/zinc/29/39/07/922293907.db2.gz WDCWKWPPXDYFFU-RISCZKNCSA-N 0 1 289.383 0.969 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)c1cc(OC)ccc1O ZINC001340966419 922298268 /nfs/dbraw/zinc/29/82/68/922298268.db2.gz IJODCFCAKSVZSP-SNVBAGLBSA-N 0 1 262.309 0.801 20 30 CCEDMN C#CCNCC(=O)NC[C@@](C)(Cc1ccccc1)[C@@H](C)O ZINC001341044376 922326384 /nfs/dbraw/zinc/32/63/84/922326384.db2.gz ZTSXQXNWQRZBQN-RHSMWYFYSA-N 0 1 288.391 0.955 20 30 CCEDMN C#CCNCC(=O)N(C)[C@@H](COC)Cc1ccccc1 ZINC001341062659 922331265 /nfs/dbraw/zinc/33/12/65/922331265.db2.gz QGYTXSNAJGSWKP-OAHLLOKOSA-N 0 1 274.364 0.925 20 30 CCEDMN N#CC1(CC(=O)N2CCNC[C@H]2CO)CCCCC1 ZINC001341581513 922563280 /nfs/dbraw/zinc/56/32/80/922563280.db2.gz RKCNBDGSGSDEKH-LBPRGKRZSA-N 0 1 265.357 0.643 20 30 CCEDMN C#CCNCC(=O)NCCCc1nnc2n1CCCCC2 ZINC001341585536 922568851 /nfs/dbraw/zinc/56/88/51/922568851.db2.gz OEWBMTDXGQXJGZ-UHFFFAOYSA-N 0 1 289.383 0.276 20 30 CCEDMN CCN(C)C(=O)CN1CCC(N(C)C(=O)[C@@H](C)C#N)CC1 ZINC001419073073 922619529 /nfs/dbraw/zinc/61/95/29/922619529.db2.gz MXZNYDYNQHIUFQ-LBPRGKRZSA-N 0 1 294.399 0.547 20 30 CCEDMN C#CCNCC(=O)NCc1nccc2c1CCCC2 ZINC001341678505 922622637 /nfs/dbraw/zinc/62/26/37/922622637.db2.gz ANNHMUNFEJZWDT-UHFFFAOYSA-N 0 1 257.337 0.799 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CO[C@H]1CCOC1 ZINC001341784501 922673330 /nfs/dbraw/zinc/67/33/30/922673330.db2.gz FJHKXRNCTYERIM-UWVGGRQHSA-N 0 1 254.286 0.025 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)CNC(=O)C1CC1 ZINC001419190089 922701879 /nfs/dbraw/zinc/70/18/79/922701879.db2.gz YMEXNUAQJDFTGT-LLVKDONJSA-N 0 1 287.791 0.750 20 30 CCEDMN N#CC(C(=O)NC1CC1)C(=O)[C@H]1C[C@H]2CC(=O)[C@@H]1C2 ZINC001341946730 922734454 /nfs/dbraw/zinc/73/44/54/922734454.db2.gz GDFBKYWDQCVZDR-CDEVMZEPSA-N 0 1 260.293 0.589 20 30 CCEDMN C#CCNCC(=O)N(CC(=O)OC)C1CCCCC1 ZINC001342113368 922812492 /nfs/dbraw/zinc/81/24/92/922812492.db2.gz RCVZBSBIZUDEGE-UHFFFAOYSA-N 0 1 266.341 0.544 20 30 CCEDMN N#CC1(C(=O)NC[C@H]2COCCN2)CCCCC1 ZINC001342226092 922865750 /nfs/dbraw/zinc/86/57/50/922865750.db2.gz DHJQSHQANYSNEF-NSHDSACASA-N 0 1 251.330 0.565 20 30 CCEDMN C=C(C)Cn1c(C(N)=O)nnc1N1CCN(CC)[C@@H](C)C1 ZINC001342978561 923154603 /nfs/dbraw/zinc/15/46/03/923154603.db2.gz LJRIZJFHSLXSNV-NSHDSACASA-N 0 1 292.387 0.484 20 30 CCEDMN C#CCNCC(=O)N[C@H](C(=O)OC)C1CCCCC1 ZINC001343339145 923278248 /nfs/dbraw/zinc/27/82/48/923278248.db2.gz HFDQVTCKKMWNGH-ZDUSSCGKSA-N 0 1 266.341 0.447 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccc2[nH]ccc2n1 ZINC001343467509 923331674 /nfs/dbraw/zinc/33/16/74/923331674.db2.gz OAYDSFOVRLLQIQ-PRHODGIISA-N 0 1 255.281 0.404 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)c1ccc(C#N)cn1 ZINC001420139596 923338083 /nfs/dbraw/zinc/33/80/83/923338083.db2.gz GDEVHPNAZIUROZ-GFCCVEGCSA-N 0 1 299.290 0.190 20 30 CCEDMN C#CCNCC(=O)NCC[C@@H]1C(=O)Nc2ccccc21 ZINC001343528278 923365159 /nfs/dbraw/zinc/36/51/59/923365159.db2.gz ZMWUKQGMWUQDBN-LBPRGKRZSA-N 0 1 271.320 0.451 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCn3cnnc3[C@@H]2C)CC1 ZINC001343565356 923371285 /nfs/dbraw/zinc/37/12/85/923371285.db2.gz CVIKKCZSLDRDIA-LBPRGKRZSA-N 0 1 287.367 0.527 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCN(C(C)=O)c2ccccc21 ZINC001343625439 923396101 /nfs/dbraw/zinc/39/61/01/923396101.db2.gz RLUDRPCUQUGEEK-AWEZNQCLSA-N 0 1 285.347 0.823 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C(=O)OC)c1ccc(F)cc1 ZINC001343648770 923405115 /nfs/dbraw/zinc/40/51/15/923405115.db2.gz SLUNFESRQFDZAT-ZDUSSCGKSA-N 0 1 292.310 0.421 20 30 CCEDMN C#CCNCC(=O)N1CCN(C(=O)CC(C)(C)C)CC1 ZINC001343840674 923481423 /nfs/dbraw/zinc/48/14/23/923481423.db2.gz ADQIURABSZYYSG-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN N#C[C@H]1CC[C@H](N2CCC(S(N)(=O)=O)CC2)C1 ZINC001343895825 923495528 /nfs/dbraw/zinc/49/55/28/923495528.db2.gz PCUQSLJYNJROTE-UWVGGRQHSA-N 0 1 257.359 0.432 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H]1CCCS1(=O)=O ZINC001420379580 923597804 /nfs/dbraw/zinc/59/78/04/923597804.db2.gz SFQGFTLSBOPJHS-NXEZZACHSA-N 0 1 294.804 0.410 20 30 CCEDMN CSc1ccc(CNC(=O)[C@H](N)CO)cc1C#N ZINC001344576217 923642485 /nfs/dbraw/zinc/64/24/85/923642485.db2.gz WIRRCZIFNNZVEV-SNVBAGLBSA-N 0 1 265.338 0.216 20 30 CCEDMN C#CCN1CCC(OC(=O)C(C)(C)CNC(C)=O)CC1 ZINC001344943188 923740431 /nfs/dbraw/zinc/74/04/31/923740431.db2.gz ZSGNMDZCNHXONM-UHFFFAOYSA-N 0 1 280.368 0.790 20 30 CCEDMN C=C[C@H](C)ONC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001345952482 924021566 /nfs/dbraw/zinc/02/15/66/924021566.db2.gz HLYHGQZMLLWWQX-NEPJUHHUSA-N 0 1 270.377 0.428 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)Cc2ccn(C)n2)CC1 ZINC001420949280 924048749 /nfs/dbraw/zinc/04/87/49/924048749.db2.gz ZXHZDDNDBDPWLB-UHFFFAOYSA-N 0 1 282.775 0.954 20 30 CCEDMN C#CCCCCNC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001346237440 924125651 /nfs/dbraw/zinc/12/56/51/924125651.db2.gz CSJKNMQBMPWMTQ-AWEZNQCLSA-N 0 1 280.416 0.725 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N(CC#N)C1CCCC1 ZINC001347741655 924524863 /nfs/dbraw/zinc/52/48/63/924524863.db2.gz DGHJANQCBJXBST-TZMCWYRMSA-N 0 1 276.384 0.669 20 30 CCEDMN COCC#CC(=O)N1CCC(CCN2CCOCC2)CC1 ZINC001347776066 924535127 /nfs/dbraw/zinc/53/51/27/924535127.db2.gz QJIMNAXFJPFOKX-UHFFFAOYSA-N 0 1 294.395 0.597 20 30 CCEDMN C=CCC1(O)CN(C(=O)CCCCc2cn[nH]n2)C1 ZINC001347804177 924541465 /nfs/dbraw/zinc/54/14/65/924541465.db2.gz IMSXJDVZODEDSA-UHFFFAOYSA-N 0 1 264.329 0.667 20 30 CCEDMN C=CCCn1cc(C(=O)NCc2n[nH]c(COC)n2)nn1 ZINC001347999305 924573867 /nfs/dbraw/zinc/57/38/67/924573867.db2.gz NHFPQDATBQGVBE-UHFFFAOYSA-N 0 1 291.315 0.049 20 30 CCEDMN C=CCCn1cc(C(=O)NCc2nnc(COC)[nH]2)nn1 ZINC001347999305 924573875 /nfs/dbraw/zinc/57/38/75/924573875.db2.gz NHFPQDATBQGVBE-UHFFFAOYSA-N 0 1 291.315 0.049 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@H]1CCc2c[nH+]c(C)n2C1)C(=O)[O-] ZINC001348489820 924703984 /nfs/dbraw/zinc/70/39/84/924703984.db2.gz DMZKJSHDLPYZED-CMPLNLGQSA-N 0 1 277.324 0.899 20 30 CCEDMN CNC(=O)CCCN1CCC(C#N)(C(=O)OC)CC1 ZINC001349767557 925047395 /nfs/dbraw/zinc/04/73/95/925047395.db2.gz PCILTAQYDWDIPJ-UHFFFAOYSA-N 0 1 267.329 0.291 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@]2(C)CCC(=O)NC2)CC1 ZINC001349770097 925050229 /nfs/dbraw/zinc/05/02/29/925050229.db2.gz CGHBAKWNCKQSJM-OAHLLOKOSA-N 0 1 278.352 0.544 20 30 CCEDMN CC[C@@H](C#N)C(=O)NCc1n[nH]c([C@H]2CCCO2)n1 ZINC001349811607 925067438 /nfs/dbraw/zinc/06/74/38/925067438.db2.gz MAQKMIKTBKJJBK-DTWKUNHWSA-N 0 1 263.301 0.822 20 30 CCEDMN C=CC[C@@H](CO)CNC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001349878243 925082787 /nfs/dbraw/zinc/08/27/87/925082787.db2.gz BPDWFGJTYHFUIE-UONOGXRCSA-N 0 1 298.431 0.106 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001349951488 925103466 /nfs/dbraw/zinc/10/34/66/925103466.db2.gz PAJLMHARBPOCGS-RFGFWPKPSA-N 0 1 279.384 0.082 20 30 CCEDMN CCN(C(=O)[C@@H]1CN2CCN1C[C@H]2C)[C@@H](C)C#N ZINC001350091096 925129553 /nfs/dbraw/zinc/12/95/53/925129553.db2.gz JCOGIJPTOQNAJW-TUAOUCFPSA-N 0 1 250.346 0.135 20 30 CCEDMN C=C1CCC(CNC(=O)C(=O)NCc2n[nH]c(C)n2)CC1 ZINC001351621468 925447038 /nfs/dbraw/zinc/44/70/38/925447038.db2.gz YIXMYJHGDJGQIU-UHFFFAOYSA-N 0 1 291.355 0.592 20 30 CCEDMN CCN(CCCNC(=O)[C@@H](C)C#N)C(=O)c1[nH]nnc1C ZINC001352342833 925564592 /nfs/dbraw/zinc/56/45/92/925564592.db2.gz UABYNRKVMXVOAA-VIFPVBQESA-N 0 1 292.343 0.241 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)/C=C\c1cncc(F)c1 ZINC001353074449 925724704 /nfs/dbraw/zinc/72/47/04/925724704.db2.gz XJPIHQDOMMCVOW-IQAMVVKDSA-N 0 1 260.272 0.462 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)C[C@@H](C)NC(N)=O ZINC001480459688 925776800 /nfs/dbraw/zinc/77/68/00/925776800.db2.gz MWFJDNHKEQSZAE-RKDXNWHRSA-N 0 1 276.768 0.280 20 30 CCEDMN C[C@@H](CNC(=O)c1cnnn1C)NCC#Cc1ccccc1 ZINC001480453109 925753754 /nfs/dbraw/zinc/75/37/54/925753754.db2.gz JUMQULOEHFRBIL-ZDUSSCGKSA-N 0 1 297.362 0.575 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CC(=O)N(c2ccccc2)C1 ZINC001353236550 925769325 /nfs/dbraw/zinc/76/93/25/925769325.db2.gz FESRXAJVNPDOJT-LBPRGKRZSA-N 0 1 271.320 0.131 20 30 CCEDMN C#CCNCC(=O)NC[C@H](C)Oc1ccccc1F ZINC001353381511 925817970 /nfs/dbraw/zinc/81/79/70/925817970.db2.gz IMUFJZSANWMEJW-NSHDSACASA-N 0 1 264.300 0.932 20 30 CCEDMN CCC[C@H]1CNCCN1C(=O)C(=O)N1CCC[C@@H](C#N)C1 ZINC001353942388 925968702 /nfs/dbraw/zinc/96/87/02/925968702.db2.gz DJFYIOBMDLIQGB-STQMWFEESA-N 0 1 292.383 0.349 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)NC[C@H]1CC[N@H+](C2CC2)C1 ZINC001354040535 925991894 /nfs/dbraw/zinc/99/18/94/925991894.db2.gz XNVAWACFTFAGPL-LLVKDONJSA-N 0 1 281.356 0.753 20 30 CCEDMN CN(C)CC#CCNC(=O)C1(O)Cc2ccccc2C1 ZINC001354110710 926018770 /nfs/dbraw/zinc/01/87/70/926018770.db2.gz JLOPQDHEOGHEAO-UHFFFAOYSA-N 0 1 272.348 0.198 20 30 CCEDMN CC#CCCCC(=O)NC[C@H](C)NC(=O)Cc1nnc[nH]1 ZINC001354653037 926146648 /nfs/dbraw/zinc/14/66/48/926146648.db2.gz YPHAFPVLEPPXMN-NSHDSACASA-N 0 1 291.355 0.162 20 30 CCEDMN C#CCNCC(=O)N1C[C@@H](Cc2ccccc2)[C@H](O)C1 ZINC001355547562 926281957 /nfs/dbraw/zinc/28/19/57/926281957.db2.gz LMJZLOWEQKMCLE-HUUCEWRRSA-N 0 1 272.348 0.271 20 30 CCEDMN C#CCNCC(=O)NC1CCN(C(=O)c2ccoc2)CC1 ZINC001356499474 926394022 /nfs/dbraw/zinc/39/40/22/926394022.db2.gz WKTJWAGCJYMHSP-UHFFFAOYSA-N 0 1 289.335 0.223 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)CNC(=O)CN1CCCC1 ZINC001357021178 926476107 /nfs/dbraw/zinc/47/61/07/926476107.db2.gz OTZRACZCVRCNCK-CQSZACIVSA-N 0 1 293.411 0.610 20 30 CCEDMN C#CCNCC(=O)NCc1ccccc1N(C)CC ZINC001357397948 926525759 /nfs/dbraw/zinc/52/57/59/926525759.db2.gz XIRFPODFYIQAPU-UHFFFAOYSA-N 0 1 259.353 0.982 20 30 CCEDMN C=CCCC(=O)NC/C=C/CNC(=O)Cc1nnc[nH]1 ZINC001357655199 926579223 /nfs/dbraw/zinc/57/92/23/926579223.db2.gz UIHWDQDNMPCULA-SNAWJCMRSA-N 0 1 277.328 0.102 20 30 CCEDMN CC(C)C#CC(=O)NC[C@H]1CCN1C(=O)Cc1ccn[nH]1 ZINC001358603665 926760147 /nfs/dbraw/zinc/76/01/47/926760147.db2.gz IMBNVEZFFSGQRM-CYBMUJFWSA-N 0 1 288.351 0.329 20 30 CCEDMN C=C(Cl)CNC[C@@H](CC(C)C)NC(=O)CNC(C)=O ZINC001421995693 927031816 /nfs/dbraw/zinc/03/18/16/927031816.db2.gz HYKQFXJABSEMKM-GFCCVEGCSA-N 0 1 289.807 0.996 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)c1nccnc1N ZINC001422317115 927217560 /nfs/dbraw/zinc/21/75/60/927217560.db2.gz HIMYBJKYOGPDRY-BDAKNGLRSA-N 0 1 283.763 0.908 20 30 CCEDMN C[C@@H](CNC(=O)c1ccc(C#N)n1C)N1CCN(C)CC1 ZINC001362055499 927564397 /nfs/dbraw/zinc/56/43/97/927564397.db2.gz GSIVYKUUPWAKNB-LBPRGKRZSA-N 0 1 289.383 0.262 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)C[C@@H]1CCNC1=O ZINC001423075367 927661640 /nfs/dbraw/zinc/66/16/40/927661640.db2.gz MPEMESKIGODMAT-QWRGUYRKSA-N 0 1 287.791 0.702 20 30 CCEDMN C[C@H](NCC1(C#N)CCOCC1)[C@H]1CCS(=O)(=O)C1 ZINC001423490013 927885316 /nfs/dbraw/zinc/88/53/16/927885316.db2.gz HXODIMGKBUTJHB-RYUDHWBXSA-N 0 1 286.397 0.720 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@H](O)CN(C)CCC(F)(F)F ZINC001423504489 927900915 /nfs/dbraw/zinc/90/09/15/927900915.db2.gz OXITVIYRRGPALA-NXEZZACHSA-N 0 1 295.305 0.850 20 30 CCEDMN CN(C[C@H](O)c1cccc(C#N)c1)C(=O)Cc1ncn[nH]1 ZINC001362646311 928299336 /nfs/dbraw/zinc/29/93/36/928299336.db2.gz FHBPMJBYKHUZLZ-LBPRGKRZSA-N 0 1 285.307 0.411 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N2CCC[C@@H](Cc3nn[nH]n3)C2)c1 ZINC001362901596 928630481 /nfs/dbraw/zinc/63/04/81/928630481.db2.gz CDOAYWQPXVZBAW-VIFPVBQESA-N 0 1 285.311 0.494 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)CNC(=O)CC)[C@@H]1C ZINC001425867895 928692335 /nfs/dbraw/zinc/69/23/35/928692335.db2.gz KJHWDVOPKYBYMC-GHMZBOCLSA-N 0 1 287.791 0.844 20 30 CCEDMN N#Cc1cc(CNC(=O)[C@H]2CCCc3n[nH]nc32)ccn1 ZINC001363135337 928907764 /nfs/dbraw/zinc/90/77/64/928907764.db2.gz QRTFDYOSUCXDNU-NSHDSACASA-N 0 1 282.307 0.808 20 30 CCEDMN N#Cc1c(NC(=O)CCc2c[nH]nn2)n[nH]c1C1CC1 ZINC001363216400 928984596 /nfs/dbraw/zinc/98/45/96/928984596.db2.gz BNKWHIRDQIGJEJ-UHFFFAOYSA-N 0 1 271.284 0.848 20 30 CCEDMN N#Cc1c(NC(=O)CCc2cnn[nH]2)n[nH]c1C1CC1 ZINC001363216400 928984603 /nfs/dbraw/zinc/98/46/03/928984603.db2.gz BNKWHIRDQIGJEJ-UHFFFAOYSA-N 0 1 271.284 0.848 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCN(C(=O)[C@@H]2CCCCN2C)C1 ZINC001427403178 929017470 /nfs/dbraw/zinc/01/74/70/929017470.db2.gz BERZPWWGPWNMJN-UPJWGTAASA-N 0 1 292.383 0.347 20 30 CCEDMN CCC[N@H+](CC(N)=O)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001363257339 929036588 /nfs/dbraw/zinc/03/65/88/929036588.db2.gz RQUJWNTVIHGJFN-UHFFFAOYSA-N 0 1 288.311 0.004 20 30 CCEDMN CCC[N@@H+](CC(N)=O)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001363257339 929036597 /nfs/dbraw/zinc/03/65/97/929036597.db2.gz RQUJWNTVIHGJFN-UHFFFAOYSA-N 0 1 288.311 0.004 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)C[N@H+]1CC[C@@]2(CCOC2=O)C1 ZINC001363315881 929107282 /nfs/dbraw/zinc/10/72/82/929107282.db2.gz SVGHAMLAORSJOG-SWLSCSKDSA-N 0 1 293.367 0.634 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CC[C@@]2(CCOC2=O)C1 ZINC001363315881 929107288 /nfs/dbraw/zinc/10/72/88/929107288.db2.gz SVGHAMLAORSJOG-SWLSCSKDSA-N 0 1 293.367 0.634 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N1CC[C@H](c2nn[nH]n2)C1 ZINC001363330463 929123013 /nfs/dbraw/zinc/12/30/13/929123013.db2.gz ZWYQOEKDCNQFGS-JTQLQIEISA-N 0 1 283.295 0.405 20 30 CCEDMN Cc1cc(C#N)ccc1C(=O)N1CCOC[C@H]1c1nn[nH]n1 ZINC001363409277 929194790 /nfs/dbraw/zinc/19/47/90/929194790.db2.gz DGQHACKDYYBPDO-LBPRGKRZSA-N 0 1 298.306 0.594 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](NC(=O)c1ncn[nH]1)C(C)(C)C ZINC001428390372 929231835 /nfs/dbraw/zinc/23/18/35/929231835.db2.gz RIIOAHRFOGATEO-IUCAKERBSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](NC(=O)c1nc[nH]n1)C(C)(C)C ZINC001428390372 929231850 /nfs/dbraw/zinc/23/18/50/929231850.db2.gz RIIOAHRFOGATEO-IUCAKERBSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCN(C(=O)c2ccn[nH]2)[C@@H]1C ZINC001429244231 929419854 /nfs/dbraw/zinc/41/98/54/929419854.db2.gz FNSZPXDBEBPOHK-BBBLOLIVSA-N 0 1 275.312 0.289 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)n1C ZINC001443604409 929659991 /nfs/dbraw/zinc/65/99/91/929659991.db2.gz XJLLKJQUUSPNOA-GFCCVEGCSA-N 0 1 289.383 0.262 20 30 CCEDMN CCCN(CCNC(=O)[C@H](C)C#N)[C@@H]1CCCN(C)C1=O ZINC001372685826 929917319 /nfs/dbraw/zinc/91/73/19/929917319.db2.gz YBOYZQXLFOVILY-CHWSQXEVSA-N 0 1 294.399 0.595 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)[C@@H]1CCC(=O)NC1 ZINC001373768457 930338495 /nfs/dbraw/zinc/33/84/95/930338495.db2.gz DDWVGCHWXDBPMH-GHMZBOCLSA-N 0 1 287.791 0.750 20 30 CCEDMN CCCN(CCNC(=O)[C@@H](C)C#N)C(=O)[C@H]1CCCN1C ZINC001374166999 930440662 /nfs/dbraw/zinc/44/06/62/930440662.db2.gz JEPWGZRETDJTLA-QWHCGFSZSA-N 0 1 294.399 0.595 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001374915930 930721260 /nfs/dbraw/zinc/72/12/60/930721260.db2.gz WPZJRDUHYXOZSE-VIFPVBQESA-N 0 1 299.758 0.844 20 30 CCEDMN Cc1nnc(CNC[C@H]2C[C@H](NC(=O)[C@@H](C)C#N)C2)s1 ZINC001448494830 930903066 /nfs/dbraw/zinc/90/30/66/930903066.db2.gz FBUDSPBOBIBRAZ-LSJOCFKGSA-N 0 1 293.396 0.991 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)[C@H]1CN(C)CCO1 ZINC001448644790 930936381 /nfs/dbraw/zinc/93/63/81/930936381.db2.gz ZAURJYBZQAUWHX-NWDGAFQWSA-N 0 1 289.807 0.506 20 30 CCEDMN Cc1nc([C@@H](C)N2CC(N(C)C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001375667097 930946298 /nfs/dbraw/zinc/94/62/98/930946298.db2.gz KQUWHBGXJMHHSF-RKDXNWHRSA-N 0 1 276.344 0.476 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](CO)NCc1cccc(F)c1 ZINC001448828587 930999729 /nfs/dbraw/zinc/99/97/29/930999729.db2.gz PYYVMGFOGDESFM-MFKMUULPSA-N 0 1 279.315 0.552 20 30 CCEDMN N#CCNC(=O)CNC(=O)c1ccc(CN2CCCC2)o1 ZINC001449137570 931081657 /nfs/dbraw/zinc/08/16/57/931081657.db2.gz UBHVTWMOWWLRCB-UHFFFAOYSA-N 0 1 290.323 0.245 20 30 CCEDMN CC(=O)N(C)C[C@@H](O)CN(C)Cc1ccc(C#N)c(F)c1 ZINC001449181158 931093069 /nfs/dbraw/zinc/09/30/69/931093069.db2.gz YVWQADLGNZJLLW-AWEZNQCLSA-N 0 1 293.342 0.968 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)[C@]1(C(=O)[O-])CC1(C)C ZINC001602679840 971262273 /nfs/dbraw/zinc/26/22/73/971262273.db2.gz WXTMIUODIZYSNL-AWEZNQCLSA-N 0 1 266.341 0.559 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)N1CC[NH+](CCCF)CC1 ZINC001602780749 971520711 /nfs/dbraw/zinc/52/07/11/971520711.db2.gz MTNYUJWJFKZMJX-ZDUSSCGKSA-N 0 1 285.319 0.495 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000328288414 951125297 /nfs/dbraw/zinc/12/52/97/951125297.db2.gz QUXZXUGPRIXDKF-OLZOCXBDSA-N 0 1 295.383 0.694 20 30 CCEDMN C[C@@H]1CN(C(=O)[C@](C)(C#N)CC(=O)[O-])CC[N@H+](C2CC2)C1 ZINC001589367060 954204481 /nfs/dbraw/zinc/20/44/81/954204481.db2.gz UCFHDWWDLWUIBL-NHYWBVRUSA-N 0 1 293.367 0.934 20 30 CCEDMN COC[C@]1(C(=O)[O-])CC[N@@H+](Cc2cccc(C#N)n2)C1 ZINC001593967944 956579968 /nfs/dbraw/zinc/57/99/68/956579968.db2.gz YMWNPLLFDZMMQV-AWEZNQCLSA-N 0 1 275.308 0.876 20 30 CCEDMN C[C@@](C#N)(CC(=O)[O-])C(=O)NCC[N@H+]1CCC(C)(C)C1 ZINC001589226496 956802859 /nfs/dbraw/zinc/80/28/59/956802859.db2.gz XJQXMBRJVJTHDG-AWEZNQCLSA-N 0 1 281.356 0.839 20 30 CCEDMN C#CCNC(=O)[C@@H](C)[N@H+]1CCC[C@@](C)(C(=O)[O-])C1 ZINC000062875989 957620619 /nfs/dbraw/zinc/62/06/19/957620619.db2.gz JBZSWXNGNZLSTA-ZWNOBZJWSA-N 0 1 252.314 0.311 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)Nc1ccnc(C(=O)[O-])c1 ZINC001588395426 958040049 /nfs/dbraw/zinc/04/00/49/958040049.db2.gz MGJRPIKBEAAREB-UHFFFAOYSA-N 0 1 290.323 0.856 20 30 CCEDMN C#CC[NH2+]CC(=O)Nc1cccc(OCC(=O)[O-])c1 ZINC000092493443 958166207 /nfs/dbraw/zinc/16/62/07/958166207.db2.gz PQWDWTYBRBYAQJ-UHFFFAOYSA-N 0 1 262.265 0.311 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC001588424282 958213398 /nfs/dbraw/zinc/21/33/98/958213398.db2.gz NHWWRHTYUWIEQB-GFCCVEGCSA-N 0 1 278.264 0.648 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@@H](O)c1ccc([N+](=O)[O-])cc1 ZINC001588424282 958213412 /nfs/dbraw/zinc/21/34/12/958213412.db2.gz NHWWRHTYUWIEQB-GFCCVEGCSA-N 0 1 278.264 0.648 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)[C@H]2CC[C@@H](C(=O)[O-])C2)C1 ZINC001588430537 958258410 /nfs/dbraw/zinc/25/84/10/958258410.db2.gz NAPWOZATJAGPHN-XQQFMLRXSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)[C@H]2CC[C@@H](C(=O)[O-])C2)C1 ZINC001588430537 958258422 /nfs/dbraw/zinc/25/84/22/958258422.db2.gz NAPWOZATJAGPHN-XQQFMLRXSA-N 0 1 278.352 0.701 20 30 CCEDMN C#CCn1ccc(C[N@@H+](CCCC(=O)[O-])CCOC)n1 ZINC001588433010 958290823 /nfs/dbraw/zinc/29/08/23/958290823.db2.gz KCGWVINZXLWQFV-UHFFFAOYSA-N 0 1 279.340 0.830 20 30 CCEDMN C#CCn1ccc(C[N@H+](CCCC(=O)[O-])CCOC)n1 ZINC001588433010 958290837 /nfs/dbraw/zinc/29/08/37/958290837.db2.gz KCGWVINZXLWQFV-UHFFFAOYSA-N 0 1 279.340 0.830 20 30 CCEDMN C[C@H](C(=O)[O-])[N@@H+](C[C@@H](O)CC1(C#N)CCOCC1)C1CC1 ZINC001588593086 959367359 /nfs/dbraw/zinc/36/73/59/959367359.db2.gz WJELYPOGDRDEBQ-YPMHNXCESA-N 0 1 296.367 0.995 20 30 CCEDMN N#CCCNC(=O)C[N@@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)[O-] ZINC000229212365 960066668 /nfs/dbraw/zinc/06/66/68/960066668.db2.gz KSDXFSLNQJKRER-JBLDHEPKSA-N 0 1 265.313 0.201 20 30 CCEDMN CCC[N@@H+](CC)CCNC(=O)[C@](C)(C#N)CC(=O)[O-] ZINC001573855096 961146988 /nfs/dbraw/zinc/14/69/88/961146988.db2.gz WDCLEDJYYJTXKA-ZDUSSCGKSA-N 0 1 269.345 0.839 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@H+](CC(=O)[O-])CC1CC1 ZINC001574008173 961917820 /nfs/dbraw/zinc/91/78/20/961917820.db2.gz YKABEGGYMJEPRT-UHFFFAOYSA-N 0 1 267.329 0.545 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@]2(CCN(C(=O)CNC(=O)[O-])C2)C1 ZINC001573326523 962805265 /nfs/dbraw/zinc/80/52/65/962805265.db2.gz XVBUVZQDKKAHGB-AWEZNQCLSA-N 0 1 281.356 0.755 20 30 CCEDMN C=C(Cl)C[N@H+]1CC[C@@H](NC(=O)CNC(=O)[O-])C[C@@H]1C ZINC001573338458 962875502 /nfs/dbraw/zinc/87/55/02/962875502.db2.gz BKMQENPIZVBUDQ-VHSXEESVSA-N 0 1 289.763 0.976 20 30 CCEDMN C=C(Cl)C[N@@H+]1CC[C@@H](NC(=O)CNC(=O)[O-])C[C@@H]1C ZINC001573338458 962875510 /nfs/dbraw/zinc/87/55/10/962875510.db2.gz BKMQENPIZVBUDQ-VHSXEESVSA-N 0 1 289.763 0.976 20 30 CCEDMN C=CCC[C@H](C)[N@H+]1CC[C@H](NC(=O)CNC(=O)[O-])C1 ZINC001573353577 963004681 /nfs/dbraw/zinc/00/46/81/963004681.db2.gz ZIHWPUBYSWAXCG-QWRGUYRKSA-N 0 1 269.345 0.799 20 30 CCEDMN C=CC[N@@H+](CCC(=O)NCC(=O)[O-])CCc1ccco1 ZINC001573368543 963081579 /nfs/dbraw/zinc/08/15/79/963081579.db2.gz NNQYXSKQRWFMOI-UHFFFAOYSA-N 0 1 280.324 0.901 20 30 CCEDMN C=CCOCC[N@@H+]1CC[C@@H](NC(=O)CNC(=O)[O-])C[C@@H]1C ZINC001573369725 963101961 /nfs/dbraw/zinc/10/19/61/963101961.db2.gz YPOGUNJDNSNVSZ-NWDGAFQWSA-N 0 1 299.371 0.426 20 30 CCEDMN C#CCCCCC(=O)O[C@@H]1C[C@@H](C(=O)[O-])[N@H+](C)C1 ZINC001588440772 964044474 /nfs/dbraw/zinc/04/44/74/964044474.db2.gz QALAIYAHZGTTFE-MNOVXSKESA-N 0 1 253.298 0.881 20 30 CCEDMN C#CCCCCC(=O)O[C@@H]1C[C@@H](C(=O)[O-])[N@@H+](C)C1 ZINC001588440772 964044485 /nfs/dbraw/zinc/04/44/85/964044485.db2.gz QALAIYAHZGTTFE-MNOVXSKESA-N 0 1 253.298 0.881 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@H](C(=O)[O-])[C@H](C)C1 ZINC000381069291 968086821 /nfs/dbraw/zinc/08/68/21/968086821.db2.gz BBIXICKCTJDTLU-VWYCJHECSA-N 0 1 252.314 0.167 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1CC[C@@H](C(=O)[O-])[C@H](C)C1 ZINC000381069288 968086862 /nfs/dbraw/zinc/08/68/62/968086862.db2.gz BBIXICKCTJDTLU-OUAUKWLOSA-N 0 1 252.314 0.167 20 30 CCEDMN C[C@H]1C[C@H](C(=O)[O-])C[N@@H+](CC(=O)NC2(C#N)CCC2)C1 ZINC001603367792 972871358 /nfs/dbraw/zinc/87/13/58/972871358.db2.gz JKYIOQOJSMATLV-QWRGUYRKSA-N 0 1 279.340 0.591 20 30 CCEDMN O=C([O-])CS(=O)(=O)CC[NH2+]CC#Cc1ccccc1 ZINC001606296613 973702198 /nfs/dbraw/zinc/70/21/98/973702198.db2.gz JRJILQHITJJDPY-UHFFFAOYSA-N 0 1 281.333 0.127 20 30 CCEDMN C#C[C@H]([NH2+][C@H](C(=O)[O-])C1(OC)CCC1)[C@H]1CCCO1 ZINC001588377268 983356450 /nfs/dbraw/zinc/35/64/50/983356450.db2.gz KHRHKGSGBGHCGM-QJPTWQEYSA-N 0 1 267.325 0.779 20 30 CCEDMN C#CCNC(=O)CC[N@H+](C[C@H](C)C(=O)[O-])C1CC1 ZINC001588479188 983517959 /nfs/dbraw/zinc/51/79/59/983517959.db2.gz BJGCZFICXLDTHW-JTQLQIEISA-N 0 1 252.314 0.311 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1CCCCC[C@H]1C(=O)[O-] ZINC001588479365 983519048 /nfs/dbraw/zinc/51/90/48/983519048.db2.gz KXRIQLZFVPHLSP-NSHDSACASA-N 0 1 252.314 0.455 20 30 CCEDMN C#CCOCC[N@@H+]1CCCC[C@@]1(COC)C(=O)[O-] ZINC001588491885 983538064 /nfs/dbraw/zinc/53/80/64/983538064.db2.gz ZFEMAWYXCYLAMI-CYBMUJFWSA-N 0 1 255.314 0.592 20 30 CCEDMN C#Cc1cncc(C(=O)N2CC[N@H+](C)C[C@H](C(=O)[O-])C2)c1 ZINC001588528540 983576667 /nfs/dbraw/zinc/57/66/67/983576667.db2.gz ACQPBGJUSNAQFZ-ZDUSSCGKSA-N 0 1 287.319 0.151 20 30 CCEDMN C=C(C)C[C@H](NC(=O)C[N@@H+]1CC[C@@H](C)C1)C(=O)[O-] ZINC001588543089 983610734 /nfs/dbraw/zinc/61/07/34/983610734.db2.gz WSEBMZIOHVZUFS-MNOVXSKESA-N 0 1 254.330 0.864 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)N1C[C@H]2C[N@H+](C)C[C@@]2(C)C1 ZINC001588618935 983713778 /nfs/dbraw/zinc/71/37/78/983713778.db2.gz FQUCUKVPWNCBHX-RISCZKNCSA-N 0 1 266.341 0.818 20 30 CCEDMN C=C(CCC(=O)[O-])C(=O)NC1CC([NH+]2CCOCC2)C1 ZINC001588621579 983720230 /nfs/dbraw/zinc/72/02/30/983720230.db2.gz HAAVIBXGMAFNRW-UHFFFAOYSA-N 0 1 282.340 0.387 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@@H+]1CCC[C@@]1(COC)C(=O)[O-] ZINC001596299711 983725796 /nfs/dbraw/zinc/72/57/96/983725796.db2.gz XBEWTQUFCCFMLS-CQSZACIVSA-N 0 1 297.355 0.314 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1CCC[C@@]1(COC)C(=O)[O-] ZINC001596299711 983725801 /nfs/dbraw/zinc/72/58/01/983725801.db2.gz XBEWTQUFCCFMLS-CQSZACIVSA-N 0 1 297.355 0.314 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1C[C@@H](O)CC2(CCOCC2)C1 ZINC001588662978 983792671 /nfs/dbraw/zinc/79/26/71/983792671.db2.gz ATZLXTWMPDKVBA-QWRGUYRKSA-N 0 1 255.314 0.489 20 30 CCEDMN C=C[C@H](C(=O)OC)[N@@H+]1CCC[C@](O)(C2(C(=O)[O-])CCC2)C1 ZINC001588672173 983824011 /nfs/dbraw/zinc/82/40/11/983824011.db2.gz PDQFMOLMYZYPTO-IAQYHMDHSA-N 0 1 297.351 0.796 20 30 CCEDMN C=C[C@H](C(=O)OC)[N@H+]1CCC[C@](O)(C2(C(=O)[O-])CCC2)C1 ZINC001588672173 983824016 /nfs/dbraw/zinc/82/40/16/983824016.db2.gz PDQFMOLMYZYPTO-IAQYHMDHSA-N 0 1 297.351 0.796 20 30 CCEDMN C=CCC[N@@H+](CC)CCNC(=O)[C@H]1C[C@@H]1C(=O)[O-] ZINC001588807326 984259362 /nfs/dbraw/zinc/25/93/62/984259362.db2.gz JKSIGPANWQRWNS-QWRGUYRKSA-N 0 1 254.330 0.721 20 30 CCEDMN C=CCCO[C@@H](C)C(=O)N[C@H](Cc1cnc[nH]1)C(=O)[O-] ZINC001588808531 984262336 /nfs/dbraw/zinc/26/23/36/984262336.db2.gz HHGGWCDULSTJQX-GXSJLCMTSA-N 0 1 281.312 0.503 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@@H](C)[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001588825466 984300805 /nfs/dbraw/zinc/30/08/05/984300805.db2.gz QUVVKMDNKCQHLA-NDBYEHHHSA-N 0 1 278.352 0.838 20 30 CCEDMN C=C[C@H](CO)[NH2+]CC(=O)Nc1ccc(C(=O)[O-])cc1 ZINC001588919362 984571775 /nfs/dbraw/zinc/57/17/75/984571775.db2.gz IUPOLVFDZSARHZ-SNVBAGLBSA-N 0 1 264.281 0.460 20 30 CCEDMN C[C@@H]1C[N@@H+](CCC(=O)[O-])CCN1C(=O)c1cc(C#N)c[nH]1 ZINC001594519797 985966693 /nfs/dbraw/zinc/96/66/93/985966693.db2.gz FHJHSWGELMJMBI-SNVBAGLBSA-N 0 1 290.323 0.507 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@H]1C ZINC001589329668 986165723 /nfs/dbraw/zinc/16/57/23/986165723.db2.gz RMZXHXQCIJQNDS-VHSXEESVSA-N 0 1 253.302 0.153 20 30 CCEDMN C[C@H](C(=O)N(C)CCC#N)[N@H+]1CC[C@@H](C(=O)[O-])[C@H]1C ZINC001589339582 986237836 /nfs/dbraw/zinc/23/78/36/986237836.db2.gz FDMQWIIGKJQEQU-GMTAPVOTSA-N 0 1 267.329 0.542 20 30 CCEDMN CC(C)C#CC(=O)N1CC[NH+]([C@]2(C(=O)[O-])CCOC2)CC1 ZINC001590927093 990930875 /nfs/dbraw/zinc/93/08/75/990930875.db2.gz RFVXJRHRNRSZDF-OAHLLOKOSA-N 0 1 294.351 0.034 20 30 CCEDMN C[N@H+]1C[C@@H](OC(=O)c2ccc(C#N)[nH]2)C[C@]1(C)C(=O)[O-] ZINC001598576196 993279529 /nfs/dbraw/zinc/27/95/29/993279529.db2.gz RMKIOIHEQGUECE-TVQRCGJNSA-N 0 1 277.280 0.591 20 30 CCEDMN C[N@H+]1CCC[C@H]1CNC(=O)N1CCC(C#N)(C(=O)[O-])CC1 ZINC001598602871 993589749 /nfs/dbraw/zinc/58/97/49/993589749.db2.gz UMXULIDXPOLTJH-NSHDSACASA-N 0 1 294.355 0.481 20 30 CCEDMN C[N@H+]1CCN(C(=O)C2(C#N)CC3(CC3)C2)C[C@H](C(=O)[O-])C1 ZINC001598603101 993595229 /nfs/dbraw/zinc/59/52/29/993595229.db2.gz BUAOHVPWFWYRKQ-LLVKDONJSA-N 0 1 291.351 0.545 20 30 CCEDMN COC(=O)[C@@H]1C[N@H+](C[C@H](C#N)CCC#N)C[C@H]1C(=O)[O-] ZINC001598823736 996359327 /nfs/dbraw/zinc/35/93/27/996359327.db2.gz WYQAMLOAHJPUAR-HBNTYKKESA-N 0 1 279.296 0.236 20 30 CCEDMN COC(=O)[C@@H]1C[N@@H+](C[C@H](C#N)CCC#N)C[C@H]1C(=O)[O-] ZINC001598823736 996359332 /nfs/dbraw/zinc/35/93/32/996359332.db2.gz WYQAMLOAHJPUAR-HBNTYKKESA-N 0 1 279.296 0.236 20 30 CCEDMN COC(=O)[C@@H]1CCCN1CC#CC[N@H+]1CCC[C@H]1C(=O)[O-] ZINC001598894994 997064003 /nfs/dbraw/zinc/06/40/03/997064003.db2.gz BPDPQYDUJSRPLU-STQMWFEESA-N 0 1 294.351 0.176 20 30 CCEDMN C[C@@H]1[C@@H](C(=O)[O-])CC[N@@H+]1Cn1cccc(C#N)c1=O ZINC001594166747 998634520 /nfs/dbraw/zinc/63/45/20/998634520.db2.gz BHZGNIUNRGQELQ-KOLCDFICSA-N 0 1 261.281 0.472 20 30 CCEDMN C[C@@H]1[C@@H](C(=O)[O-])CC[N@H+]1Cn1cccc(C#N)c1=O ZINC001594166747 998634522 /nfs/dbraw/zinc/63/45/22/998634522.db2.gz BHZGNIUNRGQELQ-KOLCDFICSA-N 0 1 261.281 0.472 20 30 CCEDMN CN(CC(=O)NCc1ccc(C#N)cc1)C[C@H]1C[C@@H](O)C1 ZINC000599669113 361781266 /nfs/dbraw/zinc/78/12/66/361781266.db2.gz BOUYFMBSKXBPOO-GASCZTMLSA-N 0 1 287.363 0.877 20 30 CCEDMN Cc1nsc(NC(=O)NCCN2CC[C@@H](O)C2)c1C#N ZINC000599785838 361814723 /nfs/dbraw/zinc/81/47/23/361814723.db2.gz BEEGJBOESVDEAQ-SECBINFHSA-N 0 1 295.368 0.511 20 30 CCEDMN CS(=O)(=O)Nc1ccc(C(=O)NCCCC#N)cn1 ZINC000599750718 361805162 /nfs/dbraw/zinc/80/51/62/361805162.db2.gz MFFFQYYDAWCAAZ-UHFFFAOYSA-N 0 1 282.325 0.487 20 30 CCEDMN C#CCCOc1ccc(CN2C[C@@H](O)[C@@H](O)C2)cc1 ZINC000093483743 349543882 /nfs/dbraw/zinc/54/38/82/349543882.db2.gz XLERPJCIHABQPP-GASCZTMLSA-N 0 1 261.321 0.626 20 30 CCEDMN CC(C)[C@H]1CNCCN1C(=O)C(=O)NCCCCC#N ZINC000599953958 361841442 /nfs/dbraw/zinc/84/14/42/361841442.db2.gz ANAUECOPWJLAPM-GFCCVEGCSA-N 0 1 280.372 0.253 20 30 CCEDMN CC[C@@H]1CN(c2nccnc2C#N)CCN1C[C@@H](C)O ZINC000105790861 349724046 /nfs/dbraw/zinc/72/40/46/349724046.db2.gz FPSVAECABWEVQM-VXGBXAGGSA-N 0 1 275.356 0.630 20 30 CCEDMN N#Cc1c(F)cc(C(=O)NCCN2CC[C@@H](O)C2)cc1F ZINC000600534112 362005544 /nfs/dbraw/zinc/00/55/44/362005544.db2.gz MTRGVCLOPSEDFQ-SNVBAGLBSA-N 0 1 295.289 0.633 20 30 CCEDMN Cc1nc(N2CC[N@H+](C)C[C@@H](C(=O)[O-])C2)ccc1C#N ZINC000600677685 362031273 /nfs/dbraw/zinc/03/12/73/362031273.db2.gz JBYPDGQGHXFEFJ-GFCCVEGCSA-N 0 1 274.324 0.714 20 30 CCEDMN CN(C)C1(C(=O)NC[C@H]2CCCN(CC#N)C2)CC1 ZINC000600816429 362068603 /nfs/dbraw/zinc/06/86/03/362068603.db2.gz DKPLJMCBVKYBIE-GFCCVEGCSA-N 0 1 264.373 0.432 20 30 CCEDMN N#CC1(CNCCC(=O)NC(N)=O)CCCCC1 ZINC000600754575 362054818 /nfs/dbraw/zinc/05/48/18/362054818.db2.gz BHZQEDRZWYQKOJ-UHFFFAOYSA-N 0 1 252.318 0.635 20 30 CCEDMN CN(CC(=O)N(CC#N)CC#N)[C@H]1CCSC1 ZINC000347168523 529825802 /nfs/dbraw/zinc/82/58/02/529825802.db2.gz PPTZSBRLFFVKPC-JTQLQIEISA-N 0 1 252.343 0.299 20 30 CCEDMN C[C@@]1(C#N)CCCN(S(=O)(=O)c2ncc[nH]2)C1 ZINC000601391422 362254710 /nfs/dbraw/zinc/25/47/10/362254710.db2.gz ORPKFBAQLGFSHP-JTQLQIEISA-N 0 1 254.315 0.724 20 30 CCEDMN C=C(C)C[C@H](NC(=O)[C@@H]1CCCN1C)C(=O)OC ZINC000601787449 362393983 /nfs/dbraw/zinc/39/39/83/362393983.db2.gz XPNHHOSMYGSHKK-QWRGUYRKSA-N 0 1 254.330 0.705 20 30 CCEDMN COC(=O)C1(C)CN(C[C@H](O)CC2(C#N)CCOCC2)C1 ZINC000601956604 362437672 /nfs/dbraw/zinc/43/76/72/362437672.db2.gz BVUCMGSQWRIICI-GFCCVEGCSA-N 0 1 296.367 0.553 20 30 CCEDMN CCN(CCC#N)C(=O)[C@H]1C[N@H+]2CCC[C@@H]2CO1 ZINC000602080789 362475372 /nfs/dbraw/zinc/47/53/72/362475372.db2.gz YOWPWHXWTNTKKF-VXGBXAGGSA-N 0 1 251.330 0.612 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1cncc(C#N)c1)N(C)C ZINC000311244027 232016122 /nfs/dbraw/zinc/01/61/22/232016122.db2.gz ZBQLKHPHNOVEGP-VIFPVBQESA-N 0 1 268.342 0.182 20 30 CCEDMN CCc1cc(C(=O)N2CCN(CCC#N)CC2)n[nH]1 ZINC000602206013 362558475 /nfs/dbraw/zinc/55/84/75/362558475.db2.gz JRSQEJMHNFCPCL-UHFFFAOYSA-N 0 1 261.329 0.644 20 30 CCEDMN C=CCCSCCNC(=O)NCCN1CC[C@H](O)C1 ZINC000602335458 362590453 /nfs/dbraw/zinc/59/04/53/362590453.db2.gz YUGKQHLBVASDQD-LBPRGKRZSA-N 0 1 287.429 0.662 20 30 CCEDMN C[C@@H](Oc1ccccc1C#N)C(=O)NC[C@@H]1COCCN1 ZINC000602553262 362676524 /nfs/dbraw/zinc/67/65/24/362676524.db2.gz XDCRZWJPCRHTLP-DGCLKSJQSA-N 0 1 289.335 0.430 20 30 CCEDMN C=CC[C@@H](CO)CNCc1cc(C(=O)OC)no1 ZINC000602579706 362688674 /nfs/dbraw/zinc/68/86/74/362688674.db2.gz AXZWCOHPKBQKGL-SECBINFHSA-N 0 1 254.286 0.735 20 30 CCEDMN CC(C)OC[C@H](N)C(=O)N(C)[C@H]1CCC[C@@H]1C#N ZINC000602603831 362708675 /nfs/dbraw/zinc/70/86/75/362708675.db2.gz VIFLPTIUFAIBJR-WOPDTQHZSA-N 0 1 253.346 0.889 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)[C@@H](N)COC(C)C)C(=O)OC ZINC000602598724 362703714 /nfs/dbraw/zinc/70/37/14/362703714.db2.gz DVGHYCGWWZMIBC-WDEREUQCSA-N 0 1 272.345 0.363 20 30 CCEDMN CCOCCOC[C@H](O)CNCc1cccc(C#N)n1 ZINC000602629016 362721333 /nfs/dbraw/zinc/72/13/33/362721333.db2.gz DEQLHSHMAUYZPA-CQSZACIVSA-N 0 1 279.340 0.457 20 30 CCEDMN Cc1cc(CN2CCN(C)C[C@H]2CO)ccc1C#N ZINC000602714612 362766871 /nfs/dbraw/zinc/76/68/71/362766871.db2.gz HPROPCHFUDCSHG-HNNXBMFYSA-N 0 1 259.353 0.975 20 30 CCEDMN C[C@@H](O)CCN1CCN(c2cc(C#N)ccn2)CC1 ZINC000336804794 136585581 /nfs/dbraw/zinc/58/55/81/136585581.db2.gz LCIPOQFVDZHNIW-GFCCVEGCSA-N 0 1 260.341 0.846 20 30 CCEDMN CCOC[C@H](O)CN1C[C@@H](C)N(CC#N)[C@H](C)C1 ZINC000602858957 362845142 /nfs/dbraw/zinc/84/51/42/362845142.db2.gz WGVFGHWFXWVSCX-JHJVBQTASA-N 0 1 255.362 0.302 20 30 CCEDMN C[C@H](O)CN1CCN(c2ccnc(C#N)c2)[C@@H](C)C1 ZINC000343021632 137110541 /nfs/dbraw/zinc/11/05/41/137110541.db2.gz ARNIBHZUOTYBKT-RYUDHWBXSA-N 0 1 260.341 0.845 20 30 CCEDMN CCN1C[C@@H](C)N(C(=O)[C@H](C)n2cnc(C#N)n2)[C@@H](C)C1 ZINC000344470066 137195986 /nfs/dbraw/zinc/19/59/86/137195986.db2.gz VDSGBGHVDOWYPJ-TUAOUCFPSA-N 0 1 290.371 0.652 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N2CC[C@@](C)(C#N)C2)[nH]1 ZINC000602884224 362863531 /nfs/dbraw/zinc/86/35/31/362863531.db2.gz ALSQBGYKAGYPON-LBPRGKRZSA-N 0 1 258.285 0.481 20 30 CCEDMN C=CCN(C(=O)N=c1[nH]n(C)cc1C#N)[C@H](C)COC ZINC000602885994 362864261 /nfs/dbraw/zinc/86/42/61/362864261.db2.gz HQHWNYFZSRMIRC-SNVBAGLBSA-N 0 1 277.328 0.768 20 30 CCEDMN CC(C)C[N@@H+](C)CCCNC(=O)N=c1[n-]n(C)cc1C#N ZINC000602889699 362866945 /nfs/dbraw/zinc/86/69/45/362866945.db2.gz ZOZLPSGYXPUSBU-UHFFFAOYSA-N 0 1 292.387 0.813 20 30 CCEDMN C[C@H]1CN(C(=O)N=c2[nH]n(C)cc2C#N)CC2(CCC2)O1 ZINC000602889139 362867502 /nfs/dbraw/zinc/86/75/02/362867502.db2.gz XCKDOWCVJWVFBB-JTQLQIEISA-N 0 1 289.339 0.889 20 30 CCEDMN C[C@@H](CN(C)C(=O)c1ccc(C#N)o1)c1nn[nH]n1 ZINC000346561342 137293346 /nfs/dbraw/zinc/29/33/46/137293346.db2.gz ZWFAMCNORYEQAP-ZETCQYMHSA-N 0 1 260.257 0.540 20 30 CCEDMN CCN(C[C@H](C)C#N)C(=O)CSc1nc(N)cc(N)n1 ZINC000048599767 348418576 /nfs/dbraw/zinc/41/85/76/348418576.db2.gz WWMNTFPDHRUXSW-MRVPVSSYSA-N 0 1 294.384 0.741 20 30 CCEDMN C[C@@H](C(N)=O)N1CCCN(Cc2ccc(C#N)cc2)CC1 ZINC000055172145 348571888 /nfs/dbraw/zinc/57/18/88/348571888.db2.gz GQTVGULMEFQPDZ-ZDUSSCGKSA-N 0 1 286.379 0.940 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H](O)C2)CC1 ZINC000068009896 348793760 /nfs/dbraw/zinc/79/37/60/348793760.db2.gz NPZROMSFHNHXOG-ZDUSSCGKSA-N 0 1 250.342 0.315 20 30 CCEDMN CCS(=O)(=O)NCCNCc1ccc(C#N)cc1 ZINC000066875754 348758879 /nfs/dbraw/zinc/75/88/79/348758879.db2.gz KHWWQMBAVKZWNI-UHFFFAOYSA-N 0 1 267.354 0.587 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCN([C@@H](CC)CO)CC1 ZINC000075961383 349060041 /nfs/dbraw/zinc/06/00/41/349060041.db2.gz FRYHRBSOEZLWIA-OLZOCXBDSA-N 0 1 269.389 0.066 20 30 CCEDMN CN(C)CC(=O)N1CCN(c2cccc(F)c2C#N)CC1 ZINC000079806936 349190997 /nfs/dbraw/zinc/19/09/97/349190997.db2.gz POJZBPHYBAAJDA-UHFFFAOYSA-N 0 1 290.342 0.908 20 30 CCEDMN C[C@H]1CN(S(=O)(=O)c2cccc(C#N)c2)[C@@H](C)CN1 ZINC000086468786 349339750 /nfs/dbraw/zinc/33/97/50/349339750.db2.gz ZIDFSWJTBBLDRD-QWRGUYRKSA-N 0 1 279.365 0.929 20 30 CCEDMN N#Cc1csc(CN[C@@H]2CCS(=O)(=O)C2)c1 ZINC000086500156 349340978 /nfs/dbraw/zinc/34/09/78/349340978.db2.gz OQHFBXMKAYLSGL-SECBINFHSA-N 0 1 256.352 0.896 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H]([C@@H](C)O)C1 ZINC000089931441 349414012 /nfs/dbraw/zinc/41/40/12/349414012.db2.gz CWBMVAMOPLLXOZ-OLZOCXBDSA-N 0 1 267.373 0.841 20 30 CCEDMN CN1C(=O)CC[C@@H](NCc2cccc(C#N)c2)C1=O ZINC000090025807 349421884 /nfs/dbraw/zinc/42/18/84/349421884.db2.gz FNIZOKJJWNMYDE-GFCCVEGCSA-N 0 1 257.293 0.795 20 30 CCEDMN C[C@H]1C[C@H](C(=O)NC2(C#N)CCN(C)CC2)CO1 ZINC000132463778 350308846 /nfs/dbraw/zinc/30/88/46/350308846.db2.gz HUQHYONLKHQPLJ-QWRGUYRKSA-N 0 1 251.330 0.516 20 30 CCEDMN C[C@@H](CNC(=O)c1ccc(C#N)[nH]1)N1CCN(C)CC1 ZINC000133492089 350337596 /nfs/dbraw/zinc/33/75/96/350337596.db2.gz HVGBDOABMCQMFO-NSHDSACASA-N 0 1 275.356 0.252 20 30 CCEDMN N#Cc1ccc(CN[C@H]2CCS(=O)(=O)C2)s1 ZINC000135495932 350398394 /nfs/dbraw/zinc/39/83/94/350398394.db2.gz HMALICPDXAFDCL-QMMMGPOBSA-N 0 1 256.352 0.896 20 30 CCEDMN C[C@@H]1CN(C(=O)Cc2ccn[nH]2)C[C@H](C)N1CC#N ZINC000603102460 362963797 /nfs/dbraw/zinc/96/37/97/362963797.db2.gz UPUFHBZQXIXWBX-PHIMTYICSA-N 0 1 261.329 0.397 20 30 CCEDMN CN(CCCc1[nH]nc(N)c1C#N)CC(=O)NC(C)(C)C ZINC000153256612 350555940 /nfs/dbraw/zinc/55/59/40/350555940.db2.gz WYSWAOSOVUUYMZ-UHFFFAOYSA-N 0 1 292.387 0.643 20 30 CCEDMN CC(C)(O)CNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000161005069 350710421 /nfs/dbraw/zinc/71/04/21/350710421.db2.gz IMCWNRQFWRGVNH-UHFFFAOYSA-N 0 1 290.291 0.886 20 30 CCEDMN C[C@H](O)[C@@H]1CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000162804897 350754053 /nfs/dbraw/zinc/75/40/53/350754053.db2.gz HPEAKBSFUMEYSE-WDMOLILDSA-N 0 1 265.357 0.498 20 30 CCEDMN CNCc1cn([C@H](C)C(=O)N[C@](C)(C#N)C(C)C)nn1 ZINC000603178636 362985907 /nfs/dbraw/zinc/98/59/07/362985907.db2.gz XHRDRSLCMGLXFK-ZWNOBZJWSA-N 0 1 278.360 0.613 20 30 CCEDMN CNCc1cn(C[C@@H](O)COc2ccccc2C#N)nn1 ZINC000603263201 363033464 /nfs/dbraw/zinc/03/34/64/363033464.db2.gz DZIBSZLGBBRJDX-CYBMUJFWSA-N 0 1 287.323 0.309 20 30 CCEDMN COCc1cc(C#N)ccc1N1CCN(CCO)CC1 ZINC000603269188 363036592 /nfs/dbraw/zinc/03/65/92/363036592.db2.gz YJOBGRCVJVZCPU-UHFFFAOYSA-N 0 1 275.352 0.819 20 30 CCEDMN N#Cc1ccc(CS(=O)(=O)NCC(F)(F)CO)cc1 ZINC000228591113 352077508 /nfs/dbraw/zinc/07/75/08/352077508.db2.gz DTCMTYXGYJFSTR-UHFFFAOYSA-N 0 1 290.291 0.605 20 30 CCEDMN C[C@H]1CN(S(=O)(=O)c2ccc(C#N)s2)[C@@H](C)CN1 ZINC000230331704 352111722 /nfs/dbraw/zinc/11/17/22/352111722.db2.gz GKZCVCUSYJOTBN-IUCAKERBSA-N 0 1 285.394 0.991 20 30 CCEDMN N#Cc1ccnc(NCC(=O)N2CCNCC2)c1Cl ZINC000236056393 352191351 /nfs/dbraw/zinc/19/13/51/352191351.db2.gz YHQRNKIVBQMPCM-UHFFFAOYSA-N 0 1 279.731 0.450 20 30 CCEDMN C#CCN1CCC(C(=O)N(C)[C@H]2CCN(C)C2=O)CC1 ZINC000265675158 352655902 /nfs/dbraw/zinc/65/59/02/352655902.db2.gz SMXPJZGWGCECPT-ZDUSSCGKSA-N 0 1 277.368 0.021 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N(CC)C[C@H]1CCCO1 ZINC000270735681 352976328 /nfs/dbraw/zinc/97/63/28/352976328.db2.gz OZJWYCVVPSKVPT-VXGBXAGGSA-N 0 1 283.372 0.888 20 30 CCEDMN C=CCS(=O)(=O)CCNCc1cnc2cnccn12 ZINC000272809776 353096469 /nfs/dbraw/zinc/09/64/69/353096469.db2.gz GSYLBJIOHMSUCH-UHFFFAOYSA-N 0 1 280.353 0.420 20 30 CCEDMN C=CCN(C)C(=O)NCCCN1CCC[C@@H]1C(N)=O ZINC000274979900 353176911 /nfs/dbraw/zinc/17/69/11/353176911.db2.gz DXGLAWCCRZUXTK-LLVKDONJSA-N 0 1 268.361 0.154 20 30 CCEDMN C[C@H](O)CCNS(=O)(=O)c1c(F)cc(C#N)cc1F ZINC000154303087 188134692 /nfs/dbraw/zinc/13/46/92/188134692.db2.gz JORBPANALKQHDT-ZETCQYMHSA-N 0 1 290.291 0.886 20 30 CCEDMN C[C@@H](CNC(=O)c1cc(C#N)ccn1)N1CCN(C)CC1 ZINC000282905283 353462022 /nfs/dbraw/zinc/46/20/22/353462022.db2.gz BBURGAPYWOYCAH-LBPRGKRZSA-N 0 1 287.367 0.319 20 30 CCEDMN N#Cc1ccnc(C(=O)NCCCCN2CCOCC2)c1 ZINC000282984095 353470126 /nfs/dbraw/zinc/47/01/26/353470126.db2.gz JLCRJYLHXQLIFV-UHFFFAOYSA-N 0 1 288.351 0.795 20 30 CCEDMN Cc1nc([C@H](C)NC(=O)c2cc(C#N)ccn2)n[nH]1 ZINC000286503906 353591069 /nfs/dbraw/zinc/59/10/69/353591069.db2.gz WRUCQDZOMMDEHY-ZETCQYMHSA-N 0 1 256.269 0.871 20 30 CCEDMN CCN1CCC[C@H]1CNS(=O)(=O)c1ccc(C#N)o1 ZINC000286530868 353593488 /nfs/dbraw/zinc/59/34/88/353593488.db2.gz NYZPYETZZDAGAI-JTQLQIEISA-N 0 1 283.353 0.914 20 30 CCEDMN COC(=O)c1coc(CNCCn2cnc(C#N)n2)c1 ZINC000289901140 353692912 /nfs/dbraw/zinc/69/29/12/353692912.db2.gz WTBLUARMTMKITF-UHFFFAOYSA-N 0 1 275.268 0.319 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)c1cc([N+](=O)[O-])ccc1[O-] ZINC000294017900 353860638 /nfs/dbraw/zinc/86/06/38/353860638.db2.gz QHBDCWMCRLCXQD-UHFFFAOYSA-N 0 1 291.307 0.985 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000296393548 353945154 /nfs/dbraw/zinc/94/51/54/353945154.db2.gz GNIWHEXTMOWCKM-LBPRGKRZSA-N 0 1 291.399 0.903 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)NC[C@@H](C)CC(=O)OCC ZINC000296414281 353946904 /nfs/dbraw/zinc/94/69/04/353946904.db2.gz HTVSMTALPKOVIY-ZDUSSCGKSA-N 0 1 297.399 0.830 20 30 CCEDMN Cn1ccnc1[C@H](NCCn1cnc(C#N)n1)C1CC1 ZINC000556919075 291017061 /nfs/dbraw/zinc/01/70/61/291017061.db2.gz CSLPZRBWSFECRJ-GFCCVEGCSA-N 0 1 271.328 0.624 20 30 CCEDMN C=CC[C@@H](CO)NCCS(=O)(=O)c1ccc(C#N)cc1 ZINC000321086716 354227898 /nfs/dbraw/zinc/22/78/98/354227898.db2.gz COKBZTNEJAJPLW-ZDUSSCGKSA-N 0 1 294.376 0.859 20 30 CCEDMN C[C@@]1(O)CCN(CC(=O)NCc2cccc(C#N)c2)C1 ZINC000331110088 354452436 /nfs/dbraw/zinc/45/24/36/354452436.db2.gz IOZHJIBYCUVOBJ-OAHLLOKOSA-N 0 1 273.336 0.631 20 30 CCEDMN COC(=O)[C@@H]1[C@@H]2CCC[C@H]2CN1C(=O)C(C)C#N ZINC000331373999 354555918 /nfs/dbraw/zinc/55/59/18/354555918.db2.gz HGWWZCNXIVODEG-MMWGEVLESA-N 0 1 250.298 0.946 20 30 CCEDMN Cc1ncc(S(=O)(=O)NCCN(C)C2CC2)cc1C#N ZINC000331565616 354608097 /nfs/dbraw/zinc/60/80/97/354608097.db2.gz VNZVAAPUSVPNDW-UHFFFAOYSA-N 0 1 294.380 0.634 20 30 CCEDMN N#Cc1ccc(NCC(=O)N2CCN3CCCC[C@@H]3C2)nc1 ZINC000332482743 354684756 /nfs/dbraw/zinc/68/47/56/354684756.db2.gz MVVRQYCCLDAMOC-CQSZACIVSA-N 0 1 299.378 0.484 20 30 CCEDMN COc1ccc(C#N)cc1CN1CCn2c(n[nH]c2=O)C1 ZINC000332667845 354715237 /nfs/dbraw/zinc/71/52/37/354715237.db2.gz YORWJLUIDOTHAG-UHFFFAOYSA-N 0 1 285.307 0.880 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NC(C)(C)C(F)F)[nH]1 ZINC000619320228 366235825 /nfs/dbraw/zinc/23/58/25/366235825.db2.gz UCAINYOXAGYKHR-UHFFFAOYSA-N 0 1 257.244 0.879 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCC2(C(F)F)CC2)[nH]1 ZINC000619320159 366236038 /nfs/dbraw/zinc/23/60/38/366236038.db2.gz OXISMWYDYLIXTN-UHFFFAOYSA-N 0 1 269.255 0.880 20 30 CCEDMN C[C@H](C(=O)N1CCN(C)[C@H](C)[C@@H]1C)n1cnc(C#N)n1 ZINC000336991286 355241878 /nfs/dbraw/zinc/24/18/78/355241878.db2.gz LJABNAVOIKETKF-OUAUKWLOSA-N 0 1 276.344 0.262 20 30 CCEDMN CCN1C[C@H](C)N(C(=O)[C@@H](C)n2cnc(C#N)n2)C[C@@H]1C ZINC000337000291 355244429 /nfs/dbraw/zinc/24/44/29/355244429.db2.gz RXTOFRWUOIUIDQ-SDDRHHMPSA-N 0 1 290.371 0.652 20 30 CCEDMN CC(C)[C@H]1CN(C(=O)[C@@H](C)n2cnc(C#N)n2)CCN1C ZINC000337105218 355266370 /nfs/dbraw/zinc/26/63/70/355266370.db2.gz RXEVRJFUWHCHCJ-VXGBXAGGSA-N 0 1 290.371 0.509 20 30 CCEDMN C[C@H](CC#N)N(C)C[C@@H]1CCN(S(C)(=O)=O)C1 ZINC000338284530 355311556 /nfs/dbraw/zinc/31/15/56/355311556.db2.gz TWHGBTXTJLYYBA-MNOVXSKESA-N 0 1 259.375 0.502 20 30 CCEDMN CN([C@H](C(N)=O)c1ccc(C#N)cc1)[C@@H]1CCC[C@@H]1O ZINC000352659318 356042908 /nfs/dbraw/zinc/04/29/08/356042908.db2.gz MPGYRBFSIMGKTK-RDBSUJKOSA-N 0 1 273.336 0.930 20 30 CCEDMN CC(=O)N1CCN(CCNCc2nc(C#N)cs2)CC1 ZINC000352819281 356089323 /nfs/dbraw/zinc/08/93/23/356089323.db2.gz AJQVPUVMASDOLJ-UHFFFAOYSA-N 0 1 293.396 0.268 20 30 CCEDMN C=CCOCCCC(=O)N(C)C[C@H](C)c1nn[nH]n1 ZINC000273561155 192204784 /nfs/dbraw/zinc/20/47/84/192204784.db2.gz XJCJCZWDJOGMNQ-JTQLQIEISA-N 0 1 267.333 0.744 20 30 CCEDMN CC[C@H](C(=O)OC)N(C)CCCc1[nH]nc(N)c1C#N ZINC000560003637 291090638 /nfs/dbraw/zinc/09/06/38/291090638.db2.gz DFXGPZDHOKWCDA-LLVKDONJSA-N 0 1 279.344 0.510 20 30 CCEDMN N#Cc1cccc(C#N)c1N1CCCN(CCO)CC1 ZINC000302491383 283202606 /nfs/dbraw/zinc/20/26/06/283202606.db2.gz ZELRBKVJKCRBND-UHFFFAOYSA-N 0 1 270.336 0.934 20 30 CCEDMN CO[C@@H]1CCN(C(=O)N=c2[nH]n(C)cc2C#N)[C@@H](C)C1 ZINC000619662354 366315740 /nfs/dbraw/zinc/31/57/40/366315740.db2.gz CNFNZPYLGWKXJS-GXSJLCMTSA-N 0 1 277.328 0.745 20 30 CCEDMN N#Cc1ncn(CCNC(=O)c2n[nH]c3ccccc32)n1 ZINC000356295503 356742232 /nfs/dbraw/zinc/74/22/32/356742232.db2.gz PYGUECKYMUOWJB-UHFFFAOYSA-N 0 1 281.279 0.456 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)N[C@H](CO)c2ccccc2)[nH]1 ZINC000610527869 363572782 /nfs/dbraw/zinc/57/27/82/363572782.db2.gz WGVSGURCCDUISX-GFCCVEGCSA-N 0 1 285.307 0.569 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC2(CC1)[C@H](O)C[C@H]2OCC ZINC000365523008 357156729 /nfs/dbraw/zinc/15/67/29/357156729.db2.gz CZEPMPRYWXYMBP-BFHYXJOUSA-N 0 1 294.395 0.376 20 30 CCEDMN CCN1CCCN(S(=O)(=O)N(C)[C@H](C)CC#N)CC1 ZINC000374542575 357399492 /nfs/dbraw/zinc/39/94/92/357399492.db2.gz GRYHWEUANOVDOA-GFCCVEGCSA-N 0 1 288.417 0.493 20 30 CCEDMN N#Cc1cccc(OCCN2C[C@H](O)C[C@H](O)C2)c1 ZINC000610604391 363584645 /nfs/dbraw/zinc/58/46/45/363584645.db2.gz PQTVPEPQSBPXBD-BETUJISGSA-N 0 1 262.309 0.365 20 30 CCEDMN Cc1cc(CC(=O)N[C@@]2(C#N)CCSC2)[nH]n1 ZINC000610667117 363593170 /nfs/dbraw/zinc/59/31/70/363593170.db2.gz WGOCIHQBHHEBSJ-LLVKDONJSA-N 0 1 250.327 0.776 20 30 CCEDMN N#Cc1nccnc1N1CCC[C@@H](c2nc[nH]n2)C1 ZINC000408344601 358043085 /nfs/dbraw/zinc/04/30/85/358043085.db2.gz YVOXDQGYPNMWEP-SECBINFHSA-N 0 1 255.285 0.850 20 30 CCEDMN CCN1CCN([C@H]2CCN(c3nccnc3C#N)C2)CC1 ZINC000329172008 202217965 /nfs/dbraw/zinc/21/79/65/202217965.db2.gz KVLKAMJFZVZOMJ-ZDUSSCGKSA-N 0 1 286.383 0.564 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NCc1n[nH]c(CC)n1 ZINC000556755941 358553418 /nfs/dbraw/zinc/55/34/18/358553418.db2.gz ODHZRGQFYPZJCD-ZJUUUORDSA-N 0 1 279.344 0.900 20 30 CCEDMN CC1CC(C#N)(C(=O)N[C@@H](CO)CN2CCCCC2)C1 ZINC000556853364 358554032 /nfs/dbraw/zinc/55/40/32/358554032.db2.gz RVENNOCSUPVECY-JVWICGRDSA-N 0 1 279.384 0.889 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)NCc2ccc(F)cn2)[nH]1 ZINC000610793010 363615425 /nfs/dbraw/zinc/61/54/25/363615425.db2.gz NIQQTKPXFGZRRP-UHFFFAOYSA-N 0 1 274.259 0.569 20 30 CCEDMN CC1(C)CCN(CC(=O)N2CC(O)(CC#N)C2)C1 ZINC000572178382 358927730 /nfs/dbraw/zinc/92/77/30/358927730.db2.gz OFDNPWZRCCGQJS-UHFFFAOYSA-N 0 1 251.330 0.205 20 30 CCEDMN C=CCn1cc(CNC(=O)CN2CCC(C)(C)C2)nn1 ZINC000581020781 359122586 /nfs/dbraw/zinc/12/25/86/359122586.db2.gz JLKIZZIUSNPXIN-UHFFFAOYSA-N 0 1 277.372 0.812 20 30 CCEDMN C[C@H](NC[C@@H](C#N)CCC#N)[C@H]1CN(C)CCO1 ZINC000610897275 363628815 /nfs/dbraw/zinc/62/88/15/363628815.db2.gz ALFIQFPKXWDQFA-YNEHKIRRSA-N 0 1 250.346 0.739 20 30 CCEDMN CC(C)(CO)N1CCN(c2ccnc(C#N)c2)CC1 ZINC000587979271 359303300 /nfs/dbraw/zinc/30/33/00/359303300.db2.gz NDJBAGBYETUNJT-UHFFFAOYSA-N 0 1 260.341 0.846 20 30 CCEDMN Cc1cc(C#N)cc(NCCN2CCCOCC2)n1 ZINC000588027504 359308071 /nfs/dbraw/zinc/30/80/71/359308071.db2.gz OEDDFPLCADFTDM-UHFFFAOYSA-N 0 1 260.341 0.818 20 30 CCEDMN CCC[C@]1(CO)CCN(C(=O)N=c2[nH]n(C)cc2C#N)C1 ZINC000589001996 359354956 /nfs/dbraw/zinc/35/49/56/359354956.db2.gz ITZXIVFGRYPMMV-AWEZNQCLSA-N 0 1 291.355 0.730 20 30 CCEDMN CN1CC=C(CNS(=O)(=O)CC2(C#N)CCC2)CC1 ZINC000589227297 359372903 /nfs/dbraw/zinc/37/29/03/359372903.db2.gz APRVUOQXVGWMFD-UHFFFAOYSA-N 0 1 283.397 0.862 20 30 CCEDMN Cc1nnc([C@@H](C)NC(=O)N=c2[nH]n(C)cc2C#N)[nH]1 ZINC000588259902 359319742 /nfs/dbraw/zinc/31/97/42/359319742.db2.gz QJDYWNRFEOZUFG-ZCFIWIBFSA-N 0 1 274.288 0.023 20 30 CCEDMN N#Cc1csc(C(=O)N2CC[C@@H](N3CC[C@@H](O)C3)C2)c1 ZINC000588861882 359342984 /nfs/dbraw/zinc/34/29/84/359342984.db2.gz VVVYQJJHQJDLJC-VXGBXAGGSA-N 0 1 291.376 0.901 20 30 CCEDMN CCN1CCN(CC(=O)N[C@](C)(C#N)C2CC2)C[C@H]1C ZINC000611091936 363658724 /nfs/dbraw/zinc/65/87/24/363658724.db2.gz DBDKAKRIVNDAGV-IUODEOHRSA-N 0 1 278.400 0.821 20 30 CCEDMN CN1C[C@H]2[C@@H](CCN2S(=O)(=O)c2ccccc2C#N)C1 ZINC000589929874 359410845 /nfs/dbraw/zinc/41/08/45/359410845.db2.gz NCTGDRMLJFQDAL-STQMWFEESA-N 0 1 291.376 0.883 20 30 CCEDMN CC(=O)NC[C@@H]1CN(C[C@H](C)CCC#N)CCO1 ZINC000589820435 359402689 /nfs/dbraw/zinc/40/26/89/359402689.db2.gz OLMNQSDYMPQSAR-DGCLKSJQSA-N 0 1 253.346 0.763 20 30 CCEDMN C[C@H]1C[C@H](NC(=O)N=c2[nH]n(C)cc2C#N)CS1 ZINC000591338148 359579350 /nfs/dbraw/zinc/57/93/50/359579350.db2.gz NHBDBZNYRIFHTJ-CBAPKCEASA-N 0 1 265.342 0.729 20 30 CCEDMN C[C@H]1CN(c2cc(C#N)ncn2)[C@@H](C)CN1CCO ZINC000591370632 359585135 /nfs/dbraw/zinc/58/51/35/359585135.db2.gz NRPUKYWYFCQIKV-QWRGUYRKSA-N 0 1 261.329 0.240 20 30 CCEDMN C[C@H](NC(=O)C(=O)N1CCNCC1)c1cccc(C#N)c1 ZINC000591404644 359588023 /nfs/dbraw/zinc/58/80/23/359588023.db2.gz WCBZMIDTKRQFJY-NSHDSACASA-N 0 1 286.335 0.167 20 30 CCEDMN CN1CCC[C@H](NC(=O)C(=O)NCC(C)(C)CC#N)C1 ZINC000591558421 359599676 /nfs/dbraw/zinc/59/96/76/359599676.db2.gz CYNZRUQBUVWDLT-NSHDSACASA-N 0 1 280.372 0.253 20 30 CCEDMN C=CCCOCC(=O)N[C@H]1CCCN(CCOC)C1 ZINC000592094017 359753568 /nfs/dbraw/zinc/75/35/68/359753568.db2.gz RZEHSVQHWJCMPO-ZDUSSCGKSA-N 0 1 270.373 0.806 20 30 CCEDMN CCCN(C(=O)C(=O)N(C)C[C@@H](C)C#N)[C@@H]1CCN(C)C1 ZINC000592440482 359841583 /nfs/dbraw/zinc/84/15/83/359841583.db2.gz PLYGLULLAYPHFG-QWHCGFSZSA-N 0 1 294.399 0.547 20 30 CCEDMN CCOC(=O)C[C@H](C)CNC(=O)N=c1[nH]n(C)cc1C#N ZINC000611169529 363669455 /nfs/dbraw/zinc/66/94/55/363669455.db2.gz QVHDBLYCTOGOSC-VIFPVBQESA-N 0 1 293.327 0.424 20 30 CCEDMN CN(CC(=O)NC1CCN(CC#N)CC1)[C@H]1CCSC1 ZINC000611204449 363680481 /nfs/dbraw/zinc/68/04/81/363680481.db2.gz KIDKMZBWJUIJJM-ZDUSSCGKSA-N 0 1 296.440 0.528 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)[C@H]2CCCOCC2)[nH]n1C ZINC000592650084 359909257 /nfs/dbraw/zinc/90/92/57/359909257.db2.gz MWFVDVQCKKASJC-JTQLQIEISA-N 0 1 262.313 0.777 20 30 CCEDMN CN1CCN(CC(=O)NCC#N)[C@@H](Cc2ccccc2)C1 ZINC000593088551 360033307 /nfs/dbraw/zinc/03/33/07/360033307.db2.gz GVROSTYEJVFYIH-HNNXBMFYSA-N 0 1 286.379 0.485 20 30 CCEDMN N#Cc1nc(N2CC(N3CC[C@@H](O)C3)C2)ccc1Cl ZINC000593509031 360103291 /nfs/dbraw/zinc/10/32/91/360103291.db2.gz YPVOFLMUXCBXCO-SNVBAGLBSA-N 0 1 278.743 0.862 20 30 CCEDMN CC[C@@H](C#N)C(=O)N1CC[C@@H](N2CCN(CC)CC2)C1 ZINC000593456962 360092215 /nfs/dbraw/zinc/09/22/15/360092215.db2.gz KIQHUOMIAAWWAX-UONOGXRCSA-N 0 1 278.400 0.775 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCc2nc[nH]n2)cn1 ZINC000593903138 360201139 /nfs/dbraw/zinc/20/11/39/360201139.db2.gz NMHDZOLAXQRTHX-UHFFFAOYSA-N 0 1 256.269 0.434 20 30 CCEDMN Cc1nc(C(=O)N[C@H](C)c2nnc[nH]2)ccc1C#N ZINC000176755376 189007450 /nfs/dbraw/zinc/00/74/50/189007450.db2.gz GXWHGMOAJLEDFH-MRVPVSSYSA-N 0 1 256.269 0.871 20 30 CCEDMN N#CCNC(=O)CN1CCC[C@H](C[C@@H]2CCOC2)C1 ZINC000611350501 363709549 /nfs/dbraw/zinc/70/95/49/363709549.db2.gz PGFZEZMYZNRVIS-OLZOCXBDSA-N 0 1 265.357 0.765 20 30 CCEDMN C[C@H](Cc1ccc(C#N)cc1)C(=O)NCc1nn[nH]n1 ZINC000594288361 360269418 /nfs/dbraw/zinc/26/94/18/360269418.db2.gz VCWOBWRJFNGKPA-SECBINFHSA-N 0 1 270.296 0.566 20 30 CCEDMN CCCc1cc(C(=O)N2CCN(C(=O)CC#N)CC2)n[nH]1 ZINC000594315383 360273191 /nfs/dbraw/zinc/27/31/91/360273191.db2.gz DKIKPQPTEZLDGN-UHFFFAOYSA-N 0 1 289.339 0.560 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)N1CCN2C[C@H](O)C[C@H]2C1 ZINC000594387017 360288649 /nfs/dbraw/zinc/28/86/49/360288649.db2.gz QDMQQDNFVSGERT-QWHCGFSZSA-N 0 1 286.335 0.153 20 30 CCEDMN CC[C@H](CO)N1CCN(c2ccnc(C#N)n2)CC1 ZINC000594554785 360329564 /nfs/dbraw/zinc/32/95/64/360329564.db2.gz YHWCJWNSEFLDDV-LLVKDONJSA-N 0 1 261.329 0.241 20 30 CCEDMN CN(C[C@H]1CCCC[C@H]1O)C(=O)N=c1[nH]n(C)cc1C#N ZINC000611503762 363728344 /nfs/dbraw/zinc/72/83/44/363728344.db2.gz HYWFXCKQXLMREB-ZYHUDNBSSA-N 0 1 291.355 0.728 20 30 CCEDMN CN(CC1CN(C)C1)C(=O)C(=O)Nc1ccc(C#N)cc1 ZINC000595152966 360446010 /nfs/dbraw/zinc/44/60/10/360446010.db2.gz NMCXGEGUOBIJNR-UHFFFAOYSA-N 0 1 286.335 0.517 20 30 CCEDMN CNS(=O)(=O)CCNCc1ccc(C2(C#N)CC2)cc1 ZINC000594936802 360420466 /nfs/dbraw/zinc/42/04/66/360420466.db2.gz DQZWZMWJRSWDDS-UHFFFAOYSA-N 0 1 293.392 0.881 20 30 CCEDMN CC(C)[C@H]1CN(S(=O)(=O)N(C)C[C@H](C)C#N)CCN1 ZINC000595410582 360524342 /nfs/dbraw/zinc/52/43/42/360524342.db2.gz FIGYPESVCXEBCG-VXGBXAGGSA-N 0 1 288.417 0.252 20 30 CCEDMN CCOC(=O)c1c(C)[nH]nc1CN1CC[C@](O)(CC#N)C1 ZINC000595563317 360581631 /nfs/dbraw/zinc/58/16/31/360581631.db2.gz QMZXZHFLSHFWHP-CQSZACIVSA-N 0 1 292.339 0.745 20 30 CCEDMN CN(C)S(=O)(=O)CCCN1CCC[C@H](CC#N)C1 ZINC000595613855 360597516 /nfs/dbraw/zinc/59/75/16/360597516.db2.gz IOAGOOXFBCGYKK-GFCCVEGCSA-N 0 1 273.402 0.894 20 30 CCEDMN CC(C)(NCC(=O)N1CCNC1=O)c1cccc(C#N)c1 ZINC000595634860 360603706 /nfs/dbraw/zinc/60/37/06/360603706.db2.gz GLCQLVSOFQBKFF-UHFFFAOYSA-N 0 1 286.335 0.935 20 30 CCEDMN C=CC[C@@H]1CCCN(CC(=O)NCC(=O)OC)C1 ZINC000595659088 360608646 /nfs/dbraw/zinc/60/86/46/360608646.db2.gz JTCIQMGGDFBYDK-LLVKDONJSA-N 0 1 254.330 0.564 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1C[C@@H](C)[C@H](O)[C@@H](C)C1 ZINC000595751323 360638949 /nfs/dbraw/zinc/63/89/49/360638949.db2.gz UJCYAVSFMQSUAG-KXNHARMFSA-N 0 1 283.372 0.335 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@@](O)(C2CC2)C1 ZINC000595752580 360639935 /nfs/dbraw/zinc/63/99/35/360639935.db2.gz UHSPJBYCEORESX-HNNXBMFYSA-N 0 1 279.384 0.985 20 30 CCEDMN C[C@@](C#N)(NC(=O)CN1C[C@@H]2COC[C@]2(C)C1)C1CC1 ZINC000595755944 360641172 /nfs/dbraw/zinc/64/11/72/360641172.db2.gz RQBQRGAIBNTOGP-SNPRPXQTSA-N 0 1 277.368 0.763 20 30 CCEDMN C=C[C@H](CO)N[C@H]1CCN(CC(=O)OC(C)(C)C)C1=O ZINC000595873164 360687109 /nfs/dbraw/zinc/68/71/09/360687109.db2.gz MEOZXKSRXJYUMG-MNOVXSKESA-N 0 1 284.356 0.066 20 30 CCEDMN N#CC1(CNC(=O)[C@H]2CNCCO2)CCCCC1 ZINC000595944985 360721920 /nfs/dbraw/zinc/72/19/20/360721920.db2.gz ZCBACIZYJNLIHR-LLVKDONJSA-N 0 1 251.330 0.565 20 30 CCEDMN C[C@@H](O)CN1CCN(c2cc(C#N)cnn2)[C@@H](C)C1 ZINC000596200662 360832078 /nfs/dbraw/zinc/83/20/78/360832078.db2.gz QDBCOOMHUYTVIU-WDEREUQCSA-N 0 1 261.329 0.240 20 30 CCEDMN N#CCCn1ccc(=NC(=O)[C@@H]2CCc3[nH]cnc3C2)[nH]1 ZINC000597261445 361044408 /nfs/dbraw/zinc/04/44/08/361044408.db2.gz PBPPVHBOTYWJQV-SNVBAGLBSA-N 0 1 284.323 0.685 20 30 CCEDMN N#CCN1CCN(C(=O)c2cc3[nH]cnc3cc2F)CC1 ZINC000597275219 361049775 /nfs/dbraw/zinc/04/97/75/361049775.db2.gz SOUICOQRRKZFQE-UHFFFAOYSA-N 0 1 287.298 0.983 20 30 CCEDMN Cc1cc(=NC(=O)[C@@H]2CCCc3n[nH]nc32)[nH]n1CCC#N ZINC000597460697 361102851 /nfs/dbraw/zinc/10/28/51/361102851.db2.gz XBPQXDVFFFTLIF-SNVBAGLBSA-N 0 1 299.338 0.704 20 30 CCEDMN N#Cc1cccc(C(=O)NC[C@H]2CN3CCN2CCC3)n1 ZINC000597624391 361166798 /nfs/dbraw/zinc/16/67/98/361166798.db2.gz IIETYHCBURJQST-ZDUSSCGKSA-N 0 1 285.351 0.073 20 30 CCEDMN C[C@@H](CC#N)C(=O)NC[C@@H]1CN2CCN1CCC2 ZINC000597627707 361167115 /nfs/dbraw/zinc/16/71/15/361167115.db2.gz WDYLZFXUZVYVFC-NWDGAFQWSA-N 0 1 250.346 0.042 20 30 CCEDMN N#Cc1ccc(CCNC(=O)CCc2nc[nH]n2)cc1 ZINC000597893051 361277824 /nfs/dbraw/zinc/27/78/24/361277824.db2.gz NBCWNSMDSIWGNS-UHFFFAOYSA-N 0 1 269.308 0.968 20 30 CCEDMN CC(C#N)C(=O)N1CCOC[C@H]1C(=O)OC(C)(C)C ZINC000597834954 361262763 /nfs/dbraw/zinc/26/27/63/361262763.db2.gz RZMFXKRWWPXMIU-ZJUUUORDSA-N 0 1 268.313 0.715 20 30 CCEDMN CNC(=O)[C@@H]1CCCCN1C[C@@H](O)CC(C)(C)C#N ZINC000598588525 361450087 /nfs/dbraw/zinc/45/00/87/361450087.db2.gz BKUKMWNMRZZZRC-RYUDHWBXSA-N 0 1 267.373 0.888 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCN2CCOC[C@@H]2C1 ZINC000598606002 361458191 /nfs/dbraw/zinc/45/81/91/361458191.db2.gz YGHWCICCQBYKQC-STQMWFEESA-N 0 1 267.373 0.304 20 30 CCEDMN CNC(=O)[C@]1(COC)CCCN1C[C@H](O)CC(C)(C)C#N ZINC000598623817 361464623 /nfs/dbraw/zinc/46/46/23/361464623.db2.gz CNLXHUDSJVTJPA-IUODEOHRSA-N 0 1 297.399 0.514 20 30 CCEDMN C[C@@H]1CCN(CC(=O)NC2(C#N)CCC2)C[C@H]1CO ZINC000598647022 361471126 /nfs/dbraw/zinc/47/11/26/361471126.db2.gz MUYPEZAUIWEKAI-NEPJUHHUSA-N 0 1 265.357 0.499 20 30 CCEDMN CC(C)(C#N)C[C@@H](O)CN1CCCC[C@@H]1C(N)=O ZINC000598590970 361451309 /nfs/dbraw/zinc/45/13/09/361451309.db2.gz XJMHSSRKUMJMIM-GHMZBOCLSA-N 0 1 253.346 0.627 20 30 CCEDMN CCOCCN1CCN(C[C@H](O)CC(C)(C)C#N)CC1 ZINC000598590829 361451805 /nfs/dbraw/zinc/45/18/05/361451805.db2.gz UJEHWGUCJAXNJS-CQSZACIVSA-N 0 1 283.416 0.941 20 30 CCEDMN CC(C)(C#N)C[C@H](O)CN1CCO[C@@]2(CCOC2)C1 ZINC000598605719 361457876 /nfs/dbraw/zinc/45/78/76/361457876.db2.gz VIEVFZILLGEVNW-JSGCOSHPSA-N 0 1 268.357 0.778 20 30 CCEDMN Cc1c(C#N)c(=NC(=O)c2nnn(C(C)(C)C)n2)[nH]n1C ZINC000598841012 361524842 /nfs/dbraw/zinc/52/48/42/361524842.db2.gz CDGRLODMYVGVLU-UHFFFAOYSA-N 0 1 288.315 0.016 20 30 CCEDMN N#CCSCCCN1CCc2cnc(N)nc2C1 ZINC000598950924 361540250 /nfs/dbraw/zinc/54/02/50/361540250.db2.gz WTOBRCOFHNVZHN-UHFFFAOYSA-N 0 1 263.370 0.894 20 30 CCEDMN N#Cc1cnc(NCCN2CC[C@H](O)C2)c(F)c1 ZINC000599199269 361609159 /nfs/dbraw/zinc/60/91/59/361609159.db2.gz IPAARAKCLWBOIS-JTQLQIEISA-N 0 1 250.277 0.571 20 30 CCEDMN COC(=O)[C@H]1CC[N@@H+](C[C@H](O)CC2(C#N)CC2)C1 ZINC000599265254 361625244 /nfs/dbraw/zinc/62/52/44/361625244.db2.gz PDCLPUOMYMFUTB-WDEREUQCSA-N 0 1 252.314 0.536 20 30 CCEDMN C=CC(C)(C)CNS(=O)(=O)c1nn(C)cc1[N+](=O)[O-] ZINC000599317358 361649441 /nfs/dbraw/zinc/64/94/41/361649441.db2.gz VQCZMUGZAWIQRD-UHFFFAOYSA-N 0 1 288.329 0.819 20 30 CCEDMN C=C(C)[C@H](CO)[N@H+]1CC[C@H](n2cc(C(=O)OC)nn2)C1 ZINC000612829073 364019878 /nfs/dbraw/zinc/01/98/78/364019878.db2.gz MTVOXOJCYIXUNS-JQWIXIFHSA-N 0 1 280.328 0.249 20 30 CCEDMN N#CCNC(=O)CN1CC[C@@H](Cc2ccncc2)C1 ZINC000613179280 364143405 /nfs/dbraw/zinc/14/34/05/364143405.db2.gz RTFNFICQTHKQLL-ZDUSSCGKSA-N 0 1 258.325 0.586 20 30 CCEDMN C=CCCCNC(=O)c1cc(S(N)(=O)=O)ccc1O ZINC000614208990 364534382 /nfs/dbraw/zinc/53/43/82/364534382.db2.gz CNMSUNYSZWXVBB-UHFFFAOYSA-N 0 1 284.337 0.736 20 30 CCEDMN C[C@H]1CN(Cc2ccnc(C#N)c2)CCN1CCO ZINC000614600940 364674058 /nfs/dbraw/zinc/67/40/58/364674058.db2.gz QRXAWQWCBUUNHM-LBPRGKRZSA-N 0 1 260.341 0.452 20 30 CCEDMN C=C(C)C[C@H](NC(C)=O)C(=O)NCc1n[nH]c(C2CC2)n1 ZINC000615670513 365046264 /nfs/dbraw/zinc/04/62/64/365046264.db2.gz VTJIHTAHLNGQBU-NSHDSACASA-N 0 1 291.355 0.769 20 30 CCEDMN CNC(=O)CCCN1CCN(c2cnccc2C#N)CC1 ZINC000565171506 291351271 /nfs/dbraw/zinc/35/12/71/291351271.db2.gz KVJZKHWEMAYTAI-UHFFFAOYSA-N 0 1 287.367 0.601 20 30 CCEDMN C#CCN(CC)C(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC000275720092 193111911 /nfs/dbraw/zinc/11/19/11/193111911.db2.gz UBODIXDLERMMIG-UHFFFAOYSA-N 0 1 257.297 0.906 20 30 CCEDMN CNC(=O)[C@@H]1CCCN(Cc2cnc3c(C#N)cnn3c2)C1 ZINC000566245797 291383317 /nfs/dbraw/zinc/38/33/17/291383317.db2.gz SYRNWPDFVMJHEA-GFCCVEGCSA-N 0 1 298.350 0.559 20 30 CCEDMN C=CCOCCNC(=O)N1CCNC[C@H]1C(C)C ZINC000627012321 368736323 /nfs/dbraw/zinc/73/63/23/368736323.db2.gz XXCFDYLKNZIMRD-LBPRGKRZSA-N 0 1 255.362 0.828 20 30 CCEDMN C=CC(C)(C)CCNC(=O)C(=O)N1CCN[C@H](C)C1 ZINC000627434791 369001557 /nfs/dbraw/zinc/00/15/57/369001557.db2.gz SVBHPJHPXBJHHD-LLVKDONJSA-N 0 1 267.373 0.525 20 30 CCEDMN C=CCCCCCN(C)C(=O)C(=O)NC[C@H]1COCCN1 ZINC000627469680 369013508 /nfs/dbraw/zinc/01/35/08/369013508.db2.gz BFZDFEHVFBEAIQ-ZDUSSCGKSA-N 0 1 297.399 0.296 20 30 CCEDMN N#Cc1ccc(CNC[C@@H]2CC(C(N)=O)=NO2)cc1 ZINC000276507157 193360665 /nfs/dbraw/zinc/36/06/65/193360665.db2.gz SPFUODPGXDTWQS-NSHDSACASA-N 0 1 258.281 0.278 20 30 CCEDMN C=C(CC)CNS(=O)(=O)CCCN1CCN(C)CC1 ZINC000632425761 371056407 /nfs/dbraw/zinc/05/64/07/371056407.db2.gz DJQMDVJNOQFNNR-UHFFFAOYSA-N 0 1 289.445 0.510 20 30 CCEDMN C=CCN([C@H](C)COC)S(=O)(=O)c1ncc[nH]1 ZINC000632547168 371132139 /nfs/dbraw/zinc/13/21/39/371132139.db2.gz BIAMDGNAZNLDNZ-SECBINFHSA-N 0 1 259.331 0.621 20 30 CCEDMN CC[C@H](CO)N1CCN(c2ccc(C#N)c(N)n2)CC1 ZINC000567752542 291509852 /nfs/dbraw/zinc/50/98/52/291509852.db2.gz NNWYSMFDDQOBCT-GFCCVEGCSA-N 0 1 275.356 0.428 20 30 CCEDMN CO[C@@H]1C[C@@H](c2nnc[nH]2)N(C(=O)c2ccnc(C#N)c2)C1 ZINC000331805227 204184073 /nfs/dbraw/zinc/18/40/73/204184073.db2.gz JJKPBCSLJSVUNA-NEPJUHHUSA-N 0 1 298.306 0.674 20 30 CCEDMN C=CCCCN(C)C(=O)NCC(=O)N1CCNCC1 ZINC000574384621 335014781 /nfs/dbraw/zinc/01/47/81/335014781.db2.gz RGBFUYAMPXZBNW-UHFFFAOYSA-N 0 1 268.361 0.026 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)C(C)(C)CN1CCOCC1 ZINC000635794581 372918106 /nfs/dbraw/zinc/91/81/06/372918106.db2.gz BGMPWHCBXFAHOG-LBPRGKRZSA-N 0 1 270.373 0.398 20 30 CCEDMN C=CC[C@H](CO)NC(=O)C(C)(C)CN1CCOCC1 ZINC000635794580 372918864 /nfs/dbraw/zinc/91/88/64/372918864.db2.gz BGMPWHCBXFAHOG-GFCCVEGCSA-N 0 1 270.373 0.398 20 30 CCEDMN N#Cc1csc(CNC[C@@H](CO)[C@@H]2CCOC2)n1 ZINC000570011738 291726870 /nfs/dbraw/zinc/72/68/70/291726870.db2.gz LSVJRHARYYRYBM-ZJUUUORDSA-N 0 1 267.354 0.749 20 30 CCEDMN C=CCCn1cc(CNC[C@@](C)(O)CCOC)nn1 ZINC000657365062 412975588 /nfs/dbraw/zinc/97/55/88/412975588.db2.gz DVCJZCHMPQDDHF-ZDUSSCGKSA-N 0 1 268.361 0.731 20 30 CCEDMN C=CCCn1cc(CNC2CC(NS(C)(=O)=O)C2)nn1 ZINC000657462864 413000759 /nfs/dbraw/zinc/00/07/59/413000759.db2.gz YHLRASXETMHCDQ-UHFFFAOYSA-N 0 1 299.400 0.024 20 30 CCEDMN C=CCCn1cc(CNCC[C@@]2(O)CCCOC2)nn1 ZINC000657503176 413011388 /nfs/dbraw/zinc/01/13/88/413011388.db2.gz DAUUXKYCRABNLI-AWEZNQCLSA-N 0 1 280.372 0.875 20 30 CCEDMN C=CCCn1cc(CNC[C@@H](OC)[C@H]2CCOC2)nn1 ZINC000657540116 413019323 /nfs/dbraw/zinc/01/93/23/413019323.db2.gz CFWGPOFWNLEUQJ-GXTWGEPZSA-N 0 1 280.372 0.995 20 30 CCEDMN CC(C)(C)[C@@H](NCC(=O)N[C@@](C)(C#N)C1CC1)C(N)=O ZINC000109330289 262197577 /nfs/dbraw/zinc/19/75/77/262197577.db2.gz KWFKKZMFCDNIOK-FZMZJTMJSA-N 0 1 280.372 0.284 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](O)[C@H](C)C1 ZINC000186821890 260012952 /nfs/dbraw/zinc/01/29/52/260012952.db2.gz PMWYJMAGVSVPDD-NEPJUHHUSA-N 0 1 253.346 0.451 20 30 CCEDMN C=CCC[C@@H](O)C[N@H+]1CCC[C@H](c2n[n-]c(=O)o2)C1 ZINC000284303181 388776097 /nfs/dbraw/zinc/77/60/97/388776097.db2.gz OSPVVJTYTQICCN-WDEREUQCSA-N 0 1 267.329 0.869 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@@H](C)Cc1cc(C)[nH]n1 ZINC000287520928 388854244 /nfs/dbraw/zinc/85/42/44/388854244.db2.gz IDRWZOPMWLCVPK-JTQLQIEISA-N 0 1 278.356 0.800 20 30 CCEDMN C=CCN(CC=C)C(=O)C(=O)N[C@H]1C[C@H](C)N(C2CC2)C1 ZINC000289044120 388867616 /nfs/dbraw/zinc/86/76/16/388867616.db2.gz XAAFXYBSBNSSFE-STQMWFEESA-N 0 1 291.395 0.928 20 30 CCEDMN C=C[C@@H](CO)N[C@H](C)c1cccc(S(=O)(=O)NC)c1 ZINC000290004220 388899431 /nfs/dbraw/zinc/89/94/31/388899431.db2.gz ZIVAOPOFDFZHOV-PWSUYJOCSA-N 0 1 284.381 0.792 20 30 CCEDMN C=C[C@H](CO)NC(=O)C(C)(C)NC(=O)C(F)(F)F ZINC000290624808 388908811 /nfs/dbraw/zinc/90/88/11/388908811.db2.gz OWXGBNIUCUQMAE-ZCFIWIBFSA-N 0 1 268.235 0.107 20 30 CCEDMN C=C[C@H](CO)NC(=O)[C@H](Cc1ccccc1)N(C)C ZINC000290679695 388910480 /nfs/dbraw/zinc/91/04/80/388910480.db2.gz UAGIIRCXWBEFSV-KGLIPLIRSA-N 0 1 262.353 0.822 20 30 CCEDMN C=C[C@](C)(O)CC(=O)NCCN1CCSCC1 ZINC000291299424 388920039 /nfs/dbraw/zinc/92/00/39/388920039.db2.gz NBYOCAPOAHAMEI-LBPRGKRZSA-N 0 1 258.387 0.479 20 30 CCEDMN Cc1nc(N2CCN(CCCO)CC2)ccc1C#N ZINC000291684021 388933095 /nfs/dbraw/zinc/93/30/95/388933095.db2.gz RRROLRLWGRKPQD-UHFFFAOYSA-N 0 1 260.341 0.766 20 30 CCEDMN C=C[C@@H](CO)NC(=O)CSc1n[nH]c(=S)s1 ZINC000292820752 388960851 /nfs/dbraw/zinc/96/08/51/388960851.db2.gz VXSSOSJKSCBMDV-YFKPBYRVSA-N 0 1 277.396 0.582 20 30 CCEDMN CN1CCC(C#N)(NC(=O)[C@H]2CCSC2)CC1 ZINC000070436414 388955862 /nfs/dbraw/zinc/95/58/62/388955862.db2.gz UYVIIMJEQARMQB-JTQLQIEISA-N 0 1 253.371 0.844 20 30 CCEDMN C=CCC(F)(F)C(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000293321190 388981597 /nfs/dbraw/zinc/98/15/97/388981597.db2.gz HQZXTBYUACVWEJ-JTQLQIEISA-N 0 1 289.326 0.654 20 30 CCEDMN C#CCNC(=O)CCN(CCOC)Cc1ccccn1 ZINC000293468196 388982808 /nfs/dbraw/zinc/98/28/08/388982808.db2.gz DTNZEPIMQNBVSV-UHFFFAOYSA-N 0 1 275.352 0.670 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)NC1CCN(C)CC1 ZINC000336908612 389030026 /nfs/dbraw/zinc/03/00/26/389030026.db2.gz VQKMMIJUGRJAQH-UHFFFAOYSA-N 0 1 274.390 0.151 20 30 CCEDMN C[C@@H](C#N)CN(C)S(=O)(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000336915033 389032050 /nfs/dbraw/zinc/03/20/50/389032050.db2.gz LNVNPPVANPNVIE-WDEREUQCSA-N 0 1 286.401 0.149 20 30 CCEDMN C[C@H](O)CN(C)[C@H]1CCN(c2ccc(C#N)cc2)C1=O ZINC000298443190 389020818 /nfs/dbraw/zinc/02/08/18/389020818.db2.gz QRFJENAUARUAPM-FZMZJTMJSA-N 0 1 273.336 0.976 20 30 CCEDMN C=CCN1CC[C@@H](N2CCN(CC(C)(C)O)C[C@@H]2C)C1=O ZINC000337217037 389056175 /nfs/dbraw/zinc/05/61/75/389056175.db2.gz ZVTWEVGZYLTPQP-UONOGXRCSA-N 0 1 295.427 0.550 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCN2C[C@H](C)O[C@@H](C)C2)C1=O ZINC000337228648 389056680 /nfs/dbraw/zinc/05/66/80/389056680.db2.gz HGNVCIRWJPTLSN-KKUMJFAQSA-N 0 1 295.427 0.814 20 30 CCEDMN N#Cc1ccc(N2CCC[C@@H](CN3CCOCC3)C2)nn1 ZINC000301885803 389060548 /nfs/dbraw/zinc/06/05/48/389060548.db2.gz PJGSVKPUALIZCC-ZDUSSCGKSA-N 0 1 287.367 0.897 20 30 CCEDMN N#CCN(CC#N)C(=O)c1cc(F)cc2nn[nH]c21 ZINC000337464518 389062439 /nfs/dbraw/zinc/06/24/39/389062439.db2.gz NWDBYNFXAHZZNF-UHFFFAOYSA-N 0 1 258.216 0.586 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCCCC1)N(C)CCOCCO ZINC000337493811 389062998 /nfs/dbraw/zinc/06/29/98/389062998.db2.gz POJWDOZFFTVXPF-ZDUSSCGKSA-N 0 1 297.399 0.658 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1CCC[C@@H](CNC(=O)C(C)C)C1 ZINC000101909825 389073666 /nfs/dbraw/zinc/07/36/66/389073666.db2.gz IRLKCNOJQDEMSP-KBPBESRZSA-N 0 1 293.411 0.609 20 30 CCEDMN C=CCC[C@@H](O)CN1CCN(Cc2nc(C)no2)CC1 ZINC000305071054 389083692 /nfs/dbraw/zinc/08/36/92/389083692.db2.gz JIHQSRBZBHEHLG-CYBMUJFWSA-N 0 1 280.372 0.823 20 30 CCEDMN C=CC[C@@H](CO)NC(=O)CN(C)[C@H]1CCSC1 ZINC000337040841 389038841 /nfs/dbraw/zinc/03/88/41/389038841.db2.gz MEJPULYVQUWEFL-QWRGUYRKSA-N 0 1 258.387 0.477 20 30 CCEDMN C=CC[C@H](CO)NC(=O)CSc1nnc(C)[nH]1 ZINC000337049858 389038985 /nfs/dbraw/zinc/03/89/85/389038985.db2.gz IWODUBAUZNOBCY-MRVPVSSYSA-N 0 1 256.331 0.258 20 30 CCEDMN C=CC[C@H](CO)NC(=O)CSc1nc(C)n[nH]1 ZINC000337049858 389038989 /nfs/dbraw/zinc/03/89/89/389038989.db2.gz IWODUBAUZNOBCY-MRVPVSSYSA-N 0 1 256.331 0.258 20 30 CCEDMN C=CCCN(C)C(=O)C(=O)N[C@@H]1CCN(C2CC2)C1 ZINC000355137796 389043724 /nfs/dbraw/zinc/04/37/24/389043724.db2.gz HMIMVADDARXPIR-LLVKDONJSA-N 0 1 265.357 0.374 20 30 CCEDMN CCc1nc([C@H](C)NC(=O)CCN2C(=O)CCC2=O)n[nH]1 ZINC000328952631 389147450 /nfs/dbraw/zinc/14/74/50/389147450.db2.gz XTBAYHCOPWFQHD-QMMMGPOBSA-N 0 1 293.327 0.924 20 30 CCEDMN C=CCN(C)[C@H](C)C(=O)N1CCN(C(=O)OCC)CC1 ZINC000121524316 389148653 /nfs/dbraw/zinc/14/86/53/389148653.db2.gz AWTXULYEDURLKZ-GFCCVEGCSA-N 0 1 283.372 0.793 20 30 CCEDMN C[C@@H](C(=O)NC1CC1)N1CCN(C(=O)c2cnc[nH]2)CC1 ZINC000328996110 389148843 /nfs/dbraw/zinc/14/88/43/389148843.db2.gz JUPPSHXDZWNOAH-JTQLQIEISA-N 0 1 291.355 0.675 20 30 CCEDMN C=C(C)[C@@H](CC(=O)NC1(c2nn[nH]n2)CC1)OCC ZINC000357044811 389130076 /nfs/dbraw/zinc/13/00/76/389130076.db2.gz IUUDDNILYHTVSA-SECBINFHSA-N 0 1 265.317 0.676 20 30 CCEDMN C=CCN1CC[C@H](N[C@@H](C(=O)NCC)C(C)C)C1=O ZINC000339335655 389130536 /nfs/dbraw/zinc/13/05/36/389130536.db2.gz YTZVERQSMSPTAJ-NWDGAFQWSA-N 0 1 267.373 0.524 20 30 CCEDMN CCNC(=O)[C@@H](NCC(=O)N(CC)C[C@@H](C)C#N)C(C)C ZINC000339313357 389130615 /nfs/dbraw/zinc/13/06/15/389130615.db2.gz BRSSRJUPCHZAEO-JSGCOSHPSA-N 0 1 296.415 0.745 20 30 CCEDMN O=C(N[C@H]1CCCc2cn[nH]c21)N1C[C@@H]2COC[C@H](C1)O2 ZINC000328677880 389130895 /nfs/dbraw/zinc/13/08/95/389130895.db2.gz AUTVHVNZWCYMBH-TUAOUCFPSA-N 0 1 292.339 0.801 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCCN2CCOCC2)cn1 ZINC000115334856 389132284 /nfs/dbraw/zinc/13/22/84/389132284.db2.gz USCOWUNGXOHZRM-UHFFFAOYSA-N 0 1 288.351 0.795 20 30 CCEDMN CCN(CC(=O)N(CCC#N)CCC#N)[C@H](C)CO ZINC000339624982 389136778 /nfs/dbraw/zinc/13/67/78/389136778.db2.gz OHBFVRWHELAPIS-GFCCVEGCSA-N 0 1 266.345 0.345 20 30 CCEDMN Cc1nc2n(n1)C[C@@H]([NH+]=C([O-])N1CCC[N@H+](C)CC1)CC2 ZINC000328771658 389137384 /nfs/dbraw/zinc/13/73/84/389137384.db2.gz ATGFAVWBEGJEIT-LBPRGKRZSA-N 0 1 292.387 0.453 20 30 CCEDMN Cc1nc2n(n1)C[C@@H](NC(=O)N1CCC[N@@H+](C)CC1)CC2 ZINC000328771658 389137387 /nfs/dbraw/zinc/13/73/87/389137387.db2.gz ATGFAVWBEGJEIT-LBPRGKRZSA-N 0 1 292.387 0.453 20 30 CCEDMN CCN1CCC(NS(=O)(=O)N(C)CCC#N)CC1 ZINC000359645546 389180369 /nfs/dbraw/zinc/18/03/69/389180369.db2.gz OEIHJDQDRLAHET-UHFFFAOYSA-N 0 1 274.390 0.151 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC(=O)NCC[C@H]1CCOC1)CC2 ZINC000329660953 389183569 /nfs/dbraw/zinc/18/35/69/389183569.db2.gz OTSGKGJYRNECTQ-NWDGAFQWSA-N 0 1 293.371 0.832 20 30 CCEDMN Cc1nc2n(n1)C[C@H](NC([O-])=[NH+]CC[C@H]1CCOC1)CC2 ZINC000329660953 389183573 /nfs/dbraw/zinc/18/35/73/389183573.db2.gz OTSGKGJYRNECTQ-NWDGAFQWSA-N 0 1 293.371 0.832 20 30 CCEDMN C[S@@](=O)C1(CNC(=O)N2CCn3cncc3C2)CC1 ZINC000329673814 389186293 /nfs/dbraw/zinc/18/62/93/389186293.db2.gz SYLQXLMYPGRFPK-LJQANCHMSA-N 0 1 282.369 0.524 20 30 CCEDMN C=C[C@H](CO)NC(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000358458623 389151179 /nfs/dbraw/zinc/15/11/79/389151179.db2.gz ADMDSZHZYFSLTO-OLZOCXBDSA-N 0 1 285.388 0.189 20 30 CCEDMN CN(C(=O)N[C@H]1CCCc2cn[nH]c21)[C@@H]1COC[C@H]1O ZINC000329299052 389155403 /nfs/dbraw/zinc/15/54/03/389155403.db2.gz UXNAZMLMGAIZIH-HBNTYKKESA-N 0 1 280.328 0.393 20 30 CCEDMN Cc1cc(CNC(=O)NC[C@H]2CN(C)CCN2C)on1 ZINC000329356193 389159108 /nfs/dbraw/zinc/15/91/08/389159108.db2.gz GWOBJUCFGSEZMH-NSHDSACASA-N 0 1 281.360 0.232 20 30 CCEDMN Cc1cc2c[nH]nc2c(C(=O)N2CC(O)(CC#N)C2)c1 ZINC000329392216 389161235 /nfs/dbraw/zinc/16/12/35/389161235.db2.gz TYKSBKPIDKKIKN-UHFFFAOYSA-N 0 1 270.292 0.972 20 30 CCEDMN N#Cc1ccc([N+](=O)[O-])c(N2CCCN(CCO)CC2)c1 ZINC000124917180 389163582 /nfs/dbraw/zinc/16/35/82/389163582.db2.gz KKSUBZDIRRMAPE-UHFFFAOYSA-N 0 1 290.323 0.971 20 30 CCEDMN N#CCCN(CCC#N)C(=O)CN1C[C@@H]2CC[C@H](O)[C@H]2C1 ZINC000125321670 389165757 /nfs/dbraw/zinc/16/57/57/389165757.db2.gz BXXVYSAAHMNGNI-IHRRRGAJSA-N 0 1 290.367 0.345 20 30 CCEDMN C[C@]1(NC([O-])=[NH+]CCn2cncn2)CCO[C@H]1C1CC1 ZINC000329550988 389170167 /nfs/dbraw/zinc/17/01/67/389170167.db2.gz JLHHMPQJXUEPOE-AAEUAGOBSA-N 0 1 279.344 0.739 20 30 CCEDMN C[C@]1(NC(=O)NCCn2cncn2)CCO[C@H]1C1CC1 ZINC000329550988 389170170 /nfs/dbraw/zinc/17/01/70/389170170.db2.gz JLHHMPQJXUEPOE-AAEUAGOBSA-N 0 1 279.344 0.739 20 30 CCEDMN C[C@@H](CN1CCOCC1)C(=O)N1CCC(F)(C#N)CC1 ZINC000359357654 389172217 /nfs/dbraw/zinc/17/22/17/389172217.db2.gz GLQYLZBYVDYAFW-LBPRGKRZSA-N 0 1 283.347 0.809 20 30 CCEDMN C=C[C@@H](C)NC(=O)N[C@H](C)CN1CCN(C)CC1 ZINC000128164963 389176580 /nfs/dbraw/zinc/17/65/80/389176580.db2.gz VUYSPQOQNUWISR-VXGBXAGGSA-N 0 1 254.378 0.496 20 30 CCEDMN CN1CC[N@@H+](C)C[C@H]1CNC(=O)NCC[C@@H]1CCOC1 ZINC000329627778 389178560 /nfs/dbraw/zinc/17/85/60/389178560.db2.gz HALDEBCZYLXXEV-CHWSQXEVSA-N 0 1 284.404 0.162 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCC[C@@H]2CCOC2)C1 ZINC000329627778 389178563 /nfs/dbraw/zinc/17/85/63/389178563.db2.gz HALDEBCZYLXXEV-CHWSQXEVSA-N 0 1 284.404 0.162 20 30 CCEDMN C=CCC[C@H](O)CN1CCO[C@H](c2n[nH]c(C)n2)C1 ZINC000247623766 389241394 /nfs/dbraw/zinc/24/13/94/389241394.db2.gz UCRFRPMOESXSGH-RYUDHWBXSA-N 0 1 266.345 0.814 20 30 CCEDMN COC(=O)[C@@H]1CN(C(=O)N[C@@H](C)Cn2cccn2)CCO1 ZINC000330584649 389243892 /nfs/dbraw/zinc/24/38/92/389243892.db2.gz MHZVKCRLPUAGMO-QWRGUYRKSA-N 0 1 296.327 0.059 20 30 CCEDMN CCN(C[C@@H](C)C#N)C(=O)CN1CCN(C)C[C@H]1C ZINC000330670591 389251586 /nfs/dbraw/zinc/25/15/86/389251586.db2.gz MCEVUWGCQFASHE-QWHCGFSZSA-N 0 1 266.389 0.630 20 30 CCEDMN CN1CC[C@@H]2CCN(C(=O)C(=O)NCCCCC#N)[C@@H]2C1 ZINC000343312481 389264351 /nfs/dbraw/zinc/26/43/51/389264351.db2.gz RVKJPMMYZPFSKL-CHWSQXEVSA-N 0 1 292.383 0.349 20 30 CCEDMN O=C(NCCn1cncn1)N1CC[C@H]([C@@H]2CCOC2)C1 ZINC000329881968 389208589 /nfs/dbraw/zinc/20/85/89/389208589.db2.gz PGCJJJBTHKANHR-NWDGAFQWSA-N 0 1 279.344 0.551 20 30 CCEDMN CNC(=O)N[C@@H]1CCN(CCOc2ccc(C#N)cc2)C1 ZINC000342351213 389212982 /nfs/dbraw/zinc/21/29/82/389212982.db2.gz DRSOMOMTMMFCAH-CYBMUJFWSA-N 0 1 288.351 0.940 20 30 CCEDMN C[C@@H]1COC[C@H](C)N1CCC(=O)N(C)CCC#N ZINC000131678878 389216424 /nfs/dbraw/zinc/21/64/24/389216424.db2.gz QTQYCUVEWZXFLE-TXEJJXNPSA-N 0 1 253.346 0.858 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1C[C@H](CO)[C@H](C)C1 ZINC000362078727 389229337 /nfs/dbraw/zinc/22/93/37/389229337.db2.gz RMKVOPWGXLRJMM-GRYCIOLGSA-N 0 1 265.357 0.498 20 30 CCEDMN COC(=O)[C@@H](C)CN(C)[C@@H](C)C(=O)NC1(C#N)CCC1 ZINC000345778817 389314687 /nfs/dbraw/zinc/31/46/87/389314687.db2.gz GGPOVLKEQVKKDW-QWRGUYRKSA-N 0 1 281.356 0.678 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1CC[C@]2(C1)CCCOC2 ZINC000367053125 389315862 /nfs/dbraw/zinc/31/58/62/389315862.db2.gz DHGSWVRGOZLRFO-AWEZNQCLSA-N 0 1 281.356 0.501 20 30 CCEDMN C=CCNC(=O)CN1CCN(CC[C@@H]2CCCO2)CC1 ZINC000345861112 389316682 /nfs/dbraw/zinc/31/66/82/389316682.db2.gz SUNJTQBCIFWGOC-AWEZNQCLSA-N 0 1 281.400 0.475 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@H]1C(=O)N[C@@H](C)CN1CCN(C)CC1 ZINC000345915103 389318311 /nfs/dbraw/zinc/31/83/11/389318311.db2.gz WMVJJZLTLYKFFU-ZNMIVQPWSA-N 0 1 295.427 0.720 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)cc1 ZINC000264430345 389328258 /nfs/dbraw/zinc/32/82/58/389328258.db2.gz MAAJWHQTSVZCOW-CYBMUJFWSA-N 0 1 286.379 0.924 20 30 CCEDMN CC(C)(C#N)CC[N@H+]1CCCN(S(C)(=O)=O)CC1 ZINC000157557102 389332408 /nfs/dbraw/zinc/33/24/08/389332408.db2.gz JECWHAJXQMAQEH-UHFFFAOYSA-N 0 1 273.402 0.894 20 30 CCEDMN CC(C)(C#N)CCN1CCCN(S(C)(=O)=O)CC1 ZINC000157557102 389332413 /nfs/dbraw/zinc/33/24/13/389332413.db2.gz JECWHAJXQMAQEH-UHFFFAOYSA-N 0 1 273.402 0.894 20 30 CCEDMN C[C@H]1CN(C(=O)NCCC#N)CCN1CC(C)(C)O ZINC000408364495 389341200 /nfs/dbraw/zinc/34/12/00/389341200.db2.gz CMDTXUVQDHIEPQ-NSHDSACASA-N 0 1 268.361 0.387 20 30 CCEDMN C=C[C@H](C)NC(=O)N=c1[nH]n(C)cc1C(=O)OCC ZINC000345128935 389291293 /nfs/dbraw/zinc/29/12/93/389291293.db2.gz HSKHGWNXNKDKGX-QMMMGPOBSA-N 0 1 266.301 0.715 20 30 CCEDMN C#CCOCCC(=O)N1CC[C@@H](CN2CCOCC2)C1 ZINC000153576168 389303605 /nfs/dbraw/zinc/30/36/05/389303605.db2.gz NHSSKIGWJPICIC-AWEZNQCLSA-N 0 1 280.368 0.207 20 30 CCEDMN CN(CC#N)C(=O)CSc1n[nH]c(=S)s1 ZINC000266981514 389368122 /nfs/dbraw/zinc/36/81/22/389368122.db2.gz QJCZQKPPDKFCPF-UHFFFAOYSA-N 0 1 260.369 0.901 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+](C)C[C@@H]1CCC[C@@H]1O ZINC000159870458 389375422 /nfs/dbraw/zinc/37/54/22/389375422.db2.gz YETMTTFVIMMAFI-WOPDTQHZSA-N 0 1 283.372 0.480 20 30 CCEDMN C=CCOCCCN1CCC[C@@H](S(N)(=O)=O)C1 ZINC000348061562 389363908 /nfs/dbraw/zinc/36/39/08/389363908.db2.gz TWSDHHVJJZXASS-LLVKDONJSA-N 0 1 262.375 0.332 20 30 CCEDMN CN1CC=C(CNS(=O)(=O)c2ccc(C#N)nc2)CC1 ZINC000274048402 389480475 /nfs/dbraw/zinc/48/04/75/389480475.db2.gz YMBNAFLHWIMRSZ-UHFFFAOYSA-N 0 1 292.364 0.493 20 30 CCEDMN C=CC1CCN(C(=O)C(=O)N2C[C@@H](C)N[C@@H](C)C2)CC1 ZINC000335190447 389541465 /nfs/dbraw/zinc/54/14/65/389541465.db2.gz FVXPSTCAJIEVOV-TXEJJXNPSA-N 0 1 279.384 0.620 20 30 CCEDMN C=CCN1CC[C@@H](N(C)CCCC(=O)OC)C1=O ZINC000281393046 389615731 /nfs/dbraw/zinc/61/57/31/389615731.db2.gz PWQGVFSTWQXCQO-LLVKDONJSA-N 0 1 254.330 0.658 20 30 CCEDMN C=CCN1CC[C@@H](NC2(C(N)=O)CCCCC2)C1=O ZINC000281936230 389636747 /nfs/dbraw/zinc/63/67/47/389636747.db2.gz IKQZUSJOFYVSIQ-LLVKDONJSA-N 0 1 265.357 0.551 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(CNC(=O)N2C)CC1 ZINC000425547233 389652856 /nfs/dbraw/zinc/65/28/56/389652856.db2.gz UUQBWEFOMCHDGP-LLVKDONJSA-N 0 1 280.372 0.167 20 30 CCEDMN C=CCn1cc(CNC(=O)NCc2n[nH]c(CC)n2)nn1 ZINC000425767007 389655774 /nfs/dbraw/zinc/65/57/74/389655774.db2.gz KHZUVPRQMFZJQH-UHFFFAOYSA-N 0 1 290.331 0.144 20 30 CCEDMN C[C@@H](O)CN1CCN(C(=O)N[C@@H]2CC[C@H](C#N)C2)[C@@H](C)C1 ZINC000425860549 389658438 /nfs/dbraw/zinc/65/84/38/389658438.db2.gz KMPYXWFSQVLMOX-RFQIPJPRSA-N 0 1 294.399 0.775 20 30 CCEDMN C[C@@H]1CNCCN1C(=O)C(=O)Nc1ccc(F)cc1C#N ZINC000415353466 389676533 /nfs/dbraw/zinc/67/65/33/389676533.db2.gz QWXJRSUIDQWNBM-SECBINFHSA-N 0 1 290.298 0.456 20 30 CCEDMN C=C[C@H](CO)NC(=O)c1ccnc(N2CCN(C)CC2)c1 ZINC000426851457 389678538 /nfs/dbraw/zinc/67/85/38/389678538.db2.gz QGZNVTSJIFLBBX-CYBMUJFWSA-N 0 1 290.367 0.110 20 30 CCEDMN C=CCOCC(=O)Nc1nc(C(=O)OCC)c[nH]1 ZINC000415634680 389695875 /nfs/dbraw/zinc/69/58/75/389695875.db2.gz IWVDDSNMUIJGEZ-UHFFFAOYSA-N 0 1 253.258 0.728 20 30 CCEDMN Cc1ncc(S(=O)(=O)N2CCN[C@H](C)[C@@H]2C)cc1C#N ZINC000379448746 389761108 /nfs/dbraw/zinc/76/11/08/389761108.db2.gz ZYXUOKNOXDXDCS-KOLCDFICSA-N 0 1 294.380 0.633 20 30 CCEDMN N#Cc1ccc(CN2C[C@@H]3C(=O)NC(=O)[C@@H]3C2)c(Cl)c1 ZINC000388068286 389768869 /nfs/dbraw/zinc/76/88/69/389768869.db2.gz BTNIHIQHXINFOF-PHIMTYICSA-N 0 1 289.722 0.916 20 30 CCEDMN CNC(=O)[C@@]1(C)CCN(CC(=O)N[C@@](C)(C#N)C2CC2)C1 ZINC000430253795 389789787 /nfs/dbraw/zinc/78/97/87/389789787.db2.gz UAIYLSGSPLGARY-GJZGRUSLSA-N 0 1 292.383 0.253 20 30 CCEDMN CC(C)[C@@H](NCCC(=O)N(C)CCC#N)c1nncn1C ZINC000352458489 389813792 /nfs/dbraw/zinc/81/37/92/389813792.db2.gz LCSGNNLQBBYFRF-CYBMUJFWSA-N 0 1 292.387 0.864 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)N1CC[C@H](O)C(C)(C)C1 ZINC000446067366 389930177 /nfs/dbraw/zinc/93/01/77/389930177.db2.gz OSFPEWDEWGAYJO-RYUDHWBXSA-N 0 1 267.373 0.840 20 30 CCEDMN CCOC(=O)c1ccc(C#N)c(SCc2nn[nH]n2)n1 ZINC000435237708 389954167 /nfs/dbraw/zinc/95/41/67/389954167.db2.gz YPQIMIFGZSVPJI-UHFFFAOYSA-N 0 1 290.308 0.935 20 30 CCEDMN C=CCNC(=O)NC(=O)C[N@H+](C)[C@@H](CO)CC(C)(C)C ZINC000451778913 390004301 /nfs/dbraw/zinc/00/43/01/390004301.db2.gz KLBANKRNFWYTSS-LLVKDONJSA-N 0 1 285.388 0.727 20 30 CCEDMN C=CCNC(=O)NC(=O)CN(C)[C@@H](CO)CC(C)(C)C ZINC000451778913 390004304 /nfs/dbraw/zinc/00/43/04/390004304.db2.gz KLBANKRNFWYTSS-LLVKDONJSA-N 0 1 285.388 0.727 20 30 CCEDMN CC(C)(C#N)CNC(=O)NCCCCN1CCOCC1 ZINC000479697945 390082076 /nfs/dbraw/zinc/08/20/76/390082076.db2.gz ZPIBZCIOTJEWOW-UHFFFAOYSA-N 0 1 282.388 0.948 20 30 CCEDMN C[C@H]1[C@H](CO)CCN1CC(=O)NCc1cccc(C#N)c1 ZINC000488774176 390096312 /nfs/dbraw/zinc/09/63/12/390096312.db2.gz HGKLLYIMBROSOY-WFASDCNBSA-N 0 1 287.363 0.877 20 30 CCEDMN C#C[C@@H](CO)NC[C@H](O)c1cccc([N+](=O)[O-])c1 ZINC000491646345 390115432 /nfs/dbraw/zinc/11/54/32/390115432.db2.gz SWWYJCBSMHKIFN-JQWIXIFHSA-N 0 1 250.254 0.212 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCCN1CC=CCC1 ZINC000579683171 390197171 /nfs/dbraw/zinc/19/71/71/390197171.db2.gz LVQRWFVVIORPKO-OLZOCXBDSA-N 0 1 265.357 0.891 20 30 CCEDMN C=CCC1(C(=O)NCc2c[nH]nn2)CCOCC1 ZINC000644660314 390278974 /nfs/dbraw/zinc/27/89/74/390278974.db2.gz ZFGWBRGOWZRPDT-UHFFFAOYSA-N 0 1 250.302 0.794 20 30 CCEDMN C#CCCN1CCN(C[C@@H]2CN(C)C(=O)O2)CC1 ZINC000496481774 390353784 /nfs/dbraw/zinc/35/37/84/390353784.db2.gz RILIMFYYNRDELO-LBPRGKRZSA-N 0 1 251.330 0.078 20 30 CCEDMN CC#CC[C@@H](CO)Nc1nc(C(F)(F)F)nc2[nH]cnc21 ZINC000645403201 390420539 /nfs/dbraw/zinc/42/05/39/390420539.db2.gz JKCDDTBSTAGYQO-ZETCQYMHSA-N 0 1 299.256 0.980 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)NCc1ccc2cncn2c1 ZINC000645541163 390443607 /nfs/dbraw/zinc/44/36/07/390443607.db2.gz UHHOUOKTOYWLCV-ZDUSSCGKSA-N 0 1 286.335 0.908 20 30 CCEDMN CC#CC[C@H](CO)NC(=O)NCCCCN1CCOCC1 ZINC000645165372 390380420 /nfs/dbraw/zinc/38/04/20/390380420.db2.gz KECAYBWHPYZZND-CQSZACIVSA-N 0 1 297.399 0.172 20 30 CCEDMN CC[C@@H](CC#N)NCCc1cn(CC(=O)OC)nn1 ZINC000564666776 390496429 /nfs/dbraw/zinc/49/64/29/390496429.db2.gz PSFZEZZBSXYNIO-JTQLQIEISA-N 0 1 265.317 0.275 20 30 CCEDMN CCOC(=O)CC[C@@H](O)CNCC1(C#N)CCC1 ZINC000519544618 390508217 /nfs/dbraw/zinc/50/82/17/390508217.db2.gz USLBXNZJIFLDEJ-LLVKDONJSA-N 0 1 254.330 0.974 20 30 CCEDMN CC#CC[C@@H](CO)NC(=O)c1n[nH]nc1-c1ccccc1 ZINC000649182819 390512384 /nfs/dbraw/zinc/51/23/84/390512384.db2.gz FQRWPAGGSPPFEX-LBPRGKRZSA-N 0 1 284.319 0.976 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N1CC[C@]2(CNC(=O)C2)C1 ZINC000555830027 390466771 /nfs/dbraw/zinc/46/67/71/390466771.db2.gz KMTYEWXDFUCAFL-FZMZJTMJSA-N 0 1 290.367 0.149 20 30 CCEDMN COc1ccc(C(=O)NC2(C#N)CCN(C)CC2)cn1 ZINC000520711368 390588288 /nfs/dbraw/zinc/58/82/88/390588288.db2.gz ATCWWWAQALBJTG-UHFFFAOYSA-N 0 1 274.324 0.808 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1CNC(=O)N1CCN[C@H](C)C1 ZINC000520218730 390559250 /nfs/dbraw/zinc/55/92/50/390559250.db2.gz ALMZZNFECOTENM-WOPDTQHZSA-N 0 1 253.346 0.581 20 30 CCEDMN N#CC1(CNC[C@H](O)CN2C(=O)c3ccccc3C2=O)CC1 ZINC000567892309 390711642 /nfs/dbraw/zinc/71/16/42/390711642.db2.gz PPALOWGKZWKKFN-NSHDSACASA-N 0 1 299.330 0.537 20 30 CCEDMN CC(C)[C@H]1CNCCN1S(=O)(=O)c1cncc(C#N)c1 ZINC000528050381 390729754 /nfs/dbraw/zinc/72/97/54/390729754.db2.gz XXJBXLTUOVNVHQ-CYBMUJFWSA-N 0 1 294.380 0.572 20 30 CCEDMN C[C@@H](NCC(=O)NC1(C#N)CCC1)c1cnn(C)c1 ZINC000525893442 390669465 /nfs/dbraw/zinc/66/94/65/390669465.db2.gz GAPQKENECBISBT-SNVBAGLBSA-N 0 1 261.329 0.633 20 30 CCEDMN CC(C)(N)c1noc(Cn2cc3c(c(C#N)c2=O)CCC3)n1 ZINC000567499328 390701637 /nfs/dbraw/zinc/70/16/37/390701637.db2.gz SKDZNBSQMNTPQF-UHFFFAOYSA-N 0 1 299.334 0.834 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCN(CCOC)[C@H](CCC)C1 ZINC000646949559 390746980 /nfs/dbraw/zinc/74/69/80/390746980.db2.gz KYFCUKJICSSQEC-OLZOCXBDSA-N 0 1 270.373 0.493 20 30 CCEDMN C=C[C@H](O)C(=O)N1CCN(CCOC)[C@@H](CCC)C1 ZINC000646949561 390747002 /nfs/dbraw/zinc/74/70/02/390747002.db2.gz KYFCUKJICSSQEC-STQMWFEESA-N 0 1 270.373 0.493 20 30 CCEDMN N#Cc1cc(C(=O)NC2(c3nnc[nH]3)CCC2)c[nH]1 ZINC000578391128 390881309 /nfs/dbraw/zinc/88/13/09/390881309.db2.gz NVTUJAGVHSCRHJ-UHFFFAOYSA-N 0 1 256.269 0.814 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)Cc2cccc(C#N)c2)C1 ZINC000531391529 390878195 /nfs/dbraw/zinc/87/81/95/390878195.db2.gz ACCXOUJLJPOXJA-HNNXBMFYSA-N 0 1 286.379 0.463 20 30 CCEDMN Cc1cc(C#N)cnc1C(=O)NC(C)(C)c1nn[nH]n1 ZINC000578851352 390904168 /nfs/dbraw/zinc/90/41/68/390904168.db2.gz GXGJDWBLBZEAEW-UHFFFAOYSA-N 0 1 271.284 0.440 20 30 CCEDMN COC[C@@H]1CN(C(=O)N[C@@H]2CCn3ccnc3C2)CCO1 ZINC000330202773 533371311 /nfs/dbraw/zinc/37/13/11/533371311.db2.gz ZTIFQVDCQFQCAH-NEPJUHHUSA-N 0 1 294.355 0.459 20 30 CCEDMN N#Cc1ccc(CNC(=O)CN2CCC[C@@H]2CO)cc1 ZINC000263671142 131305931 /nfs/dbraw/zinc/30/59/31/131305931.db2.gz GHLBNJKYNWFKAX-CQSZACIVSA-N 0 1 273.336 0.631 20 30 CCEDMN Cc1n[nH]c(CCNC(=O)COc2ccccc2C#N)n1 ZINC000266973407 131805105 /nfs/dbraw/zinc/80/51/05/131805105.db2.gz PDJSDSZBTULWEV-UHFFFAOYSA-N 0 1 285.307 0.723 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@H](c2nc(C)n[nH]2)C1 ZINC000268408540 132004571 /nfs/dbraw/zinc/00/45/71/132004571.db2.gz ACLQYBXWBDDAMI-ZYHUDNBSSA-N 0 1 277.372 0.983 20 30 CCEDMN CSC[C@@H](O)CN1CCN(c2cc(C#N)ccn2)CC1 ZINC000275133565 135104232 /nfs/dbraw/zinc/10/42/32/135104232.db2.gz CGNYLFIZUCHVSI-ZDUSSCGKSA-N 0 1 292.408 0.799 20 30 CCEDMN C[C@H]1CN(c2cnc(C#N)cn2)CCN1CC(C)(C)O ZINC000275400338 135106502 /nfs/dbraw/zinc/10/65/02/135106502.db2.gz BFKYSTLVWLOGII-NSHDSACASA-N 0 1 275.356 0.630 20 30 CCEDMN C[C@@H]1[C@H](C)N(C)CCN1C(=O)CNc1ccc(C#N)cn1 ZINC000356851430 144038116 /nfs/dbraw/zinc/03/81/16/144038116.db2.gz MPUFKPMHCIFNDA-NWDGAFQWSA-N 0 1 287.367 0.338 20 30 CCEDMN N#Cc1cccc(C2(C(=O)NC3(c4nn[nH]n4)CC3)CC2)c1 ZINC000357057551 144055262 /nfs/dbraw/zinc/05/52/62/144055262.db2.gz YXIGOPLPAQXHGY-UHFFFAOYSA-N 0 1 294.318 0.908 20 30 CCEDMN COc1cc(CN=c2ccc(C(N)=O)n[nH]2)ccc1C#N ZINC000357619887 144105207 /nfs/dbraw/zinc/10/52/07/144105207.db2.gz SHVWIAGVRMLDFU-UHFFFAOYSA-N 0 1 283.291 0.490 20 30 CCEDMN CC(C)n1cc(C#N)c(=NC(=O)C(=O)NC[C@H]2C[C@@H]2C)[nH]1 ZINC000359064418 144193000 /nfs/dbraw/zinc/19/30/00/144193000.db2.gz VHJFZKRGGPPVJU-VHSXEESVSA-N 0 1 289.339 0.468 20 30 CCEDMN C=CCNc1ncc(C(=O)N2CC[C@H]3CN(C)C[C@H]32)s1 ZINC000650321894 397713065 /nfs/dbraw/zinc/71/30/65/397713065.db2.gz MWJZTYZLYOMSIF-WDEREUQCSA-N 0 1 292.408 0.939 20 30 CCEDMN CO[C@H]1C[C@@H](CO)N(C(=O)N[C@@H]2CCn3ccnc3C2)C1 ZINC000329177556 286095334 /nfs/dbraw/zinc/09/53/34/286095334.db2.gz MANLITVAVQYLPF-WOPDTQHZSA-N 0 1 294.355 0.194 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N1CCN(CCOC)CC1(C)C ZINC000652926588 412167238 /nfs/dbraw/zinc/16/72/38/412167238.db2.gz FCUXSZNRVZUVGL-HNNXBMFYSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@H](N2CCOCC2)C1 ZINC000653717980 412339315 /nfs/dbraw/zinc/33/93/15/412339315.db2.gz VWRODOAJHKHQRJ-KBPBESRZSA-N 0 1 281.400 0.474 20 30 CCEDMN C=CC[C@@H]1CCCN(C(=O)NCC(=O)N2CCNCC2)C1 ZINC000659508528 413569925 /nfs/dbraw/zinc/56/99/25/413569925.db2.gz RLLKVCFIOQGYCZ-CYBMUJFWSA-N 0 1 294.399 0.416 20 30 CCEDMN C=CCOCCCNC(=O)C(=O)N1C[C@H]2CCC[C@@H](C1)N2 ZINC000659734445 413831170 /nfs/dbraw/zinc/83/11/70/413831170.db2.gz FFYPXUJIWUNPLX-BETUJISGSA-N 0 1 295.383 0.048 20 30 CCEDMN C[C@@H]1CN(CCC(=O)N(C)CCC#N)C[C@@H](C)C1=O ZINC000661545266 414640400 /nfs/dbraw/zinc/64/04/00/414640400.db2.gz UXDBTSMSKRFWLS-VXGBXAGGSA-N 0 1 265.357 0.905 20 30 CCEDMN C=CCNC(=O)[C@H](C)N1CCC[C@@H](C(=O)NC(C)C)C1 ZINC000662112198 414690695 /nfs/dbraw/zinc/69/06/95/414690695.db2.gz BOAOBMPQLCEHMK-QWHCGFSZSA-N 0 1 281.400 0.914 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCCc1ccccc1OC ZINC000662167916 414695278 /nfs/dbraw/zinc/69/52/78/414695278.db2.gz RQVSWMAYVZYIKX-CYBMUJFWSA-N 0 1 278.352 0.490 20 30 CCEDMN C=C[C@H](CO)NCC(=O)NCc1cc(F)cc(F)c1 ZINC000662166902 414695386 /nfs/dbraw/zinc/69/53/86/414695386.db2.gz KWIQAQLMLMPRGX-GFCCVEGCSA-N 0 1 270.279 0.718 20 30 CCEDMN C=CCN(C(=O)N[C@@H]1CCN(CCOC)C1)[C@H](C)COC ZINC000664185521 415269468 /nfs/dbraw/zinc/26/94/68/415269468.db2.gz YTPAUEGRRKVDNB-ZIAGYGMSSA-N 0 1 299.415 0.940 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)Nc1nccnc1C#N ZINC000078543567 177352378 /nfs/dbraw/zinc/35/23/78/177352378.db2.gz HWJDOWQEWCDQDN-LLVKDONJSA-N 0 1 260.345 0.396 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)NC[C@@H]1CCCCO1 ZINC000329139264 227321241 /nfs/dbraw/zinc/32/12/41/227321241.db2.gz FFDXLNONPMOUFL-KGLIPLIRSA-N 0 1 298.431 0.695 20 30 CCEDMN CO[C@H]1CCN(C([O-])=[NH+]C[C@@H]2CCc3nnc(C)n3C2)C1 ZINC000330140887 228048378 /nfs/dbraw/zinc/04/83/78/228048378.db2.gz XFIIKOFYFFUTET-RYUDHWBXSA-N 0 1 293.371 0.784 20 30 CCEDMN N#CCSCC(=O)Nc1ccn(-c2ccncc2)n1 ZINC000356110202 228124470 /nfs/dbraw/zinc/12/44/70/228124470.db2.gz CIIXAIGANBCCMK-UHFFFAOYSA-N 0 1 273.321 0.884 20 30 CCEDMN N#CC1(CNS(=O)(=O)CCN2CCCC2)CCC1 ZINC000333128765 228190909 /nfs/dbraw/zinc/19/09/09/228190909.db2.gz BLUDMJABXMHOHG-UHFFFAOYSA-N 0 1 271.386 0.695 20 30 CCEDMN Cc1nc2n(n1)C[C@H]([NH+]=C([O-])NCc1ccnn1C)CC2 ZINC000328800108 545019281 /nfs/dbraw/zinc/01/92/81/545019281.db2.gz HXKIXDLEFLPCMP-SNVBAGLBSA-N 0 1 289.343 0.339 20 30 CCEDMN C[C@H](Cc1ccc(O)cc1)N(C)CC(=O)NCC#N ZINC000119372477 260070513 /nfs/dbraw/zinc/07/05/13/260070513.db2.gz OZEMYWSVVQMONT-LLVKDONJSA-N 0 1 261.325 0.895 20 30 CCEDMN CN(CC(=O)N(CCC#N)CCC#N)CC(C)(C)O ZINC000078779265 260168852 /nfs/dbraw/zinc/16/88/52/260168852.db2.gz CBFOIXFVXDXEIE-UHFFFAOYSA-N 0 1 266.345 0.345 20 30 CCEDMN N#Cc1ccccc1S(=O)(=O)NCCc1nc[nH]n1 ZINC000080641048 260181414 /nfs/dbraw/zinc/18/14/14/260181414.db2.gz GKGYBOKPZJEBEE-UHFFFAOYSA-N 0 1 277.309 0.197 20 30 CCEDMN CN1CCN(Cc2ccc(C(=O)NCC#N)cc2)CC1 ZINC000155526198 261102906 /nfs/dbraw/zinc/10/29/06/261102906.db2.gz PNTMHSHNGHFANC-UHFFFAOYSA-N 0 1 272.352 0.687 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCC[C@@H](CO)C1 ZINC000249241923 261248025 /nfs/dbraw/zinc/24/80/25/261248025.db2.gz QUCNEVSXFBYFML-GHMZBOCLSA-N 0 1 269.345 0.091 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)N1CCC[C@@H](CO)C1 ZINC000249241923 261248026 /nfs/dbraw/zinc/24/80/26/261248026.db2.gz QUCNEVSXFBYFML-GHMZBOCLSA-N 0 1 269.345 0.091 20 30 CCEDMN N#CCCN(CCN1CCOCC1)C(=O)c1ccn[nH]1 ZINC000162513135 261283885 /nfs/dbraw/zinc/28/38/85/261283885.db2.gz ABOWHRNICWTSSZ-UHFFFAOYSA-N 0 1 277.328 0.098 20 30 CCEDMN CC[C@](C)(NCC(=O)N[C@@](C)(C#N)C(C)C)C(N)=O ZINC000177134482 261334548 /nfs/dbraw/zinc/33/45/48/261334548.db2.gz JEKPOWSCYYMILL-STQMWFEESA-N 0 1 268.361 0.284 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC[C@@H](C)F)CC1 ZINC000491209606 261371226 /nfs/dbraw/zinc/37/12/26/261371226.db2.gz JFPZYTBOTSJDMM-GFCCVEGCSA-N 0 1 255.337 0.102 20 30 CCEDMN C#CCNC(=O)c1ccc(N2CCN(CC)C[C@@H]2C)nc1 ZINC000491220957 261376505 /nfs/dbraw/zinc/37/65/05/261376505.db2.gz RTRYSGWVHDWJEB-ZDUSSCGKSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCOCC[C@@H]2C)CC1 ZINC000367634311 262054805 /nfs/dbraw/zinc/05/48/05/262054805.db2.gz OLJWQLOSBJEHMT-ZDUSSCGKSA-N 0 1 264.369 0.969 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)[N@H+]1C[C@H](O)C[C@H]1CO ZINC000364195594 262183233 /nfs/dbraw/zinc/18/32/33/262183233.db2.gz DSNFECOTCLFBOQ-FRRDWIJNSA-N 0 1 270.373 0.227 20 30 CCEDMN C=C(C)CN(CC)C(=O)[C@@H](C)N1C[C@H](O)C[C@H]1CO ZINC000364195594 262183235 /nfs/dbraw/zinc/18/32/35/262183235.db2.gz DSNFECOTCLFBOQ-FRRDWIJNSA-N 0 1 270.373 0.227 20 30 CCEDMN CN1CC[C@@H]2CCN(S(=O)(=O)CCCC#N)[C@@H]2C1 ZINC000364854551 262262879 /nfs/dbraw/zinc/26/28/79/262262879.db2.gz ONNOBRWLQIHZDQ-VXGBXAGGSA-N 0 1 271.386 0.646 20 30 CCEDMN CC#CCCN1CCCC[C@H]1CNS(C)(=O)=O ZINC000336718966 262383140 /nfs/dbraw/zinc/38/31/40/262383140.db2.gz MOWBLONNWFQMNM-LBPRGKRZSA-N 0 1 258.387 0.804 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCNC(=O)C[C@@H]2C)CC1 ZINC000370998189 262375961 /nfs/dbraw/zinc/37/59/61/262375961.db2.gz IWWMQWWNTRNEJQ-LBPRGKRZSA-N 0 1 277.368 0.069 20 30 CCEDMN CNC(=O)[C@@]1(C)CCN(CC(=O)N[C@@](C)(C#N)C(C)C)C1 ZINC000430265913 263013055 /nfs/dbraw/zinc/01/30/55/263013055.db2.gz WOTYVZBFQFUMOS-GJZGRUSLSA-N 0 1 294.399 0.499 20 30 CCEDMN C=CCN(C)[C@H](C)C(=O)N1CCN(c2ncccn2)CC1 ZINC000121548950 263295677 /nfs/dbraw/zinc/29/56/77/263295677.db2.gz DWZJLHOEXFOCMP-CYBMUJFWSA-N 0 1 289.383 0.632 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@H]1C[C@H](O)CN1Cc1ccccc1 ZINC000340744680 263303988 /nfs/dbraw/zinc/30/39/88/263303988.db2.gz XEEDXNSQGOLDLU-YUELXQCFSA-N 0 1 287.363 0.898 20 30 CCEDMN CNS(=O)(=O)CCCN1CCC(C#N)(COC)CC1 ZINC000341990090 263382139 /nfs/dbraw/zinc/38/21/39/263382139.db2.gz KFVDVGWKZVWDKX-UHFFFAOYSA-N 0 1 289.401 0.178 20 30 CCEDMN CC(C)N1CCC[C@@H](NS(=O)(=O)[C@@H](C)C#N)C1 ZINC000416464415 263720164 /nfs/dbraw/zinc/72/01/64/263720164.db2.gz HNAXXTZXZCUEHQ-WDEREUQCSA-N 0 1 259.375 0.691 20 30 CCEDMN O=C(NCCN1CCC1)N[C@H]1CCOC2(CCOCC2)C1 ZINC000328720778 263840371 /nfs/dbraw/zinc/84/03/71/263840371.db2.gz QUPUGIKCQVQGKZ-ZDUSSCGKSA-N 0 1 297.399 0.924 20 30 CCEDMN Cc1noc(CNC(=O)N2CCN(C[C@H](C)O)[C@H](C)C2)n1 ZINC000330698497 264006041 /nfs/dbraw/zinc/00/60/41/264006041.db2.gz GPXZEVHBJXYLFL-ZJUUUORDSA-N 0 1 297.359 0.179 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn2cncc2c1 ZINC000960835993 649859827 /nfs/dbraw/zinc/85/98/27/649859827.db2.gz HNRQNGBSSJIBEP-WDNDVIMCSA-N 0 1 281.319 0.518 20 30 CCEDMN CC(C)c1nnc2n1C[C@H](NC(=O)NCCO)CC2 ZINC000330763816 264031747 /nfs/dbraw/zinc/03/17/47/264031747.db2.gz PBWVMQUIOXYXHG-SECBINFHSA-N 0 1 267.333 0.212 20 30 CCEDMN CC(C)c1nnc2n1C[C@H](NC([O-])=[NH+]CCO)CC2 ZINC000330763816 264031748 /nfs/dbraw/zinc/03/17/48/264031748.db2.gz PBWVMQUIOXYXHG-SECBINFHSA-N 0 1 267.333 0.212 20 30 CCEDMN CN(Cc1ccncc1)C(=O)NC[C@@H]1CN(C)CCN1C ZINC000330732426 264037523 /nfs/dbraw/zinc/03/75/23/264037523.db2.gz JMBHUUQKLSPXHL-CQSZACIVSA-N 0 1 291.399 0.673 20 30 CCEDMN Cc1cnn(CC[NH+]=C([O-])N2CC[C@@H](CO)C2)c1 ZINC000330800434 264041486 /nfs/dbraw/zinc/04/14/86/264041486.db2.gz CPGATJDXJHMWLT-LLVKDONJSA-N 0 1 252.318 0.420 20 30 CCEDMN CNS(=O)(=O)c1cc(NC(=O)C(C)(C)C#N)ccc1O ZINC000330799574 264041609 /nfs/dbraw/zinc/04/16/09/264041609.db2.gz IOSBHLRGEGHYKK-UHFFFAOYSA-N 0 1 297.336 0.789 20 30 CCEDMN CN1CCN(C)[C@H](CNC(=O)NCCCC#N)C1 ZINC000330829828 264049938 /nfs/dbraw/zinc/04/99/38/264049938.db2.gz IOSARPKBTZRZGF-LLVKDONJSA-N 0 1 253.350 0.040 20 30 CCEDMN Cc1cc(C2CCN(CC(=O)NCC#N)CC2)[nH]n1 ZINC000330831429 264049621 /nfs/dbraw/zinc/04/96/21/264049621.db2.gz YCHZQUHDXQRXDQ-UHFFFAOYSA-N 0 1 261.329 0.537 20 30 CCEDMN CN(C)c1ccncc1C(=O)N[C@@H]1CCCNC1=O ZINC000330836238 264051312 /nfs/dbraw/zinc/05/13/12/264051312.db2.gz WYYVWDUGYZRESL-SNVBAGLBSA-N 0 1 262.313 0.731 20 30 CCEDMN NC(=O)[C@@H]1CN(C(=O)NCCn2cccn2)CCO1 ZINC000330849420 264067306 /nfs/dbraw/zinc/06/73/06/264067306.db2.gz ZOGSNRVSZVKZDA-VIFPVBQESA-N 0 1 267.289 0.033 20 30 CCEDMN C#CCN1CCC(C(=O)Nc2nc(C(N)=O)cs2)CC1 ZINC000125812860 264069630 /nfs/dbraw/zinc/06/96/30/264069630.db2.gz PIRQVVZJSMUQJP-UHFFFAOYSA-N 0 1 292.364 0.526 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N1CCN(C[C@H]2CCOC2)CC1 ZINC000330967353 264091350 /nfs/dbraw/zinc/09/13/50/264091350.db2.gz QPMYEMAFFBKVDC-UKRRQHHQSA-N 0 1 282.384 0.494 20 30 CCEDMN C[C@@H]1CN(CCCNC(=O)NCCF)C[C@@H](C)O1 ZINC000331221540 264166341 /nfs/dbraw/zinc/16/63/41/264166341.db2.gz AXYONLAVCGAJMR-GHMZBOCLSA-N 0 1 261.341 0.959 20 30 CCEDMN C=CCNC(=O)NC(=O)CN1C[C@@H](C)N(C)C[C@H]1C ZINC000334001530 264232492 /nfs/dbraw/zinc/23/24/92/264232492.db2.gz WXTUKSRVHIYVMI-GHMZBOCLSA-N 0 1 268.361 0.023 20 30 CCEDMN CN1CCN(CCNC(=O)c2cccc(F)c2C#N)CC1 ZINC000187634338 264272383 /nfs/dbraw/zinc/27/23/83/264272383.db2.gz FUPRQNTYNOLXOS-UHFFFAOYSA-N 0 1 290.342 0.675 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N1CCC(n2cccn2)CC1 ZINC000369116098 267222536 /nfs/dbraw/zinc/22/25/36/267222536.db2.gz IYZIDEXBVNCFGV-GFCCVEGCSA-N 0 1 260.341 0.658 20 30 CCEDMN C=CCNC(=O)CN1CCC[C@H]1C[C@@H](O)c1cnn(C)c1 ZINC000369232754 267227679 /nfs/dbraw/zinc/22/76/79/267227679.db2.gz ZHMYGZSXEILIDX-UONOGXRCSA-N 0 1 292.383 0.610 20 30 CCEDMN C#CCOCCC(=O)N1CCC(CN2CCOCC2)CC1 ZINC000120006816 267352846 /nfs/dbraw/zinc/35/28/46/267352846.db2.gz MFVZTFHSCSWXEX-UHFFFAOYSA-N 0 1 294.395 0.597 20 30 CCEDMN N#Cc1cccc(CC(=O)N2CCc3[nH]nnc3C2)c1 ZINC000531157507 268273982 /nfs/dbraw/zinc/27/39/82/268273982.db2.gz RZXDAEIBFKSBKP-UHFFFAOYSA-N 0 1 267.292 0.804 20 30 CCEDMN COCCCN1CCN(c2c(C#N)c(C)nn2C)CC1 ZINC000349852839 277497780 /nfs/dbraw/zinc/49/77/80/277497780.db2.gz KNEJAXNWWCTSHC-UHFFFAOYSA-N 0 1 277.372 0.759 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CCC[C@H](C(=O)NC)C1 ZINC000111266024 279172291 /nfs/dbraw/zinc/17/22/91/279172291.db2.gz FXAKZHNFVQQCKN-LBPRGKRZSA-N 0 1 280.372 0.207 20 30 CCEDMN C[C@H](NC(=O)[C@H]1CCCN1C1CC1)C(=O)N1CCOCC1 ZINC000330251890 289234697 /nfs/dbraw/zinc/23/46/97/289234697.db2.gz IAXTYKXDAGOPTD-WCQYABFASA-N 0 1 295.383 0.817 20 30 CCEDMN CN1CC[C@@H]2OCCN(CC(=O)NC(=O)NC3CC3)[C@@H]2C1 ZINC000329002616 290168377 /nfs/dbraw/zinc/16/83/77/290168377.db2.gz HHAMNLKEFXFNDX-NEPJUHHUSA-N 0 1 296.371 0.424 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@H+]1CCC[C@H](O)CC1 ZINC000332049377 328021406 /nfs/dbraw/zinc/02/14/06/328021406.db2.gz VSOLLRINSRBRJV-RYUDHWBXSA-N 0 1 253.346 0.594 20 30 CCEDMN CC(C)[C@@](C)(NCC(=O)N[C@](C)(C#N)C(C)C)C(N)=O ZINC000347707050 328576496 /nfs/dbraw/zinc/57/64/96/328576496.db2.gz PAGPODHAEFWCRT-ZIAGYGMSSA-N 0 1 282.388 0.530 20 30 CCEDMN C[C@@H](CN(C)C)NS(=O)(=O)CC(C)(C)CC#N ZINC000451578003 331035125 /nfs/dbraw/zinc/03/51/25/331035125.db2.gz WVAJDJMUCLOUCA-JTQLQIEISA-N 0 1 261.391 0.796 20 30 CCEDMN N#Cc1ccccc1OCCN[C@@]1(C(N)=O)CCOC1 ZINC000577526884 327264238 /nfs/dbraw/zinc/26/42/38/327264238.db2.gz NBCLOWDHNKYBGW-AWEZNQCLSA-N 0 1 275.308 0.171 20 30 CCEDMN C#Cc1ccc(CN2CC[C@H]3OCC(=O)N[C@@H]3C2)cc1 ZINC000377702192 329025512 /nfs/dbraw/zinc/02/55/12/329025512.db2.gz PSGHJPBFKSGJRU-HUUCEWRRSA-N 0 1 270.332 0.757 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H]3CCC[C@@H](C2)C3O)CC1 ZINC000172358576 329135539 /nfs/dbraw/zinc/13/55/39/329135539.db2.gz CLKFIDZPDZTQOT-XYPWUTKMSA-N 0 1 290.407 0.951 20 30 CCEDMN CCN(CCC#N)C(=O)CN(C)C[C@H](C)C(=O)NC ZINC000176352406 329174829 /nfs/dbraw/zinc/17/48/29/329174829.db2.gz HGYYHNJBPRNZHD-NSHDSACASA-N 0 1 268.361 0.062 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC(Cn2cncn2)CC1 ZINC000186230672 329340131 /nfs/dbraw/zinc/34/01/31/329340131.db2.gz YEUBLSPBARYHCX-GFCCVEGCSA-N 0 1 277.372 0.681 20 30 CCEDMN C=C(CC)CNC(=O)C(=O)N1CCNC[C@@H]1CCC ZINC000418887676 329679242 /nfs/dbraw/zinc/67/92/42/329679242.db2.gz NCTCYETYZUJGJV-LBPRGKRZSA-N 0 1 267.373 0.669 20 30 CCEDMN C=CCSCCNC(=O)C(=O)N1C[C@@H](C)NC[C@H]1C ZINC000419158429 329709804 /nfs/dbraw/zinc/70/98/04/329709804.db2.gz XPSBBSPWEQLOMB-GHMZBOCLSA-N 0 1 285.413 0.231 20 30 CCEDMN C=CCN(C)C(=O)NC1CC([N@@H+](CC)CC(=O)[O-])C1 ZINC000263468273 330057198 /nfs/dbraw/zinc/05/71/98/330057198.db2.gz KQKNGVWEDCSIKI-UHFFFAOYSA-N 0 1 269.345 0.751 20 30 CCEDMN C=C(C)CN(CC)C(=O)C(=O)N1CCNC(C)(C)C1 ZINC000422993137 330163302 /nfs/dbraw/zinc/16/33/02/330163302.db2.gz QRXUELSUIFKLAS-UHFFFAOYSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCn1cc(CNC2CCN(C(=O)N(C)C)CC2)nn1 ZINC000424167514 330309894 /nfs/dbraw/zinc/30/98/94/330309894.db2.gz CNTFUJSINDZHLA-UHFFFAOYSA-N 0 1 292.387 0.700 20 30 CCEDMN O=C1c2ccccc2C(=O)N1CC#CCN1CCC(O)CC1 ZINC000528350947 330321414 /nfs/dbraw/zinc/32/14/14/330321414.db2.gz UVEZUUVXGUQQCR-UHFFFAOYSA-N 0 1 298.342 0.743 20 30 CCEDMN C[C@@H](C(=O)NC1(C#N)CCC1)N(C)CC(C)(C)CO ZINC000451258331 331022234 /nfs/dbraw/zinc/02/22/34/331022234.db2.gz WRAIGBAWHTUHPN-NSHDSACASA-N 0 1 267.373 0.888 20 30 CCEDMN C=CCCCS(=O)(=O)N1C[C@H]2CCN(C)[C@H]2C1 ZINC000451878941 331046013 /nfs/dbraw/zinc/04/60/13/331046013.db2.gz OSKUOJZZLRPMBT-NEPJUHHUSA-N 0 1 258.387 0.918 20 30 CCEDMN C[C@@H](CNS(=O)(=O)CC(C)(C)CC#N)N(C)C ZINC000451940840 331047806 /nfs/dbraw/zinc/04/78/06/331047806.db2.gz YUXHHSQSVPFRNW-JTQLQIEISA-N 0 1 261.391 0.796 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NCCCCN1CCOCC1 ZINC000454327157 331144163 /nfs/dbraw/zinc/14/41/63/331144163.db2.gz NYRGDSXAJXSTIO-KGLIPLIRSA-N 0 1 297.399 0.742 20 30 CCEDMN C=C(CC)CNC(=O)NCCCN1CCC[C@H]1C(N)=O ZINC000454485506 331147186 /nfs/dbraw/zinc/14/71/86/331147186.db2.gz RNHHJCNMXLNLEJ-LBPRGKRZSA-N 0 1 282.388 0.592 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@H]3C(=O)NC[C@H]32)CC1 ZINC000490618531 332096104 /nfs/dbraw/zinc/09/61/04/332096104.db2.gz WVCWTDAVJSWQPB-ZIAGYGMSSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H](C(=O)OC)[C@H]2C)CC1 ZINC000490715484 332107907 /nfs/dbraw/zinc/10/79/07/332107907.db2.gz WXCZBOOYIDGAPY-TZMCWYRMSA-N 0 1 292.379 0.742 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1COC(=O)CCc1nnc[nH]1 ZINC000490877127 332124467 /nfs/dbraw/zinc/12/44/67/332124467.db2.gz WRAGEYCXPKRLGP-NSHDSACASA-N 0 1 262.313 0.378 20 30 CCEDMN C#CCNC(=O)CN1CCN(CCSC(C)(C)C)CC1 ZINC000491045991 332142791 /nfs/dbraw/zinc/14/27/91/332142791.db2.gz NKRMJNDLDBJRQR-UHFFFAOYSA-N 0 1 297.468 0.885 20 30 CCEDMN C#CCNC(=O)CN1CCN(C[C@@H]2CCCC2(F)F)CC1 ZINC000491327888 332191452 /nfs/dbraw/zinc/19/14/52/332191452.db2.gz YSRKUGHWPBCNEY-ZDUSSCGKSA-N 0 1 299.365 0.789 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000491538293 332232921 /nfs/dbraw/zinc/23/29/21/332232921.db2.gz XXGBBAMZBXZIKY-GHMZBOCLSA-N 0 1 259.309 0.690 20 30 CCEDMN C#C[C@@H]1COCCN1C(=O)CCSc1nnc(C)[nH]1 ZINC000491538309 332233009 /nfs/dbraw/zinc/23/30/09/332233009.db2.gz XYNQXVBZBVYXAH-SNVBAGLBSA-N 0 1 280.353 0.456 20 30 CCEDMN C#CCN1CCC(C(=O)OC[C@H]2CC3(CC3)C(=O)O2)CC1 ZINC000491627023 332250842 /nfs/dbraw/zinc/25/08/42/332250842.db2.gz MBTYYFGDDQFHAD-CYBMUJFWSA-N 0 1 291.347 0.971 20 30 CCEDMN C#C[C@@H](C)N(C)CC(=O)NC[C@@H]1CN(CC(C)C)CCO1 ZINC000491657550 332268716 /nfs/dbraw/zinc/26/87/16/332268716.db2.gz GLLUPCHLKWLTEN-HUUCEWRRSA-N 0 1 295.427 0.413 20 30 CCEDMN C#CCNC(=O)CN1CCN(CC/C=C/CC)CC1 ZINC000491742155 332320749 /nfs/dbraw/zinc/32/07/49/332320749.db2.gz LDFYJMMXVGEXDK-AATRIKPKSA-N 0 1 263.385 0.710 20 30 CCEDMN C#CCN(C)CCCNC(=O)C1CCC(=O)CC1 ZINC000491748582 332325549 /nfs/dbraw/zinc/32/55/49/332325549.db2.gz SXJKSTDHMGQMCO-UHFFFAOYSA-N 0 1 250.342 0.817 20 30 CCEDMN C#CCN(Cc1n[nH]c(C(C)C)n1)[C@@H]1CCS(=O)(=O)C1 ZINC000491808953 332361896 /nfs/dbraw/zinc/36/18/96/332361896.db2.gz WZEPBBYTGPQCFT-LLVKDONJSA-N 0 1 296.396 0.550 20 30 CCEDMN C[C@]1(CO)CCCN1CC(=O)N(CCC#N)CCC#N ZINC000546810458 334051182 /nfs/dbraw/zinc/05/11/82/334051182.db2.gz PTNPNUBFDVTPIA-CQSZACIVSA-N 0 1 278.356 0.489 20 30 CCEDMN C#CCNC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000079264066 334151049 /nfs/dbraw/zinc/15/10/49/334151049.db2.gz OZBBXYZUWWSWDG-CYBMUJFWSA-N 0 1 266.389 0.191 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)CN1CCC[C@@H](CC(N)=O)C1 ZINC000245409883 334190450 /nfs/dbraw/zinc/19/04/50/334190450.db2.gz OKKXJQDYPBUFCY-SWLSCSKDSA-N 0 1 294.399 0.628 20 30 CCEDMN CO[C@@H]1CN(CC(=O)N(CCC#N)CCC#N)CC[C@@H]1C ZINC000251875345 334371234 /nfs/dbraw/zinc/37/12/34/334371234.db2.gz PGXUNXZHDNIQSK-UONOGXRCSA-N 0 1 292.383 0.999 20 30 CCEDMN C[C@H](C#N)CNC(=O)N1CCN(CC2CC2)CC1 ZINC000182830371 335845790 /nfs/dbraw/zinc/84/57/90/335845790.db2.gz VGZQISXWRKJMCD-LLVKDONJSA-N 0 1 250.346 0.883 20 30 CCEDMN C=CCNC(=O)NC(=O)CN[C@H](C)c1cnn(C)c1C ZINC000183398722 335892093 /nfs/dbraw/zinc/89/20/93/335892093.db2.gz LQHSGZFGOABMTI-SECBINFHSA-N 0 1 279.344 0.391 20 30 CCEDMN COCC[N@@H+](C)C[C@H](O)CN1CCC(C#N)CC1 ZINC000385879742 336159019 /nfs/dbraw/zinc/15/90/19/336159019.db2.gz BNBDASJRQMBLBX-ZDUSSCGKSA-N 0 1 255.362 0.161 20 30 CCEDMN C[C@@H](CNS(=O)(=O)c1ccc(C#N)o1)N(C)C ZINC000451939327 534075231 /nfs/dbraw/zinc/07/52/31/534075231.db2.gz WEJLWECUUKTHQP-QMMMGPOBSA-N 0 1 257.315 0.380 20 30 CCEDMN C[C@@H]1c2nncn2CCN1C(=O)NCC[C@@H]1CCOC1 ZINC000330057324 534259292 /nfs/dbraw/zinc/25/92/92/534259292.db2.gz XHOYVNJUTZLNBP-GHMZBOCLSA-N 0 1 279.344 0.995 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N1CCN(C(=O)CN(C)C)CC1 ZINC000066913615 518707958 /nfs/dbraw/zinc/70/79/58/518707958.db2.gz LCXHWAIISCMYCP-CYBMUJFWSA-N 0 1 297.399 0.200 20 30 CCEDMN C=CCNC(=O)CN1CCC(Cn2cncn2)CC1 ZINC000186230601 518887806 /nfs/dbraw/zinc/88/78/06/518887806.db2.gz VGLITBCBJBDNQG-UHFFFAOYSA-N 0 1 263.345 0.292 20 30 CCEDMN Cc1nc([C@H](C)NS(=O)(=O)N(C)[C@@H](C)CC#N)n[nH]1 ZINC000352512970 534600110 /nfs/dbraw/zinc/60/01/10/534600110.db2.gz SVHHZRXADAMKQL-YUMQZZPRSA-N 0 1 286.361 0.243 20 30 CCEDMN CNC(=O)C1CN(C[C@H](O)c2ccc(C#N)cc2)C1 ZINC000184786269 524052277 /nfs/dbraw/zinc/05/22/77/524052277.db2.gz WTGPWMFDUKBMJZ-ZDUSSCGKSA-N 0 1 259.309 0.269 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)c1ccc(C#N)o1 ZINC000340026298 524344703 /nfs/dbraw/zinc/34/47/03/524344703.db2.gz CXGOPIAQQHVOHR-LLVKDONJSA-N 0 1 276.340 0.517 20 30 CCEDMN C=CCN(CCOC)C(=O)C(=O)N[C@H]1CCCN(C)[C@@H]1C ZINC000347760957 526282827 /nfs/dbraw/zinc/28/28/27/526282827.db2.gz VMLGSROTWWEHJH-OLZOCXBDSA-N 0 1 297.399 0.246 20 30 CCEDMN C=CCN1CC[C@H](N(C)CCOCC(=O)OCC)C1=O ZINC000352107006 526499188 /nfs/dbraw/zinc/49/91/88/526499188.db2.gz XJPUOZNCXLPLPQ-LBPRGKRZSA-N 0 1 284.356 0.285 20 30 CCEDMN CC(=O)N1CC[C@H](NC(=O)N2CCN3CCCC[C@H]3C2)C1 ZINC000329967746 526655422 /nfs/dbraw/zinc/65/54/22/526655422.db2.gz SRLOULTWXFICOX-KBPBESRZSA-N 0 1 294.399 0.691 20 30 CCEDMN C=CCOCCCC(=O)N1CCN(C[C@@H](C)O)C[C@H]1C ZINC000352197423 526732484 /nfs/dbraw/zinc/73/24/84/526732484.db2.gz RMLFERMXBGEJDQ-ZIAGYGMSSA-N 0 1 284.400 0.883 20 30 CCEDMN C=CCOCCCN1CCCN(S(C)(=O)=O)CC1 ZINC000347970898 526752983 /nfs/dbraw/zinc/75/29/83/526752983.db2.gz XSUXBIQJPVJHNR-UHFFFAOYSA-N 0 1 276.402 0.546 20 30 CCEDMN CCN1C[C@@H](C(=O)NCCN2CCCOCC2)CC1=O ZINC000329998101 527912943 /nfs/dbraw/zinc/91/29/43/527912943.db2.gz RSFCPFCBVHXZQG-LBPRGKRZSA-N 0 1 283.372 0.534 20 30 CCEDMN CCN(CCC#N)C(=O)CN1C[C@@H](C)[C@H](C(=O)OC)C1 ZINC000366875702 528363236 /nfs/dbraw/zinc/36/32/36/528363236.db2.gz XCTXELQLUABYNE-VXGBXAGGSA-N 0 1 281.356 0.489 20 30 CCEDMN CC[C@@H](NCC(=O)NCC#N)c1c(C)nn(C)c1C ZINC000347929929 529154303 /nfs/dbraw/zinc/15/43/03/529154303.db2.gz SRNIPEODGHNQGD-LLVKDONJSA-N 0 1 263.345 0.717 20 30 CCEDMN CC[C@@H](O)CN1CCN(c2nccnc2C#N)C[C@H]1C ZINC000246312144 535813402 /nfs/dbraw/zinc/81/34/02/535813402.db2.gz DVZBESSQKWWZAJ-VXGBXAGGSA-N 0 1 275.356 0.630 20 30 CCEDMN COc1ccc(C#N)cc1CN1[C@@H](CO)CC[C@@H]1CO ZINC000347286745 536645480 /nfs/dbraw/zinc/64/54/80/536645480.db2.gz IRAVKOXQYZPJPN-ZIAGYGMSSA-N 0 1 276.336 0.884 20 30 CCEDMN C=C(C)CCN1CCN2C(=O)N(CC)C(=O)[C@@H]2C1 ZINC000681403918 545452285 /nfs/dbraw/zinc/45/22/85/545452285.db2.gz HMMLFNXBEYAOKR-NSHDSACASA-N 0 1 251.330 0.921 20 30 CCEDMN C=CCN1CCC(N2C(=O)[C@H]3CSCN3C2=O)CC1 ZINC000777644083 581127587 /nfs/dbraw/zinc/12/75/87/581127587.db2.gz ZNZXROVSOQNCNF-LLVKDONJSA-N 0 1 281.381 0.974 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC([C@@H](O)c2ncc[nH]2)CC1 ZINC000788473792 581113015 /nfs/dbraw/zinc/11/30/15/581113015.db2.gz WSVGXWJOPNZNKF-DGCLKSJQSA-N 0 1 292.383 0.846 20 30 CCEDMN CN(C)C(=O)NCCN(C)C[C@@H](O)c1ccc(C#N)cc1 ZINC000777717566 581131651 /nfs/dbraw/zinc/13/16/51/581131651.db2.gz ZNTQECCSMBRCAN-CQSZACIVSA-N 0 1 290.367 0.795 20 30 CCEDMN CC[C@]1(CO)CCCN(CC(=O)NCCC#N)C1 ZINC000778329167 581152443 /nfs/dbraw/zinc/15/24/43/581152443.db2.gz SLQSEGKGQOQSLD-ZDUSSCGKSA-N 0 1 253.346 0.501 20 30 CCEDMN C/C=C(\CC)C(=O)NCCNC(O)=C1N=CC=CC1=O ZINC000789760381 581155804 /nfs/dbraw/zinc/15/58/04/581155804.db2.gz RTEHEFKMBBXZGO-GNMDSEEFSA-N 0 1 277.324 0.985 20 30 CCEDMN C[C@@H]1CC(NC(=O)c2cc3c(cn2)nc[nH]c3=O)=NO1 ZINC000779040357 581173148 /nfs/dbraw/zinc/17/31/48/581173148.db2.gz VAZVZOWLYMPWKP-ZCFIWIBFSA-N 0 1 273.252 0.583 20 30 CCEDMN CC(=NNC1=N[C@@H]2CCCC[C@H]2N1)c1ccc(=O)[nH]n1 ZINC000790172916 581179382 /nfs/dbraw/zinc/17/93/82/581179382.db2.gz YYSHCYRBOYPWAW-GHMZBOCLSA-N 0 1 274.328 0.766 20 30 CCEDMN C[C@@H]1CN=C(NN=Cc2ccc(N3CCOCC3)s2)N1 ZINC000779795452 581205646 /nfs/dbraw/zinc/20/56/46/581205646.db2.gz TVMQJTFOMBECFN-SNVBAGLBSA-N 0 1 293.396 0.856 20 30 CCEDMN C=C(CO)C(=O)NC[C@H]1CN(CC(C)C)CCO1 ZINC000791299930 581213347 /nfs/dbraw/zinc/21/33/47/581213347.db2.gz GCUAUTOJOLXOBL-LBPRGKRZSA-N 0 1 256.346 0.008 20 30 CCEDMN C=C(CO)C(=O)N[C@H](C)C1(N2CCOCC2)CCCC1 ZINC000791371222 581218042 /nfs/dbraw/zinc/21/80/42/581218042.db2.gz IQHLWCBKRWJFMR-CYBMUJFWSA-N 0 1 282.384 0.685 20 30 CCEDMN N#Cc1ccc(CNC(=O)NCCN2CCC2)nc1 ZINC000780639369 581237676 /nfs/dbraw/zinc/23/76/76/581237676.db2.gz DQYDMPAVJSYFIW-UHFFFAOYSA-N 0 1 259.313 0.458 20 30 CCEDMN C[C@@H](NC(=O)[C@H](O)c1ccc(C#N)cc1)c1nnc[nH]1 ZINC000780957581 581252663 /nfs/dbraw/zinc/25/26/63/581252663.db2.gz WXEQFEQZFMDPSF-LDYMZIIASA-N 0 1 271.280 0.587 20 30 CCEDMN C#C[C@@H](C)NC(=O)N1CCN(CC(C)(C)O)C[C@@H]1C ZINC000781018442 581256354 /nfs/dbraw/zinc/25/63/54/581256354.db2.gz FIPPZEVPNXESEB-NEPJUHHUSA-N 0 1 267.373 0.495 20 30 CCEDMN C#CCNC(=O)[C@H](C)OC(=O)c1ccc2cncn2c1 ZINC000792554102 581265631 /nfs/dbraw/zinc/26/56/31/581265631.db2.gz VVPUBKRVYWWPLC-JTQLQIEISA-N 0 1 271.276 0.629 20 30 CCEDMN Nc1ncc(C=NNC2=N[C@@H]3CCCC[C@H]3N2)cn1 ZINC000781597019 581272655 /nfs/dbraw/zinc/27/26/55/581272655.db2.gz PSNXZVSELAIPAE-NXEZZACHSA-N 0 1 259.317 0.253 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCc1ccc(C#N)cn1 ZINC000782030079 581285959 /nfs/dbraw/zinc/28/59/59/581285959.db2.gz GEMWQCVAHOAPJP-GFCCVEGCSA-N 0 1 288.351 0.538 20 30 CCEDMN C[C@H]1CN(C(=O)CN2CCC(CC#N)CC2)CCO1 ZINC000782089368 581287605 /nfs/dbraw/zinc/28/76/05/581287605.db2.gz TWWLDSOAYQLNII-LBPRGKRZSA-N 0 1 265.357 0.859 20 30 CCEDMN Cc1nn(-c2ccncc2)cc1C=NN[C@H](C)CO ZINC000783855891 581365790 /nfs/dbraw/zinc/36/57/90/581365790.db2.gz UUOHWHFHOAHUOP-SNVBAGLBSA-N 0 1 259.313 0.880 20 30 CCEDMN Cc1cc(CC(=O)OCC(=O)NC2(C#N)CCC2)n[nH]1 ZINC000784233209 581376576 /nfs/dbraw/zinc/37/65/76/581376576.db2.gz IOEOVMWJXSBTQC-UHFFFAOYSA-N 0 1 276.296 0.366 20 30 CCEDMN O=c1nc(N=NC2CCN3CCC[C@@H]23)nc2[nH][nH]cc1-2 ZINC000765574518 581525849 /nfs/dbraw/zinc/52/58/49/581525849.db2.gz ANRLFVNNOGJHPK-VIFPVBQESA-N 0 1 273.300 0.068 20 30 CCEDMN Cn1ncc2c1nc(N=NC1CC[N@H+]3CCC[C@@H]13)[n-]c2=O ZINC000765579693 581526326 /nfs/dbraw/zinc/52/63/26/581526326.db2.gz ZDSACJNNNULPMF-JTQLQIEISA-N 0 1 287.327 0.705 20 30 CCEDMN CNC(=O)C1(NCC(=O)N[C@@](C)(C#N)C2CC2)CCCC1 ZINC000733999903 581539075 /nfs/dbraw/zinc/53/90/75/581539075.db2.gz UTFMDJIUIGBTKF-AWEZNQCLSA-N 0 1 292.383 0.443 20 30 CCEDMN CCOCCOCC(=O)C(C#N)C(=O)NC(C)C ZINC000729888178 581618680 /nfs/dbraw/zinc/61/86/80/581618680.db2.gz IZRZTHFDCDIPMN-SNVBAGLBSA-N 0 1 256.302 0.273 20 30 CCEDMN C=C(C)CN1CCC(NC(=O)NCC[S@@](C)=O)CC1 ZINC000735099771 581620489 /nfs/dbraw/zinc/62/04/89/581620489.db2.gz LPURVNLSPWHJST-LJQANCHMSA-N 0 1 287.429 0.705 20 30 CCEDMN C#CCN1CCC[C@H]1C(=O)N1C[C@H](C)N(C)C[C@@H]1C ZINC000769143481 581674994 /nfs/dbraw/zinc/67/49/94/581674994.db2.gz BCZRKEPDGUALFH-IHRRRGAJSA-N 0 1 263.385 0.635 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H](C)CN2CCN(C)CC2)cn1 ZINC000730228747 581684477 /nfs/dbraw/zinc/68/44/77/581684477.db2.gz XIHJCLVSVPOQEB-ZDUSSCGKSA-N 0 1 286.379 0.429 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)NC[C@@H](CC(C)C)C(=O)[O-] ZINC000736079980 581688128 /nfs/dbraw/zinc/68/81/28/581688128.db2.gz QCNVOOKPWMZQRC-OLZOCXBDSA-N 0 1 280.368 0.947 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@H](C)[N@H+](C)C[C@@H](C)C(=O)[O-] ZINC000736105939 581697121 /nfs/dbraw/zinc/69/71/21/581697121.db2.gz PCNRSRJFCQNTTO-BDAKNGLRSA-N 0 1 271.317 0.039 20 30 CCEDMN C=CCN1CC(=O)N(C[C@H]2CN3CCC[C@@H]3CO2)C1=O ZINC000761202534 581712646 /nfs/dbraw/zinc/71/26/46/581712646.db2.gz QYHIREDTOYESIM-VXGBXAGGSA-N 0 1 279.340 0.300 20 30 CCEDMN C#CCNC(=O)CN(C)[C@H]1C[C@@H](OCC)C1(C)C ZINC000740886514 581722478 /nfs/dbraw/zinc/72/24/78/581722478.db2.gz KWIJHVOBDVDOBA-NWDGAFQWSA-N 0 1 252.358 0.871 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@@H]2CCN(CCOC)C2)nc1 ZINC000730788660 581734693 /nfs/dbraw/zinc/73/46/93/581734693.db2.gz XDEUNQJHWAHYAW-CYBMUJFWSA-N 0 1 273.336 0.513 20 30 CCEDMN C[C@H]1CC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)CC[N@@H+]1C ZINC000741463520 581753681 /nfs/dbraw/zinc/75/36/81/581753681.db2.gz CXLCAJSVVPJEFK-ZETCQYMHSA-N 0 1 275.316 0.314 20 30 CCEDMN C[C@H]1CC(N=Nc2nc3[nH][n-]cc-3c(=O)n2)CC[N@H+]1C ZINC000741463520 581753688 /nfs/dbraw/zinc/75/36/88/581753688.db2.gz CXLCAJSVVPJEFK-ZETCQYMHSA-N 0 1 275.316 0.314 20 30 CCEDMN O=C1CNC(=O)N1N=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000770614592 581755584 /nfs/dbraw/zinc/75/55/84/581755584.db2.gz IEVFWIKXARDIKS-UHFFFAOYSA-N 0 1 264.197 0.186 20 30 CCEDMN CC(C)[N@@H+](CCC(=O)[O-])CC(=O)N[C@](C)(C#N)C1CC1 ZINC000736466268 581773344 /nfs/dbraw/zinc/77/33/44/581773344.db2.gz UDLQQAAMVQDZEK-CQSZACIVSA-N 0 1 281.356 0.980 20 30 CCEDMN C[C@H](C[N@@H+](CC(=O)NCCC#N)C1CC1)C(=O)[O-] ZINC000736577371 581796692 /nfs/dbraw/zinc/79/66/92/581796692.db2.gz BIMCAIFWKXVVBM-SECBINFHSA-N 0 1 253.302 0.201 20 30 CCEDMN CN(Cc1ccc(C#N)cc1)[C@@H]1CCC(=O)NC1=O ZINC000771304558 581798387 /nfs/dbraw/zinc/79/83/87/581798387.db2.gz JSQNXQJLICMBDK-GFCCVEGCSA-N 0 1 257.293 0.795 20 30 CCEDMN N#CCc1cccc(C(=O)N2CCO[C@@H](c3nn[nH]n3)C2)c1 ZINC000732090712 581802351 /nfs/dbraw/zinc/80/23/51/581802351.db2.gz MJKZKXLBKULUGM-GFCCVEGCSA-N 0 1 298.306 0.479 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H](c1nn[nH]n1)c1ccccc1 ZINC000736673563 581815818 /nfs/dbraw/zinc/81/58/18/581815818.db2.gz QTONJNJZAHLPLS-WPRPVWTQSA-N 0 1 256.269 0.565 20 30 CCEDMN C#CCCNC(=O)c1ccc2c(c1)[nH]c(=S)n(C)c2=O ZINC000733287731 581897513 /nfs/dbraw/zinc/89/75/13/581897513.db2.gz OMQGSNGNAKDKBY-UHFFFAOYSA-N 0 1 287.344 0.975 20 30 CCEDMN NS(=O)(=O)c1ccccc1NN=Cc1cnc[nH]1 ZINC000773112940 581909091 /nfs/dbraw/zinc/90/90/91/581909091.db2.gz AFLIJQFEVALVGF-UHFFFAOYSA-N 0 1 265.298 0.503 20 30 CCEDMN C#CC(=O)N[C@@H]1CN(Cc2ccccc2)CC[C@H]1CO ZINC000761980616 581927526 /nfs/dbraw/zinc/92/75/26/581927526.db2.gz JNPWNKGVPQQYJM-LSDHHAIUSA-N 0 1 272.348 0.619 20 30 CCEDMN N#CCOc1ccc(CC(=O)N2CCc3[nH]nnc3C2)cc1 ZINC000748362179 581971843 /nfs/dbraw/zinc/97/18/43/581971843.db2.gz GSRHAELSSDTENF-UHFFFAOYSA-N 0 1 297.318 0.834 20 30 CCEDMN N#CCCN1CCN(CCCNC(=O)C(F)(F)F)CC1 ZINC000774727329 581993011 /nfs/dbraw/zinc/99/30/11/581993011.db2.gz CPCVLTCGBFMTJY-UHFFFAOYSA-N 0 1 292.305 0.586 20 30 CCEDMN C#C[C@@H](NC(=O)c1ccc2[nH]nnc2c1)[C@@H]1CCCO1 ZINC000775342894 582020004 /nfs/dbraw/zinc/02/00/04/582020004.db2.gz WXQRSIYCBLWJKG-MFKMUULPSA-N 0 1 270.292 0.869 20 30 CCEDMN C=CCN(CC=C)C(=O)[C@H](C)OC(=O)CCc1nc[nH]n1 ZINC000763991425 582024922 /nfs/dbraw/zinc/02/49/22/582024922.db2.gz RKVPCTGWARTCRK-NSHDSACASA-N 0 1 292.339 0.870 20 30 CCEDMN C#C[C@@H](NCCCS(=O)(=O)C(C)C)[C@H]1CCCO1 ZINC000775784938 582037562 /nfs/dbraw/zinc/03/75/62/582037562.db2.gz MMZZKAOITWCHIF-CHWSQXEVSA-N 0 1 273.398 0.970 20 30 CCEDMN C#C[C@H](N[C@@H]1CCN(C(C)C)C1=O)[C@@H]1CCCO1 ZINC000775794209 582039015 /nfs/dbraw/zinc/03/90/15/582039015.db2.gz YHZJVCXCWWOOOY-XQQFMLRXSA-N 0 1 250.342 0.766 20 30 CCEDMN C#CC[N@H+]1CCC[C@H]1C(=O)N1C[C@@H](C)N(C(=O)[O-])[C@@H](C)C1 ZINC000738461258 582053231 /nfs/dbraw/zinc/05/32/31/582053231.db2.gz QDXGLBWCUBRTMV-XQQFMLRXSA-N 0 1 293.367 0.683 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1C[C@@H](C)N(C(=O)[O-])[C@@H](C)C1 ZINC000738461258 582053232 /nfs/dbraw/zinc/05/32/32/582053232.db2.gz QDXGLBWCUBRTMV-XQQFMLRXSA-N 0 1 293.367 0.683 20 30 CCEDMN C#CCNC(=O)CONC(=N)[C@H]1COc2ccccc2O1 ZINC000764571586 582056339 /nfs/dbraw/zinc/05/63/39/582056339.db2.gz BKOXMXMVEXMIQD-GFCCVEGCSA-N 0 1 289.291 0.074 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@@H+]1CCCN(C(=O)[O-])CC1 ZINC000738496325 582056566 /nfs/dbraw/zinc/05/65/66/582056566.db2.gz WFJFMPBHHXLAQB-SNVBAGLBSA-N 0 1 298.343 0.072 20 30 CCEDMN C=CCNC(=O)NC(=O)[C@@H](C)[N@H+]1CCCN(C(=O)[O-])CC1 ZINC000738496325 582056567 /nfs/dbraw/zinc/05/65/67/582056567.db2.gz WFJFMPBHHXLAQB-SNVBAGLBSA-N 0 1 298.343 0.072 20 30 CCEDMN CS(=O)(=O)CCNN=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000751134092 582071300 /nfs/dbraw/zinc/07/13/00/582071300.db2.gz VQEBOFDDYLGTPL-UHFFFAOYSA-N 0 1 287.297 0.269 20 30 CCEDMN CN1C[C@@H](O)C[C@]1(C)C(=O)NCC(C)(C)CC#N ZINC000909881811 616344143 /nfs/dbraw/zinc/34/41/43/616344143.db2.gz GACYENYBSZYQEH-GXFFZTMASA-N 0 1 253.346 0.498 20 30 CCEDMN C#CCCCS(=O)(=O)N1CCC12CCN(C)CC2 ZINC000907855575 612983217 /nfs/dbraw/zinc/98/32/17/612983217.db2.gz MHTMYHMAJUPYHH-UHFFFAOYSA-N 0 1 270.398 0.900 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)CCCCC#N ZINC000847621057 616901094 /nfs/dbraw/zinc/90/10/94/616901094.db2.gz HFZUPUGKLWFKSY-LLVKDONJSA-N 0 1 265.313 0.932 20 30 CCEDMN C#CCOCCN1C[C@@H](CC)O[C@@]2(CCOC2)C1 ZINC000852001860 612984611 /nfs/dbraw/zinc/98/46/11/612984611.db2.gz OZBKWNIQEHHVJA-KGLIPLIRSA-N 0 1 253.342 0.906 20 30 CCEDMN C[C@@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])C[NH+]1CCOCC1 ZINC000820095967 597648745 /nfs/dbraw/zinc/64/87/45/597648745.db2.gz XRVFQYGFTMRSHY-SMDDNHRTSA-N 0 1 297.355 0.075 20 30 CCEDMN Fc1ccc(C#CCn2cnc(-c3nn[nH]n3)n2)cc1 ZINC000822766625 607326911 /nfs/dbraw/zinc/32/69/11/607326911.db2.gz LLYFAYIRWBKLRX-UHFFFAOYSA-N 0 1 269.243 0.649 20 30 CCEDMN C#CCSCC(=O)NC[C@H](C(C)C)[NH+]1CCN(C)CC1 ZINC000896708607 613012383 /nfs/dbraw/zinc/01/23/83/613012383.db2.gz UYVRJRIREXHKAG-CQSZACIVSA-N 0 1 297.468 0.741 20 30 CCEDMN C#CCSCC(=O)NC[C@H](C(C)C)N1CCN(C)CC1 ZINC000896708607 613012385 /nfs/dbraw/zinc/01/23/85/613012385.db2.gz UYVRJRIREXHKAG-CQSZACIVSA-N 0 1 297.468 0.741 20 30 CCEDMN C[C@@H](C(=O)N(C)CCC#N)[N@@H+]1C[C@H](C(=O)[O-])[C@H](C)C1 ZINC000828412765 601502573 /nfs/dbraw/zinc/50/25/73/601502573.db2.gz OGBPNCFXBPRVKY-VWYCJHECSA-N 0 1 267.329 0.399 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@@H+](C)CC(C)(C)CNC(=O)[O-] ZINC000823456944 612949676 /nfs/dbraw/zinc/94/96/76/612949676.db2.gz SLYPTLXKNRPUBV-JTQLQIEISA-N 0 1 269.345 0.350 20 30 CCEDMN COc1cc(C=NNC(=N)N)c([N+](=O)[O-])cc1O ZINC000915051026 617179341 /nfs/dbraw/zinc/17/93/41/617179341.db2.gz RLLVGLXBUYDSPT-UHFFFAOYSA-N 0 1 253.218 0.126 20 30 CCEDMN C#CC[C@@H](CCOC)NC(=O)NCc1cn[nH]c1C ZINC000875095010 613021195 /nfs/dbraw/zinc/02/11/95/613021195.db2.gz CLKYJRHVFHPJKS-LBPRGKRZSA-N 0 1 264.329 0.946 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2CN(CC#N)CC[C@@H]2C)c1C ZINC000965627161 649935272 /nfs/dbraw/zinc/93/52/72/649935272.db2.gz RVZNKBQVWAFLJJ-CABZTGNLSA-N 0 1 275.356 0.990 20 30 CCEDMN C#CC1(O)CCN(C(=O)[C@@H]2CCCc3[nH]ncc32)CC1 ZINC000899117932 612951156 /nfs/dbraw/zinc/95/11/56/612951156.db2.gz PBNBDJKQUMWHLN-LLVKDONJSA-N 0 1 273.336 0.816 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)NC1CCN(CC#C)CC1 ZINC000923487212 613034432 /nfs/dbraw/zinc/03/44/32/613034432.db2.gz AXHZLUWUDVAKHF-AWEZNQCLSA-N 0 1 277.368 0.422 20 30 CCEDMN C[C@H]1CCN(CCO)C[C@@H]1NC(=O)c1ccc(C#N)[nH]1 ZINC000965910670 649940855 /nfs/dbraw/zinc/94/08/55/649940855.db2.gz OWVGBMLDNGQVGH-GWCFXTLKSA-N 0 1 276.340 0.319 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1CCCN1C1CC1)C(=O)OC ZINC000837945799 613040796 /nfs/dbraw/zinc/04/07/96/613040796.db2.gz AEYFOIZLSLQPNK-NEPJUHHUSA-N 0 1 264.325 0.294 20 30 CCEDMN C[C@@H](CC#N)NC(=O)C[NH+]1CCC(NC(=O)[O-])CC1 ZINC000824545424 605676937 /nfs/dbraw/zinc/67/69/37/605676937.db2.gz XOJMKEJRMBTJOO-VIFPVBQESA-N 0 1 268.317 0.137 20 30 CCEDMN C#CCCOC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000919982123 613050653 /nfs/dbraw/zinc/05/06/53/613050653.db2.gz RJXQEBCPLYPFAX-NWDGAFQWSA-N 0 1 253.346 0.370 20 30 CCEDMN C#CCCS(=O)(=O)[N-]C(=O)C[N@H+](C)CCCCCC ZINC000810783532 613052802 /nfs/dbraw/zinc/05/28/02/613052802.db2.gz ULBIROFVFJEKDO-UHFFFAOYSA-N 0 1 288.413 0.968 20 30 CCEDMN C#CC[C@H](COC)NC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC000863805891 613065248 /nfs/dbraw/zinc/06/52/48/613065248.db2.gz XKCSMHDLFFJBPA-NXEZZACHSA-N 0 1 262.313 0.379 20 30 CCEDMN N#Cc1c(Cl)nsc1-n1cnc(-c2nn[nH]n2)n1 ZINC000826383067 608173021 /nfs/dbraw/zinc/17/30/21/608173021.db2.gz IVPXABWNJCWWRH-UHFFFAOYSA-N 0 1 279.676 0.429 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)N[C@@H](CC(=C)C)C(=O)[O-] ZINC000909037644 613075635 /nfs/dbraw/zinc/07/56/35/613075635.db2.gz KBRKVRSAWLGWQC-LBPRGKRZSA-N 0 1 281.356 0.660 20 30 CCEDMN C[C@@H](NCC(=O)NCCC#N)c1ccc(-c2nn[nH]n2)cc1 ZINC000824563164 608464984 /nfs/dbraw/zinc/46/49/84/608464984.db2.gz MTVITNQDKGMOIG-SNVBAGLBSA-N 0 1 299.338 0.547 20 30 CCEDMN C#CCNCC(=O)N[C@@H](Cc1ccccc1)C(=O)OCC ZINC000912237264 612956837 /nfs/dbraw/zinc/95/68/37/612956837.db2.gz BZCRPFWLLCNYIC-AWEZNQCLSA-N 0 1 288.347 0.500 20 30 CCEDMN C#CCN(CC(=O)N1CC(N(C)C)C1)C(=O)OC(C)(C)C ZINC000831429539 613102196 /nfs/dbraw/zinc/10/21/96/613102196.db2.gz OHSXXHGPYJNGOS-UHFFFAOYSA-N 0 1 295.383 0.629 20 30 CCEDMN COc1ccc(/C=C/C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000834493500 617221104 /nfs/dbraw/zinc/22/11/04/617221104.db2.gz DVAWUWVWJZORRF-WWNBYQIJSA-N 0 1 271.320 0.936 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC000896547450 612975776 /nfs/dbraw/zinc/97/57/76/612975776.db2.gz BPOQTZTTWYMDHH-UONOGXRCSA-N 0 1 265.401 0.931 20 30 CCEDMN CC(C)[N@H+]1CC[C@H](CNC(=O)[C@@](C)(C#N)CC(=O)[O-])C1 ZINC000820025083 614382463 /nfs/dbraw/zinc/38/24/63/614382463.db2.gz PUXJJZKKZCTCSJ-BXUZGUMPSA-N 0 1 281.356 0.837 20 30 CCEDMN CSC[C@@](C)(C#N)NC(=O)C1=NC(=O)N(C)C1 ZINC000905544452 617252913 /nfs/dbraw/zinc/25/29/13/617252913.db2.gz AVFRVOKABDCMOR-SNVBAGLBSA-N 0 1 254.315 0.501 20 30 CCEDMN COc1ccc(NC(=O)NCC#CCN(C)C)nc1 ZINC000930789745 617269740 /nfs/dbraw/zinc/26/97/40/617269740.db2.gz YMSZGDYNRQJVEX-UHFFFAOYSA-N 0 1 262.313 0.777 20 30 CCEDMN COc1ccc(NC(=O)N[C@@H]2CNC[C@H]2C#N)c(Cl)n1 ZINC000841145419 617269907 /nfs/dbraw/zinc/26/99/07/617269907.db2.gz QOMQIXZGQHIBII-VXNVDRBHSA-N 0 1 295.730 0.977 20 30 CCEDMN COc1ccc(OC)c(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834512214 617275109 /nfs/dbraw/zinc/27/51/09/617275109.db2.gz SWLZTAFISAMENU-SKDRFNHKSA-N 0 1 275.308 0.545 20 30 CCEDMN COc1ccc(OCC(=O)N[C@H]2CNC[C@H]2C#N)cc1 ZINC000834507332 617276412 /nfs/dbraw/zinc/27/64/12/617276412.db2.gz ZTUCZEADTPCSPR-MFKMUULPSA-N 0 1 275.308 0.302 20 30 CCEDMN COc1ccc([N+](=O)[O-])cc1C(=O)NCC#CCN(C)C ZINC000913515812 617282432 /nfs/dbraw/zinc/28/24/32/617282432.db2.gz OLBMBTQLRWPUFZ-UHFFFAOYSA-N 0 1 291.307 0.898 20 30 CCEDMN CSc1nccnc1C(=O)NCC#CCN(C)C ZINC000913524875 617284849 /nfs/dbraw/zinc/28/48/49/617284849.db2.gz AAUYIVBGJMYEBM-UHFFFAOYSA-N 0 1 264.354 0.493 20 30 CCEDMN C#CC1(NC(=O)NCCN2CCCOCC2)CCCC1 ZINC000883710278 612949540 /nfs/dbraw/zinc/94/95/40/612949540.db2.gz GXABNBSTUCSCNN-UHFFFAOYSA-N 0 1 279.384 0.954 20 30 CCEDMN C#CC1(O)CCN(C(=O)c2cccc3nn[nH]c32)CC1 ZINC000912969868 612951825 /nfs/dbraw/zinc/95/18/25/612951825.db2.gz NPWRRDHHARAMRI-UHFFFAOYSA-N 0 1 270.292 0.558 20 30 CCEDMN C#CCNCC(=O)N1CCc2cc(OC)c(OC)cc2C1 ZINC000105861486 612956472 /nfs/dbraw/zinc/95/64/72/612956472.db2.gz IHWSTXBPWFDJSQ-UHFFFAOYSA-N 0 1 288.347 0.811 20 30 CCEDMN C#CCC1(NC(=O)NC[C@H]2CCN2C)CCOCC1 ZINC000925434114 612969257 /nfs/dbraw/zinc/96/92/57/612969257.db2.gz YMLOVXVOZRFGJF-GFCCVEGCSA-N 0 1 265.357 0.562 20 30 CCEDMN C#CCCCCNC(=O)N1C[C@H](C)N(CCO)C[C@H]1C ZINC000842181568 612977652 /nfs/dbraw/zinc/97/76/52/612977652.db2.gz YGQOUMZEDAQNOA-UONOGXRCSA-N 0 1 281.400 0.886 20 30 CCEDMN C#CCCCCNC(=O)NCC[N@H+](C)CCOC ZINC000124134284 612977710 /nfs/dbraw/zinc/97/77/10/612977710.db2.gz QESJNUIULGRYND-UHFFFAOYSA-N 0 1 255.362 0.667 20 30 CCEDMN C#CCOCCC(=O)N1CCN(CCCCCO)CC1 ZINC000913692173 612978284 /nfs/dbraw/zinc/97/82/84/612978284.db2.gz ADZXWDFRYZDWGL-UHFFFAOYSA-N 0 1 282.384 0.333 20 30 CCEDMN C#CCOCCN1CCC[C@](O)(Cc2nc(CC)no2)C1 ZINC000856678981 612983231 /nfs/dbraw/zinc/98/32/31/612983231.db2.gz IXKIRCXCGSTUQL-HNNXBMFYSA-N 0 1 293.367 0.651 20 30 CCEDMN C#CCONC(=O)[C@@H]1COc2cc(OC)ccc2C1 ZINC000812785240 612992739 /nfs/dbraw/zinc/99/27/39/612992739.db2.gz XDVPQXBVVMZVQY-NSHDSACASA-N 0 1 261.277 0.927 20 30 CCEDMN C#CCONC(=O)[C@H]1CC(=O)N(C[C@@H]2CCC[N@@H+]2CC)C1 ZINC000812786753 612992919 /nfs/dbraw/zinc/99/29/19/612992919.db2.gz UKBRQMSXJFCEHU-STQMWFEESA-N 0 1 293.367 0.000 20 30 CCEDMN C#CCO[N-]C(=O)[C@H]1CC(=O)N(C[C@@H]2CCC[N@H+]2CC)C1 ZINC000812786753 612992921 /nfs/dbraw/zinc/99/29/21/612992921.db2.gz UKBRQMSXJFCEHU-STQMWFEESA-N 0 1 293.367 0.000 20 30 CCEDMN C#CCO[N-]C(=O)[C@H]1CC(=O)N(C[C@@H]2CCC[N@@H+]2CC)C1 ZINC000812786753 612992922 /nfs/dbraw/zinc/99/29/22/612992922.db2.gz UKBRQMSXJFCEHU-STQMWFEESA-N 0 1 293.367 0.000 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)CCN1CC2(C1)CCOCC2 ZINC000829235435 612993605 /nfs/dbraw/zinc/99/36/05/612993605.db2.gz JYIHVRHZWUAGBL-AWEZNQCLSA-N 0 1 294.395 0.596 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1CCN(CCOC)[C@H](CCC)C1 ZINC000827588608 612995020 /nfs/dbraw/zinc/99/50/20/612995020.db2.gz JKKUPLZZNWNRMT-LSDHHAIUSA-N 0 1 296.411 0.984 20 30 CCEDMN C#CCO[C@@H](C)C(=O)C(C#N)C(=O)NC1CCCC1 ZINC000835072424 612998713 /nfs/dbraw/zinc/99/87/13/612998713.db2.gz FVKKLHYWIHDLBN-JQWIXIFHSA-N 0 1 262.309 0.792 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H]1C[N@H+]2CCC[C@H]2CO1 ZINC000822878564 613001805 /nfs/dbraw/zinc/00/18/05/613001805.db2.gz JEVFSUXZNIXEPW-AGIUHOORSA-N 0 1 266.341 0.004 20 30 CCEDMN C#CCCN1CCCN(C(=O)[C@@H](C)n2cncn2)CC1 ZINC000981011040 613003480 /nfs/dbraw/zinc/00/34/80/613003480.db2.gz YVGUXFKOEPYAQO-CYBMUJFWSA-N 0 1 275.356 0.397 20 30 CCEDMN C#CCOc1ccc(CNC(=O)CCCc2nn[nH]n2)cc1 ZINC000823374685 613005658 /nfs/dbraw/zinc/00/56/58/613005658.db2.gz YBZYMSNBBPJLOP-UHFFFAOYSA-N 0 1 299.334 0.851 20 30 CCEDMN C#CC[C@@H](CO)NC(=O)c1n[nH]c2ccc([N+](=O)[O-])cc21 ZINC000820064661 613030044 /nfs/dbraw/zinc/03/00/44/613030044.db2.gz IUUAJSDDGXOWKO-QMMMGPOBSA-N 0 1 288.263 0.585 20 30 CCEDMN C#CC[C@@H](CO)NCc1cnc(C2OCCO2)s1 ZINC000883124698 613030240 /nfs/dbraw/zinc/03/02/40/613030240.db2.gz NCERAKJMAODQTN-VIFPVBQESA-N 0 1 268.338 0.662 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000863802131 613032709 /nfs/dbraw/zinc/03/27/09/613032709.db2.gz KOEDBSNYFOAHRD-NSHDSACASA-N 0 1 275.352 0.986 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)N1C[C@@H](C)N(C)[C@@H](C)C1 ZINC000859036902 613034397 /nfs/dbraw/zinc/03/43/97/613034397.db2.gz FWUZDZDJPLYRJI-XQQFMLRXSA-N 0 1 267.373 0.759 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)[C@H]1CCCc2[nH]ncc21 ZINC000863800707 613037342 /nfs/dbraw/zinc/03/73/42/613037342.db2.gz MJVPUXIASMLHGC-QWRGUYRKSA-N 0 1 261.325 0.984 20 30 CCEDMN C#CC[C@@H](COC)NC(=O)c1n[nH]cc1[N+](=O)[O-] ZINC000863804015 613037749 /nfs/dbraw/zinc/03/77/49/613037749.db2.gz ZWWJAHMMCLDYDF-ZETCQYMHSA-N 0 1 252.230 0.086 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@@H]1CCc2[nH]cnc2C1)C(=O)OC ZINC000854909756 613040736 /nfs/dbraw/zinc/04/07/36/613040736.db2.gz HWWPLOYKWYETQG-MWLCHTKSSA-N 0 1 275.308 0.196 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)CCCc2nn[nH]n2)C1 ZINC000824021418 613044259 /nfs/dbraw/zinc/04/42/59/613044259.db2.gz MPKZAYLCCBHETD-LLVKDONJSA-N 0 1 261.329 0.784 20 30 CCEDMN C#CC[C@@H]1CCCN(C(=O)NC[C@H]2CN(C)CCN2C)C1 ZINC000825979448 613044364 /nfs/dbraw/zinc/04/43/64/613044364.db2.gz SJWFJIDIQBHKML-CABCVRRESA-N 0 1 292.427 0.677 20 30 CCEDMN C#CC[C@@H]1NC(=O)N(Cc2n[nH]c(C(C)(C)C)n2)C1=O ZINC000927728405 613048373 /nfs/dbraw/zinc/04/83/73/613048373.db2.gz OUBBUPOLECJMKY-QMMMGPOBSA-N 0 1 275.312 0.546 20 30 CCEDMN C#CC[C@H](CO)NCc1cc(C(F)(F)F)nn1C ZINC000883125346 613058524 /nfs/dbraw/zinc/05/85/24/613058524.db2.gz GJRNPSOQOUWDDI-MRVPVSSYSA-N 0 1 261.247 0.913 20 30 CCEDMN C#CC[C@H](CO)NCc1cc(C)c(C(=O)OC)o1 ZINC000895491154 613058570 /nfs/dbraw/zinc/05/85/70/613058570.db2.gz YMRKWQSLNIGGQZ-SNVBAGLBSA-N 0 1 251.282 0.848 20 30 CCEDMN C#CC[C@H](COC)NC(=O)[C@@H]1CCCc2[nH]ncc21 ZINC000863800705 613065218 /nfs/dbraw/zinc/06/52/18/613065218.db2.gz MJVPUXIASMLHGC-GHMZBOCLSA-N 0 1 261.325 0.984 20 30 CCEDMN C#CCN(C(=O)NCC[S@@](C)=O)C1CCN(C)CC1 ZINC000920316363 613067180 /nfs/dbraw/zinc/06/71/80/613067180.db2.gz NZUIXZHLAZKGNB-LJQANCHMSA-N 0 1 285.413 0.104 20 30 CCEDMN C#CCN(C(=O)NCC[S@](C)=O)C1CCN(C)CC1 ZINC000920316362 613067353 /nfs/dbraw/zinc/06/73/53/613067353.db2.gz NZUIXZHLAZKGNB-IBGZPJMESA-N 0 1 285.413 0.104 20 30 CCEDMN C#CC[C@H]1CCN(C(=O)[C@H](C)CN2CCOCC2)C1 ZINC000862570176 613073820 /nfs/dbraw/zinc/07/38/20/613073820.db2.gz GNAZNAUFEIEDSE-KGLIPLIRSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@H](C/C=C/C)C(=O)[O-] ZINC000909038231 613076906 /nfs/dbraw/zinc/07/69/06/613076906.db2.gz VENKSKPDOCMYGN-FVOPLDGLSA-N 0 1 281.356 0.660 20 30 CCEDMN C#CCn1ccc(CN(CC)C(=O)[C@@H](C)N(C)C)n1 ZINC000822531085 613078703 /nfs/dbraw/zinc/07/87/03/613078703.db2.gz IIMGOHJQIFXLAN-GFCCVEGCSA-N 0 1 262.357 0.815 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2ncccn2)C1 ZINC000972595015 613080643 /nfs/dbraw/zinc/08/06/43/613080643.db2.gz YBCDEOAHGROREG-CYBMUJFWSA-N 0 1 272.352 0.575 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCc2ccnn2C)C1 ZINC000971625961 613080762 /nfs/dbraw/zinc/08/07/62/613080762.db2.gz RNAJTPMHUZHHIB-CQSZACIVSA-N 0 1 274.368 0.519 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)C[C@](C)(O)C2CC2)C1 ZINC000971370023 613081268 /nfs/dbraw/zinc/08/12/68/613081268.db2.gz OTPUIJJTXWSCCL-HIFRSBDPSA-N 0 1 264.369 0.703 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2nonc2C)C1 ZINC000972648542 613081676 /nfs/dbraw/zinc/08/16/76/613081676.db2.gz PELOBGGSXYHYFR-LLVKDONJSA-N 0 1 262.313 0.086 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)Cc2cncs2)C1 ZINC000971736637 613081712 /nfs/dbraw/zinc/08/17/12/613081712.db2.gz RMFOPOGKIUBFFN-LLVKDONJSA-N 0 1 263.366 0.852 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)[C@@H]2CCN(C(=O)CC)C2)C1 ZINC000972680022 613082757 /nfs/dbraw/zinc/08/27/57/613082757.db2.gz HFDHCFRHXUDCGQ-ZIAGYGMSSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C#C)cn2)C1 ZINC000972750569 613085332 /nfs/dbraw/zinc/08/53/32/613085332.db2.gz BBJUUYSHVXVORK-CQSZACIVSA-N 0 1 267.332 0.842 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cc3ncccn3n2)C1 ZINC000972593128 613085592 /nfs/dbraw/zinc/08/55/92/613085592.db2.gz PWOLXAYFQBIVJV-GFCCVEGCSA-N 0 1 283.335 0.509 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2ccc(N(C)C)nn2)C1 ZINC000972748411 613085940 /nfs/dbraw/zinc/08/59/40/613085940.db2.gz LVCWJFLEPMYELF-GFCCVEGCSA-N 0 1 287.367 0.322 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc3n2CCCC3)C1 ZINC000972157618 613087500 /nfs/dbraw/zinc/08/75/00/613087500.db2.gz FAXSEFSYMDAPNP-CYBMUJFWSA-N 0 1 286.379 0.999 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2cnc3n2CCOC3)C1 ZINC000971718019 613087550 /nfs/dbraw/zinc/08/75/50/613087550.db2.gz BKFZQPPEFBBMBV-GFCCVEGCSA-N 0 1 288.351 0.193 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)c2coc(OC)n2)C1 ZINC000972015703 613087943 /nfs/dbraw/zinc/08/79/43/613087943.db2.gz DSDRVPBTRJFMKQ-SNVBAGLBSA-N 0 1 263.297 0.463 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)CCc2cncnc2)C1 ZINC000971940325 613090074 /nfs/dbraw/zinc/09/00/74/613090074.db2.gz OJBDZAKPXLQRFV-AWEZNQCLSA-N 0 1 272.352 0.575 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cc(CC)nn2C)C1 ZINC000971991454 613090630 /nfs/dbraw/zinc/09/06/30/613090630.db2.gz OTAKTXNWNMFPKC-AWEZNQCLSA-N 0 1 288.395 0.691 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2c(C)n[nH]c2C)C1 ZINC000980375261 613090642 /nfs/dbraw/zinc/09/06/42/613090642.db2.gz UGBUJSZABZUUKA-ZDUSSCGKSA-N 0 1 274.368 0.735 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)Cc2cnc(C)o2)C1 ZINC000971671757 613091134 /nfs/dbraw/zinc/09/11/34/613091134.db2.gz QLSFNOBNNVQIPR-LBPRGKRZSA-N 0 1 261.325 0.691 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC000980282699 613091789 /nfs/dbraw/zinc/09/17/89/613091789.db2.gz KRYJSIZORXSWQR-KGLIPLIRSA-N 0 1 277.368 0.021 20 30 CCEDMN C#C[C@@H](NC(=O)CCc1c[nH]nn1)C1CCOCC1 ZINC000869288729 613091987 /nfs/dbraw/zinc/09/19/87/613091987.db2.gz UVQRGJVMMXVUOP-GFCCVEGCSA-N 0 1 262.313 0.282 20 30 CCEDMN C#C[C@@H](NC(=O)CCc1cnn[nH]1)C1CCOCC1 ZINC000869288729 613091989 /nfs/dbraw/zinc/09/19/89/613091989.db2.gz UVQRGJVMMXVUOP-GFCCVEGCSA-N 0 1 262.313 0.282 20 30 CCEDMN C#CC[N@@H+](C)[C@H]1CCN(C(=O)[C@H](C)Cc2cnc[nH]2)C1 ZINC000972515905 613092338 /nfs/dbraw/zinc/09/23/38/613092338.db2.gz DCGRZWVYZUEPQQ-OCCSQVGLSA-N 0 1 274.368 0.754 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)[C@H](C)n2cccn2)C1 ZINC000971122263 613092464 /nfs/dbraw/zinc/09/24/64/613092464.db2.gz SEQLYBVLSPYNQW-STQMWFEESA-N 0 1 260.341 0.610 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnc3[nH]cnc32)C1 ZINC000971615729 613095751 /nfs/dbraw/zinc/09/57/51/613095751.db2.gz HJSKWODPWSFNCR-NSHDSACASA-N 0 1 283.335 0.737 20 30 CCEDMN C#CCN(C)[C@H]1CCN(C(=O)c2ccnc3c2ncn3C)C1 ZINC000972307242 613095970 /nfs/dbraw/zinc/09/59/70/613095970.db2.gz KQHOJQHOTIGFBI-LBPRGKRZSA-N 0 1 297.362 0.748 20 30 CCEDMN C#C[C@H]1CC[C@H](NC(=O)[C@]2(C)C[C@@H](O)CN2C)CC1 ZINC000913815201 613108774 /nfs/dbraw/zinc/10/87/74/613108774.db2.gz AHBDEQLJTJMGLC-BHPKHCPMSA-N 0 1 264.369 0.750 20 30 CCEDMN C#CCN1CC(N(CC)C(=O)[C@H]2CCCc3[nH]ncc32)C1 ZINC000950089854 613111212 /nfs/dbraw/zinc/11/12/12/613111212.db2.gz ORQDEYLDQUXHAO-ZDUSSCGKSA-N 0 1 286.379 0.996 20 30 CCEDMN C#C[C@@](C)(CC)NC(=O)C[N@H+]1CCC[C@H](C(=O)[O-])C1 ZINC000911385527 613112413 /nfs/dbraw/zinc/11/24/13/613112413.db2.gz WHYNXKWEXABOCK-FZMZJTMJSA-N 0 1 266.341 0.701 20 30 CCEDMN C#C[C@H](C)NC(=O)N[C@@H]1CCCN2CCSC[C@H]12 ZINC000923216398 613117716 /nfs/dbraw/zinc/11/77/16/613117716.db2.gz XWVXBZBYNOOGJT-QJPTWQEYSA-N 0 1 267.398 0.887 20 30 CCEDMN C#C[C@H](CCC)NC(=O)N1CCN(C[C@H](C)O)C[C@@H]1C ZINC000820661843 613122837 /nfs/dbraw/zinc/12/28/37/613122837.db2.gz XIUOWHAGMUKJMP-MELADBBJSA-N 0 1 281.400 0.885 20 30 CCEDMN C#CCN1CCC(C(=O)N(CC)C2CN(C(C)=O)C2)CC1 ZINC000968039553 613128339 /nfs/dbraw/zinc/12/83/39/613128339.db2.gz QQBBQJXZDLLXIC-UHFFFAOYSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC(CCOC)C2)CC1 ZINC000819570477 613128647 /nfs/dbraw/zinc/12/86/47/613128647.db2.gz YOQJPHZORGUNSQ-UHFFFAOYSA-N 0 1 264.369 0.827 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCC[C@@H](CO)[C@@H]2C)CC1 ZINC000857287518 613128765 /nfs/dbraw/zinc/12/87/65/613128765.db2.gz AFJWMFYHIZJUSH-ZFWWWQNUSA-N 0 1 278.396 0.951 20 30 CCEDMN C#CCN1CCC(C(=O)N2CC[C@@H]2CNC(C)=O)CC1 ZINC000948589260 613129087 /nfs/dbraw/zinc/12/90/87/613129087.db2.gz IGAXFSJYGOTSDU-CQSZACIVSA-N 0 1 277.368 0.069 20 30 CCEDMN C#CCN1CCC(C(=O)NOCc2ccccn2)CC1 ZINC000909526841 613130931 /nfs/dbraw/zinc/13/09/31/613130931.db2.gz BVNLLGRUZFSNBY-UHFFFAOYSA-N 0 1 273.336 0.975 20 30 CCEDMN C#CCN1CCC(NC(=O)C2(COC)CC2)CC1 ZINC000928653979 613132368 /nfs/dbraw/zinc/13/23/68/613132368.db2.gz IREZUWJQDYQIHP-UHFFFAOYSA-N 0 1 250.342 0.627 20 30 CCEDMN C#CCN1CCC(C(=O)O[C@@H]2CCN(OC)C2=O)CC1 ZINC000922444916 613132552 /nfs/dbraw/zinc/13/25/52/613132552.db2.gz JVSQZUMAIBUGDD-GFCCVEGCSA-N 0 1 280.324 0.037 20 30 CCEDMN C#CCN1CCC(NC(=O)CC2CCN(OC)CC2)CC1 ZINC000928648997 613132807 /nfs/dbraw/zinc/13/28/07/613132807.db2.gz FKEGZLKHFBZLIM-UHFFFAOYSA-N 0 1 293.411 0.864 20 30 CCEDMN C#CCN1CCC(NC(=O)COCC(F)(F)F)CC1 ZINC000928654173 613133048 /nfs/dbraw/zinc/13/30/48/613133048.db2.gz PXVRBWXHCMNEOL-UHFFFAOYSA-N 0 1 278.274 0.779 20 30 CCEDMN C#CCN1CCC(NC(=O)CSCC#N)CC1 ZINC000928657032 613133565 /nfs/dbraw/zinc/13/35/65/613133565.db2.gz NADJYRNQBUEYCJ-UHFFFAOYSA-N 0 1 251.355 0.457 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC2=CCOCC2)CC1 ZINC000922671742 613133808 /nfs/dbraw/zinc/13/38/08/613133808.db2.gz UVGZEZRPVQIGJO-UHFFFAOYSA-N 0 1 277.368 0.730 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCC(=O)OC(C)C)CC1 ZINC000913954347 613133835 /nfs/dbraw/zinc/13/38/35/613133835.db2.gz AUFYYNLKQSYMNO-UHFFFAOYSA-N 0 1 295.383 0.725 20 30 CCEDMN C#CCN1CCC(NC(=O)NCCC2(C)OCCO2)CC1 ZINC000921960685 613133897 /nfs/dbraw/zinc/13/38/97/613133897.db2.gz JHZWZJMAKQZCMV-UHFFFAOYSA-N 0 1 295.383 0.536 20 30 CCEDMN C#CCN1CCC(NC(=O)NCC[C@@H]2CCOC2)CC1 ZINC000921929054 613133973 /nfs/dbraw/zinc/13/39/73/613133973.db2.gz CRLPTOBDWPBQNR-CYBMUJFWSA-N 0 1 279.384 0.810 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@@H]2COC(C)(C)O2)CC1 ZINC000921901918 613134425 /nfs/dbraw/zinc/13/44/25/613134425.db2.gz UIYZJPKMQMLUBU-CYBMUJFWSA-N 0 1 295.383 0.535 20 30 CCEDMN C#CCN1CCC(NC(=O)NO[C@H](C)C=C)CC1 ZINC000923086559 613134463 /nfs/dbraw/zinc/13/44/63/613134463.db2.gz MKXNJOWRYUDDFB-LLVKDONJSA-N 0 1 251.330 0.889 20 30 CCEDMN C#CCN1CCC(NC(=O)NC[C@H](COC)OC)CC1 ZINC000921876045 613134466 /nfs/dbraw/zinc/13/44/66/613134466.db2.gz FQSNWWGQLMFYQT-CYBMUJFWSA-N 0 1 283.372 0.045 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@@H](C#C)[C@@H]2CCCO2)CC1 ZINC000922046960 613134600 /nfs/dbraw/zinc/13/46/00/613134600.db2.gz IPUGZNIYMHIGHX-GJZGRUSLSA-N 0 1 289.379 0.564 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)c2cnn(C)c2)CC1 ZINC000920184658 613135247 /nfs/dbraw/zinc/13/52/47/613135247.db2.gz SOOPCYXUFUVFFI-GFCCVEGCSA-N 0 1 289.383 0.878 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H]2CO[C@H](C)C2)CC1 ZINC000923048006 613135251 /nfs/dbraw/zinc/13/52/51/613135251.db2.gz RJZBHEACUOIEFI-DGCLKSJQSA-N 0 1 265.357 0.561 20 30 CCEDMN C#CCN1CCC(NC(=O)N[C@H](C)c2ncnn2C)CC1 ZINC000922160343 613135557 /nfs/dbraw/zinc/13/55/57/613135557.db2.gz KSNTZIIZCWHHSR-LLVKDONJSA-N 0 1 290.371 0.273 20 30 CCEDMN C#CCN1CCC(NC(=O)O[C@H]2CCOC2)CC1 ZINC000921437387 613135930 /nfs/dbraw/zinc/13/59/30/613135930.db2.gz WJMZFCKNLMSOLE-LBPRGKRZSA-N 0 1 252.314 0.599 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@H](CC(C)C)C(N)=O)CC1 ZINC000928648770 613136476 /nfs/dbraw/zinc/13/64/76/613136476.db2.gz BVNPHYLZPUUWHJ-CYBMUJFWSA-N 0 1 279.384 0.348 20 30 CCEDMN C#CCN1CCC(NC(=O)c2ccc([N+](=O)[O-])[nH]2)CC1 ZINC000928656933 613137220 /nfs/dbraw/zinc/13/72/20/613137220.db2.gz GPOYITBKHJBBCV-UHFFFAOYSA-N 0 1 276.296 0.750 20 30 CCEDMN C#CCN1CCC(NC(=O)c2cn(CCC)nn2)CC1 ZINC000928654533 613137685 /nfs/dbraw/zinc/13/76/85/613137685.db2.gz GKOJNYMNTLJBJQ-UHFFFAOYSA-N 0 1 275.356 0.516 20 30 CCEDMN C#CCN1CCC(Nc2ncnc3c2nnn3C)CC1 ZINC000895812137 613138920 /nfs/dbraw/zinc/13/89/20/613138920.db2.gz LXFMERCSUABYIJ-UHFFFAOYSA-N 0 1 271.328 0.268 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3C[C@H]3C(=O)OC)C2)C1 ZINC000981666225 613143287 /nfs/dbraw/zinc/14/32/87/613143287.db2.gz MORCJOKDDAENMU-QWHCGFSZSA-N 0 1 290.363 0.353 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@H]3OCC[C@H]3C)C2)C1 ZINC000982019272 613143354 /nfs/dbraw/zinc/14/33/54/613143354.db2.gz SSJVPOKDSBFKAV-KGLIPLIRSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3[nH]c(=O)[nH]c3C)C2)C1 ZINC000981829201 613143574 /nfs/dbraw/zinc/14/35/74/613143574.db2.gz VVGZNICOAZSDAP-UHFFFAOYSA-N 0 1 288.351 0.595 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3ccc(=O)n(C)c3)C2)C1 ZINC000981867534 613144246 /nfs/dbraw/zinc/14/42/46/613144246.db2.gz HFKVAIBSJQQMQB-UHFFFAOYSA-N 0 1 299.374 0.557 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3c(C)ncn3C)C2)C1 ZINC000981827837 613144261 /nfs/dbraw/zinc/14/42/61/613144261.db2.gz NJMWZEGXZVVETB-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)c3n[nH]cc3C)C2)C1 ZINC000981761839 613145561 /nfs/dbraw/zinc/14/55/61/613145561.db2.gz UJEAFQSWZHYZQX-UHFFFAOYSA-N 0 1 272.352 0.889 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H]1COC(=O)c1cnc(C(=O)[O-])cn1 ZINC000833364608 613176909 /nfs/dbraw/zinc/17/69/09/613176909.db2.gz QFDWUXOTKVMVDG-SNVBAGLBSA-N 0 1 289.291 0.429 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H]1COC(=O)c1cnc(C(=O)[O-])cn1 ZINC000833364608 613176911 /nfs/dbraw/zinc/17/69/11/613176911.db2.gz QFDWUXOTKVMVDG-SNVBAGLBSA-N 0 1 289.291 0.429 20 30 CCEDMN C#CCN1CCC[C@@H]1COC(=O)[C@H]1CCC(=O)N1C ZINC000838795165 613177008 /nfs/dbraw/zinc/17/70/08/613177008.db2.gz CEIFSZSIUKOMLF-VXGBXAGGSA-N 0 1 264.325 0.248 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H]2CN3CCN2CCC3)cc1 ZINC000908027972 613179959 /nfs/dbraw/zinc/17/99/59/613179959.db2.gz JMLRIXHBZGZNPI-MRXNPFEDSA-N 0 1 283.375 0.788 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(CCCO)C2)nc1 ZINC000833565361 613188762 /nfs/dbraw/zinc/18/87/62/613188762.db2.gz DOFGZJGHPRPRGG-AWEZNQCLSA-N 0 1 287.363 0.640 20 30 CCEDMN C#CCN1CCC[C@H](Nc2cc(-n3cccn3)nc(N)n2)C1 ZINC000884612641 613193506 /nfs/dbraw/zinc/19/35/06/613193506.db2.gz QMZAGQZQSXEAHY-LBPRGKRZSA-N 0 1 297.366 0.754 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N1CC[C@@H](C(=O)[O-])[C@@H]1C ZINC000909806151 613195656 /nfs/dbraw/zinc/19/56/56/613195656.db2.gz LNGAKIWYCKDYKF-TUAOUCFPSA-N 0 1 264.325 0.406 20 30 CCEDMN C#Cc1cccc(NC(=O)C(=O)N2C[C@@H](C)NC[C@@H]2C)c1 ZINC000841206813 613202342 /nfs/dbraw/zinc/20/23/42/613202342.db2.gz DYILLTTUVLPMJQ-NEPJUHHUSA-N 0 1 285.347 0.815 20 30 CCEDMN C#CCN1CCN(C(=O)CN2CCC[C@H](CF)C2)CC1 ZINC000880234962 613205350 /nfs/dbraw/zinc/20/53/50/613205350.db2.gz WPTDNYQLANJTBX-CQSZACIVSA-N 0 1 281.375 0.445 20 30 CCEDMN C#Cc1cnc(N2CCN(C(C)(C)CO)CC2)nc1 ZINC000827630314 613209482 /nfs/dbraw/zinc/20/94/82/613209482.db2.gz SQNDLSJNLSLZGG-UHFFFAOYSA-N 0 1 260.341 0.351 20 30 CCEDMN C#Cc1cnc(N2CCN(CCO)C(C)(C)C2)nc1 ZINC000827984994 613209667 /nfs/dbraw/zinc/20/96/67/613209667.db2.gz GYGOYLOHPBGVPC-UHFFFAOYSA-N 0 1 260.341 0.351 20 30 CCEDMN C#Cc1cnc(N2CCN(C[C@@H](C)O)C[C@H]2C)nc1 ZINC000827944213 613209867 /nfs/dbraw/zinc/20/98/67/613209867.db2.gz BUEKMQLTMMAXHL-VXGBXAGGSA-N 0 1 260.341 0.349 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cc(C)[nH]n2)C1 ZINC000965890344 613214234 /nfs/dbraw/zinc/21/42/34/613214234.db2.gz FYQOMVILVPSFHI-RISCZKNCSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)Cc2cc(C)n[nH]2)C1 ZINC000965890344 613214236 /nfs/dbraw/zinc/21/42/36/613214236.db2.gz FYQOMVILVPSFHI-RISCZKNCSA-N 0 1 274.368 0.720 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@@H](NC(=O)c2cccc(=O)n2C)C1 ZINC000968318163 613216112 /nfs/dbraw/zinc/21/61/12/613216112.db2.gz QDJDJGPZUQLZMX-OLZOCXBDSA-N 0 1 287.363 0.459 20 30 CCEDMN C#CCN1CC[C@@H](C)[C@H](NC(=O)Cc2ccn(CC)n2)C1 ZINC000966198135 613219002 /nfs/dbraw/zinc/21/90/02/613219002.db2.gz LNCXXNZJTVSQCD-UKRRQHHQSA-N 0 1 288.395 0.905 20 30 CCEDMN C(=NNC1=N[C@@H]2CCCC[C@@H]2N1)c1cnc2n1CCOC2 ZINC000905456622 613223114 /nfs/dbraw/zinc/22/31/14/613223114.db2.gz MDNKZGOAROBVEP-TXEJJXNPSA-N 0 1 288.355 0.607 20 30 CCEDMN C(=NNc1cncnc1)c1cn(C[C@H]2CCCO2)nn1 ZINC000872373037 613223935 /nfs/dbraw/zinc/22/39/35/613223935.db2.gz XCZKFJXSNVOSMA-GFCCVEGCSA-N 0 1 273.300 0.693 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)[C@@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000940947425 613229192 /nfs/dbraw/zinc/22/91/92/613229192.db2.gz UVXUPWJZVGWJED-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cn3c(n2)CCC3)[C@H](C)C1 ZINC000942059810 613240459 /nfs/dbraw/zinc/24/04/59/613240459.db2.gz BLIJAZYHWQGCDX-CHWSQXEVSA-N 0 1 286.379 0.903 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cncc(OC)n2)C[C@H]1C ZINC000947778703 613241038 /nfs/dbraw/zinc/24/10/38/613241038.db2.gz IJJODIXYPCSARI-VXGBXAGGSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)c2cncnc2)C[C@@H]1C ZINC000947231578 613241329 /nfs/dbraw/zinc/24/13/29/613241329.db2.gz NASMOQXHUCSVIW-WCQYABFASA-N 0 1 258.325 0.693 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](NC(=O)c2[nH]nc(C)c2[O-])C(C)(C)C1 ZINC000940681884 613243281 /nfs/dbraw/zinc/24/32/81/613243281.db2.gz ULNQMGSWAGQLMM-LLVKDONJSA-N 0 1 290.367 0.887 20 30 CCEDMN C#CCN1CC[C@H](C)[C@H](NC(=O)Cn2cc(Cl)cn2)C1 ZINC000968366174 613252453 /nfs/dbraw/zinc/25/24/53/613252453.db2.gz TUAFZCWGQUNOGD-WCQYABFASA-N 0 1 294.786 0.996 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(C)n(C)n2)[C@H](C)C1 ZINC000941870868 613265660 /nfs/dbraw/zinc/26/56/60/613265660.db2.gz XVOLZEDLAUYQRM-YPMHNXCESA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)ncn2)C[C@H]1C ZINC000947318331 613266870 /nfs/dbraw/zinc/26/68/70/613266870.db2.gz HPXVWRXOYSKROO-NEPJUHHUSA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccncn2)C[C@H]1C ZINC000947825625 613273124 /nfs/dbraw/zinc/27/31/24/613273124.db2.gz UHWLMHXYHHDUEX-NEPJUHHUSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2n[nH]cc2C)C[C@@H]1C ZINC000947290582 613277589 /nfs/dbraw/zinc/27/75/89/613277589.db2.gz HTRZIDNNEDJFDM-RYUDHWBXSA-N 0 1 260.341 0.934 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)C[C@@H]2CCC(=O)N2C)C(C)(C)C1 ZINC000974924614 613280441 /nfs/dbraw/zinc/28/04/41/613280441.db2.gz PRPZPDXHMMXUKU-QWHCGFSZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cc(C(C)C)no2)C1 ZINC000958457138 613285684 /nfs/dbraw/zinc/28/56/84/613285684.db2.gz AYPYQIVJRXBOBO-WCQYABFASA-N 0 1 291.351 0.454 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C)nc2)C1 ZINC000957855447 613285995 /nfs/dbraw/zinc/28/59/95/613285995.db2.gz NRMGURBECZCUSH-UONOGXRCSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2ccc(C)c(C)c2)C1 ZINC000958640074 613286120 /nfs/dbraw/zinc/28/61/20/613286120.db2.gz OSCCYAXPTLQIQL-JKSUJKDBSA-N 0 1 286.375 0.959 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cccc(CC)n2)C1 ZINC000958149261 613286802 /nfs/dbraw/zinc/28/68/02/613286802.db2.gz QARACQFMQPVBAT-SWLSCSKDSA-N 0 1 287.363 0.300 20 30 CCEDMN C#CCN1C[C@@H](O)[C@@H](CNC(=O)c2cncc(C)c2)C1 ZINC000957957013 613287344 /nfs/dbraw/zinc/28/73/44/613287344.db2.gz IVLLHYDYCVTKFD-UONOGXRCSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cccc(C)n2)[C@H](O)C1 ZINC000957878820 613289564 /nfs/dbraw/zinc/28/95/64/613289564.db2.gz TZCANNGFPPBGBF-TZMCWYRMSA-N 0 1 273.336 0.046 20 30 CCEDMN C#CCN1C[C@@H](CNC(=O)c2cncc3[nH]ccc32)[C@H](O)C1 ZINC000958220499 613290109 /nfs/dbraw/zinc/29/01/09/613290109.db2.gz ZFSNPOCINXPESL-IAQYHMDHSA-N 0 1 298.346 0.219 20 30 CCEDMN C#CC[N@H+]1C[C@@]2(C)CN(C(=O)c3cc[nH]n3)C[C@@]2(C)C1 ZINC000982216644 613293551 /nfs/dbraw/zinc/29/35/51/613293551.db2.gz GIHMMRBKMLEADO-GASCZTMLSA-N 0 1 272.352 0.827 20 30 CCEDMN C#CC[N@@H+]1C[C@@]2(C)CN(C(=O)c3ccn[nH]3)C[C@@]2(C)C1 ZINC000982216644 613293553 /nfs/dbraw/zinc/29/35/53/613293553.db2.gz GIHMMRBKMLEADO-GASCZTMLSA-N 0 1 272.352 0.827 20 30 CCEDMN C#CCN1C[C@H](O)[C@@H](CNC(=O)c2ccc(F)cc2C)C1 ZINC000958610330 613295213 /nfs/dbraw/zinc/29/52/13/613295213.db2.gz RDIGMYPLVCOCGH-WFASDCNBSA-N 0 1 290.338 0.790 20 30 CCEDMN COc1ccsc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834484796 617328285 /nfs/dbraw/zinc/32/82/85/617328285.db2.gz NGMIVHZVGGVXFG-SFYZADRCSA-N 0 1 251.311 0.598 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2cnc3[nH]ccc3c2)C1 ZINC000958160907 613302255 /nfs/dbraw/zinc/30/22/55/613302255.db2.gz GLSKMTRUYNQSPE-KGLIPLIRSA-N 0 1 298.346 0.170 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccccc2CC)C1 ZINC000958349196 613303305 /nfs/dbraw/zinc/30/33/05/613303305.db2.gz GGTRFZLKYCPBLM-ZBFHGGJFSA-N 0 1 286.375 0.905 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccccc2)C1 ZINC000957827158 613303360 /nfs/dbraw/zinc/30/33/60/613303360.db2.gz UONSRQJRWAZSDU-KGLIPLIRSA-N 0 1 258.321 0.342 20 30 CCEDMN C#CCN1C[C@H](O)[C@H](CNC(=O)c2ccncc2C)C1 ZINC000958056718 613303556 /nfs/dbraw/zinc/30/35/56/613303556.db2.gz QEAYCINQTSJCCX-OCCSQVGLSA-N 0 1 273.336 0.046 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H]2OCCO[C@H]2C)CC1 ZINC000981362823 613311184 /nfs/dbraw/zinc/31/11/84/613311184.db2.gz VLJBKEWTAJDONQ-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1csc(C)n1 ZINC000962468256 613315146 /nfs/dbraw/zinc/31/51/46/613315146.db2.gz JVSQGJPGUJSNMZ-IMRBUKKESA-N 0 1 275.377 0.674 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCc2n[nH]cc21 ZINC000959898113 613315624 /nfs/dbraw/zinc/31/56/24/613315624.db2.gz ZVYLDGBVVIYADX-PBOSXPJTSA-N 0 1 284.363 0.509 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1C[C@H]1c1ccncc1 ZINC000959968398 613316174 /nfs/dbraw/zinc/31/61/74/613316174.db2.gz NFWMZEFKDVPCDE-ZVDSWSACSA-N 0 1 281.359 0.865 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1Cc2cccc(C)c2O1 ZINC000963176735 613316268 /nfs/dbraw/zinc/31/62/68/613316268.db2.gz FORNYXJQSKTNJI-XUWVNRHRSA-N 0 1 296.370 0.978 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1CCCc2n[nH]cc21 ZINC000959898112 613316756 /nfs/dbraw/zinc/31/67/56/613316756.db2.gz ZVYLDGBVVIYADX-MUYACECFSA-N 0 1 284.363 0.509 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1Cc2c(cccc2C)O1 ZINC000962309498 613317141 /nfs/dbraw/zinc/31/71/41/613317141.db2.gz WNKRSKQYRYEWJH-WTTBNOFXSA-N 0 1 296.370 0.978 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1c(C)c(C)n[nH]c1=O ZINC000961427501 613317290 /nfs/dbraw/zinc/31/72/90/613317290.db2.gz MJHVUVSADPSBJU-PJXYFTJBSA-N 0 1 286.335 0.092 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@H]1OCC[C@H]1CC ZINC000960367952 613317491 /nfs/dbraw/zinc/31/74/91/613317491.db2.gz RZSUYGKZIQVCLA-DGTMBMJNSA-N 0 1 262.353 0.481 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(OCC)n[nH]1 ZINC000960502653 613318384 /nfs/dbraw/zinc/31/83/84/613318384.db2.gz VLDYLQDHGCJNFN-IWIIMEHWSA-N 0 1 274.324 0.102 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cc(OCC)no1 ZINC000961165274 613318467 /nfs/dbraw/zinc/31/84/67/613318467.db2.gz CZOVBSLBPUTUKS-IWIIMEHWSA-N 0 1 275.308 0.367 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccc2c(c1)CCO2 ZINC000961880941 613319699 /nfs/dbraw/zinc/31/96/99/613319699.db2.gz JEKIUVZDWJSILP-FOLVSLTJSA-N 0 1 282.343 0.915 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cccc(C(N)=O)c1 ZINC000960611116 613319903 /nfs/dbraw/zinc/31/99/03/613319903.db2.gz NEIRVKZLNDSUKA-WDNDVIMCSA-N 0 1 283.331 0.079 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ccn(C)c1CC ZINC000961766306 613320375 /nfs/dbraw/zinc/32/03/75/613320375.db2.gz TZFYFDROXBQRSM-NHAGDIPZSA-N 0 1 271.364 0.881 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnc(Cl)n1C ZINC000961119709 613320800 /nfs/dbraw/zinc/32/08/00/613320800.db2.gz YODIRMPYUYMYRO-JZYVYDRUSA-N 0 1 278.743 0.367 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1csc(C)n1 ZINC000961588586 613321699 /nfs/dbraw/zinc/32/16/99/613321699.db2.gz OCFVKDOYSGWIIY-IAZYJMLFSA-N 0 1 261.350 0.745 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1csnc1C ZINC000958618528 613321722 /nfs/dbraw/zinc/32/17/22/613321722.db2.gz SOVYJKNXBVVPDL-IAZYJMLFSA-N 0 1 261.350 0.745 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncnc2[nH]ccc21 ZINC000960813450 613322046 /nfs/dbraw/zinc/32/20/46/613322046.db2.gz GREQZXMXGVGFAV-GDNZZTSVSA-N 0 1 281.319 0.203 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1snnc1CC ZINC000960885264 613322389 /nfs/dbraw/zinc/32/23/89/613322389.db2.gz OIGSTYPJNKXYKR-JZYVYDRUSA-N 0 1 276.365 0.394 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1ncoc1C(F)(F)F ZINC000960658296 613322626 /nfs/dbraw/zinc/32/26/26/613322626.db2.gz JUGFKFQOFTXOFK-BRPSZJMVSA-N 0 1 299.252 0.987 20 30 CCEDMN C#CCNC(=O)CCN1CCN([C@@H](C#N)C(C)C)CC1 ZINC000815077095 613325029 /nfs/dbraw/zinc/32/50/29/613325029.db2.gz YEKGUVRULCOBNZ-AWEZNQCLSA-N 0 1 276.384 0.292 20 30 CCEDMN C#CCNC(=O)CN1CCSC[C@@H]1C1CCC1 ZINC000842170364 613329921 /nfs/dbraw/zinc/32/99/21/613329921.db2.gz FHVHGQOOJVWZBV-GFCCVEGCSA-N 0 1 252.383 0.953 20 30 CCEDMN C=C(C)CONC(=O)N1CCN(C)CCN(C)CC1 ZINC000895579463 613352916 /nfs/dbraw/zinc/35/29/16/613352916.db2.gz VOHPNNLWLQHONJ-UHFFFAOYSA-N 0 1 270.377 0.383 20 30 CCEDMN C=C(C)[C@@H](CO)N1CCC[C@](O)(Cc2nc(CC)no2)C1 ZINC000856676896 613360426 /nfs/dbraw/zinc/36/04/26/613360426.db2.gz LLRUBMIICVKASY-DOMZBBRYSA-N 0 1 295.383 0.938 20 30 CCEDMN C=C(Cl)CN1CC[C@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC000968426843 613378167 /nfs/dbraw/zinc/37/81/67/613378167.db2.gz NJYSLSQAFAUWGT-WCBMZHEXSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN1CC[C@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC000968426843 613378168 /nfs/dbraw/zinc/37/81/68/613378168.db2.gz NJYSLSQAFAUWGT-WCBMZHEXSA-N 0 1 283.763 0.997 20 30 CCEDMN C=C(Cl)CN1CC[C@H]([C@@H]2CCCN(C(=O)C(N)=O)C2)C1 ZINC000961239769 613379661 /nfs/dbraw/zinc/37/96/61/613379661.db2.gz XHBWYFSIQZASFF-NEPJUHHUSA-N 0 1 299.802 0.785 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H]2CCC(=O)N2C)C1 ZINC000969604101 613384918 /nfs/dbraw/zinc/38/49/18/613384918.db2.gz RIEMPOHZHRGSBF-MNOVXSKESA-N 0 1 285.775 0.550 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@H]2CCCNC2=O)C1 ZINC000968989574 613385408 /nfs/dbraw/zinc/38/54/08/613385408.db2.gz JFAVAEOAYBWMBX-MNOVXSKESA-N 0 1 285.775 0.456 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cc(OC)n(C)n2)C1 ZINC000969284778 613385568 /nfs/dbraw/zinc/38/55/68/613385568.db2.gz XWPLQRQGDKHMHG-SNVBAGLBSA-N 0 1 298.774 0.985 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2ccn(C)n2)C1 ZINC000970715165 613385768 /nfs/dbraw/zinc/38/57/68/613385768.db2.gz QCVPSRBPHBAKFK-SNVBAGLBSA-N 0 1 268.748 0.977 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)c2cnn(C)c2OC)C1 ZINC000970586847 613386050 /nfs/dbraw/zinc/38/60/50/613386050.db2.gz WZSCYUZQIOUCRX-SNVBAGLBSA-N 0 1 298.774 0.985 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2nc[nH]n2)C[C@@H]1C ZINC000939337979 613386383 /nfs/dbraw/zinc/38/63/83/613386383.db2.gz MDEXNHOUCXUJRX-IONNQARKSA-N 0 1 269.736 0.607 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)N(C)[C@@H](C)CS(=O)(=O)CC ZINC000876663095 613387168 /nfs/dbraw/zinc/38/71/68/613387168.db2.gz WOYLKJWVHRMBEO-VHSXEESVSA-N 0 1 296.820 0.738 20 30 CCEDMN C=C(Cl)C[C@@H](N)C(=O)NCCS(=O)(=O)CC ZINC000876645617 613388115 /nfs/dbraw/zinc/38/81/15/613388115.db2.gz MTTUAINEZDUBEL-MRVPVSSYSA-N 0 1 268.766 0.007 20 30 CCEDMN C=C(Cl)C[C@H](N)C(=O)N(C)[C@@H](C)[C@H](C)S(C)(=O)=O ZINC000876663005 613389107 /nfs/dbraw/zinc/38/91/07/613389107.db2.gz VMUZZNLPAAPVFG-GUBZILKMSA-N 0 1 296.820 0.736 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@H](N(C)[C@@H]3CCNC3=O)C2)C1 ZINC000972275337 613393776 /nfs/dbraw/zinc/39/37/76/613393776.db2.gz NBRFDEFBHSLDTR-CHWSQXEVSA-N 0 1 291.395 0.764 20 30 CCEDMN C=C1CCC(C(=O)N2CCN(C(=O)CN(C)C)CC2)CC1 ZINC000895629092 613404699 /nfs/dbraw/zinc/40/46/99/613404699.db2.gz MBGJKVRPWFCQBI-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CC[C@H](C)N1CCN(C(=O)CN2CCOCC2)CC1 ZINC000877898419 613412947 /nfs/dbraw/zinc/41/29/47/613412947.db2.gz LQFMHTPDWBSMBU-AWEZNQCLSA-N 0 1 281.400 0.427 20 30 CCEDMN C=C1CN(C(=O)[C@H](Cc2cnc[nH]2)NC(=O)CC(C)C)C1 ZINC000898704269 613416384 /nfs/dbraw/zinc/41/63/84/613416384.db2.gz RVLACKFYDOHBNM-ZDUSSCGKSA-N 0 1 290.367 0.882 20 30 CCEDMN C=CCN1C(=O)N=NC1SCOC(=O)N(C)C ZINC000917209162 613424401 /nfs/dbraw/zinc/42/44/01/613424401.db2.gz CUXKLEOIEHCVOM-UHFFFAOYSA-N 0 1 258.303 0.918 20 30 CCEDMN C=CCn1c(SCC(=O)N=S(C)(C)=O)n[nH]c1=O ZINC000882138610 613424592 /nfs/dbraw/zinc/42/45/92/613424592.db2.gz KNMDETGAYRLUQW-UHFFFAOYSA-N 0 1 290.370 0.516 20 30 CCEDMN C=CC(C)(C)CC(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC000900627457 613445287 /nfs/dbraw/zinc/44/52/87/613445287.db2.gz XZPOVRSLNQFZBI-VIFPVBQESA-N 0 1 265.317 0.702 20 30 CCEDMN C=CC(C)(C)CNC(=O)NCCN1CCC(O)CC1 ZINC000895160351 613447914 /nfs/dbraw/zinc/44/79/14/613447914.db2.gz HAHCWTOZNIQGPY-UHFFFAOYSA-N 0 1 269.389 0.955 20 30 CCEDMN C=C[C@@H]1OCCC[C@H]1NC(=O)NC[C@H]1CCN1C ZINC000922732487 613460841 /nfs/dbraw/zinc/46/08/41/613460841.db2.gz ZKRKJZRYLAFNKZ-UTUOFQBUSA-N 0 1 253.346 0.723 20 30 CCEDMN C=CCCCNC(=O)NCCN1CCC(O)CC1 ZINC000894511483 613468207 /nfs/dbraw/zinc/46/82/07/613468207.db2.gz MIONRBVFUFRQCN-UHFFFAOYSA-N 0 1 255.362 0.709 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CCC(O)(c2nn[nH]n2)CC1 ZINC000907944009 613472639 /nfs/dbraw/zinc/47/26/39/613472639.db2.gz PVIIBFUAJUDCEC-LBPRGKRZSA-N 0 1 279.344 0.612 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NCc1nc(CSC)n[nH]1 ZINC000899474021 613479061 /nfs/dbraw/zinc/47/90/61/613479061.db2.gz VVNITDQLKYLLGM-SNVBAGLBSA-N 0 1 256.331 0.221 20 30 CCEDMN C=C[C@@](C)(O)C(=O)NCc1nnc(CSC)[nH]1 ZINC000899474021 613479063 /nfs/dbraw/zinc/47/90/63/613479063.db2.gz VVNITDQLKYLLGM-SNVBAGLBSA-N 0 1 256.331 0.221 20 30 CCEDMN C=C[C@H](C)ONC(=O)N1CCN([C@@H]2CCC[C@H]2O)CC1 ZINC000845636991 613485320 /nfs/dbraw/zinc/48/53/20/613485320.db2.gz UROUNFKTSXFSSQ-YNEHKIRRSA-N 0 1 283.372 0.733 20 30 CCEDMN C=C[C@H](CO)NCCS(=O)(=O)Cc1ccccc1 ZINC000852714533 613492248 /nfs/dbraw/zinc/49/22/48/613492248.db2.gz UECUOHZOKUADKS-CYBMUJFWSA-N 0 1 269.366 0.738 20 30 CCEDMN C=C[C@H](O)C(=O)OCC(=O)[C@@H](C#N)c1nc(C)cs1 ZINC000814549865 613498721 /nfs/dbraw/zinc/49/87/21/613498721.db2.gz NVBAXJGDYYKIFZ-BDAKNGLRSA-N 0 1 280.305 0.718 20 30 CCEDMN C=C[C@H](O)C(=O)OCC(=O)C(C#N)c1nc(C)cs1 ZINC000814549865 613498723 /nfs/dbraw/zinc/49/87/23/613498723.db2.gz NVBAXJGDYYKIFZ-BDAKNGLRSA-N 0 1 280.305 0.718 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CC(=O)N(C)C2)CC1 ZINC000948619610 613504987 /nfs/dbraw/zinc/50/49/87/613504987.db2.gz NXOZSOPHPKHKMF-GFCCVEGCSA-N 0 1 265.357 0.185 20 30 CCEDMN C=CCCN1CCN(C(=O)[C@@H]2CC[C@H]2C(N)=O)CC1 ZINC000949655418 613506754 /nfs/dbraw/zinc/50/67/54/613506754.db2.gz LPUZCSUDSPQSLS-VXGBXAGGSA-N 0 1 265.357 0.218 20 30 CCEDMN C=CCCN1CCN(C(=O)c2cc(C(N)=O)c[nH]2)CC1 ZINC000952435622 613509546 /nfs/dbraw/zinc/50/95/46/613509546.db2.gz QNTDFFPWRSWGLP-UHFFFAOYSA-N 0 1 276.340 0.448 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N[C@H](C)[C@@H]1C[N@@H+](C)CCN1C ZINC000896544561 613509811 /nfs/dbraw/zinc/50/98/11/613509811.db2.gz LBPZHRSEFFMOEE-DYEKYZERSA-N 0 1 269.389 0.064 20 30 CCEDMN CC#CC[N@@H+](C)CCCc1[nH]nc(N)c1-c1nn[nH]n1 ZINC000823937431 613524114 /nfs/dbraw/zinc/52/41/14/613524114.db2.gz XOOXWRJQQRGDHL-UHFFFAOYSA-N 0 1 274.332 0.060 20 30 CCEDMN CC#CC[N@H+](C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000823937431 613524116 /nfs/dbraw/zinc/52/41/16/613524116.db2.gz XOOXWRJQQRGDHL-UHFFFAOYSA-N 0 1 274.332 0.060 20 30 CCEDMN CC#CC[N@@H+](C)CCCc1[nH]nc(N)c1-c1nnn[n-]1 ZINC000823937431 613524120 /nfs/dbraw/zinc/52/41/20/613524120.db2.gz XOOXWRJQQRGDHL-UHFFFAOYSA-N 0 1 274.332 0.060 20 30 CCEDMN CC#CC[N@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000823937431 613524122 /nfs/dbraw/zinc/52/41/22/613524122.db2.gz XOOXWRJQQRGDHL-UHFFFAOYSA-N 0 1 274.332 0.060 20 30 CCEDMN CC#CC[N@@H+](C)CCCc1[nH]nc(N)c1-c1nn[n-]n1 ZINC000823937431 613524124 /nfs/dbraw/zinc/52/41/24/613524124.db2.gz XOOXWRJQQRGDHL-UHFFFAOYSA-N 0 1 274.332 0.060 20 30 CCEDMN CC#CCN1CC([C@@H](C)NC(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC000970190005 613530561 /nfs/dbraw/zinc/53/05/61/613530561.db2.gz JDVFVGZSNZAZRG-BAGFCZJQSA-N 0 1 288.391 0.870 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)C2CCC(O)CC2)C1 ZINC000969575918 613535336 /nfs/dbraw/zinc/53/53/36/613535336.db2.gz NCXFIVWBHSLKHD-OPFPJEHXSA-N 0 1 278.396 0.997 20 30 CCEDMN CC#CCN1CCCC2(CN(C(=O)[C@@H]3COCCO3)C2)C1 ZINC000981634493 613541736 /nfs/dbraw/zinc/54/17/36/613541736.db2.gz DRTPOPBSUIRZBS-AWEZNQCLSA-N 0 1 292.379 0.350 20 30 CCEDMN C=CCC[C@@H](NC(=O)N[C@H]1CC[N@H+](CCOC)C1)C(=O)[O-] ZINC000908946264 613585131 /nfs/dbraw/zinc/58/51/31/613585131.db2.gz KDSBJCAQODIZBQ-NWDGAFQWSA-N 0 1 299.371 0.426 20 30 CCEDMN C=CCC[C@@H]1NC(=O)N(C[C@H]2CN3CCC[C@H]3CO2)C1=O ZINC000842240423 613587495 /nfs/dbraw/zinc/58/74/95/613587495.db2.gz QNYYHUSYVLCFQN-XQQFMLRXSA-N 0 1 293.367 0.736 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC000966043351 613590376 /nfs/dbraw/zinc/59/03/76/613590376.db2.gz CKZAPQWOYHQHLJ-AAEUAGOBSA-N 0 1 289.383 0.506 20 30 CCEDMN C=CCC[C@H](O)CN1CC[C@H]2CS(=O)(=O)C[C@@H]2C1 ZINC000879932928 613591073 /nfs/dbraw/zinc/59/10/73/613591073.db2.gz NGGCUBNLDBVGCR-AVGNSLFASA-N 0 1 273.398 0.680 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)C2CN(C(C)=O)C2)C1 ZINC000965952311 613592894 /nfs/dbraw/zinc/59/28/94/613592894.db2.gz KWEQWGHHPZCDIT-SWLSCSKDSA-N 0 1 291.395 0.315 20 30 CCEDMN C=CCCn1cc(C(=O)N2CC[N@H+]3CC[C@H]2C3)nn1 ZINC000615574411 613593342 /nfs/dbraw/zinc/59/33/42/613593342.db2.gz YQADDCPUFVNNMH-NSHDSACASA-N 0 1 261.329 0.384 20 30 CCEDMN CC#CCN1CC[C@H](C)[C@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC000968076651 613593981 /nfs/dbraw/zinc/59/39/81/613593981.db2.gz DOOOYVXTYCQGAQ-WCQYABFASA-N 0 1 288.351 0.233 20 30 CCEDMN C=CCN(C(=O)NCc1n[nH]c(=O)n1C)[C@H](C)COC ZINC000889572974 613606567 /nfs/dbraw/zinc/60/65/67/613606567.db2.gz XMCSUPPQGCUWBX-SECBINFHSA-N 0 1 283.332 0.253 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2nnc(C)[nH]2)C(C)(C)C1 ZINC000974597813 613609134 /nfs/dbraw/zinc/60/91/34/613609134.db2.gz NUXGNMRTRITORE-GFCCVEGCSA-N 0 1 289.383 0.506 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@@H]2CCN(C)C2=O)C(C)(C)C1 ZINC000974915785 613609201 /nfs/dbraw/zinc/60/92/01/613609201.db2.gz BVFLJRGNVHIVOZ-QWHCGFSZSA-N 0 1 291.395 0.315 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cc2nonc2C)C(C)(C)C1 ZINC000977406096 613609328 /nfs/dbraw/zinc/60/93/28/613609328.db2.gz HRTFXBWDBUMKDX-CYBMUJFWSA-N 0 1 290.367 0.770 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2[nH]cnc2CC)C1 ZINC000958631444 613612696 /nfs/dbraw/zinc/61/26/96/613612696.db2.gz QYHXNQMKYRAFRT-WCQYABFASA-N 0 1 290.367 0.018 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2c[nH]cc2C)C1 ZINC000958667892 613613256 /nfs/dbraw/zinc/61/32/56/613613256.db2.gz UUZUAPWCEDKMRG-GXTWGEPZSA-N 0 1 275.352 0.369 20 30 CCEDMN CC#CCN1C[C@@H](O)[C@@H](CNC(=O)c2onc(C)c2C)C1 ZINC000958166846 613613893 /nfs/dbraw/zinc/61/38/93/613613893.db2.gz BTUSFNUQYJIJKD-QWHCGFSZSA-N 0 1 291.351 0.337 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2c(C)coc2C)[C@H](O)C1 ZINC000958040976 613614565 /nfs/dbraw/zinc/61/45/65/613614565.db2.gz HHHGITZMOXCUQT-ZIAGYGMSSA-N 0 1 290.363 0.942 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2ccccc2O)[C@H](O)C1 ZINC000957877942 613614768 /nfs/dbraw/zinc/61/47/68/613614768.db2.gz DTDRLDRVQDLPCN-IUODEOHRSA-N 0 1 288.347 0.438 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2cccnc2)[C@H](O)C1 ZINC000957811439 613615566 /nfs/dbraw/zinc/61/55/66/613615566.db2.gz FYAFNIWFBFLUHZ-ZIAGYGMSSA-N 0 1 273.336 0.127 20 30 CCEDMN CC#CCN1C[C@@H](CNC(=O)c2conc2CC)[C@H](O)C1 ZINC000958389964 613615892 /nfs/dbraw/zinc/61/58/92/613615892.db2.gz MVCJTCHINRXLEF-BXUZGUMPSA-N 0 1 291.351 0.283 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2c(C)n[nH]c2C)C1 ZINC000958488538 613618326 /nfs/dbraw/zinc/61/83/26/613618326.db2.gz BXJJFDCBZMVYLJ-STQMWFEESA-N 0 1 290.367 0.072 20 30 CCEDMN CC#CCN1C[C@H](O)[C@@H](CNC(=O)c2occc2C)C1 ZINC000957881667 613620347 /nfs/dbraw/zinc/62/03/47/613620347.db2.gz CPNZNOMXGMZZPP-STQMWFEESA-N 0 1 276.336 0.634 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2cc[nH]c2C)C1 ZINC000957952890 613624769 /nfs/dbraw/zinc/62/47/69/613624769.db2.gz ICLUOFHBCWSERB-OCCSQVGLSA-N 0 1 275.352 0.369 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccoc2)C1 ZINC000957854698 613625898 /nfs/dbraw/zinc/62/58/98/613625898.db2.gz DGPNJJYSRCYGHZ-OLZOCXBDSA-N 0 1 262.309 0.325 20 30 CCEDMN CC#CCN1C[C@H](O)[C@H](CNC(=O)c2ccsn2)C1 ZINC000958174666 613626046 /nfs/dbraw/zinc/62/60/46/613626046.db2.gz GMYJRAQLMMDWMN-PWSUYJOCSA-N 0 1 279.365 0.189 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1c(C)noc1C ZINC000962538806 613633981 /nfs/dbraw/zinc/63/39/81/613633981.db2.gz SWYRNOOLUYDAQI-FOLVSLTJSA-N 0 1 287.363 0.904 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H]1CCCOCC1 ZINC000958727793 613634994 /nfs/dbraw/zinc/63/49/94/613634994.db2.gz PFYGOHHENIUKRJ-KBXIAJHMSA-N 0 1 276.380 0.873 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1cnns1 ZINC000958319003 613642193 /nfs/dbraw/zinc/64/21/93/613642193.db2.gz HDNUUXJCSALTDJ-JZYVYDRUSA-N 0 1 262.338 0.221 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(CC)n1 ZINC000958628590 613642237 /nfs/dbraw/zinc/64/22/37/613642237.db2.gz BMCRAPDMRSVNOW-YABSGUDNSA-N 0 1 273.336 0.920 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1coc(OC)n1 ZINC000961370844 613642258 /nfs/dbraw/zinc/64/22/58/613642258.db2.gz SITZEOIUBGDNQK-IAZYJMLFSA-N 0 1 275.308 0.367 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)c1nccs1 ZINC000962134351 613642830 /nfs/dbraw/zinc/64/28/30/613642830.db2.gz RVVPFGICRSQGJQ-URLYPYJESA-N 0 1 261.350 0.826 20 30 CCEDMN C=CCN(CC(=O)[O-])C(=O)N[C@@H]1C[C@@H](C)[N@H+](C2CC2)C1 ZINC000909143366 613643320 /nfs/dbraw/zinc/64/33/20/613643320.db2.gz VRNJPPBXDVRMOB-GHMZBOCLSA-N 0 1 281.356 0.894 20 30 CCEDMN CC#CCNC(=O)N[C@@H]1CCCN2CCSC[C@@H]12 ZINC000923216849 613644536 /nfs/dbraw/zinc/64/45/36/613644536.db2.gz QAPSRSMHHYNYBG-NEPJUHHUSA-N 0 1 267.398 0.889 20 30 CCEDMN C=CCN(CC=C)C(=O)CN[C@@H]1C(=O)NCC1(C)C ZINC000931666897 613647284 /nfs/dbraw/zinc/64/72/84/613647284.db2.gz HJWPHTYMWUAYHL-GFCCVEGCSA-N 0 1 265.357 0.301 20 30 CCEDMN C=CC[N@H+](CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O)C(C)C ZINC000823500260 613651243 /nfs/dbraw/zinc/65/12/43/613651243.db2.gz DKTMOSDBQZOFKB-GFCCVEGCSA-N 0 1 296.371 0.940 20 30 CCEDMN C=CC[N@@H+](CN1C[C@H]2CN(C(=O)[O-])CCN2C1=O)C(C)C ZINC000823500260 613651244 /nfs/dbraw/zinc/65/12/44/613651244.db2.gz DKTMOSDBQZOFKB-GFCCVEGCSA-N 0 1 296.371 0.940 20 30 CCEDMN CC(=NNc1cncnc1)c1ccc2[nH]c(=O)c(=O)[nH]c2c1 ZINC000811648440 613657761 /nfs/dbraw/zinc/65/77/61/613657761.db2.gz RQXKQNTVURPDEQ-UHFFFAOYSA-N 0 1 296.290 0.843 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC000969788379 613662293 /nfs/dbraw/zinc/66/22/93/613662293.db2.gz JZLGCAKUQSQZQH-GHMZBOCLSA-N 0 1 265.357 0.087 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC000970216432 613662359 /nfs/dbraw/zinc/66/23/59/613662359.db2.gz IIIYSBPFXQYHHN-NEPJUHHUSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)[C@H]2CCNC(=O)CC2)C1 ZINC000970216436 613663323 /nfs/dbraw/zinc/66/33/23/613663323.db2.gz IIIYSBPFXQYHHN-VXGBXAGGSA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2ccc3nnnn3c2)C1 ZINC000970147428 613666082 /nfs/dbraw/zinc/66/60/82/613666082.db2.gz VJQPNBSGLPUPKX-SNVBAGLBSA-N 0 1 286.339 0.360 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2c[nH]c(=O)n2C)C1 ZINC000970275473 613667009 /nfs/dbraw/zinc/66/70/09/613667009.db2.gz SQKWFTKGNJTWIB-SECBINFHSA-N 0 1 264.329 0.362 20 30 CCEDMN C=CCN1CC([C@@H](C)NC(=O)c2nc3ncccn3n2)C1 ZINC000970616148 613667524 /nfs/dbraw/zinc/66/75/24/613667524.db2.gz KPRVFSXMMHZMQB-SNVBAGLBSA-N 0 1 286.339 0.360 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2c[nH]c(=O)cn2)C1 ZINC000970341430 613670540 /nfs/dbraw/zinc/67/05/40/613670540.db2.gz XPSCXRANSBMKGT-VIFPVBQESA-N 0 1 262.313 0.006 20 30 CCEDMN C=CCN1CC([C@H](C)NC(=O)c2ccn3nnnc3c2)C1 ZINC000970267599 613672887 /nfs/dbraw/zinc/67/28/87/613672887.db2.gz GVVGIZVREOBCPM-JTQLQIEISA-N 0 1 286.339 0.360 20 30 CCEDMN C=CCN1CCCN(C(=O)[C@@]2(C)CCNC2=O)CC1 ZINC000981945761 613692965 /nfs/dbraw/zinc/69/29/65/613692965.db2.gz RLTGHTKTMKORDM-AWEZNQCLSA-N 0 1 265.357 0.233 20 30 CCEDMN C=CCN1CCO[C@@]2(CCN(C(=O)c3cnc(C)[nH]3)C2)C1 ZINC000972302916 613724474 /nfs/dbraw/zinc/72/44/74/613724474.db2.gz ZJBLAWXQAJGDHF-HNNXBMFYSA-N 0 1 290.367 0.821 20 30 CCEDMN C=CCN1CC[C@@H](C)[C@@H](NC(=O)Cn2ccnc2)C1 ZINC000968699585 613727846 /nfs/dbraw/zinc/72/78/46/613727846.db2.gz XSYUEEWQKXLZJL-OLZOCXBDSA-N 0 1 262.357 0.896 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@@H](O)CC(C)(C)O)C1=O ZINC000878550531 613738169 /nfs/dbraw/zinc/73/81/69/613738169.db2.gz XVGRNWCCKMKEEX-NWDGAFQWSA-N 0 1 270.373 0.227 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](O)CCC2(O)CCC2)C1=O ZINC000930310913 613738560 /nfs/dbraw/zinc/73/85/60/613738560.db2.gz SZJWHZFFKWGQAI-ZIAGYGMSSA-N 0 1 296.411 0.761 20 30 CCEDMN C=CCN1CC[C@@H](N(C)[C@@H]2CCN(C(=O)CC)C2)C1=O ZINC000970907628 613739317 /nfs/dbraw/zinc/73/93/17/613739317.db2.gz MLJDPFIWKUMQTE-CHWSQXEVSA-N 0 1 279.384 0.716 20 30 CCEDMN CC(=O)N(CC(=O)NCC#CCN(C)C)c1ccccc1 ZINC000913525094 613755858 /nfs/dbraw/zinc/75/58/58/613755858.db2.gz JZFZFASJRWCGGT-UHFFFAOYSA-N 0 1 287.363 0.721 20 30 CCEDMN C=CCN1CC[C@H](C)[C@@H](NC(=O)Cc2cn(CC)nn2)C1 ZINC000967420226 613767177 /nfs/dbraw/zinc/76/71/77/613767177.db2.gz SJLZNQJREBWQHP-JSGCOSHPSA-N 0 1 291.399 0.853 20 30 CCEDMN C=CCN1CC[C@H](C)[C@H](NC(=O)Cn2ccnc2)C1 ZINC000968699586 613772704 /nfs/dbraw/zinc/77/27/04/613772704.db2.gz XSYUEEWQKXLZJL-QWHCGFSZSA-N 0 1 262.357 0.896 20 30 CCEDMN C=CCN1CC[C@H](N(C)C[C@H]2CCC3(COC3)O2)C1=O ZINC000930310541 613777270 /nfs/dbraw/zinc/77/72/70/613777270.db2.gz IDNFIWJHJDKONN-OLZOCXBDSA-N 0 1 280.368 0.653 20 30 CCEDMN C=CCN1CC[C@H](N2CCC([C@@H](F)C(N)=O)CC2)C1=O ZINC000879045293 613777970 /nfs/dbraw/zinc/77/79/70/613777970.db2.gz XTLJBSUFGOYZFG-NWDGAFQWSA-N 0 1 283.347 0.309 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H](C)n2cncn2)C(C)(C)C1 ZINC000974484289 613808356 /nfs/dbraw/zinc/80/83/56/613808356.db2.gz MBHPVWSRHHEAGF-NWDGAFQWSA-N 0 1 277.372 0.852 20 30 CCEDMN C=CCN1C[C@H](NC(=O)Cc2cc[nH]n2)C(C)(C)C1 ZINC000972983676 613826757 /nfs/dbraw/zinc/82/67/57/613826757.db2.gz XRGVHVYBVYVQDJ-LBPRGKRZSA-N 0 1 262.357 0.965 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@@H]2CCCN2C(N)=O)C(C)(C)C1 ZINC000977664023 613827420 /nfs/dbraw/zinc/82/74/20/613827420.db2.gz CEQTVVYKAJXXNR-RYUDHWBXSA-N 0 1 294.399 0.542 20 30 CCEDMN C=CCN1C[C@H](NC(=O)[C@H]2CCNC(=O)CC2)C(C)(C)C1 ZINC000974859458 613828043 /nfs/dbraw/zinc/82/80/43/613828043.db2.gz CAEKWYXKXIPVSV-OLZOCXBDSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1C[C@H](NC(=O)c2cn(CC)nn2)C(C)(C)C1 ZINC000977394440 613829790 /nfs/dbraw/zinc/82/97/90/613829790.db2.gz ALDXMDRQMXZTRN-LBPRGKRZSA-N 0 1 277.372 0.924 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC2(CC1)COCCO2 ZINC000880541303 613853246 /nfs/dbraw/zinc/85/32/46/613853246.db2.gz JZANBHXIQWOAHY-GFCCVEGCSA-N 0 1 268.357 0.559 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823507358 613853337 /nfs/dbraw/zinc/85/33/37/613853337.db2.gz WCQUICGIEAIWPS-ZJUUUORDSA-N 0 1 255.318 0.361 20 30 CCEDMN C=CCNC(=O)[C@@H](C)[N@H+]1CC[C@H](N(C)C(=O)[O-])C1 ZINC000823507358 613853338 /nfs/dbraw/zinc/85/33/38/613853338.db2.gz WCQUICGIEAIWPS-ZJUUUORDSA-N 0 1 255.318 0.361 20 30 CCEDMN C=CCOCCCNC(=O)c1[nH]nc2c1CNCC2 ZINC000852854396 613880379 /nfs/dbraw/zinc/88/03/79/613880379.db2.gz HGCOGDODUFSCLX-UHFFFAOYSA-N 0 1 264.329 0.378 20 30 CCEDMN C=CCOCCN1CCN(c2nc(C)cc(=O)[nH]2)CC1 ZINC000886657768 613880530 /nfs/dbraw/zinc/88/05/30/613880530.db2.gz CFDIWLJZTJODPA-UHFFFAOYSA-N 0 1 278.356 0.815 20 30 CCEDMN C=CCOC[C@H](N[C@H]1CC[N@@H+]2CCC[C@@H]2C1)C(=O)[O-] ZINC000901810207 613882777 /nfs/dbraw/zinc/88/27/77/613882777.db2.gz KBTQUOFGZKGBPS-XQQFMLRXSA-N 0 1 268.357 0.859 20 30 CCEDMN C=CCOC[C@H](N[C@H]1CC[N@H+]2CCC[C@@H]2C1)C(=O)[O-] ZINC000901810207 613882778 /nfs/dbraw/zinc/88/27/78/613882778.db2.gz KBTQUOFGZKGBPS-XQQFMLRXSA-N 0 1 268.357 0.859 20 30 CCEDMN C=CCONC(=O)N1CCN(C2CCOCC2)C[C@@H]1C ZINC000838709600 613883436 /nfs/dbraw/zinc/88/34/36/613883436.db2.gz DPMOYDSKRRXECK-LBPRGKRZSA-N 0 1 283.372 0.999 20 30 CCEDMN C=CCOc1cccnc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834485228 613884988 /nfs/dbraw/zinc/88/49/88/613884988.db2.gz TWHWDVLXLYVYKB-QWRGUYRKSA-N 0 1 272.308 0.488 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)Cc1cscn1 ZINC000853099335 613933640 /nfs/dbraw/zinc/93/36/40/613933640.db2.gz QRSDUMPOFNLEFW-SECBINFHSA-N 0 1 251.311 0.919 20 30 CCEDMN CC(=O)NCc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000834494899 613943323 /nfs/dbraw/zinc/94/33/23/613943323.db2.gz QQJRABOIVFTLHS-GXSJLCMTSA-N 0 1 292.364 0.226 20 30 CCEDMN CC(=O)NCc1ccc(C(=O)NCC#CCN(C)C)s1 ZINC000913517962 613943760 /nfs/dbraw/zinc/94/37/60/613943760.db2.gz XMHQXEDMNOATML-UHFFFAOYSA-N 0 1 293.392 0.679 20 30 CCEDMN CC(C)CC(=O)N[C@@H](C(=O)NCC#CCN(C)C)C(C)C ZINC000913517124 614019693 /nfs/dbraw/zinc/01/96/93/614019693.db2.gz UCZSMIPSWVEFRU-OAHLLOKOSA-N 0 1 295.427 0.855 20 30 CCEDMN CC(C)(C)c1cc(C(=O)N[C@H]2CNC[C@H]2C#N)no1 ZINC000834490081 614048073 /nfs/dbraw/zinc/04/80/73/614048073.db2.gz RSSGERHCMYOLKI-SCZZXKLOSA-N 0 1 262.313 0.813 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)C[N@H+]1CC[C@](C)(C(=O)[O-])C1 ZINC000826677797 614180343 /nfs/dbraw/zinc/18/03/43/614180343.db2.gz ZIDYJANFTVMMAD-UONOGXRCSA-N 0 1 281.356 0.837 20 30 CCEDMN CC(=O)OC1CCN([C@H](C)C(=O)N(C)CCC#N)CC1 ZINC000153351379 614215679 /nfs/dbraw/zinc/21/56/79/614215679.db2.gz OBRNEETWWPKRSS-LLVKDONJSA-N 0 1 281.356 0.774 20 30 CCEDMN CC(C)Cn1[nH]c(CC(=O)N[C@@H](C)CC#N)cc1=O ZINC000931155839 614236563 /nfs/dbraw/zinc/23/65/63/614236563.db2.gz YYLGFCWPNDZUJA-JTQLQIEISA-N 0 1 264.329 0.793 20 30 CCEDMN CC(=O)c1cccc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1 ZINC000848970773 614255989 /nfs/dbraw/zinc/25/59/89/614255989.db2.gz PTGHTXXZXUXVIJ-CHWSQXEVSA-N 0 1 257.293 0.731 20 30 CCEDMN CC(C)[C@](C)(C#N)NC(=O)[C@@H](C)[N@@H+](C)CCC(=O)[O-] ZINC000817733729 614286478 /nfs/dbraw/zinc/28/64/78/614286478.db2.gz XCACKRIQXUAJDS-MFKMUULPSA-N 0 1 269.345 0.836 20 30 CCEDMN CC(C)(C)C(=O)N1CCC[C@@H]1C(=O)N[C@H]1CNC[C@H]1C#N ZINC000834516468 614323829 /nfs/dbraw/zinc/32/38/29/614323829.db2.gz ITLZUBYRRRDZOS-GRYCIOLGSA-N 0 1 292.383 0.251 20 30 CCEDMN C[C@@H]1CN(C(=O)CN2CCC(C)CC2)C[C@H]1NCC#N ZINC000939388496 614431956 /nfs/dbraw/zinc/43/19/56/614431956.db2.gz JVRWBNWSJVEESI-ZIAGYGMSSA-N 0 1 278.400 0.678 20 30 CCEDMN CCCc1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)s1 ZINC000834489819 614495806 /nfs/dbraw/zinc/49/58/06/614495806.db2.gz PSEUGAZVZHRQEJ-DTWKUNHWSA-N 0 1 264.354 0.937 20 30 CCEDMN CCC(=O)N1CCCC[C@@H]1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834488847 614535066 /nfs/dbraw/zinc/53/50/66/614535066.db2.gz HCEHARRURINAIH-SDDRHHMPSA-N 0 1 278.356 0.005 20 30 CCEDMN CCC(CC)N1C[C@H](C(=O)NCC#CCN(C)C)CC1=O ZINC000913516786 614571127 /nfs/dbraw/zinc/57/11/27/614571127.db2.gz GAVZUJUFKXFFOX-CYBMUJFWSA-N 0 1 293.411 0.705 20 30 CCEDMN CCCN(CCC)C(=O)CCC(=O)N[C@@H]1CNC[C@@H]1C#N ZINC000834484952 614575606 /nfs/dbraw/zinc/57/56/06/614575606.db2.gz PWDZVNPHMBWRSZ-QWHCGFSZSA-N 0 1 294.399 0.643 20 30 CCEDMN CC1(C)CN(C[C@H](O)CC#N)C[C@@]2(CCOC2)O1 ZINC000930294802 614673430 /nfs/dbraw/zinc/67/34/30/614673430.db2.gz FVSVBQZJDOJACH-DGCLKSJQSA-N 0 1 254.330 0.531 20 30 CCEDMN CCC1(CC)CNC(=O)[C@@H]1N[C@H](C)C(=O)N(C)CCC#N ZINC000933293237 614684604 /nfs/dbraw/zinc/68/46/04/614684604.db2.gz MASSCZLYNDAXNO-NEPJUHHUSA-N 0 1 294.399 0.641 20 30 CCEDMN CC1(C)[C@@]2(C)CC[C@]1(C(=O)N[C@H]1CNC[C@H]1C#N)OC2=O ZINC000876801850 614872011 /nfs/dbraw/zinc/87/20/11/614872011.db2.gz JTHSBNYHQBAQCD-KTJYFRGRSA-N 0 1 291.351 0.336 20 30 CCEDMN CC1(CNc2n[nH]c(N3CCOCC3)c2C#N)COC1 ZINC000922362379 614905369 /nfs/dbraw/zinc/90/53/69/614905369.db2.gz NYTUIAZTTYTAIO-UHFFFAOYSA-N 0 1 277.328 0.566 20 30 CCEDMN CCN(CC(=O)N(C)C)C[C@@H](O)CC1(C#N)CCC1 ZINC000885953968 614925890 /nfs/dbraw/zinc/92/58/90/614925890.db2.gz KLYPRAHLGPNWOW-LBPRGKRZSA-N 0 1 267.373 0.841 20 30 CCEDMN CCC[C@@H](OC)C(=O)C(C#N)C(=O)NCCCOC ZINC000835057879 615007845 /nfs/dbraw/zinc/00/78/45/615007845.db2.gz VDACAGLYDKAKFV-WDEREUQCSA-N 0 1 270.329 0.663 20 30 CCEDMN CCN(CCO)N=Cc1cc(O)ccc1[N+](=O)[O-] ZINC000842538804 615055140 /nfs/dbraw/zinc/05/51/40/615055140.db2.gz MMYSTEZMFCRZKW-UHFFFAOYSA-N 0 1 253.258 0.949 20 30 CCEDMN CCN(CCO)N=Cc1c(C)nn(C)c1N1CCOCC1 ZINC000842537859 615055242 /nfs/dbraw/zinc/05/52/42/615055242.db2.gz DUERFSUDCLITMK-UHFFFAOYSA-N 0 1 295.387 0.213 20 30 CCEDMN CCNS(=O)(=O)CCNCc1csc(C#N)c1 ZINC000921718147 615105212 /nfs/dbraw/zinc/10/52/12/615105212.db2.gz WJVRUIVFOPVSAS-UHFFFAOYSA-N 0 1 273.383 0.649 20 30 CCEDMN CCOC(=O)N(C)CCCC(=O)N[C@@H]1CNC[C@H]1C#N ZINC000884249656 615223316 /nfs/dbraw/zinc/22/33/16/615223316.db2.gz PFEANPRONQQIHO-GHMZBOCLSA-N 0 1 282.344 0.083 20 30 CCEDMN CCOC(=O)N1CCC[C@H](C(=O)NCC#CCN(C)C)C1 ZINC000913516424 615228620 /nfs/dbraw/zinc/22/86/20/615228620.db2.gz PGRHXEKRURCJSK-ZDUSSCGKSA-N 0 1 295.383 0.536 20 30 CCEDMN CCOCCCNC(=O)C(C#N)C(=O)C1(F)CC1 ZINC000842681085 615244710 /nfs/dbraw/zinc/24/47/10/615244710.db2.gz HNPPRLWRTAGLNR-VIFPVBQESA-N 0 1 256.277 0.740 20 30 CCEDMN CCN1CC[C@@H]1CNC(=O)N1C[C@@H](C)N(CC#N)[C@@H](C)C1 ZINC000924023400 615248159 /nfs/dbraw/zinc/24/81/59/615248159.db2.gz XMCAQPSIAZRVJO-HZSPNIEDSA-N 0 1 293.415 0.708 20 30 CCEDMN CCOCCOCCCC(=O)N[C@H]1CNC[C@H]1C#N ZINC000852827183 615270140 /nfs/dbraw/zinc/27/01/40/615270140.db2.gz CLXVUEGSFHUREK-NEPJUHHUSA-N 0 1 269.345 0.047 20 30 CCEDMN CCOC(=O)[C@@H]1CC[C@@H]1C(=O)NC1(C#N)CCN(C)CC1 ZINC000906602948 615296136 /nfs/dbraw/zinc/29/61/36/615296136.db2.gz HKUOGJFVIXOEFT-NWDGAFQWSA-N 0 1 293.367 0.680 20 30 CCEDMN CCO[C@H](C(=O)C(C#N)C(=O)NC1CC1)C1CC1 ZINC000860472499 615476677 /nfs/dbraw/zinc/47/66/77/615476677.db2.gz BFINAQZAOSEFPY-JQWIXIFHSA-N 0 1 250.298 0.789 20 30 CCEDMN CCc1cc(CNS(=O)(=O)N(CC)C[C@@H](C)C#N)n[nH]1 ZINC000800054775 615650915 /nfs/dbraw/zinc/65/09/15/615650915.db2.gz AWEWSLPIKWNWFN-JTQLQIEISA-N 0 1 299.400 0.788 20 30 CCEDMN CC[C@H](C)[C@H](NCC(=O)N(CC)CCC#N)C(N)=O ZINC000887059414 615698220 /nfs/dbraw/zinc/69/82/20/615698220.db2.gz UXHIISGBZDAAAB-JQWIXIFHSA-N 0 1 268.361 0.238 20 30 CCEDMN CCc1nc(C#N)cc(N[C@H](C)[C@@H]2C[N@@H+](C)CCN2C)n1 ZINC000895245252 615724617 /nfs/dbraw/zinc/72/46/17/615724617.db2.gz DZSUFVSQDSSJJE-YPMHNXCESA-N 0 1 288.399 0.957 20 30 CCEDMN CCc1nc(CC(=O)NCC#CCN(C)C)cs1 ZINC000913517547 615738914 /nfs/dbraw/zinc/73/89/14/615738914.db2.gz GFONSWIDPUCKNL-UHFFFAOYSA-N 0 1 265.382 0.929 20 30 CCEDMN CCc1nc2ccccc2n1CC(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834511779 615758706 /nfs/dbraw/zinc/75/87/06/615758706.db2.gz NXMMHUPCWXFNAH-AAEUAGOBSA-N 0 1 297.362 0.826 20 30 CCEDMN CCc1nc2ccccc2n1CC(=O)N[C@H]1CNC[C@H]1C#N ZINC000834511782 615758717 /nfs/dbraw/zinc/75/87/17/615758717.db2.gz NXMMHUPCWXFNAH-YPMHNXCESA-N 0 1 297.362 0.826 20 30 CCEDMN CN(C)CC#CCNC(=O)CN1CCCCCC1=O ZINC000913515471 615857389 /nfs/dbraw/zinc/85/73/89/615857389.db2.gz YNQUMNYNCDIRJB-UHFFFAOYSA-N 0 1 265.357 0.070 20 30 CCEDMN CN(C)CC#CCNC(=O)Cc1cccnc1Cl ZINC000913523537 615858875 /nfs/dbraw/zinc/85/88/75/615858875.db2.gz DOOHKXCCLCAQTR-UHFFFAOYSA-N 0 1 265.744 0.959 20 30 CCEDMN CN(C)CC#CCNC(=O)Nc1ccn(CCF)n1 ZINC000932215574 615858910 /nfs/dbraw/zinc/85/89/10/615858910.db2.gz FEHAFNIXDLUNMI-UHFFFAOYSA-N 0 1 267.308 0.539 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCc2cccnc21 ZINC000913522743 615859397 /nfs/dbraw/zinc/85/93/97/615859397.db2.gz XCWOLJNKDXNEFQ-CYBMUJFWSA-N 0 1 257.337 0.793 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@@H]1CCc2ncncc2C1 ZINC000913523673 615859792 /nfs/dbraw/zinc/85/97/92/615859792.db2.gz IJNKLSHUEXOSOB-GFCCVEGCSA-N 0 1 272.352 0.263 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@]1(O)CCCC(C)(C)C1 ZINC000913523668 615860092 /nfs/dbraw/zinc/86/00/92/615860092.db2.gz IFWQGTDGOARUGK-HNNXBMFYSA-N 0 1 266.385 0.999 20 30 CCEDMN CN(C)CC#CCNC(=O)[C@H]1CC(=O)N(C(C)(C)C)C1 ZINC000913516350 615860378 /nfs/dbraw/zinc/86/03/78/615860378.db2.gz LZGBMFNAQMHJAY-LBPRGKRZSA-N 0 1 279.384 0.315 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc(F)c[nH]c1=O ZINC000913523659 615860567 /nfs/dbraw/zinc/86/05/67/615860567.db2.gz HRWRAOIPLWOXSJ-UHFFFAOYSA-N 0 1 251.261 0.221 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cc2c([nH]c1=O)CCC2 ZINC000913517107 615860627 /nfs/dbraw/zinc/86/06/27/615860627.db2.gz SSGDMCABVXHJIO-UHFFFAOYSA-N 0 1 273.336 0.571 20 30 CCEDMN CN(C)CC#CCNC(=O)c1ccc2c(c1)OCO2 ZINC000913514174 615861305 /nfs/dbraw/zinc/86/13/05/615861305.db2.gz HMMBDPLDDDKUEV-UHFFFAOYSA-N 0 1 260.293 0.710 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cccc2c1oc(=O)n2C ZINC000913520208 615861438 /nfs/dbraw/zinc/86/14/38/615861438.db2.gz IGFDICKJJNGDMH-UHFFFAOYSA-N 0 1 287.319 0.426 20 30 CCEDMN CN(C)CC#CCNC(=O)c1cnc2nccn2c1 ZINC000913525277 615861843 /nfs/dbraw/zinc/86/18/43/615861843.db2.gz QBXOSPXVHBXGMG-UHFFFAOYSA-N 0 1 257.297 0.024 20 30 CCEDMN CN(C(=O)c1[nH]nc2c1CNCC2)[C@H]1CCC[C@H]1C#N ZINC000877197968 615937030 /nfs/dbraw/zinc/93/70/30/615937030.db2.gz ZSMRNMJRVISJLJ-CABZTGNLSA-N 0 1 273.340 0.820 20 30 CCEDMN CCn1cc(NC(=O)NCC#CCN(C)C)ccc1=O ZINC000905152072 616006072 /nfs/dbraw/zinc/00/60/72/616006072.db2.gz UDVALESQVWBJJJ-UHFFFAOYSA-N 0 1 276.340 0.555 20 30 CCEDMN CN(CCC#N)C[C@@H](O)CNCc1cncc(O)c1 ZINC000905626821 616067740 /nfs/dbraw/zinc/06/77/40/616067740.db2.gz SBKKAUOUMQDNDS-ZDUSSCGKSA-N 0 1 264.329 0.083 20 30 CCEDMN CN(CCN1CC2(C1)CCOCC2)c1nccnc1C#N ZINC000866256196 616085781 /nfs/dbraw/zinc/08/57/81/616085781.db2.gz LDJDJSXBFXODNN-UHFFFAOYSA-N 0 1 287.367 0.897 20 30 CCEDMN C[N@@H+](CCNC(=O)[C@](C)(C#N)CC(=O)[O-])C1CCCC1 ZINC000820597462 616095221 /nfs/dbraw/zinc/09/52/21/616095221.db2.gz SJCJYMOLTBEMSB-AWEZNQCLSA-N 0 1 281.356 0.982 20 30 CCEDMN CN(Cc1nnc[nH]1)C(=O)N[C@H]1Cc2ccc(C#N)cc2C1 ZINC000869192698 616103470 /nfs/dbraw/zinc/10/34/70/616103470.db2.gz ZFAQJYDYRHCMMU-ZDUSSCGKSA-N 0 1 296.334 0.985 20 30 CCEDMN C[N@@H+]1CC=C(CNC(=O)[C@](C)(C#N)CC(=O)[O-])CC1 ZINC000820615730 616165690 /nfs/dbraw/zinc/16/56/90/616165690.db2.gz WFBOCDBJROESDG-ZDUSSCGKSA-N 0 1 265.313 0.369 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCc1ccc(C(=O)NO)cc1 ZINC000907813920 616193613 /nfs/dbraw/zinc/19/36/13/616193613.db2.gz BZUHXXIEDNEAGI-GFCCVEGCSA-N 0 1 277.324 0.516 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC1CC2(CC(NCC#N)C2)C1 ZINC000963621938 616210809 /nfs/dbraw/zinc/21/08/09/616210809.db2.gz CABLNLIGOIOHLS-WRSKWOSUSA-N 0 1 276.384 0.621 20 30 CCEDMN CN1CC[C@@H](NS(=O)(=O)Cc2csc(C#N)c2)C1 ZINC000913958743 616280165 /nfs/dbraw/zinc/28/01/65/616280165.db2.gz SRPOYSLDVLPAIM-SNVBAGLBSA-N 0 1 285.394 0.743 20 30 CCEDMN COC(=O)C1(C#N)CCN(C[C@@H](OC)[C@H]2CCOC2)CC1 ZINC000852136969 616345512 /nfs/dbraw/zinc/34/55/12/616345512.db2.gz QWHIHHVJHKPZSK-QWHCGFSZSA-N 0 1 296.367 0.817 20 30 CCEDMN COC(=O)C1(C(=O)NCC#CCN(C)C)CC2(CCC2)C1 ZINC000913524115 616350428 /nfs/dbraw/zinc/35/04/28/616350428.db2.gz AFADKOZTVWSNLP-UHFFFAOYSA-N 0 1 292.379 0.791 20 30 CCEDMN CN1C[C@H]2[C@@H](CCN2S(=O)(=O)CC(C)(C)C#N)C1 ZINC000915834430 616361480 /nfs/dbraw/zinc/36/14/80/616361480.db2.gz JJGUXUNTBKBEGX-QWRGUYRKSA-N 0 1 271.386 0.502 20 30 CCEDMN CN1C[C@H](O)C[C@]1(C)C(=O)N1CCC(CC#N)CC1 ZINC000907288619 616495219 /nfs/dbraw/zinc/49/52/19/616495219.db2.gz GEHKWEGJNBONQP-TZMCWYRMSA-N 0 1 265.357 0.594 20 30 CCEDMN COCCNC(=O)[C@H]1NCCc2cc(C#N)ccc21 ZINC000876543325 616498835 /nfs/dbraw/zinc/49/88/35/616498835.db2.gz ADBLRDUTZHOOEZ-ZDUSSCGKSA-N 0 1 259.309 0.508 20 30 CCEDMN COC(=O)CCN(CC#N)C(=O)c1cc(C(F)F)[nH]n1 ZINC000913737140 616546372 /nfs/dbraw/zinc/54/63/72/616546372.db2.gz GQIPKCVLHLHNBR-UHFFFAOYSA-N 0 1 286.238 0.876 20 30 CCEDMN COC(=O)CN(CC#N)C(=O)[C@H]1CCCN1C(C)C ZINC000933219439 616587471 /nfs/dbraw/zinc/58/74/71/616587471.db2.gz QVAFAPKBIUZKGP-LLVKDONJSA-N 0 1 267.329 0.384 20 30 CCEDMN COC(=O)CN1CCC(NCc2nc(C#N)cs2)CC1 ZINC000897629049 616592425 /nfs/dbraw/zinc/59/24/25/616592425.db2.gz PPNRCBQINMMKIJ-UHFFFAOYSA-N 0 1 294.380 0.742 20 30 CCEDMN COC(=O)c1cc(CN=Nc2cnnn2C)[nH]c1C ZINC000872378540 616843573 /nfs/dbraw/zinc/84/35/73/616843573.db2.gz AUNNZZREYCMJFN-UHFFFAOYSA-N 0 1 262.273 0.684 20 30 CCEDMN COC[C@@H](CN1CCN(c2ccc(C#N)nc2)CC1)OC ZINC000851999722 616853003 /nfs/dbraw/zinc/85/30/03/616853003.db2.gz IUOCAJUNXHPCKA-OAHLLOKOSA-N 0 1 290.367 0.737 20 30 CCEDMN COCCCNC(=O)C(C#N)C(=O)[C@H](CC(C)C)OC ZINC000842286281 616898646 /nfs/dbraw/zinc/89/86/46/616898646.db2.gz XGLASKHDORVCFV-RYUDHWBXSA-N 0 1 284.356 0.909 20 30 CCEDMN COC(=O)N[C@@H](C(=O)NCC#CCN(C)C)C(C)C ZINC000913518662 616900836 /nfs/dbraw/zinc/90/08/36/616900836.db2.gz WTLBOEMAAKHIJI-LLVKDONJSA-N 0 1 269.345 0.048 20 30 CCEDMN COCc1cccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1 ZINC000834511978 616902656 /nfs/dbraw/zinc/90/26/56/616902656.db2.gz QJHRWPZDGYJVRG-QWHCGFSZSA-N 0 1 259.309 0.674 20 30 CCEDMN COCc1cnc(CN=Nc2cnnn2C)s1 ZINC000814983385 616912495 /nfs/dbraw/zinc/91/24/95/616912495.db2.gz HJJIRGRKVWCBNI-UHFFFAOYSA-N 0 1 252.303 0.864 20 30 CCEDMN COCc1ncsc1C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834500140 616965409 /nfs/dbraw/zinc/96/54/09/616965409.db2.gz QLYKCZIYIFRYGV-YUMQZZPRSA-N 0 1 266.326 0.131 20 30 CCEDMN COCCN(CCF)C[C@H](O)CC1(C#N)CCOCC1 ZINC000859349536 617011863 /nfs/dbraw/zinc/01/18/63/617011863.db2.gz ASGWPJNEYYXFQE-CYBMUJFWSA-N 0 1 288.363 0.976 20 30 CCEDMN CNc1ccc(C(=O)N[C@@H]2CNC[C@@H]2C#N)cc1[N+](=O)[O-] ZINC000834504946 617063419 /nfs/dbraw/zinc/06/34/19/617063419.db2.gz GWMCPWSCUIFDFK-GXSJLCMTSA-N 0 1 289.295 0.478 20 30 CCEDMN CON1CCC(CC(=O)NCC#CCN(C)C)CC1 ZINC000913522824 617086495 /nfs/dbraw/zinc/08/64/95/617086495.db2.gz AHNUXHWZJBOYNE-UHFFFAOYSA-N 0 1 267.373 0.331 20 30 CCEDMN CON=Cc1ccc(C(=O)NC[C@H]2C[C@H](O)CN2C)cc1 ZINC000924993151 617106749 /nfs/dbraw/zinc/10/67/49/617106749.db2.gz PDCFJUYXIZTKBO-KGLIPLIRSA-N 0 1 291.351 0.462 20 30 CCEDMN C[C@H](c1ccccc1)[C@@H](NC[C@H](O)CC#N)C(N)=O ZINC000930541463 625355644 /nfs/dbraw/zinc/35/56/44/625355644.db2.gz NETHKQILOJZBGB-RAIGVLPGSA-N 0 1 261.325 0.508 20 30 CCEDMN C=C(C)CNC(=S)NCCN1CCN(C)CC1 ZINC000053583658 625491625 /nfs/dbraw/zinc/49/16/25/625491625.db2.gz FAUDLOFYYBJFCZ-UHFFFAOYSA-N 0 1 256.419 0.274 20 30 CCEDMN Cc1nonc1CNC[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001026733573 625580643 /nfs/dbraw/zinc/58/06/43/625580643.db2.gz WPKRUYJAFKSFOZ-MWLCHTKSSA-N 0 1 277.328 0.476 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001008550382 625590108 /nfs/dbraw/zinc/59/01/08/625590108.db2.gz JUXZHDZTYJVRCR-TUAOUCFPSA-N 0 1 265.357 0.265 20 30 CCEDMN C=CC[N@H+]1CCCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024390832 623308881 /nfs/dbraw/zinc/30/88/81/623308881.db2.gz MBIKOHLYXKWRCP-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1CCNC(=O)C1 ZINC001024390832 623308888 /nfs/dbraw/zinc/30/88/88/623308888.db2.gz MBIKOHLYXKWRCP-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCCC[C@@H]1CNC(=O)[C@H]1COCCN1C ZINC001024392612 623315334 /nfs/dbraw/zinc/31/53/34/623315334.db2.gz UDDOCDRIJKIKIU-ZIAGYGMSSA-N 0 1 281.400 0.474 20 30 CCEDMN C=C(C)CN1CCCC[C@@H]1CNC(=O)c1ncn(C)n1 ZINC001024419967 623317464 /nfs/dbraw/zinc/31/74/64/623317464.db2.gz FLXPGVGODGEJJL-GFCCVEGCSA-N 0 1 277.372 0.976 20 30 CCEDMN CCN1CCO[C@@H](C(=O)Nc2cc(C#N)ccc2O)C1 ZINC000179735279 623346059 /nfs/dbraw/zinc/34/60/59/623346059.db2.gz ORNMIHBNWQPVTC-CYBMUJFWSA-N 0 1 275.308 0.923 20 30 CCEDMN C#CCN1CCCC[C@H]1CNC(=O)[C@H]1CCN(C(C)=O)C1 ZINC001024779096 623438981 /nfs/dbraw/zinc/43/89/81/623438981.db2.gz AGABSCYJDJQUES-GJZGRUSLSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CCC[C@H](NC(=O)[C@H]2CCCC(=O)N2)C1 ZINC001007546550 623676017 /nfs/dbraw/zinc/67/60/17/623676017.db2.gz JOJNXQCVVXIEFJ-QWHCGFSZSA-N 0 1 279.384 0.812 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]([C@@H](C)NC(=O)c2cnnn2C)C1 ZINC001025406988 623760838 /nfs/dbraw/zinc/76/08/38/623760838.db2.gz DQUMZHIXYXVNKQ-OLZOCXBDSA-N 0 1 289.383 0.669 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N[C@@H](CCC)C(=O)[O-] ZINC000328245038 623872970 /nfs/dbraw/zinc/87/29/70/623872970.db2.gz HZNBRRTXZZHYTR-NSHDSACASA-N 0 1 269.345 0.494 20 30 CCEDMN CN1CC(C(=O)N[C@@H]2CN(CC#N)CC2(C)C)=NC1=O ZINC000975014466 625715296 /nfs/dbraw/zinc/71/52/96/625715296.db2.gz WACWQPOJSKFEDG-SNVBAGLBSA-N 0 1 277.328 0.089 20 30 CCEDMN C[C@@H](NC(=O)[C@H]1CCCCN1C)C1CN(CC#N)C1 ZINC000969975049 624567565 /nfs/dbraw/zinc/56/75/65/624567565.db2.gz HROVDRYVSBEABN-DGCLKSJQSA-N 0 1 264.373 0.431 20 30 CCEDMN CC(C)(C(=O)N[C@H]1C[C@H](NCC#N)C1)c1cnc[nH]1 ZINC001020927688 624626011 /nfs/dbraw/zinc/62/60/11/624626011.db2.gz OHAABCPVYMXQHE-MGCOHNPYSA-N 0 1 261.329 0.448 20 30 CCEDMN C[C@@H](NC(=O)[C@H]1CCCc2[nH]cnc21)C1CN(CC#N)C1 ZINC000970407714 624684081 /nfs/dbraw/zinc/68/40/81/624684081.db2.gz NTXJRMCIFRIWAW-PWSUYJOCSA-N 0 1 287.367 0.790 20 30 CCEDMN N#CCN[C@H]1C[C@@H](NC(=O)c2c[nH]c3ccccc3c2=O)C1 ZINC001022355405 624935602 /nfs/dbraw/zinc/93/56/02/624935602.db2.gz LLNDIDLRPBGHNW-PHIMTYICSA-N 0 1 296.330 0.902 20 30 CCEDMN C#CC[N@H+](C)[C@@H]1CCCN(C(=O)c2cnc(C)[nH]2)C1 ZINC001027331524 625833008 /nfs/dbraw/zinc/83/30/08/625833008.db2.gz IIYHGNMXBGHRIZ-GFCCVEGCSA-N 0 1 260.341 0.888 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H]([N@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001027335755 625837120 /nfs/dbraw/zinc/83/71/20/625837120.db2.gz JQTRNDABVFFUAF-VHSXEESVSA-N 0 1 292.343 0.093 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CCC[C@@H]([N@@H+](C)Cc2n[nH]c(=O)[n-]2)C1 ZINC001027335755 625837124 /nfs/dbraw/zinc/83/71/24/625837124.db2.gz JQTRNDABVFFUAF-VHSXEESVSA-N 0 1 292.343 0.093 20 30 CCEDMN N#CCCOCCN1CC[C@H](Nc2ncccn2)C1 ZINC000903024619 634528184 /nfs/dbraw/zinc/52/81/84/634528184.db2.gz VUZYUVKHNFATFD-LBPRGKRZSA-N 0 1 261.329 0.893 20 30 CCEDMN C#CCN(C)[C@H]1CCCN(C(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001027643767 630828632 /nfs/dbraw/zinc/82/86/32/630828632.db2.gz QPRBVHYETIPZIR-KBPBESRZSA-N 0 1 291.395 0.411 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1cc(C)nn1C ZINC001027776623 630908032 /nfs/dbraw/zinc/90/80/32/630908032.db2.gz VCZZIAPBFCBQIN-ZDUSSCGKSA-N 0 1 274.368 0.946 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1cnc(C)[nH]1 ZINC001027793718 630923459 /nfs/dbraw/zinc/92/34/59/630923459.db2.gz RMEVHMKUQLFBSJ-GFCCVEGCSA-N 0 1 260.341 0.936 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)C1CN(C(C)=O)C1 ZINC001027851296 630981625 /nfs/dbraw/zinc/98/16/25/630981625.db2.gz KKTYOHIUSFBNOO-AWEZNQCLSA-N 0 1 277.368 0.069 20 30 CCEDMN N#CCN1C[C@@H]2CC[C@@H](NC(=O)c3cnn[nH]3)C[C@H]2C1 ZINC000980145109 630994546 /nfs/dbraw/zinc/99/45/46/630994546.db2.gz KGTGICAEPFOFEM-GARJFASQSA-N 0 1 274.328 0.159 20 30 CCEDMN COCCN1CC[C@H](NC(=O)c2cnn3ccncc23)C1 ZINC000329533268 631686015 /nfs/dbraw/zinc/68/60/15/631686015.db2.gz KHLHQCFJZBLPPJ-NSHDSACASA-N 0 1 289.339 0.755 20 30 CCEDMN C[C@@]1(CO)C[C@H](O)CN1Cc1ccc(C#N)s1 ZINC000878984299 631696819 /nfs/dbraw/zinc/69/68/19/631696819.db2.gz RZBMAIOPIYCEKD-CABZTGNLSA-N 0 1 252.339 0.937 20 30 CCEDMN O=C1[C@H](NCc2ccc(-n3cncn3)cc2)CCCN1O ZINC000895164630 632000515 /nfs/dbraw/zinc/00/05/15/632000515.db2.gz FVDLYMIPYPBISO-CYBMUJFWSA-N 0 1 287.323 0.737 20 30 CCEDMN C[C@H](Nc1cc(C#N)ncn1)[C@@H]1CN(C)CCN1C ZINC000895245679 632031611 /nfs/dbraw/zinc/03/16/11/632031611.db2.gz HPXNJUODXUMIGY-JQWIXIFHSA-N 0 1 260.345 0.394 20 30 CCEDMN Cc1cc(N[C@@H](C)[C@H]2C[N@@H+](C)CCN2C)c(C#N)cn1 ZINC000895249838 632034691 /nfs/dbraw/zinc/03/46/91/632034691.db2.gz WFGNFMMRKCOEPI-SWLSCSKDSA-N 0 1 273.384 0.730 20 30 CCEDMN C[C@@H](Nc1cccc(C#N)n1)[C@@H]1CN(C)CCN1C ZINC000895246708 632038737 /nfs/dbraw/zinc/03/87/37/632038737.db2.gz PSZRJBOAVINXSA-YPMHNXCESA-N 0 1 259.357 0.999 20 30 CCEDMN Cc1nc(CN2CCN(Cc3cccc(C#N)n3)CC2)n[nH]1 ZINC000895260103 632040931 /nfs/dbraw/zinc/04/09/31/632040931.db2.gz AZTQMYCVTCEKJB-UHFFFAOYSA-N 0 1 297.366 0.698 20 30 CCEDMN C[C@H](NC(=O)c1cc(C#N)ccn1)[C@H]1CN(C)CCN1C ZINC000896540639 632273454 /nfs/dbraw/zinc/27/34/54/632273454.db2.gz GKZHCPIRCSSLCW-SMDDNHRTSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@@H](NC(=O)c1ccnc(C#N)c1)[C@H]1CN(C)CCN1C ZINC000896546892 632277405 /nfs/dbraw/zinc/27/74/05/632277405.db2.gz ZUMOVIPLFUWKHI-BXUZGUMPSA-N 0 1 287.367 0.317 20 30 CCEDMN C[C@@H](NC(=O)c1ccc(C#N)s1)[C@@H]1CN(C)CCN1C ZINC000896555986 632285366 /nfs/dbraw/zinc/28/53/66/632285366.db2.gz MMAIJJBBISEOEX-PWSUYJOCSA-N 0 1 292.408 0.984 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(N(C)Cc2cnnn2C)CC1 ZINC000985337958 632441122 /nfs/dbraw/zinc/44/11/22/632441122.db2.gz FECWRARTCPLVPD-LLVKDONJSA-N 0 1 290.371 0.398 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C2=CCOCC2)C1 ZINC001007181685 650070031 /nfs/dbraw/zinc/07/00/31/650070031.db2.gz GNARWZQZXMKXEM-AWEZNQCLSA-N 0 1 262.353 0.937 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)Cc2nnc(C)[nH]2)C1 ZINC001007308797 650073773 /nfs/dbraw/zinc/07/37/73/650073773.db2.gz QGQJCAPUKBMZJI-LLVKDONJSA-N 0 1 263.345 0.422 20 30 CCEDMN C=CCN(C)C1CCN(C(=O)[C@@H](C)S(C)(=O)=O)CC1 ZINC000985500007 632521893 /nfs/dbraw/zinc/52/18/93/632521893.db2.gz YONDVSOANYKOJM-LLVKDONJSA-N 0 1 288.413 0.528 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@]23C[C@H]2COC3)C1 ZINC001007375898 650075862 /nfs/dbraw/zinc/07/58/62/650075862.db2.gz VNEMLHBLTOAVDQ-SCRDCRAPSA-N 0 1 250.342 0.790 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001007490511 650080357 /nfs/dbraw/zinc/08/03/57/650080357.db2.gz CZVHNXZPUQXMQN-CHWSQXEVSA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2[nH]c(=O)[nH]c2C)C1 ZINC001007552336 650083619 /nfs/dbraw/zinc/08/36/19/650083619.db2.gz JHWUBUHAMZWMGW-SNVBAGLBSA-N 0 1 264.329 0.804 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)CC(N)=O)[C@@H]1C ZINC000986114923 632716716 /nfs/dbraw/zinc/71/67/16/632716716.db2.gz RTZMYFNALHHLJL-BDAKNGLRSA-N 0 1 259.737 0.193 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001007757229 650091926 /nfs/dbraw/zinc/09/19/26/650091926.db2.gz YZFHOUXERHDEFS-CYBMUJFWSA-N 0 1 275.352 0.766 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)C[C@H]2CCCC(=O)N2)C1 ZINC001007879222 650095894 /nfs/dbraw/zinc/09/58/94/650095894.db2.gz YKSGMOJKGHYDSZ-KGLIPLIRSA-N 0 1 291.395 0.649 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccn(CCOC)n2)C1 ZINC001007890225 650096243 /nfs/dbraw/zinc/09/62/43/650096243.db2.gz KJFOGTRIWKPDPI-CYBMUJFWSA-N 0 1 292.383 0.910 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@H]2CCCN(C(N)=O)C2)C1 ZINC001007866077 650095547 /nfs/dbraw/zinc/09/55/47/650095547.db2.gz PJVLEDBXBROCRU-QWHCGFSZSA-N 0 1 294.399 0.544 20 30 CCEDMN C[C@H]1[C@@H](NCC#N)CCN1C(=O)c1ccc2[nH]nnc2c1 ZINC000987505903 632874636 /nfs/dbraw/zinc/87/46/36/632874636.db2.gz VFCUXAGSUSIGQQ-ONGXEEELSA-N 0 1 284.323 0.674 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001008054946 650100760 /nfs/dbraw/zinc/10/07/60/650100760.db2.gz BUUCWGXBXXNBMI-STQMWFEESA-N 0 1 279.384 0.669 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001008054939 650100913 /nfs/dbraw/zinc/10/09/13/650100913.db2.gz BUUCWGXBXXNBMI-QWHCGFSZSA-N 0 1 279.384 0.669 20 30 CCEDMN C#CC[NH2+][C@@H]1C[C@H](C)N(C(=O)c2ncccc2[O-])C1 ZINC000988685157 633083067 /nfs/dbraw/zinc/08/30/67/633083067.db2.gz OUUHLWUDODDMHE-WDEREUQCSA-N 0 1 259.309 0.613 20 30 CCEDMN C=C(Cl)CN[C@@H]1C[C@@H](C)N(C(=O)c2c[nH]c(=O)cn2)C1 ZINC000989364896 633200900 /nfs/dbraw/zinc/20/09/00/633200900.db2.gz IKVCQPFETDSPCK-NXEZZACHSA-N 0 1 296.758 0.715 20 30 CCEDMN C#CCN1CC[C@@]2(NC(=O)c3cc[n+]([O-])cc3)CCC[C@@H]12 ZINC000989927709 633385946 /nfs/dbraw/zinc/38/59/46/633385946.db2.gz CAKGTEXKHBBTLM-ZBFHGGJFSA-N 0 1 285.347 0.680 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)Cc3nnc(C)o3)CCC[C@@H]12 ZINC000990540101 633552428 /nfs/dbraw/zinc/55/24/28/633552428.db2.gz CVWPXALCBMGLMK-IUODEOHRSA-N 0 1 288.351 0.667 20 30 CCEDMN CC1CCN(CC(=O)N[C@@H]2CCCN(CC#N)C2)CC1 ZINC001008942914 650133714 /nfs/dbraw/zinc/13/37/14/650133714.db2.gz GOGWXTXXTQJREB-CQSZACIVSA-N 0 1 278.400 0.822 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)N[C@@H]1CCCN(CCO)C1 ZINC001008843756 650129226 /nfs/dbraw/zinc/12/92/26/650129226.db2.gz DSTFCRBCXABJMN-RDBSUJKOSA-N 0 1 282.384 0.541 20 30 CCEDMN CN(Cc1cccc(C#N)c1)C(=O)[C@]1(C)C[C@@H](O)CN1C ZINC000900128372 633958998 /nfs/dbraw/zinc/95/89/98/633958998.db2.gz SEDYHRWIINLISD-ZBFHGGJFSA-N 0 1 287.363 0.972 20 30 CCEDMN C[C@H]1CN=C(NN=Cc2cnn(CC3CCC3)c2)N1 ZINC000901216903 634210558 /nfs/dbraw/zinc/21/05/58/634210558.db2.gz SQVJAXAIGPXDPP-JTQLQIEISA-N 0 1 260.345 0.954 20 30 CCEDMN C[C@@H]1C[NH+]=C(NN=Cc2ncc(Br)cc2[O-])N1 ZINC000901216940 634210929 /nfs/dbraw/zinc/21/09/29/634210929.db2.gz UDPDSOINDVYCBZ-ZCFIWIBFSA-N 0 1 298.144 0.821 20 30 CCEDMN Cc1nc(C=NNC2=NCCN2)c(Br)[nH]1 ZINC000901252571 634215271 /nfs/dbraw/zinc/21/52/71/634215271.db2.gz JCSXICVIXSLTSV-UHFFFAOYSA-N 0 1 271.122 0.363 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)Nc1nc(C(=O)OCC)c[n-]1 ZINC000909989475 635659960 /nfs/dbraw/zinc/65/99/60/635659960.db2.gz UIXXREHQCUYUTO-UHFFFAOYSA-N 0 1 266.301 0.643 20 30 CCEDMN N#Cc1c[nH]c(C(=O)N[C@H]2CCN(CCn3cccn3)C2)c1 ZINC001010473120 650232484 /nfs/dbraw/zinc/23/24/84/650232484.db2.gz SSBGNSVRTNIRLJ-ZDUSSCGKSA-N 0 1 298.350 0.587 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C[C@H]2COC(=O)C2)C1 ZINC001010568020 650242398 /nfs/dbraw/zinc/24/23/98/650242398.db2.gz RRWVFIHVKVLXGL-NEPJUHHUSA-N 0 1 264.325 0.153 20 30 CCEDMN C[C@H](NC(=O)c1ccccc1)C(=O)NCC#CCN(C)C ZINC000913515364 636833530 /nfs/dbraw/zinc/83/35/30/636833530.db2.gz UNIHKCSRRUVPKV-ZDUSSCGKSA-N 0 1 287.363 0.486 20 30 CCEDMN Cc1nc2cc(C(=O)NCC#CCN(C)C)ccc2c(=O)[nH]1 ZINC000913525126 636833927 /nfs/dbraw/zinc/83/39/27/636833927.db2.gz KVTOZTRMELDGSO-UHFFFAOYSA-N 0 1 298.346 0.939 20 30 CCEDMN Cc1noc(CCCC(=O)NCC#CCN(C)C)n1 ZINC000913516912 636834371 /nfs/dbraw/zinc/83/43/71/636834371.db2.gz LMQWRXUDKCYCMB-UHFFFAOYSA-N 0 1 264.329 0.382 20 30 CCEDMN C[C@@H](OC[C@@H]1CCCO1)C(=O)NCC#CCN(C)C ZINC000913517621 636834759 /nfs/dbraw/zinc/83/47/59/636834759.db2.gz JDOINEAJTQKMOU-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN C[C@@H](Oc1ccc(C=O)cc1)C(=O)NCC#CCN(C)C ZINC000913522438 636835844 /nfs/dbraw/zinc/83/58/44/636835844.db2.gz JHFANRDATQZIII-CYBMUJFWSA-N 0 1 288.347 0.948 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)c3cn(C)ccc3=O)CCC[C@H]12 ZINC000991775420 637051501 /nfs/dbraw/zinc/05/15/01/637051501.db2.gz AAXOKTXZLMXOCL-DOTOQJQBSA-N 0 1 299.374 0.745 20 30 CCEDMN C#CCCCNC(=O)NCCCCN1CCOCC1 ZINC000174108436 637246099 /nfs/dbraw/zinc/24/60/99/637246099.db2.gz KSELOMLLUBAGDT-UHFFFAOYSA-N 0 1 267.373 0.811 20 30 CCEDMN N#CCN[C@@H]1CCC[C@H]2CN(C(=O)c3ccn[nH]3)C[C@@H]21 ZINC000992658418 637534587 /nfs/dbraw/zinc/53/45/87/637534587.db2.gz PMYUWFNJUOELEF-SDDRHHMPSA-N 0 1 273.340 0.764 20 30 CCEDMN Cc1[nH]ncc1CNC(=O)N1C[C@H](C)N(CC#N)[C@@H](C)C1 ZINC000914172110 637544832 /nfs/dbraw/zinc/54/48/32/637544832.db2.gz YBVREWSJSOVZCH-QWRGUYRKSA-N 0 1 290.371 0.846 20 30 CCEDMN C[C@@H]1C[C@@H](NS(=O)(=O)CC(C)(C)C#N)CCN1C ZINC000914184538 637549189 /nfs/dbraw/zinc/54/91/89/637549189.db2.gz DOEKIRNMPKIHDS-MNOVXSKESA-N 0 1 273.402 0.938 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)c2ccc(=O)[nH]n2)[C@@H]1C ZINC000993006339 637662072 /nfs/dbraw/zinc/66/20/72/637662072.db2.gz UCEBUKRFIVFQJW-WDEREUQCSA-N 0 1 276.340 0.951 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2ccon2)[C@H]1C ZINC000993154829 637718266 /nfs/dbraw/zinc/71/82/66/637718266.db2.gz JPXAHDJQUNQOSS-YPMHNXCESA-N 0 1 261.325 0.819 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cc(C)[nH]n2)[C@@H]1C ZINC000993194251 637737305 /nfs/dbraw/zinc/73/73/05/637737305.db2.gz GFBCRWRHEHYPOX-JSGCOSHPSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2cc(C)n[nH]2)[C@@H]1C ZINC000993194251 637737308 /nfs/dbraw/zinc/73/73/08/637737308.db2.gz GFBCRWRHEHYPOX-JSGCOSHPSA-N 0 1 274.368 0.863 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)[C@]2(F)CCOC2)C1 ZINC001015612231 637746291 /nfs/dbraw/zinc/74/62/91/637746291.db2.gz NWZWLTSKVNVUCA-YPMHNXCESA-N 0 1 254.305 0.329 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)Cc2ncccc2OC)C1 ZINC001015614947 637750035 /nfs/dbraw/zinc/75/00/35/637750035.db2.gz USKFUYHKZOJQIH-CYBMUJFWSA-N 0 1 287.363 0.847 20 30 CCEDMN C#CCN1CCC[C@@H](NC(=O)c2cc(=O)n(C)o2)[C@@H]1C ZINC000993279611 637764315 /nfs/dbraw/zinc/76/43/15/637764315.db2.gz LGAIZAUECPGWGC-WDEREUQCSA-N 0 1 277.324 0.194 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2ccn(C)n2)[C@H]1C ZINC000993318837 637780451 /nfs/dbraw/zinc/78/04/51/637780451.db2.gz VIVMZEAZSJGORQ-UKRRQHHQSA-N 0 1 288.395 0.955 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2ccn(C)n2)[C@@H]1C ZINC000993319828 637782399 /nfs/dbraw/zinc/78/23/99/637782399.db2.gz XEGXAEHSWXQEQM-JSGCOSHPSA-N 0 1 274.368 0.565 20 30 CCEDMN C#CCN1CCC[C@H](NC(=O)Cc2nnc(C)[nH]2)[C@H]1C ZINC000993336856 637791514 /nfs/dbraw/zinc/79/15/14/637791514.db2.gz VMWJQAYZNLROTD-PWSUYJOCSA-N 0 1 275.356 0.258 20 30 CCEDMN C=CC[N@@H+]1CCC[C@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)[C@@H]1C ZINC000993551272 637817937 /nfs/dbraw/zinc/81/79/37/637817937.db2.gz QVYDUGSXLZQTNF-IUCAKERBSA-N 0 1 293.327 0.052 20 30 CCEDMN CC#CCN1CCC[C@H](NC(=O)c2c[nH]c(=O)cn2)[C@H]1C ZINC000993728867 637843901 /nfs/dbraw/zinc/84/39/01/637843901.db2.gz OLJFPSQKPIFZNS-NEPJUHHUSA-N 0 1 288.351 0.376 20 30 CCEDMN CC#CCN1CCC[C@@H](NC(=O)Cc2c[nH]cn2)[C@H]1C ZINC000993883432 637851707 /nfs/dbraw/zinc/85/17/07/637851707.db2.gz CTGCCOAQCKWASI-TZMCWYRMSA-N 0 1 274.368 0.945 20 30 CCEDMN C#Cc1ccc(C(=O)N[C@H]2CCCN(CCO)[C@H]2C)cn1 ZINC000994250796 637894658 /nfs/dbraw/zinc/89/46/58/637894658.db2.gz LNFIKPMVYLGPBE-WFASDCNBSA-N 0 1 287.363 0.638 20 30 CCEDMN N#CC(C(=O)COC1CCCC1)C(=O)NC1CC1 ZINC000916930967 637932483 /nfs/dbraw/zinc/93/24/83/637932483.db2.gz LTHJCVYCDJUIEY-NSHDSACASA-N 0 1 250.298 0.933 20 30 CCEDMN C=CCN1CCC[C@@H](NC(=O)[C@@H]2COCCN2C)C1 ZINC001007162103 637952467 /nfs/dbraw/zinc/95/24/67/637952467.db2.gz IFYLKJVKCOJQFQ-OLZOCXBDSA-N 0 1 267.373 0.084 20 30 CCEDMN C[C@H](CN1CCN(C)CC1)NC(=O)N1CCC[C@@H](C#N)C1 ZINC000917223166 637960373 /nfs/dbraw/zinc/96/03/73/637960373.db2.gz BKEKATUQKFAIHH-KGLIPLIRSA-N 0 1 293.415 0.567 20 30 CCEDMN CCN(CCC#N)S(=O)(=O)N(C)Cc1cn[nH]c1C ZINC000918687748 638032677 /nfs/dbraw/zinc/03/26/77/638032677.db2.gz BCJJTZYTDMOHPY-UHFFFAOYSA-N 0 1 285.373 0.630 20 30 CCEDMN Cc1cc(C(=O)N2CCCN(C(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC000940395398 638460973 /nfs/dbraw/zinc/46/09/73/638460973.db2.gz UKQVDQNKWPZWCF-SNVBAGLBSA-N 0 1 289.339 0.552 20 30 CCEDMN Cc1nc(CC(=O)N[C@H]2CCN(CC#N)C[C@@H]2C)n[nH]1 ZINC000942031413 638605470 /nfs/dbraw/zinc/60/54/70/638605470.db2.gz FYQJKXHFRNUMAL-ONGXEEELSA-N 0 1 276.344 0.006 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H]2NC(=O)c3ccccc32)C1 ZINC001015882978 638731388 /nfs/dbraw/zinc/73/13/88/638731388.db2.gz AQFKBRXOZQDBCO-SWLSCSKDSA-N 0 1 297.358 0.685 20 30 CCEDMN CC1(C)CN(C(=O)Cc2ccn[nH]2)C[C@H]1NCC#N ZINC000995579815 638779270 /nfs/dbraw/zinc/77/92/70/638779270.db2.gz LLFFYUXJTMVMHJ-LLVKDONJSA-N 0 1 261.329 0.302 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C(N)=O)c[nH]2)C1 ZINC001015909361 638799662 /nfs/dbraw/zinc/79/96/62/638799662.db2.gz YJKMMVYFZLAPGS-NSHDSACASA-N 0 1 276.340 0.494 20 30 CCEDMN CC1(C)CN(C(=O)c2c[nH]cc3ncnc2-3)C[C@H]1NCC#N ZINC000995836461 638802741 /nfs/dbraw/zinc/80/27/41/638802741.db2.gz FJDTZLTYRIJYBU-GFCCVEGCSA-N 0 1 298.350 0.922 20 30 CCEDMN C[C@@H](NC(=O)c1[nH]ncc1F)C1CCN(CC#N)CC1 ZINC000997292645 638862111 /nfs/dbraw/zinc/86/21/11/638862111.db2.gz VNIFVRCCKQYEGZ-SECBINFHSA-N 0 1 279.319 0.903 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)COC)CC[C@H]1C(F)(F)F ZINC000998212301 638898893 /nfs/dbraw/zinc/89/88/93/638898893.db2.gz TVZHUNCTTNBUQN-NXEZZACHSA-N 0 1 278.274 0.635 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCCN(C(=O)CC(N)=O)C1 ZINC000998777477 638940696 /nfs/dbraw/zinc/94/06/96/638940696.db2.gz UNRUBKNCQQCFIM-SECBINFHSA-N 0 1 259.737 0.195 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)[C@H]2CCCC(=O)N2)C1 ZINC000999451026 638981948 /nfs/dbraw/zinc/98/19/48/638981948.db2.gz TXZWAFNYDQKXFQ-NWDGAFQWSA-N 0 1 299.802 0.988 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC(NC(=O)c2n[nH]c3ccccc32)C1 ZINC000999525447 638986034 /nfs/dbraw/zinc/98/60/34/638986034.db2.gz ADCSPNAZFUWLAX-VIFPVBQESA-N 0 1 297.318 0.663 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(CC(C)C)C2)C1 ZINC001014615907 650468353 /nfs/dbraw/zinc/46/83/53/650468353.db2.gz JTDPQZVTMQRBSS-KBPBESRZSA-N 0 1 293.411 0.867 20 30 CCEDMN C=C(Cl)CN[C@H]1CCCN(C(=O)c2cnn(C)n2)C1 ZINC001000465209 639092690 /nfs/dbraw/zinc/09/26/90/639092690.db2.gz OYYJIBUECILROQ-JTQLQIEISA-N 0 1 283.763 0.762 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CN2CCCCCC2=O)C1 ZINC001016209277 639097661 /nfs/dbraw/zinc/09/76/61/639097661.db2.gz XMWZBFYSLAOSRI-CQSZACIVSA-N 0 1 291.395 0.603 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2C[C@H]2C(=O)OC)CC1 ZINC001000498502 639104585 /nfs/dbraw/zinc/10/45/85/639104585.db2.gz ZMLFAPQWXQRVQU-UONOGXRCSA-N 0 1 290.363 0.567 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2nccnc2N)CC1 ZINC001000536018 639115381 /nfs/dbraw/zinc/11/53/81/639115381.db2.gz LMCSTHSIBKJRFD-UHFFFAOYSA-N 0 1 271.324 0.054 20 30 CCEDMN C=C[C@@](C)(CC)C(=O)N1CC(NC(=O)Cc2cnc[nH]2)C1 ZINC001000569141 639122703 /nfs/dbraw/zinc/12/27/03/639122703.db2.gz MBPBNEMIZYLIBL-HNNXBMFYSA-N 0 1 290.367 0.882 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2cnc3n[nH]cc3c2)CC1 ZINC001000837210 639177632 /nfs/dbraw/zinc/17/76/32/639177632.db2.gz ZOKJALZEKUJILB-UHFFFAOYSA-N 0 1 295.346 0.953 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2CO[C@@H](C)C2)CC1 ZINC001000864152 639180036 /nfs/dbraw/zinc/18/00/36/639180036.db2.gz XCFNQXRYRWFXRC-GXTWGEPZSA-N 0 1 262.353 0.793 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@H]2COCCN2CC)CC1 ZINC001000871197 639180208 /nfs/dbraw/zinc/18/02/08/639180208.db2.gz SWIKOCVRTIQBRM-OAHLLOKOSA-N 0 1 291.395 0.089 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@H]2[C@@H]3COC[C@@H]32)CC1 ZINC001001065636 639223928 /nfs/dbraw/zinc/22/39/28/639223928.db2.gz NMMMYFJKKPFCCF-FICVDOATSA-N 0 1 274.364 0.650 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2c(C)nnn2CC)C1 ZINC001014695602 650478939 /nfs/dbraw/zinc/47/89/39/650478939.db2.gz SLSJNXLGRMCHET-LBPRGKRZSA-N 0 1 277.372 0.987 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@H]2CNC(=O)c3ccccc32)C1 ZINC001014747662 650484492 /nfs/dbraw/zinc/48/44/92/650484492.db2.gz ULTJICDSCABOFC-DOMZBBRYSA-N 0 1 299.374 0.890 20 30 CCEDMN C#CCN1CC=C(CNC(=O)[C@@H]2CCCN2C(C)=O)CC1 ZINC001001385844 639294292 /nfs/dbraw/zinc/29/42/92/639294292.db2.gz KFCQUIZBTKJHFM-HNNXBMFYSA-N 0 1 289.379 0.379 20 30 CCEDMN C#CCN1CC=C(CNC(=O)c2ccnc(C)n2)CC1 ZINC001001456027 639300843 /nfs/dbraw/zinc/30/08/43/639300843.db2.gz INVLTWIMEPPOKG-UHFFFAOYSA-N 0 1 270.336 0.780 20 30 CCEDMN C#CC[NH+]1CCC(CNC(=O)c2[n-]nnc2C)CC1 ZINC001001706004 639328641 /nfs/dbraw/zinc/32/86/41/639328641.db2.gz RKRVQDUYMGICLX-UHFFFAOYSA-N 0 1 261.329 0.188 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccnn2C)CC1 ZINC001002441028 639414214 /nfs/dbraw/zinc/41/42/14/639414214.db2.gz SHDOOTKFJMZZQV-UHFFFAOYSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2cn(C)cn2)CC1 ZINC001002610273 639432946 /nfs/dbraw/zinc/43/29/46/639432946.db2.gz PQWDGKVGANYTOL-UHFFFAOYSA-N 0 1 260.341 0.638 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@@H]2CC(=O)N(CC)C2)CC1 ZINC001002956958 639483123 /nfs/dbraw/zinc/48/31/23/639483123.db2.gz DDOYRTJQCCRAQD-CYBMUJFWSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCCN1CCC(NC(=O)c2cn(C)ccc2=O)CC1 ZINC001003284104 639525771 /nfs/dbraw/zinc/52/57/71/639525771.db2.gz MRYSTOZQVGVZBE-UHFFFAOYSA-N 0 1 287.363 0.603 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)c2ccc(C(N)=O)cn2)C1 ZINC001016386178 639527833 /nfs/dbraw/zinc/52/78/33/639527833.db2.gz ARKLJVCTFZFDBS-LBPRGKRZSA-N 0 1 286.335 0.008 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2ccc(F)cn2)C1 ZINC001014976369 650509929 /nfs/dbraw/zinc/50/99/29/650509929.db2.gz IREPUHJYDFZFJE-AWEZNQCLSA-N 0 1 275.327 0.977 20 30 CCEDMN CC#CCN1CCC(NC(=O)c2ccncn2)CC1 ZINC001003818869 639627434 /nfs/dbraw/zinc/62/74/34/639627434.db2.gz IEKJAPFLKOIILU-UHFFFAOYSA-N 0 1 258.325 0.694 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)[C@@H]2CCc3cncn3C2)C1 ZINC001015001326 650513529 /nfs/dbraw/zinc/51/35/29/650513529.db2.gz VKVNWDWJEUGPQN-CHWSQXEVSA-N 0 1 274.368 0.822 20 30 CCEDMN O=C(N[C@@H]1CC[N@@H+](CC#Cc2ccccc2)C1)c1cnn[n-]1 ZINC001015057110 650520158 /nfs/dbraw/zinc/52/01/58/650520158.db2.gz HKNHVBKDWFQWDS-CQSZACIVSA-N 0 1 295.346 0.661 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccc3ncnn32)C1 ZINC001015134609 650531563 /nfs/dbraw/zinc/53/15/63/650531563.db2.gz ZOJLMSJSGYDLIF-NSHDSACASA-N 0 1 271.324 0.719 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cc(C(N)=O)cn2C)C1 ZINC001015129720 650530688 /nfs/dbraw/zinc/53/06/88/650530688.db2.gz XZHBVYGXVFEPCD-LBPRGKRZSA-N 0 1 290.367 0.504 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2C[C@H]2OCC)CC1 ZINC001005144911 639881083 /nfs/dbraw/zinc/88/10/83/639881083.db2.gz FRXFIUVNQXVSQY-UONOGXRCSA-N 0 1 264.369 0.967 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cn(C)nc2C)C1 ZINC001014748530 639899882 /nfs/dbraw/zinc/89/98/82/639899882.db2.gz AEVNMRGUXSREOS-ZDUSSCGKSA-N 0 1 262.357 0.648 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)CC1 ZINC001005409341 639908637 /nfs/dbraw/zinc/90/86/37/639908637.db2.gz IRNGCGZEFVUEDH-TZMCWYRMSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@@H]2CCN(C)C(=O)C2)CC1 ZINC001005595202 639943173 /nfs/dbraw/zinc/94/31/73/639943173.db2.gz RISUBPXAUDVOTB-CYBMUJFWSA-N 0 1 291.395 0.411 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccc(C(N)=O)o2)C1 ZINC001015202728 650537935 /nfs/dbraw/zinc/53/79/35/650537935.db2.gz VHMKUCDRGWFZCC-JTQLQIEISA-N 0 1 277.324 0.759 20 30 CCEDMN CC#CCN1CCC(N(C)C(=O)[C@]2(C)CNC(=O)C2)CC1 ZINC001005761554 639961618 /nfs/dbraw/zinc/96/16/18/639961618.db2.gz BLNVZJOHUQKSTO-INIZCTEOSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)C[C@@H]2CCC(=O)N2C)CC1 ZINC001005817526 639973935 /nfs/dbraw/zinc/97/39/35/639973935.db2.gz KWMNLXYSIKMWAE-AWEZNQCLSA-N 0 1 291.395 0.553 20 30 CCEDMN N#Cc1ccccc1OC[C@@H](O)C[N@H+]1CCC[C@@H]1C(=O)[O-] ZINC000923223193 640056284 /nfs/dbraw/zinc/05/62/84/640056284.db2.gz VOXSHYQSGAAKHT-QWHCGFSZSA-N 0 1 290.319 0.847 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)c2ccc(OC)nn2)CC1 ZINC001006252505 640212375 /nfs/dbraw/zinc/21/23/75/640212375.db2.gz SBLMNKJCLBCDLQ-UHFFFAOYSA-N 0 1 288.351 0.655 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCNC(=O)CC2)C1 ZINC001015405282 650563633 /nfs/dbraw/zinc/56/36/33/650563633.db2.gz VFUUDSNSQPAGCO-RYUDHWBXSA-N 0 1 265.357 0.279 20 30 CCEDMN C[C@@H](C(=O)Nc1cc(C#N)ccc1O)n1cnc(C#N)n1 ZINC000798985629 640536218 /nfs/dbraw/zinc/53/62/18/640536218.db2.gz QMJHYHUMTJISBK-QMMMGPOBSA-N 0 1 282.263 0.927 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)[C@H]2CC[C@@H](C(N)=O)C2)C1 ZINC001015717087 650616091 /nfs/dbraw/zinc/61/60/91/650616091.db2.gz LNDHMRLZXCQORC-FRRDWIJNSA-N 0 1 277.368 0.102 20 30 CCEDMN Cn1cc(C=[NH+][N-]c2ncnc3[nH]cnc32)c(=O)[nH]1 ZINC000814220261 641060349 /nfs/dbraw/zinc/06/03/49/641060349.db2.gz VJRJPKZTLSONKO-UHFFFAOYSA-N 0 1 258.245 0.238 20 30 CCEDMN Cn1ncc2c1ncnc2N=NCc1cncnc1 ZINC000814803518 641075292 /nfs/dbraw/zinc/07/52/92/641075292.db2.gz HBTVPDCVQPQPNI-UHFFFAOYSA-N 0 1 254.257 0.599 20 30 CCEDMN C#CCCN1CC[C@@H](NC(=O)c2cc(C)nc(C)n2)C1 ZINC001015838262 650637974 /nfs/dbraw/zinc/63/79/74/650637974.db2.gz KDWITUCUCYMBHL-CYBMUJFWSA-N 0 1 272.352 0.921 20 30 CCEDMN Cc1nn[nH]c1C(=O)N1CCN(c2cnccc2C#N)CC1 ZINC000816994445 641239737 /nfs/dbraw/zinc/23/97/37/641239737.db2.gz UIEBACBTUGDNTD-UHFFFAOYSA-N 0 1 297.322 0.342 20 30 CCEDMN C[C@H]1CN=C(NN=C2CNC(=O)c3ccccc32)N1 ZINC000817325532 641254157 /nfs/dbraw/zinc/25/41/57/641254157.db2.gz DEPXXCMEEHBNIW-QMMMGPOBSA-N 0 1 257.297 0.071 20 30 CCEDMN N#CCNC(=O)CNC[C@@H](O)c1cccc(Cl)c1 ZINC000819310152 641399580 /nfs/dbraw/zinc/39/95/80/641399580.db2.gz FVCWIIRJVAOZBE-LLVKDONJSA-N 0 1 267.716 0.603 20 30 CCEDMN N#CCNC(=O)CNC[C@H](O)c1ccccc1Cl ZINC000819308887 641400164 /nfs/dbraw/zinc/40/01/64/641400164.db2.gz HWHFSBOAMOYWGW-NSHDSACASA-N 0 1 267.716 0.603 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(-n3cncn3)n2)C1 ZINC001015920996 650657396 /nfs/dbraw/zinc/65/73/96/650657396.db2.gz WXBOLSLXJOQGML-GFCCVEGCSA-N 0 1 298.350 0.652 20 30 CCEDMN C#CCCN1CC[C@H](NC(=O)C(=O)N2CC[C@H](C)C2)C1 ZINC001015962262 650664055 /nfs/dbraw/zinc/66/40/55/650664055.db2.gz FZWQFAWNCGAZEW-STQMWFEESA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H]2CCc3n[nH]cc3C2)C1 ZINC001015994162 650670917 /nfs/dbraw/zinc/67/09/17/650670917.db2.gz SYVNJIXPQXMLMJ-JSGCOSHPSA-N 0 1 286.379 0.728 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(OC)cc[nH]c2=O)C1 ZINC001016003261 650673496 /nfs/dbraw/zinc/67/34/96/650673496.db2.gz FEMMHDDMWIPUDP-JTQLQIEISA-N 0 1 277.324 0.786 20 30 CCEDMN N#C[C@H](CO)NC(=O)c1ccc(CN2CCCCC2)o1 ZINC000821922041 641637586 /nfs/dbraw/zinc/63/75/86/641637586.db2.gz NNOFPWJNBUKIJC-LLVKDONJSA-N 0 1 277.324 0.880 20 30 CCEDMN C#CCN1CC[C@@H](NC(=O)C[C@H]2CCCCC(=O)N2)C1 ZINC001016099636 650680873 /nfs/dbraw/zinc/68/08/73/650680873.db2.gz CCMOVCIEFDIWJN-CHWSQXEVSA-N 0 1 277.368 0.259 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cccc(C(=O)NC)n2)C1 ZINC001016139218 650689855 /nfs/dbraw/zinc/68/98/55/650689855.db2.gz YNDXIDZCQNCMCP-LLVKDONJSA-N 0 1 288.351 0.431 20 30 CCEDMN N#Cc1c(-c2nn[nH]n2)nc(N)n1Cc1cnoc1C1CC1 ZINC000826383228 641931395 /nfs/dbraw/zinc/93/13/95/641931395.db2.gz QGSITLBUCDZDNN-UHFFFAOYSA-N 0 1 297.282 0.431 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001016344848 650719779 /nfs/dbraw/zinc/71/97/79/650719779.db2.gz VDLMKVBPQANXAW-OLZOCXBDSA-N 0 1 277.368 0.069 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)[C@@H]2CCC(=O)N(C)C2)C1 ZINC001016350730 650720619 /nfs/dbraw/zinc/72/06/19/650720619.db2.gz YMCYLDJBLSARRV-NEPJUHHUSA-N 0 1 265.357 0.231 20 30 CCEDMN N#CC[C@@]1(O)CCN(CCCN2CCOC2=O)C1 ZINC000829975616 642214297 /nfs/dbraw/zinc/21/42/97/642214297.db2.gz SHXWSGXOZABCMJ-GFCCVEGCSA-N 0 1 253.302 0.179 20 30 CCEDMN N#Cc1ccc(O)c(NC(=O)[C@H]2CCCN2C=O)c1 ZINC000831271566 642340866 /nfs/dbraw/zinc/34/08/66/642340866.db2.gz JJLLZZCFUFHXTD-LLVKDONJSA-N 0 1 259.265 0.823 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)CCCOc1cccnc1 ZINC000834479214 642571883 /nfs/dbraw/zinc/57/18/83/642571883.db2.gz BDYYNHJISGCOLL-DGCLKSJQSA-N 0 1 274.324 0.468 20 30 CCEDMN CC(C)Cc1cc(C(=O)N[C@H]2CNC[C@H]2C#N)cc(=O)[nH]1 ZINC000834485075 642576857 /nfs/dbraw/zinc/57/68/57/642576857.db2.gz RLWWXHVEPXDMAE-YPMHNXCESA-N 0 1 288.351 0.827 20 30 CCEDMN Cc1c(C(=O)N[C@@H]2CNC[C@@H]2C#N)cnn1CC(C)C ZINC000834484895 642576909 /nfs/dbraw/zinc/57/69/09/642576909.db2.gz OWZYREVRDZRCNM-WCQYABFASA-N 0 1 275.356 0.689 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cc(F)cc2nccnc21 ZINC000834488232 642578574 /nfs/dbraw/zinc/57/85/74/642578574.db2.gz ASJYMNSMEPCLSB-UFBFGSQYSA-N 0 1 285.282 0.610 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)COC1CCCCC1 ZINC000834489304 642579605 /nfs/dbraw/zinc/57/96/05/642579605.db2.gz LPFZIHXDLMAPAG-PWSUYJOCSA-N 0 1 251.330 0.563 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CN1CCCCCCC1=O ZINC000834490133 642579668 /nfs/dbraw/zinc/57/96/68/642579668.db2.gz SBJTZHGQLJPQEP-NEPJUHHUSA-N 0 1 278.356 0.007 20 30 CCEDMN Cc1ccc([N+](=O)[O-])c(C(=O)N[C@H]2CNC[C@H]2C#N)c1 ZINC000834490110 642579889 /nfs/dbraw/zinc/57/98/89/642579889.db2.gz RYHXNJVONPUUSK-KOLCDFICSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)CCNC(=O)C1CCCCC1 ZINC000834493538 642582745 /nfs/dbraw/zinc/58/27/45/642582745.db2.gz FGHTZJWGRAOMHM-OLZOCXBDSA-N 0 1 292.383 0.301 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(N2CCCC2)nc1 ZINC000834493691 642583964 /nfs/dbraw/zinc/58/39/64/642583964.db2.gz GOHWAIMCDGRMJU-OLZOCXBDSA-N 0 1 285.351 0.523 20 30 CCEDMN Cn1ncc(C(=O)N[C@@H]2CNC[C@H]2C#N)c1C1CC1 ZINC000834494847 642585083 /nfs/dbraw/zinc/58/50/83/642585083.db2.gz QDTJXXLJRSHOCN-MWLCHTKSSA-N 0 1 259.313 0.139 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1cccn1Cc1ccncc1 ZINC000834494798 642585622 /nfs/dbraw/zinc/58/56/22/642585622.db2.gz POJKRBORYJSRRR-KGLIPLIRSA-N 0 1 295.346 0.773 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1scnc1Cl ZINC000834499070 642586820 /nfs/dbraw/zinc/58/68/20/642586820.db2.gz DZKDBFCGEIFKPI-WDSKDSINSA-N 0 1 256.718 0.638 20 30 CCEDMN Cc1cc2nc(C)cc(C(=O)N[C@@H]3CNC[C@H]3C#N)n2n1 ZINC000834499181 642587663 /nfs/dbraw/zinc/58/76/63/642587663.db2.gz FQBUZOJEHIQVKS-GHMZBOCLSA-N 0 1 284.323 0.188 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1ccnn1-c1ccccc1 ZINC000834499435 642588392 /nfs/dbraw/zinc/58/83/92/642588392.db2.gz ILXWPHHALJZNQC-AAEUAGOBSA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)[C@H]1CCC(=O)c2ccccc21 ZINC000834499592 642588890 /nfs/dbraw/zinc/58/88/90/642588890.db2.gz KALDFOUKGACCPU-SWHYSGLUSA-N 0 1 283.331 0.974 20 30 CCEDMN C[C@H](NC(=O)CC(C)(C)C)C(=O)N[C@H]1CNC[C@@H]1C#N ZINC000834499251 642589151 /nfs/dbraw/zinc/58/91/51/642589151.db2.gz GOAKKQSBZKVVIJ-DCAQKATOSA-N 0 1 280.372 0.155 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1cnn(Cc2ccccc2)c1 ZINC000834510857 642594715 /nfs/dbraw/zinc/59/47/15/642594715.db2.gz FZPPQTOXSOBLQK-UKRRQHHQSA-N 0 1 295.346 0.773 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)c1cnn(-c2ccccc2)c1 ZINC000834510608 642595457 /nfs/dbraw/zinc/59/54/57/642595457.db2.gz DKAPQMNBZYMXMH-FZMZJTMJSA-N 0 1 281.319 0.714 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1cc2c(c(Cl)c1)OCO2 ZINC000834511958 642597392 /nfs/dbraw/zinc/59/73/92/642597392.db2.gz QFEZBROFRLUFSZ-WCBMZHEXSA-N 0 1 293.710 0.910 20 30 CCEDMN Cc1c(C(=O)N[C@H]2CNC[C@@H]2C#N)cccc1[N+](=O)[O-] ZINC000834511729 642597586 /nfs/dbraw/zinc/59/75/86/642597586.db2.gz NQMBTXLITSARIZ-ONGXEEELSA-N 0 1 274.280 0.745 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)c1ccc(C(F)(F)F)nc1 ZINC000834517273 642600742 /nfs/dbraw/zinc/60/07/42/642600742.db2.gz TVAZKRHLXYJNJC-BDAKNGLRSA-N 0 1 284.241 0.942 20 30 CCEDMN Cc1cc2ncc(C(=O)N[C@@H]3CNC[C@H]3C#N)c(C)n2n1 ZINC000834517608 642600951 /nfs/dbraw/zinc/60/09/51/642600951.db2.gz XNYWYSAOGPOZQG-ZYHUDNBSSA-N 0 1 284.323 0.188 20 30 CCEDMN C[C@H]1CN(C(=O)C#CC2CC2)CCN1CC(C)(C)O ZINC000837565916 642753705 /nfs/dbraw/zinc/75/37/05/642753705.db2.gz HGTIAXGNLZXEKN-LBPRGKRZSA-N 0 1 264.369 0.703 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Nc1cccc(-n2ccnn2)c1 ZINC000841148870 642804422 /nfs/dbraw/zinc/80/44/22/642804422.db2.gz DOBDEDOQULQFEX-GWCFXTLKSA-N 0 1 297.322 0.500 20 30 CCEDMN Cc1cc2c(cc1NC(=O)N[C@@H]1CNC[C@H]1C#N)OCO2 ZINC000841179531 642806651 /nfs/dbraw/zinc/80/66/51/642806651.db2.gz PGFLOMGJIWBBKG-MWLCHTKSSA-N 0 1 288.307 0.957 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Nc1ccc(OC(F)F)cn1 ZINC000841249264 642809017 /nfs/dbraw/zinc/80/90/17/642809017.db2.gz LTUIXMSEEKJDGP-APPZFPTMSA-N 0 1 297.265 0.916 20 30 CCEDMN Cc1ccc(OCC(=O)N[C@@H]2CNC[C@@H]2C#N)cc1 ZINC000843459197 643062877 /nfs/dbraw/zinc/06/28/77/643062877.db2.gz DBWBWLURFAPTLK-WCQYABFASA-N 0 1 259.309 0.602 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)C(=O)Nc1ccc(Cl)cc1 ZINC000843461586 643067004 /nfs/dbraw/zinc/06/70/04/643067004.db2.gz LWXYQIJESXCEJI-LDYMZIIASA-N 0 1 292.726 0.506 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1c[nH]c2ncccc12 ZINC000844194489 643177607 /nfs/dbraw/zinc/17/76/07/643177607.db2.gz IWFFBDWQWKJFAY-GZMMTYOYSA-N 0 1 255.281 0.404 20 30 CCEDMN N#Cc1c(=O)[nH]cc2c1CCCC2N=Nc1nc[nH]n1 ZINC000844595906 643228206 /nfs/dbraw/zinc/22/82/06/643228206.db2.gz BZOVDVDOYMGEBB-UHFFFAOYSA-N 0 1 269.268 0.929 20 30 CCEDMN N#Cc1ccccc1/C=C\C(=O)N1CC(N2CC[C@@H](O)C2)C1 ZINC000846511848 643412211 /nfs/dbraw/zinc/41/22/11/643412211.db2.gz ANYFUWANOGHTRI-OGZRUICASA-N 0 1 297.358 0.849 20 30 CCEDMN N#C[C@H]1CNC[C@@H]1NC(=O)Cc1cc(F)c(F)cc1F ZINC000846678458 643442694 /nfs/dbraw/zinc/44/26/94/643442694.db2.gz UQSXQBXMMMZLQA-UFBFGSQYSA-N 0 1 283.253 0.874 20 30 CCEDMN C#C[C@H]1CCCN([C@H](C)C(=O)NC(=O)NC2CC2)C1 ZINC000847021827 643479419 /nfs/dbraw/zinc/47/94/19/643479419.db2.gz BPRNTZVWENVRTA-MNOVXSKESA-N 0 1 263.341 0.708 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1scc2c1OCCO2 ZINC000848418478 643634510 /nfs/dbraw/zinc/63/45/10/643634510.db2.gz LLQLRYQUJCTEMB-HTQZYQBOSA-N 0 1 279.321 0.361 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CCC2CCC2)CC1 ZINC000851873348 643894459 /nfs/dbraw/zinc/89/44/59/643894459.db2.gz VULNWEXIOYRNEO-UHFFFAOYSA-N 0 1 285.413 0.262 20 30 CCEDMN N#C[C@@H]1CNC[C@@H]1NC(=O)Cc1cccc(N)c1Cl ZINC000852827823 644013349 /nfs/dbraw/zinc/01/33/49/644013349.db2.gz QDGOVWJVVCXQBE-KOLCDFICSA-N 0 1 278.743 0.692 20 30 CCEDMN Cn1ncc(C(=O)N[C@H]2CNC[C@@H]2C#N)c1Br ZINC000852875583 644038029 /nfs/dbraw/zinc/03/80/29/644038029.db2.gz KHGHWGBPUBCDEB-XPUUQOCRSA-N 0 1 298.144 0.024 20 30 CCEDMN Cn1ccc(CN[C@H]2CCN(C(=O)c3c[nH]c(C#N)c3)C2)n1 ZINC001018737818 650903095 /nfs/dbraw/zinc/90/30/95/650903095.db2.gz ISEJDKCPWQSRSL-ZDUSSCGKSA-N 0 1 298.350 0.624 20 30 CCEDMN N#CCCNC(=O)CN1C[C@@H]2CCC[C@]2(CO)C1 ZINC000859920839 644744264 /nfs/dbraw/zinc/74/42/64/644744264.db2.gz SZBMXSBZVXWKOI-WCQYABFASA-N 0 1 251.330 0.111 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2c[nH]c(=O)cn2)C1 ZINC001019300468 650958682 /nfs/dbraw/zinc/95/86/82/650958682.db2.gz CBVZTQDLPIXRIB-VIFPVBQESA-N 0 1 282.731 0.327 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)[C@]2(C)CCC(=O)N2)C1 ZINC001019340768 650963142 /nfs/dbraw/zinc/96/31/42/650963142.db2.gz GJYIPVWHNGYCLI-GWCFXTLKSA-N 0 1 285.775 0.598 20 30 CCEDMN C[C@@H](C#N)Oc1ccc(CN2C[C@H](O)[C@@H](O)C2)cc1 ZINC000862446366 645000199 /nfs/dbraw/zinc/00/01/99/645000199.db2.gz YCMOUTLZOFFCJJ-BPNCWPANSA-N 0 1 262.309 0.515 20 30 CCEDMN C#CCCN1CCN(C(=O)CCc2cnc[nH]2)CC1 ZINC000279904400 650991441 /nfs/dbraw/zinc/99/14/41/650991441.db2.gz WDVLDQDQDNWCLL-UHFFFAOYSA-N 0 1 260.341 0.510 20 30 CCEDMN C=C(Cl)CN[C@H]1CCN(C(=O)c2nonc2C)C1 ZINC001019876055 651012666 /nfs/dbraw/zinc/01/26/66/651012666.db2.gz QBSZXZODCWUDFN-VIFPVBQESA-N 0 1 270.720 0.935 20 30 CCEDMN N#Cc1ccc2c(c1)CCN[C@@H]2C(=O)NCc1ncccn1 ZINC000867985726 645697863 /nfs/dbraw/zinc/69/78/63/645697863.db2.gz MXMCQIXKBIWNIM-HNNXBMFYSA-N 0 1 293.330 0.851 20 30 CCEDMN N#C[C@]1(c2ccccn2)CCCN(C(=O)Cc2ncn[nH]2)C1 ZINC000869234075 645860721 /nfs/dbraw/zinc/86/07/21/645860721.db2.gz MOAWLGATOIGOFG-OAHLLOKOSA-N 0 1 296.334 0.826 20 30 CCEDMN C[C@@H](O)C[C@@H]1CCCCN1CC(=O)NCCC#N ZINC000123616607 646142341 /nfs/dbraw/zinc/14/23/41/646142341.db2.gz QVACUVUBUPLTOA-NEPJUHHUSA-N 0 1 253.346 0.642 20 30 CCEDMN Cn1cc(N=NCc2cn(C[C@H]3CCCO3)nn2)cn1 ZINC000872370483 646252414 /nfs/dbraw/zinc/25/24/14/646252414.db2.gz ZAXLXQQOASLRFB-GFCCVEGCSA-N 0 1 275.316 0.637 20 30 CCEDMN N#C[C@H]1CNC[C@H]1NC(=O)c1ccnn1CC1CCC1 ZINC000876801769 646668773 /nfs/dbraw/zinc/66/87/73/646668773.db2.gz INKVDOMQVUPNSM-NWDGAFQWSA-N 0 1 273.340 0.525 20 30 CCEDMN C[C@]1(C(=O)N[C@H]2CNC[C@@H]2C#N)COc2ccccc2O1 ZINC000876803911 646671846 /nfs/dbraw/zinc/67/18/46/646671846.db2.gz ZDLCOVVTWKZRQX-ZIBATOQPSA-N 0 1 287.319 0.444 20 30 CCEDMN C[C@H](NCC(=O)N(CCC#N)CCC#N)c1ccnn1C ZINC000879684043 647093115 /nfs/dbraw/zinc/09/31/15/647093115.db2.gz FDWSGLQQKHIPEJ-LBPRGKRZSA-N 0 1 288.355 0.727 20 30 CCEDMN N#Cc1ccc(OC[C@@H](O)CN2CC=C[C@@H]2CO)cc1 ZINC000880482999 647207358 /nfs/dbraw/zinc/20/73/58/647207358.db2.gz YFLIDNBCSXAUCV-KGLIPLIRSA-N 0 1 274.320 0.531 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)c1ccn2ccccc12 ZINC000884250365 647680931 /nfs/dbraw/zinc/68/09/31/647680931.db2.gz COKGJRMOFHKAPJ-ZYHUDNBSSA-N 0 1 254.293 0.781 20 30 CCEDMN N#CC1(C[C@@H](O)CN2CCN([C@@H]3CCOC3)CC2)CCC1 ZINC000886086790 647898635 /nfs/dbraw/zinc/89/86/35/647898635.db2.gz MHZNHZCUGOTAHD-HUUCEWRRSA-N 0 1 293.411 0.838 20 30 CCEDMN C[C@]1(C#N)CN(C(=O)c2ccc3[nH]nnc3c2)CCO1 ZINC000887667627 648137152 /nfs/dbraw/zinc/13/71/52/648137152.db2.gz VIXYKXPAZYDYMA-ZDUSSCGKSA-N 0 1 271.280 0.713 20 30 CCEDMN N#CC[C@@H](O)CN1CC[C@](O)(c2ccc(F)cc2)C1 ZINC000930241714 648838273 /nfs/dbraw/zinc/83/82/73/648838273.db2.gz OIICQHGPDXYRGE-ZIAGYGMSSA-N 0 1 264.300 0.994 20 30 CCEDMN C[C@@H]1CN(C[C@@H]2C[C@@H]3COC[C@H]3O2)C[C@@H](C)N1CC#N ZINC000930829196 648911796 /nfs/dbraw/zinc/91/17/96/648911796.db2.gz QOSGFZKEHHNUOQ-ARILJUKYSA-N 0 1 279.384 0.708 20 30 CCEDMN Cn1[nH]c(=O)c2c1CN(C[C@@H](O)CC1(C#N)CCC1)CC2 ZINC000931191383 648960847 /nfs/dbraw/zinc/96/08/47/648960847.db2.gz QUQCHXIRYZPJGO-NSHDSACASA-N 0 1 290.367 0.929 20 30 CCEDMN C[C@@H]1CN(Cc2ccc(C#N)s2)C[C@H]1CS(N)(=O)=O ZINC000933696002 649136565 /nfs/dbraw/zinc/13/65/65/649136565.db2.gz HBRZFHLDXFGHQD-ZJUUUORDSA-N 0 1 299.421 0.976 20 30 CCEDMN C[C@]12CSC[C@H]1CN(CC(=O)NCCC#N)C2 ZINC000934778122 649190179 /nfs/dbraw/zinc/19/01/79/649190179.db2.gz JENVNLOABAFLFK-PWSUYJOCSA-N 0 1 253.371 0.701 20 30 CCEDMN COCCNC(=O)[C@H](C#N)C(=O)[C@@H]1CC1(Cl)Cl ZINC000193421724 649538054 /nfs/dbraw/zinc/53/80/54/649538054.db2.gz BCJSKRCLSQBDMV-RQJHMYQMSA-N 0 1 279.123 0.652 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCC(C)(NC(=O)c2cnn[nH]2)CC1 ZINC000956415414 649706185 /nfs/dbraw/zinc/70/61/85/649706185.db2.gz AHZDNLRWOLMGLN-SECBINFHSA-N 0 1 290.327 0.075 20 30 CCEDMN N#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1n[nH]c2c1CCCC2 ZINC000959893596 649846896 /nfs/dbraw/zinc/84/68/96/649846896.db2.gz LPOHXSLDCCCFKT-ATCWAGBWSA-N 0 1 299.378 0.401 20 30 CCEDMN COc1ccnc(N2C[C@@H]3[C@H](C2)OCCN3C)c1C#N ZINC001164669368 719387346 /nfs/dbraw/zinc/38/73/46/719387346.db2.gz UVQPBQJQGYNOIF-YPMHNXCESA-N 0 1 274.324 0.481 20 30 CCEDMN CN1CCO[C@@H]2CN(c3ncc4c(C#N)c[nH]c4n3)C[C@@H]21 ZINC001164670615 719419537 /nfs/dbraw/zinc/41/95/37/719419537.db2.gz PBLXOEQGIIBAHY-NWDGAFQWSA-N 0 1 284.323 0.349 20 30 CCEDMN Cc1nc(C#N)cc(N2C[C@@H]3OCCN(C)[C@H]3C2)n1 ZINC001164667742 719482983 /nfs/dbraw/zinc/48/29/83/719482983.db2.gz MOIWGAYOLXABCS-RYUDHWBXSA-N 0 1 259.313 0.176 20 30 CCEDMN C#CCN1CCC(NC(=O)C[C@H](C)N2CCCC2=O)CC1 ZINC001230024436 805577132 /nfs/dbraw/zinc/57/71/32/805577132.db2.gz LWDAJBJPFRUZSL-ZDUSSCGKSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC(NC(=O)[C@@H](C)CCOC)CC1 ZINC001230306712 805593973 /nfs/dbraw/zinc/59/39/73/805593973.db2.gz AFIYHAVLUFFROE-LBPRGKRZSA-N 0 1 252.358 0.873 20 30 CCEDMN C=CCO[C@H](C)C(=O)NC1CCN([C@H](CC)C(N)=O)CC1 ZINC001230415544 805601886 /nfs/dbraw/zinc/60/18/86/805601886.db2.gz QSPRUGHCQUIBRJ-DGCLKSJQSA-N 0 1 297.399 0.422 20 30 CCEDMN C#CCCCC(=O)NCCCN1CCN(CCF)CC1 ZINC001266262836 790352642 /nfs/dbraw/zinc/35/26/42/790352642.db2.gz PLORTPDOJMQCMH-UHFFFAOYSA-N 0 1 283.391 0.883 20 30 CCEDMN C#CCCN1CCN(CCNC(=O)[C@@H](CC)OC)CC1 ZINC001266281341 790390541 /nfs/dbraw/zinc/39/05/41/790390541.db2.gz LZUIYROLEMOHEA-CQSZACIVSA-N 0 1 281.400 0.169 20 30 CCEDMN C#Cc1cncc(C(=O)N2CCO[C@@H]3CN(CC)C[C@@H]32)c1 ZINC001083188444 790415142 /nfs/dbraw/zinc/41/51/42/790415142.db2.gz VQIKTUZCBPWFFA-LSDHHAIUSA-N 0 1 285.347 0.608 20 30 CCEDMN Cc1nc(CN2CC[C@H]2CNC(=O)c2ccc(C#N)[nH]2)n[nH]1 ZINC001038171750 790529501 /nfs/dbraw/zinc/52/95/01/790529501.db2.gz BOIUFAYXAUYZGG-NSHDSACASA-N 0 1 299.338 0.317 20 30 CCEDMN Cc1nc(CN2CC[C@@H]2CNC(=O)c2ccc(C#N)[nH]2)n[nH]1 ZINC001038171745 790529895 /nfs/dbraw/zinc/52/98/95/790529895.db2.gz BOIUFAYXAUYZGG-LLVKDONJSA-N 0 1 299.338 0.317 20 30 CCEDMN C#CCN(C)CCNC(=O)c1cccc(C(=O)N(C)C)c1 ZINC001266350362 790564651 /nfs/dbraw/zinc/56/46/51/790564651.db2.gz LMQWAKKGPNXCKM-UHFFFAOYSA-N 0 1 287.363 0.683 20 30 CCEDMN C#CCN(CC)CCNC(=O)c1ccc(N(C)C)nc1 ZINC001266400203 790637935 /nfs/dbraw/zinc/63/79/35/790637935.db2.gz BZFBMEICRNUOGG-UHFFFAOYSA-N 0 1 274.368 0.833 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1CCC(=O)N1C ZINC001266410652 790653274 /nfs/dbraw/zinc/65/32/74/790653274.db2.gz FMGGUWIVZMHBSI-GFCCVEGCSA-N 0 1 265.357 0.069 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)[C@H](C)Cc1c[nH]cn1 ZINC001266486683 790776754 /nfs/dbraw/zinc/77/67/54/790776754.db2.gz QKDJTDDJRXPSAN-TZMCWYRMSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CC[N@H+](C)CCCNC(=O)N1C[C@H](C(=O)[O-])CC[C@@H]1C ZINC000397493172 790795486 /nfs/dbraw/zinc/79/54/86/790795486.db2.gz NGLIIYNKIYLFCR-QWHCGFSZSA-N 0 1 295.383 0.836 20 30 CCEDMN Cc1cnc(CNCCNC(=O)c2cc(C#N)c[nH]2)cn1 ZINC001125370884 790802570 /nfs/dbraw/zinc/80/25/70/790802570.db2.gz YELAGUVNHYGLMY-UHFFFAOYSA-N 0 1 284.323 0.504 20 30 CCEDMN C=C1CC(C)(C(=O)N2CC[C@@]3(CCN(CC(N)=O)C3)C2)C1 ZINC001041657337 790813445 /nfs/dbraw/zinc/81/34/45/790813445.db2.gz QBNAFAIMUQGVHM-MRXNPFEDSA-N 0 1 291.395 0.752 20 30 CCEDMN CCCN(CC#N)CCNC(=O)CN1CCCC1 ZINC001266577770 790958693 /nfs/dbraw/zinc/95/86/93/790958693.db2.gz YQJVRNXSPFSQBL-UHFFFAOYSA-N 0 1 252.362 0.434 20 30 CCEDMN Cc1nnc([C@H](C)N[C@H]2C[C@H](NC(=O)C#CC3CC3)C2)[nH]1 ZINC001266591528 790995003 /nfs/dbraw/zinc/99/50/03/790995003.db2.gz NXXBVWLHBCVCKC-XDTLVQLUSA-N 0 1 287.367 0.824 20 30 CCEDMN Cn1cc(C#N)c(=NC(=O)C2CCSCC2)[nH]1 ZINC000402268029 791104668 /nfs/dbraw/zinc/10/46/68/791104668.db2.gz CZMXUUCECWDWFN-UHFFFAOYSA-N 0 1 250.327 0.795 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@@H]1CCCO1 ZINC001230676626 805645328 /nfs/dbraw/zinc/64/53/28/805645328.db2.gz RMGKPXQGCFSTLV-KBPBESRZSA-N 0 1 282.384 0.901 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCn1cncn1 ZINC001230775043 805663081 /nfs/dbraw/zinc/66/30/81/805663081.db2.gz BASAZQZXNXZFFX-GFCCVEGCSA-N 0 1 263.345 0.387 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CN(C)C(C)=O ZINC001230819625 805670801 /nfs/dbraw/zinc/67/08/01/805670801.db2.gz ZLKBOIDXXPLHGV-GFCCVEGCSA-N 0 1 253.346 0.183 20 30 CCEDMN COc1cc(C#N)ccc1CN1CC[C@@H](O)[C@H](CO)C1 ZINC001231616481 805819627 /nfs/dbraw/zinc/81/96/27/805819627.db2.gz BKXGXRJTVGPIGW-UONOGXRCSA-N 0 1 276.336 0.742 20 30 CCEDMN COc1cc(C#N)ccc1CN1C[C@H](O)[C@H](CO)C1 ZINC001231617366 805820020 /nfs/dbraw/zinc/82/00/20/805820020.db2.gz UXHBNRLLONIOML-STQMWFEESA-N 0 1 262.309 0.352 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N(C)C1CN(CC2CCOCC2)C1 ZINC001277586616 805867912 /nfs/dbraw/zinc/86/79/12/805867912.db2.gz SJRZRRKJEVAHIE-MRXNPFEDSA-N 0 1 296.411 0.883 20 30 CCEDMN C[C@]1(CO)C[N@@H+](Cc2cc(C#N)ccc2[O-])CC[C@@H]1O ZINC001232673117 805967307 /nfs/dbraw/zinc/96/73/07/805967307.db2.gz AHRNCNKYGNTMKM-LSDHHAIUSA-N 0 1 276.336 0.829 20 30 CCEDMN C#CCN1CCN(Cc2ccc(CCO)cc2)CC1 ZINC001232779658 805978845 /nfs/dbraw/zinc/97/88/45/805978845.db2.gz SKFMLSAYBUQXDW-UHFFFAOYSA-N 0 1 258.365 0.972 20 30 CCEDMN CC#CCN1CCCC[C@H]1CNC(=O)[C@@H](COC)OC ZINC001266856081 791293834 /nfs/dbraw/zinc/29/38/34/791293834.db2.gz JRFYRBNVVLMGFH-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN N#Cc1ccc(NS(=O)(=O)c2cncc(N)c2)c(N)c1 ZINC001213355741 791309131 /nfs/dbraw/zinc/30/91/31/791309131.db2.gz SOVHRTMNULUYSX-UHFFFAOYSA-N 0 1 289.320 0.918 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)C(C)(C)NC(C)=O ZINC001266897644 791326147 /nfs/dbraw/zinc/32/61/47/791326147.db2.gz QDFBHQNLRXMOEW-UHFFFAOYSA-N 0 1 275.780 0.702 20 30 CCEDMN Cc1nc(CN2CC[C@H]2CNC(=O)c2cc(C#N)c[nH]2)n[nH]1 ZINC001038140063 791572722 /nfs/dbraw/zinc/57/27/22/791572722.db2.gz MXYWGSUTXXGQMR-NSHDSACASA-N 0 1 299.338 0.317 20 30 CCEDMN C#CCC[N@@H+](C)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001267193032 791653231 /nfs/dbraw/zinc/65/32/31/791653231.db2.gz WXINYGPXRWSTKL-UHFFFAOYSA-N 0 1 250.302 0.109 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCCC(=O)NCC ZINC001267226155 791703514 /nfs/dbraw/zinc/70/35/14/791703514.db2.gz OHYIMJQWNZUCAL-UHFFFAOYSA-N 0 1 267.373 0.364 20 30 CCEDMN COCC#CCN(C)CCNC(=O)c1ccn(C(C)C)n1 ZINC001267220338 791692835 /nfs/dbraw/zinc/69/28/35/791692835.db2.gz PRLUVWYAUGZKPY-UHFFFAOYSA-N 0 1 292.383 0.775 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)CSC ZINC001233656453 806104178 /nfs/dbraw/zinc/10/41/78/806104178.db2.gz WYZQFWYKUBLSHA-UHFFFAOYSA-N 0 1 273.402 0.090 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)c1ccoc1C ZINC001233734015 806111103 /nfs/dbraw/zinc/11/11/03/806111103.db2.gz YQLXJVNJHVYUGF-UHFFFAOYSA-N 0 1 293.367 0.942 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)[C@@H](C)n1cncn1 ZINC001233749343 806112379 /nfs/dbraw/zinc/11/23/79/806112379.db2.gz AYEPKXNLLRNVIL-CHWSQXEVSA-N 0 1 275.356 0.395 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)CC1OCCCO1 ZINC001233914781 806146189 /nfs/dbraw/zinc/14/61/89/806146189.db2.gz FKWLBFFGDKAWJT-LBPRGKRZSA-N 0 1 268.357 0.858 20 30 CCEDMN C=C[C@](C)(O)CC(=O)N(C)C[C@H]1CCN1CCOCC ZINC001233936845 806150062 /nfs/dbraw/zinc/15/00/62/806150062.db2.gz KEKQMBWYPJXODX-HIFRSBDPSA-N 0 1 284.400 0.883 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)[C@H](O)C(C)C ZINC001234069207 806178357 /nfs/dbraw/zinc/17/83/57/806178357.db2.gz SCQANLFFKHCCCB-QWHCGFSZSA-N 0 1 252.358 0.559 20 30 CCEDMN C=CCN1CC[C@H]1CN(C)C(=O)Cn1cccc(C)c1=O ZINC001234305921 806221434 /nfs/dbraw/zinc/22/14/34/806221434.db2.gz WQUBKDQOEBEAAL-AWEZNQCLSA-N 0 1 289.379 0.875 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCc1ccc(=O)[nH]c1 ZINC001267248839 793240868 /nfs/dbraw/zinc/24/08/68/793240868.db2.gz IFSCUKHUECYLEE-UHFFFAOYSA-N 0 1 275.352 0.791 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)Cc1c[nH]cn1 ZINC001267250107 793241983 /nfs/dbraw/zinc/24/19/83/793241983.db2.gz CVLISKXAYRAZKK-UHFFFAOYSA-N 0 1 278.356 0.040 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)CCCNC(=O)[C@H](C)OC ZINC001234622389 806289562 /nfs/dbraw/zinc/28/95/62/806289562.db2.gz JAVRIGFZCZSDJA-NEPJUHHUSA-N 0 1 285.388 0.150 20 30 CCEDMN C=C(C)[C@H](CC(=O)N(C)C[C@H]1CCN1CCO)OCC ZINC001234644949 806292896 /nfs/dbraw/zinc/29/28/96/806292896.db2.gz XHINNJBSZIGCIT-KGLIPLIRSA-N 0 1 284.400 0.883 20 30 CCEDMN N#CCN1CC[C@H](Oc2nc(F)nc3[nH]cnc32)C1 ZINC001234688930 806297305 /nfs/dbraw/zinc/29/73/05/806297305.db2.gz CMJBWSHXQFLGIU-ZETCQYMHSA-N 0 1 262.248 0.469 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NCCCN(C)[C@H](C)C(N)=O ZINC001235212358 806388308 /nfs/dbraw/zinc/38/83/08/806388308.db2.gz FSTOCWVIQGAOBC-VXGBXAGGSA-N 0 1 285.388 0.280 20 30 CCEDMN C=CCNC(=O)CN(C)CCCNC(=O)[C@H]1CCCOC1 ZINC001235547801 806453024 /nfs/dbraw/zinc/45/30/24/806453024.db2.gz VVHCMOOVJZJABY-ZDUSSCGKSA-N 0 1 297.399 0.153 20 30 CCEDMN CC[C@H](C)c1nc([C@H](C)NC(=O)NCC#CCO)n[nH]1 ZINC001235580355 806461481 /nfs/dbraw/zinc/46/14/81/806461481.db2.gz FJEKLUZBSAKQSP-UWVGGRQHSA-N 0 1 279.344 0.674 20 30 CCEDMN C=CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)C[C@H]1CCN(C)C1=O ZINC001235702516 806494555 /nfs/dbraw/zinc/49/45/55/806494555.db2.gz RAJUNFJTUFDAIX-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)Cc1ccccc1CC ZINC001278099013 806668305 /nfs/dbraw/zinc/66/83/05/806668305.db2.gz BLILRONXABPUJS-INIZCTEOSA-N 0 1 288.391 0.882 20 30 CCEDMN CN(C1CN(Cc2ccnc(C#N)c2)C1)[C@@H]1CCOC1 ZINC001237467039 806670932 /nfs/dbraw/zinc/67/09/32/806670932.db2.gz RGRBMAUNIFJSGE-CQSZACIVSA-N 0 1 272.352 0.858 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cc(C)n(CC)c1C ZINC001278111568 806679749 /nfs/dbraw/zinc/67/97/49/806679749.db2.gz CAMGRPBCAXIFJN-CQSZACIVSA-N 0 1 291.395 0.828 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(-c2ccccc2)no1 ZINC001278106074 806675637 /nfs/dbraw/zinc/67/56/37/806675637.db2.gz TXVSTVAJGLCGCH-ZDUSSCGKSA-N 0 1 299.330 0.655 20 30 CCEDMN CC(C)(C)OC(=O)N1CCN(Cc2nnc[nH]2)[C@@H](C#N)C1 ZINC001237598494 806693076 /nfs/dbraw/zinc/69/30/76/806693076.db2.gz DHPNSRGITRHXJE-JTQLQIEISA-N 0 1 292.343 0.750 20 30 CCEDMN C#Cc1cccc(CNC(=O)N2CCNC[C@@H]2COC)c1 ZINC001120538257 798853071 /nfs/dbraw/zinc/85/30/71/798853071.db2.gz NMBWURFWAROSCK-OAHLLOKOSA-N 0 1 287.363 0.798 20 30 CCEDMN C#CC[C@@H](NC(=O)[C@H]1CCCc2[nH]ncc21)C(=O)OC ZINC001120659945 798906929 /nfs/dbraw/zinc/90/69/29/798906929.db2.gz LDLWDKRTQZOMLU-JOYOIKCWSA-N 0 1 275.308 0.511 20 30 CCEDMN C=CCn1c(C2=NO[C@@H](CO)C2)nnc1N1CCCCC1 ZINC001121658512 799063675 /nfs/dbraw/zinc/06/36/75/799063675.db2.gz UUEGEPFYZJFUQX-LLVKDONJSA-N 0 1 291.355 0.940 20 30 CCEDMN C#CCNCC(=O)N1CCCN(C(=O)OCC(C)C)CC1 ZINC001121950104 799104995 /nfs/dbraw/zinc/10/49/95/799104995.db2.gz DCPHYUAEUZCGJG-UHFFFAOYSA-N 0 1 295.383 0.536 20 30 CCEDMN C#CCNCC(=O)N[C@H](C[C@H]1CCCO1)C(F)(F)F ZINC001122054204 799132034 /nfs/dbraw/zinc/13/20/34/799132034.db2.gz ORKJHUZBHFGCNB-NXEZZACHSA-N 0 1 278.274 0.825 20 30 CCEDMN C=CCCOCC(=O)N1CCNC[C@@H]1CCOC ZINC001122311701 799193986 /nfs/dbraw/zinc/19/39/86/799193986.db2.gz BXHZLSPEZNRKIE-LBPRGKRZSA-N 0 1 256.346 0.416 20 30 CCEDMN COC[C@H]1CNCCN1C(=O)c1ccc(C#N)cc1 ZINC001122333171 799201947 /nfs/dbraw/zinc/20/19/47/799201947.db2.gz XPPSPLUVWGGZDR-CYBMUJFWSA-N 0 1 259.309 0.619 20 30 CCEDMN C#CCN(C(=O)CCc1cnc[nH]1)C1CCN(CC#N)CC1 ZINC001278157290 806729166 /nfs/dbraw/zinc/72/91/66/806729166.db2.gz HBZCNACECDJUFV-UHFFFAOYSA-N 0 1 299.378 0.792 20 30 CCEDMN CC(=O)NCC(=O)NCCNCc1cc(C#N)ccc1F ZINC001122667987 799283709 /nfs/dbraw/zinc/28/37/09/799283709.db2.gz QMCGHIIUFQIOCZ-UHFFFAOYSA-N 0 1 292.314 0.039 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)c1cc(Cl)cs1 ZINC001278164529 806735275 /nfs/dbraw/zinc/73/52/75/806735275.db2.gz HTLIZUFOHWXIQD-VIFPVBQESA-N 0 1 272.757 0.715 20 30 CCEDMN C=C(CC(C)C)C(=O)N1CCO[C@H](c2nn[nH]n2)C1 ZINC001123560525 799433324 /nfs/dbraw/zinc/43/33/24/799433324.db2.gz NQHUAYUNQYUDJT-JTQLQIEISA-N 0 1 265.317 0.702 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)N[C@@H](C)[C@H]1CN(C)CCN1C ZINC001123651935 799448340 /nfs/dbraw/zinc/44/83/40/799448340.db2.gz DGFUNKNZOKNBKM-DGAVXFQQSA-N 0 1 296.415 0.263 20 30 CCEDMN C#CCOCCN(C)C(=O)c1cn[nH]c1-c1cnn(C)c1 ZINC001124054842 799546580 /nfs/dbraw/zinc/54/65/80/799546580.db2.gz SAEFLQNXVITNJI-UHFFFAOYSA-N 0 1 287.323 0.532 20 30 CCEDMN C=CCn1cc(CN2CC[C@@H](CO)[C@@H](O)C2)cn1 ZINC001139768732 799838791 /nfs/dbraw/zinc/83/87/91/799838791.db2.gz SXPHTUHPZMGBLS-STQMWFEESA-N 0 1 251.330 0.244 20 30 CCEDMN C=CCn1cc(CN2CC[C@H](OCC(=O)OC)C2)cn1 ZINC001139774800 799840896 /nfs/dbraw/zinc/84/08/96/799840896.db2.gz XEYJWFDXVGBKJM-ZDUSSCGKSA-N 0 1 279.340 0.833 20 30 CCEDMN Cn1cncc1CN1C[C@H]2C[C@@]2(C(=O)NC2(C#N)CCC2)C1 ZINC001278246839 806789743 /nfs/dbraw/zinc/78/97/43/806789743.db2.gz KREBJZUJBVMODG-MLGOLLRUSA-N 0 1 299.378 0.804 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)C[C@@H](C)OC)C1 ZINC001149361181 800251532 /nfs/dbraw/zinc/25/15/32/800251532.db2.gz FZSPOJDHGUGQFP-KGLIPLIRSA-N 0 1 282.384 0.642 20 30 CCEDMN Cc1cc2nnc(C(=O)Nc3nc[nH]c3C#N)c(C)n2n1 ZINC001149606175 800280634 /nfs/dbraw/zinc/28/06/34/800280634.db2.gz SNYAWLAAKHZAEW-UHFFFAOYSA-N 0 1 282.267 0.588 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NC[C@H]1CN(CC#CC)CCCO1 ZINC001149707381 800293535 /nfs/dbraw/zinc/29/35/35/800293535.db2.gz HXVKRIWMWJOBCP-GJZGRUSLSA-N 0 1 292.379 0.255 20 30 CCEDMN CN(CC(=O)NC[C@H]1CN(CC#N)CCCO1)C1CCC1 ZINC001149848407 800302874 /nfs/dbraw/zinc/30/28/74/800302874.db2.gz MHFHPTGZWWGZNI-AWEZNQCLSA-N 0 1 294.399 0.201 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)Cn2nccc2C)C1 ZINC001150385902 800333570 /nfs/dbraw/zinc/33/35/70/800333570.db2.gz IBNXQRDJECNRBR-AWEZNQCLSA-N 0 1 292.383 0.585 20 30 CCEDMN CC#CCN1CCCO[C@@H](CNC(=O)Cc2ncc[nH]2)C1 ZINC001150507856 800342483 /nfs/dbraw/zinc/34/24/83/800342483.db2.gz LTEUGKJCKBQQER-ZDUSSCGKSA-N 0 1 290.367 0.183 20 30 CCEDMN C=CCN1CCCO[C@H](CNC(=O)Cn2ccnc2)C1 ZINC001150819130 800369630 /nfs/dbraw/zinc/36/96/30/800369630.db2.gz YBXQDJIOXJKFCH-CYBMUJFWSA-N 0 1 278.356 0.276 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)[C@H]2CCCO2)CC1 ZINC001150919059 800378907 /nfs/dbraw/zinc/37/89/07/800378907.db2.gz YALQNAUKBBKLHY-CQSZACIVSA-N 0 1 294.395 0.786 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1ccc2nccn2n1 ZINC001151710867 800449066 /nfs/dbraw/zinc/44/90/66/800449066.db2.gz ANPWAVJWWAEUKM-UHFFFAOYSA-N 0 1 253.225 0.576 20 30 CCEDMN C=C(C)C(=O)OCCNC(=O)c1[nH]nc2ccncc21 ZINC001152103512 800491068 /nfs/dbraw/zinc/49/10/68/800491068.db2.gz GXUWBSXPVIMBTQ-UHFFFAOYSA-N 0 1 274.280 0.807 20 30 CCEDMN C=CCO[C@H](C)C(=O)NCCNCc1snnc1C ZINC001153148759 800601932 /nfs/dbraw/zinc/60/19/32/800601932.db2.gz LBLYSQKSWRVLNL-SNVBAGLBSA-N 0 1 284.385 0.643 20 30 CCEDMN N#Cc1ccccc1CNCCNC(=O)Cn1ccnc1 ZINC001153487736 800633914 /nfs/dbraw/zinc/63/39/14/800633914.db2.gz KWKAWRLXRSJCED-UHFFFAOYSA-N 0 1 283.335 0.661 20 30 CCEDMN C=C[C@@](C)(O)C(=O)N(Cc1nn[nH]n1)CC(C)C ZINC001153810717 800669990 /nfs/dbraw/zinc/66/99/90/800669990.db2.gz CTTTZULGEGYGLO-LLVKDONJSA-N 0 1 253.306 0.121 20 30 CCEDMN C=C[C@@H](CO)NC(=O)c1cnc2cccnc2c1O ZINC001153862108 800681388 /nfs/dbraw/zinc/68/13/88/800681388.db2.gz QAZDKPZTABGHTP-QMMMGPOBSA-N 0 1 259.265 0.200 20 30 CCEDMN Cn1nnnc1NC(=O)c1cc(=O)c2cc(C#N)ccc2[nH]1 ZINC001154551969 800799389 /nfs/dbraw/zinc/79/93/89/800799389.db2.gz AUQURXIPVNNTQB-UHFFFAOYSA-N 0 1 295.262 0.176 20 30 CCEDMN CCN(CC#N)[C@H](C)CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001154876328 800859999 /nfs/dbraw/zinc/85/99/99/800859999.db2.gz NFYMBFPBSSZXFO-GHMZBOCLSA-N 0 1 290.371 0.575 20 30 CCEDMN C#Cc1ccc(C(=O)NC[C@@H](O)c2cnc[nH]2)nc1 ZINC001155083065 800905551 /nfs/dbraw/zinc/90/55/51/800905551.db2.gz HOBQFLVBZIWNTR-GFCCVEGCSA-N 0 1 256.265 0.249 20 30 CCEDMN COC(=O)[C@@H](N)C1CCN(c2cncc(C#N)n2)CC1 ZINC001155153913 800920485 /nfs/dbraw/zinc/92/04/85/800920485.db2.gz ICVHHBIFMOYKOZ-LBPRGKRZSA-N 0 1 275.312 0.065 20 30 CCEDMN N#Cc1cc(F)cnc1NCc1nnc2n1CCCNC2 ZINC001155425357 800972891 /nfs/dbraw/zinc/97/28/91/800972891.db2.gz VVUIVARKDYWHMQ-UHFFFAOYSA-N 0 1 287.302 0.789 20 30 CCEDMN C=CCOCCCC(=O)NCCCNCc1ncnn1C ZINC001156159672 801119746 /nfs/dbraw/zinc/11/97/46/801119746.db2.gz FBZADTXHEZUBHA-UHFFFAOYSA-N 0 1 295.387 0.394 20 30 CCEDMN C#CCCCCC(=O)NCCCNCc1nnc(C)[nH]1 ZINC001156816823 801241090 /nfs/dbraw/zinc/24/10/90/801241090.db2.gz PAKCAYNPUZNHMB-UHFFFAOYSA-N 0 1 277.372 0.903 20 30 CCEDMN Cc1cnc(-n2c(C)ncc(CN)c2=N)c(C#N)c1 ZINC001156826237 801244151 /nfs/dbraw/zinc/24/41/51/801244151.db2.gz WXEYTIVZPGVZCG-UHFFFAOYSA-N 0 1 254.297 0.694 20 30 CCEDMN COC(=O)[C@@H](Cc1cnc[nH]1)NC(=O)C#CC(C)(C)C ZINC001157036551 801289691 /nfs/dbraw/zinc/28/96/91/801289691.db2.gz CMHBYKAZZSJRHU-LLVKDONJSA-N 0 1 277.324 0.660 20 30 CCEDMN Cn1ccnc1CCNC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001157423142 801379239 /nfs/dbraw/zinc/37/92/39/801379239.db2.gz OQFVDKBZRIILIZ-AWEZNQCLSA-N 0 1 297.362 0.520 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CNC(=O)OC ZINC001157604058 801430917 /nfs/dbraw/zinc/43/09/17/801430917.db2.gz OWCOCLLLYNHJAJ-GFCCVEGCSA-N 0 1 281.356 0.289 20 30 CCEDMN C#CCOCCC(=O)NCCCNCc1ccon1 ZINC001157846678 801501199 /nfs/dbraw/zinc/50/11/99/801501199.db2.gz XRLDYDOKWCKMTE-UHFFFAOYSA-N 0 1 265.313 0.310 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)CCNC(=O)NC ZINC001157913964 801518746 /nfs/dbraw/zinc/51/87/46/801518746.db2.gz TXFGXGYNLXBYKM-CYBMUJFWSA-N 0 1 294.399 0.252 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)Cn1nccn1 ZINC001158019042 801554659 /nfs/dbraw/zinc/55/46/59/801554659.db2.gz ORXKZUGRAUQMLJ-CYBMUJFWSA-N 0 1 275.356 0.224 20 30 CCEDMN N#Cc1ccc2ccnc(-n3nnnc3CN)c2c1 ZINC001158074878 801565120 /nfs/dbraw/zinc/56/51/20/801565120.db2.gz NHABPMRNSHYYQP-UHFFFAOYSA-N 0 1 251.253 0.541 20 30 CCEDMN N#CCNCCCNC(=O)Cc1n[nH]c2c1CCCC2 ZINC001158080083 801566426 /nfs/dbraw/zinc/56/64/26/801566426.db2.gz YABNGRPVSANNKO-UHFFFAOYSA-N 0 1 275.356 0.450 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)[C@H](CC)NC(C)=O ZINC001158508349 801659763 /nfs/dbraw/zinc/65/97/63/801659763.db2.gz UXWOUXFKJJNFSW-CABCVRRESA-N 0 1 293.411 0.847 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)c1cn(CC)nn1 ZINC001158660739 801680316 /nfs/dbraw/zinc/68/03/16/801680316.db2.gz QIPFQDLFSGJZIB-CYBMUJFWSA-N 0 1 289.383 0.858 20 30 CCEDMN C=C(C)CCC(=O)NCCCNCc1ncnn1C ZINC001159020605 801741010 /nfs/dbraw/zinc/74/10/10/801741010.db2.gz CKTVQLGQUJQZDN-UHFFFAOYSA-N 0 1 265.361 0.767 20 30 CCEDMN CN1CC(CNc2nc3c(cc2C#N)COCC3)C1 ZINC001159222094 801776472 /nfs/dbraw/zinc/77/64/72/801776472.db2.gz GLJBOAARLCEJBT-UHFFFAOYSA-N 0 1 258.325 0.999 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@@H]2CCOC2)CC1 ZINC001159488375 801817569 /nfs/dbraw/zinc/81/75/69/801817569.db2.gz NQWZEDPONSKQBK-CQSZACIVSA-N 0 1 262.353 0.795 20 30 CCEDMN C#CCOCCC(=O)N1C[C@H](O)C[C@H]1c1n[nH]c(CC)n1 ZINC001159624305 801840473 /nfs/dbraw/zinc/84/04/73/801840473.db2.gz JKQVDAJJMXSTLF-MNOVXSKESA-N 0 1 292.339 0.041 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)Cc2cc[nH]n2)CC1 ZINC001159652047 801842579 /nfs/dbraw/zinc/84/25/79/801842579.db2.gz XLOZRFPRSWFIDD-UHFFFAOYSA-N 0 1 272.352 0.724 20 30 CCEDMN CCN(CCC(N)=O)C(=O)[C@@H](N)Cc1ccccc1C#N ZINC001160470843 801945700 /nfs/dbraw/zinc/94/57/00/801945700.db2.gz IRRBNUWAMYLDFR-ZDUSSCGKSA-N 0 1 288.351 0.152 20 30 CCEDMN C#CCOCCOCCNc1ncc2nc(C)[nH]c2n1 ZINC001160671320 801997020 /nfs/dbraw/zinc/99/70/20/801997020.db2.gz LYSKMDNZGXEUPD-UHFFFAOYSA-N 0 1 275.312 0.691 20 30 CCEDMN C#C[C@](C)(N)C(=O)N1CCC[C@H](c2nc(CC)no2)C1 ZINC001160742478 802013717 /nfs/dbraw/zinc/01/37/17/802013717.db2.gz ODUXPGQJYCSEER-HZMBPMFUSA-N 0 1 276.340 0.689 20 30 CCEDMN CC(C)(C)OC[C@H](N)C(=O)N1C[C@@H](F)C[C@H]1C#N ZINC001161140154 802099480 /nfs/dbraw/zinc/09/94/80/802099480.db2.gz CFBFXYXXIUGSMA-GUBZILKMSA-N 0 1 257.309 0.591 20 30 CCEDMN C#CCN1CC=C(CCNC(=O)[C@H](C)OCCOC)CC1 ZINC001161369060 802139268 /nfs/dbraw/zinc/13/92/68/802139268.db2.gz LYEHDMAOWXCXRW-AWEZNQCLSA-N 0 1 294.395 0.810 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CCNC(=O)c2ncn[n-]2)CC1 ZINC001161509168 802168099 /nfs/dbraw/zinc/16/80/99/802168099.db2.gz KHGZFSWDILCZFG-UHFFFAOYSA-N 0 1 273.340 0.580 20 30 CCEDMN CO/N=C(\C(=O)N1CCNC2(CC2)C1)c1ccco1 ZINC001161544177 802173757 /nfs/dbraw/zinc/17/37/57/802173757.db2.gz VDBOCJCWDSRDPN-PTNGSMBKSA-N 0 1 263.297 0.595 20 30 CCEDMN C=CCN1CCC[C@H](NS(=O)(=O)C(F)F)C1=O ZINC001259962443 808825787 /nfs/dbraw/zinc/82/57/87/808825787.db2.gz KDJMVMNCUMECNQ-ZETCQYMHSA-N 0 1 268.285 0.305 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NCCCNCc1cnon1 ZINC001163344422 802539797 /nfs/dbraw/zinc/53/97/97/802539797.db2.gz GDTQREJGWGUWFE-CQSZACIVSA-N 0 1 296.371 0.894 20 30 CCEDMN C=CCOc1cc(C)nc(-n2c(C)nnc2CN)n1 ZINC001163348533 802541717 /nfs/dbraw/zinc/54/17/17/802541717.db2.gz GETZGDMQRUJLLX-UHFFFAOYSA-N 0 1 260.301 0.698 20 30 CCEDMN CCN1CCN(C2CN(C(=O)[C@H](C)CC#N)C2)CC1 ZINC001263951089 809612628 /nfs/dbraw/zinc/61/26/28/809612628.db2.gz SGXKXYSUYPUMPQ-GFCCVEGCSA-N 0 1 264.373 0.384 20 30 CCEDMN C[C@H](C#N)C(=O)NCCC1(CNCc2cnn(C)n2)CC1 ZINC001163854990 802625785 /nfs/dbraw/zinc/62/57/85/802625785.db2.gz WBNVCRXWRCKOGN-LLVKDONJSA-N 0 1 290.371 0.351 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@H](NC(C)=O)C(C)C)[C@H]1C ZINC001264728588 809674924 /nfs/dbraw/zinc/67/49/24/809674924.db2.gz OGAIPOHUIOKISS-VHDGCEQUSA-N 0 1 293.411 0.749 20 30 CCEDMN N#CNC(=Nc1cc2c(nn1)OCCO2)c1ccncc1 ZINC001164502618 802751818 /nfs/dbraw/zinc/75/18/18/802751818.db2.gz BXFQNBKAIQEDCN-UHFFFAOYSA-N 0 1 282.263 0.792 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1nc(C)ccc1C#N ZINC001165436867 802841146 /nfs/dbraw/zinc/84/11/46/802841146.db2.gz LRECYGBAOOXLTF-LLVKDONJSA-N 0 1 260.297 0.213 20 30 CCEDMN COC(=O)[C@H]1CNCCN1c1ccc2[nH]c(C#N)cc2n1 ZINC001165442963 802845309 /nfs/dbraw/zinc/84/53/09/802845309.db2.gz REHYIOMHFFDYLZ-GFCCVEGCSA-N 0 1 285.307 0.386 20 30 CCEDMN C[C@H](C#N)C(=O)NCC1(Nc2ncnc3[nH]cnc32)CCC1 ZINC001111780704 811748013 /nfs/dbraw/zinc/74/80/13/811748013.db2.gz GCRLSWVHIMPDOA-SECBINFHSA-N 0 1 299.338 0.963 20 30 CCEDMN C[C@@H](CCNCC#N)NC(=O)[C@H]1CCCCN1C ZINC001268378517 812531276 /nfs/dbraw/zinc/53/12/76/812531276.db2.gz KFIGMMIVRHYHQU-NWDGAFQWSA-N 0 1 252.362 0.479 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)C[C@H]2CCC(=O)N2)C1 ZINC001276439442 803064342 /nfs/dbraw/zinc/06/43/42/803064342.db2.gz DFKKOFQBPWODNH-WCQYABFASA-N 0 1 277.368 0.115 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(CC#CC)C[C@H]1C ZINC001206620343 803274744 /nfs/dbraw/zinc/27/47/44/803274744.db2.gz QBEQKXHLFDFIRA-CHWSQXEVSA-N 0 1 250.342 0.649 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN([C@H](CC)C(N)=O)C[C@H]1C ZINC001206911692 803305282 /nfs/dbraw/zinc/30/52/82/803305282.db2.gz ZIKJXHJVZNOFAP-JHJVBQTASA-N 0 1 279.384 0.490 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CC2(F)F)C1 ZINC001206932636 803311773 /nfs/dbraw/zinc/31/17/73/803311773.db2.gz MGLAUNKUZYCIOQ-GRYCIOLGSA-N 0 1 286.322 0.728 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CN(CC#CCOC)C[C@H]1C ZINC001206959831 803318932 /nfs/dbraw/zinc/31/89/32/803318932.db2.gz GWRBYWRHSNHYRH-HUUCEWRRSA-N 0 1 292.379 0.113 20 30 CCEDMN COCC#CC[N@H+]1C[C@@H](C)[C@H](NC(=O)c2cc[nH]c2)C1 ZINC001207037496 803339416 /nfs/dbraw/zinc/33/94/16/803339416.db2.gz KWXYVRCUSDDINX-TZMCWYRMSA-N 0 1 275.352 0.715 20 30 CCEDMN COCC#CCN1C[C@@H](C)[C@H](NC(=O)c2cc[nH]c2)C1 ZINC001207037496 803339423 /nfs/dbraw/zinc/33/94/23/803339423.db2.gz KWXYVRCUSDDINX-TZMCWYRMSA-N 0 1 275.352 0.715 20 30 CCEDMN COC(=O)CO[C@H]1CCN(Cc2cc(C#N)n(C)c2)C1 ZINC001207108204 803353101 /nfs/dbraw/zinc/35/31/01/803353101.db2.gz HROVQEJSBWWODI-ZDUSSCGKSA-N 0 1 277.324 0.661 20 30 CCEDMN N#Cc1ccc(CNCCN2CCNC2=S)c(F)c1 ZINC001207869500 803459933 /nfs/dbraw/zinc/45/99/33/803459933.db2.gz SFDCUKOHNVDYCM-UHFFFAOYSA-N 0 1 278.356 0.977 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@H]2C[C@@H]2C(F)F)[C@@H](O)C1 ZINC001083435674 814943144 /nfs/dbraw/zinc/94/31/44/814943144.db2.gz GCZUXFCBSPRGQG-MMWGEVLESA-N 0 1 274.311 0.625 20 30 CCEDMN C#CC[NH2+]C[C@H](NC(=O)c1ncccc1[O-])C1CC1 ZINC001276575241 803533288 /nfs/dbraw/zinc/53/32/88/803533288.db2.gz TVWRSULZFKFMBZ-NSHDSACASA-N 0 1 259.309 0.518 20 30 CCEDMN C=CCN(CC[N@H+]1CC[C@H](CO)C1)C(=O)OCC ZINC001209021567 803564425 /nfs/dbraw/zinc/56/44/25/803564425.db2.gz JGHJVSDZUSOKIW-LBPRGKRZSA-N 0 1 256.346 0.945 20 30 CCEDMN C=CCN(CC[N@@H+]1CCC(=O)N(C)CC1)C(=O)OCC ZINC001209021756 803564779 /nfs/dbraw/zinc/56/47/79/803564779.db2.gz LZVBHILTSYDGHP-UHFFFAOYSA-N 0 1 283.372 0.795 20 30 CCEDMN C=CCN(CCN1CCC(=O)N(C)CC1)C(=O)OCC ZINC001209021756 803564782 /nfs/dbraw/zinc/56/47/82/803564782.db2.gz LZVBHILTSYDGHP-UHFFFAOYSA-N 0 1 283.372 0.795 20 30 CCEDMN C=CCCCCCN1CC(N2CCNC(=O)C2)C1 ZINC001209428831 803603441 /nfs/dbraw/zinc/60/34/41/803603441.db2.gz NRUMHNFJFJLWGT-UHFFFAOYSA-N 0 1 251.374 0.849 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CNC(=O)[C@@H](C)CC)C1 ZINC001211094934 803668251 /nfs/dbraw/zinc/66/82/51/803668251.db2.gz ZTGPGIAEKKZWNP-YNEHKIRRSA-N 0 1 279.384 0.218 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CNC(=O)CC(C)(C)C)C1 ZINC001211138181 803669167 /nfs/dbraw/zinc/66/91/67/803669167.db2.gz CISCDBFRDPPIHH-CHWSQXEVSA-N 0 1 293.411 0.609 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)CCc2cnn(C)c2)C1 ZINC001211299875 803675205 /nfs/dbraw/zinc/67/52/05/803675205.db2.gz AAMOAMQHAMUMBC-TZMCWYRMSA-N 0 1 274.368 0.422 20 30 CCEDMN C=CCCC(=O)N1C[C@@H](C)[C@H](NCc2cnnn2C)C1 ZINC001214302095 803733514 /nfs/dbraw/zinc/73/35/14/803733514.db2.gz RIAROCGVTPDPPK-DGCLKSJQSA-N 0 1 277.372 0.718 20 30 CCEDMN N#Cc1ccc(-c2noc(-c3nnn4c3CNCC4)n2)nc1 ZINC001217215908 803878459 /nfs/dbraw/zinc/87/84/59/803878459.db2.gz CZVOBMBRZBORCI-UHFFFAOYSA-N 0 1 294.278 0.365 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(C[C@H](C)O)C[C@H]1OC ZINC001212022103 814978931 /nfs/dbraw/zinc/97/89/31/814978931.db2.gz AMNKCPSPUDLSTH-QJPTWQEYSA-N 0 1 256.346 0.149 20 30 CCEDMN C=CCOCC(=O)N[C@@H]1CN(CC(=C)C)C[C@H]1OC ZINC001212031938 814982453 /nfs/dbraw/zinc/98/24/53/814982453.db2.gz BSXYQSLZVAMFAC-CHWSQXEVSA-N 0 1 268.357 0.581 20 30 CCEDMN Cc1cc(C#N)c(NC(=O)[C@H](N)CCCNC(N)=O)s1 ZINC001218556204 804002799 /nfs/dbraw/zinc/00/27/99/804002799.db2.gz XDIVPDLJAPUCAG-SECBINFHSA-N 0 1 295.368 0.643 20 30 CCEDMN CO[C@@H]1CN(CCCF)C[C@H]1NC(=O)[C@H](C)C#N ZINC001212041474 814983484 /nfs/dbraw/zinc/98/34/84/814983484.db2.gz QRJNQWLRCJWGCU-GMTAPVOTSA-N 0 1 257.309 0.321 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2CCN(C(=O)[C@@H](C)C#N)C2)c1C ZINC000937760272 814991233 /nfs/dbraw/zinc/99/12/33/814991233.db2.gz BRSMESMBGXPGCQ-KWQFWETISA-N 0 1 289.339 0.517 20 30 CCEDMN COc1ccc(C#N)cc1NC(=O)C[C@H]1COCCN1 ZINC001218979681 804173646 /nfs/dbraw/zinc/17/36/46/804173646.db2.gz UKFWVAKQCBUHPL-NSHDSACASA-N 0 1 275.308 0.884 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)CCc2ccco2)[C@@H](O)C1 ZINC001219178728 804226437 /nfs/dbraw/zinc/22/64/37/804226437.db2.gz LDLYWVGTNFBIAZ-KGLIPLIRSA-N 0 1 278.352 0.950 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)/C(C)=C\CC)[C@@H](O)C1 ZINC001219294532 804261543 /nfs/dbraw/zinc/26/15/43/804261543.db2.gz HVZRQOKYPBPWMR-MENMGGHXSA-N 0 1 250.342 0.527 20 30 CCEDMN C=CCCC(=O)N[C@@H]1CN(CCOC(C)C)C[C@@H]1O ZINC001219346904 804281303 /nfs/dbraw/zinc/28/13/03/804281303.db2.gz ZRNAKFVVYSOVIO-OLZOCXBDSA-N 0 1 270.373 0.539 20 30 CCEDMN N#Cc1ccc(NC(=O)[C@@H]2CCCN2C(=O)CN)c(C#N)c1 ZINC001219394199 804297778 /nfs/dbraw/zinc/29/77/78/804297778.db2.gz YJAYUXWBIVQYPR-ZDUSSCGKSA-N 0 1 297.318 0.318 20 30 CCEDMN C[S@](=O)CC[C@H](N)C(=O)Nc1ccc(C#N)cc1C#N ZINC001219394230 804299078 /nfs/dbraw/zinc/29/90/78/804299078.db2.gz ZQQHUOHUSYYRDM-YBTHPKLGSA-N 0 1 290.348 0.464 20 30 CCEDMN C=CCC[N@@H+]1C[C@@H](NC(=O)CC2(OC)CCC2)[C@@H](O)C1 ZINC001219536457 804339359 /nfs/dbraw/zinc/33/93/59/804339359.db2.gz QXZDXODFRYDTNY-OLZOCXBDSA-N 0 1 282.384 0.683 20 30 CCEDMN C#CCCCC(=O)N[C@@H]1CN(C[C@H]2CCCCO2)C[C@@H]1O ZINC001219539261 804340991 /nfs/dbraw/zinc/34/09/91/804340991.db2.gz COOSQBNRQIKLBV-KFWWJZLASA-N 0 1 294.395 0.520 20 30 CCEDMN C[C@@H](F)CCN1C[C@@H](NC(=O)C#CC2CC2)[C@@H](O)C1 ZINC001219591458 804362461 /nfs/dbraw/zinc/36/24/61/804362461.db2.gz FSUFHKBVSDBHNN-RTXFEEFZSA-N 0 1 268.332 0.309 20 30 CCEDMN CCOC(=O)CCNC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001219690990 804404500 /nfs/dbraw/zinc/40/45/00/804404500.db2.gz GWDXJEWGRSSUQB-ZDUSSCGKSA-N 0 1 289.335 0.497 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)C(C)(C)C(=C)Cl)[C@@H](O)C1 ZINC001219702937 804409467 /nfs/dbraw/zinc/40/94/67/804409467.db2.gz NJRXBMAHASMMSD-NEPJUHHUSA-N 0 1 284.787 0.950 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)CCc2ccccn2)[C@@H](O)C1 ZINC001220125562 804505684 /nfs/dbraw/zinc/50/56/84/804505684.db2.gz VABZPQYRYKACBD-CABCVRRESA-N 0 1 287.363 0.199 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCC[C@H](C)O)C[C@@H]1O ZINC001220142899 804511247 /nfs/dbraw/zinc/51/12/47/804511247.db2.gz MGJJFLKCORQDGR-XQQFMLRXSA-N 0 1 284.400 0.521 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CN(CCCOCC)C[C@@H]1O ZINC001220147591 804514463 /nfs/dbraw/zinc/51/44/63/804514463.db2.gz FOSATNJTECUXNN-OLZOCXBDSA-N 0 1 284.400 0.787 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@@H]1CCC[C@H](C(N)=O)C1 ZINC001272125513 815022421 /nfs/dbraw/zinc/02/24/21/815022421.db2.gz HJNBABRTALBIMS-QWHCGFSZSA-N 0 1 279.384 0.302 20 30 CCEDMN C=CCC1(C(=O)N[C@@H]2CN(C[C@H](C)O)C[C@@H]2O)CCC1 ZINC001220295064 804564239 /nfs/dbraw/zinc/56/42/39/804564239.db2.gz GGELABZNJCNTOT-XQQFMLRXSA-N 0 1 282.384 0.275 20 30 CCEDMN CCOC(=O)[C@@H](C#N)NC(=O)[C@@H](N)c1ccccc1 ZINC001220499380 804617170 /nfs/dbraw/zinc/61/71/70/804617170.db2.gz XGQMEPHAAKXGBK-MNOVXSKESA-N 0 1 261.281 0.258 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)[C@H]1CCO[C@@H](C)C1 ZINC001272138380 815026614 /nfs/dbraw/zinc/02/66/14/815026614.db2.gz UXIYEHOLSJCDPR-GJZGRUSLSA-N 0 1 296.411 0.842 20 30 CCEDMN C=C[C@@H]1C[C@@]1(NC(=O)[C@@H](N)COC(C)(C)C)C(=O)OCC ZINC001220573879 804628493 /nfs/dbraw/zinc/62/84/93/804628493.db2.gz ZOIKMTLGYZWBEP-ZETOZRRWSA-N 0 1 298.383 0.753 20 30 CCEDMN N[C@@H](Cc1ccccc1)C(=O)NCC(=O)NCCCS ZINC001220588094 804632097 /nfs/dbraw/zinc/63/20/97/804632097.db2.gz QHEADIPCMUKPAS-LBPRGKRZSA-N 0 1 295.408 0.109 20 30 CCEDMN C=CCCC[N@H+]1C[C@@H](NC(=O)Cn2cc[nH+]c2C)[C@@H](O)C1 ZINC001220979038 804711962 /nfs/dbraw/zinc/71/19/62/804711962.db2.gz BOELHZLHYNLXHC-KGLIPLIRSA-N 0 1 292.383 0.319 20 30 CCEDMN CC[C@H](CO)NC(=O)[C@@H](N)Cc1ccccc1C#N ZINC001221380600 804795514 /nfs/dbraw/zinc/79/55/14/804795514.db2.gz UDNDRSSUIWMJQY-OLZOCXBDSA-N 0 1 261.325 0.315 20 30 CCEDMN COCCOCCN1CC[C@@H]2CN(C(=O)[C@H](C)C#N)[C@@H]2C1 ZINC001221446939 804819762 /nfs/dbraw/zinc/81/97/62/804819762.db2.gz ZSPSNJPTVHKXLG-MGPQQGTHSA-N 0 1 295.383 0.342 20 30 CCEDMN CCN(CC)C[C@H](F)C(=O)N1C[C@H]2CCN(CC#N)C[C@H]21 ZINC001221587726 804831077 /nfs/dbraw/zinc/83/10/77/804831077.db2.gz GFIKKAQHMWDZJF-HZSPNIEDSA-N 0 1 296.390 0.723 20 30 CCEDMN C=CCCCC(=O)N1C[C@H]2CCN(CCOCCO)C[C@H]21 ZINC001221779886 804844481 /nfs/dbraw/zinc/84/44/81/804844481.db2.gz RHEQIQCDOBQWEA-HUUCEWRRSA-N 0 1 296.411 0.884 20 30 CCEDMN C=CCOCC(=O)NCC1CCN(CCF)CC1 ZINC001222636447 804921902 /nfs/dbraw/zinc/92/19/02/804921902.db2.gz MYFOLIWZACBTCK-UHFFFAOYSA-N 0 1 258.337 0.987 20 30 CCEDMN CC(C)(C)OC(=O)N1C[C@@H](C#N)[C@H](OCc2nn[nH]n2)C1 ZINC001223030336 804949157 /nfs/dbraw/zinc/94/91/57/804949157.db2.gz VYOBELAQWSUBGR-RKDXNWHRSA-N 0 1 294.315 0.475 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1CCN([C@H](C)C(N)=O)CC1 ZINC001224051355 805004252 /nfs/dbraw/zinc/00/42/52/805004252.db2.gz SQYBMPYHJFCDBH-LLVKDONJSA-N 0 1 281.400 0.901 20 30 CCEDMN C=CC(C)(C)C(=O)NCC1CCN(CC(=O)NC)CC1 ZINC001224051194 805004811 /nfs/dbraw/zinc/00/48/11/805004811.db2.gz OEMKEXCPFADBKW-UHFFFAOYSA-N 0 1 281.400 0.773 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)C1(C(=O)NC)CC1 ZINC001276941412 805005094 /nfs/dbraw/zinc/00/50/94/805005094.db2.gz PAAWRQNROKFIFW-NSHDSACASA-N 0 1 265.357 0.279 20 30 CCEDMN C=CCn1cc(CN2C[C@H]3C[C@H](CC(N)=O)O[C@H]3C2)cn1 ZINC001277018763 805106001 /nfs/dbraw/zinc/10/60/01/805106001.db2.gz PHNOKZIIVCCMAV-MCIONIFRSA-N 0 1 290.367 0.534 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cn3c(n2)COCC3)[C@H]1C ZINC001088662661 815052491 /nfs/dbraw/zinc/05/24/91/815052491.db2.gz RGBKHFGZCIHJFL-NEPJUHHUSA-N 0 1 290.367 0.792 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C2=COCCO2)CC1 ZINC001225890387 805173464 /nfs/dbraw/zinc/17/34/64/805173464.db2.gz RZQBQZDGGLWGSH-UHFFFAOYSA-N 0 1 294.351 0.105 20 30 CCEDMN N#CCNC[C@H]1C[C@H](NC(=O)c2ccc3[nH]nnc3c2)C1 ZINC001277087721 805181113 /nfs/dbraw/zinc/18/11/13/805181113.db2.gz PEIGCUCXDQPKOL-HOMQSWHASA-N 0 1 284.323 0.579 20 30 CCEDMN C#CCNC1(CNC(=O)C(=O)Nc2ccccc2)CC1 ZINC001277102400 805197161 /nfs/dbraw/zinc/19/71/61/805197161.db2.gz UAEYQVVCJZUTLI-UHFFFAOYSA-N 0 1 271.320 0.497 20 30 CCEDMN COCC#CCN1CCC(NC(=O)C[C@H]2CCCO2)CC1 ZINC001226188374 805203019 /nfs/dbraw/zinc/20/30/19/805203019.db2.gz ZNGAARLHTDNFJV-OAHLLOKOSA-N 0 1 294.395 0.786 20 30 CCEDMN COCC#CCN1CCC(NC(=O)c2ncoc2C)CC1 ZINC001226309997 805217310 /nfs/dbraw/zinc/21/73/10/805217310.db2.gz TZPQGVUQHAGMCG-UHFFFAOYSA-N 0 1 291.351 0.827 20 30 CCEDMN CC1(CC(=O)NCC2(NCC(=O)NCC#N)CC2)CC1 ZINC001277120129 805221319 /nfs/dbraw/zinc/22/13/19/805221319.db2.gz UEFQAOBITVJYGO-UHFFFAOYSA-N 0 1 278.356 0.055 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@H](F)C(C)C)CC1 ZINC001277141214 805255078 /nfs/dbraw/zinc/25/50/78/805255078.db2.gz NMBYVCPEMQXYGV-VXGBXAGGSA-N 0 1 297.374 0.357 20 30 CCEDMN C[C@H](C#N)C(=O)NC1CCN([C@H](C)C(=O)N(C)C)CC1 ZINC001226640931 805260235 /nfs/dbraw/zinc/26/02/35/805260235.db2.gz RMTVDYGTKSKYQG-GHMZBOCLSA-N 0 1 280.372 0.203 20 30 CCEDMN N#CCN1CC[C@@H](Oc2nc3[nH]cnc3c(=S)[nH]2)C1 ZINC001226784214 805281447 /nfs/dbraw/zinc/28/14/47/805281447.db2.gz FJUJYLZDTQRYHP-SSDOTTSWSA-N 0 1 276.325 0.618 20 30 CCEDMN CN(CCN(C)c1nccnc1C#N)C(=O)Cc1c[nH]cn1 ZINC001105576259 815063504 /nfs/dbraw/zinc/06/35/04/815063504.db2.gz WGPITKMWKZZQQK-UHFFFAOYSA-N 0 1 299.338 0.209 20 30 CCEDMN N#CCN1CC[C@@H](Oc2nc(=O)[nH]c3c2COC3)C1 ZINC001227686106 805377783 /nfs/dbraw/zinc/37/77/83/805377783.db2.gz GJUIKIKDMGPAFQ-MRVPVSSYSA-N 0 1 262.269 0.189 20 30 CCEDMN CC[C@H](C#CC(=O)OC)Oc1c[nH]c(C(=O)OC)cc1=O ZINC001227787169 805390089 /nfs/dbraw/zinc/39/00/89/805390089.db2.gz ZDRHYVKBKPXITP-SECBINFHSA-N 0 1 293.275 0.495 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1nnccc1C(=O)OC ZINC001228788440 805480190 /nfs/dbraw/zinc/48/01/90/805480190.db2.gz NYDIXTSZOYIESR-ZCFIWIBFSA-N 0 1 264.237 0.861 20 30 CCEDMN C[C@H](C[NH+]1CCOCC1)Oc1[n-]c(=O)ccc1C#N ZINC001228898886 805488515 /nfs/dbraw/zinc/48/85/15/805488515.db2.gz OKVJGSJSODVEGJ-SNVBAGLBSA-N 0 1 263.297 0.758 20 30 CCEDMN CC#CCN1CCC(NC(=O)[C@H](COC)OC)CC1 ZINC001229103337 805503740 /nfs/dbraw/zinc/50/37/40/805503740.db2.gz ZGVCTWXMBNDODP-ZDUSSCGKSA-N 0 1 268.357 0.252 20 30 CCEDMN N#CCC1CN(C(=O)[C@]23C[C@H]2CCN3Cc2c[nH]nn2)C1 ZINC001277380277 805526953 /nfs/dbraw/zinc/52/69/53/805526953.db2.gz ZVTQZAQVILMDEU-RISCZKNCSA-N 0 1 286.339 0.141 20 30 CCEDMN C#CCN1CCC(NC(=O)CCNC(=O)C2CCC2)CC1 ZINC001229576305 805542386 /nfs/dbraw/zinc/54/23/86/805542386.db2.gz YCCAZBVFTFGHNS-UHFFFAOYSA-N 0 1 291.395 0.507 20 30 CCEDMN C=CCN1CCC[C@H](NC(=O)C(C)(C)C(N)=O)[C@@H]1C ZINC001278511461 807536374 /nfs/dbraw/zinc/53/63/74/807536374.db2.gz VFZIDECAVFARKL-QWRGUYRKSA-N 0 1 267.373 0.653 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cccc(C(N)=O)c3)[C@@H]2C1 ZINC001075961483 815128824 /nfs/dbraw/zinc/12/88/24/815128824.db2.gz PTDIIVJOFDQHIR-LSDHHAIUSA-N 0 1 297.358 0.565 20 30 CCEDMN CC(C)(C)OC(=O)N1CC[C@](O)(CNCCC#N)C1 ZINC001251365935 807680310 /nfs/dbraw/zinc/68/03/10/807680310.db2.gz SQNSCVBGESJVLU-ZDUSSCGKSA-N 0 1 269.345 0.862 20 30 CCEDMN CCOC(=O)C[C@@H]1CCCN1C(=O)NCC#CCN(C)C ZINC001251707827 807696836 /nfs/dbraw/zinc/69/68/36/807696836.db2.gz HHMBAEDWYDHYPD-ZDUSSCGKSA-N 0 1 295.383 0.679 20 30 CCEDMN CN(C)CC#CCNC(=O)NC[C@@](C)(O)C1CC1 ZINC001251708142 807697075 /nfs/dbraw/zinc/69/70/75/807697075.db2.gz LPHGIOWXBZXMOE-CYBMUJFWSA-N 0 1 253.346 0.012 20 30 CCEDMN C#CCOC[C@@H](O)CNc1[nH]nc2nc(C)ccc21 ZINC001251823405 807710339 /nfs/dbraw/zinc/71/03/39/807710339.db2.gz FUKZIFLGZADAFB-JTQLQIEISA-N 0 1 260.297 0.689 20 30 CCEDMN C#CCOC[C@@H](O)CN1CCN(C)[C@H](c2ccccc2)C1 ZINC001251847792 807718856 /nfs/dbraw/zinc/71/88/56/807718856.db2.gz MRMOSONNFUICMS-IRXDYDNUSA-N 0 1 288.391 0.986 20 30 CCEDMN C#CCOC[C@H](O)CNCc1cccc(C(=O)OCC)n1 ZINC001251890801 807736656 /nfs/dbraw/zinc/73/66/56/807736656.db2.gz HZKUDPCIQKLMKL-CYBMUJFWSA-N 0 1 292.335 0.359 20 30 CCEDMN C#CCOC[C@H](O)CNCc1nc(C)ccc1F ZINC001251890409 807736681 /nfs/dbraw/zinc/73/66/81/807736681.db2.gz BDLORNBCNJJLLE-LLVKDONJSA-N 0 1 252.289 0.629 20 30 CCEDMN C#CCOC[C@H](O)CN[C@@H]1COc2c1cccc2OC ZINC001251906741 807745127 /nfs/dbraw/zinc/74/51/27/807745127.db2.gz LGWPZLQKWRYFEO-DGCLKSJQSA-N 0 1 277.320 0.729 20 30 CCEDMN COC(=O)[C@@H](O)CNc1sc2c(c1C#N)CCN(C)C2 ZINC001252407107 807822080 /nfs/dbraw/zinc/82/20/80/807822080.db2.gz FDKDSGJUBTTZRI-JTQLQIEISA-N 0 1 295.364 0.553 20 30 CCEDMN C=CCOC[C@H](O)CNCc1nnc2c(C)cccn12 ZINC001252451664 807868786 /nfs/dbraw/zinc/86/87/86/807868786.db2.gz UKWYSNIBAJKUSD-GFCCVEGCSA-N 0 1 276.340 0.691 20 30 CCEDMN C=CCOC[C@H](O)CN1CCCN(C(=O)C2CCC2)CC1 ZINC001252466338 807877154 /nfs/dbraw/zinc/87/71/54/807877154.db2.gz OPWYNEPTPRJBTK-OAHLLOKOSA-N 0 1 296.411 0.884 20 30 CCEDMN C=CCOC[C@@H](O)CN1CCC[C@H]1C(=O)NCC ZINC001252472327 807883843 /nfs/dbraw/zinc/88/38/43/807883843.db2.gz QYAWYOIKXXZQSG-RYUDHWBXSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCOC[C@@H](O)CN[C@H]1Cc2ccccc2NC1=O ZINC001252481802 807886915 /nfs/dbraw/zinc/88/69/15/807886915.db2.gz GHRGEASBXCDDRP-JSGCOSHPSA-N 0 1 276.336 0.703 20 30 CCEDMN C=CC[N@@H+]1CCC[C@](C)(CNC(=O)[C@H]2CCC(=O)NC2)C1 ZINC001278668575 807888652 /nfs/dbraw/zinc/88/86/52/807888652.db2.gz PDHTUMKGUQYDFH-XJKSGUPXSA-N 0 1 293.411 0.917 20 30 CCEDMN C=CCOC[C@@H](O)CNCc1ccnc(OCC2CC2)n1 ZINC001252496610 807895133 /nfs/dbraw/zinc/89/51/33/807895133.db2.gz AULGWDOKUFOLDZ-AWEZNQCLSA-N 0 1 293.367 0.919 20 30 CCEDMN C=CCOC[C@H](O)CN[C@H](CO)c1ccccc1OC ZINC001252496779 807895294 /nfs/dbraw/zinc/89/52/94/807895294.db2.gz DWPVHMXYYSQUTM-TZMCWYRMSA-N 0 1 281.352 0.882 20 30 CCEDMN C=C[C@@](C)(O)CNC1(C(=O)OC)CCN(C)CC1 ZINC001252576245 807913203 /nfs/dbraw/zinc/91/32/03/807913203.db2.gz IGHBTOXPEFROQR-GFCCVEGCSA-N 0 1 256.346 0.150 20 30 CCEDMN C=CCC[C@H](O)CN[C@@H](Cc1cnc[nH]1)C(=O)OC ZINC001252612740 807919259 /nfs/dbraw/zinc/91/92/59/807919259.db2.gz QYGVUDGKSCQZEQ-RYUDHWBXSA-N 0 1 267.329 0.411 20 30 CCEDMN C=CCC[C@H](O)CNCc1cn(CCOC)cn1 ZINC001252621380 807921868 /nfs/dbraw/zinc/92/18/68/807921868.db2.gz ANQPFFYYCLGDAO-ZDUSSCGKSA-N 0 1 253.346 0.946 20 30 CCEDMN C#CCN1CCC[C@@](C)(CNC(=O)C2(C(=O)NC)CC2)C1 ZINC001278681814 807954577 /nfs/dbraw/zinc/95/45/77/807954577.db2.gz LWQFNGUNIFFBOA-HNNXBMFYSA-N 0 1 291.395 0.364 20 30 CCEDMN C=C[C@H](O)CN1C[C@@H](O)C[C@H](NC(=O)OC(C)(C)C)C1 ZINC001253568259 808080672 /nfs/dbraw/zinc/08/06/72/808080672.db2.gz ZFXJFRWDJDMMMP-SRVKXCTJSA-N 0 1 286.372 0.493 20 30 CCEDMN Cc1oncc1CNC[C@H](O)CN(C)C(=O)C#CC1CC1 ZINC001278756679 808168972 /nfs/dbraw/zinc/16/89/72/808168972.db2.gz UDAQJZHMSQIBSQ-AWEZNQCLSA-N 0 1 291.351 0.305 20 30 CCEDMN COCC(=O)N[C@@H]1CCCN([C@H]2CC[C@@H](C#N)C2)C1 ZINC001254676040 808273522 /nfs/dbraw/zinc/27/35/22/808273522.db2.gz ILOGWAYKBMZDAC-UPJWGTAASA-N 0 1 265.357 0.906 20 30 CCEDMN C=CCN1CC[C@@](O)(CNC(=O)c2cc(C3CC3)no2)C1 ZINC001278809822 808278233 /nfs/dbraw/zinc/27/82/33/808278233.db2.gz HNKNGPRUKTUCAZ-OAHLLOKOSA-N 0 1 291.351 0.905 20 30 CCEDMN C#CCN1CC[C@](O)(CNC(=O)C2(F)CCCCC2)C1 ZINC001278815803 808281326 /nfs/dbraw/zinc/28/13/26/808281326.db2.gz JNRUMBPUJMVDDJ-AWEZNQCLSA-N 0 1 282.359 0.845 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)C(C)(CC)CC)C1 ZINC001278826963 808299140 /nfs/dbraw/zinc/29/91/40/808299140.db2.gz CGWNCFQQZACVKZ-OAHLLOKOSA-N 0 1 266.385 0.999 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)/C=C(\C)C1CC1 ZINC001278849648 808329958 /nfs/dbraw/zinc/32/99/58/808329958.db2.gz HRQFSWJKWVTISC-TZIYXEQSSA-N 0 1 264.369 0.727 20 30 CCEDMN C=C(C)CCN1CC(O)(CNC(=O)[C@@H]2CCCCN2C)C1 ZINC001278883740 808426349 /nfs/dbraw/zinc/42/63/49/808426349.db2.gz QZSQSVJTQWZOCV-AWEZNQCLSA-N 0 1 295.427 0.600 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)Cc2cnoc2)C1 ZINC001278900742 808446830 /nfs/dbraw/zinc/44/68/30/808446830.db2.gz XULDROMZIPKMLX-LBPRGKRZSA-N 0 1 293.367 0.735 20 30 CCEDMN C=CCn1cccc1C(=O)N[C@@H]1CN(CCOC)C[C@@H]1O ZINC001083526456 815213455 /nfs/dbraw/zinc/21/34/55/815213455.db2.gz SSMYOZDYDHFJLC-OCCSQVGLSA-N 0 1 293.367 0.095 20 30 CCEDMN C[C@H](O)[C@@H](NC(=O)NCC#CCN(C)C)c1ccccc1 ZINC001256584484 808537698 /nfs/dbraw/zinc/53/76/98/808537698.db2.gz DQKWHWJZGZAIGD-DZGCQCFKSA-N 0 1 289.379 0.973 20 30 CCEDMN COC(=O)[C@@H]1CN(C2CCC(C#N)CC2)CCN1C(C)=O ZINC001256971871 808574117 /nfs/dbraw/zinc/57/41/17/808574117.db2.gz NQVDMSCIGHCYTF-RUXDESIVSA-N 0 1 293.367 0.774 20 30 CCEDMN C#CCNC(=O)CN1CCC([C@@H](C)NC(=O)C2CC2)CC1 ZINC001279056090 808641624 /nfs/dbraw/zinc/64/16/24/808641624.db2.gz OCJSVYZNMBBZMS-GFCCVEGCSA-N 0 1 291.395 0.363 20 30 CCEDMN Cn1ncc(NS(=O)(=O)C2CCCC2)c1C#N ZINC001259794556 808770895 /nfs/dbraw/zinc/77/08/95/808770895.db2.gz GVJHJZTUHSISQV-UHFFFAOYSA-N 0 1 254.315 0.976 20 30 CCEDMN Cn1cc(S(=O)(=O)N2CCC3SC(=O)C=C3C2)cn1 ZINC001259822019 808778269 /nfs/dbraw/zinc/77/82/69/808778269.db2.gz CPZXJAAKJGCACJ-JTQLQIEISA-N 0 1 299.377 0.383 20 30 CCEDMN CS(=O)(=O)CS(=O)(=O)Nc1cc(C#N)ccc1F ZINC001260591880 808870453 /nfs/dbraw/zinc/87/04/53/808870453.db2.gz QFKUDDKMGATLDU-UHFFFAOYSA-N 0 1 292.313 0.441 20 30 CCEDMN N#Cc1cnn2cc(CN3C[C@H]4[C@H](CO)[C@H]4C3)cnc12 ZINC001261494282 808997726 /nfs/dbraw/zinc/99/77/26/808997726.db2.gz LBWLGPMSGTWGIA-ITGUQSILSA-N 0 1 269.308 0.271 20 30 CCEDMN N#Cc1ccc(CN2C[C@@H]3CCN(C(=O)C(N)=O)[C@@H]3C2)cc1 ZINC001076198833 815257366 /nfs/dbraw/zinc/25/73/66/815257366.db2.gz PKJMROABLDAHIS-UONOGXRCSA-N 0 1 298.346 0.076 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cncc(C#C)c3)[C@@H]2C1 ZINC001076223383 815261270 /nfs/dbraw/zinc/26/12/70/815261270.db2.gz WPNRHPYJTANQFW-GOEBONIOSA-N 0 1 279.343 0.842 20 30 CCEDMN C#CCN1CCC(C(=O)N2C[C@H](OC)C[C@@]2(C)CO)CC1 ZINC001261884983 809119920 /nfs/dbraw/zinc/11/99/20/809119920.db2.gz UWWSALWWFASLDE-ZBFHGGJFSA-N 0 1 294.395 0.330 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H]3CC[C@@H](C(N)=O)C3)[C@@H]2C1 ZINC001076247863 815264919 /nfs/dbraw/zinc/26/49/19/815264919.db2.gz KDIVZJVAXNHSKP-ZOBORPQBSA-N 0 1 289.379 0.054 20 30 CCEDMN Cc1ncsc1/C=C/C(=O)N[C@@H]1CNC[C@H]1C#N ZINC001263105499 809446341 /nfs/dbraw/zinc/44/63/41/809446341.db2.gz QEGVTWXXYNIEMB-HLKOZVMDSA-N 0 1 262.338 0.693 20 30 CCEDMN C[C@@H](CCNC(=O)C#CC1CC1)NC(=O)c1[nH]ncc1F ZINC001076428642 815295735 /nfs/dbraw/zinc/29/57/35/815295735.db2.gz FCSCUNHWZJFOED-VIFPVBQESA-N 0 1 292.314 0.587 20 30 CCEDMN C=CCCC(=O)NC[C@H]1C[C@H](N[C@@H](C)c2nnnn2C)C1 ZINC001263857346 809590012 /nfs/dbraw/zinc/59/00/12/809590012.db2.gz OQNVHWOMONUNMX-SRVKXCTJSA-N 0 1 292.387 0.722 20 30 CCEDMN C=C(C)[C@H](CC(=O)NC[C@@H](O)c1cnc[nH]1)OCC ZINC001263909895 809604668 /nfs/dbraw/zinc/60/46/68/809604668.db2.gz JKNNIHAGAYBCPH-NEPJUHHUSA-N 0 1 267.329 0.931 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)C#CC(C)C)C1 ZINC001264074704 809628296 /nfs/dbraw/zinc/62/82/96/809628296.db2.gz HQLFLUKSZQXKSV-HNNXBMFYSA-N 0 1 276.380 0.564 20 30 CCEDMN N#C[C@@H](NS(=O)(=O)c1ncc[nH]1)c1ccccc1 ZINC000386227955 809638786 /nfs/dbraw/zinc/63/87/86/809638786.db2.gz PILQPCJSZVUKRJ-SNVBAGLBSA-N 0 1 262.294 0.953 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)CCN1C(=O)CCC1=O ZINC001264364797 809642919 /nfs/dbraw/zinc/64/29/19/809642919.db2.gz KLAKHGSXIBNWMH-GFCCVEGCSA-N 0 1 293.367 0.244 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)CCCC(=O)NCC ZINC001264376750 809646382 /nfs/dbraw/zinc/64/63/82/809646382.db2.gz OBPSEYUIYOMWOR-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN C#CCN(CCO)[C@@H]1CCCN(C(=O)CC[C@@H](C)OC)C1 ZINC001264630808 809666334 /nfs/dbraw/zinc/66/63/34/809666334.db2.gz VJWWBLCAMHMKLG-HUUCEWRRSA-N 0 1 296.411 0.720 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@H]1CC12CC2 ZINC001265041362 809699103 /nfs/dbraw/zinc/69/91/03/809699103.db2.gz WLFDWVYIAFVHKF-QWHCGFSZSA-N 0 1 293.411 0.915 20 30 CCEDMN C[C@@H](NCC#N)[C@H]1CCCCN1C(=O)Cc1ncn[nH]1 ZINC001265091255 809706502 /nfs/dbraw/zinc/70/65/02/809706502.db2.gz DFFJFIHCJPGJQL-GHMZBOCLSA-N 0 1 276.344 0.230 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@H]2C[C@H](C)CO2)CC1 ZINC001265246416 809731352 /nfs/dbraw/zinc/73/13/52/809731352.db2.gz UFSHKYSHBMJKLK-LSDHHAIUSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@H]2C[C@@H](C)CO2)CC1 ZINC001265246415 809731395 /nfs/dbraw/zinc/73/13/95/809731395.db2.gz UFSHKYSHBMJKLK-HUUCEWRRSA-N 0 1 293.411 0.169 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@H](C)n2cccn2)C1 ZINC001265311024 809754812 /nfs/dbraw/zinc/75/48/12/809754812.db2.gz ZPFCOTCZEPKEEI-STQMWFEESA-N 0 1 260.341 0.658 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)[C@@H]2CCNC2=O)CC1 ZINC001265340085 809759970 /nfs/dbraw/zinc/75/99/70/809759970.db2.gz FHZQVHDCOQQJOD-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)[C@@H]1CCNC1=O)C1CC1 ZINC001265366754 809765908 /nfs/dbraw/zinc/76/59/08/809765908.db2.gz TVWMUBVHDSUKPW-GHMZBOCLSA-N 0 1 285.775 0.359 20 30 CCEDMN CC[C@@H](OC)C(=O)NC[C@@H]1CCCN(CC#CCOC)C1 ZINC001265577190 809807754 /nfs/dbraw/zinc/80/77/54/809807754.db2.gz CWZKPMMAZZKRAV-LSDHHAIUSA-N 0 1 296.411 0.889 20 30 CCEDMN C#CCNC(=O)CN1CCC[C@H](CNC(=O)CCC=C)C1 ZINC001265578691 809808655 /nfs/dbraw/zinc/80/86/55/809808655.db2.gz ZXQNJKXWGFBGJS-CQSZACIVSA-N 0 1 291.395 0.530 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H]2CCCN(CC(=O)NC)C2)C1 ZINC001265590818 809809970 /nfs/dbraw/zinc/80/99/70/809809970.db2.gz ICUSQPHXQORYSX-ZDUSSCGKSA-N 0 1 293.411 0.917 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)c3cc(N(C)C)ccn3)[C@@H]2C1 ZINC001076625947 815321112 /nfs/dbraw/zinc/32/11/12/815321112.db2.gz IXZPPEPCTSLMJB-XJKSGUPXSA-N 0 1 298.390 0.927 20 30 CCEDMN C=C(Cl)CN[C@H](C)CNC(=O)Cc1c[nH]cn1 ZINC001265830305 809867757 /nfs/dbraw/zinc/86/77/57/809867757.db2.gz SCCVGEHOHVDEIH-SECBINFHSA-N 0 1 256.737 0.799 20 30 CCEDMN C#CCNC(=O)CN(C)CCCNC(=O)C1(CF)CCC1 ZINC001265925798 809896311 /nfs/dbraw/zinc/89/63/11/809896311.db2.gz XAQZYEFKCOFPLV-UHFFFAOYSA-N 0 1 297.374 0.314 20 30 CCEDMN CNC(=O)[C@@H](C)N(CCCNC(=O)C#CC(C)C)C1CC1 ZINC001266135370 809944543 /nfs/dbraw/zinc/94/45/43/809944543.db2.gz HTEOXEGYTRRJML-CYBMUJFWSA-N 0 1 293.411 0.751 20 30 CCEDMN C#CCO[C@@H](C)C(=O)NCC[C@@H](C)NCc1nonc1C ZINC001266144384 809946958 /nfs/dbraw/zinc/94/69/58/809946958.db2.gz QTZQTWJMIPFQKU-PWSUYJOCSA-N 0 1 294.355 0.401 20 30 CCEDMN N#CCN1CCC[C@@H]1CCCNC(=O)Cc1nnc[nH]1 ZINC001266240692 809970604 /nfs/dbraw/zinc/97/06/04/809970604.db2.gz AMOORVBBXQJQDJ-NSHDSACASA-N 0 1 276.344 0.232 20 30 CCEDMN N#CCNC1CCN(CCNC(=O)Cc2ccoc2)CC1 ZINC001279425370 809978363 /nfs/dbraw/zinc/97/83/63/809978363.db2.gz YBZOPYJAFIABHT-UHFFFAOYSA-N 0 1 290.367 0.516 20 30 CCEDMN C=CCN1CCC[C@@](CO)(NC(=O)c2ccc[nH]2)C1 ZINC001279463393 809981100 /nfs/dbraw/zinc/98/11/00/809981100.db2.gz ZGVYPTQWUZKNMZ-CQSZACIVSA-N 0 1 263.341 0.757 20 30 CCEDMN C#CCCN1CCC[C@](CO)(NC(=O)[C@H](CCC)OC)C1 ZINC001279500407 809984813 /nfs/dbraw/zinc/98/48/13/809984813.db2.gz SGGKYUOSNAYRDT-HOCLYGCPSA-N 0 1 296.411 0.768 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)C2CCOCC2)C1 ZINC001076674208 815340338 /nfs/dbraw/zinc/34/03/38/815340338.db2.gz VSFKDEQBBJLOFP-CHWSQXEVSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)Cc2cc(C)on2)C1 ZINC001076817107 815381355 /nfs/dbraw/zinc/38/13/55/815381355.db2.gz GHSOUZVAQFGRRW-ZIAGYGMSSA-N 0 1 293.367 0.653 20 30 CCEDMN C=C(C)CC[N@H+]1C[C@@H](O)[C@H](NC(=O)c2[nH]nc(C)c2C)C1 ZINC001076819920 815387689 /nfs/dbraw/zinc/38/76/89/815387689.db2.gz FLSBZGOPAPEOQX-CHWSQXEVSA-N 0 1 292.383 0.768 20 30 CCEDMN C=CCCC(=O)NC[C@H]1CCCN1[C@H](C)C(=O)NC ZINC001267264085 811065016 /nfs/dbraw/zinc/06/50/16/811065016.db2.gz PQPREWYWAKDZGK-VXGBXAGGSA-N 0 1 267.373 0.668 20 30 CCEDMN C=CCN1CCC[C@@H]1CNC(=O)C(C)(C)NC(C)=O ZINC001267277982 811084390 /nfs/dbraw/zinc/08/43/90/811084390.db2.gz DGWHFGYLTKKZMY-GFCCVEGCSA-N 0 1 267.373 0.668 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ncnc2cc(C#N)ccc21 ZINC001167672132 811119359 /nfs/dbraw/zinc/11/93/59/811119359.db2.gz GIRYWKFTYLSTRE-UHFFFAOYSA-N 0 1 291.318 0.934 20 30 CCEDMN C#CCC[N@@H+](CCC)CCNC(=O)c1[nH]nc(C)c1[O-] ZINC001267313080 811138070 /nfs/dbraw/zinc/13/80/70/811138070.db2.gz XWJSPDFMAPECDA-UHFFFAOYSA-N 0 1 278.356 0.889 20 30 CCEDMN C#CCC[N@H+](CCC)CCNC(=O)c1n[nH]c(C)c1[O-] ZINC001267313080 811138074 /nfs/dbraw/zinc/13/80/74/811138074.db2.gz XWJSPDFMAPECDA-UHFFFAOYSA-N 0 1 278.356 0.889 20 30 CCEDMN C#CCC[N@@H+](CCC)CCNC(=O)c1n[nH]c(C)c1[O-] ZINC001267313080 811138079 /nfs/dbraw/zinc/13/80/79/811138079.db2.gz XWJSPDFMAPECDA-UHFFFAOYSA-N 0 1 278.356 0.889 20 30 CCEDMN C=CC[N@@H+](CCNC(=O)c1cnsn1)C1CC1 ZINC001267321702 811152588 /nfs/dbraw/zinc/15/25/88/811152588.db2.gz KEKZFJIRZYSGAJ-UHFFFAOYSA-N 0 1 252.343 0.918 20 30 CCEDMN COCC#CC[N@@H+](CCNC(=O)C1=COCCO1)C1CC1 ZINC001267321561 811153525 /nfs/dbraw/zinc/15/35/25/811153525.db2.gz QPZVDSWRMQVTJG-UHFFFAOYSA-N 0 1 294.351 0.105 20 30 CCEDMN COCC#CCN(CCNC(=O)C1=COCCO1)C1CC1 ZINC001267321561 811153530 /nfs/dbraw/zinc/15/35/30/811153530.db2.gz QPZVDSWRMQVTJG-UHFFFAOYSA-N 0 1 294.351 0.105 20 30 CCEDMN C#CCN(CCNC(=O)COCc1ncc(C)o1)C1CC1 ZINC001267329771 811163173 /nfs/dbraw/zinc/16/31/73/811163173.db2.gz RHBVPBRXLAMLTC-UHFFFAOYSA-N 0 1 291.351 0.713 20 30 CCEDMN C[C@@H](CNc1cncc(C#N)n1)N(C)C(=O)Cc1ccn[nH]1 ZINC001104499781 811178891 /nfs/dbraw/zinc/17/88/91/811178891.db2.gz TWHIFLSDYRPBHH-JTQLQIEISA-N 0 1 299.338 0.573 20 30 CCEDMN C[C@H](CNc1cncc(C#N)n1)N(C)C(=O)Cc1ccn[nH]1 ZINC001104499782 811179170 /nfs/dbraw/zinc/17/91/70/811179170.db2.gz TWHIFLSDYRPBHH-SNVBAGLBSA-N 0 1 299.338 0.573 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)CCNCc1n[nH]c(C(C)(C)C)n1 ZINC001267355108 811203834 /nfs/dbraw/zinc/20/38/34/811203834.db2.gz IRWSGSNIZWGHPU-JTQLQIEISA-N 0 1 292.387 0.810 20 30 CCEDMN N#Cc1ccc(N2CC[C@H](NC(=O)Cc3cnc[nH]3)C2)cn1 ZINC001058562577 811321589 /nfs/dbraw/zinc/32/15/89/811321589.db2.gz NJQJLGBSVNDZFG-LBPRGKRZSA-N 0 1 296.334 0.614 20 30 CCEDMN Cc1nc([C@@H](C)NCCNC(=O)c2ccc(C#N)[nH]2)n[nH]1 ZINC001125782962 811336781 /nfs/dbraw/zinc/33/67/81/811336781.db2.gz KZKCBQHVFQBHQD-MRVPVSSYSA-N 0 1 287.327 0.394 20 30 CCEDMN C#CCNC(=O)CN1CC[C@@H](CCNC(=O)C=C(C)C)C1 ZINC001267502025 811381913 /nfs/dbraw/zinc/38/19/13/811381913.db2.gz OJTGPTLKZBCLFQ-CQSZACIVSA-N 0 1 291.395 0.530 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001038311343 811399503 /nfs/dbraw/zinc/39/95/03/811399503.db2.gz OUMFKYUDESAKKF-QWHCGFSZSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N1C[C@H]2CC[C@@H](C1)N2CCOC ZINC001267529531 811411225 /nfs/dbraw/zinc/41/12/25/811411225.db2.gz CISXQMPQNBUCIE-MELADBBJSA-N 0 1 280.368 0.346 20 30 CCEDMN C#CCCNCc1cn([C@@H]2CCN(C(=O)C3CC3)C2)nn1 ZINC001098591552 811417479 /nfs/dbraw/zinc/41/74/79/811417479.db2.gz KJLJXHIEUPIQNP-CQSZACIVSA-N 0 1 287.367 0.574 20 30 CCEDMN Cc1nc(N2CC[C@@H](NC(=O)c3cnn[nH]3)C2)ccc1C#N ZINC001058599763 811422372 /nfs/dbraw/zinc/42/23/72/811422372.db2.gz ZSCDDWPCMHBIIX-LLVKDONJSA-N 0 1 297.322 0.389 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3ccn(C)n3)[C@H]2C1 ZINC001083274552 811427840 /nfs/dbraw/zinc/42/78/40/811427840.db2.gz PPYJOWCCHAGMJE-UONOGXRCSA-N 0 1 290.367 0.521 20 30 CCEDMN C=CCNC(=O)CN(C)C[C@H]1CCN(C(=O)[C@H](C)C#N)C1 ZINC001267579510 811476829 /nfs/dbraw/zinc/47/68/29/811476829.db2.gz GKDKWYBRTSEWFD-CHWSQXEVSA-N 0 1 292.383 0.229 20 30 CCEDMN C=C(C)C[N@H+]1C[C@@H](O)[C@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001077163154 815453679 /nfs/dbraw/zinc/45/36/79/815453679.db2.gz CPBOOGCSEUUFLI-CHWSQXEVSA-N 0 1 292.383 0.252 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)Cc2nc(CC)c[nH]2)C1 ZINC001077163154 815453682 /nfs/dbraw/zinc/45/36/82/815453682.db2.gz CPBOOGCSEUUFLI-CHWSQXEVSA-N 0 1 292.383 0.252 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H](CN(C)CC(=O)N(C)C)C1 ZINC001267631189 811587757 /nfs/dbraw/zinc/58/77/57/811587757.db2.gz CCNFOVHBQGOYHW-ZDUSSCGKSA-N 0 1 281.400 0.821 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCOCCOC)C2 ZINC001111493109 811662370 /nfs/dbraw/zinc/66/23/70/811662370.db2.gz FRKVTCZTLDAKPQ-KFWWJZLASA-N 0 1 294.395 0.784 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ccc(C#N)[nH]2)C1 ZINC001267739548 811718609 /nfs/dbraw/zinc/71/86/09/811718609.db2.gz RRNMEMUWDPFHOA-CYBMUJFWSA-N 0 1 288.351 0.893 20 30 CCEDMN C=CCOCCN1CC[C@@H](NC(=O)c2ncc[nH]2)C1 ZINC001267741291 811723822 /nfs/dbraw/zinc/72/38/22/811723822.db2.gz QKUSPMWBKYRIKH-LLVKDONJSA-N 0 1 264.329 0.416 20 30 CCEDMN COCCOCCN1CC[C@@H](N(C)C(=O)[C@@H](C)C#N)C1 ZINC001267760535 811756354 /nfs/dbraw/zinc/75/63/54/811756354.db2.gz ULAOAIVMWDXQIL-QWHCGFSZSA-N 0 1 283.372 0.342 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cnon3)C[C@H]21 ZINC001042278413 811765313 /nfs/dbraw/zinc/76/53/13/811765313.db2.gz UNAQDOZEXBIALS-ZYHUDNBSSA-N 0 1 260.297 0.239 20 30 CCEDMN C#CCN1CC[C@@H](N(CC)C(=O)CCCn2cncn2)C1 ZINC001267789564 811787866 /nfs/dbraw/zinc/78/78/66/811787866.db2.gz GUHZLANPKWKLGC-CQSZACIVSA-N 0 1 289.383 0.614 20 30 CCEDMN CCn1cc(CNC[C@H](C)CNC(=O)C#CC2CC2)nn1 ZINC001267986156 811925894 /nfs/dbraw/zinc/92/58/94/811925894.db2.gz PGKZUPLELRWZBL-LBPRGKRZSA-N 0 1 289.383 0.553 20 30 CCEDMN C#CCNC(=O)CC(=O)NCCNCc1ccccc1F ZINC001125978308 811960512 /nfs/dbraw/zinc/96/05/12/811960512.db2.gz NVOYBAXPZYHLGY-UHFFFAOYSA-N 0 1 291.326 0.171 20 30 CCEDMN C[C@@H](NCCNC(=O)c1c[nH]c(C#N)c1)c1cnccn1 ZINC001125988190 811967801 /nfs/dbraw/zinc/96/78/01/811967801.db2.gz PAKBWFMTAFHVCL-SNVBAGLBSA-N 0 1 284.323 0.757 20 30 CCEDMN C=CCOCCCC(=O)NCC1CCN(CC(N)=O)CC1 ZINC001222722263 811982200 /nfs/dbraw/zinc/98/22/00/811982200.db2.gz WYYHPIXVLXLEMJ-UHFFFAOYSA-N 0 1 297.399 0.283 20 30 CCEDMN C=C(C)CCN1C[C@@H](NC(=O)C(C)(F)F)[C@@H](O)C1 ZINC001083306141 811991685 /nfs/dbraw/zinc/99/16/85/811991685.db2.gz VZZAMVDSKFBFDU-ZJUUUORDSA-N 0 1 262.300 0.769 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1cc(OC)ncn1 ZINC001027884464 812115573 /nfs/dbraw/zinc/11/55/73/812115573.db2.gz LTDWBWURGDXTJO-NSHDSACASA-N 0 1 276.340 0.865 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@H]1CC(=O)N(C(C)C)C1 ZINC001027916677 812138711 /nfs/dbraw/zinc/13/87/11/812138711.db2.gz RDWDJAAFHJWQKL-KBPBESRZSA-N 0 1 291.395 0.457 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1c[nH]cn1 ZINC001027943864 812155791 /nfs/dbraw/zinc/15/57/91/812155791.db2.gz ZBFRRPHJAOELOH-YNEHKIRRSA-N 0 1 272.352 0.727 20 30 CCEDMN CC#CCN1CCC[C@@H]1CNC(=O)c1[nH]nnc1C ZINC001028067703 812243984 /nfs/dbraw/zinc/24/39/84/812243984.db2.gz AEDCLGYBMBRLNQ-LLVKDONJSA-N 0 1 261.329 0.331 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)c1ccnnc1 ZINC001028157632 812321708 /nfs/dbraw/zinc/32/17/08/812321708.db2.gz NMKOTDPNIAFEFO-ZDUSSCGKSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)[C@H]1CCO[C@H]1C(=C)C ZINC001268253883 812418890 /nfs/dbraw/zinc/41/88/90/812418890.db2.gz ZZJDHKAMQVUUIS-KBPBESRZSA-N 0 1 264.369 0.991 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cccnc2C2CC2)[C@@H](O)C1 ZINC001083603755 815530930 /nfs/dbraw/zinc/53/09/30/815530930.db2.gz NORXDRSLQRZVHX-CABCVRRESA-N 0 1 299.374 0.757 20 30 CCEDMN N#CCNC[C@H]1CCC[C@@H]1NC(=O)c1[nH]ncc1F ZINC001268285417 812447154 /nfs/dbraw/zinc/44/71/54/812447154.db2.gz HNOYBQRDYACSBS-SCZZXKLOSA-N 0 1 265.292 0.560 20 30 CCEDMN C#CCCCC(=O)NC[C@@H](O)CNCc1cnc(C)o1 ZINC001268304558 812463705 /nfs/dbraw/zinc/46/37/05/812463705.db2.gz OMAPFJRSNOUCAD-LBPRGKRZSA-N 0 1 279.340 0.353 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)N[C@H](C)CCNCc1cnon1 ZINC001268357813 812517504 /nfs/dbraw/zinc/51/75/04/812517504.db2.gz JEZUJNMAVLTESE-ZWNOBZJWSA-N 0 1 282.344 0.381 20 30 CCEDMN C#CCCCC(=O)N[C@H](C)CCNCc1nncn1C ZINC001268358969 812518763 /nfs/dbraw/zinc/51/87/63/812518763.db2.gz ZGBZRUDUWIHBSC-GFCCVEGCSA-N 0 1 277.372 0.603 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)c1ccc(N(C)C)cn1 ZINC001028342893 812632234 /nfs/dbraw/zinc/63/22/34/812632234.db2.gz MTDHVWJGNNNHIH-AWEZNQCLSA-N 0 1 286.379 0.975 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1c(C)nnn1CC ZINC001126366003 812679530 /nfs/dbraw/zinc/67/95/30/812679530.db2.gz PAMDRTJGOCJJMQ-CQSZACIVSA-N 0 1 295.387 0.139 20 30 CCEDMN C=C[C@@](C)(O)CC(=O)NCCNCc1n[nH]c(C2CC2)n1 ZINC001126365835 812684548 /nfs/dbraw/zinc/68/45/48/812684548.db2.gz NXTFWCSWEDXCDG-CQSZACIVSA-N 0 1 293.371 0.215 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CC2CC2)[C@H](OC)C1 ZINC001211759632 812698701 /nfs/dbraw/zinc/69/87/01/812698701.db2.gz QKBCINQVXMMZGS-ZIAGYGMSSA-N 0 1 282.384 0.805 20 30 CCEDMN C=CCOCCN1C[C@@H](NC(=O)CCC)[C@H](OC)C1 ZINC001211771366 812714821 /nfs/dbraw/zinc/71/48/21/812714821.db2.gz VUXLXYBOEDBLLK-CHWSQXEVSA-N 0 1 270.373 0.805 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)c2ncccc2[O-])C1 ZINC001028500270 812779082 /nfs/dbraw/zinc/77/90/82/812779082.db2.gz NXVGLHGYJHDTNA-NSHDSACASA-N 0 1 259.309 0.472 20 30 CCEDMN C#CC[N@@H+]1CC[C@@H](CNC(=O)[C@@H]2CCc3ncncc3C2)C1 ZINC001028592203 812909730 /nfs/dbraw/zinc/90/97/30/812909730.db2.gz ZKVVDYGDRAPSNR-UONOGXRCSA-N 0 1 298.390 0.653 20 30 CCEDMN C=C(C)C[N@@H+]1C[C@@H](NC(=O)C2=COCCC2)[C@@H](O)C1 ZINC001083366716 812911960 /nfs/dbraw/zinc/91/19/60/812911960.db2.gz VMOFKENSPKBEPS-OLZOCXBDSA-N 0 1 266.341 0.418 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)C2=COCCC2)[C@@H](O)C1 ZINC001083366716 812911965 /nfs/dbraw/zinc/91/19/65/812911965.db2.gz VMOFKENSPKBEPS-OLZOCXBDSA-N 0 1 266.341 0.418 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2cscc2C)[C@@H](O)C1 ZINC001083392906 812935757 /nfs/dbraw/zinc/93/57/57/812935757.db2.gz CVRBDNOXTMIVCU-OLZOCXBDSA-N 0 1 278.377 0.855 20 30 CCEDMN O=C(NC/C=C/CNCC#Cc1ccccc1)c1cnon1 ZINC001268605785 812945028 /nfs/dbraw/zinc/94/50/28/812945028.db2.gz KLBCLLCLBDADFC-SNAWJCMRSA-N 0 1 296.330 0.997 20 30 CCEDMN CC#CCN1C[C@@H]2CCN(C(=O)Cc3cc(C)n[nH]3)[C@@H]2C1 ZINC001075676579 812994938 /nfs/dbraw/zinc/99/49/38/812994938.db2.gz SNPALAKPPXALKB-DZGCQCFKSA-N 0 1 286.379 0.817 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)[C@@H]1CCNC(=O)CC1 ZINC001268739814 813054537 /nfs/dbraw/zinc/05/45/37/813054537.db2.gz PRXIVOLUQDCPDN-OLZOCXBDSA-N 0 1 279.384 0.363 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@@H]1CCN(CC#N)C1 ZINC001028635711 813069257 /nfs/dbraw/zinc/06/92/57/813069257.db2.gz FCPMONSLKFWXEJ-QWHCGFSZSA-N 0 1 264.373 0.432 20 30 CCEDMN C#CCOCCC(=O)NCCN[C@H](C)c1cnccn1 ZINC001127031462 815580769 /nfs/dbraw/zinc/58/07/69/815580769.db2.gz CHZZQGQEBXQTDC-GFCCVEGCSA-N 0 1 276.340 0.283 20 30 CCEDMN C=CCN1CC[C@]2(CCN(C(=O)c3cnc[nH]3)C2)C1=O ZINC001268866972 813124339 /nfs/dbraw/zinc/12/43/39/813124339.db2.gz BZBFVWQTPQOTRW-CQSZACIVSA-N 0 1 274.324 0.660 20 30 CCEDMN CCC#CC(=O)NC12CC(C(=O)NCc3cnc[nH]3)(C1)C2 ZINC001268873406 813127455 /nfs/dbraw/zinc/12/74/55/813127455.db2.gz MRNCSYVNCHFBEK-UHFFFAOYSA-N 0 1 286.335 0.478 20 30 CCEDMN C=CCOCC(=O)N1C[C@@H]2C[C@H]1C[N@H+]2C[C@@H]1CCOC1 ZINC001268929469 813147565 /nfs/dbraw/zinc/14/75/65/813147565.db2.gz AWMFMUBZRNJAII-IHRRRGAJSA-N 0 1 280.368 0.511 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccn3ccnc3c2)[C@@H](O)C1 ZINC001083637056 815580336 /nfs/dbraw/zinc/58/03/36/815580336.db2.gz BGYGVEHTRGPYET-KGLIPLIRSA-N 0 1 298.346 0.133 20 30 CCEDMN C#CC(=O)N1CCC[C@@]2(CCN(Cc3cn[nH]c3)C2)C1 ZINC001268941942 813151859 /nfs/dbraw/zinc/15/18/59/813151859.db2.gz IIBLFQKWLSJWBL-HNNXBMFYSA-N 0 1 272.352 0.857 20 30 CCEDMN C#CCOCCC(=O)N[C@@H]1CCN(C[C@H](F)CC)C1 ZINC001269129861 813231770 /nfs/dbraw/zinc/23/17/70/813231770.db2.gz RTBQTTLCLRQTSK-CHWSQXEVSA-N 0 1 270.348 0.965 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)COC[C@H]2CCCO2)C1 ZINC001269301505 813316160 /nfs/dbraw/zinc/31/61/60/813316160.db2.gz LQXLWYCNAYDKQN-UONOGXRCSA-N 0 1 280.368 0.348 20 30 CCEDMN CC#CCN1CC[C@H](N(CC)C(=O)CN2CCOCC2)C1 ZINC001269317338 813323449 /nfs/dbraw/zinc/32/34/49/813323449.db2.gz DIAOMTNKLYYQDS-HNNXBMFYSA-N 0 1 293.411 0.265 20 30 CCEDMN C#CCNC(=O)[C@@H](C)NC1(CNC(=O)[C@@H](C)SC)CC1 ZINC001269379197 813348125 /nfs/dbraw/zinc/34/81/25/813348125.db2.gz DNTBPCAQKMCAEZ-GHMZBOCLSA-N 0 1 297.424 0.114 20 30 CCEDMN C#CCCCC(=O)NCC1(N[C@H]2CCN(CC)C2=O)CC1 ZINC001269403394 813358216 /nfs/dbraw/zinc/35/82/16/813358216.db2.gz UWBIOAOLIGJXGV-ZDUSSCGKSA-N 0 1 291.395 0.649 20 30 CCEDMN CC#CCCCC(=O)NCC1(NCC(=O)NC)CC1 ZINC001269468292 813381616 /nfs/dbraw/zinc/38/16/16/813381616.db2.gz HGTCRKOMTSCENL-UHFFFAOYSA-N 0 1 265.357 0.164 20 30 CCEDMN N#CCN1CC[C@H](CNC(=O)[C@H]2CCCc3n[nH]nc32)C1 ZINC001028766135 813464707 /nfs/dbraw/zinc/46/47/07/813464707.db2.gz MYCXEZQLEOWDFK-MNOVXSKESA-N 0 1 288.355 0.186 20 30 CCEDMN C=C1CCC(C(=O)NC[C@H]2CCN(CC(N)=O)C2)CC1 ZINC001028781525 813495326 /nfs/dbraw/zinc/49/53/26/813495326.db2.gz YZWQCNVSWHKVKB-GFCCVEGCSA-N 0 1 279.384 0.656 20 30 CCEDMN C=CCC[C@H](C)N1CC(N(C)C(=O)[C@@H]2CCC(=O)N2)C1 ZINC001269875654 813540791 /nfs/dbraw/zinc/54/07/91/813540791.db2.gz LYFWDDVUWCHVEI-AAEUAGOBSA-N 0 1 279.384 0.762 20 30 CCEDMN CN1CCCC[C@H]1C(=O)N1CC[C@@H](CCNCC#N)C1 ZINC001280153302 813611169 /nfs/dbraw/zinc/61/11/69/813611169.db2.gz RKNUATRQXLXPAC-KGLIPLIRSA-N 0 1 278.400 0.822 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)CC3OCCCO3)[C@@H]2C1 ZINC001075725330 813615820 /nfs/dbraw/zinc/61/58/20/813615820.db2.gz PUBNOJZVUWBKGL-QWHCGFSZSA-N 0 1 278.352 0.305 20 30 CCEDMN COCC#CCN1CC[C@](C)(NC(=O)C2=COCCC2)C1 ZINC001270556930 813811588 /nfs/dbraw/zinc/81/15/88/813811588.db2.gz IEUKMFRFHUYYNY-INIZCTEOSA-N 0 1 292.379 0.911 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cncnc2)[C@H]1CC ZINC001087551391 814143883 /nfs/dbraw/zinc/14/38/83/814143883.db2.gz ZZTCGZIWGHGQJO-QWHCGFSZSA-N 0 1 258.325 0.693 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cc(OC)ncn2)[C@H]1CC ZINC001087573947 814169085 /nfs/dbraw/zinc/16/90/85/814169085.db2.gz LOOIZNVCGINLPN-WCQYABFASA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2ccnc(OC)n2)[C@H]1CC ZINC001087653584 814180630 /nfs/dbraw/zinc/18/06/30/814180630.db2.gz GTGQKVCMIVGBTC-WCQYABFASA-N 0 1 288.351 0.701 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cn3cccnc3n2)[C@H]1CC ZINC001087640208 814181331 /nfs/dbraw/zinc/18/13/31/814181331.db2.gz PRKSKFOHFQHCIU-GXTWGEPZSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2cnn3cccnc23)[C@H]1CC ZINC001087681894 814185073 /nfs/dbraw/zinc/18/50/73/814185073.db2.gz UMDIUIGLGKRVGO-UONOGXRCSA-N 0 1 297.362 0.945 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)C[C@@H]2CCC(=O)N2)[C@H]1CC ZINC001087759353 814205149 /nfs/dbraw/zinc/20/51/49/814205149.db2.gz LEPSKURNAJRRRP-RWMBFGLXSA-N 0 1 277.368 0.257 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)c2cc(C)[nH]c2C)C1 ZINC001271201142 814332269 /nfs/dbraw/zinc/33/22/69/814332269.db2.gz NHNVAPMHWCKIAA-OAHLLOKOSA-N 0 1 275.352 0.431 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCc2n[nH]c(C(=O)N(C)C)c2C1 ZINC001271219941 814339006 /nfs/dbraw/zinc/33/90/06/814339006.db2.gz CSSPAQCSRVFHBD-MRVPVSSYSA-N 0 1 275.312 0.156 20 30 CCEDMN C=CCC[C@H](C)N1CC(O)(CNC(=O)C2=COCCO2)C1 ZINC001271237145 814346148 /nfs/dbraw/zinc/34/61/48/814346148.db2.gz UVONFMCNDRESTF-LBPRGKRZSA-N 0 1 296.367 0.392 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@H](CN(C)Cc2cncn2C)C1 ZINC001029678569 814534537 /nfs/dbraw/zinc/53/45/37/814534537.db2.gz QDTUTEXWVHKVIO-CHWSQXEVSA-N 0 1 289.383 0.860 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@H](CN(C)Cc2cncn2C)C1 ZINC001029678571 814535544 /nfs/dbraw/zinc/53/55/44/814535544.db2.gz QDTUTEXWVHKVIO-OLZOCXBDSA-N 0 1 289.383 0.860 20 30 CCEDMN CN(C)C(=O)c1[nH]nc2c1CN(C(=O)C1(C#N)CCC1)C2 ZINC001271727265 814541778 /nfs/dbraw/zinc/54/17/78/814541778.db2.gz WHUSNAUGYNSXSI-UHFFFAOYSA-N 0 1 287.323 0.648 20 30 CCEDMN C=C(C)CN1CCC[C@](CO)(NC(=O)C2CC2)C1 ZINC001271932418 814634607 /nfs/dbraw/zinc/63/46/07/814634607.db2.gz PXRZJBMJUDGEQA-AWEZNQCLSA-N 0 1 252.358 0.916 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)c1cccn1C ZINC001271961927 814654448 /nfs/dbraw/zinc/65/44/48/814654448.db2.gz CNAVOLLGFIGSOP-UHFFFAOYSA-N 0 1 277.368 0.679 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc[n+]([O-])cc2)[C@H]1C ZINC001088455375 814707806 /nfs/dbraw/zinc/70/78/06/814707806.db2.gz MYQSPDCRGTXAFP-YPMHNXCESA-N 0 1 261.325 0.699 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCCC(=O)N1 ZINC001038381210 815582549 /nfs/dbraw/zinc/58/25/49/815582549.db2.gz UKGLBRNHSZOGQK-VXGBXAGGSA-N 0 1 265.357 0.422 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@@H]2CCO[C@H]2CC)[C@@H](O)C1 ZINC001083658342 815624185 /nfs/dbraw/zinc/62/41/85/815624185.db2.gz TVYXOROLKDBKKB-MQYQWHSLSA-N 0 1 282.384 0.539 20 30 CCEDMN CN1CCC[C@H]1C(=O)NC1CN(CC#Cc2ccccc2)C1 ZINC001030156209 815845809 /nfs/dbraw/zinc/84/58/09/815845809.db2.gz VFRBWEWDZZWLED-KRWDZBQOSA-N 0 1 297.402 0.933 20 30 CCEDMN C=C(C)CCN1CC(NC(=O)C[C@@H]2CCC(=O)NC2)C1 ZINC001030429668 816035198 /nfs/dbraw/zinc/03/51/98/816035198.db2.gz SKAVVQSLDJTYSH-LBPRGKRZSA-N 0 1 279.384 0.669 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)[C@@H]2CCCCC(=O)N2)[C@H]1C ZINC001088850730 816071585 /nfs/dbraw/zinc/07/15/85/816071585.db2.gz GQKSLRBNOIQBGO-RDBSUJKOSA-N 0 1 291.395 0.648 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)c2nccn3ccnc23)[C@H]1C ZINC001088866429 816116006 /nfs/dbraw/zinc/11/60/06/816116006.db2.gz QIMQOIIKIVWDQM-NEPJUHHUSA-N 0 1 283.335 0.555 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)[C@H]2CC(=O)N(CCC)C2)[C@H]1C ZINC001088890512 816162321 /nfs/dbraw/zinc/16/23/21/816162321.db2.gz XHTXOIBKYMSUTH-RDBSUJKOSA-N 0 1 291.395 0.457 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](NC(=O)C[C@H]2CCC(=O)N2)[C@H]1C ZINC001088915939 816173088 /nfs/dbraw/zinc/17/30/88/816173088.db2.gz AHSLJKWVAUUFHV-UPJWGTAASA-N 0 1 279.384 0.810 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)Cc2nonc2C)[C@H]1C ZINC001088950907 816191474 /nfs/dbraw/zinc/19/14/74/816191474.db2.gz OCUMZYPAPTZEPR-NEPJUHHUSA-N 0 1 276.340 0.523 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccc(N(C)C)nn2)[C@H]1C ZINC001088986147 816205111 /nfs/dbraw/zinc/20/51/11/816205111.db2.gz LJAUGRZUOWAZAZ-NEPJUHHUSA-N 0 1 289.383 0.921 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(CCC)n[nH]2)C1 ZINC001077486732 816248823 /nfs/dbraw/zinc/24/88/23/816248823.db2.gz NSGVASYBIFLGHH-ZIAGYGMSSA-N 0 1 290.367 0.160 20 30 CCEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CNC(=O)c1ncn[nH]1 ZINC001280530554 816357465 /nfs/dbraw/zinc/35/74/65/816357465.db2.gz IMECGXIHUAUWGC-JTQLQIEISA-N 0 1 277.328 0.041 20 30 CCEDMN CC(C)C#CC(=O)N(C)[C@@H](C)CNC(=O)c1nc[nH]n1 ZINC001280530554 816357476 /nfs/dbraw/zinc/35/74/76/816357476.db2.gz IMECGXIHUAUWGC-JTQLQIEISA-N 0 1 277.328 0.041 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C#C)cc2)[C@@H](O)C1 ZINC001083691334 816421795 /nfs/dbraw/zinc/42/17/95/816421795.db2.gz KIBDHDVJOYLZJT-CVEARBPZSA-N 0 1 282.343 0.466 20 30 CCEDMN Cc1ccc(C#N)cc1C(=O)N[C@H]1CNC[C@H]1C#N ZINC001121932582 816489247 /nfs/dbraw/zinc/48/92/47/816489247.db2.gz XXEPTZZXLWMBGM-YPMHNXCESA-N 0 1 254.293 0.708 20 30 CCEDMN C=C(C)CN1CCC2(CCN(CC(=O)N(C)C)CC2)C1=O ZINC001272535001 816559832 /nfs/dbraw/zinc/55/98/32/816559832.db2.gz RVYCYULIAMLJRP-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN O=C(Cc1cnc[nH]1)NC1CN(CC#Cc2ccccc2)C1 ZINC001031017231 816567721 /nfs/dbraw/zinc/56/77/21/816567721.db2.gz SFNZMJHIFIPLEV-UHFFFAOYSA-N 0 1 294.358 0.804 20 30 CCEDMN C[C@@H]1CN(C(=O)c2c[nH]cc3ncnc2-3)C[C@H]1CNCC#N ZINC001106155533 816581401 /nfs/dbraw/zinc/58/14/01/816581401.db2.gz WLXXSWRTVPALPI-GHMZBOCLSA-N 0 1 298.350 0.779 20 30 CCEDMN C#CCO[C@@H](C)C(=O)N(C)C[C@H]1CCN1CCOCC=C ZINC001234267027 816588581 /nfs/dbraw/zinc/58/85/81/816588581.db2.gz VGHFIEVZGPQPKL-LSDHHAIUSA-N 0 1 294.395 0.760 20 30 CCEDMN N#CCCCN1C(=O)CC[C@@H]2[C@H]1CCN2Cc1c[nH]nn1 ZINC001272568914 816590397 /nfs/dbraw/zinc/59/03/97/816590397.db2.gz JBCQMKXIQBGGEA-CHWSQXEVSA-N 0 1 288.355 0.674 20 30 CCEDMN C=C(C)[C@@H]1OCC[C@@H]1C(=O)NC1CN(C[C@H]2CCOC2)C1 ZINC001031088554 816635244 /nfs/dbraw/zinc/63/52/44/816635244.db2.gz BNMMVHVXNWTQEV-SNPRPXQTSA-N 0 1 294.395 0.805 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2cn(C)c(=O)[nH]2)C1 ZINC001046594537 816662518 /nfs/dbraw/zinc/66/25/18/816662518.db2.gz JIDIHNSTZIUWPI-AWEZNQCLSA-N 0 1 276.340 0.343 20 30 CCEDMN N#Cc1ccc(CN2CC(NC(=O)c3ncn[nH]3)C2)s1 ZINC001031123269 816670936 /nfs/dbraw/zinc/67/09/36/816670936.db2.gz LXOJJVVBWVOWIR-UHFFFAOYSA-N 0 1 288.336 0.352 20 30 CCEDMN N#Cc1ccc(C[NH+]2CC(NC(=O)c3nnc[n-]3)C2)s1 ZINC001031123269 816670941 /nfs/dbraw/zinc/67/09/41/816670941.db2.gz LXOJJVVBWVOWIR-UHFFFAOYSA-N 0 1 288.336 0.352 20 30 CCEDMN N#Cc1ccc(CN2CC(NC(=O)c3nnc[nH]3)C2)s1 ZINC001031123269 816670948 /nfs/dbraw/zinc/67/09/48/816670948.db2.gz LXOJJVVBWVOWIR-UHFFFAOYSA-N 0 1 288.336 0.352 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H](C)CCNc1ncnc2[nH]cnc21 ZINC001106268126 816703241 /nfs/dbraw/zinc/70/32/41/816703241.db2.gz CQDNCQJZZNEMPQ-BDAKNGLRSA-N 0 1 287.327 0.771 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@H]1CNC(=O)C1(C(N)=O)CC1 ZINC001099214812 816723764 /nfs/dbraw/zinc/72/37/64/816723764.db2.gz MTQBQCHHKDBOCZ-LBPRGKRZSA-N 0 1 291.395 0.492 20 30 CCEDMN C[C@H]1CCc2n[nH]c(C(=O)NC3CN(CC#N)C3)c2C1 ZINC001031184052 816758617 /nfs/dbraw/zinc/75/86/17/816758617.db2.gz LPDMYHUDKKEZRZ-VIFPVBQESA-N 0 1 273.340 0.472 20 30 CCEDMN C[C@@H](CCNc1ccc(C#N)nn1)NC(=O)c1ncn[nH]1 ZINC001106412772 816762782 /nfs/dbraw/zinc/76/27/82/816762782.db2.gz RCFMRJNRCLGGBE-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CCNc1ccc(C#N)nn1)NC(=O)c1nc[nH]n1 ZINC001106412772 816762791 /nfs/dbraw/zinc/76/27/91/816762791.db2.gz RCFMRJNRCLGGBE-QMMMGPOBSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@@H](CCNc1ccc(C#N)nn1)NC(=O)Cc1cnc[nH]1 ZINC001106599025 816820080 /nfs/dbraw/zinc/82/00/80/816820080.db2.gz VYJKGTLHXVSYKA-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)c1ncn[nH]1)Nc1ccncc1C#N ZINC001089374362 817028680 /nfs/dbraw/zinc/02/86/80/817028680.db2.gz IPBPJOSBSORQFK-ZJUUUORDSA-N 0 1 299.338 0.502 20 30 CCEDMN C[C@H](C[C@H](C)NC(=O)c1nc[nH]n1)Nc1ccncc1C#N ZINC001089374362 817028689 /nfs/dbraw/zinc/02/86/89/817028689.db2.gz IPBPJOSBSORQFK-ZJUUUORDSA-N 0 1 299.338 0.502 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2C[C@H]2C(=O)OC)C1 ZINC001031579282 817158958 /nfs/dbraw/zinc/15/89/58/817158958.db2.gz PVUGUQXDGCYAND-GHMZBOCLSA-N 0 1 252.314 0.030 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cncc(F)c2)C1 ZINC001031611040 817185038 /nfs/dbraw/zinc/18/50/38/817185038.db2.gz XHFVHTWJIUJLTJ-UHFFFAOYSA-N 0 1 261.300 0.906 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)c2cn(CCOC)nn2)C1 ZINC001031661062 817225954 /nfs/dbraw/zinc/22/59/54/817225954.db2.gz LUTOVZNIFRLNMM-UHFFFAOYSA-N 0 1 293.371 0.162 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccnn2CC(F)F)C1 ZINC001031670352 817234058 /nfs/dbraw/zinc/23/40/58/817234058.db2.gz HAHBOZSVDGLLPK-UHFFFAOYSA-N 0 1 284.310 0.996 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2cn(C3CCC3)nn2)C1 ZINC001031673963 817235957 /nfs/dbraw/zinc/23/59/57/817235957.db2.gz ABBHCZOKYHWQOF-UHFFFAOYSA-N 0 1 287.367 0.688 20 30 CCEDMN C#CCN1CC(C)(C)CC[C@@H]1CNC(=O)[C@H]1COC(=O)N1 ZINC001089637244 817287572 /nfs/dbraw/zinc/28/75/72/817287572.db2.gz KYXYAVMRLWOKDI-VXGBXAGGSA-N 0 1 293.367 0.335 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cc3c(cn2)OCCO3)C1 ZINC001031737685 817301909 /nfs/dbraw/zinc/30/19/09/817301909.db2.gz PPLBZRHCAKKNQG-UHFFFAOYSA-N 0 1 289.335 0.700 20 30 CCEDMN C=CCCN1CC(CNC(=O)c2cn(C)c(C)cc2=O)C1 ZINC001031751728 817336948 /nfs/dbraw/zinc/33/69/48/817336948.db2.gz KXYJDEQMXXIUOP-UHFFFAOYSA-N 0 1 289.379 0.931 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@H]2CCc3nccn3C2)C1 ZINC001031783005 817364391 /nfs/dbraw/zinc/36/43/91/817364391.db2.gz REZKXXLFQWGYPI-ZDUSSCGKSA-N 0 1 274.368 0.680 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CC(=O)N[C@H](C)C2)C1 ZINC001031801709 817376987 /nfs/dbraw/zinc/37/69/87/817376987.db2.gz FMCYAOWQAGPTOH-YPMHNXCESA-N 0 1 279.384 0.525 20 30 CCEDMN C=CCN1CC(CNC(=O)c2ccc(OC)nc2)C1 ZINC001031829229 817399984 /nfs/dbraw/zinc/39/99/84/817399984.db2.gz JXYSAJPTTYTZEC-UHFFFAOYSA-N 0 1 261.325 0.938 20 30 CCEDMN C#CCCN1CC(CNC(=O)c2ccnc3n[nH]nc32)C1 ZINC001031837582 817406740 /nfs/dbraw/zinc/40/67/40/817406740.db2.gz RDXATQHBEVIRKY-UHFFFAOYSA-N 0 1 284.323 0.038 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@@H]2CC(=O)N[C@H](CC)C2)C1 ZINC001031868475 817431522 /nfs/dbraw/zinc/43/15/22/817431522.db2.gz YDTPVSMORQAOJW-UONOGXRCSA-N 0 1 293.411 0.915 20 30 CCEDMN C=CCN1CC(CNC(=O)c2c(C)nn(C)c2C)C1 ZINC001031885060 817441397 /nfs/dbraw/zinc/44/13/97/817441397.db2.gz BTFUAMUTWCPATL-UHFFFAOYSA-N 0 1 262.357 0.885 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cnn3cc(C)cnc23)C1 ZINC001032018151 817552866 /nfs/dbraw/zinc/55/28/66/817552866.db2.gz RRKVUTIKKJVEOZ-UHFFFAOYSA-N 0 1 285.351 0.885 20 30 CCEDMN C=CCOCC(=O)NCCNCc1c(C)onc1CC ZINC001124765417 817567741 /nfs/dbraw/zinc/56/77/41/817567741.db2.gz XFBYMXKQZYKNFQ-UHFFFAOYSA-N 0 1 281.356 0.954 20 30 CCEDMN C#CC[N@H+]1C[C@H]2[C@@H](C1)[C@@H]1CC[C@H]2CN1C(=O)c1nc[nH]n1 ZINC001089879162 817643032 /nfs/dbraw/zinc/64/30/32/817643032.db2.gz YFTKBWIDFAAFKV-LOWDOPEQSA-N 0 1 285.351 0.220 20 30 CCEDMN C=CCN1CC(CNC(=O)[C@@H]2CCN(C(C)=O)C2)C1 ZINC001032156387 817670451 /nfs/dbraw/zinc/67/04/51/817670451.db2.gz QZAOTQGDHIMAOL-CYBMUJFWSA-N 0 1 265.357 0.089 20 30 CCEDMN C=C(C)CN1CC(CNC(=O)[C@H]2CCCC(=O)N2C)C1 ZINC001032161068 817674594 /nfs/dbraw/zinc/67/45/94/817674594.db2.gz NXAULKWVFDIFRZ-CYBMUJFWSA-N 0 1 279.384 0.621 20 30 CCEDMN C[C@@H](C#N)C(=O)NCC=CCNc1ncnc2[nH]cnc21 ZINC001107080823 817719928 /nfs/dbraw/zinc/71/99/28/817719928.db2.gz TWZLZAMHBHFKQQ-XADBCAIWSA-N 0 1 285.311 0.548 20 30 CCEDMN C=CCN1CC(CNC(=O)c2cccc3nnn(C)c32)C1 ZINC001032233851 817735755 /nfs/dbraw/zinc/73/57/55/817735755.db2.gz ZRLDLSCAKITPOJ-UHFFFAOYSA-N 0 1 285.351 0.816 20 30 CCEDMN C=CCN1CC[C@@H](N2C[C@@H]3C[C@H]2CN3C(C)=O)C1=O ZINC001032240416 817744013 /nfs/dbraw/zinc/74/40/13/817744013.db2.gz RAPDHJUBLYUMLZ-RWMBFGLXSA-N 0 1 263.341 0.078 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)C1=COCCC1 ZINC001032312007 817837793 /nfs/dbraw/zinc/83/77/93/817837793.db2.gz VJKUBMDFZHCQFF-KBPBESRZSA-N 0 1 260.337 0.989 20 30 CCEDMN C=C(Cl)CN1CC[C@@]2(CNC(=O)CC(N)=O)CCC[C@@H]12 ZINC001107344550 817839577 /nfs/dbraw/zinc/83/95/77/817839577.db2.gz QFMJSWDDHDOLMG-BXUZGUMPSA-N 0 1 299.802 0.975 20 30 CCEDMN C[C@H](O)CN1C[C@@H]2C[C@H]1CN2C(=O)c1cc(C#N)c[nH]1 ZINC001032338051 817902036 /nfs/dbraw/zinc/90/20/36/817902036.db2.gz NEHDHPANOYIXIA-DLOVCJGASA-N 0 1 274.324 0.166 20 30 CCEDMN C[C@H](CNc1nccnc1C#N)NC(=O)CN1CCCC1 ZINC001107768864 817996657 /nfs/dbraw/zinc/99/66/57/817996657.db2.gz LPIHEZQHMDBBGH-LLVKDONJSA-N 0 1 288.355 0.361 20 30 CCEDMN CC(=O)N[C@@H]1CCN(Cc2ccc(C#N)cc2)C[C@H]1O ZINC001089975784 818100147 /nfs/dbraw/zinc/10/01/47/818100147.db2.gz LPGPHHYVNUQZSA-HUUCEWRRSA-N 0 1 273.336 0.630 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2ccncc2)[C@@H](O)C1 ZINC001090012673 818269114 /nfs/dbraw/zinc/26/91/14/818269114.db2.gz KGNZTVBKQOKCPR-STQMWFEESA-N 0 1 261.325 0.433 20 30 CCEDMN C=C(C)C[N@H+]1CC[C@H](NC(=O)c2cccnc2)[C@H](O)C1 ZINC001090016542 818278354 /nfs/dbraw/zinc/27/83/54/818278354.db2.gz LEABURIAILPJEU-UONOGXRCSA-N 0 1 275.352 0.823 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H](C)n2cncn2)C1 ZINC001033015497 818423884 /nfs/dbraw/zinc/42/38/84/818423884.db2.gz JACUPOPTURTNHG-STQMWFEESA-N 0 1 275.356 0.395 20 30 CCEDMN C=CCCC(=O)NC[C@H]1COCCN1C(=O)c1ccn[nH]1 ZINC001061395685 818442917 /nfs/dbraw/zinc/44/29/17/818442917.db2.gz AGSIOWYAEPKKBD-NSHDSACASA-N 0 1 292.339 0.333 20 30 CCEDMN C=CCN1CC[C@H](N(C)C(=O)C2CN(C(C)=O)C2)C1 ZINC001033039101 818450880 /nfs/dbraw/zinc/45/08/80/818450880.db2.gz YPCWSQMUKUPXFW-ZDUSSCGKSA-N 0 1 265.357 0.183 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001033090094 818511012 /nfs/dbraw/zinc/51/10/12/818511012.db2.gz FMTBYLMBFJAGDV-JHJVBQTASA-N 0 1 277.368 0.067 20 30 CCEDMN C=CC[N@@H+]1CC[C@@H](N(C)C(=O)[C@H](C)N2C(=O)CCC2=O)C1 ZINC001033121320 818546645 /nfs/dbraw/zinc/54/66/45/818546645.db2.gz DCPZZRCXRYSKNV-NWDGAFQWSA-N 0 1 293.367 0.243 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)[C@H](C)N2C(=O)CCC2=O)C1 ZINC001033121320 818546649 /nfs/dbraw/zinc/54/66/49/818546649.db2.gz DCPZZRCXRYSKNV-NWDGAFQWSA-N 0 1 293.367 0.243 20 30 CCEDMN C=C(C)C[N@@H+]1CC[C@H](N(C)C(=O)[C@@H]2CC(=O)N(C)C2)C1 ZINC001033122020 818549152 /nfs/dbraw/zinc/54/91/52/818549152.db2.gz DYNQUSDXXAETFN-OLZOCXBDSA-N 0 1 279.384 0.574 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CC(=O)N(CC)C2)C1 ZINC001033125496 818553942 /nfs/dbraw/zinc/55/39/42/818553942.db2.gz ZMQKOJOSKVXUJQ-CHWSQXEVSA-N 0 1 277.368 0.021 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2nc(CC)c[nH]2)C1 ZINC001033152971 818580132 /nfs/dbraw/zinc/58/01/32/818580132.db2.gz CIBVSMXRQVQQIX-ZDUSSCGKSA-N 0 1 274.368 0.681 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)C2CC(OC)C2)C1 ZINC001033171411 818600026 /nfs/dbraw/zinc/60/00/26/818600026.db2.gz LKSOHNLLCBZCGN-OTTFEQOBSA-N 0 1 250.342 0.577 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2cncs2)[C@H](O)C1 ZINC001090114510 818635913 /nfs/dbraw/zinc/63/59/13/818635913.db2.gz QJCLJBIBPRNYOI-WDEREUQCSA-N 0 1 281.381 0.884 20 30 CCEDMN CN(C(=O)[C@H]1CCCCN1C)[C@@H]1CCN(CC#N)C1 ZINC001033199797 818640020 /nfs/dbraw/zinc/64/00/20/818640020.db2.gz YRJXHQZYPVCVDX-CHWSQXEVSA-N 0 1 264.373 0.527 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)[C@@H]2C[C@@H]3O[C@H]2[C@H]2C[C@H]23)C1 ZINC001033283781 818689903 /nfs/dbraw/zinc/68/99/03/818689903.db2.gz VZPPRMKKRBBDOA-RDGWLKQMSA-N 0 1 288.391 0.966 20 30 CCEDMN C#CCN1CC[C@H](N(C)C(=O)Cc2cn(CC)nn2)C1 ZINC001033291726 818694760 /nfs/dbraw/zinc/69/47/60/818694760.db2.gz OTZJPWZXYGAWNR-ZDUSSCGKSA-N 0 1 275.356 0.006 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CN(C)C(=O)C(C)C ZINC001128585590 818702297 /nfs/dbraw/zinc/70/22/97/818702297.db2.gz ZWEHHNQOSQPXJZ-UHFFFAOYSA-N 0 1 275.780 0.559 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCC(=O)N2C)C1 ZINC001033352120 818732566 /nfs/dbraw/zinc/73/25/66/818732566.db2.gz ARGFTOYSIPRCHU-QWHCGFSZSA-N 0 1 277.368 0.163 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@@H]2CCCc3nc[nH]c32)C1 ZINC001033415995 818763795 /nfs/dbraw/zinc/76/37/95/818763795.db2.gz LJKOMFZJTBOIBV-CHWSQXEVSA-N 0 1 286.379 0.996 20 30 CCEDMN CN1C[C@H](C(=O)NCCNCC#Cc2ccccc2)CC1=O ZINC001128666053 818787170 /nfs/dbraw/zinc/78/71/70/818787170.db2.gz YOEOFHSDZAPELH-OAHLLOKOSA-N 0 1 299.374 0.222 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2ccc(=O)n(CC)n2)C1 ZINC001033508994 818798926 /nfs/dbraw/zinc/79/89/26/818798926.db2.gz FODCETNGHIAVQD-GFCCVEGCSA-N 0 1 290.367 0.596 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)c2ccc3nncn3c2)C1 ZINC001033499185 818803226 /nfs/dbraw/zinc/80/32/26/818803226.db2.gz VLBAHXDNQYQKQB-CQSZACIVSA-N 0 1 297.362 0.899 20 30 CCEDMN C#CCN1CC[C@@H](N(C)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001033615916 818852969 /nfs/dbraw/zinc/85/29/69/818852969.db2.gz DCCLTICPSQSRTG-UONOGXRCSA-N 0 1 277.368 0.021 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@H]2CCN(C(C)=O)C2)C1 ZINC001033618538 818853459 /nfs/dbraw/zinc/85/34/59/818853459.db2.gz FYOCHBSDWWYPLS-GJZGRUSLSA-N 0 1 291.395 0.411 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C(=O)c2cccc(C(N)=O)n2)C1 ZINC001033694056 818888630 /nfs/dbraw/zinc/88/86/30/818888630.db2.gz HMVRNIBXAONLKA-LLVKDONJSA-N 0 1 288.351 0.513 20 30 CCEDMN CC#CCN1CC[C@H](N(C)C(=O)[C@@H]2CCCN2C(N)=O)C1 ZINC001033712745 818900755 /nfs/dbraw/zinc/90/07/55/818900755.db2.gz JWUDDPZILQPLQQ-STQMWFEESA-N 0 1 292.383 0.086 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)c2nccnc2N)C1 ZINC001033780703 818932676 /nfs/dbraw/zinc/93/26/76/818932676.db2.gz KHJPGWAFQQPGOI-NSHDSACASA-N 0 1 275.356 0.781 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(CC)C(=O)c2n[nH]c(C)c2[O-])C1 ZINC001033804525 818950281 /nfs/dbraw/zinc/95/02/81/818950281.db2.gz YFYDWWPKBZLPHV-LBPRGKRZSA-N 0 1 290.367 0.983 20 30 CCEDMN C#CCC[N@@H+]1CC[C@H](N(CC)C(=O)c2[nH]nc(C)c2[O-])C1 ZINC001033804525 818950294 /nfs/dbraw/zinc/95/02/94/818950294.db2.gz YFYDWWPKBZLPHV-LBPRGKRZSA-N 0 1 290.367 0.983 20 30 CCEDMN C=CCN1CC[C@@H](N(CC)C(=O)[C@H]2COC(=O)N2)C1 ZINC001033815513 818955625 /nfs/dbraw/zinc/95/56/25/818955625.db2.gz JRHRUQBKMYXCMS-GHMZBOCLSA-N 0 1 267.329 0.204 20 30 CCEDMN CC#CCN1CC[C@@H](N(CC)C(=O)c2cn[nH]c(=O)c2)C1 ZINC001033864745 818968569 /nfs/dbraw/zinc/96/85/69/818968569.db2.gz ULTCHTMWEIZNGF-CYBMUJFWSA-N 0 1 288.351 0.742 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2CC=CC2)[C@@H](O)C1 ZINC001090149675 818982627 /nfs/dbraw/zinc/98/26/27/818982627.db2.gz JEQDTDSYDKAGBS-OLZOCXBDSA-N 0 1 250.342 0.690 20 30 CCEDMN C=CCN1CC[C@H](N(CC)C(=O)[C@H]2[C@@H]3COC[C@@H]32)C1 ZINC001033922510 818996593 /nfs/dbraw/zinc/99/65/93/818996593.db2.gz MGJAIYUAPUXVBV-IGQOVBAYSA-N 0 1 264.369 0.988 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cc[nH]c(=O)c2)[C@@H](O)C1 ZINC001090175130 819021561 /nfs/dbraw/zinc/02/15/61/819021561.db2.gz QHJRMOJSXBDHNQ-NEPJUHHUSA-N 0 1 277.324 0.138 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)Cn2cccn2)C1 ZINC001034101881 819066390 /nfs/dbraw/zinc/06/63/90/819066390.db2.gz PTDLNTMBBURGNQ-CYBMUJFWSA-N 0 1 260.341 0.487 20 30 CCEDMN C=CCN1CCCC[C@H](NC(=O)c2cnn(C)c2N)C1 ZINC001034173528 819101894 /nfs/dbraw/zinc/10/18/94/819101894.db2.gz LJWGFHJTUIKNCD-NSHDSACASA-N 0 1 277.372 0.773 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2cc(=O)n(C)o2)C1 ZINC001034179953 819117355 /nfs/dbraw/zinc/11/73/55/819117355.db2.gz XXFUBXYSISXXAH-LBPRGKRZSA-N 0 1 291.351 0.586 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@H]2C[C@@H](C)CC(=O)N2)C1 ZINC001034213705 819127712 /nfs/dbraw/zinc/12/77/12/819127712.db2.gz YDMDAVTVAXOYKG-MGPQQGTHSA-N 0 1 291.395 0.505 20 30 CCEDMN C#CC[N@@H+]1CCCC[C@H](NC(=O)[C@@H]2CCCNC2=O)C1 ZINC001034255061 819143338 /nfs/dbraw/zinc/14/33/38/819143338.db2.gz YDBUBDUGWGFJRJ-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@@H]2CCCNC2=O)C1 ZINC001034255061 819143345 /nfs/dbraw/zinc/14/33/45/819143345.db2.gz YDBUBDUGWGFJRJ-QWHCGFSZSA-N 0 1 277.368 0.117 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCN(C)C(=O)C2)C1 ZINC001034274131 819149844 /nfs/dbraw/zinc/14/98/44/819149844.db2.gz ANOZLIMHWYBFKB-KBPBESRZSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccc(=O)n(C)c2)C1 ZINC001034294116 819156846 /nfs/dbraw/zinc/15/68/46/819156846.db2.gz RDFXQDTZJPGEJZ-AWEZNQCLSA-N 0 1 287.363 0.603 20 30 CCEDMN C=CC[N@H+]1CCCC[C@@H](NC(=O)c2n[nH]c(=O)[n-]c2=O)C1 ZINC001034293138 819157342 /nfs/dbraw/zinc/15/73/42/819157342.db2.gz VPVOXYCJLXNNFK-SECBINFHSA-N 0 1 293.327 0.053 20 30 CCEDMN C#CCN1CCCC[C@@H](NC(=O)[C@]2(C)CNC(=O)C2)C1 ZINC001034327521 819167484 /nfs/dbraw/zinc/16/74/84/819167484.db2.gz SHKQNJUPKCFAFU-DOMZBBRYSA-N 0 1 277.368 0.117 20 30 CCEDMN CC#CCN1CCCC[C@H](NC(=O)c2c[nH]c(=O)cn2)C1 ZINC001034368028 819182060 /nfs/dbraw/zinc/18/20/60/819182060.db2.gz HULZEKWEVBQXMM-LBPRGKRZSA-N 0 1 288.351 0.378 20 30 CCEDMN CC#CCN1CCCC[C@@H](NC(=O)[C@H]2C[C@H]2C(N)=O)C1 ZINC001034400080 819195945 /nfs/dbraw/zinc/19/59/45/819195945.db2.gz VWJXAKJZLDWMNZ-UPJWGTAASA-N 0 1 277.368 0.102 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)c2ccnnc2)C1 ZINC001034411667 819198823 /nfs/dbraw/zinc/19/88/23/819198823.db2.gz UUKUOEZAUIGMSO-ZDUSSCGKSA-N 0 1 258.325 0.694 20 30 CCEDMN C=CC[N@@H+]1CCCC[C@H](NC(=O)c2cnn(C)n2)C1 ZINC001034454085 819210266 /nfs/dbraw/zinc/21/02/66/819210266.db2.gz ZBAJYOHILKQIEX-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN C=CCN1CCCC[C@H](NC(=O)c2cnn(C)n2)C1 ZINC001034454085 819210271 /nfs/dbraw/zinc/21/02/71/819210271.db2.gz ZBAJYOHILKQIEX-NSHDSACASA-N 0 1 263.345 0.585 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2ccnn2CC)[C@@H](O)C1 ZINC001090219224 819229192 /nfs/dbraw/zinc/22/91/92/819229192.db2.gz MTVZLRTWEYLJFR-OCCSQVGLSA-N 0 1 290.367 0.091 20 30 CCEDMN C#CCN1CCCC[C@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001034541528 819238771 /nfs/dbraw/zinc/23/87/71/819238771.db2.gz QUOLFRRREMSOGI-LSDHHAIUSA-N 0 1 291.395 0.601 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)c2nccn2CC)[C@@H](O)C1 ZINC001090222914 819243260 /nfs/dbraw/zinc/24/32/60/819243260.db2.gz XRXILCHZDVQZOJ-STQMWFEESA-N 0 1 290.367 0.091 20 30 CCEDMN CC(C)C#CC(=O)N[C@@H]1CN(C(C)C)C[C@@H]1n1ccnn1 ZINC001128972556 819251618 /nfs/dbraw/zinc/25/16/18/819251618.db2.gz ZQGKITLPRZGSIC-KGLIPLIRSA-N 0 1 289.383 0.687 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2cc[nH]c2CC)[C@H](O)C1 ZINC001090242714 819295710 /nfs/dbraw/zinc/29/57/10/819295710.db2.gz AUTAERCHVGXKRE-HUUCEWRRSA-N 0 1 289.379 0.765 20 30 CCEDMN N#CCNCCNC(=O)c1cn[nH]c1-c1ccccn1 ZINC001129030911 819365799 /nfs/dbraw/zinc/36/57/99/819365799.db2.gz VTHPBZVLKGWUJM-UHFFFAOYSA-N 0 1 270.296 0.315 20 30 CCEDMN N#Cc1c[nH]c(C(=O)NC[C@@H]2CN(CC3CC3)CCO2)c1 ZINC001035327576 819474203 /nfs/dbraw/zinc/47/42/03/819474203.db2.gz WSBMQSLSSDHSER-CYBMUJFWSA-N 0 1 288.351 0.727 20 30 CCEDMN C=C(C)CCN1CCO[C@@H](CNC(=O)c2cn(C)cn2)C1 ZINC001035343441 819482790 /nfs/dbraw/zinc/48/27/90/819482790.db2.gz PWBCMIUADRPCTA-ZDUSSCGKSA-N 0 1 292.383 0.817 20 30 CCEDMN CCN1CCCC[C@@H]1C(=O)NC[C@@H]1CN(CC#N)CCO1 ZINC001035610510 819590255 /nfs/dbraw/zinc/59/02/55/819590255.db2.gz XLASJOOWSIQKBD-ZIAGYGMSSA-N 0 1 294.399 0.201 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cc(C)ncn1)CC2 ZINC001035686779 819604728 /nfs/dbraw/zinc/60/47/28/819604728.db2.gz QCNXLTCNFRYRBX-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@@H]1CCCOC1)CC2 ZINC001035665311 819606064 /nfs/dbraw/zinc/60/60/64/819606064.db2.gz DDBPYHFIXSVTDT-CQSZACIVSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)[C@H]1CC(=O)N(C)C1)CC2 ZINC001035717807 819607981 /nfs/dbraw/zinc/60/79/81/819607981.db2.gz UMZNUPONDOINIV-ZDUSSCGKSA-N 0 1 289.379 0.022 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)c1cnnc(C)c1)CC2 ZINC001035695422 819609624 /nfs/dbraw/zinc/60/96/24/819609624.db2.gz KUVGHBVMJUYIKX-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN N#CCN1CC2(C1)CCN(C(=O)[C@@H]1C[C@H]1c1cnc[nH]1)CC2 ZINC001035728827 819616102 /nfs/dbraw/zinc/61/61/02/819616102.db2.gz QJCURSZDBSIXMK-CHWSQXEVSA-N 0 1 299.378 0.961 20 30 CCEDMN CC#CCN1CC2(C1)CCN(C(=O)Cc1cnc[nH]1)CC2 ZINC001035804945 819631198 /nfs/dbraw/zinc/63/11/98/819631198.db2.gz WJNRIFKINQKFLM-UHFFFAOYSA-N 0 1 286.379 0.900 20 30 CCEDMN C#CCN1CC2(C1)CCN(C(=O)Cn1nccc1C)CC2 ZINC001035808689 819632841 /nfs/dbraw/zinc/63/28/41/819632841.db2.gz VTYLDVPBDBTXMK-UHFFFAOYSA-N 0 1 286.379 0.749 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2c[nH]nn2)CC[C@H]1NCC#N ZINC001036185307 819700725 /nfs/dbraw/zinc/70/07/25/819700725.db2.gz BRTBIYFPCSYCOI-CMPLNLGQSA-N 0 1 276.344 0.087 20 30 CCEDMN C[C@H]1CN(C(=O)CCc2cnn[nH]2)CC[C@H]1NCC#N ZINC001036185307 819700732 /nfs/dbraw/zinc/70/07/32/819700732.db2.gz BRTBIYFPCSYCOI-CMPLNLGQSA-N 0 1 276.344 0.087 20 30 CCEDMN C#CC1(O)CCN(CC(=O)N(CC)CC(=C)C)CC1 ZINC000708088713 819757493 /nfs/dbraw/zinc/75/74/93/819757493.db2.gz OQPXEGAYMMXAGF-UHFFFAOYSA-N 0 1 264.369 0.871 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2nn(C)cc2C)[C@H](O)C1 ZINC001090263915 819988887 /nfs/dbraw/zinc/98/88/87/819988887.db2.gz YZBHKKMCVZDDSB-VXGBXAGGSA-N 0 1 278.356 0.080 20 30 CCEDMN N#CCN1CC[C@H]2CN(C(=O)CCc3nc[nH]n3)CC[C@@H]21 ZINC001036680657 819999952 /nfs/dbraw/zinc/99/99/52/819999952.db2.gz ACVPYBMIIXYGCZ-RYUDHWBXSA-N 0 1 288.355 0.184 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CN(C(=O)c3n[nH]c(C)c3[O-])CC[C@@H]21 ZINC001036690745 820021167 /nfs/dbraw/zinc/02/11/67/820021167.db2.gz FLBKAZFJPCIVMG-RYUDHWBXSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CC[N@H+]1CC[C@H]2CN(C(=O)c3[nH]nc(C)c3[O-])CC[C@@H]21 ZINC001036690745 820021177 /nfs/dbraw/zinc/02/11/77/820021177.db2.gz FLBKAZFJPCIVMG-RYUDHWBXSA-N 0 1 288.351 0.593 20 30 CCEDMN C#CC[N@@H+]1CC[C@H]2CN(C(=O)c3[nH]nc(C)c3[O-])CC[C@@H]21 ZINC001036690745 820021188 /nfs/dbraw/zinc/02/11/88/820021188.db2.gz FLBKAZFJPCIVMG-RYUDHWBXSA-N 0 1 288.351 0.593 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)[C@]2(C)CCOC2)[C@@H](O)C1 ZINC001083893008 820139421 /nfs/dbraw/zinc/13/94/21/820139421.db2.gz HMBBGQQHOSTUOS-MBNYWOFBSA-N 0 1 268.357 0.150 20 30 CCEDMN C=C(C)CCC(=O)NC1(CNC(=O)c2ncn[nH]2)CCC1 ZINC001063997794 820176264 /nfs/dbraw/zinc/17/62/64/820176264.db2.gz XKNNGHFMPGJBNI-UHFFFAOYSA-N 0 1 291.355 0.930 20 30 CCEDMN C=C(C)CCC(=O)NC1(CNC(=O)c2nc[nH]n2)CCC1 ZINC001063997794 820176269 /nfs/dbraw/zinc/17/62/69/820176269.db2.gz XKNNGHFMPGJBNI-UHFFFAOYSA-N 0 1 291.355 0.930 20 30 CCEDMN C=CCCCC(=O)NCC1(NC(=O)c2ncn[nH]2)CCC1 ZINC001064274564 820190649 /nfs/dbraw/zinc/19/06/49/820190649.db2.gz XAADERKCTCIRLL-UHFFFAOYSA-N 0 1 291.355 0.930 20 30 CCEDMN C=CCCCC(=O)NCC1(NC(=O)c2nc[nH]n2)CCC1 ZINC001064274564 820190654 /nfs/dbraw/zinc/19/06/54/820190654.db2.gz XAADERKCTCIRLL-UHFFFAOYSA-N 0 1 291.355 0.930 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2c(F)cncc2F)[C@H](O)C1 ZINC001090295251 820220561 /nfs/dbraw/zinc/22/05/61/820220561.db2.gz MEFOLKYUIHCVIK-NWDGAFQWSA-N 0 1 297.305 0.711 20 30 CCEDMN C=C(C)CN1CC[C@H](NC(=O)c2ccns2)[C@H](O)C1 ZINC001090305708 820234038 /nfs/dbraw/zinc/23/40/38/820234038.db2.gz YWQVCTOUCVUSDX-WDEREUQCSA-N 0 1 281.381 0.884 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@@H]2CC23CCCC3)[C@@H](O)C1 ZINC001083921170 820266567 /nfs/dbraw/zinc/26/65/67/820266567.db2.gz CNGWTSUOWPTPKW-MJBXVCDLSA-N 0 1 276.380 0.751 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)Cc2cnccc2C)[C@H](O)C1 ZINC001090334793 820272945 /nfs/dbraw/zinc/27/29/45/820272945.db2.gz SMYOCBJNPVJEIU-LSDHHAIUSA-N 0 1 289.379 0.670 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccccc2)[C@@H](O)C1 ZINC001090364069 820301681 /nfs/dbraw/zinc/30/16/81/820301681.db2.gz GBABDANTLAETOR-CABCVRRESA-N 0 1 274.364 0.967 20 30 CCEDMN C=CCN1CC[C@H](N2C[C@@H](C)[C@H](NC(=O)C3CC3)C2)C1=O ZINC001079337553 820361486 /nfs/dbraw/zinc/36/14/86/820361486.db2.gz WYXZVCXPVMUYGG-BNOWGMLFSA-N 0 1 291.395 0.620 20 30 CCEDMN CC#CCN1C[C@@H](C)[C@H](NC(=O)c2cn(C)nc2C)C1 ZINC001079500894 820408182 /nfs/dbraw/zinc/40/81/82/820408182.db2.gz NZFPRVUMWZWXBG-BXUZGUMPSA-N 0 1 274.368 0.802 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@@H]2CCO[C@H]2C2CC2)C1 ZINC001080167676 820521369 /nfs/dbraw/zinc/52/13/69/820521369.db2.gz YDJUVTRRLUFLQV-NGFQHRJXSA-N 0 1 276.380 0.871 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@@H]2CCC(=O)N2C)C1 ZINC001080251118 820537356 /nfs/dbraw/zinc/53/73/56/820537356.db2.gz UPSUGFQQWGJBFJ-FRRDWIJNSA-N 0 1 277.368 0.067 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2ccn3nnnc3c2)C1 ZINC001080253617 820538491 /nfs/dbraw/zinc/53/84/91/820538491.db2.gz KHOVDMGKKCJILS-ZYHUDNBSSA-N 0 1 286.339 0.360 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)c2c(C)cnn2C)C1 ZINC001080283266 820546025 /nfs/dbraw/zinc/54/60/25/820546025.db2.gz CMUAACNZAZENNF-VXGBXAGGSA-N 0 1 260.341 0.412 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)N[C@@H]1C[N@H+](CCO)C[C@H]1C ZINC001080473878 820582894 /nfs/dbraw/zinc/58/28/94/820582894.db2.gz BRHSGPDYKSNKRZ-LPWJVIDDSA-N 0 1 268.357 0.006 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)CSCCC)[C@@H](O)C1 ZINC001099716240 820589344 /nfs/dbraw/zinc/58/93/44/820589344.db2.gz SKQVZQBCTWYLMG-OLZOCXBDSA-N 0 1 284.425 0.704 20 30 CCEDMN C=C(C)CN1C[C@@H](C)[C@H](NC(=O)c2cnon2)C1 ZINC001080648412 820614381 /nfs/dbraw/zinc/61/43/81/820614381.db2.gz KOSBEIJPIXVTAC-MWLCHTKSSA-N 0 1 250.302 0.696 20 30 CCEDMN C=CCN1C[C@@H](C)[C@H](NC(=O)c2cn(CC)nn2)C1 ZINC001080683739 820620193 /nfs/dbraw/zinc/62/01/93/820620193.db2.gz BMWPIGDIYIDYEZ-GHMZBOCLSA-N 0 1 263.345 0.534 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)/C(C)=C\CC)[C@H](O)C1 ZINC001099718144 820627813 /nfs/dbraw/zinc/62/78/13/820627813.db2.gz HYQYHYNXHVUTMV-LPEQCFEDSA-N 0 1 264.369 0.917 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCN(C(=O)CC)C2)C1 ZINC001080735108 820628264 /nfs/dbraw/zinc/62/82/64/820628264.db2.gz UWIGUVWYNCINOS-HZSPNIEDSA-N 0 1 291.395 0.315 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)C[C@H]2CCCOC2)C1 ZINC001080958064 820663541 /nfs/dbraw/zinc/66/35/41/820663541.db2.gz IEVUZYHTLJZFJG-MGPQQGTHSA-N 0 1 264.369 0.873 20 30 CCEDMN C#CCN1C[C@@H](C)[C@H](NC(=O)[C@H]2CCCN2C(C)=O)C1 ZINC001081008798 820665660 /nfs/dbraw/zinc/66/56/60/820665660.db2.gz CQNCHWCUXSGWJT-MRVWCRGKSA-N 0 1 277.368 0.067 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2ccc(C#N)[nH]2)[C@H](OC)C1 ZINC001081554986 820787568 /nfs/dbraw/zinc/78/75/68/820787568.db2.gz NRQBQJWMWXOKBU-ZIAGYGMSSA-N 0 1 286.335 0.339 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](OC)C1 ZINC001081544128 820796484 /nfs/dbraw/zinc/79/64/84/820796484.db2.gz TUUYRKHXLUJWKY-NXEZZACHSA-N 0 1 280.328 0.039 20 30 CCEDMN C=CC[N@H+]1C[C@@H](NC(=O)c2[nH]nc(C)c2[O-])[C@H](OC)C1 ZINC001081544128 820796488 /nfs/dbraw/zinc/79/64/88/820796488.db2.gz TUUYRKHXLUJWKY-NXEZZACHSA-N 0 1 280.328 0.039 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)[C@H]2CCCOCC2)[C@H](OC)C1 ZINC001081605312 820806433 /nfs/dbraw/zinc/80/64/33/820806433.db2.gz KHLPZBKDKZAAJY-RRFJBIMHSA-N 0 1 294.395 0.642 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)[C@H]2CCCCN2C)[C@H](OC)C1 ZINC001081855815 820854797 /nfs/dbraw/zinc/85/47/97/820854797.db2.gz SFEPIIXCHMTPJK-MGPQQGTHSA-N 0 1 281.400 0.472 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)[C@H]2CCCCN2C)[C@H](OC)C1 ZINC001081855399 820856496 /nfs/dbraw/zinc/85/64/96/820856496.db2.gz CIUTUIJFKFKEJR-RBSFLKMASA-N 0 1 293.411 0.309 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2csnn2)[C@H](OC)C1 ZINC001081990076 820873176 /nfs/dbraw/zinc/87/31/76/820873176.db2.gz PCOSOVQOWJSOOU-MWLCHTKSSA-N 0 1 282.369 0.543 20 30 CCEDMN C=C1CC(C)(C(=O)N[C@@H]2CN(C[C@@H](C)O)C[C@H]2OC)C1 ZINC001082111930 820902700 /nfs/dbraw/zinc/90/27/00/820902700.db2.gz PAHQAPOJTRXCJT-JHJVBQTASA-N 0 1 282.384 0.539 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)Cn2ccc(C)n2)[C@H](OC)C1 ZINC001082146662 820907338 /nfs/dbraw/zinc/90/73/38/820907338.db2.gz PCLLYFOCJGPEQP-ZIAGYGMSSA-N 0 1 290.367 0.030 20 30 CCEDMN C=C(C)CN1C[C@@H](NC(=O)Cn2ccc(C)n2)[C@H](OC)C1 ZINC001082146823 820907613 /nfs/dbraw/zinc/90/76/13/820907613.db2.gz XOWOOKNPRDJBMX-ZIAGYGMSSA-N 0 1 292.383 0.583 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2c(C)n[nH]c2C)[C@H](OC)C1 ZINC001082246176 820921732 /nfs/dbraw/zinc/92/17/32/820921732.db2.gz WGAMXOGHTDLFHV-CHWSQXEVSA-N 0 1 290.367 0.479 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](C)[C@H](NCc2nccn2C)C1 ZINC001082451700 820972046 /nfs/dbraw/zinc/97/20/46/820972046.db2.gz SXCSIDHVBHHKKI-QJPTWQEYSA-N 0 1 275.356 0.516 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)C(F)=C(C)C)[C@H](O)C1 ZINC001099767045 821044550 /nfs/dbraw/zinc/04/45/50/821044550.db2.gz UIQRUGKGZJSFRJ-WDEREUQCSA-N 0 1 256.321 0.987 20 30 CCEDMN C=CCCCC(=O)NCCCNCc1ncnn1C ZINC001165535609 821065579 /nfs/dbraw/zinc/06/55/79/821065579.db2.gz RYFUBYREBMXHPW-UHFFFAOYSA-N 0 1 265.361 0.767 20 30 CCEDMN C[C@H](C#N)C(=O)N1CCO[C@@H]2CN(CCCF)C[C@@H]21 ZINC001083026510 821115863 /nfs/dbraw/zinc/11/58/63/821115863.db2.gz CPRUXLIALCQHPY-GRYCIOLGSA-N 0 1 269.320 0.417 20 30 CCEDMN C=CCCN1C[C@H]2OCCN(C(=O)c3n[nH]cc3C)[C@H]2C1 ZINC001083077878 821136924 /nfs/dbraw/zinc/13/69/24/821136924.db2.gz BBFDTWLHWYBZQP-QWHCGFSZSA-N 0 1 290.367 0.819 20 30 CCEDMN C=CCCN1C[C@@H](NC(=O)c2conc2COC)[C@@H](O)C1 ZINC001083943569 821161935 /nfs/dbraw/zinc/16/19/35/821161935.db2.gz DCACSGBMXUTBFY-YPMHNXCESA-N 0 1 295.339 0.172 20 30 CCEDMN C#CCCN1C[C@@H](NC(=O)c2[nH]nc3ccccc32)[C@@H](O)C1 ZINC001084019393 821179241 /nfs/dbraw/zinc/17/92/41/821179241.db2.gz VGLLQQODRDBHII-KGLIPLIRSA-N 0 1 298.346 0.361 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)[C@@H]3CN(C)CCO3)[C@@H]2C1 ZINC001084250364 821238178 /nfs/dbraw/zinc/23/81/78/821238178.db2.gz CQQUWLKARAFFLZ-MCIONIFRSA-N 0 1 279.384 0.036 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3cc(=O)n(C)cn3)[C@@H]2C1 ZINC001084419982 821283300 /nfs/dbraw/zinc/28/33/00/821283300.db2.gz LLQDJJTVLIYDCP-DGCLKSJQSA-N 0 1 288.351 0.113 20 30 CCEDMN CC#CC[N@H+]1CC[C@@H]2CN(C(=O)c3cn[nH]c(=O)c3)[C@@H]2C1 ZINC001084431236 821294591 /nfs/dbraw/zinc/29/45/91/821294591.db2.gz SFDWSYMRBBSCAN-DGCLKSJQSA-N 0 1 286.335 0.352 20 30 CCEDMN CC#CCN1CC[C@@H]2CN(C(=O)c3cn[nH]c(=O)c3)[C@@H]2C1 ZINC001084431236 821294598 /nfs/dbraw/zinc/29/45/98/821294598.db2.gz SFDWSYMRBBSCAN-DGCLKSJQSA-N 0 1 286.335 0.352 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CC2(COC)CC2)[C@@H](O)C1 ZINC001099815601 821351120 /nfs/dbraw/zinc/35/11/20/821351120.db2.gz KBEMQLZKMQNZOM-STQMWFEESA-N 0 1 282.384 0.541 20 30 CCEDMN C=CC[N@@H+]1CC[C@H](NC(=O)CC2(COC)CC2)[C@@H](O)C1 ZINC001099815601 821351108 /nfs/dbraw/zinc/35/11/08/821351108.db2.gz KBEMQLZKMQNZOM-STQMWFEESA-N 0 1 282.384 0.541 20 30 CCEDMN C#CCCN1CC[C@@H]2CN(C(=O)c3cn(CC=C)nn3)[C@@H]2C1 ZINC001084709313 821356516 /nfs/dbraw/zinc/35/65/16/821356516.db2.gz WDRIJSFMHNEMIF-UKRRQHHQSA-N 0 1 299.378 0.634 20 30 CCEDMN C#CCN1C[C@]2(CCN(Cc3ccc(C)cn3)C2)OCC1=O ZINC001273228679 821366672 /nfs/dbraw/zinc/36/66/72/821366672.db2.gz CGLLUDFYNIIFAP-QGZVFWFLSA-N 0 1 299.374 0.827 20 30 CCEDMN CC(C)=CCN1CC[C@H](NC(=O)C#CC2CC2)[C@H](O)C1 ZINC001099825807 821381095 /nfs/dbraw/zinc/38/10/95/821381095.db2.gz AQERGLIMAGNNGH-LSDHHAIUSA-N 0 1 276.380 0.917 20 30 CCEDMN C=CCN1CC[C@@H]2CN(C(=O)c3ccc(=O)n(C)n3)[C@@H]2C1 ZINC001084814923 821398442 /nfs/dbraw/zinc/39/84/42/821398442.db2.gz DNZSEUULIOKZMB-DGCLKSJQSA-N 0 1 288.351 0.113 20 30 CCEDMN C=C1O[C@H](C)C(=O)C1Oc1ncc(Cl)nc1C(N)=O ZINC001230873449 821455848 /nfs/dbraw/zinc/45/58/48/821455848.db2.gz DSQIWXGQJQORKT-SCSAIBSYSA-N 0 1 283.671 0.827 20 30 CCEDMN C=CCOCCN1CC[C@H]1CN(C)C(=O)[C@H](C)OC ZINC001230968119 821469119 /nfs/dbraw/zinc/46/91/19/821469119.db2.gz STYGNUHRPPTGHL-STQMWFEESA-N 0 1 270.373 0.757 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)COC(C)C ZINC001231061563 821480241 /nfs/dbraw/zinc/48/02/41/821480241.db2.gz KTNYAWYUJNQFOR-ZDUSSCGKSA-N 0 1 252.358 0.967 20 30 CCEDMN CN1CCC[C@H]1C(=O)N[C@@H]1CCCC[C@H]1NCC#N ZINC001085149484 821517983 /nfs/dbraw/zinc/51/79/83/821517983.db2.gz SYLRZQPQEBYBHR-UPJWGTAASA-N 0 1 264.373 0.621 20 30 CCEDMN COC(=O)[C@@H](Cc1ccccc1O)NC(=O)C(C)C#N ZINC000826663553 821667853 /nfs/dbraw/zinc/66/78/53/821667853.db2.gz BMOQUPHBXMZNHZ-MWLCHTKSSA-N 0 1 276.292 0.752 20 30 CCEDMN C=C(C)CN1CC[C@H]1CN(C)C(=O)[C@H]1CN(C)CCO1 ZINC001085531060 821771871 /nfs/dbraw/zinc/77/18/71/821771871.db2.gz LSHUAIKQIADBKF-UONOGXRCSA-N 0 1 281.400 0.426 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CC(=O)N(CC)C1 ZINC001085627540 821858806 /nfs/dbraw/zinc/85/88/06/821858806.db2.gz HUGVEYDVJJFNOA-ZIAGYGMSSA-N 0 1 293.411 0.964 20 30 CCEDMN C#CCC[N@@H+]1CC[C@@H]1CN(C)C(=O)c1ccc2n[nH]nc2n1 ZINC001085630527 821862099 /nfs/dbraw/zinc/86/20/99/821862099.db2.gz WXEOTTTVGQAYFR-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)c1ccc2n[nH]nc2n1 ZINC001085630527 821862108 /nfs/dbraw/zinc/86/21/08/821862108.db2.gz WXEOTTTVGQAYFR-LLVKDONJSA-N 0 1 298.350 0.523 20 30 CCEDMN Cc1n[nH]c(C(=O)NCCN(C)c2ccc(C#N)nn2)c1C ZINC001099987807 821865130 /nfs/dbraw/zinc/86/51/30/821865130.db2.gz SREBUUZNTFKOIL-UHFFFAOYSA-N 0 1 299.338 0.554 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1c(C)nnn1CC ZINC001085635040 821865869 /nfs/dbraw/zinc/86/58/69/821865869.db2.gz HKWZSAFFUGZWBK-ZDUSSCGKSA-N 0 1 289.383 0.776 20 30 CCEDMN C#CCCN1CC[C@@H]1CN(C)C(=O)C1CC(OC)C1 ZINC001085659977 821882673 /nfs/dbraw/zinc/88/26/73/821882673.db2.gz JWGHUXJFNBXWGN-ROKHWSDSSA-N 0 1 264.369 0.967 20 30 CCEDMN CN(C[C@H]1CCN1CC#N)C(=O)[C@@H]1CCCCN1C ZINC001085673695 821890616 /nfs/dbraw/zinc/89/06/16/821890616.db2.gz CKYPLQYBFNYOME-OLZOCXBDSA-N 0 1 264.373 0.527 20 30 CCEDMN Cc1nc(NC[C@@H](C)NC(=O)c2cnn[nH]2)ccc1C#N ZINC001108204384 821903630 /nfs/dbraw/zinc/90/36/30/821903630.db2.gz CXXHFFZOKQRNGA-MRVPVSSYSA-N 0 1 285.311 0.610 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@@H]1CCNC1=O ZINC001085762543 821934218 /nfs/dbraw/zinc/93/42/18/821934218.db2.gz KTGWVAIXJTZLDM-VXGBXAGGSA-N 0 1 265.357 0.231 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CN(C)C(=O)[C@H]1CN(C)C(=O)N1 ZINC001085761467 821935343 /nfs/dbraw/zinc/93/53/43/821935343.db2.gz KQUNFZSJIGZRLK-VXGBXAGGSA-N 0 1 280.372 0.119 20 30 CCEDMN C#CCCN1CC[C@H]1CN(C)C(=O)c1cnn2cccnc12 ZINC001085756697 821936012 /nfs/dbraw/zinc/93/60/12/821936012.db2.gz OBBSKOSQWPCIPT-ZDUSSCGKSA-N 0 1 297.362 0.899 20 30 CCEDMN CC(C)N1CCO[C@H](C(=O)N(C)C[C@H]2CCN2CC#N)C1 ZINC001085779665 821948510 /nfs/dbraw/zinc/94/85/10/821948510.db2.gz AKLZKJRRBGTNAD-KGLIPLIRSA-N 0 1 294.399 0.152 20 30 CCEDMN C#CCN1CCO[C@](C)(CNC(=O)CN2CCC[C@H]2C)C1 ZINC001108213108 821984170 /nfs/dbraw/zinc/98/41/70/821984170.db2.gz LKQWEDZKEBYBGV-GDBMZVCRSA-N 0 1 293.411 0.311 20 30 CCEDMN C#CCN1CC[C@@H]1CN(C)C(=O)[C@H]1CCc2[nH]cnc2C1 ZINC001085914965 822014186 /nfs/dbraw/zinc/01/41/86/822014186.db2.gz OKVKSHYEMSXKNS-QWHCGFSZSA-N 0 1 286.379 0.681 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2snnc2CC)[C@@H](O)C1 ZINC001090406233 822139317 /nfs/dbraw/zinc/13/93/17/822139317.db2.gz DJNFSHGCHYEQDA-QWRGUYRKSA-N 0 1 296.396 0.452 20 30 CCEDMN O=C(C#CC1CC1)N[C@@H]1CCCN([C@@H]2CCNC2=O)CC1 ZINC001273418042 822191452 /nfs/dbraw/zinc/19/14/52/822191452.db2.gz MGURZZYDDFPEGP-ZIAGYGMSSA-N 0 1 289.379 0.259 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CNc1ncnc2[nH]cnc21 ZINC001108292127 822324935 /nfs/dbraw/zinc/32/49/35/822324935.db2.gz ICAWBGXBIYLZSU-YUMQZZPRSA-N 0 1 273.300 0.381 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2C[N@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001114020219 837406127 /nfs/dbraw/zinc/40/61/27/837406127.db2.gz HMCHTSURDFOYNW-GDGBQDQQSA-N 0 1 277.328 0.023 20 30 CCEDMN C=CCCC(=O)N[C@@H]1[C@H]2C[N@@H+](Cc3n[nH]c(=O)[n-]3)C[C@H]21 ZINC001114020219 837406128 /nfs/dbraw/zinc/40/61/28/837406128.db2.gz HMCHTSURDFOYNW-GDGBQDQQSA-N 0 1 277.328 0.023 20 30 CCEDMN C#CCN(C)C[C@H]1CCCCN1C(=O)C[C@@H]1COC(=O)C1 ZINC001157802568 837455126 /nfs/dbraw/zinc/45/51/26/837455126.db2.gz LITCWKOYGRCOTN-UONOGXRCSA-N 0 1 292.379 0.886 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C[C@H](C)SC ZINC001114154947 837457755 /nfs/dbraw/zinc/45/77/55/837457755.db2.gz DAUVCDLKCVVINS-MEWQQHAOSA-N 0 1 252.383 0.808 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2cocc2C)[C@H](O)C1 ZINC001090490589 837888192 /nfs/dbraw/zinc/88/81/92/837888192.db2.gz BBJMPCQJZAWRRR-CHWSQXEVSA-N 0 1 264.325 0.939 20 30 CCEDMN CC#CCN[C@@H]1CN(C(=O)CC2CC2)C[C@@H]1n1ccnn1 ZINC001129515972 837525530 /nfs/dbraw/zinc/52/55/30/837525530.db2.gz DQKRMHQUUXZAMB-KGLIPLIRSA-N 0 1 287.367 0.443 20 30 CCEDMN C#Cc1cncc(C(=O)Nc2nn[nH]c2C(=O)NC2CC2)c1 ZINC001129622391 837538362 /nfs/dbraw/zinc/53/83/62/837538362.db2.gz REQVFHWUMMJHEW-UHFFFAOYSA-N 0 1 296.290 0.326 20 30 CCEDMN C#CCN1CC[C@H](c2n[nH]cc2CNC(=O)C(F)F)C1 ZINC001130332784 837767671 /nfs/dbraw/zinc/76/76/71/837767671.db2.gz SGGCDAMLLMSEQZ-VIFPVBQESA-N 0 1 282.294 0.714 20 30 CCEDMN N#C[C@@H](Cc1ccc(O)cc1)C(=O)N1CCN2CC[C@H]2C1 ZINC001183607817 844042598 /nfs/dbraw/zinc/04/25/98/844042598.db2.gz GKKPNLLZPUDPFU-KGLIPLIRSA-N 0 1 285.347 0.991 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@H]1C=CN=C2N=CC=C21 ZINC001183583648 844045251 /nfs/dbraw/zinc/04/52/51/844045251.db2.gz ZISNWYQIXJHSBP-QMMMGPOBSA-N 0 1 252.237 0.773 20 30 CCEDMN N#C[C@H](Cc1ccc(O)cc1)C(=O)NCc1c[nH]nn1 ZINC001183613720 844057727 /nfs/dbraw/zinc/05/77/27/844057727.db2.gz RTAPSAGWPBAMGE-JTQLQIEISA-N 0 1 271.280 0.509 20 30 CCEDMN Cc1nc(CC(=O)N[C@@H](C)CNc2ccc(C#N)cn2)n[nH]1 ZINC001108357522 835987610 /nfs/dbraw/zinc/98/76/10/835987610.db2.gz RQBHVVGXMUHLBI-VIFPVBQESA-N 0 1 299.338 0.539 20 30 CCEDMN N#C[C@@H]1CN([C@@H]2CC[C@H](C[N+](=O)[O-])C2)CCC1=O ZINC001169034595 836109788 /nfs/dbraw/zinc/10/97/88/836109788.db2.gz IENNGUSYUAJHNR-HBNTYKKESA-N 0 1 251.286 0.846 20 30 CCEDMN COc1ccc(C(=NO)Nc2ncc3[nH]cnc3n2)nc1 ZINC001169598579 836371554 /nfs/dbraw/zinc/37/15/54/836371554.db2.gz QDHABIWNVNTIQN-UHFFFAOYSA-N 0 1 285.267 0.956 20 30 CCEDMN C[C@@H](CNc1ccc(C#N)nn1)NC(=O)c1[nH]ncc1F ZINC001108673263 836506847 /nfs/dbraw/zinc/50/68/47/836506847.db2.gz GOMFTZPFUXDCIR-ZETCQYMHSA-N 0 1 289.274 0.441 20 30 CCEDMN C[C@@H](CNc1ncc(C#N)cc1F)NC(=O)c1cnn[nH]1 ZINC001108783345 836524905 /nfs/dbraw/zinc/52/49/05/836524905.db2.gz KTKQFBPNOFVANU-ZETCQYMHSA-N 0 1 289.274 0.441 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1C[C@H]2CC[C@@H]1N2CCn1cccn1 ZINC001109088614 836616605 /nfs/dbraw/zinc/61/66/05/836616605.db2.gz QFUDKUISZXDTMP-CRWXNKLISA-N 0 1 287.367 0.764 20 30 CCEDMN Cc1ncc(C(=O)N(C)CCCNc2ccncc2C#N)[nH]1 ZINC001109394361 836670260 /nfs/dbraw/zinc/67/02/60/836670260.db2.gz CEMIGLFTOOXLRU-UHFFFAOYSA-N 0 1 298.350 0.981 20 30 CCEDMN N#Cc1cnc(NC[C@H](NC(=O)c2ncn[nH]2)C2CC2)cn1 ZINC001109885163 836741834 /nfs/dbraw/zinc/74/18/34/836741834.db2.gz WNWDTUZYAHKADX-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1cnc(NC[C@H](NC(=O)c2nc[nH]n2)C2CC2)cn1 ZINC001109885163 836741840 /nfs/dbraw/zinc/74/18/40/836741840.db2.gz WNWDTUZYAHKADX-JTQLQIEISA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCCOCC(=O)N[C@@H]1CCN(CC=C)C[C@@H]1O ZINC001100135732 836762250 /nfs/dbraw/zinc/76/22/50/836762250.db2.gz AWLDTHGEBJUHEA-OLZOCXBDSA-N 0 1 268.357 0.317 20 30 CCEDMN N#Cc1cncc(NC[C@@H](NC(=O)c2cnn[nH]2)C2CC2)n1 ZINC001110013070 836764075 /nfs/dbraw/zinc/76/40/75/836764075.db2.gz VXGQLLWFMNINRL-SNVBAGLBSA-N 0 1 298.310 0.087 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)[C@@H](O)C1 ZINC001090439791 836800023 /nfs/dbraw/zinc/80/00/23/836800023.db2.gz WTRQWDZSXDUYOR-OLZOCXBDSA-N 0 1 291.351 0.067 20 30 CCEDMN C=CCCN1CCN(C(=O)CNC(=O)OC)CC1 ZINC001112652588 836844103 /nfs/dbraw/zinc/84/41/03/836844103.db2.gz MTWPBCKIUIWWQL-UHFFFAOYSA-N 0 1 255.318 0.063 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)c2ncn(C)n2)CC1 ZINC001112818340 836926536 /nfs/dbraw/zinc/92/65/36/836926536.db2.gz BCVMNIMLIZEVMM-LBPRGKRZSA-N 0 1 277.372 0.928 20 30 CCEDMN C=CCCCN1CCN(C(=O)CO[C@@H]2CCOC2)CC1 ZINC001112848495 836941740 /nfs/dbraw/zinc/94/17/40/836941740.db2.gz OOIPNJYUSODCNK-CQSZACIVSA-N 0 1 282.384 0.902 20 30 CCEDMN C=CCC[C@H](C)N1CCN(C(=O)[C@H]2CC(=O)N(C)C2)CC1 ZINC001112909427 836960276 /nfs/dbraw/zinc/96/02/76/836960276.db2.gz QVRMKCWRMDAFFJ-KBPBESRZSA-N 0 1 293.411 0.964 20 30 CCEDMN C=CCC1(C(=O)N[C@H]2CCN(CCO)C[C@@H]2O)CCCC1 ZINC001100145007 836991219 /nfs/dbraw/zinc/99/12/19/836991219.db2.gz FVHUPQFEJPUBKS-KBPBESRZSA-N 0 1 296.411 0.667 20 30 CCEDMN C=C(C)CCN1CCN(C(=O)CCCCNC(N)=O)CC1 ZINC001113078673 837018969 /nfs/dbraw/zinc/01/89/69/837018969.db2.gz UPSNASDNJPMSDF-UHFFFAOYSA-N 0 1 296.415 0.935 20 30 CCEDMN C#CCNC(=O)CN1C[C@@H]2CCC[C@]2(NC(=O)CC)C1 ZINC001111554307 837044022 /nfs/dbraw/zinc/04/40/22/837044022.db2.gz NCOTUBOLXJJGBA-WFASDCNBSA-N 0 1 277.368 0.117 20 30 CCEDMN C[C@@H](Nc1ccncc1C#N)[C@H](C)NC(=O)c1cnn[nH]1 ZINC001113356652 837095572 /nfs/dbraw/zinc/09/55/72/837095572.db2.gz KJQLVKRZFCUTLX-BDAKNGLRSA-N 0 1 285.311 0.112 20 30 CCEDMN C[C@@H](NC(=O)c1cnn[nH]1)[C@@H](C)Nc1cncc(C#N)n1 ZINC001113356504 837096545 /nfs/dbraw/zinc/09/65/45/837096545.db2.gz IKRYGZLKNQBCRQ-HTQZYQBOSA-N 0 1 286.299 0.085 20 30 CCEDMN Cc1ccc(C#N)c(N[C@@H](C)[C@H](C)NC(=O)c2cnn[nH]2)n1 ZINC001113357061 837099497 /nfs/dbraw/zinc/09/94/97/837099497.db2.gz PVSWSEVCJXJRQM-UWVGGRQHSA-N 0 1 299.338 0.999 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](C)N(C)c1ncnc2[nH]cnc21 ZINC001113537379 837152239 /nfs/dbraw/zinc/15/22/39/837152239.db2.gz MVCHMPPCOUODSU-RKDXNWHRSA-N 0 1 287.327 0.453 20 30 CCEDMN C=CCCCN1CCN(C(=O)C[C@@H]2CC(=O)NC2=O)CC1 ZINC001113743711 837211104 /nfs/dbraw/zinc/21/11/04/837211104.db2.gz URHGHCCNGVJQIU-LBPRGKRZSA-N 0 1 293.367 0.150 20 30 CCEDMN Cn1nncc1C(=O)Nc1nc(-c2cccc(C#N)c2)n[nH]1 ZINC001184482559 844252125 /nfs/dbraw/zinc/25/21/25/844252125.db2.gz QHILGPCJDUAELH-UHFFFAOYSA-N 0 1 294.278 0.724 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)C2(C)CCC2)[C@H](O)C1 ZINC001090494381 837920879 /nfs/dbraw/zinc/92/08/79/837920879.db2.gz YGCRINDIOUPUPR-VXGBXAGGSA-N 0 1 252.358 0.914 20 30 CCEDMN C#CCCCC(=O)N1CCC(=O)NCCN(CCC)CC1 ZINC001131357383 838061260 /nfs/dbraw/zinc/06/12/60/838061260.db2.gz UDAXXASIVMMFOS-UHFFFAOYSA-N 0 1 293.411 0.850 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CCn2cncn2)CC[C@H]1C ZINC001131856826 838248640 /nfs/dbraw/zinc/24/86/40/838248640.db2.gz RRZIAPVQAOSYOY-ZIAGYGMSSA-N 0 1 289.383 0.661 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)CNC(=O)CC)CC[C@@H]1C ZINC001131912443 838266149 /nfs/dbraw/zinc/26/61/49/838266149.db2.gz YAZPAFPNYABKQQ-QWHCGFSZSA-N 0 1 279.384 0.505 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CCCC(=O)NC)CC[C@@H]1C ZINC001131984746 838290169 /nfs/dbraw/zinc/29/01/69/838290169.db2.gz OOCJFJLGTSMWDO-STQMWFEESA-N 0 1 279.384 0.505 20 30 CCEDMN C=C(Cl)CNCCNC(=O)CCc1nc(C)no1 ZINC001132121342 838319859 /nfs/dbraw/zinc/31/98/59/838319859.db2.gz QNFNVSUVKSFHCL-UHFFFAOYSA-N 0 1 272.736 0.769 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C(=O)NCC(F)F)CC[C@@H]1C ZINC001132145688 838328527 /nfs/dbraw/zinc/32/85/27/838328527.db2.gz RQRQQRBRIVEOCL-UWVGGRQHSA-N 0 1 289.326 0.523 20 30 CCEDMN C=CC[N@@H+]1C[C@@H](NC(=O)COc2cnn(C)c2)CC[C@H]1C ZINC001132244486 838346098 /nfs/dbraw/zinc/34/60/98/838346098.db2.gz ISASJHWMXSLUPX-OLZOCXBDSA-N 0 1 292.383 0.954 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)COc2cnn(C)c2)CC[C@H]1C ZINC001132244486 838346107 /nfs/dbraw/zinc/34/61/07/838346107.db2.gz ISASJHWMXSLUPX-OLZOCXBDSA-N 0 1 292.383 0.954 20 30 CCEDMN CNC(=O)[C@@H](C)N(C)CCCN(C)C(=O)[C@H](C)C#N ZINC001273496075 844321757 /nfs/dbraw/zinc/32/17/57/844321757.db2.gz SNXLGFFNJCLRHN-GHMZBOCLSA-N 0 1 268.361 0.061 20 30 CCEDMN CNC(=O)[C@@H](C)N(C)CCCN(C)C(=O)[C@@H](C)C#N ZINC001273496078 844320754 /nfs/dbraw/zinc/32/07/54/844320754.db2.gz SNXLGFFNJCLRHN-WDEREUQCSA-N 0 1 268.361 0.061 20 30 CCEDMN COCC#CC[N@H+]1C[C@@H](NC(=O)c2ncn[n-]2)CC[C@H]1C ZINC001132368607 838374187 /nfs/dbraw/zinc/37/41/87/838374187.db2.gz OSFBOBLKRJPSTG-NEPJUHHUSA-N 0 1 291.355 0.037 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)C(=O)NCC2CC2)CC[C@H]1C ZINC001132383987 838383279 /nfs/dbraw/zinc/38/32/79/838383279.db2.gz HIVZHGFVGMRSSU-YPMHNXCESA-N 0 1 279.384 0.668 20 30 CCEDMN C=C[C@H](C)[C@H](C)C(=O)NCCNCc1cnnn1C ZINC001132568984 838437771 /nfs/dbraw/zinc/43/77/71/838437771.db2.gz IWRJXPDMOOUJOR-QWRGUYRKSA-N 0 1 265.361 0.479 20 30 CCEDMN C=C[C@H](C)[C@@H](C)C(=O)NCCNCc1cnnn1C ZINC001132568985 838437906 /nfs/dbraw/zinc/43/79/06/838437906.db2.gz IWRJXPDMOOUJOR-WDEREUQCSA-N 0 1 265.361 0.479 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)NCCNCc1cnnn1CC ZINC001132568700 838437927 /nfs/dbraw/zinc/43/79/27/838437927.db2.gz CGMNDMSRXGXKSA-NEPJUHHUSA-N 0 1 279.388 0.962 20 30 CCEDMN CSc1ncc(O)c(C(=O)NCCCS)n1 ZINC001185187776 844356709 /nfs/dbraw/zinc/35/67/09/844356709.db2.gz IEBQVOYFLAKPMY-UHFFFAOYSA-N 0 1 259.356 0.954 20 30 CCEDMN C#CCNS(=O)(=O)N1CCN(CC2(C)CCC2)CC1 ZINC000814630549 838996895 /nfs/dbraw/zinc/99/68/95/838996895.db2.gz SSRFVWVHDHFUIW-UHFFFAOYSA-N 0 1 285.413 0.262 20 30 CCEDMN CN1CCN(C)[C@H](C(=O)N[C@@H]2CCc3c2cccc3C#N)C1 ZINC001185266873 844389780 /nfs/dbraw/zinc/38/97/80/844389780.db2.gz IHIKBXCUJHMDHO-CVEARBPZSA-N 0 1 298.390 0.908 20 30 CCEDMN C=C(Cl)CNCCNC(=O)Cn1nnnc1C(C)C ZINC001135004879 839136584 /nfs/dbraw/zinc/13/65/84/839136584.db2.gz YOHIFTGCLZOKDR-UHFFFAOYSA-N 0 1 286.767 0.255 20 30 CCEDMN C=C(Br)CNCCNC(=O)c1nc(C)c[nH]1 ZINC001135053179 839146993 /nfs/dbraw/zinc/14/69/93/839146993.db2.gz XRCDAJWCPUMQRV-UHFFFAOYSA-N 0 1 287.161 0.946 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)C[C@@H](C)NCc1nnnn1C ZINC001135229832 839186974 /nfs/dbraw/zinc/18/69/74/839186974.db2.gz DVEQIRFDHYBSKW-GHMZBOCLSA-N 0 1 294.403 0.795 20 30 CCEDMN O=C(NCCNCC#Cc1ccccc1)c1ncccn1 ZINC001135602119 839312747 /nfs/dbraw/zinc/31/27/47/839312747.db2.gz ACBBFWQWCQZGGA-UHFFFAOYSA-N 0 1 280.331 0.848 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC2=CCOCC2)[C@@H](O)C1 ZINC001090542871 839628801 /nfs/dbraw/zinc/62/88/01/839628801.db2.gz IMSJFUQVAPKSCJ-KGLIPLIRSA-N 0 1 280.368 0.461 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)C2(C)CC=CC2)[C@@H](O)C1 ZINC001090548833 839631467 /nfs/dbraw/zinc/63/14/67/839631467.db2.gz DIOMNHJNZCVOIG-KBPBESRZSA-N 0 1 276.380 0.917 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cccnc2OC)[C@@H](O)C1 ZINC001090543809 839631589 /nfs/dbraw/zinc/63/15/89/839631589.db2.gz OUKOYROHYICAQT-STQMWFEESA-N 0 1 291.351 0.441 20 30 CCEDMN CC#CCN1CC[C@@H](NC(=O)c2[nH]c(C)nc2C)[C@H](O)C1 ZINC001090582297 839657969 /nfs/dbraw/zinc/65/79/69/839657969.db2.gz XUYPEZZDFNZKGK-CHWSQXEVSA-N 0 1 290.367 0.215 20 30 CCEDMN CN(C)CC#CCNC(=O)/C=C/[C@H]1COC(C)(C)O1 ZINC001170993699 839674941 /nfs/dbraw/zinc/67/49/41/839674941.db2.gz KQLNAHUJICCZBT-GUOLPTJISA-N 0 1 266.341 0.375 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)c2c(C)n[nH]c2C)[C@H](O)C1 ZINC001090648992 839703157 /nfs/dbraw/zinc/70/31/57/839703157.db2.gz AXZDWJLNVGBFTF-VXGBXAGGSA-N 0 1 278.356 0.378 20 30 CCEDMN C=C(C)CN1CC[C@@H](NC(=O)c2oncc2C)[C@@H](O)C1 ZINC001090689342 839738185 /nfs/dbraw/zinc/73/81/85/839738185.db2.gz HUBLTSRBNMHKOX-NEPJUHHUSA-N 0 1 279.340 0.724 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2oc(CC)nc2C)[C@@H](O)C1 ZINC001090706585 839748033 /nfs/dbraw/zinc/74/80/33/839748033.db2.gz VBMVWOPGWHPVJS-RYUDHWBXSA-N 0 1 293.367 0.896 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)Cc2cnn(CC)c2)[C@H](O)C1 ZINC001090713726 839751604 /nfs/dbraw/zinc/75/16/04/839751604.db2.gz YJXPCHZQYGQRRL-ZIAGYGMSSA-N 0 1 292.383 0.183 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)c2cc(CC)on2)[C@H](O)C1 ZINC001090739056 839763923 /nfs/dbraw/zinc/76/39/23/839763923.db2.gz DVLXDWGPWFKAKN-WCQYABFASA-N 0 1 279.340 0.588 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)CCOCC)C1 ZINC001149356986 839926649 /nfs/dbraw/zinc/92/66/49/839926649.db2.gz VZLYUVLZOUUECZ-ZDUSSCGKSA-N 0 1 270.373 0.806 20 30 CCEDMN N#Cc1ccc(CN2CCC3(CC2)CNC(=O)CO3)nc1 ZINC001144163650 839957358 /nfs/dbraw/zinc/95/73/58/839957358.db2.gz PIJQZBAKAZMCTG-UHFFFAOYSA-N 0 1 286.335 0.434 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)[C@@H](O)c1ccc(O)cc1 ZINC001144600013 840100902 /nfs/dbraw/zinc/10/09/02/840100902.db2.gz PZFNIPLIDUGAAH-JTQLQIEISA-N 0 1 258.237 0.659 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)[C@@H](C)OCCOC)C1 ZINC001186059716 844506697 /nfs/dbraw/zinc/50/66/97/844506697.db2.gz QYVBMHVTLIFRAX-VXGBXAGGSA-N 0 1 290.791 0.981 20 30 CCEDMN CN(C)c1ccncc1C(=O)NCC1CC(NCC#N)C1 ZINC001091327434 840181219 /nfs/dbraw/zinc/18/12/19/840181219.db2.gz MNZFOJASBPILIM-UHFFFAOYSA-N 0 1 287.367 0.769 20 30 CCEDMN C#CC1CCN(C(=O)C[N@@H+]2CC[C@H](C(=O)OC)C2)CC1 ZINC001186108165 844512208 /nfs/dbraw/zinc/51/22/08/844512208.db2.gz XIFYKXGXXQMEAR-ZDUSSCGKSA-N 0 1 278.352 0.353 20 30 CCEDMN C#CC1CCN(C(=O)CN2CC[C@H](C(=O)OC)C2)CC1 ZINC001186108165 844512213 /nfs/dbraw/zinc/51/22/13/844512213.db2.gz XIFYKXGXXQMEAR-ZDUSSCGKSA-N 0 1 278.352 0.353 20 30 CCEDMN N=C(Nc1nc(F)nc2nc[nH]c21)c1ccc(C(N)=O)cc1 ZINC001171253358 840202532 /nfs/dbraw/zinc/20/25/32/840202532.db2.gz PUXWPGCHCPUNTI-UHFFFAOYSA-N 0 1 299.269 0.628 20 30 CCEDMN CC(C)[N@@H+](C)CC(=O)N[C@H](C)C[C@@H](C)NCC#N ZINC001146590770 840328490 /nfs/dbraw/zinc/32/84/90/840328490.db2.gz AEIYCWPHRVFTFW-VXGBXAGGSA-N 0 1 254.378 0.723 20 30 CCEDMN C=C(Cl)CN[C@@H]1CCN(C(=O)C2(C(=O)NC)CC2)C1 ZINC001186322003 844534138 /nfs/dbraw/zinc/53/41/38/844534138.db2.gz PSMGAOWQUCWXSU-SNVBAGLBSA-N 0 1 285.775 0.456 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN(CC(=O)N(C)CC)C2 ZINC001147158435 840506288 /nfs/dbraw/zinc/50/62/88/840506288.db2.gz ATBCMQQVLUNTJX-UHFFFAOYSA-N 0 1 293.411 0.965 20 30 CCEDMN C=CCCC(=O)N1CC2(C1)CCN([C@@H](C)C(N)=O)C2 ZINC001147165122 840509263 /nfs/dbraw/zinc/50/92/63/840509263.db2.gz BGLKSXQQXKIWIQ-NSHDSACASA-N 0 1 265.357 0.361 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)CCC(=O)NCC)C2)C1 ZINC001147206088 840520679 /nfs/dbraw/zinc/52/06/79/840520679.db2.gz KWXWLAKGWAFZQP-UHFFFAOYSA-N 0 1 277.368 0.070 20 30 CCEDMN N#Cc1c(N)nn(C(=O)c2cccc(O)c2O)c1N ZINC001148212309 840761338 /nfs/dbraw/zinc/76/13/38/840761338.db2.gz WXPGRFYYXRJNSW-UHFFFAOYSA-N 0 1 259.225 0.019 20 30 CCEDMN C#Cc1ccc(C(=O)NCCNCc2cnoc2C)nc1 ZINC001148370117 840795393 /nfs/dbraw/zinc/79/53/93/840795393.db2.gz JGESRKOZLZLMFL-UHFFFAOYSA-N 0 1 284.319 0.879 20 30 CCEDMN C#Cc1ccc(C(=O)NCCN[C@H](C)c2cnccn2)cn1 ZINC001148382709 840797403 /nfs/dbraw/zinc/79/74/03/840797403.db2.gz BNVNRSXRYDAGST-GFCCVEGCSA-N 0 1 295.346 0.934 20 30 CCEDMN COCC#CCN1C[C@@H]2CCN(C(=O)CC3CC3)[C@@H]2C1 ZINC001186637632 844585201 /nfs/dbraw/zinc/58/52/01/844585201.db2.gz YNGSIJRACTXROG-LSDHHAIUSA-N 0 1 276.380 0.969 20 30 CCEDMN C#CCN1CCC(NC(=O)Cc2ccncc2)CC1 ZINC000586053112 840943921 /nfs/dbraw/zinc/94/39/21/840943921.db2.gz UMMIHJHLCGQYJB-UHFFFAOYSA-N 0 1 257.337 0.838 20 30 CCEDMN C[C@@H](C#N)C(=O)N1C[C@@H](c2cnn(C)c2)[C@H](NCCF)C1 ZINC001086971569 840944688 /nfs/dbraw/zinc/94/46/88/840944688.db2.gz ULSWIDZPPNAAMF-WCFLWFBJSA-N 0 1 293.346 0.433 20 30 CCEDMN CN(CCCSCC#N)CCNS(C)(=O)=O ZINC000074990939 844608204 /nfs/dbraw/zinc/60/82/04/844608204.db2.gz SXXGVBUYTSCGLU-UHFFFAOYSA-N 0 1 265.404 0.114 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CCc3c[nH]nn3)CC[C@H]21 ZINC001036824552 841285391 /nfs/dbraw/zinc/28/53/91/841285391.db2.gz ZATHDHXBBQXTIH-DGCLKSJQSA-N 0 1 288.355 0.184 20 30 CCEDMN N#CCN1CC[C@@H]2CN(C(=O)CCc3cnn[nH]3)CC[C@H]21 ZINC001036824552 841285398 /nfs/dbraw/zinc/28/53/98/841285398.db2.gz ZATHDHXBBQXTIH-DGCLKSJQSA-N 0 1 288.355 0.184 20 30 CCEDMN Cc1cc(CC(=O)NCCNc2nccnc2C#N)[nH]n1 ZINC001093551756 841337932 /nfs/dbraw/zinc/33/79/32/841337932.db2.gz PTAKGOUULURYHB-UHFFFAOYSA-N 0 1 285.311 0.151 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)[C@@H](C)Cc1cnn(C)c1 ZINC001115044098 841509123 /nfs/dbraw/zinc/50/91/23/841509123.db2.gz NOCQHAKWMFSNQO-SPWCGHHHSA-N 0 1 286.379 0.278 20 30 CCEDMN CN1CCC[C@@H]1C(=O)NCCCNc1ccc(C#N)nc1 ZINC001094339984 841611061 /nfs/dbraw/zinc/61/10/61/841611061.db2.gz IFLRJHZDFRSZGR-CQSZACIVSA-N 0 1 287.367 0.966 20 30 CCEDMN N#C[C@@H]1CN([C@H]2CCN(C3CC3)C(=O)C2)CCC1=O ZINC001172018782 841796032 /nfs/dbraw/zinc/79/60/32/841796032.db2.gz WSAGELHCSBSFHH-PWSUYJOCSA-N 0 1 261.325 0.554 20 30 CCEDMN C#CCC[N@H+]1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1cc[n+]([O-])cc1)C2 ZINC001095142025 842075756 /nfs/dbraw/zinc/07/57/56/842075756.db2.gz AIAOEDBCGFSAMQ-ILXRZTDVSA-N 0 1 285.347 0.678 20 30 CCEDMN COC(=O)CCCC[C@H](C)[NH2+][C@@H]1C(=O)N([O-])C[C@@H]1C ZINC001173446327 842084608 /nfs/dbraw/zinc/08/46/08/842084608.db2.gz VYBOGVAFSLTZDO-NHCYSSNCSA-N 0 1 272.345 0.934 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1cc[nH]n1)C2 ZINC001095255365 842152812 /nfs/dbraw/zinc/15/28/12/842152812.db2.gz PIWCCSMHLULEEP-AGIUHOORSA-N 0 1 260.341 0.860 20 30 CCEDMN N#Cc1oc2ccccc2c1NC(=O)Cc1nn[nH]n1 ZINC001176838163 842425936 /nfs/dbraw/zinc/42/59/36/842425936.db2.gz QZVJPHLKYZNKRN-UHFFFAOYSA-N 0 1 268.236 0.999 20 30 CCEDMN N#C[C@@H]1C[C@H](F)CN1C(=O)[C@@H]1CCc2[nH]cnc2C1 ZINC001177176284 842517233 /nfs/dbraw/zinc/51/72/33/842517233.db2.gz JJGKMQWOIGEPNV-UTLUCORTSA-N 0 1 262.288 0.977 20 30 CCEDMN Cc1nc(CC(=O)NC2(C#N)Cc3ccccc3C2)n[nH]1 ZINC001177443300 842607729 /nfs/dbraw/zinc/60/77/29/842607729.db2.gz UIGJODLLVUELMT-UHFFFAOYSA-N 0 1 281.319 0.833 20 30 CCEDMN N#CC(Cc1cccs1)C(=O)N[C@@H]1C=CS(=O)(=O)C1 ZINC001177917367 842708100 /nfs/dbraw/zinc/70/81/00/842708100.db2.gz SKTBTVIUBBLNTO-NXEZZACHSA-N 0 1 296.373 0.857 20 30 CCEDMN C#CCN1CCC(CCOC)(NC(=O)CCOC)CC1 ZINC001150889034 843050612 /nfs/dbraw/zinc/05/06/12/843050612.db2.gz HDFCMGAAPONGHX-UHFFFAOYSA-N 0 1 282.384 0.643 20 30 CCEDMN C#Cc1cc(NC(=O)CN2CCN(C(C)C)CC2)ccn1 ZINC001180343464 843146787 /nfs/dbraw/zinc/14/67/87/843146787.db2.gz ZPNJKLNMQWOVST-UHFFFAOYSA-N 0 1 286.379 0.449 20 30 CCEDMN O=C(NCC#C[C@@H]1CCCCO1)c1n[nH]cc1[N+](=O)[O-] ZINC001180363331 843163597 /nfs/dbraw/zinc/16/35/97/843163597.db2.gz TUNMUNRNFXPOPX-VIFPVBQESA-N 0 1 278.268 0.620 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CNC(C)=O)C2 ZINC001110238768 843268710 /nfs/dbraw/zinc/26/87/10/843268710.db2.gz BBOKXEAOYMOLDB-UTUOFQBUSA-N 0 1 251.330 0.030 20 30 CCEDMN C=C[C@H]1C[C@@]1(NC(=O)[C@H](C)N(C)C)C(=O)OCC ZINC001180863855 843328357 /nfs/dbraw/zinc/32/83/57/843328357.db2.gz MVTMJGKAQUJWNI-KWBADKCTSA-N 0 1 254.330 0.561 20 30 CCEDMN C#CCN1C[C@@H]2CCN(C(=O)[C@H](C)OCC3CC3)[C@@H]2C1 ZINC001187161160 844652867 /nfs/dbraw/zinc/65/28/67/844652867.db2.gz UAUMSXGHMDZMGN-AEGPPILISA-N 0 1 276.380 0.967 20 30 CCEDMN C=CCN1CCC[C@H]1CNC(=O)c1ccnc(N(C)C)n1 ZINC001188011185 844820298 /nfs/dbraw/zinc/82/02/98/844820298.db2.gz SVZAACYMJNJUAK-LBPRGKRZSA-N 0 1 289.383 0.923 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(C)=O)C1 ZINC001188545103 844913294 /nfs/dbraw/zinc/91/32/94/844913294.db2.gz KTGWVWXWUMLNDT-ZYHUDNBSSA-N 0 1 253.346 0.230 20 30 CCEDMN C#CCNC(=O)[C@@H](C)N(C)[C@@H]1CCN(C(=O)C(C)(C)F)C1 ZINC001188619640 844935289 /nfs/dbraw/zinc/93/52/89/844935289.db2.gz WBQNLVNGNSYHME-VXGBXAGGSA-N 0 1 297.374 0.405 20 30 CCEDMN N#CCc1cccc(C(=O)Nc2cn[nH]c2C(N)=O)c1 ZINC001188666126 844956672 /nfs/dbraw/zinc/95/66/72/844956672.db2.gz ADVDGMBPIRFSRI-UHFFFAOYSA-N 0 1 269.264 0.827 20 30 CCEDMN CC#CC[N@@H+](C)[C@@H]1CCN(C(=O)COCC2CC2)C1 ZINC001189066550 845031072 /nfs/dbraw/zinc/03/10/72/845031072.db2.gz GVLYNWKQYPFHIA-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)COCC2CC2)C1 ZINC001189066550 845031088 /nfs/dbraw/zinc/03/10/88/845031088.db2.gz GVLYNWKQYPFHIA-CQSZACIVSA-N 0 1 264.369 0.969 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2cnn(C)c2)C1 ZINC001189250307 845087864 /nfs/dbraw/zinc/08/78/64/845087864.db2.gz GRLLBMPGLYTZGA-AWEZNQCLSA-N 0 1 274.368 0.519 20 30 CCEDMN C=CC[N@H+]1C[C@@H]2CCN(C(=O)COC[C@@H]3CCOC3)[C@@H]2C1 ZINC001189294123 845097904 /nfs/dbraw/zinc/09/79/04/845097904.db2.gz YYEWAZAHPMLTBM-QLFBSQMISA-N 0 1 294.395 0.758 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCCOC2)C1 ZINC001189302597 845100541 /nfs/dbraw/zinc/10/05/41/845100541.db2.gz YXTADJUUNINYKR-UONOGXRCSA-N 0 1 264.369 0.969 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cnc(N2CCOCC2)nc1 ZINC001189382099 845120202 /nfs/dbraw/zinc/12/02/02/845120202.db2.gz SZTSEPAGSAPWFN-UHFFFAOYSA-N 0 1 299.294 0.160 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)Cc2cc[nH]n2)C1 ZINC001189508032 845152513 /nfs/dbraw/zinc/15/25/13/845152513.db2.gz KOYIJANUEHGTKL-ZDUSSCGKSA-N 0 1 260.341 0.508 20 30 CCEDMN CC(C)C#CC(=O)N1CC[C@@H](N(C)[C@@H](C)C(=O)N(C)C)C1 ZINC001190053026 845316783 /nfs/dbraw/zinc/31/67/83/845316783.db2.gz CNLHAXLPYAECLL-UONOGXRCSA-N 0 1 293.411 0.655 20 30 CCEDMN C#CC1(NS(=O)(=O)c2ncc(F)cc2F)CC1 ZINC001190208008 845367624 /nfs/dbraw/zinc/36/76/24/845367624.db2.gz DJZHGQNUMPAUBW-UHFFFAOYSA-N 0 1 258.249 0.804 20 30 CCEDMN C[C@H](CN(C)C)NS(=O)(=O)c1cc(C#N)ccc1F ZINC001190663471 845448109 /nfs/dbraw/zinc/44/81/09/845448109.db2.gz WJRODDIHTZXBBW-SECBINFHSA-N 0 1 285.344 0.926 20 30 CCEDMN C=C[C@H]1C[C@@]1(NS(=O)(=O)c1ncc[nH]1)C(=O)OCC ZINC001190748580 845489803 /nfs/dbraw/zinc/48/98/03/845489803.db2.gz DKRVFPXQZAJNBQ-KWQFWETISA-N 0 1 285.325 0.196 20 30 CCEDMN CC#CC[N@H+](C)[C@@H]1CCN(C(=O)[C@H]2COCCN2CC)C1 ZINC001191060943 845570437 /nfs/dbraw/zinc/57/04/37/845570437.db2.gz HFHAXICAOJODHL-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2COCCN2CC)C1 ZINC001191060943 845570446 /nfs/dbraw/zinc/57/04/46/845570446.db2.gz HFHAXICAOJODHL-HUUCEWRRSA-N 0 1 293.411 0.263 20 30 CCEDMN C#Cc1ccccc1C(=O)Nc1cn[nH]c1C(N)=O ZINC001191102132 845578120 /nfs/dbraw/zinc/57/81/20/845578120.db2.gz YOPNSJXEASIFKA-UHFFFAOYSA-N 0 1 254.249 0.742 20 30 CCEDMN C=CCOCC[N@H+](C)[C@@H]1CCN(C(=O)c2cn[nH]n2)C1 ZINC001191120970 845587203 /nfs/dbraw/zinc/58/72/03/845587203.db2.gz WPZYFAZEOXUOLF-LLVKDONJSA-N 0 1 279.344 0.154 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)[C@H]2CCC(=O)NC2)C1 ZINC001191092147 845594285 /nfs/dbraw/zinc/59/42/85/845594285.db2.gz RWPDSAUXKKOSTJ-QWHCGFSZSA-N 0 1 277.368 0.069 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)C[C@@H]2CCCC(=O)N2)C1 ZINC001191248704 845620070 /nfs/dbraw/zinc/62/00/70/845620070.db2.gz VTQQTBGPKNWLFD-UONOGXRCSA-N 0 1 291.395 0.601 20 30 CCEDMN C=CC(C)(C)C(=O)N1CC[C@@H](N(C)[C@H](C)C(=O)NC)C1 ZINC001191727479 845719070 /nfs/dbraw/zinc/71/90/70/845719070.db2.gz NEBFFUPBIJOUSK-VXGBXAGGSA-N 0 1 281.400 0.866 20 30 CCEDMN N#Cc1cc(F)c(C(=O)Nc2cn[nH]c2C(N)=O)c(F)c1 ZINC001191908045 845751189 /nfs/dbraw/zinc/75/11/89/845751189.db2.gz YLPWMQLBQMASFX-UHFFFAOYSA-N 0 1 291.217 0.911 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)COCCCC)C1 ZINC001191944719 845758069 /nfs/dbraw/zinc/75/80/69/845758069.db2.gz MLRXXZBFRQJPED-CHWSQXEVSA-N 0 1 270.373 0.541 20 30 CCEDMN COCC#CCN(C)[C@@H]1CCN(C(=O)[C@H](OC)C(C)C)C1 ZINC001192163281 845794775 /nfs/dbraw/zinc/79/47/75/845794775.db2.gz UTWFQVMAQIWEOR-HUUCEWRRSA-N 0 1 296.411 0.840 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2ccc(C(N)=O)[nH]2)C1 ZINC001192385496 845834466 /nfs/dbraw/zinc/83/44/66/845834466.db2.gz HYCGZAZMTJRGFQ-LLVKDONJSA-N 0 1 288.351 0.283 20 30 CCEDMN CC[C@@H](CN1C[C@@H](O)[C@H](NC(=O)C#CC(C)C)C1)OC ZINC001192761423 845902748 /nfs/dbraw/zinc/90/27/48/845902748.db2.gz JKMIVNROEOTPIP-BFHYXJOUSA-N 0 1 282.384 0.232 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)c2cnc3cccnn23)C1 ZINC001192724032 845904228 /nfs/dbraw/zinc/90/42/28/845904228.db2.gz QJNBTIVSMWUVSS-CYBMUJFWSA-N 0 1 297.362 0.899 20 30 CCEDMN CCOCCCN1C[C@@H](O)[C@H](NC(=O)C#CC(C)C)C1 ZINC001192805083 845913153 /nfs/dbraw/zinc/91/31/53/845913153.db2.gz RQWAOBFVWZHIKM-ZIAGYGMSSA-N 0 1 282.384 0.234 20 30 CCEDMN N#CCS(=O)(=O)Nc1ccc(OC2CCOCC2)nc1 ZINC001192939468 845943250 /nfs/dbraw/zinc/94/32/50/845943250.db2.gz QDCUMPBRGJQRLU-UHFFFAOYSA-N 0 1 297.336 0.905 20 30 CCEDMN COC(=O)c1ccnc(Cl)c1NS(=O)(=O)CC#N ZINC001192939803 845943694 /nfs/dbraw/zinc/94/36/94/845943694.db2.gz YUWMCBSQUUNDAM-UHFFFAOYSA-N 0 1 289.700 0.787 20 30 CCEDMN CC1(C)CNC(=O)c2ccc(NS(=O)(=O)CC#N)cc21 ZINC001192985442 845945596 /nfs/dbraw/zinc/94/55/96/845945596.db2.gz DOAUZCLGOWGJBY-UHFFFAOYSA-N 0 1 293.348 0.973 20 30 CCEDMN CCOC(=O)[C@H](NS(=O)(=O)CC#N)c1cccnc1 ZINC001193003158 845952907 /nfs/dbraw/zinc/95/29/07/845952907.db2.gz ZRSDRSPCJXEXBW-SNVBAGLBSA-N 0 1 283.309 0.129 20 30 CCEDMN C[C@H](C#N)S(=O)(=O)N1CC[C@H](N(C)C2CC2)C1 ZINC001193138660 846002691 /nfs/dbraw/zinc/00/26/91/846002691.db2.gz QYRHLBAHTBLFGO-KOLCDFICSA-N 0 1 257.359 0.397 20 30 CCEDMN CCOC(=O)[C@H](NS(=O)(=O)[C@H](C)C#N)c1cccnc1 ZINC001193182582 846026522 /nfs/dbraw/zinc/02/65/22/846026522.db2.gz OMLLDIGHIHJWOL-MWLCHTKSSA-N 0 1 297.336 0.517 20 30 CCEDMN CC#CCN(C)[C@@H]1CCN(C(=O)CCc2cnccn2)C1 ZINC001193280813 846041254 /nfs/dbraw/zinc/04/12/54/846041254.db2.gz YGNDICHDCUWVFP-OAHLLOKOSA-N 0 1 286.379 0.965 20 30 CCEDMN N#C[C@@H]1CNCCN1C(=O)c1cc(Br)[nH]n1 ZINC001193285064 846042955 /nfs/dbraw/zinc/04/29/55/846042955.db2.gz HDMNKRJHKKLKAO-ZCFIWIBFSA-N 0 1 284.117 0.110 20 30 CCEDMN C=CC(C)(C)CC(=O)N[C@@H]1CN(CCOCC)C[C@H]1O ZINC001193304127 846048915 /nfs/dbraw/zinc/04/89/15/846048915.db2.gz NBGWKKOXJXEYHS-CHWSQXEVSA-N 0 1 284.400 0.787 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1C[N@@H+](C[C@@H](C)O)C[C@H]1O ZINC001193312777 846061747 /nfs/dbraw/zinc/06/17/47/846061747.db2.gz NBAQYSKTWFPUGA-FBIMIBRVSA-N 0 1 290.791 0.307 20 30 CCEDMN C=C(Cl)C(C)(C)C(=O)N[C@@H]1CN(C[C@@H](C)O)C[C@H]1O ZINC001193312777 846061757 /nfs/dbraw/zinc/06/17/57/846061757.db2.gz NBAQYSKTWFPUGA-FBIMIBRVSA-N 0 1 290.791 0.307 20 30 CCEDMN N#Cc1cc(C(=O)n2c(N)c(F)ccc2=N)ccc1O ZINC001193498889 846093232 /nfs/dbraw/zinc/09/32/32/846093232.db2.gz MTERLPKONMYXRC-UHFFFAOYSA-N 0 1 272.239 0.955 20 30 CCEDMN N#Cc1cc(C(=O)Nc2oncc2C(N)=O)ccc1O ZINC001193498995 846093820 /nfs/dbraw/zinc/09/38/20/846093820.db2.gz OLCBEUMSRZYRGM-UHFFFAOYSA-N 0 1 272.220 0.603 20 30 CCEDMN C[N@@H+]1C[C@H]2CN(C(=O)c3ccc([O-])c(C#N)c3)C[C@H]2C1 ZINC001193504474 846101122 /nfs/dbraw/zinc/10/11/22/846101122.db2.gz SCRROAAGGASQGY-BETUJISGSA-N 0 1 271.320 0.897 20 30 CCEDMN N#Cc1cccc(C(=O)N2CCNC[C@H]2C#N)c1O ZINC001193642472 846134977 /nfs/dbraw/zinc/13/49/77/846134977.db2.gz GFMPHERELNUFKS-SNVBAGLBSA-N 0 1 256.265 0.201 20 30 CCEDMN N#Cc1cncc(C(=O)Nc2cn[nH]c2C(N)=O)c1 ZINC001194102467 846221412 /nfs/dbraw/zinc/22/14/12/846221412.db2.gz HOAGVRQRQHNJEQ-UHFFFAOYSA-N 0 1 256.225 0.028 20 30 CCEDMN CC#CCN(C)[C@H]1CCN(C(=O)CNC(=O)C2CCC2)C1 ZINC001194266041 846256396 /nfs/dbraw/zinc/25/63/96/846256396.db2.gz JHEDRGBBDZIJME-AWEZNQCLSA-N 0 1 291.395 0.459 20 30 CCEDMN C=C(C)CN1CCCN(C(=O)[C@H](C)NC(C)=O)CC1 ZINC001194739060 846364970 /nfs/dbraw/zinc/36/49/70/846364970.db2.gz IUQVBRYWCMONEG-LBPRGKRZSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2cnn(C)c2)CC1 ZINC001194777311 846381209 /nfs/dbraw/zinc/38/12/09/846381209.db2.gz HDGYUHPICVCIIO-UHFFFAOYSA-N 0 1 292.383 0.771 20 30 CCEDMN CO[C@H](C)CN1CCCN(C(=O)CSCC#N)CC1 ZINC001194919163 846417479 /nfs/dbraw/zinc/41/74/79/846417479.db2.gz LIFFSUHJFRDUJQ-GFCCVEGCSA-N 0 1 285.413 0.812 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2cnsn2)CC1 ZINC001194970916 846431250 /nfs/dbraw/zinc/43/12/50/846431250.db2.gz NKBQJXJEQRCOLW-UHFFFAOYSA-N 0 1 296.396 0.889 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)COCC=C)CC1 ZINC001195283681 846496770 /nfs/dbraw/zinc/49/67/70/846496770.db2.gz FRMNHUBLUDBJGT-UHFFFAOYSA-N 0 1 282.384 0.926 20 30 CCEDMN Cc1ccc(O)c(C(=O)Nc2cn(C)nc2C#N)n1 ZINC001195319868 846506662 /nfs/dbraw/zinc/50/66/62/846506662.db2.gz UYOMBMKGXVTYOG-UHFFFAOYSA-N 0 1 257.253 0.953 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](C)C(F)(F)F)C1 ZINC001195401404 846522350 /nfs/dbraw/zinc/52/23/50/846522350.db2.gz VZNVZZITDIJXBR-IVZWLZJFSA-N 0 1 278.274 0.369 20 30 CCEDMN C=CCN1C(=O)CC[C@@H]2[C@H]1CCN2CC(=O)NCC ZINC001273698334 846522451 /nfs/dbraw/zinc/52/24/51/846522451.db2.gz DSQJIFMNSADFDO-VXGBXAGGSA-N 0 1 265.357 0.374 20 30 CCEDMN C=CCC[N@H+]1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(C)C)C1 ZINC001195492263 846551923 /nfs/dbraw/zinc/55/19/23/846551923.db2.gz SHLYBKVJJYRRIC-IJLUTSLNSA-N 0 1 258.337 0.718 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)[C@H](F)C(C)C)C1 ZINC001195492263 846551930 /nfs/dbraw/zinc/55/19/30/846551930.db2.gz SHLYBKVJJYRRIC-IJLUTSLNSA-N 0 1 258.337 0.718 20 30 CCEDMN C=CCOCCN1CCCN(C(=O)c2cncnc2)CC1 ZINC001195555801 846558697 /nfs/dbraw/zinc/55/86/97/846558697.db2.gz LSADNGHTBDNNGE-UHFFFAOYSA-N 0 1 290.367 0.827 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C=C)CC)C1 ZINC001195568007 846584240 /nfs/dbraw/zinc/58/42/40/846584240.db2.gz VHGJNKKGNFMFGW-BFHYXJOUSA-N 0 1 264.369 0.773 20 30 CCEDMN C=C(C)CN1C(=O)CC[C@@H]2[C@H]1CCN2[C@H](CC)C(N)=O ZINC001273703511 846587631 /nfs/dbraw/zinc/58/76/31/846587631.db2.gz IGVSVUMENGRPDP-JHJVBQTASA-N 0 1 279.384 0.892 20 30 CCEDMN CCNC(=O)CN(C)C(=O)c1ccc(C#N)cc1O ZINC001195733179 846605116 /nfs/dbraw/zinc/60/51/16/846605116.db2.gz CMCSPNFEAXUOKR-UHFFFAOYSA-N 0 1 261.281 0.472 20 30 CCEDMN N#Cc1ccc(C(=O)N[C@H](CO)C(F)(F)F)c(O)c1 ZINC001195763825 846612170 /nfs/dbraw/zinc/61/21/70/846612170.db2.gz DAKIGXXJWMEBPV-SECBINFHSA-N 0 1 274.198 0.917 20 30 CCEDMN COC(=O)[C@H](CS)NC(=O)c1ccc(C#N)cc1O ZINC001195765252 846613567 /nfs/dbraw/zinc/61/35/67/846613567.db2.gz YXQJLOFIFDATCH-VIFPVBQESA-N 0 1 280.305 0.465 20 30 CCEDMN N#Cc1ccc(C(=O)NCCCc2c[nH][nH]c2=O)c(O)c1 ZINC001195765201 846613988 /nfs/dbraw/zinc/61/39/88/846613988.db2.gz XCJMYGUIKBRNJP-JTQLQIEISA-N 0 1 286.291 0.506 20 30 CCEDMN N=C(NC[C@H](O)C(F)(F)F)c1ccc(F)cn1 ZINC001250991637 846725386 /nfs/dbraw/zinc/72/53/86/846725386.db2.gz MJAWFXOGYWEOLH-ZETCQYMHSA-N 0 1 251.183 0.849 20 30 CCEDMN Cc1n[nH]cc1C[N@@H+](C)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001196757744 846799571 /nfs/dbraw/zinc/79/95/71/846799571.db2.gz AEOMCPUYANOMIN-UHFFFAOYSA-N 0 1 297.322 0.970 20 30 CCEDMN Cc1n[nH]cc1C[N@H+](C)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001196757744 846799572 /nfs/dbraw/zinc/79/95/72/846799572.db2.gz AEOMCPUYANOMIN-UHFFFAOYSA-N 0 1 297.322 0.970 20 30 CCEDMN C=C(C)CN1C[C@@H](O)[C@H](NC(=O)[C@H](C)Cc2c[nH]cn2)C1 ZINC001196826441 846806134 /nfs/dbraw/zinc/80/61/34/846806134.db2.gz CHFYSTTXSWBLHQ-MRVWCRGKSA-N 0 1 292.383 0.326 20 30 CCEDMN C=CCOCCN1C[C@@H](O)[C@H](NC(=O)CCC2(C)CC2)C1 ZINC001197450468 846904258 /nfs/dbraw/zinc/90/42/58/846904258.db2.gz OPGBBCBFQMZMJY-ZIAGYGMSSA-N 0 1 296.411 0.931 20 30 CCEDMN COCCn1cc(C(=O)Nc2nc[nH]c2C#N)cn1 ZINC001197464782 846913866 /nfs/dbraw/zinc/91/38/66/846913866.db2.gz QJOITQRIXNDIMY-UHFFFAOYSA-N 0 1 260.257 0.377 20 30 CCEDMN N#Cc1[nH]cnc1NC(=O)c1cnc(=O)n(CC2CC2)c1 ZINC001197761059 846952912 /nfs/dbraw/zinc/95/29/12/846952912.db2.gz YGCQKBQKDKBGOG-UHFFFAOYSA-N 0 1 284.279 0.500 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)C[C@@H](C)c2ccco2)C1 ZINC001197874934 846992053 /nfs/dbraw/zinc/99/20/53/846992053.db2.gz PESNHGZUSMDXIW-MGPQQGTHSA-N 0 1 290.363 0.958 20 30 CCEDMN C=CCN1CCCN(C(=O)CNC(=O)C(C)(C)C)CC1 ZINC001198092045 847039741 /nfs/dbraw/zinc/03/97/41/847039741.db2.gz LEXYKFGYSSYDHH-UHFFFAOYSA-N 0 1 281.400 0.869 20 30 CCEDMN C=CCN1CCCN(C(=O)CCCC(N)=O)CC1 ZINC001198379389 847099340 /nfs/dbraw/zinc/09/93/40/847099340.db2.gz OWRZSUDWIOEMFC-UHFFFAOYSA-N 0 1 253.346 0.362 20 30 CCEDMN CCOC(=O)[C@H](C#N)NC(=O)c1[nH]nc2c1CC[C@H]2C ZINC001198463531 847116815 /nfs/dbraw/zinc/11/68/15/847116815.db2.gz NSNCZFGCISUVOR-APPZFPTMSA-N 0 1 276.296 0.644 20 30 CCEDMN C#CCNC(=O)[C@H](C)N1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C(C)(C)C ZINC001115153737 847241807 /nfs/dbraw/zinc/24/18/07/847241807.db2.gz AHWGHDSNPFWSJG-WUHRBBMRSA-N 0 1 291.395 0.217 20 30 CCEDMN CCc1cc(C(=O)N2CCCO[C@@H](CNCC#N)C2)n[nH]1 ZINC001199924394 847480830 /nfs/dbraw/zinc/48/08/30/847480830.db2.gz SXHFGLNHMTZNQN-LBPRGKRZSA-N 0 1 291.355 0.316 20 30 CCEDMN C=CCN1CC[C@]2(CCN(CCS(C)(=O)=O)C2)C1=O ZINC001273811712 847547962 /nfs/dbraw/zinc/54/79/62/847547962.db2.gz PHQDVWIQPIXWGY-ZDUSSCGKSA-N 0 1 286.397 0.141 20 30 CCEDMN C=C(Cl)CNC[C@H]1CN(C(=O)c2nc[nH]n2)CCCO1 ZINC001201679305 847801412 /nfs/dbraw/zinc/80/14/12/847801412.db2.gz VJCAVZSCRTUHQC-JTQLQIEISA-N 0 1 299.762 0.378 20 30 CCEDMN C#CCN1CC[C@@]2(CCN([C@@H](C)C(=O)OCC)C2)C1=O ZINC001273908239 847813808 /nfs/dbraw/zinc/81/38/08/847813808.db2.gz RYGSLFRCOFAHJJ-WFASDCNBSA-N 0 1 278.352 0.496 20 30 CCEDMN C=CCO[C@@H](C)C(=O)NCCN[C@H](C)c1n[nH]c(C)n1 ZINC001153149361 847933909 /nfs/dbraw/zinc/93/39/09/847933909.db2.gz WIJSNCZJPUKQDO-ZJUUUORDSA-N 0 1 281.360 0.471 20 30 CCEDMN CCN(CC)C[C@@H](F)C(=O)N[C@@H]1[C@H]2CN(CC#N)C[C@H]21 ZINC001114358050 848026072 /nfs/dbraw/zinc/02/60/72/848026072.db2.gz OKWGKDGKNASFKU-XQHKEYJVSA-N 0 1 282.363 0.236 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1COCCN1C)C2 ZINC001095335755 848246202 /nfs/dbraw/zinc/24/62/02/848246202.db2.gz BUNFLGHMVNPJMO-QPSCCSFWSA-N 0 1 291.395 0.062 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)Cc1ccnn1C)C2 ZINC001095362937 848265751 /nfs/dbraw/zinc/26/57/51/848265751.db2.gz LKOJSWPZGKWJBZ-ILXRZTDVSA-N 0 1 286.379 0.707 20 30 CCEDMN C=CCC1(O)CCN([C@@H](C)C(=O)NC(=O)NCC)CC1 ZINC000717463504 848267102 /nfs/dbraw/zinc/26/71/02/848267102.db2.gz KBCZPHWVYSUHJL-NSHDSACASA-N 0 1 283.372 0.624 20 30 CCEDMN C#CCN1CC2(CCC1=O)CCN(CC(=O)NC)CC2 ZINC001274072125 848341633 /nfs/dbraw/zinc/34/16/33/848341633.db2.gz OALMYXREFWHASR-UHFFFAOYSA-N 0 1 277.368 0.070 20 30 CCEDMN Cc1nnc(SCCC(=O)NC2=NO[C@@H](C)C2)[nH]1 ZINC000777435547 848417629 /nfs/dbraw/zinc/41/76/29/848417629.db2.gz ITLRNZASWUPAGC-LURJTMIESA-N 0 1 269.330 0.834 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)Cc1ccc[nH]1 ZINC001115269706 848420325 /nfs/dbraw/zinc/42/03/25/848420325.db2.gz LMNYYAJAFIJEHP-FOLVSLTJSA-N 0 1 287.363 0.253 20 30 CCEDMN C#CCNC(=O)[C@H]1CC12CCN(Cc1nnc[nH]1)CC2 ZINC001274354213 848455779 /nfs/dbraw/zinc/45/57/79/848455779.db2.gz CMTBMGZFFDLGBH-LLVKDONJSA-N 0 1 273.340 0.156 20 30 CCEDMN C#CCN1C(=O)COCC12CN(Cc1cn[nH]c1CC)C2 ZINC001274380670 848463596 /nfs/dbraw/zinc/46/35/96/848463596.db2.gz GNCZDOJBLFLFCX-UHFFFAOYSA-N 0 1 288.351 0.019 20 30 CCEDMN C=C(C)CN1CC[C@]2(CCCN2C[C@@H](O)C(=O)OC)C1=O ZINC001274605463 848519143 /nfs/dbraw/zinc/51/91/43/848519143.db2.gz LQCCHQJZZPHTED-IUODEOHRSA-N 0 1 296.367 0.163 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1c[nH]nc1C ZINC001275127919 848643293 /nfs/dbraw/zinc/64/32/93/848643293.db2.gz BKMIJUVUFLWWDB-LBPRGKRZSA-N 0 1 260.341 0.888 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)[C@@H](C)NCc1cnns1 ZINC001275365450 848700193 /nfs/dbraw/zinc/70/01/93/848700193.db2.gz JNHQHELITFYAEV-NXEZZACHSA-N 0 1 284.385 0.724 20 30 CCEDMN C#CCCCCC(=O)N[C@@H](C)CN(C)[C@H]1CCCNC1=O ZINC001275535494 848753671 /nfs/dbraw/zinc/75/36/71/848753671.db2.gz RTEKVQMUMCVZPM-KBPBESRZSA-N 0 1 293.411 0.895 20 30 CCEDMN CC#CCN(C)C[C@@H](C)NC(=O)c1cc(OC)no1 ZINC001275545605 848755999 /nfs/dbraw/zinc/75/59/99/848755999.db2.gz YZYHUYDUOOLNCD-SNVBAGLBSA-N 0 1 265.313 0.757 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1ccc2c(n1)OCCO2 ZINC001275599664 848771575 /nfs/dbraw/zinc/77/15/75/848771575.db2.gz JBXPPNMRDCXHME-NSHDSACASA-N 0 1 289.335 0.536 20 30 CCEDMN COCC#CCN(C)C[C@@H](C)NC(=O)c1c(C)cnn1C ZINC001275622401 848776531 /nfs/dbraw/zinc/77/65/31/848776531.db2.gz PFEZTVGPXNKQDB-CYBMUJFWSA-N 0 1 292.383 0.428 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@H](C)CN(C)[C@@H]1CCC(=O)NC1=O ZINC001275623686 848777256 /nfs/dbraw/zinc/77/72/56/848777256.db2.gz YKAJHOPZJDIAES-GHMZBOCLSA-N 0 1 295.383 0.440 20 30 CCEDMN C=CCN1CC[C@H](N(C)[C@H](C)CNC(=O)CC)C1=O ZINC001275750629 848809087 /nfs/dbraw/zinc/80/90/87/848809087.db2.gz JVMDDVZIYKAKPX-NEPJUHHUSA-N 0 1 267.373 0.620 20 30 CCEDMN CCO[C@H](C)C(=O)NC[C@H](C)N(C)CC#CCOC ZINC001275773816 848817479 /nfs/dbraw/zinc/81/74/79/848817479.db2.gz QKBIXWGEIHWCNB-QWHCGFSZSA-N 0 1 270.373 0.498 20 30 CCEDMN N#CCNC[C@H]1CCCC[C@H]1NC(=O)c1[nH]ncc1F ZINC001275793985 848826423 /nfs/dbraw/zinc/82/64/23/848826423.db2.gz RAPDVIFONITYRV-MWLCHTKSSA-N 0 1 279.319 0.951 20 30 CCEDMN C=CC(C)(C)C(=O)NC[C@H](C)N(C)[C@@H]1CCCNC1=O ZINC001275897657 848860054 /nfs/dbraw/zinc/86/00/54/848860054.db2.gz RPQLBAIJAQTLSQ-NWDGAFQWSA-N 0 1 281.400 0.914 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)Cc1cscn1 ZINC001275944517 848871727 /nfs/dbraw/zinc/87/17/27/848871727.db2.gz GZRGYFBOSIXNGX-SNVBAGLBSA-N 0 1 251.355 0.755 20 30 CCEDMN C#CCCCN1C[C@@H]2CN(COCCOC)C[C@H](C1)O2 ZINC001275975886 848877819 /nfs/dbraw/zinc/87/78/19/848877819.db2.gz QQIAQXIGZKPCBR-GASCZTMLSA-N 0 1 282.384 0.405 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@@H]1CC12CCOCC2 ZINC001276021341 848893295 /nfs/dbraw/zinc/89/32/95/848893295.db2.gz OBSMPUSLMLNHPP-STQMWFEESA-N 0 1 264.369 0.873 20 30 CCEDMN N#Cc1cc(C(=O)N[C@@H]2[C@H]3CC[C@H](C3)[C@@H]2C(N)=O)ccc1O ZINC001276155830 848942192 /nfs/dbraw/zinc/94/21/92/848942192.db2.gz KQIMFCZEVXJEOU-OBPYKSBLSA-N 0 1 299.330 0.894 20 30 CCEDMN CN(CCCNC(=O)C#CC1CC1)c1ncnc2[nH]cnc21 ZINC001095548495 848984853 /nfs/dbraw/zinc/98/48/53/848984853.db2.gz BGDDKGFEBYWDRI-UHFFFAOYSA-N 0 1 298.350 0.709 20 30 CCEDMN CC[C@@H](C)[N@@H+](CCC[NH3+])C[C@H](S)OP(=O)([O-])[O-] ZINC001257435739 849047312 /nfs/dbraw/zinc/04/73/12/849047312.db2.gz BWKCAUWCNFAZBO-BDAKNGLRSA-N 0 1 286.334 0.801 20 30 CCEDMN C#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)CCCCC(N)=O)C2 ZINC001111259408 849135075 /nfs/dbraw/zinc/13/50/75/849135075.db2.gz RKDOTLNGSPCUHF-UPJWGTAASA-N 0 1 277.368 0.387 20 30 CCEDMN Cc1cc(N(C)CCCNC(=O)c2cnn[nH]2)c(C#N)cn1 ZINC001095778987 849139085 /nfs/dbraw/zinc/13/90/85/849139085.db2.gz VAMZLYXXBDPDBW-UHFFFAOYSA-N 0 1 299.338 0.636 20 30 CCEDMN CC(=O)NCCN1CCC(Nc2ncccc2C#N)CC1 ZINC001111309677 849162183 /nfs/dbraw/zinc/16/21/83/849162183.db2.gz WEEBLZQBOOCTOZ-UHFFFAOYSA-N 0 1 287.367 0.966 20 30 CCEDMN C#CCCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1csnn1)C2 ZINC001095828021 849174141 /nfs/dbraw/zinc/17/41/41/849174141.db2.gz PMPFSTQJFOFWQD-SCVCMEIPSA-N 0 1 276.365 0.897 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)c1[nH]nnc1C)C2 ZINC001095855886 849202110 /nfs/dbraw/zinc/20/21/10/849202110.db2.gz IQLKYQJMBLTFEN-VWYCJHECSA-N 0 1 261.329 0.634 20 30 CCEDMN C=CCN1CC[C@@H]1CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001038648799 849227312 /nfs/dbraw/zinc/22/73/12/849227312.db2.gz XACGKEZEVNFXDW-GHMZBOCLSA-N 0 1 275.356 0.601 20 30 CCEDMN COCC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)C1(COC)CC1 ZINC001114565034 849244766 /nfs/dbraw/zinc/24/47/66/849244766.db2.gz CRRFVAMBWQTEEM-WDNDVIMCSA-N 0 1 292.379 0.109 20 30 CCEDMN CC(C)(O)[C@@H]1CCCN(CC(=O)NCCC#N)C1 ZINC000719670178 849323305 /nfs/dbraw/zinc/32/33/05/849323305.db2.gz OPAOQEQLQDDLGB-LLVKDONJSA-N 0 1 253.346 0.499 20 30 CCEDMN C=CCCCN1CC([C@H](C)NC(=O)CS(C)(=O)=O)C1 ZINC001276350529 849442367 /nfs/dbraw/zinc/44/23/67/849442367.db2.gz LWSUNNIVPQEURS-NSHDSACASA-N 0 1 288.413 0.434 20 30 CCEDMN C=C[C@@H](O)CNc1cccnc1N1CCN(C)CC1 ZINC001253569026 849451347 /nfs/dbraw/zinc/45/13/47/849451347.db2.gz QKENZNZAYJZYIS-GFCCVEGCSA-N 0 1 262.357 0.792 20 30 CCEDMN C[C@H](O)CN1CC[C@@H]1CNC(=O)c1ccc(C#N)[nH]1 ZINC001038171699 849805899 /nfs/dbraw/zinc/80/58/99/849805899.db2.gz BLURQDKDBFKOLW-GXSJLCMTSA-N 0 1 262.313 0.071 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1c(C)ncn1C ZINC001038365307 849866998 /nfs/dbraw/zinc/86/69/98/849866998.db2.gz FNDIITIGQCJUQV-LBPRGKRZSA-N 0 1 260.341 0.556 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1cnc2n[nH]cc2c1 ZINC001038393044 849876797 /nfs/dbraw/zinc/87/67/97/849876797.db2.gz DGUGJCNPWUECOA-CYBMUJFWSA-N 0 1 283.335 0.785 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@H]1CCN(C)C(=O)C1 ZINC001038419246 849886062 /nfs/dbraw/zinc/88/60/62/849886062.db2.gz ROXYWZISVOIFDA-STQMWFEESA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)c1ccc(N(C)C)nc1 ZINC001038437135 849898357 /nfs/dbraw/zinc/89/83/57/849898357.db2.gz DZAQEPHRSPWBOP-CQSZACIVSA-N 0 1 286.379 0.975 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)[C@@H]1C[C@H]1c1ccnn1C ZINC001038539148 849935914 /nfs/dbraw/zinc/93/59/14/849935914.db2.gz SGWMOTXJRGZWNZ-BFHYXJOUSA-N 0 1 286.379 0.737 20 30 CCEDMN C#CCN1CC[C@@H]1CNC(=O)[C@@H]1CCCc2n[nH]nc21 ZINC001038649039 849966511 /nfs/dbraw/zinc/96/65/11/849966511.db2.gz XVXWMDNDSBVVQK-GHMZBOCLSA-N 0 1 273.340 0.048 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1CCCCC(=O)N1 ZINC001038702943 849989628 /nfs/dbraw/zinc/98/96/28/849989628.db2.gz SHEYQGJZZRNDBQ-OLZOCXBDSA-N 0 1 277.368 0.259 20 30 CCEDMN C#CCCN1CC[C@@H]1CNC(=O)[C@@H]1C[C@H]2CC[C@@H]1O2 ZINC001038724065 849997245 /nfs/dbraw/zinc/99/72/45/849997245.db2.gz JSUCAAIXBMWMSS-SYQHCUMBSA-N 0 1 262.353 0.768 20 30 CCEDMN C=CCn1cc(C(=O)NC[C@@H]2CCN2C[C@H](C)OC)nn1 ZINC001038790953 850017065 /nfs/dbraw/zinc/01/70/65/850017065.db2.gz NGDJKGIAKLPNKM-RYUDHWBXSA-N 0 1 293.371 0.303 20 30 CCEDMN C=C(C)CN1CC[C@@H]1CNC(=O)[C@H]1CCCCN1C(N)=O ZINC001038897877 850072515 /nfs/dbraw/zinc/07/25/15/850072515.db2.gz XGMUMPZUXCNWQL-CHWSQXEVSA-N 0 1 294.399 0.686 20 30 CCEDMN C#CCCN1CC[C@H]1CNC(=O)c1ccc2nnn(C)c2c1 ZINC001038969058 850104239 /nfs/dbraw/zinc/10/42/39/850104239.db2.gz OXKRHZWRUGTVDO-ZDUSSCGKSA-N 0 1 297.362 0.796 20 30 CCEDMN C=C(C)CN1CC[C@H]1CNC(=O)[C@@H]1CCCN1C(N)=O ZINC001039080226 850147827 /nfs/dbraw/zinc/14/78/27/850147827.db2.gz XMKYGDROOXJWIQ-RYUDHWBXSA-N 0 1 280.372 0.296 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)c1n[nH]nc1C ZINC001039415592 850185827 /nfs/dbraw/zinc/18/58/27/850185827.db2.gz KWVNJGIGCYBEHL-NEPJUHHUSA-N 0 1 275.356 0.978 20 30 CCEDMN C#CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@H]1CCN(C)C1=O ZINC001039420833 850188020 /nfs/dbraw/zinc/18/80/20/850188020.db2.gz HXBLXWFICWMOCH-RDBSUJKOSA-N 0 1 289.379 0.163 20 30 CCEDMN C#CCN1CCC[C@@]2(CCN(C(=O)c3ccn(C)n3)C2)C1 ZINC001040530581 850298533 /nfs/dbraw/zinc/29/85/33/850298533.db2.gz CKSYIHHZWJQESN-MRXNPFEDSA-N 0 1 286.379 0.981 20 30 CCEDMN C#CC[N@@H+]1CC[C@]2(CCN(C(=O)[C@@H](C)n3cncn3)C2)C1 ZINC001041225339 850406002 /nfs/dbraw/zinc/40/60/02/850406002.db2.gz VOJRJXOCSLKZDB-HIFRSBDPSA-N 0 1 287.367 0.397 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3cn(C)cn3)C[C@@H]21 ZINC001042020332 850557194 /nfs/dbraw/zinc/55/71/94/850557194.db2.gz VQVJDYDSQMZQEP-ZFWWWQNUSA-N 0 1 286.379 0.980 20 30 CCEDMN C#CCN1CC[C@H]2CCN(C(=O)c3cn(C)cn3)C[C@H]21 ZINC001042013432 850558424 /nfs/dbraw/zinc/55/84/24/850558424.db2.gz NFPFOXNDLBXAJY-GXTWGEPZSA-N 0 1 272.352 0.590 20 30 CCEDMN C#CCN1CC[C@@H]2CCN(C(=O)c3cncnc3)C[C@H]21 ZINC001042024639 850560802 /nfs/dbraw/zinc/56/08/02/850560802.db2.gz ZAKNNOXTIOOFLN-TZMCWYRMSA-N 0 1 270.336 0.646 20 30 CCEDMN C=CCN1CC[C@@H]2CCN(C(=O)c3cnon3)C[C@@H]21 ZINC001042278726 850607575 /nfs/dbraw/zinc/60/75/75/850607575.db2.gz ZRSOGFZNUMXVRJ-PWSUYJOCSA-N 0 1 262.313 0.792 20 30 CCEDMN CC#CCN1CC[C@@H]2CCN(C(=O)c3ccn(C)n3)C[C@H]21 ZINC001042355669 850617541 /nfs/dbraw/zinc/61/75/41/850617541.db2.gz DCROEMNCBUXJCJ-UKRRQHHQSA-N 0 1 286.379 0.980 20 30 CCEDMN CC#CCN1CC[C@H]2CCN(C(=O)c3nonc3C)C[C@H]21 ZINC001042379361 850621439 /nfs/dbraw/zinc/62/14/39/850621439.db2.gz KIXPFTRJIMPCQV-QWHCGFSZSA-N 0 1 288.351 0.938 20 30 CCEDMN CN(C(=O)c1cc(Br)[nH]n1)C1CN(CC#N)C1 ZINC001042831151 850764765 /nfs/dbraw/zinc/76/47/65/850764765.db2.gz PUCQFMSYEYDWEO-UHFFFAOYSA-N 0 1 298.144 0.452 20 30 CCEDMN CN(C(=O)c1cn[nH]c1-c1ccccn1)C1CN(CC#N)C1 ZINC001042877672 850773372 /nfs/dbraw/zinc/77/33/72/850773372.db2.gz SJGWLRKAZOCJAE-UHFFFAOYSA-N 0 1 296.334 0.751 20 30 CCEDMN C=C(C)CCN1CC(N(C)C(=O)[C@@H]2CCCNC2=O)C1 ZINC001042988686 850792533 /nfs/dbraw/zinc/79/25/33/850792533.db2.gz FSADFPSWIVYDBX-CYBMUJFWSA-N 0 1 279.384 0.621 20 30 CCEDMN C#CCN1CC(N(C)C(=O)[C@H]2C[C@@H](C)Cc3c[nH]nc32)C1 ZINC001043621050 850911948 /nfs/dbraw/zinc/91/19/48/850911948.db2.gz FTZIHWGDEREPHU-FZMZJTMJSA-N 0 1 286.379 0.851 20 30 CCEDMN C[C@H]1Cc2c[nH]nc2[C@H](C(=O)N(C)C2CN(CC#N)C2)C1 ZINC001043624091 850912323 /nfs/dbraw/zinc/91/23/23/850912323.db2.gz ZJFPRFUPQJGGOP-GXFFZTMASA-N 0 1 287.367 0.742 20 30 CCEDMN C=C(C)CN1CC(N(C)C(=O)[C@H]2CCc3[nH]nnc3C2)C1 ZINC001044180954 851035879 /nfs/dbraw/zinc/03/58/79/851035879.db2.gz NKUYRUJAMLIQAX-NSHDSACASA-N 0 1 289.383 0.628 20 30 CCEDMN C=C(C)CN1CC(N(C)C(=O)[C@H]2CCc3nn[nH]c3C2)C1 ZINC001044180954 851035882 /nfs/dbraw/zinc/03/58/82/851035882.db2.gz NKUYRUJAMLIQAX-NSHDSACASA-N 0 1 289.383 0.628 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@]1(C)CCNC1=O)C2 ZINC001096004572 851193533 /nfs/dbraw/zinc/19/35/33/851193533.db2.gz FVAPUHBGFRVAOQ-LMOYCYGVSA-N 0 1 289.379 0.257 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2cc(OC)no2)CC1 ZINC001045414485 851254790 /nfs/dbraw/zinc/25/47/90/851254790.db2.gz SSGYQXCFMHAECP-UHFFFAOYSA-N 0 1 277.324 0.901 20 30 CCEDMN C#CCN1CCC(C)(NC(=O)c2ccn(C)c(=O)c2)CC1 ZINC001045481387 851264366 /nfs/dbraw/zinc/26/43/66/851264366.db2.gz YCAJUPXWBBPYDL-UHFFFAOYSA-N 0 1 287.363 0.603 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)C2=COCCO2)C1 ZINC001046118314 851380226 /nfs/dbraw/zinc/38/02/26/851380226.db2.gz FFLUQGJVKGUPQX-CQSZACIVSA-N 0 1 264.325 0.479 20 30 CCEDMN C=CCN1CC[C@](C)(NC(=O)c2cc[n+]([O-])cc2)C1 ZINC001046119093 851385211 /nfs/dbraw/zinc/38/52/11/851385211.db2.gz VJAUEGZOFUDDCE-AWEZNQCLSA-N 0 1 261.325 0.700 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)c2cnn3cccnc23)C1 ZINC001046533031 851535192 /nfs/dbraw/zinc/53/51/92/851535192.db2.gz DKDITCSMWBKQSP-OAHLLOKOSA-N 0 1 283.335 0.557 20 30 CCEDMN C#CCN1CC[C@@](C)(NC(=O)[C@@H]2CCCc3nn[nH]c32)C1 ZINC001046577385 851556690 /nfs/dbraw/zinc/55/66/90/851556690.db2.gz WTDAKTHTIDNHDY-IAQYHMDHSA-N 0 1 287.367 0.438 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)[C@@H]2C[C@H]3CC[C@@H]2O3)C1 ZINC001046640249 851573118 /nfs/dbraw/zinc/57/31/18/851573118.db2.gz FTBZQRDVMRUMTJ-CXTNEJHOSA-N 0 1 262.353 0.768 20 30 CCEDMN CC#CCN1CC[C@](C)(NC(=O)c2ccnnc2)C1 ZINC001046645848 851573675 /nfs/dbraw/zinc/57/36/75/851573675.db2.gz IIJMTYUDCCOEPI-AWEZNQCLSA-N 0 1 258.325 0.694 20 30 CCEDMN C#CCN1CC[C@](C)(NC(=O)c2nccn3cc(C)nc23)C1 ZINC001046756981 851607514 /nfs/dbraw/zinc/60/75/14/851607514.db2.gz CDSQAYHOJVRGHO-INIZCTEOSA-N 0 1 297.362 0.865 20 30 CCEDMN CC#CCN1CC[C@@](C)(NC(=O)c2c[nH]c(C(N)=O)c2)C1 ZINC001046836571 851625365 /nfs/dbraw/zinc/62/53/65/851625365.db2.gz UOQIFSCVIHCRCI-OAHLLOKOSA-N 0 1 288.351 0.331 20 30 CCEDMN C=CCN1CCC(F)(F)[C@@H](CNC(=O)c2cn(C)nn2)C1 ZINC001046936886 851647700 /nfs/dbraw/zinc/64/77/00/851647700.db2.gz XWFHJHFZTKDNIW-JTQLQIEISA-N 0 1 299.325 0.688 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2ccccc2O)C1 ZINC001047307310 851707654 /nfs/dbraw/zinc/70/76/54/851707654.db2.gz INMXAYFNTBAHLT-ZFWWWQNUSA-N 0 1 288.347 0.533 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cncnc2C)C1 ZINC001047314462 851711377 /nfs/dbraw/zinc/71/13/77/851711377.db2.gz RORZPJGRYFZMLJ-KBPBESRZSA-N 0 1 290.367 0.478 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C2CC(C)(C)C2)C1 ZINC001047368951 851740497 /nfs/dbraw/zinc/74/04/97/851740497.db2.gz AZVNLBQERNDQDP-KBPBESRZSA-N 0 1 278.396 0.949 20 30 CCEDMN C=C(C)CN1C[C@H](O)[C@@H](N(C)C(=O)c2cc[nH]c2)C1 ZINC001047391628 851748219 /nfs/dbraw/zinc/74/82/19/851748219.db2.gz RUUHXANKSQGUGZ-STQMWFEESA-N 0 1 263.341 0.708 20 30 CCEDMN C=CCCN1C[C@H](O)[C@@H](N(C)C(=O)c2csnn2)C1 ZINC001047474950 851778584 /nfs/dbraw/zinc/77/85/84/851778584.db2.gz WSBQQUZJPMWMPQ-QWRGUYRKSA-N 0 1 282.369 0.231 20 30 CCEDMN C#Cc1ccc(C(=O)N(C)[C@H]2C[N@@H+](CC3CC3)C[C@@H]2O)cn1 ZINC001047599270 851829744 /nfs/dbraw/zinc/82/97/44/851829744.db2.gz OAXWDNWPEAFICG-HOTGVXAUSA-N 0 1 299.374 0.590 20 30 CCEDMN C=CCN1C[C@H](O)[C@@H](N(C)C(=O)Cc2ccc[nH]2)C1 ZINC001047632823 851841111 /nfs/dbraw/zinc/84/11/11/851841111.db2.gz BPMZUASBOAFWMW-STQMWFEESA-N 0 1 263.341 0.247 20 30 CCEDMN C#CCCN1C[C@H](O)[C@@H](N(C)C(=O)C[C@@H]2CCCOC2)C1 ZINC001047633320 851842621 /nfs/dbraw/zinc/84/26/21/851842621.db2.gz OHQWPWSJAAGYCW-KKUMJFAQSA-N 0 1 294.395 0.330 20 30 CCEDMN C[C@@H]1CN(C(=O)C#CC2CC2)C[C@H]1CNCc1cnon1 ZINC001048253244 851921986 /nfs/dbraw/zinc/92/19/86/851921986.db2.gz OCYNFGXOZYRCAU-DGCLKSJQSA-N 0 1 288.351 0.667 20 30 CCEDMN Cc1nc(CN2C[C@@H]3CN(C(=O)C#CC4CC4)C[C@@H]3C2)n[nH]1 ZINC001048811604 852071792 /nfs/dbraw/zinc/07/17/92/852071792.db2.gz FBRUMUQLCMKGFV-OKILXGFUSA-N 0 1 299.378 0.417 20 30 CCEDMN C=C1CC(C)(C(=O)N2C[C@H]3CN([C@H](C)C(N)=O)C[C@H]3C2)C1 ZINC001049043638 852147414 /nfs/dbraw/zinc/14/74/14/852147414.db2.gz PQLSTCTYGGEPMT-UPJWGTAASA-N 0 1 291.395 0.607 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)CN1CCCC1=O ZINC001049317680 852224907 /nfs/dbraw/zinc/22/49/07/852224907.db2.gz DNHCCCQFCRMYSL-ZIAGYGMSSA-N 0 1 289.379 0.307 20 30 CCEDMN C#CCN1CC[C@@H]2[C@H]1CCCN2C(=O)c1cncn1C ZINC001049578519 852316634 /nfs/dbraw/zinc/31/66/34/852316634.db2.gz WXOQMMVTAIWNAS-CHWSQXEVSA-N 0 1 272.352 0.732 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1csnn1 ZINC001049628271 852325768 /nfs/dbraw/zinc/32/57/68/852325768.db2.gz WZNNQBQABGKWAZ-RYUDHWBXSA-N 0 1 276.365 0.850 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)c1n[nH]cc1F ZINC001049703343 852355656 /nfs/dbraw/zinc/35/56/56/852355656.db2.gz JYBAALMBQXNMEB-RYUDHWBXSA-N 0 1 276.315 0.861 20 30 CCEDMN C#CCN1CC[C@H]2[C@@H]1CCCN2C(=O)[C@H]1CCCO1 ZINC001049914584 852395748 /nfs/dbraw/zinc/39/57/48/852395748.db2.gz QDQZYAGWVNUUTO-MELADBBJSA-N 0 1 262.353 0.864 20 30 CCEDMN Cc1ncc(C(=O)N2CCC[C@H]3CN(CC#N)C[C@H]32)[nH]1 ZINC001049998482 852417981 /nfs/dbraw/zinc/41/79/81/852417981.db2.gz IHQULTOQIAXHEB-WCQYABFASA-N 0 1 273.340 0.778 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C1=COCCO1)C2 ZINC001096762801 852435488 /nfs/dbraw/zinc/43/54/88/852435488.db2.gz WGKYBPQXFAMEQF-UPJWGTAASA-N 0 1 276.336 0.619 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cccn3nnnc13)C2 ZINC001097138181 852503871 /nfs/dbraw/zinc/50/38/71/852503871.db2.gz ISNYYTOQXMMLPX-RTXFEEFZSA-N 0 1 298.350 0.645 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1cn[nH]c(=O)c1)C2 ZINC001097280292 852524001 /nfs/dbraw/zinc/52/40/01/852524001.db2.gz RWEHSVWJUMDWDL-UTUOFQBUSA-N 0 1 274.324 0.703 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)N[C@@H]1C[C@H]2CC[C@@H]1N2CC#N ZINC001097341217 852528806 /nfs/dbraw/zinc/52/88/06/852528806.db2.gz OJVXYZICDZXYAP-YIYPIFLZSA-N 0 1 276.384 0.716 20 30 CCEDMN C=CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1c[nH]c(=O)n1C)C2 ZINC001097645824 852566115 /nfs/dbraw/zinc/56/61/15/852566115.db2.gz JEOHKJUOZPXNBR-MXWKQRLJSA-N 0 1 276.340 0.647 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)[C@H]1[C@@H]3COC[C@@H]31)C2 ZINC001097673003 852570469 /nfs/dbraw/zinc/57/04/69/852570469.db2.gz KCMXXMYDJGVOJW-JEWKUQAESA-N 0 1 274.364 0.624 20 30 CCEDMN C=CCCN1CC2(C1)CN(C(=O)[C@@H]1CCCN1C)CCO2 ZINC001053190385 852706913 /nfs/dbraw/zinc/70/69/13/852706913.db2.gz SRZICITTWIATBJ-AWEZNQCLSA-N 0 1 293.411 0.570 20 30 CCEDMN Cc1n[nH]c(C(=O)N[C@H]2COC3(CN(CC#N)C3)C2)c1C ZINC001053760574 852810558 /nfs/dbraw/zinc/81/05/58/852810558.db2.gz IOOQELITIGWFGU-LLVKDONJSA-N 0 1 289.339 0.123 20 30 CCEDMN C#CCN1CC2(C1)C[C@@H](NC(=O)CN1CCC[C@H]1C)CO2 ZINC001053926426 852849806 /nfs/dbraw/zinc/84/98/06/852849806.db2.gz FHAICPYPHRMYMG-ZIAGYGMSSA-N 0 1 291.395 0.063 20 30 CCEDMN C=C(Cl)CN[C@@H]1CN(C(=O)c2c[nH]c(=O)n2C)C[C@H]1C ZINC001054973243 853047868 /nfs/dbraw/zinc/04/78/68/853047868.db2.gz GCCOTHHQUWVUHV-PSASIEDQSA-N 0 1 298.774 0.928 20 30 CCEDMN N#Cc1ccc(N[C@@H]2CCN(C(=O)Cc3ccn[nH]3)C2)nc1 ZINC001056597543 853176637 /nfs/dbraw/zinc/17/66/37/853176637.db2.gz VFQFLOUDWQOKQB-CYBMUJFWSA-N 0 1 296.334 0.932 20 30 CCEDMN CN(c1ccc(C#N)nn1)[C@@H]1CCN(C(=O)c2ccn[nH]2)C1 ZINC001056868430 853237700 /nfs/dbraw/zinc/23/77/00/853237700.db2.gz DGLGYXKLRZOHGZ-LLVKDONJSA-N 0 1 297.322 0.422 20 30 CCEDMN N#CCN1C[C@@H]2CCCN(C(=O)Cc3ccn[nH]3)[C@@H]2C1 ZINC001050004573 853292055 /nfs/dbraw/zinc/29/20/55/853292055.db2.gz QFMIJNGHVQVRID-WCQYABFASA-N 0 1 273.340 0.399 20 30 CCEDMN C#CCN1C[C@@H]2CCCN(C(=O)c3nccc(C)n3)[C@@H]2C1 ZINC001050034747 853299755 /nfs/dbraw/zinc/29/97/55/853299755.db2.gz PFOPNYSGEGALLF-UONOGXRCSA-N 0 1 284.363 0.955 20 30 CCEDMN CC#CCN1C[C@@H]2CCCN(C(=O)c3cn(C)nn3)[C@@H]2C1 ZINC001050040994 853301987 /nfs/dbraw/zinc/30/19/87/853301987.db2.gz YQNUGAWHDHIAGL-GXTWGEPZSA-N 0 1 287.367 0.375 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC2(C1)CCN(CC(=O)N(C)C)CC2 ZINC001050463183 853379581 /nfs/dbraw/zinc/37/95/81/853379581.db2.gz KWXIBJKSTCDLMK-LBPRGKRZSA-N 0 1 292.383 0.159 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@H](NC(=O)C[C@@H]1CCC(=O)N1)C2 ZINC001098026341 853398716 /nfs/dbraw/zinc/39/87/16/853398716.db2.gz SXQFYSIBKANFEK-DGAVXFQQSA-N 0 1 289.379 0.400 20 30 CCEDMN N#CCN1CCc2c(CNC(=O)c3ncn[nH]3)cccc2C1 ZINC001051302732 853559022 /nfs/dbraw/zinc/55/90/22/853559022.db2.gz BEHWGIKLAUMWOL-UHFFFAOYSA-N 0 1 296.334 0.616 20 30 CCEDMN N#CCN1CCc2c(CNC(=O)c3nc[nH]n3)cccc2C1 ZINC001051302732 853559029 /nfs/dbraw/zinc/55/90/29/853559029.db2.gz BEHWGIKLAUMWOL-UHFFFAOYSA-N 0 1 296.334 0.616 20 30 CCEDMN Cc1nocc1CNC[C@H]1CN(C(=O)[C@H](C)C#N)CCO1 ZINC001051493815 853595460 /nfs/dbraw/zinc/59/54/60/853595460.db2.gz AHYYLAKXUOELFP-MFKMUULPSA-N 0 1 292.339 0.460 20 30 CCEDMN C#CCCN1CCN([C@H]2CCN(C(=O)C3CC3)C2)CC1 ZINC001051962912 853663940 /nfs/dbraw/zinc/66/39/40/853663940.db2.gz LSSUYKUNCUFNGN-HNNXBMFYSA-N 0 1 275.396 0.638 20 30 CCEDMN N#Cc1ccc(NC2CC(CNC(=O)c3ncn[nH]3)C2)nn1 ZINC001051982818 853670193 /nfs/dbraw/zinc/67/01/93/853670193.db2.gz HAEXRCHLMGOXCD-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN N#Cc1ccc(NC2CC(CNC(=O)c3nc[nH]n3)C2)nn1 ZINC001051982818 853670197 /nfs/dbraw/zinc/67/01/97/853670197.db2.gz HAEXRCHLMGOXCD-UHFFFAOYSA-N 0 1 298.310 0.087 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@@H]1CCCN(CC#N)CC1 ZINC001052250596 853715095 /nfs/dbraw/zinc/71/50/95/853715095.db2.gz HWTXIHFWLWTTLG-CHWSQXEVSA-N 0 1 264.373 0.575 20 30 CCEDMN Cc1c(C(=O)N=C2C[C@H](C)ON2)nn2c1OCCC2 ZINC000827496116 853794562 /nfs/dbraw/zinc/79/45/62/853794562.db2.gz DFRVZNDFAHJKIJ-ZETCQYMHSA-N 0 1 264.285 0.826 20 30 CCEDMN N#Cc1cccnc1NCC1CC(NC(=O)c2ncn[nH]2)C1 ZINC001067860264 853862714 /nfs/dbraw/zinc/86/27/14/853862714.db2.gz UMSGXQTZTBXIJH-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN N#Cc1cccnc1NCC1CC(NC(=O)c2nc[nH]n2)C1 ZINC001067860264 853862717 /nfs/dbraw/zinc/86/27/17/853862717.db2.gz UMSGXQTZTBXIJH-UHFFFAOYSA-N 0 1 297.322 0.692 20 30 CCEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1ncn[nH]1)C2 ZINC001098077292 853918301 /nfs/dbraw/zinc/91/83/01/853918301.db2.gz UJROOIHVNTWRDP-BBBLOLIVSA-N 0 1 281.747 0.892 20 30 CCEDMN C=C(Cl)CN1[C@@H]2CC[C@H]1[C@H](NC(=O)c1nc[nH]n1)C2 ZINC001098077292 853918302 /nfs/dbraw/zinc/91/83/02/853918302.db2.gz UJROOIHVNTWRDP-BBBLOLIVSA-N 0 1 281.747 0.892 20 30 CCEDMN C[C@@H]1C[C@@H](Nc2cncc(C#N)n2)CN1C(=O)c1ccn[nH]1 ZINC001069016385 853939180 /nfs/dbraw/zinc/93/91/80/853939180.db2.gz FXUGSTKROWEJFH-NXEZZACHSA-N 0 1 297.322 0.786 20 30 CCEDMN C[C@@H]1C[C@H](Nc2cnc(C#N)cn2)CN1C(=O)c1ccn[nH]1 ZINC001069016002 853939485 /nfs/dbraw/zinc/93/94/85/853939485.db2.gz AFFLNUVMIQYNLK-ZJUUUORDSA-N 0 1 297.322 0.786 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)C[C@@H]2C=CCC2)C[C@@H]1n1ccnn1 ZINC001070135829 854028229 /nfs/dbraw/zinc/02/82/29/854028229.db2.gz DFHNVYMNGLMTEO-KFWWJZLASA-N 0 1 299.378 0.609 20 30 CCEDMN C=CCCN1CC2(C1)CN(C(C)=O)C[C@H]2c1nnc(C)[nH]1 ZINC001070437320 854058110 /nfs/dbraw/zinc/05/81/10/854058110.db2.gz QFZOCQIGGRWVFD-ZDUSSCGKSA-N 0 1 289.383 0.937 20 30 CCEDMN C#CCN[C@@H]1CN(C(=O)[C@H](C)C#N)C[C@H]1c1cn(C)cn1 ZINC001070476423 854063977 /nfs/dbraw/zinc/06/39/77/854063977.db2.gz LWJFHCUMRLOKDL-MBNYWOFBSA-N 0 1 285.351 0.097 20 30 CCEDMN C=CCCN1CCNC(=O)CCN(C(=O)[C@@H]2C[C@@H]2C)CC1 ZINC001070934755 854104482 /nfs/dbraw/zinc/10/44/82/854104482.db2.gz OHBGZSLTMRMPMK-UONOGXRCSA-N 0 1 293.411 0.869 20 30 CCEDMN C=CCN1CC[C@@]2(C1)CN(C(=O)c1ncc[nH]1)C[C@@H](C)O2 ZINC001071167480 854132053 /nfs/dbraw/zinc/13/20/53/854132053.db2.gz ZTKNIBGEJJBRIF-IUODEOHRSA-N 0 1 290.367 0.901 20 30 CCEDMN CC#CCN1C[C@H](NC(=O)c2cc[n+]([O-])cc2)CC[C@@H]1C ZINC001071328412 854157622 /nfs/dbraw/zinc/15/76/22/854157622.db2.gz XWYWBKTXOBDYKY-DZGCQCFKSA-N 0 1 287.363 0.926 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2cncnc2)CC[C@H]1C ZINC001071470925 854211960 /nfs/dbraw/zinc/21/19/60/854211960.db2.gz QDYRPZGGFAXZKP-OCCSQVGLSA-N 0 1 272.352 0.621 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)CN2CCCCC2=O)CC[C@@H]1C ZINC001071632179 854252385 /nfs/dbraw/zinc/25/23/85/854252385.db2.gz VFWBAHFNUZXBTP-KBPBESRZSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2ccc(=O)[nH]c2)CC[C@@H]1C ZINC001071693184 854266245 /nfs/dbraw/zinc/26/62/45/854266245.db2.gz MZNLUMMIULKQHM-JSGCOSHPSA-N 0 1 287.363 0.932 20 30 CCEDMN C#CCN1C[C@H](NC(=O)c2c(C)nc[nH]c2=O)CC[C@@H]1C ZINC001071762941 854283947 /nfs/dbraw/zinc/28/39/47/854283947.db2.gz RIGXKTKITSLUCZ-CMPLNLGQSA-N 0 1 288.351 0.707 20 30 CCEDMN C#CCN1C[C@@H](NC(=O)Cc2c[nH]cn2)CC[C@H]1C ZINC001071848551 854307200 /nfs/dbraw/zinc/30/72/00/854307200.db2.gz UJQCBXANBOZCBY-NEPJUHHUSA-N 0 1 260.341 0.555 20 30 CCEDMN C=CCN1C[C@@H](NC(=O)c2cnn(C)n2)CC[C@H]1C ZINC001071890400 854313425 /nfs/dbraw/zinc/31/34/25/854313425.db2.gz LJZGQXHYVWJRRT-MNOVXSKESA-N 0 1 263.345 0.584 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001072039218 854339657 /nfs/dbraw/zinc/33/96/57/854339657.db2.gz OUDJSAVNCMDTIV-WDEREUQCSA-N 0 1 289.339 0.041 20 30 CCEDMN CC(C)C#CC(=O)N1C[C@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001072039218 854339652 /nfs/dbraw/zinc/33/96/52/854339652.db2.gz OUDJSAVNCMDTIV-WDEREUQCSA-N 0 1 289.339 0.041 20 30 CCEDMN CC#CCN1C[C@@H](NC(=O)Cn2cncn2)CC[C@@H]1C ZINC001072055290 854342091 /nfs/dbraw/zinc/34/20/91/854342091.db2.gz RTNFHWXQJNTUDT-STQMWFEESA-N 0 1 275.356 0.271 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2ncn[nH]2)C1 ZINC001072217869 854359864 /nfs/dbraw/zinc/35/98/64/854359864.db2.gz BWUHPLMVKRQSTB-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C=C(C)CCC(=O)N1C[C@@H](C)[C@H](NC(=O)c2nc[nH]n2)C1 ZINC001072217869 854359869 /nfs/dbraw/zinc/35/98/69/854359869.db2.gz BWUHPLMVKRQSTB-GHMZBOCLSA-N 0 1 291.355 0.738 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3=COCCC3)C2)C1 ZINC001072537896 854410042 /nfs/dbraw/zinc/41/00/42/854410042.db2.gz LEYSZZFZYZTSGG-UHFFFAOYSA-N 0 1 260.337 0.848 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C[C@H]3CCOC3)C2)C1 ZINC001072571240 854417512 /nfs/dbraw/zinc/41/75/12/854417512.db2.gz OSFFJCMJIRYADU-CYBMUJFWSA-N 0 1 262.353 0.581 20 30 CCEDMN CC#CC[N@H+]1CCC2(CN(C(=O)c3cnnc(C)c3)C2)C1 ZINC001072666912 854440553 /nfs/dbraw/zinc/44/05/53/854440553.db2.gz MJZUMOBGIBXHTG-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN CC#CCN1CCC2(CN(C(=O)c3cnnc(C)c3)C2)C1 ZINC001072666912 854440555 /nfs/dbraw/zinc/44/05/55/854440555.db2.gz MJZUMOBGIBXHTG-UHFFFAOYSA-N 0 1 284.363 0.956 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3C[C@@H](C)CC(=O)N3)C2)C1 ZINC001072746375 854456060 /nfs/dbraw/zinc/45/60/60/854456060.db2.gz KLDJIZRXLNRALL-CHWSQXEVSA-N 0 1 289.379 0.069 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc4c([nH]3)CCOC4)C2)C1 ZINC001072805488 854467027 /nfs/dbraw/zinc/46/70/27/854467027.db2.gz DNXZJFXZTWCAFG-UHFFFAOYSA-N 0 1 299.374 0.869 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@H]3CCCn4nccc43)C2)C1 ZINC001072867940 854483875 /nfs/dbraw/zinc/48/38/75/854483875.db2.gz UYDCEHFVXMNMKF-AWEZNQCLSA-N 0 1 298.390 0.928 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cnc(C)n3C)C2)C1 ZINC001072917825 854494401 /nfs/dbraw/zinc/49/44/01/854494401.db2.gz DYVWYEXAHJESTF-UHFFFAOYSA-N 0 1 272.352 0.510 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)c3cc(C)nc(C)n3)C2)C1 ZINC001073055937 854520416 /nfs/dbraw/zinc/52/04/16/854520416.db2.gz HMLZXXFDTVKDFN-UHFFFAOYSA-N 0 1 284.363 0.875 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)C3(C)CCOCC3)C2)C1 ZINC001073060923 854522909 /nfs/dbraw/zinc/52/29/09/854522909.db2.gz QZRCDXQABAHFLI-UHFFFAOYSA-N 0 1 276.380 0.971 20 30 CCEDMN C#CCN1CCC2(CN(C(=O)[C@@H]3CCO[C@@H]3C)C2)C1 ZINC001073080711 854527314 /nfs/dbraw/zinc/52/73/14/854527314.db2.gz MUTYIWYYXLGPOS-CHWSQXEVSA-N 0 1 262.353 0.579 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)[C@@H]2CCCCO2)C1 ZINC001073569943 854599382 /nfs/dbraw/zinc/59/93/82/854599382.db2.gz HODNKECSXJSJSR-KBPBESRZSA-N 0 1 282.384 0.949 20 30 CCEDMN C=CCN1CCCO[C@@H](CNC(=O)c2ccc(C)nn2)C1 ZINC001073600188 854610784 /nfs/dbraw/zinc/61/07/84/854610784.db2.gz YQEYTOYBZUUHQT-ZDUSSCGKSA-N 0 1 290.367 0.792 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@@H]1CN(CC#N)CCCO1 ZINC001073663276 854626517 /nfs/dbraw/zinc/62/65/17/854626517.db2.gz XUXBIXFEQVGUKT-ZIAGYGMSSA-N 0 1 294.399 0.201 20 30 CCEDMN C=CCN1CCO[C@H]2CCN(C(=O)c3cnc(C)[nH]3)C[C@@H]21 ZINC001074194203 854694072 /nfs/dbraw/zinc/69/40/72/854694072.db2.gz GXTVBPDJZSPUCR-KBPBESRZSA-N 0 1 290.367 0.819 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H]3OCCN(CC#N)[C@@H]3C2)[nH]1 ZINC001074194975 854694119 /nfs/dbraw/zinc/69/41/19/854694119.db2.gz NQXCBEVUEMQFHO-CHWSQXEVSA-N 0 1 289.339 0.157 20 30 CCEDMN C[C@H](Cc1cnc[nH]1)C(=O)N1CC[C@H](NCC#N)[C@@H]1C ZINC001074956885 854801704 /nfs/dbraw/zinc/80/17/04/854801704.db2.gz GKJZDQUMQQSRCI-MDZLAQPJSA-N 0 1 275.356 0.691 20 30 CCEDMN C[C@@H](CNC(=O)CCc1c[nH]nn1)Nc1ncccc1C#N ZINC001098294053 854855277 /nfs/dbraw/zinc/85/52/77/854855277.db2.gz HHTRYROJUYNMBS-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C[C@@H](CNC(=O)CCc1cnn[nH]1)Nc1ncccc1C#N ZINC001098294053 854855280 /nfs/dbraw/zinc/85/52/80/854855280.db2.gz HHTRYROJUYNMBS-JTQLQIEISA-N 0 1 299.338 0.621 20 30 CCEDMN C#CCN1C[C@@H]2CCC[C@]2(NC(=O)Cn2cccn2)C1 ZINC001098729594 854878567 /nfs/dbraw/zinc/87/85/67/854878567.db2.gz BSKJTMNKRUFADR-ZFWWWQNUSA-N 0 1 272.352 0.487 20 30 CCEDMN CC#CCN1CC(C)(C)CC[C@@H]1CNC(=O)CC(N)=O ZINC001098993634 854904017 /nfs/dbraw/zinc/90/40/17/854904017.db2.gz PSJOIQIMEFGBNN-GFCCVEGCSA-N 0 1 279.384 0.492 20 30 CCEDMN CC#CC[N@H+]1C[C@@H]2CCC[C@]2(NC(=O)c2nonc2C)C1 ZINC001099185860 854921338 /nfs/dbraw/zinc/92/13/38/854921338.db2.gz SOPAJYNBJXUYLT-WFASDCNBSA-N 0 1 288.351 0.986 20 30 CCEDMN CC#CCN1C[C@@H]2CCC[C@]2(NC(=O)c2nonc2C)C1 ZINC001099185860 854921343 /nfs/dbraw/zinc/92/13/43/854921343.db2.gz SOPAJYNBJXUYLT-WFASDCNBSA-N 0 1 288.351 0.986 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)COCCC)[C@H](O)C1 ZINC001099731806 854979225 /nfs/dbraw/zinc/97/92/25/854979225.db2.gz DFKJGHPTODICJN-VXGBXAGGSA-N 0 1 256.346 0.150 20 30 CCEDMN C=C(C)CCC(=O)N[C@H]1CCN(CC#CC)C[C@@H]1O ZINC001099859062 855013065 /nfs/dbraw/zinc/01/30/65/855013065.db2.gz WLKMJNIVLPXNMA-KBPBESRZSA-N 0 1 264.369 0.917 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CCc2nccn2C)[C@@H](O)C1 ZINC001099927411 855034662 /nfs/dbraw/zinc/03/46/62/855034662.db2.gz BGHKEXGBJCMPOP-OLZOCXBDSA-N 0 1 292.383 0.090 20 30 CCEDMN C=CCN1CC[C@@H](NC(=O)CC(C)(F)F)[C@@H](O)C1 ZINC001099991095 855063511 /nfs/dbraw/zinc/06/35/11/855063511.db2.gz FPZNQVJDCXWMAW-ZJUUUORDSA-N 0 1 262.300 0.769 20 30 CCEDMN C=CCCC(=O)N1C[C@H]2CN([C@H](C)C(N)=O)C[C@@]2(C)C1 ZINC001101165268 855270897 /nfs/dbraw/zinc/27/08/97/855270897.db2.gz RAGSZHQJHBSISO-JMSVASOKSA-N 0 1 279.384 0.607 20 30 CCEDMN CN(CCNc1nccnc1C#N)C(=O)c1ccn[nH]1 ZINC001101509855 855302333 /nfs/dbraw/zinc/30/23/33/855302333.db2.gz NDZFMIDRARRBFX-UHFFFAOYSA-N 0 1 271.284 0.255 20 30 CCEDMN Cc1nc(CN2C[C@@H](CNC(=O)[C@H](C)C#N)[C@H](C)C2)n[nH]1 ZINC001101824104 855373233 /nfs/dbraw/zinc/37/32/33/855373233.db2.gz WLCRJJXYHZLOIP-CKYFFXLPSA-N 0 1 290.371 0.457 20 30 CCEDMN CC[C@@H](CNC(=O)c1cnn[nH]1)Nc1ccc(C#N)nc1 ZINC001103371274 855514651 /nfs/dbraw/zinc/51/46/51/855514651.db2.gz NGYHKCSDGQZCML-VIFPVBQESA-N 0 1 285.311 0.692 20 30 CCEDMN CC[C@H](CNC(=O)c1cnn[nH]1)Nc1nccnc1C#N ZINC001103370821 855514671 /nfs/dbraw/zinc/51/46/71/855514671.db2.gz BLPQTLRCJOUJQA-MRVPVSSYSA-N 0 1 286.299 0.087 20 30 CCEDMN C[C@H](CNC(=O)Cc1nnc[nH]1)CNc1ccc(C#N)nc1 ZINC001104074746 855567214 /nfs/dbraw/zinc/56/72/14/855567214.db2.gz DODFAACDMUBMLZ-JTQLQIEISA-N 0 1 299.338 0.478 20 30 CCEDMN N#Cc1cncc(NC2(CNC(=O)c3ncn[nH]3)CCC2)n1 ZINC001111830276 855596443 /nfs/dbraw/zinc/59/64/43/855596443.db2.gz SOUSMXFYVUNLNV-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN N#Cc1cncc(NC2(CNC(=O)c3nc[nH]n3)CCC2)n1 ZINC001111830276 855596448 /nfs/dbraw/zinc/59/64/48/855596448.db2.gz SOUSMXFYVUNLNV-UHFFFAOYSA-N 0 1 298.310 0.231 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCCc1nc(C)no1 ZINC001115238847 855653556 /nfs/dbraw/zinc/65/35/56/855653556.db2.gz ATFCIZARIHSOPC-JYAVWHMHSA-N 0 1 288.351 0.380 20 30 CCEDMN CC#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cnn(C)c1 ZINC001115290564 855658472 /nfs/dbraw/zinc/65/84/72/855658472.db2.gz WNLZRUMKPIJOES-FOLVSLTJSA-N 0 1 286.379 0.422 20 30 CCEDMN C#CCN1C[C@H]2[C@@H](C1)[C@@H]2NC(=O)CCc1cscn1 ZINC001115340814 855664138 /nfs/dbraw/zinc/66/41/38/855664138.db2.gz ZMICBIUULPGZPI-IMRBUKKESA-N 0 1 275.377 0.755 20 30 CCEDMN Cc1cc(C(=O)N(C)C[C@@H](C)Nc2ccnc(C#N)n2)n[nH]1 ZINC001115622060 855682404 /nfs/dbraw/zinc/68/24/04/855682404.db2.gz GROHRYTYMQOMBY-SNVBAGLBSA-N 0 1 299.338 0.374 20 30 CCEDMN C=C[C@H](COC)NC(=O)N1C[C@@H](C)N(CCO)C[C@@H]1C ZINC001117978029 856125677 /nfs/dbraw/zinc/12/56/77/856125677.db2.gz FOXMSTXXVFNATA-FRRDWIJNSA-N 0 1 285.388 0.284 20 30 CCEDMN C=CCn1cc(CNC2CCN(C(=O)CC)CC2)nn1 ZINC001118101118 856161956 /nfs/dbraw/zinc/16/19/56/856161956.db2.gz YSMQZOZJLJSIIN-UHFFFAOYSA-N 0 1 277.372 0.955 20 30 CCEDMN Cn1ncc(C(=O)N[C@@H]2CNC[C@@H]2C#N)c1C(F)F ZINC001118264978 856232423 /nfs/dbraw/zinc/23/24/23/856232423.db2.gz QCCWCRLLYGOEOV-POYBYMJQSA-N 0 1 269.255 0.199 20 30 CCEDMN CC[C@]1(C)NC(=O)N(NC(=O)c2csc(C#N)c2)C1=O ZINC001118757415 856426915 /nfs/dbraw/zinc/42/69/15/856426915.db2.gz GYZAVJBVIOWEQZ-LBPRGKRZSA-N 0 1 292.320 0.985 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCc3nccnc3C2)CC1 ZINC001118794907 856445485 /nfs/dbraw/zinc/44/54/85/856445485.db2.gz XNJZDBZLKZUGHI-UHFFFAOYSA-N 0 1 284.363 0.707 20 30 CCEDMN C#C[C@H](NC[C@@H]1[C@@H](C(=O)OC)C1(F)F)[C@H]1CCCO1 ZINC001119513467 856712910 /nfs/dbraw/zinc/71/29/10/856712910.db2.gz RJOXXIDXGIYMPO-YTWAJWBKSA-N 0 1 273.279 0.811 20 30 CCEDMN C=CCCN1CC[C@@H]1CNC(=O)[C@H](COC)OC ZINC001323301606 912257031 /nfs/dbraw/zinc/25/70/31/912257031.db2.gz JITZWSVCSMFKMW-NEPJUHHUSA-N 0 1 256.346 0.414 20 30 CCEDMN C=CCN1CC[C@H]2CC[C@@H](C1)N2C(=O)[C@@H](COC)OC ZINC001323696662 912483805 /nfs/dbraw/zinc/48/38/05/912483805.db2.gz SFGUJLPNLIEWNP-HZSPNIEDSA-N 0 1 282.384 0.899 20 30 CCEDMN COc1nc(Cl)c(CNCCn2cnc(C#N)n2)n1C ZINC001323699995 912487281 /nfs/dbraw/zinc/48/72/81/912487281.db2.gz MDOGVOXWJHLZPN-UHFFFAOYSA-N 0 1 295.734 0.335 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2COCCO2)CCC1 ZINC001393535876 912634376 /nfs/dbraw/zinc/63/43/76/912634376.db2.gz ATJPMQNMHGODMH-LLVKDONJSA-N 0 1 288.775 0.783 20 30 CCEDMN CS(=O)(=O)C[C@H]1CCN(CCCSCC#N)C1 ZINC001324153191 912698668 /nfs/dbraw/zinc/69/86/68/912698668.db2.gz LBNXXXUOFAGDCH-NSHDSACASA-N 0 1 276.427 1.000 20 30 CCEDMN C#CCNC(=O)CCN1CCC[C@H](S(C)(=O)=O)CC1 ZINC001324267987 912751417 /nfs/dbraw/zinc/75/14/17/912751417.db2.gz UJCMRWVZZLXXPD-LBPRGKRZSA-N 0 1 286.397 0.025 20 30 CCEDMN C=C(Cl)CN[C@@H](C)[C@H](C)NC(=O)c1cc(=O)n(C)cn1 ZINC001393943698 912870691 /nfs/dbraw/zinc/87/06/91/912870691.db2.gz WDPJSERIVPLELG-UWVGGRQHSA-N 0 1 298.774 0.629 20 30 CCEDMN N#CCNC[C@@H](NC(=O)c1[nH]ncc1F)C1CCCC1 ZINC001324498840 912874645 /nfs/dbraw/zinc/87/46/45/912874645.db2.gz XUXQRXVZJUGWKO-LLVKDONJSA-N 0 1 279.319 0.951 20 30 CCEDMN CC#CCN1CC[C@](O)(CNC(=O)C[C@@H](C)SC)C1 ZINC001325081166 913195637 /nfs/dbraw/zinc/19/56/37/913195637.db2.gz GMRZAYFYNKFWAQ-OCCSQVGLSA-N 0 1 284.425 0.704 20 30 CCEDMN Cc1nc(C)c(CNC[C@@H](O)CN(C)C(=O)[C@H](C)C#N)o1 ZINC001394727177 913392726 /nfs/dbraw/zinc/39/27/26/913392726.db2.gz ARLMGSIZTMWZAT-BXKDBHETSA-N 0 1 294.355 0.360 20 30 CCEDMN CCN1CC[C@H](N(C)[C@@H](C)CNC(=O)C#CC2CC2)C1=O ZINC001282384399 891416443 /nfs/dbraw/zinc/41/64/43/891416443.db2.gz KOQBBNLIUGLAIJ-JSGCOSHPSA-N 0 1 291.395 0.457 20 30 CCEDMN CC#CCN(C)CCNC(=O)c1ccnc2ccnn21 ZINC001480873377 891488482 /nfs/dbraw/zinc/48/84/82/891488482.db2.gz LYTNAKYVDPOIRB-UHFFFAOYSA-N 0 1 271.324 0.414 20 30 CCEDMN C=CCOCCN1CCC[C@](CO)(NC(=O)CC)C1 ZINC001325817023 913583791 /nfs/dbraw/zinc/58/37/91/913583791.db2.gz DOHMHBAZIYDXAT-AWEZNQCLSA-N 0 1 270.373 0.542 20 30 CCEDMN C#C[C@@H]1CCCN(C(=O)C[N@@H+]2CCC[C@H](C(=O)[O-])C2)C1 ZINC001350801026 891865896 /nfs/dbraw/zinc/86/58/96/891865896.db2.gz HOQZTNRIHGYRNF-OLZOCXBDSA-N 0 1 278.352 0.655 20 30 CCEDMN Cc1ncc(CN)c(=N)n1-c1ccc([N+](=O)[O-])cc1C#N ZINC001167674119 891878115 /nfs/dbraw/zinc/87/81/15/891878115.db2.gz KOGULVBTLIJHPG-UHFFFAOYSA-N 0 1 284.279 0.899 20 30 CCEDMN CCN(CC#N)CCNC(=O)c1[nH]nc2c1CCC2 ZINC001480986337 892013218 /nfs/dbraw/zinc/01/32/18/892013218.db2.gz ZLYQIMMMODPEGL-UHFFFAOYSA-N 0 1 261.329 0.474 20 30 CCEDMN C#CCN(CC)CCNC(=O)CCCn1cncn1 ZINC001481009821 892050517 /nfs/dbraw/zinc/05/05/17/892050517.db2.gz INLDEBHPMBCQMM-UHFFFAOYSA-N 0 1 263.345 0.130 20 30 CCEDMN CC#CCN(CC)CCNC(=O)Cc1nonc1C ZINC001481010366 892054461 /nfs/dbraw/zinc/05/44/61/892054461.db2.gz YYHPKORISSVTMZ-UHFFFAOYSA-N 0 1 264.329 0.382 20 30 CCEDMN C#CCN1CCC[C@H]1CNC(=O)CCc1ccc(=O)[nH]c1 ZINC001481054741 892117005 /nfs/dbraw/zinc/11/70/05/892117005.db2.gz ROFUOZWLKJAIDB-AWEZNQCLSA-N 0 1 287.363 0.934 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CC[C@H](CNC(=O)C2CCC2)C1 ZINC001481073926 892132954 /nfs/dbraw/zinc/13/29/54/892132954.db2.gz WSIIRMWOZILTTK-CHWSQXEVSA-N 0 1 293.411 0.915 20 30 CCEDMN CCC(=O)N(CCO)CCNCc1ccccc1C#N ZINC001325955892 913656720 /nfs/dbraw/zinc/65/67/20/913656720.db2.gz NBBRQXVUTZLWOX-UHFFFAOYSA-N 0 1 275.352 0.879 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@@H](C)Cc1ccccc1 ZINC001283831550 892455655 /nfs/dbraw/zinc/45/56/55/892455655.db2.gz LONVVGKMINBMFF-ZFWWWQNUSA-N 0 1 274.364 0.565 20 30 CCEDMN C=CCN(C)C[C@H]1CCN(C(=O)[C@H](C)S(C)(=O)=O)C1 ZINC001481491340 892745664 /nfs/dbraw/zinc/74/56/64/892745664.db2.gz NRSKRKWVLGIWSL-NWDGAFQWSA-N 0 1 288.413 0.386 20 30 CCEDMN CC#CCN1CC[C@@H](N(C)C(=O)C[C@H](C)OC)C1 ZINC001481719231 893086491 /nfs/dbraw/zinc/08/64/91/893086491.db2.gz HPYPOITYYLFDCS-QWHCGFSZSA-N 0 1 252.358 0.967 20 30 CCEDMN C=C(Cl)CNC[C@]1(NC(=O)C(N)=O)CCCC[C@@H]1C ZINC001481963278 893289629 /nfs/dbraw/zinc/28/96/29/893289629.db2.gz VBUNJPXZQAPLON-TVQRCGJNSA-N 0 1 287.791 0.879 20 30 CCEDMN C=C(Cl)CN(C)C[C@H](C)NC(=O)CCc1nc[nH]n1 ZINC001498252821 893315345 /nfs/dbraw/zinc/31/53/45/893315345.db2.gz ABYQGBUUSRODRC-JTQLQIEISA-N 0 1 285.779 0.926 20 30 CCEDMN C[C@@H](CNCc1ncnn1CCF)CNC(=O)[C@@H](C)C#N ZINC001482091669 893392798 /nfs/dbraw/zinc/39/27/98/893392798.db2.gz VXIZRAKCIIUQTK-QWRGUYRKSA-N 0 1 296.350 0.249 20 30 CCEDMN C=CCC[C@H](C)N1CCO[C@H](CNC(=O)CNC(C)=O)C1 ZINC001482178466 893464598 /nfs/dbraw/zinc/46/45/98/893464598.db2.gz KCCSVVAIHSSQCO-GXTWGEPZSA-N 0 1 297.399 0.294 20 30 CCEDMN CN(CC(=O)N(C)[C@H]1CCC[C@@H]1C#N)[C@@H]1CCC[C@H]1O ZINC001363551904 893768303 /nfs/dbraw/zinc/76/83/03/893768303.db2.gz XUVGJKVNWGKHRU-XJFOESAGSA-N 0 1 279.384 0.982 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)c1c[nH]c(=O)cn1 ZINC001482323779 893859890 /nfs/dbraw/zinc/85/98/90/893859890.db2.gz QVHRHOOTCOTEBL-SECBINFHSA-N 0 1 284.747 0.573 20 30 CCEDMN N#CC1(CCCN2CCN(CC(N)=O)CC2)CCOCC1 ZINC001364358517 894013011 /nfs/dbraw/zinc/01/30/11/894013011.db2.gz ORBDTFADPHEVKG-UHFFFAOYSA-N 0 1 294.399 0.190 20 30 CCEDMN COCC#CCN(C)CCN(C)C(=O)C1CC(OC)C1 ZINC001496722750 894133944 /nfs/dbraw/zinc/13/39/44/894133944.db2.gz ASCQEVXFDIKWKL-UHFFFAOYSA-N 0 1 282.384 0.451 20 30 CCEDMN CN(CCN(C)C(=O)C#CC1CC1)CCN1CCCC1=O ZINC001482594976 894351782 /nfs/dbraw/zinc/35/17/82/894351782.db2.gz GHKORKBXTJXYAM-UHFFFAOYSA-N 0 1 291.395 0.412 20 30 CCEDMN C#Cc1cccc(NC(=O)CNC/C=C/CNC(C)=O)c1 ZINC001482973558 894741365 /nfs/dbraw/zinc/74/13/65/894741365.db2.gz LJWBEIGUXTUZIV-SNAWJCMRSA-N 0 1 285.347 0.888 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cn(C)nn1 ZINC001483126123 894968761 /nfs/dbraw/zinc/96/87/61/894968761.db2.gz YOYDKXZJQMHFSC-MRVPVSSYSA-N 0 1 257.725 0.276 20 30 CCEDMN C=C(Cl)CN[C@H](C)CN(C)C(=O)[C@@H]1CN(C)C(=O)N1 ZINC001425607428 895169048 /nfs/dbraw/zinc/16/90/48/895169048.db2.gz ZRRKUVNRCVCQPN-ZJUUUORDSA-N 0 1 288.779 0.199 20 30 CCEDMN C=CCN1CC[C@@H](N(C)C[C@H](C)NC(=O)C(F)F)C1=O ZINC001483302977 895425554 /nfs/dbraw/zinc/42/55/54/895425554.db2.gz XULBQDBWCAPJRA-VHSXEESVSA-N 0 1 289.326 0.475 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CN(C)Cc1n[nH]c(C2CC2)n1 ZINC001483320682 895447233 /nfs/dbraw/zinc/44/72/33/895447233.db2.gz XGCPIYFPRHVTSS-UWVGGRQHSA-N 0 1 290.371 0.778 20 30 CCEDMN CC[C@@H](C(N)=O)N(C)C[C@@H](C)NC(=O)C#CC1CC1 ZINC001483336177 895472765 /nfs/dbraw/zinc/47/27/65/895472765.db2.gz KPBIBGMRZSLZBR-PWSUYJOCSA-N 0 1 265.357 0.100 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)Cc1cnn(C)c1C ZINC001483345850 895482668 /nfs/dbraw/zinc/48/26/68/895482668.db2.gz JKFMKRNMFKZHQQ-NSHDSACASA-N 0 1 262.357 0.341 20 30 CCEDMN C=C(C)CCN(C)C[C@H](C)NC(=O)[C@H](C)S(C)(=O)=O ZINC001483351603 895488457 /nfs/dbraw/zinc/48/84/57/895488457.db2.gz ALFZQECROSZORK-RYUDHWBXSA-N 0 1 290.429 0.822 20 30 CCEDMN C#CCN(C)C[C@@H](C)NC(=O)c1ccc(OC)o1 ZINC001483399570 895538730 /nfs/dbraw/zinc/53/87/30/895538730.db2.gz PNRNSRQKUZTLSP-SNVBAGLBSA-N 0 1 250.298 0.972 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)c1cnc(OC)nc1 ZINC001483401090 895540753 /nfs/dbraw/zinc/54/07/53/895540753.db2.gz GRDPEARGKLCQDG-JTQLQIEISA-N 0 1 262.313 0.169 20 30 CCEDMN C=CC[N@H+](C)C[C@H](C)NC(=O)C1(C(=O)NC)CCC1 ZINC001483409789 895548037 /nfs/dbraw/zinc/54/80/37/895548037.db2.gz SIUUFKFJZJAUJZ-NSHDSACASA-N 0 1 267.373 0.525 20 30 CCEDMN C=CCCC(=O)NC[C@H](O)CNCc1ccc(F)cn1 ZINC001496949733 895631421 /nfs/dbraw/zinc/63/14/21/895631421.db2.gz KKVFENQXFFBAAM-CYBMUJFWSA-N 0 1 281.331 0.754 20 30 CCEDMN C=CCC(C)(C)C(=O)NC[C@@H]1CCN1C[C@H](O)COC ZINC001483682464 895847076 /nfs/dbraw/zinc/84/70/76/895847076.db2.gz CFFVMAWXQMXRBX-STQMWFEESA-N 0 1 284.400 0.787 20 30 CCEDMN C=CCNC(=O)[C@H](C)NC1(CNC(=O)C=C(C)C)CC1 ZINC001483713380 895895101 /nfs/dbraw/zinc/89/51/01/895895101.db2.gz PYRRHIXEMRUOSB-LBPRGKRZSA-N 0 1 279.384 0.882 20 30 CCEDMN CC(C)C#CC(=O)N1CCN(C(=O)Cc2ccn[nH]2)CC1 ZINC001292395277 896046942 /nfs/dbraw/zinc/04/69/42/896046942.db2.gz FZXHZQDWGGCKTR-UHFFFAOYSA-N 0 1 288.351 0.282 20 30 CCEDMN CCn1cc(CN[C@H]2C[C@@H](CNC(=O)[C@H](C)C#N)C2)nn1 ZINC001397056937 914031117 /nfs/dbraw/zinc/03/11/17/914031117.db2.gz PAOKSMKIOQVKAD-UTUOFQBUSA-N 0 1 290.371 0.442 20 30 CCEDMN C#CCN(C(=O)C1CC(OC)C1)C1CCN(CC#C)CC1 ZINC001483984880 896140797 /nfs/dbraw/zinc/14/07/97/896140797.db2.gz CCENQUCSQKGTLW-UHFFFAOYSA-N 0 1 288.391 0.971 20 30 CCEDMN COCC#CCN1CC[C@@](C)(NC(=O)CC2(O)CCC2)C1 ZINC001484046118 896168907 /nfs/dbraw/zinc/16/89/07/896168907.db2.gz NDPBXZUGEQATPK-OAHLLOKOSA-N 0 1 294.395 0.522 20 30 CCEDMN COCC#CCN1CC[C@](C)(NC(=O)CC2(O)CCC2)C1 ZINC001484046117 896169705 /nfs/dbraw/zinc/16/97/05/896169705.db2.gz NDPBXZUGEQATPK-HNNXBMFYSA-N 0 1 294.395 0.522 20 30 CCEDMN C#CC[N@@H+]1CC[C@@](O)(CNC(=O)CC(C)=C(C)C)C1 ZINC001484229544 896262261 /nfs/dbraw/zinc/26/22/61/896262261.db2.gz BVAZPJJTPKIKKA-OAHLLOKOSA-N 0 1 264.369 0.919 20 30 CCEDMN C#CCN1CC[C@@](O)(CNC(=O)CC(C)=C(C)C)C1 ZINC001484229544 896262275 /nfs/dbraw/zinc/26/22/75/896262275.db2.gz BVAZPJJTPKIKKA-OAHLLOKOSA-N 0 1 264.369 0.919 20 30 CCEDMN CC#CC[N@H+]1CC[C@@](O)(CNC(=O)c2cc(F)c[nH]2)C1 ZINC001484231473 896264291 /nfs/dbraw/zinc/26/42/91/896264291.db2.gz NWNAPELPELOZCI-CQSZACIVSA-N 0 1 279.315 0.344 20 30 CCEDMN CC#CCN1CC[C@@](O)(CNC(=O)c2cc(F)c[nH]2)C1 ZINC001484231473 896264308 /nfs/dbraw/zinc/26/43/08/896264308.db2.gz NWNAPELPELOZCI-CQSZACIVSA-N 0 1 279.315 0.344 20 30 CCEDMN C=CCCC(=O)N1CC[C@@H]([C@H](C)NCc2nnnn2C)C1 ZINC001484331616 896347999 /nfs/dbraw/zinc/34/79/99/896347999.db2.gz LAQWMIKBZGPKSQ-NWDGAFQWSA-N 0 1 292.387 0.503 20 30 CCEDMN CCO[C@H]1C[C@H]1C(=O)NCCN1CCC(NCC#N)CC1 ZINC001484416187 896387323 /nfs/dbraw/zinc/38/73/23/896387323.db2.gz FADZOYLFUQWGTN-KGLIPLIRSA-N 0 1 294.399 0.105 20 30 CCEDMN C=C(Cl)CNCCN(CCO)C(=O)c1ccnnc1C ZINC001484487939 896436009 /nfs/dbraw/zinc/43/60/09/896436009.db2.gz WHWBXDADQAQUHQ-UHFFFAOYSA-N 0 1 298.774 0.562 20 30 CCEDMN CC(C)NC(=O)CN(C)CCCN(C)C(=O)[C@H](C)C#N ZINC001484736301 896579011 /nfs/dbraw/zinc/57/90/11/896579011.db2.gz JOVBPGCNAFSNBF-GFCCVEGCSA-N 0 1 282.388 0.451 20 30 CCEDMN CCN(CCC#N)C(=O)CN1CC[C@H](NC(C)=O)C[C@H]1C ZINC001484969102 896689447 /nfs/dbraw/zinc/68/94/47/896689447.db2.gz KWZNLIINTHZFKG-OCCSQVGLSA-N 0 1 294.399 0.738 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)[C@H](C)[NH2+]Cc1nc(=O)n(C)[n-]1 ZINC001485153373 896817853 /nfs/dbraw/zinc/81/78/53/896817853.db2.gz QXHOOFBCAIGRGM-WDEREUQCSA-N 0 1 295.387 0.448 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)COCc1cc[nH]c(=O)c1 ZINC001485318373 896938349 /nfs/dbraw/zinc/93/83/49/896938349.db2.gz GXIIMYXZOHVCRL-GFCCVEGCSA-N 0 1 291.351 0.374 20 30 CCEDMN C[C@@H](CNC(=O)C#CC1CC1)N(C)[C@H]1CCCNC1=O ZINC001485302840 896943734 /nfs/dbraw/zinc/94/37/34/896943734.db2.gz GWPYXMFFBQHBFI-AAEUAGOBSA-N 0 1 277.368 0.115 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)[C@H]1CCc2cncn2C1 ZINC001485321643 896944432 /nfs/dbraw/zinc/94/44/32/896944432.db2.gz ZPNQJRZKPPLMNX-STQMWFEESA-N 0 1 274.368 0.515 20 30 CCEDMN C#CCN(C)[C@@H](C)CNC(=O)c1ccc(-n2cnnc2)cc1 ZINC001485364732 896992600 /nfs/dbraw/zinc/99/26/00/896992600.db2.gz LICUPFUTLBQCFH-ZDUSSCGKSA-N 0 1 297.362 0.951 20 30 CCEDMN Cc1cc(CN2C[C@@H]3[C@@H](CNC(=O)[C@@H](C)C#N)[C@@H]3C2)ncn1 ZINC001485593374 897137418 /nfs/dbraw/zinc/13/74/18/897137418.db2.gz SSADOKROAVVLED-FBUXBERBSA-N 0 1 299.378 0.739 20 30 CCEDMN C#CCNC(=O)C[N@H+](C)C1CCN(C(=O)[C@H](F)CC)CC1 ZINC001485761573 897227775 /nfs/dbraw/zinc/22/77/75/897227775.db2.gz URKHQLOAODTAET-CYBMUJFWSA-N 0 1 297.374 0.407 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H](C)CCNC(=O)[C@H]1CCCN1C ZINC001077748053 897403424 /nfs/dbraw/zinc/40/34/24/897403424.db2.gz SSXBQLXAPXJXGP-SDDRHHMPSA-N 0 1 280.372 0.251 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)c2cc(C)[nH]c2C)C1 ZINC001077749339 897405211 /nfs/dbraw/zinc/40/52/11/897405211.db2.gz NAHXTRPWYILTJG-ZIAGYGMSSA-N 0 1 275.352 0.430 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ccn(C(C)C)n2)C1 ZINC001077769355 897455736 /nfs/dbraw/zinc/45/57/36/897455736.db2.gz ZHAZCBVFRGBQCX-ZIAGYGMSSA-N 0 1 292.383 0.815 20 30 CCEDMN C#CCCN1C[C@@H]2C[C@H]1CN2C(=O)[C@@H]1CCn2cncc2C1 ZINC001032482635 897630525 /nfs/dbraw/zinc/63/05/25/897630525.db2.gz GVULMXBGCCSETI-KBMXLJTQSA-N 0 1 298.390 0.754 20 30 CCEDMN C#CCN1C[C@@]2(CCN(Cc3cc(C)ccn3)C2)OCC1=O ZINC001272781312 897665579 /nfs/dbraw/zinc/66/55/79/897665579.db2.gz BMGZZPAIJQPNGO-KRWDZBQOSA-N 0 1 299.374 0.827 20 30 CCEDMN C#CCN1CCO[C@](C)(CNC(=O)CCc2cnc[nH]2)C1 ZINC001107988228 897893470 /nfs/dbraw/zinc/89/34/70/897893470.db2.gz XKTKZGUXFUWHFM-OAHLLOKOSA-N 0 1 290.367 0.183 20 30 CCEDMN C=CCCN1C[C@@H]2C[C@H]1CN2C(=O)c1ccc(OC)nn1 ZINC001032716558 897960783 /nfs/dbraw/zinc/96/07/83/897960783.db2.gz VLOIUALHUIJXSR-RYUDHWBXSA-N 0 1 288.351 0.960 20 30 CCEDMN C=CCCN1C[C@@H](O)[C@H](NC(=O)c2ncoc2C2CC2)C1 ZINC001077949776 898100436 /nfs/dbraw/zinc/10/04/36/898100436.db2.gz MWNAZFZGXRDZOH-VXGBXAGGSA-N 0 1 291.351 0.903 20 30 CCEDMN C#CCCN1C[C@@H](O)[C@H](NC(=O)[C@@H]2C[C@H]2c2ccccc2)C1 ZINC001078034539 898197304 /nfs/dbraw/zinc/19/73/04/898197304.db2.gz KPCHOTIOPKNZRT-YLFCFFPRSA-N 0 1 298.386 0.975 20 30 CCEDMN CN1CCCC[C@@H]1C(=O)NC[C@]1(C)CN(CC#N)CCO1 ZINC001108030360 898233912 /nfs/dbraw/zinc/23/39/12/898233912.db2.gz FCHRKFOTNPBHJO-UKRRQHHQSA-N 0 1 294.399 0.201 20 30 CCEDMN C[C@H](C#N)C(=O)NCC[C@H](C)NC(=O)Cc1cnc[nH]1 ZINC001078139635 898260398 /nfs/dbraw/zinc/26/03/98/898260398.db2.gz ZRSSHSSULXISGE-ZJUUUORDSA-N 0 1 277.328 0.123 20 30 CCEDMN O=C(NC[C@H](CO)NCC#Cc1ccc(F)cc1)C1CC1 ZINC001485967238 898536908 /nfs/dbraw/zinc/53/69/08/898536908.db2.gz MRWRMKLQKMCZAO-OAHLLOKOSA-N 0 1 290.338 0.654 20 30 CCEDMN COCC#CCN[C@H](CO)CNC(=O)c1cc(C)oc1C ZINC001485973660 898548930 /nfs/dbraw/zinc/54/89/30/898548930.db2.gz QRQHJIWSFATHIN-ZDUSSCGKSA-N 0 1 294.351 0.227 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccoc1Cl ZINC001485991775 898580591 /nfs/dbraw/zinc/58/05/91/898580591.db2.gz YFQCFMPNRKQTFO-MRVPVSSYSA-N 0 1 256.689 0.247 20 30 CCEDMN Cn1cc(CN[C@@H](CO)CNC(=O)C#CC(C)(C)C)cn1 ZINC001485996899 898581986 /nfs/dbraw/zinc/58/19/86/898581986.db2.gz ZHIZRGDPRMPEMP-CYBMUJFWSA-N 0 1 292.383 0.036 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)[C@H](C)Oc1cccc(F)c1 ZINC001485999253 898586423 /nfs/dbraw/zinc/58/64/23/898586423.db2.gz UQZFSHAOPSRDIU-AAEUAGOBSA-N 0 1 294.326 0.293 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1ccc(F)c(F)c1 ZINC001486004921 898603080 /nfs/dbraw/zinc/60/30/80/898603080.db2.gz QQWJEVSCQQOIRN-LLVKDONJSA-N 0 1 282.290 0.668 20 30 CCEDMN C#CCN[C@H](CO)CNC(=O)COc1c(C)cccc1C ZINC001486058349 898643998 /nfs/dbraw/zinc/64/39/98/898643998.db2.gz DWQRAIXQNFCXEC-AWEZNQCLSA-N 0 1 290.363 0.382 20 30 CCEDMN COCC#CCN1CC[C@]2(NC(=O)[C@H](C)OC)CCC[C@H]12 ZINC001486137271 898685441 /nfs/dbraw/zinc/68/54/41/898685441.db2.gz BYNAEHYTODNDMM-OFQRWUPVSA-N 0 1 294.395 0.784 20 30 CCEDMN C=CCOCC[N@@H+]1CCC[C@H](NC(=O)COC)[C@@H]1C ZINC001486166645 898691874 /nfs/dbraw/zinc/69/18/74/898691874.db2.gz MIBFRXBTELGIPD-STQMWFEESA-N 0 1 270.373 0.805 20 30 CCEDMN C#CC[N@H+](C)C[C@H](O)CN(C)C(=O)C1CCCC1 ZINC001486321752 898779378 /nfs/dbraw/zinc/77/93/78/898779378.db2.gz SOOZTNNAKIOBMG-ZDUSSCGKSA-N 0 1 252.358 0.561 20 30 CCEDMN C#CCN(C)C[C@H](O)CN(C)C(=O)C1CCCC1 ZINC001486321752 898779388 /nfs/dbraw/zinc/77/93/88/898779388.db2.gz SOOZTNNAKIOBMG-ZDUSSCGKSA-N 0 1 252.358 0.561 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)C1(CC)CCOCC1 ZINC001486353031 898808961 /nfs/dbraw/zinc/80/89/61/898808961.db2.gz SCPFRCPXXNDJSJ-CQSZACIVSA-N 0 1 296.411 0.578 20 30 CCEDMN C=CCN(CCNC(=O)c1[nH]c(C)nc1C)CCOC ZINC001486432484 898873737 /nfs/dbraw/zinc/87/37/37/898873737.db2.gz XWYAXKGPIYOELM-UHFFFAOYSA-N 0 1 280.372 0.891 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CN(C)C(=O)[C@H]1CC12CC2 ZINC001411095381 899680974 /nfs/dbraw/zinc/68/09/74/899680974.db2.gz IHHWVVIFBKJCRF-WDEREUQCSA-N 0 1 272.776 0.948 20 30 CCEDMN C=CCCC(=O)N(C)C1CN(C(=O)[C@@H]2CC2[N+](=O)[O-])C1 ZINC001299324073 899901110 /nfs/dbraw/zinc/90/11/10/899901110.db2.gz ILVHGYPOQJRUSJ-GHMZBOCLSA-N 0 1 281.312 0.287 20 30 CCEDMN CC#CCN1[C@@H]2CC[C@H]1[C@@H](NC(=O)[C@@H]1CCNC(=O)C1)C2 ZINC001095305356 899945160 /nfs/dbraw/zinc/94/51/60/899945160.db2.gz KNHIPHVRFBQOIN-MQYQWHSLSA-N 0 1 289.379 0.257 20 30 CCEDMN C#CCNC(=O)C1CCN([C@H]2CCN(C3CC3)C2=O)CC1 ZINC001327167826 914401526 /nfs/dbraw/zinc/40/15/26/914401526.db2.gz NUKYNEHDTFKPCU-AWEZNQCLSA-N 0 1 289.379 0.211 20 30 CCEDMN C#CCN(C)[C@@H]1CCN(C(=O)CCCN(C)C(C)=O)C1 ZINC001193756310 900041705 /nfs/dbraw/zinc/04/17/05/900041705.db2.gz OTAZQYCMESAANI-CQSZACIVSA-N 0 1 279.384 0.411 20 30 CCEDMN C#CCN(CCO)[C@H]1CCCN(C(=O)[C@H](C)CC)C1 ZINC001489148620 900439614 /nfs/dbraw/zinc/43/96/14/900439614.db2.gz DBGRNTQURQREJS-KGLIPLIRSA-N 0 1 266.385 0.951 20 30 CCEDMN C#CCN1CC[C@H](NC(=O)CCOC[C@H]2CCCO2)[C@H]1C ZINC001489276160 900461296 /nfs/dbraw/zinc/46/12/96/900461296.db2.gz ICOMAIGVGRMNCO-KFWWJZLASA-N 0 1 294.395 0.784 20 30 CCEDMN C=CCN1CC[C@H](NC(=O)CCOC[C@H]2CCCO2)C1 ZINC001490550311 900653752 /nfs/dbraw/zinc/65/37/52/900653752.db2.gz YRMDKHCEJURNEO-UONOGXRCSA-N 0 1 282.384 0.949 20 30 CCEDMN C#CCC[NH2+][C@H](CNC(=O)c1ncccc1[O-])C1CC1 ZINC001490654282 900692013 /nfs/dbraw/zinc/69/20/13/900692013.db2.gz HFKFAKLWBZDHHA-GFCCVEGCSA-N 0 1 273.336 0.909 20 30 CCEDMN C#CCO[C@H](C)C(=O)N[C@H](C)CNCc1cc(C)no1 ZINC001321513296 900977778 /nfs/dbraw/zinc/97/77/78/900977778.db2.gz CCHLMNPECAYPID-VXGBXAGGSA-N 0 1 279.340 0.616 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)C1CCN(C(=O)c2ccn[nH]2)CC1 ZINC001412274229 901614054 /nfs/dbraw/zinc/61/40/54/901614054.db2.gz ZTXJKLMYSLSRDX-JTQLQIEISA-N 0 1 289.339 0.632 20 30 CCEDMN C=C(C)[C@H](CC(=O)NC[C@@H]1CCN(CC(N)=O)C1)OCC ZINC001493207425 902184417 /nfs/dbraw/zinc/18/44/17/902184417.db2.gz QENASMACVUNRPZ-STQMWFEESA-N 0 1 297.399 0.281 20 30 CCEDMN CC(C)n1ncnc1CN[C@H]1C[C@H](NC(=O)[C@H](C)C#N)C1 ZINC001490878284 902222101 /nfs/dbraw/zinc/22/21/01/902222101.db2.gz HJBKHMJUUQPVLZ-IJLUTSLNSA-N 0 1 290.371 0.755 20 30 CCEDMN CC(C)n1ncnc1CN[C@H]1C[C@H](NC(=O)[C@@H](C)C#N)C1 ZINC001490878286 902223364 /nfs/dbraw/zinc/22/33/64/902223364.db2.gz HJBKHMJUUQPVLZ-SRVKXCTJSA-N 0 1 290.371 0.755 20 30 CCEDMN C=C(Cl)CN[C@H](CNC(=O)CN1CCCC1=O)C1CC1 ZINC001398394114 914645830 /nfs/dbraw/zinc/64/58/30/914645830.db2.gz GMHUNXNBUVNMCR-GFCCVEGCSA-N 0 1 299.802 0.846 20 30 CCEDMN C=C(Cl)CN[C@@H](CNC(=O)CNC(=O)NC)C1CC1 ZINC001398399288 914647959 /nfs/dbraw/zinc/64/79/59/914647959.db2.gz GTIAUXLIARXZKT-JTQLQIEISA-N 0 1 288.779 0.152 20 30 CCEDMN Cc1cc(C[C@H](C)NS(=O)(=O)N(C)[C@@H](C)CC#N)n[nH]1 ZINC001413362693 902903319 /nfs/dbraw/zinc/90/33/19/902903319.db2.gz WIKGUZVSSCEFNU-QWRGUYRKSA-N 0 1 299.400 0.718 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H]1CCCN1C(=O)CCC ZINC001492872389 914671520 /nfs/dbraw/zinc/67/15/20/914671520.db2.gz VVECZHPDFXXQDE-AWEZNQCLSA-N 0 1 293.411 0.849 20 30 CCEDMN CCn1nnc(C)c1CN[C@H](C)CNC(=O)C#CC1CC1 ZINC001491450899 903602142 /nfs/dbraw/zinc/60/21/42/903602142.db2.gz COHUMJJCADSWGS-LLVKDONJSA-N 0 1 289.383 0.614 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1C[C@@H](NCc2ncnn2CCF)C1 ZINC001398608252 914737487 /nfs/dbraw/zinc/73/74/87/914737487.db2.gz BJVYJFPKMQOYCA-MXWKQRLJSA-N 0 1 294.334 0.144 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H]1C(=O)N[C@@H](C)[C@@H](C)C(=O)[O-] ZINC001332173653 904070587 /nfs/dbraw/zinc/07/05/87/904070587.db2.gz ZLBQYUYMXTUMOX-VWYCJHECSA-N 0 1 252.314 0.309 20 30 CCEDMN C=CCN1CC[C@@H]1CN(C)C(=O)Cn1ccccc1=O ZINC001316609735 904261030 /nfs/dbraw/zinc/26/10/30/904261030.db2.gz NXGMWLIWFWKSRR-CYBMUJFWSA-N 0 1 275.352 0.567 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@H](C)NC(=O)c1ncn[nH]1 ZINC001281440574 904285377 /nfs/dbraw/zinc/28/53/77/904285377.db2.gz ZLKYAQRJAJKZJQ-ZJUUUORDSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)C[C@H](C)NC(=O)c1nc[nH]n1 ZINC001281440574 904285390 /nfs/dbraw/zinc/28/53/90/904285390.db2.gz ZLKYAQRJAJKZJQ-ZJUUUORDSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCCC[N@H+]1CCOC2(CCN(C(=O)C(N)=O)CC2)C1 ZINC001281782210 904345175 /nfs/dbraw/zinc/34/51/75/904345175.db2.gz AFLCFUCJMJOATP-UHFFFAOYSA-N 0 1 295.383 0.131 20 30 CCEDMN CC#CCN1CCC(CO)(NC(=O)C2CCOCC2)CC1 ZINC001281795704 904349783 /nfs/dbraw/zinc/34/97/83/904349783.db2.gz AJOJMZWGPGMKHR-UHFFFAOYSA-N 0 1 294.395 0.379 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)c1ccnn1C ZINC001281916707 904365852 /nfs/dbraw/zinc/36/58/52/904365852.db2.gz MZZJAVIKKPVCKU-LBPRGKRZSA-N 0 1 260.341 0.590 20 30 CCEDMN C=CCCC(=O)NC[C@H](C)N(C)[C@H](C)C(=O)NC(=O)NC ZINC001282363618 904458067 /nfs/dbraw/zinc/45/80/67/904458067.db2.gz CQUQYCGZSGEOQD-WDEREUQCSA-N 0 1 298.387 0.233 20 30 CCEDMN CC(C)[C@@H](CCN(C)C(=O)Cc1ncn[nH]1)NCC#N ZINC001282570185 904506670 /nfs/dbraw/zinc/50/66/70/904506670.db2.gz YMWSXQZKMFKMFW-LLVKDONJSA-N 0 1 278.360 0.333 20 30 CCEDMN N#CCN1CCCCC[C@H]1CNC(=O)CCc1c[nH]nn1 ZINC001282676999 904515583 /nfs/dbraw/zinc/51/55/83/904515583.db2.gz WWLMPMMETCTBDE-ZDUSSCGKSA-N 0 1 290.371 0.622 20 30 CCEDMN N#CCN1CCCCC[C@H]1CNC(=O)CCc1cnn[nH]1 ZINC001282676999 904515594 /nfs/dbraw/zinc/51/55/94/904515594.db2.gz WWLMPMMETCTBDE-ZDUSSCGKSA-N 0 1 290.371 0.622 20 30 CCEDMN CC#CCN1CC([C@H](C)NC(=O)CCCC(=O)NCC)C1 ZINC001282738698 904533655 /nfs/dbraw/zinc/53/36/55/904533655.db2.gz RFKJHIJJBTUVDC-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN C=CCCOCC(=O)N1CC[C@]2(C1)CN(CC)CCO2 ZINC001282782937 904543659 /nfs/dbraw/zinc/54/36/59/904543659.db2.gz WYALSSSVBAVHJB-OAHLLOKOSA-N 0 1 282.384 0.902 20 30 CCEDMN C#CCN1CCCC2(CN(C(=O)[C@@H](CC)NC(C)=O)C2)C1 ZINC001282974133 904647682 /nfs/dbraw/zinc/64/76/82/904647682.db2.gz YYECPXWDBLAMPE-CQSZACIVSA-N 0 1 291.395 0.459 20 30 CCEDMN C[C@@H](C#N)C(=O)NCCCNC(=O)c1n[nH]c2ccccc21 ZINC001283317406 904800239 /nfs/dbraw/zinc/80/02/39/904800239.db2.gz GUBBJRAOFRHMDD-JTQLQIEISA-N 0 1 299.334 0.959 20 30 CCEDMN CC#CCN(C)CCOCCNC(=O)c1csnn1 ZINC001283401077 904838667 /nfs/dbraw/zinc/83/86/67/904838667.db2.gz XSBHAGQVBAFVSO-UHFFFAOYSA-N 0 1 282.369 0.240 20 30 CCEDMN C=C(C)CCC(=O)NC[C@H](CO)NCc1cnc(C)nc1 ZINC001283759353 904999038 /nfs/dbraw/zinc/99/90/38/904999038.db2.gz GSGOYZMLSZMKDS-CQSZACIVSA-N 0 1 292.383 0.708 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)[C@H]1CC[C@@H]2C[C@@H]2CC1 ZINC001283785186 905014128 /nfs/dbraw/zinc/01/41/28/905014128.db2.gz RCPAXHSBAGMPOG-LXTVHRRPSA-N 0 1 278.396 0.903 20 30 CCEDMN CC#CCN[C@H](CO)CNC(=O)c1ccc2[nH]ccc2c1 ZINC001283795057 905018619 /nfs/dbraw/zinc/01/86/19/905018619.db2.gz CIIPVOWGLVEXAM-AWEZNQCLSA-N 0 1 285.347 0.872 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@H](CO)NCc2ccnn2C)C1 ZINC001283803965 905022854 /nfs/dbraw/zinc/02/28/54/905022854.db2.gz MFQRDDGYWFLZHQ-GFCCVEGCSA-N 0 1 292.383 0.343 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1scnc1C1CC1 ZINC001283814551 905033523 /nfs/dbraw/zinc/03/35/23/905033523.db2.gz YDXGVMXLWIPXHT-LLVKDONJSA-N 0 1 293.392 0.724 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1ccc(Cl)cc1 ZINC001283822490 905037126 /nfs/dbraw/zinc/03/71/26/905037126.db2.gz CQMTVIZUOUTIOU-GFCCVEGCSA-N 0 1 266.728 0.654 20 30 CCEDMN C#CCN1CC[C@]2(NC(=O)[C@H](C)OC)CCC[C@H]12 ZINC001284043388 905129915 /nfs/dbraw/zinc/12/99/15/905129915.db2.gz AZMVONSTIPCQQK-SGMGOOAPSA-N 0 1 250.342 0.768 20 30 CCEDMN C=C[C@@H](C)[C@H](C)C(=O)N[C@H]1C[C@@H](NC(=O)c2cnn[nH]2)C1 ZINC001284095726 905149060 /nfs/dbraw/zinc/14/90/60/905149060.db2.gz FWPSOOSBRRVWSX-YTWAJWBKSA-N 0 1 291.355 0.640 20 30 CCEDMN C=CCCC(=O)NC[C@@H](C)NC(=O)[C@H]1CCCN1C ZINC001284207850 905191295 /nfs/dbraw/zinc/19/12/95/905191295.db2.gz NPRWXKQIMWKYAE-VXGBXAGGSA-N 0 1 267.373 0.668 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)NC(=O)C1=NC(=O)N(C)C1 ZINC001284257820 905224345 /nfs/dbraw/zinc/22/43/45/905224345.db2.gz UXFLWNSRVIYUQQ-SNVBAGLBSA-N 0 1 294.355 0.717 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)NC(=O)CCc1c[nH]nn1 ZINC001284256920 905225560 /nfs/dbraw/zinc/22/55/60/905225560.db2.gz PKZYGXGHSKPNIG-LLVKDONJSA-N 0 1 293.371 0.715 20 30 CCEDMN C=C(C)CCC(=O)NC[C@@H](C)NC(=O)CCc1cnn[nH]1 ZINC001284256920 905225574 /nfs/dbraw/zinc/22/55/74/905225574.db2.gz PKZYGXGHSKPNIG-LLVKDONJSA-N 0 1 293.371 0.715 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)CCc1nccs1 ZINC001284520792 905357500 /nfs/dbraw/zinc/35/75/00/905357500.db2.gz YMHIIHAKKJUAPJ-GFCCVEGCSA-N 0 1 295.408 0.460 20 30 CCEDMN C=CCCOCC(=O)N(C)CCNC(=O)c1[nH]ncc1F ZINC001284582977 905384873 /nfs/dbraw/zinc/38/48/73/905384873.db2.gz HWLWFJPZSNGULU-UHFFFAOYSA-N 0 1 298.318 0.330 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@H](C)CNC(=O)c1ncn[nH]1 ZINC001285630043 905700100 /nfs/dbraw/zinc/70/01/00/905700100.db2.gz ASMSLLUVDLQZQP-NXEZZACHSA-N 0 1 295.343 0.020 20 30 CCEDMN C=CCCO[C@H](C)C(=O)N[C@H](C)CNC(=O)c1nc[nH]n1 ZINC001285630043 905700106 /nfs/dbraw/zinc/70/01/06/905700106.db2.gz ASMSLLUVDLQZQP-NXEZZACHSA-N 0 1 295.343 0.020 20 30 CCEDMN C[C@H](CNC(=O)C#CC1CC1)NC(=O)CN(C)C1CCC1 ZINC001285659590 905706650 /nfs/dbraw/zinc/70/66/50/905706650.db2.gz FHOSMTAHQCZYLD-GFCCVEGCSA-N 0 1 291.395 0.505 20 30 CCEDMN C=C(C)C(C)(C)C(=O)NC1(CNC(=O)c2cnn[nH]2)CC1 ZINC001285942743 905817379 /nfs/dbraw/zinc/81/73/79/905817379.db2.gz XUIVAOXTJRVJAL-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1cc(=O)n(C)cn1 ZINC001378784050 905819075 /nfs/dbraw/zinc/81/90/75/905819075.db2.gz DDDOEVWUNCWPCS-JTQLQIEISA-N 0 1 298.774 0.583 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1C(=O)NCCc1nc(C)n[nH]1 ZINC001292879919 906363974 /nfs/dbraw/zinc/36/39/74/906363974.db2.gz DAALOGRGKHPEEH-ZJUUUORDSA-N 0 1 250.302 0.363 20 30 CCEDMN C=CCOC[C@@H]([NH2+]Cc1cc(C)nn1C)C(=O)[O-] ZINC001334778322 906374754 /nfs/dbraw/zinc/37/47/54/906374754.db2.gz YWSXQJNVEFEJKX-LLVKDONJSA-N 0 1 253.302 0.474 20 30 CCEDMN C=CCCC(=O)NCCCNC(=O)Cc1n[nH]c(C)n1 ZINC001293188483 906440849 /nfs/dbraw/zinc/44/08/49/906440849.db2.gz ZVCZXQKDIFMQEW-UHFFFAOYSA-N 0 1 279.344 0.244 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)c2cnncc2[O-])C1 ZINC001337355018 921239653 /nfs/dbraw/zinc/23/96/53/921239653.db2.gz FFRBZUCBZOCTGA-JTQLQIEISA-N 0 1 260.297 0.010 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)c2cnncc2[O-])C1 ZINC001337355018 921239659 /nfs/dbraw/zinc/23/96/59/921239659.db2.gz FFRBZUCBZOCTGA-JTQLQIEISA-N 0 1 260.297 0.010 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CN(C)C(=O)c1cc[nH]c1 ZINC001379795068 906485731 /nfs/dbraw/zinc/48/57/31/906485731.db2.gz VVZQKRHHLYIQJD-LLVKDONJSA-N 0 1 271.748 0.790 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)C[C@@H]1CCC(=O)N1)C1CC1 ZINC001379907507 906531169 /nfs/dbraw/zinc/53/11/69/906531169.db2.gz JPXAEPNJTOYEJJ-NWDGAFQWSA-N 0 1 299.802 0.892 20 30 CCEDMN C=CCC(C)(C)C(=O)N(C)CCCNC(=O)c1ncn[nH]1 ZINC001294248275 906574193 /nfs/dbraw/zinc/57/41/93/906574193.db2.gz WRMHHJWCLPQKAF-UHFFFAOYSA-N 0 1 293.371 0.985 20 30 CCEDMN C=CCC(C)(C)C(=O)N(C)CCCNC(=O)c1nc[nH]n1 ZINC001294248275 906574203 /nfs/dbraw/zinc/57/42/03/906574203.db2.gz WRMHHJWCLPQKAF-UHFFFAOYSA-N 0 1 293.371 0.985 20 30 CCEDMN C=CCCO[C@H](C)C(=O)NC[C@@H](C)NC(=O)c1cnn[nH]1 ZINC001295439042 906730527 /nfs/dbraw/zinc/73/05/27/906730527.db2.gz LBHAODPAGXFUGV-NXEZZACHSA-N 0 1 295.343 0.020 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@@](C)(CNCc2nncn2C)C1 ZINC001380403343 906761479 /nfs/dbraw/zinc/76/14/79/906761479.db2.gz PMALMCXELRKUOH-RISCZKNCSA-N 0 1 290.371 0.303 20 30 CCEDMN C=C1CC(C)(C(=O)NC[C@@H](C)NC(=O)Cc2nnc[nH]2)C1 ZINC001295643068 906777051 /nfs/dbraw/zinc/77/70/51/906777051.db2.gz LUSOXXJGOBHRQN-SNVBAGLBSA-N 0 1 291.355 0.324 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)CCNC(=O)c1ncn[nH]1 ZINC001295903399 906821238 /nfs/dbraw/zinc/82/12/38/906821238.db2.gz GDLCPLMPXLBXKT-LLVKDONJSA-N 0 1 291.355 0.623 20 30 CCEDMN CC#CCCCC(=O)N[C@H](C)CCNC(=O)c1nc[nH]n1 ZINC001295903399 906821250 /nfs/dbraw/zinc/82/12/50/906821250.db2.gz GDLCPLMPXLBXKT-LLVKDONJSA-N 0 1 291.355 0.623 20 30 CCEDMN CC#CCCCC(=O)N(CC)CCNC(=O)c1cnn[nH]1 ZINC001296331512 906902528 /nfs/dbraw/zinc/90/25/28/906902528.db2.gz LIAISQUOWTVQCH-UHFFFAOYSA-N 0 1 291.355 0.577 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)N1CC(NC(=O)c2ncn[nH]2)C1 ZINC001297120830 907024160 /nfs/dbraw/zinc/02/41/60/907024160.db2.gz ZNPPFWBMVMBPHU-RKDXNWHRSA-N 0 1 277.328 0.204 20 30 CCEDMN C=C[C@@H](C)[C@@H](C)C(=O)N1CC(NC(=O)c2nc[nH]n2)C1 ZINC001297120830 907024173 /nfs/dbraw/zinc/02/41/73/907024173.db2.gz ZNPPFWBMVMBPHU-RKDXNWHRSA-N 0 1 277.328 0.204 20 30 CCEDMN C=C(C)CCC(=O)N[C@H](C)CNC(=O)CCc1nc[nH]n1 ZINC001298612887 907288341 /nfs/dbraw/zinc/28/83/41/907288341.db2.gz JYDVQVHGRVYALC-LLVKDONJSA-N 0 1 293.371 0.715 20 30 CCEDMN CC(C)C#CC(=O)NC[C@@H](C)NC(=O)CN1CCCC1 ZINC001298597409 907288624 /nfs/dbraw/zinc/28/86/24/907288624.db2.gz BHKNSGJBWHKYHN-CYBMUJFWSA-N 0 1 279.384 0.363 20 30 CCEDMN Cc1nnc(CNC[C@@H]2CCN(C(=O)C#CC(C)C)C2)[nH]1 ZINC001491801093 907579982 /nfs/dbraw/zinc/57/99/82/907579982.db2.gz WOQPNNAOTRHOKJ-ZDUSSCGKSA-N 0 1 289.383 0.711 20 30 CCEDMN C=CC(C)(C)C(=O)N[C@@H]1CCN(C(=O)c2[nH]nnc2C)C1 ZINC001491868497 907617323 /nfs/dbraw/zinc/61/73/23/907617323.db2.gz NIVQPCBPTZTCKJ-SNVBAGLBSA-N 0 1 291.355 0.656 20 30 CCEDMN C[C@@H](C#N)C(=O)N(C)[C@@H]1CCN(C(=O)Cc2ccn[nH]2)C1 ZINC001491939143 907645361 /nfs/dbraw/zinc/64/53/61/907645361.db2.gz VWVKSPVORJQHCV-CMPLNLGQSA-N 0 1 289.339 0.171 20 30 CCEDMN CC#CCN(C)CCNC(=O)CCn1ccccc1=O ZINC001492267626 907818630 /nfs/dbraw/zinc/81/86/30/907818630.db2.gz DPINKPSBOSBQRB-UHFFFAOYSA-N 0 1 275.352 0.310 20 30 CCEDMN C#CCN(C)CCNC(=O)C[C@H]1CCOC[C@@H]1OC ZINC001492278291 907824367 /nfs/dbraw/zinc/82/43/67/907824367.db2.gz LOCCPGFPNRSSGC-OLZOCXBDSA-N 0 1 268.357 0.109 20 30 CCEDMN N#Cc1ccc2nc(NC(=O)C[C@@H]3CC(=O)NC3=O)[nH]c2c1 ZINC001301792845 907989528 /nfs/dbraw/zinc/98/95/28/907989528.db2.gz NRFPJTOFCZLUTL-QMMMGPOBSA-N 0 1 297.274 0.426 20 30 CCEDMN C=C(CCC(=O)OC)C(=O)NC12CCN(CC1)C2 ZINC001302361301 908018506 /nfs/dbraw/zinc/01/85/06/908018506.db2.gz VLOYALZLCLGVHZ-UHFFFAOYSA-N 0 1 252.314 0.460 20 30 CCEDMN C=CCNC(=O)[C@H](C)N(C)CCCNC(=O)[C@@H]1C[C@H]1C ZINC001316842175 908187584 /nfs/dbraw/zinc/18/75/84/908187584.db2.gz FYFDPFVWSRYZEA-FRRDWIJNSA-N 0 1 281.400 0.771 20 30 CCEDMN CC#CCN(C)CCNC(=O)[C@@H](CCCC)NC(N)=O ZINC001317472904 908349750 /nfs/dbraw/zinc/34/97/50/908349750.db2.gz LCGKFKUSJZNMSI-GFCCVEGCSA-N 0 1 282.388 0.285 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001385139250 908359569 /nfs/dbraw/zinc/35/95/69/908359569.db2.gz YPKWEFXBXUPMTM-PSASIEDQSA-N 0 1 275.312 0.209 20 30 CCEDMN C[C@H](NCc1cc(=O)n2ncc(C#N)c2[nH]1)c1ccnn1C ZINC001308015692 908371001 /nfs/dbraw/zinc/37/10/01/908371001.db2.gz HLVVSXFPKWMKPU-VIFPVBQESA-N 0 1 297.322 0.891 20 30 CCEDMN CC#CCN(CC)CCNC(=O)[C@H]1C[C@@H]1C(=O)OC ZINC001317475707 908455009 /nfs/dbraw/zinc/45/50/09/908455009.db2.gz APISUNMKQFNENM-RYUDHWBXSA-N 0 1 266.341 0.257 20 30 CCEDMN Cc1ncc(C(=O)N2CC[C@H](NC(=O)[C@H](C)C#N)C2)[nH]1 ZINC001385608360 908464632 /nfs/dbraw/zinc/46/46/32/908464632.db2.gz BBSUOPIXBUEKEP-SCZZXKLOSA-N 0 1 275.312 0.209 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H]1CCN(C(=O)CC)C1 ZINC001317489067 908496126 /nfs/dbraw/zinc/49/61/26/908496126.db2.gz RLMQSGKKBWCFDS-ZDUSSCGKSA-N 0 1 279.384 0.316 20 30 CCEDMN C#CCN(CC)CCNC(=O)[C@H](C)OC[C@H]1CCCO1 ZINC001317492296 908504091 /nfs/dbraw/zinc/50/40/91/908504091.db2.gz KOWROFJYPJHTMS-UONOGXRCSA-N 0 1 282.384 0.642 20 30 CCEDMN CNC(=O)c1ccsc1NC(=O)NCC#CCN(C)C ZINC001311200891 908540556 /nfs/dbraw/zinc/54/05/56/908540556.db2.gz YTZBSTPZGDXBKO-UHFFFAOYSA-N 0 1 294.380 0.794 20 30 CCEDMN C[C@H](CO[C@H]1CCOC1)NC(=O)NCC#CCN(C)C ZINC001312272024 908591468 /nfs/dbraw/zinc/59/14/68/908591468.db2.gz CNLWVSPSFCUTRJ-OLZOCXBDSA-N 0 1 283.372 0.045 20 30 CCEDMN CO[C@H]1CC[C@@H]1N(C)C(=O)NCC#CCN(C)C ZINC001313439900 908668105 /nfs/dbraw/zinc/66/81/05/908668105.db2.gz XIKOMLDTHZPKEP-RYUDHWBXSA-N 0 1 253.346 0.370 20 30 CCEDMN C=CC[N@H+](CCO)[C@H]1CCCN(C(=O)c2cnccn2)C1 ZINC001316745170 908662951 /nfs/dbraw/zinc/66/29/51/908662951.db2.gz UQPXFUDMBHEKSX-ZDUSSCGKSA-N 0 1 290.367 0.562 20 30 CCEDMN CC(=O)N1CC[C@@H](CN(C)C(=O)NCC#CCN(C)C)C1 ZINC001313437857 908665703 /nfs/dbraw/zinc/66/57/03/908665703.db2.gz FGQHFMNTDPDMFO-AWEZNQCLSA-N 0 1 294.399 0.061 20 30 CCEDMN C#CCN1CCC(N(C)C(=O)[C@H](C)NC(C)=O)CC1 ZINC001316928278 908689622 /nfs/dbraw/zinc/68/96/22/908689622.db2.gz GUBMTZUHXYECDA-NSHDSACASA-N 0 1 265.357 0.067 20 30 CCEDMN CC#CCN(C)CCOCCN(C)C(=O)[C@]12C[C@H]1COC2 ZINC001316762869 908764637 /nfs/dbraw/zinc/76/46/37/908764637.db2.gz GPPIHBWVAYGCSZ-HOCLYGCPSA-N 0 1 294.395 0.453 20 30 CCEDMN C#CCN1CCN(CCCNC(=O)[C@H]2CCCCO2)CC1 ZINC001316956593 908864391 /nfs/dbraw/zinc/86/43/91/908864391.db2.gz SZUMJJFGPFJPFR-OAHLLOKOSA-N 0 1 293.411 0.313 20 30 CCEDMN C=CCN1CCN(CCNC(=O)[C@H]2C[C@H]3C[C@H]3C2)CC1 ZINC001316966668 908874731 /nfs/dbraw/zinc/87/47/31/908874731.db2.gz GZSPBAXPDAUAGM-FICVDOATSA-N 0 1 277.412 0.952 20 30 CCEDMN C=CCCC(=O)N[C@H]1CCN(CCOCCO)C1 ZINC001316974957 908888227 /nfs/dbraw/zinc/88/82/27/908888227.db2.gz WHGFKOIZSINKJV-LBPRGKRZSA-N 0 1 256.346 0.152 20 30 CCEDMN N#CCN1CCC2(C[C@H]2NC(=O)CCc2c[nH]nn2)CC1 ZINC001317003257 908911857 /nfs/dbraw/zinc/91/18/57/908911857.db2.gz ZYFYKTCSKQOHJH-GFCCVEGCSA-N 0 1 288.355 0.232 20 30 CCEDMN N#CCN1CCC2(C[C@H]2NC(=O)CCc2cnn[nH]2)CC1 ZINC001317003257 908911866 /nfs/dbraw/zinc/91/18/66/908911866.db2.gz ZYFYKTCSKQOHJH-GFCCVEGCSA-N 0 1 288.355 0.232 20 30 CCEDMN CC#CCN(CC)CCNC(=O)c1cnn2ccncc12 ZINC001317479922 909250537 /nfs/dbraw/zinc/25/05/37/909250537.db2.gz PCAPDFXXLBGQHP-UHFFFAOYSA-N 0 1 285.351 0.804 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1csc(=O)[nH]1 ZINC001317484292 909257262 /nfs/dbraw/zinc/25/72/62/909257262.db2.gz KCUFKXPZNIIXCV-UHFFFAOYSA-N 0 1 297.380 0.550 20 30 CCEDMN CCN(CC#CCOC)CCNC(=O)c1[nH]c(C)nc1C ZINC001317488491 909261111 /nfs/dbraw/zinc/26/11/11/909261111.db2.gz ZVSPSUFWMSHMRS-UHFFFAOYSA-N 0 1 292.383 0.728 20 30 CCEDMN CC#CC[N@H+]1CCC[C@H]1CNC(=O)[C@@H](C)n1cncn1 ZINC001317495477 909266372 /nfs/dbraw/zinc/26/63/72/909266372.db2.gz ITKRXBBKWXMUTL-OLZOCXBDSA-N 0 1 275.356 0.443 20 30 CCEDMN CC#CCN1CCC[C@H]1CNC(=O)[C@@H](C)n1cncn1 ZINC001317495477 909266381 /nfs/dbraw/zinc/26/63/81/909266381.db2.gz ITKRXBBKWXMUTL-OLZOCXBDSA-N 0 1 275.356 0.443 20 30 CCEDMN C#CCN1CCC[C@@H]1CNC(=O)CCCn1cncn1 ZINC001317508798 909280956 /nfs/dbraw/zinc/28/09/56/909280956.db2.gz MLFJVZJUMDKSCH-CYBMUJFWSA-N 0 1 275.356 0.272 20 30 CCEDMN CCCN(CCNC(=O)C#CC1CC1)[C@H]1CCCNC1=O ZINC001317542441 909309429 /nfs/dbraw/zinc/30/94/29/909309429.db2.gz YAJKUPLGBSRZLI-AWEZNQCLSA-N 0 1 291.395 0.507 20 30 CCEDMN COCC#CCN(CCNC(=O)[C@H]1CCCO1)C1CC1 ZINC001317547638 909316409 /nfs/dbraw/zinc/31/64/09/909316409.db2.gz IZXBMHDQUHSMKG-CQSZACIVSA-N 0 1 280.368 0.396 20 30 CCEDMN C#CCN(CCNC(=O)C[C@@H]1CCOC[C@H]1OC)C1CC1 ZINC001317552714 909322054 /nfs/dbraw/zinc/32/20/54/909322054.db2.gz WNXUYBFKAAQQCJ-DZGCQCFKSA-N 0 1 294.395 0.642 20 30 CCEDMN CC(C)c1nc(CNCCN(C)C(=O)[C@H](C)C#N)n[nH]1 ZINC001317574598 909366202 /nfs/dbraw/zinc/36/62/02/909366202.db2.gz ZFYXTLSAUPVAHR-SNVBAGLBSA-N 0 1 278.360 0.636 20 30 CCEDMN C=C(Cl)CNCCN(C)C(=O)CCCC(=O)NC ZINC001317579236 909372331 /nfs/dbraw/zinc/37/23/31/909372331.db2.gz KYYMANJFAUVHTR-UHFFFAOYSA-N 0 1 275.780 0.703 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CC[C@H](NC(=O)c2cnn[nH]2)CC1 ZINC001388327711 909438611 /nfs/dbraw/zinc/43/86/11/909438611.db2.gz OUWLTUIVUMMUFK-OPRDCNLKSA-N 0 1 290.327 0.122 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C(=O)c1ccn[nH]1)C1CC1 ZINC001388679577 909550418 /nfs/dbraw/zinc/55/04/18/909550418.db2.gz ZWOHJXXCQXZSOS-SECBINFHSA-N 0 1 275.312 0.290 20 30 CCEDMN C=CCNC(=O)[C@@H](C)N1CCC[C@@H](C(=O)NC2CC2)C1 ZINC001318141062 909639642 /nfs/dbraw/zinc/63/96/42/909639642.db2.gz NZKKHSIIXUJCSI-VXGBXAGGSA-N 0 1 279.384 0.668 20 30 CCEDMN CC(C)(CN1CCOCC1)C(=O)N[C@H]1CCN(CC#N)C1 ZINC001318260785 909681809 /nfs/dbraw/zinc/68/18/09/909681809.db2.gz NSGSUKBKKNRFOO-ZDUSSCGKSA-N 0 1 294.399 0.059 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CCc2ccc(=O)[nH]c2)C1 ZINC001318273181 909685851 /nfs/dbraw/zinc/68/58/51/909685851.db2.gz NNGLWMGTRXNCHJ-AWEZNQCLSA-N 0 1 287.363 0.934 20 30 CCEDMN CC#CCN1CC[C@H](NC(=O)CNC(=O)CC(C)(C)C)C1 ZINC001318300811 909695395 /nfs/dbraw/zinc/69/53/95/909695395.db2.gz BAXKXWNJJRZPQZ-ZDUSSCGKSA-N 0 1 293.411 0.753 20 30 CCEDMN CCN(C(=O)CC(C)(C)O)[C@H]1CCN(CC#CCOC)C1 ZINC001318466781 909770320 /nfs/dbraw/zinc/77/03/20/909770320.db2.gz ZKTXWZMZJNJFAJ-AWEZNQCLSA-N 0 1 296.411 0.720 20 30 CCEDMN C#CCN1CC[C@H](N(CC)C(=O)C[C@H]2CCC(=O)NC2)C1 ZINC001318473268 909773620 /nfs/dbraw/zinc/77/36/20/909773620.db2.gz LRCRQYQQACAIIF-KGLIPLIRSA-N 0 1 291.395 0.459 20 30 CCEDMN C#CCN1CCC(C(=O)N2CCc3n[nH]cc3C2)CC1 ZINC001318500723 909783994 /nfs/dbraw/zinc/78/39/94/909783994.db2.gz CNSVXQOTAJPZKG-UHFFFAOYSA-N 0 1 272.352 0.640 20 30 CCEDMN CC[C@H](C(N)=O)N1CCC(N(C)C(=O)[C@@H](C)C#N)CC1 ZINC001389332031 909832292 /nfs/dbraw/zinc/83/22/92/909832292.db2.gz OTXYTBORJXMHGO-CMPLNLGQSA-N 0 1 280.372 0.333 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[C@@H]1CNC(=O)CCc1cnc[nH]1 ZINC001389702804 910036473 /nfs/dbraw/zinc/03/64/73/910036473.db2.gz UFWRICUFIGBIHD-CMPLNLGQSA-N 0 1 289.339 0.219 20 30 CCEDMN C=CCCCN1CCO[C@@H](CNC(=O)COCC)C1 ZINC001319295478 910078121 /nfs/dbraw/zinc/07/81/21/910078121.db2.gz NKDPJNZUYKFFDP-ZDUSSCGKSA-N 0 1 270.373 0.806 20 30 CCEDMN C[C@H]1CCCN(C(=O)Cc2ccn[nH]2)[C@H]1CNCC#N ZINC001319837890 910314806 /nfs/dbraw/zinc/31/48/06/910314806.db2.gz QJCNCPQZEVJESO-AAEUAGOBSA-N 0 1 275.356 0.692 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)c1cnc2n1CCOC2 ZINC001390409403 910399800 /nfs/dbraw/zinc/39/98/00/910399800.db2.gz ZNVCOZNNTJYTEA-JTQLQIEISA-N 0 1 298.774 0.874 20 30 CCEDMN C=CCO[C@@H]1CCN(CC(=O)N2C[C@H](C)O[C@@H](C)C2)C1 ZINC001320038419 910428820 /nfs/dbraw/zinc/42/88/20/910428820.db2.gz RUPIWENWVSGCKX-MELADBBJSA-N 0 1 282.384 0.899 20 30 CCEDMN C#CCN(C)CCN(C)C(=O)CN1CCCCCC1=O ZINC001320080947 910453361 /nfs/dbraw/zinc/45/33/61/910453361.db2.gz RFCAVNAWCKQCTI-UHFFFAOYSA-N 0 1 279.384 0.412 20 30 CCEDMN COCC#CC[N@H+]1CC[C@H]2CN(C(=O)COC)CC[C@@H]21 ZINC001320098752 910462351 /nfs/dbraw/zinc/46/23/51/910462351.db2.gz YLLDHTYRRFVTGK-KBPBESRZSA-N 0 1 280.368 0.205 20 30 CCEDMN Cc1nc(CN2CC[C@@H](CNC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001391080379 910856076 /nfs/dbraw/zinc/85/60/76/910856076.db2.gz WGJXMRDKFVBIEZ-KOLCDFICSA-N 0 1 276.344 0.211 20 30 CCEDMN C=CCNC(=O)CNC/C=C\CNC(=O)[C@H]1CC=CCC1 ZINC001320965860 910961287 /nfs/dbraw/zinc/96/12/87/910961287.db2.gz JUNWEQCXANSBGP-AFNCTOJWSA-N 0 1 291.395 0.907 20 30 CCEDMN C=CCOCC(=O)N[C@H](C)CNCc1cc(C)n(C)n1 ZINC001321468048 911320016 /nfs/dbraw/zinc/32/00/16/911320016.db2.gz AHSNVMKFHPSGDH-LLVKDONJSA-N 0 1 280.372 0.525 20 30 CCEDMN C#CCOCCC(=O)N[C@H](C)CNCc1ccns1 ZINC001321513331 911337282 /nfs/dbraw/zinc/33/72/82/911337282.db2.gz DLNPUECPLMDSND-LLVKDONJSA-N 0 1 281.381 0.777 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1[nH]nnc1C ZINC001391714891 911352690 /nfs/dbraw/zinc/35/26/90/911352690.db2.gz IYKSORCSACOTPK-SECBINFHSA-N 0 1 271.752 0.964 20 30 CCEDMN CC(C)C#CC(=O)NC1CC(N(C)C(=O)c2ccn[nH]2)C1 ZINC001339132815 921660599 /nfs/dbraw/zinc/66/05/99/921660599.db2.gz ARFNJQQRSNWOBJ-UHFFFAOYSA-N 0 1 288.351 0.788 20 30 CCEDMN Cc1nocc1CNC[C@H](C)NC(=O)[C@H](C)C#N ZINC001321815544 911506403 /nfs/dbraw/zinc/50/64/03/911506403.db2.gz YBUXOIOQSBWJPE-BDAKNGLRSA-N 0 1 250.302 0.737 20 30 CCEDMN COCC#CCN(C)C[C@H](C)NC(=O)Cc1cnn(C)c1 ZINC001322124797 911666497 /nfs/dbraw/zinc/66/64/97/911666497.db2.gz UXCWLBXMMPPJOY-ZDUSSCGKSA-N 0 1 292.383 0.049 20 30 CCEDMN CC#CCN(C)C[C@H](C)NC(=O)c1cnn2ccncc12 ZINC001322177084 911698089 /nfs/dbraw/zinc/69/80/89/911698089.db2.gz SHMGJQJRKIYSBI-LBPRGKRZSA-N 0 1 285.351 0.803 20 30 CCEDMN CC(C)[C@@H]1CNCCN1C(=O)C(=O)N1CCC[C@@H](C#N)C1 ZINC001339224790 921686011 /nfs/dbraw/zinc/68/60/11/921686011.db2.gz QHBGDMGFXRBICJ-STQMWFEESA-N 0 1 292.383 0.205 20 30 CCEDMN C#CCN(C)C[C@H](C)NC(=O)[C@@H]1CCO[C@H]1C=C ZINC001322246873 911726926 /nfs/dbraw/zinc/72/69/26/911726926.db2.gz AXYXLHVNHZLMJT-XQQFMLRXSA-N 0 1 250.342 0.647 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1cnccc1C ZINC001392371171 911765074 /nfs/dbraw/zinc/76/50/74/911765074.db2.gz CQXBGPVZCKJHCR-ZDUSSCGKSA-N 0 1 297.786 0.752 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)c1c[nH]c(C)cc1=O ZINC001392426208 911798503 /nfs/dbraw/zinc/79/85/03/911798503.db2.gz OFHPSSHKTJBAEC-JTQLQIEISA-N 0 1 299.758 0.116 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cc(=O)c(OC)c[nH]1 ZINC001392495456 911859195 /nfs/dbraw/zinc/85/91/95/911859195.db2.gz WPZJRDUHYXOZSE-SECBINFHSA-N 0 1 299.758 0.844 20 30 CCEDMN Cc1noc(C)c1CNCCNC(=O)C1N=CC=CC1=O ZINC001322808537 911970125 /nfs/dbraw/zinc/97/01/25/911970125.db2.gz ZZKQNQYITORYOT-BUHFOSPRSA-N 0 1 290.323 0.907 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@@H]1CCN1CCCOC ZINC001323228722 912203482 /nfs/dbraw/zinc/20/34/82/912203482.db2.gz YOSAXOQTMJBBAH-OLZOCXBDSA-N 0 1 268.357 0.252 20 30 CCEDMN C#C[C@H](C)N(C)c1nnc(C2=NO[C@H](CO)C2)n1CC ZINC001339568044 921762625 /nfs/dbraw/zinc/76/26/25/921762625.db2.gz YGBYDQYOTJWHEF-UWVGGRQHSA-N 0 1 277.328 0.241 20 30 CCEDMN CC#CCN(C)C[C@@H]1CCCN1C(=O)[C@H](O)C(C)C ZINC001328718557 915378660 /nfs/dbraw/zinc/37/86/60/915378660.db2.gz AMQORWNZRMSVDP-UONOGXRCSA-N 0 1 266.385 0.949 20 30 CCEDMN C#CCN(C)C[C@@H]1CCCN1C(=O)C1=CCOCC1 ZINC001328718541 915378795 /nfs/dbraw/zinc/37/87/95/915378795.db2.gz ACOVRPMOHWWMPU-AWEZNQCLSA-N 0 1 262.353 0.889 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C[C@@H]1CCN(C)C1=O ZINC001328734506 915396513 /nfs/dbraw/zinc/39/65/13/915396513.db2.gz XMRPSORVUDYILT-UONOGXRCSA-N 0 1 291.395 0.411 20 30 CCEDMN C#CCN(C)C[C@H]1CCCN1C(=O)C(C)(C)CNC(C)=O ZINC001328765095 915421527 /nfs/dbraw/zinc/42/15/27/915421527.db2.gz HVADCERCMJBCPO-CQSZACIVSA-N 0 1 293.411 0.705 20 30 CCEDMN CC(C)(C#N)CNC[C@H](O)COC1CCOCC1 ZINC001329142422 915701021 /nfs/dbraw/zinc/70/10/21/915701021.db2.gz PZWQXVMADQOFAN-NSHDSACASA-N 0 1 256.346 0.682 20 30 CCEDMN COCC#CCN(C)[C@@H](C)CNC(=O)c1cnn(C)c1 ZINC001329387240 915865400 /nfs/dbraw/zinc/86/54/00/915865400.db2.gz WYSGKRGJHREPRD-LBPRGKRZSA-N 0 1 278.356 0.120 20 30 CCEDMN C#CCN(C)[C@H](C)CNC(=O)CCCC(=O)N(C)C ZINC001329591470 916042079 /nfs/dbraw/zinc/04/20/79/916042079.db2.gz BGYLEJSMKGBOJH-GFCCVEGCSA-N 0 1 267.373 0.315 20 30 CCEDMN CC#CCN(C)[C@H](C)CNC(=O)[C@@H]1CCc2nncn2C1 ZINC001329623633 916072944 /nfs/dbraw/zinc/07/29/44/916072944.db2.gz LZBZGFUTHCYKLQ-CHWSQXEVSA-N 0 1 289.383 0.300 20 30 CCEDMN C[C@H](CNCC(=O)Nc1ccon1)N(C)C(=O)[C@@H](C)C#N ZINC001401199750 916104361 /nfs/dbraw/zinc/10/43/61/916104361.db2.gz HZPYONOIUFECJJ-VHSXEESVSA-N 0 1 293.327 0.209 20 30 CCEDMN C#CCN1CCCCC[C@@H]1CNC(=O)[C@H](CC)NC(C)=O ZINC001329935256 916292307 /nfs/dbraw/zinc/29/23/07/916292307.db2.gz ACPDLJRNIXVQFF-CABCVRRESA-N 0 1 293.411 0.895 20 30 CCEDMN C=CC[C@@H]1CCN(C(=O)C(=O)N2C[C@@H](C)N[C@H](C)C2)C1 ZINC001330200028 916472675 /nfs/dbraw/zinc/47/26/75/916472675.db2.gz DXLWPHSIHANPPZ-JHJVBQTASA-N 0 1 279.384 0.620 20 30 CCEDMN C=C(Cl)CNC[C@@H](NC(=O)[C@H](C)NC(C)=O)C1CC1 ZINC001401819971 916612108 /nfs/dbraw/zinc/61/21/08/916612108.db2.gz FDBWECPOMJBFNF-JOYOIKCWSA-N 0 1 287.791 0.748 20 30 CCEDMN C=C[C@@H](COC)NC(=O)C(=O)NC[C@@H]1CCN1C(C)(C)C ZINC001330573060 916708447 /nfs/dbraw/zinc/70/84/47/916708447.db2.gz UMYUAXCLIIJKKJ-RYUDHWBXSA-N 0 1 297.399 0.293 20 30 CCEDMN Cc1nc(CN2CCC[C@H](NC(=O)[C@@H](C)C#N)C2)n[nH]1 ZINC001402073456 916792295 /nfs/dbraw/zinc/79/22/95/916792295.db2.gz FPHDVBVRZUFKSD-ONGXEEELSA-N 0 1 276.344 0.353 20 30 CCEDMN CNC(=O)CN(C)C[C@@H]1CCCN(C(=O)[C@H](C)C#N)C1 ZINC001402366109 916978884 /nfs/dbraw/zinc/97/88/84/916978884.db2.gz CBPILXGDYDYSRA-NEPJUHHUSA-N 0 1 280.372 0.062 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CN(C(=O)c2ccncn2)CCO1 ZINC001402593433 917118941 /nfs/dbraw/zinc/11/89/41/917118941.db2.gz IXFFTXUOSVROHP-LLVKDONJSA-N 0 1 296.758 0.660 20 30 CCEDMN C=CCOCCCC(=O)NCc1n[nH]c(COC)n1 ZINC001331404734 917308909 /nfs/dbraw/zinc/30/89/09/917308909.db2.gz PDSXMBUSBMBEGB-UHFFFAOYSA-N 0 1 268.317 0.550 20 30 CCEDMN C=CCOCCCC(=O)NCc1nnc(COC)[nH]1 ZINC001331404734 917308925 /nfs/dbraw/zinc/30/89/25/917308925.db2.gz PDSXMBUSBMBEGB-UHFFFAOYSA-N 0 1 268.317 0.550 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@@H](C)NC(=O)CCn1ccnn1 ZINC001402963153 917382622 /nfs/dbraw/zinc/38/26/22/917382622.db2.gz CFAVSIPKGVAVFD-GHMZBOCLSA-N 0 1 285.779 0.904 20 30 CCEDMN CC(=O)NC[C@@H](CO)NCC#Cc1ccc(Cl)cc1 ZINC001331664045 917490949 /nfs/dbraw/zinc/49/09/49/917490949.db2.gz KXJMAYABFNTUGP-AWEZNQCLSA-N 0 1 280.755 0.778 20 30 CCEDMN C#CCN[C@@H](CO)CNC(=O)c1nc2cnccc2s1 ZINC001331740906 917566555 /nfs/dbraw/zinc/56/65/55/917566555.db2.gz RYJAEUPUMBSJJX-SECBINFHSA-N 0 1 290.348 0.005 20 30 CCEDMN CC#CCN[C@@H](CO)CNC(=O)c1cncnc1C1CC1 ZINC001331820932 917636883 /nfs/dbraw/zinc/63/68/83/917636883.db2.gz VNKOOQHVAQFJMU-GFCCVEGCSA-N 0 1 288.351 0.058 20 30 CCEDMN C=C(Cl)CNC[C@@H](C1CC1)N(C)C(=O)[C@H]1C[C@H]1C(N)=O ZINC001403512734 917757486 /nfs/dbraw/zinc/75/74/86/917757486.db2.gz PROWQRTVKNULCR-WOPDTQHZSA-N 0 1 299.802 0.687 20 30 CCEDMN C=CC[C@@H](NC(=O)[C@H](C)[NH+]1CCSCC1)C(=O)[O-] ZINC001332135660 917891997 /nfs/dbraw/zinc/89/19/97/917891997.db2.gz KNGODGZFQNZZJZ-VHSXEESVSA-N 0 1 272.370 0.569 20 30 CCEDMN C=C[C@H](COC)NCc1cnc2c(C#N)cnn2c1 ZINC001332436147 918156021 /nfs/dbraw/zinc/15/60/21/918156021.db2.gz NZLBWKKESZARNO-GFCCVEGCSA-N 0 1 257.297 0.892 20 30 CCEDMN CC[C@@H](F)C(=O)N(C)C[C@@H](O)CN(C)CC#CCOC ZINC001332647304 918350068 /nfs/dbraw/zinc/35/00/68/918350068.db2.gz JGLLMCSTXVASTB-QWHCGFSZSA-N 0 1 288.363 0.135 20 30 CCEDMN C#CCN(C)C[C@@H](O)CN(C)C(=O)c1cn(CC)nc1C ZINC001332663137 918364857 /nfs/dbraw/zinc/36/48/57/918364857.db2.gz APBIFGUBNVTUEB-CYBMUJFWSA-N 0 1 292.383 0.209 20 30 CCEDMN COCC#CCN(C)CCN(C(=O)[C@H](C)OC)C(C)C ZINC001332694070 918387488 /nfs/dbraw/zinc/38/74/88/918387488.db2.gz YAJOSONUXJMAPZ-AWEZNQCLSA-N 0 1 284.400 0.840 20 30 CCEDMN Cc1nc(C(=O)NCC#CCN(C)C)ccc1C#N ZINC001332707314 918395492 /nfs/dbraw/zinc/39/54/92/918395492.db2.gz MPVRNAISNJZUOL-UHFFFAOYSA-N 0 1 256.309 0.557 20 30 CCEDMN C=CCN(C)CCN(C(=O)C(=O)NCC1CC1)C(C)C ZINC001332734666 918409557 /nfs/dbraw/zinc/40/95/57/918409557.db2.gz CWZWWKFMJITXOV-UHFFFAOYSA-N 0 1 281.400 0.867 20 30 CCEDMN C=CCN(CCNC(=O)c1cccn1C)CCOC ZINC001332910125 918519036 /nfs/dbraw/zinc/51/90/36/918519036.db2.gz UJRHNFCETQQKRW-UHFFFAOYSA-N 0 1 265.357 0.889 20 30 CCEDMN C=CCn1nnnc1N1CCC[C@@H](N2CCOCC2)CC1 ZINC001332938352 918548104 /nfs/dbraw/zinc/54/81/04/918548104.db2.gz CDOQWDUDVHSIRW-CYBMUJFWSA-N 0 1 292.387 0.550 20 30 CCEDMN N#CCCN1CCN(C[C@@H](O)CC2(O)CCC2)CC1 ZINC001333040371 918616912 /nfs/dbraw/zinc/61/69/12/918616912.db2.gz UKURZGILYNQDKL-ZDUSSCGKSA-N 0 1 267.373 0.184 20 30 CCEDMN C=C[C@H]1CCCCN1C(=O)C(=O)NCc1n[nH]c(C)n1 ZINC001333389387 918857951 /nfs/dbraw/zinc/85/79/51/918857951.db2.gz ORTZWRVXEFKNCC-JTQLQIEISA-N 0 1 277.328 0.296 20 30 CCEDMN CC#CC[N@@H+]1CC=C(CNC(=O)[C@]2(C)CCNC2=O)CC1 ZINC001333400450 918867874 /nfs/dbraw/zinc/86/78/74/918867874.db2.gz MNTDJTPGBXIYID-MRXNPFEDSA-N 0 1 289.379 0.284 20 30 CCEDMN CC#CCN1CC=C(CNC(=O)[C@]2(C)CCNC2=O)CC1 ZINC001333400450 918867893 /nfs/dbraw/zinc/86/78/93/918867893.db2.gz MNTDJTPGBXIYID-MRXNPFEDSA-N 0 1 289.379 0.284 20 30 CCEDMN Cc1cc(C(=O)N(C)C2CC(NC(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001405815125 918924719 /nfs/dbraw/zinc/92/47/19/918924719.db2.gz GGQPOBRATFRXSV-MFAVDMRSSA-N 0 1 289.339 0.597 20 30 CCEDMN C=C(Cl)CNC[C@H](C)N(C)C(=O)c1ccc(C(N)=O)[nH]1 ZINC001405873382 918952000 /nfs/dbraw/zinc/95/20/00/918952000.db2.gz UTVVSTSJCKKGIL-VIFPVBQESA-N 0 1 298.774 0.916 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C1CC(NC(=O)c2[nH]ncc2F)C1 ZINC001405866682 918954347 /nfs/dbraw/zinc/95/43/47/918954347.db2.gz XXYNOKWBJMRFTR-AFPNSQJFSA-N 0 1 293.302 0.428 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)c1cnc2n[nH]nc2c1 ZINC001406370711 919218288 /nfs/dbraw/zinc/21/82/88/919218288.db2.gz WORFZUDWPHJOSH-MRVPVSSYSA-N 0 1 294.746 0.813 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)[C@H](C)CS(C)(=O)=O ZINC001406426753 919255637 /nfs/dbraw/zinc/25/56/37/919255637.db2.gz BGOOATSLBYWAFH-PSASIEDQSA-N 0 1 296.820 0.514 20 30 CCEDMN C=C(Cl)CN[C@@]1(CO)CCCN(C(=O)[C@@H](C)OC)C1 ZINC001408003288 919984287 /nfs/dbraw/zinc/98/42/87/919984287.db2.gz BYPOMPLXSIWBQT-YPMHNXCESA-N 0 1 290.791 0.717 20 30 CCEDMN C=CCSCCNC(=O)N1CCNC[C@H]1CCOC ZINC001335398993 920171043 /nfs/dbraw/zinc/17/10/43/920171043.db2.gz VQJQGBYZLJPTGM-GFCCVEGCSA-N 0 1 287.429 0.926 20 30 CCEDMN CON(C)CCNCCS(=O)(=O)c1ccc(C#N)cc1 ZINC001335411895 920180134 /nfs/dbraw/zinc/18/01/34/920180134.db2.gz KBOSKBAVGTXCMQ-UHFFFAOYSA-N 0 1 297.380 0.415 20 30 CCEDMN CNC(=O)c1[nH]nnc1NC(=O)NCC1(C#N)CCCC1 ZINC001414497593 920353433 /nfs/dbraw/zinc/35/34/33/920353433.db2.gz SMPMHEZBOVSBLD-UHFFFAOYSA-N 0 1 291.315 0.370 20 30 CCEDMN Cc1nc([C@@H](C)N2CCN(C(=O)[C@H](C)C#N)CC2)n[nH]1 ZINC001415110268 920598484 /nfs/dbraw/zinc/59/84/84/920598484.db2.gz JWFJAJWIGUWIBX-NXEZZACHSA-N 0 1 276.344 0.478 20 30 CCEDMN N#Cc1cccc(C(=O)N[C@H]2CN3CCC2CC3)n1 ZINC001336083040 920602540 /nfs/dbraw/zinc/60/25/40/920602540.db2.gz XRVKOBQGCDNZGN-ZDUSSCGKSA-N 0 1 256.309 0.777 20 30 CCEDMN C[C@H](C#N)C(=O)NCCN(C)C(=O)c1[nH]nc2ccccc21 ZINC001415599555 920776728 /nfs/dbraw/zinc/77/67/28/920776728.db2.gz RQBCKIDZXOPGLS-SNVBAGLBSA-N 0 1 299.334 0.911 20 30 CCEDMN C#CCNCC(=O)N1CCC(C(=O)N2CCCC2)CC1 ZINC001336686867 920928254 /nfs/dbraw/zinc/92/82/54/920928254.db2.gz IYUILSRXGJUPAM-UHFFFAOYSA-N 0 1 277.368 0.070 20 30 CCEDMN C[C@H]1CN(CCNC[C@H](C#N)CCC#N)CCO1 ZINC001336763922 920989547 /nfs/dbraw/zinc/98/95/47/920989547.db2.gz VIEVZVSPXWFJPN-STQMWFEESA-N 0 1 250.346 0.740 20 30 CCEDMN C[C@@H]1C[C@@H](NC[C@@H](O)CC2(C#N)CC2)c2ncnn21 ZINC001336833775 921047621 /nfs/dbraw/zinc/04/76/21/921047621.db2.gz LHUJBEQTXYOOFR-OUAUKWLOSA-N 0 1 261.329 0.928 20 30 CCEDMN N#Cc1ccnnc1NCCN1C[C@H]2CC[C@@H](C1)O2 ZINC001339737229 921813476 /nfs/dbraw/zinc/81/34/76/921813476.db2.gz RISXPGFMVKREJC-TXEJJXNPSA-N 0 1 259.313 0.623 20 30 CCEDMN C#CCCOC(=O)NCc1nnc([C@H]2CCOC2)[nH]1 ZINC001339835557 921844515 /nfs/dbraw/zinc/84/45/15/921844515.db2.gz QLXFGMAUBAPFQL-VIFPVBQESA-N 0 1 264.285 0.558 20 30 CCEDMN C#CCCOC(=O)NCc1n[nH]c([C@H]2CCOC2)n1 ZINC001339835557 921844525 /nfs/dbraw/zinc/84/45/25/921844525.db2.gz QLXFGMAUBAPFQL-VIFPVBQESA-N 0 1 264.285 0.558 20 30 CCEDMN C#CCNCC(=O)N[C@@H](C(=O)OC)c1ccccc1F ZINC001339987195 921905375 /nfs/dbraw/zinc/90/53/75/921905375.db2.gz UUSQOXXJDHFWPP-CYBMUJFWSA-N 0 1 278.283 0.379 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@H]1COCCN1CC ZINC001418243973 921958405 /nfs/dbraw/zinc/95/84/05/921958405.db2.gz AUUHDWHMQVDYJO-NWDGAFQWSA-N 0 1 289.807 0.554 20 30 CCEDMN C#CCNCC(=O)N1CC[C@](C(=O)OCC)(C(C)C)C1 ZINC001340322960 922053321 /nfs/dbraw/zinc/05/33/21/922053321.db2.gz ZQFNKTWSSVEUJD-OAHLLOKOSA-N 0 1 280.368 0.647 20 30 CCEDMN COCc1nc(CNC(=O)C2(C#N)CCSCC2)n[nH]1 ZINC001418368950 922058432 /nfs/dbraw/zinc/05/84/32/922058432.db2.gz YYJKCVXBIAJRNJ-UHFFFAOYSA-N 0 1 295.368 0.604 20 30 CCEDMN COCc1nnc(CNC(=O)C2(C#N)CCSCC2)[nH]1 ZINC001418368950 922058443 /nfs/dbraw/zinc/05/84/43/922058443.db2.gz YYJKCVXBIAJRNJ-UHFFFAOYSA-N 0 1 295.368 0.604 20 30 CCEDMN C#CCNCC(=O)N[C@@H]1COc2cc(F)ccc2C1 ZINC001341367180 922447240 /nfs/dbraw/zinc/44/72/40/922447240.db2.gz LFVJXGQHPZQOAI-LBPRGKRZSA-N 0 1 262.284 0.468 20 30 CCEDMN C#CCNCC(=O)NC[C@@H]1OCCc2ccccc21 ZINC001341452657 922492520 /nfs/dbraw/zinc/49/25/20/922492520.db2.gz IZXXZISWKQDRBL-AWEZNQCLSA-N 0 1 258.321 0.639 20 30 CCEDMN C#CCN(CC#CC)c1nnc(-c2c[nH]nn2)n1CCOC ZINC001341561609 922554631 /nfs/dbraw/zinc/55/46/31/922554631.db2.gz HLRATOQKMSUTER-UHFFFAOYSA-N 0 1 299.338 0.173 20 30 CCEDMN C#CCNCC(=O)NCc1ccc(N(CC)CC)nc1 ZINC001341645744 922600821 /nfs/dbraw/zinc/60/08/21/922600821.db2.gz MGULEVIPQIGYKF-UHFFFAOYSA-N 0 1 274.368 0.767 20 30 CCEDMN C=CCN(C)c1nnc(C2=NO[C@@H](CO)C2)n1CCOC ZINC001341984450 922751933 /nfs/dbraw/zinc/75/19/33/922751933.db2.gz OJSGHFAOSKESRC-SNVBAGLBSA-N 0 1 295.343 0.032 20 30 CCEDMN N#CC(C(=O)Nc1ccccn1)C(=O)c1coc(C(N)=O)c1 ZINC001342595124 923016602 /nfs/dbraw/zinc/01/66/02/923016602.db2.gz HZJFTWCQCBEZPH-VIFPVBQESA-N 0 1 298.258 0.735 20 30 CCEDMN N#C[C@H](C(=O)Nc1ccccn1)C(=O)c1coc(C(N)=O)c1 ZINC001342595124 923016621 /nfs/dbraw/zinc/01/66/21/923016621.db2.gz HZJFTWCQCBEZPH-VIFPVBQESA-N 0 1 298.258 0.735 20 30 CCEDMN C=CCNC(=O)C(C#N)C(=O)[C@@H]1CCCN1C(C)C ZINC001342723312 923068694 /nfs/dbraw/zinc/06/86/94/923068694.db2.gz XARRSHXTYKIZPU-RYUDHWBXSA-N 0 1 263.341 0.870 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)C[C@@H]1CCC(=O)NC1 ZINC001342727239 923072412 /nfs/dbraw/zinc/07/24/12/923072412.db2.gz OEBUFTXUZAMOHU-UWVGGRQHSA-N 0 1 265.313 0.136 20 30 CCEDMN CC(C)(O)[C@H](N)C(=O)Nc1ccc(F)c(C#N)c1 ZINC001342952779 923147039 /nfs/dbraw/zinc/14/70/39/923147039.db2.gz XKWBOEMJBWBQRL-SNVBAGLBSA-N 0 1 251.261 0.734 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CNC(=O)[C@@H]1CCCC(=O)N1C ZINC001419906316 923159608 /nfs/dbraw/zinc/15/96/08/923159608.db2.gz QOYRMJJKQGSGQS-QWRGUYRKSA-N 0 1 287.791 0.844 20 30 CCEDMN CCOC(=O)C[C@@H]1CCCN1CC(=O)NCCC#N ZINC001343046546 923179058 /nfs/dbraw/zinc/17/90/58/923179058.db2.gz GDKZMFWROOUJFJ-NSHDSACASA-N 0 1 267.329 0.434 20 30 CCEDMN COC[C@]1(C(=O)[O-])CC[N@@H+](CCO[C@@H](C)C#N)C1 ZINC000385754699 951284129 /nfs/dbraw/zinc/28/41/29/951284129.db2.gz SJOZQSJPFBSLOI-JQWIXIFHSA-N 0 1 256.302 0.338 20 30 CCEDMN CC(C)NC(=O)C(C#N)C(=O)CC1(C#N)CCOCC1 ZINC001343492643 923348161 /nfs/dbraw/zinc/34/81/61/923348161.db2.gz RODRWQFPWRPWQU-NSHDSACASA-N 0 1 277.324 0.930 20 30 CCEDMN C#CCNCC(=O)NC[C@@H](OC)c1cccc(OC)c1 ZINC001344115212 923554423 /nfs/dbraw/zinc/55/44/23/923554423.db2.gz LACXTICWCHENOU-CQSZACIVSA-N 0 1 276.336 0.722 20 30 CCEDMN C#CCNCC(=O)N1CCCN(C(=O)CC(C)C)CC1 ZINC001344473058 923612635 /nfs/dbraw/zinc/61/26/35/923612635.db2.gz VCWZHWMVMRJBSI-UHFFFAOYSA-N 0 1 279.384 0.316 20 30 CCEDMN C=CCCC[C@@H](NC(=O)C[NH+]1CCC(CO)CC1)C(=O)[O-] ZINC001344539746 923631883 /nfs/dbraw/zinc/63/18/83/923631883.db2.gz AKAUZUYICDUNTE-CYBMUJFWSA-N 0 1 298.383 0.616 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)c1ccc2n[nH]nc2n1 ZINC001420428056 923652691 /nfs/dbraw/zinc/65/26/91/923652691.db2.gz IQYUNAVFWFOFAD-QMMMGPOBSA-N 0 1 294.746 0.813 20 30 CCEDMN Cc1nccnc1CN1CC[C@H](CNC(=O)[C@H](C)C#N)C1 ZINC001420514555 923732293 /nfs/dbraw/zinc/73/22/93/923732293.db2.gz JDJIPKMZWLSCLI-DGCLKSJQSA-N 0 1 287.367 0.883 20 30 CCEDMN CCc1nc([C@@H]2C[C@@H](O)CN2C(=O)[C@H](C#N)CC)n[nH]1 ZINC001420656452 923858323 /nfs/dbraw/zinc/85/83/23/923858323.db2.gz ONYBUSUBRXEBSM-AEJSXWLSSA-N 0 1 277.328 0.551 20 30 CCEDMN COCCO[C@@H]1COCC[C@@H]1NC[C@@H](C#N)CCC#N ZINC001345634566 923935232 /nfs/dbraw/zinc/93/52/32/923935232.db2.gz JAUVPAYXUAPLJX-HZSPNIEDSA-N 0 1 281.356 0.840 20 30 CCEDMN C#CCN1CCC(OC(=O)[C@H]2COCCO2)CC1 ZINC001345673894 923946843 /nfs/dbraw/zinc/94/68/43/923946843.db2.gz YJGPAEZYWNIZJI-GFCCVEGCSA-N 0 1 253.298 0.043 20 30 CCEDMN CCCN(C(=O)c1ccc(C#N)[nH]1)[C@@H]1CCN(CCO)C1 ZINC001420821707 923959842 /nfs/dbraw/zinc/95/98/42/923959842.db2.gz VVWNYOBWAFLBDI-CYBMUJFWSA-N 0 1 290.367 0.805 20 30 CCEDMN CCCN1CCCC[C@H]1C(=O)NCC(=O)NCC#N ZINC001346131974 924080159 /nfs/dbraw/zinc/08/01/59/924080159.db2.gz WUMLGDSFGSMQFX-NSHDSACASA-N 0 1 266.345 0.007 20 30 CCEDMN C#CCCCCNC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001346237441 924124307 /nfs/dbraw/zinc/12/43/07/924124307.db2.gz CSJKNMQBMPWMTQ-CQSZACIVSA-N 0 1 280.416 0.725 20 30 CCEDMN C=CC[N@@H+](CC1(CC(=O)[O-])CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001346241507 924128719 /nfs/dbraw/zinc/12/87/19/924128719.db2.gz LIXGXNVCTYPZLE-LLVKDONJSA-N 0 1 287.381 0.916 20 30 CCEDMN C=CC[N@H+](CC1(CC(=O)[O-])CC1)[C@@H]1CCS(=O)(=O)C1 ZINC001346241507 924128726 /nfs/dbraw/zinc/12/87/26/924128726.db2.gz LIXGXNVCTYPZLE-LLVKDONJSA-N 0 1 287.381 0.916 20 30 CCEDMN CCN(C)C(=O)CN1CCC(C)(NC(=O)[C@H](C)C#N)CC1 ZINC001421139684 924176451 /nfs/dbraw/zinc/17/64/51/924176451.db2.gz PIMGYPWSFOCTLL-GFCCVEGCSA-N 0 1 294.399 0.595 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NC[C@@H](C)N1CCN(C)CC1 ZINC001346470742 924228387 /nfs/dbraw/zinc/22/83/87/924228387.db2.gz CKMLLZHYBZVZEN-MCIONIFRSA-N 0 1 296.415 0.265 20 30 CCEDMN C=C[C@@H]1OCC[C@H]1NC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001346470743 924229013 /nfs/dbraw/zinc/22/90/13/924229013.db2.gz CKMLLZHYBZVZEN-MJBXVCDLSA-N 0 1 296.415 0.265 20 30 CCEDMN C=CCN1CCN(CN2C[C@H]3COC[C@]3(C)C2)C1=O ZINC001347237020 924405340 /nfs/dbraw/zinc/40/53/40/924405340.db2.gz DXBXFDDLRZLULD-JSGCOSHPSA-N 0 1 265.357 0.836 20 30 CCEDMN C[C@@H]1CN2CCN1C[C@@H]2C(=O)N1CC[C@](C)(C#N)C1 ZINC001347335315 924425037 /nfs/dbraw/zinc/42/50/37/924425037.db2.gz SICBDQJQFMOCRO-YRGRVCCFSA-N 0 1 262.357 0.137 20 30 CCEDMN C=C[C@](C)(CCOC)C(=O)NC[C@H](O)c1cnc[nH]1 ZINC001347649424 924500944 /nfs/dbraw/zinc/50/09/44/924500944.db2.gz VBBKHQJUFULZGJ-WCQYABFASA-N 0 1 267.329 0.788 20 30 CCEDMN C=C(C[NH+](C)C)C(=O)N1CCC[C@H](Cc2nn[n-]n2)C1 ZINC001348164578 924608340 /nfs/dbraw/zinc/60/83/40/924608340.db2.gz FXIQOFRZZGHZHI-LLVKDONJSA-N 0 1 278.360 0.099 20 30 CCEDMN C=CC[C@H](CO)NCc1cn(C[C@@H]2CCOC2)nn1 ZINC001348538551 924720202 /nfs/dbraw/zinc/72/02/02/924720202.db2.gz XRHWUXNOUHYUMB-NWDGAFQWSA-N 0 1 266.345 0.341 20 30 CCEDMN C#Cc1ccc(C(=O)N2CC[C@H](c3nc[nH]n3)C2)cn1 ZINC001348618282 924753810 /nfs/dbraw/zinc/75/38/10/924753810.db2.gz SSLSZWIPHMMRRB-NSHDSACASA-N 0 1 267.292 0.811 20 30 CCEDMN C[C@H]1CN2CCN1C[C@H]2C(=O)N(C)CC(C)(C)C#N ZINC001348683868 924772950 /nfs/dbraw/zinc/77/29/50/924772950.db2.gz BIFKMBDYTCHMEY-RYUDHWBXSA-N 0 1 264.373 0.383 20 30 CCEDMN C=CCCOCCNC(=O)NC[C@H](C)N1CCN(C)CC1 ZINC001348725948 924787084 /nfs/dbraw/zinc/78/70/84/924787084.db2.gz MFEXTYMXSLLIRD-AWEZNQCLSA-N 0 1 298.431 0.514 20 30 CCEDMN C=C[C@@H](COC)NC(=O)Cc1n[nH]c(C2CCOCC2)n1 ZINC001349443720 924961317 /nfs/dbraw/zinc/96/13/17/924961317.db2.gz DGJRGCGPEPJQDO-NSHDSACASA-N 0 1 294.355 0.558 20 30 CCEDMN C#CCN1CCC(N(CCC)C(=O)[C@H]2CCC(=O)N2)CC1 ZINC001479991465 925037173 /nfs/dbraw/zinc/03/71/73/925037173.db2.gz KMXUBPCKGDUFGP-CQSZACIVSA-N 0 1 291.395 0.601 20 30 CCEDMN C#CCN1CCC(OC(=O)c2cc(OC)n(C)n2)CC1 ZINC001349770485 925049676 /nfs/dbraw/zinc/04/96/76/925049676.db2.gz RSJAYRZVSKTWGX-UHFFFAOYSA-N 0 1 277.324 0.683 20 30 CCEDMN C=CC[C@H](NC(=O)N[C@@H]1CC[N@@H+](CC2CC2)C1)C(=O)[O-] ZINC001350758785 925270781 /nfs/dbraw/zinc/27/07/81/925270781.db2.gz KXWJOZZZZGPSOI-NEPJUHHUSA-N 0 1 281.356 0.799 20 30 CCEDMN CCN(CCC#N)C(=O)[C@@H]1CN2CCN1C[C@H]2C ZINC001350944080 925317595 /nfs/dbraw/zinc/31/75/95/925317595.db2.gz TUJZLCRXXFARSC-NEPJUHHUSA-N 0 1 250.346 0.137 20 30 CCEDMN C#CCCCCC(=O)NCc1n[nH]c(COC)n1 ZINC001351074188 925356335 /nfs/dbraw/zinc/35/63/35/925356335.db2.gz KTTCULWTYDJRGB-UHFFFAOYSA-N 0 1 250.302 0.761 20 30 CCEDMN C#CCCCCC(=O)NCc1nnc(COC)[nH]1 ZINC001351074188 925356346 /nfs/dbraw/zinc/35/63/46/925356346.db2.gz KTTCULWTYDJRGB-UHFFFAOYSA-N 0 1 250.302 0.761 20 30 CCEDMN C=C(C)C[C@H](CO)NC(=O)N[C@H](C)[C@H]1C[N@@H+](C)CCN1C ZINC001351788269 925471757 /nfs/dbraw/zinc/47/17/57/925471757.db2.gz VQCZOQJPYUSUOJ-MGPQQGTHSA-N 0 1 298.431 0.247 20 30 CCEDMN CC(C)(C(=O)N1CCO[C@@](C)(C#N)C1)c1c[nH]cn1 ZINC001352129218 925526998 /nfs/dbraw/zinc/52/69/98/925526998.db2.gz CWRHKNKPIRFXFM-ZDUSSCGKSA-N 0 1 262.313 0.828 20 30 CCEDMN C[C@H]1CN2CC[N@H+]1C[C@H]2C(=O)[N-]Oc1cccc(C#N)c1 ZINC001352496406 925587200 /nfs/dbraw/zinc/58/72/00/925587200.db2.gz LWQGROOIQOCJBD-FZMZJTMJSA-N 0 1 286.335 0.357 20 30 CCEDMN CCn1cc(C=Nn2c(=O)c(C)n[nH]c2=S)c(C)n1 ZINC001352725457 925622153 /nfs/dbraw/zinc/62/21/53/925622153.db2.gz KDJHAUKIKNQPHX-UHFFFAOYSA-N 0 1 278.341 0.642 20 30 CCEDMN N#C[C@@H]1CNC[C@H]1NC(=O)/C=C\c1cncc(F)c1 ZINC001353074451 925724043 /nfs/dbraw/zinc/72/40/43/925724043.db2.gz XJPIHQDOMMCVOW-JEPDFTIWSA-N 0 1 260.272 0.462 20 30 CCEDMN C#CCO[C@H](C)C(=O)NC[C@H](C)NCc1nccnc1C ZINC001480460183 925782487 /nfs/dbraw/zinc/78/24/87/925782487.db2.gz SEAVDZVUGJSWTQ-WCQYABFASA-N 0 1 290.367 0.418 20 30 CCEDMN C#CCNCC(=O)N(C)[C@H]1CCCC[C@H]1S(C)(=O)=O ZINC001353380937 925817835 /nfs/dbraw/zinc/81/78/35/925817835.db2.gz FDMSLACCJJVNBE-NWDGAFQWSA-N 0 1 286.397 0.023 20 30 CCEDMN CN1CCC[C@@H]1C(=O)N[C@H]1C[C@@H](NC(=O)C#CC2CC2)C1 ZINC001353772541 925930631 /nfs/dbraw/zinc/93/06/31/925930631.db2.gz LJNKSTJDINYGQU-HZSPNIEDSA-N 0 1 289.379 0.257 20 30 CCEDMN C#CCNCC(=O)N[C@H]1CCOc2c(F)cccc21 ZINC001353821186 925939194 /nfs/dbraw/zinc/93/91/94/925939194.db2.gz RHEVUHWETAUINZ-LBPRGKRZSA-N 0 1 262.284 0.988 20 30 CCEDMN C=CCOCC(=O)NC[C@H](C)NC(=O)c1[nH]nc(C)c1C ZINC001354298292 926076125 /nfs/dbraw/zinc/07/61/25/926076125.db2.gz JIEWIBDQISXUSJ-VIFPVBQESA-N 0 1 294.355 0.464 20 30 CCEDMN C[C@@]1(C(=O)NCc2nc(C#N)cs2)CNCCO1 ZINC001355390858 926250997 /nfs/dbraw/zinc/25/09/97/926250997.db2.gz TXTIMJZVMCXNCC-NSHDSACASA-N 0 1 266.326 0.009 20 30 CCEDMN C#CCNCC(=O)N[C@H](C)C1(C(=O)OCC)CCOCC1 ZINC001355414234 926253828 /nfs/dbraw/zinc/25/38/28/926253828.db2.gz FSSGATTYJVVVIV-GFCCVEGCSA-N 0 1 296.367 0.074 20 30 CCEDMN CCN1CCN(c2nnc(CCCC#N)n2C)CC1 ZINC001355661279 926300649 /nfs/dbraw/zinc/30/06/49/926300649.db2.gz VBIKAYQXGUOFLW-UHFFFAOYSA-N 0 1 262.361 0.803 20 30 CCEDMN C=CCCC(=O)N(C)CCNC(=O)[C@H]1CCCN1C ZINC001355803161 926315640 /nfs/dbraw/zinc/31/56/40/926315640.db2.gz CJHHMJPJXBABKG-GFCCVEGCSA-N 0 1 267.373 0.621 20 30 CCEDMN C=CCCCC(=O)N[C@@H](CC)CNC(=O)c1cnn[nH]1 ZINC001356902057 926462897 /nfs/dbraw/zinc/46/28/97/926462897.db2.gz CPHJALIQKHRSHL-JTQLQIEISA-N 0 1 279.344 0.786 20 30 CCEDMN C#CCCCCC(=O)N[C@H](C)CNC(=O)Cc1nnc[nH]1 ZINC001358125168 926641304 /nfs/dbraw/zinc/64/13/04/926641304.db2.gz NSDHOZJKLBTKNV-LLVKDONJSA-N 0 1 291.355 0.162 20 30 CCEDMN C/C(=C/C(=O)NC1(C#N)CCN(C)CC1)c1cnccn1 ZINC001358276320 926666721 /nfs/dbraw/zinc/66/67/21/926666721.db2.gz YTTBJOWBUZQEMQ-XFXZXTDPSA-N 0 1 285.351 0.984 20 30 CCEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)NC(=O)c1ncn[nH]1 ZINC001358533805 926736310 /nfs/dbraw/zinc/73/63/10/926736310.db2.gz CZNGPLACQPVPRS-VIFPVBQESA-N 0 1 277.328 0.089 20 30 CCEDMN C[C@@H](CNC(=O)C#CC(C)(C)C)NC(=O)c1nc[nH]n1 ZINC001358533805 926736316 /nfs/dbraw/zinc/73/63/16/926736316.db2.gz CZNGPLACQPVPRS-VIFPVBQESA-N 0 1 277.328 0.089 20 30 CCEDMN C#CCCCC(=O)NC[C@H]1CCN1C(=O)c1ccn[nH]1 ZINC001358602495 926761749 /nfs/dbraw/zinc/76/17/49/926761749.db2.gz NTXYUKRQLSWZDK-LLVKDONJSA-N 0 1 274.324 0.544 20 30 CCEDMN C=CC(C)(C)CC(=O)NC1(CNC(=O)c2cnn[nH]2)CC1 ZINC001358675568 926786714 /nfs/dbraw/zinc/78/67/14/926786714.db2.gz UADMNKMIPUWJKQ-UHFFFAOYSA-N 0 1 291.355 0.786 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NC1(CNC(=O)c2ncn[nH]2)CC1 ZINC001358684924 926789013 /nfs/dbraw/zinc/78/90/13/926789013.db2.gz YQNIIAMJBUGFNG-SNVBAGLBSA-N 0 1 291.355 0.786 20 30 CCEDMN C=C(C)C[C@@H](C)C(=O)NC1(CNC(=O)c2nc[nH]n2)CC1 ZINC001358684924 926789020 /nfs/dbraw/zinc/78/90/20/926789020.db2.gz YQNIIAMJBUGFNG-SNVBAGLBSA-N 0 1 291.355 0.786 20 30 CCEDMN CC[C@@H](CO)[N@@H+](C)Cc1cc(=O)n2[n-]cc(C#N)c2n1 ZINC001414003884 926810564 /nfs/dbraw/zinc/81/05/64/926810564.db2.gz XQYVUEZPSJWHAC-NSHDSACASA-N 0 1 275.312 0.509 20 30 CCEDMN C#C[C@H](C)N(C)c1nnc(C)n1CCc1nc(C)n[nH]1 ZINC001358873385 926861214 /nfs/dbraw/zinc/86/12/14/926861214.db2.gz IKCAQJARPWAZJC-VIFPVBQESA-N 0 1 273.344 0.714 20 30 CCEDMN C#C[C@H](C)N(C)c1nnc(C)n1CCc1nnc(C)[nH]1 ZINC001358873385 926861225 /nfs/dbraw/zinc/86/12/25/926861225.db2.gz IKCAQJARPWAZJC-VIFPVBQESA-N 0 1 273.344 0.714 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)C[C@H](C)SC ZINC001422284308 927193285 /nfs/dbraw/zinc/19/32/85/927193285.db2.gz QTFAEZPZNIRLHF-UWVGGRQHSA-N 0 1 280.821 0.947 20 30 CCEDMN COC(=O)c1nc[nH]c1NC(=O)c1ccc(C#N)n1C ZINC001361825479 927362100 /nfs/dbraw/zinc/36/21/00/927362100.db2.gz NPIKSDKGXBMVTP-UHFFFAOYSA-N 0 1 273.252 0.659 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)[C@H]1CC(=O)N(CC)C1 ZINC001422652894 927439189 /nfs/dbraw/zinc/43/91/89/927439189.db2.gz ZJFFCQOHEAHYQN-QWRGUYRKSA-N 0 1 287.791 0.702 20 30 CCEDMN C=C(Cl)CN[C@@H](C)CN(C)C(=O)CCc1cnn(C)n1 ZINC001423128702 927686170 /nfs/dbraw/zinc/68/61/70/927686170.db2.gz MHNMKRVBWNYNBI-NSHDSACASA-N 0 1 299.806 0.937 20 30 CCEDMN N#Cc1ccc(CN[C@H](CO)CNC(=O)[C@@H]2CC23CC3)cc1 ZINC001423275511 927783964 /nfs/dbraw/zinc/78/39/64/927783964.db2.gz VWZBSUCXOOINBF-GJZGRUSLSA-N 0 1 299.374 0.925 20 30 CCEDMN C=C(Cl)CNC[C@@H]1CCCN1C(=O)Cn1cnnn1 ZINC001423453296 927868716 /nfs/dbraw/zinc/86/87/16/927868716.db2.gz CQIOJQRAXYFCFI-JTQLQIEISA-N 0 1 284.751 0.006 20 30 CCEDMN C=C(Cl)CN1CCC[C@](CO)(NC(=O)c2cnn[nH]2)C1 ZINC001424018094 928060437 /nfs/dbraw/zinc/06/04/37/928060437.db2.gz XBPWWCWOVNFXOJ-LBPRGKRZSA-N 0 1 299.762 0.114 20 30 CCEDMN N#CC1(C(=O)NC[C@H]2CCCCN2CCO)CCOCC1 ZINC001362742979 928433027 /nfs/dbraw/zinc/43/30/27/928433027.db2.gz RCPHTFBPUCSAHW-CYBMUJFWSA-N 0 1 295.383 0.270 20 30 CCEDMN CCOCC(=O)NC[C@H](CO)NCc1ccc(C#N)cc1 ZINC001425713593 928634307 /nfs/dbraw/zinc/63/43/07/928634307.db2.gz OAXWBBUBHKHHHQ-CQSZACIVSA-N 0 1 291.351 0.161 20 30 CCEDMN CCOCC(=O)NC[C@@H](CO)NCc1ccc(C#N)cc1 ZINC001425713588 928634899 /nfs/dbraw/zinc/63/48/99/928634899.db2.gz OAXWBBUBHKHHHQ-AWEZNQCLSA-N 0 1 291.351 0.161 20 30 CCEDMN C[C@@H](C#N)C(=O)NC[C@H](CO)NCc1cccc(C#N)c1 ZINC001425716264 928638477 /nfs/dbraw/zinc/63/84/77/928638477.db2.gz VXGDGLCUFSDARH-SMDDNHRTSA-N 0 1 286.335 0.285 20 30 CCEDMN C[C@H](C#N)C(=O)N(C)C[C@@H](O)CN(C)Cc1ccccn1 ZINC001426070693 928749584 /nfs/dbraw/zinc/74/95/84/928749584.db2.gz NSQVWYXWOBZEMH-OCCSQVGLSA-N 0 1 290.367 0.492 20 30 CCEDMN C[C@@H](C#N)CN(C)C(=O)Nc1nn[nH]c1C(=O)NC1CC1 ZINC001426389557 928832954 /nfs/dbraw/zinc/83/29/54/928832954.db2.gz QWQHQNRKTFWISB-ZETCQYMHSA-N 0 1 291.315 0.320 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](NC(=O)c1ncn[nH]1)C(C)(C)C ZINC001428390374 929230624 /nfs/dbraw/zinc/23/06/24/929230624.db2.gz RIIOAHRFOGATEO-RKDXNWHRSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@H](C#N)C(=O)NC[C@@H](NC(=O)c1nc[nH]n1)C(C)(C)C ZINC001428390374 929230637 /nfs/dbraw/zinc/23/06/37/929230637.db2.gz RIIOAHRFOGATEO-RKDXNWHRSA-N 0 1 292.343 0.225 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1ncn[nH]1 ZINC001428975233 929354040 /nfs/dbraw/zinc/35/40/40/929354040.db2.gz ZLMVCKJSSRZEKF-OPRDCNLKSA-N 0 1 290.327 0.122 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@@H]1CCCC[C@H]1NC(=O)c1nc[nH]n1 ZINC001428975233 929354050 /nfs/dbraw/zinc/35/40/50/929354050.db2.gz ZLMVCKJSSRZEKF-OPRDCNLKSA-N 0 1 290.327 0.122 20 30 CCEDMN CN(CC(=O)NCc1cncc(C#N)c1)[C@@H]1CCC[C@H]1O ZINC001363554351 929359207 /nfs/dbraw/zinc/35/92/07/929359207.db2.gz DUQJZJVYDCFSQD-ZIAGYGMSSA-N 0 1 288.351 0.415 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@H]1CCN(C(=O)c2ccn[nH]2)[C@@H]1C ZINC001429244228 929420806 /nfs/dbraw/zinc/42/08/06/929420806.db2.gz FNSZPXDBEBPOHK-AEJSXWLSSA-N 0 1 275.312 0.289 20 30 CCEDMN CC(C)c1ncc(CNC(=O)[C@@H](C)n2cnc(C#N)n2)[nH]1 ZINC001363702862 929500554 /nfs/dbraw/zinc/50/05/54/929500554.db2.gz CHHQZQYYBVLOFT-SECBINFHSA-N 0 1 287.327 0.874 20 30 CCEDMN COC(=O)c1cc(C#N)c(=O)n(Cc2[nH]c(C)nc2C)c1 ZINC001363757954 929549876 /nfs/dbraw/zinc/54/98/76/929549876.db2.gz GEEYBIIMJQINCP-UHFFFAOYSA-N 0 1 286.291 0.895 20 30 CCEDMN CC[C@H](CO)N1CCN(Cc2cc(C#N)ccn2)CC1 ZINC001363773453 929560708 /nfs/dbraw/zinc/56/07/08/929560708.db2.gz JZWGMHKQRMAJOH-OAHLLOKOSA-N 0 1 274.368 0.842 20 30 CCEDMN N#Cc1cccc(OCC[N@@H+]2CC[C@H](c3nnn[n-]3)C2)c1 ZINC001363820777 929612584 /nfs/dbraw/zinc/61/25/84/929612584.db2.gz SJJBJLCHNBUMQL-LBPRGKRZSA-N 0 1 284.323 0.940 20 30 CCEDMN N#Cc1cccc(OCC[N@H+]2CC[C@H](c3nnn[n-]3)C2)c1 ZINC001363820777 929612590 /nfs/dbraw/zinc/61/25/90/929612590.db2.gz SJJBJLCHNBUMQL-LBPRGKRZSA-N 0 1 284.323 0.940 20 30 CCEDMN Cc1cc(C(=O)N2CC[C@@H](N(C)C(=O)[C@H](C)C#N)C2)n[nH]1 ZINC001372062306 929721568 /nfs/dbraw/zinc/72/15/68/929721568.db2.gz YXYLPQZNPROJHJ-MWLCHTKSSA-N 0 1 289.339 0.551 20 30 CCEDMN CN1CCN(C)[C@@H](CNC(=O)c2csc(C#N)c2)C1 ZINC001443897554 929736657 /nfs/dbraw/zinc/73/66/57/929736657.db2.gz MWRQYGMOIDXTFW-NSHDSACASA-N 0 1 278.381 0.595 20 30 CCEDMN C=C(Cl)CN[C@H](CC)CNC(=O)c1c[nH]c(=O)cn1 ZINC001443984185 929758200 /nfs/dbraw/zinc/75/82/00/929758200.db2.gz RXSFTLPOKNVZQO-SECBINFHSA-N 0 1 284.747 0.620 20 30 CCEDMN C=C(Cl)CNC[C@H](O)CNC(=O)Cc1ccco1 ZINC001445251451 930077209 /nfs/dbraw/zinc/07/72/09/930077209.db2.gz LCFTWKJANHPMRT-JTQLQIEISA-N 0 1 272.732 0.641 20 30 CCEDMN C[C@@H](CNCc1ncccn1)CNC(=O)c1cc(C#N)c[nH]1 ZINC001374008034 930398033 /nfs/dbraw/zinc/39/80/33/930398033.db2.gz ULQRZBKOUDCRFG-NSHDSACASA-N 0 1 298.350 0.832 20 30 CCEDMN C[C@H](C#N)C(=O)N1CC[C@](C)(CNCc2ncnn2C)C1 ZINC001446576947 930443112 /nfs/dbraw/zinc/44/31/12/930443112.db2.gz CAEKNJYHZUPZCF-BXUZGUMPSA-N 0 1 290.371 0.303 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)N(C)C(=O)Cc1nnc[nH]1 ZINC001374309530 930484507 /nfs/dbraw/zinc/48/45/07/930484507.db2.gz ALLYQCGRLAYQHZ-SECBINFHSA-N 0 1 271.752 0.536 20 30 CCEDMN C=C(Cl)CNC[C@@H](O)CNC(=O)c1cncs1 ZINC001374584832 930572654 /nfs/dbraw/zinc/57/26/54/930572654.db2.gz PVQWLQZMLXICPG-MRVPVSSYSA-N 0 1 275.761 0.576 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CCCN(CC(=O)N2CCC2)CC1 ZINC001447304942 930602252 /nfs/dbraw/zinc/60/22/52/930602252.db2.gz PAZNDSYTUVKYJJ-OLZOCXBDSA-N 0 1 292.383 0.349 20 30 CCEDMN C=C(Cl)CNC[C@@H](C)NC(=O)CCC(=O)NCC ZINC001374736353 930638167 /nfs/dbraw/zinc/63/81/67/930638167.db2.gz AZYDIIUGERZYKG-SNVBAGLBSA-N 0 1 275.780 0.750 20 30 CCEDMN C=C(Cl)CNC[C@H](C)NC(=O)CCC(=O)NCC ZINC001374874082 930702910 /nfs/dbraw/zinc/70/29/10/930702910.db2.gz AZYDIIUGERZYKG-JTQLQIEISA-N 0 1 275.780 0.750 20 30 CCEDMN C=C(Cl)CN[C@H](C)[C@H](C)NC(=O)Cn1cncn1 ZINC001447937359 930762113 /nfs/dbraw/zinc/76/21/13/930762113.db2.gz OZSWROZTHJYKCE-ZJUUUORDSA-N 0 1 271.752 0.513 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)[C@H]2COCCO2)CC1 ZINC001375395836 930875322 /nfs/dbraw/zinc/87/53/22/930875322.db2.gz FMWNOEZWIJIPOR-SNVBAGLBSA-N 0 1 274.748 0.393 20 30 CCEDMN C[C@H](C#N)C(=O)N[C@H]1CN(CCn2cncn2)CC1(C)C ZINC001448409168 930881241 /nfs/dbraw/zinc/88/12/41/930881241.db2.gz SRWABCCCBSVLKK-NEPJUHHUSA-N 0 1 290.371 0.264 20 30 CCEDMN C[C@@H](C#N)C(=O)N[C@@H]1CN(CCn2cncn2)CC1(C)C ZINC001448409200 930881583 /nfs/dbraw/zinc/88/15/83/930881583.db2.gz SRWABCCCBSVLKK-NWDGAFQWSA-N 0 1 290.371 0.264 20 30 CCEDMN C=C(Cl)CNC1(CNC(=O)c2cn[nH]c(=O)c2)CC1 ZINC001375433226 930885678 /nfs/dbraw/zinc/88/56/78/930885678.db2.gz NOZKIVIFJUTQHS-UHFFFAOYSA-N 0 1 282.731 0.787 20 30 CCEDMN O=C(NCC#CCO)c1n[nH]c2ccc(Cl)cc21 ZINC001448438666 930889560 /nfs/dbraw/zinc/88/95/60/930889560.db2.gz OPVSVMPVLPTFII-UHFFFAOYSA-N 0 1 263.684 0.942 20 30 CCEDMN Cc1nn(C)cc1CN1CC[C@@](C)(NC(=O)[C@@H](C)C#N)C1 ZINC001375817213 930983548 /nfs/dbraw/zinc/98/35/48/930983548.db2.gz AVIKLQVHRJFNJN-XHDPSFHLSA-N 0 1 289.383 0.969 20 30 CCEDMN C[C@H](CN1CCOCC1)C(=O)NCc1ccnc(C#N)c1 ZINC001448881919 931016884 /nfs/dbraw/zinc/01/68/84/931016884.db2.gz VTLGFVZDDICJEP-GFCCVEGCSA-N 0 1 288.351 0.538 20 30 CCEDMN COc1ccc(CN[C@H](C)CNC(=O)[C@H](C)C#N)nn1 ZINC001376707350 931233764 /nfs/dbraw/zinc/23/37/64/931233764.db2.gz ULPBWGYDRQTZES-NXEZZACHSA-N 0 1 277.328 0.239 20 30 CCEDMN CCCC[C@H](CNC(=O)c1ncn[nH]1)NC(=O)[C@H](C)C#N ZINC001450257425 931250968 /nfs/dbraw/zinc/25/09/68/931250968.db2.gz JRKUTCRVSGTXJR-NXEZZACHSA-N 0 1 292.343 0.369 20 30 CCEDMN CCCC[C@H](CNC(=O)c1nc[nH]n1)NC(=O)[C@H](C)C#N ZINC001450257425 931250974 /nfs/dbraw/zinc/25/09/74/931250974.db2.gz JRKUTCRVSGTXJR-NXEZZACHSA-N 0 1 292.343 0.369 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](NC(=O)C2(C(=O)[O-])CCC2)C1 ZINC001602700716 971320408 /nfs/dbraw/zinc/32/04/08/971320408.db2.gz FVBJWSUVXBWLAZ-NSHDSACASA-N 0 1 264.325 0.455 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](NC(=O)C2(C(=O)[O-])CCC2)C1 ZINC001602700716 971320412 /nfs/dbraw/zinc/32/04/12/971320412.db2.gz FVBJWSUVXBWLAZ-NSHDSACASA-N 0 1 264.325 0.455 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@@H]2CC[C@H]2C(=O)[O-])CC1 ZINC001602701973 971329209 /nfs/dbraw/zinc/32/92/09/971329209.db2.gz FIBBHQWAQHTCHZ-VXGBXAGGSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@@H]2CC[C@H]2C(=O)[O-])CC1 ZINC001602701973 971329213 /nfs/dbraw/zinc/32/92/13/971329213.db2.gz FIBBHQWAQHTCHZ-VXGBXAGGSA-N 0 1 264.325 0.265 20 30 CCEDMN C#CC[N@H+]1CCCN(C(=O)[C@@]2(C(=O)[O-])CC=CCC2)CC1 ZINC001602702102 971330453 /nfs/dbraw/zinc/33/04/53/971330453.db2.gz IZYZSYVFLHUXQI-MRXNPFEDSA-N 0 1 290.363 0.965 20 30 CCEDMN C#CC[N@@H+]1CCCN(C(=O)[C@@]2(C(=O)[O-])CC=CCC2)CC1 ZINC001602702102 971330458 /nfs/dbraw/zinc/33/04/58/971330458.db2.gz IZYZSYVFLHUXQI-MRXNPFEDSA-N 0 1 290.363 0.965 20 30 CCEDMN CC[N@H+](CC(=O)NCc1cccc(C#N)c1)[C@@H](C)C(=O)[O-] ZINC001589718674 950381611 /nfs/dbraw/zinc/38/16/11/950381611.db2.gz BSUKFFKVKKBUTG-NSHDSACASA-N 0 1 289.335 0.969 20 30 CCEDMN CC[N@@H+](CC(=O)NCc1cccc(C#N)c1)[C@@H](C)C(=O)[O-] ZINC001589718674 950381638 /nfs/dbraw/zinc/38/16/38/950381638.db2.gz BSUKFFKVKKBUTG-NSHDSACASA-N 0 1 289.335 0.969 20 30 CCEDMN C#CCNC(=O)[C@H](C)[N@H+]1C[C@H](C)C[C@@H](C(=O)[O-])C1 ZINC000399055100 951630219 /nfs/dbraw/zinc/63/02/19/951630219.db2.gz HNZVWPZADHXMRG-OUAUKWLOSA-N 0 1 252.314 0.167 20 30 CCEDMN C=C[C@@H](C(=O)[O-])[N@H+]1CCC[C@H](N2CCOCC2)C1 ZINC000382769293 971832254 /nfs/dbraw/zinc/83/22/54/971832254.db2.gz UOHLXSGHHJYHKP-RYUDHWBXSA-N 0 1 254.330 0.422 20 30 CCEDMN C[N@@H+](CCCCNC(=O)[C@](C)(C#N)CC(=O)[O-])C1CC1 ZINC001593751059 954411288 /nfs/dbraw/zinc/41/12/88/954411288.db2.gz DEAVFZDCYQAFPE-AWEZNQCLSA-N 0 1 281.356 0.982 20 30 CCEDMN N#CC1(C[NH+]2CCC(N3C[C@H](C(=O)[O-])CC3=O)CC2)CC1 ZINC001594604413 956161332 /nfs/dbraw/zinc/16/13/32/956161332.db2.gz STZVTZBDYCWNPT-LLVKDONJSA-N 0 1 291.351 0.688 20 30 CCEDMN N#Cc1cccc(C(=O)OC[C@H]2CCC[N@@H+]2CC(=O)[O-])n1 ZINC001594625802 956312736 /nfs/dbraw/zinc/31/27/36/956312736.db2.gz OYPIOTTTXJDWHP-LLVKDONJSA-N 0 1 289.291 0.659 20 30 CCEDMN C#CCNC(=O)C[N@@H+](C)Cc1ccc(C(=O)[O-])cc1 ZINC000037918897 957337226 /nfs/dbraw/zinc/33/72/26/957337226.db2.gz SHTJIIIGFVYEPT-UHFFFAOYSA-N 0 1 260.293 0.566 20 30 CCEDMN C#CCNC(=O)C[N@H+](C)Cc1ccc(C(=O)[O-])cc1 ZINC000037918897 957337235 /nfs/dbraw/zinc/33/72/35/957337235.db2.gz SHTJIIIGFVYEPT-UHFFFAOYSA-N 0 1 260.293 0.566 20 30 CCEDMN C#CCN(CC(=O)[O-])C(=O)NCCCCn1cc[nH+]c1C ZINC001588408315 958107904 /nfs/dbraw/zinc/10/79/04/958107904.db2.gz HCWLBCLZURRNRO-UHFFFAOYSA-N 0 1 292.339 0.701 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])C[C@H]1CCC2(CCOCC2)O1 ZINC001588424093 958209066 /nfs/dbraw/zinc/20/90/66/958209066.db2.gz JOOXRFXWIAWWRA-GFCCVEGCSA-N 0 1 267.325 0.734 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])C[C@H]1CCC2(CCOCC2)O1 ZINC001588424093 958209080 /nfs/dbraw/zinc/20/90/80/958209080.db2.gz JOOXRFXWIAWWRA-GFCCVEGCSA-N 0 1 267.325 0.734 20 30 CCEDMN C#CC[N@H+]1CCC[C@@H](NC(=O)C2(C(=O)[O-])CC=CC2)C1 ZINC001588430017 958249601 /nfs/dbraw/zinc/24/96/01/958249601.db2.gz FYTQYYVEZXUYJN-GFCCVEGCSA-N 0 1 276.336 0.621 20 30 CCEDMN C#CC[N@@H+]1CCC[C@@H](NC(=O)C2(C(=O)[O-])CC=CC2)C1 ZINC001588430017 958249618 /nfs/dbraw/zinc/24/96/18/958249618.db2.gz FYTQYYVEZXUYJN-GFCCVEGCSA-N 0 1 276.336 0.621 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1[C@@H]2CCCC[C@@H]2C[C@H]1C(=O)[O-] ZINC001588429777 958250102 /nfs/dbraw/zinc/25/01/02/958250102.db2.gz CIIFWXTZGDPEJY-UPJWGTAASA-N 0 1 278.352 0.844 20 30 CCEDMN CN(CCC#N)C(=O)CC[N@@H+]1C[C@@H](C(=O)[O-])[C@H](C2CC2)C1 ZINC001571048204 960660302 /nfs/dbraw/zinc/66/03/02/960660302.db2.gz VBOVUZNWGGYAHZ-QWHCGFSZSA-N 0 1 293.367 0.791 20 30 CCEDMN C#CCC[N@@H+](C)CCCNC(=O)[C@@H]1C[C@H]1C(=O)[O-] ZINC001573302698 962659612 /nfs/dbraw/zinc/65/96/12/962659612.db2.gz ZEGPIELRGURGOW-GHMZBOCLSA-N 0 1 252.314 0.169 20 30 CCEDMN C=C(Cl)C[N@@H+]1CCC[C@H](NC(=O)CNC(=O)[O-])CC1 ZINC001573338723 962879698 /nfs/dbraw/zinc/87/96/98/962879698.db2.gz HFOYFLZVHQHLEA-JTQLQIEISA-N 0 1 289.763 0.977 20 30 CCEDMN C=C(Cl)C[N@@H+](CC)[C@H](C)CNC(=O)CNC(=O)[O-] ZINC001573339920 962896351 /nfs/dbraw/zinc/89/63/51/962896351.db2.gz ZDWHMRHWPBQVIA-SECBINFHSA-N 0 1 277.752 0.833 20 30 CCEDMN C=C(Cl)C[N@H+](CC)[C@H](C)CNC(=O)CNC(=O)[O-] ZINC001573339920 962896367 /nfs/dbraw/zinc/89/63/67/962896367.db2.gz ZDWHMRHWPBQVIA-SECBINFHSA-N 0 1 277.752 0.833 20 30 CCEDMN C=CCOCC[N@H+](C)C[C@@H]1CCCN1C(=O)CNC(=O)[O-] ZINC001573368657 963084115 /nfs/dbraw/zinc/08/41/15/963084115.db2.gz JCCXQKMLAQIDFJ-LBPRGKRZSA-N 0 1 299.371 0.379 20 30 CCEDMN C#CC[N@H+](CC(=O)[O-])Cc1ccc(OCC(N)=O)cc1 ZINC000092491985 967599486 /nfs/dbraw/zinc/59/94/86/967599486.db2.gz ZQKDQQQNYWPUCV-UHFFFAOYSA-N 0 1 276.292 0.071 20 30 CCEDMN C#CC[N@@H+](CC(=O)[O-])Cc1ccc(OCC(N)=O)cc1 ZINC000092491985 967599491 /nfs/dbraw/zinc/59/94/91/967599491.db2.gz ZQKDQQQNYWPUCV-UHFFFAOYSA-N 0 1 276.292 0.071 20 30 CCEDMN C#CC[N@@H+](C)CCCNC(=O)NCCCC(=O)[O-] ZINC000328145445 968050177 /nfs/dbraw/zinc/05/01/77/968050177.db2.gz CUOIQFPRXRILOC-UHFFFAOYSA-N 0 1 255.318 0.106 20 30 CCEDMN C[N@H+]1C[C@@H]2CCCN(C(=O)[C@@](C)(C#N)CC(=O)[O-])[C@@H]2C1 ZINC001604231657 972737371 /nfs/dbraw/zinc/73/73/71/972737371.db2.gz ZSDPTFLYELRILR-MISXGVKJSA-N 0 1 279.340 0.544 20 30 CCEDMN N#Cc1cc(F)ccc1C[N@@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC000401924114 973537844 /nfs/dbraw/zinc/53/78/44/973537844.db2.gz QAGRYAAHHCWQCS-AAEUAGOBSA-N 0 1 278.283 0.965 20 30 CCEDMN N#Cc1cc(F)ccc1C[N@H+]1C[C@@H](O)C[C@H](C(=O)[O-])C1 ZINC000401924114 973537850 /nfs/dbraw/zinc/53/78/50/973537850.db2.gz QAGRYAAHHCWQCS-AAEUAGOBSA-N 0 1 278.283 0.965 20 30 CCEDMN COCC[N@H+](CCC(=O)[O-])C[C@@H](C#N)CCC#N ZINC001604604828 973714719 /nfs/dbraw/zinc/71/47/19/973714719.db2.gz XTJVXNFMQWJRPE-LLVKDONJSA-N 0 1 253.302 0.853 20 30 CCEDMN COCC[N@@H+](CCC(=O)[O-])C[C@@H](C#N)CCC#N ZINC001604604828 973714729 /nfs/dbraw/zinc/71/47/29/973714729.db2.gz XTJVXNFMQWJRPE-LLVKDONJSA-N 0 1 253.302 0.853 20 30 CCEDMN CCCCNC(=O)[C@H](C)[NH+]1CCC(C#N)(C(=O)[O-])CC1 ZINC001595750797 982430490 /nfs/dbraw/zinc/43/04/90/982430490.db2.gz FQJHGKGAIVPMRK-NSHDSACASA-N 0 1 281.356 0.982 20 30 CCEDMN C#CC[N@H+]1CCC[C@H](Nc2ncc(C(=O)[O-])cn2)C1 ZINC001588477629 983516892 /nfs/dbraw/zinc/51/68/92/983516892.db2.gz XELBENCSNJSRSL-NSHDSACASA-N 0 1 260.297 0.684 20 30 CCEDMN C#CC[N@@H+]1CCC[C@H](Nc2ncc(C(=O)[O-])cn2)C1 ZINC001588477629 983516894 /nfs/dbraw/zinc/51/68/94/983516894.db2.gz XELBENCSNJSRSL-NSHDSACASA-N 0 1 260.297 0.684 20 30 CCEDMN C#CCNC(=O)CC[N@@H+]1C[C@@H](C)[C@H](CC(=O)[O-])C1 ZINC001588479628 983520895 /nfs/dbraw/zinc/52/08/95/983520895.db2.gz WYGXXVSKUWBIDA-GHMZBOCLSA-N 0 1 252.314 0.169 20 30 CCEDMN C#CC[NH2+]CC(=O)Nc1cc(C(=O)[O-])cc(OC)c1OC ZINC001588485467 983525209 /nfs/dbraw/zinc/52/52/09/983525209.db2.gz QLCXOWMLPVKTMZ-UHFFFAOYSA-N 0 1 292.291 0.563 20 30 CCEDMN C#CCOCCC(=O)O[C@H]1C[N@H+](C)[C@@](C)(C(=O)[O-])C1 ZINC001588489820 983529440 /nfs/dbraw/zinc/52/94/40/983529440.db2.gz NAGAACPMOPVVAO-ZWNOBZJWSA-N 0 1 269.297 0.117 20 30 CCEDMN C#CCO[C@H](C)C(=O)O[C@@H]1C[N@@H+](C)[C@](C)(C(=O)[O-])C1 ZINC001588490519 983532483 /nfs/dbraw/zinc/53/24/83/983532483.db2.gz OJNMOPDDDXDIDW-NRUUGDAUSA-N 0 1 269.297 0.115 20 30 CCEDMN C=C(C)C[C@@H](NC(=O)C[N@@H+]1CC[C@H](C)C1)C(=O)[O-] ZINC001588543101 983610660 /nfs/dbraw/zinc/61/06/60/983610660.db2.gz WSEBMZIOHVZUFS-WDEREUQCSA-N 0 1 254.330 0.864 20 30 CCEDMN CCN(CCC#N)C(=O)C[N@H+]1C[C@@H]2CCC[C@@H]2[C@@H]1C(=O)[O-] ZINC001596299381 983723819 /nfs/dbraw/zinc/72/38/19/983723819.db2.gz FHDUMXGXQHTCGJ-SGMGOOAPSA-N 0 1 293.367 0.934 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@@H+]1CCC[C@](O)(Cc2nc(CC)no2)C1 ZINC001588664080 983798158 /nfs/dbraw/zinc/79/81/58/983798158.db2.gz SIZROTNLKBRAKX-YGRLFVJLSA-N 0 1 295.339 0.641 20 30 CCEDMN C=C[C@H](C(=O)[O-])[N@H+]1CCC[C@](O)(Cc2nc(CC)no2)C1 ZINC001588664080 983798160 /nfs/dbraw/zinc/79/81/60/983798160.db2.gz SIZROTNLKBRAKX-YGRLFVJLSA-N 0 1 295.339 0.641 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@H+]1CC[C@@H](NC(=O)NC2CC2)C1 ZINC001588729301 983988079 /nfs/dbraw/zinc/98/80/79/983988079.db2.gz PIGSDFUYBDVBHB-GHMZBOCLSA-N 0 1 267.329 0.552 20 30 CCEDMN C=CC[C@@H](C(=O)OC)[NH+]1CCC([C@H](O)C(=O)[O-])CC1 ZINC001588732014 984014687 /nfs/dbraw/zinc/01/46/87/984014687.db2.gz UFZQZMPXFMXJOE-QWRGUYRKSA-N 0 1 271.313 0.262 20 30 CCEDMN C=CC[C@H](C(=O)[O-])[N@@H+]1C[C@](C)(CO)[C@](C)(CO)C1 ZINC001588732208 984016202 /nfs/dbraw/zinc/01/62/02/984016202.db2.gz WGRURARKDWIOGA-RTXFEEFZSA-N 0 1 257.330 0.329 20 30 CCEDMN C=CC[C@@H](CO)[NH2+]C1CCN(CCCC(=O)[O-])CC1 ZINC001588745287 984050569 /nfs/dbraw/zinc/05/05/69/984050569.db2.gz XQDQTAUBFIVMCU-ZDUSSCGKSA-N 0 1 270.373 0.842 20 30 CCEDMN C=CC[N@H+](C)CCN(CC)[C@@H]1CCN(CCC(=O)[O-])C1=O ZINC001588822292 984288885 /nfs/dbraw/zinc/28/88/85/984288885.db2.gz DZGTXYIOKBEARR-CYBMUJFWSA-N 0 1 297.399 0.502 20 30 CCEDMN C=CCN(C)CC[N@@H+](CC)[C@@H]1CCN(CCC(=O)[O-])C1=O ZINC001588822292 984288887 /nfs/dbraw/zinc/28/88/87/984288887.db2.gz DZGTXYIOKBEARR-CYBMUJFWSA-N 0 1 297.399 0.502 20 30 CCEDMN C=CCN(C)CC[N@H+](CC)[C@@H]1CCN(CCC(=O)[O-])C1=O ZINC001588822292 984288892 /nfs/dbraw/zinc/28/88/92/984288892.db2.gz DZGTXYIOKBEARR-CYBMUJFWSA-N 0 1 297.399 0.502 20 30 CCEDMN C=CCNC(=O)C[N@@H+]1CC[C@H](C(=O)[O-])[C@@H]2CCC[C@H]21 ZINC001588839238 984345344 /nfs/dbraw/zinc/34/53/44/984345344.db2.gz PVSDZZLAKNTTSB-SDDRHHMPSA-N 0 1 266.341 0.864 20 30 CCEDMN C=CCOC[C@@H]([NH2+]Cc1[nH]nc(C)c1C)C(=O)[O-] ZINC001588866362 984424473 /nfs/dbraw/zinc/42/44/73/984424473.db2.gz MQGYIRDHXCPYRM-LLVKDONJSA-N 0 1 253.302 0.772 20 30 CCEDMN C[C@@H](C#N)C(=O)N1CC[N@@H+](CCC(=O)[O-])C[C@@H]1C ZINC001589329667 986166145 /nfs/dbraw/zinc/16/61/45/986166145.db2.gz RMZXHXQCIJQNDS-UWVGGRQHSA-N 0 1 253.302 0.153 20 30 CCEDMN CC(C)[C@@](C)(C#N)NC(=O)[C@H](C)[N@@H+]1C[C@H]2[C@H](C(=O)[O-])[C@H]2C1 ZINC001589378917 986451565 /nfs/dbraw/zinc/45/15/65/986451565.db2.gz VTBRDNOQFJAQEV-HHHUMZEGSA-N 0 1 293.367 0.692 20 30 CCEDMN C[C@@H]1C[N@@H+](CCCSCC#N)C[C@@H](C(=O)[O-])O1 ZINC001599865841 989253544 /nfs/dbraw/zinc/25/35/44/989253544.db2.gz UDLZCTXXVGUTCI-ZJUUUORDSA-N 0 1 258.343 0.807 20 30 CCEDMN C[N@@H+](Cc1cccc(C(=O)[O-])c1C#N)[C@H]1C[C@@H](C(N)=O)C1 ZINC001598526857 992731065 /nfs/dbraw/zinc/73/10/65/992731065.db2.gz GRLFMXFEWPXPFU-PHIMTYICSA-N 0 1 287.319 0.952 20 30 CCEDMN COC(=O)C1(C#N)CC[NH+](C/C(C)=C\C(=O)[O-])CC1 ZINC001598716563 995417166 /nfs/dbraw/zinc/41/71/66/995417166.db2.gz MVBXAFQRVZKOJE-YFHOEESVSA-N 0 1 266.297 0.796 20 30 CCEDMN